smiles zinc_id prot_id files.db2 substance.inchikey net_charge ph_mod_fk substance.mwt substance.logp purchasable reactive features tranche_name CC(C)C[C@H]1COCCN1CCC1CC1 ZINC000336696321 187320823 /nfs/dbraw/zinc/32/08/23/187320823.db2.gz KYHPXQSQDWJZQN-ZDUSSCGKSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](O)C1)c1cccc(Cl)c1 ZINC000296016987 398137450 /nfs/dbraw/zinc/13/74/50/398137450.db2.gz RPGSDZDBCGDEAD-FXAINCCUSA-N 0 3 225.719 2.514 20 0 BFADHN CCc1ccc(CNC[C@@H](OC)C(C)C)o1 ZINC000268442636 398137999 /nfs/dbraw/zinc/13/79/99/398137999.db2.gz SFWQVSPAPJHSGZ-CYBMUJFWSA-N 0 3 225.332 2.603 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@]2(C)CCOC2)o1 ZINC000228158670 398138393 /nfs/dbraw/zinc/13/83/93/398138393.db2.gz OPMQWBCFEXHSCC-OSMZGAPFSA-N 0 3 235.327 2.672 20 0 BFADHN COC(=O)[C@@H]1CCCCCN1CCCC(C)C ZINC000615136971 398138724 /nfs/dbraw/zinc/13/87/24/398138724.db2.gz NNGFIXPCPWGNCY-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN CCC(CC)(CC)CNCc1ncc[nH]1 ZINC000152513299 168316253 /nfs/dbraw/zinc/31/62/53/168316253.db2.gz UJVKYDIAOBQDAA-UHFFFAOYSA-N 0 3 209.337 2.716 20 0 BFADHN COCC[C@@H](C)N(C)Cc1ccccc1F ZINC000268002499 538915979 /nfs/dbraw/zinc/91/59/79/538915979.db2.gz CRCAFAHMIWWACG-LLVKDONJSA-N 0 3 225.307 2.683 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCC[C@@H]1C ZINC000070827222 398139254 /nfs/dbraw/zinc/13/92/54/398139254.db2.gz MLVDGHKFPPTUDF-QPUJVOFHSA-N 0 3 208.305 2.570 20 0 BFADHN Cc1nn(C)cc1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000245503926 398139994 /nfs/dbraw/zinc/13/99/94/398139994.db2.gz JDDSKHMOXGRCHJ-RISCZKNCSA-N 0 3 235.375 2.739 20 0 BFADHN c1coc(CNC[C@H]2CC23CCSCC3)c1 ZINC000421356375 192321336 /nfs/dbraw/zinc/32/13/36/192321336.db2.gz XFJRUJPMANOADA-LLVKDONJSA-N 0 3 237.368 2.903 20 0 BFADHN CO[C@@H]1CCC[C@H](N[C@@H](C)c2ccccn2)C1 ZINC000160852455 538912949 /nfs/dbraw/zinc/91/29/49/538912949.db2.gz GODFWMRGYOTNSI-RWMBFGLXSA-N 0 3 234.343 2.690 20 0 BFADHN CO[C@@H]1CCC[C@@H](N[C@H](C)c2ccccn2)C1 ZINC000160859550 538913025 /nfs/dbraw/zinc/91/30/25/538913025.db2.gz GODFWMRGYOTNSI-JHJVBQTASA-N 0 3 234.343 2.690 20 0 BFADHN C[C@H](NCC1(CO)CCCCC1)c1ccccn1 ZINC000161102478 538914025 /nfs/dbraw/zinc/91/40/25/538914025.db2.gz PRAMBUADCRPYII-ZDUSSCGKSA-N 0 3 248.370 2.675 20 0 BFADHN CC[C@@H](N[C@@H](CCO)c1ccco1)C(C)C ZINC000186531368 349455500 /nfs/dbraw/zinc/45/55/00/349455500.db2.gz PAGQXMVHQGGCCT-NEPJUHHUSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@@H](N[C@H](CCO)c1ccco1)C(C)C ZINC000186531405 349455703 /nfs/dbraw/zinc/45/57/03/349455703.db2.gz PAGQXMVHQGGCCT-VXGBXAGGSA-N 0 3 225.332 2.727 20 0 BFADHN CCCCN(CC)Cc1cn2ccccc2n1 ZINC000070946532 398142402 /nfs/dbraw/zinc/14/24/02/398142402.db2.gz NFNWOUQIEOEASK-UHFFFAOYSA-N 0 3 231.343 2.956 20 0 BFADHN CCSCCN1CCC=C(c2ccccn2)C1 ZINC000572420924 398142702 /nfs/dbraw/zinc/14/27/02/398142702.db2.gz OHPCKOXXJNJEEE-UHFFFAOYSA-N 0 3 248.395 2.924 20 0 BFADHN CCc1nnc(CNC2[C@@H](C)CCC[C@@H]2C)o1 ZINC000182710484 346809460 /nfs/dbraw/zinc/80/94/60/346809460.db2.gz RHHYSKNLYYRRQI-UWVGGRQHSA-N 0 3 237.347 2.546 20 0 BFADHN CCc1cc(N2CC[C@@H](C)[C@H](F)C2)ccn1 ZINC000649319795 398143477 /nfs/dbraw/zinc/14/34/77/398143477.db2.gz ALSRQAZHJLOBIU-ZWNOBZJWSA-N 0 3 222.307 2.828 20 0 BFADHN CCC1(C)CN(Cc2ccc3c(c2)ncn3C)C1 ZINC000297498317 398145598 /nfs/dbraw/zinc/14/55/98/398145598.db2.gz VWACJWBGJLVFPW-UHFFFAOYSA-N 0 3 243.354 2.805 20 0 BFADHN CCC1(C)CN(Cc2ccc3c[nH]nc3c2)C1 ZINC000297496354 398145637 /nfs/dbraw/zinc/14/56/37/398145637.db2.gz VOSFDGSPFUWSCU-UHFFFAOYSA-N 0 3 229.327 2.795 20 0 BFADHN CC(C)(C)CCN1CCS[C@@H]2COCC[C@H]21 ZINC000247336948 398145751 /nfs/dbraw/zinc/14/57/51/398145751.db2.gz XGLSWWIENIYCAZ-VXGBXAGGSA-N 0 3 243.416 2.629 20 0 BFADHN CCC(CC)(CO)CN[C@H](C)c1ccccn1 ZINC000161327708 168327711 /nfs/dbraw/zinc/32/77/11/168327711.db2.gz HXKCZZWBYKYWBH-GFCCVEGCSA-N 0 3 236.359 2.531 20 0 BFADHN COC1([C@H](C)NCc2ccc(C)nc2)CCCC1 ZINC000421821650 398147416 /nfs/dbraw/zinc/14/74/16/398147416.db2.gz SLRHBYWBTUORQC-ZDUSSCGKSA-N 0 3 248.370 2.827 20 0 BFADHN CCC(CC)(CO)NCc1cc(F)ccc1F ZINC000053065923 168329607 /nfs/dbraw/zinc/32/96/07/168329607.db2.gz ZUDIOQOOANNGHK-UHFFFAOYSA-N 0 3 243.297 2.606 20 0 BFADHN C[C@H]1CC[C@@H](O)CN1Cc1coc2ccccc12 ZINC000421377899 192322474 /nfs/dbraw/zinc/32/24/74/192322474.db2.gz SINFAZWXUWDZSE-WCQYABFASA-N 0 3 245.322 2.778 20 0 BFADHN CCSCC[C@@H](C)N[C@H](C)c1ccncn1 ZINC000396054733 262795700 /nfs/dbraw/zinc/79/57/00/262795700.db2.gz VXEBBNCYVVILQX-GHMZBOCLSA-N 0 3 239.388 2.659 20 0 BFADHN Cc1ccc(F)c(CNC[C@@H]2CCCOC2)c1 ZINC000227284786 187322139 /nfs/dbraw/zinc/32/21/39/187322139.db2.gz ZFOFQAQHUFBJDE-LBPRGKRZSA-N 0 3 237.318 2.650 20 0 BFADHN CC[C@@H](COC)NCc1ccc(C)c(OC)c1 ZINC000161813183 349508837 /nfs/dbraw/zinc/50/88/37/349508837.db2.gz USPANFBWTFSBRY-ZDUSSCGKSA-N 0 3 237.343 2.518 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@H]1O)c1ccc(Cl)c(F)c1 ZINC000378718778 346815096 /nfs/dbraw/zinc/81/50/96/346815096.db2.gz DOYDBNVDMMCBGP-NZXMKCKXSA-N 0 3 243.709 2.653 20 0 BFADHN CC(C)N(Cc1cccnc1)C[C@H]1CC[C@H](C)O1 ZINC000336784745 187322757 /nfs/dbraw/zinc/32/27/57/187322757.db2.gz WTMDRWJNXFHHHG-DZGCQCFKSA-N 0 3 248.370 2.860 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1C/C=C\c1ccc(F)cc1 ZINC000421375956 192322920 /nfs/dbraw/zinc/32/29/20/192322920.db2.gz POMBDUOIBCHFDG-IZIWTHDTSA-N 0 3 249.329 2.684 20 0 BFADHN Cc1cc(CNC[C@@H](O)C2CC2)ccc1Cl ZINC000309841304 178022341 /nfs/dbraw/zinc/02/23/41/178022341.db2.gz DHTBEYXFAFJIIU-CYBMUJFWSA-N 0 3 239.746 2.509 20 0 BFADHN CC(C)C[C@H](C)CN1CCN(CC(F)F)CC1 ZINC000337140958 187323996 /nfs/dbraw/zinc/32/39/96/187323996.db2.gz RPEYNJKQTBQKIP-LBPRGKRZSA-N 0 3 248.361 2.551 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CCC[C@@H]2C)no1 ZINC000125329289 178005497 /nfs/dbraw/zinc/00/54/97/178005497.db2.gz LMWGNTVEVPNUFY-QWRGUYRKSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)nn1C ZINC000336725196 178007395 /nfs/dbraw/zinc/00/73/95/178007395.db2.gz QKBZGGHDBDRGAU-MDZLAQPJSA-N 0 3 235.375 2.595 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)no1 ZINC000336662306 178007943 /nfs/dbraw/zinc/00/79/43/178007943.db2.gz JRSJHTYXYGDOPQ-FOGDFJRCSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)nn1C ZINC000360212417 178008243 /nfs/dbraw/zinc/00/82/43/178008243.db2.gz JMAYCOYJPSTEKW-CQDKDKBSSA-N 0 3 247.386 2.739 20 0 BFADHN Cc1cc(CN2C[C@H](O)C(C)(C)C2)c(C)s1 ZINC000279878274 178008548 /nfs/dbraw/zinc/00/85/48/178008548.db2.gz UGZSJUNELIUTMU-LBPRGKRZSA-N 0 3 239.384 2.568 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](C)[C@H]2C)no1 ZINC000336662307 178008586 /nfs/dbraw/zinc/00/85/86/178008586.db2.gz JRSJHTYXYGDOPQ-JFGNBEQYSA-N 0 3 222.332 2.849 20 0 BFADHN COc1ncc(CNCC2=CCCCC2)s1 ZINC000379571825 398152961 /nfs/dbraw/zinc/15/29/61/398152961.db2.gz RRIYZTQEGGQTQJ-UHFFFAOYSA-N 0 3 238.356 2.742 20 0 BFADHN C[C@@H](CCO)N(C)Cc1cccc(C(F)F)c1 ZINC000649871250 398154677 /nfs/dbraw/zinc/15/46/77/398154677.db2.gz WGSPIQMBYBPZSI-JTQLQIEISA-N 0 3 243.297 2.827 20 0 BFADHN FC(F)[C@H]1C[C@@H]1NCc1cnc(C2CC2)s1 ZINC000378728616 346817013 /nfs/dbraw/zinc/81/70/13/346817013.db2.gz JXOBQZDTEJFEMP-IUCAKERBSA-N 0 3 244.310 2.764 20 0 BFADHN Cc1cc(CNC2CC(F)(F)C2)cs1 ZINC000296755260 178019360 /nfs/dbraw/zinc/01/93/60/178019360.db2.gz KPXGBISJVYYVFS-UHFFFAOYSA-N 0 3 217.284 2.944 20 0 BFADHN Cc1cc(CNCCc2nccs2)cs1 ZINC000294704323 178023096 /nfs/dbraw/zinc/02/30/96/178023096.db2.gz AYKLUNYFDJQSCX-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN Cc1cc(CNC[C@H](O)C2CC2)ccc1Cl ZINC000309841305 178024177 /nfs/dbraw/zinc/02/41/77/178024177.db2.gz DHTBEYXFAFJIIU-ZDUSSCGKSA-N 0 3 239.746 2.509 20 0 BFADHN CC(C)CCN1CCOC[C@@H]1CC1CCC1 ZINC000339766509 398168602 /nfs/dbraw/zinc/16/86/02/398168602.db2.gz BQDHVWIAJQAZNK-AWEZNQCLSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H](CNCC1(F)CC1)N(C)c1ccccc1 ZINC000527513533 398170197 /nfs/dbraw/zinc/17/01/97/398170197.db2.gz AOJNUHIYHQOXGJ-LBPRGKRZSA-N 0 3 236.334 2.603 20 0 BFADHN Cc1ccc(CN(C)[C@H]2C=CCCC2)nc1 ZINC000649900816 398170898 /nfs/dbraw/zinc/17/08/98/398170898.db2.gz ZEPYATQXZBCHGY-AWEZNQCLSA-N 0 3 216.328 2.931 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@H]2CCCO2)ccc1F ZINC000050544845 178031646 /nfs/dbraw/zinc/03/16/46/178031646.db2.gz NPFPLWMDFYRFRZ-SMDDNHRTSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)nn1C ZINC000360331268 178033039 /nfs/dbraw/zinc/03/30/39/178033039.db2.gz ZVVPZRATMSHEPF-MPKXVKKWSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)ncn1 ZINC000273341885 178034931 /nfs/dbraw/zinc/03/49/31/178034931.db2.gz LEQFDJUVIUYDGN-UHIISALHSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cc(CN[C@H](C)CF)cc2cccnc12 ZINC000342206027 178036594 /nfs/dbraw/zinc/03/65/94/178036594.db2.gz QTZYPHNTEURHHM-LLVKDONJSA-N 0 3 232.302 2.991 20 0 BFADHN Cc1cc(CN[C@H](C)[C@H]2CC2(C)C)on1 ZINC000309122631 178036792 /nfs/dbraw/zinc/03/67/92/178036792.db2.gz OLGHGCBSNCQBDQ-MWLCHTKSSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1cc(CN[C@H](C)C2CCCC2)on1 ZINC000054081389 178037379 /nfs/dbraw/zinc/03/73/79/178037379.db2.gz ZPPNYCUCEONMBV-SNVBAGLBSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@H](C)CCc2ccco2)on1 ZINC000044986396 178037405 /nfs/dbraw/zinc/03/74/05/178037405.db2.gz MNBODRCSDLHELB-SNVBAGLBSA-N 0 3 234.299 2.687 20 0 BFADHN CC(C)[C@H]1C[C@@H](N[C@@H](C)c2cn[nH]c2)CS1 ZINC000277353125 398173897 /nfs/dbraw/zinc/17/38/97/398173897.db2.gz VVFYUGIOAVPEFN-MVWJERBFSA-N 0 3 239.388 2.590 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)[C@H]1C)c1ccccn1 ZINC000379841772 398173917 /nfs/dbraw/zinc/17/39/17/398173917.db2.gz GWZMPIYNXRQUBL-DCQANWLSSA-N 0 3 204.317 2.777 20 0 BFADHN COCC[C@@H](C)N1CCc2ccc(F)cc2C1 ZINC000269696546 538920824 /nfs/dbraw/zinc/92/08/24/538920824.db2.gz XJWBVFNCBATAAC-LLVKDONJSA-N 0 3 237.318 2.609 20 0 BFADHN Cc1cc(CN[C@H]2COCC2(C)C)cc(C)c1O ZINC000313026767 178041341 /nfs/dbraw/zinc/04/13/41/178041341.db2.gz IPEVBJZUSZVFGV-ZDUSSCGKSA-N 0 3 249.354 2.524 20 0 BFADHN Cc1cc(CN[C@H]2CC[C@H]2C(C)(C)C)on1 ZINC000336739346 178042230 /nfs/dbraw/zinc/04/22/30/178042230.db2.gz PAMQSBAVTQHLEM-NEPJUHHUSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CN[C@]2(C)CCCOC2)cs1 ZINC000309049401 178042267 /nfs/dbraw/zinc/04/22/67/178042267.db2.gz OQVKXKYENHHOGZ-GFCCVEGCSA-N 0 3 225.357 2.715 20 0 BFADHN Cc1nnsc1CNC[C@H](C)C(C)(C)C ZINC000380069408 398183577 /nfs/dbraw/zinc/18/35/77/398183577.db2.gz IMYHMDDSNDJDLM-QMMMGPOBSA-N 0 3 227.377 2.618 20 0 BFADHN FC(F)(F)CCN1CC[C@]2(CC2(F)F)C1 ZINC000649905168 398178137 /nfs/dbraw/zinc/17/81/37/398178137.db2.gz UVHZXSMYLCEBKZ-ZETCQYMHSA-N 0 3 229.192 2.670 20 0 BFADHN Cc1cc(C[C@@H](C)N[C@H](C)c2ccco2)[nH]n1 ZINC000090532999 178055388 /nfs/dbraw/zinc/05/53/88/178055388.db2.gz OCDSNAUILRJYBK-MWLCHTKSSA-N 0 3 233.315 2.593 20 0 BFADHN Cc1cc(C[C@@H](C)N[C@H](C)c2ccco2)n[nH]1 ZINC000090532999 178055390 /nfs/dbraw/zinc/05/53/90/178055390.db2.gz OCDSNAUILRJYBK-MWLCHTKSSA-N 0 3 233.315 2.593 20 0 BFADHN CC[C@H](C[C@@H](C)CO)N[C@H](C)c1ccco1 ZINC000336743970 398181874 /nfs/dbraw/zinc/18/18/74/398181874.db2.gz UHUIASGFLYOIMM-IJLUTSLNSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@H](C[C@H](C)CO)N[C@H](C)c1ccco1 ZINC000336743971 398181937 /nfs/dbraw/zinc/18/19/37/398181937.db2.gz UHUIASGFLYOIMM-QJPTWQEYSA-N 0 3 225.332 2.727 20 0 BFADHN CCOCCN[C@@H](CC)c1cccc(OC)c1 ZINC000161901804 349513559 /nfs/dbraw/zinc/51/35/59/349513559.db2.gz XOSJKKLPQGGZEA-AWEZNQCLSA-N 0 3 237.343 2.772 20 0 BFADHN CCC[C@@H](O)CN(Cc1ccc(C)o1)C1CC1 ZINC000035717748 398162261 /nfs/dbraw/zinc/16/22/61/398162261.db2.gz IPBRSSHXQPRRKT-CYBMUJFWSA-N 0 3 237.343 2.713 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cnc(OC)s1 ZINC000380020819 398182520 /nfs/dbraw/zinc/18/25/20/398182520.db2.gz ODLWREMQASYKJX-IUCAKERBSA-N 0 3 228.361 2.676 20 0 BFADHN Cc1cc(Cl)cc(CN2C[C@H](O)C[C@H]2C)c1 ZINC000353145084 178064369 /nfs/dbraw/zinc/06/43/69/178064369.db2.gz LIZAPXNILPFVKO-ZWNOBZJWSA-N 0 3 239.746 2.604 20 0 BFADHN C[C@@H](CF)NC[C@H]1CCOc2ccccc21 ZINC000390208755 398163361 /nfs/dbraw/zinc/16/33/61/398163361.db2.gz UDJCYDOALGBYEC-WDEREUQCSA-N 0 3 223.291 2.500 20 0 BFADHN Cc1cc(Cl)ccc1CNC1(CO)CCC1 ZINC000185300667 178065869 /nfs/dbraw/zinc/06/58/69/178065869.db2.gz ZJUOFKLHBGTUAI-UHFFFAOYSA-N 0 3 239.746 2.653 20 0 BFADHN CCCCCN[C@H](C(=O)N(C)C)c1ccccc1 ZINC000072815742 398187957 /nfs/dbraw/zinc/18/79/57/398187957.db2.gz XQHQGFNUVRETFY-AWEZNQCLSA-N 0 3 248.370 2.596 20 0 BFADHN Cc1cc(F)ccc1CN1CCOCC[C@H]1C ZINC000373282067 178085939 /nfs/dbraw/zinc/08/59/39/178085939.db2.gz VKZJCOPBWPKWMC-GFCCVEGCSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cc(F)ccc1C[NH2+]Cc1ncccc1[O-] ZINC000355340745 178086586 /nfs/dbraw/zinc/08/65/86/178086586.db2.gz WVJBABHSXXCASI-UHFFFAOYSA-N 0 3 246.285 2.525 20 0 BFADHN CC(C)=CCN1CCC(C(F)F)CC1 ZINC000335768044 187325939 /nfs/dbraw/zinc/32/59/39/187325939.db2.gz ZPRGSUSLUAZRLB-UHFFFAOYSA-N 0 3 203.276 2.930 20 0 BFADHN Cc1cc(N(C)CC(C)(C)O)c2ccccc2n1 ZINC000092970213 178096842 /nfs/dbraw/zinc/09/68/42/178096842.db2.gz BEHMQQLWICMUSX-UHFFFAOYSA-N 0 3 244.338 2.750 20 0 BFADHN Cc1cc(N(C)CCCCO)c2ccccc2n1 ZINC000276173438 178099453 /nfs/dbraw/zinc/09/94/53/178099453.db2.gz DCPIFBGMAHNFOD-UHFFFAOYSA-N 0 3 244.338 2.752 20 0 BFADHN CC1=CCN([C@H](C)c2ccccn2)CC1 ZINC000334260370 398194805 /nfs/dbraw/zinc/19/48/05/398194805.db2.gz WGCSNZNOOKGHJR-GFCCVEGCSA-N 0 3 202.301 2.795 20 0 BFADHN CC[C@@H](C)[C@H]1CCCCN1Cc1cncnc1 ZINC000271189337 538923418 /nfs/dbraw/zinc/92/34/18/538923418.db2.gz LAZRGBVCFWKHBB-TZMCWYRMSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1ccc(CNC2C(C)(C)C2(C)C)cn1 ZINC000162265338 538921960 /nfs/dbraw/zinc/92/19/60/538921960.db2.gz WBKUXOFVFSEHDB-UHFFFAOYSA-N 0 3 218.344 2.914 20 0 BFADHN C[C@H](CN[C@@H](C)c1nccn1C)C(C)(C)C ZINC000162676102 538923934 /nfs/dbraw/zinc/92/39/34/538923934.db2.gz BZEKDLRVBPPZFH-MNOVXSKESA-N 0 3 223.364 2.753 20 0 BFADHN C[C@@H](NC[C@@H](C)C(C)(C)C)c1nccn1C ZINC000162676363 538924137 /nfs/dbraw/zinc/92/41/37/538924137.db2.gz BZEKDLRVBPPZFH-GHMZBOCLSA-N 0 3 223.364 2.753 20 0 BFADHN CC1(C)CCC(CN2CC[C@](F)(CO)C2)CC1 ZINC000338591103 187327365 /nfs/dbraw/zinc/32/73/65/187327365.db2.gz ALUOIILMFQQROH-CQSZACIVSA-N 0 3 243.366 2.609 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1ccncc1F ZINC000449191047 398207221 /nfs/dbraw/zinc/20/72/21/398207221.db2.gz ORJPCCHPIYZWFZ-ZWNOBZJWSA-N 0 3 222.307 2.889 20 0 BFADHN COCCCCN(C)Cc1c(C)nsc1C ZINC000576289705 365553890 /nfs/dbraw/zinc/55/38/90/365553890.db2.gz MQBPSVCCBYMKBO-UHFFFAOYSA-N 0 3 242.388 2.618 20 0 BFADHN CO[C@H]1CCN(Cc2cc(C)ccn2)CC1(C)C ZINC000650004792 398216374 /nfs/dbraw/zinc/21/63/74/398216374.db2.gz BUFZBOAMCCMSGH-AWEZNQCLSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@H](CSc1ccc2n[nH]cc2c1)N(C)C ZINC000413013009 398210578 /nfs/dbraw/zinc/21/05/78/398210578.db2.gz ADAOFTCZVWFCAK-SECBINFHSA-N 0 3 235.356 2.605 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@@H]2C)cc1 ZINC000339570115 187329446 /nfs/dbraw/zinc/32/94/46/187329446.db2.gz VKUJIIQUIGIRHU-QWRGUYRKSA-N 0 3 205.301 2.535 20 0 BFADHN CCC(CC)CN(CC)Cc1cc[nH]n1 ZINC000119806189 168350364 /nfs/dbraw/zinc/35/03/64/168350364.db2.gz MTQMLFOHDMDTBN-UHFFFAOYSA-N 0 3 209.337 2.668 20 0 BFADHN CC[C@H](CSC)N[C@H](C)c1cncs1 ZINC000162349668 398220268 /nfs/dbraw/zinc/22/02/68/398220268.db2.gz WABRVZBCAQULLV-RKDXNWHRSA-N 0 3 230.402 2.935 20 0 BFADHN CCC(CC)CN(CC)C(=O)[C@@H](N)CC(C)C ZINC000036199881 168348094 /nfs/dbraw/zinc/34/80/94/168348094.db2.gz XHBKQFRQPSLAHC-ZDUSSCGKSA-N 0 3 242.407 2.645 20 0 BFADHN CCc1ncc(CN(C)C(C)(C)C)s1 ZINC000576400647 365586622 /nfs/dbraw/zinc/58/66/22/365586622.db2.gz ONQUMYHQXPJVBB-UHFFFAOYSA-N 0 3 212.362 2.936 20 0 BFADHN CCC(CC)CN(CC)C(=O)CN(CC)CC ZINC000124956717 168348444 /nfs/dbraw/zinc/34/84/44/168348444.db2.gz VMFBMQXCMRFERO-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN CCC(CC)CN(CC)Cc1c[nH]cn1 ZINC000179462640 168348470 /nfs/dbraw/zinc/34/84/70/168348470.db2.gz IOMSQIGRBAHTEX-UHFFFAOYSA-N 0 3 209.337 2.668 20 0 BFADHN CCC(CC)CN(CC)Cc1cnc[nH]1 ZINC000179462640 168348472 /nfs/dbraw/zinc/34/84/72/168348472.db2.gz IOMSQIGRBAHTEX-UHFFFAOYSA-N 0 3 209.337 2.668 20 0 BFADHN CC(C)(F)CN[C@@H]1CCN(c2ccccc2)C1 ZINC000631189879 398221920 /nfs/dbraw/zinc/22/19/20/398221920.db2.gz UQUSVPRSCNCOQO-GFCCVEGCSA-N 0 3 236.334 2.603 20 0 BFADHN Cc1cc(N[C@@H](C)[C@H](C)CO)c2ccccc2n1 ZINC000077227745 178178276 /nfs/dbraw/zinc/17/82/76/178178276.db2.gz NDUJSJCKVSREKD-PWSUYJOCSA-N 0 3 244.338 2.972 20 0 BFADHN CC(=O)CCN(C)C[C@H](C)c1ccccc1 ZINC000263877372 187331865 /nfs/dbraw/zinc/33/18/65/187331865.db2.gz JLOSGWZAOYLUBG-LBPRGKRZSA-N 0 3 219.328 2.701 20 0 BFADHN CC(C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000267052340 187332349 /nfs/dbraw/zinc/33/23/49/187332349.db2.gz JZJKKZSEKQSTHI-UHFFFAOYSA-N 0 3 245.326 2.922 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1ccoc1)OC ZINC000322946382 259302224 /nfs/dbraw/zinc/30/22/24/259302224.db2.gz QOQYFTSDZJKFFK-JQWIXIFHSA-N 0 3 211.305 2.745 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2C[C@H]2C)C[C@H](CC)O1 ZINC000421387562 192327112 /nfs/dbraw/zinc/32/71/12/192327112.db2.gz IYHSDYSXDDFDBQ-XQHKEYJVSA-N 0 3 211.349 2.532 20 0 BFADHN CCSCCNCc1cc(C)nc(C)c1 ZINC000421388047 192327290 /nfs/dbraw/zinc/32/72/90/192327290.db2.gz SXAZXWPZMMEYQB-UHFFFAOYSA-N 0 3 224.373 2.541 20 0 BFADHN CC[C@@H]1CN(CC(C)(C)COC)C[C@H](CC)O1 ZINC000421394782 192329150 /nfs/dbraw/zinc/32/91/50/192329150.db2.gz TUVDAGNBEXVEFG-BETUJISGSA-N 0 3 243.391 2.548 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CC=CCC2)o1 ZINC000382179567 361769344 /nfs/dbraw/zinc/76/93/44/361769344.db2.gz HNPMMBAFYXJROG-GFCCVEGCSA-N 0 3 220.316 2.737 20 0 BFADHN c1cc(CN2CC[C@@]23CCOC3)cc(C2CC2)c1 ZINC000421401412 192330337 /nfs/dbraw/zinc/33/03/37/192330337.db2.gz LMZKYEBCVULDJQ-INIZCTEOSA-N 0 3 243.350 2.929 20 0 BFADHN c1cc2cccc(CN3CC[C@]34CCOC4)c2[nH]1 ZINC000421400126 192331037 /nfs/dbraw/zinc/33/10/37/192331037.db2.gz RLQOGVZGFJQGSC-OAHLLOKOSA-N 0 3 242.322 2.533 20 0 BFADHN c1cc2cccc(CN3CC[C@@]34CCOC4)c2o1 ZINC000421401963 192331107 /nfs/dbraw/zinc/33/11/07/192331107.db2.gz MFTRBTZSMARIFR-HNNXBMFYSA-N 0 3 243.306 2.798 20 0 BFADHN C[C@H]1CCN(Cc2cccc(C3CC3)c2)C[C@@H]1O ZINC000421359494 192331866 /nfs/dbraw/zinc/33/18/66/192331866.db2.gz WYTOHBAGHFFGAU-LRDDRELGSA-N 0 3 245.366 2.767 20 0 BFADHN Cc1cc([C@@H](C)NCC2(C)CCC2)no1 ZINC000308995123 178221829 /nfs/dbraw/zinc/22/18/29/178221829.db2.gz MHNVTRCEGCNKRW-SNVBAGLBSA-N 0 3 208.305 2.824 20 0 BFADHN Cc1cc([C@@H](C)NCC2CCSCC2)no1 ZINC000309492417 178222396 /nfs/dbraw/zinc/22/23/96/178222396.db2.gz SNQWHEMVBYRUGT-SNVBAGLBSA-N 0 3 240.372 2.777 20 0 BFADHN Cc1cc([C@@H](C)NCC2(CCO)CC2)oc1C ZINC000337421802 178222910 /nfs/dbraw/zinc/22/29/10/178222910.db2.gz FXLQGVAZGAHKAB-LLVKDONJSA-N 0 3 237.343 2.710 20 0 BFADHN Cc1cc([C@@H](C)NC2CCCCC2)nn1C ZINC000309578705 178223151 /nfs/dbraw/zinc/22/31/51/178223151.db2.gz PSQDXPJWOJJPNI-LLVKDONJSA-N 0 3 221.348 2.712 20 0 BFADHN CO[C@H](CN1CC[C@@H]2C[C@@H]2C1)c1ccc(F)cc1 ZINC000625557954 343988746 /nfs/dbraw/zinc/98/87/46/343988746.db2.gz RBTLNNGEMJFRSC-UMVBOHGHSA-N 0 3 249.329 2.855 20 0 BFADHN Cn1nccc1CN1CCC(C(C)(C)C)CC1 ZINC000179804404 259305200 /nfs/dbraw/zinc/30/52/00/259305200.db2.gz XYZXQOUBDZTSMC-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN Cc1cc([C@@H](C)NCCCCCO)oc1C ZINC000282827975 178224520 /nfs/dbraw/zinc/22/45/20/178224520.db2.gz SCFSAEKUVCVVGF-LLVKDONJSA-N 0 3 225.332 2.710 20 0 BFADHN Cc1cc([C@@H](C)NCCOCC(F)F)cs1 ZINC000294184047 178224548 /nfs/dbraw/zinc/22/45/48/178224548.db2.gz KJRGTNAFMGEKGI-SECBINFHSA-N 0 3 249.326 2.989 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CC=CCC2)nn1C ZINC000281953438 178225997 /nfs/dbraw/zinc/22/59/97/178225997.db2.gz ARHKFGDXQNQOLF-CHWSQXEVSA-N 0 3 233.359 2.735 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@]2(C)CCOC2)oc1C ZINC000337506531 178226101 /nfs/dbraw/zinc/22/61/01/178226101.db2.gz FTUXUFFPZHOTRM-BXUZGUMPSA-N 0 3 237.343 2.974 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](CO)C2CC2)c(C)o1 ZINC000353852749 178226412 /nfs/dbraw/zinc/22/64/12/178226412.db2.gz VSQSPRVBLCSZFR-MFKMUULPSA-N 0 3 237.343 2.566 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](O)C(C)C)cs1 ZINC000294291215 178227606 /nfs/dbraw/zinc/22/76/06/178227606.db2.gz UGZNEPVXVRDGMH-PWSUYJOCSA-N 0 3 227.373 2.724 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2CCCOC2)oc1C ZINC000282337649 178229497 /nfs/dbraw/zinc/22/94/97/178229497.db2.gz VBPZHGICYAMUDK-DGCLKSJQSA-N 0 3 237.343 2.974 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](CO)CC(C)C)oc1C ZINC000282130810 178231378 /nfs/dbraw/zinc/23/13/78/178231378.db2.gz KCBPUJVOSJKNAP-DGCLKSJQSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)Cn2ccnc2)oc1C ZINC000282155328 178232352 /nfs/dbraw/zinc/23/23/52/178232352.db2.gz LJIOSRMYAGYCIG-VXGBXAGGSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)CCCO)c(C)o1 ZINC000087358911 178232561 /nfs/dbraw/zinc/23/25/61/178232561.db2.gz RQMNCGSABSWOOV-MWLCHTKSSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCOC2)ccc1F ZINC000130556119 178232707 /nfs/dbraw/zinc/23/27/07/178232707.db2.gz BKJOQSARCGSEOC-PWSUYJOCSA-N 0 3 223.291 2.574 20 0 BFADHN Cc1cc([C@@H](N[C@H]2CCOC2)C2CC2)ccc1F ZINC000271565984 178237442 /nfs/dbraw/zinc/23/74/42/178237442.db2.gz UZCOGFRFFKWPFO-ZFWWWQNUSA-N 0 3 249.329 2.964 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](CO)CC(C)C)c(C)o1 ZINC000040775148 178253181 /nfs/dbraw/zinc/25/31/81/178253181.db2.gz ILGZKLGLDFLRNT-WCQYABFASA-N 0 3 239.359 2.954 20 0 BFADHN Cc1n[nH]cc1CN(C)CC1CCCCC1 ZINC000179937168 259305434 /nfs/dbraw/zinc/30/54/34/259305434.db2.gz ONSNETWDDNSTQM-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN Cc1cc([C@@H]2CCCN2C[Si](C)(C)C)no1 ZINC000364167997 178241902 /nfs/dbraw/zinc/24/19/02/178241902.db2.gz OVWHACFOVKZEPY-LBPRGKRZSA-N 0 3 238.407 2.997 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCCC[C@@H]2O)oc1C ZINC000337483529 178253548 /nfs/dbraw/zinc/25/35/48/178253548.db2.gz RNWUKXCVDKGCAW-UHTWSYAYSA-N 0 3 237.343 2.851 20 0 BFADHN CN(C)CCOc1cccc(-c2ccsn2)c1 ZINC000649430518 398233296 /nfs/dbraw/zinc/23/32/96/398233296.db2.gz DSFZLHWOQORGJO-UHFFFAOYSA-N 0 3 248.351 2.751 20 0 BFADHN CN(C)CCOc1cccc(-c2ccoc2)c1 ZINC000649430863 398233542 /nfs/dbraw/zinc/23/35/42/398233542.db2.gz VPJGQSLHVNJZCZ-UHFFFAOYSA-N 0 3 231.295 2.887 20 0 BFADHN Cc1cc([C@H](C)NCCC2CCCC2)nn1C ZINC000337392401 178246363 /nfs/dbraw/zinc/24/63/63/178246363.db2.gz BAGOGQYWBBLABJ-LBPRGKRZSA-N 0 3 235.375 2.959 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](CO)CC(C)C)oc1C ZINC000282130813 178253764 /nfs/dbraw/zinc/25/37/64/178253764.db2.gz KCBPUJVOSJKNAP-WCQYABFASA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)NCC2CCCC2)nn1C ZINC000309600175 178246557 /nfs/dbraw/zinc/24/65/57/178246557.db2.gz XLRSDSRVGCVQQL-NSHDSACASA-N 0 3 221.348 2.569 20 0 BFADHN Cc1cc([C@H](C)NCCC[C@H](C)CO)oc1C ZINC000282353276 178247397 /nfs/dbraw/zinc/24/73/97/178247397.db2.gz VVBWQPJDVXFKBA-JQWIXIFHSA-N 0 3 239.359 2.956 20 0 BFADHN Cc1cc([C@H](C)NCCOC(C)C)oc1C ZINC000282840004 178248140 /nfs/dbraw/zinc/24/81/40/178248140.db2.gz SQVBCYFCEKNETK-NSHDSACASA-N 0 3 225.332 2.972 20 0 BFADHN Cc1cc([C@H](C)NCCOCC(F)F)oc1C ZINC000282807894 178248400 /nfs/dbraw/zinc/24/84/00/178248400.db2.gz RDKUEZPPASUIQQ-VIFPVBQESA-N 0 3 247.285 2.829 20 0 BFADHN Cc1cc([C@H](C)NCC[C@@H]2CCOC2)oc1C ZINC000337492536 178248561 /nfs/dbraw/zinc/24/85/61/178248561.db2.gz XBVSEVVGLWKELQ-WCQYABFASA-N 0 3 237.343 2.974 20 0 BFADHN Cc1cc([C@H](C)NC[C@](C)(O)C(C)C)oc1C ZINC000337517589 178251200 /nfs/dbraw/zinc/25/12/00/178251200.db2.gz MUBKWNAGGGFUOS-FZMZJTMJSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)CCCO)c(C)o1 ZINC000087358910 178252328 /nfs/dbraw/zinc/25/23/28/178252328.db2.gz RQMNCGSABSWOOV-ONGXEEELSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@H](C)NCc2[nH]ncc2C)c(C)o1 ZINC000340568659 178252334 /nfs/dbraw/zinc/25/23/34/178252334.db2.gz GVCROTXXXLGUQS-JTQLQIEISA-N 0 3 233.315 2.779 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)C[C@H](C)O)oc1C ZINC000309949185 178252348 /nfs/dbraw/zinc/25/23/48/178252348.db2.gz FKHFNKLKUXAYKO-DCAQKATOSA-N 0 3 225.332 2.706 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCN(C3CC3)C2)oc1C ZINC000337403458 178253584 /nfs/dbraw/zinc/25/35/84/178253584.db2.gz QXEOXQGUBYCRLC-WCQYABFASA-N 0 3 248.370 2.784 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCN(C3CC3)C2)c(C)o1 ZINC000044685594 178254588 /nfs/dbraw/zinc/25/45/88/178254588.db2.gz DZCZYPFPWHXESS-WCQYABFASA-N 0 3 248.370 2.784 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)CC2CC2)nn1C ZINC000336745097 178255481 /nfs/dbraw/zinc/25/54/81/178255481.db2.gz QOILNLXACXTAND-KOLCDFICSA-N 0 3 221.348 2.568 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)C[C@@H](C)O)oc1C ZINC000309949186 178255660 /nfs/dbraw/zinc/25/56/60/178255660.db2.gz FKHFNKLKUXAYKO-MXWKQRLJSA-N 0 3 225.332 2.706 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC[C@@H](C)C2)no1 ZINC000336754543 178255866 /nfs/dbraw/zinc/25/58/66/178255866.db2.gz IHGAAFGPXDFVKD-DVVUODLYSA-N 0 3 208.305 2.822 20 0 BFADHN COc1ccsc1CNC1CC=CC1 ZINC000166735461 538939762 /nfs/dbraw/zinc/93/97/62/538939762.db2.gz YKBITOIFSYALDS-UHFFFAOYSA-N 0 3 209.314 2.565 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CC[C@@H](C)C2)no1 ZINC000336754547 178257200 /nfs/dbraw/zinc/25/72/00/178257200.db2.gz IHGAAFGPXDFVKD-MIMYLULJSA-N 0 3 208.305 2.822 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCCOC2)c(C)o1 ZINC000071011906 178257788 /nfs/dbraw/zinc/25/77/88/178257788.db2.gz GQKZWSJFPGNKLR-JQWIXIFHSA-N 0 3 223.316 2.726 20 0 BFADHN Cc1cc([C@H](C)N[C@H](CO)C(C)C)oc1C ZINC000282199137 178258407 /nfs/dbraw/zinc/25/84/07/178258407.db2.gz NWEDXXKTCJLOBO-CMPLNLGQSA-N 0 3 225.332 2.564 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CC[C@H](C)C2)nn1C ZINC000336727659 178259612 /nfs/dbraw/zinc/25/96/12/178259612.db2.gz CCLQFCHRNYPSRO-DLOVCJGASA-N 0 3 221.348 2.568 20 0 BFADHN CCC(CC)CNCc1ccc(F)cn1 ZINC000309173445 168361033 /nfs/dbraw/zinc/36/10/33/168361033.db2.gz LWVQRRYRUILXDB-UHFFFAOYSA-N 0 3 210.296 2.747 20 0 BFADHN COc1ncncc1CNCCC1CCCCC1 ZINC000647170332 398241323 /nfs/dbraw/zinc/24/13/23/398241323.db2.gz WCWGCOOAZXBQRQ-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN C[C@H](Cc1cccs1)N[C@@H](C)c1ncc[nH]1 ZINC000285640408 538941754 /nfs/dbraw/zinc/94/17/54/538941754.db2.gz LSXFFDXDPULOFF-ZJUUUORDSA-N 0 3 235.356 2.753 20 0 BFADHN CCC(CC)CN[C@@H]1C[C@H](C)n2ccnc21 ZINC000345345116 168363033 /nfs/dbraw/zinc/36/30/33/168363033.db2.gz BUZOHRTYTBOYKG-CMPLNLGQSA-N 0 3 221.348 2.915 20 0 BFADHN C[C@@]1(O)CCCN(Cc2cccc(F)c2)CC1 ZINC000230375760 136228398 /nfs/dbraw/zinc/22/83/98/136228398.db2.gz MXZYQEXWKCKYOS-CQSZACIVSA-N 0 3 237.318 2.563 20 0 BFADHN CCC(CC)CN[C@H]1C[C@@H](C)n2ccnc21 ZINC000345345118 168364489 /nfs/dbraw/zinc/36/44/89/168364489.db2.gz BUZOHRTYTBOYKG-PWSUYJOCSA-N 0 3 221.348 2.915 20 0 BFADHN CCC(CC)CNCc1csc(COC)n1 ZINC000164529724 168363673 /nfs/dbraw/zinc/36/36/73/168363673.db2.gz DLLZHTQYTBDYMB-UHFFFAOYSA-N 0 3 242.388 2.815 20 0 BFADHN Cc1oncc1-c1ccc(OCCN(C)C)cc1 ZINC000649440362 398244746 /nfs/dbraw/zinc/24/47/46/398244746.db2.gz IFBVOLMINMPVMQ-UHFFFAOYSA-N 0 3 246.310 2.590 20 0 BFADHN CC[C@H](C)C[C@@H](C)N[C@H](C)c1ncc[nH]1 ZINC000286066571 538943061 /nfs/dbraw/zinc/94/30/61/538943061.db2.gz KXHCCVJNIJYDAT-HBNTYKKESA-N 0 3 209.337 2.885 20 0 BFADHN Cc1ncncc1[C@@H](C)NC[C@H](C)C(F)(F)F ZINC000576597451 365635864 /nfs/dbraw/zinc/63/58/64/365635864.db2.gz SXDYLJDROPWVAT-JGVFFNPUSA-N 0 3 247.264 2.634 20 0 BFADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2N[C@H]1CCOC1 ZINC000104052021 178293251 /nfs/dbraw/zinc/29/32/51/178293251.db2.gz ARLZCDARIOYUDV-WCQYABFASA-N 0 3 249.354 2.980 20 0 BFADHN Fc1ccc2c(c1)[C@H](N[C@H]1CCCOC1)CC2 ZINC000287381983 538945154 /nfs/dbraw/zinc/94/51/54/538945154.db2.gz POIVNKHWNLXZBA-GXTWGEPZSA-N 0 3 235.302 2.582 20 0 BFADHN c1ccc2c(CNC[C@@H]3CCSC3)noc2c1 ZINC000580902289 398250840 /nfs/dbraw/zinc/25/08/40/398250840.db2.gz HTZOJKIWMCSQNK-JTQLQIEISA-N 0 3 248.351 2.671 20 0 BFADHN CC[C@H]1CCN(Cc2c(C)nc3ccccn32)C1 ZINC000162009977 349537250 /nfs/dbraw/zinc/53/72/50/349537250.db2.gz PRFFAHBYLYBOCH-ZDUSSCGKSA-N 0 3 243.354 2.875 20 0 BFADHN CCn1cncc1CN(C)CC1CCCCC1 ZINC000417694053 398251729 /nfs/dbraw/zinc/25/17/29/398251729.db2.gz COXYSSBIJJQTMR-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN C[C@H](NCCCNc1ccccc1)c1ncc[nH]1 ZINC000286267470 538944129 /nfs/dbraw/zinc/94/41/29/538944129.db2.gz VFUFVOBYXWLPSJ-LBPRGKRZSA-N 0 3 244.342 2.563 20 0 BFADHN CC[C@@H](CN[C@H]1CCc2ccc(F)cc21)OC ZINC000290227131 538946301 /nfs/dbraw/zinc/94/63/01/538946301.db2.gz RJUBWTJYZMZJKK-JSGCOSHPSA-N 0 3 237.318 2.828 20 0 BFADHN CC[C@@H](N)C(=O)N(C)[C@@H](C)c1ccc(C)cc1C ZINC000576704246 365664890 /nfs/dbraw/zinc/66/48/90/365664890.db2.gz DJVARMBVSQLVMG-GXTWGEPZSA-N 0 3 248.370 2.560 20 0 BFADHN Cc1ccc(-c2noc([C@@H]3CCN(C)C3)n2)s1 ZINC000335157592 178344066 /nfs/dbraw/zinc/34/40/66/178344066.db2.gz UXTNJTMCWDSOEH-SECBINFHSA-N 0 3 249.339 2.526 20 0 BFADHN Fc1ccc2c(c1)[C@H](N[C@@H]1CCCOC1)CC2 ZINC000290343506 538946854 /nfs/dbraw/zinc/94/68/54/538946854.db2.gz POIVNKHWNLXZBA-TZMCWYRMSA-N 0 3 235.302 2.582 20 0 BFADHN F[C@@H]1CCCC[C@H]1NCc1ccc2[nH]cnc2c1 ZINC000576723629 365670466 /nfs/dbraw/zinc/67/04/66/365670466.db2.gz XCYYMFSLHDEXPN-VXGBXAGGSA-N 0 3 247.317 2.933 20 0 BFADHN F[C@@H]1CCCC[C@H]1NCc1ccc2nc[nH]c2c1 ZINC000576723629 365670470 /nfs/dbraw/zinc/67/04/70/365670470.db2.gz XCYYMFSLHDEXPN-VXGBXAGGSA-N 0 3 247.317 2.933 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](C)O[C@@H]1C)c1ccsc1 ZINC000385233196 398261958 /nfs/dbraw/zinc/26/19/58/398261958.db2.gz UOEVIHZIWRLTDK-BFLSOPEQSA-N 0 3 225.357 2.965 20 0 BFADHN CCC[C@@H](CNCc1ccc(F)cc1F)OC ZINC000378738757 346819438 /nfs/dbraw/zinc/81/94/38/346819438.db2.gz HWHUZVCJOHFASN-LBPRGKRZSA-N 0 3 243.297 2.870 20 0 BFADHN CCC(CC)N(CC)Cc1cc(C)no1 ZINC000289562964 168371406 /nfs/dbraw/zinc/37/14/06/168371406.db2.gz XXKJXKDUORGMOV-UHFFFAOYSA-N 0 3 210.321 2.994 20 0 BFADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H]1C[C@H]1C ZINC000177307832 538951628 /nfs/dbraw/zinc/95/16/28/538951628.db2.gz IMLLHWHAGFBNOU-YPMHNXCESA-N 0 3 246.354 2.651 20 0 BFADHN Cc1cc(CN2C[C@H](C)CCC[C@@H]2C)n(C)n1 ZINC000417780788 398267528 /nfs/dbraw/zinc/26/75/28/398267528.db2.gz WBVGUSHAEDSTNP-YPMHNXCESA-N 0 3 235.375 2.739 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@@H]2C(F)F)cc1 ZINC000378777348 346829874 /nfs/dbraw/zinc/82/98/74/346829874.db2.gz HZLXTBISXYPZDM-RYUDHWBXSA-N 0 3 225.282 2.992 20 0 BFADHN Cc1oncc1CN(C)C1CCCCC1 ZINC000335143516 136233431 /nfs/dbraw/zinc/23/34/31/136233431.db2.gz JLWFZSZALKNUBT-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN CCc1nn(C)cc1CN1C[C@@H](C)CC[C@@H]1C ZINC000180310633 538957825 /nfs/dbraw/zinc/95/78/25/538957825.db2.gz IMCPUEZKKOYOQJ-RYUDHWBXSA-N 0 3 235.375 2.603 20 0 BFADHN CC1(O)CCN(Cc2coc3ccccc23)CC1 ZINC000179723119 538955835 /nfs/dbraw/zinc/95/58/35/538955835.db2.gz MJGRVFIFPFWVOP-UHFFFAOYSA-N 0 3 245.322 2.780 20 0 BFADHN CC[C@@H](CSC)NCc1cccc(F)c1N ZINC000602582145 349610359 /nfs/dbraw/zinc/61/03/59/349610359.db2.gz LRMQGJMTPCOPAK-JTQLQIEISA-N 0 3 242.363 2.639 20 0 BFADHN CC[C@@H](CSC)NCc1cnc(C2CC2)o1 ZINC000600136315 342688865 /nfs/dbraw/zinc/68/88/65/342688865.db2.gz UKXSABQOGVPFNS-JTQLQIEISA-N 0 3 240.372 2.783 20 0 BFADHN Cc1cncc(CCN(C)Cc2ccsc2)n1 ZINC000649478713 398276315 /nfs/dbraw/zinc/27/63/15/398276315.db2.gz MWHXUVJVXNBJOU-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN OCC1(CNc2ccnc3ccccc32)CCC1 ZINC000182344175 538962643 /nfs/dbraw/zinc/96/26/43/538962643.db2.gz LOBGNKDNOPOSSW-UHFFFAOYSA-N 0 3 242.322 2.809 20 0 BFADHN CCSCC[C@@H](C)N[C@@H](C)c1ncc[nH]1 ZINC000285527173 342694679 /nfs/dbraw/zinc/69/46/79/342694679.db2.gz BLMJIVPRLRHWIK-ZJUUUORDSA-N 0 3 227.377 2.592 20 0 BFADHN c1cc(CN[C@H]2CCC[C@@H](C3CC3)C2)on1 ZINC000231885522 342741530 /nfs/dbraw/zinc/74/15/30/342741530.db2.gz AMUWFWUNTQFMCX-NEPJUHHUSA-N 0 3 220.316 2.733 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CNCc2ccno2)C1 ZINC000231885260 342741559 /nfs/dbraw/zinc/74/15/59/342741559.db2.gz LVVXCWTUTXTYCK-PWSUYJOCSA-N 0 3 220.316 2.757 20 0 BFADHN C[C@H]1C[C@H](NCc2ccno2)CC(C)(C)C1 ZINC000231883320 342742843 /nfs/dbraw/zinc/74/28/43/342742843.db2.gz GSXIJURSTYJZBF-QWRGUYRKSA-N 0 3 222.332 2.979 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2ccno2)C1 ZINC000231882972 342742897 /nfs/dbraw/zinc/74/28/97/342742897.db2.gz LCOGXJMDGXMMLX-WDEREUQCSA-N 0 3 208.305 2.733 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(C)c(Cl)c1 ZINC000381783839 346859970 /nfs/dbraw/zinc/85/99/70/346859970.db2.gz YIWZDCTWJXDNGF-QWHCGFSZSA-N 0 3 239.746 2.915 20 0 BFADHN CC[C@@H](N)C(=O)N(C)[C@H](C)c1cc(C)ccc1C ZINC000583935532 342728566 /nfs/dbraw/zinc/72/85/66/342728566.db2.gz YOYXOWCPPBVCEY-TZMCWYRMSA-N 0 3 248.370 2.560 20 0 BFADHN CCC(CC)NC(=O)[C@H](C)[C@H](N)c1ccccc1 ZINC000131609489 168384913 /nfs/dbraw/zinc/38/49/13/168384913.db2.gz MXHRPCIAVGVOBJ-RISCZKNCSA-N 0 3 248.370 2.627 20 0 BFADHN CCCCOCCNCc1sccc1C ZINC000040506067 344002456 /nfs/dbraw/zinc/00/24/56/344002456.db2.gz CNTBRRXJCAATHR-UHFFFAOYSA-N 0 3 227.373 2.963 20 0 BFADHN Fc1cnccc1CNCC1(C2CC2)CC1 ZINC000449198367 398282720 /nfs/dbraw/zinc/28/27/20/398282720.db2.gz BITJWXWTORJBSA-UHFFFAOYSA-N 0 3 220.291 2.501 20 0 BFADHN CCN(Cc1cc2n(n1)CCCC2)CC(C)C ZINC000649486276 398282991 /nfs/dbraw/zinc/28/29/91/398282991.db2.gz DYJVWIALUWDXDH-UHFFFAOYSA-N 0 3 235.375 2.697 20 0 BFADHN CCOCCCN(Cc1ccccn1)C(C)C ZINC000607727212 342782522 /nfs/dbraw/zinc/78/25/22/342782522.db2.gz OPMCQVTZYRMWHV-UHFFFAOYSA-N 0 3 236.359 2.719 20 0 BFADHN CCC(CC)NCc1cc(OC)cc(C)n1 ZINC000125953083 168390265 /nfs/dbraw/zinc/39/02/65/168390265.db2.gz RBMWVEQGLKDFNO-UHFFFAOYSA-N 0 3 222.332 2.677 20 0 BFADHN CCCc1ncc(CN[C@@H]2CS[C@H](C)C2)o1 ZINC000639254235 398285868 /nfs/dbraw/zinc/28/58/68/398285868.db2.gz WFFBUXGIZROELD-ZJUUUORDSA-N 0 3 240.372 2.611 20 0 BFADHN C[C@@H](NC[C@@H]1CC(C)(C)CO1)c1cccnc1 ZINC000634582015 342797706 /nfs/dbraw/zinc/79/77/06/342797706.db2.gz IXVKZAKUMOWUMJ-YPMHNXCESA-N 0 3 234.343 2.547 20 0 BFADHN CCC(CC)N[C@H](C)c1cc(C)n(C)n1 ZINC000282162732 168392656 /nfs/dbraw/zinc/39/26/56/168392656.db2.gz LTTQHDQMSXMLOA-SNVBAGLBSA-N 0 3 209.337 2.568 20 0 BFADHN Cc1ccc(C)c(-c2ccc([C@H](N)CO)cc2)c1 ZINC000630050272 361913791 /nfs/dbraw/zinc/91/37/91/361913791.db2.gz AIQOQURPUUUTOH-MRXNPFEDSA-N 0 3 241.334 2.963 20 0 BFADHN CCC(CC)N[C@H](CC)c1ccn(C)n1 ZINC000336773315 168393098 /nfs/dbraw/zinc/39/30/98/168393098.db2.gz YMRCHYDSRCTSSY-LLVKDONJSA-N 0 3 209.337 2.649 20 0 BFADHN COc1ncncc1CN[C@@H]1CCC[C@H](C)CC1 ZINC000647204727 398288687 /nfs/dbraw/zinc/28/86/87/398288687.db2.gz HSAUJLSDRLWFLV-WCQYABFASA-N 0 3 249.358 2.544 20 0 BFADHN CCc1cc(N(C)CC(O)(CC)CC)ccn1 ZINC000624716036 342821418 /nfs/dbraw/zinc/82/14/18/342821418.db2.gz BDMBCJIGQVGQSP-UHFFFAOYSA-N 0 3 236.359 2.631 20 0 BFADHN CO[C@H]1C[C@H](Nc2ccnc3ccc(C)cc32)C1 ZINC000624730440 342823387 /nfs/dbraw/zinc/82/33/87/342823387.db2.gz HTWBYCMXASSASJ-HAQNSBGRSA-N 0 3 242.322 2.554 20 0 BFADHN CCC[C@@H](C)CNCc1csc(C)n1 ZINC000041010443 538974110 /nfs/dbraw/zinc/97/41/10/538974110.db2.gz WBMVUKYKAFLJRS-SECBINFHSA-N 0 3 212.362 2.977 20 0 BFADHN CC(C)OCCN[C@@H]1CCc2ccc(F)cc21 ZINC000186636064 538974182 /nfs/dbraw/zinc/97/41/82/538974182.db2.gz GLQTWTOBCKRPNJ-CQSZACIVSA-N 0 3 237.318 2.828 20 0 BFADHN CC[C@]1(NCc2cc(F)ccc2C)CCOC1 ZINC000378762862 538974212 /nfs/dbraw/zinc/97/42/12/538974212.db2.gz WOZBYGGBOFMZSA-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN CCOc1ccc(CN[C@]2(CC)CCOC2)cc1 ZINC000378832417 538974408 /nfs/dbraw/zinc/97/44/08/538974408.db2.gz SQGCOKBACAIAFV-OAHLLOKOSA-N 0 3 249.354 2.744 20 0 BFADHN CC[C@]1(NCc2cc(C)ccc2OC)CCOC1 ZINC000378816068 538974651 /nfs/dbraw/zinc/97/46/51/538974651.db2.gz KBLKFJJXQXITCG-HNNXBMFYSA-N 0 3 249.354 2.662 20 0 BFADHN CC1(CCNCc2ccncc2F)CC1 ZINC000449294820 398289997 /nfs/dbraw/zinc/28/99/97/398289997.db2.gz NMINXLVMUKWVST-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN CC(C)[C@@](C)(O)CNCc1cscc1Cl ZINC000380116295 538985613 /nfs/dbraw/zinc/98/56/13/538985613.db2.gz PMZZSMRDMXYCKV-NSHDSACASA-N 0 3 247.791 2.898 20 0 BFADHN C[C@H](CO)CCCNCc1cscc1Cl ZINC000380225085 538987640 /nfs/dbraw/zinc/98/76/40/538987640.db2.gz KAAORJJYOVMNTA-VIFPVBQESA-N 0 3 247.791 2.900 20 0 BFADHN C[C@@H]1Cc2ccccc2[C@H]1NC1CSC1 ZINC000380255357 538987918 /nfs/dbraw/zinc/98/79/18/538987918.db2.gz QEADEMNMJOOPPZ-RNCFNFMXSA-N 0 3 219.353 2.625 20 0 BFADHN COc1cccc(CN[C@@H]2CC[C@@H]2C)c1F ZINC000380657834 538991891 /nfs/dbraw/zinc/99/18/91/538991891.db2.gz USYFIIZFORDPGP-GXSJLCMTSA-N 0 3 223.291 2.722 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1cc2n(n1)CCCC2 ZINC000649496616 398291067 /nfs/dbraw/zinc/29/10/67/398291067.db2.gz DEZZRRWYLJHNSI-OAHLLOKOSA-N 0 3 247.386 2.840 20 0 BFADHN C[C@@H](CN1CC2(CC2)C1)c1ccccc1 ZINC000449371128 398292121 /nfs/dbraw/zinc/29/21/21/398292121.db2.gz QOUCIMOJNYDVNV-LBPRGKRZSA-N 0 3 201.313 2.886 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC[C@@H]2C)on1 ZINC000380996208 538993896 /nfs/dbraw/zinc/99/38/96/538993896.db2.gz MRNPWKPTFRPYMJ-JQWIXIFHSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1nnc(CN[C@H]2CCCCC[C@H]2C)s1 ZINC000381526362 539003464 /nfs/dbraw/zinc/00/34/64/539003464.db2.gz WWMWASLRYUUGIX-KOLCDFICSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1nc(C)c(CNC(C2CC2)C2CC2)o1 ZINC000381602064 539004673 /nfs/dbraw/zinc/00/46/73/539004673.db2.gz OFHYDAIYSQKRAA-UHFFFAOYSA-N 0 3 220.316 2.570 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](C)C(C)(C)O)o1 ZINC000381621577 539005441 /nfs/dbraw/zinc/00/54/41/539005441.db2.gz AGBZRVOXVQEQAJ-ZJUUUORDSA-N 0 3 225.332 2.652 20 0 BFADHN Cc1ncc([C@@H](C)NCCOC(C)(C)C)s1 ZINC000381770247 539007334 /nfs/dbraw/zinc/00/73/34/539007334.db2.gz WCVYTDIAQDSIKW-SECBINFHSA-N 0 3 242.388 2.917 20 0 BFADHN CC[C@]1(NCc2ccc(C)c(F)c2)CCOC1 ZINC000383234961 539011459 /nfs/dbraw/zinc/01/14/59/539011459.db2.gz UECZLJDHQCXXEZ-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN CC[C@@]1(NCc2ccc(C)c(F)c2)CCOC1 ZINC000383234972 539011762 /nfs/dbraw/zinc/01/17/62/539011762.db2.gz UECZLJDHQCXXEZ-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1cc(CNC[C@@H](C)C(C)(C)C)ncn1 ZINC000381234494 538996731 /nfs/dbraw/zinc/99/67/31/538996731.db2.gz LPHXNIQQZFQTGR-SNVBAGLBSA-N 0 3 221.348 2.557 20 0 BFADHN Cc1csc([C@@H](C)N[C@H](C)CCCCO)n1 ZINC000381235035 538996793 /nfs/dbraw/zinc/99/67/93/538996793.db2.gz LTBLBHMRCPEELS-MWLCHTKSSA-N 0 3 242.388 2.653 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CCCCO1)c1ccoc1 ZINC000381332264 538998080 /nfs/dbraw/zinc/99/80/80/538998080.db2.gz UGUWKRMRWPTURT-GVXVVHGQSA-N 0 3 223.316 2.888 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@@H]1NCc1cocn1 ZINC000381428214 539000269 /nfs/dbraw/zinc/00/02/69/539000269.db2.gz ZPTNYCCJMWUHTR-LOWVWBTDSA-N 0 3 222.332 2.979 20 0 BFADHN CCc1ncc(CN[C@H]2CCC(F)(F)C2)s1 ZINC000390493563 539022845 /nfs/dbraw/zinc/02/28/45/539022845.db2.gz RCQIBOKAMDMJQB-QMMMGPOBSA-N 0 3 246.326 2.983 20 0 BFADHN CC[C@@]1(NCc2ccccc2Cl)CCOC1 ZINC000390629680 539026640 /nfs/dbraw/zinc/02/66/40/539026640.db2.gz KTBMADQKJDRICW-CYBMUJFWSA-N 0 3 239.746 2.999 20 0 BFADHN CC[C@H]1CCCCCN1Cc1ccc(C)nn1 ZINC000335633193 136241519 /nfs/dbraw/zinc/24/15/19/136241519.db2.gz LAVJKAYZYJOMHL-AWEZNQCLSA-N 0 3 233.359 2.940 20 0 BFADHN Cc1cc(CN2CCC[C@@H](CO)[C@@H]2C)cs1 ZINC000335633184 136241682 /nfs/dbraw/zinc/24/16/82/136241682.db2.gz KTIHUEWSJPXYOG-AAEUAGOBSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@H]1CCCCC[C@H]1NCc1cocn1 ZINC000389615176 539016597 /nfs/dbraw/zinc/01/65/97/539016597.db2.gz GFAHQTWBGSOXMY-CMPLNLGQSA-N 0 3 208.305 2.733 20 0 BFADHN Cc1cn[nH]c1CN[C@H](C)c1ccsc1 ZINC000389596197 539016613 /nfs/dbraw/zinc/01/66/13/539016613.db2.gz PPMRLWVLTQPCRF-SECBINFHSA-N 0 3 221.329 2.630 20 0 BFADHN C[C@@H](N[C@@H](CO)CC1CC1)c1ccsc1 ZINC000389889332 539018424 /nfs/dbraw/zinc/01/84/24/539018424.db2.gz UUSQBPLMWPKQFV-BXKDBHETSA-N 0 3 225.357 2.560 20 0 BFADHN c1cc(C2CC2)ccc1CNC1CSC1 ZINC000389986586 539019274 /nfs/dbraw/zinc/01/92/74/539019274.db2.gz MLCHHYWHHFTFFO-UHFFFAOYSA-N 0 3 219.353 2.769 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000624199944 342846110 /nfs/dbraw/zinc/84/61/10/342846110.db2.gz BBKROMKMUUGEMI-XPCVCDNBSA-N 0 3 247.386 2.990 20 0 BFADHN CCO[C@H](CN(C)Cc1ccsc1)C1CC1 ZINC000625057843 342846981 /nfs/dbraw/zinc/84/69/81/342846981.db2.gz PGDTWXXKXWQFMU-CYBMUJFWSA-N 0 3 239.384 2.995 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@H]2CCCOC2)o1 ZINC000252385285 398301550 /nfs/dbraw/zinc/30/15/50/398301550.db2.gz GBOLIUIOZYHPFJ-BZPMIXESSA-N 0 3 249.354 2.919 20 0 BFADHN CCCc1ccc(-c2cc(CN)ncn2)cc1 ZINC000259109685 342852463 /nfs/dbraw/zinc/85/24/63/342852463.db2.gz QUKXKBBZBBNUGT-UHFFFAOYSA-N 0 3 227.311 2.555 20 0 BFADHN CCCC(C)(C)NCc1cc2n(n1)CCCC2 ZINC000649508415 398303575 /nfs/dbraw/zinc/30/35/75/398303575.db2.gz UGKLBQHTTHOKBE-UHFFFAOYSA-N 0 3 235.375 2.888 20 0 BFADHN CCCc1ncc(CN[C@H]2CC[C@H]2C2CC2)o1 ZINC000449612695 398303600 /nfs/dbraw/zinc/30/36/00/398303600.db2.gz NYPLGUXBGGMOAZ-STQMWFEESA-N 0 3 234.343 2.905 20 0 BFADHN Cc1occc1CN[C@H](C)[C@@]1(C)CCCO1 ZINC000382107675 398305182 /nfs/dbraw/zinc/30/51/82/398305182.db2.gz JBQFEOUDGZUHKE-DGCLKSJQSA-N 0 3 223.316 2.635 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccsc1)c1cccnc1 ZINC000092751366 398305759 /nfs/dbraw/zinc/30/57/59/398305759.db2.gz CIUGUSOSEZWJHK-MFKMUULPSA-N 0 3 248.351 2.527 20 0 BFADHN COc1cccnc1CN[C@H]1CC[C@H]1C(C)C ZINC000449745078 398307467 /nfs/dbraw/zinc/30/74/67/398307467.db2.gz AVNFNMPAPBZUKG-RYUDHWBXSA-N 0 3 234.343 2.614 20 0 BFADHN CC[C@H](CSC)N[C@@H](C)c1c[nH]nc1C ZINC000134598530 342872914 /nfs/dbraw/zinc/87/29/14/342872914.db2.gz LWKWGGSEHRVZCV-WCBMZHEXSA-N 0 3 227.377 2.510 20 0 BFADHN FC(F)c1ccc(CN[C@H]2CCSC2)cn1 ZINC000631086091 342876375 /nfs/dbraw/zinc/87/63/75/342876375.db2.gz YMQGVKRHQATHSZ-VIFPVBQESA-N 0 3 244.310 2.614 20 0 BFADHN Cc1cc(CN(C)CCN(C)C)ccc1Cl ZINC000348896126 136243632 /nfs/dbraw/zinc/24/36/32/136243632.db2.gz SSJBARUUFKVALK-UHFFFAOYSA-N 0 3 240.778 2.642 20 0 BFADHN CCC[C@@H](C)CCCN1CCc2c(ncn2C)C1 ZINC000625149811 342895531 /nfs/dbraw/zinc/89/55/31/342895531.db2.gz LVLBGQLHXSTDPZ-CYBMUJFWSA-N 0 3 249.402 2.995 20 0 BFADHN CCCCN(CCCC)Cc1cnccn1 ZINC000576802300 365688299 /nfs/dbraw/zinc/68/82/99/365688299.db2.gz RCKTYHQYWNBOQV-UHFFFAOYSA-N 0 3 221.348 2.879 20 0 BFADHN COc1ccsc1CN1CC[C@@H]1C1CC1 ZINC000449431068 398312373 /nfs/dbraw/zinc/31/23/73/398312373.db2.gz ZDNUSVKYNTZIKN-SNVBAGLBSA-N 0 3 223.341 2.741 20 0 BFADHN OCc1ccc(F)c(CN2CCC[C@H]2C2CC2)c1 ZINC000625136485 342887341 /nfs/dbraw/zinc/88/73/41/342887341.db2.gz RTEALZMQINBEQY-HNNXBMFYSA-N 0 3 249.329 2.692 20 0 BFADHN CC[C@H]1CCCN1Cc1cc(CO)ccc1F ZINC000625137359 342888014 /nfs/dbraw/zinc/88/80/14/342888014.db2.gz AXGLDYHSBKVOBN-ZDUSSCGKSA-N 0 3 237.318 2.692 20 0 BFADHN C[C@@H](N[C@H]1CC2CCC1CC2)c1nccn1C ZINC000334754795 398313470 /nfs/dbraw/zinc/31/34/70/398313470.db2.gz RGMNACJTKVBHQR-XVSSEFHLSA-N 0 3 233.359 2.649 20 0 BFADHN CCC[C@@H](C)[C@@H](CC)NCc1nccn1C ZINC000167250430 136245029 /nfs/dbraw/zinc/24/50/29/136245029.db2.gz MFKLLZOHEISBAJ-VXGBXAGGSA-N 0 3 223.364 2.725 20 0 BFADHN CN(C)Cc1cccc(NC(=O)C2CCCC2)c1 ZINC000047452754 342921724 /nfs/dbraw/zinc/92/17/24/342921724.db2.gz JDIMHXUCTAGVLR-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CCC[C@@H](NCCSC)c1cccnc1 ZINC000134907728 342927231 /nfs/dbraw/zinc/92/72/31/342927231.db2.gz OMNFADYKBNDTEW-GFCCVEGCSA-N 0 3 224.373 2.875 20 0 BFADHN CCC[C@H](NCCSC)c1cccnc1 ZINC000134907712 342927451 /nfs/dbraw/zinc/92/74/51/342927451.db2.gz OMNFADYKBNDTEW-LBPRGKRZSA-N 0 3 224.373 2.875 20 0 BFADHN CCc1ccc(CN2CC[C@H](O)C[C@@H]2C)s1 ZINC000562386475 323018824 /nfs/dbraw/zinc/01/88/24/323018824.db2.gz QGCXJZPSNGZRRI-QWRGUYRKSA-N 0 3 239.384 2.656 20 0 BFADHN CCCN(C)Cc1cnn(Cc2ccccc2)c1 ZINC000047703274 342917637 /nfs/dbraw/zinc/91/76/37/342917637.db2.gz HVVILUDODRVSCF-UHFFFAOYSA-N 0 3 243.354 2.773 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@@H]1CO[C@H](C)C1 ZINC000401937379 259328963 /nfs/dbraw/zinc/32/89/63/259328963.db2.gz CRZCWWDBZVOMMR-AGIUHOORSA-N 0 3 249.354 2.832 20 0 BFADHN Cc1cc(Cl)cc(CN(C)CC[C@H](C)O)c1 ZINC000351619836 136248057 /nfs/dbraw/zinc/24/80/57/136248057.db2.gz LJWPVZPGPOQGDL-NSHDSACASA-N 0 3 241.762 2.851 20 0 BFADHN CC1(C)CCN(C[C@@H](O)c2ccc(F)cc2)C1 ZINC000174250583 346879056 /nfs/dbraw/zinc/87/90/56/346879056.db2.gz ZRQGCTNRZLUHBU-CYBMUJFWSA-N 0 3 237.318 2.591 20 0 BFADHN CCc1nc(CN[C@H]2CC[C@@H]2C)cs1 ZINC000308549075 346882899 /nfs/dbraw/zinc/88/28/99/346882899.db2.gz QTQAOICNUJWWKR-WPRPVWTQSA-N 0 3 210.346 2.594 20 0 BFADHN CCSCCCNCc1cc(C2CC2)no1 ZINC000602654678 349660907 /nfs/dbraw/zinc/66/09/07/349660907.db2.gz XAAHZCXDWDPWHX-UHFFFAOYSA-N 0 3 240.372 2.785 20 0 BFADHN Cc1cc(Cl)cc(CN2CC[C@](C)(O)C2)c1 ZINC000351722665 136249566 /nfs/dbraw/zinc/24/95/66/136249566.db2.gz WYWHKBWNPYZHBT-ZDUSSCGKSA-N 0 3 239.746 2.605 20 0 BFADHN Fc1ccc(CNCCc2cccnc2)c(F)c1 ZINC000037502574 398330121 /nfs/dbraw/zinc/33/01/21/398330121.db2.gz SBBPTIQAYABDFD-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc3c(c2)CCCC3)CO1 ZINC000566068004 344012564 /nfs/dbraw/zinc/01/25/64/344012564.db2.gz MNGLWMFFKUWHFL-WBMJQRKESA-N 0 3 245.366 2.832 20 0 BFADHN CC(C)[C@H](O)C1(CN[C@H](C)c2ccco2)CC1 ZINC000271812448 187336106 /nfs/dbraw/zinc/33/61/06/187336106.db2.gz AZULPQKHLIBMMD-YPMHNXCESA-N 0 3 237.343 2.727 20 0 BFADHN CCOc1ccc([C@H](C)N[C@H]2CCO[C@@H]2C)cc1 ZINC000119954074 398336645 /nfs/dbraw/zinc/33/66/45/398336645.db2.gz ZULRPLKTNUVSRT-ZOWXZIJZSA-N 0 3 249.354 2.913 20 0 BFADHN CO[C@@H](C)CNCc1ccc(C)cc1Cl ZINC000234737463 342939840 /nfs/dbraw/zinc/93/98/40/342939840.db2.gz HQYUNWBEDOUAQM-JTQLQIEISA-N 0 3 227.735 2.773 20 0 BFADHN CCN(CC)[C@H](C)c1cc(C)c(C(=O)OC)o1 ZINC000124732546 398339038 /nfs/dbraw/zinc/33/90/38/398339038.db2.gz JUHYLKSFRKZMBL-SNVBAGLBSA-N 0 3 239.315 2.777 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@@H]1F)c1cccc(CO)c1 ZINC000559917108 398331569 /nfs/dbraw/zinc/33/15/69/398331569.db2.gz UEGRMDXAMZOMER-DDTOSNHZSA-N 0 3 237.318 2.720 20 0 BFADHN Cc1nnc(CN[C@H]2CCCC[C@@H]2C)n1C1CC1 ZINC000162335007 349663599 /nfs/dbraw/zinc/66/35/99/349663599.db2.gz RLWVTKYAIUUETO-GWCFXTLKSA-N 0 3 248.374 2.590 20 0 BFADHN CC(C)N(Cc1cccnc1)C[C@@H]1CCCCO1 ZINC000162367686 349670904 /nfs/dbraw/zinc/67/09/04/349670904.db2.gz QZCBLWAUNOSMMF-HNNXBMFYSA-N 0 3 248.370 2.861 20 0 BFADHN NC(=O)[C@@H](NC1CCCCCC1)c1ccccc1 ZINC000037855738 398334998 /nfs/dbraw/zinc/33/49/98/398334998.db2.gz GENBKULEFDBCHB-AWEZNQCLSA-N 0 3 246.354 2.525 20 0 BFADHN Clc1ccc(CNCC[C@H]2CCCO2)o1 ZINC000037988801 398335531 /nfs/dbraw/zinc/33/55/31/398335531.db2.gz MQXQGBOWIFWUSN-SECBINFHSA-N 0 3 229.707 2.592 20 0 BFADHN CCc1cnc(CNCC2(C)CC2)s1 ZINC000308620248 346892889 /nfs/dbraw/zinc/89/28/89/346892889.db2.gz SWCLSAXDIBTLME-UHFFFAOYSA-N 0 3 210.346 2.595 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H]1C)c1cc2n(n1)CCCC2 ZINC000647303509 398339964 /nfs/dbraw/zinc/33/99/64/398339964.db2.gz BBJGKBNFATZOJF-GVXVVHGQSA-N 0 3 233.359 2.669 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1ccccc1F ZINC000449755055 398340170 /nfs/dbraw/zinc/34/01/70/398340170.db2.gz LYEFDEMKFCEHSG-ZIAGYGMSSA-N 0 3 237.318 2.873 20 0 BFADHN CCC(O)(CC)CN(C)[C@@H](C)c1cccnc1 ZINC000626110241 398340318 /nfs/dbraw/zinc/34/03/18/398340318.db2.gz UHWHCTFWWAJNAV-LBPRGKRZSA-N 0 3 236.359 2.626 20 0 BFADHN C[C@@H]1C[C@H](NCc2cccc3cccnc32)CO1 ZINC000335424748 398341318 /nfs/dbraw/zinc/34/13/18/398341318.db2.gz HNRDUBMWBZZLRK-RISCZKNCSA-N 0 3 242.322 2.502 20 0 BFADHN COc1ccncc1CNC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403470246 398343954 /nfs/dbraw/zinc/34/39/54/398343954.db2.gz ONSMPHRGTYHUPD-UPJWGTAASA-N 0 3 246.354 2.616 20 0 BFADHN CCCCN(Cc1cccc(C(N)=O)c1)C1CC1 ZINC000047461830 342944977 /nfs/dbraw/zinc/94/49/77/342944977.db2.gz KUENYRQRBULLJM-UHFFFAOYSA-N 0 3 246.354 2.550 20 0 BFADHN N[C@H](CC(=O)NC1CCCCC1)c1ccccc1 ZINC000019425638 342948249 /nfs/dbraw/zinc/94/82/49/342948249.db2.gz GPBBTFGODALRTP-CQSZACIVSA-N 0 3 246.354 2.525 20 0 BFADHN CC(C)c1ccc(NC(=O)[C@H](N)C(C)C)cc1 ZINC000019475446 342949486 /nfs/dbraw/zinc/94/94/86/342949486.db2.gz NNNLLNIGZLXMST-CYBMUJFWSA-N 0 3 234.343 2.732 20 0 BFADHN C[C@@H](F)CCN(Cc1ccccn1)C1CC1 ZINC000351768454 136253215 /nfs/dbraw/zinc/25/32/15/136253215.db2.gz XFXKFTPTKVWAEC-LLVKDONJSA-N 0 3 222.307 2.794 20 0 BFADHN Cc1ccc(NC2CCN(C)CC2)c(C)c1 ZINC000019772204 342956661 /nfs/dbraw/zinc/95/66/61/342956661.db2.gz WSUGXXYCQHDCHS-UHFFFAOYSA-N 0 3 218.344 2.810 20 0 BFADHN Cn1cnc2cc(CN[C@H]3CCC[C@H]3F)ccc21 ZINC000334146810 187205964 /nfs/dbraw/zinc/20/59/64/187205964.db2.gz CQTWACUFBMPLEN-NEPJUHHUSA-N 0 3 247.317 2.554 20 0 BFADHN CCC[C@H](NCc1nccn1C)C1CCCC1 ZINC000313399443 259334530 /nfs/dbraw/zinc/33/45/30/259334530.db2.gz RHDDTMQIDYIAHX-ZDUSSCGKSA-N 0 3 235.375 2.869 20 0 BFADHN CSCCN[C@H](C)c1ccc(Cl)cn1 ZINC000308743373 346907678 /nfs/dbraw/zinc/90/76/78/346907678.db2.gz QBYRWERJTBTNRN-MRVPVSSYSA-N 0 3 230.764 2.749 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)NCc1ccn(C)n1 ZINC000336681776 346905310 /nfs/dbraw/zinc/90/53/10/346905310.db2.gz DBJCUZXEKDUXON-VXGBXAGGSA-N 0 3 223.364 2.725 20 0 BFADHN CCN(CCSC)Cc1cccc(F)c1N ZINC000602701630 349697481 /nfs/dbraw/zinc/69/74/81/349697481.db2.gz DTMZJSGZBLZWNK-UHFFFAOYSA-N 0 3 242.363 2.593 20 0 BFADHN CC(C)(C)[C@H]1CCN(Cc2ccccc2)C[C@@H]1O ZINC000352998762 187207369 /nfs/dbraw/zinc/20/73/69/187207369.db2.gz FBVLIWYLKUVCDQ-GJZGRUSLSA-N 0 3 247.382 2.916 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC000019904904 342962321 /nfs/dbraw/zinc/96/23/21/342962321.db2.gz YCDLVTMMNUPDPE-IUCAKERBSA-N 0 3 229.270 2.650 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1ccc(F)c(F)c1 ZINC000019904900 342962331 /nfs/dbraw/zinc/96/23/31/342962331.db2.gz AVTXNUTVRVMEGE-IUCAKERBSA-N 0 3 229.270 2.650 20 0 BFADHN C[C@@H](NC[C@H](c1ccco1)N(C)C)c1ccco1 ZINC000020122252 342969622 /nfs/dbraw/zinc/96/96/22/342969622.db2.gz DLNUCUYOWYPYQJ-VXGBXAGGSA-N 0 3 248.326 2.826 20 0 BFADHN Cc1ccnc([C@@H](C)NC[C@@]2(C)CCCO2)c1 ZINC000353543398 187209079 /nfs/dbraw/zinc/20/90/79/187209079.db2.gz NNORKGQSKACUIT-TZMCWYRMSA-N 0 3 234.343 2.610 20 0 BFADHN c1ccc(C[C@@H]2CCN2CC2=CCCOC2)cc1 ZINC000353974763 187209377 /nfs/dbraw/zinc/20/93/77/187209377.db2.gz IVBZJDVEIPPSHC-INIZCTEOSA-N 0 3 243.350 2.650 20 0 BFADHN CCN(CC[C@H](C)F)Cc1ccccn1 ZINC000351853746 136258154 /nfs/dbraw/zinc/25/81/54/136258154.db2.gz CMEZJDDAHSTISD-NSHDSACASA-N 0 3 210.296 2.652 20 0 BFADHN CCOc1ccc(CN[C@@H](C)[C@@H]2CCCO2)cc1 ZINC000020205438 342976836 /nfs/dbraw/zinc/97/68/36/342976836.db2.gz MIVFJKQZERTLAJ-WFASDCNBSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1cc([C@H]2CCCN2CC[C@H](C)F)on1 ZINC000351851690 136257582 /nfs/dbraw/zinc/25/75/82/136257582.db2.gz JNCBNAAUMVWSOZ-GXSJLCMTSA-N 0 3 226.295 2.868 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCN1CCCCC1 ZINC000162444251 349708882 /nfs/dbraw/zinc/70/88/82/349708882.db2.gz CBXSJHFKJFAPIR-AWEZNQCLSA-N 0 3 247.386 2.560 20 0 BFADHN CSC1CCN(Cc2ccc(O)cc2)CC1 ZINC000602725834 349715272 /nfs/dbraw/zinc/71/52/72/349715272.db2.gz ORPSGUXMVINRIS-UHFFFAOYSA-N 0 3 237.368 2.720 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@@H](C)CO)c(Cl)c1 ZINC000235114252 342987346 /nfs/dbraw/zinc/98/73/46/342987346.db2.gz LQEOUVLUFQVJOM-QWRGUYRKSA-N 0 3 241.762 2.755 20 0 BFADHN CCSCCN1CC(c2ccccc2)C1 ZINC000602729623 349717184 /nfs/dbraw/zinc/71/71/84/349717184.db2.gz YAZGFHSKIBXEDT-UHFFFAOYSA-N 0 3 221.369 2.839 20 0 BFADHN CC[C@H](NCCN(C#N)CC)c1ccccc1 ZINC000602800006 349740380 /nfs/dbraw/zinc/74/03/80/349740380.db2.gz IPMNWDCKXNEGKH-AWEZNQCLSA-N 0 3 231.343 2.530 20 0 BFADHN CCn1ccnc1CN1CC(C)(C)[C@H]2CCC[C@@H]21 ZINC000335902420 136259548 /nfs/dbraw/zinc/25/95/48/136259548.db2.gz OHUCFDBWBGAUHX-STQMWFEESA-N 0 3 247.386 2.914 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](C)c1ccsc1 ZINC000527974041 262901331 /nfs/dbraw/zinc/90/13/31/262901331.db2.gz KPPVBBRDZORHNS-JLLWLGSASA-N 0 3 225.357 2.966 20 0 BFADHN Cc1ccc2c(c1)CCN(C[C@@H]1CCCCO1)C2 ZINC000361838903 187218897 /nfs/dbraw/zinc/21/88/97/187218897.db2.gz FMYPWETZWLOEBE-INIZCTEOSA-N 0 3 245.366 2.922 20 0 BFADHN CCOC(=O)CN(CC1CCCCC1)C(C)C ZINC000048568091 343016753 /nfs/dbraw/zinc/01/67/53/343016753.db2.gz PZPWBEROKJWMOD-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN CCC(O)(CC)CN(C)[C@@H](C)c1ccncc1 ZINC000384016231 343018394 /nfs/dbraw/zinc/01/83/94/343018394.db2.gz YSZZUHHUVQKKQS-LBPRGKRZSA-N 0 3 236.359 2.626 20 0 BFADHN CCOc1cccc(CN[C@@H]2CCC[C@H]2OC)c1 ZINC000049948626 343019228 /nfs/dbraw/zinc/01/92/28/343019228.db2.gz QGOCBBJDQAFKSW-HUUCEWRRSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)COCCN1C[C@@H](C)C(F)(F)[C@@H](C)C1 ZINC000625651155 344023544 /nfs/dbraw/zinc/02/35/44/344023544.db2.gz AHTIJRMSKQXVMK-TXEJJXNPSA-N 0 3 249.345 2.882 20 0 BFADHN C[C@H]1CN(CCOCC2CC2)C[C@H](C)C1(F)F ZINC000625650423 344023587 /nfs/dbraw/zinc/02/35/87/344023587.db2.gz RHTOLSSSLZOVLQ-QWRGUYRKSA-N 0 3 247.329 2.636 20 0 BFADHN Cc1oncc1CN(CC1CC1)C1CCC1 ZINC000361580907 187218581 /nfs/dbraw/zinc/21/85/81/187218581.db2.gz DGHMZVNHLZHQOO-UHFFFAOYSA-N 0 3 220.316 2.748 20 0 BFADHN CC(C)C[C@@H]1CCCN(Cc2cnn(C)c2)C1 ZINC000119223789 187222210 /nfs/dbraw/zinc/22/22/10/187222210.db2.gz ZUZQNICKYADIIJ-ZDUSSCGKSA-N 0 3 235.375 2.678 20 0 BFADHN Cc1cccc(CN(C)CC[C@H](C)F)n1 ZINC000351879148 136261938 /nfs/dbraw/zinc/26/19/38/136261938.db2.gz GZTFHAGNMHCNDU-JTQLQIEISA-N 0 3 210.296 2.570 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](C)c1cccs1 ZINC000527974759 262901344 /nfs/dbraw/zinc/90/13/44/262901344.db2.gz OXGGHTDWWJSDFQ-JLLWLGSASA-N 0 3 225.357 2.966 20 0 BFADHN c1ccc(-c2cccc(OC3CNC3)c2)cc1 ZINC000118587886 343050200 /nfs/dbraw/zinc/05/02/00/343050200.db2.gz ZADFHHANTSGQCC-UHFFFAOYSA-N 0 3 225.291 2.704 20 0 BFADHN CCCCN1CCOc2cc(OC)ccc2C1 ZINC000374760869 187224585 /nfs/dbraw/zinc/22/45/85/187224585.db2.gz ABGUVVIPSOMSRJ-UHFFFAOYSA-N 0 3 235.327 2.690 20 0 BFADHN Cc1csc(CN[C@H](C)C2(C)CC2)n1 ZINC000038090922 343059569 /nfs/dbraw/zinc/05/95/69/343059569.db2.gz JMFXBZMLMNPSDV-SECBINFHSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1ccc2nc(CN[C@H]3CC[C@H]3C)cn2c1 ZINC000336626812 136264753 /nfs/dbraw/zinc/26/47/53/136264753.db2.gz LGQPJYMBMLEJMS-YPMHNXCESA-N 0 3 229.327 2.531 20 0 BFADHN CSCCN[C@H](c1cncc(F)c1)C1CC1 ZINC000309864971 343071309 /nfs/dbraw/zinc/07/13/09/343071309.db2.gz OCIIUWMOKPEKFW-LBPRGKRZSA-N 0 3 240.347 2.624 20 0 BFADHN CCc1ncc(CN(C)CC(C)C)s1 ZINC000067402791 362020764 /nfs/dbraw/zinc/02/07/64/362020764.db2.gz LBVLYQCTZAOMGI-UHFFFAOYSA-N 0 3 212.362 2.793 20 0 BFADHN CCOc1ccc(CNC[C@@H]2CC[C@H](C)O2)cc1 ZINC000398194263 343084201 /nfs/dbraw/zinc/08/42/01/343084201.db2.gz BZVHHFUNMWMJBX-WFASDCNBSA-N 0 3 249.354 2.742 20 0 BFADHN c1ccc2c(c1)CC[C@H]2NCC1=CCCOC1 ZINC000335317018 187231158 /nfs/dbraw/zinc/23/11/58/187231158.db2.gz KTKXTOLQRWIOLK-OAHLLOKOSA-N 0 3 229.323 2.610 20 0 BFADHN CCc1ccc(C2=CCN(C)CC2)cc1 ZINC000013604471 343096527 /nfs/dbraw/zinc/09/65/27/343096527.db2.gz RVKYKPWZCIXSLT-UHFFFAOYSA-N 0 3 201.313 2.968 20 0 BFADHN CC(C)C[C@@H](CO)N[C@H]1CCCc2occc21 ZINC000123999472 187231812 /nfs/dbraw/zinc/23/18/12/187231812.db2.gz MOVJYMCGQCEYIO-AAEUAGOBSA-N 0 3 237.343 2.654 20 0 BFADHN COc1ccccc1CNCC[C@H](C)F ZINC000339493049 187232052 /nfs/dbraw/zinc/23/20/52/187232052.db2.gz GYMKRNGVWMUIIL-JTQLQIEISA-N 0 3 211.280 2.533 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@H](C)c1ccncc1F ZINC000340265328 187232246 /nfs/dbraw/zinc/23/22/46/187232246.db2.gz PULGNSVFHLVOMK-GGZOMVNGSA-N 0 3 240.347 2.765 20 0 BFADHN CC(C)=CCn1cc(CNC2CCCCC2)nn1 ZINC000640845020 362062972 /nfs/dbraw/zinc/06/29/72/362062972.db2.gz LBAXZXMAQLUYCJ-UHFFFAOYSA-N 0 3 248.374 2.667 20 0 BFADHN CCN1CCC(c2ccccc2OC)CC1 ZINC000141444990 343095205 /nfs/dbraw/zinc/09/52/05/343095205.db2.gz ITCHMPVTJOCYTA-UHFFFAOYSA-N 0 3 219.328 2.895 20 0 BFADHN CC[C@H](NCc1ccc(F)cc1Cl)[C@H](C)O ZINC000386833872 343121382 /nfs/dbraw/zinc/12/13/82/343121382.db2.gz WJIXMQVTTUHXGF-UFBFGSQYSA-N 0 3 245.725 2.728 20 0 BFADHN c1c(CNC2CCCC2)nnn1[C@H]1C=CCCC1 ZINC000640946228 362088418 /nfs/dbraw/zinc/08/84/18/362088418.db2.gz VUSGTWMACHZDSF-AWEZNQCLSA-N 0 3 246.358 2.592 20 0 BFADHN CC(C)=CCCn1cc([C@H](N)C(C)(C)C)nn1 ZINC000640945672 362092876 /nfs/dbraw/zinc/09/28/76/362092876.db2.gz OHSGXIRUQXQYTG-LBPRGKRZSA-N 0 3 236.363 2.680 20 0 BFADHN CC(C)[C@H](C)NCc1nn(C)c2ccccc12 ZINC000112338981 343130126 /nfs/dbraw/zinc/13/01/26/343130126.db2.gz BVCWLBZLVBRFGH-NSHDSACASA-N 0 3 231.343 2.707 20 0 BFADHN CC[C@H](C)N(C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000501840138 529690967 /nfs/dbraw/zinc/69/09/67/529690967.db2.gz AQYMWTPZELAFNU-JTQLQIEISA-N 0 3 223.364 2.938 20 0 BFADHN CC[C@H](C)N(CC(=O)OC)C[C@H](C)CC(C)C ZINC000499791581 529693660 /nfs/dbraw/zinc/69/36/60/529693660.db2.gz NKKWVOACVFGUMC-OLZOCXBDSA-N 0 3 243.391 2.942 20 0 BFADHN CCC[C@H](C)CCCN1CCc2n[nH]cc2C1 ZINC000625269373 343167849 /nfs/dbraw/zinc/16/78/49/343167849.db2.gz GAQXAJNVCKIZNK-LBPRGKRZSA-N 0 3 235.375 2.984 20 0 BFADHN CCO[C@@H](CN(C)[C@@H](C)c1cccnc1)C1CC1 ZINC000625270984 343174613 /nfs/dbraw/zinc/17/46/13/343174613.db2.gz NMVFUFDUGLNWII-WFASDCNBSA-N 0 3 248.370 2.890 20 0 BFADHN CC(C)NCc1nc(C(C)C)n2ccccc12 ZINC000156083837 343198311 /nfs/dbraw/zinc/19/83/11/343198311.db2.gz JFTZSFJULVUGLX-UHFFFAOYSA-N 0 3 231.343 2.956 20 0 BFADHN CC(C)n1ncnc1CN[C@H]1CC2CCC1CC2 ZINC000311231241 136275896 /nfs/dbraw/zinc/27/58/96/136275896.db2.gz TUIZRDARDAYRBJ-BPCQOVAHSA-N 0 3 248.374 2.527 20 0 BFADHN COCC1(N(C)Cc2ccc(C)c(F)c2)CC1 ZINC000625275236 343214852 /nfs/dbraw/zinc/21/48/52/343214852.db2.gz LQOZCNVECGZSCY-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN CCO[C@@H](CN(CC)Cc1ccncc1)C1CC1 ZINC000625279838 343218869 /nfs/dbraw/zinc/21/88/69/343218869.db2.gz GNJSCYIYIDLNBW-HNNXBMFYSA-N 0 3 248.370 2.719 20 0 BFADHN C[C@@H](NCCOC1CCOCC1)c1ccccc1 ZINC000625282776 343219811 /nfs/dbraw/zinc/21/98/11/343219811.db2.gz WQIHYWDHAGJDRJ-CYBMUJFWSA-N 0 3 249.354 2.533 20 0 BFADHN CCO[C@@H](CN(C)[C@H](C)c1ccccn1)C1CC1 ZINC000625272864 343193035 /nfs/dbraw/zinc/19/30/35/343193035.db2.gz WUWWLZDAHJAEBM-DOMZBBRYSA-N 0 3 248.370 2.890 20 0 BFADHN CC(C)C[C@H](N)C(=O)Nc1ccccc1Cl ZINC000011961163 187250614 /nfs/dbraw/zinc/25/06/14/187250614.db2.gz UZMLIXARJODYGP-JTQLQIEISA-N 0 3 240.734 2.652 20 0 BFADHN CCC[C@H](C)CCCN[C@H](C)c1nncn1C ZINC000625322666 343232265 /nfs/dbraw/zinc/23/22/65/343232265.db2.gz KBLWRSUNZSBJQY-NWDGAFQWSA-N 0 3 238.379 2.682 20 0 BFADHN CCO[C@@H](CN[C@H](C)c1ccccn1)C1CC1 ZINC000625320713 343234284 /nfs/dbraw/zinc/23/42/84/343234284.db2.gz YDEQSVFWPGMPQC-RISCZKNCSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@@H](NC[C@H]1CC(C)(C)CO1)c1cccc(O)c1 ZINC000634686723 343235282 /nfs/dbraw/zinc/23/52/82/343235282.db2.gz QMVPTEGEEYBEOJ-BXUZGUMPSA-N 0 3 249.354 2.858 20 0 BFADHN CCC[C@H]1CCCCN1CC(=O)N(CC)CC ZINC000185865173 343236969 /nfs/dbraw/zinc/23/69/69/343236969.db2.gz BBZJPUIGCDOPHI-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN Nc1ccncc1-c1cccc2cccnc21 ZINC000032590444 343238105 /nfs/dbraw/zinc/23/81/05/343238105.db2.gz ZXPWGRCKEKEPFH-UHFFFAOYSA-N 0 3 221.263 2.879 20 0 BFADHN CCO[C@@H](CN[C@@H](C)c1cccc(O)c1)C1CC1 ZINC000625294123 343223943 /nfs/dbraw/zinc/22/39/43/343223943.db2.gz UGQNPSCFLCYQDS-NHYWBVRUSA-N 0 3 249.354 2.858 20 0 BFADHN CC[C@H](N)c1cn(CCC(C)(C)CC)nn1 ZINC000641049962 362158077 /nfs/dbraw/zinc/15/80/77/362158077.db2.gz QVQHTSVLBZSXQF-JTQLQIEISA-N 0 3 224.352 2.514 20 0 BFADHN CCOc1ccc2[nH]cc(CN(C)C)c2c1 ZINC000015119423 343262940 /nfs/dbraw/zinc/26/29/40/343262940.db2.gz TVMODJDLWLWKCG-UHFFFAOYSA-N 0 3 218.300 2.628 20 0 BFADHN CCc1ccc(-c2noc([C@H]3CCCN3)n2)cc1 ZINC000036825417 343325247 /nfs/dbraw/zinc/32/52/47/343325247.db2.gz YDDZMNUTRWMASR-GFCCVEGCSA-N 0 3 243.310 2.724 20 0 BFADHN CCC[C@H](N)C(=O)Nc1ccc2ccccc2c1 ZINC000037014760 343330550 /nfs/dbraw/zinc/33/05/50/343330550.db2.gz KLTPKRDXIAWLPJ-AWEZNQCLSA-N 0 3 242.322 2.906 20 0 BFADHN CCC[C@H](NC(=O)[C@@H](N)C(C)C)c1ccccc1 ZINC000037030056 343331796 /nfs/dbraw/zinc/33/17/96/343331796.db2.gz JYXZLYWPNZJMOW-KBPBESRZSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1ccc(CN[C@H](C)CN2CCCCC2)o1 ZINC000035258916 343291580 /nfs/dbraw/zinc/29/15/80/343291580.db2.gz SHUODBCHBOMHOT-GFCCVEGCSA-N 0 3 236.359 2.552 20 0 BFADHN C[C@@H](Cn1ccnc1)NCc1ccccc1Cl ZINC000035274911 343291979 /nfs/dbraw/zinc/29/19/79/343291979.db2.gz YSNSCMGPGIZFOT-NSHDSACASA-N 0 3 249.745 2.715 20 0 BFADHN Fc1ccc(F)c(CN[C@@H]2CC23CC3)c1 ZINC000335285728 136292943 /nfs/dbraw/zinc/29/29/43/136292943.db2.gz ZCYBJOXSTDPYGD-LLVKDONJSA-N 0 3 209.239 2.607 20 0 BFADHN CCN(Cc1c(C)cnn1C)[C@H](C)C(C)C ZINC000347861396 136293265 /nfs/dbraw/zinc/29/32/65/136293265.db2.gz VNDGHSKMWIJYLI-GFCCVEGCSA-N 0 3 223.364 2.595 20 0 BFADHN C[C@@H](N[C@@H](C)CO)c1ccc(F)c(Cl)c1 ZINC000035602425 343299402 /nfs/dbraw/zinc/29/94/02/343299402.db2.gz IYPOQQCYAPLJBD-JGVFFNPUSA-N 0 3 231.698 2.511 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNC2CCC(O)CC2)o1 ZINC000019918587 187253488 /nfs/dbraw/zinc/25/34/88/187253488.db2.gz QBYLTUKFEJDOPF-DLOFLVKXSA-N 0 3 249.354 2.796 20 0 BFADHN CC[C@@H](C)NCc1cccc2c1OCCCO2 ZINC000036167466 343311146 /nfs/dbraw/zinc/31/11/46/343311146.db2.gz WRCKNDZCOMFDQZ-LLVKDONJSA-N 0 3 235.327 2.736 20 0 BFADHN c1ccc(SCCNCc2ccncc2)cc1 ZINC000037854618 343358039 /nfs/dbraw/zinc/35/80/39/343358039.db2.gz QPEQROHEVWUMEC-UHFFFAOYSA-N 0 3 244.363 2.964 20 0 BFADHN Fc1cccc(CN[C@@H]2CC[C@@H](F)C2)c1F ZINC000335620267 136298969 /nfs/dbraw/zinc/29/89/69/136298969.db2.gz CZGGBOJUBHKIJU-NXEZZACHSA-N 0 3 229.245 2.945 20 0 BFADHN CCN(Cc1cccc(Cl)n1)CC1CC1 ZINC000075739338 343363802 /nfs/dbraw/zinc/36/38/02/343363802.db2.gz AZHDNQUFVRVLPR-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN CC[C@@H](NCc1cn[nH]c1)c1ccc(C)cc1 ZINC000038005848 343365043 /nfs/dbraw/zinc/36/50/43/343365043.db2.gz CSZMMACCWWXWPR-CQSZACIVSA-N 0 3 229.327 2.959 20 0 BFADHN COCc1cccc(CNCc2cccnc2)c1 ZINC000038010421 343365059 /nfs/dbraw/zinc/36/50/59/343365059.db2.gz PSUOJGJGUQBYFV-UHFFFAOYSA-N 0 3 242.322 2.518 20 0 BFADHN C[C@H](CN[C@H](C)c1ccc(F)cc1F)N(C)C ZINC000038088662 343370518 /nfs/dbraw/zinc/37/05/18/343370518.db2.gz QBBGHFVKEJRCDX-NXEZZACHSA-N 0 3 242.313 2.566 20 0 BFADHN COC(C)(C)C[C@@H](C)NCc1nc(C)cs1 ZINC000038090907 343370751 /nfs/dbraw/zinc/37/07/51/343370751.db2.gz IOOOQWDFYAYGGJ-SECBINFHSA-N 0 3 242.388 2.745 20 0 BFADHN Cc1ccc([C@@H](C)NCC2(O)CCCCC2)o1 ZINC000038097673 343370804 /nfs/dbraw/zinc/37/08/04/343370804.db2.gz BENKAWPMWZCBKO-GFCCVEGCSA-N 0 3 237.343 2.934 20 0 BFADHN CC1(O)CCN([C@@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000335635842 136300766 /nfs/dbraw/zinc/30/07/66/136300766.db2.gz GMQKJXYJXHLQKD-UONOGXRCSA-N 0 3 249.329 2.528 20 0 BFADHN CCC(CC)CN1CCOC[C@@H]1CC(F)F ZINC000348788145 136301269 /nfs/dbraw/zinc/30/12/69/136301269.db2.gz YZVGSBMRSKLWSW-NSHDSACASA-N 0 3 235.318 2.779 20 0 BFADHN CC(C)C[C@@H](CN(C)C)N[C@@H](C)c1ccccn1 ZINC000037166821 343337941 /nfs/dbraw/zinc/33/79/41/343337941.db2.gz QEOVKTNVVPPFLK-KBPBESRZSA-N 0 3 249.402 2.709 20 0 BFADHN CN1CCC(Nc2ccc3ccccc3n2)CC1 ZINC000037248246 343340944 /nfs/dbraw/zinc/34/09/44/343340944.db2.gz VOLVQLJONUEESF-UHFFFAOYSA-N 0 3 241.338 2.741 20 0 BFADHN Cn1c(Cl)cnc1CNCC1CCCCC1 ZINC000037249799 343340956 /nfs/dbraw/zinc/34/09/56/343340956.db2.gz XWRFFOXADKCDJH-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN Cc1c2ccccc2oc1[C@H](C)N[C@H](C)CO ZINC000037258280 343341678 /nfs/dbraw/zinc/34/16/78/343341678.db2.gz JIOAHGMGIHFPAL-KOLCDFICSA-N 0 3 233.311 2.773 20 0 BFADHN COc1ncccc1CN[C@@H](C)CC(C)C ZINC000037485421 343346998 /nfs/dbraw/zinc/34/69/98/343346998.db2.gz UFJBLCOMDVOQEY-NSHDSACASA-N 0 3 222.332 2.614 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1cccc(C)c1C ZINC000037621338 343349837 /nfs/dbraw/zinc/34/98/37/343349837.db2.gz XCDUWRVKAFZBEE-AWEZNQCLSA-N 0 3 234.343 2.759 20 0 BFADHN CCOc1cccc(CN[C@@H](C)[C@H]2CCCO2)c1 ZINC000037678470 343350893 /nfs/dbraw/zinc/35/08/93/343350893.db2.gz LAIIUNMUMULYKO-SWLSCSKDSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1cnn(C)c1CN1CCCC2(CCCC2)C1 ZINC000335673603 136317568 /nfs/dbraw/zinc/31/75/68/136317568.db2.gz MGEXQBZBOYDARB-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN Cc1nc(C)c(CN2CC(C3CCC3)C2)s1 ZINC000335652615 136305510 /nfs/dbraw/zinc/30/55/10/136305510.db2.gz CMJVOORLHQBINX-UHFFFAOYSA-N 0 3 236.384 2.992 20 0 BFADHN C[C@@H](N[C@H]1COC(C)(C)C1)c1cccs1 ZINC000335655737 136306550 /nfs/dbraw/zinc/30/65/50/136306550.db2.gz FTSXCBYTJMJZSQ-NXEZZACHSA-N 0 3 225.357 2.966 20 0 BFADHN CC(C)Cc1ccc(CN(C)CCN(C)C)cc1 ZINC000348906706 136306643 /nfs/dbraw/zinc/30/66/43/136306643.db2.gz VCNWWIUUKMJOCZ-UHFFFAOYSA-N 0 3 248.414 2.879 20 0 BFADHN Cc1cscc1CN[C@@H]1COC(C)(C)C1 ZINC000335656552 136306713 /nfs/dbraw/zinc/30/67/13/136306713.db2.gz MXVJBVXHZXNADE-NSHDSACASA-N 0 3 225.357 2.714 20 0 BFADHN C[C@H](N[C@@H]1CCOC1)c1ccc2ncsc2c1 ZINC000335659405 136307585 /nfs/dbraw/zinc/30/75/85/136307585.db2.gz XHPXKMYFXPRDCX-GXSJLCMTSA-N 0 3 248.351 2.736 20 0 BFADHN CC(C)[C@H](NCc1ccnn1C)c1ccccc1 ZINC000036967806 187259323 /nfs/dbraw/zinc/25/93/23/187259323.db2.gz HRSBBKLVZWLWHJ-HNNXBMFYSA-N 0 3 243.354 2.907 20 0 BFADHN CCc1ccc(CN[C@H]2CS[C@H](C)C2)o1 ZINC000397801546 262934419 /nfs/dbraw/zinc/93/44/19/262934419.db2.gz LTAKZOKYOZKAHB-NXEZZACHSA-N 0 3 225.357 2.826 20 0 BFADHN CCC[C@@H](N)c1cn(CC2CC(C)(C)C2)nn1 ZINC000641069974 362182005 /nfs/dbraw/zinc/18/20/05/362182005.db2.gz WNKLCKCECSSRGQ-LLVKDONJSA-N 0 3 236.363 2.514 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCOC[C@@H](C)C1 ZINC000335665857 136310766 /nfs/dbraw/zinc/31/07/66/136310766.db2.gz KVDVGHIVRCUNIY-NWDGAFQWSA-N 0 3 237.318 2.855 20 0 BFADHN CCC[C@@H](N)c1cn(CCC2=CCCCC2)nn1 ZINC000641078044 362186232 /nfs/dbraw/zinc/18/62/32/362186232.db2.gz CULOCIBBMQREKN-CYBMUJFWSA-N 0 3 248.374 2.969 20 0 BFADHN CCn1nc(CN2CC[C@H](C)C2)c2ccccc21 ZINC000274584258 187338420 /nfs/dbraw/zinc/33/84/20/187338420.db2.gz OCXUJXIYTGTUHH-LBPRGKRZSA-N 0 3 243.354 2.898 20 0 BFADHN CCCCOCCNCc1ccccc1 ZINC000040506538 343406130 /nfs/dbraw/zinc/40/61/30/343406130.db2.gz LTVNEHOMGJPRGC-UHFFFAOYSA-N 0 3 207.317 2.593 20 0 BFADHN CCCCOCCN[C@H](C)c1cccc(O)c1 ZINC000040506409 343406191 /nfs/dbraw/zinc/40/61/91/343406191.db2.gz ULYUGFCMRMCNAK-GFCCVEGCSA-N 0 3 237.343 2.860 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NC1CC2(CCC2)C1 ZINC000335698625 136327775 /nfs/dbraw/zinc/32/77/75/136327775.db2.gz AUGFVELURXQQHK-SNVBAGLBSA-N 0 3 233.359 2.712 20 0 BFADHN OCc1ccc(CN[C@H]2C[C@H]2C2CCCCC2)o1 ZINC000525708628 259359597 /nfs/dbraw/zinc/35/95/97/259359597.db2.gz GWGMCFUXZBZKJH-GJZGRUSLSA-N 0 3 249.354 2.830 20 0 BFADHN CCCc1cccc(CN2CC[C@H](C)[C@@H](O)C2)c1 ZINC000349417934 136345449 /nfs/dbraw/zinc/34/54/49/136345449.db2.gz NDZXDCWDYWULJQ-BBRMVZONSA-N 0 3 247.382 2.842 20 0 BFADHN Fc1cccc2c1CCN(C[C@H]1CCCOC1)C2 ZINC000335724561 136346728 /nfs/dbraw/zinc/34/67/28/136346728.db2.gz IMHAFBZJYHLLBA-GFCCVEGCSA-N 0 3 249.329 2.610 20 0 BFADHN Cc1cnn(C)c1CN1CCC2(C1)CCCCC2 ZINC000335816855 136352615 /nfs/dbraw/zinc/35/26/15/136352615.db2.gz PWEMSCUBBZXBAO-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN CCN(C/C=C\c1ccccc1)CCOC ZINC000349860402 136352628 /nfs/dbraw/zinc/35/26/28/136352628.db2.gz FVWCVGQYPILKCK-YFHOEESVSA-N 0 3 219.328 2.668 20 0 BFADHN CC[C@H](N)c1cn(C[C@H]2CCCC[C@H]2C)nn1 ZINC000641088418 362211549 /nfs/dbraw/zinc/21/15/49/362211549.db2.gz NLKDWGTXBGRNBM-UTUOFQBUSA-N 0 3 236.363 2.514 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CC2(C)C)nc1 ZINC000335681354 136328936 /nfs/dbraw/zinc/32/89/36/136328936.db2.gz DFBVPCYXTUGWMN-GFCCVEGCSA-N 0 3 204.317 2.620 20 0 BFADHN C[C@H]1C[C@@H]1CN(Cc1cccnc1)C1CC1 ZINC000349272801 136333511 /nfs/dbraw/zinc/33/35/11/136333511.db2.gz DSAMFHQAOCXKBF-WCQYABFASA-N 0 3 216.328 2.702 20 0 BFADHN CCOC(=O)c1ccc(CNC[C@@H](C)CC)o1 ZINC000349274530 136333681 /nfs/dbraw/zinc/33/36/81/136333681.db2.gz GDQMXAMYGCOJOT-JTQLQIEISA-N 0 3 239.315 2.592 20 0 BFADHN c1nc(CCN2CCCCCC2)cs1 ZINC000335704592 136335314 /nfs/dbraw/zinc/33/53/14/136335314.db2.gz VWMWCCHCFPZZOR-UHFFFAOYSA-N 0 3 210.346 2.562 20 0 BFADHN Cc1ccc(CN(C)C2CCCC2)nc1 ZINC000335704343 136335350 /nfs/dbraw/zinc/33/53/50/136335350.db2.gz XJGIQWCYGLCZOM-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN CC[C@H]1CCCCCN1Cc1cc(C)ncn1 ZINC000335879481 136356432 /nfs/dbraw/zinc/35/64/32/136356432.db2.gz BUNJCSZOGHCNNI-AWEZNQCLSA-N 0 3 233.359 2.940 20 0 BFADHN CCC[C@H](C)NCc1ccn(C(C)C)n1 ZINC000082866351 362242653 /nfs/dbraw/zinc/24/26/53/362242653.db2.gz VWPLTHKTSJSGIW-NSHDSACASA-N 0 3 209.337 2.742 20 0 BFADHN CC[C@@H](C)CNCc1ccn(C(C)C)n1 ZINC000082866432 362243199 /nfs/dbraw/zinc/24/31/99/362243199.db2.gz PHVFPSNPGLCORW-LLVKDONJSA-N 0 3 209.337 2.600 20 0 BFADHN CC1(CN2CCC[C@H]2c2ncc[nH]2)CCC1 ZINC000335886000 136358573 /nfs/dbraw/zinc/35/85/73/136358573.db2.gz YTGBGKZAGGDPMQ-NSHDSACASA-N 0 3 219.332 2.737 20 0 BFADHN C[C@H]1CCCCCN1Cc1ccc(F)cn1 ZINC000335898378 136359801 /nfs/dbraw/zinc/35/98/01/136359801.db2.gz IAPKBJICFMXSPE-NSHDSACASA-N 0 3 222.307 2.985 20 0 BFADHN CCCC[C@@H](N[C@@H](C)C(=O)NC)c1ccccc1 ZINC000042561914 343443629 /nfs/dbraw/zinc/44/36/29/343443629.db2.gz WYNPZOVBARTQPU-GXTWGEPZSA-N 0 3 248.370 2.642 20 0 BFADHN CSC1CCN(Cc2cncc(C)c2)CC1 ZINC000602920770 349810515 /nfs/dbraw/zinc/81/05/15/349810515.db2.gz MMRRYZWEPQXLIN-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N1CC[C@@](C)(O)C1 ZINC000177984745 346944152 /nfs/dbraw/zinc/94/41/52/346944152.db2.gz UEMNPPJODXWNAL-UONOGXRCSA-N 0 3 237.318 2.734 20 0 BFADHN CSC1CCN(CCOCCC(C)C)CC1 ZINC000602920843 349810077 /nfs/dbraw/zinc/81/00/77/349810077.db2.gz DQNQNNLVTAXRSQ-UHFFFAOYSA-N 0 3 245.432 2.877 20 0 BFADHN CC1(C)C[C@H]1NCc1ccc(Br)o1 ZINC000045115441 343523752 /nfs/dbraw/zinc/52/37/52/343523752.db2.gz FJEUVXTYHKGSHR-MRVPVSSYSA-N 0 3 244.132 2.930 20 0 BFADHN COc1ccc(OC)c(CNCCCC2CC2)c1 ZINC000076767994 343527516 /nfs/dbraw/zinc/52/75/16/343527516.db2.gz MSBVVNDZUTYDBR-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN FC(F)n1ccnc1CNC[C@H]1CC=CCC1 ZINC000045630511 343531722 /nfs/dbraw/zinc/53/17/22/343531722.db2.gz LOPGHKBBCBABMO-JTQLQIEISA-N 0 3 241.285 2.724 20 0 BFADHN COC[C@H](C)CN(C)[C@@H](C)c1ccco1 ZINC000124323473 343548283 /nfs/dbraw/zinc/54/82/83/343548283.db2.gz KZKFEJOCLHRQKC-MNOVXSKESA-N 0 3 211.305 2.555 20 0 BFADHN CCOc1cc(CN[C@H]2CC2(C)C)ccc1O ZINC000044371319 343498714 /nfs/dbraw/zinc/49/87/14/343498714.db2.gz NNMRQEUMTBGLRP-ZDUSSCGKSA-N 0 3 235.327 2.679 20 0 BFADHN CCn1c2ccccc2nc1CN[C@@H]1CC1(C)C ZINC000044370415 343498895 /nfs/dbraw/zinc/49/88/95/343498895.db2.gz YSKDYEQQRAZTBK-CYBMUJFWSA-N 0 3 243.354 2.944 20 0 BFADHN C[C@@H](N[C@@H](C)c1cnn(C)c1)c1ccccc1 ZINC000044494226 343503156 /nfs/dbraw/zinc/50/31/56/343503156.db2.gz YAWXOXNDOTYEAN-NEPJUHHUSA-N 0 3 229.327 2.832 20 0 BFADHN C[C@H](N[C@H]1CCc2ccccc21)c1cnn(C)c1 ZINC000044495176 343503561 /nfs/dbraw/zinc/50/35/61/343503561.db2.gz ZLVJLDIKHSHHEH-NHYWBVRUSA-N 0 3 241.338 2.758 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1csc(C)c1 ZINC000379979712 365778406 /nfs/dbraw/zinc/77/84/06/365778406.db2.gz RXMUVZLLCLULKS-VXGBXAGGSA-N 0 3 225.357 2.714 20 0 BFADHN CC1CCC(O)(CNCc2ccsc2)CC1 ZINC000083468384 343614698 /nfs/dbraw/zinc/61/46/98/343614698.db2.gz KSDKUKUSRMOZBK-UHFFFAOYSA-N 0 3 239.384 2.779 20 0 BFADHN CN[C@@H](C)C(=O)N(CCC(C)C)CCC(C)C ZINC000052395723 343599883 /nfs/dbraw/zinc/59/98/83/343599883.db2.gz UTGVLTJSMRXRCB-ZDUSSCGKSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1nccn1CCN[C@@H](C)c1ccccc1F ZINC000049529826 343601183 /nfs/dbraw/zinc/60/11/83/343601183.db2.gz MFPAZSUGSZIRMM-NSHDSACASA-N 0 3 247.317 2.681 20 0 BFADHN Cc1ccccc1NC(=O)CN[C@H]1CCC1(C)C ZINC000310937759 343606309 /nfs/dbraw/zinc/60/63/09/343606309.db2.gz NCXWVRUSWLITKO-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN FCCCN1CCC[C@H](C(F)(F)F)C1 ZINC000178254663 346950163 /nfs/dbraw/zinc/95/01/63/346950163.db2.gz ZGHJHDNTAYIEKQ-QMMMGPOBSA-N 0 3 213.218 2.620 20 0 BFADHN Cc1ccc(CNCC(C)(C)C)nc1C ZINC000314336856 259367028 /nfs/dbraw/zinc/36/70/28/259367028.db2.gz UQWDHCFEKGDDJC-UHFFFAOYSA-N 0 3 206.333 2.834 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H]1CCc2ccc(F)cc21 ZINC000349411600 537794363 /nfs/dbraw/zinc/79/43/63/537794363.db2.gz LESUUFGIJBXGOF-CLGDDFHISA-N 0 3 249.329 2.730 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2cc(F)cc(F)c2)C1 ZINC000624296766 343674559 /nfs/dbraw/zinc/67/45/59/343674559.db2.gz HMPFKPWZQRFONL-BZHVJNSISA-N 0 3 241.281 2.793 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@H]1C[C@H](OC)C1 ZINC000624296762 343674628 /nfs/dbraw/zinc/67/46/28/343674628.db2.gz HLFCRPOOXQBCOJ-AVGNSLFASA-N 0 3 249.354 2.913 20 0 BFADHN Cc1ccc(CN[C@@](C)(CO)C(C)C)c(F)c1 ZINC000631139837 343652496 /nfs/dbraw/zinc/65/24/96/343652496.db2.gz JWRFHFDXYQJPBO-AWEZNQCLSA-N 0 3 239.334 2.631 20 0 BFADHN C[C@H](NC[C@H]1CCCS1)c1ccco1 ZINC000087667558 343656058 /nfs/dbraw/zinc/65/60/58/343656058.db2.gz MMMVJMWUQTUABU-VHSXEESVSA-N 0 3 211.330 2.826 20 0 BFADHN Cc1ncc(CN2C[C@@H]3CCCC[C@@H]3C2)o1 ZINC000628299590 537802134 /nfs/dbraw/zinc/80/21/34/537802134.db2.gz UHWFKFQTIMBIJJ-TXEJJXNPSA-N 0 3 220.316 2.605 20 0 BFADHN COc1ccncc1CN1CCC[C@H](C)[C@H]1C ZINC000287777439 187345873 /nfs/dbraw/zinc/34/58/73/187345873.db2.gz NFPALRFPEGQFLX-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN c1cn2cc(CN[C@H]3CC[C@@H]3C3CC3)nc2s1 ZINC000348052941 537803779 /nfs/dbraw/zinc/80/37/79/537803779.db2.gz GTIFHLXXQFVJGK-NEPJUHHUSA-N 0 3 247.367 2.674 20 0 BFADHN COc1ccncc1CN1CCC[C@H](C)CC1 ZINC000287492801 187346087 /nfs/dbraw/zinc/34/60/87/187346087.db2.gz XPKWZRWRUGKTLW-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN c1coc(C2=CCCN(CCOC3CCC3)C2)c1 ZINC000286951351 187346500 /nfs/dbraw/zinc/34/65/00/187346500.db2.gz OKPZDGPGQVSGIT-UHFFFAOYSA-N 0 3 247.338 2.938 20 0 BFADHN c1cn2cc(CN[C@H]3CC[C@H]3C3CC3)nc2s1 ZINC000348052947 537804804 /nfs/dbraw/zinc/80/48/04/537804804.db2.gz GTIFHLXXQFVJGK-RYUDHWBXSA-N 0 3 247.367 2.674 20 0 BFADHN c1coc(C2=CCCN(CC3=CCCOC3)C2)c1 ZINC000289657909 187346661 /nfs/dbraw/zinc/34/66/61/187346661.db2.gz YKEJGBGMLXAFHH-UHFFFAOYSA-N 0 3 245.322 2.715 20 0 BFADHN C[C@H]1CCCN(CCOc2cccc(F)c2)C1 ZINC000048060616 343706794 /nfs/dbraw/zinc/70/67/94/343706794.db2.gz CKIOTGVIULXTEN-LBPRGKRZSA-N 0 3 237.318 2.936 20 0 BFADHN COc1ccncc1CN(C)[C@@H](C)C(C)C ZINC000287275211 187345683 /nfs/dbraw/zinc/34/56/83/187345683.db2.gz LLPBQEFHRBHURD-NSHDSACASA-N 0 3 222.332 2.567 20 0 BFADHN c1nc(C2CC2)c(CN2CCCC2)s1 ZINC000336339178 537802638 /nfs/dbraw/zinc/80/26/38/537802638.db2.gz QHJZHODQOBTKFH-UHFFFAOYSA-N 0 3 208.330 2.616 20 0 BFADHN CCC[C@H](C)CCCN1CCc2nc[nH]c2C1 ZINC000625434186 343735036 /nfs/dbraw/zinc/73/50/36/343735036.db2.gz HTJHTQVTMLJZTO-LBPRGKRZSA-N 0 3 235.375 2.984 20 0 BFADHN CN(C/C=C/c1ccncc1)C[C@H]1CCCCO1 ZINC000495171177 529820304 /nfs/dbraw/zinc/82/03/04/529820304.db2.gz IFTSMSAYJIBDHM-MBVDDHJVSA-N 0 3 246.354 2.596 20 0 BFADHN CC1(C)COC[C@H]1N[C@@H]1CCCc2occc21 ZINC000312992966 343713994 /nfs/dbraw/zinc/71/39/94/343713994.db2.gz YKULWDLDYDKQJB-DGCLKSJQSA-N 0 3 235.327 2.672 20 0 BFADHN CCc1ccc(CN2CCCOC[C@H]2C)s1 ZINC000678272050 488232910 /nfs/dbraw/zinc/23/29/10/488232910.db2.gz ASPSYTUADOAQBR-LLVKDONJSA-N 0 3 239.384 2.921 20 0 BFADHN CC[C@@H](O)CN(C)[C@@H](C)c1ccccc1F ZINC000062016095 343731825 /nfs/dbraw/zinc/73/18/25/343731825.db2.gz CGBTYGDOSYXCSC-WDEREUQCSA-N 0 3 225.307 2.589 20 0 BFADHN C[C@H](CO)CN(C)[C@@H](C)c1ccccc1Cl ZINC000128371543 346967207 /nfs/dbraw/zinc/96/72/07/346967207.db2.gz CDQDUCZJDRXHQI-QWRGUYRKSA-N 0 3 241.762 2.961 20 0 BFADHN C[C@H](CCCC(F)(F)F)NCc1cnccn1 ZINC000584217792 343773240 /nfs/dbraw/zinc/77/32/40/343773240.db2.gz JKCMGESSODDUMX-SECBINFHSA-N 0 3 247.264 2.687 20 0 BFADHN CCc1ncc(CN[C@H](C)CCc2ccco2)o1 ZINC000584212795 343774890 /nfs/dbraw/zinc/77/48/90/343774890.db2.gz KECLMACBDQIDNK-LLVKDONJSA-N 0 3 248.326 2.941 20 0 BFADHN C[C@H](NCCC(=O)OC(C)(C)C)c1ccoc1 ZINC000178759198 346965255 /nfs/dbraw/zinc/96/52/55/346965255.db2.gz FYHVYVZCCBCFPJ-JTQLQIEISA-N 0 3 239.315 2.662 20 0 BFADHN CCOc1cncc(CN2CC[C@H](C)[C@@H](C)C2)c1 ZINC000621716562 343766659 /nfs/dbraw/zinc/76/66/59/343766659.db2.gz FLQGWXFNKUTJMU-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN c1ccc(Oc2ccccc2OC2CNC2)cc1 ZINC000066112435 187274041 /nfs/dbraw/zinc/27/40/41/187274041.db2.gz MKIMQSUJTXVNED-UHFFFAOYSA-N 0 3 241.290 2.830 20 0 BFADHN CCc1cnc(CN[C@@H]2CC[C@H]2CC)s1 ZINC000309169976 346975800 /nfs/dbraw/zinc/97/58/00/346975800.db2.gz KYERMUIMHLDLIK-MWLCHTKSSA-N 0 3 224.373 2.984 20 0 BFADHN FC1(F)C[C@H]2CC[C@@H](C1)N2C[C@H]1CCCCO1 ZINC000625682563 344038155 /nfs/dbraw/zinc/03/81/55/344038155.db2.gz FYXFXLLQNNXWQS-GRYCIOLGSA-N 0 3 245.313 2.818 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H](C)c1ccccn1 ZINC000387661114 346995091 /nfs/dbraw/zinc/99/50/91/346995091.db2.gz HLIFIKDJUASSRW-UTUOFQBUSA-N 0 3 204.317 2.778 20 0 BFADHN Cc1nc(C)c(CN2CCCCCC2)o1 ZINC000683897703 488239416 /nfs/dbraw/zinc/23/94/16/488239416.db2.gz AJMYVGOCAOOZCR-UHFFFAOYSA-N 0 3 208.305 2.667 20 0 BFADHN CC(C)N1CCC=C(c2cccnc2)C1 ZINC000295884716 187351849 /nfs/dbraw/zinc/35/18/49/187351849.db2.gz HOCFNRVNIOZCQB-UHFFFAOYSA-N 0 3 202.301 2.579 20 0 BFADHN Cc1nn(C)cc1CN1CCC(C(C)(C)C)CC1 ZINC000179766314 346995986 /nfs/dbraw/zinc/99/59/86/346995986.db2.gz BSYFNNQJYWKBRZ-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN CC(C)[C@H](C)N(C)CC(=O)Nc1ccccc1 ZINC000298455583 187352543 /nfs/dbraw/zinc/35/25/43/187352543.db2.gz WNZJFHNOZYVRLX-LBPRGKRZSA-N 0 3 234.343 2.601 20 0 BFADHN CCCn1cc(CN2CCC[C@@H](C)CC2)cn1 ZINC000179653159 346991409 /nfs/dbraw/zinc/99/14/09/346991409.db2.gz HMWUFDJOQRXTFN-CYBMUJFWSA-N 0 3 235.375 2.915 20 0 BFADHN CCOc1cncc(CN2C[C@H](C)[C@@H](C)[C@H]2C)c1 ZINC000621731696 343881108 /nfs/dbraw/zinc/88/11/08/343881108.db2.gz XGOCSMKNHFIOPS-YNEHKIRRSA-N 0 3 248.370 2.957 20 0 BFADHN CCN1CCC[C@H]1c1cccc2c1OCCO2 ZINC000066898776 343883936 /nfs/dbraw/zinc/88/39/36/343883936.db2.gz DPSPRKIQZPAZKN-LBPRGKRZSA-N 0 3 233.311 2.615 20 0 BFADHN C[C@H](NCC1(C2CC2)CCC1)c1ncc[nH]1 ZINC000336728319 537830725 /nfs/dbraw/zinc/83/07/25/537830725.db2.gz CMBJIJJKRCOTPN-JTQLQIEISA-N 0 3 219.332 2.641 20 0 BFADHN COc1cncc(CN[C@@]2(C)CCCC[C@@H]2C)n1 ZINC000625466007 343871338 /nfs/dbraw/zinc/87/13/38/343871338.db2.gz XHYKNFUTPIMIAV-FZMZJTMJSA-N 0 3 249.358 2.544 20 0 BFADHN CN(CCC(C)(C)C)CC(=O)NCC(C)(C)C ZINC000625505766 343898714 /nfs/dbraw/zinc/89/87/14/343898714.db2.gz DJHJOGYILKAGJG-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN Cc1ccc(CNCCC(C)(F)F)nc1 ZINC000357716195 178603872 /nfs/dbraw/zinc/60/38/72/178603872.db2.gz MDOLFXALJJROKX-UHFFFAOYSA-N 0 3 214.259 2.525 20 0 BFADHN CC(C)CC[C@H](C)N[C@@H](C)c1cnccn1 ZINC000070024694 343947298 /nfs/dbraw/zinc/94/72/98/343947298.db2.gz VRILGQPHEIPMAB-RYUDHWBXSA-N 0 3 221.348 2.952 20 0 BFADHN CC(C)[C@H](CCO)N[C@@H](C)c1ccccc1F ZINC000123491596 187356050 /nfs/dbraw/zinc/35/60/50/187356050.db2.gz WTNSXZQNMCPQSY-FZMZJTMJSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1ccc(CNCCc2ccco2)o1 ZINC000035121621 178608507 /nfs/dbraw/zinc/60/85/07/178608507.db2.gz VGCBWVKOSOZQLH-UHFFFAOYSA-N 0 3 205.257 2.513 20 0 BFADHN C[C@H](N[C@H]1C=CCCC1)c1cccnc1 ZINC000090393075 343930986 /nfs/dbraw/zinc/93/09/86/343930986.db2.gz JFKUYYQNMKDYPW-AAEUAGOBSA-N 0 3 202.301 2.841 20 0 BFADHN Cc1cc(CNC2CC(C(C)C)C2)on1 ZINC000232537951 343953921 /nfs/dbraw/zinc/95/39/21/343953921.db2.gz CKKUCCCKJAMROM-UHFFFAOYSA-N 0 3 208.305 2.507 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1scnc1C1CC1 ZINC000336757983 537837631 /nfs/dbraw/zinc/83/76/31/537837631.db2.gz HXLLJVWIIAOALG-RKDXNWHRSA-N 0 3 240.372 2.534 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1C[C@@]1(C)CCCS1 ZINC000628120075 343968805 /nfs/dbraw/zinc/96/88/05/343968805.db2.gz WTBSOERGNJTWSA-YNEHKIRRSA-N 0 3 243.416 2.771 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1C[C@@]1(C)CCCS1 ZINC000628120072 343968863 /nfs/dbraw/zinc/96/88/63/343968863.db2.gz WTBSOERGNJTWSA-JHJVBQTASA-N 0 3 243.416 2.771 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cc2ccoc2)cn1 ZINC000360729089 178620837 /nfs/dbraw/zinc/62/08/37/178620837.db2.gz NHDVBZCIIZYJKM-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN CC(C)(CNCc1cc[nH]n1)c1cccs1 ZINC000082707826 187283079 /nfs/dbraw/zinc/28/30/79/187283079.db2.gz MWBUPDOGQLQSOF-UHFFFAOYSA-N 0 3 235.356 2.539 20 0 BFADHN Cn1ccnc1CN1CC(C)(C)CCC1(C)C ZINC000625724174 344056646 /nfs/dbraw/zinc/05/66/46/344056646.db2.gz ZKQUZONPQQXFQW-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN Oc1ccc2c(c1)CN([C@H]1C=CCCC1)C2 ZINC000625726445 344057941 /nfs/dbraw/zinc/05/79/41/344057941.db2.gz IJHOXNIUBYWBIT-ZDUSSCGKSA-N 0 3 215.296 2.817 20 0 BFADHN C[C@H]1C[C@H](CN2Cc3ccc(O)cc3C2)CCO1 ZINC000625727790 344059157 /nfs/dbraw/zinc/05/91/57/344059157.db2.gz LXDCOWOMIHYJJT-NWDGAFQWSA-N 0 3 247.338 2.523 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NC/C=C(/C)Cl ZINC000528514902 262956270 /nfs/dbraw/zinc/95/62/70/262956270.db2.gz CIGJXZZVXCPQOU-ZNWQGHSCSA-N 0 3 217.740 2.676 20 0 BFADHN CC(C)COCCN1CCCC(F)(F)CC1 ZINC000625769706 344065714 /nfs/dbraw/zinc/06/57/14/344065714.db2.gz AQEXTXVTFDXANF-UHFFFAOYSA-N 0 3 235.318 2.780 20 0 BFADHN CC(C)OCCN1CCCC(F)(F)CC1 ZINC000625766086 344068476 /nfs/dbraw/zinc/06/84/76/344068476.db2.gz DXQNDNCDVZTKAO-UHFFFAOYSA-N 0 3 221.291 2.533 20 0 BFADHN Nc1cccc(CN2CCCC(F)(F)CC2)c1 ZINC000625772966 344069514 /nfs/dbraw/zinc/06/95/14/344069514.db2.gz UJXMHPXBKZWSIB-UHFFFAOYSA-N 0 3 240.297 2.890 20 0 BFADHN Cc1ccnc(CN2CC[C@H]3CC[C@@H](C2)S3)c1 ZINC000625778102 344072354 /nfs/dbraw/zinc/07/23/54/344072354.db2.gz MPZPMGIMUUKIQS-KGLIPLIRSA-N 0 3 248.395 2.860 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H]1CC[C@H]1C1CC1 ZINC000348111234 537839730 /nfs/dbraw/zinc/83/97/30/537839730.db2.gz OHKNAMUDEOEGCA-UONOGXRCSA-N 0 3 247.386 2.817 20 0 BFADHN CC[C@H](CN1CCC[C@@H]1c1cccc(C)n1)OC ZINC000573346580 344105892 /nfs/dbraw/zinc/10/58/92/344105892.db2.gz PISMOCMPTOHKKS-UKRRQHHQSA-N 0 3 248.370 2.952 20 0 BFADHN CC(C)CCCN1CCO[C@H](C(F)F)CC1 ZINC000634734590 344115544 /nfs/dbraw/zinc/11/55/44/344115544.db2.gz JRNRKVBLJGSTOD-NSHDSACASA-N 0 3 235.318 2.779 20 0 BFADHN CC1(C)CC[C@@H](CN(C2CC2)C2CC2)O1 ZINC000625841025 344091218 /nfs/dbraw/zinc/09/12/18/344091218.db2.gz BLCXBEDFDDGPFG-LBPRGKRZSA-N 0 3 209.333 2.571 20 0 BFADHN Cc1ncsc1CCN(C1CC1)C1CC1 ZINC000625838754 344092197 /nfs/dbraw/zinc/09/21/97/344092197.db2.gz JPMXOUGFEBAAJJ-UHFFFAOYSA-N 0 3 222.357 2.621 20 0 BFADHN COCc1cccc(CN(C2CC2)C2CC2)c1 ZINC000625843004 344094270 /nfs/dbraw/zinc/09/42/70/344094270.db2.gz PGMKYHAIZHZLNR-UHFFFAOYSA-N 0 3 231.339 2.960 20 0 BFADHN CCCCNCc1snc(OC)c1Cl ZINC000616569867 344170772 /nfs/dbraw/zinc/17/07/72/344170772.db2.gz ACRGQROMKUWYCJ-UHFFFAOYSA-N 0 3 234.752 2.695 20 0 BFADHN FC1(F)CC[C@@H](CN2CCO[C@@H]3CCC[C@@H]32)C1 ZINC000625853448 344126058 /nfs/dbraw/zinc/12/60/58/344126058.db2.gz JPCZVABCWBOATK-GRYCIOLGSA-N 0 3 245.313 2.675 20 0 BFADHN CCN(Cc1ncccc1C)CC1CC1 ZINC000180491259 347030673 /nfs/dbraw/zinc/03/06/73/347030673.db2.gz YLOHWYCPUUUTJY-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1ncc(CN(C)CC2CCCCC2)o1 ZINC000628129009 344157478 /nfs/dbraw/zinc/15/74/78/344157478.db2.gz HOLSDBGONRAZGZ-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN CN(Cc1ccc2[nH]ccc2c1)C[C@H]1CCCO1 ZINC000628129603 344157925 /nfs/dbraw/zinc/15/79/25/344157925.db2.gz LANWZKJUKNIQKC-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1ncc(CN(C2CC2)C2CCCC2)o1 ZINC000628130557 344160350 /nfs/dbraw/zinc/16/03/50/344160350.db2.gz CXPIQKPYTZHQQU-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN Cc1csc([C@H](C)N[C@H](C)CC(C)(C)O)n1 ZINC000384808929 344162662 /nfs/dbraw/zinc/16/26/62/344162662.db2.gz CEZYCGBGORIJBF-SCZZXKLOSA-N 0 3 242.388 2.652 20 0 BFADHN CC[C@@H](NCc1cc[nH]c1)c1ccncc1 ZINC000086254982 344213439 /nfs/dbraw/zinc/21/34/39/344213439.db2.gz LHJKRECDJDETPB-CYBMUJFWSA-N 0 3 215.300 2.651 20 0 BFADHN CC1(C)CCC[C@H]1NCc1nccs1 ZINC000086831063 344223224 /nfs/dbraw/zinc/22/32/24/344223224.db2.gz ZOASSVRWAKAMSJ-SECBINFHSA-N 0 3 210.346 2.811 20 0 BFADHN CCCCOCCN(C)Cc1cccs1 ZINC000056492188 344223915 /nfs/dbraw/zinc/22/39/15/344223915.db2.gz UXGZAFYXYRWUID-UHFFFAOYSA-N 0 3 227.373 2.997 20 0 BFADHN CC1(C)C[C@H](NCc2ccco2)C(C)(C)O1 ZINC000086926845 344225826 /nfs/dbraw/zinc/22/58/26/344225826.db2.gz URRBMTKAOPYNGH-NSHDSACASA-N 0 3 223.316 2.715 20 0 BFADHN COC[C@H](N[C@@H]1CC[C@H]1C1CC1)c1ccco1 ZINC000348112162 537841018 /nfs/dbraw/zinc/84/10/18/537841018.db2.gz CMLZMJOYQOTGCL-XQQFMLRXSA-N 0 3 235.327 2.745 20 0 BFADHN CN(C)CCSCC1CC(C)(C)C1 ZINC000600529970 344258878 /nfs/dbraw/zinc/25/88/78/344258878.db2.gz OSSCBXJYDWFYNV-UHFFFAOYSA-N 0 3 201.379 2.717 20 0 BFADHN C[C@@H]1CCCC[C@H]1SCCN(C)C ZINC000600531750 344259024 /nfs/dbraw/zinc/25/90/24/344259024.db2.gz VYOMFAWDVJYZEB-GHMZBOCLSA-N 0 3 201.379 2.860 20 0 BFADHN Cc1noc(C)c1CN1C[C@@H](C)CC[C@H]1C ZINC000247456444 344263733 /nfs/dbraw/zinc/26/37/33/344263733.db2.gz HXWUHOJSULKHSJ-VHSXEESVSA-N 0 3 222.332 2.912 20 0 BFADHN Cc1ncccc1CN1CCC[C@H](C)C1 ZINC000157710427 323070236 /nfs/dbraw/zinc/07/02/36/323070236.db2.gz NHDDLMDCCMTANM-NSHDSACASA-N 0 3 204.317 2.622 20 0 BFADHN COC(C)(C)CN[C@H](C)c1ccc(C)o1 ZINC000133395944 344317491 /nfs/dbraw/zinc/31/74/91/344317491.db2.gz KYSSWADKTISFJK-SNVBAGLBSA-N 0 3 211.305 2.664 20 0 BFADHN COCc1ccc(CN2CC[C@@H](C)[C@@H](C)C2)o1 ZINC000093480775 344317799 /nfs/dbraw/zinc/31/77/99/344317799.db2.gz HKWNOQJXLRSIGU-NEPJUHHUSA-N 0 3 237.343 2.904 20 0 BFADHN CC[C@H](CN1CCC[C@H]1c1ccccn1)OC ZINC000573583471 344322025 /nfs/dbraw/zinc/32/20/25/344322025.db2.gz AQVLRKZPZVDHEP-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H](CN1C[C@@H](C)O[C@H](C)C1)c1ccccc1 ZINC000247554545 344323788 /nfs/dbraw/zinc/32/37/88/344323788.db2.gz SYSQNTNRXYFFFA-BFHYXJOUSA-N 0 3 233.355 2.899 20 0 BFADHN CC1(C)C[C@@H](NCc2cccnc2)C(C)(C)O1 ZINC000094422780 344329222 /nfs/dbraw/zinc/32/92/22/344329222.db2.gz OXXOAVKVNLCLSE-GFCCVEGCSA-N 0 3 234.343 2.517 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2ccc(C)o2)C1(C)C ZINC000084226265 344329900 /nfs/dbraw/zinc/32/99/00/344329900.db2.gz VEKYZLVYHPVZFC-QWHCGFSZSA-N 0 3 237.343 2.881 20 0 BFADHN CCC1(CNCc2occc2C)CCOCC1 ZINC000266600850 168480832 /nfs/dbraw/zinc/48/08/32/168480832.db2.gz DJALTSUIVNQSMO-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN CC[C@@H](NCc1csc(C)n1)C(C)C ZINC000041010580 344411528 /nfs/dbraw/zinc/41/15/28/344411528.db2.gz AGBOBCSVRMHBLP-LLVKDONJSA-N 0 3 212.362 2.976 20 0 BFADHN CCc1nocc1CNCCCC1CC1 ZINC000382004153 347051380 /nfs/dbraw/zinc/05/13/80/347051380.db2.gz ALMHGOLEEATNLL-UHFFFAOYSA-N 0 3 208.305 2.517 20 0 BFADHN Cc1csc(CN[C@@H]2CC[C@@H]2C(C)C)n1 ZINC000336765182 537846812 /nfs/dbraw/zinc/84/68/12/537846812.db2.gz QUULYYRYAVAWAW-GHMZBOCLSA-N 0 3 224.373 2.976 20 0 BFADHN COC(=O)CCN(Cc1cccs1)C(C)C ZINC000042518724 344457153 /nfs/dbraw/zinc/45/71/53/344457153.db2.gz OZEHCWGYLVCVRH-UHFFFAOYSA-N 0 3 241.356 2.522 20 0 BFADHN CS[C@@H]1CCC[C@@H](NCc2cc(C)no2)C1 ZINC000381007840 344468387 /nfs/dbraw/zinc/46/83/87/344468387.db2.gz RCIDEUXGNXMVGD-ZYHUDNBSSA-N 0 3 240.372 2.747 20 0 BFADHN Cc1nc2ccccc2nc1CN1CC[C@H](C)C1 ZINC000263651635 344512524 /nfs/dbraw/zinc/51/25/24/344512524.db2.gz BVSOLSNIKWUWGI-NSHDSACASA-N 0 3 241.338 2.780 20 0 BFADHN Cc1ccc(F)c(NC2CCN(C)CC2)c1 ZINC000034957179 178676961 /nfs/dbraw/zinc/67/69/61/178676961.db2.gz MTAOZNJUHGVXBE-UHFFFAOYSA-N 0 3 222.307 2.640 20 0 BFADHN CSC1(CNCc2cc(C(C)C)no2)CC1 ZINC000381576870 344523352 /nfs/dbraw/zinc/52/33/52/344523352.db2.gz COMNVKXNTOUJJW-UHFFFAOYSA-N 0 3 240.372 2.783 20 0 BFADHN CCOC(=O)CCN(Cc1ccccc1)C1CC1 ZINC000043646580 344528944 /nfs/dbraw/zinc/52/89/44/344528944.db2.gz DAMWXTQORYGEJN-UHFFFAOYSA-N 0 3 247.338 2.604 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1ccccc1N(C)C ZINC000577512578 344534176 /nfs/dbraw/zinc/53/41/76/344534176.db2.gz NHYLFBRPPDBZMX-FRRDWIJNSA-N 0 3 248.370 2.581 20 0 BFADHN CC[C@@H](NCc1occc1C)[C@@H]1CCCO1 ZINC000119741053 344535233 /nfs/dbraw/zinc/53/52/33/344535233.db2.gz CMWSYLJOUHWMGB-NEPJUHHUSA-N 0 3 223.316 2.635 20 0 BFADHN CCN[C@@H](COC)c1cccc(Cl)c1 ZINC000044569084 344543879 /nfs/dbraw/zinc/54/38/79/344543879.db2.gz QCDOECKWRFRIJC-NSHDSACASA-N 0 3 213.708 2.637 20 0 BFADHN CC[C@@H](NCc1ccc(C)o1)[C@@H]1CCCO1 ZINC000119779951 344544979 /nfs/dbraw/zinc/54/49/79/344544979.db2.gz ASCVWEVLXWMGCK-OLZOCXBDSA-N 0 3 223.316 2.635 20 0 BFADHN OCC[C@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC000220662152 344564585 /nfs/dbraw/zinc/56/45/85/344564585.db2.gz GPBIVBOPLJQODI-LWAXHKBTSA-N 0 3 245.366 2.794 20 0 BFADHN CCN1CCCC[C@@H]1CNCc1ccc(C)o1 ZINC000111393417 344590122 /nfs/dbraw/zinc/59/01/22/344590122.db2.gz BORXJXGCVQNPLN-CYBMUJFWSA-N 0 3 236.359 2.552 20 0 BFADHN CCCCCN(CCCCC)C(=O)[C@@H](N)CC ZINC000037814476 344591596 /nfs/dbraw/zinc/59/15/96/344591596.db2.gz IVHNNAYGAAPHJP-ZDUSSCGKSA-N 0 3 242.407 2.933 20 0 BFADHN CCc1nc(CNC[C@@H]2C[C@H]2CC)cs1 ZINC000387748668 347057388 /nfs/dbraw/zinc/05/73/88/347057388.db2.gz YJGZQIDIROBEOT-ZJUUUORDSA-N 0 3 224.373 2.841 20 0 BFADHN CCN[C@H](C)C(=O)Nc1ccc(C)cc1Cl ZINC000309426479 347059839 /nfs/dbraw/zinc/05/98/39/347059839.db2.gz FKFZYNJHJBRYQU-SECBINFHSA-N 0 3 240.734 2.585 20 0 BFADHN C[C@@]1(CN[C@H]2CCCc3cccnc32)CCCO1 ZINC000094797497 537851165 /nfs/dbraw/zinc/85/11/65/537851165.db2.gz LAJPMFSTHQVVDY-ZFWWWQNUSA-N 0 3 246.354 2.618 20 0 BFADHN C[C@@]1(CN[C@@H]2CCCc3cccnc32)CCCO1 ZINC000094797495 537851238 /nfs/dbraw/zinc/85/12/38/537851238.db2.gz LAJPMFSTHQVVDY-HIFRSBDPSA-N 0 3 246.354 2.618 20 0 BFADHN CCN(Cc1cn2c(cccc2C)n1)CC1CC1 ZINC000112210528 344637328 /nfs/dbraw/zinc/63/73/28/344637328.db2.gz DAPHTFXCEYFXKO-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1cccc(CN2CCC3(CCO3)CC2)c1F ZINC000560145209 344647041 /nfs/dbraw/zinc/64/70/41/344647041.db2.gz YQLGWIDINQVNME-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN C[C@H]1CC(F)(F)CCN1CCC[C@@H]1CCOC1 ZINC000625981354 344648208 /nfs/dbraw/zinc/64/82/08/344648208.db2.gz PTYNKWJHPGDFEH-NWDGAFQWSA-N 0 3 247.329 2.923 20 0 BFADHN Fc1cccc(CN2CC[C@@H]3OCCC[C@@H]3C2)c1 ZINC000152935318 344725030 /nfs/dbraw/zinc/72/50/30/344725030.db2.gz KOQWMPRLIXMVEL-HIFRSBDPSA-N 0 3 249.329 2.827 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1ncc(C)o1 ZINC000075022520 344762642 /nfs/dbraw/zinc/76/26/42/344762642.db2.gz SEXGORQUXATHNV-GXSJLCMTSA-N 0 3 208.305 2.603 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1ccc(F)cc1 ZINC000309472599 347072146 /nfs/dbraw/zinc/07/21/46/347072146.db2.gz RAJGZXYMVNEIJQ-TVYUQYBPSA-N 0 3 223.291 2.654 20 0 BFADHN CC[C@@H](O)CN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000075595576 344780323 /nfs/dbraw/zinc/78/03/23/344780323.db2.gz USDNTLQTHUTWLK-MWLCHTKSSA-N 0 3 243.297 2.729 20 0 BFADHN Cc1cnc(CN2CCC[C@H]2C(C)C)o1 ZINC000075648296 344782912 /nfs/dbraw/zinc/78/29/12/344782912.db2.gz BHDRAFJDTSFYCN-NSHDSACASA-N 0 3 208.305 2.603 20 0 BFADHN CCc1cnc(CN(C)C(C2CC2)C2CC2)o1 ZINC000075676262 344783980 /nfs/dbraw/zinc/78/39/80/344783980.db2.gz JVVGLZNWCNPQAY-UHFFFAOYSA-N 0 3 234.343 2.857 20 0 BFADHN C[C@@H]1C[C@H](c2ccccc2F)N(CCCO)C1 ZINC000075900808 344787723 /nfs/dbraw/zinc/78/77/23/344787723.db2.gz QAUWQAQQEUUJQL-BXUZGUMPSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC3(CCCC3)O2)[C@H]1C ZINC000582135563 347075839 /nfs/dbraw/zinc/07/58/39/347075839.db2.gz CHNCMNLLGQQXIX-FRRDWIJNSA-N 0 3 223.360 2.818 20 0 BFADHN OC[C@H](N[C@H]1CC[C@@H]1C1CC1)c1cccc(F)c1 ZINC000348367284 537859077 /nfs/dbraw/zinc/85/90/77/537859077.db2.gz CXARKILQZJYGFR-ILXRZTDVSA-N 0 3 249.329 2.637 20 0 BFADHN C[C@H]1CN(Cc2cccc3c2OCCO3)C[C@@H]1C ZINC000076112835 344796378 /nfs/dbraw/zinc/79/63/78/344796378.db2.gz LMEORJGMFCQEKE-RYUDHWBXSA-N 0 3 247.338 2.546 20 0 BFADHN Cc1cccc(NC(=O)[C@H](C)N2CCC[C@@H]2C)c1 ZINC000076117872 344797673 /nfs/dbraw/zinc/79/76/73/344797673.db2.gz XPBGXZBTFZSLAI-STQMWFEESA-N 0 3 246.354 2.806 20 0 BFADHN CCc1cccc(CNCCOCC2CC2)c1 ZINC000577068227 365802162 /nfs/dbraw/zinc/80/21/62/365802162.db2.gz OYDXXYYURRXJMJ-UHFFFAOYSA-N 0 3 233.355 2.765 20 0 BFADHN Cc1ccc(CN(CCCCCO)C2CC2)o1 ZINC000588935585 344811040 /nfs/dbraw/zinc/81/10/40/344811040.db2.gz BFCPBIXOCWDAPS-UHFFFAOYSA-N 0 3 237.343 2.715 20 0 BFADHN CCN1CCN(C[C@@H](C)Cc2ccccc2)CC1 ZINC000182026908 347081330 /nfs/dbraw/zinc/08/13/30/347081330.db2.gz ATQMKYAQMKGZIW-HNNXBMFYSA-N 0 3 246.398 2.503 20 0 BFADHN COCCN(CCC(F)(F)F)CC(C)C ZINC000077250962 344813893 /nfs/dbraw/zinc/81/38/93/344813893.db2.gz XEBANIGQIULGEK-UHFFFAOYSA-N 0 3 227.270 2.543 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C[C@@H]2C)on1 ZINC000077320227 344816626 /nfs/dbraw/zinc/81/66/26/344816626.db2.gz WHFVIDSMWUBERT-ONGXEEELSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1CC1CCC(=O)CC1 ZINC000528766222 262972581 /nfs/dbraw/zinc/97/25/81/262972581.db2.gz DSYBJELDFBEAGS-SNVBAGLBSA-N 0 3 245.313 2.865 20 0 BFADHN CCN(Cc1nc(C)c[nH]1)[C@@H](C)C(C)C ZINC000628156570 344849361 /nfs/dbraw/zinc/84/93/61/344849361.db2.gz UCSJDFNGIHBLCL-NSHDSACASA-N 0 3 209.337 2.585 20 0 BFADHN CC[C@@H]1CN(C[C@]2(C)CCCS2)C[C@@H](C)O1 ZINC000628154687 344844574 /nfs/dbraw/zinc/84/45/74/344844574.db2.gz DFVPFRCPIABDAA-UPJWGTAASA-N 0 3 243.416 2.771 20 0 BFADHN CCN(Cc1cnc(C2CC2)o1)CC1CC1 ZINC000628174179 344894035 /nfs/dbraw/zinc/89/40/35/344894035.db2.gz ZHNVBYFOUULFPT-UHFFFAOYSA-N 0 3 220.316 2.784 20 0 BFADHN Cc1ccc([C@@H](C)CN(C)Cc2cn[nH]c2)cc1 ZINC000628175551 344899805 /nfs/dbraw/zinc/89/98/05/344899805.db2.gz BCRCVTKULVWDDQ-ZDUSSCGKSA-N 0 3 243.354 2.954 20 0 BFADHN Cc1c[nH]c(CN2CCCC[C@@H]2C(C)C)n1 ZINC000628177321 344905154 /nfs/dbraw/zinc/90/51/54/344905154.db2.gz UJXNFDMHRLWFEF-GFCCVEGCSA-N 0 3 221.348 2.729 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cnc(C)o2)C1 ZINC000628177524 344906436 /nfs/dbraw/zinc/90/64/36/344906436.db2.gz BXXMHAABJOELGV-CYBMUJFWSA-N 0 3 222.332 2.995 20 0 BFADHN CC(C)C[C@H](CO)N[C@H](C)c1ccsc1 ZINC000050344495 187294895 /nfs/dbraw/zinc/29/48/95/187294895.db2.gz KTAANIUUZKSMHA-ZYHUDNBSSA-N 0 3 227.373 2.806 20 0 BFADHN CC(=O)Nc1ccccc1CN1CCC[C@H]1C ZINC000124387844 187295275 /nfs/dbraw/zinc/29/52/75/187295275.db2.gz YPHYDNBQMMRNNP-LLVKDONJSA-N 0 3 232.327 2.629 20 0 BFADHN CCC[C@@H]1CN(C[C@@]2(C)CCCS2)CCO1 ZINC000628179065 344913459 /nfs/dbraw/zinc/91/34/59/344913459.db2.gz MXXHOVZKVBFWKS-CHWSQXEVSA-N 0 3 243.416 2.773 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1nc(C)c(C)[nH]1 ZINC000628179381 344914509 /nfs/dbraw/zinc/91/45/09/344914509.db2.gz YJWUILNIGNBNCO-BXKDBHETSA-N 0 3 221.348 2.647 20 0 BFADHN CC[C@H]1CCCCCN1Cc1nc(C)c[nH]1 ZINC000628179585 344915507 /nfs/dbraw/zinc/91/55/07/344915507.db2.gz GHECYIAOXRFHGP-LBPRGKRZSA-N 0 3 221.348 2.873 20 0 BFADHN c1cc(CNC2(C3CC3)CC2)n(CC2CCC2)n1 ZINC000631091222 344955769 /nfs/dbraw/zinc/95/57/69/344955769.db2.gz OKIYBKJJMDVNDZ-UHFFFAOYSA-N 0 3 245.370 2.715 20 0 BFADHN C[C@]12CCCC[C@H]1CN2Cc1ccccn1 ZINC000626104850 344967992 /nfs/dbraw/zinc/96/79/92/344967992.db2.gz GVUWXHIAJXCYRB-JSGCOSHPSA-N 0 3 216.328 2.846 20 0 BFADHN Cc1nc(C)c(CNCCc2cccc(F)c2)o1 ZINC000628200189 344970885 /nfs/dbraw/zinc/97/08/85/344970885.db2.gz BFNWZHKEROWXTC-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN Cc1nc(CN[C@H](C)C2CC(F)(F)C2)cs1 ZINC000628201148 344974619 /nfs/dbraw/zinc/97/46/19/344974619.db2.gz STZMHHNOPFCZFH-SSDOTTSWSA-N 0 3 246.326 2.975 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)C[C@H](C)C2)o1 ZINC000628189633 344942134 /nfs/dbraw/zinc/94/21/34/344942134.db2.gz HZAGQDSRVZKGAV-QWRGUYRKSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1scc(CNCC2=CCOCC2)c1C ZINC000631150330 344978792 /nfs/dbraw/zinc/97/87/92/344978792.db2.gz ZGLIUMVPVWAMHI-UHFFFAOYSA-N 0 3 237.368 2.801 20 0 BFADHN CCC(CC)CN1CCOCC12CCC2 ZINC000153902636 345000443 /nfs/dbraw/zinc/00/04/43/345000443.db2.gz OGEUKTBKAZIOBS-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN Cc1nc(C)c(CN[C@@H](C)CCc2ccco2)o1 ZINC000385623968 345009513 /nfs/dbraw/zinc/00/95/13/345009513.db2.gz QZOZNVWTALMIPE-JTQLQIEISA-N 0 3 248.326 2.995 20 0 BFADHN c1ccc2c(c1)OCCC[C@@H]2NC[C@@H]1CCCO1 ZINC000153121090 187300000 /nfs/dbraw/zinc/30/00/00/187300000.db2.gz VGTBEUDNOXWVCJ-JSGCOSHPSA-N 0 3 247.338 2.669 20 0 BFADHN CC(C)C[C@H](NC[C@H]1CCCO1)c1ccccn1 ZINC000153062120 187300005 /nfs/dbraw/zinc/30/00/05/187300005.db2.gz CZHJBAULJMQBAV-HIFRSBDPSA-N 0 3 248.370 2.937 20 0 BFADHN Cn1cc(CN2CCC[C@@H]2c2ccccc2)cn1 ZINC000154788403 345050322 /nfs/dbraw/zinc/05/03/22/345050322.db2.gz UZCVDEJECPMPCM-OAHLLOKOSA-N 0 3 241.338 2.757 20 0 BFADHN Cc1cc(CNCCCc2ccccc2)on1 ZINC000044301262 345015120 /nfs/dbraw/zinc/01/51/20/345015120.db2.gz NCMIHRKRLJCWSL-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN CC(C)n1cc([C@@H](C)NCCc2ccco2)cn1 ZINC000156011514 345120776 /nfs/dbraw/zinc/12/07/76/345120776.db2.gz HMIKULOFNJUVOR-GFCCVEGCSA-N 0 3 247.342 2.950 20 0 BFADHN C[C@@H]1OCCN(CC2CCCCC2)[C@H]1C ZINC000156094853 345122082 /nfs/dbraw/zinc/12/20/82/345122082.db2.gz NASKBACNZNWCGY-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@@H](Cc1ccc(F)cc1)NCc1ccon1 ZINC000634986006 345165828 /nfs/dbraw/zinc/16/58/28/345165828.db2.gz JSHCRSBJYLPPOS-ZDUSSCGKSA-N 0 3 248.301 2.925 20 0 BFADHN c1cc(CNC[C@@H]2CCC[C@H]2C2CC2)no1 ZINC000634984260 345167807 /nfs/dbraw/zinc/16/78/07/345167807.db2.gz MLBQGGAAMKSPEF-AAEUAGOBSA-N 0 3 220.316 2.591 20 0 BFADHN Fc1ccc2oc(CN[C@@H]3C[C@H]4C[C@H]4C3)nc2c1 ZINC000634985095 345169303 /nfs/dbraw/zinc/16/93/03/345169303.db2.gz KVWIXTGENWRFLH-DOFRTFSJSA-N 0 3 246.285 2.855 20 0 BFADHN C[C@H](CN1CCO[C@@H](C)[C@H]1C)c1ccccc1 ZINC000156524856 345172642 /nfs/dbraw/zinc/17/26/42/345172642.db2.gz YMRUNRJCNIYGGI-MCIONIFRSA-N 0 3 233.355 2.899 20 0 BFADHN C[C@@H](NCc1cc[nH]n1)[C@H](C)c1ccccc1 ZINC000080247833 345126777 /nfs/dbraw/zinc/12/67/77/345126777.db2.gz WPEMBKNWDCVVOG-NWDGAFQWSA-N 0 3 229.327 2.692 20 0 BFADHN CCC1CCC(CNCc2ccon2)CC1 ZINC000634959731 345132852 /nfs/dbraw/zinc/13/28/52/345132852.db2.gz ZSQIYDOYGSUEBC-UHFFFAOYSA-N 0 3 222.332 2.981 20 0 BFADHN c1cc(CN[C@@H]2CC[C@@H]3CCC[C@@H]3C2)no1 ZINC000634964562 345134545 /nfs/dbraw/zinc/13/45/45/345134545.db2.gz CVCKVXNJCHHFNK-QJPTWQEYSA-N 0 3 220.316 2.733 20 0 BFADHN c1cc(CNC[C@H]2CCc3ccccc3C2)no1 ZINC000634962097 345136542 /nfs/dbraw/zinc/13/65/42/345136542.db2.gz LMIIYKLJQRLRCM-LBPRGKRZSA-N 0 3 242.322 2.569 20 0 BFADHN COCC[C@@H](C)N[C@H]1CSc2ccccc21 ZINC000156215976 345139902 /nfs/dbraw/zinc/13/99/02/345139902.db2.gz XMEUPLJGOPZQHA-PWSUYJOCSA-N 0 3 237.368 2.848 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1ccc(Cl)nc1 ZINC000126884770 345144647 /nfs/dbraw/zinc/14/46/47/345144647.db2.gz DCSVACXEANZBDJ-MWLCHTKSSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1ccc(Cl)nc1 ZINC000126884324 345144986 /nfs/dbraw/zinc/14/49/86/345144986.db2.gz DCSVACXEANZBDJ-GXSJLCMTSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@@H]1OCCN(CCCc2ccccc2)[C@H]1C ZINC000156311503 345148474 /nfs/dbraw/zinc/14/84/74/345148474.db2.gz DLPXPWKOGZUQEZ-KBPBESRZSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@H](COC)N[C@@H]1CSc2ccccc21 ZINC000156357736 345152385 /nfs/dbraw/zinc/15/23/85/345152385.db2.gz YFLWDDJZTMTADD-ZYHUDNBSSA-N 0 3 237.368 2.848 20 0 BFADHN COc1ccc(CN[C@H]2[C@@H]3CCC[C@@H]32)c(OC)c1 ZINC000579980329 345226246 /nfs/dbraw/zinc/22/62/46/345226246.db2.gz SYVKYWGMPRTQPV-NHAGDIPZSA-N 0 3 247.338 2.592 20 0 BFADHN c1cnc(CN[C@@H]2CCCC[C@H]2C2CC2)nc1 ZINC000580786143 345229133 /nfs/dbraw/zinc/22/91/33/345229133.db2.gz ZLVPZRGZUVLERJ-QWHCGFSZSA-N 0 3 231.343 2.535 20 0 BFADHN CC(C)CCCNCc1ccncc1F ZINC000449076706 201804287 /nfs/dbraw/zinc/80/42/87/201804287.db2.gz XOFCTTBFZSXRHA-UHFFFAOYSA-N 0 3 210.296 2.747 20 0 BFADHN CCOCCCN(C)Cc1cccc(F)c1 ZINC000158326262 345320741 /nfs/dbraw/zinc/32/07/41/345320741.db2.gz BWKXIFJTCAVCRB-UHFFFAOYSA-N 0 3 225.307 2.684 20 0 BFADHN CCN(Cc1ccnc(OC(C)C)c1)C1CC1 ZINC000131670548 345305267 /nfs/dbraw/zinc/30/52/67/345305267.db2.gz QQTCLTFEVGDGQO-UHFFFAOYSA-N 0 3 234.343 2.853 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCCC2(CC2)CC1 ZINC000626113935 345307722 /nfs/dbraw/zinc/30/77/22/345307722.db2.gz MPCDVLGVLNGQIZ-UHFFFAOYSA-N 0 3 247.386 2.803 20 0 BFADHN CC(C)Cc1ncc(CN2CCCC2)s1 ZINC000161975766 187302373 /nfs/dbraw/zinc/30/23/73/187302373.db2.gz WIMLLEQMNRLHIM-UHFFFAOYSA-N 0 3 224.373 2.937 20 0 BFADHN C(c1noc([C@H]2C[C@H]3CCCC[C@@H]3N2)n1)C1CC1 ZINC000149008328 187302408 /nfs/dbraw/zinc/30/24/08/187302408.db2.gz YZFRUEIYPQBJLA-GRYCIOLGSA-N 0 3 247.342 2.615 20 0 BFADHN OC[C@H](N[C@H]1CC[C@@H]1C1CC1)c1ccc(F)cc1 ZINC000348380693 537895506 /nfs/dbraw/zinc/89/55/06/537895506.db2.gz PYJUPEIFEOBEJD-ILXRZTDVSA-N 0 3 249.329 2.637 20 0 BFADHN CC[C@@H](C)[C@H](C)NC(=O)CN(C)CC(C)(C)C ZINC000635419594 345359222 /nfs/dbraw/zinc/35/92/22/345359222.db2.gz CNSCWRLFKNFFMI-NEPJUHHUSA-N 0 3 242.407 2.515 20 0 BFADHN CCOC(=O)c1ccc(CN(C)C(C)(C)C)o1 ZINC000566853054 345378823 /nfs/dbraw/zinc/37/88/23/345378823.db2.gz FNQXNYNQPQWWEE-UHFFFAOYSA-N 0 3 239.315 2.687 20 0 BFADHN CC(C)N(Cc1cnc2ccccn12)C1CC1 ZINC000158397019 345328888 /nfs/dbraw/zinc/32/88/88/345328888.db2.gz JCRNMCSRDHCZTK-UHFFFAOYSA-N 0 3 229.327 2.707 20 0 BFADHN CCC[C@@H](C)[C@H](CC)NCc1nncn1CC ZINC000158437096 345334588 /nfs/dbraw/zinc/33/45/88/345334588.db2.gz GXQIYRNALBTEDS-NEPJUHHUSA-N 0 3 238.379 2.602 20 0 BFADHN CSCCN[C@@H](C)c1cccc(F)c1 ZINC000070184522 345455514 /nfs/dbraw/zinc/45/55/14/345455514.db2.gz UXBMWPLOGNOTEW-VIFPVBQESA-N 0 3 213.321 2.839 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NCCSCC(C)C ZINC000134596889 345416705 /nfs/dbraw/zinc/41/67/05/345416705.db2.gz BNUODLALNHODGY-SNVBAGLBSA-N 0 3 241.404 2.758 20 0 BFADHN CCCCN(Cc1cn(C)nc1CC)C1CC1 ZINC000678813837 488286687 /nfs/dbraw/zinc/28/66/87/488286687.db2.gz MIDVDNAWHVOGRJ-UHFFFAOYSA-N 0 3 235.375 2.747 20 0 BFADHN CC[C@H]1C[C@H](C)CN1CCOCC(F)(F)F ZINC000080656165 345398139 /nfs/dbraw/zinc/39/81/39/345398139.db2.gz OOVLUUBHVKXCID-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN CC(C)N(C)CC(=O)NCC(C)(C)C(C)(C)C ZINC000348487176 534996054 /nfs/dbraw/zinc/99/60/54/534996054.db2.gz GCUXTPYYUPKJGL-UHFFFAOYSA-N 0 3 242.407 2.515 20 0 BFADHN CSCCNCc1c[nH]nc1-c1ccccc1 ZINC000070185569 345458468 /nfs/dbraw/zinc/45/84/68/345458468.db2.gz BKHUBNSODDJIPM-UHFFFAOYSA-N 0 3 247.367 2.529 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C)[C@H]2C)cn1 ZINC000085822624 345535941 /nfs/dbraw/zinc/53/59/41/345535941.db2.gz FXTQHAIVBNDGMI-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NCc1cc[nH]c1)CC2 ZINC000086253226 345536495 /nfs/dbraw/zinc/53/64/95/345536495.db2.gz WSEXTHMUTKNSMK-AWEZNQCLSA-N 0 3 230.286 2.931 20 0 BFADHN Fc1cccc2c1CC[C@@H]2NCc1cc[nH]c1 ZINC000086252089 345536591 /nfs/dbraw/zinc/53/65/91/345536591.db2.gz IARKJZDUYWCZSA-AWEZNQCLSA-N 0 3 230.286 2.931 20 0 BFADHN OCCC[C@@H](NCc1cc[nH]c1)c1ccccc1 ZINC000086254935 345537466 /nfs/dbraw/zinc/53/74/66/345537466.db2.gz FLUQLPATNHPXBF-OAHLLOKOSA-N 0 3 244.338 2.618 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@@H]2C)sc1C ZINC000088601496 345542559 /nfs/dbraw/zinc/54/25/59/345542559.db2.gz JNAYTZBHMHCLPT-BDAKNGLRSA-N 0 3 224.373 2.990 20 0 BFADHN CC(C)C[C@@H](C)NCc1ccc(F)cn1 ZINC000308923647 187307246 /nfs/dbraw/zinc/30/72/46/187307246.db2.gz CRZKTTLMSNFEKV-SNVBAGLBSA-N 0 3 210.296 2.745 20 0 BFADHN COC[C@@H](N[C@@H]1COc2ccc(C)cc21)C(C)C ZINC000090452739 345568505 /nfs/dbraw/zinc/56/85/05/345568505.db2.gz CGQWLVPWAWUULV-ZIAGYGMSSA-N 0 3 249.354 2.689 20 0 BFADHN C[C@@H](N[C@H](CO)CC(C)(C)C)c1ccccn1 ZINC000090588421 345573659 /nfs/dbraw/zinc/57/36/59/345573659.db2.gz KLSOVWIACIKREX-NEPJUHHUSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@H](N[C@H](CO)CC(C)(C)C)c1ccccn1 ZINC000090588420 345573733 /nfs/dbraw/zinc/57/37/33/345573733.db2.gz KLSOVWIACIKREX-RYUDHWBXSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)[C@H](C)N[C@H](C)c1ccncc1F ZINC000308859933 187307302 /nfs/dbraw/zinc/30/73/02/187307302.db2.gz RBUWXITUYFBMLW-VHSXEESVSA-N 0 3 210.296 2.916 20 0 BFADHN CCOCCCCN[C@@H](C)c1ccc(F)cn1 ZINC000092739864 345637264 /nfs/dbraw/zinc/63/72/64/345637264.db2.gz USUJRHMFSPFKNB-NSHDSACASA-N 0 3 240.322 2.688 20 0 BFADHN CCn1nc(C)c(CN(C)CC(C)(C)C)c1C ZINC000092217636 345608344 /nfs/dbraw/zinc/60/83/44/345608344.db2.gz LGYHHKGDOGGSRC-UHFFFAOYSA-N 0 3 237.391 2.998 20 0 BFADHN CC[C@H](c1ccncc1)N(C)CCC(C)(C)O ZINC000092224024 345608643 /nfs/dbraw/zinc/60/86/43/345608643.db2.gz DCTZEKGAFQGVCF-CYBMUJFWSA-N 0 3 236.359 2.626 20 0 BFADHN CC[C@H](C)CN(C)Cc1cnc(N(C)C)s1 ZINC000091796470 345611268 /nfs/dbraw/zinc/61/12/68/345611268.db2.gz GOWUHSOREXTMQX-JTQLQIEISA-N 0 3 241.404 2.687 20 0 BFADHN C[C@H]1CCN(Cc2c[nH]nc2-c2cccs2)C1 ZINC000092471336 345622985 /nfs/dbraw/zinc/62/29/85/345622985.db2.gz WITDOFJSUWPSQY-JTQLQIEISA-N 0 3 247.367 2.980 20 0 BFADHN COc1cccc(CN2CC[C@@H](C)C2)c1OC ZINC000092471441 345623367 /nfs/dbraw/zinc/62/33/67/345623367.db2.gz DMHNDGNWJGECNW-LLVKDONJSA-N 0 3 235.327 2.546 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](C)C2)cc1OC ZINC000092471645 345624314 /nfs/dbraw/zinc/62/43/14/345624314.db2.gz DQABXJAWSGRMBE-GFCCVEGCSA-N 0 3 249.354 2.936 20 0 BFADHN CCc1nsc(SCCN(CC)CC)n1 ZINC000092658312 345634108 /nfs/dbraw/zinc/63/41/08/345634108.db2.gz TZEQRAKVGYAPOW-UHFFFAOYSA-N 0 3 245.417 2.534 20 0 BFADHN C[C@@H]1CCC[C@H](CCN2CCc3n[nH]cc3C2)C1 ZINC000091078212 345582382 /nfs/dbraw/zinc/58/23/82/345582382.db2.gz REIYBATZQXOERT-CHWSQXEVSA-N 0 3 247.386 2.984 20 0 BFADHN CC(C)[C@@H](NCc1cccnc1)c1cccnc1 ZINC000091261909 345590430 /nfs/dbraw/zinc/59/04/30/345590430.db2.gz YEERQNKLIRTAHN-OAHLLOKOSA-N 0 3 241.338 2.964 20 0 BFADHN CCOCCCNCc1ccc(SC)o1 ZINC000641701207 362614130 /nfs/dbraw/zinc/61/41/30/362614130.db2.gz PCAGSDJSYORUPX-UHFFFAOYSA-N 0 3 229.345 2.518 20 0 BFADHN CSC[C@H]1CCCN1Cc1occc1C ZINC000093761371 345664721 /nfs/dbraw/zinc/66/47/21/345664721.db2.gz MXZYSDAIDSZZRD-LLVKDONJSA-N 0 3 225.357 2.915 20 0 BFADHN CC(C)[C@H](NCC1(C)OCCO1)c1ccccc1 ZINC000180214720 187307784 /nfs/dbraw/zinc/30/77/84/187307784.db2.gz RYLBQYAIJXUHJX-AWEZNQCLSA-N 0 3 249.354 2.736 20 0 BFADHN CSc1ccc(CNC[C@@H]2CCCOC2)o1 ZINC000641709265 362617034 /nfs/dbraw/zinc/61/70/34/362617034.db2.gz IZGZBTPYASAMDL-JTQLQIEISA-N 0 3 241.356 2.518 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1ccn(C)n1 ZINC000309587236 187309111 /nfs/dbraw/zinc/30/91/11/187309111.db2.gz SIFLWFWUTHVVRJ-GFCCVEGCSA-N 0 3 221.348 2.645 20 0 BFADHN COCC(C)(C)CCCN[C@@H](C)c1ncc[nH]1 ZINC000348410226 537902009 /nfs/dbraw/zinc/90/20/09/537902009.db2.gz NXJBATUDJNJQSQ-NSHDSACASA-N 0 3 239.363 2.513 20 0 BFADHN CN(Cc1cnccn1)C1CCC(C)(C)CC1 ZINC000092932427 345648563 /nfs/dbraw/zinc/64/85/63/345648563.db2.gz BIJLXIDSAAIPPT-UHFFFAOYSA-N 0 3 233.359 2.877 20 0 BFADHN CC(C)(NCCn1cccn1)c1cccc(F)c1 ZINC000179567380 187308056 /nfs/dbraw/zinc/30/80/56/187308056.db2.gz QXOJNFHJYDKGQB-UHFFFAOYSA-N 0 3 247.317 2.547 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CC[C@@H](C)[C@@H](O)C1 ZINC000093333074 345652888 /nfs/dbraw/zinc/65/28/88/345652888.db2.gz UBIUCVZJNVTYLJ-SUNKGSAMSA-N 0 3 237.318 2.589 20 0 BFADHN Fc1ccc([C@H]2CCN(Cc3c[nH]cn3)C2)cc1 ZINC000093335621 345653426 /nfs/dbraw/zinc/65/34/26/345653426.db2.gz FZNVPWCCDJDJDB-LBPRGKRZSA-N 0 3 245.301 2.538 20 0 BFADHN Fc1ccc([C@H]2CCN(Cc3cnc[nH]3)C2)cc1 ZINC000093335621 345653427 /nfs/dbraw/zinc/65/34/27/345653427.db2.gz FZNVPWCCDJDJDB-LBPRGKRZSA-N 0 3 245.301 2.538 20 0 BFADHN CC1(C)CCN1Cc1ccc(N2CCCC2)nc1 ZINC000093460300 345671362 /nfs/dbraw/zinc/67/13/62/345671362.db2.gz CMSYYGLLKJLGAS-UHFFFAOYSA-N 0 3 245.370 2.666 20 0 BFADHN CCn1nc(C)c(CN2CCC2(C)C)c1C ZINC000093460299 345671656 /nfs/dbraw/zinc/67/16/56/345671656.db2.gz CNAKDAGJGMDUKE-UHFFFAOYSA-N 0 3 221.348 2.504 20 0 BFADHN COc1cc(C)c(CN2CCC2(C)C)cc1OC ZINC000093460378 345672064 /nfs/dbraw/zinc/67/20/64/345672064.db2.gz CSMGIGSNOZJFHA-UHFFFAOYSA-N 0 3 249.354 2.997 20 0 BFADHN COc1ccsc1CN(C)C1CCC1 ZINC000093475728 345672369 /nfs/dbraw/zinc/67/23/69/345672369.db2.gz NMKXVGDFBGDTCG-UHFFFAOYSA-N 0 3 211.330 2.741 20 0 BFADHN Cc1ncsc1CN1CC[C@H](C)[C@H](C)C1 ZINC000093480975 345672763 /nfs/dbraw/zinc/67/27/63/345672763.db2.gz YVSJEHGROSVJOM-VHSXEESVSA-N 0 3 224.373 2.929 20 0 BFADHN CSc1ccc(CN[C@H]2CCCSC2)o1 ZINC000641733568 362654871 /nfs/dbraw/zinc/65/48/71/362654871.db2.gz SQMZHKBLOMAHOS-VIFPVBQESA-N 0 3 243.397 2.987 20 0 BFADHN CC[C@H](N[C@@H]1CCC[C@@H](F)C1)c1nccn1C ZINC000563124846 323094172 /nfs/dbraw/zinc/09/41/72/323094172.db2.gz KHPZLWMURWTZMM-UTUOFQBUSA-N 0 3 239.338 2.742 20 0 BFADHN c1ccc(C2CCN([C@@H]3CCOC3)CC2)cc1 ZINC000139864062 345698281 /nfs/dbraw/zinc/69/82/81/345698281.db2.gz KQOOUSJLDSITTH-OAHLLOKOSA-N 0 3 231.339 2.655 20 0 BFADHN Cc1cccc(CN2CCC(C)CC2)n1 ZINC000164714854 345739186 /nfs/dbraw/zinc/73/91/86/345739186.db2.gz LLACNJWVLCGNGG-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CCCCNCc1cc(F)ccc1OC ZINC000149026853 345720116 /nfs/dbraw/zinc/72/01/16/345720116.db2.gz MLOFLOQVDDLQBP-UHFFFAOYSA-N 0 3 211.280 2.724 20 0 BFADHN Cc1ccc(C)c(N2CCN(CC3CC3)CC2)c1 ZINC000149032522 345720147 /nfs/dbraw/zinc/72/01/47/345720147.db2.gz DULQGPNUNZVEPE-UHFFFAOYSA-N 0 3 244.382 2.835 20 0 BFADHN C[C@H]1CCCCC[C@@H]1NCc1cocn1 ZINC000389615186 537907587 /nfs/dbraw/zinc/90/75/87/537907587.db2.gz GFAHQTWBGSOXMY-JQWIXIFHSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H]1CCCCC[C@H]1NCc1cocn1 ZINC000389615190 537908066 /nfs/dbraw/zinc/90/80/66/537908066.db2.gz GFAHQTWBGSOXMY-ZYHUDNBSSA-N 0 3 208.305 2.733 20 0 BFADHN CC(C)N1CCN(CCCC(C)(C)C)CC1 ZINC000150144808 345738380 /nfs/dbraw/zinc/73/83/80/345738380.db2.gz YOPGCOUPKVRYOJ-UHFFFAOYSA-N 0 3 226.408 2.839 20 0 BFADHN c1cncc(CN2CCC3(CCCC3)C2)c1 ZINC000174261966 345893021 /nfs/dbraw/zinc/89/30/21/345893021.db2.gz DOZBIPCNAQAJSE-UHFFFAOYSA-N 0 3 216.328 2.848 20 0 BFADHN CC(C)C[C@H]1CCN([C@@H](C)c2cnccn2)C1 ZINC000184593029 187310470 /nfs/dbraw/zinc/31/04/70/187310470.db2.gz GGKKLTKPISYGGS-QWHCGFSZSA-N 0 3 233.359 2.906 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H](C)c1ccc(F)cc1 ZINC000186511509 187311716 /nfs/dbraw/zinc/31/17/16/187311716.db2.gz LWROSGBRTRZSAV-FSHFUFFASA-N 0 3 237.318 2.803 20 0 BFADHN CC1(C)OCc2cc(CNC3CC3)ccc2O1 ZINC000187045346 187312086 /nfs/dbraw/zinc/31/20/86/187312086.db2.gz CVLLRMQEHDGUQQ-UHFFFAOYSA-N 0 3 233.311 2.584 20 0 BFADHN CN(C)Cc1ccccc1C(F)(F)F ZINC000061757910 345919252 /nfs/dbraw/zinc/91/92/52/345919252.db2.gz BUXKYKPANVSZOP-UHFFFAOYSA-N 0 3 203.207 2.767 20 0 BFADHN Cc1ncc(CNCCc2ccc(C)cc2)o1 ZINC000628216670 345922653 /nfs/dbraw/zinc/92/26/53/345922653.db2.gz WPLNYTIPOUVLLX-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CCC[C@H](CC)NCc1oc(C)nc1C ZINC000311651858 345956688 /nfs/dbraw/zinc/95/66/88/345956688.db2.gz CRFDCQAMQUGBAI-NSHDSACASA-N 0 3 210.321 2.960 20 0 BFADHN COc1cc(F)c([C@@H](C)NC(C)C)cc1OC ZINC000152015283 323099682 /nfs/dbraw/zinc/09/96/82/323099682.db2.gz XCSPJVWAXCZQJO-SECBINFHSA-N 0 3 241.306 2.902 20 0 BFADHN CSCCNCc1ccc(C)cc1F ZINC000601100722 346024837 /nfs/dbraw/zinc/02/48/37/346024837.db2.gz ZZSHRQRGNZLYIJ-UHFFFAOYSA-N 0 3 213.321 2.587 20 0 BFADHN CC(C)C[C@@H](C)NC(=O)CN(C)CC(C)(C)C ZINC000635724966 346035815 /nfs/dbraw/zinc/03/58/15/346035815.db2.gz WSLIIGNDSFPWTH-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN C=Cn1cc(CN2CCCC[C@H]2CC)cn1 ZINC000193590048 187315075 /nfs/dbraw/zinc/31/50/75/187315075.db2.gz VIFFNJKKSYYGKX-CYBMUJFWSA-N 0 3 219.332 2.748 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCC(F)(F)C2)o1 ZINC000628229362 346178559 /nfs/dbraw/zinc/17/85/59/346178559.db2.gz SBYTZRARMVQVAH-SECBINFHSA-N 0 3 230.258 2.569 20 0 BFADHN CCC1(NCc2oc(C)nc2C)CCC1 ZINC000628227132 346174694 /nfs/dbraw/zinc/17/46/94/346174694.db2.gz SHLDXOMFTYKLAE-UHFFFAOYSA-N 0 3 208.305 2.714 20 0 BFADHN CS[C@H](C)CNCc1ccc(C)cc1F ZINC000601115040 346152141 /nfs/dbraw/zinc/15/21/41/346152141.db2.gz YCOJBIVJBJAKEQ-SNVBAGLBSA-N 0 3 227.348 2.975 20 0 BFADHN CCc1nn(C)cc1CN1C[C@@H](C)CC[C@H]1C ZINC000245654370 537952977 /nfs/dbraw/zinc/95/29/77/537952977.db2.gz IMCPUEZKKOYOQJ-NWDGAFQWSA-N 0 3 235.375 2.603 20 0 BFADHN c1nc(C2CC2)oc1CN1CC[C@@H]2CCC[C@H]21 ZINC000628241901 346201777 /nfs/dbraw/zinc/20/17/77/346201777.db2.gz LFXFGJOCZSYLSU-GXFFZTMASA-N 0 3 232.327 2.926 20 0 BFADHN Cc1ncc(CN2CCCC[C@H](C)C2)o1 ZINC000628240508 346202380 /nfs/dbraw/zinc/20/23/80/346202380.db2.gz IFZIMKHZSUPIAL-JTQLQIEISA-N 0 3 208.305 2.605 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cnc(C)o1 ZINC000628240611 346203395 /nfs/dbraw/zinc/20/33/95/346203395.db2.gz LWULSVJLDMVZMP-BXKDBHETSA-N 0 3 208.305 2.603 20 0 BFADHN c1nc(C2CC2)oc1CNC[C@@H]1CCCS1 ZINC000628228572 346181437 /nfs/dbraw/zinc/18/14/37/346181437.db2.gz SPKXENDRVZBTTL-NSHDSACASA-N 0 3 238.356 2.537 20 0 BFADHN Cc1nc(C)c(CNCC23CCC(CC2)C3)o1 ZINC000628230997 346182812 /nfs/dbraw/zinc/18/28/12/346182812.db2.gz ANEFDDRAFQGRHR-UHFFFAOYSA-N 0 3 234.343 2.961 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3n[nH]cc32)C[C@@H]1F ZINC000628234463 346187719 /nfs/dbraw/zinc/18/77/19/346187719.db2.gz BIMGRERPWVIVAE-MFKMUULPSA-N 0 3 247.317 2.743 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)[nH]c1C ZINC000628249397 346218974 /nfs/dbraw/zinc/21/89/74/346218974.db2.gz BYQUJSSBWSMHFP-NRUUGDAUSA-N 0 3 235.375 2.893 20 0 BFADHN Cc1c[nH]c(CN2C[C@H](C)C[C@@H](C)[C@H]2C)n1 ZINC000628249428 346218989 /nfs/dbraw/zinc/21/89/89/346218989.db2.gz DDWJXSSUSMWYHE-CKYFFXLPSA-N 0 3 221.348 2.585 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCC(C)(C)C2)o1 ZINC000628255980 346253721 /nfs/dbraw/zinc/25/37/21/346253721.db2.gz DXATXWWGIGIWRI-NSHDSACASA-N 0 3 222.332 2.994 20 0 BFADHN CC(C)C[C@]1(C)CCCN1Cc1nccn1C ZINC000449433029 201920538 /nfs/dbraw/zinc/92/05/38/201920538.db2.gz KLHUBWXEQAUMFT-AWEZNQCLSA-N 0 3 235.375 2.821 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CN1CC[C@@](F)(CO)C1 ZINC000628264758 346266146 /nfs/dbraw/zinc/26/61/46/346266146.db2.gz AQHGVIIEDFKOFS-RDBSUJKOSA-N 0 3 243.366 2.609 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cnn(C)c2)C1 ZINC000626161494 346270035 /nfs/dbraw/zinc/27/00/35/346270035.db2.gz DMPMLCAMEUNYQF-OOPCZODUSA-N 0 3 233.359 2.598 20 0 BFADHN CSc1ccc(CNC[C@@H]2CCSC2)o1 ZINC000641749617 362669730 /nfs/dbraw/zinc/66/97/30/362669730.db2.gz GCIIBEYCEGSYOV-VIFPVBQESA-N 0 3 243.397 2.844 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cnn(C)c2C)C1 ZINC000626162342 346272866 /nfs/dbraw/zinc/27/28/66/346272866.db2.gz SLKIPIABDYCXJS-WSNITJDQSA-N 0 3 247.386 2.907 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cnn(C)c2C)C1 ZINC000626162341 346273473 /nfs/dbraw/zinc/27/34/73/346273473.db2.gz SLKIPIABDYCXJS-NNTXTVRGSA-N 0 3 247.386 2.907 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2ccnc(N)c2)C1 ZINC000626163584 346276276 /nfs/dbraw/zinc/27/62/76/346276276.db2.gz MUKOICXEFSZGJY-YWVDXFKGSA-N 0 3 245.370 2.672 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cccnc2N)C1 ZINC000626164237 346276740 /nfs/dbraw/zinc/27/67/40/346276740.db2.gz ZJGJGAAJPLZGJU-XQJDBVBESA-N 0 3 245.370 2.842 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cccnc2N)C1 ZINC000626164238 346276976 /nfs/dbraw/zinc/27/69/76/346276976.db2.gz ZJGJGAAJPLZGJU-YWVDXFKGSA-N 0 3 245.370 2.842 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1ccc(F)cc1C ZINC000641748356 362675758 /nfs/dbraw/zinc/67/57/58/362675758.db2.gz RAVBTZDQHANHCU-NQHOJNORSA-N 0 3 237.318 2.551 20 0 BFADHN CSc1ccc(CNC[C@@H](C)SC)o1 ZINC000603427050 349950082 /nfs/dbraw/zinc/95/00/82/349950082.db2.gz LHQLNHGAHBBKOR-MRVPVSSYSA-N 0 3 231.386 2.843 20 0 BFADHN Cc1cccc(C)c1CN1CCOCC2(CC2)C1 ZINC000360085981 179034256 /nfs/dbraw/zinc/03/42/56/179034256.db2.gz BYHSQFJXVCIMQS-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN CCCCCN(CC(=O)OC)C1CCCC1 ZINC000110434019 323118287 /nfs/dbraw/zinc/11/82/87/323118287.db2.gz DKPKAYGQDNWTKZ-UHFFFAOYSA-N 0 3 227.348 2.594 20 0 BFADHN Cc1cccc(C)c1CN1CC(C(C)(C)O)C1 ZINC000297303499 179035630 /nfs/dbraw/zinc/03/56/30/179035630.db2.gz BUBFKQDIUZWJLZ-UHFFFAOYSA-N 0 3 233.355 2.506 20 0 BFADHN CCC[C@H](CNCc1ccc(SC)o1)OC ZINC000641758148 362688920 /nfs/dbraw/zinc/68/89/20/362688920.db2.gz HYVNUUIEBLRBFZ-SNVBAGLBSA-N 0 3 243.372 2.906 20 0 BFADHN Cc1cccc(C)c1CN[C@H](C)c1cnn(C)c1 ZINC000271572003 179039010 /nfs/dbraw/zinc/03/90/10/179039010.db2.gz YYXXCKJNBMCBTP-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1ccc(SC)o1 ZINC000641759819 362691167 /nfs/dbraw/zinc/69/11/67/362691167.db2.gz IGACCRZYFGCDCZ-MNOVXSKESA-N 0 3 241.356 2.659 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccc(SC)o2)C1 ZINC000641759451 362691666 /nfs/dbraw/zinc/69/16/66/362691666.db2.gz DHEKUPNPSVTZIF-JGZJWPJOSA-N 0 3 241.356 2.659 20 0 BFADHN CSc1ccc(CNC[C@@H]2CC[C@H](C)O2)o1 ZINC000641760647 362693158 /nfs/dbraw/zinc/69/31/58/362693158.db2.gz LRKWWBOIWJQTNW-UWVGGRQHSA-N 0 3 241.356 2.659 20 0 BFADHN Cc1cccc(C)c1[C@@H](C)NCc1c[nH]cn1 ZINC000354654043 179049314 /nfs/dbraw/zinc/04/93/14/179049314.db2.gz OFWUDEWLEYQNSU-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1cccc(C)c1[C@@H](C)NCc1cnc[nH]1 ZINC000354654043 179049316 /nfs/dbraw/zinc/04/93/16/179049316.db2.gz OFWUDEWLEYQNSU-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN CC(C)(C)C1CC(NCc2ccncc2N)C1 ZINC000641757343 362687262 /nfs/dbraw/zinc/68/72/62/362687262.db2.gz WKDNWMCNWLCPPH-UHFFFAOYSA-N 0 3 233.359 2.578 20 0 BFADHN CCN1CCN(CCCC2CCCCC2)CC1 ZINC000570603359 346356680 /nfs/dbraw/zinc/35/66/80/346356680.db2.gz CIIRPOALJZRDPW-UHFFFAOYSA-N 0 3 238.419 2.984 20 0 BFADHN CCc1nnc(CN[C@@H]2CCC[C@@H]2CC)s1 ZINC000381554259 346338031 /nfs/dbraw/zinc/33/80/31/346338031.db2.gz NYSPCRXNTKPMBC-VHSXEESVSA-N 0 3 239.388 2.769 20 0 BFADHN CCc1nnc(CN[C@H]2CCC[C@H]2CC)s1 ZINC000381554261 346338152 /nfs/dbraw/zinc/33/81/52/346338152.db2.gz NYSPCRXNTKPMBC-ZJUUUORDSA-N 0 3 239.388 2.769 20 0 BFADHN CSc1ccc(CN[C@H]2CCS[C@@H]2C)o1 ZINC000641768382 362705981 /nfs/dbraw/zinc/70/59/81/362705981.db2.gz WTUFGEZUSJPJNJ-SCZZXKLOSA-N 0 3 243.397 2.985 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1C[C@@H](CC(C)C)OC ZINC000419179161 192101793 /nfs/dbraw/zinc/10/17/93/192101793.db2.gz CUICFNMPLRXTGW-BFHYXJOUSA-N 0 3 243.391 2.547 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1sc(C)nc1C ZINC000157380013 346381781 /nfs/dbraw/zinc/38/17/81/346381781.db2.gz XACHTAZFIBZJCZ-LDYMZIIASA-N 0 3 242.388 2.572 20 0 BFADHN Cc1nocc1CNCCc1cccc(C)c1 ZINC000293574566 179058653 /nfs/dbraw/zinc/05/86/53/179058653.db2.gz NGODUPWKFAIBPE-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCC[C@@H](C)CC2)c2ncnn21 ZINC000641781924 362721206 /nfs/dbraw/zinc/72/12/06/362721206.db2.gz DWRQIKHQKGLZKF-FVCCEPFGSA-N 0 3 248.374 2.842 20 0 BFADHN Cc1cccc(CN(C)C[C@H]2CC[C@H](C)O2)c1 ZINC000354891569 179065106 /nfs/dbraw/zinc/06/51/06/179065106.db2.gz MBGCJFNJAMGRGZ-DZGCQCFKSA-N 0 3 233.355 2.994 20 0 BFADHN Cc1cccc(CN(C)C[C@@H]2CC[C@H](C)O2)c1 ZINC000354891601 179066145 /nfs/dbraw/zinc/06/61/45/179066145.db2.gz MBGCJFNJAMGRGZ-ZFWWWQNUSA-N 0 3 233.355 2.994 20 0 BFADHN Cc1cccc(CN2C[C@@H](C)N(C)C[C@@H]2C)c1C ZINC000361166432 179081794 /nfs/dbraw/zinc/08/17/94/179081794.db2.gz MXNLPPBLWZEOOB-KGLIPLIRSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1cccc(CN2CCN(C)[C@@H](C)[C@@H]2C)c1C ZINC000361153786 179075925 /nfs/dbraw/zinc/07/59/25/179075925.db2.gz NLUAPVUYROWQMO-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN Cn1ccc(CNCC(C)(C)C(F)(F)F)c1 ZINC000381611456 346428323 /nfs/dbraw/zinc/42/83/23/346428323.db2.gz SLRRMSDRFSPURY-UHFFFAOYSA-N 0 3 234.265 2.703 20 0 BFADHN CC1(C)CC[C@H](CNCc2ccc(F)cc2)O1 ZINC000160197077 346444542 /nfs/dbraw/zinc/44/45/42/346444542.db2.gz AZXZMRPUTUWPHA-CYBMUJFWSA-N 0 3 237.318 2.873 20 0 BFADHN CCc1ccc(CN[C@H]2CO[C@H](C)C2)s1 ZINC000401803828 323125406 /nfs/dbraw/zinc/12/54/06/323125406.db2.gz ASFSEAVXPXQDBF-NXEZZACHSA-N 0 3 225.357 2.578 20 0 BFADHN Cc1nn(C)c(C)c1CN[C@@H](C)c1cccs1 ZINC000020148575 259389784 /nfs/dbraw/zinc/38/97/84/259389784.db2.gz ZAOSBDJBJNOYDK-JTQLQIEISA-N 0 3 249.383 2.949 20 0 BFADHN Cc1cccc(CNC[C@@H](O)c2ccsc2)c1 ZINC000219088945 179092393 /nfs/dbraw/zinc/09/23/93/179092393.db2.gz OIXNYCNSIAIECT-CQSZACIVSA-N 0 3 247.363 2.880 20 0 BFADHN Cc1cccc(CNCCOC(F)(F)F)c1C ZINC000353193169 179092727 /nfs/dbraw/zinc/09/27/27/179092727.db2.gz NIHDPFNUAYRZEL-UHFFFAOYSA-N 0 3 247.260 2.929 20 0 BFADHN Cc1cccc(CNC[C@H]2CCCCO2)c1F ZINC000296466336 179093751 /nfs/dbraw/zinc/09/37/51/179093751.db2.gz PCXHAXSYEZZMBR-CYBMUJFWSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1cccc(CNC[C@H](C)C[C@H](C)O)c1F ZINC000295757610 179094009 /nfs/dbraw/zinc/09/40/09/179094009.db2.gz PYFBYRNQUXHGCQ-PWSUYJOCSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1cccc(CNCc2cc[nH]c2)c1 ZINC000080773333 179094014 /nfs/dbraw/zinc/09/40/14/179094014.db2.gz HBMKBSGVEXVCSZ-UHFFFAOYSA-N 0 3 200.285 2.613 20 0 BFADHN Cc1cccc(CN[C@@H](CO)c2ccccc2)c1 ZINC000062998970 179097903 /nfs/dbraw/zinc/09/79/03/179097903.db2.gz FCKKIPNEEGTXTC-INIZCTEOSA-N 0 3 241.334 2.818 20 0 BFADHN CC[C@H](C)N(C)Cc1cccc2c1OCCO2 ZINC000170916165 346480079 /nfs/dbraw/zinc/48/00/79/346480079.db2.gz BVDLNDZJLKNKKJ-NSHDSACASA-N 0 3 235.327 2.688 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H]2CCCCC[C@H]2O)c1 ZINC000574978365 346480882 /nfs/dbraw/zinc/48/08/82/346480882.db2.gz GYPQVEWXZHHMLS-UMVBOHGHSA-N 0 3 248.370 2.734 20 0 BFADHN Cc1cccc(CN[C@@H](CO)CC(C)C)c1F ZINC000296565718 179098642 /nfs/dbraw/zinc/09/86/42/179098642.db2.gz VPXJCVGKGFTTFJ-CYBMUJFWSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1cccc(CN[C@@H]2CCO[C@@H](C)C2)c1F ZINC000295769993 179098921 /nfs/dbraw/zinc/09/89/21/179098921.db2.gz QTTLMCLTQNUGKC-WCQYABFASA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cccc(Cl)c1CN[C@H](C)[C@@H](C)CO ZINC000295645994 179108169 /nfs/dbraw/zinc/10/81/69/179108169.db2.gz CPKGTAIRNSYLBL-WDEREUQCSA-N 0 3 241.762 2.755 20 0 BFADHN CCC(CC)(CO)NCc1ccc(Cl)o1 ZINC000053065971 346521202 /nfs/dbraw/zinc/52/12/02/346521202.db2.gz HVRTVAQVSJZHAX-UHFFFAOYSA-N 0 3 231.723 2.574 20 0 BFADHN Cc1nc(CNC[C@H]2CCC[C@@H]2C2CC2)[nH]c1C ZINC000580096742 346521865 /nfs/dbraw/zinc/52/18/65/346521865.db2.gz OOPGGJAJEIZXLE-ZIAGYGMSSA-N 0 3 247.386 2.942 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1cccc(F)c1 ZINC000390488673 259390628 /nfs/dbraw/zinc/39/06/28/259390628.db2.gz PNSCJZFYBLTDJS-OASPWFOLSA-N 0 3 223.291 2.654 20 0 BFADHN CCCCCN(CCN(C)C)Cc1ccccn1 ZINC000580325604 347097655 /nfs/dbraw/zinc/09/76/55/347097655.db2.gz SRIFECQNLHYXIL-UHFFFAOYSA-N 0 3 249.402 2.635 20 0 BFADHN C[C@H](NC1(C2CC2)CC1)c1ccccn1 ZINC000380150848 346501998 /nfs/dbraw/zinc/50/19/98/346501998.db2.gz XRYREDOBIVUONT-JTQLQIEISA-N 0 3 202.301 2.675 20 0 BFADHN C[C@H]1CN(Cc2cc3ccccc3o2)CC[C@@H]1O ZINC000186917080 346546988 /nfs/dbraw/zinc/54/69/88/346546988.db2.gz BYVNJRLYIDELNS-FZMZJTMJSA-N 0 3 245.322 2.636 20 0 BFADHN CCc1noc(C)c1CN(C)[C@@H](C)C1CC1 ZINC000163145845 346550650 /nfs/dbraw/zinc/55/06/50/346550650.db2.gz SZGROFWPQCHMIY-VIFPVBQESA-N 0 3 222.332 2.776 20 0 BFADHN C=Cn1cc(CN2CC3(CCC3)C[C@H]2C)cn1 ZINC000336547157 187369426 /nfs/dbraw/zinc/36/94/26/187369426.db2.gz PNNGQESUKOGJJY-GFCCVEGCSA-N 0 3 231.343 2.748 20 0 BFADHN COC[C@H](C)CN1Cc2ccc(C)cc2C1 ZINC000580144745 346554884 /nfs/dbraw/zinc/55/48/84/346554884.db2.gz XFJDPVNFPUFTNY-GFCCVEGCSA-N 0 3 219.328 2.593 20 0 BFADHN CN1CC[C@@H](CSc2nc3ccccc3o2)C1 ZINC000187656453 346595598 /nfs/dbraw/zinc/59/55/98/346595598.db2.gz AUMMHPMJHUVLTI-SNVBAGLBSA-N 0 3 248.351 2.872 20 0 BFADHN Cc1cccc(NC(=O)CN2C[C@H](C)[C@@H](C)C2)c1 ZINC000267677453 179127791 /nfs/dbraw/zinc/12/77/91/179127791.db2.gz PVZYMBWQOYPTKB-STQMWFEESA-N 0 3 246.354 2.521 20 0 BFADHN Cc1noc(C)c1CCN1CCCCCC1 ZINC000164741486 346622420 /nfs/dbraw/zinc/62/24/20/346622420.db2.gz GJLZPCPAZQOUQI-UHFFFAOYSA-N 0 3 222.332 2.710 20 0 BFADHN CC(C)(C)OCCN1CC[C@H](c2ccncc2)C1 ZINC000581407833 346623628 /nfs/dbraw/zinc/62/36/28/346623628.db2.gz JMEXNGHXSOSFQS-AWEZNQCLSA-N 0 3 248.370 2.686 20 0 BFADHN CC(C)C[C@H](C)N(C)Cc1ccccn1 ZINC000165212906 346645412 /nfs/dbraw/zinc/64/54/12/346645412.db2.gz AYKXUCPSRDQKBP-LBPRGKRZSA-N 0 3 206.333 2.948 20 0 BFADHN CSC1(CN2CCC(F)(F)CC2)CC1 ZINC000589177232 346673337 /nfs/dbraw/zinc/67/33/37/346673337.db2.gz YLDXQIUCEFFBGI-UHFFFAOYSA-N 0 3 221.316 2.613 20 0 BFADHN CSC1(CN(C)Cc2ccc(C)cn2)CC1 ZINC000589174769 346673658 /nfs/dbraw/zinc/67/36/58/346673658.db2.gz XEUDHWPEXBEPIO-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN CSC1(CNCc2oc(C)nc2C)CCC1 ZINC000381859402 346655072 /nfs/dbraw/zinc/65/50/72/346655072.db2.gz HTMBEHCLCFFYJK-UHFFFAOYSA-N 0 3 240.372 2.667 20 0 BFADHN Cc1cccc(NC(=O)[C@@H](C)N2CCC[C@H]2C)c1 ZINC000362435876 179137466 /nfs/dbraw/zinc/13/74/66/179137466.db2.gz XPBGXZBTFZSLAI-CHWSQXEVSA-N 0 3 246.354 2.806 20 0 BFADHN CSC1(CN2CC[C@@H](C)[C@@H](F)C2)CC1 ZINC000589171578 346671256 /nfs/dbraw/zinc/67/12/56/346671256.db2.gz WAKBOXSKKXYVCV-ZJUUUORDSA-N 0 3 217.353 2.562 20 0 BFADHN Cc1cccc(NC(=O)[C@H]2NCCCC2(C)C)c1 ZINC000235916554 179141375 /nfs/dbraw/zinc/14/13/75/179141375.db2.gz POCSTZQLAVNEPV-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN CCCN(Cc1ccnc(C)c1)C1CC1 ZINC000167780530 346717648 /nfs/dbraw/zinc/71/76/48/346717648.db2.gz AOLOEAVHQNSWEB-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN Cc1cc(CN[C@@H]2CCCc3ccccc32)n[nH]1 ZINC000589633781 346719998 /nfs/dbraw/zinc/71/99/98/346719998.db2.gz JVAAGTTTXUVASU-OAHLLOKOSA-N 0 3 241.338 2.885 20 0 BFADHN Cc1cc(CN[C@@H]2CCCc3ccccc32)[nH]n1 ZINC000589633781 346720000 /nfs/dbraw/zinc/72/00/00/346720000.db2.gz JVAAGTTTXUVASU-OAHLLOKOSA-N 0 3 241.338 2.885 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2ccccc2F)[nH]n1 ZINC000589643234 346720440 /nfs/dbraw/zinc/72/04/40/346720440.db2.gz MNBNNSQUGCJYAE-SNVBAGLBSA-N 0 3 247.317 2.578 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@@H](C)c1cn[nH]c1 ZINC000303496218 323134221 /nfs/dbraw/zinc/13/42/21/323134221.db2.gz DUCAJMJVOIRIGE-ZJUUUORDSA-N 0 3 235.356 2.753 20 0 BFADHN Cc1cnc(CN2CCC[C@H]2C2CCCC2)nc1 ZINC000336616942 187370937 /nfs/dbraw/zinc/37/09/37/187370937.db2.gz PBDQVLXFSZJUFM-AWEZNQCLSA-N 0 3 245.370 2.940 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(C)cc1C ZINC000381729282 346764904 /nfs/dbraw/zinc/76/49/04/346764904.db2.gz NCVVHMSYJYQBIV-UONOGXRCSA-N 0 3 219.328 2.570 20 0 BFADHN COC[C@@H](C)CNCc1nc(C(C)C)cs1 ZINC000188141167 346790563 /nfs/dbraw/zinc/79/05/63/346790563.db2.gz GUMGGMFZGVPXBK-JTQLQIEISA-N 0 3 242.388 2.639 20 0 BFADHN CC[C@@H](NC[C@H](C)COC)c1c(C)noc1C ZINC000188186403 346794154 /nfs/dbraw/zinc/79/41/54/346794154.db2.gz CLAHLQFRDMDVSR-JOYOIKCWSA-N 0 3 240.347 2.615 20 0 BFADHN CC(C)Cn1cc(CN2CCC[C@@H]2C)cn1 ZINC000183293212 347123992 /nfs/dbraw/zinc/12/39/92/347123992.db2.gz BJMJNCZAEVTGEY-LBPRGKRZSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cccc2c1OCCO2 ZINC000387893181 347121513 /nfs/dbraw/zinc/12/15/13/347121513.db2.gz YRRWCQYKNUULCX-YPMHNXCESA-N 0 3 247.338 2.594 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1cccc(F)c1F ZINC000183283792 347123280 /nfs/dbraw/zinc/12/32/80/347123280.db2.gz AKCUZKHDZQSVMK-VHSXEESVSA-N 0 3 243.297 2.776 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CCCSC2)o1 ZINC000291698497 347148055 /nfs/dbraw/zinc/14/80/55/347148055.db2.gz JXYQIDIFZLBGLG-JTQLQIEISA-N 0 3 240.372 2.531 20 0 BFADHN COc1ccc(OC)c(CCN2CCCCC2)c1 ZINC000038869555 347169572 /nfs/dbraw/zinc/16/95/72/347169572.db2.gz MINCBXUQVZZFRM-UHFFFAOYSA-N 0 3 249.354 2.732 20 0 BFADHN CCC[C@H](C)CN1CCN(c2ccccn2)CC1 ZINC000183471421 347128205 /nfs/dbraw/zinc/12/82/05/347128205.db2.gz PLZDTXKWWVSKBN-AWEZNQCLSA-N 0 3 247.386 2.640 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1ccncc1C ZINC000582048261 347131589 /nfs/dbraw/zinc/13/15/89/347131589.db2.gz VIXNHEYGPKTQKT-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1cccc([C@@H](C)N[C@@H]2C=C[C@H](CO)C2)c1C ZINC000134627144 179181839 /nfs/dbraw/zinc/18/18/39/179181839.db2.gz LUKHRJXCYBOZLQ-QLFBSQMISA-N 0 3 245.366 2.891 20 0 BFADHN CCc1nc(CN[C@H]2CC[C@@H]2C2CC2)cs1 ZINC000309900115 347195151 /nfs/dbraw/zinc/19/51/51/347195151.db2.gz HGLUELNDTLYIPJ-NEPJUHHUSA-N 0 3 236.384 2.984 20 0 BFADHN CCN(Cc1ccoc1)C[C@H](O)CCC(C)C ZINC000684618741 488333725 /nfs/dbraw/zinc/33/37/25/488333725.db2.gz SDIPMGNQOHHYAB-CQSZACIVSA-N 0 3 239.359 2.899 20 0 BFADHN CSCCN[C@@H](C)c1ccccc1N(C)C ZINC000128465253 347202462 /nfs/dbraw/zinc/20/24/62/347202462.db2.gz JHHDVPRCAZCVIP-NSHDSACASA-N 0 3 238.400 2.766 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1CCOCC(F)(F)F ZINC000185661917 347209057 /nfs/dbraw/zinc/20/90/57/347209057.db2.gz KZHPDAFMQNFJGN-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN CC1=C(C)CN(Cc2ccc(O)cc2)CC1 ZINC000684614425 488332142 /nfs/dbraw/zinc/33/21/42/488332142.db2.gz JVSHQCYJUBWIDX-UHFFFAOYSA-N 0 3 217.312 2.934 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cc(OC)cc(C)n1 ZINC000388077485 347179089 /nfs/dbraw/zinc/17/90/89/347179089.db2.gz UTLCOJSKIGOABD-NEPJUHHUSA-N 0 3 234.343 2.534 20 0 BFADHN Cc1nccc(CN(C)[C@@H](C)C(C)(C)C)n1 ZINC000684616658 488332728 /nfs/dbraw/zinc/33/27/28/488332728.db2.gz JZBWHAOOJXFZEB-JTQLQIEISA-N 0 3 221.348 2.651 20 0 BFADHN CCCC[C@H](NCc1nccn1C)C1CCC1 ZINC000184868843 347182951 /nfs/dbraw/zinc/18/29/51/347182951.db2.gz SWTJKZXBHLKCQY-ZDUSSCGKSA-N 0 3 235.375 2.869 20 0 BFADHN CC[C@@H](N[C@@H]1CC[C@H]1O)c1ccc(Cl)cc1 ZINC000382196190 347228456 /nfs/dbraw/zinc/22/84/56/347228456.db2.gz IMGBPKADRPRAAB-JHJVBQTASA-N 0 3 239.746 2.904 20 0 BFADHN CCc1nc(C)c(CN2CCC[C@H]2C)o1 ZINC000335529384 347239760 /nfs/dbraw/zinc/23/97/60/347239760.db2.gz PSYVITSSDKBRMV-SECBINFHSA-N 0 3 208.305 2.530 20 0 BFADHN CCc1ccc(CN2C[C@H](O)C(C)(C)C2)s1 ZINC000388233384 347253447 /nfs/dbraw/zinc/25/34/47/347253447.db2.gz SHUYSSUXOVOZAN-LBPRGKRZSA-N 0 3 239.384 2.513 20 0 BFADHN Cc1ncc(CN2CC(C)(C)[C@H]3CCC[C@H]32)o1 ZINC000628296793 347245716 /nfs/dbraw/zinc/24/57/16/347245716.db2.gz YZZKXOFEWHAPAU-QWHCGFSZSA-N 0 3 234.343 2.994 20 0 BFADHN CCCCNCc1ccncc1Br ZINC000395951579 362803670 /nfs/dbraw/zinc/80/36/70/362803670.db2.gz PEBSFNXDPUJIAL-UHFFFAOYSA-N 0 3 243.148 2.734 20 0 BFADHN Cl/C=C/CN1CCC([C@H]2CCCO2)CC1 ZINC000189113929 347295343 /nfs/dbraw/zinc/29/53/43/347295343.db2.gz MVAAFAHGBVEKSL-RDNKVPPGSA-N 0 3 229.751 2.630 20 0 BFADHN CO[C@@H]([C@H](C)N[C@H](C)c1cccc(O)c1)C1CC1 ZINC000388293337 347296773 /nfs/dbraw/zinc/29/67/73/347296773.db2.gz XTKFCHVUXFGPHV-ZETOZRRWSA-N 0 3 249.354 2.856 20 0 BFADHN CO[C@H]([C@@H](C)NCc1csc(C)c1)C1CC1 ZINC000388239729 347257828 /nfs/dbraw/zinc/25/78/28/347257828.db2.gz QGKDLTZJDRZJDU-ZWNOBZJWSA-N 0 3 239.384 2.960 20 0 BFADHN CO[C@@H]([C@@H](C)NCc1ccc(Cl)o1)C1CC1 ZINC000388252658 347267217 /nfs/dbraw/zinc/26/72/17/347267217.db2.gz AFRSRNIPWRENEL-PELKAZGASA-N 0 3 243.734 2.836 20 0 BFADHN CO[C@@H]([C@H](C)N[C@H](C)c1cccnc1)C1CC1 ZINC000388262622 347275193 /nfs/dbraw/zinc/27/51/93/347275193.db2.gz BQVCNNCPEQMHTC-SUNKGSAMSA-N 0 3 234.343 2.546 20 0 BFADHN Cc1cccc2c1CN(CC1=CCCOC1)CC2 ZINC000277375750 179216282 /nfs/dbraw/zinc/21/62/82/179216282.db2.gz FYFCZFOFPZYNDB-UHFFFAOYSA-N 0 3 243.350 2.700 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@@H]1CCCC(F)(F)C1 ZINC000679355854 488343698 /nfs/dbraw/zinc/34/36/98/488343698.db2.gz YJDPQIMLEQVLKY-WCBMZHEXSA-N 0 3 243.301 2.947 20 0 BFADHN CC[C@@H](C)NCC(=O)Nc1ccc(Cl)cc1 ZINC000020036590 347339982 /nfs/dbraw/zinc/33/99/82/347339982.db2.gz VAXMDMHEEHQHDH-SECBINFHSA-N 0 3 240.734 2.667 20 0 BFADHN CO[C@@H]([C@H](C)NCc1cccc(F)c1)C1CC1 ZINC000388352991 347349784 /nfs/dbraw/zinc/34/97/84/347349784.db2.gz PDFUKTRTQXUXQT-HZMBPMFUSA-N 0 3 237.318 2.729 20 0 BFADHN Cc1ccc(NC2CCN(C)CC2)cc1 ZINC000019772142 347354223 /nfs/dbraw/zinc/35/42/23/347354223.db2.gz GWZACSVXHKLVOO-UHFFFAOYSA-N 0 3 204.317 2.501 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000191654488 347356659 /nfs/dbraw/zinc/35/66/59/347356659.db2.gz FENDZZGXOZDVOW-SDDRHHMPSA-N 0 3 239.363 2.538 20 0 BFADHN C[C@@H](NCc1cccnc1)c1cccs1 ZINC000020140818 347409729 /nfs/dbraw/zinc/40/97/29/347409729.db2.gz BCRZOFNCNCRDBR-SNVBAGLBSA-N 0 3 218.325 2.994 20 0 BFADHN CC(C)CC[C@H](C)NCc1ccccn1 ZINC000019957959 347381542 /nfs/dbraw/zinc/38/15/42/347381542.db2.gz KZCQCZVBAOGZTF-LBPRGKRZSA-N 0 3 206.333 2.996 20 0 BFADHN Cc1cccc2c1OC[C@H](NCc1ccco1)C2 ZINC000368112423 179221617 /nfs/dbraw/zinc/22/16/17/179221617.db2.gz LFVBZASBNODXCA-CYBMUJFWSA-N 0 3 243.306 2.681 20 0 BFADHN CC[C@@H](NC[C@H]1CCC=CO1)c1ccncc1 ZINC000194478921 347421636 /nfs/dbraw/zinc/42/16/36/347421636.db2.gz LOMRPFYITZRJMK-ZIAGYGMSSA-N 0 3 232.327 2.815 20 0 BFADHN CCCCNC(=O)CN1CCCCC[C@H]1CC ZINC000150747445 347422622 /nfs/dbraw/zinc/42/26/22/347422622.db2.gz OOSPMORSAVWJHC-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1ccncc1)OC ZINC000319478466 259395619 /nfs/dbraw/zinc/39/56/19/259395619.db2.gz RWZGNPJAMIHTSK-DGCLKSJQSA-N 0 3 222.332 2.547 20 0 BFADHN Cc1cccc2nc(CN[C@H]3CCC[C@H]3C)cn21 ZINC000268060580 179229721 /nfs/dbraw/zinc/22/97/21/179229721.db2.gz YKMWHBCYQWUYMY-RISCZKNCSA-N 0 3 243.354 2.921 20 0 BFADHN C=Cn1cc(CNC[C@H]2CCCC2(F)F)cn1 ZINC000390612311 259395791 /nfs/dbraw/zinc/39/57/91/259395791.db2.gz XDJDWKSASQKFRG-LLVKDONJSA-N 0 3 241.285 2.509 20 0 BFADHN CC[C@H](NCc1cncn1C)c1cccs1 ZINC000082394614 347464891 /nfs/dbraw/zinc/46/48/91/347464891.db2.gz IMBRWIKNIQSPNY-NSHDSACASA-N 0 3 235.356 2.723 20 0 BFADHN CSCCN1CCC[C@H](c2ccccn2)C1 ZINC000590602737 347475968 /nfs/dbraw/zinc/47/59/68/347475968.db2.gz WIMIJRLSDDYVKU-LBPRGKRZSA-N 0 3 236.384 2.624 20 0 BFADHN CN(C)CCS[C@@H]1CCc2ccccc21 ZINC000616950578 347513187 /nfs/dbraw/zinc/51/31/87/347513187.db2.gz NYTKGKKWBKCRFV-CYBMUJFWSA-N 0 3 221.369 2.969 20 0 BFADHN C[C@H](CN1C[C@H]2CC[C@@H](C1)O2)c1ccccc1 ZINC000196768431 347519593 /nfs/dbraw/zinc/51/95/93/347519593.db2.gz IPIDTHHPZQBCLU-YUELXQCFSA-N 0 3 231.339 2.653 20 0 BFADHN CS[C@H]1CCN(CCC(=O)c2ccccc2)C1 ZINC000382997223 347533271 /nfs/dbraw/zinc/53/32/71/347533271.db2.gz BYJWQPQDPMIFIA-ZDUSSCGKSA-N 0 3 249.379 2.697 20 0 BFADHN COC(=O)c1occc1CNC(C)(C)C(C)C ZINC000577237880 365895536 /nfs/dbraw/zinc/89/55/36/365895536.db2.gz JTAHCJOHZGEJCN-UHFFFAOYSA-N 0 3 239.315 2.590 20 0 BFADHN Cc1ccccc1C(C)(C)NCc1cncn1C ZINC000292860183 179255650 /nfs/dbraw/zinc/25/56/50/179255650.db2.gz CKQRMMOXJPQKAD-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN CC[C@@H](C)N(CC)C(=O)CN1[C@H](C)CC[C@@H]1C ZINC000616980422 347556287 /nfs/dbraw/zinc/55/62/87/347556287.db2.gz GUJNCMUIBHLKEK-UPJWGTAASA-N 0 3 240.391 2.506 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1c2cc(C)ccc2C[C@H]1C ZINC000577248141 365898630 /nfs/dbraw/zinc/89/86/30/365898630.db2.gz TZLJZVPFDCXJJO-CAPXZKIUSA-N 0 3 245.366 2.995 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@H](C)NC1CC1 ZINC000383038792 347581155 /nfs/dbraw/zinc/58/11/55/347581155.db2.gz KOWJIMRXVAZKTM-RYUDHWBXSA-N 0 3 240.391 2.602 20 0 BFADHN Cc1ccccc1CN(C)C[C@H]1CC[C@H](C)O1 ZINC000336691674 179270059 /nfs/dbraw/zinc/27/00/59/179270059.db2.gz HFAYNOLGDPZOTG-DZGCQCFKSA-N 0 3 233.355 2.994 20 0 BFADHN Cc1ccccc1CNCCOCC(F)(F)F ZINC000042238551 179280957 /nfs/dbraw/zinc/28/09/57/179280957.db2.gz ZHBDPVGORWWDEQ-UHFFFAOYSA-N 0 3 247.260 2.664 20 0 BFADHN Cc1ccccc1CN1CCOC[C@H]1C1CC1 ZINC000090008705 179273894 /nfs/dbraw/zinc/27/38/94/179273894.db2.gz ZMQNQWSMXNDTTC-HNNXBMFYSA-N 0 3 231.339 2.606 20 0 BFADHN Cc1ccccc1CN1CCN(C)[C@@H](C)[C@@H]1C ZINC000356979656 179274686 /nfs/dbraw/zinc/27/46/86/179274686.db2.gz DPFRSHZZIFHDNR-KBPBESRZSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1ccccc1CN1CCOC(C)(C)[C@H]1C ZINC000353874762 179275273 /nfs/dbraw/zinc/27/52/73/179275273.db2.gz YLVPQMORXJPVLY-CYBMUJFWSA-N 0 3 233.355 2.994 20 0 BFADHN Cc1ccccc1CN1C[C@@H](C)N(C)[C@H](C)C1 ZINC000339619854 179276670 /nfs/dbraw/zinc/27/66/70/179276670.db2.gz YRKOKMSFQQNVKN-ZIAGYGMSSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1ccccc1CN[C@H](C)c1cnn(C)c1 ZINC000044498961 179284267 /nfs/dbraw/zinc/28/42/67/179284267.db2.gz QSXPMHLUODSIMX-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1ccccc1CN[C@H]1CCC[C@@H]1F ZINC000339590576 179284352 /nfs/dbraw/zinc/28/43/52/179284352.db2.gz QARSTQJTJDFQSJ-STQMWFEESA-N 0 3 207.292 2.975 20 0 BFADHN Cc1c([C@@H](C)NCc2ccccc2C)cnn1C ZINC000020349433 179284773 /nfs/dbraw/zinc/28/47/73/179284773.db2.gz GTVMPXYLJWRZOV-GFCCVEGCSA-N 0 3 243.354 2.888 20 0 BFADHN CCN(CCSC)[C@@H](C)c1ccccn1 ZINC000592203246 347755804 /nfs/dbraw/zinc/75/58/04/347755804.db2.gz LIAJTXSAOYANQX-NSHDSACASA-N 0 3 224.373 2.828 20 0 BFADHN Cc1ccccc1CN[C@@H](C)c1ccnn1C ZINC000192249794 179282969 /nfs/dbraw/zinc/28/29/69/179282969.db2.gz GJYNPUMCAPVMGU-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN CCCN(CCO)Cc1cc(C)sc1C ZINC000194382957 259397593 /nfs/dbraw/zinc/39/75/93/259397593.db2.gz DVBPTJNFRNJKSD-UHFFFAOYSA-N 0 3 227.373 2.569 20 0 BFADHN CCN(CCSC)Cc1ccoc1C ZINC000592529603 347796400 /nfs/dbraw/zinc/79/64/00/347796400.db2.gz MOJYDFCUNAMBRX-UHFFFAOYSA-N 0 3 213.346 2.773 20 0 BFADHN CCN(CCSC)Cc1ccncc1C ZINC000592529120 347796425 /nfs/dbraw/zinc/79/64/25/347796425.db2.gz LGOYRNIUCWAYNR-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN Cc1ccccc1C[C@@H](NCCF)C1CC1 ZINC000284082089 179289830 /nfs/dbraw/zinc/28/98/30/179289830.db2.gz BECUSNLCHMSLQA-CQSZACIVSA-N 0 3 221.319 2.875 20 0 BFADHN CCCCCN(C(=O)[C@@H](C)NC)[C@H](C)CCC ZINC000577296068 365920200 /nfs/dbraw/zinc/92/02/00/365920200.db2.gz WPUQSIBFKZLYAB-CHWSQXEVSA-N 0 3 242.407 2.802 20 0 BFADHN CCCN1[C@@H](C)CC[C@H]1C(=O)OC(C)(C)C ZINC000593046877 347843797 /nfs/dbraw/zinc/84/37/97/347843797.db2.gz OWRXOXHGOLMFAZ-QWRGUYRKSA-N 0 3 227.348 2.591 20 0 BFADHN CCSCCN1C[C@@H](CC)OC[C@@H]1CC ZINC000593059417 347849220 /nfs/dbraw/zinc/84/92/20/347849220.db2.gz QVVOMMYPEAHIEF-NWDGAFQWSA-N 0 3 231.405 2.629 20 0 BFADHN CCC[C@H](CNCc1cnc(C)cc1C)OC ZINC000397051717 362849488 /nfs/dbraw/zinc/84/94/88/362849488.db2.gz YNNQESABQUYBFL-CQSZACIVSA-N 0 3 236.359 2.603 20 0 BFADHN Cc1ccccc1NC(=O)CCN1C[C@@H](C)[C@@H]1C ZINC000293514444 179297418 /nfs/dbraw/zinc/29/74/18/179297418.db2.gz VKVUTYDYCYCJOU-OLZOCXBDSA-N 0 3 246.354 2.664 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1cc(Cl)cs1 ZINC000308611414 347909908 /nfs/dbraw/zinc/90/99/08/347909908.db2.gz QUYKEPJPTWJCRL-ZJUUUORDSA-N 0 3 231.748 2.669 20 0 BFADHN CS[C@H]1CCN(Cc2cc3cnccc3o2)C1 ZINC000593631800 347917901 /nfs/dbraw/zinc/91/79/01/347917901.db2.gz OIPPXAHLZIQGDA-LBPRGKRZSA-N 0 3 248.351 2.765 20 0 BFADHN Cc1ccccc1[C@@H](C)NCCC(=O)OC(C)C ZINC000158916523 179319722 /nfs/dbraw/zinc/31/97/22/179319722.db2.gz LEWVVYOYHZQZAI-CYBMUJFWSA-N 0 3 249.354 2.987 20 0 BFADHN COc1cc(C)ccc1[C@H](C)N[C@@H]1CCC[C@H]1O ZINC000323229651 347964472 /nfs/dbraw/zinc/96/44/72/347964472.db2.gz APZMACWWRGPSRX-IACUBPJLSA-N 0 3 249.354 2.568 20 0 BFADHN Cc1ccccc1[C@@H](O)CN[C@H](C)c1ccco1 ZINC000163249622 179326648 /nfs/dbraw/zinc/32/66/48/179326648.db2.gz IPOOYUSKZMTEMX-OCCSQVGLSA-N 0 3 245.322 2.972 20 0 BFADHN Cc1ccccc1[C@@H](C)N[C@H](C)Cn1cccn1 ZINC000037155366 179322794 /nfs/dbraw/zinc/32/27/94/179322794.db2.gz XEIDGNMZXQCOPF-ZIAGYGMSSA-N 0 3 243.354 2.931 20 0 BFADHN Cc1ccccc1[C@H](C)N[C@H](C)C(=O)NC(C)C ZINC000042208402 179338342 /nfs/dbraw/zinc/33/83/42/179338342.db2.gz WMGQYUAFWDRSOV-QWHCGFSZSA-N 0 3 248.370 2.559 20 0 BFADHN Fc1cccc(F)c1CNCC1CCC1 ZINC000037180306 348032549 /nfs/dbraw/zinc/03/25/49/348032549.db2.gz VHJUOIAFPUPEKB-UHFFFAOYSA-N 0 3 211.255 2.855 20 0 BFADHN Cc1ccccc1[C@H](O)CN[C@H](C)c1ccco1 ZINC000163249567 179344918 /nfs/dbraw/zinc/34/49/18/179344918.db2.gz IPOOYUSKZMTEMX-TZMCWYRMSA-N 0 3 245.322 2.972 20 0 BFADHN CC[C@@H](NCc1csc(N(C)C)n1)C(C)C ZINC000122331431 490133261 /nfs/dbraw/zinc/13/32/61/490133261.db2.gz AXBJNFCBHYEJIM-LLVKDONJSA-N 0 3 241.404 2.733 20 0 BFADHN Cc1cccn2c(CN3CCC[C@H]3C)cnc12 ZINC000124357435 179354421 /nfs/dbraw/zinc/35/44/21/179354421.db2.gz VZZWTJQOJAXDHJ-GFCCVEGCSA-N 0 3 229.327 2.627 20 0 BFADHN Cc1ccncc1CN[C@H](C)CSCCF ZINC000595042766 348178229 /nfs/dbraw/zinc/17/82/29/348178229.db2.gz UWLXGNGVYQVTJA-LLVKDONJSA-N 0 3 242.363 2.571 20 0 BFADHN Cc1cncc(CN[C@H](C)CSCCF)c1 ZINC000595036984 348176342 /nfs/dbraw/zinc/17/63/42/348176342.db2.gz YYRKMJODOGGXQV-LLVKDONJSA-N 0 3 242.363 2.571 20 0 BFADHN CCOC(=O)C1CCN(CCC=C(C)C)CC1 ZINC000595292737 348214561 /nfs/dbraw/zinc/21/45/61/348214561.db2.gz UXRXELYSPSHEBI-UHFFFAOYSA-N 0 3 239.359 2.618 20 0 BFADHN Cc1ncsc1CN[C@H](C)CSCCF ZINC000595012907 348185451 /nfs/dbraw/zinc/18/54/51/348185451.db2.gz QCUGBHPIZJLPHE-MRVPVSSYSA-N 0 3 248.392 2.632 20 0 BFADHN Cc1cccnc1CN(C)CCC1CCOCC1 ZINC000180541751 179370242 /nfs/dbraw/zinc/37/02/42/179370242.db2.gz BEZNOPSNKGCCJJ-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1cccnc1CN(C)CCc1nccs1 ZINC000093208336 179370492 /nfs/dbraw/zinc/37/04/92/179370492.db2.gz PHQYGCUNXPFCGE-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN COC(=O)[C@@H](C)N(CCC1CCC1)C1CCC1 ZINC000595454596 348254764 /nfs/dbraw/zinc/25/47/64/348254764.db2.gz MYQLUAZQVINMHF-LLVKDONJSA-N 0 3 239.359 2.593 20 0 BFADHN Cc1cccnc1CN1CCSCC[C@@H]1C ZINC000276864411 179377014 /nfs/dbraw/zinc/37/70/14/179377014.db2.gz XOGIYAFJWZKILR-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN CC[C@@H](CNC(C)(C)c1ccc(C)nc1)OC ZINC000419247416 192114551 /nfs/dbraw/zinc/11/45/51/192114551.db2.gz PRPRXJRKSCBVSC-ZDUSSCGKSA-N 0 3 236.359 2.640 20 0 BFADHN Cc1cccnc1CN[C@@H]1C[C@H](C)[C@H]1C ZINC000336689536 179385085 /nfs/dbraw/zinc/38/50/85/179385085.db2.gz MAVBSKDGRGAJPV-QJPTWQEYSA-N 0 3 204.317 2.524 20 0 BFADHN CCN1CCC[C@@H]1Cc1cc(F)ccc1F ZINC000419298932 192119094 /nfs/dbraw/zinc/11/90/94/192119094.db2.gz JBWMRBJXDNMBCA-GFCCVEGCSA-N 0 3 225.282 2.992 20 0 BFADHN CC(C)(C)OC(=O)CCN1C[C@@H]2CCCC[C@@H]21 ZINC000595565688 348282665 /nfs/dbraw/zinc/28/26/65/348282665.db2.gz JQUQUIXXRYLULW-RYUDHWBXSA-N 0 3 239.359 2.593 20 0 BFADHN CC[C@H](CN1CCOc2ccc(C)cc2C1)OC ZINC000419279439 192122725 /nfs/dbraw/zinc/12/27/25/192122725.db2.gz ODCGKVRBXBYGQZ-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN COCCN[C@@H](C)c1ccc(C2CC2)cc1 ZINC000419327018 192122424 /nfs/dbraw/zinc/12/24/24/192122424.db2.gz COEOYQQPFPDPFH-NSHDSACASA-N 0 3 219.328 2.861 20 0 BFADHN COCCN[C@H](C)c1ccc(C2CC2)cc1 ZINC000419327015 192122718 /nfs/dbraw/zinc/12/27/18/192122718.db2.gz COEOYQQPFPDPFH-LLVKDONJSA-N 0 3 219.328 2.861 20 0 BFADHN CS[C@@H](C)CNCc1ccncc1Cl ZINC000122102091 362914653 /nfs/dbraw/zinc/91/46/53/362914653.db2.gz VFOOBIBMRRGERC-QMMMGPOBSA-N 0 3 230.764 2.576 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2COCc3ccccc32)CS1 ZINC000397853814 362925917 /nfs/dbraw/zinc/92/59/17/362925917.db2.gz LIQJGJGPNGWJFG-OSMZGAPFSA-N 0 3 249.379 2.742 20 0 BFADHN COC(=O)c1ccc([C@@H](C)N2CC3CC2(C)C3)o1 ZINC000595915132 348399928 /nfs/dbraw/zinc/39/99/28/348399928.db2.gz JSUHHCNYRFECBR-NAUIOFCNSA-N 0 3 249.310 2.612 20 0 BFADHN CC(C)n1ccc(CN2C[C@@H](C)[C@H](C)[C@H]2C)n1 ZINC000419378487 192132424 /nfs/dbraw/zinc/13/24/24/192132424.db2.gz VQFYKRNWRSFKGS-FRRDWIJNSA-N 0 3 235.375 2.940 20 0 BFADHN CC(C)n1ccnc1CN1C[C@@H](C)[C@H](C)[C@H]1C ZINC000419368161 192127867 /nfs/dbraw/zinc/12/78/67/192127867.db2.gz FNWGGQCGUUBKNV-FRRDWIJNSA-N 0 3 235.375 2.940 20 0 BFADHN COc1ccnc(CN2C[C@H](C)[C@@H](C)[C@H]2C)c1 ZINC000419374704 192128586 /nfs/dbraw/zinc/12/85/86/192128586.db2.gz QMKLOMMGFCXFGQ-QJPTWQEYSA-N 0 3 234.343 2.567 20 0 BFADHN CCN(CCOCCC(C)C)C[C@H]1CCCO1 ZINC000051554751 323189649 /nfs/dbraw/zinc/18/96/49/323189649.db2.gz PXRBXAPFTHKFRV-CQSZACIVSA-N 0 3 243.391 2.550 20 0 BFADHN Cc1cccc(CN[C@@H]2CCO[C@@H](C(C)C)C2)n1 ZINC000070361032 349996105 /nfs/dbraw/zinc/99/61/05/349996105.db2.gz AFSHGYIKSZVNFB-UKRRQHHQSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1ccncc1[C@@H](C)NCC1(O)CCCCC1 ZINC000271326135 179495747 /nfs/dbraw/zinc/49/57/47/179495747.db2.gz ABURZEZZBABRII-CYBMUJFWSA-N 0 3 248.370 2.736 20 0 BFADHN Cc1ccsc1CNCc1cccn1C ZINC000082784130 179549638 /nfs/dbraw/zinc/54/96/38/179549638.db2.gz CKMJRVGGCALUSH-UHFFFAOYSA-N 0 3 220.341 2.685 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1cccc(F)c1 ZINC000401894995 348609400 /nfs/dbraw/zinc/60/94/00/348609400.db2.gz VXMUNOAYZKFURB-GBIKHYSHSA-N 0 3 223.291 2.654 20 0 BFADHN Cc1cnc(CN2CCC[C@H]3CCC[C@@H]32)cn1 ZINC000336552038 179594094 /nfs/dbraw/zinc/59/40/94/179594094.db2.gz MVGOFUOMJRKYBK-OCCSQVGLSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1cnc(CNCC(C)(C)CC(C)C)n1C ZINC000341269381 179602961 /nfs/dbraw/zinc/60/29/61/179602961.db2.gz JHBXIPTXQCCWAV-UHFFFAOYSA-N 0 3 237.391 2.890 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1ccc(F)c(F)c1 ZINC000401925038 348739224 /nfs/dbraw/zinc/73/92/24/348739224.db2.gz QNOAOLMICSPLNN-KKZNHRDASA-N 0 3 241.281 2.793 20 0 BFADHN CCSCCN[C@@H]1CCc2ccc(O)cc21 ZINC000597213668 348680723 /nfs/dbraw/zinc/68/07/23/348680723.db2.gz MSLABURINKGIHS-CYBMUJFWSA-N 0 3 237.368 2.722 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H]1CCc2ccc(O)cc21 ZINC000597214555 348689772 /nfs/dbraw/zinc/68/97/72/348689772.db2.gz WQLGPWQDIPZYFC-MELADBBJSA-N 0 3 249.379 2.863 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1C[C@@H]2CCC[C@H]2C1 ZINC000638087390 538013374 /nfs/dbraw/zinc/01/33/74/538013374.db2.gz RYOOEFYLTUWHJE-IHRRRGAJSA-N 0 3 245.370 2.603 20 0 BFADHN Cc1cncc([C@@H](C)N[C@H]2CCCSC2)c1 ZINC000274602853 179685830 /nfs/dbraw/zinc/68/58/30/179685830.db2.gz LWVBGNJUWNCBJU-YPMHNXCESA-N 0 3 236.384 2.936 20 0 BFADHN CCc1cc(CNCCC(C)(C)C)on1 ZINC000309040219 323197623 /nfs/dbraw/zinc/19/76/23/323197623.db2.gz KREVPBHUQKBCFF-UHFFFAOYSA-N 0 3 210.321 2.763 20 0 BFADHN Cc1ncc(CNCCC2CCCC2)o1 ZINC000397892621 362934036 /nfs/dbraw/zinc/93/40/36/362934036.db2.gz SINRXLPJCLNCQG-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)CC1CC1 ZINC000449562777 201971337 /nfs/dbraw/zinc/97/13/37/201971337.db2.gz NYHPMWUAJJIBLZ-MNOVXSKESA-N 0 3 221.348 2.742 20 0 BFADHN C[C@@H](NCc1ccc(F)cc1)[C@H]1CC1(F)F ZINC000666445031 490213512 /nfs/dbraw/zinc/21/35/12/490213512.db2.gz OBNAUCXLASRMSD-LDYMZIIASA-N 0 3 229.245 2.959 20 0 BFADHN Cn1cnc(CNC(C)(C)CC(C)(C)C)c1 ZINC000390315577 538021118 /nfs/dbraw/zinc/02/11/18/538021118.db2.gz HDDQXOIMDOWHAE-UHFFFAOYSA-N 0 3 223.364 2.725 20 0 BFADHN CC1(C2(NCc3cnc(C4CC4)o3)CC2)CC1 ZINC000628301420 349045163 /nfs/dbraw/zinc/04/51/63/349045163.db2.gz TVQJEQXIHYXFFC-UHFFFAOYSA-N 0 3 232.327 2.974 20 0 BFADHN CC[C@H](CSC)N(C)Cc1ccnc(C)c1 ZINC000598600504 349005267 /nfs/dbraw/zinc/00/52/67/349005267.db2.gz OOZZQLPARJHEFC-CYBMUJFWSA-N 0 3 238.400 2.963 20 0 BFADHN FCCCCNCc1cnc(C2CC2)s1 ZINC000308879683 349016712 /nfs/dbraw/zinc/01/67/12/349016712.db2.gz PLHCEEMKAUXVID-UHFFFAOYSA-N 0 3 228.336 2.860 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1nc(C)cs1 ZINC000599043156 349080971 /nfs/dbraw/zinc/08/09/71/349080971.db2.gz VLCDLDPPDMMRJF-DTWKUNHWSA-N 0 3 230.402 2.854 20 0 BFADHN C/C=C\CN[C@H](C)c1cc(C(=O)OC)cs1 ZINC000599081378 349096074 /nfs/dbraw/zinc/09/60/74/349096074.db2.gz JHHPBUFSFUYRLQ-XRVBUDJMSA-N 0 3 239.340 2.761 20 0 BFADHN C/C=C/CN[C@@H](C)c1cc(C(=O)OC)cs1 ZINC000599081375 349096187 /nfs/dbraw/zinc/09/61/87/349096187.db2.gz JHHPBUFSFUYRLQ-MOVJSRMASA-N 0 3 239.340 2.761 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1ccccc1F ZINC000220515411 490226042 /nfs/dbraw/zinc/22/60/42/490226042.db2.gz KRGJKOGHJALSBG-BXKDBHETSA-N 0 3 225.332 2.809 20 0 BFADHN CCNCc1ccc(C(=O)OC(C)(C)C)s1 ZINC000598953661 349066646 /nfs/dbraw/zinc/06/66/46/349066646.db2.gz ZQOQLVDOUKJLCN-UHFFFAOYSA-N 0 3 241.356 2.813 20 0 BFADHN CCOC1CCN([C@H](C)c2ccncc2)CC1 ZINC000599207337 349119661 /nfs/dbraw/zinc/11/96/61/349119661.db2.gz IRUNVEVLWBORNC-GFCCVEGCSA-N 0 3 234.343 2.644 20 0 BFADHN COC(=O)CCCCN1CC[C@@H]1c1ccccc1 ZINC000599317295 349155132 /nfs/dbraw/zinc/15/51/32/349155132.db2.gz PUZZFGVDDADCOU-CQSZACIVSA-N 0 3 247.338 2.777 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2cncs2)CCO1 ZINC000421853268 192389794 /nfs/dbraw/zinc/38/97/94/192389794.db2.gz IUEQEESKIBBOBY-HBNTYKKESA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H](CN[C@H](C)c1ccncc1Cl)OC ZINC000421852525 192389958 /nfs/dbraw/zinc/38/99/58/192389958.db2.gz ILMXHLFATHHDDZ-NXEZZACHSA-N 0 3 242.750 2.811 20 0 BFADHN COC[C@@H](C)CN(C)[C@H](C)c1ccco1 ZINC000249273124 349162353 /nfs/dbraw/zinc/16/23/53/349162353.db2.gz KZKFEJOCLHRQKC-WDEREUQCSA-N 0 3 211.305 2.555 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1ccncc1Cl ZINC000421834845 192391522 /nfs/dbraw/zinc/39/15/22/192391522.db2.gz PBYMBBVJDDUYFH-SKDRFNHKSA-N 0 3 242.750 2.547 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1ccncc1Cl ZINC000421838235 192391946 /nfs/dbraw/zinc/39/19/46/192391946.db2.gz UZEZJWLYBXFZIF-UWVGGRQHSA-N 0 3 242.750 2.547 20 0 BFADHN CSCCN1C[C@@H](C)C(F)(F)[C@@H](C)C1 ZINC000599514248 349204433 /nfs/dbraw/zinc/20/44/33/349204433.db2.gz WBXVDJWRVCAMCU-DTORHVGOSA-N 0 3 223.332 2.573 20 0 BFADHN CC[C@@H](C)N1Cc2ccccc2[C@H](C(=O)OC)C1 ZINC000599664580 349247268 /nfs/dbraw/zinc/24/72/68/349247268.db2.gz DUWFPEWOWKXBRP-BXUZGUMPSA-N 0 3 247.338 2.557 20 0 BFADHN COC(=O)C1C[C@@H](C)N(CC=C(C)C)[C@H](C)C1 ZINC000599703475 349249644 /nfs/dbraw/zinc/24/96/44/349249644.db2.gz BJOWQFMGENBUMF-VXGBXAGGSA-N 0 3 239.359 2.615 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCc3c2cccc3F)CO1 ZINC000402040415 349265146 /nfs/dbraw/zinc/26/51/46/349265146.db2.gz LJDFCRVMPDMEHU-RULNRJAQSA-N 0 3 235.302 2.580 20 0 BFADHN Cc1ncc(CN2C[C@@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)o1 ZINC000628303044 349268910 /nfs/dbraw/zinc/26/89/10/349268910.db2.gz DAVNNKMCNGTAPN-AYRXBEOTSA-N 0 3 246.354 2.851 20 0 BFADHN Cc1nc(C)c([C@H](C)NCCOC(C)C)s1 ZINC000049259527 179920025 /nfs/dbraw/zinc/92/00/25/179920025.db2.gz NFPSCCRXBFXHID-VIFPVBQESA-N 0 3 242.388 2.836 20 0 BFADHN CC[C@H](NCCCCOC)c1ccc(F)cn1 ZINC000161328101 349422982 /nfs/dbraw/zinc/42/29/82/349422982.db2.gz WIOOWLRQUQCHCH-LBPRGKRZSA-N 0 3 240.322 2.688 20 0 BFADHN CC[C@@H](NC[C@H](C)COC)c1ccc(F)cn1 ZINC000161331133 349424031 /nfs/dbraw/zinc/42/40/31/349424031.db2.gz KELXAGGKWGXSDG-CMPLNLGQSA-N 0 3 240.322 2.544 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@H](C)C2)c(Cl)c1 ZINC000402212184 350064536 /nfs/dbraw/zinc/06/45/36/350064536.db2.gz KGRSPRZQEDXPTR-PWSUYJOCSA-N 0 3 239.746 2.915 20 0 BFADHN Cc1nc([C@@H](C)NCC[C@H]2CCCO2)cs1 ZINC000038096177 180035195 /nfs/dbraw/zinc/03/51/95/180035195.db2.gz FNVWEJMEVWSRHL-MWLCHTKSSA-N 0 3 240.372 2.671 20 0 BFADHN Cc1nc([C@@H](C)N[C@@H]2CCCOCC2)cs1 ZINC000271421399 180036960 /nfs/dbraw/zinc/03/69/60/180036960.db2.gz VDTNRJCSCZRKAB-MWLCHTKSSA-N 0 3 240.372 2.671 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CCC[C@@H]1C ZINC000219186414 350117120 /nfs/dbraw/zinc/11/71/20/350117120.db2.gz DAEKSVVHTSAASF-DLOVCJGASA-N 0 3 219.332 2.624 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@@H](C)C(C)(C)C2)n[nH]1 ZINC000336282687 180047809 /nfs/dbraw/zinc/04/78/09/180047809.db2.gz ANWNOFHODGLWIS-ZJUUUORDSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nc([C@H](C)NC[C@]2(C)CCOC2)cs1 ZINC000309561341 180049199 /nfs/dbraw/zinc/04/91/99/180049199.db2.gz LDJNJFROFCKPCR-CABZTGNLSA-N 0 3 240.372 2.529 20 0 BFADHN Cc1nc([C@H](C)NCC2CCOCC2)cs1 ZINC000082544370 180049519 /nfs/dbraw/zinc/04/95/19/180049519.db2.gz DYQITBMTGMBQOZ-VIFPVBQESA-N 0 3 240.372 2.529 20 0 BFADHN Cc1nc([C@H](C)N[C@H]2CCO[C@H](C)C2)cs1 ZINC000271486392 180050509 /nfs/dbraw/zinc/05/05/09/180050509.db2.gz KINPAEMZKANWBK-YWVKMMECSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H](C)C2CCCCC2)[n-]1 ZINC000362307805 180051588 /nfs/dbraw/zinc/05/15/88/180051588.db2.gz ARJDYIDTTHXABC-ZJUUUORDSA-N 0 3 236.363 2.733 20 0 BFADHN CC1(C)CN(CCc2ccncc2)C(C)(C)CO1 ZINC000604386832 350122852 /nfs/dbraw/zinc/12/28/52/350122852.db2.gz SKJQQJYKFNLGNN-UHFFFAOYSA-N 0 3 248.370 2.514 20 0 BFADHN CC(C)C[C@@H](C)CN(C)CC(=O)NC(C)(C)C ZINC000410313762 191027346 /nfs/dbraw/zinc/02/73/46/191027346.db2.gz XCLZSBDBEQRMGS-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN CC[C@@](C)(O)CNCc1cnc(C(C)C)s1 ZINC000132255361 191028532 /nfs/dbraw/zinc/02/85/32/191028532.db2.gz AFTYDEPFXJOWFO-GFCCVEGCSA-N 0 3 242.388 2.517 20 0 BFADHN CCCC1(CN[C@@H](C)c2nccn2C)CC1 ZINC000132315918 191030236 /nfs/dbraw/zinc/03/02/36/191030236.db2.gz GVUCEJIXHXPCPC-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CC[C@@H](NCC1(C)CCC1)c1nccn1C ZINC000132301179 191030546 /nfs/dbraw/zinc/03/05/46/191030546.db2.gz KMAMJOFUNTZPHZ-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN CC(C)C1(CN[C@@H](C)c2nccn2C)CC1 ZINC000132303675 191030998 /nfs/dbraw/zinc/03/09/98/191030998.db2.gz CVFFVAILRQEHNS-NSHDSACASA-N 0 3 221.348 2.507 20 0 BFADHN CCOc1ncccc1CNC[C@H](C)CC ZINC000133525775 191041398 /nfs/dbraw/zinc/04/13/98/191041398.db2.gz OPEZSWLDFMXNKD-LLVKDONJSA-N 0 3 222.332 2.616 20 0 BFADHN Cc1ncc(CN(C)[C@H](C)C(C)C)s1 ZINC000299116656 180139793 /nfs/dbraw/zinc/13/97/93/180139793.db2.gz DQSMMLCDNIPVOT-SECBINFHSA-N 0 3 212.362 2.928 20 0 BFADHN Cc1ncc(CN([C@@H](C)C2CC2)C2CC2)cn1 ZINC000339099044 180141587 /nfs/dbraw/zinc/14/15/87/180141587.db2.gz JCYMKEPFKINTTP-JTQLQIEISA-N 0 3 231.343 2.548 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H](C)O[C@@H](C)C2)nc1C ZINC000314250030 350169864 /nfs/dbraw/zinc/16/98/64/350169864.db2.gz YFKVXZVOERTOJH-JJLQDPRZSA-N 0 3 248.370 2.744 20 0 BFADHN CCN(Cc1cncc(Cl)c1)CC1CC1 ZINC000621257123 350192391 /nfs/dbraw/zinc/19/23/91/350192391.db2.gz IBKURTHPOCAOHV-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN CC(C)Cc1ncc(CNC(C)C)s1 ZINC000040996724 191063056 /nfs/dbraw/zinc/06/30/56/191063056.db2.gz YVZHANMHRLMUFO-UHFFFAOYSA-N 0 3 212.362 2.840 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H]2CC2(C)C)c(C)n1 ZINC000272050983 180172871 /nfs/dbraw/zinc/17/28/71/180172871.db2.gz MZPNOSHIDFGMTB-BXKDBHETSA-N 0 3 219.332 2.543 20 0 BFADHN Cc1ncc(CNC2CC(C(C)(C)C)C2)cn1 ZINC000339464688 180149571 /nfs/dbraw/zinc/14/95/71/180149571.db2.gz GKOJTFUCZCPOHO-UHFFFAOYSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1ccc([C@H](C)NCC2(O)CCCC2)o1 ZINC000083463969 350216196 /nfs/dbraw/zinc/21/61/96/350216196.db2.gz IZJQIELSAIZTOI-NSHDSACASA-N 0 3 223.316 2.544 20 0 BFADHN Cc1nccc(CN2CC(C)(C)[C@H]3CCC[C@H]32)n1 ZINC000336360365 180183253 /nfs/dbraw/zinc/18/32/53/180183253.db2.gz KNCVBAUOQZCURA-UONOGXRCSA-N 0 3 245.370 2.796 20 0 BFADHN Cc1nccc(CN2CCC3(CCCC3)CC2)n1 ZINC000336437686 180184002 /nfs/dbraw/zinc/18/40/02/180184002.db2.gz CDWCAVNJTLMEOB-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN Cc1nccc(CN(C2CCC2)C2CCC2)n1 ZINC000353587739 180184794 /nfs/dbraw/zinc/18/47/94/180184794.db2.gz BMQRKYHWVMHMCB-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN CCc1cnccc1[C@H](C)NC[C@@H]1CC[C@H](C)O1 ZINC000355275026 350225075 /nfs/dbraw/zinc/22/50/75/350225075.db2.gz KQXICUZHPWQIIZ-OBJOEFQTSA-N 0 3 248.370 2.862 20 0 BFADHN Cc1ncccc1CN1CC[C@H](c2ccco2)C1 ZINC000378348490 180220996 /nfs/dbraw/zinc/22/09/96/180220996.db2.gz YIVDQONZYBFCEA-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN CC[C@@H](NCC1(C(C)C)CC1)c1ccn(C)n1 ZINC000349512029 490398266 /nfs/dbraw/zinc/39/82/66/490398266.db2.gz HGQFDGYBKHPPSQ-GFCCVEGCSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1nccn1CCN(C)[C@H](C)c1cccs1 ZINC000270554799 180249890 /nfs/dbraw/zinc/24/98/90/180249890.db2.gz CLTUDALLWPDOTI-LLVKDONJSA-N 0 3 249.383 2.946 20 0 BFADHN COCCCCN1CCOc2ccccc2[C@@H]1C ZINC000605150346 350260480 /nfs/dbraw/zinc/26/04/80/350260480.db2.gz KUZVQTNDGYGWIF-ZDUSSCGKSA-N 0 3 249.354 2.869 20 0 BFADHN CC(C)CN1CCN(CC2CCCCC2)CC1 ZINC000049969941 350270169 /nfs/dbraw/zinc/27/01/69/350270169.db2.gz GSEFUWKOEMVMRO-UHFFFAOYSA-N 0 3 238.419 2.840 20 0 BFADHN CN(Cc1cncc(Cl)c1)[C@H]1CCSC1 ZINC000621270274 350311509 /nfs/dbraw/zinc/31/15/09/350311509.db2.gz VNIOQKOYJXUALH-NSHDSACASA-N 0 3 242.775 2.672 20 0 BFADHN Cc1nccnc1[C@H](C)NCC1(C(C)C)CC1 ZINC000188228562 180281496 /nfs/dbraw/zinc/28/14/96/180281496.db2.gz HWKGMIFMTXKCHM-LBPRGKRZSA-N 0 3 233.359 2.872 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@H]1CC12CCCC2 ZINC000336298426 180281680 /nfs/dbraw/zinc/28/16/80/180281680.db2.gz LWRBGOXARUGJCS-NEPJUHHUSA-N 0 3 231.343 2.768 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CC[C@H](C)C1 ZINC000300333300 180283922 /nfs/dbraw/zinc/28/39/22/180283922.db2.gz JOSJRGWHSUBWBZ-ZMLRMANQSA-N 0 3 219.332 2.624 20 0 BFADHN CC(C)[C@H](N[C@H]1C[C@@H](C)n2ccnc21)C1CC1 ZINC000324389427 350295297 /nfs/dbraw/zinc/29/52/97/350295297.db2.gz MVMNMDVDCRDUQQ-WXHSDQCUSA-N 0 3 233.359 2.913 20 0 BFADHN C[C@@H]1c2c(F)cccc2CCN1C[C@@H]1CCOC1 ZINC000606449697 350339528 /nfs/dbraw/zinc/33/95/28/350339528.db2.gz BQOXTCWXSUKXPV-NEPJUHHUSA-N 0 3 249.329 2.781 20 0 BFADHN c1nc(C2CC2)sc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000309364001 350356597 /nfs/dbraw/zinc/35/65/97/350356597.db2.gz PEPOFHZGPIVIME-GDNZZTSVSA-N 0 3 234.368 2.909 20 0 BFADHN Cc1ncsc1CCN(C)[C@H]1CC1(C)C ZINC000352420361 180307677 /nfs/dbraw/zinc/30/76/77/180307677.db2.gz BUGAAHHSGVPOML-NSHDSACASA-N 0 3 224.373 2.724 20 0 BFADHN CCCN(C)[C@H](C)C(=O)Nc1ccccc1C ZINC000069092950 350329215 /nfs/dbraw/zinc/32/92/15/350329215.db2.gz UPUZUNWGMYWENZ-GFCCVEGCSA-N 0 3 234.343 2.664 20 0 BFADHN CCO[C@H]1CCCN([C@H](C)c2ccncc2)C1 ZINC000606438599 350333716 /nfs/dbraw/zinc/33/37/16/350333716.db2.gz BQBDCZRAZBKGIV-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN Cc1nn(-c2ccccc2)cc1CN1CCCC1 ZINC000123929923 180330783 /nfs/dbraw/zinc/33/07/83/180330783.db2.gz STQWBEMDAKUELZ-UHFFFAOYSA-N 0 3 241.338 2.777 20 0 BFADHN Cc1nn(C(C)(C)C)c(C)c1CNC1CC1 ZINC000040932453 180332903 /nfs/dbraw/zinc/33/29/03/180332903.db2.gz WZKSHXZALOTWOF-UHFFFAOYSA-N 0 3 221.348 2.507 20 0 BFADHN CC(C)[C@H](N[C@H]1C[C@H](O)C1(C)C)c1cccnc1 ZINC000427889854 191099590 /nfs/dbraw/zinc/09/95/90/191099590.db2.gz ACFNZZQWJWEJAJ-IHRRRGAJSA-N 0 3 248.370 2.528 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1ccnc(C)c1)OC ZINC000623536819 362955213 /nfs/dbraw/zinc/95/52/13/362955213.db2.gz CPSFCWYMJAZBCD-SMDDNHRTSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1ncsc1CN[C@@H]1CCC12CCC2 ZINC000309185249 180322803 /nfs/dbraw/zinc/32/28/03/180322803.db2.gz OPUZMODHFWAAOQ-LLVKDONJSA-N 0 3 222.357 2.874 20 0 BFADHN Cc1nn(C)c(Cl)c1CNCCCC(C)C ZINC000135943616 180351655 /nfs/dbraw/zinc/35/16/55/180351655.db2.gz KVFIMBJSTAQPMN-UHFFFAOYSA-N 0 3 243.782 2.908 20 0 BFADHN Cc1nn(C)c(Cl)c1CNCC1(C)CCC1 ZINC000165473790 180352105 /nfs/dbraw/zinc/35/21/05/180352105.db2.gz YQXLOZRRRPCQMQ-UHFFFAOYSA-N 0 3 241.766 2.662 20 0 BFADHN Cc1nn(C)c(C)c1CNCC1=CCCCC1 ZINC000295199749 180340932 /nfs/dbraw/zinc/34/09/32/180340932.db2.gz YMXLQPYPKKGAKV-UHFFFAOYSA-N 0 3 233.359 2.627 20 0 BFADHN Cc1nn(C)cc1CN(C)[C@@H]1CCC(C)(C)C1 ZINC000336584007 180372256 /nfs/dbraw/zinc/37/22/56/180372256.db2.gz FYHLPTKYGNXKAW-CYBMUJFWSA-N 0 3 235.375 2.739 20 0 BFADHN CC1CC(NCc2cn(C)nc2C(C)(C)C)C1 ZINC000162923729 350469470 /nfs/dbraw/zinc/46/94/70/350469470.db2.gz JBYWIMYFIRDTFZ-UHFFFAOYSA-N 0 3 235.375 2.606 20 0 BFADHN Cc1nn(C)cc1CN[C@H](C)c1ccccc1 ZINC000125923836 180376352 /nfs/dbraw/zinc/37/63/52/180376352.db2.gz KDHASSFDHQOXDL-LLVKDONJSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1c([C@@H](C)NCc2ccccc2)cnn1C ZINC000020349431 350471371 /nfs/dbraw/zinc/47/13/71/350471371.db2.gz IPZHGUHJKLFEBA-LLVKDONJSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1c([C@H](C)NCc2ccc(C)cc2)cnn1C ZINC000020349438 350471382 /nfs/dbraw/zinc/47/13/82/350471382.db2.gz RHYMATLJBXYPMJ-LBPRGKRZSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NCc1cccs1 ZINC000020351369 180378515 /nfs/dbraw/zinc/37/85/15/180378515.db2.gz XBHKSCRLZYCTSP-SECBINFHSA-N 0 3 235.356 2.641 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NCCc1ccsc1 ZINC000087409410 180381877 /nfs/dbraw/zinc/38/18/77/180381877.db2.gz NSXBFKVTRDOFDC-JTQLQIEISA-N 0 3 249.383 2.683 20 0 BFADHN C[C@@H](O)C[C@H]1CCCCN1CCC(F)(F)F ZINC000163087559 350503045 /nfs/dbraw/zinc/50/30/45/350503045.db2.gz KGXGKMIJSWFALR-NXEZZACHSA-N 0 3 239.281 2.564 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H](C)C1CCC1 ZINC000219204670 490559963 /nfs/dbraw/zinc/55/99/63/490559963.db2.gz TTYLJZQULORWOM-ONGXEEELSA-N 0 3 219.332 2.624 20 0 BFADHN CCC(C)(C)OCCNCc1ccnc(C)c1 ZINC000623545623 362965925 /nfs/dbraw/zinc/96/59/25/362965925.db2.gz WBSUWVNGCYOWBM-UHFFFAOYSA-N 0 3 236.359 2.685 20 0 BFADHN CC(C)C(CN[C@@H]1CCn2ccnc21)C(C)C ZINC000379089672 350525855 /nfs/dbraw/zinc/52/58/55/350525855.db2.gz KOXBLKQYUQRRTJ-CYBMUJFWSA-N 0 3 235.375 2.846 20 0 BFADHN CCCC[C@H](C)C(=O)N(CCC)CCN(C)C ZINC000337282679 350568556 /nfs/dbraw/zinc/56/85/56/350568556.db2.gz XPTZYDKELGRJQL-ZDUSSCGKSA-N 0 3 242.407 2.613 20 0 BFADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H]1CO[C@H](C)C1 ZINC000577513097 365975702 /nfs/dbraw/zinc/97/57/02/365975702.db2.gz VUSARDLXCRNBFP-DHMWGJHJSA-N 0 3 249.354 2.530 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@H]2CC2(F)F)ccn1 ZINC000623550268 362970285 /nfs/dbraw/zinc/97/02/85/362970285.db2.gz HEHFZYPSLCSMSL-GXSJLCMTSA-N 0 3 226.270 2.523 20 0 BFADHN CC(C)CN1CCO[C@](C)(c2ccccc2)C1 ZINC000177227407 365987741 /nfs/dbraw/zinc/98/77/41/365987741.db2.gz SJBLDBBJZPNIPJ-HNNXBMFYSA-N 0 3 233.355 2.890 20 0 BFADHN CC[C@@H](O)CCN[C@H]1CCCc2ccc(O)cc21 ZINC000233910392 350687212 /nfs/dbraw/zinc/68/72/12/350687212.db2.gz DLRXMRPVKSZYAL-DOMZBBRYSA-N 0 3 249.354 2.520 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1cnns1 ZINC000334623658 350764379 /nfs/dbraw/zinc/76/43/79/350764379.db2.gz LJLMQMFAIUXDTP-KOLCDFICSA-N 0 3 239.388 2.843 20 0 BFADHN CCN(Cc1cnc(C)nc1)C1CCCC1 ZINC000339086415 350731056 /nfs/dbraw/zinc/73/10/56/350731056.db2.gz WJCXEZIPPQJVJK-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN CCN(Cc1ccccc1SC)[C@H](C)CO ZINC000339632330 350770375 /nfs/dbraw/zinc/77/03/75/350770375.db2.gz CEJUJGGMLVXRIH-LLVKDONJSA-N 0 3 239.384 2.611 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@H]2CC(C)C)o1 ZINC000628308290 350781384 /nfs/dbraw/zinc/78/13/84/350781384.db2.gz GFQWAMBLMRQZGE-ZYHUDNBSSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1cc(CN2C[C@@H]3CCCC[C@H]3C2)no1 ZINC000177544238 366008272 /nfs/dbraw/zinc/00/82/72/366008272.db2.gz HVAZZHVVRFWHLJ-RYUDHWBXSA-N 0 3 220.316 2.605 20 0 BFADHN CCOc1ccc([C@@H](C)N[C@H](C)COC)cc1 ZINC000019904831 350840757 /nfs/dbraw/zinc/84/07/57/350840757.db2.gz CABZNHXUOCRVLU-VXGBXAGGSA-N 0 3 237.343 2.771 20 0 BFADHN CCN1CCC[C@H]1CN[C@H]1CCCc2occc21 ZINC000245780590 350827901 /nfs/dbraw/zinc/82/79/01/350827901.db2.gz CDKQLBZSMAJSPO-JSGCOSHPSA-N 0 3 248.370 2.731 20 0 BFADHN CCN(Cc1cccc(F)c1)[C@@H](C)COC ZINC000052219029 350939427 /nfs/dbraw/zinc/93/94/27/350939427.db2.gz PHEWWUVVCCFOLR-NSHDSACASA-N 0 3 225.307 2.683 20 0 BFADHN COCCN(C)[C@H](C)c1cccc(Cl)c1 ZINC000052162232 350935960 /nfs/dbraw/zinc/93/59/60/350935960.db2.gz QMDQBEYAKYNFEC-SNVBAGLBSA-N 0 3 227.735 2.979 20 0 BFADHN C/C=C\CNCc1cn(-c2ccccc2)nc1C ZINC000623739292 350885544 /nfs/dbraw/zinc/88/55/44/350885544.db2.gz PNGIEXUEKRMRIJ-ARJAWSKDSA-N 0 3 241.338 2.846 20 0 BFADHN C/C=C/CNCc1cn(-c2ccccc2)nc1C ZINC000623739293 350885761 /nfs/dbraw/zinc/88/57/61/350885761.db2.gz PNGIEXUEKRMRIJ-ONEGZZNKSA-N 0 3 241.338 2.846 20 0 BFADHN CC1(C)CCC[C@@H]1CNCc1cocn1 ZINC000293454427 350886238 /nfs/dbraw/zinc/88/62/38/350886238.db2.gz PQUOEQCUUATNLN-SNVBAGLBSA-N 0 3 208.305 2.591 20 0 BFADHN CCc1cnc(CN[C@H]2CC[C@H]2C)s1 ZINC000336679159 350894473 /nfs/dbraw/zinc/89/44/73/350894473.db2.gz FSUWNMQHJDAQOB-SCZZXKLOSA-N 0 3 210.346 2.594 20 0 BFADHN Cc1cccc(NC(=O)CN2C[C@H](C)C[C@H]2C)c1 ZINC000607154234 351030724 /nfs/dbraw/zinc/03/07/24/351030724.db2.gz GYVHSTDMAPJBQM-CHWSQXEVSA-N 0 3 246.354 2.664 20 0 BFADHN C/C=C\CNCc1cc(COC)cs1 ZINC000623739757 351049209 /nfs/dbraw/zinc/04/92/09/351049209.db2.gz FOYIBSUHXVMAEY-ARJAWSKDSA-N 0 3 211.330 2.560 20 0 BFADHN C/C=C/CNCc1ccc(C)cc1OC ZINC000623739901 351049591 /nfs/dbraw/zinc/04/95/91/351049591.db2.gz JMOOISWQGKZFMU-SNAWJCMRSA-N 0 3 205.301 2.669 20 0 BFADHN CCO[C@@H]1CCCN(CCOCCC(C)C)C1 ZINC000151071682 351051558 /nfs/dbraw/zinc/05/15/58/351051558.db2.gz WDNFXEAYOSQHJB-CQSZACIVSA-N 0 3 243.391 2.550 20 0 BFADHN CCN(CCC(F)(F)F)C[C@@H]1CCCCO1 ZINC000623121797 351053024 /nfs/dbraw/zinc/05/30/24/351053024.db2.gz MBYCMNOPAYAQST-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN FC1(F)C[C@@H]1CCNCc1ccncc1Cl ZINC000623575869 363005629 /nfs/dbraw/zinc/00/56/29/363005629.db2.gz TTYVUUFRBYMFBU-VIFPVBQESA-N 0 3 246.688 2.870 20 0 BFADHN CCC[C@@H]1C[C@H]1NCc1nn(C)c2ccccc12 ZINC000231675242 351073496 /nfs/dbraw/zinc/07/34/96/351073496.db2.gz BEFXMTIMVIBOJK-DGCLKSJQSA-N 0 3 243.354 2.852 20 0 BFADHN CCCCN(CCCC)CC(=O)N(CC)CC ZINC000052870332 351074109 /nfs/dbraw/zinc/07/41/09/351074109.db2.gz HHCZYIXUXYANRY-UHFFFAOYSA-N 0 3 242.407 2.757 20 0 BFADHN CC(C)OCCN(C)Cc1cccs1 ZINC000052927511 351079647 /nfs/dbraw/zinc/07/96/47/351079647.db2.gz BFKODCUHDNQPFX-UHFFFAOYSA-N 0 3 213.346 2.605 20 0 BFADHN CC(C)n1ncnc1CNC(C)(C)C1CCC1 ZINC000398074406 363000507 /nfs/dbraw/zinc/00/05/07/363000507.db2.gz IEDDVBZIQRBFCH-UHFFFAOYSA-N 0 3 236.363 2.527 20 0 BFADHN Cc1nc(C)c(CNC2CC3(CCC3)C2)o1 ZINC000628325915 351256704 /nfs/dbraw/zinc/25/67/04/351256704.db2.gz JTUNPKPCNFZASY-UHFFFAOYSA-N 0 3 220.316 2.714 20 0 BFADHN Clc1ccc(CN[C@H]2CC23CC3)cc1 ZINC000335285302 180580920 /nfs/dbraw/zinc/58/09/20/180580920.db2.gz UZADTQYJADDDCR-NSHDSACASA-N 0 3 207.704 2.982 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000628322897 351212450 /nfs/dbraw/zinc/21/24/50/351212450.db2.gz QUOIZNBPYSWPLL-IJLUTSLNSA-N 0 3 232.327 2.830 20 0 BFADHN CCOC[C@H](C)N[C@@H]1CCc2cc(OC)ccc21 ZINC000631620356 351224777 /nfs/dbraw/zinc/22/47/77/351224777.db2.gz DWZVFJYXXRACRE-XHDPSFHLSA-N 0 3 249.354 2.697 20 0 BFADHN Cc1nc(C)c(CNCCCOC(C)(C)C)o1 ZINC000628338188 351281606 /nfs/dbraw/zinc/28/16/06/351281606.db2.gz CLFPOFDPDIMWHI-UHFFFAOYSA-N 0 3 240.347 2.586 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CCC[C@H]3C[C@H]32)o1 ZINC000628339371 351283275 /nfs/dbraw/zinc/28/32/75/351283275.db2.gz LSGOSXWSZWFZFN-RWMBFGLXSA-N 0 3 234.343 2.817 20 0 BFADHN CC[C@@](C)(NCc1oc(C)nc1C)C1CC1 ZINC000628341562 351285785 /nfs/dbraw/zinc/28/57/85/351285785.db2.gz OCBJXFXDFUITAC-CYBMUJFWSA-N 0 3 222.332 2.960 20 0 BFADHN CCN1CCCC[C@H]1CNCc1occc1C ZINC000266243603 351287251 /nfs/dbraw/zinc/28/72/51/351287251.db2.gz XVUDRXSBWXJTSJ-ZDUSSCGKSA-N 0 3 236.359 2.552 20 0 BFADHN CC[C@@H](c1ccc(Cl)cc1)N(C)CCO ZINC000267127042 351300927 /nfs/dbraw/zinc/30/09/27/351300927.db2.gz CAFPOVGVWWIKRK-LBPRGKRZSA-N 0 3 227.735 2.715 20 0 BFADHN Cc1ncc(CN[C@H](C)C2CCC(F)CC2)o1 ZINC000628350292 351309783 /nfs/dbraw/zinc/30/97/83/351309783.db2.gz CPAIXFXDBBOMGT-OIKLOGQESA-N 0 3 240.322 2.989 20 0 BFADHN COC[C@@H]1CCCCN1Cc1ccoc1C ZINC000414453451 351312297 /nfs/dbraw/zinc/31/22/97/351312297.db2.gz BQAXAKAYCQQZPJ-ZDUSSCGKSA-N 0 3 223.316 2.589 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000623589476 363023298 /nfs/dbraw/zinc/02/32/98/363023298.db2.gz LERCKSCKAUMKBR-HZSPNIEDSA-N 0 3 247.386 2.990 20 0 BFADHN CCC[C@@H](N[C@H]1C[C@@](C)(O)C1)c1cc(C)ccn1 ZINC000631642232 351377706 /nfs/dbraw/zinc/37/77/06/351377706.db2.gz PNRAGZVIYSUYOP-NFAWXSAZSA-N 0 3 248.370 2.734 20 0 BFADHN c1cc(CN[C@@H]2[C@@H]3CCC[C@@H]32)n(CC2CCC2)n1 ZINC000631178422 351378045 /nfs/dbraw/zinc/37/80/45/351378045.db2.gz SKTJDDGBZPRVQJ-QDMKHBRRSA-N 0 3 245.370 2.571 20 0 BFADHN CC[C@@H](N[C@@H]1CCOC1)c1ccc(F)cc1F ZINC000269015772 351345212 /nfs/dbraw/zinc/34/52/12/351345212.db2.gz ODUAXLZURWOCFV-ZWNOBZJWSA-N 0 3 241.281 2.794 20 0 BFADHN c1cnc2c(c1)[C@H](N[C@H]1CC13CC3)CCC2 ZINC000631638560 351346014 /nfs/dbraw/zinc/34/60/14/351346014.db2.gz OQNPBIPPLVQRPK-OLZOCXBDSA-N 0 3 214.312 2.601 20 0 BFADHN C[C@@H](NCc1ccc(O)cc1)c1cccnc1 ZINC000020127431 363026999 /nfs/dbraw/zinc/02/69/99/363026999.db2.gz NKMZLRPTOJHARW-LLVKDONJSA-N 0 3 228.295 2.638 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1ccnn1CC1CCC1 ZINC000631177803 351360901 /nfs/dbraw/zinc/36/09/01/351360901.db2.gz RKQAOTDVULCSII-OAHLLOKOSA-N 0 3 247.386 2.961 20 0 BFADHN CCn1ccnc1CNC[C@H]1CCC[C@H](C)C1 ZINC000218237900 351432781 /nfs/dbraw/zinc/43/27/81/351432781.db2.gz PDAJYQXIANTXME-STQMWFEESA-N 0 3 235.375 2.819 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccc(OC)cn1 ZINC000272063534 351452114 /nfs/dbraw/zinc/45/21/14/351452114.db2.gz CUNNTPFISPKURY-CYBMUJFWSA-N 0 3 234.343 2.855 20 0 BFADHN FC1(F)CCC(NCc2ccns2)CC1 ZINC000404457477 351458901 /nfs/dbraw/zinc/45/89/01/351458901.db2.gz NTXVDABJFIITEZ-UHFFFAOYSA-N 0 3 232.299 2.811 20 0 BFADHN CCC[C@@H](CNCc1conc1CC)OCC ZINC000623599929 363038676 /nfs/dbraw/zinc/03/86/76/363038676.db2.gz VSNNERRIXYFFSQ-LBPRGKRZSA-N 0 3 240.347 2.532 20 0 BFADHN c1coc(CNC[C@@H]2Cc3ccccc3CO2)c1 ZINC000623601637 363039627 /nfs/dbraw/zinc/03/96/27/363039627.db2.gz LBUQZHJOYMDKPU-HNNXBMFYSA-N 0 3 243.306 2.511 20 0 BFADHN Cc1cccc2c1C[C@H](NCc1ccco1)CO2 ZINC000623606693 363045501 /nfs/dbraw/zinc/04/55/01/363045501.db2.gz GTPAZEIFIKANLS-LBPRGKRZSA-N 0 3 243.306 2.681 20 0 BFADHN C[C@@H](CCc1ccco1)NCc1ccns1 ZINC000404486407 351479443 /nfs/dbraw/zinc/47/94/43/351479443.db2.gz LTQBXGLNRHRRPU-JTQLQIEISA-N 0 3 236.340 2.847 20 0 BFADHN COc1ncc(CNC(C)(C)C2CCC2)s1 ZINC000398178353 363053762 /nfs/dbraw/zinc/05/37/62/363053762.db2.gz RLQWOASNMNPKBR-UHFFFAOYSA-N 0 3 240.372 2.820 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cncc(F)c1 ZINC000274396966 351568108 /nfs/dbraw/zinc/56/81/08/351568108.db2.gz WXQOPQRKOJIXTE-CYBMUJFWSA-N 0 3 222.307 2.985 20 0 BFADHN CC1(C)CN(C/C=C/c2ccccc2)CC[C@@H]1O ZINC000275392298 351598354 /nfs/dbraw/zinc/59/83/54/351598354.db2.gz CRCMUKAQYFCEKM-PPYMECGQSA-N 0 3 245.366 2.793 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1nc(C)c(C)[nH]1 ZINC000577651518 366032307 /nfs/dbraw/zinc/03/23/07/366032307.db2.gz JOLWAADVYVATOR-CHWSQXEVSA-N 0 3 235.375 2.942 20 0 BFADHN CC(C)CN(C)[C@@H]1CCc2ccccc2NC1=O ZINC000276769916 351647616 /nfs/dbraw/zinc/64/76/16/351647616.db2.gz IANQIOKWRKUTQT-CQSZACIVSA-N 0 3 246.354 2.528 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H]1CCc2ccc(F)cc21 ZINC000577666966 366034351 /nfs/dbraw/zinc/03/43/51/366034351.db2.gz UKXRKXNAEKKIPK-ISTVAULSSA-N 0 3 237.318 2.826 20 0 BFADHN CCN(Cc1ccc(F)cn1)CC(C)C ZINC000276379195 351619348 /nfs/dbraw/zinc/61/93/48/351619348.db2.gz PYQAJFFTFOFXNG-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCCS2)nc1 ZINC000088135580 351624996 /nfs/dbraw/zinc/62/49/96/351624996.db2.gz HREMYQPNBCULFQ-ZDUSSCGKSA-N 0 3 236.384 2.765 20 0 BFADHN Cc1cc(CN2C[C@@H](C)C[C@H](C)C2)sn1 ZINC000637832300 351682200 /nfs/dbraw/zinc/68/22/00/351682200.db2.gz HQVWBVFTKSKGQP-UWVGGRQHSA-N 0 3 224.373 2.929 20 0 BFADHN C[C@@H]1C[C@@H](C)CN([C@@H](c2nccn2C)C2CC2)C1 ZINC000637832677 351682259 /nfs/dbraw/zinc/68/22/59/351682259.db2.gz KSSYRXYGTFTFSE-YRGRVCCFSA-N 0 3 247.386 2.849 20 0 BFADHN C[C@@H]1CCCN([C@H](c2nccn2C)C2CC2)C1 ZINC000637833552 351684002 /nfs/dbraw/zinc/68/40/02/351684002.db2.gz SKCLDOKSLDXKKU-YPMHNXCESA-N 0 3 233.359 2.603 20 0 BFADHN CC1CCN([C@@H](c2nccn2C)C2CC2)CC1 ZINC000637835159 351685434 /nfs/dbraw/zinc/68/54/34/351685434.db2.gz DUACODFXKGZJGJ-CYBMUJFWSA-N 0 3 233.359 2.603 20 0 BFADHN COc1cncc(CN2CCCC[C@@H]2C)c1C ZINC000637835575 351686636 /nfs/dbraw/zinc/68/66/36/351686636.db2.gz IAXALMCPMWWPID-NSHDSACASA-N 0 3 234.343 2.773 20 0 BFADHN C[C@H]1CCCCN1[C@@H](c1nccn1C)C1CC1 ZINC000637836992 351690738 /nfs/dbraw/zinc/69/07/38/351690738.db2.gz WZAGLTACULMYHC-WCQYABFASA-N 0 3 233.359 2.746 20 0 BFADHN CN(CCC[C@H]1CCO1)Cc1cccs1 ZINC000637845301 351703059 /nfs/dbraw/zinc/70/30/59/351703059.db2.gz ANFCIMDGTPKZOS-NSHDSACASA-N 0 3 225.357 2.749 20 0 BFADHN CO[C@](C)(CN1CCn2cccc2[C@@H]1C)C1CC1 ZINC000637843752 351703089 /nfs/dbraw/zinc/70/30/89/351703089.db2.gz ZDESUESVRLMONA-SWLSCSKDSA-N 0 3 248.370 2.680 20 0 BFADHN CC[C@](C)(CN(C)Cc1cccs1)OC ZINC000637848014 351705484 /nfs/dbraw/zinc/70/54/84/351705484.db2.gz RCZHLQAIAFBEMH-GFCCVEGCSA-N 0 3 227.373 2.995 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@H]1COC(C)(C)C1)CO2 ZINC000577725720 366044599 /nfs/dbraw/zinc/04/45/99/366044599.db2.gz HGPNXYOIVRYAKT-DGCLKSJQSA-N 0 3 247.338 2.586 20 0 BFADHN CC[C@H](C)[C@H](CN(C)Cc1ccco1)OC ZINC000637862027 351723122 /nfs/dbraw/zinc/72/31/22/351723122.db2.gz VWLXHUPYUPXGGV-AAEUAGOBSA-N 0 3 225.332 2.773 20 0 BFADHN CCN(C(=O)[C@@H](N)CCC(C)C)c1ccccc1 ZINC000637868225 351732240 /nfs/dbraw/zinc/73/22/40/351732240.db2.gz DUABUHVJQRJATM-AWEZNQCLSA-N 0 3 248.370 2.803 20 0 BFADHN CC[C@H](NC(=O)[C@H](N)C(C)C)c1ccc(C)cc1 ZINC000037028265 358466335 /nfs/dbraw/zinc/46/63/35/358466335.db2.gz ICJJZMAWUXYTOL-UONOGXRCSA-N 0 3 248.370 2.546 20 0 BFADHN COc1cncc(CN(C)[C@H](C)C(C)C)c1C ZINC000637902995 351775601 /nfs/dbraw/zinc/77/56/01/351775601.db2.gz NPAYGMVRXSRAOW-GFCCVEGCSA-N 0 3 236.359 2.875 20 0 BFADHN CC(C)(C)OCCNCc1cccc(F)c1 ZINC000162366632 323609846 /nfs/dbraw/zinc/60/98/46/323609846.db2.gz CTAAOOWWPVSTEO-UHFFFAOYSA-N 0 3 225.307 2.730 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1ccns1 ZINC000637908762 351782597 /nfs/dbraw/zinc/78/25/97/351782597.db2.gz KARXGQDEPLPAJS-LLVKDONJSA-N 0 3 210.346 2.764 20 0 BFADHN CN(Cc1cc2ccccc2n1C)C1CC1 ZINC000637909269 351784331 /nfs/dbraw/zinc/78/43/31/351784331.db2.gz CSFKGNDKWOLWMY-UHFFFAOYSA-N 0 3 214.312 2.773 20 0 BFADHN CC[C@@H](C)N(C)[C@@H](C)c1cnc(C)nc1C ZINC000637912117 351785521 /nfs/dbraw/zinc/78/55/21/351785521.db2.gz SETWAHRKMAHTMS-KOLCDFICSA-N 0 3 221.348 2.885 20 0 BFADHN c1cnc2cc(CN3C4CCC3CC4)ccc2n1 ZINC000637887540 351755166 /nfs/dbraw/zinc/75/51/66/351755166.db2.gz VYEFVHAVKNPSDE-UHFFFAOYSA-N 0 3 239.322 2.757 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)N(C)[C@H](C)C(C)(C)C ZINC000637929719 351803384 /nfs/dbraw/zinc/80/33/84/351803384.db2.gz OKWQCFXSQZYLCX-VXGBXAGGSA-N 0 3 242.407 2.643 20 0 BFADHN CC(C)CCN(C)Cc1c2c(nn1C)CCCC2 ZINC000637901129 351770492 /nfs/dbraw/zinc/77/04/92/351770492.db2.gz JEAMEBZRCMPBOC-UHFFFAOYSA-N 0 3 249.402 2.777 20 0 BFADHN CC(C)CCN(C)[C@H](c1nccn1C)C1CC1 ZINC000637901196 351771086 /nfs/dbraw/zinc/77/10/86/351771086.db2.gz KFDXHXUVVMYAOY-ZDUSSCGKSA-N 0 3 235.375 2.849 20 0 BFADHN CC(C)[C@@H](C)N(C)[C@H](c1nccn1C)C1CC1 ZINC000637901841 351771167 /nfs/dbraw/zinc/77/11/67/351771167.db2.gz HUMYAAXHDXTIQS-YPMHNXCESA-N 0 3 235.375 2.848 20 0 BFADHN CCC1CCN(Cc2c[nH]c3ncncc23)CC1 ZINC000637961094 351835230 /nfs/dbraw/zinc/83/52/30/351835230.db2.gz VOZHEASKBSGCAA-UHFFFAOYSA-N 0 3 244.342 2.532 20 0 BFADHN CC[C@H]1CCCN1Cc1cnc(OC)s1 ZINC000637963849 351837173 /nfs/dbraw/zinc/83/71/73/351837173.db2.gz FDCHSQNSHRLREL-VIFPVBQESA-N 0 3 226.345 2.526 20 0 BFADHN COc1cccc(OC)c1CN1CC[C@@H](C)C1 ZINC000637971121 351846564 /nfs/dbraw/zinc/84/65/64/351846564.db2.gz PZUNYYXOKNGXCR-LLVKDONJSA-N 0 3 235.327 2.546 20 0 BFADHN CC[C@H]1CN(CC2CCSCC2)C[C@@H](C)O1 ZINC000637972202 351847452 /nfs/dbraw/zinc/84/74/52/351847452.db2.gz MOGVWDNKPDZVMX-YPMHNXCESA-N 0 3 243.416 2.629 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1cccc(C(F)F)c1 ZINC000637974231 351849412 /nfs/dbraw/zinc/84/94/12/351849412.db2.gz KXFFIPJAVUFHHJ-QMMMGPOBSA-N 0 3 242.269 2.561 20 0 BFADHN c1cc(CN2CCC[C@H]2C2CCC2)sn1 ZINC000637996648 351871071 /nfs/dbraw/zinc/87/10/71/351871071.db2.gz LHFFNYRUZTXHGZ-LBPRGKRZSA-N 0 3 222.357 2.908 20 0 BFADHN Cc1cc([C@H](C)NCC[C@@H](O)C(F)F)c(C)o1 ZINC000631702847 363096541 /nfs/dbraw/zinc/09/65/41/363096541.db2.gz RWYMYCSMJWGHKV-GZMMTYOYSA-N 0 3 247.285 2.563 20 0 BFADHN C[C@H]1CCCN1Cc1ccnn1CC1CCC1 ZINC000638067941 351938088 /nfs/dbraw/zinc/93/80/88/351938088.db2.gz HCBNQVRMJACJSK-LBPRGKRZSA-N 0 3 233.359 2.668 20 0 BFADHN CCC[C@@H](CN(CC)Cc1ccoc1)OC ZINC000638015540 351892257 /nfs/dbraw/zinc/89/22/57/351892257.db2.gz KFXOESXIWFCINQ-ZDUSSCGKSA-N 0 3 225.332 2.917 20 0 BFADHN CCN(CCC[C@@H]1CCO1)Cc1ccoc1 ZINC000638016779 351894764 /nfs/dbraw/zinc/89/47/64/351894764.db2.gz YMCQNCSUSJCBKP-CYBMUJFWSA-N 0 3 223.316 2.671 20 0 BFADHN COc1ncc(CN2CCC[C@H]2C2CC2)s1 ZINC000638028103 351907613 /nfs/dbraw/zinc/90/76/13/351907613.db2.gz FXMOCQXXCJBQRC-NSHDSACASA-N 0 3 238.356 2.526 20 0 BFADHN c1cc(CN2CCC[C@@H]2C2CC2)sn1 ZINC000638029011 351909141 /nfs/dbraw/zinc/90/91/41/351909141.db2.gz QYGHGBQXBPLWRI-LLVKDONJSA-N 0 3 208.330 2.518 20 0 BFADHN CCC[C@@H](CN1Cc2ccccc2C1)OC ZINC000638034774 351915549 /nfs/dbraw/zinc/91/55/49/351915549.db2.gz FFZOJPARAWAQJI-AWEZNQCLSA-N 0 3 219.328 2.817 20 0 BFADHN CCN[C@H](C)C(=O)N(CC(C)C)C(CC)CC ZINC000638035867 351916476 /nfs/dbraw/zinc/91/64/76/351916476.db2.gz AUNYAHVCPADNNX-GFCCVEGCSA-N 0 3 242.407 2.658 20 0 BFADHN CC1(C)CN([C@@H]2CCOC2)Cc2ccccc21 ZINC000284846973 352007485 /nfs/dbraw/zinc/00/74/85/352007485.db2.gz CLBSKQKZRCPVJF-CYBMUJFWSA-N 0 3 231.339 2.569 20 0 BFADHN CC(C)CN1CCN(C)[C@H](Cc2ccccc2)C1 ZINC000284862382 352007941 /nfs/dbraw/zinc/00/79/41/352007941.db2.gz UQJTYSDEJVBFEA-MRXNPFEDSA-N 0 3 246.398 2.501 20 0 BFADHN CC(C)CC[C@@H](O)CN1CC2(C1)CCCC2 ZINC000377142680 187563916 /nfs/dbraw/zinc/56/39/16/187563916.db2.gz PNRGRPDMZYVFRS-CYBMUJFWSA-N 0 3 225.376 2.660 20 0 BFADHN COc1ccc2c(c1)OCC[C@H]2NC(C)C ZINC000083815021 351952990 /nfs/dbraw/zinc/95/29/90/351952990.db2.gz UESLLYFFBKBOGQ-GFCCVEGCSA-N 0 3 221.300 2.517 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCOC2)c(F)c1 ZINC000638150075 352011150 /nfs/dbraw/zinc/01/11/50/352011150.db2.gz TXLYRKPINZSBFA-LBPRGKRZSA-N 0 3 237.318 2.602 20 0 BFADHN COc1cncc(CN2C[C@@H]3CCC[C@@H]3C2)c1C ZINC000638087097 351964998 /nfs/dbraw/zinc/96/49/98/351964998.db2.gz MZJLEZFSLNHEKC-BETUJISGSA-N 0 3 246.354 2.631 20 0 BFADHN COc1cccc(C)c1CN(C)C1CCC1 ZINC000638088691 351966849 /nfs/dbraw/zinc/96/68/49/351966849.db2.gz FSVVTWXHKJDULX-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN Cc1scc(CN(C)CC[C@@H](C)O)c1C ZINC000638091535 351968939 /nfs/dbraw/zinc/96/89/39/351968939.db2.gz AEFSCGBSKOPRDM-SECBINFHSA-N 0 3 227.373 2.568 20 0 BFADHN C[C@@H]1CCN(Cc2ccns2)C[C@H]1C ZINC000638092404 351970988 /nfs/dbraw/zinc/97/09/88/351970988.db2.gz IZRHXBUNUQBMPD-NXEZZACHSA-N 0 3 210.346 2.621 20 0 BFADHN C[C@@H]1N(CC2CCSCC2)CCOC1(C)C ZINC000638096896 351976698 /nfs/dbraw/zinc/97/66/98/351976698.db2.gz STGFPAYXFZNZBO-NSHDSACASA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@@H](NCc1nccc(C)n1)C(C)(C)C ZINC000336738033 351980978 /nfs/dbraw/zinc/98/09/78/351980978.db2.gz NYYKXSQFAIGAJH-LLVKDONJSA-N 0 3 221.348 2.699 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H]2C)sn1 ZINC000638110715 351987321 /nfs/dbraw/zinc/98/73/21/351987321.db2.gz OXAMJZHIFOQUJY-PSASIEDQSA-N 0 3 210.346 2.682 20 0 BFADHN CC1(C)CC[C@@H]1N[C@@H](CO)c1ccc(F)cc1 ZINC000284417113 351996932 /nfs/dbraw/zinc/99/69/32/351996932.db2.gz QNERMHIFTKAXCV-STQMWFEESA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@@H](C)[C@H](CN(C)Cc1ccc[nH]1)OC ZINC000638149614 352013827 /nfs/dbraw/zinc/01/38/27/352013827.db2.gz BDKWOBBVRMZQQA-YPMHNXCESA-N 0 3 224.348 2.508 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cc(OC)ccn1 ZINC000285987374 352069010 /nfs/dbraw/zinc/06/90/10/352069010.db2.gz FQGIASTVLREDIJ-RISCZKNCSA-N 0 3 234.343 2.759 20 0 BFADHN CC[C@@H]1CCN(Cc2c3c(nn2C)CCCC3)C1 ZINC000638168940 352033212 /nfs/dbraw/zinc/03/32/12/352033212.db2.gz AUFIJLKMGJVSAH-GFCCVEGCSA-N 0 3 247.386 2.531 20 0 BFADHN COc1cncc(CN2[C@H](C)CC[C@@H]2C)c1C ZINC000638170187 352034395 /nfs/dbraw/zinc/03/43/95/352034395.db2.gz DRNNMQMIWALWAT-PHIMTYICSA-N 0 3 234.343 2.771 20 0 BFADHN CCOC1CC(CNCc2ccccc2F)C1 ZINC000638292296 352127998 /nfs/dbraw/zinc/12/79/98/352127998.db2.gz GOEOSCUHMKAKBV-UHFFFAOYSA-N 0 3 237.318 2.730 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@H](CC)CO ZINC000020195485 352137343 /nfs/dbraw/zinc/13/73/43/352137343.db2.gz CXGLHZVBADMGBW-VXGBXAGGSA-N 0 3 237.343 2.507 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cccc(F)c1N ZINC000638274003 352111926 /nfs/dbraw/zinc/11/19/26/352111926.db2.gz FUHAWZMRMJDVPX-GFCCVEGCSA-N 0 3 222.307 2.638 20 0 BFADHN Cc1csc(CNC[C@@H]2C[C@H]3C[C@H]3C2)n1 ZINC000638342399 352159167 /nfs/dbraw/zinc/15/91/67/352159167.db2.gz DNZYDABDANIXIQ-JGPRNRPPSA-N 0 3 222.357 2.587 20 0 BFADHN CCN[C@H](C)C(=O)Nc1ccc(CC)c(CC)c1 ZINC000638377242 352188811 /nfs/dbraw/zinc/18/88/11/352188811.db2.gz OUYHLVVQTDTPNN-LLVKDONJSA-N 0 3 248.370 2.748 20 0 BFADHN Fc1cccc(CNC2CC(n3cccn3)C2)c1 ZINC000638320047 352142214 /nfs/dbraw/zinc/14/22/14/352142214.db2.gz FIDJIPMOHZNFQV-UHFFFAOYSA-N 0 3 245.301 2.516 20 0 BFADHN c1cc(CCNCc2cc(C3CC3)no2)cs1 ZINC000638331210 352149182 /nfs/dbraw/zinc/14/91/82/352149182.db2.gz FBYDYEQFSAFRMQ-UHFFFAOYSA-N 0 3 248.351 2.946 20 0 BFADHN CSc1ccccc1CN1CCCOCC1 ZINC000335978668 538102368 /nfs/dbraw/zinc/10/23/68/538102368.db2.gz ZIJQSMOWNOKRMW-UHFFFAOYSA-N 0 3 237.368 2.631 20 0 BFADHN CSc1ccc(CN(C)CC[C@H](C)O)cc1 ZINC000128433038 187637257 /nfs/dbraw/zinc/63/72/57/187637257.db2.gz AVXFSJVQEHAQPQ-NSHDSACASA-N 0 3 239.384 2.611 20 0 BFADHN CCC[C@@H](C)NC(=O)[C@@H](C)N(CCC)CCC ZINC000411162006 191164016 /nfs/dbraw/zinc/16/40/16/191164016.db2.gz CCQICHWBCUMYOI-CHWSQXEVSA-N 0 3 242.407 2.802 20 0 BFADHN c1cc(CNCCCOC2CCCC2)sn1 ZINC000638455777 352266208 /nfs/dbraw/zinc/26/62/08/352266208.db2.gz ZTYMBAMDMJWGGM-UHFFFAOYSA-N 0 3 240.372 2.582 20 0 BFADHN CCN(Cc1cnccc1OC)CC(C)(C)C ZINC000291257167 352270024 /nfs/dbraw/zinc/27/00/24/352270024.db2.gz OKRPYBMHHCQRBN-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CN(Cc1ccns1)C[C@H]1CC1(C)C ZINC000630073638 363157707 /nfs/dbraw/zinc/15/77/07/363157707.db2.gz WXUAVQOITRYGSU-SECBINFHSA-N 0 3 210.346 2.621 20 0 BFADHN C[C@]12CCCC[C@H]1CN2Cc1ccns1 ZINC000630074768 363158468 /nfs/dbraw/zinc/15/84/68/363158468.db2.gz AMGLBQMORNLSCA-JQWIXIFHSA-N 0 3 222.357 2.908 20 0 BFADHN CCCN(CCC)[C@@H](C)C(=O)NC(CC)CC ZINC000411168964 191165757 /nfs/dbraw/zinc/16/57/57/191165757.db2.gz RLBXLSBIYWRWIG-LBPRGKRZSA-N 0 3 242.407 2.802 20 0 BFADHN CCC(CC)CN(CC)[C@H](C)c1n[nH]c(C)n1 ZINC000411168109 191166249 /nfs/dbraw/zinc/16/62/49/191166249.db2.gz YXMHMWOMGIUCMY-SNVBAGLBSA-N 0 3 238.379 2.932 20 0 BFADHN CCCN(CCC)[C@@H](C)C(=O)NC(C)(C)CC ZINC000411169564 191166281 /nfs/dbraw/zinc/16/62/81/191166281.db2.gz UIBHFVNTNMPXIS-LBPRGKRZSA-N 0 3 242.407 2.802 20 0 BFADHN CC[C@@H](N[C@@H](C)COC)c1ccccc1OC ZINC000037196130 358469869 /nfs/dbraw/zinc/46/98/69/358469869.db2.gz FTGIARKKOGTWRU-WCQYABFASA-N 0 3 237.343 2.771 20 0 BFADHN COC(=O)c1cc(CN(C)CC(C)C)cs1 ZINC000411190654 191167638 /nfs/dbraw/zinc/16/76/38/191167638.db2.gz IFLAQYIJUXDDBV-UHFFFAOYSA-N 0 3 241.356 2.623 20 0 BFADHN CC[C@H](C)c1cccc(NC(=O)[C@H]2CCN2)c1 ZINC000638469695 352279049 /nfs/dbraw/zinc/27/90/49/352279049.db2.gz ZSUDWGGODRWDNN-GXFFZTMASA-N 0 3 232.327 2.501 20 0 BFADHN CC[C@H](C)c1cccc(NC(=O)[C@@H](N)CC)c1 ZINC000638469682 352279256 /nfs/dbraw/zinc/27/92/56/352279256.db2.gz ZDYIWIFDIOZKMK-GWCFXTLKSA-N 0 3 234.343 2.876 20 0 BFADHN COC[C@@H]1CCN([C@@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411279974 191173653 /nfs/dbraw/zinc/17/36/53/191173653.db2.gz CYMZZRRACAMAQO-BYCMXARLSA-N 0 3 249.329 2.650 20 0 BFADHN CC1(C)CN(CC2CC2)[C@H]1c1cccnc1 ZINC000292872720 352331842 /nfs/dbraw/zinc/33/18/42/352331842.db2.gz WOXMYTTWLKFWKK-ZDUSSCGKSA-N 0 3 216.328 2.875 20 0 BFADHN C[C@@H](NCCc1cncs1)c1cccc(O)c1 ZINC000411459448 191187345 /nfs/dbraw/zinc/18/73/45/191187345.db2.gz SGEXRTHDKQNVNY-SNVBAGLBSA-N 0 3 248.351 2.742 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H](C)c1cc(C)oc1C ZINC000278755649 187688851 /nfs/dbraw/zinc/68/88/51/187688851.db2.gz OCIUASGOMWKDFL-LRLQIDNFSA-N 0 3 237.343 2.874 20 0 BFADHN CC[C@@H]1CCCCN1Cc1conc1C ZINC000294771654 352383726 /nfs/dbraw/zinc/38/37/26/352383726.db2.gz BAVNWNSCUFSJSE-GFCCVEGCSA-N 0 3 208.305 2.748 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCc1cncs1 ZINC000411430378 191184906 /nfs/dbraw/zinc/18/49/06/191184906.db2.gz XYUBLQOCFOVGIV-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN Cc1ccc2c(c1)CCN(CCC1(O)CCC1)C2 ZINC000411626376 191200227 /nfs/dbraw/zinc/20/02/27/191200227.db2.gz ZUKWCDWYIVPVLM-UHFFFAOYSA-N 0 3 245.366 2.658 20 0 BFADHN CC(C)CC[C@H](N[C@H]1C[C@@H](O)C1)c1ccoc1 ZINC000295961131 352429737 /nfs/dbraw/zinc/42/97/37/352429737.db2.gz NBAHGYLCTQJNDH-MJBXVCDLSA-N 0 3 237.343 2.870 20 0 BFADHN CC[C@@H](O)CN1CC2(CCC2)[C@H]1c1ccccc1 ZINC000295962482 352429932 /nfs/dbraw/zinc/42/99/32/352429932.db2.gz JHORIGJHZCOKGN-HUUCEWRRSA-N 0 3 245.366 2.985 20 0 BFADHN CC[C@]1(O)CCN([C@@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411566822 191194149 /nfs/dbraw/zinc/19/41/49/191194149.db2.gz HFOJSYSGXZXRIC-ZNMIVQPWSA-N 0 3 249.329 2.528 20 0 BFADHN CCCCCNC(=O)CN1[C@H](C)CCC1(C)C ZINC000411801919 191212525 /nfs/dbraw/zinc/21/25/25/191212525.db2.gz CWVMHGOSGUFWSX-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@H](CNCc1ccns1)Oc1ccccc1 ZINC000638638158 352479645 /nfs/dbraw/zinc/47/96/45/352479645.db2.gz CAQJGQKOYNBUOM-LLVKDONJSA-N 0 3 248.351 2.700 20 0 BFADHN c1c(CN[C@H]2CC=CCC2)onc1C1CC1 ZINC000638661980 352505455 /nfs/dbraw/zinc/50/54/55/352505455.db2.gz DNBMNSGVQOLOLE-NSHDSACASA-N 0 3 218.300 2.750 20 0 BFADHN CC[C@@H](c1ccccc1)N(CC)CC(=O)N(C)C ZINC000299429114 352532592 /nfs/dbraw/zinc/53/25/92/352532592.db2.gz UNTMYNZSJNXSDI-AWEZNQCLSA-N 0 3 248.370 2.548 20 0 BFADHN CCCC[C@H](CC)CNC(=O)[C@](C)(N)CCC ZINC000040806507 352604735 /nfs/dbraw/zinc/60/47/35/352604735.db2.gz KBHAFVSABIVQLM-GXTWGEPZSA-N 0 3 242.407 2.837 20 0 BFADHN CCCCCc1ccc(NC(=O)CNC)cc1 ZINC000040810137 352605036 /nfs/dbraw/zinc/60/50/36/352605036.db2.gz MPMOPTJHVUJSCG-UHFFFAOYSA-N 0 3 234.343 2.577 20 0 BFADHN CC(C)CCCN1CCCc2c(cnn2C)C1 ZINC000411849767 191218683 /nfs/dbraw/zinc/21/86/83/191218683.db2.gz JHZUESZKJXAFOV-UHFFFAOYSA-N 0 3 235.375 2.605 20 0 BFADHN CC[C@@H]1CCCN1CC(=O)Nc1cccc(C)c1 ZINC000340689605 352639177 /nfs/dbraw/zinc/63/91/77/352639177.db2.gz SMIJZYQDSVGMQM-CQSZACIVSA-N 0 3 246.354 2.808 20 0 BFADHN CC(C)CCN1CCO[C@@H](C2CCC2)C1 ZINC000411874354 191225288 /nfs/dbraw/zinc/22/52/88/191225288.db2.gz MFOCKBBEANKGEQ-CYBMUJFWSA-N 0 3 211.349 2.533 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@H]2CCC[C@H]2C1 ZINC000608063992 352662791 /nfs/dbraw/zinc/66/27/91/352662791.db2.gz SNLZPKDIBVYWKI-HZSPNIEDSA-N 0 3 247.386 2.961 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@H]2CCC[C@@H]2C1 ZINC000608063994 352663157 /nfs/dbraw/zinc/66/31/57/352663157.db2.gz SNLZPKDIBVYWKI-MGPQQGTHSA-N 0 3 247.386 2.961 20 0 BFADHN CCCN[C@@H](CCC)c1nnc2ccccn21 ZINC000347616397 535186348 /nfs/dbraw/zinc/18/63/48/535186348.db2.gz NBGPVXGENAPFIV-NSHDSACASA-N 0 3 232.331 2.570 20 0 BFADHN CO[C@](C)([C@H](C)NCc1cccc(C)n1)C1CC1 ZINC000411956506 191233692 /nfs/dbraw/zinc/23/36/92/191233692.db2.gz VNSJBJZFIFGPRG-SWLSCSKDSA-N 0 3 248.370 2.683 20 0 BFADHN CCN(Cc1cnn(CC(C)C)c1)C1CCC1 ZINC000341160641 352692491 /nfs/dbraw/zinc/69/24/91/352692491.db2.gz ORRZNZIOEODJNX-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN COc1cccc(C)c1CNC[C@]1(C)CCCO1 ZINC000638762786 352701272 /nfs/dbraw/zinc/70/12/72/352701272.db2.gz JSDFXDLKXNFQBF-HNNXBMFYSA-N 0 3 249.354 2.662 20 0 BFADHN COC1([C@H](C)NCc2ccc(C)nc2C)CCC1 ZINC000412019707 191237182 /nfs/dbraw/zinc/23/71/82/191237182.db2.gz FWOBLLLIOHSDPN-ZDUSSCGKSA-N 0 3 248.370 2.746 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1cn2ccccc2n1 ZINC000412047187 191244244 /nfs/dbraw/zinc/24/42/44/191244244.db2.gz SGKNYOQHDVQJQJ-GFCCVEGCSA-N 0 3 243.354 2.955 20 0 BFADHN CCC[C@@H](O)CN(CC)Cc1occc1C ZINC000412028295 191239842 /nfs/dbraw/zinc/23/98/42/191239842.db2.gz RSKYGWXWNLCREP-GFCCVEGCSA-N 0 3 225.332 2.571 20 0 BFADHN CC(C)O[C@@H](CN[C@@H]1CCOC1)c1ccccc1 ZINC000638772941 352713382 /nfs/dbraw/zinc/71/33/82/352713382.db2.gz BUQTWEIRZXFEPV-CABCVRRESA-N 0 3 249.354 2.531 20 0 BFADHN C[C@H]1CC(C)(C)CN1CCn1cc(Cl)cn1 ZINC000412031146 191241605 /nfs/dbraw/zinc/24/16/05/191241605.db2.gz ALKAUDGMMCVCBR-JTQLQIEISA-N 0 3 241.766 2.657 20 0 BFADHN CCN(CCCCCO)Cc1occc1C ZINC000412031348 191241763 /nfs/dbraw/zinc/24/17/63/191241763.db2.gz XQVWLVHWPAQSEO-UHFFFAOYSA-N 0 3 225.332 2.573 20 0 BFADHN CC(C)C1(CNCc2ncccc2F)CC1 ZINC000638779759 352731450 /nfs/dbraw/zinc/73/14/50/352731450.db2.gz MKIQHCABFMUJNT-UHFFFAOYSA-N 0 3 222.307 2.747 20 0 BFADHN Cc1cnc(CNc2cc(C)cc(C)n2)o1 ZINC000638788847 352746805 /nfs/dbraw/zinc/74/68/05/352746805.db2.gz ZOHCUZHPUMBCJG-UHFFFAOYSA-N 0 3 217.272 2.607 20 0 BFADHN CC[C@@](C)(CNc1cc(C)cc(C)n1)OC ZINC000638788834 352746888 /nfs/dbraw/zinc/74/68/88/352746888.db2.gz YLUDEECHCBAXSA-ZDUSSCGKSA-N 0 3 222.332 2.925 20 0 BFADHN CC(C)n1nccc1CN1CC[C@H]2CCC[C@H]21 ZINC000334186783 352776264 /nfs/dbraw/zinc/77/62/64/352776264.db2.gz CQVKREUAKLLVHK-TZMCWYRMSA-N 0 3 233.359 2.838 20 0 BFADHN CCCN1CCC(F)(c2cccnc2)CC1 ZINC000334178523 352764576 /nfs/dbraw/zinc/76/45/76/352764576.db2.gz CTTCCAJIKOAJFY-UHFFFAOYSA-N 0 3 222.307 2.752 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1C[C@H](O)C[C@H](C)C1 ZINC000412043980 191248904 /nfs/dbraw/zinc/24/89/04/191248904.db2.gz OJFYPHNXUPLYAR-COPLHBTASA-N 0 3 237.318 2.589 20 0 BFADHN CC(C)[C@H](C)[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000366788954 352826976 /nfs/dbraw/zinc/82/69/76/352826976.db2.gz INBPSRACBIDNLM-WCQYABFASA-N 0 3 244.342 2.528 20 0 BFADHN CC(C)[C@H](C)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000366788954 352826981 /nfs/dbraw/zinc/82/69/81/352826981.db2.gz INBPSRACBIDNLM-WCQYABFASA-N 0 3 244.342 2.528 20 0 BFADHN Clc1ccc(CN2C[C@@H]3CCC[C@H]3C2)nc1 ZINC000334197067 352788276 /nfs/dbraw/zinc/78/82/76/352788276.db2.gz NIVFEZNOWMZQQG-QWRGUYRKSA-N 0 3 236.746 2.967 20 0 BFADHN Cc1ncc(CN2CCC[C@H](C(C)C)C2)cn1 ZINC000334205822 352801171 /nfs/dbraw/zinc/80/11/71/352801171.db2.gz VGGSBOXNPIHMRH-AWEZNQCLSA-N 0 3 233.359 2.653 20 0 BFADHN CC1CC(NCc2ccnc(OC(F)F)c2)C1 ZINC000342811785 352862182 /nfs/dbraw/zinc/86/21/82/352862182.db2.gz HIMZNVFLWJZIRD-UHFFFAOYSA-N 0 3 242.269 2.571 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1ccc2c(c1)OCCO2 ZINC000342847486 352873532 /nfs/dbraw/zinc/87/35/32/352873532.db2.gz CHNPSLWDOTYEPV-ZDUSSCGKSA-N 0 3 247.338 2.688 20 0 BFADHN CC(C)[C@H]1CCN1Cc1ccc2c(c1)CCO2 ZINC000342847822 352874021 /nfs/dbraw/zinc/87/40/21/352874021.db2.gz HQMTWYMKPSHYHK-CQSZACIVSA-N 0 3 231.339 2.852 20 0 BFADHN COc1ccccc1CN1CC[C@@H]1C(C)C ZINC000342852726 352875681 /nfs/dbraw/zinc/87/56/81/352875681.db2.gz RKMDIUCUDMFFBE-CYBMUJFWSA-N 0 3 219.328 2.926 20 0 BFADHN Cc1ccnc(CN2CCC[C@@H]3CCCC[C@H]32)n1 ZINC000334248674 352840732 /nfs/dbraw/zinc/84/07/32/352840732.db2.gz QRZRKQIJLVFOAH-UONOGXRCSA-N 0 3 245.370 2.940 20 0 BFADHN CC(C)n1ccnc1CN1CC[C@@H]2CCC[C@@H]21 ZINC000334270269 352906923 /nfs/dbraw/zinc/90/69/23/352906923.db2.gz SBVYZBUJENPDPX-STQMWFEESA-N 0 3 233.359 2.838 20 0 BFADHN CC(C)n1ccnc1CN1CC[C@H]2CCC[C@@H]21 ZINC000334270267 352907065 /nfs/dbraw/zinc/90/70/65/352907065.db2.gz SBVYZBUJENPDPX-OLZOCXBDSA-N 0 3 233.359 2.838 20 0 BFADHN CCC[C@H](C)CN1CCN(C2CCC2)CC1 ZINC000342917367 352917364 /nfs/dbraw/zinc/91/73/64/352917364.db2.gz NEERRZMLQKPYBW-ZDUSSCGKSA-N 0 3 224.392 2.593 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]2[C@@H]2CCCO2)cn1 ZINC000334281898 352922514 /nfs/dbraw/zinc/92/25/14/352922514.db2.gz TUGFOUWWKKOLTP-CABCVRRESA-N 0 3 246.354 2.533 20 0 BFADHN COc1ccc(OC)c(CN2CC[C@@H]2C(C)C)c1 ZINC000342860814 352879325 /nfs/dbraw/zinc/87/93/25/352879325.db2.gz JUEQUNWXIQAJQY-CQSZACIVSA-N 0 3 249.354 2.934 20 0 BFADHN COc1cc(CN2CCC[C@H](C)CC2)ccn1 ZINC000342861546 352879397 /nfs/dbraw/zinc/87/93/97/352879397.db2.gz XTDBLDHHZFGXFW-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1ccc2ncc(CN3CC[C@H]3C(C)C)n2c1 ZINC000342861390 352879616 /nfs/dbraw/zinc/87/96/16/352879616.db2.gz MYCAZNBUPNRJKV-AWEZNQCLSA-N 0 3 243.354 2.873 20 0 BFADHN COc1cc(CN2CC[C@H](C)C[C@H](C)C2)ccn1 ZINC000342870333 352883783 /nfs/dbraw/zinc/88/37/83/352883783.db2.gz DUGOOVWQPCTNNE-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCC[C@@H]2C)on1 ZINC000334252358 352888830 /nfs/dbraw/zinc/88/88/30/352888830.db2.gz BPNKIEPHRUKCMY-GXFFZTMASA-N 0 3 222.332 2.994 20 0 BFADHN Cc1nccc(CN2CC[C@@H](C)C(C)(C)C2)n1 ZINC000334308715 352940183 /nfs/dbraw/zinc/94/01/83/352940183.db2.gz IEQKXASAOWGSAM-LLVKDONJSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1nocc1CN1CC[C@H](C)C(C)(C)C1 ZINC000334314682 352945158 /nfs/dbraw/zinc/94/51/58/352945158.db2.gz MMNYSMJPGLLNRC-JTQLQIEISA-N 0 3 222.332 2.851 20 0 BFADHN Cc1nnsc1CNCC12CCC(CC1)C2 ZINC000638812540 352991887 /nfs/dbraw/zinc/99/18/87/352991887.db2.gz FPDSHLDAQCCQLO-UHFFFAOYSA-N 0 3 237.372 2.516 20 0 BFADHN Cc1cccc(C)c1CN1CCCOCC1 ZINC000334327884 352964117 /nfs/dbraw/zinc/96/41/17/352964117.db2.gz MJVPTQMKXSUVFK-UHFFFAOYSA-N 0 3 219.328 2.526 20 0 BFADHN CC[C@](C)(CNCc1ccc(Cl)cn1)OC ZINC000638836334 353021802 /nfs/dbraw/zinc/02/18/02/353021802.db2.gz FDWBFCDWUNCJQM-GFCCVEGCSA-N 0 3 242.750 2.640 20 0 BFADHN CC1(N[C@@H](c2nc[nH]n2)C2CCCCC2)CCC1 ZINC000638889529 353058197 /nfs/dbraw/zinc/05/81/97/353058197.db2.gz BPZYNXSEZFSEBU-GFCCVEGCSA-N 0 3 248.374 2.958 20 0 BFADHN CC(C)n1ccc(CNC2CC3(CCC3)C2)n1 ZINC000580400718 358474172 /nfs/dbraw/zinc/47/41/72/358474172.db2.gz VJZXGYXIDNQYKM-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2NC[C@H]1CCC=CO1 ZINC000050267801 187953646 /nfs/dbraw/zinc/95/36/46/187953646.db2.gz KUHYFKPYUKAERJ-YPMHNXCESA-N 0 3 233.311 2.939 20 0 BFADHN Cc1ccc(C)c(CN(C)CC2(CO)CC2)c1 ZINC000186781359 188000511 /nfs/dbraw/zinc/00/05/11/188000511.db2.gz VJYCRMVUHIOYNM-UHFFFAOYSA-N 0 3 233.355 2.508 20 0 BFADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1cncs1 ZINC000336754255 188000690 /nfs/dbraw/zinc/00/06/90/188000690.db2.gz WWDHBBGRNVCRMC-NXEZZACHSA-N 0 3 240.372 2.751 20 0 BFADHN CC(C)C1(CN(C)Cc2cccnc2)CC1 ZINC000638919394 353083775 /nfs/dbraw/zinc/08/37/75/353083775.db2.gz OQOPKMANQNFYKW-UHFFFAOYSA-N 0 3 218.344 2.950 20 0 BFADHN CC[C@@](C)(CN(C)[C@H](C)c1ccccn1)OC ZINC000638923772 353084493 /nfs/dbraw/zinc/08/44/93/353084493.db2.gz ZVYRUNYEFLUGAS-OCCSQVGLSA-N 0 3 236.359 2.890 20 0 BFADHN CCCCCN1CCC[C@H]1c1ncc[nH]1 ZINC000374862090 168696583 /nfs/dbraw/zinc/69/65/83/168696583.db2.gz DHRXCPOBPPSUJO-NSHDSACASA-N 0 3 207.321 2.737 20 0 BFADHN CC(C)C[C@H]1COCCN1CCSC(C)C ZINC000336664318 188011410 /nfs/dbraw/zinc/01/14/10/188011410.db2.gz DQLGOQUEKCZZAX-ZDUSSCGKSA-N 0 3 245.432 2.875 20 0 BFADHN CCOCCN1CC=C(C(C)(C)C)CC1 ZINC000336689783 188011538 /nfs/dbraw/zinc/01/15/38/188011538.db2.gz FBUFSGMLYWRMIN-UHFFFAOYSA-N 0 3 211.349 2.701 20 0 BFADHN CC(C)C[C@H](C)CN(C)C[C@@H](O)C(F)(F)F ZINC000337190038 188014573 /nfs/dbraw/zinc/01/45/73/188014573.db2.gz SGOLTNVNSFXISQ-VHSXEESVSA-N 0 3 241.297 2.524 20 0 BFADHN CCCCN(CC)C(=O)CN(C)[C@H](C)CCC ZINC000073873208 353127789 /nfs/dbraw/zinc/12/77/89/353127789.db2.gz RJECLKJWVWJRTD-CYBMUJFWSA-N 0 3 242.407 2.755 20 0 BFADHN CC(C)=CCN1CCC[C@@H]1c1cn(C)nc1C ZINC000366097700 188018229 /nfs/dbraw/zinc/01/82/29/188018229.db2.gz RFABAFNSXWPZNY-CQSZACIVSA-N 0 3 233.359 2.832 20 0 BFADHN COc1ccc(CN(C)[C@H]2CC[C@H](C)C2)cn1 ZINC000339046220 188018717 /nfs/dbraw/zinc/01/87/17/188018717.db2.gz IBVKAABAFZUTAM-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN C=Cn1cc(CNC2CC(C(C)(C)C)C2)cn1 ZINC000339469268 188019434 /nfs/dbraw/zinc/01/94/34/188019434.db2.gz RGLTUBUJIZWSNL-UHFFFAOYSA-N 0 3 233.359 2.898 20 0 BFADHN CC1(C)C[C@H]1NCC1=Cc2ccccc2OC1 ZINC000263805400 188020057 /nfs/dbraw/zinc/02/00/57/188020057.db2.gz LAVYSBUFFWBNKX-CQSZACIVSA-N 0 3 229.323 2.851 20 0 BFADHN CC1(c2ccccc2)CC(NCc2cc[nH]n2)C1 ZINC000264959264 188021693 /nfs/dbraw/zinc/02/16/93/188021693.db2.gz NMJRPPOUSVIYQZ-UHFFFAOYSA-N 0 3 241.338 2.620 20 0 BFADHN CCOCCN(C)Cc1cc2ccccc2n1C ZINC000638941843 353134368 /nfs/dbraw/zinc/13/43/68/353134368.db2.gz XGUXNCQDGLTFSS-UHFFFAOYSA-N 0 3 246.354 2.647 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1nccs1 ZINC000269688200 188023896 /nfs/dbraw/zinc/02/38/96/188023896.db2.gz VPWQOTXKDFDSQR-JTQLQIEISA-N 0 3 210.346 2.764 20 0 BFADHN CC(C)(C)[C@H]1C[C@H](NCc2ccco2)CCO1 ZINC000270638136 188025306 /nfs/dbraw/zinc/02/53/06/188025306.db2.gz JAGVTOBXYXUTPW-DGCLKSJQSA-N 0 3 237.343 2.963 20 0 BFADHN Cc1cccc([C@@H]2CCN(Cc3ccno3)C2)c1 ZINC000273089221 188027216 /nfs/dbraw/zinc/02/72/16/188027216.db2.gz MKSVJCSJBNLRQR-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN Cc1ccc(CNCC2(CO)CC3(CCC3)C2)o1 ZINC000278666087 188030329 /nfs/dbraw/zinc/03/03/29/188030329.db2.gz NTEJUYNCYHHGMN-UHFFFAOYSA-N 0 3 249.354 2.620 20 0 BFADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1cnc[nH]1 ZINC000280316258 188031797 /nfs/dbraw/zinc/03/17/97/188031797.db2.gz XPVBADHHTKQGNK-VIFPVBQESA-N 0 3 247.264 2.573 20 0 BFADHN CC[C@@H]1CN(Cc2cccc(OC)c2)CCCO1 ZINC000281237403 188032608 /nfs/dbraw/zinc/03/26/08/188032608.db2.gz LNFOQHGYEOTDJN-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1ccnc([C@@H](C)NCCc2ccncc2)c1 ZINC000286292900 188034849 /nfs/dbraw/zinc/03/48/49/188034849.db2.gz WHUVCZWRRSODJI-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN CC(C)(C)[C@@H](O)CN1CCc2ccsc2C1 ZINC000290069976 188035863 /nfs/dbraw/zinc/03/58/63/188035863.db2.gz VZNWYHNIOUTUMV-LBPRGKRZSA-N 0 3 239.384 2.513 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2ccncc2C)C1 ZINC000294914840 188038196 /nfs/dbraw/zinc/03/81/96/188038196.db2.gz SRUCTJYBNYFKCR-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@H]1CCC12CCC2 ZINC000334475065 353119439 /nfs/dbraw/zinc/11/94/39/353119439.db2.gz LWGCEZVMRNJMEH-RYUDHWBXSA-N 0 3 231.343 2.709 20 0 BFADHN C=Cn1cc(CN[C@H](C)Cc2cccs2)cn1 ZINC000194346612 188005578 /nfs/dbraw/zinc/00/55/78/188005578.db2.gz VZVNOQJVHBNJIG-LLVKDONJSA-N 0 3 247.367 2.766 20 0 BFADHN c1c[nH]c(CNCCCCCc2ccccc2)n1 ZINC000066593729 188050648 /nfs/dbraw/zinc/05/06/48/188050648.db2.gz XXHOPCCASNULGA-UHFFFAOYSA-N 0 3 243.354 2.912 20 0 BFADHN C(=C/c1ccncc1)\CN1CCC12CCC2 ZINC000336461466 188056646 /nfs/dbraw/zinc/05/66/46/188056646.db2.gz LEEKELVKMLQDPL-HNQUOIGGSA-N 0 3 214.312 2.723 20 0 BFADHN Cc1ccc2c(c1)CN(CC[C@@H]1CCCO1)C2 ZINC000336548057 188057519 /nfs/dbraw/zinc/05/75/19/188057519.db2.gz GXHTYLBUYBLRGJ-HNNXBMFYSA-N 0 3 231.339 2.880 20 0 BFADHN Cc1ccc2c(c1)CN(CC(C)C)CCO2 ZINC000352795310 188058652 /nfs/dbraw/zinc/05/86/52/188058652.db2.gz CZTWJXTWTLHOJE-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1cc(C)ccc1F ZINC000037619367 358475415 /nfs/dbraw/zinc/47/54/15/358475415.db2.gz NYGLCJNYNCCEBF-LLVKDONJSA-N 0 3 238.306 2.590 20 0 BFADHN CCN(C)CCNc1nc2ccccc2s1 ZINC000049320558 353164567 /nfs/dbraw/zinc/16/45/67/353164567.db2.gz VIVGWHVQMLFJFR-UHFFFAOYSA-N 0 3 235.356 2.660 20 0 BFADHN Cc1sccc1NC(=O)C1(N)CCCCC1 ZINC000638978567 353184690 /nfs/dbraw/zinc/18/46/90/353184690.db2.gz HTIUYTODKGMRJN-UHFFFAOYSA-N 0 3 238.356 2.657 20 0 BFADHN CCC(O)(CC)CN(C)Cc1ccc(F)cc1 ZINC000165204408 353248189 /nfs/dbraw/zinc/24/81/89/353248189.db2.gz QGIFZSNFAJOBAL-UHFFFAOYSA-N 0 3 239.334 2.809 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NCc1cccs1 ZINC000134544693 538112914 /nfs/dbraw/zinc/11/29/14/538112914.db2.gz JLDWMQKJLCGQOX-QMMMGPOBSA-N 0 3 221.329 2.630 20 0 BFADHN CCCC[C@@H](N)C(=O)N[C@H](C)c1ccc(C)cc1 ZINC000037764992 358477223 /nfs/dbraw/zinc/47/72/23/358477223.db2.gz MJDNFTHUVLNKCR-TZMCWYRMSA-N 0 3 248.370 2.690 20 0 BFADHN FC1(F)CCC[C@@H](NCc2ccncc2)C1 ZINC000309534221 180917988 /nfs/dbraw/zinc/91/79/88/180917988.db2.gz WPVILJJSTIXPGW-LLVKDONJSA-N 0 3 226.270 2.749 20 0 BFADHN CC(C)C[C@@H]1COCCN1CCCOC(C)C ZINC000113998378 188234265 /nfs/dbraw/zinc/23/42/65/188234265.db2.gz JURNCGGOXPSXTO-CQSZACIVSA-N 0 3 243.391 2.548 20 0 BFADHN CCOC(=O)c1coc(CN[C@@H]2CC=CCC2)c1 ZINC000354516327 188235568 /nfs/dbraw/zinc/23/55/68/188235568.db2.gz VGYNPZHVLCMBCC-GFCCVEGCSA-N 0 3 249.310 2.655 20 0 BFADHN COc1ncc(CNCCCC(C)C)s1 ZINC000393789405 353285893 /nfs/dbraw/zinc/28/58/93/353285893.db2.gz HWLRIKBBTZPXOP-UHFFFAOYSA-N 0 3 228.361 2.678 20 0 BFADHN COc1ccccc1CNCC1(C(F)F)CC1 ZINC000355145516 188236469 /nfs/dbraw/zinc/23/64/69/188236469.db2.gz AQQOODJRVXMCLF-UHFFFAOYSA-N 0 3 241.281 2.830 20 0 BFADHN CC(C)[C@H](N[C@H](C)CO)c1cc(F)ccc1F ZINC000358752174 188240639 /nfs/dbraw/zinc/24/06/39/188240639.db2.gz JTSUEEOJOPAWAG-RNCFNFMXSA-N 0 3 243.297 2.632 20 0 BFADHN c1coc(CN[C@@H]2CCC[C@H]([C@@H]3CCOC3)C2)c1 ZINC000360963461 188243434 /nfs/dbraw/zinc/24/34/34/188243434.db2.gz CDSNCJCXXSAMNY-BFHYXJOUSA-N 0 3 249.354 2.965 20 0 BFADHN c1[nH]nc2cc(CN3[C@H]4C=CC[C@@H]3CC4)ccc12 ZINC000367535550 188246562 /nfs/dbraw/zinc/24/65/62/188246562.db2.gz JBEXXXLVKIZJPC-UONOGXRCSA-N 0 3 239.322 2.856 20 0 BFADHN CC(C)[C@@H](O)CCN[C@@H](C)c1ccccc1F ZINC000305142080 188250993 /nfs/dbraw/zinc/25/09/93/188250993.db2.gz MOCKUNWJSLMBCX-FZMZJTMJSA-N 0 3 239.334 2.883 20 0 BFADHN CC(C)[C@H](O)CCN[C@H](C)c1ccccc1F ZINC000305142079 188251172 /nfs/dbraw/zinc/25/11/72/188251172.db2.gz MOCKUNWJSLMBCX-BXUZGUMPSA-N 0 3 239.334 2.883 20 0 BFADHN CC(C)=CCNCc1ccc(C(C)(C)O)cc1 ZINC000192345295 188254606 /nfs/dbraw/zinc/25/46/06/188254606.db2.gz ZCQOSDNSYOCZOD-UHFFFAOYSA-N 0 3 233.355 2.970 20 0 BFADHN C[C@H]1CCCC[C@H]1CNCc1ccon1 ZINC000134856813 538113887 /nfs/dbraw/zinc/11/38/87/538113887.db2.gz ZCNANOFISRLEFN-QWRGUYRKSA-N 0 3 208.305 2.591 20 0 BFADHN CC(C)(O)CCNc1ccnc2ccccc21 ZINC000274751116 188265153 /nfs/dbraw/zinc/26/51/53/188265153.db2.gz HPAANAUKTIJYKB-UHFFFAOYSA-N 0 3 230.311 2.808 20 0 BFADHN c1cc2c(o1)CCC[C@H]2NCCN1CC=CCC1 ZINC000280941581 188267873 /nfs/dbraw/zinc/26/78/73/188267873.db2.gz IVGVVWSMCNGMHL-CQSZACIVSA-N 0 3 246.354 2.509 20 0 BFADHN CC1(C)CC[C@H]1NCc1cccc2c1OCO2 ZINC000284116995 188267886 /nfs/dbraw/zinc/26/78/86/188267886.db2.gz CIJGDYQBKBUONT-GFCCVEGCSA-N 0 3 233.311 2.694 20 0 BFADHN CN1CC=C(CCc2ccccc2)CC1 ZINC000005966252 188269040 /nfs/dbraw/zinc/26/90/40/188269040.db2.gz AKIZTFFVTCWKKB-UHFFFAOYSA-N 0 3 201.313 2.881 20 0 BFADHN CC(C)=CCCN[C@H]1C[C@@H](C)n2ccnc21 ZINC000296454444 188269088 /nfs/dbraw/zinc/26/90/88/188269088.db2.gz NKNBWLFZIODXLK-NEPJUHHUSA-N 0 3 219.332 2.835 20 0 BFADHN CN(Cc1ccns1)C[C@H]1CC=CCC1 ZINC000639038067 353310514 /nfs/dbraw/zinc/31/05/14/353310514.db2.gz KTLZCSOSHMEAAP-NSHDSACASA-N 0 3 222.357 2.931 20 0 BFADHN Cc1ncc(CNCCc2cccs2)s1 ZINC000037505371 188281203 /nfs/dbraw/zinc/28/12/03/188281203.db2.gz STEGAFAFCCJFFJ-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN Cc1cccc(NC2CCN(C)CC2)c1 ZINC000019772150 188281925 /nfs/dbraw/zinc/28/19/25/188281925.db2.gz DZVYAPCECMSPTR-UHFFFAOYSA-N 0 3 204.317 2.501 20 0 BFADHN CC(C)C[C@H](C)NC(=O)Nc1cccc(CN)c1 ZINC000037265527 188286817 /nfs/dbraw/zinc/28/68/17/188286817.db2.gz FXTFULFFHFGIDP-NSHDSACASA-N 0 3 249.358 2.701 20 0 BFADHN CC(C)C[C@H](CN(C)C)N[C@H](C)c1ccccn1 ZINC000037166824 188287261 /nfs/dbraw/zinc/28/72/61/188287261.db2.gz QEOVKTNVVPPFLK-ZIAGYGMSSA-N 0 3 249.402 2.709 20 0 BFADHN CCN(C)CCN[C@H](C)c1ccccc1Cl ZINC000054109722 188300819 /nfs/dbraw/zinc/30/08/19/188300819.db2.gz NBGRLHMPURFUQX-LLVKDONJSA-N 0 3 240.778 2.942 20 0 BFADHN CC(=O)Nc1cccc(CN(C)C2CCC2)c1 ZINC000075841579 188308871 /nfs/dbraw/zinc/30/88/71/188308871.db2.gz IZLDVMCOPDPRSW-UHFFFAOYSA-N 0 3 232.327 2.629 20 0 BFADHN CC(C)C1(CN(C)Cc2ccccn2)CC1 ZINC000639040762 353318739 /nfs/dbraw/zinc/31/87/39/353318739.db2.gz ARASKARPNARFGH-UHFFFAOYSA-N 0 3 218.344 2.950 20 0 BFADHN c1c2ccccc2oc1CNCC1=CCCOC1 ZINC000126522019 188316882 /nfs/dbraw/zinc/31/68/82/188316882.db2.gz FJYBAKSGGLTBFN-UHFFFAOYSA-N 0 3 243.306 2.869 20 0 BFADHN CCC[C@H](CN(C)[C@H](C)c1cccnc1)OC ZINC000639041612 353327318 /nfs/dbraw/zinc/32/73/18/353327318.db2.gz XOHOOGAGCJASRH-TZMCWYRMSA-N 0 3 236.359 2.890 20 0 BFADHN Cn1ccnc1[C@@H](C1CC1)N1CCCC2(CC2)C1 ZINC000639057416 353363047 /nfs/dbraw/zinc/36/30/47/353363047.db2.gz PARSQBSQJLHPAG-CYBMUJFWSA-N 0 3 245.370 2.747 20 0 BFADHN CC(=O)CCN(C)Cc1cccc(OC(C)C)c1 ZINC000120559914 188328686 /nfs/dbraw/zinc/32/86/86/188328686.db2.gz GZLRLQUJUOCVSD-UHFFFAOYSA-N 0 3 249.354 2.885 20 0 BFADHN CC(C)C[C@H](CO)N[C@@H](C)c1ccsc1 ZINC000050344489 188328763 /nfs/dbraw/zinc/32/87/63/188328763.db2.gz KTAANIUUZKSMHA-CMPLNLGQSA-N 0 3 227.373 2.806 20 0 BFADHN COc1ccc(CNC[C@H](C)SC)cc1F ZINC000128092749 188331118 /nfs/dbraw/zinc/33/11/18/188331118.db2.gz ZJCYNWCIDBJHNQ-VIFPVBQESA-N 0 3 243.347 2.675 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2ccc(C)o2)C1(C)C ZINC000072926313 324060678 /nfs/dbraw/zinc/06/06/78/324060678.db2.gz ODTNXFIGWIDBSW-JSGCOSHPSA-N 0 3 237.343 2.881 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@H](C)CCO ZINC000122905890 324062113 /nfs/dbraw/zinc/06/21/13/324062113.db2.gz AKSQEVMTABUOEK-VXGBXAGGSA-N 0 3 237.343 2.507 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1cscc1Cl ZINC000639072628 353390134 /nfs/dbraw/zinc/39/01/34/353390134.db2.gz AIXQQDLPZBTFQZ-SSDOTTSWSA-N 0 3 246.763 2.728 20 0 BFADHN Cc1cc(C)cc(CNCc2ncccc2[O-])c1 ZINC000355289137 188356934 /nfs/dbraw/zinc/35/69/34/188356934.db2.gz YBXCOQWBBZQPRM-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN Cc1cc(C)cc(C[NH2+]Cc2ncccc2[O-])c1 ZINC000355289137 188356936 /nfs/dbraw/zinc/35/69/36/188356936.db2.gz YBXCOQWBBZQPRM-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN COC1(CNCc2ccc(C)o2)CCCC1 ZINC000336674162 188357493 /nfs/dbraw/zinc/35/74/93/188357493.db2.gz KAXIXUYGXOMDTB-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1nccn1CC ZINC000310000778 188347454 /nfs/dbraw/zinc/34/74/54/188347454.db2.gz DTAQQKZYXSMGNQ-NWDGAFQWSA-N 0 3 221.348 2.571 20 0 BFADHN C=Cn1cc(CN2CCCC(C)(C)C2)cn1 ZINC000193767352 188351436 /nfs/dbraw/zinc/35/14/36/188351436.db2.gz RVBDRGZHJJZAGI-UHFFFAOYSA-N 0 3 219.332 2.606 20 0 BFADHN CC(C)C[C@H](NCCCCO)c1ccccn1 ZINC000194210629 188352321 /nfs/dbraw/zinc/35/23/21/188352321.db2.gz GRNCWZYJLLAWQP-AWEZNQCLSA-N 0 3 236.359 2.531 20 0 BFADHN Cc1ccc2c(c1)CC[C@@H]2NCC1=CCCOC1 ZINC000269263682 188375452 /nfs/dbraw/zinc/37/54/52/188375452.db2.gz CSLGSHJNKQMTBW-INIZCTEOSA-N 0 3 243.350 2.919 20 0 BFADHN CC(C)C[C@H](C)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000337135038 188361348 /nfs/dbraw/zinc/36/13/48/188361348.db2.gz NJFZUXHCKOFOAP-RWMBFGLXSA-N 0 3 213.365 2.778 20 0 BFADHN CC(C)C[C@H](C)CN1CCO[C@H]2CCC[C@@H]21 ZINC000337124326 188361611 /nfs/dbraw/zinc/36/16/11/188361611.db2.gz DCRBULUZNMSVJZ-IHRRRGAJSA-N 0 3 225.376 2.922 20 0 BFADHN COc1ccc(CN2CC[C@H](C(C)(C)C)C2)nc1 ZINC000338289584 188364910 /nfs/dbraw/zinc/36/49/10/188364910.db2.gz IXSUFPMEAUKHPS-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN CCC[C@@H](CC(C)C)C(=O)NC[C@H](C)N(C)C ZINC000412392484 191279275 /nfs/dbraw/zinc/27/92/75/191279275.db2.gz DLJPGMVRBSVEKZ-STQMWFEESA-N 0 3 242.407 2.515 20 0 BFADHN Cc1ccc2c(c1)CC[C@H]2NCc1cncn1C ZINC000263861284 188371322 /nfs/dbraw/zinc/37/13/22/188371322.db2.gz VSLRGXGBELKGSH-OAHLLOKOSA-N 0 3 241.338 2.506 20 0 BFADHN CC(C)N(CCn1ccnc1)Cc1cccs1 ZINC000270824526 188376181 /nfs/dbraw/zinc/37/61/81/188376181.db2.gz KEKSUBOZROFHTG-UHFFFAOYSA-N 0 3 249.383 2.855 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cnc(N(C)C)s1 ZINC000271030381 188376526 /nfs/dbraw/zinc/37/65/26/188376526.db2.gz CBXLVUDYDVRITQ-JTQLQIEISA-N 0 3 241.404 2.685 20 0 BFADHN CC(C)N1CCN([C@@H](C)c2ccsc2)CC1 ZINC000274136040 188378646 /nfs/dbraw/zinc/37/86/46/188378646.db2.gz FZLRVZZWXRCEFD-LBPRGKRZSA-N 0 3 238.400 2.835 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@H](C)[C@@H](C)C1 ZINC000278400760 188380845 /nfs/dbraw/zinc/38/08/45/188380845.db2.gz FULSDSARFLMMRS-UWVGGRQHSA-N 0 3 222.307 2.617 20 0 BFADHN CC(C)C[C@@H](CO)N(C)Cc1cccs1 ZINC000276950416 188381014 /nfs/dbraw/zinc/38/10/14/188381014.db2.gz LBJHBZHXRYMDFW-NSHDSACASA-N 0 3 227.373 2.587 20 0 BFADHN CC(C)[C@H](O)CN(C)[C@@H](C)c1ccc(F)cc1 ZINC000283934978 188385485 /nfs/dbraw/zinc/38/54/85/188385485.db2.gz GWZLYXKCADUOFP-SMDDNHRTSA-N 0 3 239.334 2.835 20 0 BFADHN Cc1ccc2c(c1)CN(CCCF)CCO2 ZINC000285739764 188387263 /nfs/dbraw/zinc/38/72/63/188387263.db2.gz YACOLEMZWKGUKY-UHFFFAOYSA-N 0 3 223.291 2.549 20 0 BFADHN Cc1ccc2c(c1)CN(CC1CC1)CCO2 ZINC000285628505 188387342 /nfs/dbraw/zinc/38/73/42/188387342.db2.gz RAOFMZVQFNEUPS-UHFFFAOYSA-N 0 3 217.312 2.599 20 0 BFADHN c1ccc2c(c1)OCCC[C@@H]2N[C@H]1CCCOC1 ZINC000287252244 188388737 /nfs/dbraw/zinc/38/87/37/188388737.db2.gz HWNVGSSVGJMBLB-JSGCOSHPSA-N 0 3 247.338 2.669 20 0 BFADHN C=Cn1cc(CN(C)[C@H](C)CCCC)cn1 ZINC000290818179 188389292 /nfs/dbraw/zinc/38/92/92/188389292.db2.gz GPYDRTMRCPPNKJ-GFCCVEGCSA-N 0 3 221.348 2.994 20 0 BFADHN CC(C)(O)C1CN(Cc2cc3ccccc3o2)C1 ZINC000297504814 188394829 /nfs/dbraw/zinc/39/48/29/188394829.db2.gz WLZZCVKWWYRTGG-UHFFFAOYSA-N 0 3 245.322 2.636 20 0 BFADHN C/C(=C\c1ccccc1)CN1CC(C(C)(C)O)C1 ZINC000297501730 188394925 /nfs/dbraw/zinc/39/49/25/188394925.db2.gz WFPGFNCQSJDZAE-UKTHLTGXSA-N 0 3 245.366 2.793 20 0 BFADHN CC(C)Cn1cc(CN2CC[C@H]2C(C)C)cn1 ZINC000341409542 188398300 /nfs/dbraw/zinc/39/83/00/188398300.db2.gz PPXBFVBIZYYRMQ-AWEZNQCLSA-N 0 3 235.375 2.769 20 0 BFADHN CO[C@H](C)CN(Cc1scnc1C)C(C)C ZINC000353794099 353460445 /nfs/dbraw/zinc/46/04/45/353460445.db2.gz KVJGTWGMLFYUOM-SNVBAGLBSA-N 0 3 242.388 2.697 20 0 BFADHN COc1cncc(CN2CC[C@H]3CCC[C@@H]32)c1C ZINC000639094186 353471412 /nfs/dbraw/zinc/47/14/12/353471412.db2.gz PLLBFMBDTVLHAT-OCCSQVGLSA-N 0 3 246.354 2.773 20 0 BFADHN CC(C)[C@@H]1CN(Cc2ccsc2)CCCO1 ZINC000294084156 188392410 /nfs/dbraw/zinc/39/24/10/188392410.db2.gz REQXDIBGEOWJAQ-ZDUSSCGKSA-N 0 3 239.384 2.995 20 0 BFADHN CC(=O)c1ccc(CN(C)CC2CC2)cc1 ZINC000295423629 188392982 /nfs/dbraw/zinc/39/29/82/188392982.db2.gz FDXUVTSTCFITSR-UHFFFAOYSA-N 0 3 217.312 2.731 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3ccccc3)CC2)[C@@H](C)O1 ZINC000295366035 188393643 /nfs/dbraw/zinc/39/36/43/188393643.db2.gz JNWALDPZQAZZGI-YRGRVCCFSA-N 0 3 231.339 2.831 20 0 BFADHN CC(C)C(CNCc1ccc(CO)o1)C(C)C ZINC000191702683 353506504 /nfs/dbraw/zinc/50/65/04/353506504.db2.gz WZQYTLKPUQQBPA-UHFFFAOYSA-N 0 3 239.359 2.790 20 0 BFADHN c1cnc2c(c1)CCC[C@H]2NC1CCC1 ZINC000085789606 491053039 /nfs/dbraw/zinc/05/30/39/491053039.db2.gz AQVQZNPSKCFJEQ-GFCCVEGCSA-N 0 3 202.301 2.601 20 0 BFADHN C[C@H]1c2ccccc2CN1CCCn1ccnc1 ZINC000343313842 353624256 /nfs/dbraw/zinc/62/42/56/353624256.db2.gz KOBLKIZBGJJGIR-ZDUSSCGKSA-N 0 3 241.338 2.850 20 0 BFADHN Cc1cnc(CN[C@@]2(C)CCCC[C@@H]2C)nc1 ZINC000334516811 353632536 /nfs/dbraw/zinc/63/25/36/353632536.db2.gz QPTAOTWFLMIAEA-JSGCOSHPSA-N 0 3 233.359 2.843 20 0 BFADHN CCC[C@@H](CCO)CN[C@H](C)c1ccccn1 ZINC000231634905 353634809 /nfs/dbraw/zinc/63/48/09/353634809.db2.gz BGOVZQKEARLBTB-OLZOCXBDSA-N 0 3 236.359 2.531 20 0 BFADHN Fc1cccc2c1CCN(CC[C@H]1CCCO1)C2 ZINC000276172150 181004695 /nfs/dbraw/zinc/00/46/95/181004695.db2.gz FNKSGUIHDSJUQM-CYBMUJFWSA-N 0 3 249.329 2.753 20 0 BFADHN Fc1cccc2c1CC[C@@H]2NC[C@@H]1CCCO1 ZINC000147591484 181005393 /nfs/dbraw/zinc/00/53/93/181005393.db2.gz IVHYZTVMCQNQFW-HZMBPMFUSA-N 0 3 235.302 2.582 20 0 BFADHN Fc1ccccc1CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000284829827 181011951 /nfs/dbraw/zinc/01/19/51/181011951.db2.gz QJJBORHEBOHTNV-GJZGRUSLSA-N 0 3 249.329 2.969 20 0 BFADHN Fc1ccccc1CN1CCC[C@]12CCOC2 ZINC000368499205 181012085 /nfs/dbraw/zinc/01/20/85/181012085.db2.gz OBPWXARFADHGTD-CQSZACIVSA-N 0 3 235.302 2.581 20 0 BFADHN Fc1ccccc1CN[C@H]1CC[C@@H](F)C1 ZINC000308855986 181013706 /nfs/dbraw/zinc/01/37/06/181013706.db2.gz OXCOJRBRUJGLLS-MNOVXSKESA-N 0 3 211.255 2.806 20 0 BFADHN CC[C@@](C)(CN1CCO[C@H](C(C)(C)C)C1)OC ZINC000639118844 353759782 /nfs/dbraw/zinc/75/97/82/353759782.db2.gz LVYWBGVMEVRFBH-JSGCOSHPSA-N 0 3 243.391 2.548 20 0 BFADHN C[C@@H](NC[C@H](CO)c1ccccc1)c1ccoc1 ZINC000178315827 366113782 /nfs/dbraw/zinc/11/37/82/366113782.db2.gz XKTLVBMOPOGKJE-IUODEOHRSA-N 0 3 245.322 2.706 20 0 BFADHN Fc1ccccc1CN1CCC[C@@]2(CCOC2)C1 ZINC000336223374 181011122 /nfs/dbraw/zinc/01/11/22/181011122.db2.gz YRDDEMIXVNXEGI-OAHLLOKOSA-N 0 3 249.329 2.828 20 0 BFADHN CCn1nccc1CN(C)[C@H]1CCCC[C@H]1C ZINC000343347494 353840283 /nfs/dbraw/zinc/84/02/83/353840283.db2.gz WNFXVTXBWKAJTE-OCCSQVGLSA-N 0 3 235.375 2.914 20 0 BFADHN Fc1cccnc1NC[C@H]1CCCCN1C1CC1 ZINC000277413204 181015724 /nfs/dbraw/zinc/01/57/24/181015724.db2.gz KZAVQQNMNZBIFU-GFCCVEGCSA-N 0 3 249.333 2.650 20 0 BFADHN CCn1nccc1CN(C)[C@@H]1CCCC[C@H]1C ZINC000343347497 353840761 /nfs/dbraw/zinc/84/07/61/353840761.db2.gz WNFXVTXBWKAJTE-TZMCWYRMSA-N 0 3 235.375 2.914 20 0 BFADHN CCN(Cc1cnc(OC)s1)CC1CCC1 ZINC000639126842 353828332 /nfs/dbraw/zinc/82/83/32/353828332.db2.gz IXUMHSRIUOXXDC-UHFFFAOYSA-N 0 3 240.372 2.774 20 0 BFADHN Fc1cncc(CN2CCC3(CC3)CC2)c1 ZINC000373603458 181019988 /nfs/dbraw/zinc/01/99/88/181019988.db2.gz JHOYUOQKNPGBLA-UHFFFAOYSA-N 0 3 220.291 2.597 20 0 BFADHN COC(=O)c1coc([C@H](C)N[C@@H]2CC[C@@H]2C)c1 ZINC000353832351 353864894 /nfs/dbraw/zinc/86/48/94/353864894.db2.gz XJWKGWMVTPMUSZ-ATZCPNFKSA-N 0 3 237.299 2.515 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H]1CCC13CCCC3)CC2 ZINC000334521832 353887605 /nfs/dbraw/zinc/88/76/05/353887605.db2.gz FPWNEJICQQLUTC-VXGBXAGGSA-N 0 3 231.343 2.640 20 0 BFADHN Cc1cccn2c(CN[C@H]3CC3(C)C)cnc12 ZINC000343632719 353948823 /nfs/dbraw/zinc/94/88/23/353948823.db2.gz CNIKWZKURRBNCV-LBPRGKRZSA-N 0 3 229.327 2.531 20 0 BFADHN C[C@@H]1CCC[C@H]1CN[C@H](c1nccn1C)C1CC1 ZINC000343519908 353926068 /nfs/dbraw/zinc/92/60/68/353926068.db2.gz UCSCCJXICSYWRW-XBFCOCLRSA-N 0 3 247.386 2.897 20 0 BFADHN CC[C@H]1CCCN([C@@H](C)c2n[nH]c(C)n2)CC1 ZINC000334539381 353926737 /nfs/dbraw/zinc/92/67/37/353926737.db2.gz AFZOCZKHTNCWIV-JQWIXIFHSA-N 0 3 236.363 2.686 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCCC[C@H]1c1ccnn1C ZINC000343635693 353949711 /nfs/dbraw/zinc/94/97/11/353949711.db2.gz HMOWWMBISZNZSU-DYEKYZERSA-N 0 3 233.359 2.603 20 0 BFADHN Cc1nn(C(C)C)cc1CN(C)C1CCC1 ZINC000343529033 353928983 /nfs/dbraw/zinc/92/89/83/353928983.db2.gz DEOSOQNZPPAWFB-UHFFFAOYSA-N 0 3 221.348 2.757 20 0 BFADHN C[C@@H]1[C@@H](Nc2nccc3occc32)CCCN1C ZINC000343603147 353931489 /nfs/dbraw/zinc/93/14/89/353931489.db2.gz RGRHHQDNLCFTRD-PWSUYJOCSA-N 0 3 245.326 2.722 20 0 BFADHN CC[C@@H](NCc1cn2cccnc2n1)C(C)(C)C ZINC000343614728 353933692 /nfs/dbraw/zinc/93/36/92/353933692.db2.gz RYACDLLIIFDBFX-GFCCVEGCSA-N 0 3 246.358 2.644 20 0 BFADHN CN1CCN(CC2CCC2)[C@H](c2ccccc2)C1 ZINC000343638475 353950309 /nfs/dbraw/zinc/95/03/09/353950309.db2.gz CLOFYUHPARSVOI-INIZCTEOSA-N 0 3 244.382 2.775 20 0 BFADHN CCCN(CC)[C@@H](C)C(=O)N1CCCCCC1 ZINC000343636900 353950542 /nfs/dbraw/zinc/95/05/42/353950542.db2.gz CAXRBYXKBZQLJD-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN COc1cncc(CN2CC[C@@H](C)C[C@H](C)C2)c1 ZINC000343709509 353968762 /nfs/dbraw/zinc/96/87/62/353968762.db2.gz KYQCIJPQDMFVFJ-OLZOCXBDSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@H]1SCCN(CCc2cncs2)[C@@H]1C ZINC000334553665 353997117 /nfs/dbraw/zinc/99/71/17/353997117.db2.gz ARNCEAPVJWUVCY-NXEZZACHSA-N 0 3 242.413 2.511 20 0 BFADHN c1ccc([C@H]2C[C@H]2N2CCOCC3(CC3)C2)cc1 ZINC000334542472 353977566 /nfs/dbraw/zinc/97/75/66/353977566.db2.gz ILHHLLOTSJECNZ-HUUCEWRRSA-N 0 3 243.350 2.655 20 0 BFADHN Cc1cc(CNCC[C@@]2(C)CC2(F)F)on1 ZINC000630078391 363280409 /nfs/dbraw/zinc/28/04/09/363280409.db2.gz WBCLZTZLZMDQOD-JTQLQIEISA-N 0 3 230.258 2.508 20 0 BFADHN Cc1nc([C@@H](C)N2CCC[C@@H](C(C)C)C2)n[nH]1 ZINC000334549273 353989160 /nfs/dbraw/zinc/98/91/60/353989160.db2.gz BGEFWDOICATRAS-ZYHUDNBSSA-N 0 3 236.363 2.542 20 0 BFADHN C[C@H]1CCCN(Cc2cnc(C3CC3)nc2)C1 ZINC000334552639 353994261 /nfs/dbraw/zinc/99/42/61/353994261.db2.gz FRHVBOMKFMNYDX-NSHDSACASA-N 0 3 231.343 2.586 20 0 BFADHN CSCCCN(C)C/C=C\c1ccncc1 ZINC000343657768 353955935 /nfs/dbraw/zinc/95/59/35/353955935.db2.gz LGCGCADJOMSYAC-HYXAFXHYSA-N 0 3 236.384 2.780 20 0 BFADHN COc1cncc(CN(C)[C@@H](C)C2CCC2)c1 ZINC000343716840 353957302 /nfs/dbraw/zinc/95/73/02/353957302.db2.gz JOVZGUBLBNZLOZ-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN2C[C@H](C)CC(C)(C)C2)c1 ZINC000343683008 353962841 /nfs/dbraw/zinc/96/28/41/353962841.db2.gz HSGYVFJATAFVAC-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN CO[C@H](C)CN(C)Cc1cc2ccccc2n1C ZINC000639166173 354007715 /nfs/dbraw/zinc/00/77/15/354007715.db2.gz XOTFXVUMANAFCD-GFCCVEGCSA-N 0 3 246.354 2.645 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H]1CCCSC1 ZINC000086109888 491057596 /nfs/dbraw/zinc/05/75/96/491057596.db2.gz DCENAJUUYUTREA-KWQFWETISA-N 0 3 240.372 2.838 20 0 BFADHN CC(C)OC1CC(N2CCC[C@@](C)(F)C2)C1 ZINC000334549922 354017511 /nfs/dbraw/zinc/01/75/11/354017511.db2.gz ZHPIWAPQRKZIGM-WXRRBKDZSA-N 0 3 229.339 2.766 20 0 BFADHN CC[C@]1(C)CCN(Cc2cncc(OC)c2)C1 ZINC000343835566 354034846 /nfs/dbraw/zinc/03/48/46/354034846.db2.gz CQIPOMRRFSGALM-CQSZACIVSA-N 0 3 234.343 2.712 20 0 BFADHN CC(C)(C)NCc1nc2cc(F)ccc2o1 ZINC000086137596 491058329 /nfs/dbraw/zinc/05/83/29/491058329.db2.gz SVKUHTNLAPVHKN-UHFFFAOYSA-N 0 3 222.263 2.855 20 0 BFADHN CCC(CC)N(CC)Cc1cnoc1C ZINC000344017962 354081223 /nfs/dbraw/zinc/08/12/23/354081223.db2.gz FFISGEBXCPAWHL-UHFFFAOYSA-N 0 3 210.321 2.994 20 0 BFADHN Cc1cnc(CN(C)C[C@H]2CC=CCC2)cn1 ZINC000344070360 354131799 /nfs/dbraw/zinc/13/17/99/354131799.db2.gz RGSYBXOIOSSMMY-ZDUSSCGKSA-N 0 3 231.343 2.573 20 0 BFADHN COc1ccnc(CN2C[C@H](C)CC(C)(C)C2)c1 ZINC000344072305 354132426 /nfs/dbraw/zinc/13/24/26/354132426.db2.gz SJYMOAIDTKGVPF-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@H](F)CCN(C)Cc1ccccc1N(C)C ZINC000344070800 354132827 /nfs/dbraw/zinc/13/28/27/354132827.db2.gz MPCFRWXZFGCOOC-LBPRGKRZSA-N 0 3 238.350 2.933 20 0 BFADHN COc1cc(C)nc(CN2CC[C@@H](C3CC3)C2)c1 ZINC000344075789 354133714 /nfs/dbraw/zinc/13/37/14/354133714.db2.gz ZSOPEJHPJFZBIP-CYBMUJFWSA-N 0 3 246.354 2.631 20 0 BFADHN C[C@H](NCc1ncccc1F)C1CC(F)(F)C1 ZINC000529543645 324333789 /nfs/dbraw/zinc/33/37/89/324333789.db2.gz LWWHJZYZJQLZHQ-QMMMGPOBSA-N 0 3 244.260 2.744 20 0 BFADHN Fc1cccc(OCCN2C3CCC2CC3)c1 ZINC000642328999 363326869 /nfs/dbraw/zinc/32/68/69/363326869.db2.gz XPJVLLGEPLCDLM-UHFFFAOYSA-N 0 3 235.302 2.831 20 0 BFADHN CCC(CC)N(CC)CC(=O)NC1CCCC1 ZINC000344040560 354106180 /nfs/dbraw/zinc/10/61/80/354106180.db2.gz VIUILUSRRSYNAZ-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN c1nc(C2CC2)ncc1CN1CC[C@@H]2CCC[C@H]21 ZINC000334560986 354113811 /nfs/dbraw/zinc/11/38/11/354113811.db2.gz HQSVQDCWOBLSTL-GXTWGEPZSA-N 0 3 243.354 2.728 20 0 BFADHN C[C@@H]1CCCN(Cc2cnc(C3CC3)nc2)[C@@H]1C ZINC000334562227 354117284 /nfs/dbraw/zinc/11/72/84/354117284.db2.gz VUHLNXOFULHWSF-VXGBXAGGSA-N 0 3 245.370 2.974 20 0 BFADHN CCC[C@@H](N[C@H]1CCCOC1)c1ccccn1 ZINC000344099846 354119566 /nfs/dbraw/zinc/11/95/66/354119566.db2.gz TTXNWDARTGNABE-GXTWGEPZSA-N 0 3 234.343 2.691 20 0 BFADHN Cc1occc1CN[C@@H]1[C@H]2CCCO[C@H]2C1(C)C ZINC000344049930 354122083 /nfs/dbraw/zinc/12/20/83/354122083.db2.gz INALWCXQHNPTHR-MGPQQGTHSA-N 0 3 249.354 2.881 20 0 BFADHN Cc1occc1CN[C@@H]1[C@@H]2CCCO[C@H]2C1(C)C ZINC000344049927 354122539 /nfs/dbraw/zinc/12/25/39/354122539.db2.gz INALWCXQHNPTHR-BFHYXJOUSA-N 0 3 249.354 2.881 20 0 BFADHN COc1ccnc(CN2CC[C@@H](C(C)(C)C)C2)c1 ZINC000344165053 354169141 /nfs/dbraw/zinc/16/91/41/354169141.db2.gz KZNMGKAIBIZSOZ-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccc(C2(NCc3cc[nH]c3)CC2)cc1 ZINC000344169267 354170718 /nfs/dbraw/zinc/17/07/18/354170718.db2.gz GWWDEADNNWCAFK-UHFFFAOYSA-N 0 3 242.322 2.802 20 0 BFADHN Cc1cc(CN[C@H]2CC(C)(C)C[C@@H]2C)on1 ZINC000192391114 133998571 /nfs/dbraw/zinc/99/85/71/133998571.db2.gz IFPSROPSMRUUBI-CABZTGNLSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1cnc(C2CC2)o1 ZINC000449694961 202003514 /nfs/dbraw/zinc/00/35/14/202003514.db2.gz CZUCPXQEZPIMOQ-JOYOIKCWSA-N 0 3 220.316 2.830 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cnc(C3CC3)o2)[C@H]1C ZINC000449706868 202007073 /nfs/dbraw/zinc/00/70/73/202007073.db2.gz OXWXTMSUYCKFAC-VDDIYKPWSA-N 0 3 220.316 2.686 20 0 BFADHN COCCCCCN(C)Cc1ccoc1 ZINC000344195482 354180422 /nfs/dbraw/zinc/18/04/22/354180422.db2.gz KZSPOFAPBQRXMW-UHFFFAOYSA-N 0 3 211.305 2.528 20 0 BFADHN COc1cccnc1CNC1CCCCC1 ZINC000449747558 202017359 /nfs/dbraw/zinc/01/73/59/202017359.db2.gz JBXRBWRGJSAOSM-UHFFFAOYSA-N 0 3 220.316 2.513 20 0 BFADHN C[C@@H](CC(F)(F)F)NCc1ccncc1 ZINC000231751352 363351515 /nfs/dbraw/zinc/35/15/15/363351515.db2.gz HQESXYHQHMCOGO-QMMMGPOBSA-N 0 3 218.222 2.512 20 0 BFADHN CCN(C[C@@H](OC)C1CC1)[C@H](C)c1cccnc1 ZINC000639178380 354253880 /nfs/dbraw/zinc/25/38/80/354253880.db2.gz KXBOSHGRVJVERI-IUODEOHRSA-N 0 3 248.370 2.890 20 0 BFADHN COC[C@@H](C)NCc1cc(C)c2ncccc2c1 ZINC000344329625 354226638 /nfs/dbraw/zinc/22/66/38/354226638.db2.gz MZKQOXUKPFRDCA-GFCCVEGCSA-N 0 3 244.338 2.668 20 0 BFADHN Cn1cccc1CN1CC(C(C)(C)C)C1 ZINC000334596966 354230836 /nfs/dbraw/zinc/23/08/36/354230836.db2.gz UWRAQNAKHLEWAS-UHFFFAOYSA-N 0 3 206.333 2.503 20 0 BFADHN Cc1cc(CN2CC(C(C)(C)C)C2)ccn1 ZINC000529608878 324363963 /nfs/dbraw/zinc/36/39/63/324363963.db2.gz PTWXPWMPMPVCCH-UHFFFAOYSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1ncc(CNC/C=C/c2ccccc2)cn1 ZINC000344352779 354240362 /nfs/dbraw/zinc/24/03/62/354240362.db2.gz ZBSWQFNVWYXVAU-VMPITWQZSA-N 0 3 239.322 2.588 20 0 BFADHN NC(=O)[C@@H]1CCCN1Cc1cccc2ccoc21 ZINC000334605830 354312406 /nfs/dbraw/zinc/31/24/06/354312406.db2.gz LTLIFMQAYNHXBP-LBPRGKRZSA-N 0 3 244.294 2.932 20 0 BFADHN NC(=O)[C@H]1CCCN1Cc1cccc2ccoc21 ZINC000334605829 354312542 /nfs/dbraw/zinc/31/25/42/354312542.db2.gz LTLIFMQAYNHXBP-GFCCVEGCSA-N 0 3 244.294 2.932 20 0 BFADHN C[C@H](F)CCN1Cc2ccc(O)cc2C2(CC2)C1 ZINC000334616140 354302994 /nfs/dbraw/zinc/30/29/94/354302994.db2.gz QQTLCAMCINXUKT-NSHDSACASA-N 0 3 249.329 2.988 20 0 BFADHN OCC[C@H](NCc1ccsc1)c1ccccc1 ZINC000344440431 354274071 /nfs/dbraw/zinc/27/40/71/354274071.db2.gz OHIOYHCWLZEREG-AWEZNQCLSA-N 0 3 247.363 2.961 20 0 BFADHN CCn1cncc1CN1CCC[C@@H](C)[C@@H]1C ZINC000334600196 354277315 /nfs/dbraw/zinc/27/73/15/354277315.db2.gz CIQYXRZMJKLLDM-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1cncc1CN1CCC[C@@H](C)[C@H]1C ZINC000334600199 354277577 /nfs/dbraw/zinc/27/75/77/354277577.db2.gz CIQYXRZMJKLLDM-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1cncc1CN1CCCCC[C@H]1C ZINC000334601141 354279638 /nfs/dbraw/zinc/27/96/38/354279638.db2.gz FUIIOQDCTLSFBR-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@@H]1CCC(C)(C)C1 ZINC000344762306 354356010 /nfs/dbraw/zinc/35/60/10/354356010.db2.gz UVOXWDNCALNSJP-VXGBXAGGSA-N 0 3 239.359 2.593 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@H]1CCC(C)(C)C1 ZINC000344762304 354356046 /nfs/dbraw/zinc/35/60/46/354356046.db2.gz UVOXWDNCALNSJP-NWDGAFQWSA-N 0 3 239.359 2.593 20 0 BFADHN C[C@@H]1c2ccccc2CN1C[C@@H]1CCCOC1 ZINC000334608433 354315479 /nfs/dbraw/zinc/31/54/79/354315479.db2.gz UYDUMANTKFQYNT-OLZOCXBDSA-N 0 3 231.339 2.990 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCCC[C@H]2C)nn1 ZINC000334610143 354318599 /nfs/dbraw/zinc/31/85/99/354318599.db2.gz SEJNLBGLMTWHTA-RISCZKNCSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ccoc1CN[C@@H](C)CC1CCOCC1 ZINC000344621974 354328021 /nfs/dbraw/zinc/32/80/21/354328021.db2.gz KOXWWCWDZYUBJL-LBPRGKRZSA-N 0 3 237.343 2.883 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2ccon2)C1 ZINC000086452697 491061847 /nfs/dbraw/zinc/06/18/47/491061847.db2.gz BVFMCNJMEBNYTH-QWRGUYRKSA-N 0 3 208.305 2.733 20 0 BFADHN c1coc(CN[C@@H]2CCN(CC3CCCC3)C2)c1 ZINC000344663632 354341180 /nfs/dbraw/zinc/34/11/80/354341180.db2.gz HRQPLVBOAJHXAR-CQSZACIVSA-N 0 3 248.370 2.634 20 0 BFADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@H](C)CF ZINC000639183088 354342346 /nfs/dbraw/zinc/34/23/46/354342346.db2.gz ODTGHXDEQHSCBD-WZRBSPASSA-N 0 3 225.307 2.710 20 0 BFADHN CC(C)[C@@H]1CCN([C@@H](C)c2cnccn2)C1 ZINC000334632519 354376249 /nfs/dbraw/zinc/37/62/49/354376249.db2.gz RDESZKBPLUBGHZ-NWDGAFQWSA-N 0 3 219.332 2.516 20 0 BFADHN CO[C@H](CN[C@H]1c2ccccc2O[C@H]1C)C1CC1 ZINC000639186404 354380057 /nfs/dbraw/zinc/38/00/57/354380057.db2.gz MMLCTZRSXFSGAH-COLVAYQJSA-N 0 3 247.338 2.523 20 0 BFADHN CC[C@](C)(CN[C@H]1c2ccccc2O[C@H]1C)OC ZINC000639186198 354380069 /nfs/dbraw/zinc/38/00/69/354380069.db2.gz KJKTYTCTIRPYRW-NILFDRSVSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@H](N[C@@H]1c2ccccc2O[C@H]1C)[C@H]1CCCO1 ZINC000639185723 354380453 /nfs/dbraw/zinc/38/04/53/354380453.db2.gz CWYXBBFJAWJKHN-MEDZGJRSSA-N 0 3 247.338 2.666 20 0 BFADHN CCC[C@H](CN[C@@H]1c2ccccc2O[C@H]1C)OC ZINC000639185698 354380654 /nfs/dbraw/zinc/38/06/54/354380654.db2.gz CHVWHZQGUBICHF-ZOWXZIJZSA-N 0 3 249.354 2.913 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H]1c2ccccc2O[C@@H]1C ZINC000639187019 354381360 /nfs/dbraw/zinc/38/13/60/354381360.db2.gz WYIWRPYRVQSFHY-OXIWPEFWSA-N 0 3 235.327 2.522 20 0 BFADHN COC[C@H](N[C@@H]1c2ccccc2O[C@H]1C)C1CC1 ZINC000639185957 354381382 /nfs/dbraw/zinc/38/13/82/354381382.db2.gz GOHFXGNQKWTLMB-XEGUGMAKSA-N 0 3 247.338 2.523 20 0 BFADHN COc1cccnc1CNC1CC(C(C)C)C1 ZINC000449749440 202019307 /nfs/dbraw/zinc/01/93/07/202019307.db2.gz PLUDAWLQEVPZRQ-UHFFFAOYSA-N 0 3 234.343 2.614 20 0 BFADHN CSCCN[C@@H](C)c1cc(F)cc(F)c1 ZINC000087282741 354386939 /nfs/dbraw/zinc/38/69/39/354386939.db2.gz DEMMXUCIIUEPII-QMMMGPOBSA-N 0 3 231.311 2.978 20 0 BFADHN COc1cccnc1CNCC1CCCCC1 ZINC000449750871 202020264 /nfs/dbraw/zinc/02/02/64/202020264.db2.gz WWWXBUHQYXUNPZ-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN CCc1cc(N2CCOCC3(CCC3)C2)ccn1 ZINC000334645950 354435868 /nfs/dbraw/zinc/43/58/68/354435868.db2.gz OPYUZLLPRXNLER-UHFFFAOYSA-N 0 3 246.354 2.651 20 0 BFADHN OCCN1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000334646823 354437058 /nfs/dbraw/zinc/43/70/58/354437058.db2.gz KROARAOOCDPMHE-CQSZACIVSA-N 0 3 231.339 2.596 20 0 BFADHN CC(C)(C)[C@@H]1CCCN(Cc2cnccn2)C1 ZINC000334648177 354438600 /nfs/dbraw/zinc/43/86/00/354438600.db2.gz RELYPFBCYIFZIP-GFCCVEGCSA-N 0 3 233.359 2.735 20 0 BFADHN CC(C)C[C@H](C)N1CCCc2c(cnn2C)C1 ZINC000334644400 354402115 /nfs/dbraw/zinc/40/21/15/354402115.db2.gz AQLPNHIMVWERLF-LBPRGKRZSA-N 0 3 235.375 2.603 20 0 BFADHN CC1(C)[C@@H](c2ccccc2)CCN1CC(N)=O ZINC000334670184 354411520 /nfs/dbraw/zinc/41/15/20/354411520.db2.gz ZADJYHNTLTVQQL-GFCCVEGCSA-N 0 3 232.327 2.790 20 0 BFADHN C[C@H](O)CN1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000334656372 354450775 /nfs/dbraw/zinc/45/07/75/354450775.db2.gz YSOLGAKRUOXJOQ-JSGCOSHPSA-N 0 3 231.339 2.594 20 0 BFADHN Cc1n[nH]cc1CN1CCC(C)(C2CC2)CC1 ZINC000334664970 354465904 /nfs/dbraw/zinc/46/59/04/354465904.db2.gz PGVUEYASACYCKE-UHFFFAOYSA-N 0 3 233.359 2.730 20 0 BFADHN C[C@H]1C[C@@H](O)CN(Cc2cc3ccccc3o2)C1 ZINC000334746439 354470861 /nfs/dbraw/zinc/47/08/61/354470861.db2.gz PXJNXEYMUOVXDD-WCQYABFASA-N 0 3 245.322 2.636 20 0 BFADHN CC(C)[C@H]1N(Cc2cncnc2)CC12CCCC2 ZINC000334747448 354472377 /nfs/dbraw/zinc/47/23/77/354472377.db2.gz WXOWVMYBJUBUTJ-CQSZACIVSA-N 0 3 245.370 2.877 20 0 BFADHN CO[C@@H]1CCN(Cc2cccs2)C[C@@H]1C ZINC000334739394 354542833 /nfs/dbraw/zinc/54/28/33/354542833.db2.gz TUNLZNSYDZLXOG-CMPLNLGQSA-N 0 3 225.357 2.605 20 0 BFADHN C[C@H]1CCCN1Cc1ccc2c(n1)CCC2 ZINC000334704337 354497761 /nfs/dbraw/zinc/49/77/61/354497761.db2.gz GBVIADKQXBUEMO-NSHDSACASA-N 0 3 216.328 2.555 20 0 BFADHN CN(Cc1ccccn1)C1CC(C)(C)C1 ZINC000334797772 354552385 /nfs/dbraw/zinc/55/23/85/354552385.db2.gz PSOJXXODUMBONP-UHFFFAOYSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@H]1CCCC[C@@]1(C)NCc1ccno1 ZINC000334797707 354552432 /nfs/dbraw/zinc/55/24/32/354552432.db2.gz LBFLXYKSWPCISX-CMPLNLGQSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1ccncc1F ZINC000334765241 354586299 /nfs/dbraw/zinc/58/62/99/354586299.db2.gz DXBCRBAGWREUNN-AOOOYVTPSA-N 0 3 208.280 2.594 20 0 BFADHN Cc1nn(C(C)C)cc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC000334765929 354586889 /nfs/dbraw/zinc/58/68/89/354586889.db2.gz FPFXMTMWWODMRW-OKILXGFUSA-N 0 3 247.386 2.860 20 0 BFADHN c1cc([C@@H]2CCCN2CC2CCCC2)n[nH]1 ZINC000335937731 134013144 /nfs/dbraw/zinc/01/31/44/134013144.db2.gz GQZZEQIOJGGPMT-ZDUSSCGKSA-N 0 3 219.332 2.737 20 0 BFADHN CCc1cc(CNC2CCC(C)CC2)on1 ZINC000164659874 354607555 /nfs/dbraw/zinc/60/75/55/354607555.db2.gz RSSQGSKOPGXSCE-UHFFFAOYSA-N 0 3 222.332 2.905 20 0 BFADHN CC(C)n1cncc1CN1[C@H](C)CC[C@@H]1C ZINC000335082620 354615216 /nfs/dbraw/zinc/61/52/16/354615216.db2.gz FRPFJWBYCQLKGX-TXEJJXNPSA-N 0 3 221.348 2.837 20 0 BFADHN CC(C)n1cncc1CN1[C@H](C)CC[C@H]1C ZINC000335082621 354615427 /nfs/dbraw/zinc/61/54/27/354615427.db2.gz FRPFJWBYCQLKGX-VXGBXAGGSA-N 0 3 221.348 2.837 20 0 BFADHN COc1ccncc1CN1CC2(CCC2)C[C@H]1C ZINC000335085718 354619288 /nfs/dbraw/zinc/61/92/88/354619288.db2.gz NFCOULHTQXVLRU-GFCCVEGCSA-N 0 3 246.354 2.855 20 0 BFADHN CCCN(CCC)C(=O)[C@H](CC)N(CC)CC ZINC000345612642 354715026 /nfs/dbraw/zinc/71/50/26/354715026.db2.gz RMWWARMTJZQIEL-ZDUSSCGKSA-N 0 3 242.407 2.755 20 0 BFADHN CCc1noc(C)c1CN[C@H](C)[C@H]1CC1(F)F ZINC000639207224 354672417 /nfs/dbraw/zinc/67/24/17/354672417.db2.gz ZKPNMVOAMDEVGU-GMSGAONNSA-N 0 3 244.285 2.679 20 0 BFADHN CCN(Cc1csc(C)c1C)[C@@H](C)CO ZINC000639211989 354767324 /nfs/dbraw/zinc/76/73/24/354767324.db2.gz SNPOJZJAUWVZAP-VIFPVBQESA-N 0 3 227.373 2.568 20 0 BFADHN C[C@@H]1CCN(Cc2cnc(C3CC3)nc2)C[C@H]1C ZINC000335138334 354789170 /nfs/dbraw/zinc/78/91/70/354789170.db2.gz IGXNHMXXZXKLKY-VXGBXAGGSA-N 0 3 245.370 2.832 20 0 BFADHN c1nc(C2CC2)c(CN[C@H]2[C@@H]3CCC[C@@H]32)s1 ZINC000390269025 354867533 /nfs/dbraw/zinc/86/75/33/354867533.db2.gz RBCGDPDCVZDROE-IWIIMEHWSA-N 0 3 234.368 2.909 20 0 BFADHN CCc1cnc(CN2CC[C@@H](C3CC3)C2)s1 ZINC000346216845 354873578 /nfs/dbraw/zinc/87/35/78/354873578.db2.gz LJRIQKPLAUUZRR-LLVKDONJSA-N 0 3 236.384 2.937 20 0 BFADHN CC[C@@H]1CCC[C@@H](CN2CCOC[C@@H]2C)C1 ZINC000346198955 354876458 /nfs/dbraw/zinc/87/64/58/354876458.db2.gz GBHCRPQATMICOC-BFHYXJOUSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cnc(C3CC3)nc2)C1 ZINC000335146632 354857849 /nfs/dbraw/zinc/85/78/49/354857849.db2.gz MYHAARDRDOGZFJ-QWRGUYRKSA-N 0 3 231.343 2.584 20 0 BFADHN CC(C)n1cncc1CN1C[C@@H]2CCC[C@H]2C1 ZINC000335148741 354862553 /nfs/dbraw/zinc/86/25/53/354862553.db2.gz YJHBIPNZUIGXSJ-STQMWFEESA-N 0 3 233.359 2.696 20 0 BFADHN CC(C)n1cncc1CN1CCC2(CCC2)C1 ZINC000335148785 354862641 /nfs/dbraw/zinc/86/26/41/354862641.db2.gz ZVXQHDMPRXVVLK-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN CN(Cc1cncs1)C[C@H]1CC=CCC1 ZINC000346316508 354894104 /nfs/dbraw/zinc/89/41/04/354894104.db2.gz AJKPVFMIFFNDJI-NSHDSACASA-N 0 3 222.357 2.931 20 0 BFADHN CCn1nccc1CN1C[C@@H](C)CC(C)(C)C1 ZINC000346316510 354895013 /nfs/dbraw/zinc/89/50/13/354895013.db2.gz AJLLCVCCIADOQO-LBPRGKRZSA-N 0 3 235.375 2.771 20 0 BFADHN CCOC(=O)[C@@H](CC)N(C[C@@H](C)CC)C1CC1 ZINC000346370296 354902036 /nfs/dbraw/zinc/90/20/36/354902036.db2.gz KIFLXPHJMXRKJJ-WCQYABFASA-N 0 3 241.375 2.839 20 0 BFADHN COC[C@H](C)NCc1ccc(C)c(Cl)c1 ZINC000234696585 363427163 /nfs/dbraw/zinc/42/71/63/363427163.db2.gz GUIFPRCRRGHXQW-JTQLQIEISA-N 0 3 227.735 2.773 20 0 BFADHN CCC[C@H](C)CNCc1ncccc1OC ZINC000449749234 202021082 /nfs/dbraw/zinc/02/10/82/202021082.db2.gz OVBTUWYKFKJERT-NSHDSACASA-N 0 3 222.332 2.616 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cn(C)nc1C(F)F ZINC000335162790 354916191 /nfs/dbraw/zinc/91/61/91/354916191.db2.gz KYWYSOGVCXBMIF-DTWKUNHWSA-N 0 3 243.301 2.588 20 0 BFADHN CC[C@H](NCC1(O)CCC1)c1ccccc1F ZINC000166053479 354921922 /nfs/dbraw/zinc/92/19/22/354921922.db2.gz DMBUXKGOQAAGOT-ZDUSSCGKSA-N 0 3 237.318 2.781 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)C1CC(C)(C)C1 ZINC000335167035 354925754 /nfs/dbraw/zinc/92/57/54/354925754.db2.gz LJDUEMDJIQJEKH-UHFFFAOYSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1ncc([C@H](C)N2CC[C@@H]2C2CC2)c(C)n1 ZINC000639223733 354952949 /nfs/dbraw/zinc/95/29/49/354952949.db2.gz WGACCGLDUXDVTM-IINYFYTJSA-N 0 3 231.343 2.639 20 0 BFADHN CCn1cccc1CN(C)CC[C@@H]1CCCO1 ZINC000346489200 354958500 /nfs/dbraw/zinc/95/85/00/354958500.db2.gz KKAAXAOWOWKNKG-AWEZNQCLSA-N 0 3 236.359 2.509 20 0 BFADHN CCc1cccc(CN2C[C@@H](C)N(C)C[C@@H]2C)c1 ZINC000346554169 354966646 /nfs/dbraw/zinc/96/66/46/354966646.db2.gz GJFABVNUHIPPBP-KGLIPLIRSA-N 0 3 246.398 2.773 20 0 BFADHN CCc1cccc(CN2C[C@H](C)N(C)C[C@H]2C)c1 ZINC000346554173 354966894 /nfs/dbraw/zinc/96/68/94/354966894.db2.gz GJFABVNUHIPPBP-UONOGXRCSA-N 0 3 246.398 2.773 20 0 BFADHN Fc1ccccc1CCN1CCC[C@@]12CCOC2 ZINC000366948891 354980397 /nfs/dbraw/zinc/98/03/97/354980397.db2.gz ZWEOIFQKPWIWTR-HNNXBMFYSA-N 0 3 249.329 2.623 20 0 BFADHN COc1cc(C)cc(CN[C@@H](C)[C@H]2CCCO2)c1 ZINC000346624172 354996562 /nfs/dbraw/zinc/99/65/62/354996562.db2.gz DORUMLGJLIRKMI-SWLSCSKDSA-N 0 3 249.354 2.661 20 0 BFADHN CCC1CCN(Cc2c(C)nn(C)c2C)CC1 ZINC000335202652 355036691 /nfs/dbraw/zinc/03/66/91/355036691.db2.gz HTVZIPPJKMUVPP-UHFFFAOYSA-N 0 3 235.375 2.659 20 0 BFADHN CN(Cc1cc2ccc(F)cc2[nH]1)C1CC1 ZINC000335202888 355036770 /nfs/dbraw/zinc/03/67/70/355036770.db2.gz QWPKABYVQXLGIK-UHFFFAOYSA-N 0 3 218.275 2.901 20 0 BFADHN CC[C@](C)(CN(C)Cc1occc1C)OC ZINC000639225357 355007550 /nfs/dbraw/zinc/00/75/50/355007550.db2.gz WLOOXJVYAYETDX-CYBMUJFWSA-N 0 3 225.332 2.835 20 0 BFADHN Cc1noc(C)c1CN1CC(C)(C)C[C@@H]1C ZINC000335189157 355020689 /nfs/dbraw/zinc/02/06/89/355020689.db2.gz KYDIBZJWJAZPLI-VIFPVBQESA-N 0 3 222.332 2.912 20 0 BFADHN Cc1cnn(C)c1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000335189555 355021520 /nfs/dbraw/zinc/02/15/20/355021520.db2.gz NLBDBFKIAARAGS-WCQYABFASA-N 0 3 235.375 2.739 20 0 BFADHN Cc1nocc1CN[C@@H]1CS[C@H](C(C)C)C1 ZINC000639225811 355070710 /nfs/dbraw/zinc/07/07/10/355070710.db2.gz BDSUSBCLTYOUIZ-RYUDHWBXSA-N 0 3 240.372 2.603 20 0 BFADHN Cc1nocc1CN[C@@H](C)CCC(F)(F)F ZINC000639226188 355080520 /nfs/dbraw/zinc/08/05/20/355080520.db2.gz DIAUAFXCXYYFMV-ZETCQYMHSA-N 0 3 236.237 2.804 20 0 BFADHN C[C@H](NCc1nc2c(s1)CCC2)C1CC1 ZINC000093053520 491073061 /nfs/dbraw/zinc/07/30/61/491073061.db2.gz SKMZXGCQAJNYAT-QMMMGPOBSA-N 0 3 222.357 2.520 20 0 BFADHN CCn1cc(CN2CC3(CCC3)C[C@@H]2C)cn1 ZINC000335226375 355067885 /nfs/dbraw/zinc/06/78/85/355067885.db2.gz OLVWZTCZTJLVMX-LBPRGKRZSA-N 0 3 233.359 2.668 20 0 BFADHN COC[C@@H](NC1(c2ccc(F)cc2)CC1)C1CC1 ZINC000346792759 355106434 /nfs/dbraw/zinc/10/64/34/355106434.db2.gz JRBVNXACGXNEIX-CQSZACIVSA-N 0 3 249.329 2.829 20 0 BFADHN Cc1ncc(C[NH2+][C@H](C)C2CCCCC2)c(=O)[n-]1 ZINC000346804337 355109473 /nfs/dbraw/zinc/10/94/73/355109473.db2.gz HGDWMNRJEMDPBX-SNVBAGLBSA-N 0 3 249.358 2.549 20 0 BFADHN C[C@@H](NC1(c2cccc(F)c2)CC1)[C@@H]1CCCO1 ZINC000346805012 355110073 /nfs/dbraw/zinc/11/00/73/355110073.db2.gz YTAIXVKWJGTLKO-RISCZKNCSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@@H]1CN(CC23CC4CC(CC(C4)C2)C3)CCO1 ZINC000346787598 355116936 /nfs/dbraw/zinc/11/69/36/355116936.db2.gz AFHCKBSCXUILQN-DYCFOQDWSA-N 0 3 249.398 2.924 20 0 BFADHN COC[C@@H](NC1(c2ccccc2)CC1)C1CC1 ZINC000346735933 355089359 /nfs/dbraw/zinc/08/93/59/355089359.db2.gz UKSIJMJWSXNWFA-CQSZACIVSA-N 0 3 231.339 2.690 20 0 BFADHN Cc1noc(CN(CC(C)C)C2CCCC2)n1 ZINC000346733608 355089524 /nfs/dbraw/zinc/08/95/24/355089524.db2.gz RJVFBFXDJBIJMC-UHFFFAOYSA-N 0 3 237.347 2.779 20 0 BFADHN Cc1cccc(CCN2C[C@H](C)N(C)C[C@H]2C)c1 ZINC000335231751 355092413 /nfs/dbraw/zinc/09/24/13/355092413.db2.gz QTYIAWPPBXPTNE-LSDHHAIUSA-N 0 3 246.398 2.562 20 0 BFADHN Cc1csc(CN2CCCC23CCC3)n1 ZINC000335236789 355098199 /nfs/dbraw/zinc/09/81/99/355098199.db2.gz WMKNERCSTMHKGA-UHFFFAOYSA-N 0 3 222.357 2.970 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1ccc(C)o1 ZINC000449759561 202025076 /nfs/dbraw/zinc/02/50/76/202025076.db2.gz YMRUPGIYLZYOIO-CHWSQXEVSA-N 0 3 223.316 2.635 20 0 BFADHN C[C@H](NC1(c2ccccc2)CC1)[C@H]1CCCO1 ZINC000346834024 355130881 /nfs/dbraw/zinc/13/08/81/355130881.db2.gz NKYCYGLLFUTXCO-GXTWGEPZSA-N 0 3 231.339 2.833 20 0 BFADHN COC(=O)[C@@H](CC(C)C)N1CCCC(C)(C)C1 ZINC000352006433 134034453 /nfs/dbraw/zinc/03/44/53/134034453.db2.gz UMABUUDMEPJRKS-GFCCVEGCSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1nocc1CN[C@@H](C)C1CCSCC1 ZINC000639229102 355132554 /nfs/dbraw/zinc/13/25/54/355132554.db2.gz XSNVCSHVNNEGJZ-VIFPVBQESA-N 0 3 240.372 2.604 20 0 BFADHN OC1CCC(N[C@@H]2C[C@@]2(F)c2ccccc2)CC1 ZINC000335249758 355168988 /nfs/dbraw/zinc/16/89/88/355168988.db2.gz QWFLWFTXDXZUBB-NEXFUWMNSA-N 0 3 249.329 2.517 20 0 BFADHN C[C@H](F)CCN1CCC[C@H]1c1cccnc1 ZINC000346975826 355210997 /nfs/dbraw/zinc/21/09/97/355210997.db2.gz JVSJEZNXJMUKGW-AAEUAGOBSA-N 0 3 222.307 2.967 20 0 BFADHN C[C@H](F)CCN1CCC[C@@H]1c1cccnc1 ZINC000346975832 355211006 /nfs/dbraw/zinc/21/10/06/355211006.db2.gz JVSJEZNXJMUKGW-WCQYABFASA-N 0 3 222.307 2.967 20 0 BFADHN CCC1(C(=O)Nc2ccc3c(c2)CNC3)CCC1 ZINC000335241045 355175618 /nfs/dbraw/zinc/17/56/18/355175618.db2.gz OSXHBFZSBQWHBQ-UHFFFAOYSA-N 0 3 244.338 2.809 20 0 BFADHN c1cc(CN2C[C@H](C3CC3)[C@H]2C2CC2)sn1 ZINC000639232844 355214703 /nfs/dbraw/zinc/21/47/03/355214703.db2.gz PXQSRXCIXZHCRE-CHWSQXEVSA-N 0 3 234.368 2.764 20 0 BFADHN CC[C@@H](C)N1CCN(CC2(C(C)C)CC2)CC1 ZINC000639235832 355220628 /nfs/dbraw/zinc/22/06/28/355220628.db2.gz VSKRHUIAJYRZPX-CQSZACIVSA-N 0 3 238.419 2.839 20 0 BFADHN CC[C@H](CN1CC[C@@H]1c1ccccc1)OC ZINC000412976652 191346080 /nfs/dbraw/zinc/34/60/80/191346080.db2.gz JTDZTILQGWQJSH-ZIAGYGMSSA-N 0 3 219.328 2.858 20 0 BFADHN CC[C@@H](CN(C)[C@H](C)c1ccco1)OC ZINC000412979020 191347876 /nfs/dbraw/zinc/34/78/76/191347876.db2.gz RXMBHQNHSOJCNG-MNOVXSKESA-N 0 3 211.305 2.697 20 0 BFADHN CC[C@H](CN(C)Cc1ccccc1C)OC ZINC000412978706 191348239 /nfs/dbraw/zinc/34/82/39/191348239.db2.gz QWWVNBVMHUHIKI-CQSZACIVSA-N 0 3 221.344 2.852 20 0 BFADHN Cn1ccnc1[C@@H](NC1(C2(C)CC2)CC1)C1CC1 ZINC000639236401 355219108 /nfs/dbraw/zinc/21/91/08/355219108.db2.gz QUTWCQJQTJGOOA-LBPRGKRZSA-N 0 3 245.370 2.794 20 0 BFADHN Cc1cccc(CN2CC[C@@H](C)[C@@H](C)C2)n1 ZINC000347602959 355253151 /nfs/dbraw/zinc/25/31/51/355253151.db2.gz OVHZOCSQBQPXRP-NEPJUHHUSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1cccc(CN2CC[C@H](C)[C@@H](C)C2)n1 ZINC000347602965 355253271 /nfs/dbraw/zinc/25/32/71/355253271.db2.gz OVHZOCSQBQPXRP-RYUDHWBXSA-N 0 3 218.344 2.868 20 0 BFADHN CCc1ccc(CN(C)CC2CCC2)cn1 ZINC000347666613 355256836 /nfs/dbraw/zinc/25/68/36/355256836.db2.gz CRYAYUWJIGJDAW-UHFFFAOYSA-N 0 3 218.344 2.876 20 0 BFADHN C[C@H]1C[C@H](N[C@@H]2CCCC[C@H]2F)CS1 ZINC000639264003 355320321 /nfs/dbraw/zinc/32/03/21/355320321.db2.gz AAXKGIFVAORRHD-UKKRHICBSA-N 0 3 217.353 2.751 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCC[C@H]2F)CS1 ZINC000639264001 355320430 /nfs/dbraw/zinc/32/04/30/355320430.db2.gz AAXKGIFVAORRHD-LMLFDSFASA-N 0 3 217.353 2.751 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H](C)[C@@H]1C[C@H]1C ZINC000414115208 191448631 /nfs/dbraw/zinc/44/86/31/191448631.db2.gz MQFWNIUYJPDTJA-JHJVBQTASA-N 0 3 235.375 2.673 20 0 BFADHN C[C@@H](N[C@@H]1CCCC[C@H]1F)[C@H]1CC1(F)F ZINC000639267794 355329818 /nfs/dbraw/zinc/32/98/18/355329818.db2.gz SINWJCGCYPMAHA-ZYUZMQFOSA-N 0 3 221.266 2.900 20 0 BFADHN CCCCOCCN1CC[C@H](c2cccnc2)C1 ZINC000583498071 355331640 /nfs/dbraw/zinc/33/16/40/355331640.db2.gz WPHDKGSPEKUHRC-HNNXBMFYSA-N 0 3 248.370 2.688 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@@H]2C[C@H]3C[C@H]3C2)c2nccn21 ZINC000639248002 355277748 /nfs/dbraw/zinc/27/77/48/355277748.db2.gz MCVCGXGEBMMNHX-UJPOAAIJSA-N 0 3 231.343 2.525 20 0 BFADHN CO[C@@H](CN1CC(C(F)F)C1)C1CCCCC1 ZINC000639252270 355286120 /nfs/dbraw/zinc/28/61/20/355286120.db2.gz CFXBSMGHTLKHBU-LBPRGKRZSA-N 0 3 247.329 2.779 20 0 BFADHN c1ccc2oc(CN[C@@H]3C[C@H]4C[C@H]4C3)nc2c1 ZINC000639280502 355369164 /nfs/dbraw/zinc/36/91/64/355369164.db2.gz NMPUMMKRSDVRHG-JGPRNRPPSA-N 0 3 228.295 2.716 20 0 BFADHN C[C@H]1C[C@H]1CN(Cc1ccccn1)C1CC1 ZINC000349235909 355372884 /nfs/dbraw/zinc/37/28/84/355372884.db2.gz CRBUVZBDTGESOU-RYUDHWBXSA-N 0 3 216.328 2.702 20 0 BFADHN Cc1n[nH]c(C)c1CN[C@@H]1C[C@H]1CC(C)(C)C ZINC000651911930 491078516 /nfs/dbraw/zinc/07/85/16/491078516.db2.gz DIQUJEYCIGFCQZ-WCQYABFASA-N 0 3 235.375 2.941 20 0 BFADHN C[C@@H](NCC(C)(C)OCC1CC1)c1cccnc1 ZINC000414259735 191476419 /nfs/dbraw/zinc/47/64/19/191476419.db2.gz IARJVOCPEQAOSF-GFCCVEGCSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H](CN[C@H](C)c1ccn(C)n1)CC(F)(F)F ZINC000414272514 191478813 /nfs/dbraw/zinc/47/88/13/191478813.db2.gz RWIPUIPBXSFILZ-RKDXNWHRSA-N 0 3 249.280 2.659 20 0 BFADHN C[C@@H]1CC(N2CCc3n[nH]cc3C2)C[C@@H](C)C1 ZINC000623238379 355382696 /nfs/dbraw/zinc/38/26/96/355382696.db2.gz DOPJEXQYCLPVJS-QWRGUYRKSA-N 0 3 233.359 2.593 20 0 BFADHN c1[nH]nc2c1CN([C@H]1CCC[C@H](C3CC3)C1)CC2 ZINC000623238455 355383480 /nfs/dbraw/zinc/38/34/80/355383480.db2.gz HFPCYVWVDBDCIZ-JSGCOSHPSA-N 0 3 245.370 2.737 20 0 BFADHN Cc1csc([C@@H](C)NC[C@H]2CCCS2)n1 ZINC000623904391 355384196 /nfs/dbraw/zinc/38/41/96/355384196.db2.gz BOHBWEQLAATYPD-NXEZZACHSA-N 0 3 242.413 2.998 20 0 BFADHN COC1([C@H](C)N[C@@H](C)c2cncs2)CCC1 ZINC000414312589 191488348 /nfs/dbraw/zinc/48/83/48/191488348.db2.gz WUDSIMQWVKXRCX-UWVGGRQHSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1cc(CN[C@@H]2CS[C@@H](C)C2)cc(C)n1 ZINC000639274221 355342568 /nfs/dbraw/zinc/34/25/68/355342568.db2.gz VNRJPBUUQDCUSS-AAEUAGOBSA-N 0 3 236.384 2.682 20 0 BFADHN COc1ncc(CN2C[C@H](C)[C@@H](C)[C@H]2C)s1 ZINC000639272748 355344449 /nfs/dbraw/zinc/34/44/49/355344449.db2.gz SQBZYDOFHPKDMB-IVZWLZJFSA-N 0 3 240.372 2.628 20 0 BFADHN c1cc(CN2CC[C@@H](C3CCC3)C2)sn1 ZINC000639273809 355347184 /nfs/dbraw/zinc/34/71/84/355347184.db2.gz NXVUMPIWSTUOOP-LLVKDONJSA-N 0 3 222.357 2.765 20 0 BFADHN Cc1nc2ccccc2nc1CN[C@@H]1CC12CC2 ZINC000639277312 355354595 /nfs/dbraw/zinc/35/45/95/355354595.db2.gz LFCLNBSBACZWJO-CQSZACIVSA-N 0 3 239.322 2.580 20 0 BFADHN c1c(CN[C@@H]2C[C@H]2C2CCC2)onc1C1CC1 ZINC000639277517 355356417 /nfs/dbraw/zinc/35/64/17/355356417.db2.gz PPMATGVJIPQKSY-GXTWGEPZSA-N 0 3 232.327 2.830 20 0 BFADHN COc1cccc(C)c1CN[C@@H]1CC12CC2 ZINC000639277853 355359299 /nfs/dbraw/zinc/35/92/99/355359299.db2.gz VXVYVVWKLMQSOQ-CYBMUJFWSA-N 0 3 217.312 2.646 20 0 BFADHN CCOc1ccccc1CN(C)C1CCOCC1 ZINC000349701028 355414420 /nfs/dbraw/zinc/41/44/20/355414420.db2.gz VPORSIYQWSTIBD-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN C[C@@H]1CC[C@H](N2CCc3n[nH]cc3C2)[C@H](C)C1 ZINC000623240503 355417703 /nfs/dbraw/zinc/41/77/03/355417703.db2.gz NFRWFTAWQNSIDX-GYSYKLTISA-N 0 3 233.359 2.593 20 0 BFADHN CN(Cc1ccc(F)c(F)c1)C1CCOCC1 ZINC000349732379 355425398 /nfs/dbraw/zinc/42/53/98/355425398.db2.gz NUOUCDHXTGNADF-UHFFFAOYSA-N 0 3 241.281 2.576 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1cc2ccc(F)cc2[nH]1 ZINC000335784246 355432986 /nfs/dbraw/zinc/43/29/86/355432986.db2.gz KLZHGTZIXZVROJ-TVQRCGJNSA-N 0 3 248.301 2.574 20 0 BFADHN c1[nH]nc2cc(CN3CC4(C3)CCCC4)ccc12 ZINC000335786564 355436462 /nfs/dbraw/zinc/43/64/62/355436462.db2.gz ZYTWIZZAUDIKCS-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN Cc1cc(CNCC2CCCCCC2)nn1C ZINC000414475997 191519358 /nfs/dbraw/zinc/51/93/58/191519358.db2.gz ZBHSOIKMVBOCRM-UHFFFAOYSA-N 0 3 235.375 2.789 20 0 BFADHN CCn1ccc(CNC[C@@H]2C(C)=CCC[C@@H]2C)n1 ZINC000414479522 191519487 /nfs/dbraw/zinc/51/94/87/191519487.db2.gz IOMLFXVTRUYAOS-DZGCQCFKSA-N 0 3 247.386 2.985 20 0 BFADHN CCn1nc(C)c(CN(C)C2CCCC2)c1C ZINC000349766183 355442330 /nfs/dbraw/zinc/44/23/30/355442330.db2.gz CDQWPYXJGFJTDF-UHFFFAOYSA-N 0 3 235.375 2.894 20 0 BFADHN C[C@@H]1C[C@@H](CNCc2ccc(Cl)o2)[C@H](C)O1 ZINC000414517785 191526066 /nfs/dbraw/zinc/52/60/66/191526066.db2.gz RHVYMKFWOGZXGP-UTLUCORTSA-N 0 3 243.734 2.836 20 0 BFADHN Cn1ccnc1[C@@H](C1CC1)N1CCC12CCCC2 ZINC000639287237 355394801 /nfs/dbraw/zinc/39/48/01/355394801.db2.gz BHHANPPJNCHVBU-CYBMUJFWSA-N 0 3 245.370 2.890 20 0 BFADHN C[C@H]1COCCN(C/C=C/c2ccccc2)C1 ZINC000335800661 355493578 /nfs/dbraw/zinc/49/35/78/355493578.db2.gz TYBOSTMCSVTZFB-LHRNQBEKSA-N 0 3 231.339 2.668 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1cc(F)ccc1C ZINC000349855168 355497274 /nfs/dbraw/zinc/49/72/74/355497274.db2.gz WLKIGDIXVBTNHF-NQHOJNORSA-N 0 3 237.318 2.551 20 0 BFADHN c1ccc([C@H]2C[C@H]2CN2CCSCC2)cc1 ZINC000349789742 355463004 /nfs/dbraw/zinc/46/30/04/355463004.db2.gz FOGIGOKXXLVNLN-UONOGXRCSA-N 0 3 233.380 2.839 20 0 BFADHN CCCc1ccc(CN(C)CCOC)cc1 ZINC000349801980 355466085 /nfs/dbraw/zinc/46/60/85/355466085.db2.gz RQTQEEHNOGTPGN-UHFFFAOYSA-N 0 3 221.344 2.717 20 0 BFADHN CC1=CCCN(Cc2cccc3c[nH]nc32)C1 ZINC000335803682 355473350 /nfs/dbraw/zinc/47/33/50/355473350.db2.gz ZOGAOGLTABCYJQ-UHFFFAOYSA-N 0 3 227.311 2.715 20 0 BFADHN CC(C)CCC[C@@H](C)N1CCc2n[nH]cc2C1 ZINC000623241143 355484227 /nfs/dbraw/zinc/48/42/27/355484227.db2.gz IUPXCAQDBXSCEH-GFCCVEGCSA-N 0 3 235.375 2.983 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CN1CCc2n[nH]cc2C1 ZINC000623241377 355489343 /nfs/dbraw/zinc/48/93/43/355489343.db2.gz QXBIOGTYXZMUHY-TZMCWYRMSA-N 0 3 245.370 2.760 20 0 BFADHN CCN(C)C/C=C\c1ccc(F)cc1F ZINC000349980740 355561191 /nfs/dbraw/zinc/56/11/91/355561191.db2.gz ZVGLTJMKLROZNC-PLNGDYQASA-N 0 3 211.255 2.930 20 0 BFADHN C[C@H]1CC(C)(C)C[C@H]1N1CCc2n[nH]cc2C1 ZINC000623241986 355540041 /nfs/dbraw/zinc/54/00/41/355540041.db2.gz LVMYQXOXQCHQBU-GXFFZTMASA-N 0 3 233.359 2.593 20 0 BFADHN C[C@@H](CNCc1ccco1)CC1CCOCC1 ZINC000349964937 355543403 /nfs/dbraw/zinc/54/34/03/355543403.db2.gz FBOGNZJFATUAJY-GFCCVEGCSA-N 0 3 237.343 2.822 20 0 BFADHN COc1ncc(CNC2(C3CCC3)CC2)s1 ZINC000639293258 355544221 /nfs/dbraw/zinc/54/42/21/355544221.db2.gz VMSVZQSFKBOJKY-UHFFFAOYSA-N 0 3 238.356 2.574 20 0 BFADHN CO[C@@H]1[C@H](C)[C@H](N[C@H](C)c2ccoc2)C1(C)C ZINC000538408886 324675860 /nfs/dbraw/zinc/67/58/60/324675860.db2.gz WOZMGNODZJZQMY-VCDKRKBESA-N 0 3 237.343 2.990 20 0 BFADHN CC1=CCN(C/C=C/c2ccncc2)CC1 ZINC000335826230 355550234 /nfs/dbraw/zinc/55/02/34/355550234.db2.gz AQLDVAPXGFEVMS-NSCUHMNNSA-N 0 3 214.312 2.747 20 0 BFADHN Oc1ccc2c(c1)CN(C[C@@H]1CCSC1)CC2 ZINC000335829825 355555898 /nfs/dbraw/zinc/55/58/98/355555898.db2.gz SSTYTRHORDUOSG-NSHDSACASA-N 0 3 249.379 2.503 20 0 BFADHN C[C@@H](N[C@H](c1nccn1C)C1CC1)C(C)(C)C ZINC000639295767 355589387 /nfs/dbraw/zinc/58/93/87/355589387.db2.gz MWYNXERYNADVNH-PWSUYJOCSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1scc(CN(C)[C@H](C)CCO)c1C ZINC000639298378 355598740 /nfs/dbraw/zinc/59/87/40/355598740.db2.gz ZCMOIZZTJZRZCJ-SECBINFHSA-N 0 3 227.373 2.568 20 0 BFADHN COC[C@@H]1CCCCN1Cc1ccsc1 ZINC000449761486 202025992 /nfs/dbraw/zinc/02/59/92/202025992.db2.gz YACWQLHCUHAQBH-LBPRGKRZSA-N 0 3 225.357 2.749 20 0 BFADHN CCN(Cc1ccnc(C)n1)C1CCCCC1 ZINC000350144867 355614180 /nfs/dbraw/zinc/61/41/80/355614180.db2.gz VCZFPCAJIFKOAI-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN Cc1nocc1CN([C@@H](C)C(C)C)C1CC1 ZINC000350143693 355614338 /nfs/dbraw/zinc/61/43/38/355614338.db2.gz PCOJIIQRCFPGQR-NSHDSACASA-N 0 3 222.332 2.992 20 0 BFADHN CC[C@@H](C1CCCC1)N1CCc2n[nH]cc2C1 ZINC000623242628 355579909 /nfs/dbraw/zinc/57/99/09/355579909.db2.gz CYMRFYAIKQVHCW-AWEZNQCLSA-N 0 3 233.359 2.737 20 0 BFADHN COc1cccc(CN2CCCC23CCC3)n1 ZINC000335873797 355641523 /nfs/dbraw/zinc/64/15/23/355641523.db2.gz FORWCOYJLACLIK-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2ccccn2)sn1 ZINC000639299417 355627738 /nfs/dbraw/zinc/62/77/38/355627738.db2.gz NYDCDOFGQLBXPF-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN CC[C@H](C)CN[C@@H](c1ccccc1F)[C@H](C)O ZINC000583569240 355632632 /nfs/dbraw/zinc/63/26/32/355632632.db2.gz RARNNFINZZVBDT-COPLHBTASA-N 0 3 239.334 2.883 20 0 BFADHN Cc1scc(CN(C[C@@H](C)O)C2CC2)c1C ZINC000639301033 355635881 /nfs/dbraw/zinc/63/58/81/355635881.db2.gz BDGXKVASHNVUIW-SECBINFHSA-N 0 3 239.384 2.710 20 0 BFADHN C[C@H]1CN(Cc2ccc(F)cn2)C(C)(C)C1 ZINC000335872492 355639460 /nfs/dbraw/zinc/63/94/60/355639460.db2.gz YDGNSFLTQGTSNT-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCc3ccc(O)cc32)CS1 ZINC000639317370 355710900 /nfs/dbraw/zinc/71/09/00/355710900.db2.gz NCTMFZFDALWZSU-UDZFHETQSA-N 0 3 249.379 2.863 20 0 BFADHN COc1cc(CN[C@@H]2CC(C)(C)C[C@H]2C)on1 ZINC000639323384 355716536 /nfs/dbraw/zinc/71/65/36/355716536.db2.gz BLKNBVWDWKMLBV-MWLCHTKSSA-N 0 3 238.331 2.598 20 0 BFADHN COc1cc(CN[C@H]2CC(C)(C)C[C@@H]2C)on1 ZINC000639323386 355716606 /nfs/dbraw/zinc/71/66/06/355716606.db2.gz BLKNBVWDWKMLBV-ONGXEEELSA-N 0 3 238.331 2.598 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2cc(OC)no2)C1 ZINC000639323396 355716702 /nfs/dbraw/zinc/71/67/02/355716702.db2.gz BPKGTZVWMHBIHD-WDEREUQCSA-N 0 3 238.331 2.742 20 0 BFADHN COc1cc(CN[C@@H](C)CCC(C)(C)C)on1 ZINC000639323603 355717219 /nfs/dbraw/zinc/71/72/19/355717219.db2.gz FEDPUHMHXFYXCM-JTQLQIEISA-N 0 3 240.347 2.988 20 0 BFADHN COc1cccc(C)c1CN1CC[C@H]2C[C@H]2C1 ZINC000639324219 355718868 /nfs/dbraw/zinc/71/88/68/355718868.db2.gz GGNHVANOYDNEHQ-STQMWFEESA-N 0 3 231.339 2.845 20 0 BFADHN Cc1ccc(CN2C[C@@H]3COC[C@]3(C)C2)c(F)c1 ZINC000639319493 355719572 /nfs/dbraw/zinc/71/95/72/355719572.db2.gz HKQCHDLSYDFEJK-HIFRSBDPSA-N 0 3 249.329 2.602 20 0 BFADHN F[C@H]1CCCN(CC2CCSCC2)C1 ZINC000639312544 355678945 /nfs/dbraw/zinc/67/89/45/355678945.db2.gz HGCDIFWFYFRZBD-NSHDSACASA-N 0 3 217.353 2.564 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCC(C)(C)C2)ncn1 ZINC000335893181 355686234 /nfs/dbraw/zinc/68/62/34/355686234.db2.gz QWANJFUMCHHILT-ZDUSSCGKSA-N 0 3 233.359 2.796 20 0 BFADHN Cn1ccnc1[C@H](N[C@@]1(C)CC1(C)C)C1CC1 ZINC000639328057 355734119 /nfs/dbraw/zinc/73/41/19/355734119.db2.gz OWCNOAXIDKLUBZ-RISCZKNCSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1ccc(CN2CC[C@H]3COC[C@H]3C2)c(F)c1 ZINC000639327921 355734704 /nfs/dbraw/zinc/73/47/04/355734704.db2.gz DYEGXQQHCIMTTH-UONOGXRCSA-N 0 3 249.329 2.602 20 0 BFADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1ccn(C)n1 ZINC000353996365 355773021 /nfs/dbraw/zinc/77/30/21/355773021.db2.gz CLQSZMIHCKVREG-GXTWGEPZSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1noc2c1CCCC2 ZINC000336023697 134053907 /nfs/dbraw/zinc/05/39/07/134053907.db2.gz SREKVNPASHNKGL-JQWIXIFHSA-N 0 3 234.343 2.832 20 0 BFADHN C[C@H](CCCC(F)(F)F)NCc1ncc[nH]1 ZINC000166679650 355752841 /nfs/dbraw/zinc/75/28/41/355752841.db2.gz QAXWURSNTPBHOE-MRVPVSSYSA-N 0 3 235.253 2.620 20 0 BFADHN CC[C@H](C)[C@@H](CN(C)CC1=CCSC1)OC ZINC000639335665 355766111 /nfs/dbraw/zinc/76/61/11/355766111.db2.gz WWKYQVPLVMKEEM-WCQYABFASA-N 0 3 243.416 2.653 20 0 BFADHN CC[C@H](C)[C@H](CN(C)CC1=CCSC1)OC ZINC000639335659 355766615 /nfs/dbraw/zinc/76/66/15/355766615.db2.gz WWKYQVPLVMKEEM-AAEUAGOBSA-N 0 3 243.416 2.653 20 0 BFADHN COc1cc(CNC2C[C@H](C)C[C@@H](C)C2)on1 ZINC000639325376 355727302 /nfs/dbraw/zinc/72/73/02/355727302.db2.gz WRFVXILCLTZVQC-NXEZZACHSA-N 0 3 238.331 2.598 20 0 BFADHN CN(C[C@@H]1CC1(C)C)[C@H](c1nccn1C)C1CC1 ZINC000639337207 355774776 /nfs/dbraw/zinc/77/47/76/355774776.db2.gz KNXGIUROHCDESC-STQMWFEESA-N 0 3 247.386 2.849 20 0 BFADHN COCC1(NCc2ccns2)CCCCC1 ZINC000639337038 355774520 /nfs/dbraw/zinc/77/45/20/355774520.db2.gz IWYLRYQCYGEFGV-UHFFFAOYSA-N 0 3 240.372 2.582 20 0 BFADHN Cn1ccnc1[C@@H](N[C@@]1(C)CC1(C)C)C1CC1 ZINC000639328052 355733708 /nfs/dbraw/zinc/73/37/08/355733708.db2.gz OWCNOAXIDKLUBZ-FZMZJTMJSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1cc(CNCC[C@@H]2CC2(F)F)sn1 ZINC000639337271 355775815 /nfs/dbraw/zinc/77/58/15/355775815.db2.gz AJQQNEZGVPLVDN-MRVPVSSYSA-N 0 3 232.299 2.586 20 0 BFADHN Cc1ccc(CN2C[C@@H]3C[C@@H]3C2)c(F)c1 ZINC000639346473 355792508 /nfs/dbraw/zinc/79/25/08/355792508.db2.gz CNOSTKBOGKFVAO-TXEJJXNPSA-N 0 3 205.276 2.586 20 0 BFADHN CC[C@@](C)(CN1CC(Cc2ccco2)C1)OC ZINC000639350227 355794441 /nfs/dbraw/zinc/79/44/41/355794441.db2.gz ZAAXQVJFYRSION-AWEZNQCLSA-N 0 3 237.343 2.569 20 0 BFADHN CC[C@](C)(CN1CC(Cc2ccco2)C1)OC ZINC000639350228 355794894 /nfs/dbraw/zinc/79/48/94/355794894.db2.gz ZAAXQVJFYRSION-CQSZACIVSA-N 0 3 237.343 2.569 20 0 BFADHN Cn1ccc(CN2CC[C@H]2Cc2ccccc2)c1 ZINC000353998645 355797331 /nfs/dbraw/zinc/79/73/31/355797331.db2.gz WUEWWBNXXKZNPL-INIZCTEOSA-N 0 3 240.350 2.842 20 0 BFADHN C/C=C/CNCc1ccccc1OCCCCO ZINC000639353469 355802727 /nfs/dbraw/zinc/80/27/27/355802727.db2.gz UWJDCVHVFFRKSX-NSCUHMNNSA-N 0 3 249.354 2.504 20 0 BFADHN CC(C)[C@@H]1C[C@H](NCC(C)(C)F)CS1 ZINC000639358853 355813733 /nfs/dbraw/zinc/81/37/33/355813733.db2.gz SNSBJPCVEZMKIH-UWVGGRQHSA-N 0 3 219.369 2.854 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC23CC3)sn1 ZINC000639359550 355813741 /nfs/dbraw/zinc/81/37/41/355813741.db2.gz BEFMAOQDWANRSS-LLVKDONJSA-N 0 3 222.357 2.874 20 0 BFADHN Oc1ccc(CN2CC(c3ccccc3)C2)cc1 ZINC000639361418 355815550 /nfs/dbraw/zinc/81/55/50/355815550.db2.gz ARVQPUVIGYNNJG-UHFFFAOYSA-N 0 3 239.318 2.992 20 0 BFADHN c1ccc(C2CN(CCC3CCOCC3)C2)cc1 ZINC000639361916 355816663 /nfs/dbraw/zinc/81/66/63/355816663.db2.gz YKNKVFFUWGEYHF-UHFFFAOYSA-N 0 3 245.366 2.903 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1nccc2ccccc21 ZINC000353250230 355851199 /nfs/dbraw/zinc/85/11/99/355851199.db2.gz FLFCNURUIGSACR-RYUDHWBXSA-N 0 3 244.338 2.920 20 0 BFADHN Cc1ccc(C)c(CN[C@H]2CO[C@H](C3CC3)C2)c1 ZINC000623752572 355867542 /nfs/dbraw/zinc/86/75/42/355867542.db2.gz IBNAWHUCPGKBMP-CVEARBPZSA-N 0 3 245.366 2.961 20 0 BFADHN Cc1nocc1CN(C)[C@@H]1CCC(C)(C)C1 ZINC000336032518 134058914 /nfs/dbraw/zinc/05/89/14/134058914.db2.gz SWYJLSYQCSGSNX-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@H](c1ccncc1)N1C[C@@H](C)S[C@@H](C)C1 ZINC000608502016 355829706 /nfs/dbraw/zinc/82/97/06/355829706.db2.gz HQNKVFKLPRTSSQ-GRYCIOLGSA-N 0 3 236.384 2.968 20 0 BFADHN Cc1cccnc1CN1CCC(CCF)CC1 ZINC000639376615 355901948 /nfs/dbraw/zinc/90/19/48/355901948.db2.gz RJXWNAKBQPLYDQ-UHFFFAOYSA-N 0 3 236.334 2.962 20 0 BFADHN COc1cccc(C)c1CN[C@@H]1C[C@H](C)O[C@@H]1C ZINC000639377040 355903027 /nfs/dbraw/zinc/90/30/27/355903027.db2.gz GEEQOSWCKHWZKU-OUCADQQQSA-N 0 3 249.354 2.659 20 0 BFADHN COc1cccc(C)c1CN[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000639377042 355903363 /nfs/dbraw/zinc/90/33/63/355903363.db2.gz GEEQOSWCKHWZKU-YRGRVCCFSA-N 0 3 249.354 2.659 20 0 BFADHN COc1cc(CN[C@]23C[C@H]2CCCC3)sn1 ZINC000639380879 355919556 /nfs/dbraw/zinc/91/95/56/355919556.db2.gz XEEKLXPPONEUTQ-BXKDBHETSA-N 0 3 238.356 2.574 20 0 BFADHN Cc1ccnc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)n1 ZINC000336040960 134061869 /nfs/dbraw/zinc/06/18/69/134061869.db2.gz BYUQKNTUPBYZHI-STQMWFEESA-N 0 3 245.370 2.796 20 0 BFADHN CC[C@H]1CCCC[C@H]1CN1CCc2n[nH]cc2C1 ZINC000628370948 355884030 /nfs/dbraw/zinc/88/40/30/355884030.db2.gz VYGBSKSHWITCJJ-STQMWFEESA-N 0 3 247.386 2.984 20 0 BFADHN CCn1cncc1CN1CCC(C(C)C)CC1 ZINC000417822421 191930202 /nfs/dbraw/zinc/93/02/02/191930202.db2.gz ZUYVACJNWXBWFJ-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@H](NCc1cn2ccsc2n1)C1(C)CC1 ZINC000166949510 355944448 /nfs/dbraw/zinc/94/44/48/355944448.db2.gz KCJKRJIFFHXAAP-VIFPVBQESA-N 0 3 235.356 2.674 20 0 BFADHN CC(C)[C@@H]1CN(CC2CCCC2)CCO1 ZINC000351139750 355952677 /nfs/dbraw/zinc/95/26/77/355952677.db2.gz GEJNTYMLWBQNSM-ZDUSSCGKSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCCC[C@H]1c1ncc[nH]1 ZINC000351265930 355969523 /nfs/dbraw/zinc/96/95/23/355969523.db2.gz DGGULRYCXJVYGL-UTUOFQBUSA-N 0 3 219.332 2.593 20 0 BFADHN Cn1nccc1CN1CCC[C@@H](C2CCC2)CC1 ZINC000639391620 356045387 /nfs/dbraw/zinc/04/53/87/356045387.db2.gz HDMCLDQPQPDKGL-CQSZACIVSA-N 0 3 247.386 2.822 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cc(C)no2)C1 ZINC000351534528 356010755 /nfs/dbraw/zinc/01/07/55/356010755.db2.gz CVQLYKUKZFQGQR-GFCCVEGCSA-N 0 3 222.332 2.995 20 0 BFADHN CC1(F)CCN(Cc2cccc(O)c2)CC1 ZINC000639389128 356010917 /nfs/dbraw/zinc/01/09/17/356010917.db2.gz PZZGWKOHSJVKDN-UHFFFAOYSA-N 0 3 223.291 2.716 20 0 BFADHN C[C@H](F)CCN(C)CCOCc1ccccc1 ZINC000351883105 356125433 /nfs/dbraw/zinc/12/54/33/356125433.db2.gz SJFZVNNZYKJMAB-ZDUSSCGKSA-N 0 3 239.334 2.883 20 0 BFADHN C[C@H](c1ccncc1)N(C)CC[C@H](C)F ZINC000351736525 356075665 /nfs/dbraw/zinc/07/56/65/356075665.db2.gz QXNVEOGBJJQNRY-WDEREUQCSA-N 0 3 210.296 2.823 20 0 BFADHN Cc1cnn(C)c1CN(C)C[C@@H](C)C(C)(C)C ZINC000639399466 356126204 /nfs/dbraw/zinc/12/62/04/356126204.db2.gz RPJIBVBMCVNZPB-GFCCVEGCSA-N 0 3 237.391 2.843 20 0 BFADHN C[C@H](F)CCN(C)[C@@H](C)c1ccncc1 ZINC000351736524 356075808 /nfs/dbraw/zinc/07/58/08/356075808.db2.gz QXNVEOGBJJQNRY-QWRGUYRKSA-N 0 3 210.296 2.823 20 0 BFADHN Cc1cc(CN2CC(C)(C)C2)cc(C)c1O ZINC000639393927 356077482 /nfs/dbraw/zinc/07/74/82/356077482.db2.gz YCBWFSMRQKWJLY-UHFFFAOYSA-N 0 3 219.328 2.851 20 0 BFADHN CCc1nocc1CN1CC[C@H](C(C)C)C1 ZINC000351773939 356083972 /nfs/dbraw/zinc/08/39/72/356083972.db2.gz JAFSMRAAXMAICD-NSHDSACASA-N 0 3 222.332 2.715 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cnn(CC(F)F)c1 ZINC000351776199 356084904 /nfs/dbraw/zinc/08/49/04/356084904.db2.gz ZBBKCEITSSGBEE-AOOOYVTPSA-N 0 3 243.301 2.521 20 0 BFADHN CCc1noc(C)c1CN1C[C@H](C)C[C@H]1C ZINC000335917284 356161128 /nfs/dbraw/zinc/16/11/28/356161128.db2.gz XEFFTSYGWJAPJE-NXEZZACHSA-N 0 3 222.332 2.776 20 0 BFADHN CCN(Cc1cccs1)Cc1cc[nH]c(=O)c1 ZINC000639436123 356213365 /nfs/dbraw/zinc/21/33/65/356213365.db2.gz WDKKWFJDQKYDGC-UHFFFAOYSA-N 0 3 248.351 2.871 20 0 BFADHN Cc1ccccc1CN(C)Cc1cc[nH]c(=O)c1 ZINC000639436787 356215632 /nfs/dbraw/zinc/21/56/32/356215632.db2.gz ZTEUVJSBJSFAQO-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN COc1ccccc1CN(C)C[C@@H]1CCO[C@@H]1C ZINC000639440111 356217254 /nfs/dbraw/zinc/21/72/54/356217254.db2.gz AEOBFGNDNPVENT-OLZOCXBDSA-N 0 3 249.354 2.552 20 0 BFADHN CC[C@H](C(=O)NCC[C@@H](C)CC)N(CC)CC ZINC000617636450 356230150 /nfs/dbraw/zinc/23/01/50/356230150.db2.gz BUYPKBYXTDGOCH-QWHCGFSZSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1cc(CN[C@H]2CC(C)(C)C[C@H]2C)on1 ZINC000192391118 356183438 /nfs/dbraw/zinc/18/34/38/356183438.db2.gz IFPSROPSMRUUBI-SKDRFNHKSA-N 0 3 222.332 2.897 20 0 BFADHN CCN(Cc1c(C)cc(C)nc1C)C1CC1 ZINC000639450353 356234671 /nfs/dbraw/zinc/23/46/71/356234671.db2.gz ZYQSSCOLTQQABR-UHFFFAOYSA-N 0 3 218.344 2.991 20 0 BFADHN Cc1ccc(CN(C)Cc2cc[nH]c(=O)c2)s1 ZINC000639470922 356259565 /nfs/dbraw/zinc/25/95/65/356259565.db2.gz KXDQGHAOJKICJB-UHFFFAOYSA-N 0 3 248.351 2.789 20 0 BFADHN Cc1nc(C(C)C)oc1CN1CC[C@H](C)C1 ZINC000336111235 134086851 /nfs/dbraw/zinc/08/68/51/134086851.db2.gz YHHOPUQHHTYUQS-JTQLQIEISA-N 0 3 222.332 2.948 20 0 BFADHN CC(=O)CN(C1CC1)C1CCC(C)CC1 ZINC000639454942 356239921 /nfs/dbraw/zinc/23/99/21/356239921.db2.gz JTTHXLGFKNIKQG-UHFFFAOYSA-N 0 3 209.333 2.619 20 0 BFADHN O=c1cc(CN2CCC[C@H]3CCC[C@H]32)cc[nH]1 ZINC000639497656 356325808 /nfs/dbraw/zinc/32/58/08/356325808.db2.gz MYAWEIPCLOTHDB-CHWSQXEVSA-N 0 3 232.327 2.552 20 0 BFADHN CC(=O)CN1CCC[C@H]1Cc1ccccc1C ZINC000639492086 356313289 /nfs/dbraw/zinc/31/32/89/356313289.db2.gz WQGWVOFRGWTTMP-HNNXBMFYSA-N 0 3 231.339 2.591 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CC(C)(C)CO2)C[C@@H](C)S1 ZINC000639499951 356329141 /nfs/dbraw/zinc/32/91/41/356329141.db2.gz GSNPHQGEROTMQO-UTUOFQBUSA-N 0 3 243.416 2.627 20 0 BFADHN Cc1ncc([C@H](C)NC[C@@H](C)C[C@H](C)O)s1 ZINC000228090958 491089788 /nfs/dbraw/zinc/08/97/88/491089788.db2.gz UASOQVDUSBCREP-GUBZILKMSA-N 0 3 242.388 2.509 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CC(C)(C)CO2)C[C@H](C)S1 ZINC000639499950 356329291 /nfs/dbraw/zinc/32/92/91/356329291.db2.gz GSNPHQGEROTMQO-TUAOUCFPSA-N 0 3 243.416 2.627 20 0 BFADHN CCCC[C@@H](C)N(C)CC(=O)N1CCCCC1 ZINC000352391681 356335657 /nfs/dbraw/zinc/33/56/57/356335657.db2.gz NLEGIJGVNDETCE-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CN(Cc1cccc(O)c1)C[C@H]1CC(C)(C)CO1 ZINC000639510258 356342044 /nfs/dbraw/zinc/34/20/44/356342044.db2.gz ZQIJHRSXPFEPCB-CQSZACIVSA-N 0 3 249.354 2.639 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCOC2)c(C)s1 ZINC000336138590 134096897 /nfs/dbraw/zinc/09/68/97/134096897.db2.gz JERZHOHHFHMKAF-LBPRGKRZSA-N 0 3 225.357 2.586 20 0 BFADHN CN(C/C=C\c1ccccc1)[C@H]1CCCOC1 ZINC000352193809 134097882 /nfs/dbraw/zinc/09/78/82/134097882.db2.gz GFDNCDFRHYXPCT-ZHMISCPASA-N 0 3 231.339 2.811 20 0 BFADHN CCN1CCN(Cc2ccccc2C)[C@H](C)C1 ZINC000352660271 356398504 /nfs/dbraw/zinc/39/85/04/356398504.db2.gz RJEZETXRJFVKHM-CQSZACIVSA-N 0 3 232.371 2.521 20 0 BFADHN CC(C)(C)C1=CCN(CCc2cnccn2)CC1 ZINC000639546921 356412371 /nfs/dbraw/zinc/41/23/71/356412371.db2.gz FPBWKUSRFGJLBS-UHFFFAOYSA-N 0 3 245.370 2.697 20 0 BFADHN CCN1CCN(Cc2cc(C)cc(C)c2)[C@@H](C)C1 ZINC000352695569 356403686 /nfs/dbraw/zinc/40/36/86/356403686.db2.gz HVSLAHLKSQAIJG-HNNXBMFYSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1CO[C@@H](C2CC2)C1 ZINC000623754874 356451722 /nfs/dbraw/zinc/45/17/22/356451722.db2.gz UYFXHAYOJUZHKQ-LSDHHAIUSA-N 0 3 249.329 2.791 20 0 BFADHN C[C@H]1OCC[C@@H]1CN1CCc2ccc(F)cc2C1 ZINC000639558258 356462896 /nfs/dbraw/zinc/46/28/96/356462896.db2.gz TZWVTTSPXDHJJS-DGCLKSJQSA-N 0 3 249.329 2.609 20 0 BFADHN C[C@H]1OCC[C@H]1CN1CCc2ccc(F)cc2C1 ZINC000639558260 356463127 /nfs/dbraw/zinc/46/31/27/356463127.db2.gz TZWVTTSPXDHJJS-YPMHNXCESA-N 0 3 249.329 2.609 20 0 BFADHN Cc1cc(F)ccc1CN(C)[C@H]1CCCOC1 ZINC000352222298 134109633 /nfs/dbraw/zinc/10/96/33/134109633.db2.gz QHHKBYIPYDJHQG-AWEZNQCLSA-N 0 3 237.318 2.745 20 0 BFADHN CC[C@@H](C)[C@@H](N)C(=O)Nc1ccccc1Cl ZINC000019515393 356484494 /nfs/dbraw/zinc/48/44/94/356484494.db2.gz FLBNIVVLSIMFST-LDYMZIIASA-N 0 3 240.734 2.652 20 0 BFADHN CCC(C)(C)NCc1cccc(F)c1C#N ZINC000390424408 356512640 /nfs/dbraw/zinc/51/26/40/356512640.db2.gz LNFODJYVDNKCNP-UHFFFAOYSA-N 0 3 220.291 2.976 20 0 BFADHN COC[C@H](NCc1c(C)cc(C)nc1C)C1CC1 ZINC000639568542 356494171 /nfs/dbraw/zinc/49/41/71/356494171.db2.gz AUZWJGIGHFXFNX-HNNXBMFYSA-N 0 3 248.370 2.521 20 0 BFADHN CC1=CCN(Cc2cccc3c2CCOC3)CC1 ZINC000639572556 356496114 /nfs/dbraw/zinc/49/61/14/356496114.db2.gz WNLAYLXEAUUYEE-UHFFFAOYSA-N 0 3 243.350 2.911 20 0 BFADHN CC[C@H](NC[C@H]1CCO[C@@H]1C)c1nccs1 ZINC000639579749 356500716 /nfs/dbraw/zinc/50/07/16/356500716.db2.gz KHCZQJNJSSXOMC-MXWKQRLJSA-N 0 3 240.372 2.609 20 0 BFADHN CC(=O)CN[C@H]1CCCC[C@@H]1c1ccccc1 ZINC000639592847 356509304 /nfs/dbraw/zinc/50/93/04/356509304.db2.gz NGYIDMREIZLCOY-CABCVRRESA-N 0 3 231.339 2.891 20 0 BFADHN CC[C@H]1CN(CCCCF)C[C@H](CC)O1 ZINC000420949712 192276237 /nfs/dbraw/zinc/27/62/37/192276237.db2.gz FRUVQUPONJDROM-RYUDHWBXSA-N 0 3 217.328 2.626 20 0 BFADHN CO[C@H](C)CN1CCC[C@@H](CC(F)(F)F)C1 ZINC000420954344 192278104 /nfs/dbraw/zinc/27/81/04/192278104.db2.gz UMDMXZFWEFFWPL-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CCn1ccnc1CN1CC[C@@H](C2CCCC2)C1 ZINC000420963950 192282446 /nfs/dbraw/zinc/28/24/46/192282446.db2.gz OTTSRHBKLPCQAJ-CQSZACIVSA-N 0 3 247.386 2.915 20 0 BFADHN CC[C@H]1CN(CCC(C)(C)OC)C[C@H](CC)O1 ZINC000420964343 192283354 /nfs/dbraw/zinc/28/33/54/192283354.db2.gz WTVIPATXOLUOFO-STQMWFEESA-N 0 3 243.391 2.691 20 0 BFADHN CC[C@@H]1CN(CCC(C)(C)OC)C[C@@H](CC)O1 ZINC000420964342 192283449 /nfs/dbraw/zinc/28/34/49/192283449.db2.gz WTVIPATXOLUOFO-CHWSQXEVSA-N 0 3 243.391 2.691 20 0 BFADHN CC[C@H]1CN(CCCCCOC)C[C@H](CC)O1 ZINC000420947503 192275857 /nfs/dbraw/zinc/27/58/57/192275857.db2.gz CUBDQHDGZNLGIR-KBPBESRZSA-N 0 3 243.391 2.693 20 0 BFADHN NC(=O)[C@@H]1CCCN1C[C@H]1CCCCC1(F)F ZINC000336193928 134124594 /nfs/dbraw/zinc/12/45/94/134124594.db2.gz DKVBJHICTNIWDY-ZJUUUORDSA-N 0 3 246.301 2.812 20 0 BFADHN Cc1nn(C)c(C)c1CN1CC(C)(C)[C@@H]1C1CC1 ZINC000336184693 134121145 /nfs/dbraw/zinc/12/11/45/134121145.db2.gz QBDCGYLFFXCBCH-AWEZNQCLSA-N 0 3 247.386 2.657 20 0 BFADHN CC(=O)CN1C[C@@H](c2ccc(C)cc2)C[C@@H]1C ZINC000639617564 356534649 /nfs/dbraw/zinc/53/46/49/356534649.db2.gz XMXBMGBMNXTNCR-WFASDCNBSA-N 0 3 231.339 2.762 20 0 BFADHN FC(F)(F)[C@@H]1CCCN(CC2=CCCOC2)C1 ZINC000179623305 366276102 /nfs/dbraw/zinc/27/61/02/366276102.db2.gz SCPKUXJTCLITEX-LLVKDONJSA-N 0 3 249.276 2.607 20 0 BFADHN CC(C)(O)CN[C@H](CC(F)F)c1ccccc1 ZINC000420991511 192292318 /nfs/dbraw/zinc/29/23/18/192292318.db2.gz IWEODVDBBSBAQN-LLVKDONJSA-N 0 3 243.297 2.743 20 0 BFADHN CCC(F)(F)CN1C[C@@H](N2CCCC2)C[C@H]1C ZINC000639641209 356548634 /nfs/dbraw/zinc/54/86/34/356548634.db2.gz IGVAWPFRHDBPTI-NEPJUHHUSA-N 0 3 246.345 2.590 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1ccnc(C#N)c1 ZINC000384276813 356548886 /nfs/dbraw/zinc/54/88/86/356548886.db2.gz HEEHQWCMOOHEFP-FZMZJTMJSA-N 0 3 229.327 2.574 20 0 BFADHN CCc1cc(N2C[C@@H](C(N)=O)CC[C@H]2C)ccn1 ZINC000336197383 134126613 /nfs/dbraw/zinc/12/66/13/134126613.db2.gz BTBITRDRWPIJRL-MNOVXSKESA-N 0 3 247.342 2.784 20 0 BFADHN CC(C)[C@@H]1N(Cc2ccnn2C)CC12CCCC2 ZINC000449794011 202037130 /nfs/dbraw/zinc/03/71/30/202037130.db2.gz YXUTXTLECVRCRH-AWEZNQCLSA-N 0 3 247.386 2.821 20 0 BFADHN C[C@H]1[C@H](C)N(CC2=CCCCC2)CCN1C ZINC000336198886 134127945 /nfs/dbraw/zinc/12/79/45/134127945.db2.gz VSCPTSUFKGUGLO-STQMWFEESA-N 0 3 222.376 2.511 20 0 BFADHN C[C@@]1(F)CCN(C[C@@H]2CCC(F)(F)C2)C1 ZINC000336198527 134127299 /nfs/dbraw/zinc/12/72/99/134127299.db2.gz KINAJWLKTGDTLK-NXEZZACHSA-N 0 3 221.266 2.856 20 0 BFADHN C[C@@H]1[C@@H](C)N(CC2=CCCCC2)CCN1C ZINC000336198879 134127888 /nfs/dbraw/zinc/12/78/88/134127888.db2.gz VSCPTSUFKGUGLO-CHWSQXEVSA-N 0 3 222.376 2.511 20 0 BFADHN c1ccc([C@@H]2C[C@H]2CN2CC[C@]23CCOC3)cc1 ZINC000421019472 192304392 /nfs/dbraw/zinc/30/43/92/192304392.db2.gz MIKZICAFNCONDP-HRCADAONSA-N 0 3 243.350 2.655 20 0 BFADHN Cc1cc(C)c(CN(C)[C@@H]2CCCOC2)c(C)n1 ZINC000639639858 356552566 /nfs/dbraw/zinc/55/25/66/356552566.db2.gz XHDYWXACKDIRNP-CQSZACIVSA-N 0 3 248.370 2.618 20 0 BFADHN CC1(C)CO[C@@H](CN2CCCc3occc3C2)C1 ZINC000639646384 356552611 /nfs/dbraw/zinc/55/26/11/356552611.db2.gz DUICZFFAWDGRIL-CYBMUJFWSA-N 0 3 249.354 2.843 20 0 BFADHN CCN(Cc1c(C)cc(C)nc1C)[C@H]1CCOC1 ZINC000639649889 356562342 /nfs/dbraw/zinc/56/23/42/356562342.db2.gz DEYHSYSYXKQZKS-AWEZNQCLSA-N 0 3 248.370 2.618 20 0 BFADHN CCc1cc(N2CCC[C@H](F)C2)ccn1 ZINC000336202662 134131315 /nfs/dbraw/zinc/13/13/15/134131315.db2.gz DHLRJGRWMQRLSV-JTQLQIEISA-N 0 3 208.280 2.582 20 0 BFADHN FC1(F)CCC(CN2CC[C@@]23CCOC3)CC1 ZINC000421020900 192305691 /nfs/dbraw/zinc/30/56/91/192305691.db2.gz NZQLLVDKDAPUPK-LBPRGKRZSA-N 0 3 245.313 2.677 20 0 BFADHN C(=C\c1ccccc1)\CCN1CC[C@]12CCOC2 ZINC000421027033 192308263 /nfs/dbraw/zinc/30/82/63/192308263.db2.gz UEHRMULAYNNQDO-LWAXHKBTSA-N 0 3 243.350 2.955 20 0 BFADHN Cc1ccc(C)c(CN2CC[C@]23CCOC3)c1 ZINC000421027361 192309570 /nfs/dbraw/zinc/30/95/70/192309570.db2.gz UQDWHUXLKPILKK-OAHLLOKOSA-N 0 3 231.339 2.668 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1CCCCC(F)(F)F ZINC000421027712 192310180 /nfs/dbraw/zinc/31/01/80/192310180.db2.gz UCVMXUQTMVGBBW-NXEZZACHSA-N 0 3 239.281 2.564 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1CCCCC(F)(F)F ZINC000421027719 192310247 /nfs/dbraw/zinc/31/02/47/192310247.db2.gz UCVMXUQTMVGBBW-ZJUUUORDSA-N 0 3 239.281 2.564 20 0 BFADHN CC1(C2CC2)CCN(CCc2cnccn2)CC1 ZINC000639649060 356560750 /nfs/dbraw/zinc/56/07/50/356560750.db2.gz MZUGCRZEHCPTHP-UHFFFAOYSA-N 0 3 245.370 2.531 20 0 BFADHN OCC1CCN(Cc2cccc(C3CC3)c2)CC1 ZINC000421334178 192318664 /nfs/dbraw/zinc/31/86/64/192318664.db2.gz YWUCHDPSYPVGQZ-UHFFFAOYSA-N 0 3 245.366 2.768 20 0 BFADHN CC(=O)CN1[C@H](C)C[C@@H](c2ccccc2)[C@H]1C ZINC000639659301 356567105 /nfs/dbraw/zinc/56/71/05/356567105.db2.gz JVTBDCPVPYKMQG-UXIGCNINSA-N 0 3 231.339 2.842 20 0 BFADHN CCc1cc(N2CC[C@@H](SC)C2)ccn1 ZINC000336204236 134131680 /nfs/dbraw/zinc/13/16/80/134131680.db2.gz UGTVLSOBGPTQQW-GFCCVEGCSA-N 0 3 222.357 2.586 20 0 BFADHN C[C@H]1C[C@@H]1CC(=O)Nc1ccc(CN(C)C)cc1 ZINC000352291735 134139370 /nfs/dbraw/zinc/13/93/70/134139370.db2.gz CFQYRIWQCWTIRU-WCQYABFASA-N 0 3 246.354 2.733 20 0 BFADHN CCCCN1CCOc2ccccc2C1 ZINC000613565174 363494358 /nfs/dbraw/zinc/49/43/58/363494358.db2.gz YDZZKHCDLFJIAN-UHFFFAOYSA-N 0 3 205.301 2.681 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@@H](CC)N(C)C ZINC000450102462 202079588 /nfs/dbraw/zinc/07/95/88/202079588.db2.gz GWFVYFXPFQCGEJ-QWHCGFSZSA-N 0 3 242.407 2.802 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)C(C)(C)O)o1 ZINC000381621572 538468603 /nfs/dbraw/zinc/46/86/03/538468603.db2.gz AGBZRVOXVQEQAJ-UWVGGRQHSA-N 0 3 225.332 2.652 20 0 BFADHN CCCc1ccc2nccc(N(C)CCO)c2c1 ZINC000450208281 202096373 /nfs/dbraw/zinc/09/63/73/202096373.db2.gz LKOYVTZTHLNLCB-UHFFFAOYSA-N 0 3 244.338 2.616 20 0 BFADHN CC(C)CN1CCN(CC2=CCCCC2)CC1 ZINC000450212888 202098062 /nfs/dbraw/zinc/09/80/62/202098062.db2.gz UIVVVNSGHIURDU-UHFFFAOYSA-N 0 3 236.403 2.760 20 0 BFADHN C[C@@H]1CN(CCC2=CCCCC2)[C@H](C)CO1 ZINC000450231764 202100263 /nfs/dbraw/zinc/10/02/63/202100263.db2.gz JLRGSIJHGDAQJE-CHWSQXEVSA-N 0 3 223.360 2.986 20 0 BFADHN CCC[C@H](O)CN(C)Cc1cccc(Cl)c1 ZINC000450243561 202106653 /nfs/dbraw/zinc/10/66/53/202106653.db2.gz CLVIFYSULLVUNQ-ZDUSSCGKSA-N 0 3 241.762 2.933 20 0 BFADHN CCCc1ccc(CN[C@H]2C[C@H](O)C2(C)C)cc1 ZINC000582234510 356637965 /nfs/dbraw/zinc/63/79/65/356637965.db2.gz BQGXCUBRUCAWES-GJZGRUSLSA-N 0 3 247.382 2.888 20 0 BFADHN CCc1ccc2nccc(N(C)CCOC)c2c1 ZINC000450271578 202115563 /nfs/dbraw/zinc/11/55/63/202115563.db2.gz RKLDMICAGAKWGJ-UHFFFAOYSA-N 0 3 244.338 2.880 20 0 BFADHN Cc1csc([C@H](C)NCC2(C)CC2)n1 ZINC000623917869 356655737 /nfs/dbraw/zinc/65/57/37/356655737.db2.gz AJXPOEXDAUBYEF-VIFPVBQESA-N 0 3 210.346 2.902 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](C)C1)c1nccs1 ZINC000070644301 325019993 /nfs/dbraw/zinc/01/99/93/325019993.db2.gz VKPMKXNGZOMBTN-LPEHRKFASA-N 0 3 210.346 2.982 20 0 BFADHN C[C@@H](O)[C@H](NCC(C)(C)C)c1ccccc1F ZINC000582245995 356674755 /nfs/dbraw/zinc/67/47/55/356674755.db2.gz HJYCTAGFEAXEAD-MFKMUULPSA-N 0 3 239.334 2.883 20 0 BFADHN CCOC[C@H]1CCN(c2ccnc(CC)c2)C1 ZINC000450362491 202142259 /nfs/dbraw/zinc/14/22/59/202142259.db2.gz GFZAMWGTLNDAMU-LBPRGKRZSA-N 0 3 234.343 2.507 20 0 BFADHN CCc1cc(N2CC[C@@H](C)[C@@H]2C)ccn1 ZINC000450370017 202144784 /nfs/dbraw/zinc/14/47/84/202144784.db2.gz UUUGCIFFLMBMDV-MNOVXSKESA-N 0 3 204.317 2.879 20 0 BFADHN CCc1cc(N2CCSC(C)(C)C2)ccn1 ZINC000450378741 202145720 /nfs/dbraw/zinc/14/57/20/202145720.db2.gz NWWOKHPLGKSUJW-UHFFFAOYSA-N 0 3 236.384 2.976 20 0 BFADHN CC[C@@H]1CN(CC2=CCCCC2)C[C@H](C)O1 ZINC000450386812 202148073 /nfs/dbraw/zinc/14/80/73/202148073.db2.gz FSAZUSCWGUDWBI-GXTWGEPZSA-N 0 3 223.360 2.986 20 0 BFADHN C[C@@H](O)C[C@H](C)NCc1cc(F)cc(Cl)c1 ZINC000168268749 134161518 /nfs/dbraw/zinc/16/15/18/134161518.db2.gz ICEUTNAHJRXYEB-DTWKUNHWSA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@H]1CN(CC2=CCCCC2)C[C@H](C)O1 ZINC000450386814 202148360 /nfs/dbraw/zinc/14/83/60/202148360.db2.gz FSAZUSCWGUDWBI-JSGCOSHPSA-N 0 3 223.360 2.986 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H](C)[C@@H]2C)o1 ZINC000685566123 491101075 /nfs/dbraw/zinc/10/10/75/491101075.db2.gz DQSOMWNNROBHMF-SCZZXKLOSA-N 0 3 208.305 2.522 20 0 BFADHN OCc1ccc(CNCC2(C3CCC3)CCC2)o1 ZINC000578153250 366333509 /nfs/dbraw/zinc/33/35/09/366333509.db2.gz BSYWZTLBZBLLAQ-UHFFFAOYSA-N 0 3 249.354 2.832 20 0 BFADHN Cc1cccc(-c2cnn3c2CNCC3)c1Cl ZINC000675077092 538512468 /nfs/dbraw/zinc/51/24/68/538512468.db2.gz XINPGMPFHLMKOY-UHFFFAOYSA-N 0 3 247.729 2.615 20 0 BFADHN C(c1noc2c1CCCC2)N1C[C@@H]2CCC[C@@H]2C1 ZINC000153211970 538540634 /nfs/dbraw/zinc/54/06/34/538540634.db2.gz BKWZAZYIPYYGLD-TXEJJXNPSA-N 0 3 246.354 2.785 20 0 BFADHN c1n[nH]cc1[C@@H]1CCCN1CC1=CCCC1 ZINC000639775903 356717490 /nfs/dbraw/zinc/71/74/90/356717490.db2.gz HGHZSOXPKPRLQT-ZDUSSCGKSA-N 0 3 217.316 2.657 20 0 BFADHN CCN(Cc1ccc(COC)o1)C1CCC1 ZINC000353814687 356721297 /nfs/dbraw/zinc/72/12/97/356721297.db2.gz JHOBUCZIUVGKAC-UHFFFAOYSA-N 0 3 223.316 2.800 20 0 BFADHN FCCCCCN1CCC[C@H]1c1cn[nH]c1 ZINC000639778219 356722744 /nfs/dbraw/zinc/72/27/44/356722744.db2.gz QVCNDBODICLEIL-LBPRGKRZSA-N 0 3 225.311 2.686 20 0 BFADHN CC/C=C/CCN1CCC[C@@H]1c1cn[nH]c1 ZINC000639778150 356724795 /nfs/dbraw/zinc/72/47/95/356724795.db2.gz PTBULZFTDJLEIC-ITDFMYJTSA-N 0 3 219.332 2.903 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCCCC[C@@H]1C ZINC000088506874 356726888 /nfs/dbraw/zinc/72/68/88/356726888.db2.gz ABJCVTRZQBIOEU-QWHCGFSZSA-N 0 3 235.375 2.961 20 0 BFADHN CCc1cc(N2CCO[C@H](C(C)C)C2)ccn1 ZINC000450430689 202164835 /nfs/dbraw/zinc/16/48/35/202164835.db2.gz UJOQBYWDHYIGBD-AWEZNQCLSA-N 0 3 234.343 2.505 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@H]1CCC[C@H](C)C1 ZINC000180045741 366364667 /nfs/dbraw/zinc/36/46/67/366364667.db2.gz PHUQJLDGXMQZAU-GWCFXTLKSA-N 0 3 221.348 2.729 20 0 BFADHN C[C@H](CC(C)(C)O)NCc1ccc(F)cc1F ZINC000384724310 356730395 /nfs/dbraw/zinc/73/03/95/356730395.db2.gz HYHKNFJYSASEGN-SECBINFHSA-N 0 3 243.297 2.604 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000180045725 366364903 /nfs/dbraw/zinc/36/49/03/366364903.db2.gz PHUQJLDGXMQZAU-GXFFZTMASA-N 0 3 221.348 2.729 20 0 BFADHN CCN(Cc1cn2ccsc2n1)CC1CC1 ZINC000354149171 356731670 /nfs/dbraw/zinc/73/16/70/356731670.db2.gz OEQZNLCHCHGLPV-UHFFFAOYSA-N 0 3 235.356 2.628 20 0 BFADHN CC[C@H](N[C@H](C)c1ccon1)C1CCOCC1 ZINC000542698626 325042366 /nfs/dbraw/zinc/04/23/66/325042366.db2.gz YXSIGLDEOUACEB-PWSUYJOCSA-N 0 3 238.331 2.530 20 0 BFADHN CCOCCN[C@H]1CCc2c1cc(F)cc2F ZINC000154602967 538634702 /nfs/dbraw/zinc/63/47/02/538634702.db2.gz NYZYHFPTHUIODZ-ZDUSSCGKSA-N 0 3 241.281 2.578 20 0 BFADHN CCN(Cc1cccc(OC)n1)CC1CCC1 ZINC000355614048 356766701 /nfs/dbraw/zinc/76/67/01/356766701.db2.gz ZJWZXNRPMQXSBQ-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@@H]1OCCN(C[C@H]2CCC(F)(F)C2)[C@H]1C ZINC000450494938 202184103 /nfs/dbraw/zinc/18/41/03/202184103.db2.gz QEKIFGRXODDNMK-DCAQKATOSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@H]1N(C[C@@H]2CCC(F)(F)C2)CCOC1(C)C ZINC000450495832 202184524 /nfs/dbraw/zinc/18/45/24/202184524.db2.gz XDYNDGHEJFPILG-GHMZBOCLSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1N(CC2=CCCCC2)CCOC1(C)C ZINC000450494071 202184817 /nfs/dbraw/zinc/18/48/17/202184817.db2.gz IPQGTOSHLXKGIC-LBPRGKRZSA-N 0 3 223.360 2.986 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@H]1CCCCO1 ZINC000356846094 356807594 /nfs/dbraw/zinc/80/75/94/356807594.db2.gz NJACUSWUOUOEAL-GXTWGEPZSA-N 0 3 248.370 2.864 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H](COC)C1CC1 ZINC000356874551 356808482 /nfs/dbraw/zinc/80/84/82/356808482.db2.gz QOVWCXCVYUMIQO-SMDDNHRTSA-N 0 3 248.370 2.720 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@H]1CCCOC1 ZINC000356880334 356808567 /nfs/dbraw/zinc/80/85/67/356808567.db2.gz UBGFLFNOMQBQHL-CHWSQXEVSA-N 0 3 248.370 2.721 20 0 BFADHN C1=C(CN2CCC[C@H]3COCC[C@@H]32)CCCC1 ZINC000450482106 202178897 /nfs/dbraw/zinc/17/88/97/202178897.db2.gz GAJCJRLCKBIUKR-GJZGRUSLSA-N 0 3 235.371 2.988 20 0 BFADHN Cc1nc(CN[C@@H](C)[C@@H]2C[C@H]2C2CC2)cs1 ZINC000623363849 356815372 /nfs/dbraw/zinc/81/53/72/356815372.db2.gz IRJCTLYUDZJXOK-HJIKLVIJSA-N 0 3 236.384 2.976 20 0 BFADHN CCc1cc(N2CCC[C@@](C)(OC)C2)ccn1 ZINC000450492616 202185398 /nfs/dbraw/zinc/18/53/98/202185398.db2.gz VJIBMLFAQCZINX-CQSZACIVSA-N 0 3 234.343 2.649 20 0 BFADHN c1cnc([C@@H]2CCCN2CC2CCCC2)nc1 ZINC000639844100 356839442 /nfs/dbraw/zinc/83/94/42/356839442.db2.gz WTMRGYNBXIZFHB-ZDUSSCGKSA-N 0 3 231.343 2.804 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cccs2)C1 ZINC000155440631 538711944 /nfs/dbraw/zinc/71/19/44/538711944.db2.gz SWGWFWRLUKCWKO-LLVKDONJSA-N 0 3 225.357 2.749 20 0 BFADHN CCO[C@@H]1CCCN(Cc2ccsc2)C1 ZINC000155482198 538718235 /nfs/dbraw/zinc/71/82/35/538718235.db2.gz IXIQLDMVCASFES-GFCCVEGCSA-N 0 3 225.357 2.749 20 0 BFADHN C[C@@H]1[C@H](O)CCCN1C/C=C\c1ccccc1 ZINC000385127160 356904345 /nfs/dbraw/zinc/90/43/45/356904345.db2.gz GMOXMWANOBWJKD-UDDXRPRYSA-N 0 3 231.339 2.545 20 0 BFADHN CCN(Cc1cc(C)ccc1F)C[C@@H](C)OC ZINC000361016778 356915019 /nfs/dbraw/zinc/91/50/19/356915019.db2.gz IUVMQMLFSAZFGP-GFCCVEGCSA-N 0 3 239.334 2.991 20 0 BFADHN CCN(Cc1ccnn1CC)CC(C)(C)C ZINC000361007649 356915175 /nfs/dbraw/zinc/91/51/75/356915175.db2.gz AVJOATUWHMVKJU-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN CCO[C@H](CCN[C@@H](C)c1ncc[nH]1)C(C)C ZINC000353520106 538746355 /nfs/dbraw/zinc/74/63/55/538746355.db2.gz NITOEFIWORLDPW-NWDGAFQWSA-N 0 3 239.363 2.512 20 0 BFADHN CC[C@@](C)(NCCC[C@H](C)O)c1nccs1 ZINC000450565321 202199545 /nfs/dbraw/zinc/19/95/45/202199545.db2.gz KUZHORREYFMHOO-CMPLNLGQSA-N 0 3 242.388 2.519 20 0 BFADHN CC(C)CC[C@H](O)CN1CCS[C@H](C)[C@H]1C ZINC000361274030 356924425 /nfs/dbraw/zinc/92/44/25/356924425.db2.gz QDFZGCQRSMRCSJ-UPJWGTAASA-N 0 3 245.432 2.609 20 0 BFADHN CCN(Cc1cc(OC)ccn1)CC1CCC1 ZINC000361483140 356930453 /nfs/dbraw/zinc/93/04/53/356930453.db2.gz COJHOBGFFNPEFY-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CC(C)[C@H]1CC[C@@H]1NCc1cn2ccsc2n1 ZINC000353391885 538739132 /nfs/dbraw/zinc/73/91/32/538739132.db2.gz FHXCZNZPXPUURT-NEPJUHHUSA-N 0 3 249.383 2.920 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N1C[C@@H](O)C2(CC2)C1 ZINC000368792106 356978119 /nfs/dbraw/zinc/97/81/19/356978119.db2.gz USUSNTRVTGYLNM-UONOGXRCSA-N 0 3 249.329 2.734 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@H](CCO)c1ccco1 ZINC000353690057 538763062 /nfs/dbraw/zinc/76/30/62/538763062.db2.gz REUHCOIBMXZDTI-RWMBFGLXSA-N 0 3 237.343 2.727 20 0 BFADHN CCC1(NCc2ccn(C)n2)CCCCC1 ZINC000389768070 357027370 /nfs/dbraw/zinc/02/73/70/357027370.db2.gz RYAZVFFABCYBFN-UHFFFAOYSA-N 0 3 221.348 2.623 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1nnc(C2CC2)[nH]1 ZINC000118948443 357002282 /nfs/dbraw/zinc/00/22/82/357002282.db2.gz VNCDPGAHHYGPKB-PWSUYJOCSA-N 0 3 248.374 2.741 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H]2C(C)C)no1 ZINC000390137205 357083104 /nfs/dbraw/zinc/08/31/04/357083104.db2.gz MPDIPKMNAHJLJL-CHWSQXEVSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cnc(CN[C@H]2CC[C@@H]2C(C)C)s1 ZINC000390007234 357083864 /nfs/dbraw/zinc/08/38/64/357083864.db2.gz XIGVCYWGXPHZJC-MNOVXSKESA-N 0 3 224.373 2.976 20 0 BFADHN Cc1nnc(CNCC(C(C)C)C(C)C)s1 ZINC000390377897 357090853 /nfs/dbraw/zinc/09/08/53/357090853.db2.gz YKQILAOXRHEFCT-UHFFFAOYSA-N 0 3 241.404 2.864 20 0 BFADHN Cc1nnc(CN[C@@H]2C[C@H](C)CC[C@H]2C)s1 ZINC000391842453 357134994 /nfs/dbraw/zinc/13/49/94/357134994.db2.gz NLTADTNCCHSIQW-FXPVBKGRSA-N 0 3 239.388 2.761 20 0 BFADHN CC[C@@H](NCc1nnc(C)s1)C1CCCC1 ZINC000391862757 357135048 /nfs/dbraw/zinc/13/50/48/357135048.db2.gz ZJXZAFLNGTVBSN-LLVKDONJSA-N 0 3 239.388 2.905 20 0 BFADHN CCC[C@H](C)[C@H](CO)N[C@@H](C)c1ccoc1 ZINC000582425275 357145482 /nfs/dbraw/zinc/14/54/82/357145482.db2.gz RXDZJAAKAIFVMB-GVXVVHGQSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@H](C[C@@H](C)CO)N[C@H](C)c1ccoc1 ZINC000390800155 357116543 /nfs/dbraw/zinc/11/65/43/357116543.db2.gz XOZQCPDRIITQAW-NQBHXWOUSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@H](C[C@@H](C)CO)N[C@@H](C)c1cscn1 ZINC000390778371 357116555 /nfs/dbraw/zinc/11/65/55/357116555.db2.gz HEXKKJUUJHZADT-OUAUKWLOSA-N 0 3 242.388 2.591 20 0 BFADHN OC1(CNCc2ccc(C3CC3)cc2F)CCC1 ZINC000583689577 357121086 /nfs/dbraw/zinc/12/10/86/357121086.db2.gz ZOKPHXPIDWXVIZ-UHFFFAOYSA-N 0 3 249.329 2.708 20 0 BFADHN Cc1cc(CNCC2(O)CCCCCC2)ccn1 ZINC000391186463 357124980 /nfs/dbraw/zinc/12/49/80/357124980.db2.gz OVOUBBCLCMDDQF-UHFFFAOYSA-N 0 3 248.370 2.565 20 0 BFADHN CN(CCN1CCCCC1)Cc1cccs1 ZINC000041392536 357128822 /nfs/dbraw/zinc/12/88/22/357128822.db2.gz RGXINDKVAUDNLW-UHFFFAOYSA-N 0 3 238.400 2.666 20 0 BFADHN CC1(C)Cc2cc(CN3C[C@@H]4C[C@@H]4C3)ccc2O1 ZINC000628408519 357204747 /nfs/dbraw/zinc/20/47/47/357204747.db2.gz BWCJZLSEVFQAET-OKILXGFUSA-N 0 3 243.350 2.852 20 0 BFADHN Cc1cc(CN2C[C@@H]3C[C@@H]3C2)ccc1F ZINC000628409166 357209928 /nfs/dbraw/zinc/20/99/28/357209928.db2.gz NEWSHASUGJYRMD-TXEJJXNPSA-N 0 3 205.276 2.586 20 0 BFADHN COC[C@H]1CCCN1Cc1c(C)cc(C)nc1C ZINC000639891021 357211325 /nfs/dbraw/zinc/21/13/25/357211325.db2.gz DYKOXJYCHVSOQA-CQSZACIVSA-N 0 3 248.370 2.618 20 0 BFADHN c1c2ccncc2sc1CN1C[C@@H]2C[C@@H]2C1 ZINC000628411507 357221210 /nfs/dbraw/zinc/22/12/10/357221210.db2.gz HGTFRQONVWZNBB-PHIMTYICSA-N 0 3 230.336 2.748 20 0 BFADHN CC1(C)CN(Cc2ccc(F)cc2)CCCO1 ZINC000377138805 357226126 /nfs/dbraw/zinc/22/61/26/357226126.db2.gz GOIHSAQELAGHBO-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CO[C@H](C)C2)oc1C ZINC000583693472 357181329 /nfs/dbraw/zinc/18/13/29/357181329.db2.gz SIKOYRRTUDTFPI-JFGNBEQYSA-N 0 3 223.316 2.724 20 0 BFADHN CC(C)(CNCc1ccco1)C(F)(F)F ZINC000393773894 357298571 /nfs/dbraw/zinc/29/85/71/357298571.db2.gz YFXJXPOYWABKIQ-UHFFFAOYSA-N 0 3 221.222 2.958 20 0 BFADHN CC1(C)SC[C@@H]1Nc1ccnc2ccccc21 ZINC000393772117 357298679 /nfs/dbraw/zinc/29/86/79/357298679.db2.gz HWSCTYHLPSMNDN-ZDUSSCGKSA-N 0 3 244.363 2.963 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccsc1)c1ncc[nH]1 ZINC000393801593 357300338 /nfs/dbraw/zinc/30/03/38/357300338.db2.gz SRZUXMURLJTZDV-VHSXEESVSA-N 0 3 235.356 2.753 20 0 BFADHN CCc1ccc(CN[C@@H]2CCC2(C)C)nc1 ZINC000393914364 357306604 /nfs/dbraw/zinc/30/66/04/357306604.db2.gz CRMRRXQXTVUJAA-CYBMUJFWSA-N 0 3 218.344 2.922 20 0 BFADHN C[C@H](CNCc1cnccn1)c1ccc(F)cc1 ZINC000582472266 357314014 /nfs/dbraw/zinc/31/40/14/357314014.db2.gz WSLNLJBISJQBFQ-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H]2CCC[C@H]2F)C1(C)C ZINC000393966135 357322552 /nfs/dbraw/zinc/32/25/52/357322552.db2.gz LPSKYBOIKBNJHA-KXNHARMFSA-N 0 3 229.339 2.670 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1cc(C)no1 ZINC000582708200 357375856 /nfs/dbraw/zinc/37/58/56/357375856.db2.gz UFMAJUVKFKSDRG-SNVBAGLBSA-N 0 3 210.321 2.897 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1nnc2ccccn21 ZINC000582707915 357375977 /nfs/dbraw/zinc/37/59/77/357375977.db2.gz HYHGZWQBVQJWOW-NSHDSACASA-N 0 3 246.358 2.644 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](CCO)C(C)C)o1 ZINC000168378294 134197445 /nfs/dbraw/zinc/19/74/45/134197445.db2.gz IYTXXJXURMRJRI-NEPJUHHUSA-N 0 3 225.332 2.646 20 0 BFADHN Cc1nc(CNC[C@@H]2CCC(F)(F)C2)[nH]c1C ZINC000582617355 357354474 /nfs/dbraw/zinc/35/44/74/357354474.db2.gz NLBLYQYGAUTLQX-SNVBAGLBSA-N 0 3 243.301 2.552 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](CCO)C(C)C)o1 ZINC000168378492 134197645 /nfs/dbraw/zinc/19/76/45/134197645.db2.gz IYTXXJXURMRJRI-VXGBXAGGSA-N 0 3 225.332 2.646 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](CCO)C(C)C)o1 ZINC000168378400 134197696 /nfs/dbraw/zinc/19/76/96/134197696.db2.gz IYTXXJXURMRJRI-RYUDHWBXSA-N 0 3 225.332 2.646 20 0 BFADHN Cc1ccc2oc(CN[C@@H](C)[C@@H](C)O)cc2c1 ZINC000582689218 357367697 /nfs/dbraw/zinc/36/76/97/357367697.db2.gz HGUHDBAMZDHKPD-WDEREUQCSA-N 0 3 233.311 2.600 20 0 BFADHN Cc1ccc2oc(CN[C@H](C)[C@@H](C)O)cc2c1 ZINC000582689215 357368018 /nfs/dbraw/zinc/36/80/18/357368018.db2.gz HGUHDBAMZDHKPD-GHMZBOCLSA-N 0 3 233.311 2.600 20 0 BFADHN Cc1csc(CN2[C@H](C)CC[C@@H]2C)n1 ZINC000131460347 325153046 /nfs/dbraw/zinc/15/30/46/325153046.db2.gz AZDKGGMPRHZIMJ-AOOOYVTPSA-N 0 3 210.346 2.824 20 0 BFADHN C[C@H]1CN(C)CCN1CCCC1CCCCC1 ZINC000583172166 357500997 /nfs/dbraw/zinc/50/09/97/357500997.db2.gz WAIPRJBZVDLBIS-AWEZNQCLSA-N 0 3 238.419 2.983 20 0 BFADHN C[C@@H]1CC[C@H](N[C@@H]2C[C@H]2C(F)(F)F)CS1 ZINC000631263197 357501406 /nfs/dbraw/zinc/50/14/06/357501406.db2.gz ZWHQAOVJCDPDIQ-BZNPZCIMSA-N 0 3 239.306 2.811 20 0 BFADHN C[C@H](Cc1ccccc1F)N[C@H](C)c1ncc[nH]1 ZINC000158077185 538849354 /nfs/dbraw/zinc/84/93/54/538849354.db2.gz XZFLDNZISJZSDO-GHMZBOCLSA-N 0 3 247.317 2.831 20 0 BFADHN C[C@H](N)c1nc2c(s1)CCc1ccccc1-2 ZINC000011887321 357457367 /nfs/dbraw/zinc/45/73/67/357457367.db2.gz CGTREQRIGZCRCS-QMMMGPOBSA-N 0 3 230.336 2.928 20 0 BFADHN C[C@@H](NCC(C1CC1)C1CC1)c1ccncn1 ZINC000395808032 357457490 /nfs/dbraw/zinc/45/74/90/357457490.db2.gz DDKMYQVWPMGODW-SNVBAGLBSA-N 0 3 231.343 2.563 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)C)c1ccncn1 ZINC000396069890 357470434 /nfs/dbraw/zinc/47/04/34/357470434.db2.gz GRNGQBSRQPSJIK-NXEZZACHSA-N 0 3 207.321 2.562 20 0 BFADHN c1ccc2nc(CN[C@H]3CCSC3)ccc2c1 ZINC000230704404 357572462 /nfs/dbraw/zinc/57/24/62/357572462.db2.gz VIVMVDIMQVJKFH-ZDUSSCGKSA-N 0 3 244.363 2.830 20 0 BFADHN CCc1cccc(CN(C)C[C@@H]2CCCO2)c1 ZINC000188798367 357574602 /nfs/dbraw/zinc/57/46/02/357574602.db2.gz YDQIUQTXBHCLRD-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN COc1cc(C)nc(CNC[C@@H]2CC[C@H](C)C2)c1 ZINC000230419562 357524086 /nfs/dbraw/zinc/52/40/86/357524086.db2.gz OUJFBIGDLXVXPL-WCQYABFASA-N 0 3 248.370 2.924 20 0 BFADHN COC[C@H](N[C@@H](C)[C@@H]1CCCO1)c1ccccc1 ZINC000230395947 357533912 /nfs/dbraw/zinc/53/39/12/357533912.db2.gz UDQIBMIXCXVXGU-QEJZJMRPSA-N 0 3 249.354 2.531 20 0 BFADHN Cc1nc([C@H](C)NCC[C@@H]2CCOC2)cs1 ZINC000230409191 357540130 /nfs/dbraw/zinc/54/01/30/357540130.db2.gz ADCSJBYDSXCREW-GXSJLCMTSA-N 0 3 240.372 2.529 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H](C)C1)c1cnccn1 ZINC000230409168 357540468 /nfs/dbraw/zinc/54/04/68/357540468.db2.gz AFPGIECQBLZBRZ-GRYCIOLGSA-N 0 3 219.332 2.563 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@H]2CCC[C@@H]2C)n1 ZINC000230666953 357547610 /nfs/dbraw/zinc/54/76/10/357547610.db2.gz BDBNNIVSLXIPEQ-CMPLNLGQSA-N 0 3 234.343 2.621 20 0 BFADHN COc1cc(C)nc(CNC[C@@H]2CCC[C@@H]2C)c1 ZINC000230675840 357549381 /nfs/dbraw/zinc/54/93/81/357549381.db2.gz POSAMRRDHDIYLX-AAEUAGOBSA-N 0 3 248.370 2.924 20 0 BFADHN CC/C=C\CCN1CCOC2(CCC2)C1 ZINC000588476380 538851783 /nfs/dbraw/zinc/85/17/83/538851783.db2.gz JQLAWBUTENGVFU-ARJAWSKDSA-N 0 3 209.333 2.598 20 0 BFADHN Cc1ccc(CN2CCC3(CCO3)CC2)cc1F ZINC000583215402 357565908 /nfs/dbraw/zinc/56/59/08/357565908.db2.gz FMOXKBLPLOMPSE-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN C[C@@]1(CNCc2cncc(F)c2)CCCS1 ZINC000230941660 357583528 /nfs/dbraw/zinc/58/35/28/357583528.db2.gz JEIUMHZFRCMOTH-LBPRGKRZSA-N 0 3 240.347 2.596 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1nc(C)sc1C ZINC000158874493 538869814 /nfs/dbraw/zinc/86/98/14/538869814.db2.gz HFZWINVTQPKNPI-GZMMTYOYSA-N 0 3 242.388 2.572 20 0 BFADHN Cc1ccc(CNC2Cc3ccccc3C2)nc1 ZINC000231032220 357596179 /nfs/dbraw/zinc/59/61/79/357596179.db2.gz KUKLNXFMJJEMKQ-UHFFFAOYSA-N 0 3 238.334 2.647 20 0 BFADHN CCC[C@H](O)CN[C@@H]1CCc2ccc(F)cc21 ZINC000163605983 538878096 /nfs/dbraw/zinc/87/80/96/538878096.db2.gz KBSQPADKHIJBSD-GXTWGEPZSA-N 0 3 237.318 2.564 20 0 BFADHN Clc1csc(CN[C@H]2CCSC2)c1 ZINC000231348111 357613600 /nfs/dbraw/zinc/61/36/00/357613600.db2.gz BDHXVYJNGIPTOY-QMMMGPOBSA-N 0 3 233.789 2.997 20 0 BFADHN c1coc(CCNCc2scnc2C2CC2)c1 ZINC000486980896 538884920 /nfs/dbraw/zinc/88/49/20/538884920.db2.gz LQSASQMBFHASSW-UHFFFAOYSA-N 0 3 248.351 2.946 20 0 BFADHN CN(Cc1ccoc1)C[C@H](O)CC1CCCC1 ZINC000232143401 357648066 /nfs/dbraw/zinc/64/80/66/357648066.db2.gz IRBCUBMAWFBEGI-CQSZACIVSA-N 0 3 237.343 2.653 20 0 BFADHN Cc1noc(C)c1CNC1CC(C(C)C)C1 ZINC000232537911 357670415 /nfs/dbraw/zinc/67/04/15/357670415.db2.gz LIKIUQKJHMKZLZ-UHFFFAOYSA-N 0 3 222.332 2.816 20 0 BFADHN Cc1cccc2c1OCC[C@H]2N[C@H]1CCCOC1 ZINC000189377520 357635218 /nfs/dbraw/zinc/63/52/18/357635218.db2.gz AQSGUJUKMVMREA-GXTWGEPZSA-N 0 3 247.338 2.587 20 0 BFADHN C[C@]1(NCc2ccccc2C2CC2)CCOC1 ZINC000160189993 538883548 /nfs/dbraw/zinc/88/35/48/538883548.db2.gz QQJCEIZGVSWGNN-HNNXBMFYSA-N 0 3 231.339 2.833 20 0 BFADHN CC(C)n1ccc(CN[C@H](C)[C@@H]2CC2(C)C)n1 ZINC000397833257 357720689 /nfs/dbraw/zinc/72/06/89/357720689.db2.gz NQCXERFWSGGFBB-YPMHNXCESA-N 0 3 235.375 2.988 20 0 BFADHN C=Cn1cc(CNC2C(C)(C)C2(C)C)cn1 ZINC000232794994 357685615 /nfs/dbraw/zinc/68/56/15/357685615.db2.gz KEXZQDPUUXBMET-UHFFFAOYSA-N 0 3 219.332 2.508 20 0 BFADHN C[C@H]1CC[C@@H](CN(C)Cc2cccc(F)c2)O1 ZINC000190325568 357701204 /nfs/dbraw/zinc/70/12/04/357701204.db2.gz QIWRZAQEPNWNQO-FZMZJTMJSA-N 0 3 237.318 2.825 20 0 BFADHN CC[C@@H](NCc1ccno1)[C@H]1CC1(C)C ZINC000397870531 357776127 /nfs/dbraw/zinc/77/61/27/357776127.db2.gz ZXVUZPREYGDTBG-GHMZBOCLSA-N 0 3 208.305 2.589 20 0 BFADHN CCCN(Cc1ccc(N(C)CC)nc1)C1CC1 ZINC000191227782 357759122 /nfs/dbraw/zinc/75/91/22/357759122.db2.gz RJRBMSMUJKVQKP-UHFFFAOYSA-N 0 3 247.386 2.912 20 0 BFADHN CC[C@H]1CCN(Cc2ccc(N(C)CC)nc2)C1 ZINC000191441227 357771243 /nfs/dbraw/zinc/77/12/43/357771243.db2.gz COBJYPZVNVKLSP-ZDUSSCGKSA-N 0 3 247.386 2.770 20 0 BFADHN COc1cc(C)ccc1OCCN1CCCCC1 ZINC000192774421 357852029 /nfs/dbraw/zinc/85/20/29/357852029.db2.gz RUBRKSGGIAOQBJ-UHFFFAOYSA-N 0 3 249.354 2.868 20 0 BFADHN COc1cnccc1[C@H](C)N[C@H](C)C1CCC1 ZINC000192848315 357857077 /nfs/dbraw/zinc/85/70/77/357857077.db2.gz BHIQDONULRKTHF-MNOVXSKESA-N 0 3 234.343 2.929 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@H]2CC[C@@H](O)C2)o1 ZINC000235277388 357859364 /nfs/dbraw/zinc/85/93/64/357859364.db2.gz PDPDPGSJRAADSX-BYNQJWBRSA-N 0 3 249.354 2.654 20 0 BFADHN CC1(C)CN(C[C@@H]2CCOC2)[C@@H]1c1ccco1 ZINC000639927428 357862522 /nfs/dbraw/zinc/86/25/22/357862522.db2.gz RHMGPHVDBBQVDE-WCQYABFASA-N 0 3 235.327 2.699 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1[C@@H](C)C1CCCC1 ZINC000192735227 357849356 /nfs/dbraw/zinc/84/93/56/357849356.db2.gz JGYKUSYPZUUZPX-AAEUAGOBSA-N 0 3 239.359 2.593 20 0 BFADHN CN1CCC[C@H]1CN1CC(C)(C)[C@H]1c1ccco1 ZINC000639928835 357872242 /nfs/dbraw/zinc/87/22/42/357872242.db2.gz IRSWUOIATWKLDR-GXTWGEPZSA-N 0 3 248.370 2.757 20 0 BFADHN COc1ncccc1CN([C@H](C)C1CC1)C1CC1 ZINC000193309392 357874430 /nfs/dbraw/zinc/87/44/30/357874430.db2.gz NUSDHBFHHAVCLY-LLVKDONJSA-N 0 3 246.354 2.853 20 0 BFADHN COc1ncccc1CN1CCC[C@@H](C)CC1 ZINC000193320038 357876391 /nfs/dbraw/zinc/87/63/91/357876391.db2.gz CCRDIRQTULLOEN-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@H](NC[C@H]1CC[C@@H](O)C1)c1ccccc1F ZINC000235532371 357877763 /nfs/dbraw/zinc/87/77/63/357877763.db2.gz GETZLGTVDJFPOO-SDDRHHMPSA-N 0 3 237.318 2.637 20 0 BFADHN CCN(CC[C@@H](C)CCC=C(C)C)CC(N)=O ZINC000193330508 357878488 /nfs/dbraw/zinc/87/84/88/357878488.db2.gz BGRCGHKZDHZPAC-ZDUSSCGKSA-N 0 3 240.391 2.566 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@@H]1CCC[C@@H]1C ZINC000235557621 357878949 /nfs/dbraw/zinc/87/89/49/357878949.db2.gz UFMUELVAKMXMIN-DRZSPHRISA-N 0 3 233.359 2.872 20 0 BFADHN COc1ccc(CN2C3CCC2CC3)cc1O ZINC000398882920 357891042 /nfs/dbraw/zinc/89/10/42/357891042.db2.gz JPTYPCKMCJKKQB-UHFFFAOYSA-N 0 3 233.311 2.528 20 0 BFADHN Cc1ccccc1[C@H](C)N(C)CCn1cccn1 ZINC000193495166 357928952 /nfs/dbraw/zinc/92/89/52/357928952.db2.gz OFCUJXBCDPJNLO-AWEZNQCLSA-N 0 3 243.354 2.885 20 0 BFADHN CC[C@@](C)(NCc1c[nH]cn1)c1ccc(F)cc1 ZINC000639929126 357904407 /nfs/dbraw/zinc/90/44/07/357904407.db2.gz REWVQXLROSNPLZ-CQSZACIVSA-N 0 3 247.317 2.964 20 0 BFADHN CC[C@@](C)(NCc1cnc[nH]1)c1ccc(F)cc1 ZINC000639929126 357904409 /nfs/dbraw/zinc/90/44/09/357904409.db2.gz REWVQXLROSNPLZ-CQSZACIVSA-N 0 3 247.317 2.964 20 0 BFADHN Fc1cnccc1CNCC(C1CC1)C1CC1 ZINC000583792951 357948263 /nfs/dbraw/zinc/94/82/63/357948263.db2.gz HPGOTIOADCMSFT-UHFFFAOYSA-N 0 3 234.318 2.747 20 0 BFADHN C[C@@H]1C[C@H](O)CCN1Cc1cc2ccccc2o1 ZINC000639939782 357949670 /nfs/dbraw/zinc/94/96/70/357949670.db2.gz QYZLIBPNWPYSFB-DGCLKSJQSA-N 0 3 245.322 2.778 20 0 BFADHN CCC[C@H](CCO)N[C@@H]1CCCc2occc21 ZINC000631668154 357998837 /nfs/dbraw/zinc/99/88/37/357998837.db2.gz JZGPGPGWAIFAMJ-DGCLKSJQSA-N 0 3 237.343 2.798 20 0 BFADHN c1coc(CC2CN(C[C@@H]3CCSC3)C2)c1 ZINC000628433343 357991171 /nfs/dbraw/zinc/99/11/71/357991171.db2.gz MUOBEXWPQHSFSA-NSHDSACASA-N 0 3 237.368 2.507 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)C)c1ccn(C)n1 ZINC000584474945 357991450 /nfs/dbraw/zinc/99/14/50/357991450.db2.gz NTHATSPFSKDDGT-NXEZZACHSA-N 0 3 209.337 2.505 20 0 BFADHN Cc1n[nH]cc1CN(C)CCCCC(F)(F)F ZINC000639970158 358022932 /nfs/dbraw/zinc/02/29/32/358022932.db2.gz CYHSFWGJHJBZDE-UHFFFAOYSA-N 0 3 249.280 2.883 20 0 BFADHN Cc1n[nH]cc1CN(C)CCc1ccc(C)cc1 ZINC000639971659 358030171 /nfs/dbraw/zinc/03/01/71/358030171.db2.gz UDTBKHQWIXRGSA-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000639972199 358030993 /nfs/dbraw/zinc/03/09/93/358030993.db2.gz ONLWUWQICMXICL-UPJWGTAASA-N 0 3 233.359 2.586 20 0 BFADHN CC/C=C/CCN(C)Cc1c[nH]nc1C ZINC000639971899 358031764 /nfs/dbraw/zinc/03/17/64/358031764.db2.gz ZRELRBBARRVJBH-AATRIKPKSA-N 0 3 207.321 2.506 20 0 BFADHN Cc1n[nH]cc1CN(C)CCC(C)(C)C1CC1 ZINC000639972630 358031893 /nfs/dbraw/zinc/03/18/93/358031893.db2.gz XKCCREPPUKIXLV-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN COc1ccc(CN(C)C)cc1NCC1CC1 ZINC000036972196 358056940 /nfs/dbraw/zinc/05/69/40/358056940.db2.gz HEDIKPXUTKNFLP-UHFFFAOYSA-N 0 3 234.343 2.579 20 0 BFADHN CCC[C@@H](C)C(=O)Nc1cccc2c1CCNC2 ZINC000037372719 358077725 /nfs/dbraw/zinc/07/77/25/358077725.db2.gz GCHHHGBBHSKXSE-LLVKDONJSA-N 0 3 246.354 2.707 20 0 BFADHN CC(C)NCC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000037385993 358079174 /nfs/dbraw/zinc/07/91/74/358079174.db2.gz NBKNIJJIQUVBBE-UHFFFAOYSA-N 0 3 248.370 2.921 20 0 BFADHN CCn1ccnc1CNC[C@@H]1CCCC[C@@H]1C ZINC000051924198 358102387 /nfs/dbraw/zinc/10/23/87/358102387.db2.gz RKHUKYOZVBFOFB-STQMWFEESA-N 0 3 235.375 2.819 20 0 BFADHN CCn1ccnc1CNCCc1cccc(C)c1 ZINC000051924376 358102529 /nfs/dbraw/zinc/10/25/29/358102529.db2.gz LQZGRAMTSQHYDO-UHFFFAOYSA-N 0 3 243.354 2.544 20 0 BFADHN C[C@H](CN1CCO[C@H](CCF)C1)C(C)(C)C ZINC000628458796 358093970 /nfs/dbraw/zinc/09/39/70/358093970.db2.gz FNMHWKWYWBQPHS-VXGBXAGGSA-N 0 3 231.355 2.729 20 0 BFADHN CCC[C@@H](C)[C@@H](CC)NCc1ncccn1 ZINC000584666081 358119937 /nfs/dbraw/zinc/11/99/37/358119937.db2.gz JGIYFOAWFDNLIB-VXGBXAGGSA-N 0 3 221.348 2.781 20 0 BFADHN CCC[C@@H](C)[C@H](CC)NCc1ncccn1 ZINC000584666078 358120232 /nfs/dbraw/zinc/12/02/32/358120232.db2.gz JGIYFOAWFDNLIB-NEPJUHHUSA-N 0 3 221.348 2.781 20 0 BFADHN COC(=O)CN(CC1CCCC1)C1CCCC1 ZINC000134248545 325350423 /nfs/dbraw/zinc/35/04/23/325350423.db2.gz LFNJSADOEAIKHU-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN CC1(F)CCN(CCOc2ccccc2)CC1 ZINC000640070351 358155542 /nfs/dbraw/zinc/15/55/42/358155542.db2.gz DMPOHAVIKKVZTM-UHFFFAOYSA-N 0 3 237.318 2.889 20 0 BFADHN CCC(=O)CCCN1CCC(C)(F)CC1 ZINC000640071657 358162518 /nfs/dbraw/zinc/16/25/18/358162518.db2.gz POEBLEXLMDTIJB-UHFFFAOYSA-N 0 3 215.312 2.570 20 0 BFADHN C[C@@H](O)CCN1Cc2ccccc2C(C)(C)C1 ZINC000450621075 202214060 /nfs/dbraw/zinc/21/40/60/202214060.db2.gz FHURDHFLSGWZFY-GFCCVEGCSA-N 0 3 233.355 2.551 20 0 BFADHN CC(C)(C)OCCN1CCC(C)(F)CC1 ZINC000640075870 358173188 /nfs/dbraw/zinc/17/31/88/358173188.db2.gz YWMCGWZJHPSHNU-UHFFFAOYSA-N 0 3 217.328 2.626 20 0 BFADHN CCC[C@H]1CN(C[C@H]2CCC(F)(F)C2)CCO1 ZINC000450627420 202216037 /nfs/dbraw/zinc/21/60/37/202216037.db2.gz YENSXNHQZVJCOY-RYUDHWBXSA-N 0 3 247.329 2.923 20 0 BFADHN C1=C(CN2CCOC[C@H]2C2CC2)CCCC1 ZINC000450634967 202220401 /nfs/dbraw/zinc/22/04/01/202220401.db2.gz IKOWOMDQACSMNB-AWEZNQCLSA-N 0 3 221.344 2.598 20 0 BFADHN CCCN(Cc1cn(C)nc1C(F)F)C(C)C ZINC000584789505 358179351 /nfs/dbraw/zinc/17/93/51/358179351.db2.gz XWSFPLWXKLVKAG-UHFFFAOYSA-N 0 3 245.317 2.978 20 0 BFADHN CCc1cc(CN(C)C)cc(CC)c1O ZINC000039249333 358224589 /nfs/dbraw/zinc/22/45/89/358224589.db2.gz JGNNNRAVYCMSPQ-UHFFFAOYSA-N 0 3 207.317 2.579 20 0 BFADHN C[C@H](O)CCCNC1(c2cccc(F)c2)CC1 ZINC000450678473 202232649 /nfs/dbraw/zinc/23/26/49/202232649.db2.gz HYNQAWRBTKNUOM-NSHDSACASA-N 0 3 237.318 2.565 20 0 BFADHN CN(Cc1ccc2c(c1)CCC2)C[C@H]1CCCO1 ZINC000172289216 134265001 /nfs/dbraw/zinc/26/50/01/134265001.db2.gz CDWNMBMCQUMLJS-MRXNPFEDSA-N 0 3 245.366 2.786 20 0 BFADHN CCO[C@H]1CCN(c2ccnc(CC)c2)C[C@H]1C ZINC000450747218 202254628 /nfs/dbraw/zinc/25/46/28/202254628.db2.gz NRMVVHWFHGOKLY-DOMZBBRYSA-N 0 3 248.370 2.895 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1nccc(OC)n1 ZINC000450759179 202259143 /nfs/dbraw/zinc/25/91/43/202259143.db2.gz OHLXCWLSBOIIBL-VXGBXAGGSA-N 0 3 249.358 2.544 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@H]1CCC1(C)C ZINC000578205095 366389753 /nfs/dbraw/zinc/38/97/53/366389753.db2.gz HFAQBBLUBIPBHF-JQWIXIFHSA-N 0 3 219.332 2.624 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H](C)CC[C@H]2C)no1 ZINC000227033897 358347614 /nfs/dbraw/zinc/34/76/14/358347614.db2.gz OPCMUBQNLVIHBP-GIPNMCIBSA-N 0 3 222.332 2.897 20 0 BFADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1ccno1 ZINC000227016004 358347644 /nfs/dbraw/zinc/34/76/44/358347644.db2.gz RUTKPXHWQDOYFQ-STQMWFEESA-N 0 3 222.332 2.979 20 0 BFADHN COc1ccc(CNC[C@@H](C)SC)c(F)c1 ZINC000228601104 358381826 /nfs/dbraw/zinc/38/18/26/358381826.db2.gz JTQZJNDRSAZXGR-SECBINFHSA-N 0 3 243.347 2.675 20 0 BFADHN COc1ccc(CNC2(C)CCC2)c(F)c1 ZINC000228601376 358381543 /nfs/dbraw/zinc/38/15/43/358381543.db2.gz YDMYOTMBOVNSJQ-UHFFFAOYSA-N 0 3 223.291 2.867 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1CC[C@H](C(C)C)C1 ZINC000134981125 325386310 /nfs/dbraw/zinc/38/63/10/325386310.db2.gz LTIHZYIDPDRGTE-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN CCn1ccnc1CNCCCCCSC ZINC000229517799 358403663 /nfs/dbraw/zinc/40/36/63/358403663.db2.gz UAAWJRRTVMFTLR-UHFFFAOYSA-N 0 3 241.404 2.526 20 0 BFADHN COCCCCN[C@H](CF)c1ccc(F)cc1 ZINC000640154330 358438006 /nfs/dbraw/zinc/43/80/06/358438006.db2.gz ZESIFOAKFBSPIL-CYBMUJFWSA-N 0 3 243.297 2.853 20 0 BFADHN C[C@@H](N[C@H](C)c1cccs1)c1cnn(C)c1 ZINC000044495395 358549961 /nfs/dbraw/zinc/54/99/61/358549961.db2.gz VBEWILQUQWLRJW-NXEZZACHSA-N 0 3 235.356 2.893 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CC2(C)C)s1 ZINC000307743739 491134344 /nfs/dbraw/zinc/13/43/44/491134344.db2.gz MUHXOJSDFPHHEU-OIBJUYFYSA-N 0 3 210.346 2.901 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1ccc(Cl)o1 ZINC000307480438 491133591 /nfs/dbraw/zinc/13/35/91/491133591.db2.gz KHIJTQMAOQXSQE-APPZFPTMSA-N 0 3 231.748 2.917 20 0 BFADHN COC(=O)c1occc1CNC[C@H]1CC=CCC1 ZINC000045629182 358564239 /nfs/dbraw/zinc/56/42/39/358564239.db2.gz PGOWHCKMHYEBEQ-NSHDSACASA-N 0 3 249.310 2.512 20 0 BFADHN COC(=O)c1occc1CNC[C@@H]1CC=CCC1 ZINC000045629183 358564370 /nfs/dbraw/zinc/56/43/70/358564370.db2.gz PGOWHCKMHYEBEQ-LLVKDONJSA-N 0 3 249.310 2.512 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NCc1cccc(C2CC2)c1 ZINC000128080505 358570563 /nfs/dbraw/zinc/57/05/63/358570563.db2.gz IYPZVJDGWVBZPF-LSDHHAIUSA-N 0 3 245.366 2.813 20 0 BFADHN COC(=O)CCN(C)Cc1ccc(C(C)C)cc1 ZINC000046437799 358576137 /nfs/dbraw/zinc/57/61/37/358576137.db2.gz TZYAZGYWPZRKKZ-UHFFFAOYSA-N 0 3 249.354 2.805 20 0 BFADHN Fc1cc2cccnc2c(CN2CCCC2)c1 ZINC000046502087 358578305 /nfs/dbraw/zinc/57/83/05/358578305.db2.gz GSSJIQYLVIXQGA-UHFFFAOYSA-N 0 3 230.286 2.970 20 0 BFADHN CCCn1cc(CN2CCC(C)(C)C2)cn1 ZINC000124847629 358528119 /nfs/dbraw/zinc/52/81/19/358528119.db2.gz SXJRHVKPBFMEKT-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCCCO2)cc1 ZINC000042977491 358533559 /nfs/dbraw/zinc/53/35/59/358533559.db2.gz BFXKDUYOFDBONQ-OAHLLOKOSA-N 0 3 233.355 2.996 20 0 BFADHN CC(C)CC[C@H](C)NC(=O)[C@H](C(C)C)N(C)C ZINC000129936464 358602468 /nfs/dbraw/zinc/60/24/68/358602468.db2.gz PJUAYYGRKJIVSY-STQMWFEESA-N 0 3 242.407 2.514 20 0 BFADHN CCOC[C@H](C)N[C@@H]1CCc2cc(F)ccc21 ZINC000129974237 358603006 /nfs/dbraw/zinc/60/30/06/358603006.db2.gz ROWIMRHUHPKARZ-IINYFYTJSA-N 0 3 237.318 2.828 20 0 BFADHN COC(=O)c1ccccc1CN(C)[C@H](C)C(C)C ZINC000130156698 358610366 /nfs/dbraw/zinc/61/03/66/358610366.db2.gz BTCWGCCTKBRHMG-GFCCVEGCSA-N 0 3 249.354 2.950 20 0 BFADHN CC[C@@H](O)CCCN[C@H](C)c1ccc(C)o1 ZINC000130155501 358610575 /nfs/dbraw/zinc/61/05/75/358610575.db2.gz FIQCRKFGAFQDHM-VXGBXAGGSA-N 0 3 225.332 2.790 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccccc1C(=O)OC ZINC000130135467 358610822 /nfs/dbraw/zinc/61/08/22/358610822.db2.gz KDQWTNIIMUUDIA-LLVKDONJSA-N 0 3 235.327 2.704 20 0 BFADHN C[C@H](CN(C)C)N[C@@H](C)c1ccccc1Cl ZINC000130198134 358612445 /nfs/dbraw/zinc/61/24/45/358612445.db2.gz OYHIEUYEPFWXMB-MNOVXSKESA-N 0 3 240.778 2.941 20 0 BFADHN CCN(Cc1cccc(C)c1)C[C@@H]1CCCO1 ZINC000048056181 358613372 /nfs/dbraw/zinc/61/33/72/358613372.db2.gz FBYGGARBUFFZFS-HNNXBMFYSA-N 0 3 233.355 2.996 20 0 BFADHN COC(=O)c1ccccc1CN(C)CC(C)(C)C ZINC000130252517 358615427 /nfs/dbraw/zinc/61/54/27/358615427.db2.gz QIFAHTAMFGNUNG-UHFFFAOYSA-N 0 3 249.354 2.951 20 0 BFADHN C[C@@H](CNCc1ccco1)N1CCCC[C@@H]1C ZINC000048326801 358628712 /nfs/dbraw/zinc/62/87/12/358628712.db2.gz MGBYFBLVEKZKDT-STQMWFEESA-N 0 3 236.359 2.632 20 0 BFADHN CC(C)(C)CCNCc1cn2ccccc2n1 ZINC000130927822 358653657 /nfs/dbraw/zinc/65/36/57/358653657.db2.gz KSHSUIPLVCLING-UHFFFAOYSA-N 0 3 231.343 2.860 20 0 BFADHN CC[C@](C)(CO)NCc1coc2ccccc12 ZINC000131000176 358656580 /nfs/dbraw/zinc/65/65/80/358656580.db2.gz NKTOLTAJCMRHJX-CQSZACIVSA-N 0 3 233.311 2.683 20 0 BFADHN CC(C)=CCC[C@@H](C)N(C)[C@@H](C)C[S@](C)=O ZINC000131024485 358657375 /nfs/dbraw/zinc/65/73/75/358657375.db2.gz ZYRPTIUXBASFHH-DVOMOZLQSA-N 0 3 245.432 2.820 20 0 BFADHN CCC[C@H]1CCCN(Cc2ccnn2CC)C1 ZINC000131455626 358677217 /nfs/dbraw/zinc/67/72/17/358677217.db2.gz LZPYMUGKOKGWTH-ZDUSSCGKSA-N 0 3 235.375 2.915 20 0 BFADHN CC(C)CCN(Cc1cnc(N)s1)C1CC1 ZINC000131845715 358697077 /nfs/dbraw/zinc/69/70/77/358697077.db2.gz URRCVBZWQIKBTK-UHFFFAOYSA-N 0 3 239.388 2.736 20 0 BFADHN CCN1CCC[C@H]1c1nnc(-c2ccccc2)[nH]1 ZINC000133254645 358749076 /nfs/dbraw/zinc/74/90/76/358749076.db2.gz GKGGCUCYOGXLAS-LBPRGKRZSA-N 0 3 242.326 2.629 20 0 BFADHN C(=C/c1ccccc1)\CNC[C@@H]1CCCCO1 ZINC000051790338 358761302 /nfs/dbraw/zinc/76/13/02/358761302.db2.gz UPZDOXLILSZPBZ-PPYMECGQSA-N 0 3 231.339 2.859 20 0 BFADHN CC[C@H](NCCC(C)(C)C)c1nccn1C ZINC000132127208 358708633 /nfs/dbraw/zinc/70/86/33/358708633.db2.gz APJHSUPIKHUGCK-NSHDSACASA-N 0 3 223.364 2.897 20 0 BFADHN CCc1ccc(CN(C)CCCC(=O)OC)cc1 ZINC000050065060 358711842 /nfs/dbraw/zinc/71/18/42/358711842.db2.gz BVEVGFSWITXMNC-UHFFFAOYSA-N 0 3 249.354 2.634 20 0 BFADHN COc1cc(C)nc(CN[C@H]2CC[C@@H](C)C2)c1 ZINC000132354600 358720517 /nfs/dbraw/zinc/72/05/17/358720517.db2.gz PAZWXZUWBIKDPK-PWSUYJOCSA-N 0 3 234.343 2.677 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1sc(C)nc1C ZINC000132497702 358724842 /nfs/dbraw/zinc/72/48/42/358724842.db2.gz OZASNAWQMOZHEU-OYNCUSHFSA-N 0 3 242.388 2.834 20 0 BFADHN CCOC[C@@H](C)NCc1ccc(F)c(C)c1 ZINC000050545712 358733659 /nfs/dbraw/zinc/73/36/59/358733659.db2.gz VJAGEIXSRBUJEV-LLVKDONJSA-N 0 3 225.307 2.649 20 0 BFADHN COCC(C)(C)NCc1ccc(F)c(C)c1 ZINC000135059896 358818124 /nfs/dbraw/zinc/81/81/24/358818124.db2.gz RACWUCKWRVRTNG-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN Cc1ccc([O-])c(C[NH+]2CCC(C)(C)CC2)n1 ZINC000133929317 358772982 /nfs/dbraw/zinc/77/29/82/358772982.db2.gz DWKUWUOBGJUPBO-UHFFFAOYSA-N 0 3 234.343 2.718 20 0 BFADHN CCn1ccnc1CN[C@H](C)C1CCCCC1 ZINC000052334158 358779649 /nfs/dbraw/zinc/77/96/49/358779649.db2.gz CIYVGZVLQOCLLO-GFCCVEGCSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1nnc(C)s1 ZINC000134719286 358802811 /nfs/dbraw/zinc/80/28/11/358802811.db2.gz GVXARFKEPDGIBO-QWRGUYRKSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2CO)ccc1Cl ZINC000134733191 358804170 /nfs/dbraw/zinc/80/41/70/358804170.db2.gz QWJQYSHHHFITIA-GFCCVEGCSA-N 0 3 239.746 2.605 20 0 BFADHN CC(C)COC1CCN(CCOC(C)C)CC1 ZINC000054324693 358859147 /nfs/dbraw/zinc/85/91/47/358859147.db2.gz SLSWUOKWBIMGBA-UHFFFAOYSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)CC[C@@H]1CCCN1Cc1cncn1C ZINC000135312376 358830462 /nfs/dbraw/zinc/83/04/62/358830462.db2.gz BQBLZRNZQMITGJ-ZDUSSCGKSA-N 0 3 235.375 2.821 20 0 BFADHN CCCOc1cccc(CN[C@H]2CCO[C@H]2C)c1 ZINC000135307958 358831331 /nfs/dbraw/zinc/83/13/31/358831331.db2.gz HZFGXICDGUNZBP-WFASDCNBSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1ccc2ccccc2n1 ZINC000135306875 358831353 /nfs/dbraw/zinc/83/13/53/358831353.db2.gz FHVSHDSIIZTDPM-SMDDNHRTSA-N 0 3 242.322 2.502 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1Cc1nc(C)no1 ZINC000135347199 358832946 /nfs/dbraw/zinc/83/29/46/358832946.db2.gz JNLGEOZKMYQTFI-CMPLNLGQSA-N 0 3 237.347 2.779 20 0 BFADHN C[C@@H](CO)N(C)Cc1ccc(C(C)(C)C)cc1 ZINC000135509860 358840809 /nfs/dbraw/zinc/84/08/09/358840809.db2.gz NCHIXRVIAYTVSE-LBPRGKRZSA-N 0 3 235.371 2.797 20 0 BFADHN CCc1ccc(CN[C@@H]2CCCCC[C@@H]2O)o1 ZINC000135611751 358846696 /nfs/dbraw/zinc/84/66/96/358846696.db2.gz UVKIBCRROUQGRZ-KGLIPLIRSA-N 0 3 237.343 2.625 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc(OC)cc1 ZINC000223628682 491137774 /nfs/dbraw/zinc/13/77/74/491137774.db2.gz SYFVSIMDVVGSJJ-SGUJLRQBSA-N 0 3 205.301 2.922 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)CC1CCC1 ZINC000132687453 491137789 /nfs/dbraw/zinc/13/77/89/491137789.db2.gz ZEXZAMUWCNBEEK-SECBINFHSA-N 0 3 222.332 2.960 20 0 BFADHN CCOCCN(C)[C@@H](C)c1ccccc1 ZINC000057624262 358906342 /nfs/dbraw/zinc/90/63/42/358906342.db2.gz WMQHLBBNPWFHCI-LBPRGKRZSA-N 0 3 207.317 2.716 20 0 BFADHN CC[C@@H](O)CNCc1ccc(C(F)(F)F)cc1 ZINC000061626770 358935354 /nfs/dbraw/zinc/93/53/54/358935354.db2.gz ZKIWEMBPXOJDDZ-LLVKDONJSA-N 0 3 247.260 2.566 20 0 BFADHN Cc1nc(CN[C@H](C)C2CCCC2)oc1C ZINC000069804716 359033792 /nfs/dbraw/zinc/03/37/92/359033792.db2.gz FXFXWZOXZSEBHP-SNVBAGLBSA-N 0 3 222.332 2.960 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccccc2OC)CCO1 ZINC000070343101 359049762 /nfs/dbraw/zinc/04/97/62/359049762.db2.gz LNXAVWBFSCOKJW-KBPBESRZSA-N 0 3 249.354 2.742 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2ccco2)CCO1 ZINC000069861361 359034976 /nfs/dbraw/zinc/03/49/76/359034976.db2.gz MDIRWLWNBFZMSX-QJPTWQEYSA-N 0 3 223.316 2.888 20 0 BFADHN CC[C@H](C)NCc1cc2c(cc1OC)C[C@@H](C)O2 ZINC000070981769 359068902 /nfs/dbraw/zinc/06/89/02/359068902.db2.gz VJBFFISNEHTAAA-WDEREUQCSA-N 0 3 249.354 2.907 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1ccccc1F ZINC000071011415 359069888 /nfs/dbraw/zinc/06/98/88/359069888.db2.gz SDJOVUURZJQOJA-MNOVXSKESA-N 0 3 223.291 2.655 20 0 BFADHN CC(C)CC(CC(C)C)NCc1nccn1C ZINC000071204907 359073489 /nfs/dbraw/zinc/07/34/89/359073489.db2.gz IDUHFAVESHSJFH-UHFFFAOYSA-N 0 3 237.391 2.971 20 0 BFADHN Clc1cnc(NCCN2CCCCC2)s1 ZINC000308865265 491139185 /nfs/dbraw/zinc/13/91/85/491139185.db2.gz DZCLULDYKOTESQ-UHFFFAOYSA-N 0 3 245.779 2.694 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1cccs1)c1nccn1C ZINC000070444210 359054583 /nfs/dbraw/zinc/05/45/83/359054583.db2.gz DJZVVKMGRQDTRI-WDEREUQCSA-N 0 3 249.383 2.763 20 0 BFADHN C[C@@H]1CCC[C@H](CCNCc2nccn2C)C1 ZINC000070537336 359059248 /nfs/dbraw/zinc/05/92/48/359059248.db2.gz AYQGWXSJROWUQR-CHWSQXEVSA-N 0 3 235.375 2.726 20 0 BFADHN CCn1ccnc1CN1C[C@H](C)CCC[C@H]1C ZINC000246974703 359178978 /nfs/dbraw/zinc/17/89/78/359178978.db2.gz SBDVSXFZCPWSHW-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN COc1cccc(CN2CC[C@@H](C)[C@@H]2C)c1OC ZINC000246855087 359170251 /nfs/dbraw/zinc/17/02/51/359170251.db2.gz SFXRJGAVGJOZLE-NEPJUHHUSA-N 0 3 249.354 2.934 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](C)C[C@H](C)C1)c1nccn1C ZINC000246867171 359171173 /nfs/dbraw/zinc/17/11/73/359171173.db2.gz JJUWMJUALBZGSZ-XQHKEYJVSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H]1CCCN(Cc2cn3ccccc3n2)[C@H]1C ZINC000246946222 359175487 /nfs/dbraw/zinc/17/54/87/359175487.db2.gz QYDSILZKZROXPH-STQMWFEESA-N 0 3 243.354 2.955 20 0 BFADHN COCCN1CC[C@H](C)C[C@@H]1c1ccco1 ZINC000247687915 359240592 /nfs/dbraw/zinc/24/05/92/359240592.db2.gz VJTZUCOQYVLWTR-NWDGAFQWSA-N 0 3 223.316 2.699 20 0 BFADHN C[C@H](c1ccncc1)N(C)C[C@H]1CCCCO1 ZINC000247689381 359240666 /nfs/dbraw/zinc/24/06/66/359240666.db2.gz VQTKEWPZEDKYPU-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN CCCCN(C)[C@@H](C)C(=O)N1CCCCCC1 ZINC000248114695 359254087 /nfs/dbraw/zinc/25/40/87/359254087.db2.gz LAOOCEBLZKQSNQ-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@@]2(CCOC2)C1 ZINC000247485248 359224386 /nfs/dbraw/zinc/22/43/86/359224386.db2.gz WLPCJRKBGXNTOI-IUODEOHRSA-N 0 3 249.329 2.999 20 0 BFADHN CCS[C@H]1CCCCN(Cc2cn[nH]c2)C1 ZINC000611271557 359285265 /nfs/dbraw/zinc/28/52/65/359285265.db2.gz VMYDFJUMPBCVNI-LBPRGKRZSA-N 0 3 239.388 2.517 20 0 BFADHN CCc1ncc(CN2CCCC3(CC3)CC2)cn1 ZINC000628480289 359317784 /nfs/dbraw/zinc/31/77/84/359317784.db2.gz MOQPBXVUIGMLNU-UHFFFAOYSA-N 0 3 245.370 2.805 20 0 BFADHN Cc1cc(CNC2CSC2)c(C)s1 ZINC000306211420 359305456 /nfs/dbraw/zinc/30/54/56/359305456.db2.gz JUIOEFXESLMKFG-UHFFFAOYSA-N 0 3 213.371 2.570 20 0 BFADHN CCOC(=O)c1ccc(CN[C@H](C)C(C)C)o1 ZINC000611424273 359366195 /nfs/dbraw/zinc/36/61/95/359366195.db2.gz ZAVGPVBRNXJMOD-SNVBAGLBSA-N 0 3 239.315 2.590 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)C2CCC2)no1 ZINC000308921681 491140350 /nfs/dbraw/zinc/14/03/50/491140350.db2.gz CGMCYRNEDBAFEW-UWVGGRQHSA-N 0 3 208.305 2.822 20 0 BFADHN CCC(CC)CN1CCCCC[C@@H]1C(=O)OC ZINC000611606725 359401248 /nfs/dbraw/zinc/40/12/48/359401248.db2.gz OFSGQKHTGLINRG-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN OC[C@@H](CC1CC1)NCc1ccsc1Cl ZINC000308925629 491140376 /nfs/dbraw/zinc/14/03/76/491140376.db2.gz KJPXGIPXMRLOBB-SNVBAGLBSA-N 0 3 245.775 2.652 20 0 BFADHN CC[C@@H](C)CN(CCOC)Cc1cccnc1 ZINC000191247909 359548182 /nfs/dbraw/zinc/54/81/82/359548182.db2.gz CDVUDFAWAGEAGK-CYBMUJFWSA-N 0 3 236.359 2.576 20 0 BFADHN CCC[C@H](C)N[C@H](CC)c1ccn(C)n1 ZINC000308969352 491141256 /nfs/dbraw/zinc/14/12/56/491141256.db2.gz YDEZCPBHJCNIQF-WDEREUQCSA-N 0 3 209.337 2.649 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1ncc(C)s1 ZINC000379579594 359553001 /nfs/dbraw/zinc/55/30/01/359553001.db2.gz XBWUTSFXWKPSHN-VHSXEESVSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1cc(C)c(CNC2CSC2)c(C)c1 ZINC000308970395 491141297 /nfs/dbraw/zinc/14/12/97/491141297.db2.gz YXLBDJBJMGRSMH-UHFFFAOYSA-N 0 3 221.369 2.817 20 0 BFADHN CC[C@H](N)C(=O)N1CCCC(CC)(CC)CC1 ZINC000423927058 192847906 /nfs/dbraw/zinc/84/79/06/192847906.db2.gz WIDSYVFENDZKNH-LBPRGKRZSA-N 0 3 240.391 2.543 20 0 BFADHN C[C@H](N(C)Cc1ccnc(C#N)c1)C1(C)CC1 ZINC000385724032 359627033 /nfs/dbraw/zinc/62/70/33/359627033.db2.gz OLSRITWEUOSINR-NSHDSACASA-N 0 3 229.327 2.574 20 0 BFADHN CCN1CCC[C@H](Nc2ncc(Cl)s2)C1 ZINC000309028262 491142651 /nfs/dbraw/zinc/14/26/51/491142651.db2.gz CBBHPIFWIMDJNJ-QMMMGPOBSA-N 0 3 245.779 2.693 20 0 BFADHN CC[C@@H]1CCCN(Cc2cccnc2N)CC1 ZINC000079397179 359718001 /nfs/dbraw/zinc/71/80/01/359718001.db2.gz STXHLPGHIUSUIQ-GFCCVEGCSA-N 0 3 233.359 2.676 20 0 BFADHN CCN(Cc1cc[nH]n1)[C@H]1CCCC[C@@H]1C ZINC000450811836 202273623 /nfs/dbraw/zinc/27/36/23/202273623.db2.gz QJHWIUFJADOKQG-AAEUAGOBSA-N 0 3 221.348 2.810 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1cocn1 ZINC000309067162 491143918 /nfs/dbraw/zinc/14/39/18/491143918.db2.gz ACIIWXBEQPEWAK-WDEREUQCSA-N 0 3 210.321 2.979 20 0 BFADHN C[C@@H]1CN(CC2CC(F)(F)C2)[C@@H](C)[C@H](C)O1 ZINC000450826132 202278108 /nfs/dbraw/zinc/27/81/08/202278108.db2.gz HJRFFTHWFMGQBF-UTLUCORTSA-N 0 3 233.302 2.529 20 0 BFADHN CC[C@]1(C)CN(C[C@H]2CCC(F)(F)C2)CCO1 ZINC000450824297 202278363 /nfs/dbraw/zinc/27/83/63/202278363.db2.gz DOJJOVIMLYEZNF-NWDGAFQWSA-N 0 3 247.329 2.923 20 0 BFADHN CC[C@@]1(C)CN(C[C@@H]2CCC(F)(F)C2)CCO1 ZINC000450824294 202278885 /nfs/dbraw/zinc/27/88/85/202278885.db2.gz DOJJOVIMLYEZNF-NEPJUHHUSA-N 0 3 247.329 2.923 20 0 BFADHN CCOCCN(C)Cc1sccc1C ZINC000057620378 359723031 /nfs/dbraw/zinc/72/30/31/359723031.db2.gz XPJICHLCPDVRBZ-UHFFFAOYSA-N 0 3 213.346 2.525 20 0 BFADHN CC[C@H](c1ccncc1)N(C)Cc1cc[nH]c1 ZINC000580719087 359733907 /nfs/dbraw/zinc/73/39/07/359733907.db2.gz NIEINWWWDSEWQD-CQSZACIVSA-N 0 3 229.327 2.993 20 0 BFADHN Oc1cccc2c1CCC[C@@H]2N[C@H]1CCSC1 ZINC000191638282 359734512 /nfs/dbraw/zinc/73/45/12/359734512.db2.gz XVRAKPKGMKFYGY-GWCFXTLKSA-N 0 3 249.379 2.865 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1nnc(C2CC2)s1 ZINC000450849109 202288622 /nfs/dbraw/zinc/28/86/22/202288622.db2.gz VZDBJNWOZJSURR-WCBMZHEXSA-N 0 3 237.372 2.694 20 0 BFADHN CN(C)CCSC[C@@H]1CCC(F)(F)C1 ZINC000450874759 202294667 /nfs/dbraw/zinc/29/46/67/202294667.db2.gz VEQMBLWNCMNTOU-SECBINFHSA-N 0 3 223.332 2.717 20 0 BFADHN CN(CCC1CC1)Cc1cn(C)nc1C(C)(C)C ZINC000180767492 366546625 /nfs/dbraw/zinc/54/66/25/366546625.db2.gz WUKMWGAIKJCLMP-UHFFFAOYSA-N 0 3 249.402 2.950 20 0 BFADHN CCC[C@@H](O)CN1CCC(C)=C(c2ccco2)C1 ZINC000450942039 202317612 /nfs/dbraw/zinc/31/76/12/202317612.db2.gz UAMGQLZIICFHDT-CYBMUJFWSA-N 0 3 249.354 2.920 20 0 BFADHN CCN1CCN(CCC2=CCCCC2)[C@@H](C)C1 ZINC000450982502 202325136 /nfs/dbraw/zinc/32/51/36/202325136.db2.gz UCBQZGYKFFMRPG-AWEZNQCLSA-N 0 3 236.403 2.903 20 0 BFADHN COc1ccc(CN2CCC[C@H]2C)c(F)c1 ZINC000578447062 366570539 /nfs/dbraw/zinc/57/05/39/366570539.db2.gz OYHFMUZJHIVPNK-SNVBAGLBSA-N 0 3 223.291 2.819 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1ccco1 ZINC000245746113 325526843 /nfs/dbraw/zinc/52/68/43/325526843.db2.gz WLHHNDLUJQCVPS-WRWGMCAJSA-N 0 3 223.316 2.886 20 0 BFADHN CC(C)[C@@H]1C[C@@H](N[C@@H]2CCC[C@@H]2F)CS1 ZINC000578492361 366579256 /nfs/dbraw/zinc/57/92/56/366579256.db2.gz KBKCCZLAHYSBJM-KXNHARMFSA-N 0 3 231.380 2.997 20 0 BFADHN FCCCN1CCC=C(c2cccnc2)C1 ZINC000451022723 202332140 /nfs/dbraw/zinc/33/21/40/202332140.db2.gz IOGKQKRUSPQKOD-UHFFFAOYSA-N 0 3 220.291 2.530 20 0 BFADHN C[C@H](O)CCCNC(C)(C)c1ccccc1F ZINC000451054024 202341736 /nfs/dbraw/zinc/34/17/36/202341736.db2.gz JGQVQHYETBEXAP-NSHDSACASA-N 0 3 239.334 2.811 20 0 BFADHN CSCCCN1CCC[C@](C)(F)C1 ZINC000451113047 202353485 /nfs/dbraw/zinc/35/34/85/202353485.db2.gz JGALIDWCNBLOAX-JTQLQIEISA-N 0 3 205.342 2.564 20 0 BFADHN C[C@]1(F)CCCN(C[C@@H](O)C2CCCCC2)C1 ZINC000451115633 202354100 /nfs/dbraw/zinc/35/41/00/202354100.db2.gz PBKSVHXEEILRGO-KGLIPLIRSA-N 0 3 243.366 2.752 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000451153615 202365953 /nfs/dbraw/zinc/36/59/53/202365953.db2.gz HWOLVWGNODZLJA-OXJKWZBOSA-N 0 3 248.370 2.861 20 0 BFADHN Cc1ncc([C@@H](C)NC2CC(C)C2)s1 ZINC000130408660 359870974 /nfs/dbraw/zinc/87/09/74/359870974.db2.gz FTSXKDWSMYYMGW-CCNFQMFXSA-N 0 3 210.346 2.901 20 0 BFADHN CC(C)CC[C@H](O)CN1CCC[C@@](C)(F)C1 ZINC000451118372 202357166 /nfs/dbraw/zinc/35/71/66/202357166.db2.gz XQSISLCDFCXEFZ-QWHCGFSZSA-N 0 3 231.355 2.608 20 0 BFADHN CCOC(=O)CCCCN1CCC[C@@](C)(F)C1 ZINC000451117666 202357962 /nfs/dbraw/zinc/35/79/62/202357962.db2.gz VURNJVZIMJPABK-CYBMUJFWSA-N 0 3 245.338 2.544 20 0 BFADHN CC(C)N(CCC1=CCCCC1)C1COC1 ZINC000451119552 202358819 /nfs/dbraw/zinc/35/88/19/202358819.db2.gz FYPJKQVRYBMAKX-UHFFFAOYSA-N 0 3 223.360 2.986 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3ccc(F)cc3)CC2)[C@@H](C)O1 ZINC000451124952 202359295 /nfs/dbraw/zinc/35/92/95/202359295.db2.gz HDRJDMKYBXOZHG-JTNHKYCSSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1ccccc1CCCN1CC[C@@H](F)C1 ZINC000451192840 202374668 /nfs/dbraw/zinc/37/46/68/202374668.db2.gz TXFVDJQHXZACII-CQSZACIVSA-N 0 3 221.319 2.971 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1cc(F)cc(F)c1 ZINC000087282598 359904674 /nfs/dbraw/zinc/90/46/74/359904674.db2.gz HUMYLHJVZWXQIB-IUCAKERBSA-N 0 3 229.270 2.650 20 0 BFADHN F[C@@H]1CCN(CCCCC2CCOCC2)C1 ZINC000451199666 202380030 /nfs/dbraw/zinc/38/00/30/202380030.db2.gz RMRNKVFFODAFED-CYBMUJFWSA-N 0 3 229.339 2.627 20 0 BFADHN CCN(CCCc1ccc(C)cc1)C1COC1 ZINC000451284611 202393736 /nfs/dbraw/zinc/39/37/36/202393736.db2.gz JLESCEHQOLOYPZ-UHFFFAOYSA-N 0 3 233.355 2.648 20 0 BFADHN CCC1(NCc2cc(C)n(C)n2)CCCC1 ZINC000451278733 202394425 /nfs/dbraw/zinc/39/44/25/202394425.db2.gz VJFCSLKTHARBEK-UHFFFAOYSA-N 0 3 221.348 2.541 20 0 BFADHN COc1ccccc1[C@H](CO)NC1CC(C)(C)C1 ZINC000578588171 366601095 /nfs/dbraw/zinc/60/10/95/366601095.db2.gz RQBODTMYWRKBJB-ZDUSSCGKSA-N 0 3 249.354 2.507 20 0 BFADHN CCOC(=O)[C@@H](CC)N1CCCC(C)(C)CC1 ZINC000087658245 359923268 /nfs/dbraw/zinc/92/32/68/359923268.db2.gz BIFKOKNTOFNOEJ-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN CCC1(NCc2nccc(C)n2)CCCC1 ZINC000451274490 202390911 /nfs/dbraw/zinc/39/09/11/202390911.db2.gz JXUOUIUUSJAGIR-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1ccco1 ZINC000088169623 359939033 /nfs/dbraw/zinc/93/90/33/359939033.db2.gz BKKRXYJHQBRXRM-OPRDCNLKSA-N 0 3 211.330 2.824 20 0 BFADHN COc1ccnc(CN[C@H]2CCC23CCCC3)c1 ZINC000451454301 202424943 /nfs/dbraw/zinc/42/49/43/202424943.db2.gz IABAHWWZVWNTPP-AWEZNQCLSA-N 0 3 246.354 2.903 20 0 BFADHN CCCn1ncnc1CNC(CC)(CC)CC ZINC000088592595 359969135 /nfs/dbraw/zinc/96/91/35/359969135.db2.gz HIICVKUVGZQAEX-UHFFFAOYSA-N 0 3 238.379 2.747 20 0 BFADHN CCn1ncnc1CN[C@@H](C)CCCC(C)C ZINC000088590296 359969599 /nfs/dbraw/zinc/96/95/99/359969599.db2.gz XIAWDCDDNIAPSE-LBPRGKRZSA-N 0 3 238.379 2.602 20 0 BFADHN CCN1CCN(CC[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000089100050 359990017 /nfs/dbraw/zinc/99/00/17/359990017.db2.gz HVZNDZSGQIGBEC-CABCVRRESA-N 0 3 238.419 2.840 20 0 BFADHN CC[C@@H](N[C@@H]1CCO[C@@H]1C)c1c(C)noc1C ZINC000090887420 360092968 /nfs/dbraw/zinc/09/29/68/360092968.db2.gz DGKROXBLOAMDQX-YUSALJHKSA-N 0 3 238.331 2.510 20 0 BFADHN CCCCCCN1CCCN(CC(F)F)CC1 ZINC000091083059 360101215 /nfs/dbraw/zinc/10/12/15/360101215.db2.gz VZBGIUNGLNIMLA-UHFFFAOYSA-N 0 3 248.361 2.840 20 0 BFADHN CSCCN1CCC[C@H]1c1c(C)n[nH]c1C ZINC000091081284 360101693 /nfs/dbraw/zinc/10/16/93/360101693.db2.gz OYNFBKJWNXAOEF-NSHDSACASA-N 0 3 239.388 2.526 20 0 BFADHN CC(C)n1cncc1CNCCc1ccsc1 ZINC000090208771 360064732 /nfs/dbraw/zinc/06/47/32/360064732.db2.gz AHEYLKMLVIUHBX-UHFFFAOYSA-N 0 3 249.383 2.858 20 0 BFADHN COC[C@@H](NCc1cc(C)cc(OC)c1)C1CC1 ZINC000090723710 360085495 /nfs/dbraw/zinc/08/54/95/360085495.db2.gz KQKBXEFQLHERNV-OAHLLOKOSA-N 0 3 249.354 2.518 20 0 BFADHN CC[C@H](F)CN1CCSC[C@H]1C1CC1 ZINC000451659400 202457350 /nfs/dbraw/zinc/45/73/50/202457350.db2.gz ZITOONNXWZUDAU-QWRGUYRKSA-N 0 3 217.353 2.562 20 0 BFADHN CC[C@H](N[C@@H](C)c1cccnc1)[C@@H]1CCCO1 ZINC000090858008 360091453 /nfs/dbraw/zinc/09/14/53/360091453.db2.gz BASCHXHFNWWBCU-UBHSHLNASA-N 0 3 234.343 2.690 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccccn1)[C@H]1CCCO1 ZINC000090857993 360091737 /nfs/dbraw/zinc/09/17/37/360091737.db2.gz OPSRDUXNMPBJJZ-SGMGOOAPSA-N 0 3 234.343 2.690 20 0 BFADHN CC[C@@H]1COCCN1Cc1cccc2[nH]ccc21 ZINC000091982060 360141335 /nfs/dbraw/zinc/14/13/35/360141335.db2.gz ARMBOOIVAKLJGQ-CYBMUJFWSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1cccn2c(CN(C)CCC3CC3)cnc12 ZINC000092067170 360150181 /nfs/dbraw/zinc/15/01/81/360150181.db2.gz IQCQWWPCAPTBQS-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1cc(C)cc(F)c1 ZINC000091513916 360118678 /nfs/dbraw/zinc/11/86/78/360118678.db2.gz WYNWDFPKVNPOQE-LBPRGKRZSA-N 0 3 238.306 2.590 20 0 BFADHN Cc1cccn2c(CN3CC[C@@H](C)[C@@H]3C)cnc12 ZINC000091860036 360133121 /nfs/dbraw/zinc/13/31/21/360133121.db2.gz HUSAZXBYPINWTH-YPMHNXCESA-N 0 3 243.354 2.873 20 0 BFADHN CCn1cc(CN(C)[C@@H](C)C2(C)CC2)cn1 ZINC000091900364 360135186 /nfs/dbraw/zinc/13/51/86/360135186.db2.gz XFXBMRXGRSUUMM-NSHDSACASA-N 0 3 221.348 2.523 20 0 BFADHN COC(=O)c1ccccc1CN1[C@@H](C)CC[C@@H]1C ZINC000091937277 360137312 /nfs/dbraw/zinc/13/73/12/360137312.db2.gz FZNWTMJEZBXPMI-RYUDHWBXSA-N 0 3 247.338 2.846 20 0 BFADHN COC(=O)c1ccccc1CN1[C@H](C)CC[C@H]1C ZINC000091937278 360137698 /nfs/dbraw/zinc/13/76/98/360137698.db2.gz FZNWTMJEZBXPMI-VXGBXAGGSA-N 0 3 247.338 2.846 20 0 BFADHN C[C@H](NC[C@H]1CCCS1)c1ccc(F)cn1 ZINC000092196549 360155799 /nfs/dbraw/zinc/15/57/99/360155799.db2.gz VIWQBUFCFPRQIH-GXSJLCMTSA-N 0 3 240.347 2.767 20 0 BFADHN c1cc(CN2CCC[C@@H]2C2CCCC2)n[nH]1 ZINC000092401754 360169887 /nfs/dbraw/zinc/16/98/87/360169887.db2.gz XONVAXABYAHPNB-CYBMUJFWSA-N 0 3 219.332 2.564 20 0 BFADHN CCC[C@H]1CN(C[C@H]2CC=CCC2)CCO1 ZINC000093508518 360253104 /nfs/dbraw/zinc/25/31/04/360253104.db2.gz REFQWPLWJPWBFH-KBPBESRZSA-N 0 3 223.360 2.844 20 0 BFADHN CSCCN(C)Cc1ccc(F)cc1C ZINC000093509545 360254588 /nfs/dbraw/zinc/25/45/88/360254588.db2.gz RKWSMRBIKWFLKP-UHFFFAOYSA-N 0 3 227.348 2.929 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1c[nH]cn1 ZINC000093530180 360255315 /nfs/dbraw/zinc/25/53/15/360255315.db2.gz VJYMDGFFNHRFQV-GFCCVEGCSA-N 0 3 207.321 2.564 20 0 BFADHN Cn1nccc1CN1CCC2(CCCCC2)CC1 ZINC000092969046 360207883 /nfs/dbraw/zinc/20/78/83/360207883.db2.gz RIOQYHWRXJOKIB-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN CC[C@@H](C)[C@H](C)N(Cc1ccnn1C)C1CC1 ZINC000093132223 360219248 /nfs/dbraw/zinc/21/92/48/360219248.db2.gz AOAPCIORLXBGKR-NEPJUHHUSA-N 0 3 235.375 2.819 20 0 BFADHN CC[C@@H](C)[C@@H](C)N(Cc1cc[nH]n1)C1CC1 ZINC000093132285 360220020 /nfs/dbraw/zinc/22/00/20/360220020.db2.gz MXACROPCNKXASE-GHMZBOCLSA-N 0 3 221.348 2.809 20 0 BFADHN CC(C)NCc1cn(C)nc1C1CCCCC1 ZINC000093297156 360226352 /nfs/dbraw/zinc/22/63/52/360226352.db2.gz WDOLUCUMSWCXEG-UHFFFAOYSA-N 0 3 235.375 2.966 20 0 BFADHN Cc1n[nH]cc1CN1CC[C@H](CC(C)C)C1 ZINC000093338603 360233856 /nfs/dbraw/zinc/23/38/56/360233856.db2.gz MYICISSIJNLHBU-GFCCVEGCSA-N 0 3 221.348 2.586 20 0 BFADHN CCn1nc(C)c(CN2CC[C@H](C)[C@H](C)C2)c1C ZINC000093480851 360246802 /nfs/dbraw/zinc/24/68/02/360246802.db2.gz OATPLJVUHCCIBG-NWDGAFQWSA-N 0 3 249.402 2.998 20 0 BFADHN c1ncc(CN(CC2CC2)CC2CC2)s1 ZINC000093480055 360247162 /nfs/dbraw/zinc/24/71/62/360247162.db2.gz UFTIKWYVPLCZPU-UHFFFAOYSA-N 0 3 222.357 2.765 20 0 BFADHN CCO[C@H]1C[C@H](N(C)Cc2ccco2)C1(C)C ZINC000093605120 360262233 /nfs/dbraw/zinc/26/22/33/360262233.db2.gz GZOHNMFQJMBDLO-STQMWFEESA-N 0 3 237.343 2.915 20 0 BFADHN CSC[C@@H]1CCCN1Cc1cccc(O)c1 ZINC000093761411 360274390 /nfs/dbraw/zinc/27/43/90/360274390.db2.gz FEDDKKSWSUGSOO-LBPRGKRZSA-N 0 3 237.368 2.720 20 0 BFADHN CCC[C@@H]1[C@H](C)CCCN1Cc1cc[nH]n1 ZINC000093740891 360274457 /nfs/dbraw/zinc/27/44/57/360274457.db2.gz IZCJQYBSNVSGPM-DGCLKSJQSA-N 0 3 221.348 2.810 20 0 BFADHN CN(CCc1nccs1)Cc1ccsc1 ZINC000093844109 360283240 /nfs/dbraw/zinc/28/32/40/360283240.db2.gz OJQRKNQANGPYDF-UHFFFAOYSA-N 0 3 238.381 2.879 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1cc[nH]n1 ZINC000093845270 360284189 /nfs/dbraw/zinc/28/41/89/360284189.db2.gz IGGWXLFBXZGESP-YPMHNXCESA-N 0 3 221.348 2.810 20 0 BFADHN CO[C@]1(C)C[C@@H](N(C)Cc2ccco2)C1(C)C ZINC000093871676 360286267 /nfs/dbraw/zinc/28/62/67/360286267.db2.gz RBIAVMDXXJBHSQ-TZMCWYRMSA-N 0 3 237.343 2.915 20 0 BFADHN Cc1ccc(CNC[C@]2(C)CCCO2)cc1F ZINC000094798399 360319473 /nfs/dbraw/zinc/31/94/73/360319473.db2.gz GTJBHUHQHVKDAN-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H]2CCCO2)cc1F ZINC000094836600 360323212 /nfs/dbraw/zinc/32/32/12/360323212.db2.gz JSZCLRAIJSXZCW-WCQYABFASA-N 0 3 237.318 2.964 20 0 BFADHN C[C@@H](CCO)CN[C@@H](C)c1ccc(F)cc1F ZINC000097439376 360371775 /nfs/dbraw/zinc/37/17/75/360371775.db2.gz VHEQUMJUIHXSME-UWVGGRQHSA-N 0 3 243.297 2.634 20 0 BFADHN CCC(F)(F)C(C)(C)CNCc1ccn(C)n1 ZINC000623478180 360434171 /nfs/dbraw/zinc/43/41/71/360434171.db2.gz CELJNCNQMBKLPH-UHFFFAOYSA-N 0 3 245.317 2.581 20 0 BFADHN CN(C)c1ccncc1CN[C@H]1CCCC12CC2 ZINC000631193068 360589580 /nfs/dbraw/zinc/58/95/80/360589580.db2.gz NVRMEELZTCBPEX-AWEZNQCLSA-N 0 3 245.370 2.570 20 0 BFADHN C(=C/c1ccncc1)\CNCc1cccs1 ZINC000309706705 219870158 /nfs/dbraw/zinc/87/01/58/219870158.db2.gz VPNBPYKAQHNZNU-HNQUOIGGSA-N 0 3 230.336 2.946 20 0 BFADHN Cc1c[nH]nc1CN[C@@H](C)Cc1ccsc1 ZINC000309590909 491152708 /nfs/dbraw/zinc/15/27/08/491152708.db2.gz USPCLSVTWATJAP-JTQLQIEISA-N 0 3 235.356 2.500 20 0 BFADHN CCn1ccnc1CNCCC(C)(C)CC ZINC000631205476 360982289 /nfs/dbraw/zinc/98/22/89/360982289.db2.gz ASKGVCDQYCDWIQ-UHFFFAOYSA-N 0 3 223.364 2.819 20 0 BFADHN CCC(C)(C)CCNCc1cnc(C)nc1 ZINC000631205793 360985153 /nfs/dbraw/zinc/98/51/53/360985153.db2.gz FRWWZAYOXUUOPN-UHFFFAOYSA-N 0 3 221.348 2.701 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@H]2C2CCC2)o1 ZINC000459673673 200839105 /nfs/dbraw/zinc/83/91/05/200839105.db2.gz JKDPQBBKOLLVDT-QWHCGFSZSA-N 0 3 220.316 2.651 20 0 BFADHN c1ccc(CNC[C@@H]2C[C@H]2C2CCC2)nc1 ZINC000424177979 200876724 /nfs/dbraw/zinc/87/67/24/200876724.db2.gz VRJZECFMJCXIOB-JSGCOSHPSA-N 0 3 216.328 2.607 20 0 BFADHN CCCNC(=O)[C@H](C)N(C)C[C@@H](C)C(C)(C)C ZINC000640351590 361081806 /nfs/dbraw/zinc/08/18/06/361081806.db2.gz VYNDNWNAHDCGOH-NEPJUHHUSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1ccc(CN[C@@]2(C)CCCOC2)c(F)c1 ZINC000631107010 361182702 /nfs/dbraw/zinc/18/27/02/361182702.db2.gz YIIHOKIXPPNXPH-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN CCN(CC(=O)N(C)[C@@H](C)CC(C)C)C(C)C ZINC000171055804 361288245 /nfs/dbraw/zinc/28/82/45/361288245.db2.gz YADGNSUJLMUWAQ-ZDUSSCGKSA-N 0 3 242.407 2.610 20 0 BFADHN CC(C)c1nc(CN2CCC[C@@H]2C2CCC2)n[nH]1 ZINC000428208511 201001225 /nfs/dbraw/zinc/00/12/25/201001225.db2.gz PCKPUNTXCWXMDP-GFCCVEGCSA-N 0 3 248.374 2.693 20 0 BFADHN CC(C)c1nnc(C[N@@H+]2CCC[C@@H]2C2CCC2)[n-]1 ZINC000428208511 201001228 /nfs/dbraw/zinc/00/12/28/201001228.db2.gz PCKPUNTXCWXMDP-GFCCVEGCSA-N 0 3 248.374 2.693 20 0 BFADHN CC(C)c1nnc(C[N@H+]2CCC[C@@H]2C2CCC2)[n-]1 ZINC000428208511 201001231 /nfs/dbraw/zinc/00/12/31/201001231.db2.gz PCKPUNTXCWXMDP-GFCCVEGCSA-N 0 3 248.374 2.693 20 0 BFADHN CC[C@@H](C)CN(C)[C@@H](C)c1cnc(C)cn1 ZINC000428251569 201003980 /nfs/dbraw/zinc/00/39/80/201003980.db2.gz WGEOTMUXUFNROI-PWSUYJOCSA-N 0 3 221.348 2.824 20 0 BFADHN CC[C@]1(O)CCN(C/C=C/c2ccc(F)cc2)C1 ZINC000428417105 201013533 /nfs/dbraw/zinc/01/35/33/201013533.db2.gz BSZHEKRFKJDTIQ-BWPKMQGJSA-N 0 3 249.329 2.686 20 0 BFADHN CC[C@@]1(O)CCN(C/C=C\c2ccc(F)cc2)C1 ZINC000428417104 201013943 /nfs/dbraw/zinc/01/39/43/201013943.db2.gz BSZHEKRFKJDTIQ-ABCZVMIZSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@H](C)C[C@H](C)C2)cn1 ZINC000428461250 201020343 /nfs/dbraw/zinc/02/03/43/201020343.db2.gz QYTVYQKCAGUEKK-LOWVWBTDSA-N 0 3 233.359 2.824 20 0 BFADHN CCCC[C@@H](C(=O)N[C@@H](C)CN(C)C)C(C)C ZINC000622052818 370684367 /nfs/dbraw/zinc/68/43/67/370684367.db2.gz ATERDPDVGYPAJO-QWHCGFSZSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1cc(CN(CC2CC2)C2CCC2)ncn1 ZINC000428938049 201049355 /nfs/dbraw/zinc/04/93/55/201049355.db2.gz HSQJWIBWZGZQKZ-UHFFFAOYSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1cc(C)nc(N[C@@H](C)[C@@H]2CCCO2)c1 ZINC000309814222 491157147 /nfs/dbraw/zinc/15/71/47/491157147.db2.gz UYUDZFNDMYNCJA-RYUDHWBXSA-N 0 3 220.316 2.678 20 0 BFADHN CCN(CC1CCC1)[C@@H](C)c1cnc(C)cn1 ZINC000428961273 201052648 /nfs/dbraw/zinc/05/26/48/201052648.db2.gz KSHSRGRBALVDNG-LBPRGKRZSA-N 0 3 233.359 2.968 20 0 BFADHN Cc1oncc1CN(C1CCC1)C1CCC1 ZINC000429117086 201067842 /nfs/dbraw/zinc/06/78/42/201067842.db2.gz SWGBGRHCNKINKA-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN CO[C@@H](CN[C@H]1CCCc2ncccc21)C(C)C ZINC000631585624 361387867 /nfs/dbraw/zinc/38/78/67/361387867.db2.gz NXEFEAOTVKNCNZ-GJZGRUSLSA-N 0 3 248.370 2.720 20 0 BFADHN Cc1ccccc1[C@H](C)N[C@@H](CO)CC(F)F ZINC000429455195 201107859 /nfs/dbraw/zinc/10/78/59/201107859.db2.gz PKECHLNPCADLPN-WDEREUQCSA-N 0 3 243.297 2.662 20 0 BFADHN CC[C@@H](N[C@@H]1CC12CCCC2)c1nccn1C ZINC000429422003 201108839 /nfs/dbraw/zinc/10/88/39/201108839.db2.gz WLDKROZUBVLVHZ-VXGBXAGGSA-N 0 3 233.359 2.794 20 0 BFADHN c1nc2n(c1CN[C@@H]1CCC13CCC3)CCCC2 ZINC000579335598 366760782 /nfs/dbraw/zinc/76/07/82/366760782.db2.gz DEZXBVDUGBDGOB-CYBMUJFWSA-N 0 3 245.370 2.642 20 0 BFADHN COc1ccc([C@H](C)NC2CSC2)cc1F ZINC000309885336 491157593 /nfs/dbraw/zinc/15/75/93/491157593.db2.gz XYLPXEMKDIJWMN-QMMMGPOBSA-N 0 3 241.331 2.600 20 0 BFADHN CC[C@@H]1CCN1Cc1cccc(-n2cccn2)c1 ZINC000429292411 201097165 /nfs/dbraw/zinc/09/71/65/201097165.db2.gz IRTPHGABICLJQC-CQSZACIVSA-N 0 3 241.338 2.857 20 0 BFADHN CC[C@@]1(C)CN(CCCC(C)C)CCO1 ZINC000429313974 201098842 /nfs/dbraw/zinc/09/88/42/201098842.db2.gz DZUWYCBDVPTHJQ-ZDUSSCGKSA-N 0 3 213.365 2.924 20 0 BFADHN CC[C@@]1(C)COCCN1CCCC(C)C ZINC000429335267 201101542 /nfs/dbraw/zinc/10/15/42/201101542.db2.gz RINZWIYCWPJWHE-ZDUSSCGKSA-N 0 3 213.365 2.924 20 0 BFADHN Cc1ccoc1CN(C)C[C@H]1CCO[C@H](C)C1 ZINC000429360596 201103932 /nfs/dbraw/zinc/10/39/32/201103932.db2.gz HKYXCGSWAOBYIN-OLZOCXBDSA-N 0 3 237.343 2.835 20 0 BFADHN CCCN(CC1CCCC1)[C@@H](C)C(=O)OCC ZINC000429724336 201128591 /nfs/dbraw/zinc/12/85/91/201128591.db2.gz WSOTVWWTVJLKPS-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CCCN(C[C@H](C)CC)[C@H](C)C(=O)OCC ZINC000429717682 201129175 /nfs/dbraw/zinc/12/91/75/201129175.db2.gz IBTKWZVXKOLMSS-VXGBXAGGSA-N 0 3 229.364 2.696 20 0 BFADHN CCCN(C[C@@H](C)CC)[C@H](C)C(=O)OCC ZINC000429717680 201130095 /nfs/dbraw/zinc/13/00/95/201130095.db2.gz IBTKWZVXKOLMSS-NWDGAFQWSA-N 0 3 229.364 2.696 20 0 BFADHN Cc1cc(C)cc(CNCCOC(F)(F)F)c1 ZINC000353222325 366783324 /nfs/dbraw/zinc/78/33/24/366783324.db2.gz GGBWMZIJLRNKCE-UHFFFAOYSA-N 0 3 247.260 2.929 20 0 BFADHN C[C@H](NCCCc1ccccn1)c1ccoc1 ZINC000181493272 366815557 /nfs/dbraw/zinc/81/55/57/366815557.db2.gz QUCUNZPPJKKGBA-LBPRGKRZSA-N 0 3 230.311 2.958 20 0 BFADHN C[C@@H]1C[C@H](O)CN1Cc1ccc2c(c1)CCCC2 ZINC000430061134 201140793 /nfs/dbraw/zinc/14/07/93/201140793.db2.gz WQPNKGWBDSTJQU-WBMJQRKESA-N 0 3 245.366 2.521 20 0 BFADHN CCC[C@@H]1C[C@H]1NCc1cnc2cc(C)ccn12 ZINC000430072251 201141078 /nfs/dbraw/zinc/14/10/78/201141078.db2.gz RBAVQIPKJCXBCP-TZMCWYRMSA-N 0 3 243.354 2.921 20 0 BFADHN CCC(CC)CN(C)[C@@H](CC(C)C)C(=O)OC ZINC000430187980 201151310 /nfs/dbraw/zinc/15/13/10/201151310.db2.gz QXFLNZYXCXHISV-ZDUSSCGKSA-N 0 3 243.391 2.942 20 0 BFADHN CC[C@H]1CCN1Cc1c[nH]nc1C(C)(C)C ZINC000430205714 201153152 /nfs/dbraw/zinc/15/31/52/201153152.db2.gz MXWJRJBZIQOBQH-NSHDSACASA-N 0 3 221.348 2.692 20 0 BFADHN CCC[C@@H](C)N1CCN(c2ccccc2)CC1 ZINC000379747276 361457212 /nfs/dbraw/zinc/45/72/12/361457212.db2.gz DAHLWWSAAXLPSF-CQSZACIVSA-N 0 3 232.371 2.997 20 0 BFADHN CC1(C)C[C@@H]1CNCc1ccc(-c2cc[nH]n2)o1 ZINC000433328222 201181896 /nfs/dbraw/zinc/18/18/96/201181896.db2.gz VMIHBXVPKHMRJM-SNVBAGLBSA-N 0 3 245.326 2.805 20 0 BFADHN CC(C)(C)[C@H]1CN(CC2(C)CCC2)CCO1 ZINC000433200981 201168710 /nfs/dbraw/zinc/16/87/10/201168710.db2.gz KEKYOQNCYIKIQN-GFCCVEGCSA-N 0 3 225.376 2.924 20 0 BFADHN CN(C)[C@@H](CC(=O)NC(C)(C)C)c1ccccc1 ZINC000433226273 201170256 /nfs/dbraw/zinc/17/02/56/201170256.db2.gz GAQGRSHXVVTVPD-ZDUSSCGKSA-N 0 3 248.370 2.594 20 0 BFADHN Cc1cc(O)cc(NC(=O)C(C)C(F)(F)F)c1 ZINC000629632115 361522421 /nfs/dbraw/zinc/52/24/21/361522421.db2.gz ODDHIINOYQJLEU-SSDOTTSWSA-N 0 3 247.216 2.838 20 0 BFADHN CC(C)Cn1ccnc1CNC1CC(C)(C)C1 ZINC000433273081 201174261 /nfs/dbraw/zinc/17/42/61/201174261.db2.gz YQFKCMICWQMQNJ-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN CCc1ccc(CN[C@]2(CC)CCOC2)o1 ZINC000309945754 491158687 /nfs/dbraw/zinc/15/86/87/491158687.db2.gz DINZMRZZSCDCDO-CYBMUJFWSA-N 0 3 223.316 2.501 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2ccc(N)nc2)C1 ZINC000629674230 361598041 /nfs/dbraw/zinc/59/80/41/361598041.db2.gz YYBCLIHIYKJFEB-YWVDXFKGSA-N 0 3 245.370 2.672 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccc(C)cc2F)C1 ZINC000631172263 361577584 /nfs/dbraw/zinc/57/75/84/361577584.db2.gz JMQDHOQGUGWIGX-JOCQHMNTSA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)CC1(CNCc2ncc[nH]2)CCC1 ZINC000153585908 201244660 /nfs/dbraw/zinc/24/46/60/201244660.db2.gz QCVDOASBRREEOC-UHFFFAOYSA-N 0 3 221.348 2.716 20 0 BFADHN CN(CCCCCO)Cc1ccc(Cl)o1 ZINC000435047723 201249469 /nfs/dbraw/zinc/24/94/69/201249469.db2.gz IUZUATXPQDLZOQ-UHFFFAOYSA-N 0 3 231.723 2.527 20 0 BFADHN CN(CCC1CC1)Cc1ccc(-c2cc[nH]n2)o1 ZINC000435124656 201249523 /nfs/dbraw/zinc/24/95/23/201249523.db2.gz RLXVTBBTZNAQLO-UHFFFAOYSA-N 0 3 245.326 2.902 20 0 BFADHN CN(C)CCOc1cccc(-c2ccns2)c1 ZINC000629700320 361630597 /nfs/dbraw/zinc/63/05/97/361630597.db2.gz IQDPLIHYNCTNOW-UHFFFAOYSA-N 0 3 248.351 2.751 20 0 BFADHN CC[C@@H](N[C@H](C)c1nccs1)C1CC1 ZINC000083056850 491159571 /nfs/dbraw/zinc/15/95/71/491159571.db2.gz KPBPJOODDRAIJO-PSASIEDQSA-N 0 3 210.346 2.982 20 0 BFADHN CCNCc1nc(-c2c(C)noc2C)cs1 ZINC000434664340 201231944 /nfs/dbraw/zinc/23/19/44/201231944.db2.gz UJTXWEWQFLKGMY-UHFFFAOYSA-N 0 3 237.328 2.524 20 0 BFADHN CN(Cc1ccc(-c2cc[nH]n2)o1)C1CCC1 ZINC000435122407 201250042 /nfs/dbraw/zinc/25/00/42/201250042.db2.gz AFDUEYWVAORGOZ-UHFFFAOYSA-N 0 3 231.299 2.654 20 0 BFADHN CCCN(CC)Cc1cnn(C(C)(C)C)c1 ZINC000434993165 201248822 /nfs/dbraw/zinc/24/88/22/201248822.db2.gz ZAYFEXQZOVKBLV-UHFFFAOYSA-N 0 3 223.364 2.870 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nccs1)[C@H]1CCOC1 ZINC000153395953 201237622 /nfs/dbraw/zinc/23/76/22/201237622.db2.gz KNEOOBSHODOGDN-GARJFASQSA-N 0 3 240.372 2.609 20 0 BFADHN CCN(Cc1ccc(-c2cc[nH]n2)o1)C(C)C ZINC000435131993 201253673 /nfs/dbraw/zinc/25/36/73/201253673.db2.gz UMLRAPLVTOYSHX-UHFFFAOYSA-N 0 3 233.315 2.900 20 0 BFADHN CC[C@@H](C)CN1CCN(c2ccc(O)cc2)CC1 ZINC000154124254 201262548 /nfs/dbraw/zinc/26/25/48/201262548.db2.gz OTNGWRZGSDRMFD-CYBMUJFWSA-N 0 3 248.370 2.560 20 0 BFADHN CCc1c(C)nc2ccccc2c1NCCF ZINC000435596673 201277056 /nfs/dbraw/zinc/27/70/56/201277056.db2.gz YZPSBSYOADJFPO-UHFFFAOYSA-N 0 3 232.302 2.909 20 0 BFADHN CCCCOCCN1CCSC(C)(C)C1 ZINC000435583843 201277593 /nfs/dbraw/zinc/27/75/93/201277593.db2.gz LHLNRDAGIYVQLW-UHFFFAOYSA-N 0 3 231.405 2.631 20 0 BFADHN Cc1cccc2ncc(CN(C)CC3CCC3)n21 ZINC000435769113 201287205 /nfs/dbraw/zinc/28/72/05/201287205.db2.gz UKYQDUVGHMSOMF-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CN[C@@H](CO)c1cccc(-c2ccc(C)o2)c1 ZINC000629716300 361658341 /nfs/dbraw/zinc/65/83/41/361658341.db2.gz IKMFNUXBDVUXEG-ZDUSSCGKSA-N 0 3 231.295 2.508 20 0 BFADHN Cc1cocc1-c1ccc([C@H]2CNCCO2)cc1 ZINC000629738914 361674418 /nfs/dbraw/zinc/67/44/18/361674418.db2.gz SXABQNDSAADOOP-OAHLLOKOSA-N 0 3 243.306 2.916 20 0 BFADHN Cc1coc(-c2ccc([C@@H]3CNCCO3)cc2)c1 ZINC000629736894 361676050 /nfs/dbraw/zinc/67/60/50/361676050.db2.gz YBICPHVJXORRCZ-HNNXBMFYSA-N 0 3 243.306 2.916 20 0 BFADHN CC(C)[C@@H](COCc1ccccc1)NCCF ZINC000436286274 201318899 /nfs/dbraw/zinc/31/88/99/201318899.db2.gz AHNSFWDFXLLYLZ-CQSZACIVSA-N 0 3 239.334 2.787 20 0 BFADHN C[C@]1(NCc2cc3c(cccc3F)[nH]2)CCOC1 ZINC000436421358 201324563 /nfs/dbraw/zinc/32/45/63/201324563.db2.gz WSEMOKFCFRCOAS-AWEZNQCLSA-N 0 3 248.301 2.576 20 0 BFADHN CN(C)c1cccc(CNC2CC3(CCC3)C2)n1 ZINC000436471433 201329622 /nfs/dbraw/zinc/32/96/22/201329622.db2.gz RZRZDFXVUILIHN-UHFFFAOYSA-N 0 3 245.370 2.570 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H](C)[C@H]1CCCO1 ZINC000310503812 491161762 /nfs/dbraw/zinc/16/17/62/491161762.db2.gz NGANISGXXOKEGY-VDDIYKPWSA-N 0 3 238.331 2.510 20 0 BFADHN CC[C@@H](CO)N[C@H](c1ccc(C)o1)C1CCC1 ZINC000437103767 201344429 /nfs/dbraw/zinc/34/44/29/201344429.db2.gz BLDFYDLIURDJQE-JSGCOSHPSA-N 0 3 237.343 2.790 20 0 BFADHN C[C@H](NCc1ccc(F)c(Cl)c1)C(C)(C)O ZINC000083727905 491162831 /nfs/dbraw/zinc/16/28/31/491162831.db2.gz NNJSJKABNXJDHZ-QMMMGPOBSA-N 0 3 245.725 2.728 20 0 BFADHN CCCC[C@@H](CC)CCN1CCC[C@@H]1C(N)=O ZINC000437577269 201357304 /nfs/dbraw/zinc/35/73/04/201357304.db2.gz JPSOHSKDCHXBRR-CHWSQXEVSA-N 0 3 240.391 2.543 20 0 BFADHN Fc1cncc(CNCC2=CCCCC2)c1 ZINC000379766112 363892933 /nfs/dbraw/zinc/89/29/33/363892933.db2.gz JBPZEALPMPPKCN-UHFFFAOYSA-N 0 3 220.291 2.811 20 0 BFADHN C[C@@H](N[C@@H](C)C1CC1)c1cn2ccccc2n1 ZINC000515432242 370698563 /nfs/dbraw/zinc/69/85/63/370698563.db2.gz ABFOKLJGSZQQFS-WDEREUQCSA-N 0 3 229.327 2.783 20 0 BFADHN CCC(C)(C)NC(=O)CN(C)C1CCCCC1 ZINC000066697089 363982865 /nfs/dbraw/zinc/98/28/65/363982865.db2.gz CZLGBBIRVGJVKD-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@@](CO)(N[C@H]1C=CCCC1)c1ccccc1 ZINC000225451399 370701446 /nfs/dbraw/zinc/70/14/46/370701446.db2.gz XPYJKAAEXJOTGE-GJZGRUSLSA-N 0 3 231.339 2.592 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C)c1cn2ccccc2n1 ZINC000556261355 370703051 /nfs/dbraw/zinc/70/30/51/370703051.db2.gz LKUCFKXJXOEBFT-WOPDTQHZSA-N 0 3 229.327 2.641 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](CO)C1)c1ccccc1Cl ZINC000631671336 364268399 /nfs/dbraw/zinc/26/83/99/364268399.db2.gz ZUZQLBRADVHZNK-MXWKQRLJSA-N 0 3 239.746 2.762 20 0 BFADHN C[C@H](NCC1CC1)c1nc2c(s1)CCC2 ZINC000134645337 364408641 /nfs/dbraw/zinc/40/86/41/364408641.db2.gz WMZKRTWHOULCAF-QMMMGPOBSA-N 0 3 222.357 2.692 20 0 BFADHN Cc1cccc(CCNCc2ccsc2)n1 ZINC000280471715 541354654 /nfs/dbraw/zinc/35/46/54/541354654.db2.gz CPIHRRAQMCFNLW-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN C[C@@H](NCC1CC1)c1nc2c(s1)CCC2 ZINC000134645360 364408842 /nfs/dbraw/zinc/40/88/42/364408842.db2.gz WMZKRTWHOULCAF-MRVPVSSYSA-N 0 3 222.357 2.692 20 0 BFADHN CC1(C)[C@@H](NCc2ccoc2)[C@H]2CCCO[C@@H]21 ZINC000135201168 364432419 /nfs/dbraw/zinc/43/24/19/364432419.db2.gz ZJGYHEOHYXOIQB-AGIUHOORSA-N 0 3 235.327 2.573 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@@H](C)c1cn[nH]c1 ZINC000453469100 202774609 /nfs/dbraw/zinc/77/46/09/202774609.db2.gz ZODWKMALIXVRKB-CYZMBNFOSA-N 0 3 221.348 2.885 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@H](C)c1cn[nH]c1 ZINC000453469102 202775028 /nfs/dbraw/zinc/77/50/28/202775028.db2.gz ZODWKMALIXVRKB-KGYLQXTDSA-N 0 3 221.348 2.885 20 0 BFADHN COc1cc(CN[C@H](C)CCC2CC2)sn1 ZINC000399013646 364722562 /nfs/dbraw/zinc/72/25/62/364722562.db2.gz BCROZWRCEKMBKG-SECBINFHSA-N 0 3 240.372 2.820 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1cocn1 ZINC000272392378 364751050 /nfs/dbraw/zinc/75/10/50/364751050.db2.gz VCBJXEICAUQIDM-ZYHUDNBSSA-N 0 3 208.305 2.733 20 0 BFADHN F[C@@H]1CC[C@@H](Nc2ccnc3ccccc32)C1 ZINC000573355627 364808738 /nfs/dbraw/zinc/80/87/38/364808738.db2.gz BXIVIBYJZBIRNU-GHMZBOCLSA-N 0 3 230.286 2.959 20 0 BFADHN C[C@H](NC[C@@H](O)CC(C)(C)C)c1ccoc1 ZINC000167858295 364816888 /nfs/dbraw/zinc/81/68/88/364816888.db2.gz AZKABVHJRUZPPS-JQWIXIFHSA-N 0 3 225.332 2.727 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2ccoc2C)C1(C)C ZINC000321527070 541387653 /nfs/dbraw/zinc/38/76/53/541387653.db2.gz OYGHEYKIIFEQBP-QWHCGFSZSA-N 0 3 237.343 2.881 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ncccc1F ZINC000090721313 325679616 /nfs/dbraw/zinc/67/96/16/325679616.db2.gz OKGIHBWIFAHGCR-ZJUUUORDSA-N 0 3 210.296 2.745 20 0 BFADHN C[C@@H](O)[C@@H](NCC1(C)CC1)c1ccccc1F ZINC000573808021 364921594 /nfs/dbraw/zinc/92/15/94/364921594.db2.gz GPVMPJRBXCFPSL-ZWNOBZJWSA-N 0 3 237.318 2.637 20 0 BFADHN c1nc([C@H](N[C@@H]2CC23CC3)C2CCCCC2)n[nH]1 ZINC000574444370 365063713 /nfs/dbraw/zinc/06/37/13/365063713.db2.gz PWXLDKHFONUUKP-VXGBXAGGSA-N 0 3 246.358 2.568 20 0 BFADHN c1nnc([C@H](N[C@@H]2CC23CC3)C2CCCCC2)[nH]1 ZINC000574444370 365063717 /nfs/dbraw/zinc/06/37/17/365063717.db2.gz PWXLDKHFONUUKP-VXGBXAGGSA-N 0 3 246.358 2.568 20 0 BFADHN CC[C@@H](C)CN(CCOC)Cc1cncs1 ZINC000574480902 365073100 /nfs/dbraw/zinc/07/31/00/365073100.db2.gz FMSZRMJTWDFSDA-LLVKDONJSA-N 0 3 242.388 2.638 20 0 BFADHN CCC[C@H](C)[C@H](CO)N[C@H](C)c1ccccn1 ZINC000574457529 365066260 /nfs/dbraw/zinc/06/62/60/365066260.db2.gz WISKNXPTEPXBLG-SCRDCRAPSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)[C@H]1C[C@H](NC2(CF)CCC2)CS1 ZINC000574324656 365037180 /nfs/dbraw/zinc/03/71/80/365037180.db2.gz ZTYFROBNDWMYDB-WDEREUQCSA-N 0 3 231.380 2.998 20 0 BFADHN CCOc1ncccc1CNC1CC(C)(C)C1 ZINC000433166080 192987423 /nfs/dbraw/zinc/98/74/23/192987423.db2.gz DXBSOBYQYZJWLD-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@@H]1CN(CCCCCF)CC2(CCC2)O1 ZINC000574634939 365106460 /nfs/dbraw/zinc/10/64/60/365106460.db2.gz GPLCPSJAARYDBF-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN COCC1(C)CCN(Cc2cncc(C)c2)CC1 ZINC000442899556 193002500 /nfs/dbraw/zinc/00/25/00/193002500.db2.gz LBZNNOMDZCDNRP-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN CO[C@@H](C)CNC/C=C/c1ccc(F)cc1F ZINC000443001834 193009741 /nfs/dbraw/zinc/00/97/41/193009741.db2.gz NSQCHOJBJVJXBL-FSIBCCDJSA-N 0 3 241.281 2.603 20 0 BFADHN C[C@H](O)[C@H]1C[C@H](C)CCN1Cc1ccsc1 ZINC000443791496 193029610 /nfs/dbraw/zinc/02/96/10/193029610.db2.gz QOWZBPJDAZLPNU-NTZNESFSSA-N 0 3 239.384 2.729 20 0 BFADHN Cc1ccoc1CN1CC[C@H](C)C[C@H]1[C@@H](C)O ZINC000443791338 193028842 /nfs/dbraw/zinc/02/88/42/193028842.db2.gz RBIILXYJXWXBTE-UHTWSYAYSA-N 0 3 237.343 2.569 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)C[C@@H]2[C@@H](C)O)o1 ZINC000443790826 193029027 /nfs/dbraw/zinc/02/90/27/193029027.db2.gz PMJSPSCLPIVTEX-ZKYQVNSYSA-N 0 3 237.343 2.569 20 0 BFADHN CC[C@H](C)N(CCO)Cc1csc(C)c1 ZINC000379885626 365336692 /nfs/dbraw/zinc/33/66/92/365336692.db2.gz XXCZGIGVBFRSFL-JTQLQIEISA-N 0 3 227.373 2.649 20 0 BFADHN Cc1nc(CN(C)[C@H](C)C2CC2)sc1C ZINC000173203147 365409232 /nfs/dbraw/zinc/40/92/32/365409232.db2.gz GVSJBVWLJRBUKX-SECBINFHSA-N 0 3 224.373 2.990 20 0 BFADHN Cc1nc(CN2C[C@@H]3CCC[C@H]3C2)oc1C ZINC000152785836 325800235 /nfs/dbraw/zinc/80/02/35/325800235.db2.gz APUJYWKXRSKMSP-RYUDHWBXSA-N 0 3 220.316 2.523 20 0 BFADHN CC[C@H]1CCC[C@@H](CN2CCOCC2)C1 ZINC000182928982 366957846 /nfs/dbraw/zinc/95/78/46/366957846.db2.gz BXBLRBWZNSKDRT-QWHCGFSZSA-N 0 3 211.349 2.535 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CCN(CC)CC2)C1 ZINC000182937128 366958921 /nfs/dbraw/zinc/95/89/21/366958921.db2.gz CIYSECSCUCXLSF-CABCVRRESA-N 0 3 238.419 2.840 20 0 BFADHN CCCCN(C)Cc1cnn(CC(C)C)c1 ZINC000182948647 366960794 /nfs/dbraw/zinc/96/07/94/366960794.db2.gz SRUAOADHZWPMIP-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NCc1ccccc1C ZINC000179998597 541505968 /nfs/dbraw/zinc/50/59/68/541505968.db2.gz WWKDVRQMKMSSDB-NSHDSACASA-N 0 3 229.327 2.877 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NCCc1ccccc1F ZINC000179999059 541506204 /nfs/dbraw/zinc/50/62/04/541506204.db2.gz GFYVYZRHPOKLNW-SNVBAGLBSA-N 0 3 247.317 2.751 20 0 BFADHN CCCn1c(C)nnc1CN[C@H](C)[C@@H](C)CC ZINC000183033832 366968284 /nfs/dbraw/zinc/96/82/84/366968284.db2.gz HNQBQGCTZLUYKX-WDEREUQCSA-N 0 3 238.379 2.521 20 0 BFADHN COCCC[C@@H](C)NCc1noc2ccccc12 ZINC000579731052 366996399 /nfs/dbraw/zinc/99/63/99/366996399.db2.gz IKHWLMSLYXGAOE-LLVKDONJSA-N 0 3 248.326 2.733 20 0 BFADHN C[C@H](CN(C)Cc1cc[nH]c1)c1nccs1 ZINC000183250041 367005101 /nfs/dbraw/zinc/00/51/01/367005101.db2.gz IQUMPDHNWPCIHM-SNVBAGLBSA-N 0 3 235.356 2.707 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2nccn2C)cc1 ZINC000183282550 367009514 /nfs/dbraw/zinc/00/95/14/367009514.db2.gz HVULQPAEROOVAF-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1conc1C ZINC000453614696 202784618 /nfs/dbraw/zinc/78/46/18/202784618.db2.gz QJOJSACYAFFYNE-OLZOCXBDSA-N 0 3 222.332 2.897 20 0 BFADHN COC1(CN(C)Cc2cccc(O)c2)CCCC1 ZINC000579904674 367068841 /nfs/dbraw/zinc/06/88/41/367068841.db2.gz RBBZOHYMXCPLGW-UHFFFAOYSA-N 0 3 249.354 2.783 20 0 BFADHN FCCCNCc1cc(Cl)cs1 ZINC000193155153 367153919 /nfs/dbraw/zinc/15/39/19/367153919.db2.gz OLUKKGCCLJWVPF-UHFFFAOYSA-N 0 3 207.701 2.851 20 0 BFADHN FCCCNCc1cnc(-c2ccco2)s1 ZINC000193155192 367154279 /nfs/dbraw/zinc/15/42/79/367154279.db2.gz ORCPNIZTIBMSIZ-UHFFFAOYSA-N 0 3 240.303 2.852 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1cc(C)c(C)cc1C ZINC000580872381 367193233 /nfs/dbraw/zinc/19/32/33/367193233.db2.gz VOVQYDIMMOSMPV-GJZGRUSLSA-N 0 3 233.355 2.879 20 0 BFADHN CCc1cccc(F)c1CN[C@H]1CO[C@@H](C)C1 ZINC000580892426 367199279 /nfs/dbraw/zinc/19/92/79/367199279.db2.gz IWSXJQQDUFPQKJ-CMPLNLGQSA-N 0 3 237.318 2.655 20 0 BFADHN CN(C)Cc1cccc(NC(=O)NC(C)(C)C)c1 ZINC000193677599 367204273 /nfs/dbraw/zinc/20/42/73/367204273.db2.gz OAUMRXJZSGKVGB-UHFFFAOYSA-N 0 3 249.358 2.668 20 0 BFADHN C[C@H](CN1C[C@@H](C)O[C@H](C)C1)c1ccccc1 ZINC000116876487 370848851 /nfs/dbraw/zinc/84/88/51/370848851.db2.gz SYSQNTNRXYFFFA-MGPQQGTHSA-N 0 3 233.355 2.899 20 0 BFADHN CN(C/C=C\c1ccccc1)CC1(O)CCCC1 ZINC000380746090 367295018 /nfs/dbraw/zinc/29/50/18/367295018.db2.gz UHESVQZNXFGNFJ-YFHOEESVSA-N 0 3 245.366 2.937 20 0 BFADHN CCOCCN(CC)CCc1ccccc1F ZINC000194549451 367303878 /nfs/dbraw/zinc/30/38/78/367303878.db2.gz SHABOLCQLHIFRW-UHFFFAOYSA-N 0 3 239.334 2.727 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@@H](C)c1ccoc1)OC ZINC000381306128 367378399 /nfs/dbraw/zinc/37/83/99/367378399.db2.gz RZLRGGGVLNTORX-GMXVVIOVSA-N 0 3 225.332 2.991 20 0 BFADHN Cc1cnc(CN[C@H]2CCCC23CC3)s1 ZINC000581440799 367473405 /nfs/dbraw/zinc/47/34/05/367473405.db2.gz RPPULXCTVLENGU-JTQLQIEISA-N 0 3 222.357 2.874 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(SC)cc1 ZINC000381647284 367443321 /nfs/dbraw/zinc/44/33/21/367443321.db2.gz BZAZRQWRXCLVEC-OLZOCXBDSA-N 0 3 237.368 2.676 20 0 BFADHN CCc1nnc(CN[C@H](C(C)C)C2CC2)s1 ZINC000381726371 367451045 /nfs/dbraw/zinc/45/10/45/367451045.db2.gz MOKUJPCQGQDUJN-GFCCVEGCSA-N 0 3 239.388 2.625 20 0 BFADHN CCC1(NCc2cn(C(C)C)nn2)CCCC1 ZINC000382560588 367540209 /nfs/dbraw/zinc/54/02/09/367540209.db2.gz KTHIPLJEVLRMJE-UHFFFAOYSA-N 0 3 236.363 2.671 20 0 BFADHN CC[C@H](C)CNCc1ccc(COC)o1 ZINC000382655671 367550956 /nfs/dbraw/zinc/55/09/56/367550956.db2.gz RVGHLUKSOJMTGH-JTQLQIEISA-N 0 3 211.305 2.562 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1ccoc1)OC ZINC000382411097 367517668 /nfs/dbraw/zinc/51/76/68/367517668.db2.gz ULWPDLOMLCBWID-CMPLNLGQSA-N 0 3 211.305 2.745 20 0 BFADHN CC1(C)CC[C@@H]1NCc1nc2ccccc2[nH]1 ZINC000383361305 367617084 /nfs/dbraw/zinc/61/70/84/367617084.db2.gz SUURROCURJDINU-LBPRGKRZSA-N 0 3 229.327 2.841 20 0 BFADHN Cc1ccoc1CNC[C@@H](O)CC1CCCC1 ZINC000383876247 367656813 /nfs/dbraw/zinc/65/68/13/367656813.db2.gz IRDGWUAXZZTLSR-ZDUSSCGKSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1cccc(CN[C@@]2(C)CCO[C@H]2C)c1F ZINC000384586192 367702743 /nfs/dbraw/zinc/70/27/43/367702743.db2.gz YITLVTMHKLYWPK-FZMZJTMJSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cccc(CN[C@]2(C)CCO[C@@H]2C)c1F ZINC000384586191 367703334 /nfs/dbraw/zinc/70/33/34/367703334.db2.gz YITLVTMHKLYWPK-BXUZGUMPSA-N 0 3 237.318 2.791 20 0 BFADHN COC1(CNCc2cccc(C)c2F)CCC1 ZINC000384503856 367693276 /nfs/dbraw/zinc/69/32/76/367693276.db2.gz DKFQRDSYPXXERF-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1cccc(CN[C@@H](C)Cn2ccnc2)c1F ZINC000384497708 367694248 /nfs/dbraw/zinc/69/42/48/367694248.db2.gz OFGQPHHHAQDPLY-LBPRGKRZSA-N 0 3 247.317 2.509 20 0 BFADHN C[C@@H](CC(C)(C)C)N[C@@H](C)c1cnccn1 ZINC000384511835 367695108 /nfs/dbraw/zinc/69/51/08/367695108.db2.gz HKMMUUNVHSWYKO-QWRGUYRKSA-N 0 3 221.348 2.952 20 0 BFADHN Cc1cccc(CN[C@@H]2CCO[C@@H]2C2CC2)c1F ZINC000384510945 367695333 /nfs/dbraw/zinc/69/53/33/367695333.db2.gz TWQVFUWSNVJZFN-UKRRQHHQSA-N 0 3 249.329 2.791 20 0 BFADHN CC/C=C\CNCc1cncn1C(C)C ZINC000384732210 367723086 /nfs/dbraw/zinc/72/30/86/367723086.db2.gz JXNXKNWVHHYORF-WAYWQWQTSA-N 0 3 207.321 2.520 20 0 BFADHN Cc1cc(CNCC(C)(C)C2CCC2)on1 ZINC000385015453 367772795 /nfs/dbraw/zinc/77/27/95/367772795.db2.gz YVCYNQCFNRGEFV-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN CCCC[C@@H](C(=O)OC)N(CC)CCC1CC1 ZINC000385242963 367811721 /nfs/dbraw/zinc/81/17/21/367811721.db2.gz FWAUSEFNZHIAMO-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C/c2ccccc2)[C@H](C)O1 ZINC000385043621 367778399 /nfs/dbraw/zinc/77/83/99/367778399.db2.gz ZMLZDQAWHATYPB-ITYKKCRKSA-N 0 3 231.339 2.855 20 0 BFADHN Cc1cnccc1CNC1C(C)(C)C1(C)C ZINC000385195961 367807574 /nfs/dbraw/zinc/80/75/74/367807574.db2.gz PCNPRNNZHUISGD-UHFFFAOYSA-N 0 3 218.344 2.914 20 0 BFADHN CCOc1ccc2c(c1)CCN(C(C)C)C2 ZINC000441070564 201533552 /nfs/dbraw/zinc/53/35/52/201533552.db2.gz VXHMTPRPPFZFSB-UHFFFAOYSA-N 0 3 219.328 2.852 20 0 BFADHN Cc1cc([C@@H](C)NCCC(C)(C)CO)c(C)o1 ZINC000385744763 367860112 /nfs/dbraw/zinc/86/01/12/367860112.db2.gz CETOZOYKBGAQQI-LLVKDONJSA-N 0 3 239.359 2.956 20 0 BFADHN Cc1cc([C@H](C)NCCC(C)(C)CO)c(C)o1 ZINC000385744764 367860746 /nfs/dbraw/zinc/86/07/46/367860746.db2.gz CETOZOYKBGAQQI-NSHDSACASA-N 0 3 239.359 2.956 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cncn1C(C)C ZINC000385794542 367864686 /nfs/dbraw/zinc/86/46/86/367864686.db2.gz FPWBBYWBCLIMOF-TZMCWYRMSA-N 0 3 235.375 2.988 20 0 BFADHN COCc1ccc(CN[C@@H]2C[C@H]2CC(C)C)o1 ZINC000385846881 367869678 /nfs/dbraw/zinc/86/96/78/367869678.db2.gz PAVUJGFFQOUFID-BXUZGUMPSA-N 0 3 237.343 2.950 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@H]2C[C@H]2CC(C)C)n1 ZINC000385855364 367871325 /nfs/dbraw/zinc/87/13/25/367871325.db2.gz QVERIDIIQNNANY-VXGBXAGGSA-N 0 3 234.343 2.620 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H](C)c1cnccn1 ZINC000385876572 367873469 /nfs/dbraw/zinc/87/34/69/367873469.db2.gz WBGGEMCLKVDOOU-IJLUTSLNSA-N 0 3 219.332 2.562 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@@H](C)n3ccnc32)[C@@H]1C ZINC000385883098 367875330 /nfs/dbraw/zinc/87/53/30/367875330.db2.gz BVEQCCRTIJUYEC-PZWNZHSQSA-N 0 3 219.332 2.523 20 0 BFADHN CSCC1CCC(NCc2ccno2)CC1 ZINC000385422514 367827613 /nfs/dbraw/zinc/82/76/13/367827613.db2.gz KESXTBKQLFUREQ-UHFFFAOYSA-N 0 3 240.372 2.686 20 0 BFADHN C[C@H](N[C@H](CO)C1CCCCC1)c1ccccn1 ZINC000386077410 367909783 /nfs/dbraw/zinc/90/97/83/367909783.db2.gz BKCSTVHRYHNJNK-SWLSCSKDSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2COCc3ccccc32)[C@H]1C ZINC000386000646 367895361 /nfs/dbraw/zinc/89/53/61/367895361.db2.gz SGDJHJPAUIAZEU-FDRIWYBQSA-N 0 3 231.339 2.892 20 0 BFADHN Cc1ccoc1CN[C@@H](CO)C1CCCCC1 ZINC000386255572 367939343 /nfs/dbraw/zinc/93/93/43/367939343.db2.gz LULWHAXLXXEWKC-ZDUSSCGKSA-N 0 3 237.343 2.619 20 0 BFADHN COc1ccc(CN[C@@H]2CC23CCCC3)cc1O ZINC000386910136 368034066 /nfs/dbraw/zinc/03/40/66/368034066.db2.gz NGZXSTLBOJPKSA-CQSZACIVSA-N 0 3 247.338 2.823 20 0 BFADHN COc1ccc(CN[C@H]2CC23CCCC3)cc1O ZINC000386910134 368034163 /nfs/dbraw/zinc/03/41/63/368034163.db2.gz NGZXSTLBOJPKSA-AWEZNQCLSA-N 0 3 247.338 2.823 20 0 BFADHN CC[C@H](NCc1ccc([C@@H]2C[C@H]2C)o1)[C@H](C)O ZINC000386951817 368038172 /nfs/dbraw/zinc/03/81/72/368038172.db2.gz XRBVYOUHRJFIGM-DNIRFERGSA-N 0 3 237.343 2.652 20 0 BFADHN CC[C@@H](NCc1ccccc1Cl)[C@@H](C)O ZINC000386715500 368012301 /nfs/dbraw/zinc/01/23/01/368012301.db2.gz QRDSUPBLVSDOLZ-BXKDBHETSA-N 0 3 227.735 2.589 20 0 BFADHN CC[C@H](NCc1ccc(Cl)cc1F)[C@@H](C)O ZINC000386837303 368025252 /nfs/dbraw/zinc/02/52/52/368025252.db2.gz WZBVFPGRYSSPSA-PELKAZGASA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc(F)ccc1F)[C@@H](C)O ZINC000386820130 368026172 /nfs/dbraw/zinc/02/61/72/368026172.db2.gz FZNCINJRJVHGNX-RWEMILLDSA-N 0 3 243.297 2.775 20 0 BFADHN c1cc2c(c(CN[C@@H]3CC34CCCC4)c1)OCO2 ZINC000386885192 368031456 /nfs/dbraw/zinc/03/14/56/368031456.db2.gz JDMKJJJZGVEJGL-CYBMUJFWSA-N 0 3 245.322 2.838 20 0 BFADHN CC[C@H](N[C@H](C)c1cccc(F)c1F)[C@@H](C)O ZINC000386911992 368033899 /nfs/dbraw/zinc/03/38/99/368033899.db2.gz QCVOETRUZYBLLZ-LNLATYFQSA-N 0 3 243.297 2.775 20 0 BFADHN CC(C)C[C@H](N)C(=O)N(C)C[C@@H](C)C(C)(C)C ZINC000399530782 368172603 /nfs/dbraw/zinc/17/26/03/368172603.db2.gz RWLJBJHEOAKCIN-NEPJUHHUSA-N 0 3 242.407 2.500 20 0 BFADHN CCCCCC(=O)N(C)C1CCN(CC)CC1 ZINC000043056620 368390444 /nfs/dbraw/zinc/39/04/44/368390444.db2.gz XIRFPTVUKLZOLY-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1nnc(CN2CC[C@H](C)[C@H]3CCCC[C@@H]32)o1 ZINC000045944674 368433846 /nfs/dbraw/zinc/43/38/46/368433846.db2.gz XCHMOHAUCRZORA-UHTWSYAYSA-N 0 3 249.358 2.779 20 0 BFADHN CCCCN(CC)C(=O)CN(C(C)C)C1CC1 ZINC000061164497 368559213 /nfs/dbraw/zinc/55/92/13/368559213.db2.gz GMMIKLXCIPWOEI-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@H](COc1cccc(F)c1)NCc1ccco1 ZINC000060527609 368545551 /nfs/dbraw/zinc/54/55/51/368545551.db2.gz DHGZWSLJNBMMDU-LLVKDONJSA-N 0 3 249.285 2.976 20 0 BFADHN CCC[C@@H](C)NC(=O)[C@@H](C)N(CC)CC(C)C ZINC000153721132 326110254 /nfs/dbraw/zinc/11/02/54/326110254.db2.gz YQRDXXVPPAPDLA-CHWSQXEVSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1n[nH]c(C)c1CNCc1csc(C)c1C ZINC000399829804 368609643 /nfs/dbraw/zinc/60/96/43/368609643.db2.gz CRCBQWIVIFEOST-UHFFFAOYSA-N 0 3 249.383 2.995 20 0 BFADHN CC(C)Oc1ccccc1[C@@H](C)N[C@@H]1CCOC1 ZINC000109430659 368630175 /nfs/dbraw/zinc/63/01/75/368630175.db2.gz OVGCMDRMHDMCML-CHWSQXEVSA-N 0 3 249.354 2.913 20 0 BFADHN CN(CCOC(C)(C)C)Cc1cccs1 ZINC000065949438 368636513 /nfs/dbraw/zinc/63/65/13/368636513.db2.gz RCTPMCKAYJNQNR-UHFFFAOYSA-N 0 3 227.373 2.995 20 0 BFADHN Cc1cccc(NC(=O)[C@H](C)N(C)CC(C)C)c1 ZINC000065962476 368638048 /nfs/dbraw/zinc/63/80/48/368638048.db2.gz SIVRJQLDOLAUAT-ZDUSSCGKSA-N 0 3 248.370 2.910 20 0 BFADHN CN(CCC(F)(F)F)[C@@H]1C=CCCC1 ZINC000066496588 368651506 /nfs/dbraw/zinc/65/15/06/368651506.db2.gz YNWXLQJHNGDEMS-SECBINFHSA-N 0 3 207.239 2.979 20 0 BFADHN CC[C@H](C(=O)N[C@@H](C)c1cccc(C)c1)N(C)C ZINC000457089065 203008258 /nfs/dbraw/zinc/00/82/58/203008258.db2.gz RMWILSUJBKGSGS-GXTWGEPZSA-N 0 3 248.370 2.512 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC000072601280 368775173 /nfs/dbraw/zinc/77/51/73/368775173.db2.gz YUYBFNNBAHWQNZ-UPJWGTAASA-N 0 3 240.391 2.506 20 0 BFADHN Cc1noc(C)c1CN(C)[C@H](C)C1CC1 ZINC000073779239 368815704 /nfs/dbraw/zinc/81/57/04/368815704.db2.gz KNEMWSAZZQNNMS-SECBINFHSA-N 0 3 208.305 2.522 20 0 BFADHN Cc1ccc2oc(SCCN(C)C)nc2c1 ZINC000072626493 368777060 /nfs/dbraw/zinc/77/70/60/368777060.db2.gz XDLSXJYKNOYOQB-UHFFFAOYSA-N 0 3 236.340 2.790 20 0 BFADHN CCc1ccc(CN[C@H](CO)CCC(C)C)o1 ZINC000623646880 368970043 /nfs/dbraw/zinc/97/00/43/368970043.db2.gz SJRFZTWAWWEQJW-LBPRGKRZSA-N 0 3 239.359 2.729 20 0 BFADHN CC(C)CC[C@H](CO)NCc1cccc(F)c1 ZINC000623647449 368970214 /nfs/dbraw/zinc/97/02/14/368970214.db2.gz HGICKBJKUBNYSW-CQSZACIVSA-N 0 3 239.334 2.712 20 0 BFADHN CC(C)CC[C@@H](CO)NCc1ccccc1F ZINC000623647380 368970506 /nfs/dbraw/zinc/97/05/06/368970506.db2.gz DUEXYHLQAQFZCU-ZDUSSCGKSA-N 0 3 239.334 2.712 20 0 BFADHN C[C@H](NCc1ncccc1F)[C@H]1CC1(C)C ZINC000309823954 326170389 /nfs/dbraw/zinc/17/03/89/326170389.db2.gz WYDWBRCLDLJSGB-VHSXEESVSA-N 0 3 222.307 2.745 20 0 BFADHN CCC[C@H](C)N[C@H](C)c1cc(C(=O)OC)co1 ZINC000618561450 369171568 /nfs/dbraw/zinc/17/15/68/369171568.db2.gz QCSDMNPVMCNKIC-VHSXEESVSA-N 0 3 239.315 2.905 20 0 BFADHN CCC[C@@H](C)N[C@@H](C)c1cc(C(=O)OC)co1 ZINC000618561451 369171713 /nfs/dbraw/zinc/17/17/13/369171713.db2.gz QCSDMNPVMCNKIC-ZJUUUORDSA-N 0 3 239.315 2.905 20 0 BFADHN CCCCC1CCC(NC(=O)[C@@H](N)CC)CC1 ZINC000155560282 326200725 /nfs/dbraw/zinc/20/07/25/326200725.db2.gz AISBFFXACSLCGR-BPCQOVAHSA-N 0 3 240.391 2.589 20 0 BFADHN CCC[C@@H](N)C(=O)N1CCC[C@H]1CC(C)(C)C ZINC000156346262 326228476 /nfs/dbraw/zinc/22/84/76/326228476.db2.gz VXKVRRZBQPONFZ-NWDGAFQWSA-N 0 3 240.391 2.541 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cnc(C2CC2)nc1 ZINC000459338348 203260155 /nfs/dbraw/zinc/26/01/55/203260155.db2.gz GLAHROIMQIHQCN-RISCZKNCSA-N 0 3 245.370 2.974 20 0 BFADHN c1nc(C2CC2)ncc1CN1CC[C@H](C2CC2)C1 ZINC000459348752 203264803 /nfs/dbraw/zinc/26/48/03/203264803.db2.gz WYRMOEPSLTUQST-AWEZNQCLSA-N 0 3 243.354 2.586 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(C3CC3)cc2)C1 ZINC000459350150 203265142 /nfs/dbraw/zinc/26/51/42/203265142.db2.gz SEMFXAMYPAPRAK-OAHLLOKOSA-N 0 3 231.339 2.785 20 0 BFADHN C[C@H]1CSCCN1CCOCC1CCCC1 ZINC000459345943 203265429 /nfs/dbraw/zinc/26/54/29/203265429.db2.gz TUHZYPRPYBANOT-LBPRGKRZSA-N 0 3 243.416 2.631 20 0 BFADHN C[C@H]1OCCN(CC2CCC(F)(F)CC2)[C@@H]1C ZINC000459361191 203268467 /nfs/dbraw/zinc/26/84/67/203268467.db2.gz HZAPOVANRNZUAI-GHMZBOCLSA-N 0 3 247.329 2.921 20 0 BFADHN COc1ncccc1CNC1CC2(CCC2)C1 ZINC000459361620 203269077 /nfs/dbraw/zinc/26/90/77/203269077.db2.gz FUPSUCZVXXRACJ-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN CC(C)N(CC1CCC(F)(F)CC1)C1COC1 ZINC000459487825 203290376 /nfs/dbraw/zinc/29/03/76/203290376.db2.gz MXRRUNMBOJWPFV-UHFFFAOYSA-N 0 3 247.329 2.921 20 0 BFADHN CCCCN1CCc2c(cccc2C(=O)OC)C1 ZINC000459534484 203297196 /nfs/dbraw/zinc/29/71/96/203297196.db2.gz IHHSIPZQSBHTOR-UHFFFAOYSA-N 0 3 247.338 2.631 20 0 BFADHN OC[C@@H](NC1CC2(CCC2)C1)c1ccc(F)cc1 ZINC000459416924 203278533 /nfs/dbraw/zinc/27/85/33/203278533.db2.gz UARPNETZRZCSHI-CQSZACIVSA-N 0 3 249.329 2.781 20 0 BFADHN CC(C)Oc1ccc(CN[C@H]2CO[C@H](C)C2)cc1 ZINC000402268330 369382954 /nfs/dbraw/zinc/38/29/54/369382954.db2.gz DCNRXCZIEGGAHR-TZMCWYRMSA-N 0 3 249.354 2.741 20 0 BFADHN CC[C@H]1CCCC[C@@H]1CNCc1ccn(C)n1 ZINC000401432988 369363759 /nfs/dbraw/zinc/36/37/59/369363759.db2.gz IUTQZUIMTAEYDZ-QWHCGFSZSA-N 0 3 235.375 2.726 20 0 BFADHN CN(C)c1cccnc1CNC1CC2(CCC2)C1 ZINC000459564054 203301965 /nfs/dbraw/zinc/30/19/65/203301965.db2.gz PXMPVZKURUCYCD-UHFFFAOYSA-N 0 3 245.370 2.570 20 0 BFADHN C[C@@H]1C[C@H](NCc2cccc(C3CC3)c2)CO1 ZINC000402070548 369378395 /nfs/dbraw/zinc/37/83/95/369378395.db2.gz ZAUOBDKAOMPIEE-ABAIWWIYSA-N 0 3 231.339 2.831 20 0 BFADHN C[C@H]1CCCC[C@]1(C)NCc1nnc(C2CC2)[nH]1 ZINC000459616017 203316214 /nfs/dbraw/zinc/31/62/14/203316214.db2.gz PXXXLVQYCKIRMQ-HZMBPMFUSA-N 0 3 248.374 2.741 20 0 BFADHN Cc1ncc(CN[C@H]2CS[C@H](C(C)C)C2)o1 ZINC000459667900 203332249 /nfs/dbraw/zinc/33/22/49/203332249.db2.gz ATWQPMMRGWONJD-PWSUYJOCSA-N 0 3 240.372 2.603 20 0 BFADHN CC(C)(O)C1CN(Cc2ccc(C3CC3)cc2)C1 ZINC000459612762 203317631 /nfs/dbraw/zinc/31/76/31/203317631.db2.gz QGZGACQRVBKBIL-UHFFFAOYSA-N 0 3 245.366 2.767 20 0 BFADHN Cc1cc(CN[C@@]2(C)CCCC[C@@H]2C)nn1C ZINC000459619652 203318525 /nfs/dbraw/zinc/31/85/25/203318525.db2.gz UREQBFODQZYMGC-FZMZJTMJSA-N 0 3 235.375 2.787 20 0 BFADHN COCC1(C)CN(Cc2c(C)cccc2C)C1 ZINC000459623029 203320852 /nfs/dbraw/zinc/32/08/52/203320852.db2.gz OTEFAUQBGYKOOL-UHFFFAOYSA-N 0 3 233.355 2.772 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@@H](OC(C)C)C2)o1 ZINC000459632150 203324836 /nfs/dbraw/zinc/32/48/36/203324836.db2.gz KXJMPLHYHBJWTO-VDISTLRHSA-N 0 3 237.343 2.888 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@@H]2C(C)C)o1 ZINC000459673091 203332512 /nfs/dbraw/zinc/33/25/12/203332512.db2.gz IPJRPSLTNLONBF-CHWSQXEVSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ncc(CN[C@@H](C)CCC2CC2)o1 ZINC000459668615 203327691 /nfs/dbraw/zinc/32/76/91/203327691.db2.gz CFGNZVFBROMLNH-VIFPVBQESA-N 0 3 208.305 2.651 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cc(F)ccc2C)C1 ZINC000459640069 203329338 /nfs/dbraw/zinc/32/93/38/203329338.db2.gz WDYZMRHLSZODCZ-OKILXGFUSA-N 0 3 237.318 2.791 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1cccnc1C ZINC000459670172 203333451 /nfs/dbraw/zinc/33/34/51/203333451.db2.gz FDWKBECZEGTCSW-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@@H]2C2CCC2)o1 ZINC000459673669 203333718 /nfs/dbraw/zinc/33/37/18/203333718.db2.gz JKDPQBBKOLLVDT-CHWSQXEVSA-N 0 3 220.316 2.651 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1ccc(C)c(C)n1 ZINC000459682605 203335215 /nfs/dbraw/zinc/33/52/15/203335215.db2.gz ZCNVWQDTUDYHEZ-OCCSQVGLSA-N 0 3 218.344 2.977 20 0 BFADHN CCOC[C@@H]1CCCCN1CCC(F)(F)F ZINC000459716150 203338867 /nfs/dbraw/zinc/33/88/67/203338867.db2.gz PQEVJXFTJMQJCF-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN c1csc(CNC2(C3CCC3)CCC2)n1 ZINC000459731806 203344573 /nfs/dbraw/zinc/34/45/73/203344573.db2.gz CSFKUIZNWIYSFW-UHFFFAOYSA-N 0 3 222.357 2.956 20 0 BFADHN CCOc1cccc(CN[C@@H]2CCO[C@H]2CC)c1 ZINC000459733685 203346009 /nfs/dbraw/zinc/34/60/09/203346009.db2.gz BHFDWKQAKYIZDB-CABCVRRESA-N 0 3 249.354 2.742 20 0 BFADHN Cc1cccc([C@@H](C)N2CCCOCC2)c1 ZINC000072583734 369478843 /nfs/dbraw/zinc/47/88/43/369478843.db2.gz FWTDSCKTFZDVSB-CYBMUJFWSA-N 0 3 219.328 2.778 20 0 BFADHN CCc1nocc1CN(C)C1CC(C)(C)C1 ZINC000459741278 203348979 /nfs/dbraw/zinc/34/89/79/203348979.db2.gz VFYOQKYUGHTDFL-UHFFFAOYSA-N 0 3 222.332 2.857 20 0 BFADHN CCC[C@@H](C)CN[C@H](C)c1ccn(C)n1 ZINC000459742123 203349074 /nfs/dbraw/zinc/34/90/74/203349074.db2.gz FSMBDNRQIBZEQD-GHMZBOCLSA-N 0 3 209.337 2.507 20 0 BFADHN CCOc1cccc(CN2C[C@@H]3C[C@H]2CS3)c1 ZINC000459750719 203357375 /nfs/dbraw/zinc/35/73/75/203357375.db2.gz JRPTXELDKYSMFT-JSGCOSHPSA-N 0 3 249.379 2.775 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000459766084 203359930 /nfs/dbraw/zinc/35/99/30/203359930.db2.gz DSDRNKGJNCWGGU-SUNKGSAMSA-N 0 3 234.343 2.824 20 0 BFADHN Cc1cccc(CCN[C@@H](C)c2ccn(C)n2)c1 ZINC000459767660 203360091 /nfs/dbraw/zinc/36/00/91/203360091.db2.gz QEKPSLSBKWTXRX-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN CC[C@H](F)CN(C)C[C@@H](C)C(=O)OC(C)(C)C ZINC000459785793 203366627 /nfs/dbraw/zinc/36/66/27/203366627.db2.gz ADSADHIKRXVVRA-MNOVXSKESA-N 0 3 247.354 2.644 20 0 BFADHN C[C@@H](NCC1CCC(C)CC1)c1ccn(C)n1 ZINC000459767419 203361477 /nfs/dbraw/zinc/36/14/77/203361477.db2.gz WVJHMBHBGXBVNP-OTTFEQOBSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C2(C3CCC3)CCC2)n1 ZINC000459762155 203361724 /nfs/dbraw/zinc/36/17/24/203361724.db2.gz XVOKQQJPAGUMCC-UHFFFAOYSA-N 0 3 246.354 2.908 20 0 BFADHN FC(F)n1ccnc1CN[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000459774383 203362582 /nfs/dbraw/zinc/36/25/82/203362582.db2.gz TWAOBVSKOFDZKF-KXUCPTDWSA-N 0 3 241.285 2.556 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H]1CCc2c1cccc2F ZINC000459779980 203364389 /nfs/dbraw/zinc/36/43/89/203364389.db2.gz QIKCPDGLVPQPHI-ZNMIVQPWSA-N 0 3 249.329 2.970 20 0 BFADHN CCOc1cccc(CN[C@H]2CCC[C@@H]3C[C@@H]32)n1 ZINC000459779004 203364399 /nfs/dbraw/zinc/36/43/99/203364399.db2.gz WNPUPOASWOKMNH-XBFCOCLRSA-N 0 3 246.354 2.759 20 0 BFADHN COc1cc(C)nc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)c1 ZINC000459775632 203365508 /nfs/dbraw/zinc/36/55/08/203365508.db2.gz VEQJXSOSIQKJFC-BYCMXARLSA-N 0 3 246.354 2.677 20 0 BFADHN CCC[C@@H](NCc1ncc(C)cn1)C1CCC1 ZINC000459811658 203375846 /nfs/dbraw/zinc/37/58/46/203375846.db2.gz VAZPZWCTGSWWRY-CYBMUJFWSA-N 0 3 233.359 2.843 20 0 BFADHN CCN(CCCCOC)Cc1ccoc1 ZINC000117877556 370979950 /nfs/dbraw/zinc/97/99/50/370979950.db2.gz IBVWXHFVRQOEDO-UHFFFAOYSA-N 0 3 211.305 2.528 20 0 BFADHN Cc1ccc(CCN2C[C@@H]3C[C@H]2CS3)cc1 ZINC000459792197 203370144 /nfs/dbraw/zinc/37/01/44/203370144.db2.gz JERZIRHZKKDPOY-KBPBESRZSA-N 0 3 233.380 2.727 20 0 BFADHN C[C@@H](Cc1ccc(O)cc1)NC1(CF)CCC1 ZINC000567900176 326279665 /nfs/dbraw/zinc/27/96/65/326279665.db2.gz WEIXTLJOOYDSMO-NSHDSACASA-N 0 3 237.318 2.805 20 0 BFADHN CCCN(CC(=O)OC)C[C@@H](C)C(C)(C)C ZINC000619843607 369616037 /nfs/dbraw/zinc/61/60/37/369616037.db2.gz MCBFDRLYHISPSI-LLVKDONJSA-N 0 3 229.364 2.554 20 0 BFADHN C[C@@H](CN1CC[C@@H](C)[S@@](=O)CC1)C(C)(C)C ZINC000619846009 369618948 /nfs/dbraw/zinc/61/89/48/369618948.db2.gz NTEOLQOWSKVWBD-OZVIIMIRSA-N 0 3 245.432 2.512 20 0 BFADHN C[C@@H](CN1CC[C@@H](C)[S@](=O)CC1)C(C)(C)C ZINC000619846010 369619026 /nfs/dbraw/zinc/61/90/26/369619026.db2.gz NTEOLQOWSKVWBD-HWWQOWPSSA-N 0 3 245.432 2.512 20 0 BFADHN CC(C)OCCN1CCC[C@@H]1c1ccc(O)cc1 ZINC000619968255 369645049 /nfs/dbraw/zinc/64/50/49/369645049.db2.gz BXKQRAHTHBIKSM-OAHLLOKOSA-N 0 3 249.354 2.954 20 0 BFADHN Cc1ncccc1CN[C@@H]1CC[C@@H](C)SC1 ZINC000623702997 369843305 /nfs/dbraw/zinc/84/33/05/369843305.db2.gz VVVANBBAMILYDG-ZWNOBZJWSA-N 0 3 236.384 2.764 20 0 BFADHN CC[C@H](C(=O)N1[C@H](CC)CCC[C@@H]1C)N(C)C ZINC000620577198 369936172 /nfs/dbraw/zinc/93/61/72/369936172.db2.gz RMWLDPPIBKNCAS-YNEHKIRRSA-N 0 3 240.391 2.506 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1cncc(Cl)c1 ZINC000621294836 370220709 /nfs/dbraw/zinc/22/07/09/370220709.db2.gz OXQHDYKFEPKGTI-LDYMZIIASA-N 0 3 242.775 2.576 20 0 BFADHN CC[C@@H](NCc1ccnc(C)c1)[C@@H]1CCCCO1 ZINC000621313179 370238884 /nfs/dbraw/zinc/23/88/84/370238884.db2.gz XZXFOFYMYNKBAY-CABCVRRESA-N 0 3 248.370 2.827 20 0 BFADHN CC(C)(CNCc1ccccn1)C(F)(F)F ZINC000309696383 370245667 /nfs/dbraw/zinc/24/56/67/370245667.db2.gz RKSAUPGUKJGJHN-UHFFFAOYSA-N 0 3 232.249 2.760 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cncc(Cl)c2)C1 ZINC000621259294 370192478 /nfs/dbraw/zinc/19/24/78/370192478.db2.gz QYNHBYTYXVKGLK-NXEZZACHSA-N 0 3 224.735 2.965 20 0 BFADHN CCCN(CC)CC(=O)Nc1ccc(C)c(C)c1 ZINC000621271992 370202713 /nfs/dbraw/zinc/20/27/13/370202713.db2.gz IPZGMTQPYOGNMV-UHFFFAOYSA-N 0 3 248.370 2.974 20 0 BFADHN Cc1nccnc1CN[C@@H]1CCCCC1(C)C ZINC000621340670 370273578 /nfs/dbraw/zinc/27/35/78/370273578.db2.gz MZOZAHHIEWMJJP-CYBMUJFWSA-N 0 3 233.359 2.843 20 0 BFADHN CCCc1ccc(CNC[C@H](C)OC)s1 ZINC000621347644 370282212 /nfs/dbraw/zinc/28/22/12/370282212.db2.gz JIAFMZREOQKQEE-JTQLQIEISA-N 0 3 227.373 2.825 20 0 BFADHN CCCc1ccc(CNC[C@@H](C)OC)s1 ZINC000621347645 370282667 /nfs/dbraw/zinc/28/26/67/370282667.db2.gz JIAFMZREOQKQEE-SNVBAGLBSA-N 0 3 227.373 2.825 20 0 BFADHN CCOc1cncc(CNC2CC3(CCC3)C2)c1 ZINC000621369117 370305273 /nfs/dbraw/zinc/30/52/73/370305273.db2.gz GLMRMVYELNQTDQ-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN Cc1ccc2nc(CNCC3CC(C)C3)cn2c1 ZINC000621384649 370328270 /nfs/dbraw/zinc/32/82/70/370328270.db2.gz OBXSCZBMRAYKCY-UHFFFAOYSA-N 0 3 243.354 2.778 20 0 BFADHN CCC(C)(C)NC(=O)[C@H](C)N(C)CCC(C)C ZINC000077211811 370400661 /nfs/dbraw/zinc/40/06/61/370400661.db2.gz ASWNYZINCLTYFQ-LBPRGKRZSA-N 0 3 242.407 2.658 20 0 BFADHN CCC[C@](C)(N)C(=O)N1Cc2ccccc2[C@@H]1C ZINC000621702973 370450043 /nfs/dbraw/zinc/45/00/43/370450043.db2.gz TVYRCRGTJHIQOZ-NHYWBVRUSA-N 0 3 246.354 2.607 20 0 BFADHN CCOc1cncc(CN2CCC[C@H](C)C2)c1 ZINC000621709558 370454274 /nfs/dbraw/zinc/45/42/74/370454274.db2.gz XJLKVMVWIFLITR-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN CCOc1cncc(CN2C[C@H](C)C[C@H](C)C2)c1 ZINC000621709327 370454942 /nfs/dbraw/zinc/45/49/42/370454942.db2.gz RUXHQULCPBDGPM-BETUJISGSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1nccnc1CN1[C@@H](C)CCC[C@@H]1C ZINC000621709086 370454958 /nfs/dbraw/zinc/45/49/58/370454958.db2.gz KSRKHQHNHJSBDU-QWRGUYRKSA-N 0 3 219.332 2.548 20 0 BFADHN CCCc1ccc(CN(C)CCOC)s1 ZINC000621711571 370457236 /nfs/dbraw/zinc/45/72/36/370457236.db2.gz ZQGBTKBXUFCMGJ-UHFFFAOYSA-N 0 3 227.373 2.779 20 0 BFADHN Cc1nccnc1CN(C)[C@@H](C)C(C)(C)C ZINC000621711801 370457268 /nfs/dbraw/zinc/45/72/68/370457268.db2.gz HWIABMKBZLVZOL-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CCCCN(Cc1nccnc1C)C1CC1 ZINC000621711233 370457655 /nfs/dbraw/zinc/45/76/55/370457655.db2.gz KKYLREIACFIWHU-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN CCCc1ccc(CN2CC[C@H](OC)C2)s1 ZINC000621716470 370465054 /nfs/dbraw/zinc/46/50/54/370465054.db2.gz BJWZYNLJMKBKFC-NSHDSACASA-N 0 3 239.384 2.921 20 0 BFADHN Cc1nccnc1CN1CCCCC[C@H]1C ZINC000621717163 370466232 /nfs/dbraw/zinc/46/62/32/370466232.db2.gz YCNILZXJPTUKJR-LLVKDONJSA-N 0 3 219.332 2.550 20 0 BFADHN Cc1nccnc1CN1CCCCC[C@@H]1C ZINC000621717164 370466455 /nfs/dbraw/zinc/46/64/55/370466455.db2.gz YCNILZXJPTUKJR-NSHDSACASA-N 0 3 219.332 2.550 20 0 BFADHN CCOc1cncc(CN2C[C@@H]3CCC[C@@H]3C2)c1 ZINC000621716978 370466543 /nfs/dbraw/zinc/46/65/43/370466543.db2.gz SUQKEJKTMPUVFS-OKILXGFUSA-N 0 3 246.354 2.712 20 0 BFADHN CCOc1cncc(CN2[C@H](C)CC[C@H]2C)c1 ZINC000621719419 370468899 /nfs/dbraw/zinc/46/88/99/370468899.db2.gz MCYFVZMSOQHJEP-VXGBXAGGSA-N 0 3 234.343 2.853 20 0 BFADHN Cc1nccnc1CN1C[C@H](C)CC(C)(C)C1 ZINC000621722029 370473229 /nfs/dbraw/zinc/47/32/29/370473229.db2.gz JDMFECDGEHZUTR-LLVKDONJSA-N 0 3 233.359 2.653 20 0 BFADHN CCCc1ccc(CN(C)C2CC(O)C2)s1 ZINC000621722232 370473698 /nfs/dbraw/zinc/47/36/98/370473698.db2.gz OZMGGCFXJAMNQA-UHFFFAOYSA-N 0 3 239.384 2.656 20 0 BFADHN CCOc1cncc(CN2CC[C@@H]3CCC[C@H]32)c1 ZINC000621723605 370475609 /nfs/dbraw/zinc/47/56/09/370475609.db2.gz LXCRKLKGDZKMHP-DZGCQCFKSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CC(Cc2ccsc2)C1 ZINC000621741226 370500422 /nfs/dbraw/zinc/50/04/22/370500422.db2.gz IPTANHQUKBJSGX-ZWNOBZJWSA-N 0 3 221.369 2.878 20 0 BFADHN CC[C@@H](NCC[C@@H]1CC1(F)F)c1ccn(C)n1 ZINC000621767298 370507880 /nfs/dbraw/zinc/50/78/80/370507880.db2.gz BLRKWUTZDZQMQL-NXEZZACHSA-N 0 3 243.301 2.506 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC[C@H]1CC1(F)F ZINC000621767548 370509588 /nfs/dbraw/zinc/50/95/88/370509588.db2.gz GEIUAPWQTMXESX-ZJUUUORDSA-N 0 3 243.301 2.599 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@H]2CC2(F)F)no1 ZINC000621768402 370510296 /nfs/dbraw/zinc/51/02/96/370510296.db2.gz OORFNQYNVRBPHT-BDAKNGLRSA-N 0 3 230.258 2.679 20 0 BFADHN C[C@H](NCC[C@@H]1CC1(F)F)c1nccs1 ZINC000621768493 370510424 /nfs/dbraw/zinc/51/04/24/370510424.db2.gz QGSQJEPLDQAVAI-JGVFFNPUSA-N 0 3 232.299 2.839 20 0 BFADHN C[C@@H](NCC[C@H]1CC1(F)F)c1nccs1 ZINC000621768494 370510725 /nfs/dbraw/zinc/51/07/25/370510725.db2.gz QGSQJEPLDQAVAI-SFYZADRCSA-N 0 3 232.299 2.839 20 0 BFADHN CCn1ccnc1[C@H](C)NCC1CC(C)C1 ZINC000621770605 370512960 /nfs/dbraw/zinc/51/29/60/370512960.db2.gz UOHCRBQPRORTQV-CXQJBGSLSA-N 0 3 221.348 2.600 20 0 BFADHN C[C@H](NCC1CC(C)C1)c1cscn1 ZINC000621771672 370514886 /nfs/dbraw/zinc/51/48/86/370514886.db2.gz IRUMSPTVBDZYNM-KYHHOPLUSA-N 0 3 210.346 2.840 20 0 BFADHN CCCc1ccc(CN(C)[C@H](C)CO)s1 ZINC000621727834 370480414 /nfs/dbraw/zinc/48/04/14/370480414.db2.gz RYEOKZIUSHWPAE-SNVBAGLBSA-N 0 3 227.373 2.513 20 0 BFADHN CCCc1ccc(CN(C)[C@@H](C)CCO)s1 ZINC000621731801 370485681 /nfs/dbraw/zinc/48/56/81/370485681.db2.gz AAWUJHQNFKNSJG-NSHDSACASA-N 0 3 241.400 2.903 20 0 BFADHN CCC[C@H](NCc1nccnc1C)C1CCC1 ZINC000621731692 370486199 /nfs/dbraw/zinc/48/61/99/370486199.db2.gz XECQNXMWFMXYHQ-ZDUSSCGKSA-N 0 3 233.359 2.843 20 0 BFADHN CCOc1cncc(CN(C)C(C)(C)C)c1 ZINC000621732181 370486365 /nfs/dbraw/zinc/48/63/65/370486365.db2.gz RBOOVKHJGKQFOZ-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CCCCN(C(=O)CN(C)CCC)[C@@H](C)CC ZINC000621739105 370497475 /nfs/dbraw/zinc/49/74/75/370497475.db2.gz IODREMCFBTWMAP-ZDUSSCGKSA-N 0 3 242.407 2.755 20 0 BFADHN CC[C@@H](O)[C@@H](CC)NCc1cccc(F)c1F ZINC000313140777 370569550 /nfs/dbraw/zinc/56/95/50/370569550.db2.gz HVJXITLPZMVAMD-VXGBXAGGSA-N 0 3 243.297 2.604 20 0 BFADHN C[C@@H](CC1CC1)NCc1nn(C)c2ccccc12 ZINC000078571240 370576801 /nfs/dbraw/zinc/57/68/01/370576801.db2.gz GNZZYHRDZXRKGE-NSHDSACASA-N 0 3 243.354 2.852 20 0 BFADHN C/C=C/CNCc1c(C)nn(C(C)(C)C)c1C ZINC000623739128 371073240 /nfs/dbraw/zinc/07/32/40/371073240.db2.gz JKBNLVCEFFKHMQ-BQYQJAHWSA-N 0 3 235.375 2.921 20 0 BFADHN C/C=C\CNCc1c(C)nn(C(C)(C)C)c1C ZINC000623739129 371073355 /nfs/dbraw/zinc/07/33/55/371073355.db2.gz JKBNLVCEFFKHMQ-FPLPWBNLSA-N 0 3 235.375 2.921 20 0 BFADHN C/C=C/CNCc1ccc(C)c(OC)c1 ZINC000623739778 371074406 /nfs/dbraw/zinc/07/44/06/371074406.db2.gz FZTPPVHASITWDB-SNAWJCMRSA-N 0 3 205.301 2.669 20 0 BFADHN C/C=C/CNCc1ccc(COCCOC)cc1 ZINC000623739840 371074536 /nfs/dbraw/zinc/07/45/36/371074536.db2.gz HZMCMMDWUHUCDG-ONEGZZNKSA-N 0 3 249.354 2.515 20 0 BFADHN C/C=C\CNCc1cccc2c1CCC2 ZINC000623739924 371074573 /nfs/dbraw/zinc/07/45/73/371074573.db2.gz JVORQUIXRXXIMG-IHWYPQMZSA-N 0 3 201.313 2.841 20 0 BFADHN C/C=C\CNCc1ccnn1-c1ccccc1C ZINC000623740083 371075191 /nfs/dbraw/zinc/07/51/91/371075191.db2.gz MJRXXKSEQVVBOA-ARJAWSKDSA-N 0 3 241.338 2.846 20 0 BFADHN C/C=C\CNCc1c[nH]nc1-c1ccsc1 ZINC000623740346 371076088 /nfs/dbraw/zinc/07/60/88/371076088.db2.gz TXURDNRYDFOZSC-IHWYPQMZSA-N 0 3 233.340 2.804 20 0 BFADHN Cc1cnc(CNC[C@]2(C)C[C@H]3C[C@H]3C2)s1 ZINC000623741331 371076740 /nfs/dbraw/zinc/07/67/40/371076740.db2.gz OCAIRZAGCWTNHX-PTEHBNRSSA-N 0 3 236.384 2.977 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc(Cl)c(F)c2)C1 ZINC000623807682 371102696 /nfs/dbraw/zinc/10/26/96/371102696.db2.gz OPFXRPHQVWVTLJ-MGCOHNPYSA-N 0 3 243.709 2.746 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc(Cl)cc2F)C1 ZINC000623808381 371103823 /nfs/dbraw/zinc/10/38/23/371103823.db2.gz OSHTYCOBMXJNKB-XYPYZODXSA-N 0 3 243.709 2.746 20 0 BFADHN Cc1csc([C@@H](C)NC2CC=CC2)n1 ZINC000623905663 371148244 /nfs/dbraw/zinc/14/82/44/371148244.db2.gz GDLRVAIVLAEPKZ-SECBINFHSA-N 0 3 208.330 2.821 20 0 BFADHN c1nc2c(s1)CCC[C@@H]2NCCC1CC1 ZINC000623918302 371153822 /nfs/dbraw/zinc/15/38/22/371153822.db2.gz OAJXJDBSHRRCQD-JTQLQIEISA-N 0 3 222.357 2.910 20 0 BFADHN CC[C@H](O)CCCN[C@H](C)c1nc(C)cs1 ZINC000623917169 371154239 /nfs/dbraw/zinc/15/42/39/371154239.db2.gz IDSWZMKKKWPGCA-MNOVXSKESA-N 0 3 242.388 2.653 20 0 BFADHN CC[C@@H](O)CCCN[C@H](C)c1nc(C)cs1 ZINC000623917168 371154293 /nfs/dbraw/zinc/15/42/93/371154293.db2.gz IDSWZMKKKWPGCA-GHMZBOCLSA-N 0 3 242.388 2.653 20 0 BFADHN CC[C@@H]1COCCN1Cc1c(C)cccc1C ZINC000155165341 371198377 /nfs/dbraw/zinc/19/83/77/371198377.db2.gz HAKSBOWYJQEHQS-CQSZACIVSA-N 0 3 233.355 2.914 20 0 BFADHN COCC(C)(C)NCc1ccc(C(F)F)nc1 ZINC000631061817 371215664 /nfs/dbraw/zinc/21/56/64/371215664.db2.gz ISCLIIZQRQEZBX-UHFFFAOYSA-N 0 3 244.285 2.534 20 0 BFADHN FCCCCN[C@@H]1CCCc2scnc21 ZINC000623986913 371219761 /nfs/dbraw/zinc/21/97/61/371219761.db2.gz KOMXQQZAJBTRAT-SECBINFHSA-N 0 3 228.336 2.860 20 0 BFADHN CN(Cc1cccc(Cl)c1)C(C)(C)CO ZINC000124770381 371231788 /nfs/dbraw/zinc/23/17/88/371231788.db2.gz HDPMIMBWDLVVBD-UHFFFAOYSA-N 0 3 227.735 2.543 20 0 BFADHN COCC[C@@H](C)CN[C@@H](C)c1nc(C)cs1 ZINC000624000156 371231839 /nfs/dbraw/zinc/23/18/39/371231839.db2.gz QDJMXGBQFWDZSC-KOLCDFICSA-N 0 3 242.388 2.775 20 0 BFADHN CN(Cc1ccc(Cl)s1)C(C)(C)CO ZINC000124814561 371239735 /nfs/dbraw/zinc/23/97/35/371239735.db2.gz WPASLASNCMJQTQ-UHFFFAOYSA-N 0 3 233.764 2.604 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)NCc1cnnn1CC ZINC000624026431 371256191 /nfs/dbraw/zinc/25/61/91/371256191.db2.gz USZLAWOVQJSDNH-VXGBXAGGSA-N 0 3 238.379 2.602 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1cncs1)OCC ZINC000624049509 371270876 /nfs/dbraw/zinc/27/08/76/371270876.db2.gz JLDJFDZIRCYDLE-MNOVXSKESA-N 0 3 242.388 2.999 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1ccncc1)OCC ZINC000624049193 371275183 /nfs/dbraw/zinc/27/51/83/371275183.db2.gz BKUCCSHRUKODRP-JSGCOSHPSA-N 0 3 236.359 2.937 20 0 BFADHN c1ccc([C@@H](N[C@@H]2CCCOC2)C2CCC2)nc1 ZINC000624104447 371309151 /nfs/dbraw/zinc/30/91/51/371309151.db2.gz SGENAZCXIBEWOS-HIFRSBDPSA-N 0 3 246.354 2.691 20 0 BFADHN CC(C)CC[C@H](CO)N[C@H](C)c1ccncc1 ZINC000624109695 371314489 /nfs/dbraw/zinc/31/44/89/371314489.db2.gz DTTNEIIDACRREZ-TZMCWYRMSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@H]1CCCCN1C[C@@H]1COc2ccccc2O1 ZINC000095696037 371328350 /nfs/dbraw/zinc/32/83/50/371328350.db2.gz IHFIZEQQBAVYST-QWHCGFSZSA-N 0 3 247.338 2.701 20 0 BFADHN CCC[C@](C)(CO)NCc1ccc(C)cc1F ZINC000631072182 371337410 /nfs/dbraw/zinc/33/74/10/371337410.db2.gz CHSGMZAQSBQTPS-CQSZACIVSA-N 0 3 239.334 2.775 20 0 BFADHN C/C=C/CN[C@H]1CCc2c1cccc2OC ZINC000624197413 371386617 /nfs/dbraw/zinc/38/66/17/371386617.db2.gz LEFIXZJPGDHTKT-OOPCZODUSA-N 0 3 217.312 2.848 20 0 BFADHN C/C=C/CN[C@@H](C)c1cnn(C(C)C)c1C ZINC000624198718 371387323 /nfs/dbraw/zinc/38/73/23/371387323.db2.gz VSUSXLBYDBWIAH-MLRMMBSGSA-N 0 3 221.348 2.999 20 0 BFADHN C/C=C/CN[C@H](C)c1ncccc1CC ZINC000624198218 371387676 /nfs/dbraw/zinc/38/76/76/371387676.db2.gz IVKWNWRLDRDIJA-DUMNWFOQSA-N 0 3 204.317 2.871 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc(OC)c(O)c1 ZINC000624199164 371388894 /nfs/dbraw/zinc/38/88/94/371388894.db2.gz IAEGVKFMXPSXIE-LWTINBJPSA-N 0 3 221.300 2.628 20 0 BFADHN CC=CC[NH2+][C@@H](c1nnc[n-]1)C1CCCCC1 ZINC000624199466 371389766 /nfs/dbraw/zinc/38/97/66/371389766.db2.gz PXMAKDMPUXORRM-QAVQXKDTSA-N 0 3 234.347 2.592 20 0 BFADHN CC=CCN[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000624199466 371389772 /nfs/dbraw/zinc/38/97/72/371389772.db2.gz PXMAKDMPUXORRM-QAVQXKDTSA-N 0 3 234.347 2.592 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2CO[C@H](C3CC3)C2)c1 ZINC000624223138 371411532 /nfs/dbraw/zinc/41/15/32/371411532.db2.gz OKSTWUNUUCFNCK-GLQYFDAESA-N 0 3 246.354 2.608 20 0 BFADHN C/C=C/CN[C@H]1CCc2ccc(F)cc21 ZINC000624197885 371385609 /nfs/dbraw/zinc/38/56/09/371385609.db2.gz ZMWQOVFZIRHGJX-IBUXWKBASA-N 0 3 205.276 2.979 20 0 BFADHN Cc1cc(CN[C@H](C)CCc2ccc(C)o2)on1 ZINC000631078849 371443950 /nfs/dbraw/zinc/44/39/50/371443950.db2.gz GYGXFENOERGLTN-SNVBAGLBSA-N 0 3 248.326 2.995 20 0 BFADHN COc1cc(C)ccc1[C@@H](C)N[C@H]1C[C@H](OC)C1 ZINC000624297123 371462145 /nfs/dbraw/zinc/46/21/45/371462145.db2.gz PXFRKUIUIJQDMU-JHJVBQTASA-N 0 3 249.354 2.832 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@H]1C[C@H](OC)C1 ZINC000624299134 371465014 /nfs/dbraw/zinc/46/50/14/371465014.db2.gz DTWZQVXQJXZBFS-OPRDCNLKSA-N 0 3 241.356 2.585 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](CC(C)C)c2ccccn2)C1 ZINC000624299240 371465765 /nfs/dbraw/zinc/46/57/65/371465765.db2.gz HKPPXFQBZAKWIE-YDHLFZDLSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@@H](NC[C@H]1CCCC12CC2)c1nccn1C ZINC000624314867 371475177 /nfs/dbraw/zinc/47/51/77/371475177.db2.gz QQHCVVSMMOAXPC-VXGBXAGGSA-N 0 3 233.359 2.651 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC12CC2)c1cnccn1 ZINC000624313296 371476550 /nfs/dbraw/zinc/47/65/50/371476550.db2.gz JUZPMUIEMJHDPR-NEPJUHHUSA-N 0 3 231.343 2.708 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC12CC2)c1ccncn1 ZINC000624315623 371480023 /nfs/dbraw/zinc/48/00/23/371480023.db2.gz ASBGXADEBFXSMW-NEPJUHHUSA-N 0 3 231.343 2.708 20 0 BFADHN C[C@@H]1CCCN(Cc2cnc3ccccn23)C1 ZINC000157322083 371543708 /nfs/dbraw/zinc/54/37/08/371543708.db2.gz BRWNBCJQTSBHFQ-GFCCVEGCSA-N 0 3 229.327 2.566 20 0 BFADHN CC(C)CCN(C)Cc1cccc2c1OCCO2 ZINC000058908443 372114076 /nfs/dbraw/zinc/11/40/76/372114076.db2.gz MRLBYJJKOQJNRI-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1cc(C)no1 ZINC000631147648 372207926 /nfs/dbraw/zinc/20/79/26/372207926.db2.gz NNVYKFXNKINTIF-VXGBXAGGSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)c(F)c1 ZINC000631167938 372215336 /nfs/dbraw/zinc/21/53/36/372215336.db2.gz VQIGQBXFPGDMFA-BNOWGMLFSA-N 0 3 235.302 2.544 20 0 BFADHN Cc1ccc(CN[C@]23C[C@H]2COC3(C)C)c(F)c1 ZINC000631180335 372219466 /nfs/dbraw/zinc/21/94/66/372219466.db2.gz DPUYDQIGVUYHOI-SWLSCSKDSA-N 0 3 249.329 2.791 20 0 BFADHN CC(C)n1ncnc1CNC(C)(C1CC1)C1CC1 ZINC000631178481 372219797 /nfs/dbraw/zinc/21/97/97/372219797.db2.gz YMMVBGKJYQMVFB-UHFFFAOYSA-N 0 3 248.374 2.527 20 0 BFADHN Cc1cc(F)ccc1CNCC(C)(C)F ZINC000631189009 372221244 /nfs/dbraw/zinc/22/12/44/372221244.db2.gz IUNNLLKEOOLNRB-UHFFFAOYSA-N 0 3 213.271 2.972 20 0 BFADHN CC[C@@](C)(NCc1cn[nH]c1)c1ccc(F)cc1 ZINC000631192883 372226176 /nfs/dbraw/zinc/22/61/76/372226176.db2.gz BBFVLUUUTGFJPG-CQSZACIVSA-N 0 3 247.317 2.964 20 0 BFADHN C[C@H](CNCc1nc2ccccc2n1C)C1CC1 ZINC000134286931 372192773 /nfs/dbraw/zinc/19/27/73/372192773.db2.gz AGLQTSRPOPBNLN-LLVKDONJSA-N 0 3 243.354 2.709 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc(C)cc1F ZINC000631141270 372206605 /nfs/dbraw/zinc/20/66/05/372206605.db2.gz TVPHSOHGHVBKNF-MNOVXSKESA-N 0 3 225.307 2.647 20 0 BFADHN CCOc1cncc(CN[C@@]23C[C@@H]2CCCC3)c1 ZINC000631227802 372249027 /nfs/dbraw/zinc/24/90/27/372249027.db2.gz UORDBUKORPNVPU-ZFWWWQNUSA-N 0 3 246.354 2.903 20 0 BFADHN C[C@@H](CC1CCC1)NCc1ccncc1F ZINC000631251093 372261001 /nfs/dbraw/zinc/26/10/01/372261001.db2.gz SREHMCUWDRTSGH-JTQLQIEISA-N 0 3 222.307 2.889 20 0 BFADHN CCC[C@@H](N[C@@H]1CCC[C@H]1O)c1cc(C)ccn1 ZINC000631635938 372473531 /nfs/dbraw/zinc/47/35/31/372473531.db2.gz VCLLZPSULVWMHU-UMVBOHGHSA-N 0 3 248.370 2.734 20 0 BFADHN CCCCOCCN[C@@H]1CCCc2ncccc21 ZINC000631578056 372436744 /nfs/dbraw/zinc/43/67/44/372436744.db2.gz WLLGOWAUIYNZDZ-OAHLLOKOSA-N 0 3 248.370 2.865 20 0 BFADHN CCOC(C)(C)CN[C@H]1CCCc2ncccc21 ZINC000631620066 372465192 /nfs/dbraw/zinc/46/51/92/372465192.db2.gz BOIZDUSQSPFWIB-AWEZNQCLSA-N 0 3 248.370 2.864 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCCC23CC3)nn1C ZINC000631662194 372488196 /nfs/dbraw/zinc/48/81/96/372488196.db2.gz DQQKIAWYMMWWFP-WCQYABFASA-N 0 3 233.359 2.712 20 0 BFADHN CCC(C)(C)CCN[C@@H](C)c1ccncn1 ZINC000631684471 372506777 /nfs/dbraw/zinc/50/67/77/372506777.db2.gz RZYVRAJEDBILTE-NSHDSACASA-N 0 3 221.348 2.954 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@H](C)O[C@H]2C)c(C)n1 ZINC000631703900 372513779 /nfs/dbraw/zinc/51/37/79/372513779.db2.gz PTJDATUFBKYXLK-GZCFXPHUSA-N 0 3 248.370 2.915 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H]1O)c1csc(Cl)c1 ZINC000631741929 372542376 /nfs/dbraw/zinc/54/23/76/372542376.db2.gz GDKLEHIQYMEWCV-JEZHCXPESA-N 0 3 245.775 2.966 20 0 BFADHN COC[C@@H](CC(C)C)N[C@@H]1C[C@H]1C(F)(F)F ZINC000631754221 372555395 /nfs/dbraw/zinc/55/53/95/372555395.db2.gz BMSNIFKGSHHURH-OPRDCNLKSA-N 0 3 239.281 2.588 20 0 BFADHN FC(F)(F)[C@@H]1C[C@H]1NC1Cc2ccccc2C1 ZINC000631756883 372562039 /nfs/dbraw/zinc/56/20/39/372562039.db2.gz QOVVQMXRKCZVJH-VXGBXAGGSA-N 0 3 241.256 2.694 20 0 BFADHN CCCCN1CCCC[C@H]1[C@H](O)C(F)(F)F ZINC000340192878 168828393 /nfs/dbraw/zinc/82/83/93/168828393.db2.gz RBQKATPUOASSTA-UWVGGRQHSA-N 0 3 239.281 2.564 20 0 BFADHN c1n[nH]cc1CN[C@H](c1ccccc1)C1CC1 ZINC000041075753 374106395 /nfs/dbraw/zinc/10/63/95/374106395.db2.gz JGYGHCNIRQZIPH-CQSZACIVSA-N 0 3 227.311 2.651 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCC[C@H](C)C2)o1 ZINC000381905595 374162686 /nfs/dbraw/zinc/16/26/86/374162686.db2.gz WRSRSAXKPXQZRY-CABZTGNLSA-N 0 3 222.332 2.960 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cnc(C2CC2)nc1 ZINC000459308922 206009000 /nfs/dbraw/zinc/00/90/00/206009000.db2.gz UYGYYHYYNMLUPL-NSHDSACASA-N 0 3 233.359 2.830 20 0 BFADHN CC[C@@H](N[C@H]1CC12CC2)c1ccncc1 ZINC000424328450 206036867 /nfs/dbraw/zinc/03/68/67/206036867.db2.gz QVRLSQOIASKFRP-NEPJUHHUSA-N 0 3 202.301 2.675 20 0 BFADHN COc1ccccc1CN(C)[C@H]1CC1(C)C ZINC000426558241 206052148 /nfs/dbraw/zinc/05/21/48/206052148.db2.gz UNDPEJXBJWOLOD-ZDUSSCGKSA-N 0 3 219.328 2.926 20 0 BFADHN COc1ccc([C@@H](C)N[C@H]2CC23CC3)c(OC)c1 ZINC000424328869 206036782 /nfs/dbraw/zinc/03/67/82/206036782.db2.gz RBKWNRZVWVKSAM-YGRLFVJLSA-N 0 3 247.338 2.907 20 0 BFADHN C[C@@H](NCCc1ccco1)c1cncc(F)c1 ZINC000155999014 206073139 /nfs/dbraw/zinc/07/31/39/206073139.db2.gz IFICZOVIAOTMNO-SNVBAGLBSA-N 0 3 234.274 2.707 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCC2(CCO)CC2)o1 ZINC000157320911 206082205 /nfs/dbraw/zinc/08/22/05/206082205.db2.gz UJNVPMZZHFYLAP-YPMHNXCESA-N 0 3 249.354 2.655 20 0 BFADHN COc1cccc(CN2CC[C@@H]2C2CC2)c1OC ZINC000449426394 206097566 /nfs/dbraw/zinc/09/75/66/206097566.db2.gz PIVXVDDVVRTXHI-CYBMUJFWSA-N 0 3 247.338 2.688 20 0 BFADHN CCO[C@H]1CCN(CCC(F)(F)F)C[C@@H]1C ZINC000451552477 206108174 /nfs/dbraw/zinc/10/81/74/206108174.db2.gz YPPNBBCAZNYPOL-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN CCN(Cc1ccccn1)C1CC(OC(C)C)C1 ZINC000459372078 206125322 /nfs/dbraw/zinc/12/53/22/206125322.db2.gz RZOWLPSWKHMBGH-UHFFFAOYSA-N 0 3 248.370 2.860 20 0 BFADHN CCCCOCCN1CCCC[C@H]1COCC ZINC000459703636 206137882 /nfs/dbraw/zinc/13/78/82/206137882.db2.gz GKLXFUPDRGGTAB-AWEZNQCLSA-N 0 3 243.391 2.694 20 0 BFADHN O=C(c1ccccc1)C1CCN(CC2CC2)CC1 ZINC000155423848 182675275 /nfs/dbraw/zinc/67/52/75/182675275.db2.gz FPPZAZGZIAFHMP-UHFFFAOYSA-N 0 3 243.350 2.991 20 0 BFADHN OC1CC(CCNCc2sccc2Cl)C1 ZINC000336730584 183026465 /nfs/dbraw/zinc/02/64/65/183026465.db2.gz KFRXWGKVKCUUDF-UHFFFAOYSA-N 0 3 245.775 2.652 20 0 BFADHN OC1CCC(NCc2sccc2Cl)CC1 ZINC000192976803 183027074 /nfs/dbraw/zinc/02/70/74/183027074.db2.gz PBBKSNGFXLUPON-UHFFFAOYSA-N 0 3 245.775 2.795 20 0 BFADHN OCCC1(NCc2ccc(Cl)o2)CCCC1 ZINC000309522307 183042520 /nfs/dbraw/zinc/04/25/20/183042520.db2.gz BOYJATSDKAIBSI-UHFFFAOYSA-N 0 3 243.734 2.718 20 0 BFADHN OCCCCCCN1CCc2sccc2C1 ZINC000226834052 183044103 /nfs/dbraw/zinc/04/41/03/183044103.db2.gz YGLNZPLRHSBDCC-UHFFFAOYSA-N 0 3 239.384 2.659 20 0 BFADHN OCCCCCN1CCC=C(c2ccco2)C1 ZINC000285317708 183045150 /nfs/dbraw/zinc/04/51/50/183045150.db2.gz MQJNTBTWSYCCAA-UHFFFAOYSA-N 0 3 235.327 2.531 20 0 BFADHN OCCCCCNCc1ccc(F)cc1Cl ZINC000083374616 183047401 /nfs/dbraw/zinc/04/74/01/183047401.db2.gz HPEKSUOADYDOOH-UHFFFAOYSA-N 0 3 245.725 2.731 20 0 BFADHN OCCCCN(C/C=C/c1ccccc1)C1CC1 ZINC000304345626 183049664 /nfs/dbraw/zinc/04/96/64/183049664.db2.gz LVTKGZWDYIUHLE-RMKNXTFCSA-N 0 3 245.366 2.937 20 0 BFADHN OCC1(NCc2csc3ccccc23)CCC1 ZINC000133156132 183037763 /nfs/dbraw/zinc/03/77/63/183037763.db2.gz BKBXOXIOZCDRAU-UHFFFAOYSA-N 0 3 247.363 2.906 20 0 BFADHN OCCCCN1CCC[C@@H]1c1ccc(F)cc1 ZINC000264028831 183050667 /nfs/dbraw/zinc/05/06/67/183050667.db2.gz ZJYQWVLEUQYGAD-CQSZACIVSA-N 0 3 237.318 2.735 20 0 BFADHN OCCCCN1CCC[C@H]1c1cccc(F)c1 ZINC000264017564 183051331 /nfs/dbraw/zinc/05/13/31/183051331.db2.gz VEBBBQHALRKSBF-AWEZNQCLSA-N 0 3 237.318 2.735 20 0 BFADHN OCCCN1CCCC[C@H]1c1ccc(F)cc1 ZINC000267725456 183055539 /nfs/dbraw/zinc/05/55/39/183055539.db2.gz ZJUIPSVSRUDMCA-AWEZNQCLSA-N 0 3 237.318 2.735 20 0 BFADHN OCCN(Cc1cc2ccccc2s1)C1CC1 ZINC000271083879 183068318 /nfs/dbraw/zinc/06/83/18/183068318.db2.gz SJYAEFJZFDUUJT-UHFFFAOYSA-N 0 3 247.363 2.858 20 0 BFADHN OCCCNCc1ccc(-c2ccc(F)cc2)o1 ZINC000020188483 183059023 /nfs/dbraw/zinc/05/90/23/183059023.db2.gz YCWKINQERCTTFK-UHFFFAOYSA-N 0 3 249.285 2.558 20 0 BFADHN OCCN(C/C=C/c1ccc(F)cc1)C1CCC1 ZINC000276122202 183065117 /nfs/dbraw/zinc/06/51/17/183065117.db2.gz YLRCPSCIXHKAOM-NSCUHMNNSA-N 0 3 249.329 2.686 20 0 BFADHN OCCNC1(c2ccc(Cl)c(Cl)c2)CC1 ZINC000267128291 183076880 /nfs/dbraw/zinc/07/68/80/183076880.db2.gz CSPQMPOJRZVKOQ-UHFFFAOYSA-N 0 3 246.137 2.564 20 0 BFADHN CCCC[C@H](COC)N[C@H]1CCC[C@@H]1F ZINC000340533835 169004276 /nfs/dbraw/zinc/00/42/76/169004276.db2.gz YFTFHWPQFVASJD-WOPDTQHZSA-N 0 3 217.328 2.672 20 0 BFADHN CCCC[C@H](COC)N[C@@H](C)c1ccccn1 ZINC000152382013 169004836 /nfs/dbraw/zinc/00/48/36/169004836.db2.gz YUMPWYGZCNXUHV-QWHCGFSZSA-N 0 3 236.359 2.937 20 0 BFADHN CCCC[C@H](COC)N[C@@H](C)c1ccncc1 ZINC000174112569 169004959 /nfs/dbraw/zinc/00/49/59/169004959.db2.gz JVSRHTPWOQXGQP-GXTWGEPZSA-N 0 3 236.359 2.937 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1cccc(C)c1C ZINC000037619282 169007562 /nfs/dbraw/zinc/00/75/62/169007562.db2.gz AFGOHEFQURPNJB-LBPRGKRZSA-N 0 3 234.343 2.759 20 0 BFADHN OC[C@@H](CC1CCC1)NCc1ccc(Cl)o1 ZINC000309595175 183104128 /nfs/dbraw/zinc/10/41/28/183104128.db2.gz UIWKESKXUSIDEG-SNVBAGLBSA-N 0 3 243.734 2.574 20 0 BFADHN OC[C@@H](CNCc1cc2ccccc2o1)C1CC1 ZINC000353073649 183104833 /nfs/dbraw/zinc/10/48/33/183104833.db2.gz QCHGYQCDMXZCAY-CYBMUJFWSA-N 0 3 245.322 2.541 20 0 BFADHN CCCC[C@H]1CCC[C@@H]1NCc1nccc(N)n1 ZINC000276844812 169013975 /nfs/dbraw/zinc/01/39/75/169013975.db2.gz CRKWYBGHVMIUQQ-RYUDHWBXSA-N 0 3 248.374 2.507 20 0 BFADHN OC[C@@H](N[C@@H]1CCCc2occc21)C1CCCC1 ZINC000290307722 183109524 /nfs/dbraw/zinc/10/95/24/183109524.db2.gz ZKIMJZPMYLBYIM-ZIAGYGMSSA-N 0 3 249.354 2.798 20 0 BFADHN OC[C@@H](N[C@H]1CCC12CCC2)c1ccc(F)cc1 ZINC000282119366 183109681 /nfs/dbraw/zinc/10/96/81/183109681.db2.gz JJUIZOFILSQDLJ-KGLIPLIRSA-N 0 3 249.329 2.781 20 0 BFADHN CCCC[C@H](CCC)CNC(=O)[C@H](N)CCC ZINC000236874903 169001197 /nfs/dbraw/zinc/00/11/97/169001197.db2.gz DGXPRWRZRBBTSS-QWHCGFSZSA-N 0 3 242.407 2.837 20 0 BFADHN OC[C@H](CNCc1ccco1)C1CCCCC1 ZINC000292942271 183137457 /nfs/dbraw/zinc/13/74/57/183137457.db2.gz HWGSZFFUGNZKJW-ZDUSSCGKSA-N 0 3 237.343 2.558 20 0 BFADHN OC[C@@H]1CCC[C@H](NCc2ccc(Cl)o2)C1 ZINC000304687167 183122358 /nfs/dbraw/zinc/12/23/58/183122358.db2.gz ZHZFJBHKINQPMM-ZJUUUORDSA-N 0 3 243.734 2.574 20 0 BFADHN OC[C@H]1C=C[C@@H](Nc2ccnc3ccccc32)C1 ZINC000192134235 183146940 /nfs/dbraw/zinc/14/69/40/183146940.db2.gz FJHRVTDUQPSPIH-NWDGAFQWSA-N 0 3 240.306 2.584 20 0 BFADHN OC[C@H]1c2ccccc2CCN1[C@H]1C=CCCC1 ZINC000268456226 183157898 /nfs/dbraw/zinc/15/78/98/183157898.db2.gz CLRVRZTZFSQZCS-HOCLYGCPSA-N 0 3 243.350 2.687 20 0 BFADHN CCCN(C(=O)CNC)[C@@H](CC)c1ccccc1 ZINC000269229695 169042033 /nfs/dbraw/zinc/04/20/33/169042033.db2.gz OJIZSOCCISKLRV-AWEZNQCLSA-N 0 3 248.370 2.596 20 0 BFADHN CCCN(C(=O)[C@@H](C)N)[C@H](CC)c1ccccc1 ZINC000269053423 169043353 /nfs/dbraw/zinc/04/33/53/169043353.db2.gz TVWGQPRBNMFQLH-TZMCWYRMSA-N 0 3 248.370 2.724 20 0 BFADHN O[C@@H](CNCc1ccsc1)c1ccsc1 ZINC000164291654 183180194 /nfs/dbraw/zinc/18/01/94/183180194.db2.gz DULXRQLKYORYRV-NSHDSACASA-N 0 3 239.365 2.633 20 0 BFADHN O[C@@H](CN1CCCCC1)c1ccc(Cl)cc1 ZINC000019784941 183175648 /nfs/dbraw/zinc/17/56/48/183175648.db2.gz IJHFWDPNDNGBJP-ZDUSSCGKSA-N 0 3 239.746 2.859 20 0 BFADHN O[C@@H]1CC[C@H](CNCc2cc3ccccc3o2)C1 ZINC000188803480 183203312 /nfs/dbraw/zinc/20/33/12/183203312.db2.gz OLDXDKKGNSTQPD-WCQYABFASA-N 0 3 245.322 2.683 20 0 BFADHN O[C@@H]1CC[C@H]1NCc1cc(Cl)cc(Cl)c1 ZINC000335281456 183205254 /nfs/dbraw/zinc/20/52/54/183205254.db2.gz OEGVDWRYDSLNCW-GHMZBOCLSA-N 0 3 246.137 2.606 20 0 BFADHN O[C@@H]1CCCCC[C@H]1N[C@@H]1CCCc2occc21 ZINC000228317424 183187681 /nfs/dbraw/zinc/18/76/81/183187681.db2.gz GEBVYEABSDIHTB-MGPQQGTHSA-N 0 3 249.354 2.940 20 0 BFADHN O[C@@H]1CCCC[C@@H]1N[C@H]1CCCc2occc21 ZINC000245782103 183189619 /nfs/dbraw/zinc/18/96/19/183189619.db2.gz CROJXLASTVREQY-RWMBFGLXSA-N 0 3 235.327 2.550 20 0 BFADHN O[C@@H]1CCCC[C@H]1CN[C@H]1CCCc2occc21 ZINC000246213771 183190454 /nfs/dbraw/zinc/19/04/54/183190454.db2.gz SIOLOAGCXBYVHA-FPMFFAJLSA-N 0 3 249.354 2.798 20 0 BFADHN O[C@@H]1CCCN(Cc2cc3ccccc3s2)C1 ZINC000271028903 183193863 /nfs/dbraw/zinc/19/38/63/183193863.db2.gz XVDJLOBCHICKBP-GFCCVEGCSA-N 0 3 247.363 2.858 20 0 BFADHN O[C@@H]1CCCN(Cc2ccc3c(c2)CCCC3)C1 ZINC000292499977 183194477 /nfs/dbraw/zinc/19/44/77/183194477.db2.gz GSYKZVIGSCZHFQ-MRXNPFEDSA-N 0 3 245.366 2.522 20 0 BFADHN CCCN(C)Cc1ccnn1-c1ccccc1 ZINC000279884474 169080472 /nfs/dbraw/zinc/08/04/72/169080472.db2.gz SATQJHDSCGEPMK-UHFFFAOYSA-N 0 3 229.327 2.714 20 0 BFADHN CCCN(C)Cc1c(C)nc2sccn12 ZINC000268228887 169081036 /nfs/dbraw/zinc/08/10/36/169081036.db2.gz KRMPCSIYDQXSGJ-UHFFFAOYSA-N 0 3 223.345 2.546 20 0 BFADHN CCCN(C)Cc1ccc(Br)cn1 ZINC000132824989 169081365 /nfs/dbraw/zinc/08/13/65/169081365.db2.gz HRSCVSFPOKATJE-UHFFFAOYSA-N 0 3 243.148 2.686 20 0 BFADHN CCCN(CC)C(=O)c1ccccc1CN(C)C ZINC000362538881 169118580 /nfs/dbraw/zinc/11/85/80/169118580.db2.gz AKICYEKYOXQLHD-UHFFFAOYSA-N 0 3 248.370 2.620 20 0 BFADHN CCCN(CC)CC(=O)N[C@@H](C)c1ccccc1 ZINC000299379845 169120626 /nfs/dbraw/zinc/12/06/26/169120626.db2.gz NHUQKRQIHNRKOK-ZDUSSCGKSA-N 0 3 248.370 2.596 20 0 BFADHN O[C@H]1CCCN(C/C=C/c2ccccc2)CC1 ZINC000292896102 183233543 /nfs/dbraw/zinc/23/35/43/183233543.db2.gz AANXQLVFYHTKJC-LWUPOJRFSA-N 0 3 231.339 2.547 20 0 BFADHN O[C@H]1CCCC[C@H]1Nc1ccnc2ccccc21 ZINC000052006019 183233659 /nfs/dbraw/zinc/23/36/59/183233659.db2.gz DQVMIMDDJKENGY-CABCVRRESA-N 0 3 242.322 2.950 20 0 BFADHN O[C@H]1CCCC[C@H]1NCc1cc2ccccc2o1 ZINC000051993526 183233834 /nfs/dbraw/zinc/23/38/34/183233834.db2.gz CTIIQGIJZCRYJZ-KGLIPLIRSA-N 0 3 245.322 2.826 20 0 BFADHN O[C@H]1CCCN(Cc2ccccc2Cl)CC1 ZINC000293042245 183235244 /nfs/dbraw/zinc/23/52/44/183235244.db2.gz HENVAVCEBFHLHZ-LBPRGKRZSA-N 0 3 239.746 2.687 20 0 BFADHN CCCN(CCC)[C@H](C)C(=O)NC1CCCC1 ZINC000355465066 169152357 /nfs/dbraw/zinc/15/23/57/169152357.db2.gz LSUFBZZKBJMMAZ-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CCCN(CCC)Cc1nnc(-c2ccco2)o1 ZINC000175871948 169149945 /nfs/dbraw/zinc/14/99/45/169149945.db2.gz NSOGMGIBUMHSEP-UHFFFAOYSA-N 0 3 249.314 2.952 20 0 BFADHN CCCN(CCC)Cc1cncc(F)c1 ZINC000274406343 169150262 /nfs/dbraw/zinc/15/02/62/169150262.db2.gz YXWTXKAXCHOMSE-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN Oc1ccc(C2=CCN(CCCF)CC2)cc1 ZINC000119294957 183267377 /nfs/dbraw/zinc/26/73/77/183267377.db2.gz AFROBNDWGIPUPP-UHFFFAOYSA-N 0 3 235.302 2.841 20 0 BFADHN CCCN(CC)[C@@H](C(=O)N(C)C)c1ccccc1 ZINC000270819901 169131893 /nfs/dbraw/zinc/13/18/93/169131893.db2.gz YFXFBTBWBHMSGJ-CQSZACIVSA-N 0 3 248.370 2.548 20 0 BFADHN Oc1ccc2c(c1)C1(CC1)CN(CC1CC1)C2 ZINC000336400595 183279816 /nfs/dbraw/zinc/27/98/16/183279816.db2.gz IEMKECRIROORJZ-UHFFFAOYSA-N 0 3 229.323 2.649 20 0 BFADHN Oc1ccc2c(c1)CN(CCC1CC1)CC2 ZINC000336665314 183280161 /nfs/dbraw/zinc/28/01/61/183280161.db2.gz IOYNHZHEQUFFNK-UHFFFAOYSA-N 0 3 217.312 2.550 20 0 BFADHN CCCN(CCC)C(=O)CN(C)CC(C)(C)C ZINC000339256268 169141100 /nfs/dbraw/zinc/14/11/00/169141100.db2.gz COQKUZQJQZOCBX-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN CCCN(CCC)C(=O)CN(C)[C@@H](C)C(C)C ZINC000355262351 169141467 /nfs/dbraw/zinc/14/14/67/169141467.db2.gz GLKAOQIYQNWNKS-ZDUSSCGKSA-N 0 3 242.407 2.611 20 0 BFADHN [O-]c1cc(F)cc(C[N@@H+]2C[C@@H]3CCC[C@@H]3C2)c1 ZINC000184150968 183258335 /nfs/dbraw/zinc/25/83/35/183258335.db2.gz NBDHOVBZFZUQIL-TXEJJXNPSA-N 0 3 235.302 2.763 20 0 BFADHN [O-]c1cc(F)cc(C[N@H+]2C[C@@H]3CCC[C@@H]3C2)c1 ZINC000184150968 183258337 /nfs/dbraw/zinc/25/83/37/183258337.db2.gz NBDHOVBZFZUQIL-TXEJJXNPSA-N 0 3 235.302 2.763 20 0 BFADHN [O-]c1cc(F)cc(C[NH+]2C[C@H]3CCC[C@@H]3C2)c1 ZINC000184150990 183258341 /nfs/dbraw/zinc/25/83/41/183258341.db2.gz NBDHOVBZFZUQIL-VXGBXAGGSA-N 0 3 235.302 2.763 20 0 BFADHN [O-]c1cc(F)cc(C[N@@H+]2CC[C@@H]3CCC[C@H]32)c1 ZINC000355181602 183258616 /nfs/dbraw/zinc/25/86/16/183258616.db2.gz XZWOPIGUKHTYQZ-SMDDNHRTSA-N 0 3 235.302 2.906 20 0 BFADHN [O-]c1cc(F)cc(C[N@H+]2CC[C@@H]3CCC[C@H]32)c1 ZINC000355181602 183258617 /nfs/dbraw/zinc/25/86/17/183258617.db2.gz XZWOPIGUKHTYQZ-SMDDNHRTSA-N 0 3 235.302 2.906 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2CC[C@@H](F)C2)c1 ZINC000335617438 183259583 /nfs/dbraw/zinc/25/95/83/183259583.db2.gz JONKBGZGHFMDQL-MWLCHTKSSA-N 0 3 227.254 2.512 20 0 BFADHN Oc1cccc2c1CC[C@H]2NC[C@@H]1CCC=CO1 ZINC000278860513 183295057 /nfs/dbraw/zinc/29/50/57/183295057.db2.gz LYAHDNDCWDHAIO-SMDDNHRTSA-N 0 3 245.322 2.662 20 0 BFADHN Oc1cccc2c1CC[C@@H]2NC[C@@H]1CCC=CO1 ZINC000278860480 183295477 /nfs/dbraw/zinc/29/54/77/183295477.db2.gz LYAHDNDCWDHAIO-FZMZJTMJSA-N 0 3 245.322 2.662 20 0 BFADHN CCCN(Cc1cncs1)CC1CC1 ZINC000154683641 169179968 /nfs/dbraw/zinc/17/99/68/169179968.db2.gz NEZZTQHBTSZNQG-UHFFFAOYSA-N 0 3 210.346 2.765 20 0 BFADHN CCCN(Cc1n[nH]cc1C)CC(C)C ZINC000290430702 169181371 /nfs/dbraw/zinc/18/13/71/169181371.db2.gz NAWJURQXIQUBMX-UHFFFAOYSA-N 0 3 209.337 2.586 20 0 BFADHN CCCN(Cc1conc1C)CC(C)C ZINC000295484819 169181548 /nfs/dbraw/zinc/18/15/48/169181548.db2.gz XJCBLUNKNRAGHV-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN CCCN(Cc1ncc(C)cn1)CC(C)C ZINC000336675784 169181767 /nfs/dbraw/zinc/18/17/67/169181767.db2.gz VJBNYWHRLJZEKT-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN c1c[nH]c([C@H]2CCCN2CCOC2CCC2)c1 ZINC000287586159 183327592 /nfs/dbraw/zinc/32/75/92/183327592.db2.gz CMPJRRDJSNZUDY-CQSZACIVSA-N 0 3 234.343 2.721 20 0 BFADHN c1cc(-c2ccc(CN3CCC34CCC4)o2)n[nH]1 ZINC000336563321 183328675 /nfs/dbraw/zinc/32/86/75/183328675.db2.gz MVMINJPAZHBPMW-UHFFFAOYSA-N 0 3 243.310 2.798 20 0 BFADHN CCCN(Cc1cnn(CC(F)F)c1)C1CC1 ZINC000295220193 169178280 /nfs/dbraw/zinc/17/82/80/169178280.db2.gz BQJWMXSZDACZCK-UHFFFAOYSA-N 0 3 243.301 2.523 20 0 BFADHN c1cc(CN2CCC[C@@H]2[C@H]2CCCO2)cs1 ZINC000285182049 183342389 /nfs/dbraw/zinc/34/23/89/183342389.db2.gz QEEPWUXTQGCPCO-CHWSQXEVSA-N 0 3 237.368 2.892 20 0 BFADHN c1cc2cccc(CN3CCSCC3)c2[nH]1 ZINC000271046554 183347487 /nfs/dbraw/zinc/34/74/87/183347487.db2.gz RGPKQYYQHIXOFB-UHFFFAOYSA-N 0 3 232.352 2.717 20 0 BFADHN CCCN1CCc2ccc(C(C)=O)cc2CC1 ZINC000335931026 169209122 /nfs/dbraw/zinc/20/91/22/169209122.db2.gz AJZVHZGVFZVVLU-UHFFFAOYSA-N 0 3 231.339 2.700 20 0 BFADHN c1cc(CN[C@H]2[C@H]3CCO[C@H]3C23CCCC3)co1 ZINC000193988846 183353973 /nfs/dbraw/zinc/35/39/73/183353973.db2.gz LKWHKWYKNBEWSS-HZSPNIEDSA-N 0 3 247.338 2.717 20 0 BFADHN c1cc2c(o1)CCCN(CCc1ccncc1)C2 ZINC000288910371 183336362 /nfs/dbraw/zinc/33/63/62/183336362.db2.gz UBEGRQBICUZSKY-UHFFFAOYSA-N 0 3 242.322 2.666 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2NCCc1cscn1 ZINC000124041113 183372086 /nfs/dbraw/zinc/37/20/86/183372086.db2.gz AWIPGWSCLJUYNB-LBPRGKRZSA-N 0 3 248.351 2.946 20 0 BFADHN c1cc2cc(CNCCOCC3CC3)ccc2o1 ZINC000286437709 183374487 /nfs/dbraw/zinc/37/44/87/183374487.db2.gz JCVWXFSJJGSCIO-UHFFFAOYSA-N 0 3 245.322 2.949 20 0 BFADHN c1cc2cc(CNC[C@H]3CCCO3)ccc2o1 ZINC000285604935 183374969 /nfs/dbraw/zinc/37/49/69/183374969.db2.gz YNQCXRPVZIPUSG-CYBMUJFWSA-N 0 3 231.295 2.701 20 0 BFADHN c1cc2ccc(CN[C@@H]3CCCSC3)nc2[nH]1 ZINC000353216580 183376265 /nfs/dbraw/zinc/37/62/65/183376265.db2.gz GYWIXDMJIANPPD-GFCCVEGCSA-N 0 3 247.367 2.548 20 0 BFADHN c1cn(-c2ccccc2)c(CNC2CCC2)n1 ZINC000276966522 183386964 /nfs/dbraw/zinc/38/69/64/183386964.db2.gz NXNLMHIFMVYOKY-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN c1ncc(CNC2(c3ccccc3)CCC2)[nH]1 ZINC000171133559 183389315 /nfs/dbraw/zinc/38/93/15/183389315.db2.gz MRZRXARYKAOJOW-UHFFFAOYSA-N 0 3 227.311 2.579 20 0 BFADHN c1cn2c(n1)[C@@H](NCCCc1ccccc1)CC2 ZINC000293906322 183391874 /nfs/dbraw/zinc/39/18/74/183391874.db2.gz HQQCDZFXDDXOKR-AWEZNQCLSA-N 0 3 241.338 2.550 20 0 BFADHN CCCNCc1cccc(OC)c1OC(C)C ZINC000033466311 169267638 /nfs/dbraw/zinc/26/76/38/169267638.db2.gz CAKMPTQAALTMFJ-UHFFFAOYSA-N 0 3 237.343 2.982 20 0 BFADHN CCCNCc1conc1Cc1ccccc1 ZINC000339177866 169268989 /nfs/dbraw/zinc/26/89/89/169268989.db2.gz YJTCEZIVCARFPT-UHFFFAOYSA-N 0 3 230.311 2.765 20 0 BFADHN c1cn(C2CCN(Cc3ccccc3)CC2)cn1 ZINC000111243389 183397133 /nfs/dbraw/zinc/39/71/33/183397133.db2.gz DIJDIFFPOFUMCF-UHFFFAOYSA-N 0 3 241.338 2.720 20 0 BFADHN c1ccc(CN2CCN(CCC3CC3)CC2)cc1 ZINC000309675431 183399854 /nfs/dbraw/zinc/39/98/54/183399854.db2.gz PKBWQDBVAGBZQV-UHFFFAOYSA-N 0 3 244.382 2.604 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1cccc(CC)c1 ZINC000294819682 169274150 /nfs/dbraw/zinc/27/41/50/169274150.db2.gz RGTPPMPVIZBJJK-NSHDSACASA-N 0 3 234.343 2.576 20 0 BFADHN CCCN[C@H](c1nc(C)cs1)C1CC1 ZINC000041061390 169290488 /nfs/dbraw/zinc/29/04/88/169290488.db2.gz CYOFQOZDHOJLPM-JTQLQIEISA-N 0 3 210.346 2.902 20 0 BFADHN CCCN[C@@H](c1nc(C)cs1)C1CC1 ZINC000041061389 169280716 /nfs/dbraw/zinc/28/07/16/169280716.db2.gz CYOFQOZDHOJLPM-SNVBAGLBSA-N 0 3 210.346 2.902 20 0 BFADHN c1ccc2c(c1)OC[C@H]2N[C@@H]1CCCSC1 ZINC000070068861 183454043 /nfs/dbraw/zinc/45/40/43/183454043.db2.gz KGAMGKJMUKJYSI-ZYHUDNBSSA-N 0 3 235.352 2.605 20 0 BFADHN CCC[C@@H](C)N(C)Cc1ccc(OC)nc1 ZINC000267924076 169365109 /nfs/dbraw/zinc/36/51/09/169365109.db2.gz JVLHIFQMQQHFJR-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cn2ccccc2n1 ZINC000298729296 169365538 /nfs/dbraw/zinc/36/55/38/169365538.db2.gz RZPPGKFTYGSQFC-GFCCVEGCSA-N 0 3 231.343 2.955 20 0 BFADHN CCC[C@@H](C)NCc1ncnn1-c1ccccc1 ZINC000279409526 169370301 /nfs/dbraw/zinc/37/03/01/169370301.db2.gz DTPJPDAAWLKORV-GFCCVEGCSA-N 0 3 244.342 2.546 20 0 BFADHN CCC[C@@H](C)CN(C)[C@H](C)C(=O)NC(C)(C)C ZINC000362527989 169357762 /nfs/dbraw/zinc/35/77/62/169357762.db2.gz IAHFSWSQVZPOIY-VXGBXAGGSA-N 0 3 242.407 2.658 20 0 BFADHN CCC[C@@H](C)CN1CCO[C@@H](C2CC2)C1 ZINC000362522625 169359441 /nfs/dbraw/zinc/35/94/41/169359441.db2.gz CAERIGOEONAUJX-DGCLKSJQSA-N 0 3 211.349 2.533 20 0 BFADHN CCC[C@@H](C)CN[C@@H](C)c1nnc2ccccn21 ZINC000036968797 169360041 /nfs/dbraw/zinc/36/00/41/169360041.db2.gz BTONFSZHCNGGKE-NEPJUHHUSA-N 0 3 246.358 2.816 20 0 BFADHN CCC[C@@H](C)CN[C@H](C)c1nnc2ccccn21 ZINC000036968801 169361155 /nfs/dbraw/zinc/36/11/55/169361155.db2.gz BTONFSZHCNGGKE-VXGBXAGGSA-N 0 3 246.358 2.816 20 0 BFADHN CCC[C@@H](Cc1ccccc1)NCc1ncc[nH]1 ZINC000066593837 169387166 /nfs/dbraw/zinc/38/71/66/169387166.db2.gz PDKNQYYYCLWTCW-AWEZNQCLSA-N 0 3 243.354 2.911 20 0 BFADHN CCC[C@@H](C)N[C@H]1CCCc2c[nH]nc21 ZINC000271474432 169371425 /nfs/dbraw/zinc/37/14/25/169371425.db2.gz HWPCMTKQAJNLAN-KOLCDFICSA-N 0 3 207.321 2.565 20 0 BFADHN CCC[C@@H](C)[C@H](CC)NCc1ncnn1CC ZINC000359055692 169373227 /nfs/dbraw/zinc/37/32/27/169373227.db2.gz NMLGAVTVEXJJKF-NEPJUHHUSA-N 0 3 238.379 2.602 20 0 BFADHN CCC[C@@H](CC)NCc1ncc(Cl)n1C ZINC000050404546 169379894 /nfs/dbraw/zinc/37/98/94/169379894.db2.gz ZLBVEMAGMAFLGY-SECBINFHSA-N 0 3 229.755 2.742 20 0 BFADHN CCC[C@@H](CNCc1ccsc1C)OC ZINC000293638730 169384638 /nfs/dbraw/zinc/38/46/38/169384638.db2.gz JMPGUEQSLJVSAG-LBPRGKRZSA-N 0 3 227.373 2.961 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1cccc(O)c1)OC ZINC000293547245 169386148 /nfs/dbraw/zinc/38/61/48/169386148.db2.gz BIEYUJQADAZGAR-RISCZKNCSA-N 0 3 237.343 2.858 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1ccc(C)c(Cl)c1 ZINC000036872639 169391721 /nfs/dbraw/zinc/39/17/21/169391721.db2.gz DQYKXTXKQSZPFC-LLVKDONJSA-N 0 3 240.734 2.714 20 0 BFADHN COc1ncccc1CN1C[C@@H](C)CC[C@@H]1C ZINC000193365899 259600518 /nfs/dbraw/zinc/60/05/18/259600518.db2.gz KRFMHLRHJZYDTD-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1ccc(F)c(C)c1 ZINC000268918727 169410427 /nfs/dbraw/zinc/41/04/27/169410427.db2.gz VWSAAHLNFPPTFT-DGCLKSJQSA-N 0 3 239.334 2.946 20 0 BFADHN c1snnc1CNC(C1CCC1)C1CCC1 ZINC000309580246 183555345 /nfs/dbraw/zinc/55/53/45/183555345.db2.gz SAGROFSPFGZJKJ-UHFFFAOYSA-N 0 3 237.372 2.597 20 0 BFADHN CO[C@H](C)CNCc1cccc(C2CC2)c1 ZINC000223947881 134343339 /nfs/dbraw/zinc/34/33/39/134343339.db2.gz HVLGZDDYVXSXHI-LLVKDONJSA-N 0 3 219.328 2.689 20 0 BFADHN CCC[C@H](NC[C@H](O)C(F)F)c1ccccc1 ZINC000224438781 134347353 /nfs/dbraw/zinc/34/73/53/134347353.db2.gz QGQMGGMEJMRJRZ-RYUDHWBXSA-N 0 3 243.297 2.743 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H](O)C(F)F)cc1C ZINC000224453088 134347595 /nfs/dbraw/zinc/34/75/95/134347595.db2.gz YAVREDXZQZSTLA-PWSUYJOCSA-N 0 3 243.297 2.580 20 0 BFADHN C[C@@H](NC[C@@H](O)C(F)F)c1ccc(Cl)cc1 ZINC000224472754 134347772 /nfs/dbraw/zinc/34/77/72/134347772.db2.gz RYCOBKHBTLDDEG-GMSGAONNSA-N 0 3 249.688 2.617 20 0 BFADHN CCC(CC)NC(=O)[C@@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000183707389 134353342 /nfs/dbraw/zinc/35/33/42/134353342.db2.gz ZJSZKNFNAXNJTF-SDDRHHMPSA-N 0 3 240.391 2.553 20 0 BFADHN CC[C@@H]1CN(CCCCCF)C[C@H](C)O1 ZINC000341894886 171079374 /nfs/dbraw/zinc/07/93/74/171079374.db2.gz KFLVBWVAACVTGS-NWDGAFQWSA-N 0 3 217.328 2.626 20 0 BFADHN CCCn1cc(CNC[C@@H](C)C(F)(F)F)cn1 ZINC000359131172 169618357 /nfs/dbraw/zinc/61/83/57/169618357.db2.gz MCUOIOGFOXHHAN-SECBINFHSA-N 0 3 249.280 2.581 20 0 BFADHN COc1cc(C)nc(CNC[C@@H]2CC2(C)C)c1 ZINC000225909551 134359968 /nfs/dbraw/zinc/35/99/68/134359968.db2.gz NTTUOJMQBBOXQY-NSHDSACASA-N 0 3 234.343 2.534 20 0 BFADHN COC(C)(C)C[C@@H](C)NCc1cncc(F)c1 ZINC000494558703 532964655 /nfs/dbraw/zinc/96/46/55/532964655.db2.gz AIRDTTCOZYIALJ-SNVBAGLBSA-N 0 3 240.322 2.514 20 0 BFADHN Brc1ccc(CN[C@H]2CC23CC3)o1 ZINC000335284964 532965032 /nfs/dbraw/zinc/96/50/32/532965032.db2.gz OVGQOCJNGUASDI-QMMMGPOBSA-N 0 3 242.116 2.684 20 0 BFADHN CC[C@H](N[C@H]1CCSC1)c1ccc(F)cn1 ZINC000226619562 134368199 /nfs/dbraw/zinc/36/81/99/134368199.db2.gz QMGLUQVHIIEKMA-QWRGUYRKSA-N 0 3 240.347 2.767 20 0 BFADHN Cc1csc([C@H](C)N[C@H]2CCO[C@@H](C)C2)n1 ZINC000227108230 134373050 /nfs/dbraw/zinc/37/30/50/134373050.db2.gz WWQZTDSHPXTCLO-DCAQKATOSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCSC2)o1 ZINC000035013400 383825165 /nfs/dbraw/zinc/82/51/65/383825165.db2.gz JUPDFXRURFIUNV-VHSXEESVSA-N 0 3 211.330 2.744 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@H](OC)C2(C)C)nc1 ZINC000345115279 383836948 /nfs/dbraw/zinc/83/69/48/383836948.db2.gz IQPOFEMYVTZAKU-KGLIPLIRSA-N 0 3 248.370 2.547 20 0 BFADHN CCCN(C)Cc1ccc(Br)o1 ZINC000047702235 383838193 /nfs/dbraw/zinc/83/81/93/383838193.db2.gz LBPZUIDQJLEDLF-UHFFFAOYSA-N 0 3 232.121 2.884 20 0 BFADHN Cc1cc(C)cc(CN(C)CCn2cccn2)c1 ZINC000111279593 383849371 /nfs/dbraw/zinc/84/93/71/383849371.db2.gz JZGSVMHXHOJGQE-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN CCC[C@H](CC)N[C@@H](C)c1cnccn1 ZINC000070025736 383854899 /nfs/dbraw/zinc/85/48/99/383854899.db2.gz YKIKCGBXQXSUJE-QWRGUYRKSA-N 0 3 207.321 2.706 20 0 BFADHN CCO[C@H]1CCN(Cc2ncccc2C)C[C@@H]1C ZINC000449495504 383880293 /nfs/dbraw/zinc/88/02/93/383880293.db2.gz HUIKCWIFFHIPQE-ZFWWWQNUSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@@H](N[C@H]1CCOC1)c1ccc(F)c(Cl)c1 ZINC000087783334 383867785 /nfs/dbraw/zinc/86/77/85/383867785.db2.gz ZSTONGYJCYBJFQ-SCZZXKLOSA-N 0 3 243.709 2.919 20 0 BFADHN CC[C@@H](NC[C@@H](C)O)c1ccc(Cl)s1 ZINC000131822350 383868768 /nfs/dbraw/zinc/86/87/68/383868768.db2.gz ROTKFCFFPMOOQO-HTQZYQBOSA-N 0 3 233.764 2.823 20 0 BFADHN C[C@@H](NCc1ncc[nH]1)C(C)(C)c1ccccc1 ZINC000066593759 383873219 /nfs/dbraw/zinc/87/32/19/383873219.db2.gz KZHFTYNIPCFDAE-GFCCVEGCSA-N 0 3 243.354 2.866 20 0 BFADHN CC[C@H](CCO)CN[C@@H](C)c1ccc(C)o1 ZINC000087362840 383829647 /nfs/dbraw/zinc/82/96/47/383829647.db2.gz RQQUMNDKHDHMON-NWDGAFQWSA-N 0 3 225.332 2.647 20 0 BFADHN COc1ccccc1CN1CC[C@@H](OC)[C@H](C)C1 ZINC000449491678 383833104 /nfs/dbraw/zinc/83/31/04/383833104.db2.gz AKGSPIUMDXIZAF-TZMCWYRMSA-N 0 3 249.354 2.552 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@@H](OC)C2(C)C)nc1 ZINC000345115280 383834697 /nfs/dbraw/zinc/83/46/97/383834697.db2.gz IQPOFEMYVTZAKU-UONOGXRCSA-N 0 3 248.370 2.547 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(OC)c(F)c1 ZINC000035151443 383835346 /nfs/dbraw/zinc/83/53/46/383835346.db2.gz QPCFLTRMYZYKQD-JTQLQIEISA-N 0 3 241.306 2.521 20 0 BFADHN COCC1(CN2CCCc3occc3C2)CCC1 ZINC000291098963 383907407 /nfs/dbraw/zinc/90/74/07/383907407.db2.gz JBHSEWDKJMMTBX-UHFFFAOYSA-N 0 3 249.354 2.845 20 0 BFADHN CC[C@@H](NCc1ccc(F)c(F)c1)[C@@H](O)CC ZINC000313126588 383910203 /nfs/dbraw/zinc/91/02/03/383910203.db2.gz YQPMARHVAMOPQC-OLZOCXBDSA-N 0 3 243.297 2.604 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1cccc2ccoc21 ZINC000560119893 383912527 /nfs/dbraw/zinc/91/25/27/383912527.db2.gz GDYBJZXXOJJUAT-QWHCGFSZSA-N 0 3 231.295 2.700 20 0 BFADHN Cc1n[nH]c(C)c1CN[C@H]1CCc2ccccc21 ZINC000036864698 383914967 /nfs/dbraw/zinc/91/49/67/383914967.db2.gz UXSWZSTZSFVFOK-HNNXBMFYSA-N 0 3 241.338 2.804 20 0 BFADHN CCc1nocc1CNCC1CCC(F)CC1 ZINC000647252364 383919384 /nfs/dbraw/zinc/91/93/84/383919384.db2.gz BHTKRHRUTBDLRP-UHFFFAOYSA-N 0 3 240.322 2.855 20 0 BFADHN COc1cc(C)ccc1CNCC[C@@H](C)F ZINC000449343576 383923894 /nfs/dbraw/zinc/92/38/94/383923894.db2.gz LOYLLDAMCJAUPE-LLVKDONJSA-N 0 3 225.307 2.841 20 0 BFADHN CCC(CC)CN(CC)[C@H](C(=O)OC)C1CC1 ZINC000088795944 383924078 /nfs/dbraw/zinc/92/40/78/383924078.db2.gz SHCSOLCCTUKDIE-ZDUSSCGKSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@H](Cc1ccccc1)NCc1ccn(C)n1 ZINC000112466656 383925625 /nfs/dbraw/zinc/92/56/25/383925625.db2.gz BAFKGDXKKGYTLU-CQSZACIVSA-N 0 3 243.354 2.531 20 0 BFADHN COc1nccc(CNCC2(C)CCCCC2)n1 ZINC000295908472 383889123 /nfs/dbraw/zinc/88/91/23/383889123.db2.gz ZJUQKWSZIQVISR-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN C(N[C@@H]1CCC[C@H]2C[C@H]21)c1nc2c(s1)CCC2 ZINC000647252018 383894291 /nfs/dbraw/zinc/89/42/91/383894291.db2.gz RMICWJDTCQXXNK-HBNTYKKESA-N 0 3 248.395 2.910 20 0 BFADHN C[C@@H](N[C@@H]1COCC1(C)C)c1ccccc1F ZINC000313109115 383896828 /nfs/dbraw/zinc/89/68/28/383896828.db2.gz DBOBVNLZVCGACS-ZWNOBZJWSA-N 0 3 237.318 2.901 20 0 BFADHN CC[C@@H](N[C@@H]1CCCc2occc21)[C@@H](O)CC ZINC000313119977 383905843 /nfs/dbraw/zinc/90/58/43/383905843.db2.gz BCHYQUCGLRPMGA-UPJWGTAASA-N 0 3 237.343 2.796 20 0 BFADHN C[C@H](NCc1sccc1Cl)[C@@H]1CCOC1 ZINC000278477856 384001958 /nfs/dbraw/zinc/00/19/58/384001958.db2.gz KGXZOGAHIXJUKP-DTWKUNHWSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@@H](NCCc1ccncc1)c1ccco1 ZINC000037491945 383982120 /nfs/dbraw/zinc/98/21/20/383982120.db2.gz VAXKGCDUPXBASF-LLVKDONJSA-N 0 3 216.284 2.568 20 0 BFADHN Cc1cc(C)c(CN[C@H]2CCCSC2)cn1 ZINC000334737710 383986280 /nfs/dbraw/zinc/98/62/80/383986280.db2.gz FLRFFIOXFSELOL-ZDUSSCGKSA-N 0 3 236.384 2.684 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1ccc(C)c(C)c1 ZINC000037621345 383990189 /nfs/dbraw/zinc/99/01/89/383990189.db2.gz VGDHEQKMGPONLP-CQSZACIVSA-N 0 3 234.343 2.759 20 0 BFADHN CCN(Cc1ccc(Cl)cn1)C(C)C ZINC000558408179 383993226 /nfs/dbraw/zinc/99/32/26/383993226.db2.gz ZCMBQDZEEBSCSU-UHFFFAOYSA-N 0 3 212.724 2.965 20 0 BFADHN CCC1(NCc2cncnc2OC)CCCCC1 ZINC000647215196 384003067 /nfs/dbraw/zinc/00/30/67/384003067.db2.gz LFBRDFOJOUBMRF-UHFFFAOYSA-N 0 3 249.358 2.688 20 0 BFADHN CCc1cnc(CN[C@H](C)[C@H]2C[C@@H]2C)s1 ZINC000322359370 383999385 /nfs/dbraw/zinc/99/93/85/383999385.db2.gz WHGLHVMKBXWTIN-NGZCFLSTSA-N 0 3 224.373 2.840 20 0 BFADHN CC(C)[C@H]1CCC[C@@H](NCc2cnccn2)C1 ZINC000518482180 383937225 /nfs/dbraw/zinc/93/72/25/383937225.db2.gz BKOXBOOATWYBSM-QWHCGFSZSA-N 0 3 233.359 2.781 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccc(C)cc2)n(C)n1 ZINC000089183389 383941766 /nfs/dbraw/zinc/94/17/66/383941766.db2.gz ZYCAQTODTQTXSB-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN CCO[C@@H]1CCN(Cc2cccnc2C)C[C@H]1C ZINC000449500920 383943926 /nfs/dbraw/zinc/94/39/26/383943926.db2.gz VKIIGQYSYZLOSN-IUODEOHRSA-N 0 3 248.370 2.637 20 0 BFADHN Fc1ccc(CN[C@H]2C[C@@H]2C(F)F)cc1 ZINC000348225236 383946552 /nfs/dbraw/zinc/94/65/52/383946552.db2.gz SXDQXQMWBWNYIA-UWVGGRQHSA-N 0 3 215.218 2.569 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](C)Cn2cccn2)s1 ZINC000037155260 383947245 /nfs/dbraw/zinc/94/72/45/383947245.db2.gz YNWFQWUTSVUWMS-PWSUYJOCSA-N 0 3 249.383 2.992 20 0 BFADHN CC(C)C(C)(C)CN[C@H]1CCn2ccnc21 ZINC000345344530 383947316 /nfs/dbraw/zinc/94/73/16/383947316.db2.gz IQMSWDDAWFTQJQ-NSHDSACASA-N 0 3 221.348 2.600 20 0 BFADHN C[C@H](N[C@H]1CCOC1)c1cc2ccccc2o1 ZINC000112758950 383949091 /nfs/dbraw/zinc/94/90/91/383949091.db2.gz AFGXZHKHXMURIC-JQWIXIFHSA-N 0 3 231.295 2.872 20 0 BFADHN C[C@@H]1CCC[C@H](N[C@H]2CCn3ccnc32)[C@H]1C ZINC000345353262 383949059 /nfs/dbraw/zinc/94/90/59/383949059.db2.gz QIABPRSHBVZVRN-VOAKCMCISA-N 0 3 233.359 2.742 20 0 BFADHN CCC[C@H](C)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000345349629 383950193 /nfs/dbraw/zinc/95/01/93/383950193.db2.gz HHQUZQCHWHFKKF-HBNTYKKESA-N 0 3 207.321 2.667 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)n2ccnc21)C(C)(C)C ZINC000345347651 383950633 /nfs/dbraw/zinc/95/06/33/383950633.db2.gz DXMVYTMJEUUWHA-VWYCJHECSA-N 0 3 221.348 2.913 20 0 BFADHN CC[C@@H](COC)NCc1ccc(Cl)s1 ZINC000070656848 383952063 /nfs/dbraw/zinc/95/20/63/383952063.db2.gz FENXRYBSOSIFHN-QMMMGPOBSA-N 0 3 233.764 2.916 20 0 BFADHN C[C@@H](NCCN(C)C)c1ccc(F)cc1Cl ZINC000037184102 383954512 /nfs/dbraw/zinc/95/45/12/383954512.db2.gz DAVJRDNRJLXGMO-SECBINFHSA-N 0 3 244.741 2.691 20 0 BFADHN c1ccc2c(c1)CCC[C@H]2NCc1cncnc1 ZINC000054767093 383954952 /nfs/dbraw/zinc/95/49/52/383954952.db2.gz JBFGGQCFNQCFRK-OAHLLOKOSA-N 0 3 239.322 2.644 20 0 BFADHN CC[C@H](CO)N[C@@H](C)c1ccc(F)cc1Cl ZINC000037238686 383961643 /nfs/dbraw/zinc/96/16/43/383961643.db2.gz WOZYMVYGZQGCLO-WCBMZHEXSA-N 0 3 245.725 2.901 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)CC(C)C ZINC000037260465 383963089 /nfs/dbraw/zinc/96/30/89/383963089.db2.gz YZVOPRYPIRXNPX-VIFPVBQESA-N 0 3 210.321 2.816 20 0 BFADHN CC[C@H](CN[C@H](C)c1ccc(Cl)nc1)OC ZINC000290310465 383967166 /nfs/dbraw/zinc/96/71/66/383967166.db2.gz ZSWBSNPOADOXHU-MWLCHTKSSA-N 0 3 242.750 2.811 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCc3c(F)cccc32)CO1 ZINC000647213610 383976543 /nfs/dbraw/zinc/97/65/43/383976543.db2.gz OOHRAZJHKDJARA-UEKVPHQBSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1cc(CNCc2cccs2)ccn1 ZINC000235837056 383977583 /nfs/dbraw/zinc/97/75/83/383977583.db2.gz BFRKFVSJPRCKCK-UHFFFAOYSA-N 0 3 218.325 2.741 20 0 BFADHN COc1ncncc1CN[C@@]1(C)CCCC[C@H]1C ZINC000647216389 384020012 /nfs/dbraw/zinc/02/00/12/384020012.db2.gz HNNHPAKDNGYKCD-RISCZKNCSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@H]1O)c1ccccc1F ZINC000186801663 384020832 /nfs/dbraw/zinc/02/08/32/384020832.db2.gz AJWQJOIZPYOVDM-ZLKJLUDKSA-N 0 3 237.318 2.780 20 0 BFADHN CC(C)(C)[C@H]1CCC[C@H]1NCc1cnccn1 ZINC000573458132 384021373 /nfs/dbraw/zinc/02/13/73/384021373.db2.gz UVMGZOZDHXAZMW-QWHCGFSZSA-N 0 3 233.359 2.781 20 0 BFADHN CCC(CC)CN(CC)Cc1cnccn1 ZINC000569433122 384025090 /nfs/dbraw/zinc/02/50/90/384025090.db2.gz LIZDBIYIRUJGLS-UHFFFAOYSA-N 0 3 221.348 2.735 20 0 BFADHN Cc1ccc([C@H](C)NCc2cnn(C)c2)c(C)c1 ZINC000037772231 384012518 /nfs/dbraw/zinc/01/25/18/384012518.db2.gz KHPHXGGCOUUTNY-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccc([C@H](C)NCc2cnn(C)c2)cc1 ZINC000037770742 384012616 /nfs/dbraw/zinc/01/26/16/384012616.db2.gz HMKCNMHIKFWNQY-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1nc(F)ccc1CN[C@@H]1CCCSC1 ZINC000278495516 384013583 /nfs/dbraw/zinc/01/35/83/384013583.db2.gz MRJQPFMMAZYYIL-LLVKDONJSA-N 0 3 240.347 2.514 20 0 BFADHN C[C@H]1C[C@H](NCc2cnccc2C(C)(C)C)CO1 ZINC000647217725 384045409 /nfs/dbraw/zinc/04/54/09/384045409.db2.gz BLPXJTRNTWUTHT-AAEUAGOBSA-N 0 3 248.370 2.646 20 0 BFADHN CCOc1cccc(CN[C@@H]2CC[C@H]3C[C@H]3C2)n1 ZINC000647256349 384045837 /nfs/dbraw/zinc/04/58/37/384045837.db2.gz OKJLZFVPTIVWJM-RWMBFGLXSA-N 0 3 246.354 2.759 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(F)c(F)c2)[C@H](C)O1 ZINC000296301699 384029829 /nfs/dbraw/zinc/02/98/29/384029829.db2.gz GDIYXXXBZRFYPU-ZDMBXUJBSA-N 0 3 241.281 2.620 20 0 BFADHN CCC[C@H](NCCCF)c1ccccn1 ZINC000090522094 384031840 /nfs/dbraw/zinc/03/18/40/384031840.db2.gz MEXRPFDHZFHKSM-NSHDSACASA-N 0 3 210.296 2.872 20 0 BFADHN C[C@H](NCC1(O)CCCCCC1)c1cccnc1 ZINC000037889014 384031878 /nfs/dbraw/zinc/03/18/78/384031878.db2.gz IYBACQZJPXOZPP-ZDUSSCGKSA-N 0 3 248.370 2.818 20 0 BFADHN COc1ccc(C)cc1CNC1CC(C)(F)C1 ZINC000449370305 384032622 /nfs/dbraw/zinc/03/26/22/384032622.db2.gz JJEGUTNREAGXTC-UHFFFAOYSA-N 0 3 237.318 2.984 20 0 BFADHN COc1nccc(CN[C@@H](C)CC2CCCC2)n1 ZINC000449372173 384039321 /nfs/dbraw/zinc/03/93/21/384039321.db2.gz DFYWRCVBMVONDR-NSHDSACASA-N 0 3 249.358 2.544 20 0 BFADHN Cc1ccc(CN[C@@H](CO)C(C)(C)C)cc1F ZINC000278664450 384083386 /nfs/dbraw/zinc/08/33/86/384083386.db2.gz KWZXGHSDXOJTMG-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN CCc1cccc(OCCN2C[C@H](C)[C@H]2C)c1 ZINC000559352913 384056688 /nfs/dbraw/zinc/05/66/88/384056688.db2.gz NDAUJTGTYPUAPK-QWHCGFSZSA-N 0 3 233.355 2.968 20 0 BFADHN CC(C)CCCNC(=O)C[C@H](N)c1ccccc1 ZINC000038093898 384070633 /nfs/dbraw/zinc/07/06/33/384070633.db2.gz GZXWGDDMURIVSH-AWEZNQCLSA-N 0 3 248.370 2.629 20 0 BFADHN CC(C)CCCNC(=O)C[C@@H](N)c1ccccc1 ZINC000038093899 384071346 /nfs/dbraw/zinc/07/13/46/384071346.db2.gz GZXWGDDMURIVSH-CQSZACIVSA-N 0 3 248.370 2.629 20 0 BFADHN COC[C@H](C)N1Cc2ccccc2C2(CCC2)C1 ZINC000375685528 384072325 /nfs/dbraw/zinc/07/23/25/384072325.db2.gz RHNPINJWURUFMX-ZDUSSCGKSA-N 0 3 245.366 2.959 20 0 BFADHN Cc1ccccc1[C@@H](C)N[C@@H]1CO[C@H](C)C1 ZINC000401825292 384077862 /nfs/dbraw/zinc/07/78/62/384077862.db2.gz LLZSYHRCOOXYKJ-UPJWGTAASA-N 0 3 219.328 2.823 20 0 BFADHN Cc1ccccc1CN1CCN2CCCC[C@@H]2C1 ZINC000090824839 384079311 /nfs/dbraw/zinc/07/93/11/384079311.db2.gz WLJNGCJFMNJVAR-MRXNPFEDSA-N 0 3 244.382 2.665 20 0 BFADHN C[C@@H](CC1CC1)N[C@@H](C)C(=O)Nc1ccccc1 ZINC000070102590 384079548 /nfs/dbraw/zinc/07/95/48/384079548.db2.gz RLXSBDQGAHLLBT-RYUDHWBXSA-N 0 3 246.354 2.792 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1ccccn1 ZINC000091097481 384103894 /nfs/dbraw/zinc/10/38/94/384103894.db2.gz PCTSZWQJNAUCDD-LBPRGKRZSA-N 0 3 224.373 2.655 20 0 BFADHN C[C@@H](CN[C@@H](C)c1cnccn1)c1ccccc1 ZINC000070477625 384110912 /nfs/dbraw/zinc/11/09/12/384110912.db2.gz MENHVFYYCIZZLQ-STQMWFEESA-N 0 3 241.338 2.931 20 0 BFADHN C[C@H](CN[C@@H](C)c1cnccn1)c1ccccc1 ZINC000070477626 384111223 /nfs/dbraw/zinc/11/12/23/384111223.db2.gz MENHVFYYCIZZLQ-OLZOCXBDSA-N 0 3 241.338 2.931 20 0 BFADHN C[C@H](N[C@H]1CCOC(C)(C)C1)c1nccs1 ZINC000070717667 384134189 /nfs/dbraw/zinc/13/41/89/384134189.db2.gz JNEDXAXAOMNQFN-UWVGGRQHSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1nc(CN2C[C@H]3CCC[C@@H]3C2)c(C)s1 ZINC000336554870 384131077 /nfs/dbraw/zinc/13/10/77/384131077.db2.gz LOIOVJBUKBEFIQ-VXGBXAGGSA-N 0 3 236.384 2.992 20 0 BFADHN COC(=O)c1ccc([C@H](C)N2CCC[C@@H]2C)o1 ZINC000091528047 384131806 /nfs/dbraw/zinc/13/18/06/384131806.db2.gz FETAXRAFGZCEAR-UWVGGRQHSA-N 0 3 237.299 2.612 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1cccc(F)c1 ZINC000071011669 384148088 /nfs/dbraw/zinc/14/80/88/384148088.db2.gz ZCZHAGVLNDKCAV-GXFFZTMASA-N 0 3 223.291 2.655 20 0 BFADHN C[C@H](N(C)Cc1cncs1)C1(C)CC1 ZINC000091900352 384153624 /nfs/dbraw/zinc/15/36/24/384153624.db2.gz UDSGNQKFHGMGGL-VIFPVBQESA-N 0 3 210.346 2.764 20 0 BFADHN Cc1nocc1CN[C@H](C)Cc1cccs1 ZINC000293967327 384155169 /nfs/dbraw/zinc/15/51/69/384155169.db2.gz CVKUKCZEJKAEGH-SECBINFHSA-N 0 3 236.340 2.765 20 0 BFADHN CC(C)CO[C@@H]1CCN(Cc2cccc(O)c2)C1 ZINC000091964585 384156757 /nfs/dbraw/zinc/15/67/57/384156757.db2.gz CJRGLBOHJORDBL-OAHLLOKOSA-N 0 3 249.354 2.639 20 0 BFADHN C[C@H](N[C@@H]1CCOC1(C)C)c1cccc(O)c1 ZINC000296442499 384139228 /nfs/dbraw/zinc/13/92/28/384139228.db2.gz MINZTJYQKHNFCM-GXFFZTMASA-N 0 3 235.327 2.610 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1(C)C)c1cccc(O)c1 ZINC000296442512 384139454 /nfs/dbraw/zinc/13/94/54/384139454.db2.gz MINZTJYQKHNFCM-ZWNOBZJWSA-N 0 3 235.327 2.610 20 0 BFADHN CCC[C@H](CCO)CN[C@H](C)c1nccs1 ZINC000091731165 384141614 /nfs/dbraw/zinc/14/16/14/384141614.db2.gz LVORIFRQZRYCOW-GHMZBOCLSA-N 0 3 242.388 2.592 20 0 BFADHN CC(C)c1ccc(CN[C@H]2CCOC2)cc1 ZINC000070968913 384145936 /nfs/dbraw/zinc/14/59/36/384145936.db2.gz RHLWCUSLHOHFSC-AWEZNQCLSA-N 0 3 219.328 2.689 20 0 BFADHN C[C@@H](NCc1ccco1)[C@H](O)c1ccc(F)cc1 ZINC000071690519 384172947 /nfs/dbraw/zinc/17/29/47/384172947.db2.gz CLUUZXNMOSWSNE-YGRLFVJLSA-N 0 3 249.285 2.630 20 0 BFADHN C[C@H](NCc1ccco1)[C@H](O)c1ccc(F)cc1 ZINC000071690518 384172955 /nfs/dbraw/zinc/17/29/55/384172955.db2.gz CLUUZXNMOSWSNE-HZMBPMFUSA-N 0 3 249.285 2.630 20 0 BFADHN Cc1ccc([C@H](C)NCc2cnn(C)c2)s1 ZINC000041054632 384176839 /nfs/dbraw/zinc/17/68/39/384176839.db2.gz QXNVMEZLGMDZGT-JTQLQIEISA-N 0 3 235.356 2.641 20 0 BFADHN CCCN(CC)Cc1cnc(C2CC2)o1 ZINC000628117684 384175894 /nfs/dbraw/zinc/17/58/94/384175894.db2.gz RBAFEEYGCDLBKY-UHFFFAOYSA-N 0 3 208.305 2.784 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]([C@H](C)C2CC2)C2CC2)n1 ZINC000092009440 384159289 /nfs/dbraw/zinc/15/92/89/384159289.db2.gz NHGBVUYZZYDLHG-LLVKDONJSA-N 0 3 246.354 2.859 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]([C@H](C)C2CC2)C2CC2)n1 ZINC000092009440 384159296 /nfs/dbraw/zinc/15/92/96/384159296.db2.gz NHGBVUYZZYDLHG-LLVKDONJSA-N 0 3 246.354 2.859 20 0 BFADHN C[C@H](O)CCCNCc1ccc(Cl)s1 ZINC000227957041 134395763 /nfs/dbraw/zinc/39/57/63/134395763.db2.gz FUCTWNUVMATWIX-QMMMGPOBSA-N 0 3 233.764 2.652 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](CO)CC(C)C)o1 ZINC000040773891 384164023 /nfs/dbraw/zinc/16/40/23/384164023.db2.gz ZJZLYYLVEAVFCP-RYUDHWBXSA-N 0 3 225.332 2.646 20 0 BFADHN COCc1ccc(CN(C)CC(C)(C)C)o1 ZINC000092217586 384168815 /nfs/dbraw/zinc/16/88/15/384168815.db2.gz CJWLQNUMBXQOBA-UHFFFAOYSA-N 0 3 225.332 2.904 20 0 BFADHN CCCCOCCCNCc1nc(C)cs1 ZINC000117381510 384170983 /nfs/dbraw/zinc/17/09/83/384170983.db2.gz WQKRCJSODRBVIF-UHFFFAOYSA-N 0 3 242.388 2.748 20 0 BFADHN Cc1ccoc1CN1C[C@@H](C)S[C@H](C)C1 ZINC000092541063 384184565 /nfs/dbraw/zinc/18/45/65/384184565.db2.gz HJKOPOYUNCATNP-GHMZBOCLSA-N 0 3 225.357 2.914 20 0 BFADHN Cc1ccc([C@H](C)NCc2cnn(C)c2)cc1C ZINC000041158904 384179836 /nfs/dbraw/zinc/17/98/36/384179836.db2.gz KRJKNXWLOXVCGF-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN c1ccc2c(c1)CCSC[C@H]2NC1CC1 ZINC000132767199 384203997 /nfs/dbraw/zinc/20/39/97/384203997.db2.gz LHKMGNZEPIEOJO-CYBMUJFWSA-N 0 3 219.353 2.769 20 0 BFADHN c1ccc2c(c1)CCSC[C@@H]2NC1CC1 ZINC000132766980 384204103 /nfs/dbraw/zinc/20/41/03/384204103.db2.gz LHKMGNZEPIEOJO-ZDUSSCGKSA-N 0 3 219.353 2.769 20 0 BFADHN C[C@H](NCCCF)c1cccc(F)c1F ZINC000132406730 384187942 /nfs/dbraw/zinc/18/79/42/384187942.db2.gz LJXVZWMQJNBMGY-QMMMGPOBSA-N 0 3 217.234 2.975 20 0 BFADHN C[C@@H](NCC1C(C)(C)C1(C)C)c1cnccn1 ZINC000092804798 384195232 /nfs/dbraw/zinc/19/52/32/384195232.db2.gz ZFJGGKFGVAKZKD-SNVBAGLBSA-N 0 3 233.359 2.809 20 0 BFADHN COC[C@@H]1CCCN(Cc2ccc(OC)cc2)C1 ZINC000093337974 384230255 /nfs/dbraw/zinc/23/02/55/384230255.db2.gz QLJJQNJIOLNSDC-CQSZACIVSA-N 0 3 249.354 2.554 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1csc(C)c1 ZINC000294173050 384230552 /nfs/dbraw/zinc/23/05/52/384230552.db2.gz JJVWPELLLBODLN-WCBMZHEXSA-N 0 3 213.346 2.742 20 0 BFADHN CCOc1cc(CN2CCC[C@H]2C)ccc1O ZINC000132443897 384231991 /nfs/dbraw/zinc/23/19/91/384231991.db2.gz MHYOZCBZIGPZGQ-LLVKDONJSA-N 0 3 235.327 2.775 20 0 BFADHN CC/C=C\CNCc1cc(F)cc(OC)c1 ZINC000312456543 384233132 /nfs/dbraw/zinc/23/31/32/384233132.db2.gz XSUJJMJDPFJNDY-PLNGDYQASA-N 0 3 223.291 2.890 20 0 BFADHN c1c(CN2CCC[C@@H]2C2CC2)nc2ccccn12 ZINC000363384176 384233839 /nfs/dbraw/zinc/23/38/39/384233839.db2.gz GYSBOQLROPZDHU-CQSZACIVSA-N 0 3 241.338 2.709 20 0 BFADHN CSCCCN(C)Cc1ccoc1C ZINC000173564415 384234984 /nfs/dbraw/zinc/23/49/84/384234984.db2.gz BTUWNGWHNXJKBF-UHFFFAOYSA-N 0 3 213.346 2.773 20 0 BFADHN CCOc1cc(CN2CC[C@H](C)C2)ccc1O ZINC000132481045 384235040 /nfs/dbraw/zinc/23/50/40/384235040.db2.gz HZAFPBOUQGDBGZ-NSHDSACASA-N 0 3 235.327 2.633 20 0 BFADHN CC[C@@H](C)[C@H]1CCCCN1Cc1cnccn1 ZINC000560576371 384237387 /nfs/dbraw/zinc/23/73/87/384237387.db2.gz SBMVAOAEOQSFTQ-TZMCWYRMSA-N 0 3 233.359 2.877 20 0 BFADHN CC[C@@H](C)CNCc1cn2c(cccc2C)n1 ZINC000093074856 384210512 /nfs/dbraw/zinc/21/05/12/384210512.db2.gz XIDQNMQDTDLTBO-LLVKDONJSA-N 0 3 231.343 2.778 20 0 BFADHN CCc1ccc(CNCCc2ccccc2O)o1 ZINC000132075240 384213345 /nfs/dbraw/zinc/21/33/45/384213345.db2.gz RFFLTNXAMNLFPX-UHFFFAOYSA-N 0 3 245.322 2.880 20 0 BFADHN CC[C@@H](CSC)NCc1cnn(C(C)C)c1 ZINC000132047355 384214303 /nfs/dbraw/zinc/21/43/03/384214303.db2.gz RFOJFFGQJWVQJS-LBPRGKRZSA-N 0 3 241.404 2.695 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1ccccc1F ZINC000132076875 384214594 /nfs/dbraw/zinc/21/45/94/384214594.db2.gz SUNVTYATJJQHOJ-HBNTYKKESA-N 0 3 225.307 2.900 20 0 BFADHN CSC[C@H]1CCCN1Cc1ncccc1C ZINC000093191202 384220971 /nfs/dbraw/zinc/22/09/71/384220971.db2.gz ICAAXBLDUGSUAK-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN CCCC(C)(C)NC(=O)C[C@@H](N)c1ccccc1 ZINC000119044844 384226306 /nfs/dbraw/zinc/22/63/06/384226306.db2.gz GLODIBGCLDSQAO-CYBMUJFWSA-N 0 3 248.370 2.771 20 0 BFADHN CCc1ccc([C@@H](C)NCCn2cccn2)cc1 ZINC000042538988 384227015 /nfs/dbraw/zinc/22/70/15/384227015.db2.gz NAUOLNRXBJQQJG-CYBMUJFWSA-N 0 3 243.354 2.796 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H]1CCCc2occc21 ZINC000132366924 384227793 /nfs/dbraw/zinc/22/77/93/384227793.db2.gz ZFBSHSZBKKJWLV-HOSYDEDBSA-N 0 3 223.316 2.670 20 0 BFADHN COc1ccc2c(c1)CN(C[C@H]1C[C@H]1C)CCO2 ZINC000449527753 384227903 /nfs/dbraw/zinc/22/79/03/384227903.db2.gz KSTIMRBIXCVYPA-VXGBXAGGSA-N 0 3 247.338 2.546 20 0 BFADHN COc1ccc2c(c1)CN(C[C@@H]1C[C@H]1C)CCO2 ZINC000449527750 384228629 /nfs/dbraw/zinc/22/86/29/384228629.db2.gz KSTIMRBIXCVYPA-NEPJUHHUSA-N 0 3 247.338 2.546 20 0 BFADHN COC[C@H]1CCCN(Cc2ccccc2OC)C1 ZINC000093337985 384228803 /nfs/dbraw/zinc/22/88/03/384228803.db2.gz QCASDGHJCUYLJE-ZDUSSCGKSA-N 0 3 249.354 2.554 20 0 BFADHN CC[C@@H](C)NCc1cnc([C@@H]2CCCO2)s1 ZINC000082416811 384228879 /nfs/dbraw/zinc/22/88/79/384228879.db2.gz AUDLZTIJVLKYRI-KOLCDFICSA-N 0 3 240.372 2.883 20 0 BFADHN CC(C)CCN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000294042799 384245678 /nfs/dbraw/zinc/24/56/78/384245678.db2.gz ITDGQZFXPILRQB-GHMZBOCLSA-N 0 3 207.321 2.525 20 0 BFADHN CC[C@H](NC[C@H](C)CC(F)F)c1nccn1C ZINC000647318750 384246672 /nfs/dbraw/zinc/24/66/72/384246672.db2.gz YXRQCGJCTQTXDY-ZJUUUORDSA-N 0 3 245.317 2.752 20 0 BFADHN Cc1ccc(CNCCOC(C)C)c(C)c1 ZINC000132751431 384249559 /nfs/dbraw/zinc/24/95/59/384249559.db2.gz KGPNBZFBAYMDAY-UHFFFAOYSA-N 0 3 221.344 2.818 20 0 BFADHN C[C@@H](NC1CC1)c1ccc(F)cc1N(C)C ZINC000132760390 384249789 /nfs/dbraw/zinc/24/97/89/384249789.db2.gz BXZISUZNXYHRFJ-SECBINFHSA-N 0 3 222.307 2.705 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CN2CCO[C@H](C)C2)C1 ZINC000560603385 384253480 /nfs/dbraw/zinc/25/34/80/384253480.db2.gz GVWKDLLEATYQIS-BNOWGMLFSA-N 0 3 223.360 2.700 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@H](C)CC(F)F ZINC000647318894 384253689 /nfs/dbraw/zinc/25/36/89/384253689.db2.gz CPNWPCCWSYYYFD-SCZZXKLOSA-N 0 3 243.301 2.727 20 0 BFADHN CC[C@H](NC[C@H](C)CC(F)F)c1ccn(C)n1 ZINC000647319106 384255135 /nfs/dbraw/zinc/25/51/35/384255135.db2.gz MANVTSXUPUXENV-ZJUUUORDSA-N 0 3 245.317 2.752 20 0 BFADHN CC[C@H](NC[C@@H](C)CC(F)F)c1ccn(C)n1 ZINC000647319104 384256222 /nfs/dbraw/zinc/25/62/22/384256222.db2.gz MANVTSXUPUXENV-UWVGGRQHSA-N 0 3 245.317 2.752 20 0 BFADHN Cc1ccc(CN2C[C@@H]3[C@H](C2)C3(C)C)o1 ZINC000093661027 384259244 /nfs/dbraw/zinc/25/92/44/384259244.db2.gz HOBCPTZCKZVNBP-TXEJJXNPSA-N 0 3 205.301 2.676 20 0 BFADHN Cc1csc(CNCCCC(C)C)n1 ZINC000082725374 384259910 /nfs/dbraw/zinc/25/99/10/384259910.db2.gz CELJRIBNDOYKJM-UHFFFAOYSA-N 0 3 212.362 2.977 20 0 BFADHN CCN(CC)c1ncc(CN(C)C(C)C)s1 ZINC000158699364 384260205 /nfs/dbraw/zinc/26/02/05/384260205.db2.gz YNIULKLKGTVZFK-UHFFFAOYSA-N 0 3 241.404 2.830 20 0 BFADHN COc1cc(C)ccc1CNC[C@H](C)SC ZINC000449182548 384262126 /nfs/dbraw/zinc/26/21/26/384262126.db2.gz BHQBIEALNCKBLM-NSHDSACASA-N 0 3 239.384 2.845 20 0 BFADHN CCSCC[C@@H](C)NCc1ccnn1CC ZINC000119425171 384271015 /nfs/dbraw/zinc/27/10/15/384271015.db2.gz RRDXPGFYPAUGRZ-LLVKDONJSA-N 0 3 241.404 2.524 20 0 BFADHN CO[C@H](CN[C@H](C)c1cccc(O)c1)C(C)C ZINC000282432060 384276727 /nfs/dbraw/zinc/27/67/27/384276727.db2.gz TYWYQGJLXPMUFT-BXUZGUMPSA-N 0 3 237.343 2.714 20 0 BFADHN CC(C)N(Cc1cc[nH]n1)C1CCCC1 ZINC000159146963 384307297 /nfs/dbraw/zinc/30/72/97/384307297.db2.gz AZAYGULHHAAUAA-UHFFFAOYSA-N 0 3 207.321 2.563 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2nc3ccccc3n2C)C1 ZINC000533432289 384307547 /nfs/dbraw/zinc/30/75/47/384307547.db2.gz YESNHNZXDGXOFP-NEPJUHHUSA-N 0 3 243.354 2.804 20 0 BFADHN C[C@H](CNCc1cscn1)CC(F)F ZINC000647225606 384308367 /nfs/dbraw/zinc/30/83/67/384308367.db2.gz OYLDYVDRAGAMDM-ZETCQYMHSA-N 0 3 220.288 2.524 20 0 BFADHN CSCCN1CCC[C@@H]1c1ccncc1 ZINC000119869780 384310965 /nfs/dbraw/zinc/31/09/65/384310965.db2.gz LVUWGIGDFZZEDN-GFCCVEGCSA-N 0 3 222.357 2.582 20 0 BFADHN CCOCCCN[C@@H](CC)c1nccs1 ZINC000083551147 384310969 /nfs/dbraw/zinc/31/09/69/384310969.db2.gz BCIDSOFBCUCCKG-JTQLQIEISA-N 0 3 228.361 2.610 20 0 BFADHN CCN(C)CCN[C@H](C)c1cc(F)cc(F)c1 ZINC000094827444 384317493 /nfs/dbraw/zinc/31/74/93/384317493.db2.gz JYKOKSFQZFORFZ-SNVBAGLBSA-N 0 3 242.313 2.567 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1cc(F)cc(F)c1 ZINC000094827712 384318097 /nfs/dbraw/zinc/31/80/97/384318097.db2.gz JRGCQERPAALSMK-ZANVPECISA-N 0 3 243.297 2.776 20 0 BFADHN C[C@H](CCCO)N[C@H](C)c1cc(F)ccc1F ZINC000094942031 384318337 /nfs/dbraw/zinc/31/83/37/384318337.db2.gz OZEFFDSUDOFBOM-NXEZZACHSA-N 0 3 243.297 2.776 20 0 BFADHN CCC[C@H](NC[C@H](C)CCO)c1ccccn1 ZINC000305717549 384319111 /nfs/dbraw/zinc/31/91/11/384319111.db2.gz IEVVVQCZVIADRH-OLZOCXBDSA-N 0 3 236.359 2.531 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@@H]1C)c1ccccc1F ZINC000133944432 384319892 /nfs/dbraw/zinc/31/98/92/384319892.db2.gz ZOHIUVLKJDPNIE-OPQQBVKSSA-N 0 3 223.291 2.654 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1ccccc1N(C)C ZINC000093941218 384283473 /nfs/dbraw/zinc/28/34/73/384283473.db2.gz FRZFDPBJZAFTJD-OLZOCXBDSA-N 0 3 248.370 2.582 20 0 BFADHN CC[C@@H](CNCc1cc(Cl)ccc1F)OC ZINC000289871780 384283616 /nfs/dbraw/zinc/28/36/16/384283616.db2.gz RESVQJUHKWRSAD-NSHDSACASA-N 0 3 245.725 2.994 20 0 BFADHN CC(C)CCOCCN[C@@H](C)c1cncs1 ZINC000119535100 384283784 /nfs/dbraw/zinc/28/37/84/384283784.db2.gz ULCUISUPRPEQLX-NSHDSACASA-N 0 3 242.388 2.856 20 0 BFADHN CCCCN(CC)Cc1cnn(CC)c1 ZINC000158972349 384293803 /nfs/dbraw/zinc/29/38/03/384293803.db2.gz HOVXRZUBWOQNMH-UHFFFAOYSA-N 0 3 209.337 2.525 20 0 BFADHN CN(CCOc1ccccc1)[C@@H]1CCSC1 ZINC000119971226 384321870 /nfs/dbraw/zinc/32/18/70/384321870.db2.gz MNSCWLZJYNDNNL-GFCCVEGCSA-N 0 3 237.368 2.503 20 0 BFADHN C[C@H](N[C@@H]1CCOC2(CCC2)C1)c1ccccn1 ZINC000094367634 384298304 /nfs/dbraw/zinc/29/83/04/384298304.db2.gz BLGAGOTWYUKXMG-QWHCGFSZSA-N 0 3 246.354 2.834 20 0 BFADHN C[C@@H]1CCCN(Cc2cnn3ccccc23)C1 ZINC000179509658 384299309 /nfs/dbraw/zinc/29/93/09/384299309.db2.gz SAMWLHGXIZOSJX-GFCCVEGCSA-N 0 3 229.327 2.566 20 0 BFADHN CCSCCN(C)[C@@H](C)c1ccccn1 ZINC000119781019 384300959 /nfs/dbraw/zinc/30/09/59/384300959.db2.gz UYVVQDWRNIKDQZ-NSHDSACASA-N 0 3 224.373 2.828 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1cccc(F)c1 ZINC000133605783 384303401 /nfs/dbraw/zinc/30/34/01/384303401.db2.gz OTIVXSUKIWXKLX-GXFFZTMASA-N 0 3 225.307 2.637 20 0 BFADHN Cc1noc(C)c1C[C@H](C)NCc1ccco1 ZINC000119758665 384303755 /nfs/dbraw/zinc/30/37/55/384303755.db2.gz RJPOEGWGWGHJFW-VIFPVBQESA-N 0 3 234.299 2.605 20 0 BFADHN C[C@H](O)CCN(C)[C@@H](C)c1cccc(F)c1 ZINC000075780442 384338468 /nfs/dbraw/zinc/33/84/68/384338468.db2.gz GRXRRIPOMVKJEU-QWRGUYRKSA-N 0 3 225.307 2.589 20 0 BFADHN CC[C@@H](COC)NC1(c2ccccc2)CC1 ZINC000134235742 384339496 /nfs/dbraw/zinc/33/94/96/384339496.db2.gz GMIULRRBOXAANO-ZDUSSCGKSA-N 0 3 219.328 2.690 20 0 BFADHN CO[C@H](C)[C@@H](C)NC1(c2ccccc2)CC1 ZINC000134235485 384340290 /nfs/dbraw/zinc/34/02/90/384340290.db2.gz BEBQXDOZGVSMGL-VXGBXAGGSA-N 0 3 219.328 2.689 20 0 BFADHN CN(Cc1cnn(-c2ccccc2)c1)C1CCC1 ZINC000075842656 384341539 /nfs/dbraw/zinc/34/15/39/384341539.db2.gz MBILDMKTAAFMOY-UHFFFAOYSA-N 0 3 241.338 2.857 20 0 BFADHN CC[C@@H](CSC)[NH2+]Cc1cc([O-])cc(F)c1 ZINC000159623225 384344425 /nfs/dbraw/zinc/34/44/25/384344425.db2.gz GVRNZJFAZDAFMC-NSHDSACASA-N 0 3 243.347 2.763 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CO[C@@H](C)C2)cc1F ZINC000401970851 384356370 /nfs/dbraw/zinc/35/63/70/384356370.db2.gz MAOPXBWZHBMNNR-GMXVVIOVSA-N 0 3 237.318 2.962 20 0 BFADHN CCN(CC)CCSc1cncc(Cl)n1 ZINC000084060015 384360852 /nfs/dbraw/zinc/36/08/52/384360852.db2.gz VWXOVZCZJUQNAI-UHFFFAOYSA-N 0 3 245.779 2.564 20 0 BFADHN C[C@@H](N[C@@H]1CC=CCC1)c1ccccn1 ZINC000084066912 384362321 /nfs/dbraw/zinc/36/23/21/384362321.db2.gz HCPHQNMPVGMDGB-VXGBXAGGSA-N 0 3 202.301 2.841 20 0 BFADHN CSCCCCN[C@H](C)c1ccccn1 ZINC000159179301 384364978 /nfs/dbraw/zinc/36/49/78/384364978.db2.gz DUNNKCHAMBNDOU-LLVKDONJSA-N 0 3 224.373 2.875 20 0 BFADHN CC(C)(C)OC1CC(NCc2ccoc2)C1 ZINC000134550071 384365613 /nfs/dbraw/zinc/36/56/13/384365613.db2.gz RJNHKBCHVALOKF-UHFFFAOYSA-N 0 3 223.316 2.715 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2C=C[C@H](CO)C2)cc1 ZINC000134631332 384371700 /nfs/dbraw/zinc/37/17/00/384371700.db2.gz OYDRRZJKEALHPJ-DUVNUKRYSA-N 0 3 245.366 2.837 20 0 BFADHN COc1cc(CN2CC[C@H]2C2CC2)ccc1C ZINC000449425615 384327321 /nfs/dbraw/zinc/32/73/21/384327321.db2.gz IHZIPVXLLQMNQL-AWEZNQCLSA-N 0 3 231.339 2.988 20 0 BFADHN Cc1noc(C)c1CNCCC1=CCCC1 ZINC000120128136 384329902 /nfs/dbraw/zinc/32/99/02/384329902.db2.gz CFMABOKWYMEASS-UHFFFAOYSA-N 0 3 220.316 2.881 20 0 BFADHN C[C@]1(NCc2ccc(F)c(Cl)c2)CCOC1 ZINC000120143625 384331019 /nfs/dbraw/zinc/33/10/19/384331019.db2.gz ZWLSJZBAIPLARG-LBPRGKRZSA-N 0 3 243.709 2.748 20 0 BFADHN COc1cccc(CN2CC[C@@H](C)[C@@H](C)C2)n1 ZINC000179684015 384331698 /nfs/dbraw/zinc/33/16/98/384331698.db2.gz QPJFWCYYNVRIFS-NEPJUHHUSA-N 0 3 234.343 2.568 20 0 BFADHN CN(CCc1cccs1)C[C@@H]1CCCCO1 ZINC000120961531 384391797 /nfs/dbraw/zinc/39/17/97/384391797.db2.gz PLGIKESHFQNCJA-LBPRGKRZSA-N 0 3 239.384 2.792 20 0 BFADHN FC1(F)CCC(NCc2ccco2)CC1 ZINC000335621047 384391761 /nfs/dbraw/zinc/39/17/61/384391761.db2.gz MYUAGOIXDYURDC-UHFFFAOYSA-N 0 3 215.243 2.947 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC[C@]2(C)CO)o1 ZINC000530517623 384394565 /nfs/dbraw/zinc/39/45/65/384394565.db2.gz DBJJXEAWAVHEJL-UXIGCNINSA-N 0 3 249.354 2.750 20 0 BFADHN C[C@@H](NC1CCSCC1)c1ccccn1 ZINC000035120875 384401907 /nfs/dbraw/zinc/40/19/07/384401907.db2.gz YUBKIKFPKFOJFT-SNVBAGLBSA-N 0 3 222.357 2.628 20 0 BFADHN COC[C@@H](C)NCc1c(C)oc2ccccc21 ZINC000121231577 384403315 /nfs/dbraw/zinc/40/33/15/384403315.db2.gz MIQPYAHRPRQNQT-SNVBAGLBSA-N 0 3 233.311 2.866 20 0 BFADHN Cc1ccc(CNC[C@H](C)c2nccs2)o1 ZINC000134987518 384405006 /nfs/dbraw/zinc/40/50/06/384405006.db2.gz BATSDCFOMNAFGN-VIFPVBQESA-N 0 3 236.340 2.938 20 0 BFADHN C[C@@]1(O)CCCN(C/C=C\c2ccccc2)C1 ZINC000255113479 384406085 /nfs/dbraw/zinc/40/60/85/384406085.db2.gz MPYIVCAJPCALDW-HMZQOCAUSA-N 0 3 231.339 2.547 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccccc2C2CC2)CO1 ZINC000402029187 384406783 /nfs/dbraw/zinc/40/67/83/384406783.db2.gz HVXXJPDVLXINSV-SMDDNHRTSA-N 0 3 231.339 2.831 20 0 BFADHN C[C@@](O)(CNCc1ccccc1)c1ccsc1 ZINC000077460938 384408075 /nfs/dbraw/zinc/40/80/75/384408075.db2.gz PGWKJEPDKCVHCB-CQSZACIVSA-N 0 3 247.363 2.745 20 0 BFADHN Cc1ccoc1CNCCN1CCCCCC1 ZINC000135140207 384416317 /nfs/dbraw/zinc/41/63/17/384416317.db2.gz RUILXUGQLNXWGH-UHFFFAOYSA-N 0 3 236.359 2.554 20 0 BFADHN C[C@H](O)C(C)(C)NCc1ccc(F)c(Cl)c1 ZINC000160603994 384423479 /nfs/dbraw/zinc/42/34/79/384423479.db2.gz FRUHMHZFEWAPNF-QMMMGPOBSA-N 0 3 245.725 2.728 20 0 BFADHN Cc1ccc(CN2CC(C3CC3)C2)s1 ZINC000643345610 384423946 /nfs/dbraw/zinc/42/39/46/384423946.db2.gz BJFJHLBTKKHHTB-UHFFFAOYSA-N 0 3 207.342 2.898 20 0 BFADHN CC1(C)CC(N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000335603708 384376327 /nfs/dbraw/zinc/37/63/27/384376327.db2.gz HILPHTOJFHSKOE-LLVKDONJSA-N 0 3 219.332 2.565 20 0 BFADHN Cc1ccc(CNCC[C@@H]2CCCCO2)o1 ZINC000134671378 384377820 /nfs/dbraw/zinc/37/78/20/384377820.db2.gz XKRAXYKZJMCXKS-LBPRGKRZSA-N 0 3 223.316 2.637 20 0 BFADHN C[C@@H](NCC[C@@H]1CCCCO1)c1ccco1 ZINC000134728924 384382043 /nfs/dbraw/zinc/38/20/43/384382043.db2.gz ASNGCSISOLUOTI-NEPJUHHUSA-N 0 3 223.316 2.889 20 0 BFADHN CC[C@H](O)CCNCc1ccc(F)c(Cl)c1 ZINC000160167704 384390392 /nfs/dbraw/zinc/39/03/92/384390392.db2.gz DYHQJIXEYMCYBF-JTQLQIEISA-N 0 3 245.725 2.730 20 0 BFADHN CCC1(NCc2nc3ccccc3n2C)CCC1 ZINC000135621191 384455753 /nfs/dbraw/zinc/45/57/53/384455753.db2.gz QHLMTOIXULYFMQ-UHFFFAOYSA-N 0 3 243.354 2.996 20 0 BFADHN CC1CCC(N(Cc2ccnn2C)C2CC2)CC1 ZINC000180034307 384432880 /nfs/dbraw/zinc/43/28/80/384432880.db2.gz FQQVCNBHJFXWBU-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1cc2ccccc2o1 ZINC000135305387 384433607 /nfs/dbraw/zinc/43/36/07/384433607.db2.gz CSKAYITXBRPAME-GXFFZTMASA-N 0 3 231.295 2.700 20 0 BFADHN CCc1nocc1CNC[C@@H](C)CC(F)F ZINC000647227012 384436278 /nfs/dbraw/zinc/43/62/78/384436278.db2.gz LUYSQKRPBPJLER-QMMMGPOBSA-N 0 3 232.274 2.618 20 0 BFADHN CCCn1nc(C)c(CN(CC)C2CC2)c1C ZINC000180099914 384437082 /nfs/dbraw/zinc/43/70/82/384437082.db2.gz HGLRMJFBOFFCOO-UHFFFAOYSA-N 0 3 235.375 2.894 20 0 BFADHN COc1ccccc1C1(N[C@@H](C)[C@H](C)OC)CC1 ZINC000647329032 384442065 /nfs/dbraw/zinc/44/20/65/384442065.db2.gz DOOVYMGGDBJPLH-RYUDHWBXSA-N 0 3 249.354 2.697 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCC2(O)CCCC2)o1 ZINC000096478894 384461451 /nfs/dbraw/zinc/46/14/51/384461451.db2.gz NMLYOTGQVAHBSL-YPMHNXCESA-N 0 3 249.354 2.798 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cncs1)C(C)(C)C ZINC000122200907 384466496 /nfs/dbraw/zinc/46/64/96/384466496.db2.gz XMEIDXWDKMDDTN-GXSJLCMTSA-N 0 3 242.388 2.855 20 0 BFADHN C[C@H]1SCCN(Cc2cccc(N)c2)[C@H]1C ZINC000122291585 384471594 /nfs/dbraw/zinc/47/15/94/384471594.db2.gz LFHUXHJHZXOMHE-WDEREUQCSA-N 0 3 236.384 2.595 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2cccc(Cl)c2)O1 ZINC000148683545 384472701 /nfs/dbraw/zinc/47/27/01/384472701.db2.gz FRFOPUSRBYCDDU-GWCFXTLKSA-N 0 3 239.746 2.997 20 0 BFADHN COC[C@@H](N[C@@H](C)CC(C)C)c1ccco1 ZINC000122302926 384472719 /nfs/dbraw/zinc/47/27/19/384472719.db2.gz VEZBOVMVQFWEHZ-NWDGAFQWSA-N 0 3 225.332 2.991 20 0 BFADHN Cc1ccc(C[C@@H](C)NCc2ccn(C)n2)s1 ZINC000096811294 384479682 /nfs/dbraw/zinc/47/96/82/384479682.db2.gz LDDKBNBQRJZUPP-SNVBAGLBSA-N 0 3 249.383 2.511 20 0 BFADHN C[C@H](CCO)NCc1ccccc1C(F)(F)F ZINC000096811811 384479727 /nfs/dbraw/zinc/47/97/27/384479727.db2.gz IPWXIWDLOPJWNZ-SECBINFHSA-N 0 3 247.260 2.566 20 0 BFADHN Cc1ccc(C)c(NC(=O)[C@@]2(C)CCCCN2)c1 ZINC000136126288 384481667 /nfs/dbraw/zinc/48/16/67/384481667.db2.gz HHFABPGRYKNRMG-OAHLLOKOSA-N 0 3 246.354 2.774 20 0 BFADHN Cc1ccc(NC(=O)[C@]2(C)CCCCN2)c(C)c1 ZINC000136128266 384481758 /nfs/dbraw/zinc/48/17/58/384481758.db2.gz RPVPEMVWHAWVLP-HNNXBMFYSA-N 0 3 246.354 2.774 20 0 BFADHN Cc1csc(CN[C@@H]2CCC[C@@H]2C)n1 ZINC000079055249 384483525 /nfs/dbraw/zinc/48/35/25/384483525.db2.gz CTNWYJGCJYRDRG-WCBMZHEXSA-N 0 3 210.346 2.730 20 0 BFADHN CSc1cc(C)ccc1CN[C@@H](C)[C@@H](C)O ZINC000566112349 384496604 /nfs/dbraw/zinc/49/66/04/384496604.db2.gz MYNKOWYSBAWGMZ-WDEREUQCSA-N 0 3 239.384 2.576 20 0 BFADHN COC(C)(C)CN(C)Cc1ccsc1C ZINC000556810201 384497154 /nfs/dbraw/zinc/49/71/54/384497154.db2.gz XJHVNTBDJNUPHS-UHFFFAOYSA-N 0 3 227.373 2.913 20 0 BFADHN C[C@@H](NC[C@@H](CO)c1ccccc1)c1ccco1 ZINC000161522824 384498930 /nfs/dbraw/zinc/49/89/30/384498930.db2.gz SBRRKVQRXBASBV-OCCSQVGLSA-N 0 3 245.322 2.706 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1ccccc1OC ZINC000079706894 384509746 /nfs/dbraw/zinc/50/97/46/384509746.db2.gz CAMWTDGTILKPQI-NEPJUHHUSA-N 0 3 237.343 2.771 20 0 BFADHN C[C@@]1(O)CCCN(c2ccnc3ccccc32)C1 ZINC000161633954 384510137 /nfs/dbraw/zinc/51/01/37/384510137.db2.gz ZOWZBJOXRPLXJG-OAHLLOKOSA-N 0 3 242.322 2.586 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1n[nH]c(C(C)C)n1 ZINC000428256162 384489084 /nfs/dbraw/zinc/48/90/84/384489084.db2.gz NFEMASBIJDJTKM-GHMZBOCLSA-N 0 3 236.363 2.549 20 0 BFADHN C[C@H]1C[C@H](NCc2nccc3ccccc32)CO1 ZINC000647176379 384493010 /nfs/dbraw/zinc/49/30/10/384493010.db2.gz FICFIGZWOZSPQG-AAEUAGOBSA-N 0 3 242.322 2.502 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)[C@@H]1CC1(C)C ZINC000449561185 384535064 /nfs/dbraw/zinc/53/50/64/384535064.db2.gz BFINPWNSACGXLQ-WOPDTQHZSA-N 0 3 235.375 2.988 20 0 BFADHN C[C@@H](CCO)N[C@@H](C)c1ccc(F)cc1Cl ZINC000122814618 384513038 /nfs/dbraw/zinc/51/30/38/384513038.db2.gz GDVZDWMBWOSGIC-IUCAKERBSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H](CCO)N[C@H](C)c1ccc(F)cc1Cl ZINC000122815280 384513369 /nfs/dbraw/zinc/51/33/69/384513369.db2.gz GDVZDWMBWOSGIC-RKDXNWHRSA-N 0 3 245.725 2.901 20 0 BFADHN CCc1cc(N2CCOCC2)c2ccccc2n1 ZINC000122836950 384514015 /nfs/dbraw/zinc/51/40/15/384514015.db2.gz JXWQIQHJTDYLQX-UHFFFAOYSA-N 0 3 242.322 2.634 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)CCCO)o1 ZINC000122909658 384518499 /nfs/dbraw/zinc/51/84/99/384518499.db2.gz YFOJRGQTGPVGOG-QWRGUYRKSA-N 0 3 225.332 2.654 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)CCCO)o1 ZINC000122910086 384519438 /nfs/dbraw/zinc/51/94/38/384519438.db2.gz YFOJRGQTGPVGOG-MNOVXSKESA-N 0 3 225.332 2.654 20 0 BFADHN C[C@@H](CCO)CN[C@@H](C)c1cc(F)ccc1F ZINC000097439104 384520454 /nfs/dbraw/zinc/52/04/54/384520454.db2.gz VNKRRMFIPIYOFS-UWVGGRQHSA-N 0 3 243.297 2.634 20 0 BFADHN C[C@H](N[C@@H]1CC=CCC1)c1cscn1 ZINC000161725201 384523230 /nfs/dbraw/zinc/52/32/30/384523230.db2.gz YBMUCULTRARQBU-VHSXEESVSA-N 0 3 208.330 2.902 20 0 BFADHN CCc1cccc(NC(=O)[C@@H](N)[C@@H](C)CC)c1 ZINC000019507457 384525674 /nfs/dbraw/zinc/52/56/74/384525674.db2.gz PFLQMZJEUKMIEH-GWCFXTLKSA-N 0 3 234.343 2.561 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCCC12CCC2 ZINC000334758401 384525748 /nfs/dbraw/zinc/52/57/48/384525748.db2.gz VQGSZLMZPHUVRR-LLVKDONJSA-N 0 3 237.372 2.659 20 0 BFADHN Cc1cc(C)cc(NC(=O)[C@H](N)CC(C)C)c1 ZINC000019487265 384526264 /nfs/dbraw/zinc/52/62/64/384526264.db2.gz XYCIYGOMZVZEPM-CYBMUJFWSA-N 0 3 234.343 2.615 20 0 BFADHN CN(Cc1cccc(O)c1)C[C@@H]1CCSC1 ZINC000559085768 384526430 /nfs/dbraw/zinc/52/64/30/384526430.db2.gz LSIYZXVMZRPPFO-LBPRGKRZSA-N 0 3 237.368 2.577 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)N(C2CC2)C1)c1ccco1 ZINC000123017554 384527605 /nfs/dbraw/zinc/52/76/05/384527605.db2.gz FKSFUKGLRQCCQD-GRYCIOLGSA-N 0 3 234.343 2.555 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)N(C2CC2)C1)c1ccco1 ZINC000123017210 384529131 /nfs/dbraw/zinc/52/91/31/384529131.db2.gz FKSFUKGLRQCCQD-WOPDTQHZSA-N 0 3 234.343 2.555 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3ccc(F)cc3)CC2)CO1 ZINC000647180757 384542080 /nfs/dbraw/zinc/54/20/80/384542080.db2.gz STOBECFFRMHWSN-MFKMUULPSA-N 0 3 235.302 2.582 20 0 BFADHN CCOCCN[C@@H](C)c1cccc(OCC)c1 ZINC000161920376 384543499 /nfs/dbraw/zinc/54/34/99/384543499.db2.gz VCMYGHHBXNXJEI-LBPRGKRZSA-N 0 3 237.343 2.772 20 0 BFADHN CCOc1ncccc1CN[C@@H](CC)C1CC1 ZINC000151216783 384547360 /nfs/dbraw/zinc/54/73/60/384547360.db2.gz QISXQTRYPHGXSF-ZDUSSCGKSA-N 0 3 234.343 2.759 20 0 BFADHN CSc1ccc([C@H](C)N[C@@H](C)CO)cc1F ZINC000123315324 384550173 /nfs/dbraw/zinc/55/01/73/384550173.db2.gz TWWJEQOBVVFKLE-IUCAKERBSA-N 0 3 243.347 2.579 20 0 BFADHN Cc1ccc([C@H](C)NCC(=O)NC(C)(C)C)cc1 ZINC000049556803 384551410 /nfs/dbraw/zinc/55/14/10/384551410.db2.gz RCNAYOIZYPTPRR-LBPRGKRZSA-N 0 3 248.370 2.560 20 0 BFADHN C[C@@H](N[C@H]1CCOC(C)(C)C1)c1cncs1 ZINC000161979638 384552568 /nfs/dbraw/zinc/55/25/68/384552568.db2.gz FPLWIZNKMFIUOI-ZJUUUORDSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1ccc(CN[C@H]2CC23CCCC3)nc1 ZINC000335883215 384553327 /nfs/dbraw/zinc/55/33/27/384553327.db2.gz SFPRUYBQTOJQNG-ZDUSSCGKSA-N 0 3 216.328 2.812 20 0 BFADHN C[C@H](NCCc1ccccn1)c1cccc(O)c1 ZINC000019916746 384558564 /nfs/dbraw/zinc/55/85/64/384558564.db2.gz FYZFAJMNWLIXLE-LBPRGKRZSA-N 0 3 242.322 2.681 20 0 BFADHN CC(C)c1ccc([C@@H](NC(=O)CN)C(C)C)cc1 ZINC000236684943 384559762 /nfs/dbraw/zinc/55/97/62/384559762.db2.gz MUFQFOJSZYJIAD-HNNXBMFYSA-N 0 3 248.370 2.582 20 0 BFADHN CC(C)C[C@H](N)C(=O)NCCCCC(C)(C)C ZINC000236680556 384560979 /nfs/dbraw/zinc/56/09/79/384560979.db2.gz QZRJPXCBBVOPOR-LBPRGKRZSA-N 0 3 242.407 2.692 20 0 BFADHN C[C@H](N[C@H]1CO[C@@H](C)C1)c1ccccc1 ZINC000647181524 384562406 /nfs/dbraw/zinc/56/24/06/384562406.db2.gz FLPWDGMAYLBGRY-GMXVVIOVSA-N 0 3 205.301 2.515 20 0 BFADHN C[C@H](NCc1cc[nH]c1)c1ccccc1 ZINC000085578610 384539002 /nfs/dbraw/zinc/53/90/02/384539002.db2.gz RHUNUIODOTXCFZ-NSHDSACASA-N 0 3 200.285 2.866 20 0 BFADHN C[C@H](NCC(C)(C)O)c1ccccc1Cl ZINC000049508759 384540110 /nfs/dbraw/zinc/54/01/10/384540110.db2.gz CNHOVIDDDMDPOI-VIFPVBQESA-N 0 3 227.735 2.762 20 0 BFADHN CCOCCN[C@H](C)c1cccc(C)c1C ZINC000161901022 384541457 /nfs/dbraw/zinc/54/14/57/384541457.db2.gz CYBGWVVNGDTDTE-CYBMUJFWSA-N 0 3 221.344 2.991 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@H]2CCCO2)o1 ZINC000019963452 384562660 /nfs/dbraw/zinc/56/26/60/384562660.db2.gz MGOIYRDLYCYBSH-NTZNESFSSA-N 0 3 235.327 2.672 20 0 BFADHN Cc1nc(CNCC(C2CC2)C2CC2)cs1 ZINC000083894282 384541761 /nfs/dbraw/zinc/54/17/61/384541761.db2.gz NXPHFCAYCNYKCG-UHFFFAOYSA-N 0 3 236.384 2.977 20 0 BFADHN CC[C@H](C)C[C@@H](CO)N[C@@H](C)c1ccoc1 ZINC000647336550 384575024 /nfs/dbraw/zinc/57/50/24/384575024.db2.gz BYVKAECWXZEGTN-GVXVVHGQSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@H](C)CC2(C)C)n1 ZINC000271210610 384575621 /nfs/dbraw/zinc/57/56/21/384575621.db2.gz YEASIXDHHQNZOH-SNVBAGLBSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@H](C)CC2(C)C)n1 ZINC000271210610 384575631 /nfs/dbraw/zinc/57/56/31/384575631.db2.gz YEASIXDHHQNZOH-SNVBAGLBSA-N 0 3 234.343 2.716 20 0 BFADHN Cn1nccc1CN1CCC[C@H]1c1ccccc1 ZINC000123661989 384576083 /nfs/dbraw/zinc/57/60/83/384576083.db2.gz GIATUSHPSOKSFI-HNNXBMFYSA-N 0 3 241.338 2.757 20 0 BFADHN CC[C@H](C)C[C@@H](CO)N[C@@H](C)c1ccncc1 ZINC000647336701 384576272 /nfs/dbraw/zinc/57/62/72/384576272.db2.gz FADIYWAFQBMLEW-OBJOEFQTSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1nnsc1CNC[C@H]1CCCC[C@H]1C ZINC000309751325 384577841 /nfs/dbraw/zinc/57/78/41/384577841.db2.gz KOOZFIKRGXAATA-MWLCHTKSSA-N 0 3 239.388 2.762 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCCN2CCCC2)o1 ZINC000020126789 384581109 /nfs/dbraw/zinc/58/11/09/384581109.db2.gz LBUVRFCDTQGFQP-TZMCWYRMSA-N 0 3 248.370 2.588 20 0 BFADHN Cc1ccc(CNCc2ccn(C)c2)cc1 ZINC000080807045 384586387 /nfs/dbraw/zinc/58/63/87/384586387.db2.gz MXNYCGVRUOBKNH-UHFFFAOYSA-N 0 3 214.312 2.623 20 0 BFADHN Cc1cncc([C@@H](C)NCCc2ccccn2)c1 ZINC000184251342 384587130 /nfs/dbraw/zinc/58/71/30/384587130.db2.gz FUDBSTOUTAGFHL-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@H]1[C@H](CO)CCN1Cc1cccc(Cl)c1 ZINC000335897408 384588882 /nfs/dbraw/zinc/58/88/82/384588882.db2.gz IGYJOHAXRSJJPS-JQWIXIFHSA-N 0 3 239.746 2.543 20 0 BFADHN c1cc2c(c(CN3CC[C@@H]4CCC[C@@H]43)c1)OCO2 ZINC000428452097 384566015 /nfs/dbraw/zinc/56/60/15/384566015.db2.gz JFGNJHIEVJDLGR-AAEUAGOBSA-N 0 3 245.322 2.790 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1ccc(F)cc1F ZINC000019963755 384567868 /nfs/dbraw/zinc/56/78/68/384567868.db2.gz WFRHNEVVZPYHFI-GXSJLCMTSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1cc(F)ccc1F ZINC000019963798 384567963 /nfs/dbraw/zinc/56/79/63/384567963.db2.gz LHXFPUHAQKNPOR-KOLCDFICSA-N 0 3 241.281 2.794 20 0 BFADHN CCC[C@@H](O)CN(C)Cc1cccc(C)c1 ZINC000050193569 384604568 /nfs/dbraw/zinc/60/45/68/384604568.db2.gz LEPWYWMTSOCEFR-CQSZACIVSA-N 0 3 221.344 2.588 20 0 BFADHN CCN(CC(C)C)[C@H](C)c1cnccn1 ZINC000184440898 384606007 /nfs/dbraw/zinc/60/60/07/384606007.db2.gz FAKIZLGFQTUOOK-LLVKDONJSA-N 0 3 207.321 2.516 20 0 BFADHN CC/C=C/CCN1CCC[C@@H](c2nc(C)no2)C1 ZINC000184469087 384607569 /nfs/dbraw/zinc/60/75/69/384607569.db2.gz BNCLHNBGFKQAFR-MUBLQREKSA-N 0 3 249.358 2.914 20 0 BFADHN CC/C=C/CCN1CCC[C@H](c2nc(C)no2)C1 ZINC000184469064 384608857 /nfs/dbraw/zinc/60/88/57/384608857.db2.gz BNCLHNBGFKQAFR-IHVVCDCBSA-N 0 3 249.358 2.914 20 0 BFADHN C[C@@H]1C[C@H](CNCc2ccc(Cl)o2)CCO1 ZINC000309805252 384632807 /nfs/dbraw/zinc/63/28/07/384632807.db2.gz RZZAEOJCWORBTE-NXEZZACHSA-N 0 3 243.734 2.838 20 0 BFADHN CC/C=C\CNCc1ccccc1N(C)C ZINC000449452869 384610382 /nfs/dbraw/zinc/61/03/82/384610382.db2.gz GVUQOEZSEFJOLY-YVMONPNESA-N 0 3 218.344 2.808 20 0 BFADHN CC/C=C\CNCc1cnc2cccc(C)n12 ZINC000449452887 384611180 /nfs/dbraw/zinc/61/11/80/384611180.db2.gz GXRAMYUVXCTRKS-PLNGDYQASA-N 0 3 229.327 2.699 20 0 BFADHN C[C@@H](NC[C@H]1CCC=CO1)c1cccc(O)c1 ZINC000050269043 384613978 /nfs/dbraw/zinc/61/39/78/384613978.db2.gz QWAVAVAIIJUARR-BXUZGUMPSA-N 0 3 233.311 2.736 20 0 BFADHN CCOc1cccc(CNC[C@@H]2CCC=CO2)c1 ZINC000050269150 384614057 /nfs/dbraw/zinc/61/40/57/384614057.db2.gz PNAYYEJGLCEPEP-HNNXBMFYSA-N 0 3 247.338 2.868 20 0 BFADHN CCOc1cccc(CNC[C@H]2CCC=CO2)c1 ZINC000050269151 384614279 /nfs/dbraw/zinc/61/42/79/384614279.db2.gz PNAYYEJGLCEPEP-OAHLLOKOSA-N 0 3 247.338 2.868 20 0 BFADHN Fc1ccc(CNC[C@H]2CCC=CO2)cc1F ZINC000050269614 384614672 /nfs/dbraw/zinc/61/46/72/384614672.db2.gz AVUCEGTYQBTLTO-LLVKDONJSA-N 0 3 239.265 2.747 20 0 BFADHN C[C@@H](N[C@@H]1CCSC1)c1cccnc1Cl ZINC000271565057 384615014 /nfs/dbraw/zinc/61/50/14/384615014.db2.gz YJJPUZJLBREOJF-RKDXNWHRSA-N 0 3 242.775 2.891 20 0 BFADHN COC[C@@H](C)CN1CC(C)(C)[C@H]1c1ccncc1 ZINC000556889386 384617382 /nfs/dbraw/zinc/61/73/82/384617382.db2.gz UJZWKVDBJFJSJW-GXTWGEPZSA-N 0 3 248.370 2.747 20 0 BFADHN C[C@H]1C[C@H](NC2(c3cccc(F)c3)CC2)CO1 ZINC000647185866 384618079 /nfs/dbraw/zinc/61/80/79/384618079.db2.gz SYMNFPRBVHUSCW-GWCFXTLKSA-N 0 3 235.302 2.582 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3cccc(F)c3)CC2)CO1 ZINC000647185869 384618097 /nfs/dbraw/zinc/61/80/97/384618097.db2.gz SYMNFPRBVHUSCW-ZWNOBZJWSA-N 0 3 235.302 2.582 20 0 BFADHN C[C@H](CCCCO)NCc1c(F)cccc1F ZINC000272428650 384620748 /nfs/dbraw/zinc/62/07/48/384620748.db2.gz NCECDKYNRBOYFB-SNVBAGLBSA-N 0 3 243.297 2.606 20 0 BFADHN COC[C@@H](NC[C@@H]1CC=CCC1)c1ccco1 ZINC000124201265 384623330 /nfs/dbraw/zinc/62/33/30/384623330.db2.gz YLBZBKILTRQRKZ-CHWSQXEVSA-N 0 3 235.327 2.913 20 0 BFADHN CS[C@H]1CCC[C@H](NCc2cscn2)C1 ZINC000117394533 384626279 /nfs/dbraw/zinc/62/62/79/384626279.db2.gz WOSKULFHEZPIBL-ONGXEEELSA-N 0 3 242.413 2.907 20 0 BFADHN C[C@@H](NCCO)c1ccc(Cl)c(Cl)c1 ZINC000020596910 384627519 /nfs/dbraw/zinc/62/75/19/384627519.db2.gz HZZVXYNSMZOBMA-SSDOTTSWSA-N 0 3 234.126 2.636 20 0 BFADHN Cc1c(CN(C)CCC(C)(C)C)cnn1C ZINC000561294453 384629236 /nfs/dbraw/zinc/62/92/36/384629236.db2.gz GDPHKJNVADCXBW-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CO[C@H](C)C2)c(C)n1 ZINC000647350712 384631698 /nfs/dbraw/zinc/63/16/98/384631698.db2.gz QEDAPGOPPFPKSR-WXHSDQCUSA-N 0 3 234.343 2.526 20 0 BFADHN CN(CCn1ccnc1)Cc1ccc(Cl)cc1 ZINC000162227253 384591687 /nfs/dbraw/zinc/59/16/87/384591687.db2.gz PVEWMGSKAKPXIO-UHFFFAOYSA-N 0 3 249.745 2.669 20 0 BFADHN C[C@H](NCc1ccccc1Cl)[C@H]1CCCO1 ZINC000020205226 384600143 /nfs/dbraw/zinc/60/01/43/384600143.db2.gz ITMBAKAJAUEDIZ-GXFFZTMASA-N 0 3 239.746 2.997 20 0 BFADHN C[C@@]1(CNCc2ccccc2Cl)CCOC1 ZINC000309779979 384601515 /nfs/dbraw/zinc/60/15/15/384601515.db2.gz MRVLICYKEXZCKO-ZDUSSCGKSA-N 0 3 239.746 2.856 20 0 BFADHN Cc1ccc([C@H](C)NCCCc2c[nH]nc2C)o1 ZINC000050182355 384602367 /nfs/dbraw/zinc/60/23/67/384602367.db2.gz YYTRFRRWOLZPHS-LBPRGKRZSA-N 0 3 247.342 2.903 20 0 BFADHN CC1(C)CN(Cc2ccc(N)nc2)CC(C)(C)C1 ZINC000647538262 384666171 /nfs/dbraw/zinc/66/61/71/384666171.db2.gz KLENJZSTNVTFNF-UHFFFAOYSA-N 0 3 247.386 2.752 20 0 BFADHN c1cc(CN(CC2CC2)CC2CCC2)on1 ZINC000564824273 384672498 /nfs/dbraw/zinc/67/24/98/384672498.db2.gz VLTVTRRKUCFADL-UHFFFAOYSA-N 0 3 220.316 2.687 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000647352606 384636103 /nfs/dbraw/zinc/63/61/03/384636103.db2.gz LNFQZQWGTJCEMH-UMSGYPCISA-N 0 3 233.359 2.742 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCCC2(C)C)nn1 ZINC000335177139 384639448 /nfs/dbraw/zinc/63/94/48/384639448.db2.gz JTVLIRXRHJKFDD-CYBMUJFWSA-N 0 3 233.359 2.843 20 0 BFADHN COC[C@H](C)NCc1cc(Cl)cs1 ZINC000086332025 384640410 /nfs/dbraw/zinc/64/04/10/384640410.db2.gz WTFDNCLXQIQHKG-ZETCQYMHSA-N 0 3 219.737 2.526 20 0 BFADHN CSCCN(C)Cc1sc(C)nc1C ZINC000176450237 384648029 /nfs/dbraw/zinc/64/80/29/384648029.db2.gz JLIRYWIBURBMTI-UHFFFAOYSA-N 0 3 230.402 2.555 20 0 BFADHN C[C@H](NCc1ccc(Cl)o1)[C@H]1CCCCO1 ZINC000309832296 384650618 /nfs/dbraw/zinc/65/06/18/384650618.db2.gz YXZFJNPKVFVKBW-GXSJLCMTSA-N 0 3 243.734 2.980 20 0 BFADHN CC(C)CN[C@@H](C)c1cc2n(n1)CCCC2 ZINC000647280026 384651954 /nfs/dbraw/zinc/65/19/54/384651954.db2.gz OHNCHTGUMOGIGT-NSHDSACASA-N 0 3 221.348 2.526 20 0 BFADHN CCC[C@H](NC[C@@H]1CCCO1)c1cccnc1 ZINC000184850527 384657161 /nfs/dbraw/zinc/65/71/61/384657161.db2.gz OVJIPSKKITZILP-KBPBESRZSA-N 0 3 234.343 2.691 20 0 BFADHN CS[C@H](CNCc1c[nH]nc1C)C(C)(C)C ZINC000309862934 384676081 /nfs/dbraw/zinc/67/60/81/384676081.db2.gz NKYUQJPVSGIBES-LLVKDONJSA-N 0 3 241.404 2.585 20 0 BFADHN C[C@H](CN(C)C)NCc1ccc(Cl)cc1F ZINC000162749683 384680174 /nfs/dbraw/zinc/68/01/74/384680174.db2.gz LNJGFQLISOGSSF-SECBINFHSA-N 0 3 244.741 2.519 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CC23CC3)c1 ZINC000335250828 384680422 /nfs/dbraw/zinc/68/04/22/384680422.db2.gz XUBJXDGCYGXAMN-JQWIXIFHSA-N 0 3 202.301 2.593 20 0 BFADHN Oc1cccc2c1CCC[C@H]2N[C@@H]1CC12CC2 ZINC000335249085 384680544 /nfs/dbraw/zinc/68/05/44/384680544.db2.gz OBHWAXDPRPNXTC-TZMCWYRMSA-N 0 3 229.323 2.912 20 0 BFADHN COc1cc(CN(C2CC2)C2CCCC2)ccn1 ZINC000533830443 384680858 /nfs/dbraw/zinc/68/08/58/384680858.db2.gz CNLHTFPBPCZADJ-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN Cc1csc(CN2CCC[C@@H]2C2CC2)n1 ZINC000363616217 384683774 /nfs/dbraw/zinc/68/37/74/384683774.db2.gz CSLLGZRCOITXHD-LLVKDONJSA-N 0 3 222.357 2.826 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2cncs2)C1(C)C ZINC000300358124 384684837 /nfs/dbraw/zinc/68/48/37/384684837.db2.gz BSCCVDNRELTWCU-FBIMIBRVSA-N 0 3 240.372 2.607 20 0 BFADHN Cc1c[nH]nc1CN[C@H]1CCC[C@H](C)CC1 ZINC000335917856 384686845 /nfs/dbraw/zinc/68/68/45/384686845.db2.gz RYJGHRRNTCOYBM-JQWIXIFHSA-N 0 3 221.348 2.777 20 0 BFADHN CC(F)(F)CCNCc1ccc(F)cc1 ZINC000357703045 384687784 /nfs/dbraw/zinc/68/77/84/384687784.db2.gz CQZNKYYCTOASFJ-UHFFFAOYSA-N 0 3 217.234 2.961 20 0 BFADHN CCSCCCNCc1ccn(C(F)F)n1 ZINC000309890618 384689487 /nfs/dbraw/zinc/68/94/87/384689487.db2.gz CIWHLIOOIQFWAL-UHFFFAOYSA-N 0 3 249.330 2.511 20 0 BFADHN CC(C)(O)CNCc1ccc(Cl)c(Cl)c1 ZINC000082553874 384689780 /nfs/dbraw/zinc/68/97/80/384689780.db2.gz MHYYIBXWWRTOKH-UHFFFAOYSA-N 0 3 248.153 2.854 20 0 BFADHN c1cc(CN2CCC[C@@H]2Cc2ccccc2)n[nH]1 ZINC000124986583 384691685 /nfs/dbraw/zinc/69/16/85/384691685.db2.gz UFVAJEUYGWDXGQ-OAHLLOKOSA-N 0 3 241.338 2.617 20 0 BFADHN Cc1nc(C)c([C@@H](C)N[C@@H]2CCCOC2)s1 ZINC000082589370 384693572 /nfs/dbraw/zinc/69/35/72/384693572.db2.gz BWAFYQWHRAZKQW-MWLCHTKSSA-N 0 3 240.372 2.590 20 0 BFADHN CCc1ccc(CN[C@@H](C)[C@H]2CCCOC2)nc1 ZINC000389035117 384695806 /nfs/dbraw/zinc/69/58/06/384695806.db2.gz PLVNIOKDVXSDBY-JSGCOSHPSA-N 0 3 248.370 2.549 20 0 BFADHN CN(CCc1ccccc1)C[C@@H]1CCCCO1 ZINC000051998062 384706290 /nfs/dbraw/zinc/70/62/90/384706290.db2.gz GQXYWAMBOZBGIG-HNNXBMFYSA-N 0 3 233.355 2.730 20 0 BFADHN FC(F)C1CCC(NCc2cocn2)CC1 ZINC000336662101 384709803 /nfs/dbraw/zinc/70/98/03/384709803.db2.gz CXNPQGDNYHVDAB-UHFFFAOYSA-N 0 3 230.258 2.588 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1nc2ccccc2n1C ZINC000335280513 384709990 /nfs/dbraw/zinc/70/99/90/384709990.db2.gz UKSVHHFBJYEHQS-GWCFXTLKSA-N 0 3 241.338 2.776 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1cccc(O)c1 ZINC000335279527 384710742 /nfs/dbraw/zinc/71/07/42/384710742.db2.gz QMBIQGHTBGMATN-JOYOIKCWSA-N 0 3 203.285 2.595 20 0 BFADHN CCc1ncc(CN[C@@H](C)[C@H](C)CC)o1 ZINC000449608333 384711118 /nfs/dbraw/zinc/71/11/18/384711118.db2.gz GTQZLSMRGAHAKO-ZJUUUORDSA-N 0 3 210.321 2.761 20 0 BFADHN C[C@@]1(NCc2ccc(Cl)cc2F)CCOC1 ZINC000162980396 384711841 /nfs/dbraw/zinc/71/18/41/384711841.db2.gz MWDVRVUOISVFSC-GFCCVEGCSA-N 0 3 243.709 2.748 20 0 BFADHN CCC[C@@H](N[C@@H]1CCCOC1)c1cccnc1 ZINC000185386560 384715158 /nfs/dbraw/zinc/71/51/58/384715158.db2.gz WARCUQDNYRJTIQ-ZIAGYGMSSA-N 0 3 234.343 2.691 20 0 BFADHN C[C@@H]1C[C@H](NCc2cccc(F)c2)CS1 ZINC000086934682 384720177 /nfs/dbraw/zinc/72/01/77/384720177.db2.gz TVDXSKQYASGTMA-SKDRFNHKSA-N 0 3 225.332 2.809 20 0 BFADHN CCC[C@@H](O)CN[C@H](CCC)c1cccnc1 ZINC000185436443 384721269 /nfs/dbraw/zinc/72/12/69/384721269.db2.gz RKDGOVWQAANITK-ZIAGYGMSSA-N 0 3 236.359 2.673 20 0 BFADHN CN(C[C@@H]1CCCO1)c1ccnc2ccccc21 ZINC000185827378 384774350 /nfs/dbraw/zinc/77/43/50/384774350.db2.gz OJUUUZJDRJYKTD-LBPRGKRZSA-N 0 3 242.322 2.850 20 0 BFADHN CC[C@H](N[C@H]1CO[C@H](C)C1)c1cccc(OC)c1 ZINC000518184031 384776348 /nfs/dbraw/zinc/77/63/48/384776348.db2.gz VSULAAUMBMNQHO-KYOSRNDESA-N 0 3 249.354 2.913 20 0 BFADHN Cn1ccnc1CN[C@H](C1CCC1)C1CCCC1 ZINC000647236013 384777130 /nfs/dbraw/zinc/77/71/30/384777130.db2.gz OETSTRWOOGLPIV-HNNXBMFYSA-N 0 3 247.386 2.869 20 0 BFADHN CC(C)[C@H]1CN(Cc2ccncc2)CCS1 ZINC000174339385 384778970 /nfs/dbraw/zinc/77/89/70/384778970.db2.gz QHQOMEGAWKRVSK-CYBMUJFWSA-N 0 3 236.384 2.655 20 0 BFADHN C[C@@H](NCc1cc(F)cc(F)c1)[C@H]1CCCO1 ZINC000125608527 384734386 /nfs/dbraw/zinc/73/43/86/384734386.db2.gz CLZGRWLTSGQRFM-NOZJJQNGSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H](NCC[C@H](C)O)c1ccccc1Cl ZINC000082993131 384735430 /nfs/dbraw/zinc/73/54/30/384735430.db2.gz GDXOJKNKDADUND-VHSXEESVSA-N 0 3 227.735 2.762 20 0 BFADHN CCSCCCN[C@H]1C[C@H](C)n2ccnc21 ZINC000294301993 384745115 /nfs/dbraw/zinc/74/51/15/384745115.db2.gz ZWONIPCEKSBVNR-QWRGUYRKSA-N 0 3 239.388 2.622 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cc(C)on1 ZINC000185590969 384745266 /nfs/dbraw/zinc/74/52/66/384745266.db2.gz VNLKFMYVDYFELG-SKDRFNHKSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cc(C)on1 ZINC000185591006 384745340 /nfs/dbraw/zinc/74/53/40/384745340.db2.gz VNLKFMYVDYFELG-CABZTGNLSA-N 0 3 208.305 2.603 20 0 BFADHN Nc1cc(CN2CCC[C@@H]3CCCC[C@@H]32)ccn1 ZINC000052722075 384745423 /nfs/dbraw/zinc/74/54/23/384745423.db2.gz CTUBTFOQMVKOIB-KBPBESRZSA-N 0 3 245.370 2.818 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2cccnc2)C1(C)C ZINC000300404189 384760387 /nfs/dbraw/zinc/76/03/87/384760387.db2.gz FHZHKBOYOBKJLX-RAIGVLPGSA-N 0 3 234.343 2.546 20 0 BFADHN COC[C@@H](C)NCc1cccc(Cl)c1F ZINC000083358414 384761097 /nfs/dbraw/zinc/76/10/97/384761097.db2.gz NFURNBFKHJLUES-MRVPVSSYSA-N 0 3 231.698 2.604 20 0 BFADHN C[C@@H](C1CCC1)N(C)Cc1ccccn1 ZINC000357048470 384763032 /nfs/dbraw/zinc/76/30/32/384763032.db2.gz WNLCWTREMAHRHT-NSHDSACASA-N 0 3 204.317 2.702 20 0 BFADHN COC[C@H](N[C@H](C)c1ccccc1OC)C1CC1 ZINC000126017428 384765649 /nfs/dbraw/zinc/76/56/49/384765649.db2.gz OFJBQZBDGVFUCK-RISCZKNCSA-N 0 3 249.354 2.771 20 0 BFADHN COCc1nc(CN[C@H](C)C2CCC2)cs1 ZINC000126305417 384769285 /nfs/dbraw/zinc/76/92/85/384769285.db2.gz YCKHVXHIQGQUSG-SECBINFHSA-N 0 3 240.372 2.568 20 0 BFADHN Cc1nc(CNC[C@@]2(C)CCCS2)cs1 ZINC000087620580 384816552 /nfs/dbraw/zinc/81/65/52/384816552.db2.gz PKEQWEMLKICPRY-LLVKDONJSA-N 0 3 242.413 2.827 20 0 BFADHN COc1ccc(C)cc1CNC[C@@H]1CC[C@H](C)O1 ZINC000398149783 384819855 /nfs/dbraw/zinc/81/98/55/384819855.db2.gz NAEYRDXFIKWSHL-JSGCOSHPSA-N 0 3 249.354 2.661 20 0 BFADHN CCN(C)CCN[C@@H](C)c1cc(F)ccc1F ZINC000054109848 384822708 /nfs/dbraw/zinc/82/27/08/384822708.db2.gz ITBHAYWELKATBY-JTQLQIEISA-N 0 3 242.313 2.567 20 0 BFADHN Cc1c[nH]nc1CNC1C[C@@H](C)C[C@H](C)C1 ZINC000309778874 384824159 /nfs/dbraw/zinc/82/41/59/384824159.db2.gz MKDVWISYNCOCNW-UWVGGRQHSA-N 0 3 221.348 2.632 20 0 BFADHN Clc1cccc(CN[C@H]2C[C@H]3CC[C@@H]2O3)c1 ZINC000087689698 384829464 /nfs/dbraw/zinc/82/94/64/384829464.db2.gz YNUBNECXKFONJD-AGIUHOORSA-N 0 3 237.730 2.750 20 0 BFADHN Clc1cccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)c1 ZINC000087689697 384831019 /nfs/dbraw/zinc/83/10/19/384831019.db2.gz YNUBNECXKFONJD-UPJWGTAASA-N 0 3 237.730 2.750 20 0 BFADHN C[C@H](NCCc1cscn1)c1cccc(O)c1 ZINC000104438883 384832146 /nfs/dbraw/zinc/83/21/46/384832146.db2.gz AZSWLFHHXQCTIU-JTQLQIEISA-N 0 3 248.351 2.742 20 0 BFADHN C[C@H](NCCN1CCC[C@@H](C)C1)c1ccco1 ZINC000053343818 384783558 /nfs/dbraw/zinc/78/35/58/384783558.db2.gz HPVYYMFPTUAJBU-OLZOCXBDSA-N 0 3 236.359 2.662 20 0 BFADHN CCc1cccc(NC(=O)C2CCN(C)CC2)c1 ZINC000174391661 384784743 /nfs/dbraw/zinc/78/47/43/384784743.db2.gz ITKDEWRQCYMKEK-UHFFFAOYSA-N 0 3 246.354 2.529 20 0 BFADHN CC[C@H](C[C@@H](C)CO)N[C@H](C)c1cncs1 ZINC000310019992 384785452 /nfs/dbraw/zinc/78/54/52/384785452.db2.gz MRWATMONCNBETO-GMTAPVOTSA-N 0 3 242.388 2.591 20 0 BFADHN CC(C)Cn1nccc1CNCC1(C2CC2)CC1 ZINC000647195892 384786427 /nfs/dbraw/zinc/78/64/27/384786427.db2.gz HYKWMIJBRFQJPN-UHFFFAOYSA-N 0 3 247.386 2.819 20 0 BFADHN Cc1cccn2cc(CN[C@H]3CC[C@H](C)C3)nc12 ZINC000174412866 384787894 /nfs/dbraw/zinc/78/78/94/384787894.db2.gz DZCDWEZTISAOLQ-AAEUAGOBSA-N 0 3 243.354 2.921 20 0 BFADHN CCC[C@H](C)CNCc1nc(C)cs1 ZINC000038090839 384789430 /nfs/dbraw/zinc/78/94/30/384789430.db2.gz VEYSONHFENDPGI-VIFPVBQESA-N 0 3 212.362 2.977 20 0 BFADHN CC[C@H](C[C@H](C)CO)N[C@H](C)c1nccs1 ZINC000310029000 384792526 /nfs/dbraw/zinc/79/25/26/384792526.db2.gz QNWFYYZFBLLKBL-HBNTYKKESA-N 0 3 242.388 2.591 20 0 BFADHN Cc1ccc([C@@H](C)NCC2=CCCOC2)cc1 ZINC000126601362 384793562 /nfs/dbraw/zinc/79/35/62/384793562.db2.gz BCEZCAMDDRJLCU-CYBMUJFWSA-N 0 3 231.339 2.992 20 0 BFADHN CCC[C@]1(C)CCCN(Cc2cnn(C)c2)C1 ZINC000174451605 384793641 /nfs/dbraw/zinc/79/36/41/384793641.db2.gz BRCWSGBZHOCDNF-CQSZACIVSA-N 0 3 235.375 2.822 20 0 BFADHN CCC(C)(C)OCCNCc1scnc1C ZINC000308541238 384795465 /nfs/dbraw/zinc/79/54/65/384795465.db2.gz JUGAXFCGIXIGFB-UHFFFAOYSA-N 0 3 242.388 2.746 20 0 BFADHN C[C@@H](NCc1nccs1)[C@@H]1CC1(C)C ZINC000308551490 384799122 /nfs/dbraw/zinc/79/91/22/384799122.db2.gz XQKNREJJWXRTLH-BDAKNGLRSA-N 0 3 210.346 2.667 20 0 BFADHN CC(C)C[C@H](N[C@H]1CCCOC1)c1ccncc1 ZINC000647288382 384801862 /nfs/dbraw/zinc/80/18/62/384801862.db2.gz BDKRKIKVBKIFEI-GJZGRUSLSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)C[C@@H](N[C@H]1CCCOC1)c1ccncc1 ZINC000647288384 384801878 /nfs/dbraw/zinc/80/18/78/384801878.db2.gz BDKRKIKVBKIFEI-LSDHHAIUSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1ccc(CO)o1 ZINC000083863655 384805126 /nfs/dbraw/zinc/80/51/26/384805126.db2.gz PCKXWKRCTCDZSO-GHMZBOCLSA-N 0 3 225.332 2.686 20 0 BFADHN F[C@@H]1CC[C@H](NCc2ccc(Cl)o2)C1 ZINC000306221177 384805709 /nfs/dbraw/zinc/80/57/09/384805709.db2.gz PBCLBKRGBDPENT-SFYZADRCSA-N 0 3 217.671 2.913 20 0 BFADHN CCC[C@@H](N)C(=O)N1CC[C@H](C)c2ccccc21 ZINC000236911102 384806317 /nfs/dbraw/zinc/80/63/17/384806317.db2.gz GAAOBUNTXFKXPO-WCQYABFASA-N 0 3 246.354 2.654 20 0 BFADHN Cc1nc(CCN2CCC[C@@H](C)C2)cs1 ZINC000163629719 384836926 /nfs/dbraw/zinc/83/69/26/384836926.db2.gz QKEGPXCMCBIANL-SNVBAGLBSA-N 0 3 224.373 2.726 20 0 BFADHN CCC[C@@H](N)C(=O)N1CC[C@@H](C)c2ccccc21 ZINC000236910607 384811543 /nfs/dbraw/zinc/81/15/43/384811543.db2.gz GAAOBUNTXFKXPO-DGCLKSJQSA-N 0 3 246.354 2.654 20 0 BFADHN C[C@@H](N[C@@H](C)CCC1CC1)c1ccncn1 ZINC000398135366 384815194 /nfs/dbraw/zinc/81/51/94/384815194.db2.gz XPHRTTYKSBRJHV-WDEREUQCSA-N 0 3 219.332 2.706 20 0 BFADHN COc1ccc(CN[C@H]2CC[C@@H]2SC)cc1 ZINC000309841363 384870218 /nfs/dbraw/zinc/87/02/18/384870218.db2.gz DJBXOIRBVAAOJD-STQMWFEESA-N 0 3 237.368 2.679 20 0 BFADHN Cn1cccc1[C@H]1CCCCN1C[C@@H]1CCCO1 ZINC000175124523 384871469 /nfs/dbraw/zinc/87/14/69/384871469.db2.gz YKCHPALSMBUPNP-DZGCQCFKSA-N 0 3 248.370 2.731 20 0 BFADHN COCC[C@H](C)NCc1ccsc1Cl ZINC000308629606 384879818 /nfs/dbraw/zinc/87/98/18/384879818.db2.gz YJYSEBHLELQBBG-QMMMGPOBSA-N 0 3 233.764 2.916 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H]2CCCC[C@H]2O)o1 ZINC000186787726 384879904 /nfs/dbraw/zinc/87/99/04/384879904.db2.gz XXFAXQRFNAHDHZ-RAIGVLPGSA-N 0 3 237.343 2.796 20 0 BFADHN CN(Cc1cccc(Cl)c1)CC1(CO)CC1 ZINC000186783220 384880911 /nfs/dbraw/zinc/88/09/11/384880911.db2.gz RRORZODNZFWIKK-UHFFFAOYSA-N 0 3 239.746 2.544 20 0 BFADHN C[C@H](N[C@@H]1CC=CCC1)c1cc2n(n1)CCCC2 ZINC000647293537 384882654 /nfs/dbraw/zinc/88/26/54/384882654.db2.gz NDBCYKUBZHHKML-QWHCGFSZSA-N 0 3 245.370 2.979 20 0 BFADHN CC1(C)CC(CN2CCOC3(CCC3)C2)C1 ZINC000528431564 384887276 /nfs/dbraw/zinc/88/72/76/384887276.db2.gz MNOVJYATVBCRCK-UHFFFAOYSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@@H]1C[C@]1(NCCCO)c1ccc(Cl)cc1 ZINC000309799978 384840210 /nfs/dbraw/zinc/84/02/10/384840210.db2.gz QZBDHTOIUDBBBG-ZWNOBZJWSA-N 0 3 239.746 2.547 20 0 BFADHN CC[C@H](NC[C@H](C)OC)c1ccsc1 ZINC000294441568 384843532 /nfs/dbraw/zinc/84/35/32/384843532.db2.gz QZOLUYNFCDAOMQ-ONGXEEELSA-N 0 3 213.346 2.824 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1ccc(C)cn1)OC ZINC000362974480 384843972 /nfs/dbraw/zinc/84/39/72/384843972.db2.gz APCAKARXTVUYSG-TZMCWYRMSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@@H](CO)NCc1c(Cl)oc2ccccc21 ZINC000126934439 384844665 /nfs/dbraw/zinc/84/46/65/384844665.db2.gz PXPRQBVMHHCASS-QMMMGPOBSA-N 0 3 239.702 2.557 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@@H]3C[C@@H]3C2)cs1 ZINC000403630900 384846524 /nfs/dbraw/zinc/84/65/24/384846524.db2.gz FCRJMOPNWNJMQX-GMTAPVOTSA-N 0 3 222.357 2.730 20 0 BFADHN Cc1nc(CN(CC2CC2)CC2CC2)oc1C ZINC000115562367 384847369 /nfs/dbraw/zinc/84/73/69/384847369.db2.gz HJFOMMHHXQIJTN-UHFFFAOYSA-N 0 3 234.343 2.913 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H](C)c1ccccc1OC ZINC000186504463 384847561 /nfs/dbraw/zinc/84/75/61/384847561.db2.gz PJIFNXPVVVMFSV-QITAHTHBSA-N 0 3 249.354 2.673 20 0 BFADHN Cc1ncsc1CNC1(C2(C)CC2)CC1 ZINC000398214389 384848291 /nfs/dbraw/zinc/84/82/91/384848291.db2.gz JXJZEACUHOEFLA-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccncc1Cl)C(C)(C)O ZINC000643347631 384848707 /nfs/dbraw/zinc/84/87/07/384848707.db2.gz WMGWZYGIMKJYTL-DTWKUNHWSA-N 0 3 242.750 2.545 20 0 BFADHN C[C@@]1(CNCc2cncs2)CCCS1 ZINC000087793263 384848887 /nfs/dbraw/zinc/84/88/87/384848887.db2.gz DJDBSMYEFFKCFY-JTQLQIEISA-N 0 3 228.386 2.518 20 0 BFADHN C[C@H](CCC1CC1)N[C@H](CCO)c1ccco1 ZINC000186543966 384849488 /nfs/dbraw/zinc/84/94/88/384849488.db2.gz VXWSPONQYPMOCJ-DGCLKSJQSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H](C1CC1)N(Cc1ccccn1)C1CC1 ZINC000104948480 384850387 /nfs/dbraw/zinc/85/03/87/384850387.db2.gz ZBCIEJQSZGIOLX-NSHDSACASA-N 0 3 216.328 2.845 20 0 BFADHN C[C@H](CCC1CC1)N[C@@H](CCO)c1ccco1 ZINC000186543929 384850564 /nfs/dbraw/zinc/85/05/64/384850564.db2.gz VXWSPONQYPMOCJ-YPMHNXCESA-N 0 3 237.343 2.871 20 0 BFADHN COc1ncc(CNC2(C3(C)CC3)CC2)s1 ZINC000398217845 384850731 /nfs/dbraw/zinc/85/07/31/384850731.db2.gz MWSXWAOBDRYRHA-UHFFFAOYSA-N 0 3 238.356 2.574 20 0 BFADHN CC1(C2(NCc3cnn4ccccc34)CC2)CC1 ZINC000398219279 384851163 /nfs/dbraw/zinc/85/11/63/384851163.db2.gz NTOPHLLWQCOXEF-UHFFFAOYSA-N 0 3 241.338 2.757 20 0 BFADHN CC[C@H](C)N(C)Cc1cnn(C)c1C(F)(F)F ZINC000177052598 384856109 /nfs/dbraw/zinc/85/61/09/384856109.db2.gz YRAXZFREWJUJBX-QMMMGPOBSA-N 0 3 249.280 2.669 20 0 BFADHN O=C(CCC1CCC1)Nc1ccc2c(c1)CNC2 ZINC000449664478 384856530 /nfs/dbraw/zinc/85/65/30/384856530.db2.gz BQNJJVMFLCMULY-UHFFFAOYSA-N 0 3 244.338 2.809 20 0 BFADHN c1nn2ccccc2c1CN1CCCC2(CC2)C1 ZINC000186615566 384857881 /nfs/dbraw/zinc/85/78/81/384857881.db2.gz FZFYJRDOMZCVLV-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN c1c(CN[C@@H]2CC[C@@H]3C[C@@H]3C2)nc2ccccn12 ZINC000403783776 384860216 /nfs/dbraw/zinc/86/02/16/384860216.db2.gz YOHNJVJHJSAYPF-JHJVBQTASA-N 0 3 241.338 2.613 20 0 BFADHN CC[C@@H](COC)N[C@@H](CC)c1ccncc1 ZINC000163791258 384860326 /nfs/dbraw/zinc/86/03/26/384860326.db2.gz XUGQBNUFBNLUFO-STQMWFEESA-N 0 3 222.332 2.547 20 0 BFADHN C[C@@H](O)C[C@@H](C)NCc1sccc1Cl ZINC000308602558 384863328 /nfs/dbraw/zinc/86/33/28/384863328.db2.gz VLMXLRQIBCRLED-HTQZYQBOSA-N 0 3 233.764 2.651 20 0 BFADHN CN(CCCCO)Cc1coc2ccccc12 ZINC000259050010 384950257 /nfs/dbraw/zinc/95/02/57/384950257.db2.gz QFRKNGPXVUPCLK-UHFFFAOYSA-N 0 3 233.311 2.637 20 0 BFADHN Cc1ccc(CNCc2ccc(C)nc2)cc1 ZINC000057591398 384956782 /nfs/dbraw/zinc/95/67/82/384956782.db2.gz SIIPPJAERUVULY-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN CCN1C[C@H](C)N(C/C=C(\C)Cl)C[C@H]1C ZINC000528432629 384891533 /nfs/dbraw/zinc/89/15/33/384891533.db2.gz PLHDEDTVFZLIDL-IUEHSCGASA-N 0 3 230.783 2.544 20 0 BFADHN CCC[C@@](C)(O)CNCc1ccccc1Cl ZINC000163990208 384895047 /nfs/dbraw/zinc/89/50/47/384895047.db2.gz LWQVXRABLQJQQT-CYBMUJFWSA-N 0 3 241.762 2.981 20 0 BFADHN CC1(C)Cc2occc2[C@@H](N[C@H]2CCC[C@@H]2O)C1 ZINC000181068014 384896991 /nfs/dbraw/zinc/89/69/91/384896991.db2.gz GACRUIIKPGXDQJ-AVGNSLFASA-N 0 3 249.354 2.796 20 0 BFADHN CCCc1cccc(CNCCn2ccnc2)c1 ZINC000187096614 384907800 /nfs/dbraw/zinc/90/78/00/384907800.db2.gz VZNVWIBGVGZYST-UHFFFAOYSA-N 0 3 243.354 2.625 20 0 BFADHN CC[C@@H](NCCn1ccnc1C)c1ccsc1 ZINC000294500300 384908012 /nfs/dbraw/zinc/90/80/12/384908012.db2.gz ZQJBSLTZVIGEIQ-CYBMUJFWSA-N 0 3 249.383 2.994 20 0 BFADHN CCCC[C@](C)(CO)NCc1occc1C ZINC000647239819 384912715 /nfs/dbraw/zinc/91/27/15/384912715.db2.gz OQTQYAYOCVQZHT-CYBMUJFWSA-N 0 3 225.332 2.619 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H](C)c1cccc(O)c1 ZINC000251582087 384919170 /nfs/dbraw/zinc/91/91/70/384919170.db2.gz WHLABWKFVXALEV-LERXQTSPSA-N 0 3 235.327 2.610 20 0 BFADHN C[C@@]1(F)CCCN(CC[C@@H]2CCCCO2)C1 ZINC000336275671 384920899 /nfs/dbraw/zinc/92/08/99/384920899.db2.gz XPGGXFVJDIMRNS-QWHCGFSZSA-N 0 3 229.339 2.770 20 0 BFADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1cc[nH]n1 ZINC000127600679 384923584 /nfs/dbraw/zinc/92/35/84/384923584.db2.gz OJQAUEKLRVRNTD-OCCSQVGLSA-N 0 3 241.338 2.788 20 0 BFADHN CC(C)CC[C@@H](O)CNCc1ccc(Cl)o1 ZINC000644519836 384924324 /nfs/dbraw/zinc/92/43/24/384924324.db2.gz XDGCRLFOZGAFOW-SNVBAGLBSA-N 0 3 245.750 2.820 20 0 BFADHN Cc1c[nH]nc1CN[C@H]1CCCCC1(C)C ZINC000309934909 384925613 /nfs/dbraw/zinc/92/56/13/384925613.db2.gz ZNDMLRNAJWTVTG-LBPRGKRZSA-N 0 3 221.348 2.777 20 0 BFADHN CCCC(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC000187248392 384927385 /nfs/dbraw/zinc/92/73/85/384927385.db2.gz UZJLXWLGNAAGIV-SWLSCSKDSA-N 0 3 248.370 2.594 20 0 BFADHN C[C@H](O)C(C)(C)NCc1cc(Cl)cs1 ZINC000128154684 384930462 /nfs/dbraw/zinc/93/04/62/384930462.db2.gz QZWKPZDLWFHGLR-ZETCQYMHSA-N 0 3 233.764 2.651 20 0 BFADHN Cc1oc2ccccc2c1CN(C)CC[C@H](C)O ZINC000127675714 384932197 /nfs/dbraw/zinc/93/21/97/384932197.db2.gz PUYQMYQIHIYTIU-NSHDSACASA-N 0 3 247.338 2.944 20 0 BFADHN C[C@@H](NCCOCC1CC1)c1ccccc1 ZINC000164167614 384932495 /nfs/dbraw/zinc/93/24/95/384932495.db2.gz IZLIPFZMXBMWQV-GFCCVEGCSA-N 0 3 219.328 2.764 20 0 BFADHN c1cnc2c(c1)CCC[C@@H]2N[C@@H]1CC12CC2 ZINC000643907934 384933193 /nfs/dbraw/zinc/93/31/93/384933193.db2.gz OWRGGWYBGCXIIR-NWDGAFQWSA-N 0 3 214.312 2.601 20 0 BFADHN CN(Cc1ccccn1)CC1(O)CCCCCC1 ZINC000187322897 384934209 /nfs/dbraw/zinc/93/42/09/384934209.db2.gz BIOLDBQIMZDRMT-UHFFFAOYSA-N 0 3 248.370 2.599 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CC23CC3)c(C)n1 ZINC000643907913 384934347 /nfs/dbraw/zinc/93/43/47/384934347.db2.gz NRVRDIRTTGYULM-AAEUAGOBSA-N 0 3 216.328 2.902 20 0 BFADHN CCN(CC)Cc1ccnc(Br)c1 ZINC000258338665 384935364 /nfs/dbraw/zinc/93/53/64/384935364.db2.gz TUHJOYMZDNURLD-UHFFFAOYSA-N 0 3 243.148 2.686 20 0 BFADHN CSC(C)(C)CNCc1cnc(C)s1 ZINC000128198440 384935447 /nfs/dbraw/zinc/93/54/47/384935447.db2.gz TWXNJEAHCSNBCV-UHFFFAOYSA-N 0 3 230.402 2.683 20 0 BFADHN CC(C)CCOCCN[C@H](C)c1ccoc1 ZINC000087290111 384936623 /nfs/dbraw/zinc/93/66/23/384936623.db2.gz VTSSIOGUICRIHC-GFCCVEGCSA-N 0 3 225.332 2.993 20 0 BFADHN C[C@H](N[C@@H]1CCc2c1cccc2F)[C@@H]1CCCO1 ZINC000149573760 384937175 /nfs/dbraw/zinc/93/71/75/384937175.db2.gz RUQTZRXFDGLGOR-VQISRLSMSA-N 0 3 249.329 2.970 20 0 BFADHN CC[C@H]1CCCCN1Cc1cc(OC)ccn1 ZINC000106933425 384944748 /nfs/dbraw/zinc/94/47/48/384944748.db2.gz YLGYBUTVFXHUJV-ZDUSSCGKSA-N 0 3 234.343 2.855 20 0 BFADHN COC[C@@H](N[C@H](C)c1ccoc1)C(C)C ZINC000087290397 384946622 /nfs/dbraw/zinc/94/66/22/384946622.db2.gz UULCFVBJUKYVIP-ZYHUDNBSSA-N 0 3 211.305 2.601 20 0 BFADHN C[C@@H](N[C@H]1CCOC1)c1csc(Cl)c1 ZINC000306458444 384969103 /nfs/dbraw/zinc/96/91/03/384969103.db2.gz ZDPKKIOFCPLVCT-APPZFPTMSA-N 0 3 231.748 2.841 20 0 BFADHN CC(C)CC[C@@H](NC[C@@H](C)O)c1ccoc1 ZINC000279148011 384971459 /nfs/dbraw/zinc/97/14/59/384971459.db2.gz ZTHOVMWNYLFCOJ-DGCLKSJQSA-N 0 3 225.332 2.727 20 0 BFADHN CCCC1(CNCc2ccns2)CC1 ZINC000404409803 384977338 /nfs/dbraw/zinc/97/73/38/384977338.db2.gz HFAQEUAXWRABDY-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN CC(C)=CCCNCc1cc(C)ns1 ZINC000404490987 385021797 /nfs/dbraw/zinc/02/17/97/385021797.db2.gz ITQKARZDSRQOND-UHFFFAOYSA-N 0 3 210.346 2.897 20 0 BFADHN COC[C@H](NC[C@@H]1C[C@H]1C)c1ccc(C)o1 ZINC000309974991 384982275 /nfs/dbraw/zinc/98/22/75/384982275.db2.gz SANFRGUNXJTPMF-USWWRNFRSA-N 0 3 223.316 2.521 20 0 BFADHN C[C@H](NCc1cc[nH]n1)C1CCCCCC1 ZINC000088163113 384985122 /nfs/dbraw/zinc/98/51/22/384985122.db2.gz PJALOXAIKJFFIJ-NSHDSACASA-N 0 3 221.348 2.858 20 0 BFADHN CN(Cc1cccc(Cl)n1)[C@@H]1CCSC1 ZINC000086114321 384988435 /nfs/dbraw/zinc/98/84/35/384988435.db2.gz YNMSBBDZINRQQD-SNVBAGLBSA-N 0 3 242.775 2.672 20 0 BFADHN CC1(C2(NCc3ccns3)CC2)CC1 ZINC000404433085 384990662 /nfs/dbraw/zinc/99/06/62/384990662.db2.gz CKPJMZKLZNXJCF-UHFFFAOYSA-N 0 3 208.330 2.565 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H]2CCCC[C@@H]2O)o1 ZINC000187880840 384991082 /nfs/dbraw/zinc/99/10/82/384991082.db2.gz XXFAXQRFNAHDHZ-WXHSDQCUSA-N 0 3 237.343 2.796 20 0 BFADHN CCC(CC)[C@H](NC(=O)[C@@H](C)N)c1ccccc1 ZINC000037046025 384991869 /nfs/dbraw/zinc/99/18/69/384991869.db2.gz XILHCORTRNKBJH-RISCZKNCSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCC[C@H]1C ZINC000339387337 384992786 /nfs/dbraw/zinc/99/27/86/384992786.db2.gz HYSPVMSUARCDTR-KOLCDFICSA-N 0 3 208.305 2.509 20 0 BFADHN Cc1ccc(NC(=O)CN2C[C@H](C)C[C@H]2C)cc1 ZINC000530624006 384993874 /nfs/dbraw/zinc/99/38/74/384993874.db2.gz IAOQEALHPDBKER-CHWSQXEVSA-N 0 3 246.354 2.664 20 0 BFADHN CCCn1cc(CNCCCSCC)cn1 ZINC000300842170 384994196 /nfs/dbraw/zinc/99/41/96/384994196.db2.gz ABCXOANOKKPKSL-UHFFFAOYSA-N 0 3 241.404 2.526 20 0 BFADHN Cc1cc(CNC(C)(C)COC(C)C)sn1 ZINC000404450782 384998687 /nfs/dbraw/zinc/99/86/87/384998687.db2.gz RCGFUDHKSFLSCM-UHFFFAOYSA-N 0 3 242.388 2.745 20 0 BFADHN C[C@@H](N[C@H](CO)Cc1ccccc1)c1ccoc1 ZINC000094869315 384999447 /nfs/dbraw/zinc/99/94/47/384999447.db2.gz ROFYQQJHFPKVOS-DOMZBBRYSA-N 0 3 245.322 2.534 20 0 BFADHN Cc1nc(C)c([C@@H](C)NCCC(C)(C)O)s1 ZINC000086235460 385003027 /nfs/dbraw/zinc/00/30/27/385003027.db2.gz AKOHHTTUQASCLJ-MRVPVSSYSA-N 0 3 242.388 2.572 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCCS2)sn1 ZINC000404446100 385005485 /nfs/dbraw/zinc/00/54/85/385005485.db2.gz CMFGRPIBOUCTIB-JTQLQIEISA-N 0 3 242.413 2.827 20 0 BFADHN Cc1cnc(CN2[C@H](C)CCC2(C)C)o1 ZINC000336304672 385005484 /nfs/dbraw/zinc/00/54/84/385005484.db2.gz SJCBDQXFBYFLGK-SECBINFHSA-N 0 3 208.305 2.746 20 0 BFADHN C[C@H](F)CCNCc1scnc1C1CC1 ZINC000339495766 385005593 /nfs/dbraw/zinc/00/55/93/385005593.db2.gz KMEJCWPPZQKLGX-QMMMGPOBSA-N 0 3 228.336 2.858 20 0 BFADHN C[C@@H](F)CCNCc1ccc(Cl)o1 ZINC000339495202 385008306 /nfs/dbraw/zinc/00/83/06/385008306.db2.gz YJXSOSRECTWOTL-SSDOTTSWSA-N 0 3 205.660 2.771 20 0 BFADHN Cc1cc(CNCC2(C(C)C)CC2)sn1 ZINC000404462119 385008861 /nfs/dbraw/zinc/00/88/61/385008861.db2.gz PJBVGHROWIGFRC-UHFFFAOYSA-N 0 3 224.373 2.977 20 0 BFADHN Cc1cc(CNC[C@]2(C)CCCS2)sn1 ZINC000404462656 385010656 /nfs/dbraw/zinc/01/06/56/385010656.db2.gz PQEHEQAAKIGJJF-NSHDSACASA-N 0 3 242.413 2.827 20 0 BFADHN c1cc(CN[C@H]2CC23CCCCC3)sn1 ZINC000404464821 385012312 /nfs/dbraw/zinc/01/23/12/385012312.db2.gz VGJTYGNIZDZKJP-NSHDSACASA-N 0 3 222.357 2.956 20 0 BFADHN CC(C)C[C@@H](C)N[C@H]1CCCc2c[nH]nc21 ZINC000377256187 385023366 /nfs/dbraw/zinc/02/33/66/385023366.db2.gz ZXGDMZBTZPDUMM-PWSUYJOCSA-N 0 3 221.348 2.811 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccccc1)c1cncs1 ZINC000128205465 385014375 /nfs/dbraw/zinc/01/43/75/385014375.db2.gz WFVMYPZWIHGQLJ-PWSUYJOCSA-N 0 3 248.351 2.527 20 0 BFADHN CO[C@@H](C)CN[C@@H]1CC(C)(C)Cc2occc21 ZINC000188138126 385017818 /nfs/dbraw/zinc/01/78/18/385017818.db2.gz QPCDNILSOQWNPN-CMPLNLGQSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@H](NCCOC(C)(C)C)c1ccccn1 ZINC000161286032 384961569 /nfs/dbraw/zinc/96/15/69/384961569.db2.gz WFOPZZUUDFOIFW-NSHDSACASA-N 0 3 222.332 2.547 20 0 BFADHN CC[C@@H](NC[C@@](C)(O)CC)c1nc(C)cs1 ZINC000119081220 384961903 /nfs/dbraw/zinc/96/19/03/384961903.db2.gz NHJWIOQSODRDBE-PWSUYJOCSA-N 0 3 242.388 2.653 20 0 BFADHN CC[C@H](N[C@@H]1C=C[C@H](CO)C1)c1cccc(F)c1 ZINC000188162012 385020896 /nfs/dbraw/zinc/02/08/96/385020896.db2.gz BYHROWHODSVAEI-GLQYFDAESA-N 0 3 249.329 2.803 20 0 BFADHN Fc1ccc(CCNCc2ccns2)cc1 ZINC000404488585 385020944 /nfs/dbraw/zinc/02/09/44/385020944.db2.gz OSPUOWKJJBFOES-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NC1CCCCC1 ZINC000309540252 385049033 /nfs/dbraw/zinc/04/90/33/385049033.db2.gz DPIPCIWQDJYMEN-LBPRGKRZSA-N 0 3 219.332 2.709 20 0 BFADHN CCc1noc([C@H](C)N2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC000177533862 385049392 /nfs/dbraw/zinc/04/93/92/385049392.db2.gz CNIACPANOFWQGY-SDDRHHMPSA-N 0 3 249.358 2.815 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](F)C1)c1ccncc1F ZINC000340394268 385055162 /nfs/dbraw/zinc/05/51/62/385055162.db2.gz OQDRGUVYFIPJRK-AEJSXWLSSA-N 0 3 226.270 2.762 20 0 BFADHN C[C@H](N[C@H]1CCCC1(C)C)c1cnccn1 ZINC000086872967 385057319 /nfs/dbraw/zinc/05/73/19/385057319.db2.gz CWNVOKROELNQRJ-JQWIXIFHSA-N 0 3 219.332 2.706 20 0 BFADHN Cn1c(Cl)cnc1CN[C@@H]1CCCC1(C)C ZINC000086874652 385057440 /nfs/dbraw/zinc/05/74/40/385057440.db2.gz RAUKBTOALXRBIZ-SECBINFHSA-N 0 3 241.766 2.742 20 0 BFADHN COC[C@@H](C)N[C@@H]1CCc2c1cccc2Cl ZINC000164981764 385058562 /nfs/dbraw/zinc/05/85/62/385058562.db2.gz IYCKFFINXCTQDB-NOZJJQNGSA-N 0 3 239.746 2.952 20 0 BFADHN C[C@H](F)CCN[C@@H]1CCCc2occc21 ZINC000340457342 385061901 /nfs/dbraw/zinc/06/19/01/385061901.db2.gz BLOLLPLDDUFXSH-GXSJLCMTSA-N 0 3 211.280 2.995 20 0 BFADHN C[C@@H](NCC(C)(C)O)c1cc2ccccc2o1 ZINC000087010017 385064007 /nfs/dbraw/zinc/06/40/07/385064007.db2.gz WDGJOYMPCTXCCR-SNVBAGLBSA-N 0 3 233.311 2.854 20 0 BFADHN CC(C)CCN1CCn2cccc2[C@@H]1C ZINC000060087843 385064733 /nfs/dbraw/zinc/06/47/33/385064733.db2.gz LDNKJSANOUFXHG-LBPRGKRZSA-N 0 3 206.333 2.911 20 0 BFADHN C[C@H](CC1CC1)N[C@@H](C)c1nnc2ccccn21 ZINC000128716587 385065784 /nfs/dbraw/zinc/06/57/84/385065784.db2.gz KVOSCZQZXDDQPO-MNOVXSKESA-N 0 3 244.342 2.569 20 0 BFADHN CO[C@H](CNCc1occc1C)C(C)(C)C ZINC000165076540 385067518 /nfs/dbraw/zinc/06/75/18/385067518.db2.gz LVGDOFOUBVRSLL-GFCCVEGCSA-N 0 3 225.332 2.739 20 0 BFADHN CO[C@H](C)CN[C@H](CC(C)C)c1ccncc1 ZINC000647301044 385070313 /nfs/dbraw/zinc/07/03/13/385070313.db2.gz PGXJPJSZMWVBGN-TZMCWYRMSA-N 0 3 236.359 2.793 20 0 BFADHN C[C@H]1C[C@H](N[C@@H]2CCCc3ccc(F)cc32)CO1 ZINC000647207713 385074810 /nfs/dbraw/zinc/07/48/10/385074810.db2.gz IFHOMFIHRALXKQ-VZJVUDMVSA-N 0 3 249.329 2.970 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1CCN(C)CC1 ZINC000150990105 385077666 /nfs/dbraw/zinc/07/76/66/385077666.db2.gz DVSLMYYKGCWGSJ-CQSZACIVSA-N 0 3 236.334 2.524 20 0 BFADHN CC[C@@H](CO)N[C@@H](CC(C)C)c1ccccn1 ZINC000188678315 385078995 /nfs/dbraw/zinc/07/89/95/385078995.db2.gz UDDMYDLBWKUJTE-JSGCOSHPSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@H]1CCN(Cc2nc(C)c(C)s2)C1 ZINC000176398875 385079224 /nfs/dbraw/zinc/07/92/24/385079224.db2.gz CYRAIQHWIWNJMQ-NSHDSACASA-N 0 3 224.373 2.992 20 0 BFADHN CC[C@@H](CO)N[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000188676876 385080072 /nfs/dbraw/zinc/08/00/72/385080072.db2.gz SBALDYHJEYGJRN-APPZFPTMSA-N 0 3 247.260 2.525 20 0 BFADHN CCCNc1ccc(CN[C@@H]2CO[C@@H](C)C2)cc1 ZINC000647206291 385029601 /nfs/dbraw/zinc/02/96/01/385029601.db2.gz NVRGWUGJGWBVSL-WFASDCNBSA-N 0 3 248.370 2.776 20 0 BFADHN CCCNc1ccc(CN[C@H]2CO[C@@H](C)C2)cc1 ZINC000647206290 385029644 /nfs/dbraw/zinc/02/96/44/385029644.db2.gz NVRGWUGJGWBVSL-SWLSCSKDSA-N 0 3 248.370 2.776 20 0 BFADHN C[C@H](CO)CN[C@@H](C)c1cc2ccccc2o1 ZINC000086627390 385033310 /nfs/dbraw/zinc/03/33/10/385033310.db2.gz VYVYMOYEMSCKRG-QWRGUYRKSA-N 0 3 233.311 2.712 20 0 BFADHN Cn1cncc1CN[C@@H](c1cccs1)C1CC1 ZINC000340195748 385039325 /nfs/dbraw/zinc/03/93/25/385039325.db2.gz BKVCBMWAYAPVKX-CYBMUJFWSA-N 0 3 247.367 2.723 20 0 BFADHN CS[C@@H](C)CNCc1cc(F)cc(F)c1 ZINC000119296397 385039775 /nfs/dbraw/zinc/03/97/75/385039775.db2.gz IIMQDZOBGXUCRN-QMMMGPOBSA-N 0 3 231.311 2.806 20 0 BFADHN CCOc1cccc(CNCCSCC)c1 ZINC000164793534 385042548 /nfs/dbraw/zinc/04/25/48/385042548.db2.gz CLFGBUQCBHFHEH-UHFFFAOYSA-N 0 3 239.384 2.928 20 0 BFADHN C[C@H](NCCC(F)(F)F)c1cccnc1 ZINC000059382863 385046459 /nfs/dbraw/zinc/04/64/59/385046459.db2.gz CFCFANDTDPHMBW-QMMMGPOBSA-N 0 3 218.222 2.685 20 0 BFADHN COCc1ccc(CNC[C@@H]2CCC[C@H]2C)o1 ZINC000341470939 385109732 /nfs/dbraw/zinc/10/97/32/385109732.db2.gz CCZORJJTSGHHGD-NEPJUHHUSA-N 0 3 237.343 2.952 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CC12CC2 ZINC000424181265 385109798 /nfs/dbraw/zinc/10/97/98/385109798.db2.gz BQFQKFHSEOZLJA-CYBMUJFWSA-N 0 3 217.312 2.727 20 0 BFADHN c1nn(-c2ccccc2)cc1CN[C@H]1CC12CC2 ZINC000424181839 385110345 /nfs/dbraw/zinc/11/03/45/385110345.db2.gz DSTQTKLNQQHDOJ-AWEZNQCLSA-N 0 3 239.322 2.514 20 0 BFADHN CCn1nc(CN[C@@H]2CC23CC3)c2ccccc21 ZINC000424184832 385112696 /nfs/dbraw/zinc/11/26/96/385112696.db2.gz NJILOEDYZZVUBI-CQSZACIVSA-N 0 3 241.338 2.698 20 0 BFADHN C[C@H](N[C@H]1CCSC1)c1ccsc1 ZINC000061360626 385112658 /nfs/dbraw/zinc/11/26/58/385112658.db2.gz AAKIVBBECVTEDW-WPRPVWTQSA-N 0 3 213.371 2.904 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H]2CC[C@H](O)C2)o1 ZINC000189293848 385141825 /nfs/dbraw/zinc/14/18/25/385141825.db2.gz BYKOZSVFCLTCLJ-UTUOFQBUSA-N 0 3 237.343 2.654 20 0 BFADHN CCc1cccc(CN2CCCO[C@H](C)C2)c1 ZINC000189073105 385120490 /nfs/dbraw/zinc/12/04/90/385120490.db2.gz HMQSUHOPUDSXOA-CYBMUJFWSA-N 0 3 233.355 2.860 20 0 BFADHN COC[C@H](N[C@H](C)C1CC1)c1ccc(C)o1 ZINC000165402861 385120703 /nfs/dbraw/zinc/12/07/03/385120703.db2.gz WYQMJDDLPAUKIK-PWSUYJOCSA-N 0 3 223.316 2.664 20 0 BFADHN Fc1ccc(CN[C@@H]2CCCSC2)c(F)c1 ZINC000061589496 385124771 /nfs/dbraw/zinc/12/47/71/385124771.db2.gz QGTSYOVFNBTFFR-LLVKDONJSA-N 0 3 243.322 2.950 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1ccncc1C)OC ZINC000294705004 385127291 /nfs/dbraw/zinc/12/72/91/385127291.db2.gz DHHSWEUNHYMRON-BXUZGUMPSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@H](NCc1ccn(-c2ccccc2)n1)C1CC1 ZINC000061640975 385129102 /nfs/dbraw/zinc/12/91/02/385129102.db2.gz JWIGEWWSDXUXNK-LBPRGKRZSA-N 0 3 241.338 2.760 20 0 BFADHN CC(C)(C)c1ccc(CN2CC[C@H](O)C2)cc1 ZINC000061707901 385136057 /nfs/dbraw/zinc/13/60/57/385136057.db2.gz WGIZTLNVVMBZBM-AWEZNQCLSA-N 0 3 233.355 2.551 20 0 BFADHN CCc1cccc(CN(C)CCn2cccn2)c1 ZINC000189265480 385136597 /nfs/dbraw/zinc/13/65/97/385136597.db2.gz JNQPWQYKZOGLFM-UHFFFAOYSA-N 0 3 243.354 2.578 20 0 BFADHN C[C@H](NCCC(C)(C)C)c1nnc2ccccn21 ZINC000061839229 385139462 /nfs/dbraw/zinc/13/94/62/385139462.db2.gz ABIXMUWRGXRQMU-NSHDSACASA-N 0 3 246.358 2.816 20 0 BFADHN CC(C)c1nc(CN2C3CCCC2CCC3)n[nH]1 ZINC000644669766 385086365 /nfs/dbraw/zinc/08/63/65/385086365.db2.gz LBFCBUJVNBVBSZ-UHFFFAOYSA-N 0 3 248.374 2.835 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1c(C)noc1C)OC ZINC000319098433 385140404 /nfs/dbraw/zinc/14/04/04/385140404.db2.gz WEOKFRKPAWXFJV-NOZJJQNGSA-N 0 3 240.347 2.757 20 0 BFADHN Cn1ccc2cccc(NC3CCN(C)CC3)c21 ZINC000188777955 385089842 /nfs/dbraw/zinc/08/98/42/385089842.db2.gz LBYZEXFNOKNLNN-UHFFFAOYSA-N 0 3 243.354 2.684 20 0 BFADHN Cc1cnc([C@H](C)N2CCC3(CC3)CC2)cn1 ZINC000644679462 385092274 /nfs/dbraw/zinc/09/22/74/385092274.db2.gz NBBOUSGQPXEEAQ-LBPRGKRZSA-N 0 3 231.343 2.722 20 0 BFADHN CC(=O)CCN1CCC[C@H]1c1cccc(C)n1 ZINC000157177527 385096019 /nfs/dbraw/zinc/09/60/19/385096019.db2.gz PLYMOVLYDSONBK-AWEZNQCLSA-N 0 3 232.327 2.506 20 0 BFADHN CC(C)(CNCc1cccnc1)C1(O)CCCC1 ZINC000449734170 385097250 /nfs/dbraw/zinc/09/72/50/385097250.db2.gz AEKNRZAGIJONFE-UHFFFAOYSA-N 0 3 248.370 2.503 20 0 BFADHN Cc1nc(C)c(CN2C[C@@H]3CCC[C@@H]32)s1 ZINC000336348467 385140682 /nfs/dbraw/zinc/14/06/82/385140682.db2.gz FTZWBADHMPTTAG-QWRGUYRKSA-N 0 3 222.357 2.744 20 0 BFADHN CC1(C)COC[C@@H]1NCc1ccc(Cl)cc1 ZINC000313001425 385098378 /nfs/dbraw/zinc/09/83/78/385098378.db2.gz NSWBZWLIMFTYBW-LBPRGKRZSA-N 0 3 239.746 2.855 20 0 BFADHN CC[C@H](C(=O)NC[C@H](C)C(C)C)N(CC)CC ZINC000530821410 385099655 /nfs/dbraw/zinc/09/96/55/385099655.db2.gz MZDUKKKYFDXVTJ-QWHCGFSZSA-N 0 3 242.407 2.515 20 0 BFADHN COc1ccc(CN[C@@H]2CC23CC3)c(C)c1OC ZINC000424185868 385100348 /nfs/dbraw/zinc/10/03/48/385100348.db2.gz QOGAJSJUJASECJ-CYBMUJFWSA-N 0 3 247.338 2.654 20 0 BFADHN CC(C)N(C)c1ccc(CN[C@H]2CC23CC3)cn1 ZINC000424185451 385100488 /nfs/dbraw/zinc/10/04/88/385100488.db2.gz PMBUWUXBFNLJFT-ZDUSSCGKSA-N 0 3 245.370 2.568 20 0 BFADHN c1cc(-c2n[nH]cc2CN[C@H]2CC23CC3)cs1 ZINC000424185647 385101721 /nfs/dbraw/zinc/10/17/21/385101721.db2.gz QCIYNPHBXDLUJI-NSHDSACASA-N 0 3 245.351 2.780 20 0 BFADHN CCOc1ccc(CN[C@@H]2CC23CC3)cc1OC ZINC000424187372 385103447 /nfs/dbraw/zinc/10/34/47/385103447.db2.gz VPCBMMCYWZOFCD-CQSZACIVSA-N 0 3 247.338 2.736 20 0 BFADHN FC(F)(F)c1cccnc1CN[C@@H]1CC12CC2 ZINC000643800325 385104665 /nfs/dbraw/zinc/10/46/65/385104665.db2.gz RGCIQDSBQJKOLD-SNVBAGLBSA-N 0 3 242.244 2.743 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN[C@H]2CC23CC3)o1 ZINC000424187125 385105507 /nfs/dbraw/zinc/10/55/07/385105507.db2.gz UZFHMCGVUGCOSN-LBPRGKRZSA-N 0 3 243.310 2.620 20 0 BFADHN CCCCNCc1cc(F)c(F)c(F)c1 ZINC000062705559 385182714 /nfs/dbraw/zinc/18/27/14/385182714.db2.gz LBWWUOAHIDMQLM-UHFFFAOYSA-N 0 3 217.234 2.994 20 0 BFADHN C[C@@H](N[C@H](C)[C@@H]1CCCO1)c1cccc(O)c1 ZINC000020207162 385187087 /nfs/dbraw/zinc/18/70/87/385187087.db2.gz LHNQVCQGXFVRHU-GYSYKLTISA-N 0 3 235.327 2.610 20 0 BFADHN COc1c(C)cnc(CN(C)CC2CCC2)c1C ZINC000110031452 385201879 /nfs/dbraw/zinc/20/18/79/385201879.db2.gz AYHQDTCPLLVAMJ-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN CC(C)(C)O[C@H]1C[C@@H](NCc2cncs2)C1 ZINC000647248170 385190903 /nfs/dbraw/zinc/19/09/03/385190903.db2.gz CRAUDXWZLOJCAY-AOOOYVTPSA-N 0 3 240.372 2.579 20 0 BFADHN C[C@@H]1C[C@@H]1NCc1cnc(C(F)(F)F)s1 ZINC000308820434 385192129 /nfs/dbraw/zinc/19/21/29/385192129.db2.gz BUASFUVPNYVSJK-VDTYLAMSSA-N 0 3 236.262 2.660 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CC[C@H]2C)c1 ZINC000308827133 385193439 /nfs/dbraw/zinc/19/34/39/385193439.db2.gz ALWNWPQTGZIXDB-GRYCIOLGSA-N 0 3 204.317 2.839 20 0 BFADHN CCOC[C@H]1CCCN(Cc2cncc(C)c2)C1 ZINC000189890528 385193663 /nfs/dbraw/zinc/19/36/63/385193663.db2.gz DMLZAPFDUBKDSH-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN C[C@@](O)(CNc1ccnc2ccccc21)C1CC1 ZINC000310851012 385193717 /nfs/dbraw/zinc/19/37/17/385193717.db2.gz PPEROJHTRFFXNT-OAHLLOKOSA-N 0 3 242.322 2.808 20 0 BFADHN Cc1cc(C)cc([C@@H](C)NCc2cncn2C)c1 ZINC000107319826 385193727 /nfs/dbraw/zinc/19/37/27/385193727.db2.gz YNAIFBRFANFUTJ-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@](O)(CNc1ccnc2ccccc21)C1CC1 ZINC000310851011 385195056 /nfs/dbraw/zinc/19/50/56/385195056.db2.gz PPEROJHTRFFXNT-HNNXBMFYSA-N 0 3 242.322 2.808 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cncc(C)c1 ZINC000189885407 385195730 /nfs/dbraw/zinc/19/57/30/385195730.db2.gz LMQYDZXZFDOLTK-LLVKDONJSA-N 0 3 206.333 2.868 20 0 BFADHN Cc1cncc(CN2CCC3(CCC3)C2)c1 ZINC000189922372 385197604 /nfs/dbraw/zinc/19/76/04/385197604.db2.gz SLCGHGVEOWOEMG-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN COCCC[C@@H](C)N[C@@H](C)c1nccs1 ZINC000177925637 385145520 /nfs/dbraw/zinc/14/55/20/385145520.db2.gz FTWLWHOJSLOXGJ-ZJUUUORDSA-N 0 3 228.361 2.609 20 0 BFADHN COCCC[C@H](C)N[C@@H](C)c1nccs1 ZINC000177925622 385146643 /nfs/dbraw/zinc/14/66/43/385146643.db2.gz FTWLWHOJSLOXGJ-UWVGGRQHSA-N 0 3 228.361 2.609 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C(C)(C)C2)on1 ZINC000336353625 385148039 /nfs/dbraw/zinc/14/80/39/385148039.db2.gz OTQDWNZMORWXQJ-SNVBAGLBSA-N 0 3 222.332 2.851 20 0 BFADHN CC(=O)Nc1cccc([C@H](C)N[C@@H]2CC23CC3)c1 ZINC000424333004 385149464 /nfs/dbraw/zinc/14/94/64/385149464.db2.gz XFRRLYJITFOAHV-IINYFYTJSA-N 0 3 244.338 2.848 20 0 BFADHN CCC[C@@H](O)CN(C)[C@@H](C)c1ccccc1F ZINC000062017174 385150005 /nfs/dbraw/zinc/15/00/05/385150005.db2.gz KLDGRQATTZVDTR-NWDGAFQWSA-N 0 3 239.334 2.980 20 0 BFADHN Cc1ccccc1CN(C)CCC(=O)OC(C)C ZINC000157364116 385150920 /nfs/dbraw/zinc/15/09/20/385150920.db2.gz WVAKIAYNHOVUGD-UHFFFAOYSA-N 0 3 249.354 2.769 20 0 BFADHN Cc1csc(CN2CC[C@H]3CCC[C@@H]32)n1 ZINC000336359568 385156119 /nfs/dbraw/zinc/15/61/19/385156119.db2.gz RSLHHMPEVVOFPQ-MNOVXSKESA-N 0 3 222.357 2.826 20 0 BFADHN C/C(=C/c1ccccc1)CN1CCC[C@@](C)(O)C1 ZINC000129508174 385158040 /nfs/dbraw/zinc/15/80/40/385158040.db2.gz NOPJBDFFPHNCLH-SYCZXOQXSA-N 0 3 245.366 2.937 20 0 BFADHN CN(CCC1CCOCC1)Cc1ccco1 ZINC000129615161 385165389 /nfs/dbraw/zinc/16/53/89/385165389.db2.gz SDJTXHSHAXTJQE-UHFFFAOYSA-N 0 3 223.316 2.528 20 0 BFADHN COc1ccc(OC)c([C@@H](C)N[C@@H]2CC23CC3)c1 ZINC000424326196 385167104 /nfs/dbraw/zinc/16/71/04/385167104.db2.gz ONWFUGLLSUOEGW-QMTHXVAHSA-N 0 3 247.338 2.907 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@@H]1CCCOC1 ZINC000109543263 385173979 /nfs/dbraw/zinc/17/39/79/385173979.db2.gz BSEZCJJIVFYZDW-QWHCGFSZSA-N 0 3 249.354 2.915 20 0 BFADHN Cc1ccoc1CN(C[C@H]1CCOC1)C1CC1 ZINC000130021297 385210606 /nfs/dbraw/zinc/21/06/06/385210606.db2.gz ULLDKMOEXUKHSY-GFCCVEGCSA-N 0 3 235.327 2.589 20 0 BFADHN COC[C@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC000177284906 385213925 /nfs/dbraw/zinc/21/39/25/385213925.db2.gz UTZTYSXQYXQFJG-STQMWFEESA-N 0 3 234.343 2.501 20 0 BFADHN CCSCC[C@H](C)N[C@H](C)c1cnccn1 ZINC000152818165 385214319 /nfs/dbraw/zinc/21/43/19/385214319.db2.gz WMNSHQYBGINJAW-WDEREUQCSA-N 0 3 239.388 2.659 20 0 BFADHN CCN(Cc1ccon1)C1CCCCC1 ZINC000157542932 385215061 /nfs/dbraw/zinc/21/50/61/385215061.db2.gz VZGRVRPJBUNVGK-UHFFFAOYSA-N 0 3 208.305 2.829 20 0 BFADHN CCSCC[C@H](C)N[C@@H](C)c1cnccn1 ZINC000152817960 385215167 /nfs/dbraw/zinc/21/51/67/385215167.db2.gz WMNSHQYBGINJAW-QWRGUYRKSA-N 0 3 239.388 2.659 20 0 BFADHN C[C@@](O)(CNCc1ccsc1)c1ccccc1 ZINC000063152808 385215769 /nfs/dbraw/zinc/21/57/69/385215769.db2.gz BUTGGSVXECTYMN-CQSZACIVSA-N 0 3 247.363 2.745 20 0 BFADHN CCOC[C@H](C)NCc1sccc1C ZINC000044688427 385219593 /nfs/dbraw/zinc/21/95/93/385219593.db2.gz JKNFQDHTKIJRLG-JTQLQIEISA-N 0 3 213.346 2.571 20 0 BFADHN CC[C@]1(CO)CCCN(Cc2ccc(C)o2)C1 ZINC000190231863 385222275 /nfs/dbraw/zinc/22/22/75/385222275.db2.gz VQOUKCSZWAIRJD-AWEZNQCLSA-N 0 3 237.343 2.573 20 0 BFADHN Cc1n[nH]cc1CN[C@@H](C)CSC(C)(C)C ZINC000130135598 385223090 /nfs/dbraw/zinc/22/30/90/385223090.db2.gz JWLBNKWIVLWTFP-VIFPVBQESA-N 0 3 241.404 2.728 20 0 BFADHN C[C@@H](CN(C)C)N[C@@H](C)c1ccccc1Cl ZINC000130197922 385227159 /nfs/dbraw/zinc/22/71/59/385227159.db2.gz OYHIEUYEPFWXMB-QWRGUYRKSA-N 0 3 240.778 2.941 20 0 BFADHN C[C@H](CF)NCCc1ccc(F)cc1Cl ZINC000308861315 385227697 /nfs/dbraw/zinc/22/76/97/385227697.db2.gz RMZBGFHIRGQTKV-MRVPVSSYSA-N 0 3 233.689 2.969 20 0 BFADHN FC1(F)CCC[C@H](NCc2ccco2)C1 ZINC000308872478 385228965 /nfs/dbraw/zinc/22/89/65/385228965.db2.gz KWRCSVZDUXCGDA-VIFPVBQESA-N 0 3 215.243 2.947 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1COCC1(C)C ZINC000313035280 385228973 /nfs/dbraw/zinc/22/89/73/385228973.db2.gz KNLQPYSQVSUPED-FZMZJTMJSA-N 0 3 249.354 2.771 20 0 BFADHN CCOCCN[C@H](CC)c1ccccc1F ZINC000166294307 385235034 /nfs/dbraw/zinc/23/50/34/385235034.db2.gz CYGRQEOFIAGEAW-CYBMUJFWSA-N 0 3 225.307 2.903 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CC[C@H](C)[C@@H](C)C1 ZINC000252727616 385235120 /nfs/dbraw/zinc/23/51/20/385235120.db2.gz KROMGNBHSNIOPT-RWMBFGLXSA-N 0 3 241.375 2.696 20 0 BFADHN c1coc(CN[C@H]2CC[C@@H]2C2CCC2)c1 ZINC000308883470 385235921 /nfs/dbraw/zinc/23/59/21/385235921.db2.gz VAPCQSFTCUFJGE-OLZOCXBDSA-N 0 3 205.301 2.948 20 0 BFADHN c1ccc2c(c1)OCCC[C@H]2NC[C@H]1CCCO1 ZINC000153121200 385237079 /nfs/dbraw/zinc/23/70/79/385237079.db2.gz VGTBEUDNOXWVCJ-TZMCWYRMSA-N 0 3 247.338 2.669 20 0 BFADHN CC(C)C[C@@H](N[C@H]1C[C@@](C)(O)C1)c1ccncc1 ZINC000647309295 385247110 /nfs/dbraw/zinc/24/71/10/385247110.db2.gz IOBQNEFTEYRMGG-KFWWJZLASA-N 0 3 248.370 2.672 20 0 BFADHN Clc1sccc1CNC[C@H]1CCCOC1 ZINC000308885214 385249941 /nfs/dbraw/zinc/24/99/41/385249941.db2.gz SLDGGNDLGAJIHK-SECBINFHSA-N 0 3 245.775 2.918 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCSC2)s1 ZINC000130524813 385258175 /nfs/dbraw/zinc/25/81/75/385258175.db2.gz YHJNPSMOZWQSQU-JTQLQIEISA-N 0 3 227.398 2.994 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1ccc(F)cc1F ZINC000131042382 385302482 /nfs/dbraw/zinc/30/24/82/385302482.db2.gz OOQIKNAOZRSJNA-KOLCDFICSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccccc2Cl)[C@@H](C)O1 ZINC000294909656 385307977 /nfs/dbraw/zinc/30/79/77/385307977.db2.gz ZZYFHVASQHVKMR-BREBYQMCSA-N 0 3 239.746 2.996 20 0 BFADHN CC[C@@H](C)NCc1cn2ccc(C)cc2n1 ZINC000167309486 385309407 /nfs/dbraw/zinc/30/94/07/385309407.db2.gz KRMTVCUVDAHEDQ-LLVKDONJSA-N 0 3 217.316 2.531 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H](C)N(C)C2CC2)o1 ZINC000131133772 385310171 /nfs/dbraw/zinc/31/01/71/385310171.db2.gz OIJGKUNXSYTMCA-JQWIXIFHSA-N 0 3 236.359 2.721 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H](C)N(C)C2CC2)o1 ZINC000131133183 385310691 /nfs/dbraw/zinc/31/06/91/385310691.db2.gz OIJGKUNXSYTMCA-ZYHUDNBSSA-N 0 3 236.359 2.721 20 0 BFADHN CCC[C@H](O)CNCc1cccc(Cl)c1F ZINC000131131252 385310934 /nfs/dbraw/zinc/31/09/34/385310934.db2.gz OGUJTIBOHFQBNM-JTQLQIEISA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@H](N[C@H](C)[C@@H](C)OC)c1ccncc1 ZINC000168212349 385313039 /nfs/dbraw/zinc/31/30/39/385313039.db2.gz MTONXYSUBFGNKC-NQBHXWOUSA-N 0 3 222.332 2.546 20 0 BFADHN C[C@H](NCC1CCSCC1)c1nccs1 ZINC000161540893 385318304 /nfs/dbraw/zinc/31/83/04/385318304.db2.gz YPASTYCOGWTRSO-VIFPVBQESA-N 0 3 242.413 2.937 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCC[C@@H](F)C2)c2nccn21 ZINC000647312807 385319687 /nfs/dbraw/zinc/31/96/87/385319687.db2.gz MBALSNDZTMSPEU-KKOKHZNYSA-N 0 3 237.322 2.759 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1cccc(F)c1 ZINC000191718318 385320092 /nfs/dbraw/zinc/32/00/92/385320092.db2.gz FKLXQMQOLFATLJ-AXFHLTTASA-N 0 3 225.307 2.900 20 0 BFADHN CCCCN(C(=O)[C@@H](N)CCC)c1ccccc1 ZINC000227857565 385259215 /nfs/dbraw/zinc/25/92/15/385259215.db2.gz CEKPETFVEQQUHO-AWEZNQCLSA-N 0 3 248.370 2.947 20 0 BFADHN C[C@H](NCC1(C)CCOCC1)c1nccs1 ZINC000130586942 385262827 /nfs/dbraw/zinc/26/28/27/385262827.db2.gz AWTLZNUVPBDRTF-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN CCC1(NCc2ccc(F)c(F)c2)CC1 ZINC000294849933 385265566 /nfs/dbraw/zinc/26/55/66/385265566.db2.gz RYUCMGZAXAPNMF-UHFFFAOYSA-N 0 3 211.255 2.997 20 0 BFADHN C[C@@H](CN(C)C)Nc1nc2ccccc2s1 ZINC000130603345 385267040 /nfs/dbraw/zinc/26/70/40/385267040.db2.gz SKBUASYXEUOFQV-VIFPVBQESA-N 0 3 235.356 2.658 20 0 BFADHN CCCC[C@H]1CCC[C@H]1NCc1cc[nH]n1 ZINC000069174888 385269098 /nfs/dbraw/zinc/26/90/98/385269098.db2.gz MAKXSSHPRBUHJY-WCQYABFASA-N 0 3 221.348 2.858 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@]2(C)CCOC2)o1 ZINC000344374620 385272841 /nfs/dbraw/zinc/27/28/41/385272841.db2.gz UKPYFRUPTQNNDL-KYOSRNDESA-N 0 3 249.354 2.919 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCC1)c1nnc2ccccn21 ZINC000178451912 385273423 /nfs/dbraw/zinc/27/34/23/385273423.db2.gz CJVGFZWSOBTTGT-WDEREUQCSA-N 0 3 244.342 2.569 20 0 BFADHN CCO[C@@H]1CCN(Cc2cccs2)C[C@H]1C ZINC000449490975 385273965 /nfs/dbraw/zinc/27/39/65/385273965.db2.gz DQNWEIWHUIIFIC-DGCLKSJQSA-N 0 3 239.384 2.995 20 0 BFADHN C[C@]1(NCc2ccc(Cl)cc2)CCOC1 ZINC000120245758 385274222 /nfs/dbraw/zinc/27/42/22/385274222.db2.gz AQIKUAZFHQYYAB-LBPRGKRZSA-N 0 3 225.719 2.609 20 0 BFADHN Cc1ncc(CN[C@H]2CC(C)(C)C[C@@H]2C)o1 ZINC000334727176 385275267 /nfs/dbraw/zinc/27/52/67/385275267.db2.gz VZCIVJJMSDGLQG-CABZTGNLSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ncc(CN[C@H]2CC(C)(C)C[C@H]2C)o1 ZINC000334727178 385276367 /nfs/dbraw/zinc/27/63/67/385276367.db2.gz VZCIVJJMSDGLQG-SKDRFNHKSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1nocc1CNC1C[C@@H](C)C[C@H](C)C1 ZINC000336369968 385282583 /nfs/dbraw/zinc/28/25/83/385282583.db2.gz WKGGJLZFYSLKHV-UWVGGRQHSA-N 0 3 222.332 2.897 20 0 BFADHN CCc1ccc([C@@H]2CCCCCN2CCO)o1 ZINC000177795962 385283729 /nfs/dbraw/zinc/28/37/29/385283729.db2.gz MUJDCAMSVJYAQS-ZDUSSCGKSA-N 0 3 237.343 2.751 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](CC)CCO)o1 ZINC000166862323 385288022 /nfs/dbraw/zinc/28/80/22/385288022.db2.gz VURUXIKYPOELBL-NWDGAFQWSA-N 0 3 239.359 2.901 20 0 BFADHN COCc1ccc([C@H]2CCCN2C(C)C)o1 ZINC000130823595 385288274 /nfs/dbraw/zinc/28/82/74/385288274.db2.gz YZCQYDBKWNVHFD-GFCCVEGCSA-N 0 3 223.316 2.971 20 0 BFADHN COc1cc(F)c([C@@H](C)NC2CC2)cc1OC ZINC000359363270 385290698 /nfs/dbraw/zinc/29/06/98/385290698.db2.gz MCWRRAQUEPYUCU-MRVPVSSYSA-N 0 3 239.290 2.656 20 0 BFADHN CCc1ccc([C@@H]2C[C@@H](C)CCN2CCO)o1 ZINC000177843135 385292565 /nfs/dbraw/zinc/29/25/65/385292565.db2.gz ZXUDEERBQDEUTP-AAEUAGOBSA-N 0 3 237.343 2.607 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1cc(F)cc(F)c1 ZINC000191203900 385292725 /nfs/dbraw/zinc/29/27/25/385292725.db2.gz WHVGGYHPYPONGY-RKDXNWHRSA-N 0 3 229.270 2.650 20 0 BFADHN COCCC[C@H](C)N[C@H](C)c1nc(C)cs1 ZINC000178544515 385295677 /nfs/dbraw/zinc/29/56/77/385295677.db2.gz ADRCIKGCGSIVRY-GXSJLCMTSA-N 0 3 242.388 2.917 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C)c1ccncc1F ZINC000308931162 385301732 /nfs/dbraw/zinc/30/17/32/385301732.db2.gz FIVLPGGIRHUIMY-UTLUCORTSA-N 0 3 208.280 2.527 20 0 BFADHN COC[C@@H](C)N[C@H](c1ccccc1)[C@@H]1CCCO1 ZINC000178125561 385337910 /nfs/dbraw/zinc/33/79/10/385337910.db2.gz MOFMRZGYMZHDHP-VHDGCEQUSA-N 0 3 249.354 2.531 20 0 BFADHN CCN(CCC(C)=O)[C@@H](C)c1cccc(O)c1 ZINC000154600318 385338890 /nfs/dbraw/zinc/33/88/90/385338890.db2.gz IMHUFJCDCKCERD-LBPRGKRZSA-N 0 3 235.327 2.754 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@](C)(O)CC)o1 ZINC000154612688 385342225 /nfs/dbraw/zinc/34/22/25/385342225.db2.gz RGQKNTFVPWKKFX-GWCFXTLKSA-N 0 3 225.332 2.654 20 0 BFADHN CO[C@@H](CNC1(c2ccccc2F)CC1)C1CC1 ZINC000425458098 385343179 /nfs/dbraw/zinc/34/31/79/385343179.db2.gz LZYXZCXXEOYXKZ-AWEZNQCLSA-N 0 3 249.329 2.829 20 0 BFADHN CCCCN(C)C(=O)[C@@H](C)[C@@H](N)c1ccccc1 ZINC000131624751 385348722 /nfs/dbraw/zinc/34/87/22/385348722.db2.gz UJWWXDNKNFXWSO-GXTWGEPZSA-N 0 3 248.370 2.581 20 0 BFADHN CCCCN(C)C(=O)[C@@H](C)[C@H](N)c1ccccc1 ZINC000131624331 385350001 /nfs/dbraw/zinc/35/00/01/385350001.db2.gz UJWWXDNKNFXWSO-JSGCOSHPSA-N 0 3 248.370 2.581 20 0 BFADHN C[C@@H](CO)[C@H](C)N[C@@H](C)c1c(F)cccc1F ZINC000131765848 385360388 /nfs/dbraw/zinc/36/03/88/385360388.db2.gz LICNYSKSKUDYKM-GUBZILKMSA-N 0 3 243.297 2.632 20 0 BFADHN CCCCNCc1ccc(Br)o1 ZINC000019906945 385360664 /nfs/dbraw/zinc/36/06/64/385360664.db2.gz YPRDJLBHXGLYNG-UHFFFAOYSA-N 0 3 232.121 2.932 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccon1)[C@@H]1CC1(C)C ZINC000309001083 385363594 /nfs/dbraw/zinc/36/35/94/385363594.db2.gz OCGDRNNPPZRBCS-UTLUCORTSA-N 0 3 208.305 2.760 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CC1(C)C)c1ccon1 ZINC000309001082 385363633 /nfs/dbraw/zinc/36/36/33/385363633.db2.gz OCGDRNNPPZRBCS-LPEHRKFASA-N 0 3 208.305 2.760 20 0 BFADHN C[C@H](NC[C@H]1CCCC1(C)C)c1cnccn1 ZINC000294994798 385365363 /nfs/dbraw/zinc/36/53/63/385365363.db2.gz LQIYITIODXAREP-NWDGAFQWSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@H](NC[C@@H]1CCCC1(C)C)c1cnccn1 ZINC000294994803 385365556 /nfs/dbraw/zinc/36/55/56/385365556.db2.gz LQIYITIODXAREP-RYUDHWBXSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@H](N[C@H]1CCC(C)(C)C1)c1ccon1 ZINC000309022998 385367165 /nfs/dbraw/zinc/36/71/65/385367165.db2.gz YKCQLLCZPOVLAO-UWVGGRQHSA-N 0 3 208.305 2.904 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1cccc(F)c1 ZINC000131906843 385367607 /nfs/dbraw/zinc/36/76/07/385367607.db2.gz FKLXQMQOLFATLJ-OUAUKWLOSA-N 0 3 225.307 2.900 20 0 BFADHN C[C@H](NC1CC(F)(F)C1)c1ccncc1 ZINC000309013532 385368700 /nfs/dbraw/zinc/36/87/00/385368700.db2.gz SSBYLQQPCCTXMU-QMMMGPOBSA-N 0 3 212.243 2.530 20 0 BFADHN CC[C@H](CNCc1ccccc1Cl)OC ZINC000309015796 385370601 /nfs/dbraw/zinc/37/06/01/385370601.db2.gz ZIHUAGZQSZTSOF-LLVKDONJSA-N 0 3 227.735 2.855 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC(C)(C)C1 ZINC000309015429 385370778 /nfs/dbraw/zinc/37/07/78/385370778.db2.gz ZEXYRBOHBJZDDM-SECBINFHSA-N 0 3 225.361 2.515 20 0 BFADHN C[C@@H](NCCN1CC=CCC1)c1cccc(F)c1 ZINC000280875445 385379842 /nfs/dbraw/zinc/37/98/42/385379842.db2.gz DDIXFTPJVLYTDP-CYBMUJFWSA-N 0 3 248.345 2.738 20 0 BFADHN Cc1cc(CN(C)CC2(O)CCCC2)cs1 ZINC000295019984 385384682 /nfs/dbraw/zinc/38/46/82/385384682.db2.gz ZXGRMPIXFWXXEP-UHFFFAOYSA-N 0 3 239.384 2.793 20 0 BFADHN C[C@H](NCCN1CC=CCC1)c1ccsc1 ZINC000280991510 385386115 /nfs/dbraw/zinc/38/61/15/385386115.db2.gz MSXVJYLMGKPPJU-LBPRGKRZSA-N 0 3 236.384 2.661 20 0 BFADHN CC[C@H](N)C(=O)N(C)c1ccc(C(C)(C)C)cc1 ZINC000155292477 385386205 /nfs/dbraw/zinc/38/62/05/385386205.db2.gz PRTHKGGWEWWDRJ-ZDUSSCGKSA-N 0 3 248.370 2.684 20 0 BFADHN C[C@@H](NCc1ccsc1)[C@@]1(C)CCCO1 ZINC000309118671 385424040 /nfs/dbraw/zinc/42/40/40/385424040.db2.gz MPDFMXRQLGCZET-ZYHUDNBSSA-N 0 3 225.357 2.795 20 0 BFADHN Cc1sccc1CN[C@]1(C)CCO[C@H]1C ZINC000309118041 385424760 /nfs/dbraw/zinc/42/47/60/385424760.db2.gz RVBCDZXLSAGIEX-CMPLNLGQSA-N 0 3 225.357 2.714 20 0 BFADHN CCCc1csc(CN[C@H]2CC[C@@H]2C)n1 ZINC000309061739 385397482 /nfs/dbraw/zinc/39/74/82/385397482.db2.gz XBNYRJJLLYHXGJ-ONGXEEELSA-N 0 3 224.373 2.984 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CS[C@H](C)C2)s1 ZINC000309071318 385401915 /nfs/dbraw/zinc/40/19/15/385401915.db2.gz DJYOCFKQQCAOAN-GMSGAONNSA-N 0 3 242.413 2.744 20 0 BFADHN COC1(CNCc2ccc(F)c(C)c2)CCC1 ZINC000181079336 385401995 /nfs/dbraw/zinc/40/19/95/385401995.db2.gz PVVKZDNCJYIEFG-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CS[C@H](C)C2)s1 ZINC000309071320 385402000 /nfs/dbraw/zinc/40/20/00/385402000.db2.gz DJYOCFKQQCAOAN-XCBNKYQSSA-N 0 3 242.413 2.744 20 0 BFADHN CC(C)(C)[C@H](CO)NCc1ccsc1Cl ZINC000309071621 385402462 /nfs/dbraw/zinc/40/24/62/385402462.db2.gz DUTVMSYNXFOXRW-VIFPVBQESA-N 0 3 247.791 2.898 20 0 BFADHN CCc1ccc(CN[C@H]2CCCOCC2)o1 ZINC000181031664 385403178 /nfs/dbraw/zinc/40/31/78/385403178.db2.gz JOCLUEGUBFPEBX-NSHDSACASA-N 0 3 223.316 2.501 20 0 BFADHN CCC1(CC)CCN(Cc2ccc(CO)o2)C1 ZINC000169068841 385404803 /nfs/dbraw/zinc/40/48/03/385404803.db2.gz XGEJLESBFKEEQP-UHFFFAOYSA-N 0 3 237.343 2.784 20 0 BFADHN C[C@@H](NCc1ccco1)[C@H]1COc2ccccc21 ZINC000169086405 385405427 /nfs/dbraw/zinc/40/54/27/385405427.db2.gz FRJXSUQJOJLCJR-BXUZGUMPSA-N 0 3 243.306 2.934 20 0 BFADHN CCC[C@H](Cc1ccccc1)NCCF ZINC000281198736 385407632 /nfs/dbraw/zinc/40/76/32/385407632.db2.gz YAUZZQKHROKSHT-CYBMUJFWSA-N 0 3 209.308 2.957 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3cc(F)ccc32)[C@H](C)O1 ZINC000295056752 385408707 /nfs/dbraw/zinc/40/87/07/385408707.db2.gz BBUNLKGNFBRZRL-MMDVMMEASA-N 0 3 249.329 2.969 20 0 BFADHN CCSCCN[C@H](COC)c1ccccc1 ZINC000181701881 385409597 /nfs/dbraw/zinc/40/95/97/385409597.db2.gz ISGQEWQAFVMZJT-CYBMUJFWSA-N 0 3 239.384 2.717 20 0 BFADHN C[C@@H](N(C)Cc1ccc(F)cc1F)C(C)(C)O ZINC000295063731 385415144 /nfs/dbraw/zinc/41/51/44/385415144.db2.gz BZJVJWABKDFAIY-SECBINFHSA-N 0 3 243.297 2.556 20 0 BFADHN CC(=O)CCN1CCC[C@H]1c1cccc(F)c1 ZINC000178720946 385416093 /nfs/dbraw/zinc/41/60/93/385416093.db2.gz HGWOSJUGKBULKG-AWEZNQCLSA-N 0 3 235.302 2.942 20 0 BFADHN C[C@H](CCO)CCNCc1ccccc1Cl ZINC000295069185 385417812 /nfs/dbraw/zinc/41/78/12/385417812.db2.gz HFOQBVMNXFFSTI-NSHDSACASA-N 0 3 241.762 2.838 20 0 BFADHN Cc1ccc(CN2C[C@H](C)N(C)[C@@H](C)C2)c(C)c1 ZINC000354443764 385419781 /nfs/dbraw/zinc/41/97/81/385419781.db2.gz JYSRXICMAMQNER-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN CCN(CCN[C@H](C)c1ccc(C)o1)C1CC1 ZINC000155887875 385420012 /nfs/dbraw/zinc/42/00/12/385420012.db2.gz PFGGVZBHIPNUHQ-GFCCVEGCSA-N 0 3 236.359 2.723 20 0 BFADHN CCN1CCN(Cc2csc(C)c2)C[C@H]1C ZINC000648371360 385438296 /nfs/dbraw/zinc/43/82/96/385438296.db2.gz UHQIYWYAZJKQLV-LLVKDONJSA-N 0 3 238.400 2.583 20 0 BFADHN CS[C@H]1CCCCN(Cc2cc(C)no2)C1 ZINC000179112693 385440817 /nfs/dbraw/zinc/44/08/17/385440817.db2.gz KSPMNOXAKOIZIS-LBPRGKRZSA-N 0 3 240.372 2.701 20 0 BFADHN CC[C@H](NC[C@H](C)OC)c1ccccc1OC ZINC000182425857 385444100 /nfs/dbraw/zinc/44/41/00/385444100.db2.gz UUNRFOVRFBODJT-AAEUAGOBSA-N 0 3 237.343 2.771 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1cccc(F)c1F ZINC000182444875 385447672 /nfs/dbraw/zinc/44/76/72/385447672.db2.gz HTRXVWBBGNTRBD-IUCAKERBSA-N 0 3 229.270 2.650 20 0 BFADHN Cc1oncc1CN1CCC[C@H](C)CC1 ZINC000128380037 385448601 /nfs/dbraw/zinc/44/86/01/385448601.db2.gz JNUCMBLPJXJBFW-JTQLQIEISA-N 0 3 208.305 2.605 20 0 BFADHN CO[C@H]1CCCN([C@H](C)c2ccccc2F)C1 ZINC000170818305 385450099 /nfs/dbraw/zinc/45/00/99/385450099.db2.gz XSKLODRJFIOAEA-NEPJUHHUSA-N 0 3 237.318 2.998 20 0 BFADHN COC(=O)CN(CC1CCC(C)CC1)C(C)C ZINC000336718902 385456822 /nfs/dbraw/zinc/45/68/22/385456822.db2.gz RHYTYEUCWCUWIW-UHFFFAOYSA-N 0 3 241.375 2.696 20 0 BFADHN CCOc1ccccc1CN(C)C[C@@H](C)OC ZINC000182071691 385428741 /nfs/dbraw/zinc/42/87/41/385428741.db2.gz LRAYKWDFNSELNM-GFCCVEGCSA-N 0 3 237.343 2.552 20 0 BFADHN CN(Cc1cc(Cl)cs1)C1CC1 ZINC000194330860 385428840 /nfs/dbraw/zinc/42/88/40/385428840.db2.gz NQJJWVSOFWDZJX-UHFFFAOYSA-N 0 3 201.722 2.996 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@@H](C)CC[C@@H]2C)n1 ZINC000156054604 385432805 /nfs/dbraw/zinc/43/28/05/385432805.db2.gz CAJGHKOROIRFPM-JQWIXIFHSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@@H](C)CC[C@@H]2C)n1 ZINC000156054604 385432810 /nfs/dbraw/zinc/43/28/10/385432810.db2.gz CAJGHKOROIRFPM-JQWIXIFHSA-N 0 3 234.343 2.716 20 0 BFADHN COCc1cccc(OCCN2CCCCC2)c1 ZINC000194395904 385433143 /nfs/dbraw/zinc/43/31/43/385433143.db2.gz WXYRHJSBXHVXHX-UHFFFAOYSA-N 0 3 249.354 2.698 20 0 BFADHN c1coc(CN2CCC[C@H](C[C@H]3CCOC3)C2)c1 ZINC000367683334 385435700 /nfs/dbraw/zinc/43/57/00/385435700.db2.gz HXZYNUGZRFGBNK-ZIAGYGMSSA-N 0 3 249.354 2.918 20 0 BFADHN Cc1ccsc1CN1CCCO[C@@H](C)C1 ZINC000179397130 385465926 /nfs/dbraw/zinc/46/59/26/385465926.db2.gz FIXPFBBKVGRTGT-NSHDSACASA-N 0 3 225.357 2.667 20 0 BFADHN CC[C@@H](CNCc1cocn1)c1ccccc1 ZINC000179419484 385466085 /nfs/dbraw/zinc/46/60/85/385466085.db2.gz YMFKDGWJMLWDQZ-LBPRGKRZSA-N 0 3 230.311 2.958 20 0 BFADHN Cc1noc(C)c1CN(C)CC(C)(C)C ZINC000171082842 385467009 /nfs/dbraw/zinc/46/70/09/385467009.db2.gz OPCHIJDKZDSPLP-UHFFFAOYSA-N 0 3 210.321 2.769 20 0 BFADHN CC[C@@H](CNCc1cc(Cl)cs1)OC ZINC000289803750 385472609 /nfs/dbraw/zinc/47/26/09/385472609.db2.gz MJFKODIHQGPGSE-VIFPVBQESA-N 0 3 233.764 2.916 20 0 BFADHN CCCCC[C@@H](NC(=O)[C@@H](C)N)c1ccccc1 ZINC000156959841 385475717 /nfs/dbraw/zinc/47/57/17/385475717.db2.gz MKNPLPPNYBKIIP-TZMCWYRMSA-N 0 3 248.370 2.771 20 0 BFADHN CCC[C@H](N[C@H]1CCOC1)c1ccsc1 ZINC000309208379 385481789 /nfs/dbraw/zinc/48/17/89/385481789.db2.gz SKTRLVRNMCRMGO-RYUDHWBXSA-N 0 3 225.357 2.968 20 0 BFADHN CCC[C@@H](N[C@H]1CCOC1)c1ccsc1 ZINC000309208374 385482100 /nfs/dbraw/zinc/48/21/00/385482100.db2.gz SKTRLVRNMCRMGO-NWDGAFQWSA-N 0 3 225.357 2.968 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccc([S@](C)=O)cc1 ZINC000179325136 385459826 /nfs/dbraw/zinc/45/98/26/385459826.db2.gz QABYMEPDEXLJRV-BZNIZROVSA-N 0 3 239.384 2.654 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1cc(C)c(C)o1 ZINC000281946202 385460222 /nfs/dbraw/zinc/46/02/22/385460222.db2.gz BUMOSJHQQHRXMN-NHCYSSNCSA-N 0 3 225.332 2.970 20 0 BFADHN COC[C@@H](C)N(C)C/C=C/c1ccc(F)cc1 ZINC000179371115 385462319 /nfs/dbraw/zinc/46/23/19/385462319.db2.gz IGWVTOOOWZPROA-ZYOFXKKJSA-N 0 3 237.318 2.806 20 0 BFADHN COC[C@H](C)N(C)Cc1cccc(C)c1C ZINC000179369096 385462695 /nfs/dbraw/zinc/46/26/95/385462695.db2.gz CCZKBXAIPDXJMM-LBPRGKRZSA-N 0 3 221.344 2.770 20 0 BFADHN Cc1cnccc1CN1CC[C@@H](c2ccco2)C1 ZINC000648371721 385462793 /nfs/dbraw/zinc/46/27/93/385462793.db2.gz CCSBXJNJYIDRFI-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN c1cc2cccc(CNC[C@H]3CCCO3)c2o1 ZINC000449062396 385492355 /nfs/dbraw/zinc/49/23/55/385492355.db2.gz JDWHDVTTYBDJCG-CYBMUJFWSA-N 0 3 231.295 2.701 20 0 BFADHN CCOc1ccccc1CN[C@H]1CC[C@@H]1C ZINC000309231015 385492746 /nfs/dbraw/zinc/49/27/46/385492746.db2.gz TTZSVISQBFHSMI-AAEUAGOBSA-N 0 3 219.328 2.973 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(F)cc2F)[C@H](C)O1 ZINC000295220594 385500366 /nfs/dbraw/zinc/50/03/66/385500366.db2.gz QZXJSHCHEUERPR-ZDMBXUJBSA-N 0 3 241.281 2.620 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(F)cc2F)[C@@H](C)O1 ZINC000295220588 385500626 /nfs/dbraw/zinc/50/06/26/385500626.db2.gz QZXJSHCHEUERPR-KKFJDGPESA-N 0 3 241.281 2.620 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1nccn1C(C)C ZINC000183040884 385501227 /nfs/dbraw/zinc/50/12/27/385501227.db2.gz HYDBRCFQEDCTCO-RYUDHWBXSA-N 0 3 223.364 2.988 20 0 BFADHN CSC(C)(C)CN[C@@H](C)c1cc(C)n(C)n1 ZINC000282093382 385503859 /nfs/dbraw/zinc/50/38/59/385503859.db2.gz IHCWVPOAOHJBML-JTQLQIEISA-N 0 3 241.404 2.521 20 0 BFADHN CCc1cccc(NC(=O)[C@H](C(C)C)N(C)C)c1 ZINC000106408155 385507421 /nfs/dbraw/zinc/50/74/21/385507421.db2.gz ZCBFQKZNMIBVQU-AWEZNQCLSA-N 0 3 248.370 2.774 20 0 BFADHN C[C@H](NC1CC(C)(C)C1)c1ccccn1 ZINC000309227470 385490219 /nfs/dbraw/zinc/49/02/19/385490219.db2.gz WHITUFRXVLGEJC-JTQLQIEISA-N 0 3 204.317 2.921 20 0 BFADHN Cc1ccc(CN[C@@H]2CCO[C@@H](C3CC3)C2)o1 ZINC000157157718 385490312 /nfs/dbraw/zinc/49/03/12/385490312.db2.gz AVJOJPRJIITVBE-TZMCWYRMSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@H](C2CC2)C1)c1ccccn1 ZINC000157847709 385528885 /nfs/dbraw/zinc/52/88/85/385528885.db2.gz YSNSCKWUMNSVLH-LNSITVRQSA-N 0 3 246.354 2.690 20 0 BFADHN CC[C@H]1CCCCCN1Cc1cnc(C)cn1 ZINC000334310953 385533428 /nfs/dbraw/zinc/53/34/28/385533428.db2.gz PYJRSTULSIIUGC-AWEZNQCLSA-N 0 3 233.359 2.940 20 0 BFADHN COC[C@@H](C)N(C)c1ccnc2ccccc21 ZINC000171987631 385540010 /nfs/dbraw/zinc/54/00/10/385540010.db2.gz CAUQXEAEHIJHIX-LLVKDONJSA-N 0 3 230.311 2.706 20 0 BFADHN CC[C@H]1CCN(Cc2cccc3nccn32)C1 ZINC000180708483 385540293 /nfs/dbraw/zinc/54/02/93/385540293.db2.gz MFAMCEHURDCIRP-LBPRGKRZSA-N 0 3 229.327 2.566 20 0 BFADHN COCc1ccc(CN2C[C@H](C)C[C@H](C)C2)o1 ZINC000157988978 385540926 /nfs/dbraw/zinc/54/09/26/385540926.db2.gz FHUZUUAPBXEPKW-TXEJJXNPSA-N 0 3 237.343 2.904 20 0 BFADHN COCc1ccc(CN(C)[C@@H](C)C2CC2)o1 ZINC000158023759 385543019 /nfs/dbraw/zinc/54/30/19/385543019.db2.gz PEONTJMFVXYSMO-JTQLQIEISA-N 0 3 223.316 2.656 20 0 BFADHN Cc1cnc(CCN(C)Cc2ccncc2)s1 ZINC000157822012 385524729 /nfs/dbraw/zinc/52/47/29/385524729.db2.gz IRIQLVIHJXMMGG-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN Cc1nnsc1CN[C@@H](C)C1CCCCC1 ZINC000309307575 385525949 /nfs/dbraw/zinc/52/59/49/385525949.db2.gz WCWSJZAEQADZSS-VIFPVBQESA-N 0 3 239.388 2.905 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2CC2(C)C)cn1 ZINC000336734117 385576290 /nfs/dbraw/zinc/57/62/90/385576290.db2.gz HEDBVXAVBUVFEG-CMPLNLGQSA-N 0 3 221.348 2.913 20 0 BFADHN CC[C@H](CNCc1ccc2ncccc2c1)OC ZINC000289848755 385552019 /nfs/dbraw/zinc/55/20/19/385552019.db2.gz PKJDSMVTYQVTGC-CQSZACIVSA-N 0 3 244.338 2.749 20 0 BFADHN Cc1csc([C@@H](NCCCF)C2CC2)n1 ZINC000183552850 385552319 /nfs/dbraw/zinc/55/23/19/385552319.db2.gz GIUBAWVIUBHAPQ-JTQLQIEISA-N 0 3 228.336 2.852 20 0 BFADHN Cc1occc1CN(C)CCc1cccnc1 ZINC000172157035 385553936 /nfs/dbraw/zinc/55/39/36/385553936.db2.gz UEYBURZYJMDXPW-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN Fc1ccc(CN2CCC[C@@]23CCOC3)cc1 ZINC000367447071 385561942 /nfs/dbraw/zinc/56/19/42/385561942.db2.gz JAQIRTJRLPYFIO-AWEZNQCLSA-N 0 3 235.302 2.581 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccncc1)c1nccs1 ZINC000337316693 385595385 /nfs/dbraw/zinc/59/53/85/385595385.db2.gz KRLTZYDWQBTNRJ-WDEREUQCSA-N 0 3 247.367 2.820 20 0 BFADHN CCC[C@H](C)N1CCc2ccc(O)cc2C1 ZINC000337326465 385598198 /nfs/dbraw/zinc/59/81/98/385598198.db2.gz WDCVSPJLFAFLNX-NSHDSACASA-N 0 3 219.328 2.939 20 0 BFADHN C[C@@H](NCCc1nccs1)c1ccco1 ZINC000063133452 385599214 /nfs/dbraw/zinc/59/92/14/385599214.db2.gz SAUUGFZBFSCHQW-SECBINFHSA-N 0 3 222.313 2.629 20 0 BFADHN C[C@H](N[C@@H]1COc2ccc(F)cc21)C1CC1 ZINC000309428233 385582049 /nfs/dbraw/zinc/58/20/49/385582049.db2.gz BAKKNKPBIZYGMF-QPUJVOFHSA-N 0 3 221.275 2.647 20 0 BFADHN CS[C@@H]1CCC[C@H](NCc2ccccn2)C1 ZINC000117394301 385582550 /nfs/dbraw/zinc/58/25/50/385582550.db2.gz UGXFRWFTOZJSIX-WCQYABFASA-N 0 3 236.384 2.845 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1sc(C)nc1C ZINC000082589337 385586529 /nfs/dbraw/zinc/58/65/29/385586529.db2.gz NYSYXLPOMFJSCA-IUCAKERBSA-N 0 3 242.388 2.836 20 0 BFADHN Cn1nccc1[C@H]1CCCCN1CCC1CC1 ZINC000337295980 385589075 /nfs/dbraw/zinc/58/90/75/385589075.db2.gz NWBXWYBGNUYRBV-CQSZACIVSA-N 0 3 233.359 2.747 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@@H]2OC(F)F)o1 ZINC000337302056 385591430 /nfs/dbraw/zinc/59/14/30/385591430.db2.gz PWEGVQGGJFMAIU-MNOVXSKESA-N 0 3 245.269 2.838 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1cccc(F)c1F ZINC000181948245 385621593 /nfs/dbraw/zinc/62/15/93/385621593.db2.gz UXHWBFYTVIOZLD-ZJUUUORDSA-N 0 3 241.281 2.794 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2cnccc2C)C1(C)C ZINC000280971214 385621974 /nfs/dbraw/zinc/62/19/74/385621974.db2.gz TUIQKGHSNZZKAI-DZGCQCFKSA-N 0 3 248.370 2.683 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2cnccc2C)C1(C)C ZINC000280971220 385622594 /nfs/dbraw/zinc/62/25/94/385622594.db2.gz TUIQKGHSNZZKAI-ZFWWWQNUSA-N 0 3 248.370 2.683 20 0 BFADHN CC(C)(C)CNCc1cn2ccsc2n1 ZINC000066989760 385625201 /nfs/dbraw/zinc/62/52/01/385625201.db2.gz GQFQYQSNKMICKZ-UHFFFAOYSA-N 0 3 223.345 2.532 20 0 BFADHN CN(Cc1cccc(F)c1)[C@H](CO)C(C)(C)C ZINC000269704824 385627110 /nfs/dbraw/zinc/62/71/10/385627110.db2.gz ZLZBQVYVCGOVHZ-CYBMUJFWSA-N 0 3 239.334 2.665 20 0 BFADHN Cc1ccncc1CN1CCCC[C@@H]1C ZINC000269713379 385629548 /nfs/dbraw/zinc/62/95/48/385629548.db2.gz RYKVXBLDQJDLCK-LBPRGKRZSA-N 0 3 204.317 2.764 20 0 BFADHN CO[C@H](C)CN1CC=C(c2ccc(F)cc2)CC1 ZINC000182011267 385630730 /nfs/dbraw/zinc/63/07/30/385630730.db2.gz AURGHERTIRZDGF-GFCCVEGCSA-N 0 3 249.329 2.950 20 0 BFADHN C[C@H](NCc1cocn1)[C@@H](C)c1ccccc1 ZINC000182063176 385632109 /nfs/dbraw/zinc/63/21/09/385632109.db2.gz IIRHZSXMWOTGCQ-NEPJUHHUSA-N 0 3 230.311 2.956 20 0 BFADHN CO[C@@H](C)CN1CCC[C@@H]1c1cccc(F)c1 ZINC000182076541 385632350 /nfs/dbraw/zinc/63/23/50/385632350.db2.gz HOTZHEGCIULERM-SMDDNHRTSA-N 0 3 237.318 2.998 20 0 BFADHN CC[C@@H](CNCc1n[nH]cc1C)CC(F)(F)F ZINC000414513923 385633537 /nfs/dbraw/zinc/63/35/37/385633537.db2.gz HHNGKYUKZRQICJ-SECBINFHSA-N 0 3 249.280 2.786 20 0 BFADHN CC(C)(C)CCNCc1ncnn1C(C)(C)C ZINC000337393883 385634984 /nfs/dbraw/zinc/63/49/84/385634984.db2.gz IILUPPOLUYNLSN-UHFFFAOYSA-N 0 3 238.379 2.559 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc(C)s1 ZINC000182124150 385635223 /nfs/dbraw/zinc/63/52/23/385635223.db2.gz WBBCHANVLFOTAI-SECBINFHSA-N 0 3 213.346 2.523 20 0 BFADHN CC[C@H](N[C@H](C)COC(C)C)c1ccncc1 ZINC000337340720 385610673 /nfs/dbraw/zinc/61/06/73/385610673.db2.gz PPKDGSMYPYIPOG-OCCSQVGLSA-N 0 3 236.359 2.936 20 0 BFADHN FC1(F)CCC[C@@H](NCc2cccnc2)C1 ZINC000309486245 385613560 /nfs/dbraw/zinc/61/35/60/385613560.db2.gz GMZZAUROQHPODW-LLVKDONJSA-N 0 3 226.270 2.749 20 0 BFADHN Cc1nocc1CN[C@H]1CC[C@H](C)CC1 ZINC000293542515 385637979 /nfs/dbraw/zinc/63/79/79/385637979.db2.gz KJTNBFOLPRWHFO-OTCDBFHCSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1cnccn1 ZINC000054766076 385639960 /nfs/dbraw/zinc/63/99/60/385639960.db2.gz XNRLQHLOTNUSDJ-AAEUAGOBSA-N 0 3 219.332 2.535 20 0 BFADHN CC[C@H](NCCc1ccccc1)c1nccn1C ZINC000182197606 385642006 /nfs/dbraw/zinc/64/20/06/385642006.db2.gz VMZTYYJMUFHFHW-AWEZNQCLSA-N 0 3 243.354 2.704 20 0 BFADHN CCOc1ncccc1CN[C@@H]1CCC12CCC2 ZINC000337411014 385643548 /nfs/dbraw/zinc/64/35/48/385643548.db2.gz VXNIZQOKFCCOIC-CYBMUJFWSA-N 0 3 246.354 2.903 20 0 BFADHN CO[C@H](C)CN1C[C@@H](C)[C@H]1c1ccccc1 ZINC000182214518 385644616 /nfs/dbraw/zinc/64/46/16/385644616.db2.gz NAYIBASYPUYWCX-BZPMIXESSA-N 0 3 219.328 2.714 20 0 BFADHN C[C@@H]1C[C@@H](CNCc2ccc(Cl)o2)[C@@H](C)O1 ZINC000414517780 385645234 /nfs/dbraw/zinc/64/52/34/385645234.db2.gz RHVYMKFWOGZXGP-BBBLOLIVSA-N 0 3 243.734 2.836 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ncnn1C(C)(C)C ZINC000337413707 385645584 /nfs/dbraw/zinc/64/55/84/385645584.db2.gz RZOOGGHPIJZRJN-MNOVXSKESA-N 0 3 238.379 2.557 20 0 BFADHN CC(C)[C@@H](CO)N[C@@H]1CCCOc2ccccc21 ZINC000182228028 385646898 /nfs/dbraw/zinc/64/68/98/385646898.db2.gz AGGYTMJAHNECIO-ZIAGYGMSSA-N 0 3 249.354 2.507 20 0 BFADHN CC(C)[C@@H](CO)N[C@H]1CCCOc2ccccc21 ZINC000182228046 385647719 /nfs/dbraw/zinc/64/77/19/385647719.db2.gz AGGYTMJAHNECIO-UONOGXRCSA-N 0 3 249.354 2.507 20 0 BFADHN C[C@H](NC1(c2ccccc2F)CC1)[C@H]1CCOC1 ZINC000182238877 385649854 /nfs/dbraw/zinc/64/98/54/385649854.db2.gz RFJLDKKSZBCFNR-RYUDHWBXSA-N 0 3 249.329 2.829 20 0 BFADHN CC[C@H]1CN(Cc2ccc(OC)cc2)CCCO1 ZINC000281265720 385650421 /nfs/dbraw/zinc/65/04/21/385650421.db2.gz NLBJZTJANLBJLJ-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@H]1CN(CCOc2ccccc2)CCCO1 ZINC000281406662 385665725 /nfs/dbraw/zinc/66/57/25/385665725.db2.gz NWORBDMGYMGRHW-AWEZNQCLSA-N 0 3 249.354 2.566 20 0 BFADHN COC(=O)c1ccc([C@@H](C)N2CCC[C@H]2C)o1 ZINC000252541761 385653697 /nfs/dbraw/zinc/65/36/97/385653697.db2.gz FETAXRAFGZCEAR-NXEZZACHSA-N 0 3 237.299 2.612 20 0 BFADHN CC[C@H](O)CCNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000252712000 385656038 /nfs/dbraw/zinc/65/60/38/385656038.db2.gz LFCBNJIAHWSNKS-NTZNESFSSA-N 0 3 237.343 2.654 20 0 BFADHN CO[C@H](C)CNC(C)(C)c1ccc(F)c(F)c1 ZINC000182440509 385672398 /nfs/dbraw/zinc/67/23/98/385672398.db2.gz FYCWPCRWKZCJDA-SECBINFHSA-N 0 3 243.297 2.824 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H]1CCOc2c(C)cccc21 ZINC000365057339 385673822 /nfs/dbraw/zinc/67/38/22/385673822.db2.gz ZDPWUPLTRCEMRD-MBNYWOFBSA-N 0 3 249.354 2.832 20 0 BFADHN COC[C@H](C)N1CCc2cc(F)cc(C)c2C1 ZINC000337467349 385674973 /nfs/dbraw/zinc/67/49/73/385674973.db2.gz SXEYEWDRFCTOAS-NSHDSACASA-N 0 3 237.318 2.527 20 0 BFADHN CCC[C@@H](C)CN1CC(CO)(c2ccccc2)C1 ZINC000414531906 385682537 /nfs/dbraw/zinc/68/25/37/385682537.db2.gz AFIGHUCTDSDSRM-CQSZACIVSA-N 0 3 247.382 2.669 20 0 BFADHN COC1([C@@H](C)NCc2occc2C)CCC1 ZINC000414529687 385685393 /nfs/dbraw/zinc/68/53/93/385685393.db2.gz WEKFNMMWEWSTAY-LLVKDONJSA-N 0 3 223.316 2.635 20 0 BFADHN C[C@H](N[C@@H]1CSC[C@H]1C)c1cncs1 ZINC000307428671 385688094 /nfs/dbraw/zinc/68/80/94/385688094.db2.gz ALFZSHZSODNARC-HRDYMLBCSA-N 0 3 228.386 2.545 20 0 BFADHN CC[C@@H](NC[C@@H]1CC=CCC1)c1nccn1C ZINC000182630177 385689817 /nfs/dbraw/zinc/68/98/17/385689817.db2.gz VXRYSUXKLGUURB-CHWSQXEVSA-N 0 3 233.359 2.817 20 0 BFADHN CC(C)[C@@H](O)CNCc1ccsc1Cl ZINC000336685767 385693678 /nfs/dbraw/zinc/69/36/78/385693678.db2.gz LAEQBQSKFIGJMP-VIFPVBQESA-N 0 3 233.764 2.508 20 0 BFADHN C[C@@H]1CN([C@@H]2CCc3ccccc32)C[C@H](C)N1C ZINC000645231310 385694530 /nfs/dbraw/zinc/69/45/30/385694530.db2.gz XGZSWHQGTPOWOJ-DVOMOZLQSA-N 0 3 244.382 2.698 20 0 BFADHN C[C@H](NC[C@H]1CCCS1)c1ccncc1F ZINC000309557248 385694765 /nfs/dbraw/zinc/69/47/65/385694765.db2.gz KARIVMVYHBVNJH-VHSXEESVSA-N 0 3 240.347 2.767 20 0 BFADHN COc1cc(C)nc(CN(C)[C@H](C)C(C)C)c1 ZINC000270353018 385700775 /nfs/dbraw/zinc/70/07/75/385700775.db2.gz WRVLDROTOPTYCZ-GFCCVEGCSA-N 0 3 236.359 2.875 20 0 BFADHN CC[C@H](NCc1ccccc1F)[C@@H](O)C(C)C ZINC000414537736 385701769 /nfs/dbraw/zinc/70/17/69/385701769.db2.gz ZLRVBANEOAGZGA-KBPBESRZSA-N 0 3 239.334 2.711 20 0 BFADHN C[C@@H](N[C@@H]1CSC1(C)C)c1cccc(O)c1 ZINC000283492400 385702595 /nfs/dbraw/zinc/70/25/95/385702595.db2.gz YECHNMMJHDJAMP-BXKDBHETSA-N 0 3 237.368 2.937 20 0 BFADHN CC(C)(C)C1=CCN(CCn2cccn2)CC1 ZINC000270386281 385703844 /nfs/dbraw/zinc/70/38/44/385703844.db2.gz RESNBDGYCNZFCV-UHFFFAOYSA-N 0 3 233.359 2.561 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CC[C@@H](F)C2)c1 ZINC000309568274 385704683 /nfs/dbraw/zinc/70/46/83/385704683.db2.gz MWRYCPWLMNCAQD-TUAOUCFPSA-N 0 3 222.307 2.931 20 0 BFADHN CCc1cccnc1NC(=O)C(C)C(F)(F)F ZINC000337569327 385706703 /nfs/dbraw/zinc/70/67/03/385706703.db2.gz AWHUGESYONGXPU-SSDOTTSWSA-N 0 3 246.232 2.781 20 0 BFADHN COCCCN1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451834676 385707698 /nfs/dbraw/zinc/70/76/98/385707698.db2.gz JBVBWYPYLZAXHH-ZDUSSCGKSA-N 0 3 235.327 2.843 20 0 BFADHN CCCCCCN[C@@H](CCO)c1ccco1 ZINC000185069811 385718493 /nfs/dbraw/zinc/71/84/93/385718493.db2.gz RWBLMVLZTWOTMH-LBPRGKRZSA-N 0 3 225.332 2.873 20 0 BFADHN CC[C@@H]1CN(Cc2cccc(Cl)c2)C[C@H]1O ZINC000270487155 385712591 /nfs/dbraw/zinc/71/25/91/385712591.db2.gz AJFJAJSMNGAKQA-DGCLKSJQSA-N 0 3 239.746 2.543 20 0 BFADHN CC[C@@H](C)[C@@H](C)N[C@@H](CC)c1ccn(C)n1 ZINC000336734336 385666811 /nfs/dbraw/zinc/66/68/11/385666811.db2.gz KURXZRBUTAVCDA-UTUOFQBUSA-N 0 3 223.364 2.895 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC000182423849 385669223 /nfs/dbraw/zinc/66/92/23/385669223.db2.gz FJWSJACLVFIKAL-RKDXNWHRSA-N 0 3 229.270 2.650 20 0 BFADHN CC1(C)OCC[C@H]1NCc1ccccc1Cl ZINC000293598595 385671260 /nfs/dbraw/zinc/67/12/60/385671260.db2.gz FWEHKEDCDSDIPE-GFCCVEGCSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@@](O)(CNCc1cc(Cl)cs1)C1CC1 ZINC000336684286 385671573 /nfs/dbraw/zinc/67/15/73/385671573.db2.gz KIIZYDHYZXXQML-LLVKDONJSA-N 0 3 245.775 2.652 20 0 BFADHN CCSc1cccc(CNC[C@@H](O)CC)c1 ZINC000291806039 385728949 /nfs/dbraw/zinc/72/89/49/385728949.db2.gz XPSXIAOYXSZFKE-LBPRGKRZSA-N 0 3 239.384 2.659 20 0 BFADHN CC/C=C/CCN[C@@H](COC)c1ccco1 ZINC000185435069 385730321 /nfs/dbraw/zinc/73/03/21/385730321.db2.gz QURLZSKFRIPNHX-ITKZLYELSA-N 0 3 223.316 2.913 20 0 BFADHN C[C@@H](O)CN1CCC(=Cc2ccccc2F)CC1 ZINC000282092758 385732468 /nfs/dbraw/zinc/73/24/68/385732468.db2.gz VIIGXFBDLCDXJT-GFCCVEGCSA-N 0 3 249.329 2.686 20 0 BFADHN CO[C@H]1CCCN(Cc2cc(C)c(O)c(C)c2)C1 ZINC000230361568 385733266 /nfs/dbraw/zinc/73/32/66/385733266.db2.gz GNRMVKKAWFUZTH-AWEZNQCLSA-N 0 3 249.354 2.620 20 0 BFADHN COc1ncccc1CN[C@@H]1CCC[C@@H]2C[C@@]21C ZINC000282115573 385734721 /nfs/dbraw/zinc/73/47/21/385734721.db2.gz JFNUDVFCBMADPC-NFAWXSAZSA-N 0 3 246.354 2.759 20 0 BFADHN COC[C@H](C)CNCc1ccsc1Cl ZINC000336654940 385737664 /nfs/dbraw/zinc/73/76/64/385737664.db2.gz NIVWAQZQQCCXLB-MRVPVSSYSA-N 0 3 233.764 2.774 20 0 BFADHN C[C@@H](C[C@@H]1CCCO1)NCc1ccc(Cl)o1 ZINC000336736365 385738486 /nfs/dbraw/zinc/73/84/86/385738486.db2.gz ITWOEEGVGXSWER-UWVGGRQHSA-N 0 3 243.734 2.980 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CCCC[C@@H]2C)[n-]1 ZINC000408374837 385739844 /nfs/dbraw/zinc/73/98/44/385739844.db2.gz NBWJWRYLRVWRGA-QWRGUYRKSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CCCC[C@@H]2C)[nH]1 ZINC000408374837 385739848 /nfs/dbraw/zinc/73/98/48/385739848.db2.gz NBWJWRYLRVWRGA-QWRGUYRKSA-N 0 3 236.363 2.596 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCSC2)nc1 ZINC000309614923 385744253 /nfs/dbraw/zinc/74/42/53/385744253.db2.gz BKGMXWRXLHNPHG-LBPRGKRZSA-N 0 3 236.384 2.623 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCSC2)nc1 ZINC000309614922 385744974 /nfs/dbraw/zinc/74/49/74/385744974.db2.gz BKGMXWRXLHNPHG-GFCCVEGCSA-N 0 3 236.384 2.623 20 0 BFADHN CC[C@H](CNCc1ccc(C)c(F)c1)OC ZINC000289962328 385746432 /nfs/dbraw/zinc/74/64/32/385746432.db2.gz YGWJMRBSSLKMQX-GFCCVEGCSA-N 0 3 225.307 2.649 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1sccc1OC ZINC000186197393 385746545 /nfs/dbraw/zinc/74/65/45/385746545.db2.gz ISASPMQXTFLRFV-UWVGGRQHSA-N 0 3 243.372 2.568 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1cccc(F)c1F ZINC000183283772 385749085 /nfs/dbraw/zinc/74/90/85/385749085.db2.gz AKCUZKHDZQSVMK-UWVGGRQHSA-N 0 3 243.297 2.776 20 0 BFADHN COc1ncccc1CN[C@H](C)CCC1CC1 ZINC000186236918 385749449 /nfs/dbraw/zinc/74/94/49/385749449.db2.gz WLPKMAHSPRZNME-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1nocc1CN(C)C1CCC(F)(F)CC1 ZINC000294010814 385786563 /nfs/dbraw/zinc/78/65/63/385786563.db2.gz UGEQGJZBGAXEOX-UHFFFAOYSA-N 0 3 244.285 2.993 20 0 BFADHN CCC[C@](C)(O)CN[C@@H](C)c1cccc(O)c1 ZINC000230684313 385750168 /nfs/dbraw/zinc/75/01/68/385750168.db2.gz VTGTYUQMOXSZJF-FZMZJTMJSA-N 0 3 237.343 2.594 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1sccc1OC ZINC000186163584 385750432 /nfs/dbraw/zinc/75/04/32/385750432.db2.gz REGULFSVLMNHDI-UWVGGRQHSA-N 0 3 243.372 2.568 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1sccc1OC ZINC000186173189 385751873 /nfs/dbraw/zinc/75/18/73/385751873.db2.gz YYZYEXSTCNNENK-ZJUUUORDSA-N 0 3 243.372 2.832 20 0 BFADHN Fc1cc(CN[C@@H]2CCSC2)cc(F)c1F ZINC000230705009 385751890 /nfs/dbraw/zinc/75/18/90/385751890.db2.gz WKTHMKQQFUWZSV-MRVPVSSYSA-N 0 3 247.285 2.699 20 0 BFADHN C[C@@](O)(CNCc1sccc1Cl)C1CC1 ZINC000336698553 385752200 /nfs/dbraw/zinc/75/22/00/385752200.db2.gz OPBZIXQPHYCQNH-LLVKDONJSA-N 0 3 245.775 2.652 20 0 BFADHN C[C@@H](N[C@H](CO)CC1CCC1)c1cccc(O)c1 ZINC000282378669 385755295 /nfs/dbraw/zinc/75/52/95/385755295.db2.gz QPZAMUXVUCQCOD-RISCZKNCSA-N 0 3 249.354 2.594 20 0 BFADHN C[C@@H]1[C@H](c2nc(C3CCCC3)no2)CCN1C ZINC000647685103 385755706 /nfs/dbraw/zinc/75/57/06/385755706.db2.gz YQFCGJZAHJBUAV-MWLCHTKSSA-N 0 3 235.331 2.535 20 0 BFADHN COC[C@@H](NCCCF)c1ccc(F)c(F)c1 ZINC000183350090 385756684 /nfs/dbraw/zinc/75/66/84/385756684.db2.gz RKVXDDSZHXBRAT-GFCCVEGCSA-N 0 3 247.260 2.602 20 0 BFADHN CCC[C@H](CN1CCN(C)CC1)c1ccccc1 ZINC000183369313 385757142 /nfs/dbraw/zinc/75/71/42/385757142.db2.gz XYWAASADNTVMQA-MRXNPFEDSA-N 0 3 246.398 2.818 20 0 BFADHN COC[C@@H](C)NCc1ccc(SC)s1 ZINC000336655464 385758128 /nfs/dbraw/zinc/75/81/28/385758128.db2.gz NWFYSQXKZMYMPX-MRVPVSSYSA-N 0 3 231.386 2.595 20 0 BFADHN COc1cc(CN[C@@H](C)[C@H]2CCCO2)ccc1C ZINC000183493966 385765406 /nfs/dbraw/zinc/76/54/06/385765406.db2.gz RYSOAQMDYHVLTE-GXTWGEPZSA-N 0 3 249.354 2.661 20 0 BFADHN CCN(CC)Cc1cnc2ccc(Cl)cn12 ZINC000270979995 385767997 /nfs/dbraw/zinc/76/79/97/385767997.db2.gz CRJXNORGPZVGDJ-UHFFFAOYSA-N 0 3 237.734 2.830 20 0 BFADHN C[C@H](CNCc1ccccc1)C(F)(F)F ZINC000307686374 385768571 /nfs/dbraw/zinc/76/85/71/385768571.db2.gz CZOBYPHTFOMHNH-SECBINFHSA-N 0 3 217.234 2.975 20 0 BFADHN C[C@H](N[C@@H](C)c1ccccn1)c1cc[nH]c(=O)c1 ZINC000340448531 385777366 /nfs/dbraw/zinc/77/73/66/385777366.db2.gz MLRIMJKPDSFMBK-QWRGUYRKSA-N 0 3 243.310 2.594 20 0 BFADHN C[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1cncs1 ZINC000340446697 385779499 /nfs/dbraw/zinc/77/94/99/385779499.db2.gz AJWYGJXJPLKBJW-IUCAKERBSA-N 0 3 249.339 2.656 20 0 BFADHN CN(Cc1cnc2ccccc2c1)C1CC1 ZINC000271064449 385781581 /nfs/dbraw/zinc/78/15/81/385781581.db2.gz IKYOTIPUVNHDIG-UHFFFAOYSA-N 0 3 212.296 2.829 20 0 BFADHN C1=CC[C@@H](CNCc2nnc(C3CC3)s2)CC1 ZINC000414560038 385783846 /nfs/dbraw/zinc/78/38/46/385783846.db2.gz VZVZAXGSAWWXRY-SNVBAGLBSA-N 0 3 249.383 2.861 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1ccc(F)cc1 ZINC000336735768 385719567 /nfs/dbraw/zinc/71/95/67/385719567.db2.gz OMYFSKMOHJDBJB-VXGBXAGGSA-N 0 3 225.332 2.809 20 0 BFADHN CO[C@H](C)CNC1(c2ccc(Cl)cc2)CC1 ZINC000309590582 385720397 /nfs/dbraw/zinc/72/03/97/385720397.db2.gz UQBRBIPETFMKNE-SNVBAGLBSA-N 0 3 239.746 2.954 20 0 BFADHN C[C@@H](F)CCN[C@@H](CO)c1ccc(Cl)cc1 ZINC000309597009 385725081 /nfs/dbraw/zinc/72/50/81/385725081.db2.gz WLBBZUKLFYPZRS-SKDRFNHKSA-N 0 3 245.725 2.711 20 0 BFADHN C[C@H](N[C@H]1CC[C@H](F)C1)c1cncc(F)c1 ZINC000309596435 385725115 /nfs/dbraw/zinc/72/51/15/385725115.db2.gz WHCGGGSCPXVWFL-PEXQALLHSA-N 0 3 226.270 2.762 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](F)C1)c1cncc(F)c1 ZINC000309596436 385725689 /nfs/dbraw/zinc/72/56/89/385725689.db2.gz WHCGGGSCPXVWFL-PTOFAABTSA-N 0 3 226.270 2.762 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1ccsc1 ZINC000308198263 385726130 /nfs/dbraw/zinc/72/61/30/385726130.db2.gz VKQMWSORUDQIMW-VHSXEESVSA-N 0 3 213.371 2.732 20 0 BFADHN Fc1ccc2c(c1)CN(CCC[C@H]1CCO1)CC2 ZINC000638077353 385797933 /nfs/dbraw/zinc/79/79/33/385797933.db2.gz ROXURUWZURJNPC-HNNXBMFYSA-N 0 3 249.329 2.753 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1conc1C ZINC000294071609 385800171 /nfs/dbraw/zinc/80/01/71/385800171.db2.gz YLXNNKPGKUMZEF-CABZTGNLSA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@@H](CNCc1ccc(Cl)cc1F)OC ZINC000289969876 385801734 /nfs/dbraw/zinc/80/17/34/385801734.db2.gz YVVKNJKBIYZHJX-NSHDSACASA-N 0 3 245.725 2.994 20 0 BFADHN Cc1ccsc1CN1CCC[C@@H]1CF ZINC000294093027 385802082 /nfs/dbraw/zinc/80/20/82/385802082.db2.gz RMNZDXAYMKRVKI-SNVBAGLBSA-N 0 3 213.321 2.990 20 0 BFADHN COC[C@@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC000271197029 385802125 /nfs/dbraw/zinc/80/21/25/385802125.db2.gz RODWWRFLKRCWOG-CYBMUJFWSA-N 0 3 237.318 2.602 20 0 BFADHN C[C@@H](NCc1cnns1)C1CCCCC1 ZINC000336712229 385803631 /nfs/dbraw/zinc/80/36/31/385803631.db2.gz UVXXSFOGXYJWGA-SECBINFHSA-N 0 3 225.361 2.597 20 0 BFADHN C[C@@H]1C[C@H](NCc2cccc3c2OCO3)[C@H]1C ZINC000336713940 385809875 /nfs/dbraw/zinc/80/98/75/385809875.db2.gz OOKMTWPNZXAAHX-SCVCMEIPSA-N 0 3 233.311 2.549 20 0 BFADHN Cc1ncc(CNC[C@H](C)c2ccccc2)o1 ZINC000397924894 385817549 /nfs/dbraw/zinc/81/75/49/385817549.db2.gz BECRFLDFMNIEMU-NSHDSACASA-N 0 3 230.311 2.876 20 0 BFADHN COC[C@H](NC1CC(C)C1)c1ccccc1 ZINC000231788957 385820078 /nfs/dbraw/zinc/82/00/78/385820078.db2.gz PFNUWCYBFWRQPS-UBHUBRDASA-N 0 3 219.328 2.762 20 0 BFADHN COC[C@@H](NC1CC(C)C1)c1ccccc1 ZINC000231788955 385820118 /nfs/dbraw/zinc/82/01/18/385820118.db2.gz PFNUWCYBFWRQPS-UXUKBGGZSA-N 0 3 219.328 2.762 20 0 BFADHN CC[C@H](c1ccncc1)N(C)C[C@@H]1CCCO1 ZINC000271392376 385824323 /nfs/dbraw/zinc/82/43/23/385824323.db2.gz MCSIDOJZAIVOJL-UONOGXRCSA-N 0 3 234.343 2.644 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)Cn2cccn2)o1 ZINC000271435805 385828752 /nfs/dbraw/zinc/82/87/52/385828752.db2.gz FMDOFFUOVNYRGW-RYUDHWBXSA-N 0 3 247.342 2.778 20 0 BFADHN Cc1sccc1CN(C)[C@@H](CO)C(C)(C)C ZINC000294263770 385828799 /nfs/dbraw/zinc/82/87/99/385828799.db2.gz OAQKDJIRPGAOFX-LBPRGKRZSA-N 0 3 241.400 2.895 20 0 BFADHN COC[C@@H](NCC(C)C)c1ccc(C)o1 ZINC000121201946 385829696 /nfs/dbraw/zinc/82/96/96/385829696.db2.gz CPLFYVWAQFWJGY-LLVKDONJSA-N 0 3 211.305 2.521 20 0 BFADHN Cc1ccc([C@H](C)NCCCc2nc(C)no2)o1 ZINC000271462387 385829921 /nfs/dbraw/zinc/82/99/21/385829921.db2.gz KEVPVMXOQZEUSP-JTQLQIEISA-N 0 3 249.314 2.563 20 0 BFADHN CCc1cccc2c1OCC[C@H]2N[C@H](C)COC ZINC000271548559 385836538 /nfs/dbraw/zinc/83/65/38/385836538.db2.gz ZNCKRKODVBBJPJ-BXUZGUMPSA-N 0 3 249.354 2.697 20 0 BFADHN CCC[C@](C)(O)CN[C@@H](C)c1cnccc1C ZINC000271553646 385837170 /nfs/dbraw/zinc/83/71/70/385837170.db2.gz MWGMZHRQSNGNHT-JSGCOSHPSA-N 0 3 236.359 2.592 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1cnccc1C ZINC000271561750 385838252 /nfs/dbraw/zinc/83/82/52/385838252.db2.gz ODTJEPDWMAYVHR-NWANDNLSSA-N 0 3 248.370 2.998 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1cnccc1C ZINC000271561748 385838496 /nfs/dbraw/zinc/83/84/96/385838496.db2.gz ODTJEPDWMAYVHR-AEGPPILISA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cccc(OC)n1 ZINC000271584624 385839778 /nfs/dbraw/zinc/83/97/78/385839778.db2.gz SKCXGDHADSYHAP-AAEUAGOBSA-N 0 3 234.343 2.853 20 0 BFADHN CC(C)CCC[C@@H](C)NCc1ccn(C)n1 ZINC000112337335 385839940 /nfs/dbraw/zinc/83/99/40/385839940.db2.gz NCQPGAWYTSMNHJ-GFCCVEGCSA-N 0 3 223.364 2.725 20 0 BFADHN COc1cc(C)ccc1CNC1CC(C)C1 ZINC000336717880 385841163 /nfs/dbraw/zinc/84/11/63/385841163.db2.gz XBSDZVFUPMDTRB-UHFFFAOYSA-N 0 3 219.328 2.892 20 0 BFADHN c1cncc(CNCc2ccc3ncccc3c2)c1 ZINC000021013509 385842315 /nfs/dbraw/zinc/84/23/15/385842315.db2.gz VUFQLRWJIYTOTM-UHFFFAOYSA-N 0 3 249.317 2.920 20 0 BFADHN CC[C@H](NCCN(C)C)c1cc(F)ccc1F ZINC000271701644 385845877 /nfs/dbraw/zinc/84/58/77/385845877.db2.gz HNLRCOMCQRCPOP-ZDUSSCGKSA-N 0 3 242.313 2.567 20 0 BFADHN C[C@@H](F)CCN[C@H](C)c1nc2ccccc2n1C ZINC000340462026 385848493 /nfs/dbraw/zinc/84/84/93/385848493.db2.gz TYGOAYGJOISIQS-GHMZBOCLSA-N 0 3 249.333 2.972 20 0 BFADHN CCN(C[C@H](OC)c1ccccc1)C1CC1 ZINC000284103787 385851900 /nfs/dbraw/zinc/85/19/00/385851900.db2.gz TXHSYELEROHOSE-AWEZNQCLSA-N 0 3 219.328 2.858 20 0 BFADHN COC(=O)C(C)(C)N(C)CC1CCCCCC1 ZINC000513145880 533146839 /nfs/dbraw/zinc/14/68/39/533146839.db2.gz ZKHDGMNXRLXEEQ-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCCC2(O)CCC2)o1 ZINC000283822223 385794621 /nfs/dbraw/zinc/79/46/21/385794621.db2.gz CZAXBAYTBAFHAX-YPMHNXCESA-N 0 3 249.354 2.798 20 0 BFADHN CC(C)[C@H](O)C1(CN[C@@H](C)c2ccccn2)CC1 ZINC000271880917 385856284 /nfs/dbraw/zinc/85/62/84/385856284.db2.gz PIBJANWAGBDVQX-JSGCOSHPSA-N 0 3 248.370 2.529 20 0 BFADHN CCSCCCN[C@@H](C)c1cnn(CC)c1 ZINC000282915939 385797403 /nfs/dbraw/zinc/79/74/03/385797403.db2.gz XPXNKFXPCKWUBZ-NSHDSACASA-N 0 3 241.404 2.697 20 0 BFADHN CO[C@@H]1CCN(Cc2cnccc2C)CC1(C)C ZINC000294692767 385883819 /nfs/dbraw/zinc/88/38/19/385883819.db2.gz ATMBSXLOEKNFAV-CQSZACIVSA-N 0 3 248.370 2.637 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H]2c2ccco2)cc1 ZINC000414594169 385886445 /nfs/dbraw/zinc/88/64/45/385886445.db2.gz RNPUBNWZEIFBJB-ZIAGYGMSSA-N 0 3 243.306 2.934 20 0 BFADHN CN(Cc1cc(Cl)cs1)CC(C)(C)CO ZINC000294718076 385887873 /nfs/dbraw/zinc/88/78/73/385887873.db2.gz FDJBCNHXDRBNRE-UHFFFAOYSA-N 0 3 247.791 2.852 20 0 BFADHN Cc1nc(CCNCc2ccccc2F)c(C)o1 ZINC000340194039 385888466 /nfs/dbraw/zinc/88/84/66/385888466.db2.gz GTHSOQRLYVQVCL-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN Cc1cc(CN2CCCO[C@H](C)C2)cs1 ZINC000294746081 385890924 /nfs/dbraw/zinc/89/09/24/385890924.db2.gz HVFRLDXCXLYKBL-SNVBAGLBSA-N 0 3 225.357 2.667 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1cc(C)oc1C ZINC000217737063 385891161 /nfs/dbraw/zinc/89/11/61/385891161.db2.gz WJJRAUHYXUTWIQ-CMPLNLGQSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cnccc1CN(C)CCCOC(C)C ZINC000294959841 385928923 /nfs/dbraw/zinc/92/89/23/385928923.db2.gz WEIZCJBYXWTZMY-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN COc1c(O)cccc1CN1C[C@H](C)C[C@H](C)C1 ZINC000272318324 385894476 /nfs/dbraw/zinc/89/44/76/385894476.db2.gz TVCVPJOZIXYIKN-TXEJJXNPSA-N 0 3 249.354 2.879 20 0 BFADHN COc1c(O)cccc1CN1CC[C@H](C)[C@@H]1C ZINC000272376252 385897864 /nfs/dbraw/zinc/89/78/64/385897864.db2.gz HDNUZSSXKKYTAI-QWRGUYRKSA-N 0 3 235.327 2.631 20 0 BFADHN CCCC1(CNCc2nccnc2OC)CCC1 ZINC000294964307 385929026 /nfs/dbraw/zinc/92/90/26/385929026.db2.gz CSEZMMOXINZHBZ-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN COc1c(O)cccc1CN1CC[C@@H](C)[C@H]1C ZINC000272376247 385898208 /nfs/dbraw/zinc/89/82/08/385898208.db2.gz HDNUZSSXKKYTAI-GHMZBOCLSA-N 0 3 235.327 2.631 20 0 BFADHN Cc1cc(N)cc(CNCc2cnccc2C)c1 ZINC000414964076 385901531 /nfs/dbraw/zinc/90/15/31/385901531.db2.gz LPWHVLCGCHYDLH-UHFFFAOYSA-N 0 3 241.338 2.570 20 0 BFADHN c1csc([C@H](NCc2cncnc2)C2CC2)c1 ZINC000340198976 385903507 /nfs/dbraw/zinc/90/35/07/385903507.db2.gz QIRTWHUZCNOLDN-CYBMUJFWSA-N 0 3 245.351 2.779 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]2c2ccco2)nc1 ZINC000414599121 385903506 /nfs/dbraw/zinc/90/35/06/385903506.db2.gz ZFSAPFAXKZRPFV-CHWSQXEVSA-N 0 3 228.295 2.629 20 0 BFADHN CC(=O)c1ccc(CN(C)C2CCC2)cc1 ZINC000294864079 385905828 /nfs/dbraw/zinc/90/58/28/385905828.db2.gz DEVHCVIIUJTQQD-UHFFFAOYSA-N 0 3 217.312 2.874 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1cc(C)oc1C ZINC000218761987 385906336 /nfs/dbraw/zinc/90/63/36/385906336.db2.gz QHBVQSZNJSQYFB-PWSUYJOCSA-N 0 3 225.332 2.708 20 0 BFADHN CC[C@@H](C(=O)NC1(CC)CCCCC1)N(C)C ZINC000413843033 385907186 /nfs/dbraw/zinc/90/71/86/385907186.db2.gz ITNZIIOOMFWQIY-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CCOc1ccccc1CN(C)[C@H]1C[C@@H](OC)C1 ZINC000285292041 385913899 /nfs/dbraw/zinc/91/38/99/385913899.db2.gz GMHVMHJIQMXREG-OKILXGFUSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1ccncc1CNC[C@@H](C)C(F)(F)F ZINC000336741930 385914082 /nfs/dbraw/zinc/91/40/82/385914082.db2.gz NRUUBYZDFVLFDG-SECBINFHSA-N 0 3 232.249 2.678 20 0 BFADHN CO[C@H]1CCN(Cc2cccs2)CC1(C)C ZINC000294910343 385914139 /nfs/dbraw/zinc/91/41/39/385914139.db2.gz DYAPSSXXTYCTOE-LBPRGKRZSA-N 0 3 239.384 2.995 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CC[C@@H]2CC)o1 ZINC000336746015 385915437 /nfs/dbraw/zinc/91/54/37/385915437.db2.gz VYUGOBBZDGXELW-QWRGUYRKSA-N 0 3 222.332 2.824 20 0 BFADHN C[C@H](O)CCCN1CCC=C(c2ccccc2)C1 ZINC000341987468 385915534 /nfs/dbraw/zinc/91/55/34/385915534.db2.gz GYDKMGQVTYSLOX-AWEZNQCLSA-N 0 3 245.366 2.937 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1ncc(C)n1C ZINC000336746109 385919895 /nfs/dbraw/zinc/91/98/95/385919895.db2.gz WFJXJUPNSOSSFF-MNOVXSKESA-N 0 3 223.364 2.643 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1ncc(C)n1C ZINC000336746108 385919999 /nfs/dbraw/zinc/91/99/99/385919999.db2.gz WFJXJUPNSOSSFF-GHMZBOCLSA-N 0 3 223.364 2.643 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(C)o2)CC1(C)C ZINC000294941952 385921215 /nfs/dbraw/zinc/92/12/15/385921215.db2.gz GYGBHHVDWNWLNX-CYBMUJFWSA-N 0 3 237.343 2.835 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1ccncc1Cl ZINC000335034689 385924259 /nfs/dbraw/zinc/92/42/59/385924259.db2.gz OGXHQBILOPNFQN-NXEZZACHSA-N 0 3 240.734 2.565 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H](C)CC(C)(C)O)c1 ZINC000294946099 385924314 /nfs/dbraw/zinc/92/43/14/385924314.db2.gz CRMLHPONYQDKBR-RYUDHWBXSA-N 0 3 236.359 2.590 20 0 BFADHN CC[C@H](N[C@H]1CCCOC1)c1ccccc1OC ZINC000112794160 385924831 /nfs/dbraw/zinc/92/48/31/385924831.db2.gz BOSZUXQVYLTGJY-JSGCOSHPSA-N 0 3 249.354 2.915 20 0 BFADHN CO[C@H]1CCN(Cc2ccco2)CC1(C)C ZINC000294960491 385927330 /nfs/dbraw/zinc/92/73/30/385927330.db2.gz IGVWWBWBBKIJNQ-LBPRGKRZSA-N 0 3 223.316 2.527 20 0 BFADHN C[C@H](NCCN1CCC1)c1ccccc1Cl ZINC000336726060 385866203 /nfs/dbraw/zinc/86/62/03/385866203.db2.gz BMGCIWISZVUGGV-NSHDSACASA-N 0 3 238.762 2.696 20 0 BFADHN COc1cc(C)ccc1CN[C@H]1CCOC1(C)C ZINC000294564305 385868834 /nfs/dbraw/zinc/86/88/34/385868834.db2.gz BOVIAAFFLZDSLK-AWEZNQCLSA-N 0 3 249.354 2.661 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1c(C)noc1C ZINC000336726036 385870466 /nfs/dbraw/zinc/87/04/66/385870466.db2.gz GRHBIQPIUABXMC-CMPLNLGQSA-N 0 3 208.305 2.570 20 0 BFADHN Cc1cnccc1CN(C)CCC1CCOCC1 ZINC000294592326 385872573 /nfs/dbraw/zinc/87/25/73/385872573.db2.gz ADBJDXAYGWKRDK-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN CCCCN1CCCC[C@@H]1[C@H](O)C(F)(F)F ZINC000340192880 385877419 /nfs/dbraw/zinc/87/74/19/385877419.db2.gz RBQKATPUOASSTA-ZJUUUORDSA-N 0 3 239.281 2.564 20 0 BFADHN CO[C@@H](C)[C@@H](C)NC1(c2cccc(F)c2)CC1 ZINC000272137309 385878899 /nfs/dbraw/zinc/87/88/99/385878899.db2.gz MFVQEAYCADTAKQ-MNOVXSKESA-N 0 3 237.318 2.828 20 0 BFADHN C[C@H](NC[C@H]1CC[C@@H](C)C1)c1ccn(C)n1 ZINC000414124853 385975188 /nfs/dbraw/zinc/97/51/88/385975188.db2.gz CRJYVWASFTUMND-WOPDTQHZSA-N 0 3 221.348 2.507 20 0 BFADHN Cc1c(Cl)cncc1NC1CCN(C)CC1 ZINC000295134121 385976297 /nfs/dbraw/zinc/97/62/97/385976297.db2.gz OZJUAQVGZVZYQC-UHFFFAOYSA-N 0 3 239.750 2.550 20 0 BFADHN CC(C)C(C)(C)CN[C@@H](C)c1ccn(C)n1 ZINC000414128868 385976668 /nfs/dbraw/zinc/97/66/68/385976668.db2.gz HRMYQBNYAUBPNK-NSHDSACASA-N 0 3 223.364 2.753 20 0 BFADHN CC(C)[C@](C)(O)CNCc1ccsc1Cl ZINC000336747621 385979135 /nfs/dbraw/zinc/97/91/35/385979135.db2.gz CPFHWJYBMQCCMW-LLVKDONJSA-N 0 3 247.791 2.898 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@H]2CCCN2C)o1 ZINC000221146347 385979116 /nfs/dbraw/zinc/97/91/16/385979116.db2.gz WLGVYDYECMHXEL-MBNYWOFBSA-N 0 3 248.370 2.587 20 0 BFADHN FC(F)(F)[C@@H]1CCC[C@H](NCc2cocn2)C1 ZINC000189328923 385979416 /nfs/dbraw/zinc/97/94/16/385979416.db2.gz VZAADDXSAVSAOJ-BDAKNGLRSA-N 0 3 248.248 2.885 20 0 BFADHN FC(F)(F)[C@@H]1CCC[C@@H](NCc2cocn2)C1 ZINC000189328901 385979570 /nfs/dbraw/zinc/97/95/70/385979570.db2.gz VZAADDXSAVSAOJ-RKDXNWHRSA-N 0 3 248.248 2.885 20 0 BFADHN COc1ccc(F)cc1CN[C@H](C)[C@H]1C[C@@H]1C ZINC000414131839 385979705 /nfs/dbraw/zinc/97/97/05/385979705.db2.gz LMCKYPGLOUBZHM-CWSCBRNRSA-N 0 3 237.318 2.968 20 0 BFADHN CC[C@H](CO)N(C)Cc1cc2ccccc2o1 ZINC000295159578 385981631 /nfs/dbraw/zinc/98/16/31/385981631.db2.gz SBCSJCVAPRVDIR-GFCCVEGCSA-N 0 3 233.311 2.636 20 0 BFADHN CCC1(c2ccccc2)CN(CC2(O)CCC2)C1 ZINC000414133741 385982460 /nfs/dbraw/zinc/98/24/60/385982460.db2.gz AZRGZUPAPDETLA-UHFFFAOYSA-N 0 3 245.366 2.565 20 0 BFADHN CC/C=C/CCN(C)Cc1ccccn1 ZINC000295177564 385984172 /nfs/dbraw/zinc/98/41/72/385984172.db2.gz PFXFTRVYPCHBIW-SNAWJCMRSA-N 0 3 204.317 2.870 20 0 BFADHN Cc1cc(C)n(CCCN[C@@H](C)c2ccco2)n1 ZINC000128429958 385984582 /nfs/dbraw/zinc/98/45/82/385984582.db2.gz WIWOOCARCYDOKM-ZDUSSCGKSA-N 0 3 247.342 2.834 20 0 BFADHN c1ncc(CN2CCCC23CCC3)s1 ZINC000335855444 385986212 /nfs/dbraw/zinc/98/62/12/385986212.db2.gz PMSBLCMSUDSJGC-UHFFFAOYSA-N 0 3 208.330 2.662 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C1)c1cc2n(n1)CCC2 ZINC000414137908 385986523 /nfs/dbraw/zinc/98/65/23/385986523.db2.gz PMZPVPJZVWRCTL-WOPDTQHZSA-N 0 3 233.359 2.669 20 0 BFADHN CCC[C@H]1CCCCN1Cc1ccno1 ZINC000273302205 385986883 /nfs/dbraw/zinc/98/68/83/385986883.db2.gz LBPLHMMLPHSZGA-NSHDSACASA-N 0 3 208.305 2.829 20 0 BFADHN CO[C@@H](CN(C)[C@@H](C)c1cccc(O)c1)C1CC1 ZINC000425411416 385987140 /nfs/dbraw/zinc/98/71/40/385987140.db2.gz DABABWWCANXVRJ-NHYWBVRUSA-N 0 3 249.354 2.810 20 0 BFADHN CC(C)C[C@@H](N[C@@H]1CCC[C@H]1O)c1ccccn1 ZINC000414141053 385989153 /nfs/dbraw/zinc/98/91/53/385989153.db2.gz HGCVIMMLXAKYLJ-RBSFLKMASA-N 0 3 248.370 2.672 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@@H](C)c2ccncc2)C1 ZINC000414143424 385992107 /nfs/dbraw/zinc/99/21/07/385992107.db2.gz LOBRGQVXIVINPS-FPMFFAJLSA-N 0 3 234.343 2.688 20 0 BFADHN Cc1ccnc([C@H](C)NCC2(O)CCCCC2)c1 ZINC000286069258 385992221 /nfs/dbraw/zinc/99/22/21/385992221.db2.gz LUGDQYYJJBIRNH-ZDUSSCGKSA-N 0 3 248.370 2.736 20 0 BFADHN C[C@@H]1OCCN(C[C@@H]2CCCC(F)(F)C2)[C@H]1C ZINC000338270997 385933991 /nfs/dbraw/zinc/93/39/91/385933991.db2.gz QNMINAGMLQOTAI-SDDRHHMPSA-N 0 3 247.329 2.921 20 0 BFADHN CS[C@H]1CCN(Cc2ccccc2F)C1 ZINC000294986446 385934647 /nfs/dbraw/zinc/93/46/47/385934647.db2.gz HDHUOSLYUGFRCC-NSHDSACASA-N 0 3 225.332 2.763 20 0 BFADHN CCC(CC)N[C@@H](C)c1cc2n(n1)CCC2 ZINC000414056105 385934802 /nfs/dbraw/zinc/93/48/02/385934802.db2.gz PJWDZWMKACMIGJ-JTQLQIEISA-N 0 3 221.348 2.669 20 0 BFADHN CCOc1cccc(CN2CC[C@@H](C)[C@H]2C)n1 ZINC000355138986 385936157 /nfs/dbraw/zinc/93/61/57/385936157.db2.gz TZJZWNPEIJCTSG-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN CSC1(CN2C[C@@H](C)OC(C)(C)C2)CCC1 ZINC000356049548 385937746 /nfs/dbraw/zinc/93/77/46/385937746.db2.gz DVUJARNWBIYOLE-LLVKDONJSA-N 0 3 243.416 2.771 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc(SC)cc1 ZINC000272805932 385937935 /nfs/dbraw/zinc/93/79/35/385937935.db2.gz MANDSQYQIRSPOC-LLVKDONJSA-N 0 3 239.384 2.875 20 0 BFADHN COc1ncc(CN[C@@H](C)CC(C)C)s1 ZINC000309028035 385939581 /nfs/dbraw/zinc/93/95/81/385939581.db2.gz ZJCGGTRNCZJGEQ-VIFPVBQESA-N 0 3 228.361 2.676 20 0 BFADHN CCN(CCC(=O)c1ccc(OC)cc1)C1CC1 ZINC000286088505 385993310 /nfs/dbraw/zinc/99/33/10/385993310.db2.gz UBYOWWVMKFBGQO-UHFFFAOYSA-N 0 3 247.338 2.752 20 0 BFADHN C[C@@H](NCC1(C)CCCC1)c1ccn(C)n1 ZINC000414071067 385944688 /nfs/dbraw/zinc/94/46/88/385944688.db2.gz CSGPZBNMMHJEIR-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN CC(C)[C@H](C)CN[C@H](C)c1cc2n(n1)CCC2 ZINC000414067800 385944702 /nfs/dbraw/zinc/94/47/02/385944702.db2.gz AQHUPPREXKMJAF-VXGBXAGGSA-N 0 3 235.375 2.772 20 0 BFADHN C[C@@H]1CN(C/C=C/c2ccccc2)[C@@H](C)CN1C ZINC000335051959 385948981 /nfs/dbraw/zinc/94/89/81/385948981.db2.gz OUAPTFFLFXRSGY-AEOIHIIDSA-N 0 3 244.382 2.724 20 0 BFADHN CCCN(C)Cc1ccc(-c2ccccn2)nc1 ZINC000425398598 385949137 /nfs/dbraw/zinc/94/91/37/385949137.db2.gz NOAYXCQIPPFHPP-UHFFFAOYSA-N 0 3 241.338 2.985 20 0 BFADHN C[C@H](NCc1cn2ccsc2n1)[C@@H]1C[C@H]1C ZINC000414078881 385949856 /nfs/dbraw/zinc/94/98/56/385949856.db2.gz SIVZVUFVOVZDAB-WCABBAIRSA-N 0 3 235.356 2.530 20 0 BFADHN C[C@H](NCCC(C)(C)C)c1cc2n(n1)CCC2 ZINC000414083944 385952051 /nfs/dbraw/zinc/95/20/51/385952051.db2.gz XIFQSYFJSLQVIB-NSHDSACASA-N 0 3 235.375 2.916 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1cc2n(n1)CCC2 ZINC000414082817 385952518 /nfs/dbraw/zinc/95/25/18/385952518.db2.gz WLDOTTGGLAMVTF-TUAOUCFPSA-N 0 3 235.375 2.915 20 0 BFADHN CC/C=C\CCN1CC[C@H](Oc2ccncc2)C1 ZINC000342245453 385952677 /nfs/dbraw/zinc/95/26/77/385952677.db2.gz JGBOODAJIAWAFX-JZIMQPMSSA-N 0 3 246.354 2.891 20 0 BFADHN CC/C=C\CCN1C[C@H](C)OC[C@H]1CC ZINC000342242856 385954203 /nfs/dbraw/zinc/95/42/03/385954203.db2.gz WCYNUAAFNAFHSA-ASOISWSRSA-N 0 3 211.349 2.842 20 0 BFADHN CC[C@H](NCc1ccc(F)cn1)[C@H]1C[C@@H]1C ZINC000414087960 385954838 /nfs/dbraw/zinc/95/48/38/385954838.db2.gz IIIZOBHLMFKBPY-XDTLVQLUSA-N 0 3 222.307 2.745 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc(C)c(C)c1 ZINC000285670815 385955133 /nfs/dbraw/zinc/95/51/33/385955133.db2.gz MRODBNCATMITEB-CYBMUJFWSA-N 0 3 221.344 2.770 20 0 BFADHN CC[C@H](CO)N(C)Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000295052607 385955257 /nfs/dbraw/zinc/95/52/57/385955257.db2.gz FWFMTUPQWLYYSU-WZRBSPASSA-N 0 3 237.343 2.606 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cc(F)cc(Cl)c1 ZINC000289895501 385960755 /nfs/dbraw/zinc/96/07/55/385960755.db2.gz SXZXCWVQCLLJNP-RKDXNWHRSA-N 0 3 245.725 2.992 20 0 BFADHN FC(F)[C@@H]1C[C@@H]1NCc1cccc2cc[nH]c21 ZINC000342222348 385962108 /nfs/dbraw/zinc/96/21/08/385962108.db2.gz LMLPVKPRSQLJJN-MNOVXSKESA-N 0 3 236.265 2.911 20 0 BFADHN CCOc1cccc(CNC[C@@H](CC)OC)c1 ZINC000289897752 385963961 /nfs/dbraw/zinc/96/39/61/385963961.db2.gz TUQQRNGEGXLPQB-CYBMUJFWSA-N 0 3 237.343 2.600 20 0 BFADHN Cc1cc(C)cc([C@H](C)N[C@H]2C=C[C@H](CO)C2)c1 ZINC000345049496 385964224 /nfs/dbraw/zinc/96/42/24/385964224.db2.gz GQEZAQKGZOHPRJ-DZKIICNBSA-N 0 3 245.366 2.891 20 0 BFADHN CCCC(=O)Nc1cnccc1NC(CC)CC ZINC000647768784 385966016 /nfs/dbraw/zinc/96/60/16/385966016.db2.gz JWAKWRZKZNLMNH-UHFFFAOYSA-N 0 3 249.358 2.843 20 0 BFADHN CC[C@@H](NCc1cc(C)ncn1)[C@@H]1CC1(C)C ZINC000414110506 385966907 /nfs/dbraw/zinc/96/69/07/385966907.db2.gz WDIKIKHFAMJFAU-QWHCGFSZSA-N 0 3 233.359 2.699 20 0 BFADHN c1coc(CNCC23CCC(CC2)C3)c1 ZINC000336769239 385967110 /nfs/dbraw/zinc/96/71/10/385967110.db2.gz VMKYSDZXCPAXSF-UHFFFAOYSA-N 0 3 205.301 2.950 20 0 BFADHN FC1(F)CCC[C@@H](CN2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000338320789 385967472 /nfs/dbraw/zinc/96/74/72/385967472.db2.gz UJORAMANSTUEBL-UTUOFQBUSA-N 0 3 245.313 2.675 20 0 BFADHN CO[C@H]1CCN(Cc2ccncc2C)CC1(C)C ZINC000295099658 385967811 /nfs/dbraw/zinc/96/78/11/385967811.db2.gz URXAJMVKUATNKN-AWEZNQCLSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCC[C@H](C)C2)nn1 ZINC000334854900 385971911 /nfs/dbraw/zinc/97/19/11/385971911.db2.gz HJZSRBQSXBBBHW-SMDDNHRTSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cc([C@H](C)NCCc2cn(C)cn2)oc1C ZINC000414123781 385971681 /nfs/dbraw/zinc/97/16/81/385971681.db2.gz JSRIJYCYDJLDRM-NSHDSACASA-N 0 3 247.342 2.523 20 0 BFADHN CSc1ccc(CNCCOC(C)C)s1 ZINC000336727513 385974791 /nfs/dbraw/zinc/97/47/91/385974791.db2.gz HHUDGUIMQRHWAK-UHFFFAOYSA-N 0 3 245.413 2.985 20 0 BFADHN COc1ncc(CN[C@@H](C)C2CCCC2)s1 ZINC000309258575 385997986 /nfs/dbraw/zinc/99/79/86/385997986.db2.gz BKJFHESXHGDUMZ-VIFPVBQESA-N 0 3 240.372 2.820 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@H](C)C1)c1ccn(C)n1 ZINC000336749755 385998460 /nfs/dbraw/zinc/99/84/60/385998460.db2.gz YYBBKGWXDRFIAY-TUAOUCFPSA-N 0 3 221.348 2.649 20 0 BFADHN CCOC[C@H](N[C@@H](C)c1cncs1)C(C)C ZINC000233787480 385998982 /nfs/dbraw/zinc/99/89/82/385998982.db2.gz CFAWQYDNMFHXAC-QWRGUYRKSA-N 0 3 242.388 2.855 20 0 BFADHN CC[C@H](N[C@H](C)c1ccon1)[C@H]1C[C@H]1C ZINC000414150492 385999775 /nfs/dbraw/zinc/99/97/75/385999775.db2.gz YFWOBVVWMMZMHD-ZNSHCXBVSA-N 0 3 208.305 2.760 20 0 BFADHN CCc1ncc(CN[C@H](CC)[C@@H]2C[C@@H]2C)o1 ZINC000414150519 386000445 /nfs/dbraw/zinc/00/04/45/386000445.db2.gz PDWZSQMAMVHYGS-MVWJERBFSA-N 0 3 222.332 2.761 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H](C)n2ccnc21)[C@@H]1C[C@H]1C ZINC000414153005 386001419 /nfs/dbraw/zinc/00/14/19/386001419.db2.gz RNRXNRBQHYGGQL-RXGFPQBGSA-N 0 3 233.359 2.913 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C=C[C@@H](CO)C2)s1 ZINC000345066747 386001798 /nfs/dbraw/zinc/00/17/98/386001798.db2.gz XJQDLLKUCGTMFM-TUAOUCFPSA-N 0 3 237.368 2.644 20 0 BFADHN C[C@H](CCC1CC1)NCc1ccc(F)cn1 ZINC000336727691 386002176 /nfs/dbraw/zinc/00/21/76/386002176.db2.gz CDSAZJWCMXDCMT-SNVBAGLBSA-N 0 3 222.307 2.889 20 0 BFADHN C[C@@H](N[C@@H](C)CCC1CC1)c1nccn1C ZINC000336750353 386003927 /nfs/dbraw/zinc/00/39/27/386003927.db2.gz USIMLXGORLTYAX-WDEREUQCSA-N 0 3 221.348 2.649 20 0 BFADHN CCS[C@H]1CCC[C@H]1NCc1cccnc1 ZINC000233849452 386006400 /nfs/dbraw/zinc/00/64/00/386006400.db2.gz NVJPUJJXMNJTMT-OLZOCXBDSA-N 0 3 236.384 2.845 20 0 BFADHN CC[C@@H](COC)N[C@H]1CCCc2ccc(O)cc21 ZINC000233885193 386008771 /nfs/dbraw/zinc/00/87/71/386008771.db2.gz HHZDKYLLFYFZLE-WFASDCNBSA-N 0 3 249.354 2.784 20 0 BFADHN CC(C)CCCN[C@H](CO)c1cccc(F)c1 ZINC000338454497 386008858 /nfs/dbraw/zinc/00/88/58/386008858.db2.gz INMQEKMVDMWMDN-CQSZACIVSA-N 0 3 239.334 2.885 20 0 BFADHN COc1ncc(CN[C@H]2CCCC2(C)C)s1 ZINC000309437819 386011132 /nfs/dbraw/zinc/01/11/32/386011132.db2.gz YUVPLKIZHAMJPZ-JTQLQIEISA-N 0 3 240.372 2.820 20 0 BFADHN CC[C@H](N[C@H]1CCn2ccnc21)[C@H]1CC1(C)C ZINC000414119507 386011507 /nfs/dbraw/zinc/01/15/07/386011507.db2.gz DAUJYRLCCIOVSM-WOPDTQHZSA-N 0 3 233.359 2.742 20 0 BFADHN CCC1(O)CCN(Cc2ccsc2C)CC1 ZINC000295306313 386012745 /nfs/dbraw/zinc/01/27/45/386012745.db2.gz KGFMLVRSORICOG-UHFFFAOYSA-N 0 3 239.384 2.793 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1cc(C)ccn1 ZINC000286258695 386013502 /nfs/dbraw/zinc/01/35/02/386013502.db2.gz USQQFDOJJOBOOC-GZBFAFLISA-N 0 3 248.370 2.998 20 0 BFADHN C[C@H]1CC(CCNCc2ccccn2)C[C@H](C)O1 ZINC000338481435 386015922 /nfs/dbraw/zinc/01/59/22/386015922.db2.gz WWPPSEVUNLZYAC-STQMWFEESA-N 0 3 248.370 2.765 20 0 BFADHN Cc1nnsc1CNCCCC1CCCC1 ZINC000309521343 386017978 /nfs/dbraw/zinc/01/79/78/386017978.db2.gz AOXGUTHJLOZLRR-UHFFFAOYSA-N 0 3 239.388 2.907 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000414172513 386018392 /nfs/dbraw/zinc/01/83/92/386018392.db2.gz FJTKHYDFGSVVMN-QNWHQSFQSA-N 0 3 233.359 2.742 20 0 BFADHN CCC[C@H](N[C@@H]1COC[C@@H]1OC)c1ccccc1 ZINC000414177127 386020495 /nfs/dbraw/zinc/02/04/95/386020495.db2.gz FMTNYDAVXNITDC-ZNMIVQPWSA-N 0 3 249.354 2.531 20 0 BFADHN CCC1CC(N[C@@H](CO)c2ccsc2)C1 ZINC000336752867 386021028 /nfs/dbraw/zinc/02/10/28/386021028.db2.gz GQHJRRAFUHAPLM-NHNAUAITSA-N 0 3 225.357 2.560 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H]1C[C@H](C)n2ccnc21 ZINC000414179371 386021858 /nfs/dbraw/zinc/02/18/58/386021858.db2.gz KOGVCLGSVSQDEW-UMSGYPCISA-N 0 3 233.359 2.913 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1C[C@H]1CC(C)C ZINC000414185110 386025472 /nfs/dbraw/zinc/02/54/72/386025472.db2.gz PBWVPGBHRWGIDO-YNEHKIRRSA-N 0 3 235.375 2.988 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3ccccc3F)CC2)[C@H](C)O1 ZINC000295407643 386025889 /nfs/dbraw/zinc/02/58/89/386025889.db2.gz MQRVLYFXXUHQQF-UHIISALHSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3ccccc3F)CC2)[C@@H](C)O1 ZINC000295407633 386025945 /nfs/dbraw/zinc/02/59/45/386025945.db2.gz MQRVLYFXXUHQQF-GYSYKLTISA-N 0 3 249.329 2.970 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)nn1C ZINC000414187610 386027599 /nfs/dbraw/zinc/02/75/99/386027599.db2.gz QDUWPCIWRGTHOR-QNWHQSFQSA-N 0 3 233.359 2.568 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1cccn1C ZINC000336727799 386030993 /nfs/dbraw/zinc/03/09/93/386030993.db2.gz GSDCGABISMHTQT-SECBINFHSA-N 0 3 234.265 2.846 20 0 BFADHN Cc1nn(-c2cccc(F)c2)cc1CNC1CC1 ZINC000414248933 386057038 /nfs/dbraw/zinc/05/70/38/386057038.db2.gz VUQIQGWCCGVHCK-UHFFFAOYSA-N 0 3 245.301 2.572 20 0 BFADHN C[C@@H](NCC1OCCO1)c1cccc(C2CC2)c1 ZINC000295467226 386036311 /nfs/dbraw/zinc/03/63/11/386036311.db2.gz QMOWVRNCDQAPNZ-LLVKDONJSA-N 0 3 247.338 2.588 20 0 BFADHN CCn1ccc(CN[C@H]2CCC23CCCC3)n1 ZINC000414200148 386037384 /nfs/dbraw/zinc/03/73/84/386037384.db2.gz AWBLPYZHHFNZTR-ZDUSSCGKSA-N 0 3 233.359 2.715 20 0 BFADHN CCn1ccc(CN[C@H]2CCC[C@H](C3CC3)C2)n1 ZINC000414203834 386040269 /nfs/dbraw/zinc/04/02/69/386040269.db2.gz FNARAMASOIAELJ-KBPBESRZSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@@H](N[C@@H](C)c1cn[nH]c1)c1ccccc1 ZINC000222478239 386046328 /nfs/dbraw/zinc/04/63/28/386046328.db2.gz YRSLNJJFVBCROY-MNOVXSKESA-N 0 3 215.300 2.822 20 0 BFADHN C[C@@H](N[C@H](C)c1ccccc1)c1cn[nH]c1 ZINC000222478184 386047377 /nfs/dbraw/zinc/04/73/77/386047377.db2.gz YRSLNJJFVBCROY-GHMZBOCLSA-N 0 3 215.300 2.822 20 0 BFADHN C[C@H](N[C@@H]1CCc2cc(F)ccc21)c1cn[nH]c1 ZINC000222514153 386049099 /nfs/dbraw/zinc/04/90/99/386049099.db2.gz DBGDIBWQEFRSFY-LKFCYVNXSA-N 0 3 245.301 2.887 20 0 BFADHN COC[C@H](C)N1Cc2ccccc2C(C)(C)C1 ZINC000340233058 386049355 /nfs/dbraw/zinc/04/93/55/386049355.db2.gz XVZYXSXAAFWINO-LBPRGKRZSA-N 0 3 233.355 2.815 20 0 BFADHN CC[C@H](COC)NCc1ccc2occc2c1 ZINC000286572753 386055598 /nfs/dbraw/zinc/05/55/98/386055598.db2.gz PSOMBKTULAICOO-CYBMUJFWSA-N 0 3 233.311 2.947 20 0 BFADHN CC(C)Oc1cccc(CNc2nccn2C)c1 ZINC000414291608 386101878 /nfs/dbraw/zinc/10/18/78/386101878.db2.gz BJBCVOZXWMARJZ-UHFFFAOYSA-N 0 3 245.326 2.819 20 0 BFADHN CCn1nc(CN2CC[C@@H](C)C2)c2ccccc21 ZINC000274584257 386123492 /nfs/dbraw/zinc/12/34/92/386123492.db2.gz OCXUJXIYTGTUHH-GFCCVEGCSA-N 0 3 243.354 2.898 20 0 BFADHN CC[C@H]1CCN(Cc2cn(C)nc2C(F)F)C1 ZINC000274454536 386104774 /nfs/dbraw/zinc/10/47/74/386104774.db2.gz FYTJPXMBRYSFHJ-VIFPVBQESA-N 0 3 243.301 2.590 20 0 BFADHN CC(C)C[C@@H](C)CN1CC(C(F)F)C1 ZINC000647929624 386106060 /nfs/dbraw/zinc/10/60/60/386106060.db2.gz BNRDKYPRMMMNBG-SECBINFHSA-N 0 3 205.292 2.866 20 0 BFADHN CN(Cc1cn(C)nc1C(F)F)C1CCCC1 ZINC000274466931 386108023 /nfs/dbraw/zinc/10/80/23/386108023.db2.gz IXEPFLNSGQGKMT-UHFFFAOYSA-N 0 3 243.301 2.732 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](O)C1)c1cc2ccccc2o1 ZINC000295928794 386108668 /nfs/dbraw/zinc/10/86/68/386108668.db2.gz KLUYPZABQWXPMC-JLLWLGSASA-N 0 3 231.295 2.607 20 0 BFADHN Cc1ccncc1CN1CCCC2(CC2)C1 ZINC000274479587 386109467 /nfs/dbraw/zinc/10/94/67/386109467.db2.gz DYCWMIIIKBWJPG-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CCc1ccc(NC(=O)[C@H](N)CC(C)C)cc1 ZINC000019428293 386110544 /nfs/dbraw/zinc/11/05/44/386110544.db2.gz QBILGYFPUIZHLJ-CYBMUJFWSA-N 0 3 234.343 2.561 20 0 BFADHN COC1([C@@H](C)N[C@H](C)c2cc(C)ccn2)CCC1 ZINC000414311710 386113005 /nfs/dbraw/zinc/11/30/05/386113005.db2.gz VBNMLKMNSVVVAI-CHWSQXEVSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1cncc(CN(C)CC2CCC2)c1 ZINC000189886097 386113131 /nfs/dbraw/zinc/11/31/31/386113131.db2.gz UIUKZUOTKPVJLA-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN COC1([C@@H](C)N[C@@H](C)c2ccco2)CCC1 ZINC000414314161 386115708 /nfs/dbraw/zinc/11/57/08/386115708.db2.gz KYQFNYFULMTUDS-WDEREUQCSA-N 0 3 223.316 2.888 20 0 BFADHN c1ccc(C[C@H]2CN(CC3CCC3)CCO2)cc1 ZINC000345831030 386117622 /nfs/dbraw/zinc/11/76/22/386117622.db2.gz XBGWIMQAVRHOKS-INIZCTEOSA-N 0 3 245.366 2.730 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H]1CCc2c1cccc2F ZINC000274570898 386120078 /nfs/dbraw/zinc/12/00/78/386120078.db2.gz AXQXFRGUEUKJHW-OMHDXBGXSA-N 0 3 249.329 2.730 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H]1CCc2cc(F)ccc21 ZINC000414205677 386059049 /nfs/dbraw/zinc/05/90/49/386059049.db2.gz JCLXFCXFOYHSMV-ZNMIVQPWSA-N 0 3 249.329 2.970 20 0 BFADHN CCn1ccc(CN[C@H]2CCCC[C@@H]2C2CC2)n1 ZINC000414206187 386060279 /nfs/dbraw/zinc/06/02/79/386060279.db2.gz INCSINXYHKLVMU-CABCVRRESA-N 0 3 247.386 2.961 20 0 BFADHN CC[C@H](NCc1nnc2ccccn21)C(C)(C)C ZINC000345208411 386061181 /nfs/dbraw/zinc/06/11/81/386061181.db2.gz SZECGMFBYFBLGI-NSHDSACASA-N 0 3 246.358 2.644 20 0 BFADHN CCn1ccc(CN[C@@H]2C[C@@H](C)CC[C@H]2C)n1 ZINC000414207394 386061902 /nfs/dbraw/zinc/06/19/02/386061902.db2.gz JVUKSPZVMRHMJW-OUCADQQQSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccc(CN[C@H](C)C2CCCCC2)n1 ZINC000414207043 386062828 /nfs/dbraw/zinc/06/28/28/386062828.db2.gz JIYNPMFNCHBXFO-GFCCVEGCSA-N 0 3 235.375 2.961 20 0 BFADHN c1ccc2c(c1)CCN(CCOC1CCC1)C2 ZINC000286668476 386063704 /nfs/dbraw/zinc/06/37/04/386063704.db2.gz AHWKTIFUTKDCPL-UHFFFAOYSA-N 0 3 231.339 2.614 20 0 BFADHN Cc1ccoc1CN[C@H]1CCCSC1 ZINC000129236457 386067747 /nfs/dbraw/zinc/06/77/47/386067747.db2.gz DEMVGSKQVJMMQY-JTQLQIEISA-N 0 3 211.330 2.573 20 0 BFADHN CC[C@@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1ccn(C)n1 ZINC000414213910 386068041 /nfs/dbraw/zinc/06/80/41/386068041.db2.gz SAZXHMZWSWSOOP-XQHKEYJVSA-N 0 3 233.359 2.649 20 0 BFADHN CCn1ccc(CN[C@@H]2CC(C)(C)C[C@H]2C)n1 ZINC000414213635 386068695 /nfs/dbraw/zinc/06/86/95/386068695.db2.gz UTZBZQLJMSLNMG-DGCLKSJQSA-N 0 3 235.375 2.817 20 0 BFADHN C[C@@H](CO)N(C)C/C=C\c1ccc(Cl)cc1 ZINC000338599926 386069472 /nfs/dbraw/zinc/06/94/72/386069472.db2.gz XUYIVKZDPJSZDL-BYCRGOAPSA-N 0 3 239.746 2.666 20 0 BFADHN CCn1ccc(CN[C@H]2CCCC23CCC3)n1 ZINC000414216224 386069665 /nfs/dbraw/zinc/06/96/65/386069665.db2.gz ZFBAXUYXYZYJTO-ZDUSSCGKSA-N 0 3 233.359 2.715 20 0 BFADHN CCn1ccc(CN[C@H](C)CC2CCCC2)n1 ZINC000414214332 386069997 /nfs/dbraw/zinc/06/99/97/386069997.db2.gz VSWWBIWHAUEFOS-GFCCVEGCSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@H](N[C@@H]1CCO[C@H]1CC)c1ccncc1 ZINC000414216914 386073575 /nfs/dbraw/zinc/07/35/75/386073575.db2.gz XIIOXEYKWZAGPK-MJBXVCDLSA-N 0 3 234.343 2.690 20 0 BFADHN COc1ccncc1CN(CC(C)C)C(C)C ZINC000287430971 386122376 /nfs/dbraw/zinc/12/23/76/386122376.db2.gz UEMFPJPAFSIMCH-UHFFFAOYSA-N 0 3 236.359 2.957 20 0 BFADHN CO[C@H](CN[C@H](C)c1cncc(C)c1)C(C)C ZINC000274590303 386122398 /nfs/dbraw/zinc/12/23/98/386122398.db2.gz JTLYXGRTLZRVHE-TZMCWYRMSA-N 0 3 236.359 2.712 20 0 BFADHN CO[C@H](CN1CCc2cc(C)ccc2C1)C1CC1 ZINC000425445601 386078809 /nfs/dbraw/zinc/07/88/09/386078809.db2.gz NZIKHAICOKZEOT-MRXNPFEDSA-N 0 3 245.366 2.778 20 0 BFADHN CCc1ccc2nccc(N(C)C[C@@H](C)O)c2c1 ZINC000295732028 386079168 /nfs/dbraw/zinc/07/91/68/386079168.db2.gz WFCOUURIXGNPMH-LLVKDONJSA-N 0 3 244.338 2.614 20 0 BFADHN CN(Cc1ccc(C(F)F)cc1)[C@@H]1CCOC1 ZINC000274244268 386085184 /nfs/dbraw/zinc/08/51/84/386085184.db2.gz YIAYQSYFZSHEHP-GFCCVEGCSA-N 0 3 241.281 2.845 20 0 BFADHN C[C@H](O)C[C@H](C)N[C@@H](C)c1ccccc1F ZINC000164599252 386085084 /nfs/dbraw/zinc/08/50/84/386085084.db2.gz BWCLXELSXAWGHV-DCAQKATOSA-N 0 3 225.307 2.636 20 0 BFADHN C[C@@H](NC[C@@H](C)CC(F)(F)F)c1ccn(C)n1 ZINC000414272510 386089040 /nfs/dbraw/zinc/08/90/40/386089040.db2.gz RWIPUIPBXSFILZ-DTWKUNHWSA-N 0 3 249.280 2.659 20 0 BFADHN Cc1ccc(CN[C@H](C)Cc2cccs2)nn1 ZINC000414272871 386089372 /nfs/dbraw/zinc/08/93/72/386089372.db2.gz RZMWYSHFNZYIDH-LLVKDONJSA-N 0 3 247.367 2.567 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC3(CCC3)C[C@H]2C)n1 ZINC000365440281 386089435 /nfs/dbraw/zinc/08/94/35/386089435.db2.gz BEKHKMJQEQYKJB-GFCCVEGCSA-N 0 3 246.354 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC3(CCC3)C[C@H]2C)n1 ZINC000365440281 386089440 /nfs/dbraw/zinc/08/94/40/386089440.db2.gz BEKHKMJQEQYKJB-GFCCVEGCSA-N 0 3 246.354 2.860 20 0 BFADHN CSC(C)(C)CNCc1cc(F)ncc1F ZINC000295820237 386090017 /nfs/dbraw/zinc/09/00/17/386090017.db2.gz URLPKITUNIKPSV-UHFFFAOYSA-N 0 3 246.326 2.591 20 0 BFADHN C[C@H](NCCCCCO)c1c(F)cccc1F ZINC000223633736 386092760 /nfs/dbraw/zinc/09/27/60/386092760.db2.gz ZJMBYHLFYBBBGR-JTQLQIEISA-N 0 3 243.297 2.778 20 0 BFADHN Cc1cnccc1CN[C@@H]1CC12CCCC2 ZINC000334591732 386096031 /nfs/dbraw/zinc/09/60/31/386096031.db2.gz QONSREGOBRJLOQ-CYBMUJFWSA-N 0 3 216.328 2.812 20 0 BFADHN CC[C@H](N[C@@H]1CCCC[C@H]1F)c1cnn(C)c1 ZINC000414285997 386096297 /nfs/dbraw/zinc/09/62/97/386096297.db2.gz MLWPKMMICPZIQF-FRRDWIJNSA-N 0 3 239.338 2.742 20 0 BFADHN C[C@@H](NC[C@@H]1CCCSC1)c1ccccn1 ZINC000287142838 386097569 /nfs/dbraw/zinc/09/75/69/386097569.db2.gz SIZLANPEBGGVLW-NEPJUHHUSA-N 0 3 236.384 2.875 20 0 BFADHN CCc1cnccc1[C@@H](C)NC[C@@H](OC)C1CC1 ZINC000425459399 386100150 /nfs/dbraw/zinc/10/01/50/386100150.db2.gz OZMTXEJZOSFQNA-IAQYHMDHSA-N 0 3 248.370 2.720 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2cc(C)n(C)n2)C1 ZINC000414358941 386146063 /nfs/dbraw/zinc/14/60/63/386146063.db2.gz NFMHXDNSADORGG-CHWSQXEVSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1occc1CNCCCNc1ccccn1 ZINC000414358345 386146163 /nfs/dbraw/zinc/14/61/63/386146163.db2.gz BUVZSBCXGURFDN-UHFFFAOYSA-N 0 3 245.326 2.575 20 0 BFADHN C[C@@H](CCc1c(F)cccc1F)NCCF ZINC000336729799 386147316 /nfs/dbraw/zinc/14/73/16/386147316.db2.gz DFHZYPKZIUVTNF-VIFPVBQESA-N 0 3 231.261 2.845 20 0 BFADHN Clc1ccc2c(n1)CCC[C@H]2NC1CC1 ZINC000296196507 386148254 /nfs/dbraw/zinc/14/82/54/386148254.db2.gz YUWVNOHTPZANHK-SNVBAGLBSA-N 0 3 222.719 2.864 20 0 BFADHN Cc1occc1CN(CCO)C1CCCCC1 ZINC000414364310 386149590 /nfs/dbraw/zinc/14/95/90/386149590.db2.gz IKCGFEGJLGTERO-UHFFFAOYSA-N 0 3 237.343 2.715 20 0 BFADHN Cc1occc1CNC[C@@]1(C)CCCC[C@H]1O ZINC000414366113 386151242 /nfs/dbraw/zinc/15/12/42/386151242.db2.gz POYSYGHPWLRWRD-ZIAGYGMSSA-N 0 3 237.343 2.619 20 0 BFADHN CC[C@H](F)CN1CC[C@H](C)[C@H](n2ccnc2)C1 ZINC000440783073 386152307 /nfs/dbraw/zinc/15/23/07/386152307.db2.gz KNMVPBVLEGDWOB-RWMBFGLXSA-N 0 3 239.338 2.514 20 0 BFADHN CC[C@H](NCc1cnc(C)cn1)[C@@H]1CC1(C)C ZINC000397657299 386154156 /nfs/dbraw/zinc/15/41/56/386154156.db2.gz NCMCFNBCIGEZCO-STQMWFEESA-N 0 3 233.359 2.699 20 0 BFADHN Cc1ccc(CN([C@H](C)C(C)C)C2CC2)nn1 ZINC000414369927 386155546 /nfs/dbraw/zinc/15/55/46/386155546.db2.gz VLLLBRFDKUTEEI-GFCCVEGCSA-N 0 3 233.359 2.794 20 0 BFADHN Cc1cc(CN2CCCC[C@@H](C)C2)on1 ZINC000274831678 386155563 /nfs/dbraw/zinc/15/55/63/386155563.db2.gz BBHBQIBCBBNUAD-SNVBAGLBSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1occc1CN1CCN(C2CCCC2)CC1 ZINC000414369880 386156191 /nfs/dbraw/zinc/15/61/91/386156191.db2.gz KDRWDRTUXZJCRY-UHFFFAOYSA-N 0 3 248.370 2.648 20 0 BFADHN C[C@@H](O)C[C@@H](C)NCc1ccc(Cl)c(F)c1 ZINC000164605774 386156441 /nfs/dbraw/zinc/15/64/41/386156441.db2.gz LOEZKMCKSAJQJQ-RKDXNWHRSA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@@H](C)NCc1cccc(C(F)(F)F)n1 ZINC000296350929 386167607 /nfs/dbraw/zinc/16/76/07/386167607.db2.gz IHGJQMVZRQUWDS-MRVPVSSYSA-N 0 3 232.249 2.989 20 0 BFADHN CN(Cc1cccc2c1NCC2)[C@@H]1CCSC1 ZINC000130482375 386169958 /nfs/dbraw/zinc/16/99/58/386169958.db2.gz ARAICUDCBIUMTC-CYBMUJFWSA-N 0 3 248.395 2.592 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1ccc(OC)nc1 ZINC000274996161 386170714 /nfs/dbraw/zinc/17/07/14/386170714.db2.gz OWBAKYMOQGFYRQ-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN FC(F)(F)c1cc(CNC2CCCCC2)[nH]n1 ZINC000440811250 386171314 /nfs/dbraw/zinc/17/13/14/386171314.db2.gz XQDLIMJNWVTVMR-UHFFFAOYSA-N 0 3 247.264 2.851 20 0 BFADHN Cc1ccsc1CN[C@@H](C)c1ccnn1C ZINC000235520310 386171947 /nfs/dbraw/zinc/17/19/47/386171947.db2.gz WPCMRXJAGSLLDJ-JTQLQIEISA-N 0 3 235.356 2.641 20 0 BFADHN CCN(Cc1ccc(C)nn1)C1CCCC1 ZINC000414372188 386172996 /nfs/dbraw/zinc/17/29/96/386172996.db2.gz OVMMRVIYGCHVAS-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H]1CCOC1(C)C ZINC000296452931 386173510 /nfs/dbraw/zinc/17/35/10/386173510.db2.gz MWGYRLKZIYGBJI-DGCLKSJQSA-N 0 3 234.343 2.608 20 0 BFADHN CCC1(N[C@H](C)c2cc(C(=O)OC)co2)CC1 ZINC000296452759 386174452 /nfs/dbraw/zinc/17/44/52/386174452.db2.gz MURSEEVUUGVKLX-SECBINFHSA-N 0 3 237.299 2.659 20 0 BFADHN CCc1ncc(CN(C)C[C@@H](C)CC)cn1 ZINC000414373272 386175067 /nfs/dbraw/zinc/17/50/67/386175067.db2.gz RGXWPOOOWDVPPX-NSHDSACASA-N 0 3 221.348 2.517 20 0 BFADHN Cc1cc(CNCC(C)(C)CC(C)C)nn1C ZINC000414372465 386175590 /nfs/dbraw/zinc/17/55/90/386175590.db2.gz XWQBMQZGYDFBGG-UHFFFAOYSA-N 0 3 237.391 2.890 20 0 BFADHN CCc1ccc(CN(CC)C2CC2)nc1 ZINC000338906823 386176676 /nfs/dbraw/zinc/17/66/76/386176676.db2.gz VLMKRGDJOQZWCS-UHFFFAOYSA-N 0 3 204.317 2.628 20 0 BFADHN CC[C@H](NCC[C@@H]1CCOC1)c1nccs1 ZINC000336742458 386178617 /nfs/dbraw/zinc/17/86/17/386178617.db2.gz SDOYFYNRIZBKAQ-MNOVXSKESA-N 0 3 240.372 2.610 20 0 BFADHN CN(Cc1ccsc1)[C@H]1CCSC1 ZINC000130627469 386179013 /nfs/dbraw/zinc/17/90/13/386179013.db2.gz XCHIYXOTGRPHEM-JTQLQIEISA-N 0 3 213.371 2.685 20 0 BFADHN CCc1ccc(CN(CC)C(C)C)nc1 ZINC000338920722 386179820 /nfs/dbraw/zinc/17/98/20/386179820.db2.gz LZGJMQMESWMVOA-UHFFFAOYSA-N 0 3 206.333 2.874 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@@H](C(N)=O)c1ccccc1 ZINC000296550659 386181228 /nfs/dbraw/zinc/18/12/28/386181228.db2.gz UMXSIDMRKJDOID-DGCLKSJQSA-N 0 3 248.370 2.627 20 0 BFADHN CC(C)CCCN(C)Cc1ccccn1 ZINC000275122737 386183635 /nfs/dbraw/zinc/18/36/35/386183635.db2.gz JFZLIEPTALAYKS-UHFFFAOYSA-N 0 3 206.333 2.950 20 0 BFADHN CCC=CC[NH2+][C@H](c1nnc[n-]1)C1CCCCC1 ZINC000296556222 386184716 /nfs/dbraw/zinc/18/47/16/386184716.db2.gz RXGFMRBJFSSLEB-MXPWBENCSA-N 0 3 248.374 2.982 20 0 BFADHN CCC=CCN[C@H](c1nnc[nH]1)C1CCCCC1 ZINC000296556222 386184723 /nfs/dbraw/zinc/18/47/23/386184723.db2.gz RXGFMRBJFSSLEB-MXPWBENCSA-N 0 3 248.374 2.982 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H](C)CC(C)(C)O ZINC000296567162 386185057 /nfs/dbraw/zinc/18/50/57/386185057.db2.gz SRCQIWCLJVGGSD-RYUDHWBXSA-N 0 3 236.359 2.590 20 0 BFADHN Cc1nn(C(C)C)cc1CNCCC(C)(F)F ZINC000296017065 386124887 /nfs/dbraw/zinc/12/48/87/386124887.db2.gz MACXTJKMSRRLIU-UHFFFAOYSA-N 0 3 245.317 2.907 20 0 BFADHN C[C@H](CC(=O)OC(C)(C)C)N[C@H]1CCC[C@@H]1F ZINC000340525558 386127186 /nfs/dbraw/zinc/12/71/86/386127186.db2.gz NEGJAPMPYBMDEG-VWYCJHECSA-N 0 3 245.338 2.587 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1c1ccco1)c1ccncc1 ZINC000414333714 386127104 /nfs/dbraw/zinc/12/71/04/386127104.db2.gz HPGFKALMDVCKEP-CYZMBNFOSA-N 0 3 228.295 2.881 20 0 BFADHN CC[C@@H](C)CN(CCOC)Cc1ccccn1 ZINC000287522003 386129417 /nfs/dbraw/zinc/12/94/17/386129417.db2.gz VAFVJOKYVPSEED-CYBMUJFWSA-N 0 3 236.359 2.576 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1c1ccco1)c1cccnc1 ZINC000414337140 386129954 /nfs/dbraw/zinc/12/99/54/386129954.db2.gz KMWWUUZZFNLGLG-RAIGVLPGSA-N 0 3 228.295 2.881 20 0 BFADHN CCSc1cccc(CN(C)CCOC)c1 ZINC000274622231 386130578 /nfs/dbraw/zinc/13/05/78/386130578.db2.gz XBCOTYXPRQAVCQ-UHFFFAOYSA-N 0 3 239.384 2.877 20 0 BFADHN c1c(CNCCc2ccccc2)onc1C1CC1 ZINC000638295316 386131203 /nfs/dbraw/zinc/13/12/03/386131203.db2.gz WMLUGQSPZZSBCP-UHFFFAOYSA-N 0 3 242.322 2.884 20 0 BFADHN CCO[C@@H]1C[C@H](N[C@@H]2CCC[C@H]2F)C12CCC2 ZINC000340527230 386131557 /nfs/dbraw/zinc/13/15/57/386131557.db2.gz RPQWNJOBFCNPDB-FVCCEPFGSA-N 0 3 241.350 2.814 20 0 BFADHN Cn1cccc1[C@H]1CCCN1CCOC1CCC1 ZINC000287577477 386135158 /nfs/dbraw/zinc/13/51/58/386135158.db2.gz CAPCLSHKCZCLQL-OAHLLOKOSA-N 0 3 248.370 2.731 20 0 BFADHN Cn1cccc1[C@@H]1CCCN1CCOC1CCC1 ZINC000287577467 386135616 /nfs/dbraw/zinc/13/56/16/386135616.db2.gz CAPCLSHKCZCLQL-HNNXBMFYSA-N 0 3 248.370 2.731 20 0 BFADHN CO[C@H](CNC1(c2ccccc2)CC1)C1CC1 ZINC000425464372 386136080 /nfs/dbraw/zinc/13/60/80/386136080.db2.gz XNCIIKQQWWJHHT-CQSZACIVSA-N 0 3 231.339 2.690 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@@H]1C[C@H]1c1ccco1 ZINC000414348123 386136474 /nfs/dbraw/zinc/13/64/74/386136474.db2.gz WQWBWPTUKBMJMO-IRUJWGPZSA-N 0 3 245.326 2.528 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC[C@@H]2C)nn1C ZINC000414303519 386137525 /nfs/dbraw/zinc/13/75/25/386137525.db2.gz LOLKMYIKOYTXTN-AAEUAGOBSA-N 0 3 235.375 2.644 20 0 BFADHN C[C@@H]1CCC[C@H](C)[C@H]1N[C@H]1CCn2ccnc21 ZINC000453082830 386137382 /nfs/dbraw/zinc/13/73/82/386137382.db2.gz RHRDCOJYGBARFR-QNWHQSFQSA-N 0 3 233.359 2.742 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCC[C@@H]2CCO)c1 ZINC000287598102 386138472 /nfs/dbraw/zinc/13/84/72/386138472.db2.gz RWUREXOQTXINFO-MJBXVCDLSA-N 0 3 248.370 2.592 20 0 BFADHN CN(Cc1cnn(C)c1)[C@@H]1/C=C/CCCCC1 ZINC000334469696 386140088 /nfs/dbraw/zinc/14/00/88/386140088.db2.gz ZPZDULFEZVOXTK-IZPAUZPXSA-N 0 3 233.359 2.741 20 0 BFADHN CO[C@H]1CCCC[C@H]1NCc1ccoc1C ZINC000414356671 386142442 /nfs/dbraw/zinc/14/24/42/386142442.db2.gz GBAFUZLHYHQJKY-OLZOCXBDSA-N 0 3 223.316 2.635 20 0 BFADHN CCC[C@H](N)C(=O)Nc1cc(C)ccc1Cl ZINC000225363949 386189508 /nfs/dbraw/zinc/18/95/08/386189508.db2.gz SOTLKEIRULFDRH-JTQLQIEISA-N 0 3 240.734 2.714 20 0 BFADHN COC[C@]1(C)CCN([C@@H](C)c2cccnc2)C1 ZINC000296756222 386201066 /nfs/dbraw/zinc/20/10/66/386201066.db2.gz PBCKXMJMZJHFFJ-GXTWGEPZSA-N 0 3 234.343 2.501 20 0 BFADHN CC(C)[C@H]1CN(CCOC2CCC2)CCS1 ZINC000347056717 386207034 /nfs/dbraw/zinc/20/70/34/386207034.db2.gz PKOVKYLNNZMSNN-CYBMUJFWSA-N 0 3 243.416 2.629 20 0 BFADHN c1nc(CCN2CCC3(CCC3)C2)cs1 ZINC000296854857 386208100 /nfs/dbraw/zinc/20/81/00/386208100.db2.gz UMPSFVMIWLUDMU-UHFFFAOYSA-N 0 3 222.357 2.562 20 0 BFADHN CCC1(N[C@@H](C)c2cncc(F)c2)CC1 ZINC000296945505 386212088 /nfs/dbraw/zinc/21/20/88/386212088.db2.gz XSFAEYSZNYVZLF-VIFPVBQESA-N 0 3 208.280 2.814 20 0 BFADHN CCCc1ccc(CN2CCN(C)C[C@@H]2C)cc1 ZINC000353584551 386213707 /nfs/dbraw/zinc/21/37/07/386213707.db2.gz ICLWEQBYERNTNJ-AWEZNQCLSA-N 0 3 246.398 2.775 20 0 BFADHN CC1=C(C)CN(CCOC2CCC2)CC1 ZINC000347071069 386215429 /nfs/dbraw/zinc/21/54/29/386215429.db2.gz DMLAWXBKMQXBHI-UHFFFAOYSA-N 0 3 209.333 2.598 20 0 BFADHN Cc1cnccc1CN1CCC[C@H]1[C@H]1CCCO1 ZINC000296983700 386218056 /nfs/dbraw/zinc/21/80/56/386218056.db2.gz VLSUNDKHUDOPTM-LSDHHAIUSA-N 0 3 246.354 2.533 20 0 BFADHN CSC[C@@H](C)NCc1ccsc1 ZINC000125009308 386219341 /nfs/dbraw/zinc/21/93/41/386219341.db2.gz UYYPQRYUHDFRKB-MRVPVSSYSA-N 0 3 201.360 2.589 20 0 BFADHN CCC(CC)[C@H](O)CNCc1ccc(F)cc1 ZINC000225815218 386221018 /nfs/dbraw/zinc/22/10/18/386221018.db2.gz JEDFMCWFFMJWLN-CQSZACIVSA-N 0 3 239.334 2.712 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1N[C@@H]1CCO[C@H](C)C1 ZINC000288664346 386224324 /nfs/dbraw/zinc/22/43/24/386224324.db2.gz WAFUZDIRHKZWHL-NWJSVONSSA-N 0 3 247.338 2.666 20 0 BFADHN CCc1ccc(CN(C)[C@@H]2CCSC2)nc1 ZINC000339055669 386228208 /nfs/dbraw/zinc/22/82/08/386228208.db2.gz UDUIWRGLRJVZQX-CYBMUJFWSA-N 0 3 236.384 2.581 20 0 BFADHN COC(CN1CCC[C@@H]1c1ccsc1)OC ZINC000275640386 386228228 /nfs/dbraw/zinc/22/82/28/386228228.db2.gz PHQSKUGMSSNMFM-LLVKDONJSA-N 0 3 241.356 2.504 20 0 BFADHN CCc1ccc(CN2CC[C@H](C3CC3)C2)nc1 ZINC000339059091 386228802 /nfs/dbraw/zinc/22/88/02/386228802.db2.gz FKXIMHRKNYPJJM-AWEZNQCLSA-N 0 3 230.355 2.876 20 0 BFADHN CC(C)(C)[C@H]1CCCN1Cc1ccno1 ZINC000264674547 386261416 /nfs/dbraw/zinc/26/14/16/386261416.db2.gz AHMYKIMITGMDSM-LLVKDONJSA-N 0 3 208.305 2.685 20 0 BFADHN CCc1ccc(CN(C)CC2CCOCC2)nc1 ZINC000339060938 386230632 /nfs/dbraw/zinc/23/06/32/386230632.db2.gz SXUGMMQCYBGNDT-UHFFFAOYSA-N 0 3 248.370 2.502 20 0 BFADHN CCc1ccc([C@@H](C)NCC[C@@H](C)CCO)o1 ZINC000297163783 386231445 /nfs/dbraw/zinc/23/14/45/386231445.db2.gz CZYDECUTRAYJQL-VXGBXAGGSA-N 0 3 239.359 2.901 20 0 BFADHN CCc1ccc2nccc(NCC[C@H](C)O)c2c1 ZINC000297205483 386233352 /nfs/dbraw/zinc/23/33/52/386233352.db2.gz RRDXLJNVRCQXGD-NSHDSACASA-N 0 3 244.338 2.980 20 0 BFADHN Fc1ccc(CN2CCC[C@@H](C3CC3)C2)nc1 ZINC000288793821 386234852 /nfs/dbraw/zinc/23/48/52/386234852.db2.gz CNZJZYVYLMCZHW-GFCCVEGCSA-N 0 3 234.318 2.843 20 0 BFADHN Cc1nc(C)c([C@@H](C)N(C)CCCCO)s1 ZINC000264426389 386237061 /nfs/dbraw/zinc/23/70/61/386237061.db2.gz NCPFUZJKZYBXRU-SNVBAGLBSA-N 0 3 242.388 2.525 20 0 BFADHN Cc1cc(C)nc(NCc2cc[nH]c2)c1 ZINC000125259655 386237943 /nfs/dbraw/zinc/23/79/43/386237943.db2.gz DDLNUKMWNMWJFU-UHFFFAOYSA-N 0 3 201.273 2.639 20 0 BFADHN CCCCCN1CCN(c2cccc(O)c2)CC1 ZINC000118000272 386239657 /nfs/dbraw/zinc/23/96/57/386239657.db2.gz NXNAHXDPPVQDSN-UHFFFAOYSA-N 0 3 248.370 2.704 20 0 BFADHN CCCN(C)CC(=O)N[C@H](C)CCC(C)(C)C ZINC000347132017 386240154 /nfs/dbraw/zinc/24/01/54/386240154.db2.gz YTTSZTNWIZJNRW-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1ccc(-c2nn(C)cc2CN(C)C2CC2)o1 ZINC000648001826 386260842 /nfs/dbraw/zinc/26/08/42/386260842.db2.gz MPZNIGJLLCEBJB-UHFFFAOYSA-N 0 3 245.326 2.583 20 0 BFADHN Cc1ccc2nc(CNCC(C)(C)C)cn2c1 ZINC000264521909 386242594 /nfs/dbraw/zinc/24/25/94/386242594.db2.gz AEQLSIFTXJJFMO-UHFFFAOYSA-N 0 3 231.343 2.778 20 0 BFADHN CCC[C@@](C)(O)CNCc1cc(F)ccc1F ZINC000226251476 386242753 /nfs/dbraw/zinc/24/27/53/386242753.db2.gz XNDOSABDJRRQSV-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN CC1(C)CN([C@H]2C=CCCC2)CC(C)(C)O1 ZINC000190557189 386244090 /nfs/dbraw/zinc/24/40/90/386244090.db2.gz YPPCEQLTIRIJIA-LBPRGKRZSA-N 0 3 223.360 2.985 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cccc(C)n1 ZINC000336642624 386245164 /nfs/dbraw/zinc/24/51/64/386245164.db2.gz AKPXFPCJLQMXLO-LLVKDONJSA-N 0 3 206.333 2.868 20 0 BFADHN COC(=O)c1coc(CN2CCCC23CCC3)c1 ZINC000365634841 386245551 /nfs/dbraw/zinc/24/55/51/386245551.db2.gz GWCNHQYQNIQFOD-UHFFFAOYSA-N 0 3 249.310 2.585 20 0 BFADHN CCc1cccnc1NC1CCN(C2CC2)CC1 ZINC000297367159 386248346 /nfs/dbraw/zinc/24/83/46/386248346.db2.gz GEXNPTNQLKCHQK-UHFFFAOYSA-N 0 3 245.370 2.683 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1CCC[C@@H](C)C1 ZINC000336742800 386248455 /nfs/dbraw/zinc/24/84/55/386248455.db2.gz OMYQHWNEDZVAHI-PWSUYJOCSA-N 0 3 221.348 2.634 20 0 BFADHN Cc1cnc([C@@H](C)N[C@@H]2CCCSC2)s1 ZINC000125401238 386252649 /nfs/dbraw/zinc/25/26/49/386252649.db2.gz YZZJQPFMFYGCLD-NXEZZACHSA-N 0 3 242.413 2.998 20 0 BFADHN CC[C@H]1CN(Cc2ccc3occc3c2)C[C@H]1O ZINC000289040368 386253233 /nfs/dbraw/zinc/25/32/33/386253233.db2.gz AQGGACXEGIYNKO-GXTWGEPZSA-N 0 3 245.322 2.636 20 0 BFADHN Cc1ccncc1CCCNCc1ccco1 ZINC000289051569 386254963 /nfs/dbraw/zinc/25/49/63/386254963.db2.gz BKMYULRBNTZIGU-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN CCc1ccc(CN(C)CC[C@@H]2CCCO2)nc1 ZINC000339115174 386256031 /nfs/dbraw/zinc/25/60/31/386256031.db2.gz BKDKULKAPSSMDT-HNNXBMFYSA-N 0 3 248.370 2.645 20 0 BFADHN COC[C@@H](C)N1Cc2ccccc2OC(C)(C)C1 ZINC000289055578 386257915 /nfs/dbraw/zinc/25/79/15/386257915.db2.gz QSHAODVSJXIEPV-GFCCVEGCSA-N 0 3 249.354 2.695 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1nccc2ccccc21 ZINC000289527613 386324214 /nfs/dbraw/zinc/32/42/14/386324214.db2.gz ABVILVJJXCRVFK-NWDGAFQWSA-N 0 3 244.338 2.920 20 0 BFADHN Cc1ccc(CN[C@@H](Cn2cccn2)C(C)C)o1 ZINC000310755535 386282234 /nfs/dbraw/zinc/28/22/34/386282234.db2.gz JPXVUNUWAPPTFW-AWEZNQCLSA-N 0 3 247.342 2.599 20 0 BFADHN c1csc(CN(CCc2cn[nH]c2)C2CC2)c1 ZINC000289336433 386285028 /nfs/dbraw/zinc/28/50/28/386285028.db2.gz LJTPMAWPOMOFGN-UHFFFAOYSA-N 0 3 247.367 2.678 20 0 BFADHN CC1(c2ccccc2)CC(NCc2ncc[nH]2)C1 ZINC000264974155 386288486 /nfs/dbraw/zinc/28/84/86/386288486.db2.gz RSUBUCUGLSDFEL-UHFFFAOYSA-N 0 3 241.338 2.620 20 0 BFADHN CCC(O)(CC)CCNCc1cccc(F)c1 ZINC000276248210 386289663 /nfs/dbraw/zinc/28/96/63/386289663.db2.gz QFSJGCPBOAEDCU-UHFFFAOYSA-N 0 3 239.334 2.857 20 0 BFADHN c1onc(Cc2ccccc2)c1CNCC1CC1 ZINC000339190167 386290722 /nfs/dbraw/zinc/29/07/22/386290722.db2.gz ZDTJNIWFROUZKH-UHFFFAOYSA-N 0 3 242.322 2.765 20 0 BFADHN Cc1occc1CN1CCSC[C@@H](C)C1 ZINC000335263670 386291760 /nfs/dbraw/zinc/29/17/60/386291760.db2.gz FMKFFHVNIZMCIS-JTQLQIEISA-N 0 3 225.357 2.773 20 0 BFADHN c1c[nH]c(CNC[C@H]2CCCc3ccccc32)n1 ZINC000236615243 386293460 /nfs/dbraw/zinc/29/34/60/386293460.db2.gz ZAFBIDAEPPDQOL-CYBMUJFWSA-N 0 3 241.338 2.619 20 0 BFADHN CO[C@H](C)CN(Cc1ccoc1C)C(C)C ZINC000414399471 386297438 /nfs/dbraw/zinc/29/74/38/386297438.db2.gz STVCKVTUFYWGBY-LLVKDONJSA-N 0 3 225.332 2.833 20 0 BFADHN CCSc1cccc(CN(C)[C@@H](C)CO)c1 ZINC000289409320 386298758 /nfs/dbraw/zinc/29/87/58/386298758.db2.gz HONHKVUWEKAFMP-NSHDSACASA-N 0 3 239.384 2.611 20 0 BFADHN CCSCCN1CCC(C(F)F)CC1 ZINC000336671454 386300436 /nfs/dbraw/zinc/30/04/36/386300436.db2.gz KJFKXTRPIWXYML-UHFFFAOYSA-N 0 3 223.332 2.717 20 0 BFADHN CSCCN1CC[C@H]1c1ccccc1 ZINC000336672973 386302965 /nfs/dbraw/zinc/30/29/65/386302965.db2.gz NKWBOXLJUDVPGJ-LBPRGKRZSA-N 0 3 207.342 2.796 20 0 BFADHN Cc1c[nH]nc1CNC[C@H](C)c1ccc(F)cc1 ZINC000289430597 386304159 /nfs/dbraw/zinc/30/41/59/386304159.db2.gz DSKRLPBERUTTJB-JTQLQIEISA-N 0 3 247.317 2.751 20 0 BFADHN CCC1(CO)CCN(Cc2ccoc2C)CC1 ZINC000414403150 386304929 /nfs/dbraw/zinc/30/49/29/386304929.db2.gz ZTCPBARYNIRWQF-UHFFFAOYSA-N 0 3 237.343 2.573 20 0 BFADHN CCCCN(C(=O)CNC)[C@H](C)c1ccccc1 ZINC000236743847 386309447 /nfs/dbraw/zinc/30/94/47/386309447.db2.gz NRYUXXZRQAOEEN-CYBMUJFWSA-N 0 3 248.370 2.596 20 0 BFADHN CSC[C@@H](C)N[C@@H](C)c1cc(C)ccn1 ZINC000336731121 386310182 /nfs/dbraw/zinc/31/01/82/386310182.db2.gz KNAOBYCLDGFXQQ-MNOVXSKESA-N 0 3 224.373 2.792 20 0 BFADHN CO[C@@H](C)CN(Cc1cnc(C)s1)C(C)C ZINC000336669058 386310258 /nfs/dbraw/zinc/31/02/58/386310258.db2.gz HTCXHLZVPRJESO-JTQLQIEISA-N 0 3 242.388 2.697 20 0 BFADHN C[C@@H](N[C@H]1CNCCC1(F)F)C1CCCCC1 ZINC000415005840 386312405 /nfs/dbraw/zinc/31/24/05/386312405.db2.gz SDALCIIAYXVAII-PWSUYJOCSA-N 0 3 246.345 2.542 20 0 BFADHN CCC[C@H](N)C(=O)N1CC[C@@H](C)c2ccccc21 ZINC000236808653 386314381 /nfs/dbraw/zinc/31/43/81/386314381.db2.gz GAAOBUNTXFKXPO-YPMHNXCESA-N 0 3 246.354 2.654 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1ccn(C)n1 ZINC000336679576 386322643 /nfs/dbraw/zinc/32/26/43/386322643.db2.gz PNVGGNFCSRUTHB-CYBMUJFWSA-N 0 3 221.348 2.575 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H]2NCc1cccnc1 ZINC000133383628 386322813 /nfs/dbraw/zinc/32/28/13/386322813.db2.gz HEKLXZKRYXDXPF-HNNXBMFYSA-N 0 3 242.297 2.998 20 0 BFADHN CC(C)(C)[C@@H]1CCCN1Cc1ccno1 ZINC000264674549 386261654 /nfs/dbraw/zinc/26/16/54/386261654.db2.gz AHMYKIMITGMDSM-NSHDSACASA-N 0 3 208.305 2.685 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cnc([C@@H](C)O)s1 ZINC000289140015 386265149 /nfs/dbraw/zinc/26/51/49/386265149.db2.gz PINDSJPKDKHPTE-NXEZZACHSA-N 0 3 242.388 2.674 20 0 BFADHN Cc1occc1CNCCCC1CCOCC1 ZINC000347166749 386265925 /nfs/dbraw/zinc/26/59/25/386265925.db2.gz KXXNWAVONPYGNA-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN CSC1(CN(C)Cc2ccc(C)o2)CC1 ZINC000289132832 386266532 /nfs/dbraw/zinc/26/65/32/386266532.db2.gz OQYXCOPGMXULII-UHFFFAOYSA-N 0 3 225.357 2.915 20 0 BFADHN CSCCN1CC[C@@H]1Cc1ccccc1 ZINC000336653351 386268638 /nfs/dbraw/zinc/26/86/38/386268638.db2.gz YEDSCXVASIDSGH-CYBMUJFWSA-N 0 3 221.369 2.666 20 0 BFADHN COc1ccncc1CN1CC[C@@H](C)C(C)(C)C1 ZINC000347186091 386272543 /nfs/dbraw/zinc/27/25/43/386272543.db2.gz CSHOCHDODIZSIL-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN CCCCN(CC)Cc1ccc(F)cn1 ZINC000276107457 386273957 /nfs/dbraw/zinc/27/39/57/386273957.db2.gz ADIIWKGNICMPJZ-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN COc1cnccc1[C@H](C)NC1CCCC1 ZINC000187042266 386276835 /nfs/dbraw/zinc/27/68/35/386276835.db2.gz AMJREKBZFGMCAM-JTQLQIEISA-N 0 3 220.316 2.683 20 0 BFADHN COc1cnccc1[C@@H](C)NC1CCCC1 ZINC000187042285 386276924 /nfs/dbraw/zinc/27/69/24/386276924.db2.gz AMJREKBZFGMCAM-SNVBAGLBSA-N 0 3 220.316 2.683 20 0 BFADHN CC[C@H](c1ccncc1)N(C)CC1(C)COC1 ZINC000336682292 386340211 /nfs/dbraw/zinc/34/02/11/386340211.db2.gz DERDOFHGIOENNK-CYBMUJFWSA-N 0 3 234.343 2.501 20 0 BFADHN c1cnc(CN2CCC3(CCCC3)CC2)cn1 ZINC000335277693 386344162 /nfs/dbraw/zinc/34/41/62/386344162.db2.gz SOZTXALUGPEESR-UHFFFAOYSA-N 0 3 231.343 2.633 20 0 BFADHN Cc1cn[nH]c1CN[C@@H]1CCc2cc(F)ccc21 ZINC000289697759 386345208 /nfs/dbraw/zinc/34/52/08/386345208.db2.gz BQERIYTXQSMCMN-CYBMUJFWSA-N 0 3 245.301 2.634 20 0 BFADHN Cc1ccc(NC(=O)CN(C)[C@H](C)C(C)C)cc1 ZINC000298463208 386348609 /nfs/dbraw/zinc/34/86/09/386348609.db2.gz MYGKEJCDXLCFSR-CYBMUJFWSA-N 0 3 248.370 2.910 20 0 BFADHN CC[C@@H](C)CN1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289739546 386351071 /nfs/dbraw/zinc/35/10/71/386351071.db2.gz DWODJVAJDDRZKL-ZYHUDNBSSA-N 0 3 241.766 2.829 20 0 BFADHN c1cc([C@@H]2CCCN2CC2=CCCOC2)ccn1 ZINC000289793372 386355733 /nfs/dbraw/zinc/35/57/33/386355733.db2.gz MCWMIVJYZOLOAX-HNNXBMFYSA-N 0 3 244.338 2.565 20 0 BFADHN C[C@H](CCC1CC1)N[C@H](C)c1ccon1 ZINC000336774720 386364018 /nfs/dbraw/zinc/36/40/18/386364018.db2.gz ZOEZSHIBFILMDT-NXEZZACHSA-N 0 3 208.305 2.904 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)CCC[C@H](C)O ZINC000336692225 386364267 /nfs/dbraw/zinc/36/42/67/386364267.db2.gz HSTBJRHGNUTZRA-NWDGAFQWSA-N 0 3 237.343 2.546 20 0 BFADHN COC(C)(C)CCN1CCOC[C@@H]1CC(C)C ZINC000336692749 386364976 /nfs/dbraw/zinc/36/49/76/386364976.db2.gz IIJZZPYIAWNTMN-ZDUSSCGKSA-N 0 3 243.391 2.548 20 0 BFADHN CN(C)c1cccnc1CNCC1CCCCC1 ZINC000289860159 386365452 /nfs/dbraw/zinc/36/54/52/386365452.db2.gz QJBYZHJZFWFSCQ-UHFFFAOYSA-N 0 3 247.386 2.818 20 0 BFADHN CC(C)CCN1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289899070 386366710 /nfs/dbraw/zinc/36/67/10/386366710.db2.gz NHEWVKRBYWGVDX-LBPRGKRZSA-N 0 3 241.766 2.829 20 0 BFADHN C[C@@H]1c2ccsc2CCN1CC1(C)COC1 ZINC000336693868 386369322 /nfs/dbraw/zinc/36/93/22/386369322.db2.gz FAUQELUBZSKCPZ-SNVBAGLBSA-N 0 3 237.368 2.704 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1CCCC[C@@H]1C ZINC000336743244 386369974 /nfs/dbraw/zinc/36/99/74/386369974.db2.gz OVUXUKLPQPLCHJ-CMPLNLGQSA-N 0 3 221.348 2.634 20 0 BFADHN CCCCCN1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289901293 386371128 /nfs/dbraw/zinc/37/11/28/386371128.db2.gz NMNPWIDBSRTHPQ-GFCCVEGCSA-N 0 3 241.766 2.974 20 0 BFADHN CCCCCN1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289901298 386371837 /nfs/dbraw/zinc/37/18/37/386371837.db2.gz NMNPWIDBSRTHPQ-LBPRGKRZSA-N 0 3 241.766 2.974 20 0 BFADHN CN(C)c1cccnc1CN[C@@H]1CCCC1(C)C ZINC000289929147 386373050 /nfs/dbraw/zinc/37/30/50/386373050.db2.gz VJFGNDZXJIAGAP-CQSZACIVSA-N 0 3 247.386 2.816 20 0 BFADHN Cc1ccsc1CN(C)CCN1CCCC1 ZINC000336695724 386373519 /nfs/dbraw/zinc/37/35/19/386373519.db2.gz GQTJHYXXCIKZNI-UHFFFAOYSA-N 0 3 238.400 2.584 20 0 BFADHN CC(C)SCCN1CCOCC2(CCC2)C1 ZINC000366990926 386375600 /nfs/dbraw/zinc/37/56/00/386375600.db2.gz VKWUKNLSHRLNLV-UHFFFAOYSA-N 0 3 243.416 2.631 20 0 BFADHN C[C@@H](NCc1cccc(N)c1)c1cccnc1 ZINC000647970410 386375959 /nfs/dbraw/zinc/37/59/59/386375959.db2.gz PKFZITPGNABLNK-LLVKDONJSA-N 0 3 227.311 2.515 20 0 BFADHN Cc1occc1CN(C)CC[C@@H]1CCCCO1 ZINC000347388598 386376064 /nfs/dbraw/zinc/37/60/64/386376064.db2.gz DPLSVXHVIAFRKD-AWEZNQCLSA-N 0 3 237.343 2.979 20 0 BFADHN CC[C@@H](NCCSC(C)C)c1ccn(C)n1 ZINC000336732165 386380703 /nfs/dbraw/zinc/38/07/03/386380703.db2.gz JFGFFEUIVITAIE-LLVKDONJSA-N 0 3 241.404 2.602 20 0 BFADHN Cc1nocc1CNC[C@H]1CCc2ccccc21 ZINC000339250807 386325945 /nfs/dbraw/zinc/32/59/45/386325945.db2.gz YVYKPCFBIPCJJZ-CYBMUJFWSA-N 0 3 242.322 2.803 20 0 BFADHN CCCCN(CC)C(=O)CN(C)CC(C)(C)C ZINC000339256334 386329303 /nfs/dbraw/zinc/32/93/03/386329303.db2.gz FQMZKLRRUYXMLT-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN CC[C@H](NCc1n[nH]cc1C)C(C)(C)C ZINC000336773952 386331863 /nfs/dbraw/zinc/33/18/63/386331863.db2.gz ZCJDEMVHMNSWKK-NSHDSACASA-N 0 3 209.337 2.632 20 0 BFADHN C[C@@H](NC[C@@H](O)C1CCC1)c1cccc(F)c1 ZINC000315750712 259686853 /nfs/dbraw/zinc/68/68/53/259686853.db2.gz NAYKVIUOSUVILJ-QMTHXVAHSA-N 0 3 237.318 2.637 20 0 BFADHN CCN(Cc1cccs1)[C@H]1C=C[C@@H](CO)C1 ZINC000347336835 386331950 /nfs/dbraw/zinc/33/19/50/386331950.db2.gz KPUDKYNMMLQODP-NEPJUHHUSA-N 0 3 237.368 2.507 20 0 BFADHN C[C@@]1(F)CCN(CCCOc2ccccc2)C1 ZINC000347334603 386332410 /nfs/dbraw/zinc/33/24/10/386332410.db2.gz BJXCTCXDOBNBNC-CQSZACIVSA-N 0 3 237.318 2.889 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1NCc1ccc(Cl)o1 ZINC000164843972 386335064 /nfs/dbraw/zinc/33/50/64/386335064.db2.gz HGCOCNAXIVZXRX-WDEREUQCSA-N 0 3 243.734 2.980 20 0 BFADHN CCc1cccc(Cl)c1CNCC(C)(C)O ZINC000336775374 386386128 /nfs/dbraw/zinc/38/61/28/386386128.db2.gz YVBXMCZIRWRDBF-UHFFFAOYSA-N 0 3 241.762 2.763 20 0 BFADHN Cc1noc(C)c1CN(C)[C@@H](C)C(C)C ZINC000298402368 386337285 /nfs/dbraw/zinc/33/72/85/386337285.db2.gz GMRQFDOHHKKUDM-JTQLQIEISA-N 0 3 210.321 2.768 20 0 BFADHN C[C@H](O)CCCN1CC[C@@H]1c1ccccc1 ZINC000336682029 386338467 /nfs/dbraw/zinc/33/84/67/386338467.db2.gz YKSMRKJARFLWDW-GXTWGEPZSA-N 0 3 219.328 2.594 20 0 BFADHN CCN(CC1=CCCOC1)[C@H](C)c1cccnc1 ZINC000290477146 386440064 /nfs/dbraw/zinc/44/00/64/386440064.db2.gz NUTYAGMHQRKEHC-CYBMUJFWSA-N 0 3 246.354 2.811 20 0 BFADHN COc1cc(C)nc(CN2CC[C@@H](C)[C@H](C)C2)c1 ZINC000347563437 386452701 /nfs/dbraw/zinc/45/27/01/386452701.db2.gz IYGSZBOBAYHEAR-VXGBXAGGSA-N 0 3 248.370 2.877 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2C[C@H]2C(C)C)nn1C ZINC000453335391 386442678 /nfs/dbraw/zinc/44/26/78/386442678.db2.gz DLRGDPGSHZWSQE-AVGNSLFASA-N 0 3 235.375 2.671 20 0 BFADHN C[C@H](N[C@H]1CCC1(C)C)c1nccs1 ZINC000284128751 386443661 /nfs/dbraw/zinc/44/36/61/386443661.db2.gz CTORWRDPHMGPAY-IUCAKERBSA-N 0 3 210.346 2.982 20 0 BFADHN CSC1(CN(C)Cc2ccco2)CCC1 ZINC000290492326 386443621 /nfs/dbraw/zinc/44/36/21/386443621.db2.gz RTHLNAQZQVTCQO-UHFFFAOYSA-N 0 3 225.357 2.997 20 0 BFADHN C[C@@H](F)CCNCc1c[nH]nc1C(C)(C)C ZINC000339496012 386445366 /nfs/dbraw/zinc/44/53/66/386445366.db2.gz MNNQDAKVFIXJAR-SECBINFHSA-N 0 3 227.327 2.545 20 0 BFADHN c1cncc(CN(C[C@@H]2CCSC2)C2CC2)c1 ZINC000290510493 386445634 /nfs/dbraw/zinc/44/56/34/386445634.db2.gz PNBOKHKBZZMRNL-ZDUSSCGKSA-N 0 3 248.395 2.799 20 0 BFADHN CCN(C[C@H](O)c1cccc(Cl)c1)C1CC1 ZINC000266520133 386446089 /nfs/dbraw/zinc/44/60/89/386446089.db2.gz ZNTJZDYLIRYIRA-ZDUSSCGKSA-N 0 3 239.746 2.858 20 0 BFADHN CCC1CCN(Cc2cncc(OC)c2)CC1 ZINC000290502201 386446842 /nfs/dbraw/zinc/44/68/42/386446842.db2.gz YGIKWPPMRZPOEW-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@@H](NC1(CO)CC1)c1cc2ccccc2o1 ZINC000336719041 386448164 /nfs/dbraw/zinc/44/81/64/386448164.db2.gz RSGWFYPWWRQRRK-SNVBAGLBSA-N 0 3 231.295 2.608 20 0 BFADHN C[C@H](c1ccc(F)c(F)c1)N(C)CC[C@H](C)O ZINC000266541361 386449606 /nfs/dbraw/zinc/44/96/06/386449606.db2.gz QAFCVZFWPCCQJM-VHSXEESVSA-N 0 3 243.297 2.729 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NC[C@H](C)C(F)(F)F ZINC000347554311 386449544 /nfs/dbraw/zinc/44/95/44/386449544.db2.gz JXAGFTXCJCOBDS-YUMQZZPRSA-N 0 3 249.280 2.578 20 0 BFADHN CSCCCN(C)Cc1ccsc1 ZINC000266535259 386449812 /nfs/dbraw/zinc/44/98/12/386449812.db2.gz NXPJNEBDTVVMOY-UHFFFAOYSA-N 0 3 215.387 2.933 20 0 BFADHN CCC(CC)(CC)CN[C@H]1CNCCC1(F)F ZINC000415010261 386450317 /nfs/dbraw/zinc/45/03/17/386450317.db2.gz YFAAMCUVKDXFKD-NSHDSACASA-N 0 3 248.361 2.790 20 0 BFADHN C[C@@H](O)CCN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000266541356 386450564 /nfs/dbraw/zinc/45/05/64/386450564.db2.gz QAFCVZFWPCCQJM-NXEZZACHSA-N 0 3 243.297 2.729 20 0 BFADHN CCn1nncc1CNCCCCC(C)(C)C ZINC000417915809 386450963 /nfs/dbraw/zinc/45/09/63/386450963.db2.gz UGFHEZFFKQBJOQ-UHFFFAOYSA-N 0 3 238.379 2.604 20 0 BFADHN Cc1ccc([C@@H](O)CNCc2cccc(F)c2)o1 ZINC000266049909 386394121 /nfs/dbraw/zinc/39/41/21/386394121.db2.gz VFDORJJXHNOKCC-ZDUSSCGKSA-N 0 3 249.285 2.550 20 0 BFADHN CC[C@H](CN[C@@H]1CCc2c1cccc2OC)OC ZINC000290160403 386402024 /nfs/dbraw/zinc/40/20/24/386402024.db2.gz LYAVSNYOLRHBHS-BXUZGUMPSA-N 0 3 249.354 2.697 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1ccc(OC)nn1 ZINC000282580181 386403049 /nfs/dbraw/zinc/40/30/49/386403049.db2.gz VXQLNQUMGSFWOV-WCQYABFASA-N 0 3 249.358 2.544 20 0 BFADHN CO[C@H](C)CN(C)Cc1cc(C)ccc1C ZINC000277075570 386404501 /nfs/dbraw/zinc/40/45/01/386404501.db2.gz LHLZIYTWKBPBHF-CYBMUJFWSA-N 0 3 221.344 2.770 20 0 BFADHN Cc1sccc1CN[C@H]1C[C@H]1C(F)F ZINC000339398161 386404812 /nfs/dbraw/zinc/40/48/12/386404812.db2.gz BOPRHFYQUOKHIG-BDAKNGLRSA-N 0 3 217.284 2.800 20 0 BFADHN Cc1sccc1CN[C@@H]1C[C@@H]1C(F)F ZINC000339398162 386406596 /nfs/dbraw/zinc/40/65/96/386406596.db2.gz BOPRHFYQUOKHIG-DTWKUNHWSA-N 0 3 217.284 2.800 20 0 BFADHN Cc1occc1CN(C)CCCCCCO ZINC000226834975 386406852 /nfs/dbraw/zinc/40/68/52/386406852.db2.gz KSELTGUCEONAEQ-UHFFFAOYSA-N 0 3 225.332 2.573 20 0 BFADHN CCOC1CC(N(C)CCOc2ccccc2)C1 ZINC000347446377 386407406 /nfs/dbraw/zinc/40/74/06/386407406.db2.gz KZCWHFXKDOWHRH-UHFFFAOYSA-N 0 3 249.354 2.565 20 0 BFADHN C[C@H](CF)NCCCc1ccc2c(c1)CCO2 ZINC000290222511 386409113 /nfs/dbraw/zinc/40/91/13/386409113.db2.gz RWZXRQKXUHDIQC-LLVKDONJSA-N 0 3 237.318 2.502 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1NC[C@@H]1CCC=CO1 ZINC000290238129 386409469 /nfs/dbraw/zinc/40/94/69/386409469.db2.gz UJBKIZCWTIEZGX-HUBLWGQQSA-N 0 3 245.322 2.791 20 0 BFADHN Cc1n[nH]cc1CN(C)C1CCC(F)(F)CC1 ZINC000290247103 386413149 /nfs/dbraw/zinc/41/31/49/386413149.db2.gz VHKBHAZFMSGTEU-UHFFFAOYSA-N 0 3 243.301 2.728 20 0 BFADHN CCc1ncc(CN(C)C2(C)CCCC2)cn1 ZINC000414429066 386419739 /nfs/dbraw/zinc/41/97/39/386419739.db2.gz BXKDXWXACWQHFA-UHFFFAOYSA-N 0 3 233.359 2.804 20 0 BFADHN CCOc1cc(C)ccc1CNC1CC(OC)C1 ZINC000347417367 386421630 /nfs/dbraw/zinc/42/16/30/386421630.db2.gz JSPHPKGKAUDYME-UHFFFAOYSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H](O)CCCN([C@H](C)c1ccco1)C1CC1 ZINC000336710945 386424294 /nfs/dbraw/zinc/42/42/94/386424294.db2.gz NHQLLKMEWCKUGZ-VXGBXAGGSA-N 0 3 237.343 2.966 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cc(C)n(C)n1 ZINC000336712742 386430928 /nfs/dbraw/zinc/43/09/28/386430928.db2.gz OSWANOVQAUOEQE-AWEZNQCLSA-N 0 3 235.375 2.883 20 0 BFADHN Cc1cc(CN[C@H](C)C2CCCCC2)nn1C ZINC000347568482 386452423 /nfs/dbraw/zinc/45/24/23/386452423.db2.gz UCHFAJXKCDHSJZ-GFCCVEGCSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1cc(CN[C@H]2CCCC(C)(C)C2)nn1C ZINC000414430840 386432260 /nfs/dbraw/zinc/43/22/60/386432260.db2.gz GLHAYOWFDMZXJP-LBPRGKRZSA-N 0 3 235.375 2.787 20 0 BFADHN CCN(CC[C@@H](C)O)[C@H](C)c1cccc(O)c1 ZINC000336715018 386432917 /nfs/dbraw/zinc/43/29/17/386432917.db2.gz SDCJMQQKCQHLIU-VXGBXAGGSA-N 0 3 237.343 2.546 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C(C)(C)C)C2)cn1 ZINC000414432233 386434388 /nfs/dbraw/zinc/43/43/88/386434388.db2.gz MFTQEEMFCBYLBZ-CYBMUJFWSA-N 0 3 247.386 2.907 20 0 BFADHN C[C@@H](N[C@H]1CSC1(C)C)c1ccco1 ZINC000283422540 386434864 /nfs/dbraw/zinc/43/48/64/386434864.db2.gz RRHBKZQTMYGBBW-SCZZXKLOSA-N 0 3 211.330 2.824 20 0 BFADHN CCc1ncc(CN2C[C@@H](C)CC(C)(C)C2)cn1 ZINC000414440203 386467077 /nfs/dbraw/zinc/46/70/77/386467077.db2.gz YEQCFFYAXUFAIO-LBPRGKRZSA-N 0 3 247.386 2.907 20 0 BFADHN Cc1occc1CNC[C@@H](C)C(F)(F)F ZINC000414440087 386468693 /nfs/dbraw/zinc/46/86/93/386468693.db2.gz XSRGJMFSUWFSJS-SSDOTTSWSA-N 0 3 221.222 2.876 20 0 BFADHN CCC(C)(C)CN[C@H](c1nccn1C)C1CC1 ZINC000191830831 386470313 /nfs/dbraw/zinc/47/03/13/386470313.db2.gz CDTBMEUSYJJSMH-LBPRGKRZSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1ccc(F)cc1CN1C[C@H](C)[C@@H]1C ZINC000339570821 386470330 /nfs/dbraw/zinc/47/03/30/386470330.db2.gz XZCFCXHOLYSMBV-QWRGUYRKSA-N 0 3 207.292 2.974 20 0 BFADHN Cc1ccc([C@H](O)CN[C@@H](C)c2ccccc2)o1 ZINC000266813111 386470602 /nfs/dbraw/zinc/47/06/02/386470602.db2.gz WPSGMCFZULZLPZ-GXTWGEPZSA-N 0 3 245.322 2.972 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1COC(C)(C)C1 ZINC000417926393 386470980 /nfs/dbraw/zinc/47/09/80/386470980.db2.gz DOPSKSLCNYJFIV-ZDUSSCGKSA-N 0 3 249.354 2.661 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2cc(C)ccn2)C1(C)C ZINC000353527681 386471468 /nfs/dbraw/zinc/47/14/68/386471468.db2.gz LOPUMZKLHOSXES-MRVWCRGKSA-N 0 3 248.370 2.854 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CC=CCC2)nn1 ZINC000414440999 386473279 /nfs/dbraw/zinc/47/32/79/386473279.db2.gz ZFYJWLLFDZGHFK-ZDUSSCGKSA-N 0 3 231.343 2.573 20 0 BFADHN COc1cc(CN[C@H]2CCC[C@@H]2F)ccc1C ZINC000339586536 386480032 /nfs/dbraw/zinc/48/00/32/386480032.db2.gz JZNFTLWNXMHLKC-STQMWFEESA-N 0 3 237.318 2.984 20 0 BFADHN COc1cc(CN[C@@H]2CCC[C@@H]2F)ccc1C ZINC000339586535 386480348 /nfs/dbraw/zinc/48/03/48/386480348.db2.gz JZNFTLWNXMHLKC-QWHCGFSZSA-N 0 3 237.318 2.984 20 0 BFADHN CSCCCN(C)Cc1cccc(O)c1 ZINC000266934644 386481508 /nfs/dbraw/zinc/48/15/08/386481508.db2.gz POECKTHIAQEDOI-UHFFFAOYSA-N 0 3 225.357 2.577 20 0 BFADHN CCOc1ccc(CN(CCOC)C2CC2)cc1 ZINC000339605313 386483744 /nfs/dbraw/zinc/48/37/44/386483744.db2.gz GMCBUPBLVCAWEW-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN c1coc(SCCCN2CCCCC2)n1 ZINC000278020475 386486323 /nfs/dbraw/zinc/48/63/23/386486323.db2.gz QBQIHRGRZUVJRH-UHFFFAOYSA-N 0 3 226.345 2.643 20 0 BFADHN C[C@H](NC[C@@H]1CC12CC2)c1ccncc1F ZINC000417346827 386488563 /nfs/dbraw/zinc/48/85/63/386488563.db2.gz ZWIWAXQFISJQRA-UWVGGRQHSA-N 0 3 220.291 2.671 20 0 BFADHN C[C@H](N[C@H]1C[C@@](C)(O)C1)c1cccc(Cl)c1 ZINC000417354065 386489473 /nfs/dbraw/zinc/48/94/73/386489473.db2.gz KTWXTRFTIHQUTB-TVYUQYBPSA-N 0 3 239.746 2.904 20 0 BFADHN F[C@@H]1CCC[C@H]1NC/C=C\c1ccncc1 ZINC000339585853 386490068 /nfs/dbraw/zinc/49/00/68/386490068.db2.gz COXRPMFXNFFBRJ-LLGAQUFESA-N 0 3 220.291 2.575 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccoc2)C12CCC2 ZINC000135199875 386490219 /nfs/dbraw/zinc/49/02/19/386490219.db2.gz DPKGDWKUEXWHPH-STQMWFEESA-N 0 3 235.327 2.717 20 0 BFADHN Cc1occc1CN1CCCC[C@H]1C[C@H](C)O ZINC000414444894 386490835 /nfs/dbraw/zinc/49/08/35/386490835.db2.gz LTVHQQWRDKAEAH-FZMZJTMJSA-N 0 3 237.343 2.713 20 0 BFADHN Cc1ccc(C)c(CN2C[C@@H](C)N(C)[C@@H](C)C2)c1 ZINC000339622970 386491141 /nfs/dbraw/zinc/49/11/41/386491141.db2.gz KFMINJRCEUANGU-GASCZTMLSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1occc1CN1CCCC[C@@H]1C[C@@H](C)O ZINC000414444893 386491797 /nfs/dbraw/zinc/49/17/97/386491797.db2.gz LTVHQQWRDKAEAH-BXUZGUMPSA-N 0 3 237.343 2.713 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2C[C@@](C)(O)C2)s1 ZINC000417355913 386493526 /nfs/dbraw/zinc/49/35/26/386493526.db2.gz OPQRQHGQDOOBHY-FOGDFJRCSA-N 0 3 225.357 2.621 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC[C@H](C)[C@@H]2C)nn1 ZINC000414446107 386494274 /nfs/dbraw/zinc/49/42/74/386494274.db2.gz NULVOOGMALSNAW-UBHSHLNASA-N 0 3 247.386 2.947 20 0 BFADHN CC[C@H](O)CN1CCc2c(cccc2C(C)C)C1 ZINC000191890624 386496901 /nfs/dbraw/zinc/49/69/01/386496901.db2.gz PJZRSNMWSAGKQL-AWEZNQCLSA-N 0 3 247.382 2.939 20 0 BFADHN CCC[C@@H](NCc1cncnc1OC)C1CCC1 ZINC000648043047 386497739 /nfs/dbraw/zinc/49/77/39/386497739.db2.gz CWDYLMKEXGNTBX-CYBMUJFWSA-N 0 3 249.358 2.544 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccncc1)CC(C)C ZINC000417325623 386497964 /nfs/dbraw/zinc/49/79/64/386497964.db2.gz ACIPKEUNUOFUFL-OCCSQVGLSA-N 0 3 236.359 2.793 20 0 BFADHN CC(C)c1cnc(N[C@H](C)CN(C)C)s1 ZINC000336763990 386498750 /nfs/dbraw/zinc/49/87/50/386498750.db2.gz PRKDNRPPWPVBHW-SECBINFHSA-N 0 3 227.377 2.629 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)[C@@H](C)C2)cc1 ZINC000339632443 386498902 /nfs/dbraw/zinc/49/89/02/386498902.db2.gz YWFMBNYVOYRLQS-OKILXGFUSA-N 0 3 232.371 2.519 20 0 BFADHN Cn1ccc(CN2CC(C)(C)[C@@H]2C2CC2)c1 ZINC000291003835 386499957 /nfs/dbraw/zinc/49/99/57/386499957.db2.gz BEBISMQYVOLPKS-ZDUSSCGKSA-N 0 3 218.344 2.646 20 0 BFADHN C[C@H](NC[C@H]1CC12CC2)c1ccccn1 ZINC000417332214 386501142 /nfs/dbraw/zinc/50/11/42/386501142.db2.gz CUNJCCKEWIBFLX-WDEREUQCSA-N 0 3 202.301 2.532 20 0 BFADHN CCN(CCO)[C@@H](C)c1cccc(Cl)c1 ZINC000267165068 386504453 /nfs/dbraw/zinc/50/44/53/386504453.db2.gz RUIUNIJRBAYAHK-JTQLQIEISA-N 0 3 227.735 2.715 20 0 BFADHN CCCc1nc(C)c(CNC[C@@H]2C[C@H]2C)o1 ZINC000336779591 386506562 /nfs/dbraw/zinc/50/65/62/386506562.db2.gz OTTCVIVYWMKGQO-KOLCDFICSA-N 0 3 222.332 2.681 20 0 BFADHN CC(C)COCCN1CC[C@H]1Cc1ccccc1 ZINC000336783687 386507238 /nfs/dbraw/zinc/50/72/38/386507238.db2.gz FIFJACFMAASIEV-INIZCTEOSA-N 0 3 247.382 2.976 20 0 BFADHN c1coc(CN2CC[C@H](c3cccnc3)C2)c1 ZINC000335444766 386508628 /nfs/dbraw/zinc/50/86/28/386508628.db2.gz VAWRZVWNBLOPPQ-ZDUSSCGKSA-N 0 3 228.295 2.664 20 0 BFADHN COC1(CNCc2ccccn2)CCCCC1 ZINC000187447975 386529929 /nfs/dbraw/zinc/52/99/29/386529929.db2.gz WMQQTWRSXLKHEZ-UHFFFAOYSA-N 0 3 234.343 2.521 20 0 BFADHN Cc1occc1CN1CC[C@@H](c2cccnc2)C1 ZINC000335444692 386509909 /nfs/dbraw/zinc/50/99/09/386509909.db2.gz RYLZUPLPTJRQBY-OAHLLOKOSA-N 0 3 242.322 2.973 20 0 BFADHN C[C@H]1CN(Cc2ccncc2F)C(C)(C)C1 ZINC000335445578 386510025 /nfs/dbraw/zinc/51/00/25/386510025.db2.gz SIOBDMKFZYBUFU-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H](O)CN(C)Cc1ccc(C2CCC2)cc1 ZINC000291262157 386529966 /nfs/dbraw/zinc/52/99/66/386529966.db2.gz OTEKVPAWPZGKBS-GFCCVEGCSA-N 0 3 233.355 2.767 20 0 BFADHN Fc1ccc(N2CCN(CCC3CC3)CC2)cc1 ZINC000336784671 386510914 /nfs/dbraw/zinc/51/09/14/386510914.db2.gz UYKOPNPHHARBND-UHFFFAOYSA-N 0 3 248.345 2.748 20 0 BFADHN Fc1ccccc1N1CCN(CCC2CC2)CC1 ZINC000336784717 386510967 /nfs/dbraw/zinc/51/09/67/386510967.db2.gz VZDVXVYZXUKCGF-UHFFFAOYSA-N 0 3 248.345 2.748 20 0 BFADHN COC(=O)c1coc(CN[C@H](C)CC(C)C)c1 ZINC000135413040 386511458 /nfs/dbraw/zinc/51/14/58/386511458.db2.gz XOLVDHGTQNFGPS-SNVBAGLBSA-N 0 3 239.315 2.590 20 0 BFADHN CN(Cc1ccc(Cl)nc1)CC1CCC1 ZINC000061457724 386513683 /nfs/dbraw/zinc/51/36/83/386513683.db2.gz CODSETCIJHVCOU-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN Cn1ccc(CN2CC[C@H](CC(F)(F)F)C2)c1 ZINC000278386921 386519735 /nfs/dbraw/zinc/51/97/35/386519735.db2.gz MJYDDFUXIVHWLL-SNVBAGLBSA-N 0 3 246.276 2.799 20 0 BFADHN Cc1occc1CNCC1(CO)CC2(CCC2)C1 ZINC000414449278 386520447 /nfs/dbraw/zinc/52/04/47/386520447.db2.gz UIFLXIJOBGEASA-UHFFFAOYSA-N 0 3 249.354 2.620 20 0 BFADHN CO[C@](C)(CN[C@@H](C)c1cnccc1C)C1CC1 ZINC000291192924 386522602 /nfs/dbraw/zinc/52/26/02/386522602.db2.gz LMEDKYDFHKDAKZ-SWLSCSKDSA-N 0 3 248.370 2.856 20 0 BFADHN CO[C@@](C)(CN[C@@H](C)c1cncc(C)c1)C1CC1 ZINC000291234612 386526027 /nfs/dbraw/zinc/52/60/27/386526027.db2.gz OHCNRRFQLMSNMK-WFASDCNBSA-N 0 3 248.370 2.856 20 0 BFADHN Cc1nc(CN[C@H]2CCCC[C@H]2C)co1 ZINC000647971008 386455895 /nfs/dbraw/zinc/45/58/95/386455895.db2.gz PANRZVZRYZQLKO-SKDRFNHKSA-N 0 3 208.305 2.651 20 0 BFADHN CCc1ccccc1CCN(C)[C@@H]1CCOC1 ZINC000277655480 386456451 /nfs/dbraw/zinc/45/64/51/386456451.db2.gz IPBCWMKRJSQKLF-OAHLLOKOSA-N 0 3 233.355 2.512 20 0 BFADHN CCSCC[C@H](C)N(C)Cc1cc(C)no1 ZINC000266648178 386457352 /nfs/dbraw/zinc/45/73/52/386457352.db2.gz XEOVBYMWJYEMNC-NSHDSACASA-N 0 3 242.388 2.947 20 0 BFADHN CCC1(CNCc2cc(C)ccc2F)COC1 ZINC000393977848 386528115 /nfs/dbraw/zinc/52/81/15/386528115.db2.gz YRWHKLWWSXPQGQ-UHFFFAOYSA-N 0 3 237.318 2.650 20 0 BFADHN CCC(O)(CC)CCNCc1ccoc1C ZINC000414437846 386462180 /nfs/dbraw/zinc/46/21/80/386462180.db2.gz QOAIILDBRBFQKB-UHFFFAOYSA-N 0 3 225.332 2.619 20 0 BFADHN CC[C@H](N[C@@H]1COC(C)(C)C1)c1ccncc1 ZINC000417920767 386463550 /nfs/dbraw/zinc/46/35/50/386463550.db2.gz DRRLHEZFXVFJJR-STQMWFEESA-N 0 3 234.343 2.690 20 0 BFADHN CO[C@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC000267712011 386575140 /nfs/dbraw/zinc/57/51/40/386575140.db2.gz WUGPXGDOKIFKTQ-QWRGUYRKSA-N 0 3 223.291 2.607 20 0 BFADHN CC[C@H](NC[C@@H](C)CCO)c1ccccc1F ZINC000164067923 386583368 /nfs/dbraw/zinc/58/33/68/386583368.db2.gz AXVNIPPYANZZOB-FZMZJTMJSA-N 0 3 239.334 2.885 20 0 BFADHN FC1(F)CCCC[C@@H](CNCc2ncc[nH]2)C1 ZINC000291813855 386586002 /nfs/dbraw/zinc/58/60/02/386586002.db2.gz YLAQTUNCKAPKAR-SNVBAGLBSA-N 0 3 243.301 2.715 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@@H]2OCC[C@@H]2C1 ZINC000335420825 386591458 /nfs/dbraw/zinc/59/14/58/386591458.db2.gz BHJOGEJNMHKJCX-HIFRSBDPSA-N 0 3 249.329 2.745 20 0 BFADHN Cc1cnc(CN2CC[C@H](C)[C@H]2C)s1 ZINC000245549871 386595320 /nfs/dbraw/zinc/59/53/20/386595320.db2.gz ZVWIRCLBTZAKNB-WCBMZHEXSA-N 0 3 210.346 2.682 20 0 BFADHN C[C@@H](NCCC[C@H](C)O)c1ccc(F)c(F)c1 ZINC000228034733 386533444 /nfs/dbraw/zinc/53/34/44/386533444.db2.gz MYNXKTYTKIBVFM-VHSXEESVSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1cc(CNC(C)(C)C(C)(C)C)nn1C ZINC000414450812 386533588 /nfs/dbraw/zinc/53/35/88/386533588.db2.gz HOCJCLHARCVJCK-UHFFFAOYSA-N 0 3 223.364 2.643 20 0 BFADHN COC[C@@H]1CCN(Cc2sccc2Cl)C1 ZINC000278501044 386535790 /nfs/dbraw/zinc/53/57/90/386535790.db2.gz VNDNKSQYJFWUDH-SECBINFHSA-N 0 3 245.775 2.870 20 0 BFADHN Cc1cc(CNCC(C)(C)C(C)(C)C)nn1C ZINC000414452228 386540093 /nfs/dbraw/zinc/54/00/93/386540093.db2.gz MGWUXWSSHILUBE-UHFFFAOYSA-N 0 3 237.391 2.890 20 0 BFADHN CSC1(CNCc2ncccc2C)CCC1 ZINC000228089959 386541512 /nfs/dbraw/zinc/54/15/12/386541512.db2.gz UVVIWAKHADANKX-UHFFFAOYSA-N 0 3 236.384 2.765 20 0 BFADHN Cc1cnc(CCN2CC=C(C)CC2)c(C)c1 ZINC000335462236 386546001 /nfs/dbraw/zinc/54/60/01/386546001.db2.gz MCPZMEPZVNRWGP-UHFFFAOYSA-N 0 3 230.355 2.893 20 0 BFADHN Cn1cccc1CN1CC2(CCC2)[C@H]1C1CC1 ZINC000291514619 386554780 /nfs/dbraw/zinc/55/47/80/386554780.db2.gz IQDHEYQGCFVRII-CQSZACIVSA-N 0 3 230.355 2.790 20 0 BFADHN CC[C@H](NC[C@H](C)N(C)C)c1ccccc1F ZINC000163835650 386557623 /nfs/dbraw/zinc/55/76/23/386557623.db2.gz HILLEDIFSMVQCH-FZMZJTMJSA-N 0 3 238.350 2.817 20 0 BFADHN CC[C@@]1(O)CCN(Cc2cc3ccccc3o2)C1 ZINC000335402916 386558504 /nfs/dbraw/zinc/55/85/04/386558504.db2.gz VKBZHYWAGNFXSY-OAHLLOKOSA-N 0 3 245.322 2.780 20 0 BFADHN CCc1cc(CNCCc2cccc(C)c2)on1 ZINC000519801617 386559194 /nfs/dbraw/zinc/55/91/94/386559194.db2.gz QVROXZKIFKDMHC-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN CC[C@@H](NCc1cc(C)n(C)n1)C1CCCC1 ZINC000414456149 386565940 /nfs/dbraw/zinc/56/59/40/386565940.db2.gz HBESNIAWHXBDQB-CQSZACIVSA-N 0 3 235.375 2.787 20 0 BFADHN C[C@H](N[C@H]1CCCc2occc21)[C@@H]1CCCO1 ZINC000228390408 386568589 /nfs/dbraw/zinc/56/85/89/386568589.db2.gz CRZAKVYOCQQHSI-DRZSPHRISA-N 0 3 235.327 2.814 20 0 BFADHN COCc1cccc(CSCCN(C)C)c1 ZINC000339742024 386571480 /nfs/dbraw/zinc/57/14/80/386571480.db2.gz HIOLNDOVHHLAQU-UHFFFAOYSA-N 0 3 239.384 2.628 20 0 BFADHN C[C@@H](NC[C@H]1CCCCN1C1CC1)c1ccoc1 ZINC000519865567 386572866 /nfs/dbraw/zinc/57/28/66/386572866.db2.gz QYOKCYPNFQBTPV-IUODEOHRSA-N 0 3 248.370 2.947 20 0 BFADHN CC(C)(C)CCCN1CCN(CC2CC2)CC1 ZINC000337134977 386620722 /nfs/dbraw/zinc/62/07/22/386620722.db2.gz MBWAAGIKXFWOTK-UHFFFAOYSA-N 0 3 238.419 2.840 20 0 BFADHN CO[C@H](CN(Cc1ccc(C)o1)C1CC1)C1CC1 ZINC000644919765 386621069 /nfs/dbraw/zinc/62/10/69/386621069.db2.gz JRGFMMIOFUWKPH-OAHLLOKOSA-N 0 3 249.354 2.977 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CCC[C@@H]2C)s1 ZINC000336758190 386622172 /nfs/dbraw/zinc/62/21/72/386622172.db2.gz ZISMFYWONICZLQ-UWVGGRQHSA-N 0 3 239.388 2.940 20 0 BFADHN Cc1occc1CNCCc1ccc(C)cn1 ZINC000414464319 386623392 /nfs/dbraw/zinc/62/33/92/386623392.db2.gz UBSKZKRFJJYOEA-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CCCN(Cc1occc1C)[C@H]1CCN(C)C1 ZINC000648056719 386655515 /nfs/dbraw/zinc/65/55/15/386655515.db2.gz TXDGBRMWVFDTPF-ZDUSSCGKSA-N 0 3 236.359 2.504 20 0 BFADHN CSC[C@H]1CCCN(Cc2ccncc2)C1 ZINC000292127805 386624634 /nfs/dbraw/zinc/62/46/34/386624634.db2.gz BAJSYWZBBQJMGA-ZDUSSCGKSA-N 0 3 236.384 2.657 20 0 BFADHN CN(CCCC(C)(C)C)CC(=O)NC(C)(C)C ZINC000337144294 386626150 /nfs/dbraw/zinc/62/61/50/386626150.db2.gz ZQUQWUMCFHNNOF-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1cccc2c1CNCCN2C[C@@H]1C[C@@H]1C ZINC000415106291 386628149 /nfs/dbraw/zinc/62/81/49/386628149.db2.gz UMKFZUAPPRJPCL-STQMWFEESA-N 0 3 230.355 2.561 20 0 BFADHN Cc1cccc2c1CNCCN2C[C@H]1C[C@@H]1C ZINC000415106290 386628450 /nfs/dbraw/zinc/62/84/50/386628450.db2.gz UMKFZUAPPRJPCL-QWHCGFSZSA-N 0 3 230.355 2.561 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCC[C@H](C)C2)ncn1 ZINC000292171198 386629290 /nfs/dbraw/zinc/62/92/90/386629290.db2.gz LUIZUYCUWYUUBN-FZMZJTMJSA-N 0 3 233.359 2.796 20 0 BFADHN CC(C)C[C@H](C)CN1C[C@H]2CC[C@@H](C1)O2 ZINC000337167333 386629513 /nfs/dbraw/zinc/62/95/13/386629513.db2.gz CJOSRDCHGJEUBN-RWMBFGLXSA-N 0 3 211.349 2.532 20 0 BFADHN Nc1ncccc1CN1CC[C@H](C2CCCC2)C1 ZINC000335502193 386629851 /nfs/dbraw/zinc/62/98/51/386629851.db2.gz ITPPKQONAVJJIM-ZDUSSCGKSA-N 0 3 245.370 2.676 20 0 BFADHN CC[C@@H](C)CN(C)Cc1ccnn1C(C)C ZINC000337169738 386630413 /nfs/dbraw/zinc/63/04/13/386630413.db2.gz DTRSSWLADSTCTI-GFCCVEGCSA-N 0 3 223.364 2.942 20 0 BFADHN Cc1ccc(CN2C[C@@H](C(C)C)[C@H]2C(C)C)nn1 ZINC000414474200 386655925 /nfs/dbraw/zinc/65/59/25/386655925.db2.gz WNGCTIAIWOFCNJ-LSDHHAIUSA-N 0 3 247.386 2.897 20 0 BFADHN Cc1cc(CN(C)CC2CCCCC2)ncn1 ZINC000292240883 386637590 /nfs/dbraw/zinc/63/75/90/386637590.db2.gz SUIWSGYDVOBJFL-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN COC(=O)C(C)(C)N(C)C[C@@H](C)CC(C)C ZINC000337157957 386638556 /nfs/dbraw/zinc/63/85/56/386638556.db2.gz QIMAXGWEUQQWQQ-NSHDSACASA-N 0 3 229.364 2.552 20 0 BFADHN C[C@H](NC/C=C\c1ccccc1)c1cn[nH]c1 ZINC000339793034 386639097 /nfs/dbraw/zinc/63/90/97/386639097.db2.gz WZTXZPNYJZRWFO-JECSTDCCSA-N 0 3 227.311 2.774 20 0 BFADHN C[C@H](NC/C=C/c1ccccc1)c1cn[nH]c1 ZINC000339793036 386639986 /nfs/dbraw/zinc/63/99/86/386639986.db2.gz WZTXZPNYJZRWFO-ZCRIDZFUSA-N 0 3 227.311 2.774 20 0 BFADHN CCCCN(C)Cc1c(C)nc2sccn12 ZINC000268303038 386640115 /nfs/dbraw/zinc/64/01/15/386640115.db2.gz XJZYKGQROHUOGO-UHFFFAOYSA-N 0 3 237.372 2.936 20 0 BFADHN Cc1cnc(CN(CC2CC2)C2CCC2)cn1 ZINC000355674966 386642385 /nfs/dbraw/zinc/64/23/85/386642385.db2.gz DUTVLDUUYVDNBX-UHFFFAOYSA-N 0 3 231.343 2.550 20 0 BFADHN CC(C)n1nccc1CN1CC[C@H](C)[C@H](C)C1 ZINC000337196043 386646568 /nfs/dbraw/zinc/64/65/68/386646568.db2.gz XAWAGRRNTYVKHN-QWHCGFSZSA-N 0 3 235.375 2.942 20 0 BFADHN Cc1occc1CN1CC[C@@H]2C[C@@H](O)CC[C@H]2C1 ZINC000414471782 386651056 /nfs/dbraw/zinc/65/10/56/386651056.db2.gz RTCWERNINYSXPT-SNPRPXQTSA-N 0 3 249.354 2.571 20 0 BFADHN COC(=O)[C@]1(C)CCCN1C[C@H](C)CC(C)C ZINC000337181598 386651703 /nfs/dbraw/zinc/65/17/03/386651703.db2.gz AZNBFTZCOKHZBE-OCCSQVGLSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1ccc(N(C)C(=O)[C@@H](C(C)C)N(C)C)cc1 ZINC000268422853 386652384 /nfs/dbraw/zinc/65/23/84/386652384.db2.gz YAQLWMGKNAVQRB-CQSZACIVSA-N 0 3 248.370 2.544 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccoc2C)C[C@H]1C ZINC000414461353 386600078 /nfs/dbraw/zinc/60/00/78/386600078.db2.gz DMTSCQWWZCRARV-BXUZGUMPSA-N 0 3 237.343 2.835 20 0 BFADHN Cn1c(Cl)cnc1CNCCC1=CCCC1 ZINC000228671366 386600546 /nfs/dbraw/zinc/60/05/46/386600546.db2.gz DCYIBJWNUBMTAS-UHFFFAOYSA-N 0 3 239.750 2.664 20 0 BFADHN CC1(C(N)=O)CN(Cc2cccc3cc[nH]c32)C1 ZINC000335424874 386600973 /nfs/dbraw/zinc/60/09/73/386600973.db2.gz RNZHKDYFXOFRBJ-UHFFFAOYSA-N 0 3 243.310 2.525 20 0 BFADHN c1ccc(C2(NC[C@H]3CCC=CO3)CC2)cc1 ZINC000278907651 386602529 /nfs/dbraw/zinc/60/25/29/386602529.db2.gz RYEKUNYKVJUVHE-CQSZACIVSA-N 0 3 229.323 2.958 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@@H](C)c1ccc(Cl)cn1 ZINC000336781595 386606991 /nfs/dbraw/zinc/60/69/91/386606991.db2.gz VBZNFBPFPVLINX-UTLUCORTSA-N 0 3 242.750 2.545 20 0 BFADHN CCOc1cccc([C@H](C)N[C@@H]2CCO[C@H]2C)c1 ZINC000245903297 386611154 /nfs/dbraw/zinc/61/11/54/386611154.db2.gz BJUOEESJOVDXMC-SLEUVZQESA-N 0 3 249.354 2.913 20 0 BFADHN CCN(Cc1ccoc1)C[C@H](OC)C1CC1 ZINC000644921173 386612661 /nfs/dbraw/zinc/61/26/61/386612661.db2.gz APCMTTUGMVBREJ-ZDUSSCGKSA-N 0 3 223.316 2.527 20 0 BFADHN CCCCN(Cc1cnc(OC)nc1C)C1CC1 ZINC000337121835 386612803 /nfs/dbraw/zinc/61/28/03/386612803.db2.gz AEWDBJFUUOSFDU-UHFFFAOYSA-N 0 3 249.358 2.558 20 0 BFADHN CCn1cncc1CN(CC(C)C)C(C)C ZINC000417737207 386612849 /nfs/dbraw/zinc/61/28/49/386612849.db2.gz UUAFSPGAEIVLGW-UHFFFAOYSA-N 0 3 223.364 2.769 20 0 BFADHN c1cc(CN2CC[C@@H](C3CCCC3)C2)on1 ZINC000335493738 386613638 /nfs/dbraw/zinc/61/36/38/386613638.db2.gz DCOXMOKVSNIZNJ-GFCCVEGCSA-N 0 3 220.316 2.687 20 0 BFADHN CC(C)C[C@H](C)CN1C[C@@H](C)OC[C@@H]1C ZINC000337127445 386614715 /nfs/dbraw/zinc/61/47/15/386614715.db2.gz HFCMQBSJCXKWKW-RWMBFGLXSA-N 0 3 213.365 2.778 20 0 BFADHN CC(C)n1nccc1CN(C)C1CCCC1 ZINC000337132303 386618550 /nfs/dbraw/zinc/61/85/50/386618550.db2.gz LFXQVMOHHAKOPF-UHFFFAOYSA-N 0 3 221.348 2.838 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2ccc3c(n2)CCC3)C1 ZINC000520356586 386659163 /nfs/dbraw/zinc/65/91/63/386659163.db2.gz BGDAZWKZGVGGOS-RYUDHWBXSA-N 0 3 230.355 2.801 20 0 BFADHN CCCCN(C(=O)[C@H]1CCCN1C)[C@H](C)CC ZINC000356999613 386682032 /nfs/dbraw/zinc/68/20/32/386682032.db2.gz VMTXTOGVPZSITD-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN COc1cc(CN2CCCC23CCC3)ccn1 ZINC000335521018 386659230 /nfs/dbraw/zinc/65/92/30/386659230.db2.gz UCHCXZKKTGEFIX-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN COC1(C)CN(c2cc(C)nc3ccccc32)C1 ZINC000428138329 386660609 /nfs/dbraw/zinc/66/06/09/386660609.db2.gz ARIZGAFAVSLERH-UHFFFAOYSA-N 0 3 242.322 2.768 20 0 BFADHN Cc1nnc([C@@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)s1 ZINC000391806125 386662964 /nfs/dbraw/zinc/66/29/64/386662964.db2.gz GMJHCODRRKHMOE-SNXWAXQRSA-N 0 3 237.372 2.686 20 0 BFADHN CC[C@H]1CCCN1Cc1cc(OC)cc(C)n1 ZINC000339819673 386665075 /nfs/dbraw/zinc/66/50/75/386665075.db2.gz IDKNOOZNKBTTHU-ZDUSSCGKSA-N 0 3 234.343 2.773 20 0 BFADHN COC(C)(C)CCN[C@@H](C)c1csc(C)n1 ZINC000336759452 386665723 /nfs/dbraw/zinc/66/57/23/386665723.db2.gz IZMHKVVVGQUFFZ-VIFPVBQESA-N 0 3 242.388 2.917 20 0 BFADHN CCC[C@H](C)N(C)Cc1c(C)nn(C)c1C ZINC000337209955 386670393 /nfs/dbraw/zinc/67/03/93/386670393.db2.gz BNRLRUGOXRCWAC-JTQLQIEISA-N 0 3 223.364 2.657 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]2CC(C)C)nc1 ZINC000340682063 386671385 /nfs/dbraw/zinc/67/13/85/386671385.db2.gz RJUTUVVUOXDPIX-CYBMUJFWSA-N 0 3 233.359 2.796 20 0 BFADHN CCc1ccc([C@H](C)NCC[C@@H](C)OC)o1 ZINC000268895315 386678413 /nfs/dbraw/zinc/67/84/13/386678413.db2.gz ZVRAPYLBLUTTHG-MNOVXSKESA-N 0 3 225.332 2.918 20 0 BFADHN CSC[C@H]1CCCN(Cc2ccccn2)C1 ZINC000292490705 386679231 /nfs/dbraw/zinc/67/92/31/386679231.db2.gz SYEAWNXNGFPASB-LBPRGKRZSA-N 0 3 236.384 2.657 20 0 BFADHN COCCCCN1CCC=C(c2ccccn2)C1 ZINC000647951025 386657793 /nfs/dbraw/zinc/65/77/93/386657793.db2.gz KLKSCIHZNSOWFB-UHFFFAOYSA-N 0 3 246.354 2.597 20 0 BFADHN CCCc1cc(NC[C@@H](C)O)c2ccccc2n1 ZINC000120397327 386658951 /nfs/dbraw/zinc/65/89/51/386658951.db2.gz WJXIQWHSELYSPB-LLVKDONJSA-N 0 3 244.338 2.980 20 0 BFADHN CCn1ccc(CNC[C@@H]2CCCC[C@H]2C)n1 ZINC000414487961 386709179 /nfs/dbraw/zinc/70/91/79/386709179.db2.gz WDMWQSIYMKKWTN-OLZOCXBDSA-N 0 3 235.375 2.819 20 0 BFADHN CC[C@@H](NCC[C@@H](C)O)c1cc(F)ccc1F ZINC000268871445 386710269 /nfs/dbraw/zinc/71/02/69/386710269.db2.gz IXTALTMRNFALNK-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1nocc1CN(C)CCC(C)(C)C ZINC000648059742 386685594 /nfs/dbraw/zinc/68/55/94/386685594.db2.gz GVUQDWVSJIIOJG-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@H](C)[C@H]2C)o1 ZINC000357018883 386687316 /nfs/dbraw/zinc/68/73/16/386687316.db2.gz KQVQBPVGSFQCIQ-GDPRMGEGSA-N 0 3 208.305 2.774 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1ccc2c(c1)COC2 ZINC000268722155 386687591 /nfs/dbraw/zinc/68/75/91/386687591.db2.gz PJAMNMLEAOYUFB-XHDPSFHLSA-N 0 3 247.338 2.546 20 0 BFADHN Cc1cccc(CCN2CCCC3(CC3)C2)n1 ZINC000335470264 386688005 /nfs/dbraw/zinc/68/80/05/386688005.db2.gz NGCCJBKUVKYEJA-UHFFFAOYSA-N 0 3 230.355 2.809 20 0 BFADHN Cc1cnc(C(C)(C)NCC(C)C)s1 ZINC000121443500 386711769 /nfs/dbraw/zinc/71/17/69/386711769.db2.gz LGCYOEPUUOIVNJ-UHFFFAOYSA-N 0 3 212.362 2.932 20 0 BFADHN Cc1noc(C)c1CN(C)[C@H](C)C1CCC1 ZINC000357025912 386690885 /nfs/dbraw/zinc/69/08/85/386690885.db2.gz QHIDHQPMUYDVTE-SNVBAGLBSA-N 0 3 222.332 2.912 20 0 BFADHN c1cn2c(n1)[C@@H](NC(C1CCC1)C1CCC1)CC2 ZINC000324943313 386693642 /nfs/dbraw/zinc/69/36/42/386693642.db2.gz RHJVONQKKPLVGM-ZDUSSCGKSA-N 0 3 245.370 2.886 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1cncc(C)c1 ZINC000442805008 386696943 /nfs/dbraw/zinc/69/69/43/386696943.db2.gz XARGTLOXTUEWKA-SNPRPXQTSA-N 0 3 248.370 2.998 20 0 BFADHN C[C@@H](CO)CN[C@@H](C)c1ccc2ccccc2n1 ZINC000268815918 386699493 /nfs/dbraw/zinc/69/94/93/386699493.db2.gz GBXPFVLKGZDYCO-NEPJUHHUSA-N 0 3 244.338 2.514 20 0 BFADHN CCOc1ccccc1CN[C@H](C)[C@@H](C)OC ZINC000268814929 386699702 /nfs/dbraw/zinc/69/97/02/386699702.db2.gz FWIYIBIBHBLUBW-VXGBXAGGSA-N 0 3 237.343 2.598 20 0 BFADHN CC[C@H](CO)Nc1ccnc2ccc(C)cc21 ZINC000302180031 386703107 /nfs/dbraw/zinc/70/31/07/386703107.db2.gz ZASNWOUHZGIPML-LLVKDONJSA-N 0 3 230.311 2.726 20 0 BFADHN COCC[C@@H](C)CNCc1cc(F)ccc1F ZINC000414487254 386708372 /nfs/dbraw/zinc/70/83/72/386708372.db2.gz VDRMFAMJECYROV-SNVBAGLBSA-N 0 3 243.297 2.727 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1ccoc1C)C1CC1 ZINC000414495351 386730603 /nfs/dbraw/zinc/73/06/03/386730603.db2.gz BKHCMCZAONLFMV-FZMZJTMJSA-N 0 3 237.343 2.881 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1ccoc1C)C1CC1 ZINC000414495354 386730717 /nfs/dbraw/zinc/73/07/17/386730717.db2.gz BKHCMCZAONLFMV-RISCZKNCSA-N 0 3 237.343 2.881 20 0 BFADHN Cc1nc(CN(C)C(C2CC2)C2CC2)co1 ZINC000647961675 386735393 /nfs/dbraw/zinc/73/53/93/386735393.db2.gz XUROOYDYLYQTEK-UHFFFAOYSA-N 0 3 220.316 2.603 20 0 BFADHN CCCN(C[C@H]1CCCCO1)CC1(F)CC1 ZINC000526971197 386713398 /nfs/dbraw/zinc/71/33/98/386713398.db2.gz VWNZMOXDOWZVFA-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN CCCO[C@@H]1CCCN(CC2(F)CC2)CC1 ZINC000526970456 386715237 /nfs/dbraw/zinc/71/52/37/386715237.db2.gz QKGPDWUZIBLDKE-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN COc1cc(C)ccc1CN[C@H]1CC1(C)C ZINC000414490991 386718579 /nfs/dbraw/zinc/71/85/79/386718579.db2.gz WKUSSJVEYHEEAK-ZDUSSCGKSA-N 0 3 219.328 2.892 20 0 BFADHN Cc1cc(CN2CC[C@H](CC(C)C)C2)n(C)n1 ZINC000417789750 386718883 /nfs/dbraw/zinc/71/88/83/386718883.db2.gz HVHRVEIPQLOBFP-CYBMUJFWSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1ccc2c(c1)COC2 ZINC000268914827 386719253 /nfs/dbraw/zinc/71/92/53/386719253.db2.gz UUEKXZZQADVAIB-IAQYHMDHSA-N 0 3 247.338 2.546 20 0 BFADHN CC[C@@H](Nc1ccnc2ccc(C)cc21)[C@@H](C)O ZINC000450802755 386719091 /nfs/dbraw/zinc/71/90/91/386719091.db2.gz VKOSMPCVMSXEPS-DGCLKSJQSA-N 0 3 244.338 2.536 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cncn1CC ZINC000417789510 386719292 /nfs/dbraw/zinc/71/92/92/386719292.db2.gz VJIQYZFENPJJDM-GFCCVEGCSA-N 0 3 223.364 2.771 20 0 BFADHN C[C@@H](O)CCN[C@@H]1CC(C)(C)Cc2occc21 ZINC000268921966 386719583 /nfs/dbraw/zinc/71/95/83/386719583.db2.gz WYLOHPSCSDIGDO-ZYHUDNBSSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCC[C@@H]2C)ncn1 ZINC000292765068 386720132 /nfs/dbraw/zinc/72/01/32/386720132.db2.gz ILSBTASIDWCGPX-SMDDNHRTSA-N 0 3 233.359 2.796 20 0 BFADHN C[C@@H](CNCC1(F)CC1)Oc1ccccc1F ZINC000526982322 386721854 /nfs/dbraw/zinc/72/18/54/386721854.db2.gz RHXPMXOKMXZHQM-JTQLQIEISA-N 0 3 241.281 2.685 20 0 BFADHN CC[C@H]1CCN1Cc1ccccc1OC ZINC000292786515 386725032 /nfs/dbraw/zinc/72/50/32/386725032.db2.gz MADXHBONXVBRGJ-LBPRGKRZSA-N 0 3 205.301 2.680 20 0 BFADHN CC(=O)[C@@H](C)SCC[C@@H]1CCCCN1C ZINC000340696479 386726447 /nfs/dbraw/zinc/72/64/47/386726447.db2.gz RQJKPBPNSBLDHZ-NEPJUHHUSA-N 0 3 229.389 2.572 20 0 BFADHN CCCn1nc(C)c(CN2[C@@H](C)C[C@@H]2C)c1C ZINC000526989460 386727431 /nfs/dbraw/zinc/72/74/31/386727431.db2.gz FWJHDSFQIFEPSV-QWRGUYRKSA-N 0 3 235.375 2.893 20 0 BFADHN C[C@@H](NCCNc1ccccn1)c1ccsc1 ZINC000269051298 386740456 /nfs/dbraw/zinc/74/04/56/386740456.db2.gz RDGZXFNMIMGGKP-LLVKDONJSA-N 0 3 247.367 2.906 20 0 BFADHN c1cncc([C@H]2CCCN2C[C@H]2CCCCO2)c1 ZINC000334148030 386746620 /nfs/dbraw/zinc/74/66/20/386746620.db2.gz FOQMFDKJZVSZDK-HUUCEWRRSA-N 0 3 246.354 2.788 20 0 BFADHN CC[C@H](N)C(=O)N(C)[C@H](C)c1ccc(C)cc1C ZINC000269079234 386747472 /nfs/dbraw/zinc/74/74/72/386747472.db2.gz DJVARMBVSQLVMG-OCCSQVGLSA-N 0 3 248.370 2.560 20 0 BFADHN COC[C@H](C)N1Cc2ccccc2[C@H]1C ZINC000334151680 386749079 /nfs/dbraw/zinc/74/90/79/386749079.db2.gz RQUSVXIENBTKAP-WDEREUQCSA-N 0 3 205.301 2.598 20 0 BFADHN COC[C@@H](C)N1Cc2ccccc2[C@@H]1C ZINC000334151678 386750623 /nfs/dbraw/zinc/75/06/23/386750623.db2.gz RQUSVXIENBTKAP-MNOVXSKESA-N 0 3 205.301 2.598 20 0 BFADHN CCCCCC(=O)N1CCCN(C(C)C)CC1 ZINC000340722458 386754380 /nfs/dbraw/zinc/75/43/80/386754380.db2.gz VICROHXYQXAJFQ-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@@H](CCNCc1ncc[nH]1)CC(C)(C)C ZINC000191118016 386754746 /nfs/dbraw/zinc/75/47/46/386754746.db2.gz LBGLXGIIEQLSOP-NSHDSACASA-N 0 3 223.364 2.962 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1C[C@H]1c1ccccc1 ZINC000292965757 386756851 /nfs/dbraw/zinc/75/68/51/386756851.db2.gz JWTOUROHDGVWBN-KBPBESRZSA-N 0 3 241.338 2.611 20 0 BFADHN Cc1ccc2nc(CNC3(C(C)C)CC3)cn2c1 ZINC000292962516 386757805 /nfs/dbraw/zinc/75/78/05/386757805.db2.gz JOIIADHCYAQWNQ-UHFFFAOYSA-N 0 3 243.354 2.921 20 0 BFADHN CCc1cnccc1[C@@H](C)N[C@H]1COC(C)(C)C1 ZINC000417955181 386761851 /nfs/dbraw/zinc/76/18/51/386761851.db2.gz CQBIDVUSHBMJJP-DGCLKSJQSA-N 0 3 248.370 2.862 20 0 BFADHN CO[C@H](C)CNCc1cccn1CCC(C)C ZINC000191142108 386762025 /nfs/dbraw/zinc/76/20/25/386762025.db2.gz QHKBXPGDNPVQFL-CYBMUJFWSA-N 0 3 238.375 2.659 20 0 BFADHN CC(C)N(CC[C@@H]1CCCO1)Cc1ccccn1 ZINC000527050666 386763370 /nfs/dbraw/zinc/76/33/70/386763370.db2.gz CPKAIBAQOAPDGL-HNNXBMFYSA-N 0 3 248.370 2.861 20 0 BFADHN C[C@@H](O)CCCN1CCc2sccc2[C@@H]1C ZINC000336664518 386766067 /nfs/dbraw/zinc/76/60/67/386766067.db2.gz PGRSYNCFHULSJP-MNOVXSKESA-N 0 3 239.384 2.828 20 0 BFADHN Cc1ccc(CNC(C)(C)COC(C)C)cn1 ZINC000396499772 386768469 /nfs/dbraw/zinc/76/84/69/386768469.db2.gz VJKZZVHOWNKFIL-UHFFFAOYSA-N 0 3 236.359 2.683 20 0 BFADHN C[C@@H](CCO)N[C@H]1CC(C)(C)Cc2occc21 ZINC000269044510 386741380 /nfs/dbraw/zinc/74/13/80/386741380.db2.gz WIFFNQGLILBLCE-JQWIXIFHSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1nocc1CNC[C@H]1CCCC(F)(F)C1 ZINC000293233178 386774060 /nfs/dbraw/zinc/77/40/60/386774060.db2.gz KVFLUDCGSVXRLO-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCCC(F)(F)C1 ZINC000293233190 386775101 /nfs/dbraw/zinc/77/51/01/386775101.db2.gz KVFLUDCGSVXRLO-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN CN(Cc1n[nH]c2ccccc21)C(C)(C)C ZINC000648070384 386777972 /nfs/dbraw/zinc/77/79/72/386777972.db2.gz WVIFNNXRSJMKGK-UHFFFAOYSA-N 0 3 217.316 2.793 20 0 BFADHN CC(C)(C)c1ccncc1CNCc1cc[nH]c1 ZINC000414503875 386779471 /nfs/dbraw/zinc/77/94/71/386779471.db2.gz XGHWFBDJIUMBPQ-UHFFFAOYSA-N 0 3 243.354 2.997 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cc(C)nn1C ZINC000417979076 386781488 /nfs/dbraw/zinc/78/14/88/386781488.db2.gz DQLMGSWLLNXXSF-CYBMUJFWSA-N 0 3 235.375 2.883 20 0 BFADHN Cc1ccc(CN[C@@H]2COC(C)(C)C2)cc1F ZINC000396600153 386784001 /nfs/dbraw/zinc/78/40/01/386784001.db2.gz PIHNPGACYXGUFT-LBPRGKRZSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@@H](C)[C@@H](C)C2)nn1 ZINC000396595944 386784057 /nfs/dbraw/zinc/78/40/57/386784057.db2.gz YQTORHYVVZEQSW-NTZNESFSSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@@H](C)[C@H](C)C2)nn1 ZINC000396595942 386784896 /nfs/dbraw/zinc/78/48/96/386784896.db2.gz YQTORHYVVZEQSW-NQBHXWOUSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@H]3CCC[C@H]3C2)n1C ZINC000341038752 386824831 /nfs/dbraw/zinc/82/48/31/386824831.db2.gz CPEYPHUBSURLDR-HZSPNIEDSA-N 0 3 247.386 2.787 20 0 BFADHN CCCC[C@@H](CC)CNCc1cnnn1CC ZINC000417841992 386800740 /nfs/dbraw/zinc/80/07/40/386800740.db2.gz MLZZAGQRUPJQST-GFCCVEGCSA-N 0 3 238.379 2.604 20 0 BFADHN C(CN1CCO[C@H]2CCC[C@@H]21)C1CCCC1 ZINC000357557669 386804406 /nfs/dbraw/zinc/80/44/06/386804406.db2.gz IKUFIBLJRNHNAM-KBPBESRZSA-N 0 3 223.360 2.820 20 0 BFADHN Cc1ccc(OCCN[C@@H]2COC(C)(C)C2)cc1 ZINC000417860446 386804472 /nfs/dbraw/zinc/80/44/72/386804472.db2.gz VEXCWXVOJBEPSR-ZDUSSCGKSA-N 0 3 249.354 2.531 20 0 BFADHN C(CN1CCO[C@@H]2CCC[C@@H]21)C1CCCC1 ZINC000357557674 386805642 /nfs/dbraw/zinc/80/56/42/386805642.db2.gz IKUFIBLJRNHNAM-UONOGXRCSA-N 0 3 223.360 2.820 20 0 BFADHN C(CN1CCO[C@H]2CCC[C@H]21)C1CCCC1 ZINC000357557671 386806538 /nfs/dbraw/zinc/80/65/38/386806538.db2.gz IKUFIBLJRNHNAM-KGLIPLIRSA-N 0 3 223.360 2.820 20 0 BFADHN CO[C@H](CN1C[C@H](C)[C@H]1C)c1ccccc1 ZINC000293369309 386808840 /nfs/dbraw/zinc/80/88/40/386808840.db2.gz JBUAMTUAZQIFHP-OUCADQQQSA-N 0 3 219.328 2.714 20 0 BFADHN Cc1nocc1CNCCC1=CCCC1 ZINC000293318882 386811195 /nfs/dbraw/zinc/81/11/95/386811195.db2.gz QWQIKEYMNJEKCE-UHFFFAOYSA-N 0 3 206.289 2.573 20 0 BFADHN CCCCN(CC)C(=O)CN(C)C[C@H](C)CC ZINC000341003731 386813045 /nfs/dbraw/zinc/81/30/45/386813045.db2.gz AAFZPBXIAUXDMA-CYBMUJFWSA-N 0 3 242.407 2.613 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccc(C)nc1C)C1CC1 ZINC000645497825 386813260 /nfs/dbraw/zinc/81/32/60/386813260.db2.gz VTLYYBRAQMQLFI-ABAIWWIYSA-N 0 3 248.370 2.774 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccc(C)nc1C)C1CC1 ZINC000645497828 386813760 /nfs/dbraw/zinc/81/37/60/386813760.db2.gz VTLYYBRAQMQLFI-IAQYHMDHSA-N 0 3 248.370 2.774 20 0 BFADHN Cc1sccc1CNCCNc1ccccn1 ZINC000293421590 386815024 /nfs/dbraw/zinc/81/50/24/386815024.db2.gz ALCGNZLEGZMNPY-UHFFFAOYSA-N 0 3 247.367 2.653 20 0 BFADHN CCSCC[C@H](C)N(C)Cc1conc1C ZINC000293436017 386815338 /nfs/dbraw/zinc/81/53/38/386815338.db2.gz ACNVWOHQOSABEL-JTQLQIEISA-N 0 3 242.388 2.947 20 0 BFADHN CC[C@@H](CN[C@@H]1CCc2c1cccc2F)OC ZINC000290147024 386829619 /nfs/dbraw/zinc/82/96/19/386829619.db2.gz LBNPMCAHNHBEOZ-IINYFYTJSA-N 0 3 237.318 2.828 20 0 BFADHN COC[C@@H]1CCN1Cc1ccc(C(F)F)cc1 ZINC000293509724 386829843 /nfs/dbraw/zinc/82/98/43/386829843.db2.gz HYSQVJIFUIJCSQ-LBPRGKRZSA-N 0 3 241.281 2.845 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC[C@H](C)[C@@H](C)C1 ZINC000336673374 386831229 /nfs/dbraw/zinc/83/12/29/386831229.db2.gz OSFDWFSLEVGIGS-ATZCPNFKSA-N 0 3 239.388 2.761 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccco1)CC(C)C ZINC000417388459 386835956 /nfs/dbraw/zinc/83/59/56/386835956.db2.gz ZSJSSXQBRBPLGS-VXGBXAGGSA-N 0 3 225.332 2.991 20 0 BFADHN Cn1ccc(CN2CC(C(C)(C)C)C2)c1 ZINC000334783292 386839004 /nfs/dbraw/zinc/83/90/04/386839004.db2.gz VOJGDTIVHHLWNB-UHFFFAOYSA-N 0 3 206.333 2.503 20 0 BFADHN Cc1ccncc1CN1CC(C(C)(C)C)C1 ZINC000334783307 386839219 /nfs/dbraw/zinc/83/92/19/386839219.db2.gz VYRQQXDFIAKAIZ-UHFFFAOYSA-N 0 3 218.344 2.868 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)[C@H]1C)c1ccccn1 ZINC000336673972 386839630 /nfs/dbraw/zinc/83/96/30/386839630.db2.gz GWZMPIYNXRQUBL-SRRSOLGSSA-N 0 3 204.317 2.777 20 0 BFADHN CCC[C@@H](O)CN1CCC=C(c2ccccc2)C1 ZINC000450929116 386844238 /nfs/dbraw/zinc/84/42/38/386844238.db2.gz IRLCKQHYZZNGME-MRXNPFEDSA-N 0 3 245.366 2.937 20 0 BFADHN Cc1cnc(CNCCC2CCCCC2)n1C ZINC000341146905 386844998 /nfs/dbraw/zinc/84/49/98/386844998.db2.gz ZKFLMZSXULGFLR-UHFFFAOYSA-N 0 3 235.375 2.789 20 0 BFADHN CC1=CCN(C2CC(OC(C)C)C2)CC1 ZINC000334785253 386845638 /nfs/dbraw/zinc/84/56/38/386845638.db2.gz CBRBZXURIWEEIT-UHFFFAOYSA-N 0 3 209.333 2.594 20 0 BFADHN Cc1cc(CN2C[C@H](C)CC(C)(C)C2)n(C)n1 ZINC000418004422 386846564 /nfs/dbraw/zinc/84/65/64/386846564.db2.gz NZUWZHHGNOFIRA-LLVKDONJSA-N 0 3 235.375 2.597 20 0 BFADHN COC[C@H]1CCN1Cc1c(C)cccc1C ZINC000293631877 386851080 /nfs/dbraw/zinc/85/10/80/386851080.db2.gz RCRQDVLVHUFNTR-CYBMUJFWSA-N 0 3 219.328 2.524 20 0 BFADHN c1cc(CN2CCCC3(CC3)C2)nc2c1CCC2 ZINC000334788376 386851736 /nfs/dbraw/zinc/85/17/36/386851736.db2.gz PBVVUKJTZNWBIN-UHFFFAOYSA-N 0 3 242.366 2.946 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCC[C@@H](C(N)=O)C1 ZINC000330675976 386854079 /nfs/dbraw/zinc/85/40/79/386854079.db2.gz TWAGNAYRGBDCSX-GHMZBOCLSA-N 0 3 236.315 2.772 20 0 BFADHN CCN(C(=O)[C@H](C)N1CCCCCC1)C(C)C ZINC000357847640 386854178 /nfs/dbraw/zinc/85/41/78/386854178.db2.gz HSTALNRWQBYPCQ-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H](N[C@@H]1C=C[C@@H](CO)C1)c1ccccc1F ZINC000341246253 386866207 /nfs/dbraw/zinc/86/62/07/386866207.db2.gz HIOZGWJYXMCWAO-JMSVASOKSA-N 0 3 249.329 2.803 20 0 BFADHN OC[C@@H]1C=C[C@@H](N[C@H](c2ccccc2)C2CC2)C1 ZINC000341246465 386866375 /nfs/dbraw/zinc/86/63/75/386866375.db2.gz JLUKPAVSGAZQBA-DAXOMENPSA-N 0 3 243.350 2.664 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H]1C=C[C@@H](CO)C1)CC2 ZINC000341247736 386867491 /nfs/dbraw/zinc/86/74/91/386867491.db2.gz SSANPMNTTHBTSL-XNRPHZJLSA-N 0 3 243.350 2.509 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@@H](CC)OC ZINC000353554733 386894444 /nfs/dbraw/zinc/89/44/44/386894444.db2.gz AHLLWQMRILVBED-DGCLKSJQSA-N 0 3 236.359 2.720 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cc(C)c(C)o1)C1CC1 ZINC000293870210 386894569 /nfs/dbraw/zinc/89/45/69/386894569.db2.gz ZPSCEKJKBZUEMM-HZMBPMFUSA-N 0 3 237.343 2.972 20 0 BFADHN CN(CCc1cnccn1)[C@@H]1C=CCCCCC1 ZINC000293746913 386872622 /nfs/dbraw/zinc/87/26/22/386872622.db2.gz IFXFYRISOIBIGA-OAHLLOKOSA-N 0 3 245.370 2.840 20 0 BFADHN CCc1cnc(CN2C[C@@H]3CCC[C@@H]3C2)s1 ZINC000335750119 386874314 /nfs/dbraw/zinc/87/43/14/386874314.db2.gz JUCRJKDSQAVVTC-PHIMTYICSA-N 0 3 236.384 2.937 20 0 BFADHN Cc1ccc(CN2C[C@H](C)CC(C)(C)C2)nn1 ZINC000334834945 386874570 /nfs/dbraw/zinc/87/45/70/386874570.db2.gz BGQPTDFBQHWAIB-LLVKDONJSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@H](C)[C@H](C)C2)n1C ZINC000341275859 386874860 /nfs/dbraw/zinc/87/48/60/386874860.db2.gz FODJFDITDOQHHJ-DMDPSCGWSA-N 0 3 235.375 2.643 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@@H]1CCCOc2ccccc21 ZINC000341324987 386881737 /nfs/dbraw/zinc/88/17/37/386881737.db2.gz DPSGFVHMMAJLMI-MBNYWOFBSA-N 0 3 249.354 2.649 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)n(C)n1 ZINC000418009068 386883719 /nfs/dbraw/zinc/88/37/19/386883719.db2.gz HRVPAQIGWUHTPH-MDZLAQPJSA-N 0 3 235.375 2.595 20 0 BFADHN CC[C@@H](NCCC1(C)CC1)c1ccn(C)n1 ZINC000336739502 386883783 /nfs/dbraw/zinc/88/37/83/386883783.db2.gz PFJKMFLGYBNEFP-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1ccc(F)cn1 ZINC000335756286 386884932 /nfs/dbraw/zinc/88/49/32/386884932.db2.gz UWWBLOFQSONCLE-MFKMUULPSA-N 0 3 222.307 2.841 20 0 BFADHN CCN(CCCO)Cc1ccccc1SC ZINC000358049759 386885267 /nfs/dbraw/zinc/88/52/67/386885267.db2.gz VOHXFUXRRAUJCY-UHFFFAOYSA-N 0 3 239.384 2.613 20 0 BFADHN C[C@@H]1C[C@H](O)CN1Cc1cc2cc(F)ccc2o1 ZINC000334841016 386886260 /nfs/dbraw/zinc/88/62/60/386886260.db2.gz WNFYSVLAUBUBJB-SKDRFNHKSA-N 0 3 249.285 2.527 20 0 BFADHN C[C@H](C(N)=O)N1CC(C)(C)CC(C)(C)C1 ZINC000335759728 386888205 /nfs/dbraw/zinc/88/82/05/386888205.db2.gz QWGBJTWREQOJNF-SECBINFHSA-N 0 3 212.337 2.668 20 0 BFADHN CCc1ncc(CN2CC[C@H](C)[C@H](C)C2)cn1 ZINC000334842240 386889480 /nfs/dbraw/zinc/88/94/80/386889480.db2.gz VTNMNQXWOJCLAO-NWDGAFQWSA-N 0 3 233.359 2.517 20 0 BFADHN COC1(CCN[C@@H](C)c2cc(C)ccn2)CCC1 ZINC000293846416 386889660 /nfs/dbraw/zinc/88/96/60/386889660.db2.gz YCQSRAXWXCCELB-ZDUSSCGKSA-N 0 3 248.370 3.000 20 0 BFADHN CC(C)COc1ccccc1CN[C@H]1CCOC1 ZINC000341512977 386913594 /nfs/dbraw/zinc/91/35/94/386913594.db2.gz SXDADMBWZWQQRA-AWEZNQCLSA-N 0 3 249.354 2.600 20 0 BFADHN C[C@H](NCCC[C@@H]1CCOC1)c1cncs1 ZINC000401943057 386915410 /nfs/dbraw/zinc/91/54/10/386915410.db2.gz UITIMPVEBJIMPW-WDEREUQCSA-N 0 3 240.372 2.610 20 0 BFADHN CC(C)(C)CCN1CCc2ncccc2C1 ZINC000367882272 386916202 /nfs/dbraw/zinc/91/62/02/386916202.db2.gz XQZIXDFSHLDFLC-UHFFFAOYSA-N 0 3 218.344 2.876 20 0 BFADHN CC[C@@](C)(C(=O)OC)N(CC(C)C)CC1CC1 ZINC000425352940 386918833 /nfs/dbraw/zinc/91/88/33/386918833.db2.gz IISLXANDVITCOP-AWEZNQCLSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H](CCN1CC2(C1)CCOC2)c1ccccc1 ZINC000293893983 386898945 /nfs/dbraw/zinc/89/89/45/386898945.db2.gz DWFHQCLQSYUIMP-AWEZNQCLSA-N 0 3 245.366 2.903 20 0 BFADHN C[C@H]1C[C@H](NCc2cc3cccnc3o2)CS1 ZINC000334855672 386907732 /nfs/dbraw/zinc/90/77/32/386907732.db2.gz ZLKAHZKFFOLPNL-ONGXEEELSA-N 0 3 248.351 2.811 20 0 BFADHN CC(C)Cn1cc(CN2CC[C@@H]2C(C)C)cn1 ZINC000341409543 386908888 /nfs/dbraw/zinc/90/88/88/386908888.db2.gz PPXBFVBIZYYRMQ-CQSZACIVSA-N 0 3 235.375 2.769 20 0 BFADHN COC[C@@H](C)Nc1ccnc2ccc(C)cc21 ZINC000302153265 386908997 /nfs/dbraw/zinc/90/89/97/386908997.db2.gz LMZANMYUHLGLFQ-LLVKDONJSA-N 0 3 230.311 2.990 20 0 BFADHN Cc1ccc(C)c(CNc2nccn2C)c1 ZINC000334857526 386909050 /nfs/dbraw/zinc/90/90/50/386909050.db2.gz VOJIIKAETVPMPY-UHFFFAOYSA-N 0 3 215.300 2.649 20 0 BFADHN Cc1cnc([C@@H](C)N2CC[C@H](C3CC3)C2)cn1 ZINC000335768261 386911560 /nfs/dbraw/zinc/91/15/60/386911560.db2.gz LMKBVKYMKSWVQK-YPMHNXCESA-N 0 3 231.343 2.578 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H]1CCc2ccc(F)cc21 ZINC000341491455 386912434 /nfs/dbraw/zinc/91/24/34/386912434.db2.gz UKXRKXNAEKKIPK-RULNRJAQSA-N 0 3 237.318 2.826 20 0 BFADHN c1cnc2c(c1)CN(CC1CCCC1)CC2 ZINC000367846992 386913593 /nfs/dbraw/zinc/91/35/93/386913593.db2.gz OBEBZHUZBLICML-UHFFFAOYSA-N 0 3 216.328 2.630 20 0 BFADHN Cc1cccc(NCCN[C@H](C)c2ccco2)n1 ZINC000358391776 386946909 /nfs/dbraw/zinc/94/69/09/386946909.db2.gz FQGXCJZYOXKNOI-GFCCVEGCSA-N 0 3 245.326 2.746 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)[C@H]1CC[C@@H](C)C1 ZINC000334864897 386921743 /nfs/dbraw/zinc/92/17/43/386921743.db2.gz PRIOCLDHOLXRLD-SKDRFNHKSA-N 0 3 221.348 2.647 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+][C@H](C)C2CCC2)[n-]1 ZINC000358260954 386922923 /nfs/dbraw/zinc/92/29/23/386922923.db2.gz CDMIODYWYJRVRO-NXEZZACHSA-N 0 3 236.363 2.767 20 0 BFADHN CC(C)c1nnc([C@@H](C)N[C@H](C)C2CCC2)[nH]1 ZINC000358260954 386922926 /nfs/dbraw/zinc/92/29/26/386922926.db2.gz CDMIODYWYJRVRO-NXEZZACHSA-N 0 3 236.363 2.767 20 0 BFADHN Cc1cc(CN2[C@H](C)CCC2(C)C)on1 ZINC000334866136 386923585 /nfs/dbraw/zinc/92/35/85/386923585.db2.gz KTHGFQAYWABFRK-SNVBAGLBSA-N 0 3 208.305 2.746 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H](C)C2CCC2)[n-]1 ZINC000358260955 386923983 /nfs/dbraw/zinc/92/39/83/386923983.db2.gz CDMIODYWYJRVRO-UWVGGRQHSA-N 0 3 236.363 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@@H](C)C2CCC2)[nH]1 ZINC000358260955 386923991 /nfs/dbraw/zinc/92/39/91/386923991.db2.gz CDMIODYWYJRVRO-UWVGGRQHSA-N 0 3 236.363 2.767 20 0 BFADHN Cn1ncc2c1CCCN(C[C@H]1CC=CCC1)C2 ZINC000334866432 386924405 /nfs/dbraw/zinc/92/44/05/386924405.db2.gz WAZGSFRILPQYNC-ZDUSSCGKSA-N 0 3 245.370 2.525 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@@H](C)C1CCCCC1 ZINC000052342584 386926050 /nfs/dbraw/zinc/92/60/50/386926050.db2.gz HTJSEHDBBTWPIC-FZMZJTMJSA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1cncnc1OC ZINC000648009781 386926353 /nfs/dbraw/zinc/92/63/53/386926353.db2.gz XFFVVYXNWYGTNC-CYBMUJFWSA-N 0 3 249.358 2.640 20 0 BFADHN COC[C@H](CC(C)C)NCc1scnc1C ZINC000336762540 386927813 /nfs/dbraw/zinc/92/78/13/386927813.db2.gz LMCGTNIRPKYXQT-NSHDSACASA-N 0 3 242.388 2.602 20 0 BFADHN CC[C@@H](C)N(C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000334869223 386928880 /nfs/dbraw/zinc/92/88/80/386928880.db2.gz AZLBSGFXGBKBGV-SNVBAGLBSA-N 0 3 247.342 2.552 20 0 BFADHN Cc1nc(C)c(CN2[C@@H](C)C[C@@H]2C)s1 ZINC000335828817 386934359 /nfs/dbraw/zinc/93/43/59/386934359.db2.gz PYNXAXPWQOWKNS-YUMQZZPRSA-N 0 3 210.346 2.743 20 0 BFADHN Cc1ccc(CN2CCCC(C)(C)CC2)nn1 ZINC000334876692 386936791 /nfs/dbraw/zinc/93/67/91/386936791.db2.gz WIQXFJDMICSQHD-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN c1ccc2c(c1)SCC[C@@H]2NCCN1CCC1 ZINC000358345188 386938712 /nfs/dbraw/zinc/93/87/12/386938712.db2.gz YOQWUZVZIPLDBG-ZDUSSCGKSA-N 0 3 248.395 2.519 20 0 BFADHN CC1(C)Cc2occc2[C@H](NCCN2CCC2)C1 ZINC000358352588 386939333 /nfs/dbraw/zinc/93/93/33/386939333.db2.gz MTZKMCVSDCOGEG-CYBMUJFWSA-N 0 3 248.370 2.588 20 0 BFADHN CC[C@H](N[C@@H](C)[C@H](C)OC)c1c(C)noc1C ZINC000358360280 386940817 /nfs/dbraw/zinc/94/08/17/386940817.db2.gz BQEBNDIOCKLJJU-PEXQALLHSA-N 0 3 240.347 2.756 20 0 BFADHN COC[C@H](N[C@H](c1cccnc1)C1CC1)C1CC1 ZINC000358368679 386943079 /nfs/dbraw/zinc/94/30/79/386943079.db2.gz OVMICWHMEJABPJ-GJZGRUSLSA-N 0 3 246.354 2.547 20 0 BFADHN COC[C@@H](N[C@@H](c1cccnc1)C1CC1)C1CC1 ZINC000358368680 386944225 /nfs/dbraw/zinc/94/42/25/386944225.db2.gz OVMICWHMEJABPJ-HUUCEWRRSA-N 0 3 246.354 2.547 20 0 BFADHN C[C@H](N[C@H](CO)CC(F)(F)F)c1ccccc1 ZINC000358381988 386945928 /nfs/dbraw/zinc/94/59/28/386945928.db2.gz ZVENMODMCQBMNB-ONGXEEELSA-N 0 3 247.260 2.651 20 0 BFADHN C[C@]1(CNCc2ccco2)CCO[C@@H]1C1CC1 ZINC000368768883 387007145 /nfs/dbraw/zinc/00/71/45/387007145.db2.gz YXMXZVKKYZWOBL-ZIAGYGMSSA-N 0 3 235.327 2.574 20 0 BFADHN Cc1cccc(CN2CCS[C@@H](C)CC2)n1 ZINC000334824574 386955829 /nfs/dbraw/zinc/95/58/29/386955829.db2.gz YYXFIQVFJYNXFW-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN COc1c(O)cccc1CNC[C@@H]1CC1(C)C ZINC000352903645 386956297 /nfs/dbraw/zinc/95/62/97/386956297.db2.gz PXDGDPAEZULIRD-NSHDSACASA-N 0 3 235.327 2.537 20 0 BFADHN CCc1ccc(NC(=O)[C@H]2C[C@@H](C)CCN2)cc1 ZINC000126014200 386965352 /nfs/dbraw/zinc/96/53/52/386965352.db2.gz VDBHGKGOLJMSGE-SMDDNHRTSA-N 0 3 246.354 2.576 20 0 BFADHN Cc1n[nH]cc1CN1CC[C@@H](C)C2(CCC2)C1 ZINC000334891836 386965681 /nfs/dbraw/zinc/96/56/81/386965681.db2.gz UEOXUMWCQYSANF-LLVKDONJSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1ccc(NC(=O)[C@H]2C[C@@H](C)CCN2)cc1C ZINC000126033548 386967690 /nfs/dbraw/zinc/96/76/90/386967690.db2.gz RNMORLSAJVTZIF-IINYFYTJSA-N 0 3 246.354 2.630 20 0 BFADHN CC[C@H](NCCN1CCC1)c1ccccc1F ZINC000352931548 386970404 /nfs/dbraw/zinc/97/04/04/386970404.db2.gz WSXBPTAJSUDLQU-AWEZNQCLSA-N 0 3 236.334 2.572 20 0 BFADHN C[C@@H](N[C@@H]1CCCc2cn[nH]c21)c1ccoc1 ZINC000368424168 386971237 /nfs/dbraw/zinc/97/12/37/386971237.db2.gz HQLZCRROCJESED-BXKDBHETSA-N 0 3 231.299 2.731 20 0 BFADHN FCCCCCN1CCN(C2CCCC2)CC1 ZINC000341834492 386971254 /nfs/dbraw/zinc/97/12/54/386971254.db2.gz KQNXDXOVJQUUFL-UHFFFAOYSA-N 0 3 242.382 2.686 20 0 BFADHN CCCCN[C@@H](c1nnc2ccccn21)C(C)C ZINC000352961303 386976195 /nfs/dbraw/zinc/97/61/95/386976195.db2.gz ALNHMDSFJNPONW-CYBMUJFWSA-N 0 3 246.358 2.816 20 0 BFADHN Fc1cccc(C2(NC[C@@H]3CCCO3)CC2)c1 ZINC000341853436 386980220 /nfs/dbraw/zinc/98/02/20/386980220.db2.gz NWDTWRIDMUBROB-ZDUSSCGKSA-N 0 3 235.302 2.583 20 0 BFADHN Fc1cccc(C2(NC[C@H]3CCCO3)CC2)c1 ZINC000341853435 386980382 /nfs/dbraw/zinc/98/03/82/386980382.db2.gz NWDTWRIDMUBROB-CYBMUJFWSA-N 0 3 235.302 2.583 20 0 BFADHN Fc1cccc(CN2CC[C@@]3(C2)CCCOC3)c1 ZINC000368504787 386980540 /nfs/dbraw/zinc/98/05/40/386980540.db2.gz RSKQXQUVFITLSE-OAHLLOKOSA-N 0 3 249.329 2.828 20 0 BFADHN c1[nH]nc2c1cccc2CN1CCC12CCC2 ZINC000334908293 386981980 /nfs/dbraw/zinc/98/19/80/386981980.db2.gz QJSUNPHSQLUWHS-UHFFFAOYSA-N 0 3 227.311 2.691 20 0 BFADHN CC(C)[C@@H](N[C@@H](C)CO)c1cc(F)ccc1F ZINC000358752175 387008563 /nfs/dbraw/zinc/00/85/63/387008563.db2.gz JTSUEEOJOPAWAG-TVQRCGJNSA-N 0 3 243.297 2.632 20 0 BFADHN c1coc(CN2CCC(C3CC3)CC2)c1 ZINC000368636289 386995677 /nfs/dbraw/zinc/99/56/77/386995677.db2.gz HOEMCAJNQAINPP-UHFFFAOYSA-N 0 3 205.301 2.902 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2COC(C)(C)C2)c1 ZINC000334922325 386998744 /nfs/dbraw/zinc/99/87/44/386998744.db2.gz TXXPCYCGBWCGBE-NSHDSACASA-N 0 3 220.316 2.678 20 0 BFADHN COC(=O)[C@@H]1C[C@@H](C)CCN1CCC(C)(C)C ZINC000341900510 386998809 /nfs/dbraw/zinc/99/88/09/386998809.db2.gz AJZYLANHQOGWLG-RYUDHWBXSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1cnn(CCNC(C)(C)c2cccs2)c1 ZINC000341928065 387002813 /nfs/dbraw/zinc/00/28/13/387002813.db2.gz ZCTAEWUMSXBUCV-UHFFFAOYSA-N 0 3 249.383 2.778 20 0 BFADHN Cc1nocc1CN(C)[C@H]1CC[C@H](C)C1 ZINC000334929317 387005173 /nfs/dbraw/zinc/00/51/73/387005173.db2.gz QTNVBSISRKNETC-CABZTGNLSA-N 0 3 208.305 2.603 20 0 BFADHN Fc1ccc(C2(NC[C@H]3CCCCO3)CC2)cc1 ZINC000341707355 386951930 /nfs/dbraw/zinc/95/19/30/386951930.db2.gz VQRFRHDBOGIWBJ-CQSZACIVSA-N 0 3 249.329 2.974 20 0 BFADHN CCn1cncc1CN1CCCC2(CCC2)C1 ZINC000418026468 387031488 /nfs/dbraw/zinc/03/14/88/387031488.db2.gz HHKSRDRPNOJADG-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN Cc1ccoc1CN1CCC[C@@]2(CCOC2)C1 ZINC000336224844 387066370 /nfs/dbraw/zinc/06/63/70/387066370.db2.gz IRJYFSYUHHOJPO-CQSZACIVSA-N 0 3 235.327 2.591 20 0 BFADHN CO[C@@H](CN(C)Cc1sccc1C)C1CC1 ZINC000425379986 387032110 /nfs/dbraw/zinc/03/21/10/387032110.db2.gz DMXOWQNOVJKSRF-LBPRGKRZSA-N 0 3 239.384 2.913 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CC[C@@](C)(CC)C1 ZINC000399494367 387033555 /nfs/dbraw/zinc/03/35/55/387033555.db2.gz VXYVTELBPYTWKZ-TZMCWYRMSA-N 0 3 241.375 2.840 20 0 BFADHN CO[C@@H](CN(C)Cc1ccc(F)cc1)C1CC1 ZINC000425381529 387034572 /nfs/dbraw/zinc/03/45/72/387034572.db2.gz GYIAAZMVTODWEC-AWEZNQCLSA-N 0 3 237.318 2.683 20 0 BFADHN Cc1cc(CCNCc2ccc(F)cc2C)on1 ZINC000353066537 387035527 /nfs/dbraw/zinc/03/55/27/387035527.db2.gz WARWNJWOIZEFIX-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN CC(C)[C@H]1CC[C@@H](C)C[C@H]1NCc1ccn(C)n1 ZINC000096544067 387036543 /nfs/dbraw/zinc/03/65/43/387036543.db2.gz FOKKATBKOBRDRP-BPLDGKMQSA-N 0 3 249.402 2.971 20 0 BFADHN COc1ccc(CN(C)C[C@@H](OC)C2CC2)cc1 ZINC000425389248 387038229 /nfs/dbraw/zinc/03/82/29/387038229.db2.gz YNYWQCBMZZUPHW-OAHLLOKOSA-N 0 3 249.354 2.552 20 0 BFADHN CCCc1cccc(CN[C@]2(C)CCOC2)c1 ZINC000358995310 387041621 /nfs/dbraw/zinc/04/16/21/387041621.db2.gz DCRVQMXUWDYAAQ-OAHLLOKOSA-N 0 3 233.355 2.908 20 0 BFADHN CCn1cncc1CN1CC[C@@]2(CC[C@H](C)C2)C1 ZINC000334962870 387043274 /nfs/dbraw/zinc/04/32/74/387043274.db2.gz XZGCVOXQCQZRTJ-DZGCQCFKSA-N 0 3 247.386 2.915 20 0 BFADHN CCCc1cccc(CN[C@H]2C=C[C@H](CO)C2)c1 ZINC000359019090 387045496 /nfs/dbraw/zinc/04/54/96/387045496.db2.gz ZBQNYXAMNDMJSI-HOTGVXAUSA-N 0 3 245.366 2.666 20 0 BFADHN CC[C@H](NC[C@@H]1CC[C@H](C)C1)c1ccn(C)n1 ZINC000359037472 387046915 /nfs/dbraw/zinc/04/69/15/387046915.db2.gz QUYCNJATKOYLJE-XQQFMLRXSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1ccc2cc([C@H](C)NC[C@@H](C)O)oc2c1 ZINC000425956732 387047085 /nfs/dbraw/zinc/04/70/85/387047085.db2.gz FOIDSPGLWWUERR-MNOVXSKESA-N 0 3 233.311 2.773 20 0 BFADHN c1c2cccnc2oc1CN1CCC12CCC2 ZINC000336227385 387066908 /nfs/dbraw/zinc/06/69/08/387066908.db2.gz QUMSNAGHOSIHCD-UHFFFAOYSA-N 0 3 228.295 2.956 20 0 BFADHN CCC[C@H](C)[C@H](CC)NCc1ncnn1CC ZINC000359055695 387051224 /nfs/dbraw/zinc/05/12/24/387051224.db2.gz NMLGAVTVEXJJKF-RYUDHWBXSA-N 0 3 238.379 2.602 20 0 BFADHN CC(C)C(CN[C@H](C)c1nccn1C)C(C)C ZINC000191700615 387052429 /nfs/dbraw/zinc/05/24/29/387052429.db2.gz XMJJRTUYGNVLIR-GFCCVEGCSA-N 0 3 237.391 2.999 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@@H](N)C1CCC1 ZINC000399078940 387055198 /nfs/dbraw/zinc/05/51/98/387055198.db2.gz OYQDQNWUWVMJHZ-OLZOCXBDSA-N 0 3 240.391 2.589 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1nc2cccnc2s1 ZINC000334976310 387061766 /nfs/dbraw/zinc/06/17/66/387061766.db2.gz QYXDGBLWDHMIQZ-UWVGGRQHSA-N 0 3 247.367 2.970 20 0 BFADHN CCN(Cc1cncn1CC)CC1CCC1 ZINC000418035977 387062420 /nfs/dbraw/zinc/06/24/20/387062420.db2.gz FHWOCFHWEIZPDB-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN C[C@H](N[C@H]1C[C@@](C)(O)C1)c1csc(Cl)c1 ZINC000417414967 387063259 /nfs/dbraw/zinc/06/32/59/387063259.db2.gz YQIOOXHDHIXSJT-OVYXKVPISA-N 0 3 245.775 2.966 20 0 BFADHN Cc1ccc(CN2CCC[C@@]3(CCOC3)C2)o1 ZINC000336223158 387063416 /nfs/dbraw/zinc/06/34/16/387063416.db2.gz GOGXGEWMUJYJRM-CQSZACIVSA-N 0 3 235.327 2.591 20 0 BFADHN C[C@H](NC1CCC(O)CC1)c1ccccc1F ZINC000019918700 387063681 /nfs/dbraw/zinc/06/36/81/387063681.db2.gz ACYHMEDVSCAQDH-UNXYVOJBSA-N 0 3 237.318 2.780 20 0 BFADHN Fc1ccc(CN2CCC[C@]3(CCOC3)C2)cc1 ZINC000336223393 387063933 /nfs/dbraw/zinc/06/39/33/387063933.db2.gz ZSEPDDNHLBHLNX-HNNXBMFYSA-N 0 3 249.329 2.828 20 0 BFADHN c1cc(O[C@@H]2CCN([C@@H]3C=CCCC3)C2)ccn1 ZINC000334978597 387064535 /nfs/dbraw/zinc/06/45/35/387064535.db2.gz NFFOEFNPYGFHES-UKRRQHHQSA-N 0 3 244.338 2.643 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)n(C)n1 ZINC000334934969 387011035 /nfs/dbraw/zinc/01/10/35/387011035.db2.gz AJVKVKIQTHWCQI-ZIAGYGMSSA-N 0 3 247.386 2.739 20 0 BFADHN CCc1ccc(CNC2(COC)CCC2)o1 ZINC000284100631 387066118 /nfs/dbraw/zinc/06/61/18/387066118.db2.gz RYVHEXJZLBFHAM-UHFFFAOYSA-N 0 3 223.316 2.501 20 0 BFADHN CS[C@H]1CC[C@H]1N[C@@H]1C[C@H]1c1ccco1 ZINC000425370066 387027862 /nfs/dbraw/zinc/02/78/62/387027862.db2.gz NGEJDEHZZAPUPA-BFLSOPEQSA-N 0 3 223.341 2.619 20 0 BFADHN CCn1cncc1CN[C@@H]1CCC12CCCC2 ZINC000418026921 387029379 /nfs/dbraw/zinc/02/93/79/387029379.db2.gz GTFVMUHFAPIFOA-CYBMUJFWSA-N 0 3 233.359 2.715 20 0 BFADHN COc1cc(C)c(CN2CC[C@@H]2C(C)C)c(C)n1 ZINC000359240330 387071610 /nfs/dbraw/zinc/07/16/10/387071610.db2.gz SMIFIASNMNKLKW-CQSZACIVSA-N 0 3 248.370 2.937 20 0 BFADHN OC1CCN(Cc2cccc(C3CC3)c2)CC1 ZINC000334985743 387073577 /nfs/dbraw/zinc/07/35/77/387073577.db2.gz NWVXKFFCXKRCGX-UHFFFAOYSA-N 0 3 231.339 2.521 20 0 BFADHN C[C@H](NCc1cc[nH]c1)c1cccc(O)c1 ZINC000085579239 387073978 /nfs/dbraw/zinc/07/39/78/387073978.db2.gz ANJSLRADTNPRTO-JTQLQIEISA-N 0 3 216.284 2.571 20 0 BFADHN Cc1cc(C)c(CN[C@H]2C[C@@](C)(O)C2)cc1C ZINC000418120593 387074853 /nfs/dbraw/zinc/07/48/53/387074853.db2.gz VYFGFBSBRLZZLN-GASCZTMLSA-N 0 3 233.355 2.615 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1ccncc1F ZINC000418120933 387075950 /nfs/dbraw/zinc/07/59/50/387075950.db2.gz IDQVASVPFOPNHO-OUAUKWLOSA-N 0 3 222.307 2.697 20 0 BFADHN COc1cnccc1[C@H](C)N[C@@H](C)CC1CC1 ZINC000188773856 387079939 /nfs/dbraw/zinc/07/99/39/387079939.db2.gz ZEZYRFLEZBLFDD-QWRGUYRKSA-N 0 3 234.343 2.929 20 0 BFADHN Cc1occc1CN[C@@H](C)CN1CCCCC1 ZINC000228141996 387079916 /nfs/dbraw/zinc/07/99/16/387079916.db2.gz GTFRQIXMMYNLGR-LBPRGKRZSA-N 0 3 236.359 2.552 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1N[C@@H]1COC(C)(C)C1 ZINC000418063147 387080609 /nfs/dbraw/zinc/08/06/09/387080609.db2.gz HSRDSZCUUYDFKX-MJVIPROJSA-N 0 3 247.338 2.666 20 0 BFADHN Cc1csc(CN2C[C@H](C)[C@@H](C)[C@H]2C)n1 ZINC000418121873 387081909 /nfs/dbraw/zinc/08/19/09/387081909.db2.gz DYOFFLANFYHTKN-JMJZKYOTSA-N 0 3 224.373 2.928 20 0 BFADHN Cc1csc(CN2C[C@H](C)[C@H](C)[C@H]2C)n1 ZINC000418121870 387081965 /nfs/dbraw/zinc/08/19/65/387081965.db2.gz DYOFFLANFYHTKN-INTQDDNPSA-N 0 3 224.373 2.928 20 0 BFADHN Cc1nocc1CN1CC[C@@H](C2CCCC2)C1 ZINC000334993080 387082160 /nfs/dbraw/zinc/08/21/60/387082160.db2.gz FJIMNKXWPRVNRT-CYBMUJFWSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1ccc(CN2CCOC[C@H](C)C2)cc1C ZINC000370054455 387083896 /nfs/dbraw/zinc/08/38/96/387083896.db2.gz WGLTVAAFNZKQPB-GFCCVEGCSA-N 0 3 233.355 2.772 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)C[C@H](C)O)c(C)o1 ZINC000228268368 387085062 /nfs/dbraw/zinc/08/50/62/387085062.db2.gz SXVIMFTUFLPWGA-YWVKMMECSA-N 0 3 225.332 2.706 20 0 BFADHN Cc1cc(CN2CC[C@@H](C3CCCC3)C2)n(C)n1 ZINC000334995690 387085637 /nfs/dbraw/zinc/08/56/37/387085637.db2.gz SBACUJISCJVCAY-CQSZACIVSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)C[C@H](C)O)c(C)o1 ZINC000228268386 387086126 /nfs/dbraw/zinc/08/61/26/387086126.db2.gz SXVIMFTUFLPWGA-QXEWZRGKSA-N 0 3 225.332 2.706 20 0 BFADHN CC(C)[C@](C)(O)CNCc1sccc1Cl ZINC000193100303 387087446 /nfs/dbraw/zinc/08/74/46/387087446.db2.gz SHODPSMYCLOPHV-LLVKDONJSA-N 0 3 247.791 2.898 20 0 BFADHN CC[C@@H](C)[C@H](O)CNCc1sccc1Cl ZINC000193100756 387087685 /nfs/dbraw/zinc/08/76/85/387087685.db2.gz HZJCFDDGBYVCEV-PSASIEDQSA-N 0 3 247.791 2.898 20 0 BFADHN CCCCN(CC)C(=O)CN1CCCC[C@H]1C ZINC000066560168 387087614 /nfs/dbraw/zinc/08/76/14/387087614.db2.gz RYOYFGIHHKRETA-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CCn1cncc1CN1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000418079332 387090164 /nfs/dbraw/zinc/09/01/64/387090164.db2.gz XXHJTQWZFZMOQF-GJZGRUSLSA-N 0 3 245.370 2.523 20 0 BFADHN CCn1cncc1CN1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000418079331 387090424 /nfs/dbraw/zinc/09/04/24/387090424.db2.gz XXHJTQWZFZMOQF-CABCVRRESA-N 0 3 245.370 2.523 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1cc(C)nn1C ZINC000418084875 387093416 /nfs/dbraw/zinc/09/34/16/387093416.db2.gz RZRSEJLPTLUKLP-KGLIPLIRSA-N 0 3 235.375 2.643 20 0 BFADHN CCn1cncc1CN(C)C1CC(C)(C)C1 ZINC000418086098 387093828 /nfs/dbraw/zinc/09/38/28/387093828.db2.gz BMFBVSKLONJVSO-UHFFFAOYSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@]1(C(N)=O)CCCCN1CC1CC(F)(F)C1 ZINC000336260911 387095230 /nfs/dbraw/zinc/09/52/30/387095230.db2.gz STERGVJTMSILPZ-LLVKDONJSA-N 0 3 246.301 2.812 20 0 BFADHN COCC1(C)CN(C[C@@H]2C[C@@H]2c2ccccc2)C1 ZINC000418090577 387098263 /nfs/dbraw/zinc/09/82/63/387098263.db2.gz NZMFRPAYXRZBMA-LSDHHAIUSA-N 0 3 245.366 2.758 20 0 BFADHN CCc1nc(CNC[C@H]2CC23CC3)cs1 ZINC000418096277 387098492 /nfs/dbraw/zinc/09/84/92/387098492.db2.gz MIKJWIPRNAAEBR-SECBINFHSA-N 0 3 222.357 2.595 20 0 BFADHN C[C@H](NC1CC(C)(F)C1)c1cncc(F)c1 ZINC000336265936 387099604 /nfs/dbraw/zinc/09/96/04/387099604.db2.gz JOPKELUREQJBDU-RKWZHAFESA-N 0 3 226.270 2.762 20 0 BFADHN Cc1nocc1CN1CCC[C@H]1C(C)(C)C ZINC000334945377 387107019 /nfs/dbraw/zinc/10/70/19/387107019.db2.gz XDAKHNBEJLOPRB-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@@H]1CCCN(CCOCC(F)(F)F)CC1 ZINC000068827989 387108512 /nfs/dbraw/zinc/10/85/12/387108512.db2.gz UCRFLTRWTGJTLO-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN Cc1cnc(CN2CC(C)(C)[C@H]3CCC[C@H]32)cn1 ZINC000334947708 387110124 /nfs/dbraw/zinc/11/01/24/387110124.db2.gz PWBQUIGWASXIQO-UONOGXRCSA-N 0 3 245.370 2.796 20 0 BFADHN C[C@@H](c1cccc(F)c1)N(C)C[C@@H]1CCCO1 ZINC000069070950 387112495 /nfs/dbraw/zinc/11/24/95/387112495.db2.gz VTYMXQIMFARQKC-FZMZJTMJSA-N 0 3 237.318 2.998 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)Nc1ccc(CC)cc1 ZINC000069093158 387113543 /nfs/dbraw/zinc/11/35/43/387113543.db2.gz QWJHSIMXWVIPKO-LBPRGKRZSA-N 0 3 248.370 2.918 20 0 BFADHN CC[C@@H](CNC/C=C\c1ccccc1OC)OC ZINC000353086182 387114655 /nfs/dbraw/zinc/11/46/55/387114655.db2.gz WFGNYKPMNLTTQK-LEJCZOIISA-N 0 3 249.354 2.723 20 0 BFADHN Cn1ccc(CNCC2(C(F)(F)F)CCC2)c1 ZINC000353089222 387115075 /nfs/dbraw/zinc/11/50/75/387115075.db2.gz ROLFOQUHGDVSGF-UHFFFAOYSA-N 0 3 246.276 2.847 20 0 BFADHN Cc1cc(CCNCc2ccc(C)c(F)c2)on1 ZINC000353094316 387115732 /nfs/dbraw/zinc/11/57/32/387115732.db2.gz WAPUONGAGWIYLI-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN CC[C@H](O)CCNCc1cc2ccccc2o1 ZINC000127372329 387116121 /nfs/dbraw/zinc/11/61/21/387116121.db2.gz YUPZOUIVWOGJKX-LBPRGKRZSA-N 0 3 233.311 2.683 20 0 BFADHN CSCCN1C[C@@H](C)C[C@H]1c1cccnc1 ZINC000370446759 387116158 /nfs/dbraw/zinc/11/61/58/387116158.db2.gz HBOLASREBQSLDW-AAEUAGOBSA-N 0 3 236.384 2.828 20 0 BFADHN CCN(Cc1ccc(C)nc1C)C1CC1 ZINC000186628967 387116899 /nfs/dbraw/zinc/11/68/99/387116899.db2.gz NQELASRTDLGSLR-UHFFFAOYSA-N 0 3 204.317 2.683 20 0 BFADHN CO[C@H](CNCc1ccccc1)CC(C)C ZINC000418101566 387117900 /nfs/dbraw/zinc/11/79/00/387117900.db2.gz QCVMVGCKVCQRKN-AWEZNQCLSA-N 0 3 221.344 2.837 20 0 BFADHN CCCC(C)(C)NC(=O)[C@@H](CC)N(CC)CC ZINC000359561666 387123361 /nfs/dbraw/zinc/12/33/61/387123361.db2.gz OJSIIWDAAFRWFA-GFCCVEGCSA-N 0 3 242.407 2.802 20 0 BFADHN Cc1occc1CNCCc1ccc(O)cc1 ZINC000321333526 387123318 /nfs/dbraw/zinc/12/33/18/387123318.db2.gz ZKTGAGQMSCMXHB-UHFFFAOYSA-N 0 3 231.295 2.626 20 0 BFADHN Cc1cnc(CN[C@H]2CCC[C@H]2C)s1 ZINC000088735638 387144760 /nfs/dbraw/zinc/14/47/60/387144760.db2.gz XSLBDLMVHQPOBF-SCZZXKLOSA-N 0 3 210.346 2.730 20 0 BFADHN C[C@@H](F)CCN1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000352398516 387145789 /nfs/dbraw/zinc/14/57/89/387145789.db2.gz PCLPLFBRHMENEF-MWLCHTKSSA-N 0 3 245.729 2.531 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1cc(C)c(C)o1 ZINC000290013137 387150912 /nfs/dbraw/zinc/15/09/12/387150912.db2.gz BUMOSJHQQHRXMN-CKYFFXLPSA-N 0 3 225.332 2.970 20 0 BFADHN OC[C@H](CC1CCCCC1)NCc1ccco1 ZINC000418125070 387151314 /nfs/dbraw/zinc/15/13/14/387151314.db2.gz ISGSFKAWDRSGDS-ZDUSSCGKSA-N 0 3 237.343 2.701 20 0 BFADHN CCN(Cc1ccc(C)nc1C)C[C@H]1CCCO1 ZINC000186894078 387151305 /nfs/dbraw/zinc/15/13/05/387151305.db2.gz QWAPJLAEKHYRQS-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN COc1cc(C)nc(CN2C[C@H](C)[C@@H](C)[C@H]2C)c1 ZINC000418126002 387153106 /nfs/dbraw/zinc/15/31/06/387153106.db2.gz KQLKLXMQJPUPAN-CYZMBNFOSA-N 0 3 248.370 2.875 20 0 BFADHN Cc1nnsc1CN1[C@@H](C)CCC1(C)C ZINC000514674971 387155044 /nfs/dbraw/zinc/15/50/44/387155044.db2.gz AXVBNQZDZSWHKJ-QMMMGPOBSA-N 0 3 225.361 2.609 20 0 BFADHN CC(C)CN[C@H](CO)c1cccc(Cl)c1F ZINC000229250977 387163740 /nfs/dbraw/zinc/16/37/40/387163740.db2.gz TXRLGTHMNJWYPA-LLVKDONJSA-N 0 3 245.725 2.758 20 0 BFADHN Cc1ccc([C@@]2(C)CCN([C@H](C)C(N)=O)C2)cc1 ZINC000336338523 387164303 /nfs/dbraw/zinc/16/43/03/387164303.db2.gz GOSFWCJCQWCTFC-DOMZBBRYSA-N 0 3 246.354 2.882 20 0 BFADHN CC[C@@H](C(=O)N(CC)C1CCC1)N(CC)CC ZINC000359798413 387165000 /nfs/dbraw/zinc/16/50/00/387165000.db2.gz PPOGXCMSMPLGMQ-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN OC[C@H](NCC1CC1)c1cccc(Cl)c1F ZINC000229251090 387165327 /nfs/dbraw/zinc/16/53/27/387165327.db2.gz QKQZRGBWIPHQTR-NSHDSACASA-N 0 3 243.709 2.512 20 0 BFADHN C[C@H](F)CCN1CCCC[C@H]1c1ccnn1C ZINC000352413396 387168038 /nfs/dbraw/zinc/16/80/38/387168038.db2.gz ONHZPVAECBIFSJ-AAEUAGOBSA-N 0 3 239.338 2.695 20 0 BFADHN C[C@@H](F)CCN1CCCC[C@H]1c1ccnn1C ZINC000352413399 387168228 /nfs/dbraw/zinc/16/82/28/387168228.db2.gz ONHZPVAECBIFSJ-YPMHNXCESA-N 0 3 239.338 2.695 20 0 BFADHN CC1(C)[C@H](NCc2ccoc2)[C@H]2CCCO[C@H]21 ZINC000229319427 387169907 /nfs/dbraw/zinc/16/99/07/387169907.db2.gz ZJGYHEOHYXOIQB-JHJVBQTASA-N 0 3 235.327 2.573 20 0 BFADHN Cc1nc(CNC2CCC3(CC3)CC2)[nH]c1C ZINC000571777371 387170221 /nfs/dbraw/zinc/17/02/21/387170221.db2.gz XVLSBDXAPYMNKJ-UHFFFAOYSA-N 0 3 233.359 2.839 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cncs1 ZINC000418136466 387172219 /nfs/dbraw/zinc/17/22/19/387172219.db2.gz RWJWFDZPHVKMTE-KXUCPTDWSA-N 0 3 210.346 2.619 20 0 BFADHN CC(C)(C)CN(CCO)Cc1cccc(F)c1 ZINC000353382909 387193549 /nfs/dbraw/zinc/19/35/49/387193549.db2.gz DMISUPFDVDLGED-UHFFFAOYSA-N 0 3 239.334 2.666 20 0 BFADHN CCC(CC)N(CC)Cc1c(C)cnn1C ZINC000571805403 387173211 /nfs/dbraw/zinc/17/32/11/387173211.db2.gz BMLXUNJYKMTRPC-UHFFFAOYSA-N 0 3 223.364 2.739 20 0 BFADHN CC(C)CCC[C@H](C)N[C@H](C)c1ncnn1C ZINC000353308116 387175717 /nfs/dbraw/zinc/17/57/17/387175717.db2.gz UENFOPNSRWJODJ-NWDGAFQWSA-N 0 3 238.379 2.681 20 0 BFADHN CCC[C@H](C)[C@@H](CC)N[C@H](C)c1ncnn1C ZINC000353306088 387175783 /nfs/dbraw/zinc/17/57/83/387175783.db2.gz PJOFDYCWIRGFBV-QJPTWQEYSA-N 0 3 238.379 2.681 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@H](C)C[C@H](C)[C@H]2C)n1 ZINC000229553114 387176723 /nfs/dbraw/zinc/17/67/23/387176723.db2.gz AINYFWRNNVYJMZ-NTZNESFSSA-N 0 3 248.370 2.962 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@H](C)C[C@H](C)[C@H]2C)n1 ZINC000229553114 387176726 /nfs/dbraw/zinc/17/67/26/387176726.db2.gz AINYFWRNNVYJMZ-NTZNESFSSA-N 0 3 248.370 2.962 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@H](C)C[C@H]2C)n1 ZINC000250976388 387179417 /nfs/dbraw/zinc/17/94/17/387179417.db2.gz HMQRSXLONVFXJM-CMPLNLGQSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@H](C)C[C@H]2C)n1 ZINC000250976388 387179422 /nfs/dbraw/zinc/17/94/22/387179422.db2.gz HMQRSXLONVFXJM-CMPLNLGQSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1cncc([C@@H](C)NCCOC(F)(F)F)c1 ZINC000353326476 387180394 /nfs/dbraw/zinc/18/03/94/387180394.db2.gz MFZRJMHFQJCMOL-SECBINFHSA-N 0 3 248.248 2.577 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]([C@H]3CCOC3)C2)o1 ZINC000336352388 387182575 /nfs/dbraw/zinc/18/25/75/387182575.db2.gz XHIGQEBXDMOLRF-KGLIPLIRSA-N 0 3 249.354 2.837 20 0 BFADHN CC(C)CC[C@@H](C)NC(=O)C1(N)CCCCC1 ZINC000036135607 387137984 /nfs/dbraw/zinc/13/79/84/387137984.db2.gz RCDLYBKWVMTHJL-GFCCVEGCSA-N 0 3 240.391 2.589 20 0 BFADHN C[C@H](NCc1cc[nH]c1)c1ccc2n[nH]cc2c1 ZINC000353101421 387139995 /nfs/dbraw/zinc/13/99/95/387139995.db2.gz NGVUYUGFVQAZPN-JTQLQIEISA-N 0 3 240.310 2.742 20 0 BFADHN Cc1ncsc1CCNCc1ccoc1C ZINC000321460288 387202157 /nfs/dbraw/zinc/20/21/57/387202157.db2.gz GNXZUFUFAJJEIV-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN CC[C@@H](NC[C@@H](C)C(F)(F)F)c1cnn(C)c1 ZINC000359963137 387202414 /nfs/dbraw/zinc/20/24/14/387202414.db2.gz JEWFDWVTIAAHKX-PSASIEDQSA-N 0 3 249.280 2.659 20 0 BFADHN CCCCCC(=O)N(C)CC1(N(C)C)CCC1 ZINC000187994441 387252546 /nfs/dbraw/zinc/25/25/46/387252546.db2.gz VKSQCMVLRFJHFM-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CC[C@H](O)CC2)c1 ZINC000300408623 387206016 /nfs/dbraw/zinc/20/60/16/387206016.db2.gz DNLLVKSXOZCPEZ-JOCQHMNTSA-N 0 3 237.318 2.527 20 0 BFADHN CC(C)(CN1CCCOCC1)c1ccccc1 ZINC000371427668 387209743 /nfs/dbraw/zinc/20/97/43/387209743.db2.gz XZXFLNXBGQUSNK-UHFFFAOYSA-N 0 3 233.355 2.687 20 0 BFADHN CCc1nn(C)cc1CNCc1ccc(C)s1 ZINC000092370885 387211561 /nfs/dbraw/zinc/21/15/61/387211561.db2.gz QERZUFVZMSDZET-UHFFFAOYSA-N 0 3 249.383 2.642 20 0 BFADHN Cc1cnc(CN2CC[C@H](C)C3(CCC3)C2)cn1 ZINC000336384317 387213682 /nfs/dbraw/zinc/21/36/82/387213682.db2.gz JSOABDLSELJDSE-LBPRGKRZSA-N 0 3 245.370 2.797 20 0 BFADHN CO[C@@H](C)CN1CCC[C@H](Oc2ccccc2)C1 ZINC000360016527 387213668 /nfs/dbraw/zinc/21/36/68/387213668.db2.gz NHSZIDGBPKMBAK-ZFWWWQNUSA-N 0 3 249.354 2.565 20 0 BFADHN C[C@@H](O)CN(C)C/C=C/c1ccc(Cl)cc1 ZINC000187820279 387216326 /nfs/dbraw/zinc/21/63/26/387216326.db2.gz PADWKIBPGVDREK-PGLGOXFNSA-N 0 3 239.746 2.666 20 0 BFADHN Cc1nocc1CN(C)[C@@H](C)C1CCC1 ZINC000353514211 387219769 /nfs/dbraw/zinc/21/97/69/387219769.db2.gz BPZRXYGVMVOQOH-JTQLQIEISA-N 0 3 208.305 2.603 20 0 BFADHN CCOc1cccc(CNC[C@H](OC)C2CC2)c1 ZINC000292871926 387223712 /nfs/dbraw/zinc/22/37/12/387223712.db2.gz BMASSTYYAOHZDN-HNNXBMFYSA-N 0 3 249.354 2.600 20 0 BFADHN C[C@H]1CCCCN(Cc2cnc3ccccn23)C1 ZINC000187972283 387223737 /nfs/dbraw/zinc/22/37/37/387223737.db2.gz LPSYBBHNWPPBJM-ZDUSSCGKSA-N 0 3 243.354 2.956 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@]2(C)CCCO2)c1 ZINC000353543395 387225309 /nfs/dbraw/zinc/22/53/09/387225309.db2.gz NNORKGQSKACUIT-GXTWGEPZSA-N 0 3 234.343 2.610 20 0 BFADHN Cc1ccc(C)c(CN2CCOCC3(CC3)C2)c1 ZINC000360096976 387227758 /nfs/dbraw/zinc/22/77/58/387227758.db2.gz IDROPAAZTWQFQL-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN CCC[C@@H](NC[C@@H](CC)OC)c1cccnc1 ZINC000353550214 387228283 /nfs/dbraw/zinc/22/82/83/387228283.db2.gz RCCOGRMFNASJJG-ZIAGYGMSSA-N 0 3 236.359 2.937 20 0 BFADHN CO[C@@H]1CC[C@H](N[C@H](C)c2cc(C)ccn2)C1 ZINC000353666947 387253989 /nfs/dbraw/zinc/25/39/89/387253989.db2.gz AXKBPSVXEGTIDU-FRRDWIJNSA-N 0 3 234.343 2.608 20 0 BFADHN CSCCN(C)Cc1ccc(F)cc1 ZINC000096954131 387233077 /nfs/dbraw/zinc/23/30/77/387233077.db2.gz NOLPYIPXBGKLTF-UHFFFAOYSA-N 0 3 213.321 2.621 20 0 BFADHN C[C@@H]1OCC[C@@]1(C)NCc1ccc(F)c(F)c1 ZINC000230150620 387233423 /nfs/dbraw/zinc/23/34/23/387233423.db2.gz UKRZNPHKWOYPHA-TVQRCGJNSA-N 0 3 241.281 2.622 20 0 BFADHN CCc1cnc(CNCCCSC)s1 ZINC000230143326 387234612 /nfs/dbraw/zinc/23/46/12/387234612.db2.gz DXAWZXLVUJTSSH-UHFFFAOYSA-N 0 3 230.402 2.548 20 0 BFADHN Cc1cc(CN[C@]2(C)CCO[C@@H]2C)ccc1F ZINC000230154210 387235732 /nfs/dbraw/zinc/23/57/32/387235732.db2.gz ZMZUKGCHSHAHGM-BXUZGUMPSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H]1OCC[C@]1(C)NCc1ccc(Cl)cc1 ZINC000230152974 387236833 /nfs/dbraw/zinc/23/68/33/387236833.db2.gz ASCMSGCPGXZZEX-MFKMUULPSA-N 0 3 239.746 2.997 20 0 BFADHN CC(C)c1ccc(CN2CCN(C)C[C@@H]2C)cc1 ZINC000353585525 387237135 /nfs/dbraw/zinc/23/71/35/387237135.db2.gz UGNQZSWMGRWXHD-AWEZNQCLSA-N 0 3 246.398 2.946 20 0 BFADHN C[C@H](NCCN1CCCC1(C)C)c1ccco1 ZINC000063074026 387247093 /nfs/dbraw/zinc/24/70/93/387247093.db2.gz DKJGMSJPHZLVSD-LBPRGKRZSA-N 0 3 236.359 2.805 20 0 BFADHN CCc1cccc(F)c1CN1CC[C@@H](OC)C1 ZINC000353645805 387249300 /nfs/dbraw/zinc/24/93/00/387249300.db2.gz CHCXFZQAPYNOJX-GFCCVEGCSA-N 0 3 237.318 2.609 20 0 BFADHN CCc1nc(CN[C@H](C)[C@@H]2C[C@@H]2C)cs1 ZINC000321480910 387250894 /nfs/dbraw/zinc/25/08/94/387250894.db2.gz JAUVBUMKEWGDDD-IQJOONFLSA-N 0 3 224.373 2.840 20 0 BFADHN CN[C@@H](CC(C)(C)C)C(=O)Nc1ccccc1 ZINC000395629267 387198504 /nfs/dbraw/zinc/19/85/04/387198504.db2.gz BBFCTRILMOOTHG-LBPRGKRZSA-N 0 3 234.343 2.649 20 0 BFADHN Cc1ccc2nccc(N3C[C@H](O)C[C@@H]3C)c2c1 ZINC000336367871 387198809 /nfs/dbraw/zinc/19/88/09/387198809.db2.gz ODCHKVHFQPJPQD-NWDGAFQWSA-N 0 3 242.322 2.503 20 0 BFADHN Cc1ccoc1CN[C@H]1CS[C@@H](C)C1 ZINC000306464218 387199138 /nfs/dbraw/zinc/19/91/38/387199138.db2.gz JBCHZBHKJDKQCE-VHSXEESVSA-N 0 3 211.330 2.572 20 0 BFADHN Cc1ccc2nccc(N3C[C@H](O)C[C@H]3C)c2c1 ZINC000336367874 387199284 /nfs/dbraw/zinc/19/92/84/387199284.db2.gz ODCHKVHFQPJPQD-VXGBXAGGSA-N 0 3 242.322 2.503 20 0 BFADHN CC[C@@H](NCc1ccc(C)nc1C)[C@H]1CCCO1 ZINC000187492384 387200184 /nfs/dbraw/zinc/20/01/84/387200184.db2.gz KBSVNBOFNGEQJG-HUUCEWRRSA-N 0 3 248.370 2.746 20 0 BFADHN CO[C@H](CNCc1ccc(Cl)cc1)C1CC1 ZINC000292935094 387310931 /nfs/dbraw/zinc/31/09/31/387310931.db2.gz HISZEEIKJQTUTM-CYBMUJFWSA-N 0 3 239.746 2.855 20 0 BFADHN CO[C@@H](CNCc1ccc(Cl)cc1)C1CC1 ZINC000292935096 387312137 /nfs/dbraw/zinc/31/21/37/387312137.db2.gz HISZEEIKJQTUTM-ZDUSSCGKSA-N 0 3 239.746 2.855 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCCSC2)c1 ZINC000286111975 387313414 /nfs/dbraw/zinc/31/34/14/387313414.db2.gz NRYZVMDHJJFINU-RYUDHWBXSA-N 0 3 236.384 2.936 20 0 BFADHN Cc1ccnc([C@H](C)NC2(C)CCC2)c1 ZINC000286114652 387314811 /nfs/dbraw/zinc/31/48/11/387314811.db2.gz NGMUXLRFJLDZPX-NSHDSACASA-N 0 3 204.317 2.983 20 0 BFADHN CN(Cc1ccc(F)cn1)C1(C)CCCC1 ZINC000336485989 387314812 /nfs/dbraw/zinc/31/48/12/387314812.db2.gz LRNWHPGOCQJGOX-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN CC(C)=CCC[C@@H](C)N[C@@H]1CNCCC1(F)F ZINC000423467198 387315530 /nfs/dbraw/zinc/31/55/30/387315530.db2.gz DIAUWOBGJKPKIZ-VXGBXAGGSA-N 0 3 246.345 2.708 20 0 BFADHN CO[C@@H](C)CN[C@H]1CCCOc2ccc(C)cc21 ZINC000188130647 387255009 /nfs/dbraw/zinc/25/50/09/387255009.db2.gz OLQKUXMEAYBHHL-JSGCOSHPSA-N 0 3 249.354 2.833 20 0 BFADHN CCc1ccc(CCN2CCSCC2)cc1 ZINC000360286460 387256326 /nfs/dbraw/zinc/25/63/26/387256326.db2.gz OOYPPYWSGCKRRG-UHFFFAOYSA-N 0 3 235.396 2.840 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2cccc(C)n2)CC1 ZINC000336432092 387258212 /nfs/dbraw/zinc/25/82/12/387258212.db2.gz ZCPDQCOIEFAPOY-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cnn(C)c2C)C1 ZINC000353704602 387260898 /nfs/dbraw/zinc/26/08/98/387260898.db2.gz NORUYLWWPACGSE-CQSZACIVSA-N 0 3 235.375 2.741 20 0 BFADHN c1ccc([C@H]2C[C@H]2CN2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000353709876 387264096 /nfs/dbraw/zinc/26/40/96/387264096.db2.gz SOFIMUACWGTQNA-CAOSSQGBSA-N 0 3 243.350 2.653 20 0 BFADHN CC[C@H](CN[C@@H](C)c1ccc(C)o1)OC ZINC000290055048 387264460 /nfs/dbraw/zinc/26/44/60/387264460.db2.gz FHBGFQXOCWRVGJ-WDEREUQCSA-N 0 3 211.305 2.664 20 0 BFADHN CC[C@H](CN[C@H](C)c1cccc(F)c1)OC ZINC000290055062 387264696 /nfs/dbraw/zinc/26/46/96/387264696.db2.gz FHFFYJZXPPEIJF-ZWNOBZJWSA-N 0 3 225.307 2.901 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)nc1 ZINC000360339346 387265789 /nfs/dbraw/zinc/26/57/89/387265789.db2.gz TVHAPPUGVVEOOI-WCFLWFBJSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@@H](CN[C@H](C)c1ccc(C)o1)OC ZINC000290055042 387266503 /nfs/dbraw/zinc/26/65/03/387266503.db2.gz FHBGFQXOCWRVGJ-MNOVXSKESA-N 0 3 211.305 2.664 20 0 BFADHN CC(C)[C@H]1N(Cc2ccncc2)CC1(C)C ZINC000353743217 387266882 /nfs/dbraw/zinc/26/68/82/387266882.db2.gz BOHZJXRRSZISBA-CYBMUJFWSA-N 0 3 218.344 2.948 20 0 BFADHN CCCCCC(=O)N(CCN(C)C)CC(C)C ZINC000120773122 387268060 /nfs/dbraw/zinc/26/80/60/387268060.db2.gz KLEAUZUHSBSQHK-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN CCC[C@]1(NCc2ccccc2OC)CCOC1 ZINC000285671363 387270786 /nfs/dbraw/zinc/27/07/86/387270786.db2.gz ZSUAMXIMOPYZCE-HNNXBMFYSA-N 0 3 249.354 2.744 20 0 BFADHN CCc1nnc(CN[C@H](CC)[C@H]2C[C@H]2C)s1 ZINC000321527778 387272920 /nfs/dbraw/zinc/27/29/20/387272920.db2.gz OUOJGOVRIMBPDN-KXUCPTDWSA-N 0 3 239.388 2.625 20 0 BFADHN COc1cc(C)ccc1CN1[C@H](C)C[C@@H]1C ZINC000514709734 387276092 /nfs/dbraw/zinc/27/60/92/387276092.db2.gz CIGIXFREWNQLHE-TXEJJXNPSA-N 0 3 219.328 2.986 20 0 BFADHN CC[C@@H](NCC(=O)NC(C)C)c1cccc(C)c1 ZINC000285614018 387276246 /nfs/dbraw/zinc/27/62/46/387276246.db2.gz KJXWTPXBNSKTTD-CQSZACIVSA-N 0 3 248.370 2.560 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1CCC12CCC2)C1CC1 ZINC000353811706 387280546 /nfs/dbraw/zinc/28/05/46/387280546.db2.gz FNLBBWJDKLAAAJ-CHWSQXEVSA-N 0 3 245.370 2.794 20 0 BFADHN CCC1(CNCc2ccc(C)cc2OC)COC1 ZINC000353851808 387284760 /nfs/dbraw/zinc/28/47/60/387284760.db2.gz MRPYEPCUICHMFD-UHFFFAOYSA-N 0 3 249.354 2.520 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(C)c(C)c2)C1 ZINC000353861102 387286968 /nfs/dbraw/zinc/28/69/68/387286968.db2.gz ZUQGITLPMAXZMC-CQSZACIVSA-N 0 3 219.328 2.524 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCC[C@H](CO)C2)o1 ZINC000302604255 387288277 /nfs/dbraw/zinc/28/82/77/387288277.db2.gz LSOLOCLDTCSNTD-RWMBFGLXSA-N 0 3 237.343 2.790 20 0 BFADHN CCC1CC(N[C@H](c2nccn2C)C2CC2)C1 ZINC000353882342 387289450 /nfs/dbraw/zinc/28/94/50/387289450.db2.gz PMCBQWDMIUGKIM-GDKBPFBDSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@H]2CC2(C)C)c1 ZINC000285919766 387293405 /nfs/dbraw/zinc/29/34/05/387293405.db2.gz CHHDKPMOAJVNFM-PWSUYJOCSA-N 0 3 204.317 2.839 20 0 BFADHN CC(C)(O)CCNC1(c2ccc(F)cc2)CC1 ZINC000360487092 387297150 /nfs/dbraw/zinc/29/71/50/387297150.db2.gz FZBJHCKIBVBCJC-UHFFFAOYSA-N 0 3 237.318 2.565 20 0 BFADHN Cc1cnc(CN2C[C@H](C)CCC[C@H]2C)nc1 ZINC000336469738 387298519 /nfs/dbraw/zinc/29/85/19/387298519.db2.gz LWIBVTHEEYDMIZ-DGCLKSJQSA-N 0 3 233.359 2.796 20 0 BFADHN CCCCCN1CCC[C@H]1C(=O)N(CC)CC ZINC000078775204 387305286 /nfs/dbraw/zinc/30/52/86/387305286.db2.gz UOQQVVIXVLOYGU-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CCCCCN1CCC(c2noc(C)n2)CC1 ZINC000078775052 387306408 /nfs/dbraw/zinc/30/64/08/387306408.db2.gz HFPDUSVHHXSSPB-UHFFFAOYSA-N 0 3 237.347 2.748 20 0 BFADHN CCC1(CNCc2cccc(OC)n2)CCC1 ZINC000191299874 387306519 /nfs/dbraw/zinc/30/65/19/387306519.db2.gz OXJZBYCVSROXGA-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN c1ccc(C[C@@H]2CCN2Cc2ccncc2)cc1 ZINC000353997104 387308651 /nfs/dbraw/zinc/30/86/51/387308651.db2.gz INGVWBCDTATFQZ-INIZCTEOSA-N 0 3 238.334 2.899 20 0 BFADHN Cc1c(CN2CC[C@H](C)C(C)(C)C2)cnn1C ZINC000354003730 387309279 /nfs/dbraw/zinc/30/92/79/387309279.db2.gz VCIHCBMLLREEIQ-NSHDSACASA-N 0 3 235.375 2.597 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](C)Cc2cnccn2)o1 ZINC000360854838 387358716 /nfs/dbraw/zinc/35/87/16/387358716.db2.gz CVTBXOFMIACKQT-PWSUYJOCSA-N 0 3 245.326 2.660 20 0 BFADHN CCC1CC(NCc2c3c(nn2C)CCCC3)C1 ZINC000361005104 387385043 /nfs/dbraw/zinc/38/50/43/387385043.db2.gz UEVOWTTVEIYAJS-UHFFFAOYSA-N 0 3 247.386 2.577 20 0 BFADHN c1csc(CN2CC[C@H]3CCC[C@@H]32)n1 ZINC000336547952 387369395 /nfs/dbraw/zinc/36/93/95/387369395.db2.gz FSVUOFHHQMQZRH-ZJUUUORDSA-N 0 3 208.330 2.518 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H](C2CC2)C2CCC2)[n-]1 ZINC000515462628 387384625 /nfs/dbraw/zinc/38/46/25/387384625.db2.gz PXGLRYGBGBHPKF-ZDUSSCGKSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H](C2CC2)C2CCC2)[nH]1 ZINC000515462628 387384628 /nfs/dbraw/zinc/38/46/28/387384628.db2.gz PXGLRYGBGBHPKF-ZDUSSCGKSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H](CCc1ccccc1)N[C@@H](C)CF ZINC000287174609 387379575 /nfs/dbraw/zinc/37/95/75/387379575.db2.gz JTWJTBWKBCYLIB-NEPJUHHUSA-N 0 3 209.308 2.955 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2ccc(C)nc2)C1(C)C ZINC000162468327 387379882 /nfs/dbraw/zinc/37/98/82/387379882.db2.gz CGVGFVLNCRYGRU-ZFWWWQNUSA-N 0 3 248.370 2.683 20 0 BFADHN CC1(C)CCC[C@H](N[C@@H]2CNCCC2(F)F)C1 ZINC000423474903 387322604 /nfs/dbraw/zinc/32/26/04/387322604.db2.gz HVFGZAHMDILDCO-WDEREUQCSA-N 0 3 246.345 2.542 20 0 BFADHN CC1(C)CCC[C@@H](N[C@H]2CNCCC2(F)F)C1 ZINC000423474901 387322884 /nfs/dbraw/zinc/32/28/84/387322884.db2.gz HVFGZAHMDILDCO-MNOVXSKESA-N 0 3 246.345 2.542 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2C(C)C)on1 ZINC000336502407 387326814 /nfs/dbraw/zinc/32/68/14/387326814.db2.gz IKILQOYYVLRZHT-ZDUSSCGKSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@@H](CNCc1ccno1)Cc1ccccc1 ZINC000515060546 387330030 /nfs/dbraw/zinc/33/00/30/387330030.db2.gz RACIFRXPBRZUJU-GFCCVEGCSA-N 0 3 230.311 2.643 20 0 BFADHN CCC[C@H]1CCCN(Cc2cnc(C)cn2)C1 ZINC000354108017 387332074 /nfs/dbraw/zinc/33/20/74/387332074.db2.gz BXSFKZGQOQOOSZ-ZDUSSCGKSA-N 0 3 233.359 2.797 20 0 BFADHN CC(C)N1CCC[C@@]2(C1)OCc1ccccc12 ZINC000360668298 387332900 /nfs/dbraw/zinc/33/29/00/387332900.db2.gz FYMHAKJMUXSVNJ-HNNXBMFYSA-N 0 3 231.339 2.916 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N[C@@H]1CNCCC1(F)F ZINC000423491543 387335175 /nfs/dbraw/zinc/33/51/75/387335175.db2.gz ZJSGWCFZOMLVGI-IJLUTSLNSA-N 0 3 246.345 2.542 20 0 BFADHN CC[C@H](CNCc1ccsc1C)OC ZINC000292969986 387337161 /nfs/dbraw/zinc/33/71/61/387337161.db2.gz MHAKNXASLZQBSD-LLVKDONJSA-N 0 3 213.346 2.571 20 0 BFADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1ccnn1C ZINC000235202607 387341490 /nfs/dbraw/zinc/34/14/90/387341490.db2.gz FTSUDKKMGCTZQA-BXUZGUMPSA-N 0 3 241.338 2.758 20 0 BFADHN CC[C@H](NCc1cccnc1OC)[C@H]1C[C@@H]1C ZINC000322009140 387352244 /nfs/dbraw/zinc/35/22/44/387352244.db2.gz IHRWNBWSJGLBAE-DRZSPHRISA-N 0 3 234.343 2.614 20 0 BFADHN C[C@H](CF)N[C@H](C)CCc1ccc(O)cc1 ZINC000290090841 387353095 /nfs/dbraw/zinc/35/30/95/387353095.db2.gz HAAUHEOKYAMTDI-GHMZBOCLSA-N 0 3 225.307 2.661 20 0 BFADHN C[C@@H](O)CCNCc1ccc(-c2ccccc2)o1 ZINC000304118896 387437778 /nfs/dbraw/zinc/43/77/78/387437778.db2.gz JVGWGIKRPWLRFN-GFCCVEGCSA-N 0 3 245.322 2.807 20 0 BFADHN CCOc1ccccc1CN1CCOC[C@H](C)C1 ZINC000374328193 387442353 /nfs/dbraw/zinc/44/23/53/387442353.db2.gz JKEQOHZACOYWPY-CYBMUJFWSA-N 0 3 249.354 2.554 20 0 BFADHN C[C@@H](NC1CCC1)c1cccnc1Cl ZINC000271494237 387444948 /nfs/dbraw/zinc/44/49/48/387444948.db2.gz PLNNHFNHJHFFJT-MRVPVSSYSA-N 0 3 210.708 2.938 20 0 BFADHN Cc1ccc2c(c1)CN(CCc1cccnc1)C2 ZINC000336606087 387448239 /nfs/dbraw/zinc/44/82/39/387448239.db2.gz VGNYWJMSRRHTGJ-UHFFFAOYSA-N 0 3 238.334 2.948 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@]2(C)CCOC2)o1 ZINC000361386930 387452147 /nfs/dbraw/zinc/45/21/47/387452147.db2.gz QWFSEFFCDOTABV-SMDDNHRTSA-N 0 3 237.343 2.919 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]2C2CCCC2)nc1 ZINC000336616943 387457245 /nfs/dbraw/zinc/45/72/45/387457245.db2.gz PBDQVLXFSZJUFM-CQSZACIVSA-N 0 3 245.370 2.940 20 0 BFADHN CCCCC(=O)NC[C@@H](N)c1ccccc1CC ZINC000423972083 387459228 /nfs/dbraw/zinc/45/92/28/387459228.db2.gz HRAFOKUAMOCFKK-CQSZACIVSA-N 0 3 248.370 2.555 20 0 BFADHN CSC1(CN2CCC[C@@H]2CF)CCC1 ZINC000354519251 387387830 /nfs/dbraw/zinc/38/78/30/387387830.db2.gz DXIQYKLDZALXIF-SNVBAGLBSA-N 0 3 217.353 2.706 20 0 BFADHN c1ccc(CNCc2n[nH]c3ccccc23)cc1 ZINC000051666685 387392736 /nfs/dbraw/zinc/39/27/36/387392736.db2.gz QLIHJQNNVXLIEN-UHFFFAOYSA-N 0 3 237.306 2.853 20 0 BFADHN c1ccc(CNCc2[nH]nc3ccccc32)cc1 ZINC000051666685 387392739 /nfs/dbraw/zinc/39/27/39/387392739.db2.gz QLIHJQNNVXLIEN-UHFFFAOYSA-N 0 3 237.306 2.853 20 0 BFADHN CC(C(=O)N(C)c1ccc(F)cc1)C(F)(F)F ZINC000336569572 387393635 /nfs/dbraw/zinc/39/36/35/387393635.db2.gz UDVZBYNKETUUJT-SSDOTTSWSA-N 0 3 249.207 2.987 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@H]1C[C@@H]1C)C2 ZINC000361105756 387401021 /nfs/dbraw/zinc/40/10/21/387401021.db2.gz CJPRWZZZMFKSTL-WCQYABFASA-N 0 3 201.313 2.967 20 0 BFADHN FCCNCc1cccc(-c2cccnc2)c1 ZINC000373886608 387401386 /nfs/dbraw/zinc/40/13/86/387401386.db2.gz DYFMVLPLAYHXSR-UHFFFAOYSA-N 0 3 230.286 2.808 20 0 BFADHN COC(=O)c1cccc(CN(C)[C@@H]2CC2(C)C)c1 ZINC000361113978 387402451 /nfs/dbraw/zinc/40/24/51/387402451.db2.gz PPPQJPWUVNXJBS-CYBMUJFWSA-N 0 3 247.338 2.704 20 0 BFADHN Cc1cc(CCNCc2cc[nH]n2)ccc1Cl ZINC000361124450 387403916 /nfs/dbraw/zinc/40/39/16/387403916.db2.gz SEVDFWUZHNUVFL-UHFFFAOYSA-N 0 3 249.745 2.704 20 0 BFADHN Cc1ccc(CN2C[C@H](C)N(C)C[C@H]2C)cc1C ZINC000361153269 387406196 /nfs/dbraw/zinc/40/61/96/387406196.db2.gz JABDYFMUYQKLEQ-LSDHHAIUSA-N 0 3 246.398 2.828 20 0 BFADHN CCc1ccc(CNC[C@](C)(OC)C2CC2)cn1 ZINC000361147752 387406587 /nfs/dbraw/zinc/40/65/87/387406587.db2.gz VZJAICDHZFZWRA-HNNXBMFYSA-N 0 3 248.370 2.549 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1ccnn1CC ZINC000354646466 387408569 /nfs/dbraw/zinc/40/85/69/387408569.db2.gz WQLOJSTZMASAQJ-SECBINFHSA-N 0 3 249.280 2.724 20 0 BFADHN Cn1ccc(CN2CCC[C@H]2C2CCCCC2)n1 ZINC000361158867 387408906 /nfs/dbraw/zinc/40/89/06/387408906.db2.gz XJLNXFXLFFAAPM-HNNXBMFYSA-N 0 3 247.386 2.965 20 0 BFADHN CC(=O)CCN1CCC(c2cccc(F)c2)CC1 ZINC000374047241 387413935 /nfs/dbraw/zinc/41/39/35/387413935.db2.gz BCFAWZBURDXZPZ-UHFFFAOYSA-N 0 3 249.329 2.984 20 0 BFADHN Cc1oncc1CN(C)[C@@H]1CCC(C)(C)C1 ZINC000336592379 387416590 /nfs/dbraw/zinc/41/65/90/387416590.db2.gz GBSANVKPVUDSRS-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](C)CC(C)(C)O)o1 ZINC000269220440 387421233 /nfs/dbraw/zinc/42/12/33/387421233.db2.gz CVKOJXIPMUGRTB-ONGXEEELSA-N 0 3 225.332 2.788 20 0 BFADHN CCN(C/C=C/c1ccccc1)[C@@H]1CCOC1 ZINC000361239654 387421442 /nfs/dbraw/zinc/42/14/42/387421442.db2.gz WTQOAFKKOCPSJY-RZIFZGNASA-N 0 3 231.339 2.811 20 0 BFADHN Cc1cc([C@@H](C)NCCC2(CO)CC2)c(C)o1 ZINC000395869468 387494152 /nfs/dbraw/zinc/49/41/52/387494152.db2.gz ISVJEWBJUDLQGL-LLVKDONJSA-N 0 3 237.343 2.710 20 0 BFADHN Cc1cnc(CN(CC2CC2)CC2CCC2)nc1 ZINC000361571768 387495938 /nfs/dbraw/zinc/49/59/38/387495938.db2.gz OLKVETAIXYTLMF-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCCC2(CO)CC2)o1 ZINC000395879932 387496754 /nfs/dbraw/zinc/49/67/54/387496754.db2.gz AOFCJGMSPIQZJY-DGCLKSJQSA-N 0 3 249.354 2.655 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCCC2(CO)CC2)o1 ZINC000395879929 387497145 /nfs/dbraw/zinc/49/71/45/387497145.db2.gz AOFCJGMSPIQZJY-AAEUAGOBSA-N 0 3 249.354 2.655 20 0 BFADHN CCC1(CN[C@H](C)c2ccncn2)CCC1 ZINC000395896883 387500669 /nfs/dbraw/zinc/50/06/69/387500669.db2.gz MVBQCNBMRDNPIB-LLVKDONJSA-N 0 3 219.332 2.708 20 0 BFADHN CC(C)C[C@@H](C)N[C@H](C)c1ccncn1 ZINC000395889738 387500752 /nfs/dbraw/zinc/50/07/52/387500752.db2.gz KKVYPUWFRNZFBD-GHMZBOCLSA-N 0 3 207.321 2.562 20 0 BFADHN Cc1ccc2[nH]c(CN[C@H](C)C3CC3)nc2c1 ZINC000361584571 387501093 /nfs/dbraw/zinc/50/10/93/387501093.db2.gz NXJJZJRBKGJTHA-SNVBAGLBSA-N 0 3 229.327 2.759 20 0 BFADHN Cc1ccc2nc(CN[C@H](C)C3CC3)[nH]c2c1 ZINC000361584571 387501097 /nfs/dbraw/zinc/50/10/97/387501097.db2.gz NXJJZJRBKGJTHA-SNVBAGLBSA-N 0 3 229.327 2.759 20 0 BFADHN CCN(CCC1CC1)Cc1cnn2ccccc12 ZINC000355499456 387543339 /nfs/dbraw/zinc/54/33/39/387543339.db2.gz CATOTIXBJBVIMB-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN Cc1ccc(C)c(C[NH2+]Cc2ncccc2[O-])c1 ZINC000355285340 387512719 /nfs/dbraw/zinc/51/27/19/387512719.db2.gz GYQFPENFFZHGHG-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN C[C@H](Cc1cccs1)N[C@H](C)c1ccncn1 ZINC000395943543 387514501 /nfs/dbraw/zinc/51/45/01/387514501.db2.gz CDXSZWJPTUPSGY-GHMZBOCLSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@@H](Cc1cccs1)N[C@@H](C)c1ccncn1 ZINC000395943551 387515213 /nfs/dbraw/zinc/51/52/13/387515213.db2.gz CDXSZWJPTUPSGY-QWRGUYRKSA-N 0 3 247.367 2.820 20 0 BFADHN CN(CCC1(O)CCC1)Cc1ccc(F)cc1 ZINC000396919148 387515313 /nfs/dbraw/zinc/51/53/13/387515313.db2.gz PMQDIKYJNQEXOU-UHFFFAOYSA-N 0 3 237.318 2.563 20 0 BFADHN C[C@H](O)CCCN1Cc2ccccc2C2(CC2)C1 ZINC000355295039 387516274 /nfs/dbraw/zinc/51/62/74/387516274.db2.gz QVSVIUXMPCHKSY-ZDUSSCGKSA-N 0 3 245.366 2.695 20 0 BFADHN CCc1ccc(CN[C@@H]2COC(C)(C)C2)s1 ZINC000396925509 387518269 /nfs/dbraw/zinc/51/82/69/387518269.db2.gz MCLRBPWDTILKBJ-JTQLQIEISA-N 0 3 239.384 2.968 20 0 BFADHN Fc1ccc(CN2CCC[C@H]2C2CC2)cn1 ZINC000375279466 387520934 /nfs/dbraw/zinc/52/09/34/387520934.db2.gz MTCKWYXEPXZXCE-LBPRGKRZSA-N 0 3 220.291 2.595 20 0 BFADHN Cc1ccc(F)cc1CN1CCC[C@]12CCOC2 ZINC000375275642 387521628 /nfs/dbraw/zinc/52/16/28/387521628.db2.gz DUXCKVXWHHEJMT-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN CCO[C@H]1C[C@H](NCCF)C12CCCCC2 ZINC000304124655 387523233 /nfs/dbraw/zinc/52/32/33/387523233.db2.gz GILZZGBEHNHVAM-RYUDHWBXSA-N 0 3 229.339 2.673 20 0 BFADHN Cc1cnn(CCNCc2cccc(Cl)c2)c1 ZINC000105881034 387524113 /nfs/dbraw/zinc/52/41/13/387524113.db2.gz QFLPGHIKTFYCJT-UHFFFAOYSA-N 0 3 249.745 2.635 20 0 BFADHN C[C@H](CN[C@H]1CCn2ccnc21)CC(F)(F)F ZINC000396982232 387526558 /nfs/dbraw/zinc/52/65/58/387526558.db2.gz WPOCOSBIRIYYPL-IUCAKERBSA-N 0 3 247.264 2.506 20 0 BFADHN CO[C@H]1CCCC[C@@H]1NCc1ccc(C)nc1C ZINC000361745392 387528559 /nfs/dbraw/zinc/52/85/59/387528559.db2.gz IKPVYIPUFZRHLX-GJZGRUSLSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CCC(C)(C)C)n1 ZINC000122456445 387532386 /nfs/dbraw/zinc/53/23/86/387532386.db2.gz VMHKTOQOXPFSGQ-UHFFFAOYSA-N 0 3 222.332 2.621 20 0 BFADHN CCCC(C)(C)NC(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000355406494 387533049 /nfs/dbraw/zinc/53/30/49/387533049.db2.gz BUROXBFIJCMOMZ-RYUDHWBXSA-N 0 3 240.391 2.554 20 0 BFADHN C[C@@H](CN[C@H](C)c1ccncn1)CC(F)(F)F ZINC000397048136 387533690 /nfs/dbraw/zinc/53/36/90/387533690.db2.gz QZJBUSMDTQWIET-RKDXNWHRSA-N 0 3 247.264 2.716 20 0 BFADHN C[C@@H]1[C@H](CO)CCN1C/C=C/c1ccc(F)cc1 ZINC000425989218 387536099 /nfs/dbraw/zinc/53/60/99/387536099.db2.gz RGZKTVQYIAOQOK-VJTBZZIASA-N 0 3 249.329 2.542 20 0 BFADHN CCN(CCC1CC1)Cc1cccc2nccn21 ZINC000355509195 387544585 /nfs/dbraw/zinc/54/45/85/387544585.db2.gz KYUUPQSGEDDFTR-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN CCn1cncc1CN[C@H]1C[C@@H]1C1CCCCC1 ZINC000417971401 387538767 /nfs/dbraw/zinc/53/87/67/387538767.db2.gz RSMIKNDDACBYKZ-CABCVRRESA-N 0 3 247.386 2.961 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1cncnc1 ZINC000516118199 387465321 /nfs/dbraw/zinc/46/53/21/387465321.db2.gz USSUYTKQOOQPMX-KGLIPLIRSA-N 0 3 233.359 2.877 20 0 BFADHN CCc1ccc(CN[C@H](CC)[C@@H]2CCCO2)cn1 ZINC000352761115 387466922 /nfs/dbraw/zinc/46/69/22/387466922.db2.gz URLQBMYRPTZGGX-CABCVRRESA-N 0 3 248.370 2.691 20 0 BFADHN CCc1ccc(CN[C@H](CC)[C@H]2CCCO2)cn1 ZINC000352761117 387467108 /nfs/dbraw/zinc/46/71/08/387467108.db2.gz URLQBMYRPTZGGX-HUUCEWRRSA-N 0 3 248.370 2.691 20 0 BFADHN COC1(CN2CCn3cccc3[C@@H]2C)CCCC1 ZINC000361501355 387474218 /nfs/dbraw/zinc/47/42/18/387474218.db2.gz PLPYVOWMBSGKDA-ZDUSSCGKSA-N 0 3 248.370 2.824 20 0 BFADHN CCOc1cccc(CN2CC[C@@H](CC)C2)n1 ZINC000355085326 387477328 /nfs/dbraw/zinc/47/73/28/387477328.db2.gz YCYUBQHQJVKPHK-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CC(=O)CCN1CCC(c2ccc(C)nc2)CC1 ZINC000374665121 387478631 /nfs/dbraw/zinc/47/86/31/387478631.db2.gz ROCGMTZBHVKKRF-UHFFFAOYSA-N 0 3 246.354 2.549 20 0 BFADHN C[C@@H](N[C@H]1/C=C/CCCCC1)c1cn[nH]c1 ZINC000336629006 387480601 /nfs/dbraw/zinc/48/06/01/387480601.db2.gz CEVPDGDKHXSPLB-HASJVIIQSA-N 0 3 219.332 2.949 20 0 BFADHN OCC[C@@H]1CCCN1Cc1cc(Cl)cs1 ZINC000426151295 387542291 /nfs/dbraw/zinc/54/22/91/387542291.db2.gz CIARGLKOPOELAI-JTQLQIEISA-N 0 3 245.775 2.748 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccccc2F)CC1 ZINC000374682091 387481368 /nfs/dbraw/zinc/48/13/68/387481368.db2.gz XGGLXJAITZSHFH-CYBMUJFWSA-N 0 3 237.318 2.827 20 0 BFADHN CCc1ccc(CN2[C@@H](C)C[C@@H]2C)nc1 ZINC000336632345 387485077 /nfs/dbraw/zinc/48/50/77/387485077.db2.gz ZAGLLWQCHRDEBS-QWRGUYRKSA-N 0 3 204.317 2.627 20 0 BFADHN COC1(CN2CC[C@](C)(F)C2)CCCCC1 ZINC000361548420 387485221 /nfs/dbraw/zinc/48/52/21/387485221.db2.gz JNASCDXUQRUEHZ-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN Cc1c([C@@H](C)N[C@H](C)Cc2ccoc2)cnn1C ZINC000352791409 387488070 /nfs/dbraw/zinc/48/80/70/387488070.db2.gz ZSIMJVNQUHEEPV-GHMZBOCLSA-N 0 3 247.342 2.603 20 0 BFADHN c1nc2cc(CN3CC4(C3)CCCC4)ccc2[nH]1 ZINC000516254534 387488515 /nfs/dbraw/zinc/48/85/15/387488515.db2.gz DLGXECBKNSXHKZ-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN c1nc2ccc(CN3CC4(C3)CCCC4)cc2[nH]1 ZINC000516254534 387488519 /nfs/dbraw/zinc/48/85/19/387488519.db2.gz DLGXECBKNSXHKZ-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN CC(C)N(C)CCc1nc(-c2ccccc2)no1 ZINC000352799991 387489262 /nfs/dbraw/zinc/48/92/62/387489262.db2.gz ZHDCEMUZQKXSBE-UHFFFAOYSA-N 0 3 245.326 2.619 20 0 BFADHN CC(C)CO[C@@H]1CCN(CCC(F)(F)F)C1 ZINC000355886150 387589612 /nfs/dbraw/zinc/58/96/12/387589612.db2.gz BPQHZQIFHUUQSV-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN CC(C)C[C@H]1CCCCN1Cc1nccn1C ZINC000123653108 387589671 /nfs/dbraw/zinc/58/96/71/387589671.db2.gz WUDVLOVYYAWJJM-CYBMUJFWSA-N 0 3 235.375 2.821 20 0 BFADHN CCO[C@H]1C[C@H](N(C)CC2(F)CC2)C1(C)C ZINC000527510696 387589976 /nfs/dbraw/zinc/58/99/76/387589976.db2.gz KFHQSRKZAZIUGK-QWRGUYRKSA-N 0 3 229.339 2.624 20 0 BFADHN CCO[C@@H]1C[C@@H](N(C)CC2(F)CC2)C1(C)C ZINC000527510694 387590970 /nfs/dbraw/zinc/59/09/70/387590970.db2.gz KFHQSRKZAZIUGK-GHMZBOCLSA-N 0 3 229.339 2.624 20 0 BFADHN Fc1ccc(C2(CNCC3(F)CC3)CC2)cc1 ZINC000527514047 387591796 /nfs/dbraw/zinc/59/17/96/387591796.db2.gz LVZDQAUFSZHSFB-UHFFFAOYSA-N 0 3 237.293 2.949 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2C[C@@H]3OCCC[C@@H]23)c1 ZINC000375898103 387592591 /nfs/dbraw/zinc/59/25/91/387592591.db2.gz OUCFIZQKGYUEIZ-VIRABCJISA-N 0 3 246.354 2.608 20 0 BFADHN COC1(C)CN(C[C@@H]2CCCC[C@@H]2C)C1 ZINC000362308278 387593828 /nfs/dbraw/zinc/59/38/28/387593828.db2.gz GWBLBQDJKOYTRS-RYUDHWBXSA-N 0 3 211.349 2.533 20 0 BFADHN FC1(CN[C@@H]2CCCN(c3ccccc3)C2)CC1 ZINC000527521673 387594292 /nfs/dbraw/zinc/59/42/92/387594292.db2.gz OTJRFRLZSCNSST-CYBMUJFWSA-N 0 3 248.345 2.747 20 0 BFADHN C[C@@H](NCC12CCC(CC1)C2)c1cnccn1 ZINC000362309124 387595323 /nfs/dbraw/zinc/59/53/23/387595323.db2.gz JBARSIGQOVJSDQ-LKSINWNRSA-N 0 3 231.343 2.708 20 0 BFADHN Cc1ccc(CCN2C[C@@H](C)OC[C@@H]2C)cc1 ZINC000123866638 387598021 /nfs/dbraw/zinc/59/80/21/387598021.db2.gz YXHLLFNGHGEGEK-UONOGXRCSA-N 0 3 233.355 2.647 20 0 BFADHN COCCOc1ccccc1CN1[C@H](C)C[C@H]1C ZINC000527529531 387598504 /nfs/dbraw/zinc/59/85/04/387598504.db2.gz ZQJAJBCKJXJCGE-CHWSQXEVSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1ccc(CCN2CCOCC2(C)C)cc1 ZINC000124040575 387603093 /nfs/dbraw/zinc/60/30/93/387603093.db2.gz GPVXHSYEGLVLBI-UHFFFAOYSA-N 0 3 233.355 2.648 20 0 BFADHN Cc1cc(F)c(CNCC2CC2)c(F)c1 ZINC000424127976 387603228 /nfs/dbraw/zinc/60/32/28/387603228.db2.gz DYDILNYGGPTLCQ-UHFFFAOYSA-N 0 3 211.255 2.773 20 0 BFADHN Cn1ccnc1[C@@H](NC[C@H]1CC1(C)C)C1CC1 ZINC000362378037 387606150 /nfs/dbraw/zinc/60/61/50/387606150.db2.gz IOWZCWJGFVLJAZ-NEPJUHHUSA-N 0 3 233.359 2.507 20 0 BFADHN CC1=C[C@H](C)CN(CCC(=O)OC(C)(C)C)C1 ZINC000430269563 387606326 /nfs/dbraw/zinc/60/63/26/387606326.db2.gz OYUGJYDMVWONQG-NSHDSACASA-N 0 3 239.359 2.616 20 0 BFADHN Cc1cc(CN2CCCC3(CC3)C2)ccn1 ZINC000527539039 387608404 /nfs/dbraw/zinc/60/84/04/387608404.db2.gz OJSWSFSZURHYDK-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CN(Cc1ncccc1C(F)(F)F)CC1CC1 ZINC000122888020 387610311 /nfs/dbraw/zinc/61/03/11/387610311.db2.gz FZWRPVRVXUYPJK-UHFFFAOYSA-N 0 3 244.260 2.942 20 0 BFADHN C[C@@H](NCC1(O)CCC1)c1ccc(F)cc1F ZINC000124432903 387618937 /nfs/dbraw/zinc/61/89/37/387618937.db2.gz JLWNQQDMQOMCDT-SECBINFHSA-N 0 3 241.281 2.530 20 0 BFADHN CC(C)CCC[C@@H](C)NCc1nccn1C ZINC000042222310 387622386 /nfs/dbraw/zinc/62/23/86/387622386.db2.gz OJZIGAOMXUTWMA-GFCCVEGCSA-N 0 3 223.364 2.725 20 0 BFADHN Cc1n[nH]cc1CN1CC[C@@H](C)C(C)(C)C1 ZINC000355530664 387547114 /nfs/dbraw/zinc/54/71/14/387547114.db2.gz MDLUEQIUEHTRRC-SNVBAGLBSA-N 0 3 221.348 2.586 20 0 BFADHN CCc1ccc(CNC[C@](C)(O)[C@@H](C)CC)o1 ZINC000305080072 387547343 /nfs/dbraw/zinc/54/73/43/387547343.db2.gz MDNWAODAIOAIQH-FZMZJTMJSA-N 0 3 239.359 2.729 20 0 BFADHN CCN(C)c1ccc(CN2[C@H](C)C[C@H]2C)cn1 ZINC000527239148 387547835 /nfs/dbraw/zinc/54/78/35/387547835.db2.gz MZZLVPNQXPJPIF-VXGBXAGGSA-N 0 3 233.359 2.520 20 0 BFADHN Cc1ccncc1CN(C)C[C@H]1CCSC1 ZINC000355578616 387550277 /nfs/dbraw/zinc/55/02/77/387550277.db2.gz CVCGOHVWPHZIBP-GFCCVEGCSA-N 0 3 236.384 2.575 20 0 BFADHN C[C@@H](NCCc1ccco1)c1cccnc1 ZINC000035661714 387553758 /nfs/dbraw/zinc/55/37/58/387553758.db2.gz GSPBBJPPAZURNO-LLVKDONJSA-N 0 3 216.284 2.568 20 0 BFADHN Cc1[nH]ncc1CNc1cccc2cnccc21 ZINC000036954110 387557765 /nfs/dbraw/zinc/55/77/65/387557765.db2.gz QPMVBTPSGDQYOB-UHFFFAOYSA-N 0 3 238.294 2.878 20 0 BFADHN Cn1ccc(CN2CCC[C@@H](C(C)(C)C)C2)n1 ZINC000355650351 387561791 /nfs/dbraw/zinc/56/17/91/387561791.db2.gz NGJHGTQPZIGQLZ-GFCCVEGCSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@@H](NC[C@H]1CCOC1)c1c(F)cccc1F ZINC000037738046 387566170 /nfs/dbraw/zinc/56/61/70/387566170.db2.gz LBODGQZZGWOPGN-NXEZZACHSA-N 0 3 241.281 2.652 20 0 BFADHN c1nc2ccccn2c1CN1CC[C@H]2CCC[C@@H]21 ZINC000426456484 387568450 /nfs/dbraw/zinc/56/84/50/387568450.db2.gz FDQPAJFYPRKPOO-OCCSQVGLSA-N 0 3 241.338 2.709 20 0 BFADHN CC[C@@H](O)CNCc1cc2cc(C)c(C)cc2o1 ZINC000422086225 387568539 /nfs/dbraw/zinc/56/85/39/387568539.db2.gz OGXCFEGVWMTCBH-CYBMUJFWSA-N 0 3 247.338 2.910 20 0 BFADHN C[C@@H](NC[C@H]1Cc2ccccc2O1)c1ccco1 ZINC000038009425 387570540 /nfs/dbraw/zinc/57/05/40/387570540.db2.gz NNWJIMGPIFYWHQ-DGCLKSJQSA-N 0 3 243.306 2.934 20 0 BFADHN Cc1ccoc1CNCCc1ccc(C)cn1 ZINC000399822925 387571909 /nfs/dbraw/zinc/57/19/09/387571909.db2.gz XTGHQFMMNRIPFX-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@H](CC(C)C)C1 ZINC000356219506 387630093 /nfs/dbraw/zinc/63/00/93/387630093.db2.gz MZPIXKMXSRTJQL-GFCCVEGCSA-N 0 3 221.348 2.586 20 0 BFADHN Cc1cc(CN2CC([C@H]3CCOC3)C2)ccc1F ZINC000376436744 387632105 /nfs/dbraw/zinc/63/21/05/387632105.db2.gz XYVVNVUISMACOC-ZDUSSCGKSA-N 0 3 249.329 2.602 20 0 BFADHN CCOC[C@@H](C)N[C@H]1CCc2c1cccc2F ZINC000211888060 387634242 /nfs/dbraw/zinc/63/42/42/387634242.db2.gz AYFHGDUJDJTNSP-YGRLFVJLSA-N 0 3 237.318 2.828 20 0 BFADHN CC[C@@H](NCCn1cccn1)c1cccs1 ZINC000042539131 387634559 /nfs/dbraw/zinc/63/45/59/387634559.db2.gz BGRHIGLVOHSKQF-LLVKDONJSA-N 0 3 235.356 2.686 20 0 BFADHN CCCN(Cc1cnn(C)c1)Cc1ccccc1 ZINC000071016218 387637962 /nfs/dbraw/zinc/63/79/62/387637962.db2.gz NQUKUIQVXGOTFD-UHFFFAOYSA-N 0 3 243.354 2.832 20 0 BFADHN CC(C)c1ncc2c(n1)CCN(C[C@H]1C[C@@H]1C)C2 ZINC000527618203 387639181 /nfs/dbraw/zinc/63/91/81/387639181.db2.gz IDFCZBMESZFAPH-NWDGAFQWSA-N 0 3 245.370 2.614 20 0 BFADHN C[C@H](NC[C@H]1CCC(F)(F)C1)c1nccn1C ZINC000430728013 387644496 /nfs/dbraw/zinc/64/44/96/387644496.db2.gz JCFMUYHCBFITKS-UWVGGRQHSA-N 0 3 243.301 2.506 20 0 BFADHN Cc1c[nH]nc1CN(CC1CCC1)C(C)C ZINC000356389082 387651373 /nfs/dbraw/zinc/65/13/73/387651373.db2.gz HOCKQSCBTYXHIU-UHFFFAOYSA-N 0 3 221.348 2.729 20 0 BFADHN COC[C@@H](N[C@H](C)[C@@H]1C[C@H]1C1CC1)c1ccco1 ZINC000527670183 387654530 /nfs/dbraw/zinc/65/45/30/387654530.db2.gz NAUODRYXFXAIFE-VZZFWQQMSA-N 0 3 249.354 2.991 20 0 BFADHN CCn1nc(C)c(CN2CC(C)=C[C@H](C)C2)c1C ZINC000430879474 387655657 /nfs/dbraw/zinc/65/56/57/387655657.db2.gz SWMYGTSMICSWSC-NSHDSACASA-N 0 3 247.386 2.918 20 0 BFADHN CCCn1cc(CN2CC(C)=C[C@@H](C)C2)cn1 ZINC000430865758 387656448 /nfs/dbraw/zinc/65/64/48/387656448.db2.gz FALJKPJCVRICMK-GFCCVEGCSA-N 0 3 233.359 2.691 20 0 BFADHN Cc1ccoc1CN1CC(C)=C[C@H](C)C1 ZINC000430876931 387656663 /nfs/dbraw/zinc/65/66/63/387656663.db2.gz IBZBWAPLTHHHOV-JTQLQIEISA-N 0 3 205.301 2.986 20 0 BFADHN C[C@@H](NCc1ccc(F)cn1)[C@@H]1C[C@H]1C1CC1 ZINC000527673341 387656642 /nfs/dbraw/zinc/65/66/42/387656642.db2.gz DUVFUAAVUJYKEP-IIMNLJJBSA-N 0 3 234.318 2.745 20 0 BFADHN C[C@H](NCc1ncccc1F)[C@@H]1C[C@H]1C1CC1 ZINC000527681293 387656914 /nfs/dbraw/zinc/65/69/14/387656914.db2.gz JNGHGACFJONLOZ-DLOVCJGASA-N 0 3 234.318 2.745 20 0 BFADHN CN(CCc1ccccc1)Cc1cccn1C ZINC000125417999 387656994 /nfs/dbraw/zinc/65/69/94/387656994.db2.gz DTUYMVAEJMXQBU-UHFFFAOYSA-N 0 3 228.339 2.700 20 0 BFADHN CCCn1cc(CN(CC)CC2CC2)cn1 ZINC000125650552 387664056 /nfs/dbraw/zinc/66/40/56/387664056.db2.gz UWQBLJOOZHDOOR-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN Cc1ccc(CN[C@H](C)c2cnccc2N)cc1 ZINC000422763120 387665852 /nfs/dbraw/zinc/66/58/52/387665852.db2.gz OPCOBSKKHZMSJA-GFCCVEGCSA-N 0 3 241.338 2.653 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2cnccc2N)cc1 ZINC000422763124 387666660 /nfs/dbraw/zinc/66/66/60/387666660.db2.gz OPCOBSKKHZMSJA-LBPRGKRZSA-N 0 3 241.338 2.653 20 0 BFADHN FC(F)[C@H]1C[C@@H]1NCc1ccc2cc[nH]c2c1 ZINC000527710598 387669392 /nfs/dbraw/zinc/66/93/92/387669392.db2.gz VDUWGHCELYOFSU-JQWIXIFHSA-N 0 3 236.265 2.911 20 0 BFADHN COc1ncccc1CN(C)[C@@H](C)C(C)C ZINC000362921714 387672286 /nfs/dbraw/zinc/67/22/86/387672286.db2.gz IIHUXGQCHOQPJO-NSHDSACASA-N 0 3 222.332 2.567 20 0 BFADHN Fc1ccc2cc(CNC[C@@H]3CCCO3)[nH]c2c1 ZINC000362926955 387673580 /nfs/dbraw/zinc/67/35/80/387673580.db2.gz DZPCAWILPNYUKE-ZDUSSCGKSA-N 0 3 248.301 2.576 20 0 BFADHN FC1(F)C[C@H]1CNCc1ccc2cc[nH]c2c1 ZINC000527720442 387674862 /nfs/dbraw/zinc/67/48/62/387674862.db2.gz LNPQOHOLPJBEOA-NSHDSACASA-N 0 3 236.265 2.913 20 0 BFADHN CCC1(CC)CCN(Cc2cc(C)n(C)n2)C1 ZINC000362960385 387680561 /nfs/dbraw/zinc/68/05/61/387680561.db2.gz MYLMTQBAZOQNKZ-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN c1coc(CNC2CCC3(CCCO3)CC2)c1 ZINC000376952526 387681287 /nfs/dbraw/zinc/68/12/87/387681287.db2.gz ALSMTMCRHGGSPE-UHFFFAOYSA-N 0 3 235.327 2.861 20 0 BFADHN Clc1ccc(CNCC[C@@H]2CCCOC2)o1 ZINC000527733501 387682003 /nfs/dbraw/zinc/68/20/03/387682003.db2.gz CHVWCAAUFFDVBJ-JTQLQIEISA-N 0 3 243.734 2.839 20 0 BFADHN COCCCCN1CCC(F)(F)C[C@@H]1C ZINC000528770940 387682152 /nfs/dbraw/zinc/68/21/52/387682152.db2.gz WBEWCGAGCOOSRI-JTQLQIEISA-N 0 3 221.291 2.533 20 0 BFADHN Cc1scc(CNCCOCC(F)F)c1C ZINC000631118649 387684396 /nfs/dbraw/zinc/68/43/96/387684396.db2.gz CGZUNIUOAYSREY-UHFFFAOYSA-N 0 3 249.326 2.736 20 0 BFADHN CC(C)CCO[C@H]1CCCC[C@H]1NCCF ZINC000348113267 387685335 /nfs/dbraw/zinc/68/53/35/387685335.db2.gz FJHXXQBGEJZPQG-OLZOCXBDSA-N 0 3 231.355 2.919 20 0 BFADHN CCCCN(C)CCN[C@@H](C)c1cncs1 ZINC000163502452 387685569 /nfs/dbraw/zinc/68/55/69/387685569.db2.gz JKDPXHMXKOEJEM-NSHDSACASA-N 0 3 241.404 2.526 20 0 BFADHN C[C@@H](N[C@@H](CCO)c1ccco1)[C@@H]1C[C@H]1C1CC1 ZINC000527694369 387687367 /nfs/dbraw/zinc/68/73/67/387687367.db2.gz ZEJFDURBEFDIAK-SAXRGWBVSA-N 0 3 249.354 2.727 20 0 BFADHN Cc1nc(CNCC2CC=CC2)cs1 ZINC000527750490 387691294 /nfs/dbraw/zinc/69/12/94/387691294.db2.gz LVINEZAYSAMNAW-UHFFFAOYSA-N 0 3 208.330 2.507 20 0 BFADHN CCOC[C@H](C)NCc1ccc(C)c(C)c1 ZINC000044691566 387691628 /nfs/dbraw/zinc/69/16/28/387691628.db2.gz XNWNLEJBVCZULL-ZDUSSCGKSA-N 0 3 221.344 2.818 20 0 BFADHN CCSc1ccc([C@@H](C)N[C@@H](C)CO)cc1 ZINC000126624601 387692285 /nfs/dbraw/zinc/69/22/85/387692285.db2.gz QAKOOQFMHCXGSZ-WDEREUQCSA-N 0 3 239.384 2.830 20 0 BFADHN Cn1nc(CNCC2CC=CC2)c2ccccc21 ZINC000527751162 387692774 /nfs/dbraw/zinc/69/27/74/387692774.db2.gz RIOOHINTOGGMKH-UHFFFAOYSA-N 0 3 241.338 2.629 20 0 BFADHN COc1cncc(CN2[C@@H](C)CCC2(C)C)c1 ZINC000452005658 387694085 /nfs/dbraw/zinc/69/40/85/387694085.db2.gz KFDUUOLSMGOHTL-NSHDSACASA-N 0 3 234.343 2.853 20 0 BFADHN C=Cn1cc(CN2CCC3(CCC3)CC2)cn1 ZINC000367681192 387743295 /nfs/dbraw/zinc/74/32/95/387743295.db2.gz BKWVVEFEYQVEKR-UHFFFAOYSA-N 0 3 231.343 2.750 20 0 BFADHN CC[C@@H](CCc1ccccc1)NCc1ncc[nH]1 ZINC000527761499 387698610 /nfs/dbraw/zinc/69/86/10/387698610.db2.gz KHUQGVWMRZIOPT-AWEZNQCLSA-N 0 3 243.354 2.911 20 0 BFADHN CCO[C@@H]1C[C@@H](N(C)C[C@H](F)CC)C1(C)C ZINC000440787166 387698774 /nfs/dbraw/zinc/69/87/74/387698774.db2.gz VADWDNNSGQGBLY-IJLUTSLNSA-N 0 3 231.355 2.870 20 0 BFADHN CC[C@@H](F)CN1CCN(C2CCCCC2)CC1 ZINC000440796959 387701769 /nfs/dbraw/zinc/70/17/69/387701769.db2.gz YBCMZMBXLVHYDZ-CYBMUJFWSA-N 0 3 242.382 2.685 20 0 BFADHN CC(=O)CN[C@@H](c1cccc(C)c1)C(C)C ZINC000639893972 387702862 /nfs/dbraw/zinc/70/28/62/387702862.db2.gz LEIVQTPTHIQZGA-CQSZACIVSA-N 0 3 219.328 2.871 20 0 BFADHN C[C@H](N[C@@H]1CC1(C)C)c1ccc2c(c1)OCO2 ZINC000045116005 387705665 /nfs/dbraw/zinc/70/56/65/387705665.db2.gz FBWUENLVSDOHPF-TVQRCGJNSA-N 0 3 233.311 2.864 20 0 BFADHN Cn1cncc1CN1CCC(C2CCCC2)CC1 ZINC000363319034 387706807 /nfs/dbraw/zinc/70/68/07/387706807.db2.gz ZXIJNZFZDZZZGC-UHFFFAOYSA-N 0 3 247.386 2.822 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCC23CC(C2)CO3)o1 ZINC000527786523 387711388 /nfs/dbraw/zinc/71/13/88/387711388.db2.gz DHGAEVVTWPSHFR-IOTIRUCGSA-N 0 3 247.338 2.672 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NC/C=C/c1ccccc1 ZINC000527783618 387711484 /nfs/dbraw/zinc/71/14/84/387711484.db2.gz NEGQPILSOITEFI-AEOIHIIDSA-N 0 3 231.339 2.857 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1ccc(F)c(F)c1 ZINC000527783685 387711784 /nfs/dbraw/zinc/71/17/84/387711784.db2.gz QCEQZBJRSDUVSX-OLZOCXBDSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1c[nH]nc1CNCC[C@@H]1CC=CCC1 ZINC000527787152 387712378 /nfs/dbraw/zinc/71/23/78/387712378.db2.gz HUZSZKQPEZDECX-GFCCVEGCSA-N 0 3 219.332 2.554 20 0 BFADHN Fc1ccc(CN2CC[C@]3(C2)CCCOC3)cc1 ZINC000367463006 387713482 /nfs/dbraw/zinc/71/34/82/387713482.db2.gz JHCSNIBEWAQXAN-HNNXBMFYSA-N 0 3 249.329 2.828 20 0 BFADHN OCc1ccc(CNCC[C@H]2CC=CCC2)o1 ZINC000527789070 387715242 /nfs/dbraw/zinc/71/52/42/387715242.db2.gz MKANULBVWNCYPU-LBPRGKRZSA-N 0 3 235.327 2.608 20 0 BFADHN C[C@H](NCc1cnns1)[C@@H]1CCC[C@H](C)C1 ZINC000452056071 387716122 /nfs/dbraw/zinc/71/61/22/387716122.db2.gz DAADXENDSVQKPD-GARJFASQSA-N 0 3 239.388 2.843 20 0 BFADHN C[C@]12CN(Cc3ccon3)C[C@H]1[C@@H]1CC[C@H]2C1 ZINC000452054928 387716449 /nfs/dbraw/zinc/71/64/49/387716449.db2.gz SHPURKKFUQNAAY-UVLXDEKHSA-N 0 3 232.327 2.543 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1cccc(C)n1 ZINC000452055279 387717286 /nfs/dbraw/zinc/71/72/86/387717286.db2.gz RMPVDYDUFJNKMW-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN CC(C)CCCN[C@@H]1Cc2ccccc2NC1=O ZINC000527801618 387723179 /nfs/dbraw/zinc/72/31/79/387723179.db2.gz SWRVIORSFGNKCD-CQSZACIVSA-N 0 3 246.354 2.576 20 0 BFADHN C[C@H](NCc1ncc[nH]1)[C@H]1CCCC[C@H]1C ZINC000648702238 387724785 /nfs/dbraw/zinc/72/47/85/387724785.db2.gz DYRWDSCTRMPWNC-WOPDTQHZSA-N 0 3 221.348 2.714 20 0 BFADHN Fc1ccc2c(c1)CN(CC1CCC1)CC2 ZINC000441047667 387725157 /nfs/dbraw/zinc/72/51/57/387725157.db2.gz GUAHVFMODNWMPU-UHFFFAOYSA-N 0 3 219.303 2.984 20 0 BFADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1NCc1ccccc1F ZINC000527802369 387726346 /nfs/dbraw/zinc/72/63/46/387726346.db2.gz OENPFVJSRHOCBG-FBKDDSFISA-N 0 3 237.318 2.727 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@@]1(C)CCOC1 ZINC000356843379 387730270 /nfs/dbraw/zinc/73/02/70/387730270.db2.gz HAARXJJQRSJYNO-IUODEOHRSA-N 0 3 248.370 2.721 20 0 BFADHN COc1ncccc1CN1CCC[C@@H](C2CC2)C1 ZINC000377581614 387730471 /nfs/dbraw/zinc/73/04/71/387730471.db2.gz GNWSFGOJNBSYDT-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN CCN(C)C(=O)CCN[C@@H](C)c1cccc(C)c1 ZINC000452090275 387730590 /nfs/dbraw/zinc/73/05/90/387730590.db2.gz QMXCELMOOVNKJF-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@H](C)COC ZINC000356862719 387733067 /nfs/dbraw/zinc/73/30/67/387733067.db2.gz BEZAAZGLEVKTTH-NWDGAFQWSA-N 0 3 236.359 2.577 20 0 BFADHN CCCCN(CCO)Cc1cc(F)cc(F)c1 ZINC000127951201 387733441 /nfs/dbraw/zinc/73/34/41/387733441.db2.gz DCAPILUNQJLUFS-UHFFFAOYSA-N 0 3 243.297 2.559 20 0 BFADHN Cc1nc(CN(C(C)C)C2CC(C)(C)C2)n[nH]1 ZINC000452099477 387735042 /nfs/dbraw/zinc/73/50/42/387735042.db2.gz ASXBKIFMTPUKBN-UHFFFAOYSA-N 0 3 236.363 2.512 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CCN1C1CCCC1 ZINC000527811557 387735390 /nfs/dbraw/zinc/73/53/90/387735390.db2.gz XZPWWBSFDVFUPN-AWEZNQCLSA-N 0 3 248.370 2.695 20 0 BFADHN CC(C)=CCN1CC(C)(C)[C@H]1[C@H]1CCCO1 ZINC000377646180 387736343 /nfs/dbraw/zinc/73/63/43/387736343.db2.gz QOYLSXMEYOKNJF-CHWSQXEVSA-N 0 3 223.360 2.842 20 0 BFADHN Cc1cnc(CN[C@H](C)[C@@H]2CC23CC3)s1 ZINC000527870405 387739688 /nfs/dbraw/zinc/73/96/88/387739688.db2.gz RZKXHCOHLSKSJQ-ZJUUUORDSA-N 0 3 222.357 2.730 20 0 BFADHN COC[C@H]1CCCN(Cc2cncc(C)c2)CC1 ZINC000452110772 387740505 /nfs/dbraw/zinc/74/05/05/387740505.db2.gz ZSXBMLVJPXPFTH-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN COC(=O)C(C)(C)N(C)C[C@@H]1CCC[C@@H](C)C1 ZINC000356998112 387761792 /nfs/dbraw/zinc/76/17/92/387761792.db2.gz AFYPMOGZHLYUNO-VXGBXAGGSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1ccoc1CN(C)CCC[C@@H]1CCOC1 ZINC000645921897 387763378 /nfs/dbraw/zinc/76/33/78/387763378.db2.gz WRWZDOBHNFJQKR-CYBMUJFWSA-N 0 3 237.343 2.837 20 0 BFADHN CCc1nc(C)c(CN[C@H](C)[C@@H]2CC23CC3)o1 ZINC000527902301 387779248 /nfs/dbraw/zinc/77/92/48/387779248.db2.gz NYPORTGPVMXIRV-KOLCDFICSA-N 0 3 234.343 2.824 20 0 BFADHN Cc1ccoc1CN[C@H](C)[C@@H]1CC12CC2 ZINC000527901562 387779410 /nfs/dbraw/zinc/77/94/10/387779410.db2.gz KYMUBWFHGJPRLY-MNOVXSKESA-N 0 3 205.301 2.866 20 0 BFADHN Cn1cccc1CN1CC[C@@H](c2ccco2)C1 ZINC000378345007 387779499 /nfs/dbraw/zinc/77/94/99/387779499.db2.gz GDRPKYMAYITYRS-GFCCVEGCSA-N 0 3 230.311 2.608 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCCC2CC(O)C2)o1 ZINC000432349903 387784374 /nfs/dbraw/zinc/78/43/74/387784374.db2.gz YLQOWVWXVVRIPR-NPZBDFSRSA-N 0 3 249.354 2.654 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](C)c1cccc(OC)c1 ZINC000527975071 387812894 /nfs/dbraw/zinc/81/28/94/387812894.db2.gz RADQIRPVJXIHJA-DFBGVHRSSA-N 0 3 249.354 2.913 20 0 BFADHN CSC[C@@H](C)N[C@@H](C)c1cncc(F)c1 ZINC000151020639 387794640 /nfs/dbraw/zinc/79/46/40/387794640.db2.gz SPRLXKAYPWMALW-BDAKNGLRSA-N 0 3 228.336 2.623 20 0 BFADHN CC[C@@H](CSC)NCc1cncn1C(C)C ZINC000217328563 387795104 /nfs/dbraw/zinc/79/51/04/387795104.db2.gz JWTMINZZELDMBQ-NSHDSACASA-N 0 3 241.404 2.695 20 0 BFADHN CCc1ccc([C@H](C)NCCOCC(F)F)o1 ZINC000188757839 387796057 /nfs/dbraw/zinc/79/60/57/387796057.db2.gz PZOYVIQETVLISJ-VIFPVBQESA-N 0 3 247.285 2.774 20 0 BFADHN COCCC1CCN([C@@H](C)c2ccccn2)CC1 ZINC000527936684 387796648 /nfs/dbraw/zinc/79/66/48/387796648.db2.gz RHHPSWGYTZXIFO-ZDUSSCGKSA-N 0 3 248.370 2.891 20 0 BFADHN c1cn2c(n1)[C@H](NCC[C@@H]1CC=CCC1)CC2 ZINC000527981745 387799482 /nfs/dbraw/zinc/79/94/82/387799482.db2.gz RLTOLYCGBGMXML-CHWSQXEVSA-N 0 3 231.343 2.664 20 0 BFADHN COC[C@H](NCc1cccnc1C)C1CCCC1 ZINC000527986301 387801229 /nfs/dbraw/zinc/80/12/29/387801229.db2.gz LBXQJXDWVUNBFE-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN CO[C@@H](C)CNCc1ccc(C)c(Cl)c1 ZINC000193738019 387801609 /nfs/dbraw/zinc/80/16/09/387801609.db2.gz XGCNYTGMZAVENE-JTQLQIEISA-N 0 3 227.735 2.773 20 0 BFADHN Cc1ccoc1CN[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000309490723 387804692 /nfs/dbraw/zinc/80/46/92/387804692.db2.gz ZSKFXADKMXCMHO-ZSBIGDGJSA-N 0 3 223.316 2.634 20 0 BFADHN CCOc1ccccc1CN(C)[C@@H](C)COC ZINC000193898562 387806848 /nfs/dbraw/zinc/80/68/48/387806848.db2.gz ZWSWKNABHQANPA-LBPRGKRZSA-N 0 3 237.343 2.552 20 0 BFADHN Fc1ccc(CCNCC2(F)CC2)cc1F ZINC000309555153 387820638 /nfs/dbraw/zinc/82/06/38/387820638.db2.gz HHVDSXHCPYWUTN-UHFFFAOYSA-N 0 3 229.245 2.599 20 0 BFADHN CCC(C)(C)CCN1CC[S@@](=O)CC[C@H]1C ZINC000433157532 387872983 /nfs/dbraw/zinc/87/29/83/387872983.db2.gz GLLCZHNDNWUNNP-WBMJQRKESA-N 0 3 245.432 2.656 20 0 BFADHN C[C@H](NCc1ncccn1)C1CCCCC1 ZINC000054459794 387827735 /nfs/dbraw/zinc/82/77/35/387827735.db2.gz AACOTBHOGYOJHB-NSHDSACASA-N 0 3 219.332 2.535 20 0 BFADHN Cc1ccc2nccc(NCCC[C@H](C)O)c2c1 ZINC000432830814 387830247 /nfs/dbraw/zinc/83/02/47/387830247.db2.gz SAIRGRXHMLAKSI-LBPRGKRZSA-N 0 3 244.338 2.538 20 0 BFADHN CC1(CN2CCOC3(CCCC3)C2)CCC1 ZINC000432828407 387830297 /nfs/dbraw/zinc/83/02/97/387830297.db2.gz IIBWFSZCVZLHAW-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN C[C@H](NCC1COC1)c1ccc2ccccc2n1 ZINC000528028270 387831610 /nfs/dbraw/zinc/83/16/10/387831610.db2.gz URFVCLFQWKXXAE-NSHDSACASA-N 0 3 242.322 2.532 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cc(C(F)(F)F)n[nH]1 ZINC000442800886 387844634 /nfs/dbraw/zinc/84/46/34/387844634.db2.gz KZDWXYZIDOICHQ-SSDOTTSWSA-N 0 3 247.264 2.659 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CC1(C)CCC1 ZINC000432943729 387846108 /nfs/dbraw/zinc/84/61/08/387846108.db2.gz NLSBDVQGBQTASX-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN CCN(Cc1cccc(F)c1)C[C@H](O)C(C)C ZINC000432939525 387846278 /nfs/dbraw/zinc/84/62/78/387846278.db2.gz TVDBSZFDBAMQML-AWEZNQCLSA-N 0 3 239.334 2.665 20 0 BFADHN CCCC1(CNCc2c(C)noc2C)CC1 ZINC000151560750 387855373 /nfs/dbraw/zinc/85/53/73/387855373.db2.gz LBCNMKKCTNROQI-UHFFFAOYSA-N 0 3 222.332 2.961 20 0 BFADHN C[C@@H]1CC[C@@H](N(C)Cc2cnn3ccccc23)C1 ZINC000433040775 387855691 /nfs/dbraw/zinc/85/56/91/387855691.db2.gz UFRUOBAHZUDGGO-TZMCWYRMSA-N 0 3 243.354 2.955 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1CCO[C@@H]1C1CC1 ZINC000235753016 387856300 /nfs/dbraw/zinc/85/63/00/387856300.db2.gz QNARQBPQVXEBIW-HUUCEWRRSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1cccc2c1CN(Cc1ccn(C)c1)CC2 ZINC000433053664 387857257 /nfs/dbraw/zinc/85/72/57/387857257.db2.gz PZGCZLFXPROHNQ-UHFFFAOYSA-N 0 3 240.350 2.892 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@H]2C2CCC2)cs1 ZINC000424167678 387857717 /nfs/dbraw/zinc/85/77/17/387857717.db2.gz HIHAXUSVLGMJEP-AAEUAGOBSA-N 0 3 236.384 2.977 20 0 BFADHN C[C@@H](NCc1ncccc1C(F)(F)F)C1CC1 ZINC000648712116 387866579 /nfs/dbraw/zinc/86/65/79/387866579.db2.gz AYWXNTYEOKXIFU-MRVPVSSYSA-N 0 3 244.260 2.989 20 0 BFADHN CSC1(CN[C@@H](C)c2cncc(C)c2)CC1 ZINC000193827123 387867194 /nfs/dbraw/zinc/86/71/94/387867194.db2.gz OEMMSNFCAMZIGL-NSHDSACASA-N 0 3 236.384 2.936 20 0 BFADHN Cc1cnccc1CNC[C@H]1CCCC1(F)F ZINC000394559391 387868571 /nfs/dbraw/zinc/86/85/71/387868571.db2.gz KBSYOLBTCCJIRT-GFCCVEGCSA-N 0 3 240.297 2.915 20 0 BFADHN CC(C)C1(N[C@H](C)c2ccccn2)CC1 ZINC000293136325 387868935 /nfs/dbraw/zinc/86/89/35/387868935.db2.gz TXERVCVTYOHBRL-LLVKDONJSA-N 0 3 204.317 2.921 20 0 BFADHN C[C@H](NC1CCCC1)c1ncccc1F ZINC000528036967 387817696 /nfs/dbraw/zinc/81/76/96/387817696.db2.gz ZBHZBOONPKEVBC-VIFPVBQESA-N 0 3 208.280 2.814 20 0 BFADHN CSC(C)(C)CNCc1cnn2ccccc12 ZINC000135382012 387818525 /nfs/dbraw/zinc/81/85/25/387818525.db2.gz XWTIRWXFRGAVBA-UHFFFAOYSA-N 0 3 249.383 2.566 20 0 BFADHN CSc1ccccc1[C@H](C)N[C@@H]1CC[C@H]1O ZINC000393252686 387890415 /nfs/dbraw/zinc/89/04/15/387890415.db2.gz DUGHGMKMNUACAN-MVWJERBFSA-N 0 3 237.368 2.582 20 0 BFADHN C1=C(CN2CCN(C3CCCC3)CC2)CCC1 ZINC000645775458 387898268 /nfs/dbraw/zinc/89/82/68/387898268.db2.gz PHOODJCACJMOHX-UHFFFAOYSA-N 0 3 234.387 2.657 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)cc1 ZINC000152758850 387887187 /nfs/dbraw/zinc/88/71/87/387887187.db2.gz MFPQYEOBVUXHQC-KFWWJZLASA-N 0 3 231.339 2.659 20 0 BFADHN OCc1ccc(F)c(CN2C[C@@H]3CCC[C@@H]3C2)c1 ZINC000528404120 387887933 /nfs/dbraw/zinc/88/79/33/387887933.db2.gz MMBVYYXJJJAHIU-BETUJISGSA-N 0 3 249.329 2.550 20 0 BFADHN CN(CCc1c(F)cccc1F)C1CC1 ZINC000645777228 387901906 /nfs/dbraw/zinc/90/19/06/387901906.db2.gz VEVCFBPLXGQUGF-UHFFFAOYSA-N 0 3 211.255 2.602 20 0 BFADHN C/C(Cl)=C\CN(C[C@@H]1CCOC1)C1CC1 ZINC000528348306 387907060 /nfs/dbraw/zinc/90/70/60/387907060.db2.gz BUACLYSUUMAQGW-INJWIWPUSA-N 0 3 229.751 2.630 20 0 BFADHN Cc1ccc(C)c(NC(=O)[C@H](N)CC(C)C)c1 ZINC000019424794 387910875 /nfs/dbraw/zinc/91/08/75/387910875.db2.gz HQCZCLPEZKUDDH-GFCCVEGCSA-N 0 3 234.343 2.615 20 0 BFADHN COc1ccccc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000424190306 387913405 /nfs/dbraw/zinc/91/34/05/387913405.db2.gz FVMJGYOCBAXZTR-UONOGXRCSA-N 0 3 231.339 2.973 20 0 BFADHN CC[C@@](C)(O)CN(C)Cc1cc(F)cc(F)c1 ZINC000444706771 387913474 /nfs/dbraw/zinc/91/34/74/387913474.db2.gz MZFUKUNVRGYLLH-CYBMUJFWSA-N 0 3 243.297 2.558 20 0 BFADHN CN(CC1=CCCC1)[C@@H](CO)c1ccccc1 ZINC000645791312 387915338 /nfs/dbraw/zinc/91/53/38/387915338.db2.gz DTUCWERGYVUAGX-HNNXBMFYSA-N 0 3 231.339 2.762 20 0 BFADHN CC[C@@](C)(O)CN(C)Cc1ccc(F)c(C)c1 ZINC000444734342 387917613 /nfs/dbraw/zinc/91/76/13/387917613.db2.gz LEFGHUJIKKBDGJ-CQSZACIVSA-N 0 3 239.334 2.727 20 0 BFADHN c1nc2c(s1)CN(C[C@@H]1CC=CCC1)CC2 ZINC000438379479 387932039 /nfs/dbraw/zinc/93/20/39/387932039.db2.gz WGSJJXWAARRTFH-LLVKDONJSA-N 0 3 234.368 2.858 20 0 BFADHN C[C@H](NCC[C@@H]1CCCCO1)c1ccccn1 ZINC000180250551 387932191 /nfs/dbraw/zinc/93/21/91/387932191.db2.gz MMHHRXHQQRCHAP-STQMWFEESA-N 0 3 234.343 2.691 20 0 BFADHN CSc1ccccc1[C@@H](C)N[C@@H]1CCOC1 ZINC000194936687 387932239 /nfs/dbraw/zinc/93/22/39/387932239.db2.gz RPIISVRMBNZEIK-GHMZBOCLSA-N 0 3 237.368 2.848 20 0 BFADHN Cc1ncc2c(n1)CCN(CCCC(C)C)C2 ZINC000527106938 387934388 /nfs/dbraw/zinc/93/43/88/387934388.db2.gz WUAFPSZARHIYIH-UHFFFAOYSA-N 0 3 233.359 2.579 20 0 BFADHN Cc1occc1CN[C@H](C)[C@@H]1CCCCO1 ZINC000321037579 387934693 /nfs/dbraw/zinc/93/46/93/387934693.db2.gz YUEMCMYMUGKMPL-MFKMUULPSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1CCn1cc(Cl)cn1 ZINC000459372905 387934825 /nfs/dbraw/zinc/93/48/25/387934825.db2.gz ZYBWKEGIQMKRGF-PWSUYJOCSA-N 0 3 241.766 2.657 20 0 BFADHN Cc1occc1CN[C@H](C)[C@H]1CCCCO1 ZINC000321037580 387935046 /nfs/dbraw/zinc/93/50/46/387935046.db2.gz YUEMCMYMUGKMPL-ZWNOBZJWSA-N 0 3 223.316 2.635 20 0 BFADHN CCOC(=O)[C@H](CC)N1CCC[C@@H](C(C)C)C1 ZINC000444748145 387920276 /nfs/dbraw/zinc/92/02/76/387920276.db2.gz GIRJPLMXYBVSCS-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN CCCC[C@H](CC)CN1C[C@H](F)C[C@H]1CO ZINC000444766136 387925300 /nfs/dbraw/zinc/92/53/00/387925300.db2.gz MFAQPLLAXRLJCK-XQQFMLRXSA-N 0 3 231.355 2.608 20 0 BFADHN CCOc1ccccc1[C@@H](C)NCC(C)(C)O ZINC000078515320 387928171 /nfs/dbraw/zinc/92/81/71/387928171.db2.gz KDCPCVFMGCSETJ-LLVKDONJSA-N 0 3 237.343 2.507 20 0 BFADHN CC(C)CN[C@H]1C[C@@]1(F)c1ccccc1 ZINC000424202797 387928838 /nfs/dbraw/zinc/92/88/38/387928838.db2.gz SZPONEGOCPYVNW-QWHCGFSZSA-N 0 3 207.292 2.869 20 0 BFADHN C[C@@H](O)CN(CC1=CCCC1)Cc1ccccc1 ZINC000645807953 387953346 /nfs/dbraw/zinc/95/33/46/387953346.db2.gz YFXDFZCSFDXFGV-CQSZACIVSA-N 0 3 245.366 2.980 20 0 BFADHN C[C@@H]1CN(Cc2cccc3[nH]ccc32)[C@@H](C)CO1 ZINC000245515211 387953671 /nfs/dbraw/zinc/95/36/71/387953671.db2.gz HCVDPEUWIXNUTE-NWDGAFQWSA-N 0 3 244.338 2.777 20 0 BFADHN C[C@H](O)CN(CC1=CCCC1)Cc1ccccc1 ZINC000645807952 387954787 /nfs/dbraw/zinc/95/47/87/387954787.db2.gz YFXDFZCSFDXFGV-AWEZNQCLSA-N 0 3 245.366 2.980 20 0 BFADHN CC[C@](C)(CN[C@@H]1CCc2c1cccc2O)OC ZINC000322614901 387941850 /nfs/dbraw/zinc/94/18/50/387941850.db2.gz LXENQTGKSFGLAY-UKRRQHHQSA-N 0 3 249.354 2.784 20 0 BFADHN C[C@H](CCO)CN[C@@H](C)c1cccc(F)c1F ZINC000224997457 387941904 /nfs/dbraw/zinc/94/19/04/387941904.db2.gz SCSGUOCQZLTPJF-ZJUUUORDSA-N 0 3 243.297 2.634 20 0 BFADHN CC[C@H]1CN(CC2=CCCC2)C[C@@H](C)O1 ZINC000645801965 387943290 /nfs/dbraw/zinc/94/32/90/387943290.db2.gz XMOYAPAHKARPFT-YPMHNXCESA-N 0 3 209.333 2.596 20 0 BFADHN CC[C@](C)(CN[C@@H]1COCc2ccccc21)OC ZINC000322625898 387945552 /nfs/dbraw/zinc/94/55/52/387945552.db2.gz PFNRJKKSRXVUAZ-HUUCEWRRSA-N 0 3 249.354 2.663 20 0 BFADHN CC[C@H](COC)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000079757799 387957922 /nfs/dbraw/zinc/95/79/22/387957922.db2.gz WMTZBHYMEBEQBZ-DMDPSCGWSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@H](N[C@H]1c2ccccc2C[C@H]1C)c1cn[nH]c1 ZINC000438835455 387958699 /nfs/dbraw/zinc/95/86/99/387958699.db2.gz NHKQZSZRZYBWKU-JRPNMDOOSA-N 0 3 241.338 2.994 20 0 BFADHN CC1CN(Cc2cc3ccccc3o2)C1 ZINC000438848409 387958850 /nfs/dbraw/zinc/95/88/50/387958850.db2.gz GASASCITVKOONG-UHFFFAOYSA-N 0 3 201.269 2.885 20 0 BFADHN CCn1cc(CN2CCC[C@@H]3CCC[C@H]32)cn1 ZINC000245558401 387960204 /nfs/dbraw/zinc/96/02/04/387960204.db2.gz KTHDUKHMKUVHHZ-UONOGXRCSA-N 0 3 233.359 2.668 20 0 BFADHN CCn1nccc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000245561225 387960340 /nfs/dbraw/zinc/96/03/40/387960340.db2.gz NQWWXKWTOHCYND-GXTWGEPZSA-N 0 3 233.359 2.668 20 0 BFADHN Cc1c2ccccc2oc1[C@H](C)N[C@@H]1CC[C@H]1O ZINC000424311496 387965576 /nfs/dbraw/zinc/96/55/76/387965576.db2.gz FKNWDFVDHNZCMO-CYZMBNFOSA-N 0 3 245.322 2.915 20 0 BFADHN CC[C@@H]1c2ccsc2CCN1CC[C@@H](C)O ZINC000438974884 387967416 /nfs/dbraw/zinc/96/74/16/387967416.db2.gz PQKSAERLHKEGFH-ZYHUDNBSSA-N 0 3 239.384 2.828 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H]1C1CCC1)c1cnn(C)c1 ZINC000424316839 387967389 /nfs/dbraw/zinc/96/73/89/387967389.db2.gz BMUOSDZZZPCVJK-MELADBBJSA-N 0 3 233.359 2.649 20 0 BFADHN CC1(C)CN(CC2=CCCC2)CC(C)(C)O1 ZINC000645882424 387968203 /nfs/dbraw/zinc/96/82/03/387968203.db2.gz OIGQXJMKGHTPKQ-UHFFFAOYSA-N 0 3 223.360 2.986 20 0 BFADHN CC(C)Oc1ccc([C@@H](C)N[C@@H]2CC[C@H]2O)cc1 ZINC000424321284 387970334 /nfs/dbraw/zinc/97/03/34/387970334.db2.gz SFLAAEXTFAETGE-KCPJHIHWSA-N 0 3 249.354 2.648 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@@H]2C[C@H]2C2CCC2)c2nccn21 ZINC000424296147 387957297 /nfs/dbraw/zinc/95/72/97/387957297.db2.gz QLBDVLHLOVONFZ-VZZFWQQMSA-N 0 3 245.370 2.915 20 0 BFADHN C[C@@H](CF)NCc1cccc2cccnc21 ZINC000288349773 387978599 /nfs/dbraw/zinc/97/85/99/387978599.db2.gz BXIWCCKBUHCHME-JTQLQIEISA-N 0 3 218.275 2.682 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CCCSC1 ZINC000288352151 387979356 /nfs/dbraw/zinc/97/93/56/387979356.db2.gz CBUAOUIRUHOEIU-NSHDSACASA-N 0 3 225.357 2.821 20 0 BFADHN CCCCOCCN[C@@H](CC)c1ccncc1 ZINC000453076972 387980706 /nfs/dbraw/zinc/98/07/06/387980706.db2.gz AAYLPBOUHORJGO-AWEZNQCLSA-N 0 3 236.359 2.939 20 0 BFADHN CO[C@@H]1C[C@H](NCc2ccoc2C)C12CCC2 ZINC000453087558 387980941 /nfs/dbraw/zinc/98/09/41/387980941.db2.gz MJOXGTCIWWGXNN-QWHCGFSZSA-N 0 3 235.327 2.635 20 0 BFADHN CC[C@H](C)N[C@@H](c1ccccc1)c1cncnc1 ZINC000453053779 387972896 /nfs/dbraw/zinc/97/28/96/387972896.db2.gz GWBUUBGWQCOTNA-WFASDCNBSA-N 0 3 241.338 2.954 20 0 BFADHN Cc1cc(CN[C@H](C2CC2)C2CCC2)no1 ZINC000453060744 387977084 /nfs/dbraw/zinc/97/70/84/387977084.db2.gz AWGBOMMERNBDFQ-ZDUSSCGKSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1ccc(CN2CC[C@H]3OCCC[C@H]3C2)o1 ZINC000245716042 387977129 /nfs/dbraw/zinc/97/71/29/387977129.db2.gz GXQHAKHKIAOVOS-GXTWGEPZSA-N 0 3 235.327 2.589 20 0 BFADHN CC[C@@H](NC[C@@H]1CCCCO1)c1ccncc1 ZINC000453070557 387978350 /nfs/dbraw/zinc/97/83/50/387978350.db2.gz FNJLZJUZRSSSDG-UONOGXRCSA-N 0 3 234.343 2.691 20 0 BFADHN CCC[C@H](C)CN1CCS[C@H]2COCC[C@H]21 ZINC000245862341 387987506 /nfs/dbraw/zinc/98/75/06/387987506.db2.gz PDRGTZIKXGSUBA-XQQFMLRXSA-N 0 3 243.416 2.629 20 0 BFADHN C[C@@H]1CN([C@@H]2C=CCCC2)[C@@H](C)[C@@H](C)O1 ZINC000446065163 387990192 /nfs/dbraw/zinc/99/01/92/387990192.db2.gz YVXAZLGOHYIYAH-YVECIDJPSA-N 0 3 209.333 2.593 20 0 BFADHN Cc1nn(C)cc1[C@H]1CCCN1CC1=CCCC1 ZINC000645814306 387990343 /nfs/dbraw/zinc/99/03/43/387990343.db2.gz GFIHBDARXLWSII-OAHLLOKOSA-N 0 3 245.370 2.976 20 0 BFADHN CCO[C@@H](CCN[C@H](C)c1cn[nH]c1)C(C)C ZINC000453127839 387991130 /nfs/dbraw/zinc/99/11/30/387991130.db2.gz WIBOQCRRBAKREF-YPMHNXCESA-N 0 3 239.363 2.512 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)C1)c1nnc2ccccn21 ZINC000080441599 387992088 /nfs/dbraw/zinc/99/20/88/387992088.db2.gz MVZWFTQHTADCMW-GRYCIOLGSA-N 0 3 244.342 2.569 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCC[C@](C)(O)C1 ZINC000245940737 387994995 /nfs/dbraw/zinc/99/49/95/387994995.db2.gz QXKHWNQFQVYAIY-FZMZJTMJSA-N 0 3 237.318 2.734 20 0 BFADHN C1=C(CN2CCOC3(CCCC3)C2)CCC1 ZINC000645816184 387995602 /nfs/dbraw/zinc/99/56/02/387995602.db2.gz XPINCZWBPQNLST-UHFFFAOYSA-N 0 3 221.344 2.742 20 0 BFADHN C1=C(CN2CCOC[C@@H]2CC2CCC2)CCC1 ZINC000645923022 387982304 /nfs/dbraw/zinc/98/23/04/387982304.db2.gz CLVHAVCXSSKCCM-HNNXBMFYSA-N 0 3 235.371 2.988 20 0 BFADHN C[C@H]1CCN(Cc2cncs2)C[C@H]1C ZINC000245805775 387984428 /nfs/dbraw/zinc/98/44/28/387984428.db2.gz YNDIOLICCMGBTD-VHSXEESVSA-N 0 3 210.346 2.621 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccncn1)C(C)(C)C ZINC000453102928 387984637 /nfs/dbraw/zinc/98/46/37/387984637.db2.gz QYBBXDVHCIDXJC-JQWIXIFHSA-N 0 3 221.348 2.952 20 0 BFADHN CN(C)Cc1cccc(NCC2=CCCOC2)c1 ZINC000156531119 387997055 /nfs/dbraw/zinc/99/70/55/387997055.db2.gz IGFVPQSIGGOOJL-UHFFFAOYSA-N 0 3 246.354 2.507 20 0 BFADHN CCC(CC)CN1CCS[C@H]2COCC[C@H]21 ZINC000245953717 387997636 /nfs/dbraw/zinc/99/76/36/387997636.db2.gz SXGVHMUPTZHASY-OLZOCXBDSA-N 0 3 243.416 2.629 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H](C)C1(C)CC1 ZINC000453162263 388000046 /nfs/dbraw/zinc/00/00/46/388000046.db2.gz KLFABWCNZADPBV-QWRGUYRKSA-N 0 3 221.348 2.742 20 0 BFADHN CSCCCN(C)CCc1csc(C)n1 ZINC000439465259 388000758 /nfs/dbraw/zinc/00/07/58/388000758.db2.gz FMCIGTFKFZXSGH-UHFFFAOYSA-N 0 3 244.429 2.679 20 0 BFADHN FC(F)(F)CCN1CCNCc2ccccc21 ZINC000080945026 388003982 /nfs/dbraw/zinc/00/39/82/388003982.db2.gz WYZJDAFYHDLCQB-UHFFFAOYSA-N 0 3 244.260 2.549 20 0 BFADHN C[C@@H]1CCN(Cc2cnc3ccccn23)C[C@H]1C ZINC000246061162 388005691 /nfs/dbraw/zinc/00/56/91/388005691.db2.gz YKRSUKPLDKKRGD-CHWSQXEVSA-N 0 3 243.354 2.812 20 0 BFADHN CCN(CC(=O)N(C)c1ccccc1)CC(C)C ZINC000046064744 388006723 /nfs/dbraw/zinc/00/67/23/388006723.db2.gz JZSDRKGPPCECLK-UHFFFAOYSA-N 0 3 248.370 2.627 20 0 BFADHN COC[C@H](NC[C@@H]1CCC=CO1)c1ccccc1 ZINC000194439376 388024821 /nfs/dbraw/zinc/02/48/21/388024821.db2.gz QCXDHKUUNCLSOU-GJZGRUSLSA-N 0 3 247.338 2.656 20 0 BFADHN CC[C@@H](CN[C@@H](CC)c1ccncc1)OC ZINC000453247213 388025716 /nfs/dbraw/zinc/02/57/16/388025716.db2.gz HWLUBDSHCDXKIL-STQMWFEESA-N 0 3 222.332 2.547 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@H]1CC[C@H](C)C1 ZINC000453223303 388014974 /nfs/dbraw/zinc/01/49/74/388014974.db2.gz QXCGGCBCYAURNU-AVGNSLFASA-N 0 3 235.375 2.990 20 0 BFADHN CCn1ccnc1[C@H](C)NCCC1(C)CC1 ZINC000453233956 388018618 /nfs/dbraw/zinc/01/86/18/388018618.db2.gz XZFVDUFJBUZGKV-NSHDSACASA-N 0 3 221.348 2.744 20 0 BFADHN C[C@@H](NC[C@@H](N)c1ccccc1)c1ccco1 ZINC000423236509 388019983 /nfs/dbraw/zinc/01/99/83/388019983.db2.gz HJUQGJAPJBPHKK-DGCLKSJQSA-N 0 3 230.311 2.630 20 0 BFADHN CC[C@H](F)CN(CCOC)[C@@H]1CC[C@H](C)C1 ZINC000440498669 388044759 /nfs/dbraw/zinc/04/47/59/388044759.db2.gz KPDMPRDHELWMBX-RWMBFGLXSA-N 0 3 231.355 2.872 20 0 BFADHN CC[C@@H]1CCC[C@H]1CN[C@@H](C)c1nccn1C ZINC000453281109 388032660 /nfs/dbraw/zinc/03/26/60/388032660.db2.gz HFLVXCQEGAUIFE-XQQFMLRXSA-N 0 3 235.375 2.897 20 0 BFADHN CC(C)[C@H]1CCN(CC(=O)Nc2ccccc2)C1 ZINC000446715122 388033593 /nfs/dbraw/zinc/03/35/93/388033593.db2.gz AJXLHLCXTBPBSY-ZDUSSCGKSA-N 0 3 246.354 2.603 20 0 BFADHN CCn1ccnc1CN[C@@H](C)CC1CCCC1 ZINC000453285238 388034174 /nfs/dbraw/zinc/03/41/74/388034174.db2.gz HVYRGCZXVDZHJP-LBPRGKRZSA-N 0 3 235.375 2.961 20 0 BFADHN CCOCCN[C@H](C)c1ccc(CC)o1 ZINC000161967482 388035663 /nfs/dbraw/zinc/03/56/63/388035663.db2.gz NRAICPDHOHLIRT-SNVBAGLBSA-N 0 3 211.305 2.529 20 0 BFADHN Cc1cc([C@H](C)NCC2=CCOCC2)oc1C ZINC000453294845 388036778 /nfs/dbraw/zinc/03/67/78/388036778.db2.gz RBXOTPLMFVKFSO-NSHDSACASA-N 0 3 235.327 2.894 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@@H](C)c1ccncn1 ZINC000453293789 388037633 /nfs/dbraw/zinc/03/76/33/388037633.db2.gz VQYHSVCLCRBZRZ-YNEHKIRRSA-N 0 3 233.359 2.954 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H](c1nccn1C)C1CC1 ZINC000453312658 388042598 /nfs/dbraw/zinc/04/25/98/388042598.db2.gz VQFHNENFKXNMHH-WXHSDQCUSA-N 0 3 233.359 2.507 20 0 BFADHN CC(C)n1ccc(CN[C@@H]2CCC[C@H]2C)n1 ZINC000082866437 388043095 /nfs/dbraw/zinc/04/30/95/388043095.db2.gz OJXMUGWGZZXUSY-DGCLKSJQSA-N 0 3 221.348 2.742 20 0 BFADHN CCCN(C)Cc1cnc(-c2ccccc2)nc1 ZINC000533260723 388043405 /nfs/dbraw/zinc/04/34/05/388043405.db2.gz KERDRBPQXNQHIV-UHFFFAOYSA-N 0 3 241.338 2.985 20 0 BFADHN CCC[C@@H]1CCC[C@@H]1NCc1ccnc(OC)n1 ZINC000453254087 388026668 /nfs/dbraw/zinc/02/66/68/388026668.db2.gz JKRQJXUOKMVISX-YPMHNXCESA-N 0 3 249.358 2.544 20 0 BFADHN C[C@H](C[C@@H]1CCCO1)N[C@@H](C)c1cncs1 ZINC000156721426 388027572 /nfs/dbraw/zinc/02/75/72/388027572.db2.gz ANMKCWXDPKLPSX-VWYCJHECSA-N 0 3 240.372 2.751 20 0 BFADHN CCC[C@H](CN[C@H](C)c1ccncc1F)OC ZINC000453261004 388027904 /nfs/dbraw/zinc/02/79/04/388027904.db2.gz YKGUYZNDGPZOIR-GHMZBOCLSA-N 0 3 240.322 2.686 20 0 BFADHN CC[C@@H]1CCC[C@H]1CN[C@H](C)c1cnccn1 ZINC000453277728 388031179 /nfs/dbraw/zinc/03/11/79/388031179.db2.gz BOMHGJODUFOYJV-UPJWGTAASA-N 0 3 233.359 2.954 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2COCC2(C)C)o1 ZINC000453341599 388051885 /nfs/dbraw/zinc/05/18/85/388051885.db2.gz CLVJUVKBSGGAJZ-GXFFZTMASA-N 0 3 237.343 2.918 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H]2CCCC[C@H]2O)o1 ZINC000453350166 388055392 /nfs/dbraw/zinc/05/53/92/388055392.db2.gz GAWBTGZUTXMJRY-FRRDWIJNSA-N 0 3 237.343 2.790 20 0 BFADHN CCn1cc([C@H](C)N[C@@H]2CCC23CCC3)cn1 ZINC000453349935 388055891 /nfs/dbraw/zinc/05/58/91/388055891.db2.gz GQDYOYOKHFRANC-WCQYABFASA-N 0 3 233.359 2.886 20 0 BFADHN CCCc1ccc([C@@H](C)N[C@H]2C[C@@H](O)C2)cc1 ZINC000453352853 388057489 /nfs/dbraw/zinc/05/74/89/388057489.db2.gz FZZGOXZGSXIGSU-DFBGVHRSSA-N 0 3 233.355 2.813 20 0 BFADHN CC[C@@H](N[C@H]1COCC1(C)C)c1ccncc1 ZINC000453364349 388059305 /nfs/dbraw/zinc/05/93/05/388059305.db2.gz LJKWJDCPQNLYBZ-OLZOCXBDSA-N 0 3 234.343 2.547 20 0 BFADHN CCC(CC)[C@H](O)CNCc1ccsc1 ZINC000163962044 388114537 /nfs/dbraw/zinc/11/45/37/388114537.db2.gz KPGGYFMFQLQQIC-GFCCVEGCSA-N 0 3 227.373 2.635 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2C[C@@H](O)C2)cc(C)c1F ZINC000453377797 388064760 /nfs/dbraw/zinc/06/47/60/388064760.db2.gz VNMSZWSAMQRMKB-RTXFEEFZSA-N 0 3 237.318 2.616 20 0 BFADHN Cc1cccc(NC(=O)[C@@H]2CCCCCN2)c1C ZINC000083896430 388065233 /nfs/dbraw/zinc/06/52/33/388065233.db2.gz TYUITTYDMGEKFE-AWEZNQCLSA-N 0 3 246.354 2.774 20 0 BFADHN CC[C@H](F)CN1CCN(CCC(C)(C)C)CC1 ZINC000440697033 388065358 /nfs/dbraw/zinc/06/53/58/388065358.db2.gz KPHRQROXUQUART-ZDUSSCGKSA-N 0 3 244.398 2.788 20 0 BFADHN Cc1cccc(NC(=O)[C@H]2CCCCCN2)c1C ZINC000083896432 388065530 /nfs/dbraw/zinc/06/55/30/388065530.db2.gz TYUITTYDMGEKFE-CQSZACIVSA-N 0 3 246.354 2.774 20 0 BFADHN CCCc1ncc(CN[C@H](CC)C2CC2)o1 ZINC000453383843 388065845 /nfs/dbraw/zinc/06/58/45/388065845.db2.gz MMAYUBBXZCCQFP-GFCCVEGCSA-N 0 3 222.332 2.905 20 0 BFADHN CC[C@@H](NC[C@@H]1C[C@H]1C1CC1)c1nccn1C ZINC000453381091 388066330 /nfs/dbraw/zinc/06/63/30/388066330.db2.gz XTYSERUFRAVULC-RWMBFGLXSA-N 0 3 233.359 2.507 20 0 BFADHN CCCCNCc1nc(CCC)cs1 ZINC000336657465 388069081 /nfs/dbraw/zinc/06/90/81/388069081.db2.gz PJUVIVDJKCUNRA-UHFFFAOYSA-N 0 3 212.362 2.985 20 0 BFADHN C[C@H](CN1CCOCC1(C)C)c1ccccc1 ZINC000116877743 388072002 /nfs/dbraw/zinc/07/20/02/388072002.db2.gz LSAYDAHEPLXTNE-CYBMUJFWSA-N 0 3 233.355 2.901 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@@H]1CC[C@@H](C)O1 ZINC000453400802 388072156 /nfs/dbraw/zinc/07/21/56/388072156.db2.gz DDLYHNXLMWODMS-DYEKYZERSA-N 0 3 248.370 2.862 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1cnccc1C ZINC000453403140 388074022 /nfs/dbraw/zinc/07/40/22/388074022.db2.gz NBBLDMIOPBZMIE-NWANDNLSSA-N 0 3 248.370 2.998 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1ccco1 ZINC000453414701 388078257 /nfs/dbraw/zinc/07/82/57/388078257.db2.gz TVXCRWZRMOSAKU-NQBHXWOUSA-N 0 3 223.316 2.888 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@H](O)C(C)C)c(C)o1 ZINC000447564573 388078913 /nfs/dbraw/zinc/07/89/13/388078913.db2.gz XVRWHAFLXCMOBA-RISCZKNCSA-N 0 3 239.359 2.954 20 0 BFADHN OCCC1(CN[C@@H]2CCCc3occc32)CCC1 ZINC000447575745 388079897 /nfs/dbraw/zinc/07/98/97/388079897.db2.gz UWZJXIBOUOOZTA-CYBMUJFWSA-N 0 3 249.354 2.799 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2cncs2)nc1 ZINC000453421117 388080871 /nfs/dbraw/zinc/08/08/71/388080871.db2.gz LCPRZMITWFABID-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1ccccc1C1(NC[C@H](O)C(C)(C)C)CC1 ZINC000645980913 388114952 /nfs/dbraw/zinc/11/49/52/388114952.db2.gz RVDDXBOWPMRUSF-AWEZNQCLSA-N 0 3 247.382 2.981 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](CO)CC(F)F)c(C)o1 ZINC000447599901 388081838 /nfs/dbraw/zinc/08/18/38/388081838.db2.gz LIYJNYMKXJSGSJ-SCZZXKLOSA-N 0 3 247.285 2.563 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@@H](C)c1ccc(=O)[nH]n1 ZINC000453469146 388083363 /nfs/dbraw/zinc/08/33/63/388083363.db2.gz ZUBUTPFVHSOBHD-VHRBIJSZSA-N 0 3 249.358 2.658 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@H]1CCC[C@H](CC)C1 ZINC000085069375 388083568 /nfs/dbraw/zinc/08/35/68/388083568.db2.gz TYIFJURZEUEEOG-OBJOEFQTSA-N 0 3 240.391 2.589 20 0 BFADHN CC(C)COCCN[C@H](C)c1cncc(F)c1 ZINC000160709193 388085655 /nfs/dbraw/zinc/08/56/55/388085655.db2.gz DIKUXHONMMHYTD-LLVKDONJSA-N 0 3 240.322 2.544 20 0 BFADHN Cc1ccc(CNC[C@H]2CCOC(C)(C)C2)o1 ZINC000453583713 388086920 /nfs/dbraw/zinc/08/69/20/388086920.db2.gz PIFKBHCASVHDPV-LBPRGKRZSA-N 0 3 237.343 2.883 20 0 BFADHN CCn1nnc(C)c1CN[C@@H](C)CC(C)(C)C ZINC000453601956 388089421 /nfs/dbraw/zinc/08/94/21/388089421.db2.gz OCXPBQJWXJKMEG-JTQLQIEISA-N 0 3 238.379 2.521 20 0 BFADHN CCC1CN(Cc2cccc(OCCOC)c2)C1 ZINC000453607277 388091238 /nfs/dbraw/zinc/09/12/38/388091238.db2.gz RWYIOLYDVLGRSP-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN C=Cn1cc(CN[C@H]2C[C@@]2(CC)C(C)C)cn1 ZINC000453612953 388092063 /nfs/dbraw/zinc/09/20/63/388092063.db2.gz ODKFINJEMFVHSN-KBPBESRZSA-N 0 3 233.359 2.898 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1cnn(C)c1C ZINC000453612026 388092551 /nfs/dbraw/zinc/09/25/51/388092551.db2.gz MSSAROXNEFLHEJ-UONOGXRCSA-N 0 3 235.375 2.643 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1cn(C)nc1C ZINC000453619003 388093019 /nfs/dbraw/zinc/09/30/19/388093019.db2.gz VYGDNBGSXRLYPM-KBPBESRZSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1ccc(CN[C@@H]2[C@@H]3CCCO[C@@H]3C2(C)C)o1 ZINC000085676249 388093181 /nfs/dbraw/zinc/09/31/81/388093181.db2.gz OKQQVQNPIUOMTJ-MJBXVCDLSA-N 0 3 249.354 2.881 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1cnc(C)nc1 ZINC000453615409 388093863 /nfs/dbraw/zinc/09/38/63/388093863.db2.gz QPVWQUIWNPLBDY-ZIAGYGMSSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1cn(C)nc1C ZINC000453619004 388093890 /nfs/dbraw/zinc/09/38/90/388093890.db2.gz VYGDNBGSXRLYPM-KGLIPLIRSA-N 0 3 235.375 2.643 20 0 BFADHN C[C@@H]1CC[C@@H](CNC/C=C/c2ccc(F)cc2)O1 ZINC000453632757 388095997 /nfs/dbraw/zinc/09/59/97/388095997.db2.gz QKBAFFPITJCPMR-RDYIKARRSA-N 0 3 249.329 2.996 20 0 BFADHN C[C@@H](NCc1cccnc1Cl)C1CC1 ZINC000163868037 388096826 /nfs/dbraw/zinc/09/68/26/388096826.db2.gz LGEZDCCWGNGDMK-MRVPVSSYSA-N 0 3 210.708 2.623 20 0 BFADHN COC(C)(C)CCN1CCO[C@H](C(C)(C)C)C1 ZINC000447841374 388097245 /nfs/dbraw/zinc/09/72/45/388097245.db2.gz CUVVIBPHXAUOBK-LBPRGKRZSA-N 0 3 243.391 2.548 20 0 BFADHN CS[C@H]1CCC[C@@H](NCc2ccns2)C1 ZINC000404471512 388097439 /nfs/dbraw/zinc/09/74/39/388097439.db2.gz LWZVCWBXRPDLAJ-ZJUUUORDSA-N 0 3 242.413 2.907 20 0 BFADHN CC[C@@H](NCC[C@H](C)O)c1ccccc1OC ZINC000085981610 388098337 /nfs/dbraw/zinc/09/83/37/388098337.db2.gz BYDWLZDXGPBNEH-WCQYABFASA-N 0 3 237.343 2.507 20 0 BFADHN CCOc1ccccc1[C@@H](C)NCC[C@H](C)O ZINC000085981347 388098467 /nfs/dbraw/zinc/09/84/67/388098467.db2.gz WYXWGIXBXOJWBT-NWDGAFQWSA-N 0 3 237.343 2.507 20 0 BFADHN C[C@H]1C[C@H]1CNCc1nc(-c2ccccc2)c[nH]1 ZINC000447847468 388098545 /nfs/dbraw/zinc/09/85/45/388098545.db2.gz FKWVAXAIUSBMHJ-AAEUAGOBSA-N 0 3 241.338 2.822 20 0 BFADHN CCOc1ccccc1[C@H](C)NCC[C@H](C)O ZINC000085981350 388098687 /nfs/dbraw/zinc/09/86/87/388098687.db2.gz WYXWGIXBXOJWBT-RYUDHWBXSA-N 0 3 237.343 2.507 20 0 BFADHN CC[C@H](N[C@H](C)c1sccc1OC)[C@@H](C)O ZINC000453318357 388044813 /nfs/dbraw/zinc/04/48/13/388044813.db2.gz BGOSWJJAYQRVCS-BBBLOLIVSA-N 0 3 243.372 2.567 20 0 BFADHN COC[C@H](NCc1cnccc1C)C1CCCC1 ZINC000453320931 388044956 /nfs/dbraw/zinc/04/49/56/388044956.db2.gz GLEYOZDHPQQPQC-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN CCOC(=O)C[C@](C)(NC[C@H](F)CC)C(C)C ZINC000440504453 388047462 /nfs/dbraw/zinc/04/74/62/388047462.db2.gz WXLJFOTTXXVLTO-YPMHNXCESA-N 0 3 247.354 2.692 20 0 BFADHN C[C@@H](CC1CCCCC1)NCc1nccn1C ZINC000447056660 388050085 /nfs/dbraw/zinc/05/00/85/388050085.db2.gz MLMREBSOSLEAMV-LBPRGKRZSA-N 0 3 235.375 2.869 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@@H](C)CC(C)(C)C)[n-]1 ZINC000449203742 388156998 /nfs/dbraw/zinc/15/69/98/388156998.db2.gz KTEHYRTVJYQYRI-VHSXEESVSA-N 0 3 238.379 2.842 20 0 BFADHN CCc1nnc([C@@H](C)N[C@@H](C)CC(C)(C)C)[nH]1 ZINC000449203742 388157001 /nfs/dbraw/zinc/15/70/01/388157001.db2.gz KTEHYRTVJYQYRI-VHSXEESVSA-N 0 3 238.379 2.842 20 0 BFADHN Cc1cncc(CN[C@@H](C)Cc2ccoc2)c1 ZINC000449205812 388157428 /nfs/dbraw/zinc/15/74/28/388157428.db2.gz MHOYLGFCHKVJES-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN F[C@H]1CCN(C[C@H]2C[C@H]2c2ccccc2)C1 ZINC000648720683 388157951 /nfs/dbraw/zinc/15/79/51/388157951.db2.gz UPIFXYWLEDSYPI-RDBSUJKOSA-N 0 3 219.303 2.834 20 0 BFADHN CC(C)(C)C[C@@H](O)CNCc1ccsc1 ZINC000164139858 388159784 /nfs/dbraw/zinc/15/97/84/388159784.db2.gz CUNILLLCXBNFRT-LLVKDONJSA-N 0 3 227.373 2.635 20 0 BFADHN COc1cc(C)ccc1CNCCOC(C)C ZINC000449239046 388161213 /nfs/dbraw/zinc/16/12/13/388161213.db2.gz UKODTHHRXZBTGL-UHFFFAOYSA-N 0 3 237.343 2.518 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@@H](C)CC(C)(C)C ZINC000449245785 388161965 /nfs/dbraw/zinc/16/19/65/388161965.db2.gz BLYSDSJPSXDZDH-NWDGAFQWSA-N 0 3 241.375 2.839 20 0 BFADHN Cc1cc(CN2CCN(C)[C@H](C)[C@H]2C)cs1 ZINC000449252441 388162442 /nfs/dbraw/zinc/16/24/42/388162442.db2.gz VHTFRBFGMGXGFA-VXGBXAGGSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1cc(CN2CCN(C)[C@@H](C)[C@@H]2C)cs1 ZINC000449252440 388162489 /nfs/dbraw/zinc/16/24/89/388162489.db2.gz VHTFRBFGMGXGFA-RYUDHWBXSA-N 0 3 238.400 2.581 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1cccc(C)c1F ZINC000449248112 388162668 /nfs/dbraw/zinc/16/26/68/388162668.db2.gz HXABPZSLWATPBF-SCOBNMCVSA-N 0 3 237.318 2.551 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1NCc1cccc2ccoc21 ZINC000449257916 388163521 /nfs/dbraw/zinc/16/35/21/388163521.db2.gz KVBQBRIVFAFFEC-STQMWFEESA-N 0 3 245.322 2.682 20 0 BFADHN CO[C@H](C)CNCc1cccc2ccoc21 ZINC000449267631 388164681 /nfs/dbraw/zinc/16/46/81/388164681.db2.gz AOTZDFSRQXQVIM-SNVBAGLBSA-N 0 3 219.284 2.557 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2cc(C)n(C)n2)C1 ZINC000449273098 388165682 /nfs/dbraw/zinc/16/56/82/388165682.db2.gz XJTPNRAWTJUAOM-WCQYABFASA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@@H]1CN(CC2=CCCC2)C[C@H](CC)O1 ZINC000645928960 388167569 /nfs/dbraw/zinc/16/75/69/388167569.db2.gz YYMOKJMVUJBDNL-OKILXGFUSA-N 0 3 223.360 2.986 20 0 BFADHN COc1cc(C)ccc1CN[C@H]1C[C@@H]1C(F)F ZINC000449320952 388172674 /nfs/dbraw/zinc/17/26/74/388172674.db2.gz QERFIGFGMAQTAF-QWRGUYRKSA-N 0 3 241.281 2.747 20 0 BFADHN CC[C@H](CO)N(C)Cc1ccc(SC)s1 ZINC000449378528 388188261 /nfs/dbraw/zinc/18/82/61/388188261.db2.gz XJGGSTKORFPXGE-SECBINFHSA-N 0 3 245.413 2.673 20 0 BFADHN COc1cc(F)cc(CNCC[C@@H](C)F)c1 ZINC000449343971 388176861 /nfs/dbraw/zinc/17/68/61/388176861.db2.gz OQSHHJXDPGTZPC-SECBINFHSA-N 0 3 229.270 2.672 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@@H]1CCCc2c(F)cccc21 ZINC000449350171 388178338 /nfs/dbraw/zinc/17/83/38/388178338.db2.gz LGQGRUVUXADWHM-COLVAYQJSA-N 0 3 249.329 2.970 20 0 BFADHN CC(C)N(C[C@H](C)c1ccccc1)C1COC1 ZINC000449350929 388178573 /nfs/dbraw/zinc/17/85/73/388178573.db2.gz MCPNXVRVIMUSFD-ZDUSSCGKSA-N 0 3 233.355 2.899 20 0 BFADHN F[C@H]1CCN(C[C@H]2CCOc3ccccc32)C1 ZINC000648721144 388178759 /nfs/dbraw/zinc/17/87/59/388178759.db2.gz QZMPZFUOYJSAQQ-NEPJUHHUSA-N 0 3 235.302 2.597 20 0 BFADHN COC[C@H](NC1CC(C(C)C)C1)c1ccco1 ZINC000488448301 388179312 /nfs/dbraw/zinc/17/93/12/388179312.db2.gz ZGKAZMQQOMLPSY-BPCQOVAHSA-N 0 3 237.343 2.991 20 0 BFADHN COC[C@@H](NC1CC(C(C)C)C1)c1ccco1 ZINC000488448302 388179695 /nfs/dbraw/zinc/17/96/95/388179695.db2.gz ZGKAZMQQOMLPSY-WXRRBKDZSA-N 0 3 237.343 2.991 20 0 BFADHN c1ccc([C@@H]2C[C@H]2CN(C2CC2)C2COC2)cc1 ZINC000449351736 388180047 /nfs/dbraw/zinc/18/00/47/388180047.db2.gz QSEXCEGHDRGHPC-BBRMVZONSA-N 0 3 243.350 2.653 20 0 BFADHN COC[C@H](NC[C@@H]1C[C@H]1C)c1ccc(F)cc1 ZINC000488449129 388180394 /nfs/dbraw/zinc/18/03/94/388180394.db2.gz NCSFRIAFJSXQTC-OSMZGAPFSA-N 0 3 237.318 2.759 20 0 BFADHN COc1cc2c(cc1F)CN(C[C@H]1C[C@H]1C)CC2 ZINC000488457346 388180709 /nfs/dbraw/zinc/18/07/09/388180709.db2.gz JBJANGMVFOWEHP-ZYHUDNBSSA-N 0 3 249.329 2.848 20 0 BFADHN COc1ncccc1CN1C[C@@H](C)CC1(C)C ZINC000488462135 388181198 /nfs/dbraw/zinc/18/11/98/388181198.db2.gz QWJJHVWYHFWOTG-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN CCn1cc([C@@H](C)NC2CC(C(C)C)C2)cn1 ZINC000488482131 388182305 /nfs/dbraw/zinc/18/23/05/388182305.db2.gz RQFKCHGWNPHMDI-LKSINWNRSA-N 0 3 235.375 2.988 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)[NH2+]C2CCCC2)[n-]1 ZINC000488501162 388183558 /nfs/dbraw/zinc/18/35/58/388183558.db2.gz FPZVPMQGZSAWHE-UWVGGRQHSA-N 0 3 236.363 2.911 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)NC2CCCC2)[nH]1 ZINC000488501162 388183560 /nfs/dbraw/zinc/18/35/60/388183560.db2.gz FPZVPMQGZSAWHE-UWVGGRQHSA-N 0 3 236.363 2.911 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@H]1CCOc2c(F)cccc21 ZINC000488538282 388184670 /nfs/dbraw/zinc/18/46/70/388184670.db2.gz BTPIJBYSZJKXHY-BREBYQMCSA-N 0 3 235.302 2.895 20 0 BFADHN C[C@H]1C[C@H]1CN[C@H]1CCOc2c(F)cccc21 ZINC000488538289 388185251 /nfs/dbraw/zinc/18/52/51/388185251.db2.gz BTPIJBYSZJKXHY-KWBADKCTSA-N 0 3 235.302 2.895 20 0 BFADHN CCn1cc([C@@H](C)NCC2=CCCC2)cn1 ZINC000645926197 388123069 /nfs/dbraw/zinc/12/30/69/388123069.db2.gz MFAMMHFWWXEQEP-LLVKDONJSA-N 0 3 219.332 2.664 20 0 BFADHN CC[C@@H](O)CNC1(c2cccc(F)c2)CCC1 ZINC000646001869 388123090 /nfs/dbraw/zinc/12/30/90/388123090.db2.gz UNQWISVFMPHAMF-CYBMUJFWSA-N 0 3 237.318 2.565 20 0 BFADHN Cc1cccc(C2(NCc3c[nH]cn3)CCC2)c1 ZINC000646022490 388126119 /nfs/dbraw/zinc/12/61/19/388126119.db2.gz JJFHGENVGFYIEC-UHFFFAOYSA-N 0 3 241.338 2.887 20 0 BFADHN Cc1cccc(C2(NCc3cnc[nH]3)CCC2)c1 ZINC000646022490 388126120 /nfs/dbraw/zinc/12/61/20/388126120.db2.gz JJFHGENVGFYIEC-UHFFFAOYSA-N 0 3 241.338 2.887 20 0 BFADHN Cc1cccc(CCN2CC[C@@](C)(F)C2)c1 ZINC000449039765 388126483 /nfs/dbraw/zinc/12/64/83/388126483.db2.gz DWGJEDYOQJXSJH-CQSZACIVSA-N 0 3 221.319 2.971 20 0 BFADHN Fc1ccccc1C1(NC[C@@H]2CCCO2)CCC1 ZINC000646026217 388126598 /nfs/dbraw/zinc/12/65/98/388126598.db2.gz DSAKHQCPZOQSJM-LBPRGKRZSA-N 0 3 249.329 2.974 20 0 BFADHN CCCN(CCC)Cc1ccncc1F ZINC000449001921 388127179 /nfs/dbraw/zinc/12/71/79/388127179.db2.gz HCXHCNLZXYJRCP-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN Cc1nc([C@H](C)N(C)CCC(C)(C)C)n[nH]1 ZINC000646028851 388128641 /nfs/dbraw/zinc/12/86/41/388128641.db2.gz DGKYQAQLZIWGGC-VIFPVBQESA-N 0 3 224.352 2.542 20 0 BFADHN CCN(Cc1cc2cccnc2o1)C1CC1 ZINC000449009148 388128991 /nfs/dbraw/zinc/12/89/91/388128991.db2.gz HITLBJFPRRXLNT-UHFFFAOYSA-N 0 3 216.284 2.812 20 0 BFADHN CCN(Cc1cc(F)cc(OC)c1)C1CC1 ZINC000449010206 388129050 /nfs/dbraw/zinc/12/90/50/388129050.db2.gz LAOJZSFSUMQRHZ-UHFFFAOYSA-N 0 3 223.291 2.819 20 0 BFADHN C[C@@H](C1CC1)N(Cc1ccncc1F)C1CC1 ZINC000449013328 388129594 /nfs/dbraw/zinc/12/95/94/388129594.db2.gz KQQGSPQQPAODBX-JTQLQIEISA-N 0 3 234.318 2.984 20 0 BFADHN COC[C@H](C)N(C)Cc1csc(C)c1 ZINC000449018799 388130761 /nfs/dbraw/zinc/13/07/61/388130761.db2.gz UHKKSDABQLISAK-VIFPVBQESA-N 0 3 213.346 2.523 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1nccnc1OC ZINC000449032382 388133069 /nfs/dbraw/zinc/13/30/69/388133069.db2.gz YFADFRMEKFJUIE-GFCCVEGCSA-N 0 3 249.358 2.640 20 0 BFADHN CC[C@H]1CCCCCN1Cc1nccnc1OC ZINC000449032383 388133489 /nfs/dbraw/zinc/13/34/89/388133489.db2.gz YFADFRMEKFJUIE-LBPRGKRZSA-N 0 3 249.358 2.640 20 0 BFADHN Cc1cccc(CCN2CCSC[C@H]2C)c1 ZINC000449036799 388133941 /nfs/dbraw/zinc/13/39/41/388133941.db2.gz VTXIZEBZURKPIL-CYBMUJFWSA-N 0 3 235.396 2.975 20 0 BFADHN CCOCCCNCc1ccc(C)cc1OC ZINC000449068911 388135590 /nfs/dbraw/zinc/13/55/90/388135590.db2.gz VGTIWVVNQYZWEH-UHFFFAOYSA-N 0 3 237.343 2.520 20 0 BFADHN Cc1sccc1CN1CCC[C@H](CCO)C1 ZINC000646074897 388137511 /nfs/dbraw/zinc/13/75/11/388137511.db2.gz HZEIJXCWYZIFOU-GFCCVEGCSA-N 0 3 239.384 2.651 20 0 BFADHN CC(C)Cn1cc(CNC2CC(C)(F)C2)cn1 ZINC000449376590 388186710 /nfs/dbraw/zinc/18/67/10/388186710.db2.gz OJOBWRYBDUEGKJ-UHFFFAOYSA-N 0 3 239.338 2.519 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ccncc1F ZINC000449099535 388142303 /nfs/dbraw/zinc/14/23/03/388142303.db2.gz UBTZHQLOEMZNQZ-VHSXEESVSA-N 0 3 210.296 2.745 20 0 BFADHN C[C@@H](O)CN1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC000646117806 388145448 /nfs/dbraw/zinc/14/54/48/388145448.db2.gz AISHJWSDYRBQAL-HIFRSBDPSA-N 0 3 243.350 2.761 20 0 BFADHN CC[C@H](C)[C@@H](O)CNCc1cccc(C)c1F ZINC000449144683 388148148 /nfs/dbraw/zinc/14/81/48/388148148.db2.gz JNMISUANZXQWQO-GWCFXTLKSA-N 0 3 239.334 2.631 20 0 BFADHN CC1(CNCc2ccnn2C2CCCC2)CC1 ZINC000449172181 388152758 /nfs/dbraw/zinc/15/27/58/388152758.db2.gz CARMQWGPEJARGP-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN Cc1ccc(F)c(CNC[C@@H]2C[C@H]2C)c1 ZINC000164108580 388152956 /nfs/dbraw/zinc/15/29/56/388152956.db2.gz KZZKHCBXWUFEEK-MNOVXSKESA-N 0 3 207.292 2.880 20 0 BFADHN Cn1cncc1CN1CCCC[C@@H]1C1CCC1 ZINC000646139599 388153277 /nfs/dbraw/zinc/15/32/77/388153277.db2.gz ZLWOMXHOHIZRQH-CQSZACIVSA-N 0 3 233.359 2.575 20 0 BFADHN c1c2cccnc2oc1CNC[C@@H]1CCCS1 ZINC000449182397 388153997 /nfs/dbraw/zinc/15/39/97/388153997.db2.gz AMOYNGNXNGXCBE-LBPRGKRZSA-N 0 3 248.351 2.813 20 0 BFADHN C[C@@H](Cc1ccoc1)NCc1ccc(F)cn1 ZINC000449187539 388155171 /nfs/dbraw/zinc/15/51/71/388155171.db2.gz DXJHYNBKRLKZNW-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN CCSCCNCc1ccsc1 ZINC000164826747 388248472 /nfs/dbraw/zinc/24/84/72/388248472.db2.gz QEKMIYZLSQOORP-UHFFFAOYSA-N 0 3 201.360 2.591 20 0 BFADHN Cc1nc(CNCC2(C(C)C)CC2)cs1 ZINC000165594374 388252809 /nfs/dbraw/zinc/25/28/09/388252809.db2.gz YUSVUHPVUVXXBY-UHFFFAOYSA-N 0 3 224.373 2.977 20 0 BFADHN C[C@H](NCC1CC1)c1cccnc1Cl ZINC000166573639 388254805 /nfs/dbraw/zinc/25/48/05/388254805.db2.gz FICOLTYLOFWDNH-QMMMGPOBSA-N 0 3 210.708 2.796 20 0 BFADHN CCC[C@H](N)C(=O)N1CCCC[C@@H]1[C@@H](C)CC ZINC000490820957 388255835 /nfs/dbraw/zinc/25/58/35/388255835.db2.gz CAJFQBGVXHLEMQ-RWMBFGLXSA-N 0 3 240.391 2.541 20 0 BFADHN CSC[C@](C)(O)CN[C@@H](C)c1ccsc1 ZINC000167983532 388256430 /nfs/dbraw/zinc/25/64/30/388256430.db2.gz XTJPDEVTVQGPRM-GXSJLCMTSA-N 0 3 245.413 2.513 20 0 BFADHN C[C@H]1CN(CCC[C@H]2CCOC2)CCC1(F)F ZINC000645918603 388257601 /nfs/dbraw/zinc/25/76/01/388257601.db2.gz YLCOWGDZRZPCHK-RYUDHWBXSA-N 0 3 247.329 2.780 20 0 BFADHN C[C@H](CN1CC[C@@H](F)C1)c1ccccc1 ZINC000449389965 388192255 /nfs/dbraw/zinc/19/22/55/388192255.db2.gz FIQJSYYULHHAJL-DGCLKSJQSA-N 0 3 207.292 2.834 20 0 BFADHN Cc1ccc(CN[C@H](C)CC2CC2)nc1 ZINC000087782496 256532101 /nfs/dbraw/zinc/53/21/01/256532101.db2.gz YQAQAFURMWFLHB-LLVKDONJSA-N 0 3 204.317 2.668 20 0 BFADHN C[C@@H]1CCCC[C@@H]1C[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000449404222 388195591 /nfs/dbraw/zinc/19/55/91/388195591.db2.gz AZEBTKPUGDDDFH-ZYHUDNBSSA-N 0 3 248.374 2.598 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CNCc1nnc(C2CC2)[nH]1 ZINC000449404222 388195594 /nfs/dbraw/zinc/19/55/94/388195594.db2.gz AZEBTKPUGDDDFH-ZYHUDNBSSA-N 0 3 248.374 2.598 20 0 BFADHN C[C@H](CC(C)(C)C)[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000449408234 388197189 /nfs/dbraw/zinc/19/71/89/388197189.db2.gz MKIZVLBEWFVONV-SECBINFHSA-N 0 3 236.363 2.596 20 0 BFADHN C[C@H](CC(C)(C)C)NCc1nnc(C2CC2)[nH]1 ZINC000449408234 388197190 /nfs/dbraw/zinc/19/71/90/388197190.db2.gz MKIZVLBEWFVONV-SECBINFHSA-N 0 3 236.363 2.596 20 0 BFADHN COc1cccc(CN2CC[C@@H]2C2CC2)c1 ZINC000449422091 388200432 /nfs/dbraw/zinc/20/04/32/388200432.db2.gz CILISSLUMUPRCV-CQSZACIVSA-N 0 3 217.312 2.680 20 0 BFADHN CC(=O)Nc1ccc(CN2CC[C@H]2C2CC2)cc1 ZINC000449422065 388200744 /nfs/dbraw/zinc/20/07/44/388200744.db2.gz CFODXYKJWWFKQN-HNNXBMFYSA-N 0 3 244.338 2.629 20 0 BFADHN COc1ncccc1CN(C)[C@H]1CC[C@@H](C)C1 ZINC000488985406 388200962 /nfs/dbraw/zinc/20/09/62/388200962.db2.gz ULZHGYJWIGRNFQ-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN CCN(C[C@H](C)Cc1ccccc1)C1COC1 ZINC000449422754 388201272 /nfs/dbraw/zinc/20/12/72/388201272.db2.gz FGBAWIMKGAXUMU-CYBMUJFWSA-N 0 3 233.355 2.586 20 0 BFADHN COc1ncccc1CN(C)[C@H]1CC[C@H](C)C1 ZINC000488985401 388201275 /nfs/dbraw/zinc/20/12/75/388201275.db2.gz ULZHGYJWIGRNFQ-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN c1cc(CN2CC[C@H]2C2CC2)cc2c1OCC2 ZINC000449428599 388203224 /nfs/dbraw/zinc/20/32/24/388203224.db2.gz VOJWGAMDZRSVMR-AWEZNQCLSA-N 0 3 229.323 2.606 20 0 BFADHN COc1ccc(CN2CC[C@@H]2C2CC2)cc1C ZINC000449430406 388203409 /nfs/dbraw/zinc/20/34/09/388203409.db2.gz ZMBARMVKSIQLGC-CQSZACIVSA-N 0 3 231.339 2.988 20 0 BFADHN COc1ccc(CN2CC[C@H]2C2CC2)cc1C ZINC000449430405 388203940 /nfs/dbraw/zinc/20/39/40/388203940.db2.gz ZMBARMVKSIQLGC-AWEZNQCLSA-N 0 3 231.339 2.988 20 0 BFADHN CCc1ccc(CN2CC[C@H]2C2CC2)cn1 ZINC000449430556 388204033 /nfs/dbraw/zinc/20/40/33/388204033.db2.gz XXXZAPLDNIMSKD-AWEZNQCLSA-N 0 3 216.328 2.628 20 0 BFADHN CC[C@@H](O)[C@H](CC)NCc1ccc(Cl)o1 ZINC000313168659 256543196 /nfs/dbraw/zinc/54/31/96/256543196.db2.gz USCVDICFTXAFPP-VHSXEESVSA-N 0 3 231.723 2.572 20 0 BFADHN CS[C@@H]1CCN(C/C=C/c2ccncc2)C1 ZINC000449446199 388207654 /nfs/dbraw/zinc/20/76/54/388207654.db2.gz OROZESOWTJNOQQ-YWVDXFKGSA-N 0 3 234.368 2.532 20 0 BFADHN CC/C=C\CNCc1c[nH]nc1C(C)(C)C ZINC000449455114 388210236 /nfs/dbraw/zinc/21/02/36/388210236.db2.gz KRUHZNLGEMQREY-SREVYHEPSA-N 0 3 221.348 2.763 20 0 BFADHN CC/C=C\CNCc1cnc2c(C)cccn12 ZINC000449460346 388211028 /nfs/dbraw/zinc/21/10/28/388211028.db2.gz RMJHLSNSXLTOOS-PLNGDYQASA-N 0 3 229.327 2.699 20 0 BFADHN COc1ccccc1OCCN(C)[C@@H]1CC1(C)C ZINC000489417465 388212372 /nfs/dbraw/zinc/21/23/72/388212372.db2.gz WYZYKENSXRRPNQ-CQSZACIVSA-N 0 3 249.354 2.804 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CC2(C)C)c(C)n1 ZINC000489452351 388215305 /nfs/dbraw/zinc/21/53/05/388215305.db2.gz UGPMDYCDZQOXHR-ZDUSSCGKSA-N 0 3 218.344 2.929 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1ccc(-c2cc[nH]n2)o1 ZINC000449483155 388215963 /nfs/dbraw/zinc/21/59/63/388215963.db2.gz GTEYEKSEQNTMFC-MNOVXSKESA-N 0 3 245.326 2.805 20 0 BFADHN CN(C[C@H]1CCC(C)(C)O1)[C@@H]1CC1(C)C ZINC000489511247 388219760 /nfs/dbraw/zinc/21/97/60/388219760.db2.gz ITKJKXALSXSBLA-GHMZBOCLSA-N 0 3 211.349 2.674 20 0 BFADHN CN(Cc1cnc2ccccn12)[C@H]1CC1(C)C ZINC000489500622 388219889 /nfs/dbraw/zinc/21/98/89/388219889.db2.gz SNVXMSJQTBAFDX-LBPRGKRZSA-N 0 3 229.327 2.565 20 0 BFADHN Cc1ccoc1CN1CCSC[C@H]1C1CC1 ZINC000449526163 388225174 /nfs/dbraw/zinc/22/51/74/388225174.db2.gz CXGFQRYHKXEISD-LBPRGKRZSA-N 0 3 237.368 2.915 20 0 BFADHN C[C@H]1CCN(CCc2ccc(F)c(F)c2)C1 ZINC000489846153 388226483 /nfs/dbraw/zinc/22/64/83/388226483.db2.gz PLFSLBIAOFQURT-JTQLQIEISA-N 0 3 225.282 2.849 20 0 BFADHN c1cc(CN2C[C@H](C3CC3)[C@H]2C2CC2)ccn1 ZINC000449534666 388226509 /nfs/dbraw/zinc/22/65/09/388226509.db2.gz GWBCSJCVWNGDBB-HUUCEWRRSA-N 0 3 228.339 2.702 20 0 BFADHN CCc1nocc1CN1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000449535770 388226542 /nfs/dbraw/zinc/22/65/42/388226542.db2.gz HZJRVFMTMLAJCY-HIFRSBDPSA-N 0 3 246.354 2.857 20 0 BFADHN c1coc(CN2C[C@H](C3CC3)[C@H]2C2CC2)c1 ZINC000449544506 388228243 /nfs/dbraw/zinc/22/82/43/388228243.db2.gz WGYHSJXEIWKZMT-ZIAGYGMSSA-N 0 3 217.312 2.900 20 0 BFADHN c1ncc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)s1 ZINC000449545669 388229367 /nfs/dbraw/zinc/22/93/67/388229367.db2.gz ZGDUJWMLUARCEK-QWHCGFSZSA-N 0 3 234.368 2.764 20 0 BFADHN Cc1ccc(CNC[C@@H]2C[C@H]2C(C)C)nc1 ZINC000449552196 388230531 /nfs/dbraw/zinc/23/05/31/388230531.db2.gz ILAUNHSJALIGMN-JSGCOSHPSA-N 0 3 218.344 2.772 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cncnc2)[C@@H]1C(C)C ZINC000449551577 388230770 /nfs/dbraw/zinc/23/07/70/388230770.db2.gz GWRSOQPPDFOMPD-UONOGXRCSA-N 0 3 233.359 2.589 20 0 BFADHN Cc1ccc(F)c(CCNCc2ccccn2)c1 ZINC000449552450 388230962 /nfs/dbraw/zinc/23/09/62/388230962.db2.gz JOHAUXJDAWKFKN-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN Cc1nn(C)cc1CN1C[C@H](C(C)C)[C@H]1C(C)C ZINC000449553750 388231282 /nfs/dbraw/zinc/23/12/82/388231282.db2.gz MRQQXEGZSOJNQC-HUUCEWRRSA-N 0 3 249.402 2.841 20 0 BFADHN Cc1ncc(CN2C[C@@H](C(C)C)[C@@H]2C(C)C)cn1 ZINC000449559852 388231854 /nfs/dbraw/zinc/23/18/54/388231854.db2.gz ZMVQJGILEIAUOI-GJZGRUSLSA-N 0 3 247.386 2.897 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cc[nH]n2)[C@H]1C(C)C ZINC000449559947 388231861 /nfs/dbraw/zinc/23/18/61/388231861.db2.gz ZVYNQZHHNWBTQW-STQMWFEESA-N 0 3 221.348 2.522 20 0 BFADHN COCc1ccc(CNC[C@@H]2C[C@H]2C(C)C)o1 ZINC000449558900 388232252 /nfs/dbraw/zinc/23/22/52/388232252.db2.gz YNXMRDKWPUQYLZ-FZMZJTMJSA-N 0 3 237.343 2.808 20 0 BFADHN CCC[C@H](C)CN[C@H](C)c1nccn1CC ZINC000449562285 388232964 /nfs/dbraw/zinc/23/29/64/388232964.db2.gz ISZPUEMQMMQRCF-NWDGAFQWSA-N 0 3 223.364 2.990 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CCC[C@H]1C ZINC000449562583 388233064 /nfs/dbraw/zinc/23/30/64/388233064.db2.gz MEFVCKMDEXNRAW-GRYCIOLGSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@@H]1CN(CC)CCN1Cc1cccs1 ZINC000449565719 388233656 /nfs/dbraw/zinc/23/36/56/388233656.db2.gz KIJJGUZBOABVML-GFCCVEGCSA-N 0 3 238.400 2.664 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1C[C@@H](C)[C@H]1C ZINC000449567922 388235013 /nfs/dbraw/zinc/23/50/13/388235013.db2.gz ZKIAKJCHZIVILG-WISYIIOYSA-N 0 3 221.348 2.598 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H]1C[C@H](C)n2ccnc21 ZINC000449570458 388236235 /nfs/dbraw/zinc/23/62/35/388236235.db2.gz JOXINJPBRXHQRC-WHOHXGKFSA-N 0 3 219.332 2.667 20 0 BFADHN CCC[C@H](C)CN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000449571741 388236398 /nfs/dbraw/zinc/23/63/98/388236398.db2.gz LZZBOBRZQRMFAM-QJPTWQEYSA-N 0 3 221.348 2.915 20 0 BFADHN CN(Cc1ccsc1)[C@H](CO)CC(C)(C)C ZINC000449592422 388239366 /nfs/dbraw/zinc/23/93/66/388239366.db2.gz YHWDPCJTHNZOCQ-LBPRGKRZSA-N 0 3 241.400 2.977 20 0 BFADHN CCC[C@@H](CC)NCc1cnc(CC)o1 ZINC000449610931 388242114 /nfs/dbraw/zinc/24/21/14/388242114.db2.gz SOTCJDSBZRFWCA-SNVBAGLBSA-N 0 3 210.321 2.905 20 0 BFADHN CCCc1ncc(CN[C@@H](C)C2CCC2)o1 ZINC000449610032 388242688 /nfs/dbraw/zinc/24/26/88/388242688.db2.gz DXNOIIPCHPMDEJ-JTQLQIEISA-N 0 3 222.332 2.905 20 0 BFADHN CCCc1ncc(CN[C@@H]2CC[C@@H](C)C2)o1 ZINC000449611977 388243190 /nfs/dbraw/zinc/24/31/90/388243190.db2.gz IYDPYWQMVRFIJX-GHMZBOCLSA-N 0 3 222.332 2.905 20 0 BFADHN CC[C@H](C)N1CCN(CCCC(C)C)CC1 ZINC000449625636 388245162 /nfs/dbraw/zinc/24/51/62/388245162.db2.gz BTWXGIWKPLGCJJ-AWEZNQCLSA-N 0 3 226.408 2.839 20 0 BFADHN CO[C@@H]1C[C@H](N(C)Cc2ccc(C)o2)C12CCC2 ZINC000449628182 388245347 /nfs/dbraw/zinc/24/53/47/388245347.db2.gz BPEBRFAOGIPZLS-UONOGXRCSA-N 0 3 249.354 2.977 20 0 BFADHN CO[C@@H]([C@H](C)N[C@@H](C)c1cc(C)ccn1)C1CC1 ZINC000449634623 388246939 /nfs/dbraw/zinc/24/69/39/388246939.db2.gz WJOBHHZPNKKJJP-HUBLWGQQSA-N 0 3 248.370 2.854 20 0 BFADHN CO[C@H]1C[C@H](N(C)Cc2occc2C)C12CCC2 ZINC000449634156 388247212 /nfs/dbraw/zinc/24/72/12/388247212.db2.gz RBQRESSMGHVHPY-KBPBESRZSA-N 0 3 249.354 2.977 20 0 BFADHN CSC[C@@H](C)NCc1cc(F)ccc1C ZINC000163245053 388260445 /nfs/dbraw/zinc/26/04/45/388260445.db2.gz BCZLIFBOMGAOFX-SNVBAGLBSA-N 0 3 227.348 2.975 20 0 BFADHN COc1ccc2c(c1)CN(CCC1CC1)CCO2 ZINC000451640508 388286975 /nfs/dbraw/zinc/28/69/75/388286975.db2.gz DWAHLKSTBMWBIO-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN CC(C)(C)OCCN1CCSC[C@@H]1C1CC1 ZINC000451644008 388287070 /nfs/dbraw/zinc/28/70/70/388287070.db2.gz ATAPYWGPFJWIKC-GFCCVEGCSA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@H](F)CN(C)[C@@H]1C[C@H](OC)C12CCC2 ZINC000451848055 388300199 /nfs/dbraw/zinc/30/01/99/388300199.db2.gz HXLKLUJVEIFZNJ-TUAOUCFPSA-N 0 3 229.339 2.624 20 0 BFADHN CC/C=C/CCN1CCN([C@H](C)CC)CC1 ZINC000451851202 388301082 /nfs/dbraw/zinc/30/10/82/388301082.db2.gz YXDYTRCGASOGBW-PSKZRQQASA-N 0 3 224.392 2.759 20 0 BFADHN CC[C@H](C)N1CCN(CC2=CCCCC2)CC1 ZINC000451856114 388301267 /nfs/dbraw/zinc/30/12/67/388301267.db2.gz TWCRCGYVSJXSIV-AWEZNQCLSA-N 0 3 236.403 2.903 20 0 BFADHN CCCCCC[C@H](C)NC(=O)/C=C\CN(C)C ZINC000491889341 388326488 /nfs/dbraw/zinc/32/64/88/388326488.db2.gz VTOLUDGRBVXOOM-FUWURINLSA-N 0 3 240.391 2.579 20 0 BFADHN CCc1noc(C)c1CN1CCC(CF)CC1 ZINC000451388350 388268535 /nfs/dbraw/zinc/26/85/35/388268535.db2.gz KCPHRFDIOXPIEE-UHFFFAOYSA-N 0 3 240.322 2.727 20 0 BFADHN CCO[C@@H]1C[C@H](NC[C@@H](F)CC)C12CCC2 ZINC000451485652 388277340 /nfs/dbraw/zinc/27/73/40/388277340.db2.gz YUIDBWLOKWMEKQ-SDDRHHMPSA-N 0 3 229.339 2.672 20 0 BFADHN FCCN[C@H]1CCC[C@@H]1c1ccccc1 ZINC000275628481 388344881 /nfs/dbraw/zinc/34/48/81/388344881.db2.gz PTLHWJRSNFDFHV-OLZOCXBDSA-N 0 3 207.292 2.882 20 0 BFADHN CC(C)(O)CCNCc1ccc(Cl)cc1F ZINC000275634450 388345124 /nfs/dbraw/zinc/34/51/24/388345124.db2.gz QWJDLEFVZCCVCM-UHFFFAOYSA-N 0 3 245.725 2.730 20 0 BFADHN CC(C)[C@H](N[C@H](C)c1cscn1)C(C)(C)O ZINC000276604594 388349625 /nfs/dbraw/zinc/34/96/25/388349625.db2.gz BTHPMJXZXUICAB-KOLCDFICSA-N 0 3 242.388 2.589 20 0 BFADHN Fc1cc(F)cc(CNC2CC(F)(F)C2)c1 ZINC000277624683 388354344 /nfs/dbraw/zinc/35/43/44/388354344.db2.gz KHGMUTXQXBKTEG-UHFFFAOYSA-N 0 3 233.208 2.852 20 0 BFADHN CCC[C@H](CN[C@H](C)c1ccco1)OC ZINC000293656180 388356856 /nfs/dbraw/zinc/35/68/56/388356856.db2.gz KWQKPVWWNCAOLE-GHMZBOCLSA-N 0 3 211.305 2.745 20 0 BFADHN CCOc1ccccc1CN[C@H]1CCOC1(C)C ZINC000293683758 388358206 /nfs/dbraw/zinc/35/82/06/388358206.db2.gz KNULJZBZPKWGEW-AWEZNQCLSA-N 0 3 249.354 2.742 20 0 BFADHN CO[C@H](CN[C@H]1CCCc2occc21)C1CC1 ZINC000293677243 388358403 /nfs/dbraw/zinc/35/84/03/388358403.db2.gz KCVUQNHRPBUEQJ-GXTWGEPZSA-N 0 3 235.327 2.672 20 0 BFADHN CN1CCN(CC2(C)CC2)[C@H](c2ccccc2)C1 ZINC000572672467 388365890 /nfs/dbraw/zinc/36/58/90/388365890.db2.gz IKQRFIJUMGLSSX-HNNXBMFYSA-N 0 3 244.382 2.775 20 0 BFADHN CCC[C@H]1CCCC[C@@H]1NCc1cc[nH]n1 ZINC000163842620 388368407 /nfs/dbraw/zinc/36/84/07/388368407.db2.gz GACPGBHDTDHVHD-AAEUAGOBSA-N 0 3 221.348 2.858 20 0 BFADHN C/C(=C\c1ccccc1)CN1CC[C@@H](O)[C@H]1C ZINC000648800843 388372901 /nfs/dbraw/zinc/37/29/01/388372901.db2.gz VIDVGYQSWQSNGN-ZYICGEMBSA-N 0 3 231.339 2.545 20 0 BFADHN Cc1nc(C)c(CNC[C@]2(C)CCCS2)o1 ZINC000327742783 388385839 /nfs/dbraw/zinc/38/58/39/388385839.db2.gz JGDPGKKJAYGYEC-LBPRGKRZSA-N 0 3 240.372 2.667 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@H](O)C1CCC1 ZINC000316078392 388406105 /nfs/dbraw/zinc/40/61/05/388406105.db2.gz APUKGKDNYIFROV-RISCZKNCSA-N 0 3 249.354 2.507 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)NCC(C)(C)CC(C)C ZINC000236916783 388386661 /nfs/dbraw/zinc/38/66/61/388386661.db2.gz RKTVYXDSYCAHIS-NEPJUHHUSA-N 0 3 242.407 2.548 20 0 BFADHN CO[C@H](CNCc1cc(F)ccc1C)C1CC1 ZINC000293045597 388386801 /nfs/dbraw/zinc/38/68/01/388386801.db2.gz RDHUAAGYXNYBEF-CQSZACIVSA-N 0 3 237.318 2.649 20 0 BFADHN C[C@@H]1CC[C@H](CC(=O)Nc2cccc(CN)c2)C1 ZINC000646578246 388387329 /nfs/dbraw/zinc/38/73/29/388387329.db2.gz UNDWFAHPBCEHNQ-NEPJUHHUSA-N 0 3 246.354 2.910 20 0 BFADHN NCc1cccc(NC(=O)CCC2CCC2)c1 ZINC000646577988 388388012 /nfs/dbraw/zinc/38/80/12/388388012.db2.gz ASPUMMUZVUQTLU-UHFFFAOYSA-N 0 3 232.327 2.664 20 0 BFADHN CO[C@@H](CNCc1csc(C)c1)C1CC1 ZINC000293547146 388388977 /nfs/dbraw/zinc/38/89/77/388388977.db2.gz BFNHSDZHGIBLPE-LBPRGKRZSA-N 0 3 225.357 2.571 20 0 BFADHN COC1(CCN[C@@H](C)c2cncs2)CCC1 ZINC000293574212 388390298 /nfs/dbraw/zinc/39/02/98/388390298.db2.gz BORSCYUASMCENA-JTQLQIEISA-N 0 3 240.372 2.753 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cc(C)oc1C)C1CC1 ZINC000293838428 388391990 /nfs/dbraw/zinc/39/19/90/388391990.db2.gz XOZJHZFRYZOYIO-YGRLFVJLSA-N 0 3 237.343 2.972 20 0 BFADHN CCC(CC)CNCc1ccoc1C(=O)OC ZINC000164437347 388392369 /nfs/dbraw/zinc/39/23/69/388392369.db2.gz XWGPXTSCOVGUME-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN Cc1nocc1CN[C@H]1CCC[C@H](C)C1 ZINC000294024877 388393738 /nfs/dbraw/zinc/39/37/38/388393738.db2.gz HQYGDZNQCDIMMW-CABZTGNLSA-N 0 3 208.305 2.651 20 0 BFADHN CC(F)(F)CCNCc1cccc(O)c1 ZINC000294144750 388395910 /nfs/dbraw/zinc/39/59/10/388395910.db2.gz GVKMVYUQLDNKFT-UHFFFAOYSA-N 0 3 215.243 2.527 20 0 BFADHN CCCCNCc1ncc(CSC)s1 ZINC000294215486 388396725 /nfs/dbraw/zinc/39/67/25/388396725.db2.gz SAVBYAQZOSUAJM-UHFFFAOYSA-N 0 3 230.402 2.896 20 0 BFADHN CC(F)(F)CCNCc1cccc(F)c1 ZINC000294348040 388397949 /nfs/dbraw/zinc/39/79/49/388397949.db2.gz YZYRNHGERITGAQ-UHFFFAOYSA-N 0 3 217.234 2.961 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1csc(C)c1 ZINC000294335877 388399149 /nfs/dbraw/zinc/39/91/49/388399149.db2.gz YDWWTWFXXCBKMX-ZYHUDNBSSA-N 0 3 227.373 2.868 20 0 BFADHN Cc1noc(C)c1CN1C[C@@H](C)CC[C@@H]1C ZINC000174466946 388399829 /nfs/dbraw/zinc/39/98/29/388399829.db2.gz HXWUHOJSULKHSJ-UWVGGRQHSA-N 0 3 222.332 2.912 20 0 BFADHN O[C@H](CNCc1cc(Cl)cs1)C1CCC1 ZINC000315720786 388402010 /nfs/dbraw/zinc/40/20/10/388402010.db2.gz ISRMINWCPZQVHY-LLVKDONJSA-N 0 3 245.775 2.652 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CCOC2(C)C)c1 ZINC000294743155 388403373 /nfs/dbraw/zinc/40/33/73/388403373.db2.gz HIXWNJUCHXUAFZ-ZDUSSCGKSA-N 0 3 237.318 2.791 20 0 BFADHN CCC(C)(C)OCCNCc1cnccc1C ZINC000308543582 388442696 /nfs/dbraw/zinc/44/26/96/388442696.db2.gz MFURMSWJTMGJDW-UHFFFAOYSA-N 0 3 236.359 2.685 20 0 BFADHN CC(C)N[C@H]1c2ccc(F)cc2O[C@@H]1C ZINC000334461777 388445838 /nfs/dbraw/zinc/44/58/38/388445838.db2.gz PKGAYOKHHOKPFA-PRHODGIISA-N 0 3 209.264 2.646 20 0 BFADHN Cc1ncc(CNC2C[C@@H](C)C[C@H](C)C2)o1 ZINC000334723097 388447675 /nfs/dbraw/zinc/44/76/75/388447675.db2.gz DLYPIJWMFXEFGV-UWVGGRQHSA-N 0 3 222.332 2.897 20 0 BFADHN CC(C)(CNCc1ncc[nH]1)C1=CCCC1 ZINC000308759774 388448814 /nfs/dbraw/zinc/44/88/14/388448814.db2.gz VGGQZLLZZKIVTD-UHFFFAOYSA-N 0 3 219.332 2.636 20 0 BFADHN Cc1cc(CN)cc(NC(=O)[C@H](C)C(C)C)c1 ZINC000322886287 388449648 /nfs/dbraw/zinc/44/96/48/388449648.db2.gz CUBFRRDHCAQBQI-LLVKDONJSA-N 0 3 234.343 2.684 20 0 BFADHN Cc1nocc1CNCC1(CC(C)C)CC1 ZINC000339298897 388480887 /nfs/dbraw/zinc/48/08/87/388480887.db2.gz UCHOFKJRWFUWEQ-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN C[C@H](CNCC1(F)CC1)Oc1cccc(F)c1 ZINC000525996505 388452843 /nfs/dbraw/zinc/45/28/43/388452843.db2.gz ROJLOHCIAZCBSX-SNVBAGLBSA-N 0 3 241.281 2.685 20 0 BFADHN COc1cc(CN2[C@H](C)C[C@@H]2C)ccc1C ZINC000525997007 388454064 /nfs/dbraw/zinc/45/40/64/388454064.db2.gz LAINEUZVEMYVRU-TXEJJXNPSA-N 0 3 219.328 2.986 20 0 BFADHN C[C@H](NC1CCCCCC1)c1ccncn1 ZINC000335916815 388455433 /nfs/dbraw/zinc/45/54/33/388455433.db2.gz CHCFQYYZKILTOD-NSHDSACASA-N 0 3 219.332 2.850 20 0 BFADHN C[C@H](O)CCCN(Cc1cccs1)C1CC1 ZINC000336663047 388460228 /nfs/dbraw/zinc/46/02/28/388460228.db2.gz OWWHRTVSLXLZPR-NSHDSACASA-N 0 3 239.384 2.874 20 0 BFADHN CC[C@@H](CO)NCc1cc(C)cc(Cl)c1 ZINC000339339335 388481250 /nfs/dbraw/zinc/48/12/50/388481250.db2.gz GDVXVIVWYSHUER-LBPRGKRZSA-N 0 3 227.735 2.509 20 0 BFADHN CC(C)n1cc(CNC2CCCCCC2)nn1 ZINC000336702026 388465281 /nfs/dbraw/zinc/46/52/81/388465281.db2.gz KXDJGMSVGFKJLS-UHFFFAOYSA-N 0 3 236.363 2.671 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1ccccc1N(C)C ZINC000515591386 256751011 /nfs/dbraw/zinc/75/10/11/256751011.db2.gz JVNNKXYEJRCUDH-CORIIIEPSA-N 0 3 248.370 2.581 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1cc(F)cc(F)c1 ZINC000336715748 388467523 /nfs/dbraw/zinc/46/75/23/388467523.db2.gz VQSRBRZEJSNYBM-VXGBXAGGSA-N 0 3 243.322 2.948 20 0 BFADHN CCO[C@@H]1CCCN(c2ccnc(CC)c2)C1 ZINC000649252848 388470504 /nfs/dbraw/zinc/47/05/04/388470504.db2.gz MADFULCDRUBNJS-CQSZACIVSA-N 0 3 234.343 2.649 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1conc1C ZINC000339298252 388481836 /nfs/dbraw/zinc/48/18/36/388481836.db2.gz MENIRSBUHWAERS-JQWIXIFHSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(C)nc(N[C@H]2CCSC2)c1 ZINC000134350730 388476168 /nfs/dbraw/zinc/47/61/68/388476168.db2.gz LFSRVTSNVHKLFZ-JTQLQIEISA-N 0 3 208.330 2.616 20 0 BFADHN CCN(Cc1cn2ccccc2n1)C(C)C ZINC000194093629 388476996 /nfs/dbraw/zinc/47/69/96/388476996.db2.gz AHJSGARFMHQESA-UHFFFAOYSA-N 0 3 217.316 2.565 20 0 BFADHN CC/C=C/CNCc1ccc(COC)cc1 ZINC000295041340 388408146 /nfs/dbraw/zinc/40/81/46/388408146.db2.gz MPSPGJGUARPRBE-SNAWJCMRSA-N 0 3 219.328 2.889 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)CC2)on1 ZINC000068830249 388410946 /nfs/dbraw/zinc/41/09/46/388410946.db2.gz LBLQTSKVYVLBFF-JTQLQIEISA-N 0 3 208.305 2.605 20 0 BFADHN Cc1noc(C)c1CN1CCC[C@H](C)CC1 ZINC000068837898 388411163 /nfs/dbraw/zinc/41/11/63/388411163.db2.gz XDOBFUOWECUBDG-JTQLQIEISA-N 0 3 222.332 2.913 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1cnc(C2CC2)o1 ZINC000648824634 388414981 /nfs/dbraw/zinc/41/49/81/388414981.db2.gz UZTRDWNFNJINOL-LDYMZIIASA-N 0 3 238.356 2.536 20 0 BFADHN CC[C@@H](NCc1snnc1C)[C@H]1CC1(C)C ZINC000397570189 388479094 /nfs/dbraw/zinc/47/90/94/388479094.db2.gz BPVJOOYLDDISHY-NXEZZACHSA-N 0 3 239.388 2.761 20 0 BFADHN CCCC[C@H](CCC)NC(=O)CN(CC)CC ZINC000177284216 388479572 /nfs/dbraw/zinc/47/95/72/388479572.db2.gz XDCDNLHWNGPPNY-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN C1=C(CN2CCC[C@H]3CCC[C@@H]32)COCC1 ZINC000245569680 388424045 /nfs/dbraw/zinc/42/40/45/388424045.db2.gz WKEAPPUPYNAUPM-KGLIPLIRSA-N 0 3 221.344 2.598 20 0 BFADHN Cc1ccc(NC2CCN(C3CC3)CC2)c(C)n1 ZINC000297617789 388431038 /nfs/dbraw/zinc/43/10/38/388431038.db2.gz LDRLHKOURVIELT-UHFFFAOYSA-N 0 3 245.370 2.737 20 0 BFADHN CC[C@H](NCc1cc(C)no1)[C@@H]1C[C@H]1C ZINC000322317089 388431578 /nfs/dbraw/zinc/43/15/78/388431578.db2.gz FKZJXGLLHYQEKX-FXAINCCUSA-N 0 3 208.305 2.507 20 0 BFADHN CCC[C@H](NCc1ccno1)C1CCC1 ZINC000322469879 388435417 /nfs/dbraw/zinc/43/54/17/388435417.db2.gz OCMIJDPDXCOPEM-LBPRGKRZSA-N 0 3 208.305 2.733 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CCCC23CC3)o1 ZINC000573267639 388436940 /nfs/dbraw/zinc/43/69/40/388436940.db2.gz OAIPVGVPWVMUJQ-LBPRGKRZSA-N 0 3 234.343 2.968 20 0 BFADHN CC[C@H](NCc1c(C)cnn1C)c1ccccc1 ZINC000352773507 388538161 /nfs/dbraw/zinc/53/81/61/388538161.db2.gz UANRNDCQBZNINU-AWEZNQCLSA-N 0 3 243.354 2.969 20 0 BFADHN C/C(Cl)=C\CN1CC[C@@H](O)CC12CCC2 ZINC000528528136 388539947 /nfs/dbraw/zinc/53/99/47/388539947.db2.gz SAHWFACVVKBVJE-JNZVDCKRSA-N 0 3 229.751 2.508 20 0 BFADHN CO[C@@H]1C[C@H](NC/C=C(/C)Cl)C12CCC2 ZINC000528517399 388541192 /nfs/dbraw/zinc/54/11/92/388541192.db2.gz DVVWWQOEHVRFFS-RJAQEEFZSA-N 0 3 229.751 2.676 20 0 BFADHN CC(C)c1ncc(CN[C@H]2CO[C@H](C)C2)s1 ZINC000517235174 388544588 /nfs/dbraw/zinc/54/45/88/388544588.db2.gz FGGBTXVRQGVBIC-NXEZZACHSA-N 0 3 240.372 2.534 20 0 BFADHN CC(C)c1ncc(CN[C@H]2CO[C@@H](C)C2)s1 ZINC000517235176 388544673 /nfs/dbraw/zinc/54/46/73/388544673.db2.gz FGGBTXVRQGVBIC-VHSXEESVSA-N 0 3 240.372 2.534 20 0 BFADHN CCc1ccc(CN[C@H](C)[C@H]2CCCOC2)o1 ZINC000355768989 388547106 /nfs/dbraw/zinc/54/71/06/388547106.db2.gz QESYGBJYMLIHNN-NEPJUHHUSA-N 0 3 237.343 2.747 20 0 BFADHN CCC[C@H](NCc1nccn1C)[C@@H]1CC1(C)C ZINC000397595948 388482097 /nfs/dbraw/zinc/48/20/97/388482097.db2.gz DLBTUKMRQYQCIE-RYUDHWBXSA-N 0 3 235.375 2.725 20 0 BFADHN CSCc1cnc(CN[C@@H]2CC[C@@H]2C)s1 ZINC000339400845 388482119 /nfs/dbraw/zinc/48/21/19/388482119.db2.gz STXZRJIMRLEDJD-WCBMZHEXSA-N 0 3 242.413 2.894 20 0 BFADHN Cc1cc(CCNCc2ccsc2C)on1 ZINC000339397960 388482124 /nfs/dbraw/zinc/48/21/24/388482124.db2.gz QFWJGXDZIWPEGR-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN COC(C)(C)[C@H](C)NCc1ccc(Cl)o1 ZINC000378689061 388482180 /nfs/dbraw/zinc/48/21/80/388482180.db2.gz HDVNLMINRRGLIH-QMMMGPOBSA-N 0 3 231.723 2.836 20 0 BFADHN CCC[C@@H](CN[C@@H]1CCCc2cccnc21)OC ZINC000378690051 388482442 /nfs/dbraw/zinc/48/24/42/388482442.db2.gz HWQVMBCJHOYMOC-UONOGXRCSA-N 0 3 248.370 2.864 20 0 BFADHN Cc1nocc1CNCC1C(C)(C)C1(C)C ZINC000339386317 388483095 /nfs/dbraw/zinc/48/30/95/388483095.db2.gz XWACUSFNZJYAMQ-UHFFFAOYSA-N 0 3 222.332 2.755 20 0 BFADHN COC[C@@H](C)NCc1cc(C)cc(Cl)c1 ZINC000339333646 388483214 /nfs/dbraw/zinc/48/32/14/388483214.db2.gz XUOUOJHKWXHHDF-SNVBAGLBSA-N 0 3 227.735 2.773 20 0 BFADHN CSCc1cnc(CN[C@H]2CC[C@H]2C)s1 ZINC000339400844 388483236 /nfs/dbraw/zinc/48/32/36/388483236.db2.gz STXZRJIMRLEDJD-SCZZXKLOSA-N 0 3 242.413 2.894 20 0 BFADHN CO[C@H](CNC/C=C\c1ccccc1)C1CC1 ZINC000339526405 388484963 /nfs/dbraw/zinc/48/49/63/388484963.db2.gz BJBLDXHWMGEMAT-GTBONMDNSA-N 0 3 231.339 2.714 20 0 BFADHN C[C@H](N[C@H]1CSC[C@@H]1C)c1ccncc1F ZINC000340391102 388490000 /nfs/dbraw/zinc/49/00/00/388490000.db2.gz LFJGKQDHLLJMOS-AUTRQRHGSA-N 0 3 240.347 2.623 20 0 BFADHN CCOc1ccccc1CNCC1=CCOCC1 ZINC000397692808 388491176 /nfs/dbraw/zinc/49/11/76/388491176.db2.gz BOVZAGQTRMDWDF-UHFFFAOYSA-N 0 3 247.338 2.522 20 0 BFADHN COC[C@H](N[C@H]1CCC[C@H]1F)C1CCCC1 ZINC000340528868 388492887 /nfs/dbraw/zinc/49/28/87/388492887.db2.gz ZXRMHLHOLJWLFQ-AGIUHOORSA-N 0 3 229.339 2.672 20 0 BFADHN C[C@H](NCCc1cnccn1)c1ccccc1F ZINC000340943050 388493529 /nfs/dbraw/zinc/49/35/29/388493529.db2.gz STAUAIOGEDAHDY-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN Fc1ccc(F)c(CN[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000397725195 388494973 /nfs/dbraw/zinc/49/49/73/388494973.db2.gz YOHFOHSIVFBSSW-BZBKMWRSSA-N 0 3 223.266 2.853 20 0 BFADHN C[C@@H](NCC1=CCOCC1)c1cccs1 ZINC000397758876 388497378 /nfs/dbraw/zinc/49/73/78/388497378.db2.gz JKPWTWFEEJDVLQ-SNVBAGLBSA-N 0 3 223.341 2.745 20 0 BFADHN CC(C)=CCCNCc1cncn1C(C)C ZINC000397781111 388498679 /nfs/dbraw/zinc/49/86/79/388498679.db2.gz ZBRIAERBVCJTOH-UHFFFAOYSA-N 0 3 221.348 2.910 20 0 BFADHN C(=C/c1ccccc1)\CNCC1=CCOCC1 ZINC000397782401 388498897 /nfs/dbraw/zinc/49/88/97/388498897.db2.gz PAHHBQKRUTYQMI-QPJJXVBHSA-N 0 3 229.323 2.636 20 0 BFADHN CC[C@@H](NCc1ccc(C)nn1)[C@H]1CC1(C)C ZINC000397786941 388499000 /nfs/dbraw/zinc/49/90/00/388499000.db2.gz PKEOCXDZUIKICQ-CHWSQXEVSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cccc(CN[C@@H]2CSC[C@H]2C)c1F ZINC000397808349 388501110 /nfs/dbraw/zinc/50/11/10/388501110.db2.gz XVZHYEZJLGXWPZ-ZYHUDNBSSA-N 0 3 239.359 2.975 20 0 BFADHN CSc1ccc(CNCC2=CCOCC2)cc1 ZINC000397824451 388502840 /nfs/dbraw/zinc/50/28/40/388502840.db2.gz IMUVJZCJUYJGQO-UHFFFAOYSA-N 0 3 249.379 2.845 20 0 BFADHN CC[C@]1(C)CN(Cc2ccccc2C)CCO1 ZINC000135599925 388503209 /nfs/dbraw/zinc/50/32/09/388503209.db2.gz WFUOREYDYQVCHB-OAHLLOKOSA-N 0 3 233.355 2.996 20 0 BFADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1cncc(F)c1 ZINC000397841738 388505212 /nfs/dbraw/zinc/50/52/12/388505212.db2.gz QPPNOHDQKCQVAB-PTRXPTGYSA-N 0 3 240.347 2.765 20 0 BFADHN CC[C@H](NCc1ccon1)[C@H]1CC1(C)C ZINC000397841980 388505389 /nfs/dbraw/zinc/50/53/89/388505389.db2.gz UMITUNJOFHTHAU-MNOVXSKESA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1C[C@H](Nc2ccnc3ccccc32)CS1 ZINC000397855764 388506452 /nfs/dbraw/zinc/50/64/52/388506452.db2.gz MATDDKIRMHCOFA-QWRGUYRKSA-N 0 3 244.363 2.963 20 0 BFADHN CC(C)[C@H]1CN(Cc2ccc(O)cc2)CCCO1 ZINC000378806744 388507510 /nfs/dbraw/zinc/50/75/10/388507510.db2.gz YNORAQBYROKBSQ-OAHLLOKOSA-N 0 3 249.354 2.639 20 0 BFADHN C[C@H]1CC[C@H](CNc2ccnc3ccccc32)O1 ZINC000397908681 388511263 /nfs/dbraw/zinc/51/12/63/388511263.db2.gz XUEHOUZMCNVLAU-NWDGAFQWSA-N 0 3 242.322 2.636 20 0 BFADHN Cc1ncc(CNC2C3CC4CC(C3)CC2C4)o1 ZINC000397926612 388512052 /nfs/dbraw/zinc/51/20/52/388512052.db2.gz RPEKWLKWJOUJFL-UHFFFAOYSA-N 0 3 246.354 2.897 20 0 BFADHN CCC[C@H](C)N[C@H]1C[C@H](C)n2ccnc21 ZINC000345349625 388512213 /nfs/dbraw/zinc/51/22/13/388512213.db2.gz HHQUZQCHWHFKKF-DCAQKATOSA-N 0 3 207.321 2.667 20 0 BFADHN CCC1(CN[C@H]2C[C@H](C)n3ccnc32)CC1 ZINC000345357653 388513548 /nfs/dbraw/zinc/51/35/48/388513548.db2.gz PPWCLJYBOMXBLL-QWRGUYRKSA-N 0 3 219.332 2.669 20 0 BFADHN CSCCCCN[C@H]1C[C@@H](C)n2ccnc21 ZINC000345363793 388513557 /nfs/dbraw/zinc/51/35/57/388513557.db2.gz WNBJMCJMVPUEGQ-MNOVXSKESA-N 0 3 239.388 2.622 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@H](C)CC[C@H]2C)o1 ZINC000398053013 388517247 /nfs/dbraw/zinc/51/72/47/388517247.db2.gz GMOKEYDGFAEWAZ-GIPNMCIBSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@H]1COCCN1CC1CC(C)(C)C1 ZINC000528380405 388517607 /nfs/dbraw/zinc/51/76/07/388517607.db2.gz FFMGNBMWMCMFFA-LBPRGKRZSA-N 0 3 211.349 2.533 20 0 BFADHN COC(C)(C)CN(C)Cc1ccc(C)nc1C ZINC000548157994 388518694 /nfs/dbraw/zinc/51/86/94/388518694.db2.gz FCGNXLIRFMJDLV-UHFFFAOYSA-N 0 3 236.359 2.555 20 0 BFADHN CCc1cc(CN[C@@H]2CCCC23CC3)on1 ZINC000517042171 256812631 /nfs/dbraw/zinc/81/26/31/256812631.db2.gz NADASYCBYKJJBV-GFCCVEGCSA-N 0 3 220.316 2.659 20 0 BFADHN C[C@@H]1CCN(Cc2cc(CO)ccc2F)[C@@H]1C ZINC000528396779 388519568 /nfs/dbraw/zinc/51/95/68/388519568.db2.gz PZGBDMXKUXOELZ-GHMZBOCLSA-N 0 3 237.318 2.548 20 0 BFADHN Cc1ncc([C@@H](C)NCC[C@@H](C)F)s1 ZINC000378855475 388521710 /nfs/dbraw/zinc/52/17/10/388521710.db2.gz JVTPGEGLAKYVMS-HTQZYQBOSA-N 0 3 216.325 2.850 20 0 BFADHN C/C(Cl)=C\CN1CCC[C@H]([C@H]2CCOC2)C1 ZINC000528420169 388521728 /nfs/dbraw/zinc/52/17/28/388521728.db2.gz IZGDIAPAUKSQME-BELPRIPISA-N 0 3 243.778 2.878 20 0 BFADHN C[C@@H](NCc1ccc(Cl)o1)[C@@]1(C)CCCO1 ZINC000378857590 388521739 /nfs/dbraw/zinc/52/17/39/388521739.db2.gz LRINVJJCCSDZCU-BXKDBHETSA-N 0 3 243.734 2.980 20 0 BFADHN C/C(Cl)=C\CN1CCC(c2c[nH]cn2)CC1 ZINC000528427172 388523211 /nfs/dbraw/zinc/52/32/11/388523211.db2.gz VJMADSBYRNPTPW-WTDSWWLTSA-N 0 3 239.750 2.732 20 0 BFADHN C/C(Cl)=C\CN1CC[C@H](C2CCOCC2)C1 ZINC000528427591 388523868 /nfs/dbraw/zinc/52/38/68/388523868.db2.gz PQSYETIGFBRLEL-QKXQWYOLSA-N 0 3 243.778 2.878 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H]1CCc2cc(F)ccc21 ZINC000577821110 388524477 /nfs/dbraw/zinc/52/44/77/388524477.db2.gz AXFBIHUQLMBUED-BFHYXJOUSA-N 0 3 235.302 2.580 20 0 BFADHN Cc1cnccc1CN1CCC2(CC2)CC1 ZINC000528435230 388525299 /nfs/dbraw/zinc/52/52/99/388525299.db2.gz YSXNCSHUAMKWNL-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN c1nc(CN[C@@H]2CCCC23CCCC3)co1 ZINC000378863042 388526874 /nfs/dbraw/zinc/52/68/74/388526874.db2.gz UTRIZEFFAWJPMT-GFCCVEGCSA-N 0 3 220.316 2.877 20 0 BFADHN Cc1cc(C(=O)CN2C[C@@H](C)CC2(C)C)c(C)[nH]1 ZINC000348176598 388527081 /nfs/dbraw/zinc/52/70/81/388527081.db2.gz BBFLLWRTQOESLK-JTQLQIEISA-N 0 3 248.370 2.935 20 0 BFADHN C/C(=C/c1ccccc1)CN1CC[C@H](O)[C@@H](C)C1 ZINC000548312987 388527911 /nfs/dbraw/zinc/52/79/11/388527911.db2.gz ATUQHAWXFCDUGX-JJPUIBJASA-N 0 3 245.366 2.793 20 0 BFADHN CC(C)(C)c1ncc2c(n1)CCN(CC1CC1)C2 ZINC000528590968 388550253 /nfs/dbraw/zinc/55/02/53/388550253.db2.gz CHEDRGMHDDCDBJ-UHFFFAOYSA-N 0 3 245.370 2.542 20 0 BFADHN CCc1cc(CN[C@H]2CCCC23CC3)on1 ZINC000517042172 388536181 /nfs/dbraw/zinc/53/61/81/388536181.db2.gz NADASYCBYKJJBV-LBPRGKRZSA-N 0 3 220.316 2.659 20 0 BFADHN CSc1ccc(CN[C@H]2CO[C@@H](C)C2)s1 ZINC000516290815 256773569 /nfs/dbraw/zinc/77/35/69/256773569.db2.gz XMZWMBCAIKHNSX-DTWKUNHWSA-N 0 3 243.397 2.737 20 0 BFADHN C[C@@H]1C[C@H](C)N1CCOCCOc1ccccc1 ZINC000548424809 388536563 /nfs/dbraw/zinc/53/65/63/388536563.db2.gz WYWFSEKPZVJARL-OKILXGFUSA-N 0 3 249.354 2.565 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1nccs1 ZINC000185632714 388589076 /nfs/dbraw/zinc/58/90/76/388589076.db2.gz YWHOAULQLPOZEQ-ZJUUUORDSA-N 0 3 210.346 2.764 20 0 BFADHN CCn1nccc1CN1CCC[C@@H](C)[C@H]1C ZINC000245561750 388589355 /nfs/dbraw/zinc/58/93/55/388589355.db2.gz OITBVZNZKDSDLO-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN CCc1cc(N(CC)C[C@H]2CCOC2)ccn1 ZINC000649306936 388591891 /nfs/dbraw/zinc/59/18/91/388591891.db2.gz CFENBXYWCCKLPT-GFCCVEGCSA-N 0 3 234.343 2.507 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1ccoc1 ZINC000245615480 388594086 /nfs/dbraw/zinc/59/40/86/388594086.db2.gz VAVHKALLMYWHPA-LSCVPOLPSA-N 0 3 223.316 2.886 20 0 BFADHN Cc1cc(F)ccc1CN1C[C@@H](C)[C@H]1C ZINC000549924127 388595586 /nfs/dbraw/zinc/59/55/86/388595586.db2.gz SVVWXOPFLVGVCH-GHMZBOCLSA-N 0 3 207.292 2.974 20 0 BFADHN CCn1nccc1CN1C[C@@H](C)CC[C@H]1C ZINC000245730712 388601361 /nfs/dbraw/zinc/60/13/61/388601361.db2.gz JRVPJNYXQRKVLD-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN CCCCNC(=O)[C@H](C)N(CC)C[C@H](C)CC ZINC000245727665 388601467 /nfs/dbraw/zinc/60/14/67/388601467.db2.gz BTBMLECFSSNPBQ-OLZOCXBDSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1ncccc1CN1CCS[C@@H](C)[C@H]1C ZINC000245741769 388602242 /nfs/dbraw/zinc/60/22/42/388602242.db2.gz MAMHCBUDNWVBMI-NEPJUHHUSA-N 0 3 236.384 2.716 20 0 BFADHN CC[C@@H](C)CN1CCN(CC(F)F)[C@@H](CC)C1 ZINC000245765510 388603694 /nfs/dbraw/zinc/60/36/94/388603694.db2.gz QZGXYPFINPAUGF-NEPJUHHUSA-N 0 3 248.361 2.694 20 0 BFADHN CCn1c(CNCC[C@H](C)F)nc2ccccc21 ZINC000550271686 388604885 /nfs/dbraw/zinc/60/48/85/388604885.db2.gz UDLXBJDCXBWNBL-NSHDSACASA-N 0 3 249.333 2.894 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CCCOC1)c1ccccn1 ZINC000356785534 388551490 /nfs/dbraw/zinc/55/14/90/388551490.db2.gz SKGITNZBELSQRZ-RWMBFGLXSA-N 0 3 234.343 2.547 20 0 BFADHN CCCC[C@H](C)N1CCC[C@@H]1C(=O)OCC ZINC000136894104 388551631 /nfs/dbraw/zinc/55/16/31/388551631.db2.gz QTVVCTDKFCYNIZ-NWDGAFQWSA-N 0 3 227.348 2.593 20 0 BFADHN COc1ccc(CNCCC(C)(F)F)cc1F ZINC000357715619 388553563 /nfs/dbraw/zinc/55/35/63/388553563.db2.gz YEZBLLMIMRSCKL-UHFFFAOYSA-N 0 3 247.260 2.969 20 0 BFADHN CC(C)(O)CCN[C@@H](c1ccccn1)C1CCC1 ZINC000528656565 388555370 /nfs/dbraw/zinc/55/53/70/388555370.db2.gz HRPSVXHDKKZWIH-CQSZACIVSA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1CC(=O)c1cc(C)[nH]c1C ZINC000360459816 388558357 /nfs/dbraw/zinc/55/83/57/388558357.db2.gz MMOPNHJVRLAFGS-HZMBPMFUSA-N 0 3 248.370 2.935 20 0 BFADHN Cc1csc(C(C)(C)NCCCF)n1 ZINC000137496454 388561707 /nfs/dbraw/zinc/56/17/07/388561707.db2.gz DPIFAKJVKXAPJH-UHFFFAOYSA-N 0 3 216.325 2.636 20 0 BFADHN CC(C)c1ccc(CCN2CCN(C)CC2)cc1 ZINC000137536234 388562868 /nfs/dbraw/zinc/56/28/68/388562868.db2.gz VRIYFAGTTVNNFD-UHFFFAOYSA-N 0 3 246.398 2.600 20 0 BFADHN CCc1ccc(CNC[C@@H](OC)[C@H](C)CC)o1 ZINC000362977102 388562906 /nfs/dbraw/zinc/56/29/06/388562906.db2.gz ORUVRAWMCWUGKI-BXUZGUMPSA-N 0 3 239.359 2.993 20 0 BFADHN Cc1csc(CNC[C@H]2CCCSC2)n1 ZINC000288430574 388564800 /nfs/dbraw/zinc/56/48/00/388564800.db2.gz KUCZUHQISSEXKY-SNVBAGLBSA-N 0 3 242.413 2.684 20 0 BFADHN CC[C@@H](C)N1CCc2nc(C3CC3)ncc2C1 ZINC000528740225 388565038 /nfs/dbraw/zinc/56/50/38/388565038.db2.gz QBFCPOHEPBUQHL-SNVBAGLBSA-N 0 3 231.343 2.511 20 0 BFADHN CC(C)COCCN1CCC(F)(F)C[C@H]1C ZINC000528766193 388569189 /nfs/dbraw/zinc/56/91/89/388569189.db2.gz DLMZZUZBLNRWLY-LLVKDONJSA-N 0 3 235.318 2.779 20 0 BFADHN CC(C)OCCN1CCC(F)(F)C[C@@H]1C ZINC000528763225 388569299 /nfs/dbraw/zinc/56/92/99/388569299.db2.gz ARSCGZLXUICJCI-JTQLQIEISA-N 0 3 221.291 2.531 20 0 BFADHN C[C@H]1CC(F)(F)CCN1C[C@@H]1CCCCO1 ZINC000528764322 388569338 /nfs/dbraw/zinc/56/93/38/388569338.db2.gz RYGALQWFSZMPJO-QWRGUYRKSA-N 0 3 233.302 2.675 20 0 BFADHN Cc1nnc(CN2CC[C@H](C)[C@@H]3CCCC[C@@H]32)o1 ZINC000548938467 388569499 /nfs/dbraw/zinc/56/94/99/388569499.db2.gz XCHMOHAUCRZORA-DRZSPHRISA-N 0 3 249.358 2.779 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1CCc1ccncc1 ZINC000528767948 388570385 /nfs/dbraw/zinc/57/03/85/388570385.db2.gz MJDPQCAQKPRCNN-LLVKDONJSA-N 0 3 240.297 2.744 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1CCc1cccnc1 ZINC000528771874 388571101 /nfs/dbraw/zinc/57/11/01/388571101.db2.gz VBCCCCOIIILEBH-LLVKDONJSA-N 0 3 240.297 2.744 20 0 BFADHN C[C@@H](CN(C)CC1OCCO1)C1CCCCC1 ZINC000528772877 388571150 /nfs/dbraw/zinc/57/11/50/388571150.db2.gz KAWSUGVTNFZDLC-LBPRGKRZSA-N 0 3 241.375 2.508 20 0 BFADHN C[C@@H](NC[C@@H]1CCC2(CCCC2)O1)c1cn[nH]c1 ZINC000549134187 388573273 /nfs/dbraw/zinc/57/32/73/388573273.db2.gz UMPLKARTLNOLKJ-YPMHNXCESA-N 0 3 249.358 2.552 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C)c1ncccc1F ZINC000528829988 388577525 /nfs/dbraw/zinc/57/75/25/388577525.db2.gz ZGKBGRSRMBQQGD-UTLUCORTSA-N 0 3 208.280 2.527 20 0 BFADHN C[C@H]1CCCC[C@H]1CNCc1ccc(CO)o1 ZINC000081555789 388582219 /nfs/dbraw/zinc/58/22/19/388582219.db2.gz MQHRBHYHAPBFHV-RYUDHWBXSA-N 0 3 237.343 2.688 20 0 BFADHN CCCCCNC(=O)[C@H](C)N(C)C[C@H](C)CC ZINC000247585506 388667442 /nfs/dbraw/zinc/66/74/42/388667442.db2.gz MEYWVXVGBOBDDT-OLZOCXBDSA-N 0 3 242.407 2.659 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H]1CCc2ccc(F)cc21 ZINC000191715630 388667952 /nfs/dbraw/zinc/66/79/52/388667952.db2.gz UKXRKXNAEKKIPK-BHDSKKPTSA-N 0 3 237.318 2.826 20 0 BFADHN COC(=O)c1ccc(CN(C)CC=C(C)C)cc1 ZINC000125164624 388668957 /nfs/dbraw/zinc/66/89/57/388668957.db2.gz YCBXLRLTIMUYQL-UHFFFAOYSA-N 0 3 247.338 2.871 20 0 BFADHN C[C@H]1CN(C[C@H]2CCCC[C@@H]2C)CCO1 ZINC000552119968 388670533 /nfs/dbraw/zinc/67/05/33/388670533.db2.gz KTYUSGSMKCYBHX-RWMBFGLXSA-N 0 3 211.349 2.533 20 0 BFADHN COc1cc(CN2C[C@@H](C)[C@H]2C)ccc1C ZINC000552123390 388670848 /nfs/dbraw/zinc/67/08/48/388670848.db2.gz VPWRKALOKQJUCU-VXGBXAGGSA-N 0 3 219.328 2.844 20 0 BFADHN COc1cc(CN2C[C@H](C)[C@@H]2C)ccc1C ZINC000552123389 388671127 /nfs/dbraw/zinc/67/11/27/388671127.db2.gz VPWRKALOKQJUCU-RYUDHWBXSA-N 0 3 219.328 2.844 20 0 BFADHN C[C@H](c1ccccc1F)N1CCC[C@@H](CO)C1 ZINC000248326045 388675602 /nfs/dbraw/zinc/67/56/02/388675602.db2.gz BQMMLSHEGURIBD-VXGBXAGGSA-N 0 3 237.318 2.591 20 0 BFADHN Cc1ccc(C)c(CN2CCN(C)C(C)(C)C2)c1 ZINC000551044991 388619990 /nfs/dbraw/zinc/61/99/90/388619990.db2.gz BXKJFEMOKIZNJH-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1cccc(CN2CCN(C)C(C)(C)C2)c1 ZINC000551042247 388620800 /nfs/dbraw/zinc/62/08/00/388620800.db2.gz LCOBFNNOFXNVRP-UHFFFAOYSA-N 0 3 232.371 2.521 20 0 BFADHN CCc1cccc(CN2CCC[C@@H](OC)C2)c1 ZINC000189089236 388621302 /nfs/dbraw/zinc/62/13/02/388621302.db2.gz VXUPQJFWDVNVTM-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN COC[C@H](C)N[C@H]1CCc2c1cc(F)cc2F ZINC000154506342 388625692 /nfs/dbraw/zinc/62/56/92/388625692.db2.gz CAKISAOKIPKLLZ-SDBXPKJASA-N 0 3 241.281 2.577 20 0 BFADHN Cc1ccoc1CNC[C@@H]1COc2ccccc21 ZINC000288474353 388627004 /nfs/dbraw/zinc/62/70/04/388627004.db2.gz GBASLQXOUZDNFW-GFCCVEGCSA-N 0 3 243.306 2.854 20 0 BFADHN Cc1ccccc1CN1CCN2CCCC[C@H]2C1 ZINC000246249995 388627018 /nfs/dbraw/zinc/62/70/18/388627018.db2.gz WLJNGCJFMNJVAR-INIZCTEOSA-N 0 3 244.382 2.665 20 0 BFADHN c1coc(CNC[C@@H]2CCCSC2)c1 ZINC000288476982 388628475 /nfs/dbraw/zinc/62/84/75/388628475.db2.gz PKAGETQFDGKYPQ-JTQLQIEISA-N 0 3 211.330 2.512 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1Cc1cccc(N)c1 ZINC000530231525 388636826 /nfs/dbraw/zinc/63/68/26/388636826.db2.gz JAQMCIIITSSNOE-SNVBAGLBSA-N 0 3 240.297 2.888 20 0 BFADHN FC(F)(F)CCN1CC[C@H](c2cccnc2)C1 ZINC000521869481 388636933 /nfs/dbraw/zinc/63/69/33/388636933.db2.gz CGSLNFUURZGWGL-NSHDSACASA-N 0 3 244.260 2.823 20 0 BFADHN Cc1cscc1CN[C@H]1CCOC1(C)C ZINC000328402615 388642434 /nfs/dbraw/zinc/64/24/34/388642434.db2.gz DZBRZIVTXFWTLL-NSHDSACASA-N 0 3 225.357 2.714 20 0 BFADHN C[C@@H]1CN(Cc2coc3ccccc23)CC[C@@H]1O ZINC000190045875 388646262 /nfs/dbraw/zinc/64/62/62/388646262.db2.gz TWVBQPMPYVTIMJ-RISCZKNCSA-N 0 3 245.322 2.636 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(C(F)F)cc1 ZINC000530280938 388647460 /nfs/dbraw/zinc/64/74/60/388647460.db2.gz LFFZLVXOSFFBOM-RYUDHWBXSA-N 0 3 241.281 2.891 20 0 BFADHN CC[C@@H](C)NCc1nc2ccccc2n1CC ZINC000037995388 388650530 /nfs/dbraw/zinc/65/05/30/388650530.db2.gz WXOBKVVQNYYEJR-LLVKDONJSA-N 0 3 231.343 2.944 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCCC2)o1 ZINC000123933842 388659273 /nfs/dbraw/zinc/65/92/73/388659273.db2.gz UPIPAJBMAMETNU-PWSUYJOCSA-N 0 3 205.301 2.999 20 0 BFADHN CCc1ccc(CN(CC)CCOC)s1 ZINC000552019792 388659988 /nfs/dbraw/zinc/65/99/88/388659988.db2.gz MEEDRSZTOZCTKF-UHFFFAOYSA-N 0 3 227.373 2.779 20 0 BFADHN CCc1nnc(CN2[C@H](C)C[C@@H]3CCCC[C@@H]32)o1 ZINC000247338099 388662601 /nfs/dbraw/zinc/66/26/01/388662601.db2.gz FXNXRIGSSWXTMB-WOPDTQHZSA-N 0 3 249.358 2.785 20 0 BFADHN CCN(CC(=O)N[C@H](C)C(C)C)[C@@H](C)C(C)C ZINC000067661059 388737868 /nfs/dbraw/zinc/73/78/68/388737868.db2.gz WZVBYPWJEAIIHY-OLZOCXBDSA-N 0 3 242.407 2.514 20 0 BFADHN C[C@H]1Oc2ccccc2[C@@H]1NCC1CC1 ZINC000288492699 388737969 /nfs/dbraw/zinc/73/79/69/388737969.db2.gz HKJWQDVYKCZCKR-NOZJJQNGSA-N 0 3 203.285 2.508 20 0 BFADHN CC(C)[C@H](NCc1nccs1)C1CC1 ZINC000128227445 388741373 /nfs/dbraw/zinc/74/13/73/388741373.db2.gz FRPDGKMZKKSGKO-NSHDSACASA-N 0 3 210.346 2.667 20 0 BFADHN CCC[C@H]1CCCCN1CC(=O)N[C@H](C)CC ZINC000248618536 388687526 /nfs/dbraw/zinc/68/75/26/388687526.db2.gz SKBDJQVITVGFKV-OLZOCXBDSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@@H](C[S@](C)=O)N(C)C[C@H]1CCC[C@@H](C)C1 ZINC000126168560 388690293 /nfs/dbraw/zinc/69/02/93/388690293.db2.gz LZTJUXKZPKDNDE-LMOYCYGVSA-N 0 3 245.432 2.512 20 0 BFADHN CC[C@H](C)CN1CCO[C@H](Cc2ccccc2)C1 ZINC000538476727 388691957 /nfs/dbraw/zinc/69/19/57/388691957.db2.gz KYUXPTVUGZIYLJ-GOEBONIOSA-N 0 3 247.382 2.976 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@H]1CCC[C@@H](C)C1 ZINC000248739466 388692215 /nfs/dbraw/zinc/69/22/15/388692215.db2.gz QXXFKKIUCFPCPU-FRRDWIJNSA-N 0 3 239.359 2.593 20 0 BFADHN CCOCCN1CCC(OCCC(C)C)CC1 ZINC000193112257 388693027 /nfs/dbraw/zinc/69/30/27/388693027.db2.gz WTANEYVXFKAUOE-UHFFFAOYSA-N 0 3 243.391 2.550 20 0 BFADHN COc1ncccc1CN1CCC[C@@H](C)[C@@H]1C ZINC000248821824 388694325 /nfs/dbraw/zinc/69/43/25/388694325.db2.gz XIDWLHSUIWYAHY-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ncccc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC000193373553 388702539 /nfs/dbraw/zinc/70/25/39/388702539.db2.gz UOFUTNLIVFNZBC-STQMWFEESA-N 0 3 246.354 2.712 20 0 BFADHN COc1ncccc1CN1C[C@H](C)CC[C@@H]1C ZINC000193365886 388702586 /nfs/dbraw/zinc/70/25/86/388702586.db2.gz KRFMHLRHJZYDTD-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN COC[C@H](NCc1nc(C)c(C)s1)C(C)C ZINC000087376208 388704437 /nfs/dbraw/zinc/70/44/37/388704437.db2.gz SNQAKALSNXLXRC-NSHDSACASA-N 0 3 242.388 2.521 20 0 BFADHN Cc1ncc(CN(C)C2CCCC2)s1 ZINC000193479637 388706254 /nfs/dbraw/zinc/70/62/54/388706254.db2.gz FBVCMBCQXNIPCH-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN CC1(CN2CCOC[C@@H]2CC2CCC2)CC1 ZINC000552424053 388707023 /nfs/dbraw/zinc/70/70/23/388707023.db2.gz FSSOJTSGJXVHOV-ZDUSSCGKSA-N 0 3 223.360 2.678 20 0 BFADHN CC[C@H](N[C@H](c1cccc(C)c1)C(C)C)C(N)=O ZINC000528769822 388707779 /nfs/dbraw/zinc/70/77/79/388707779.db2.gz VVVBTPMLUZTQAQ-KBPBESRZSA-N 0 3 248.370 2.546 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@@H]1CCC[C@H]1O ZINC000249118418 388709847 /nfs/dbraw/zinc/70/98/47/388709847.db2.gz URPBMBAOSCNPFY-IACUBPJLSA-N 0 3 249.354 2.568 20 0 BFADHN COc1ccc(CN2C[C@@H](C)C[C@@H]2C)c(OC)c1 ZINC000538720241 388710701 /nfs/dbraw/zinc/71/07/01/388710701.db2.gz ORFSFKOANPBKDZ-RYUDHWBXSA-N 0 3 249.354 2.934 20 0 BFADHN C[C@@H]1CN(Cc2cocn2)[C@@H]2CCCC[C@H]21 ZINC000249313993 388716608 /nfs/dbraw/zinc/71/66/08/388716608.db2.gz OZMCIYNWDOWFQJ-KGYLQXTDSA-N 0 3 220.316 2.685 20 0 BFADHN CC(C)CN[C@H](C)c1nc2c(s1)CCC2 ZINC000127392751 388722377 /nfs/dbraw/zinc/72/23/77/388722377.db2.gz GWNISVWTKVATBK-SECBINFHSA-N 0 3 224.373 2.938 20 0 BFADHN CC[C@@H](N[C@@H]1C=C[C@H](CO)C1)c1cccs1 ZINC000127387289 388722959 /nfs/dbraw/zinc/72/29/59/388722959.db2.gz BQNLZBNULQNGKR-QJPTWQEYSA-N 0 3 237.368 2.726 20 0 BFADHN CCN(CC(=O)Nc1ccc(C)cc1C)C(C)C ZINC000194096039 388723175 /nfs/dbraw/zinc/72/31/75/388723175.db2.gz NUBNCYJMTJOAEY-UHFFFAOYSA-N 0 3 248.370 2.972 20 0 BFADHN CCCN(C)Cc1c[nH]nc1-c1ccc(C)o1 ZINC000127526402 388725009 /nfs/dbraw/zinc/72/50/09/388725009.db2.gz GGRUDBLMRWDELQ-UHFFFAOYSA-N 0 3 233.315 2.820 20 0 BFADHN c1cc(CNCCCC2CCCCC2)n[nH]1 ZINC000066972688 388726596 /nfs/dbraw/zinc/72/65/96/388726596.db2.gz JBOHSKRDYGRKSA-UHFFFAOYSA-N 0 3 221.348 2.860 20 0 BFADHN CCN(CC(=O)N1[C@H](C)CCC[C@H]1C)C(C)C ZINC000249721347 388731645 /nfs/dbraw/zinc/73/16/45/388731645.db2.gz MOBOGSAUKBGGON-CHWSQXEVSA-N 0 3 240.391 2.506 20 0 BFADHN Cc1cccc(CN2CCN(C3CC3)CC2)c1C ZINC000127909601 388733277 /nfs/dbraw/zinc/73/32/77/388733277.db2.gz CJXSTAYDUVUYSI-UHFFFAOYSA-N 0 3 244.382 2.583 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H]2CC[C@H]2C2CC2)[n-]1 ZINC000554384539 388813642 /nfs/dbraw/zinc/81/36/42/388813642.db2.gz HENLTWYNSRFKDM-ZMLRMANQSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@@H]2CC[C@H]2C2CC2)[nH]1 ZINC000554384539 388813645 /nfs/dbraw/zinc/81/36/45/388813645.db2.gz HENLTWYNSRFKDM-ZMLRMANQSA-N 0 3 248.374 2.767 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H]1O)c1ccc(F)cc1F ZINC000193204902 388803492 /nfs/dbraw/zinc/80/34/92/388803492.db2.gz OXICZEMBWMJPQE-JCOFBHIZSA-N 0 3 241.281 2.529 20 0 BFADHN Cc1ccc(CN[C@H](C)c2cn[nH]c2)s1 ZINC000229881819 388803935 /nfs/dbraw/zinc/80/39/35/388803935.db2.gz ZCEPWSACSUILFG-SECBINFHSA-N 0 3 221.329 2.630 20 0 BFADHN CCC[C@H](C)CN(C)Cc1cnn(CC)c1 ZINC000540480404 388808021 /nfs/dbraw/zinc/80/80/21/388808021.db2.gz NGIMQKWQHDXNCR-LBPRGKRZSA-N 0 3 223.364 2.771 20 0 BFADHN CN(Cc1ccc(Cl)cn1)CC1CCC1 ZINC000554312299 388809468 /nfs/dbraw/zinc/80/94/68/388809468.db2.gz MYSUWJSXCMJORF-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN CC(C)[C@@H](O)C1(CN[C@@H](C)c2ccoc2)CC1 ZINC000540605497 388811685 /nfs/dbraw/zinc/81/16/85/388811685.db2.gz CQNGAHWTMWOLFO-WCQYABFASA-N 0 3 237.343 2.727 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1nccs1 ZINC000252164507 388761830 /nfs/dbraw/zinc/76/18/30/388761830.db2.gz QGUFOJBKFSYNFT-VLEAKVRGSA-N 0 3 240.372 2.750 20 0 BFADHN CSC1(CNCc2ccoc2)CCC1 ZINC000227945569 388763315 /nfs/dbraw/zinc/76/33/15/388763315.db2.gz NWBRJMWPAFOICS-UHFFFAOYSA-N 0 3 211.330 2.655 20 0 BFADHN CC(C)CCN1CCO[C@H](Cc2ccccc2)C1 ZINC000539572023 388766067 /nfs/dbraw/zinc/76/60/67/388766067.db2.gz KUJZAXILSGRQHW-MRXNPFEDSA-N 0 3 247.382 2.976 20 0 BFADHN CCCN(C)[C@@H](C)c1cc(C)c(C(=O)OC)o1 ZINC000091551466 388766382 /nfs/dbraw/zinc/76/63/82/388766382.db2.gz NAVNXHCRECSPBL-JTQLQIEISA-N 0 3 239.315 2.777 20 0 BFADHN CCCN(C)[C@H](C)c1cc(C)c(C(=O)OC)o1 ZINC000091551467 388767296 /nfs/dbraw/zinc/76/72/96/388767296.db2.gz NAVNXHCRECSPBL-SNVBAGLBSA-N 0 3 239.315 2.777 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(O)cc2)CC1(C)C ZINC000648742473 388778848 /nfs/dbraw/zinc/77/88/48/388778848.db2.gz HJJJQEPORKCIEB-CQSZACIVSA-N 0 3 249.354 2.639 20 0 BFADHN Cc1ccc2ncc(CN3C[C@@H](C)C[C@@H]3C)n2c1 ZINC000539817303 388779308 /nfs/dbraw/zinc/77/93/08/388779308.db2.gz VPULJZVCQXRXBA-STQMWFEESA-N 0 3 243.354 2.873 20 0 BFADHN CCC[C@H](N[C@@H]1C[C@@H](O)C1(C)C)c1cccnc1 ZINC000250132058 388784007 /nfs/dbraw/zinc/78/40/07/388784007.db2.gz XGAZMWSMHPJEOC-BFHYXJOUSA-N 0 3 248.370 2.672 20 0 BFADHN C[C@@H](CF)N[C@@H](C)Cc1ccccc1F ZINC000290239693 388788260 /nfs/dbraw/zinc/78/82/60/388788260.db2.gz UPIHDSWZOMOPLQ-UWVGGRQHSA-N 0 3 213.271 2.704 20 0 BFADHN CCSCC[C@@H](C)N(C)Cc1cnoc1C ZINC000129107304 388818566 /nfs/dbraw/zinc/81/85/66/388818566.db2.gz UDPOXEINZGIOAZ-SNVBAGLBSA-N 0 3 242.388 2.947 20 0 BFADHN Cc1cc([C@@H](C)NCC2CC(C)(C)C2)nn1C ZINC000554557480 388821481 /nfs/dbraw/zinc/82/14/81/388821481.db2.gz YQCXFPQWUJFEKQ-LLVKDONJSA-N 0 3 235.375 2.815 20 0 BFADHN Cc1n[nH]cc1CN1CCC2(CCCC2)CC1 ZINC000093085446 388825412 /nfs/dbraw/zinc/82/54/12/388825412.db2.gz CDJGTGPFENKWBQ-UHFFFAOYSA-N 0 3 233.359 2.874 20 0 BFADHN CC(C)([NH2+]Cc1ncccc1[O-])c1ccccc1 ZINC000554855735 388832680 /nfs/dbraw/zinc/83/26/80/388832680.db2.gz XQMCPVRFMMWNMO-UHFFFAOYSA-N 0 3 242.322 2.812 20 0 BFADHN C[C@@H](Cc1ccccc1)CN1CCOCC1(C)C ZINC000554837944 388833691 /nfs/dbraw/zinc/83/36/91/388833691.db2.gz VHFZBRBPRWATJO-AWEZNQCLSA-N 0 3 247.382 2.976 20 0 BFADHN CCn1cc(CN2C[C@@H](C)CC[C@@H]2C)cn1 ZINC000093498258 388840274 /nfs/dbraw/zinc/84/02/74/388840274.db2.gz FMCAORVKCLZADK-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@H]1CN(C2CCCC2)CCN1CC(F)F ZINC000093767300 388851666 /nfs/dbraw/zinc/85/16/66/388851666.db2.gz FLICDRWQXYCLNI-NSHDSACASA-N 0 3 246.345 2.590 20 0 BFADHN Cc1ncsc1CNC1CC(C(C)C)C1 ZINC000232538390 388852603 /nfs/dbraw/zinc/85/26/03/388852603.db2.gz LMAFXBZOJMTMFI-UHFFFAOYSA-N 0 3 224.373 2.976 20 0 BFADHN CC(C)C1CC(NCc2cccc3c2OCO3)C1 ZINC000232551267 388852795 /nfs/dbraw/zinc/85/27/95/388852795.db2.gz PZKYZFILOSFBKX-UHFFFAOYSA-N 0 3 247.338 2.940 20 0 BFADHN CC(C)C1CC(N[C@@H](CO)c2ccccc2)C1 ZINC000232538878 388852978 /nfs/dbraw/zinc/85/29/78/388852978.db2.gz JDJHMMRSXHYPGV-NRXISQOPSA-N 0 3 233.355 2.744 20 0 BFADHN COc1ccc(CN(C)CC2(C)CC2)cc1O ZINC000555597835 388854803 /nfs/dbraw/zinc/85/48/03/388854803.db2.gz YRFIMCJGXUMGTB-UHFFFAOYSA-N 0 3 235.327 2.633 20 0 BFADHN COCCN(Cc1ccco1)CC1(C)CC1 ZINC000555597414 388855108 /nfs/dbraw/zinc/85/51/08/388855108.db2.gz PUFWFBRBEXPBAH-UHFFFAOYSA-N 0 3 223.316 2.528 20 0 BFADHN C[C@H](CN1CCO[C@@H](C)C1)C1CCCCC1 ZINC000555648470 388858285 /nfs/dbraw/zinc/85/82/85/388858285.db2.gz NHVJJQKYCOJXRU-OLZOCXBDSA-N 0 3 225.376 2.924 20 0 BFADHN CCCCN[C@H](c1ccccc1)c1cncnc1 ZINC000541749770 388867442 /nfs/dbraw/zinc/86/74/42/388867442.db2.gz SEVPBWBXUGDIKS-OAHLLOKOSA-N 0 3 241.338 2.956 20 0 BFADHN Cc1cnccc1CNCC1(C(F)F)CC1 ZINC000556145359 388867728 /nfs/dbraw/zinc/86/77/28/388867728.db2.gz AGVMEVCVTSRPJC-UHFFFAOYSA-N 0 3 226.270 2.525 20 0 BFADHN CCCCN(C)CCNC(=O)CC(CC)CC ZINC000129724704 388868696 /nfs/dbraw/zinc/86/86/96/388868696.db2.gz DIXBIXGEYIMSNV-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN Fc1cc(CNC2CCCCC2)c(F)cn1 ZINC000290206666 388869106 /nfs/dbraw/zinc/86/91/06/388869106.db2.gz YBSZVTBCXILQEJ-UHFFFAOYSA-N 0 3 226.270 2.782 20 0 BFADHN CN(C)CCN(C)Cc1ccc(C(F)F)cc1 ZINC000564611576 388880278 /nfs/dbraw/zinc/88/02/78/388880278.db2.gz HUPTWMKTDHKTDS-UHFFFAOYSA-N 0 3 242.313 2.618 20 0 BFADHN Cc1c2ccccc2oc1CN[C@H](C)[C@H](C)O ZINC000564857711 388895415 /nfs/dbraw/zinc/89/54/15/388895415.db2.gz XEOLCHOBUSYBOU-MNOVXSKESA-N 0 3 233.311 2.600 20 0 BFADHN C[C@H]1CN(CC2(C)CCC2)CC2(CCC2)O1 ZINC000564930167 388898968 /nfs/dbraw/zinc/89/89/68/388898968.db2.gz STDWYDWTGLDSNK-LBPRGKRZSA-N 0 3 223.360 2.820 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CC[C@H](C)[C@@H](C)C1 ZINC000336778536 177677163 /nfs/dbraw/zinc/67/71/63/177677163.db2.gz LOIXZQZRGQGMBW-JBLDHEPKSA-N 0 3 221.348 2.632 20 0 BFADHN C[C@@H](c1ccc(C(C)(C)C)cc1)N(C)CCO ZINC000153140996 388943777 /nfs/dbraw/zinc/94/37/77/388943777.db2.gz FCNRSVZDZDPNRK-LBPRGKRZSA-N 0 3 235.371 2.969 20 0 BFADHN CCc1cccc(CNCc2ccn(C)c2)c1 ZINC000565425822 388944524 /nfs/dbraw/zinc/94/45/24/388944524.db2.gz FQTXFOKKNWLTHJ-UHFFFAOYSA-N 0 3 228.339 2.877 20 0 BFADHN CN(C)CCOc1cccc(NCC2(C)CC2)c1 ZINC000565457208 388945591 /nfs/dbraw/zinc/94/55/91/388945591.db2.gz UWKXNMITPGGIOF-UHFFFAOYSA-N 0 3 248.370 2.839 20 0 BFADHN CC1(C)CC[C@H]1[NH2+]Cc1cc([O-])cc(F)c1 ZINC000290190686 388950385 /nfs/dbraw/zinc/95/03/85/388950385.db2.gz SVKONHWTCCFAOJ-GFCCVEGCSA-N 0 3 223.291 2.810 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(OC)cc1CC ZINC000565527563 388950765 /nfs/dbraw/zinc/95/07/65/388950765.db2.gz XBJAFHJZZOCBCX-HUUCEWRRSA-N 0 3 249.354 2.525 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(C2CC2)cc1 ZINC000565526186 388951083 /nfs/dbraw/zinc/95/10/83/388951083.db2.gz ULAGYHFOVFNRLZ-HUUCEWRRSA-N 0 3 231.339 2.831 20 0 BFADHN Cn1ccc(CN[C@H]2CCC[C@H]2C(C)(C)C)n1 ZINC000565938938 388979300 /nfs/dbraw/zinc/97/93/00/388979300.db2.gz YXDHYAGFNCJMSB-OLZOCXBDSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1ccoc1CNCCCc1nccs1 ZINC000266149611 388995341 /nfs/dbraw/zinc/99/53/41/388995341.db2.gz JXMXODONXXNHMH-UHFFFAOYSA-N 0 3 236.340 2.767 20 0 BFADHN CO[C@H](CNCc1cccc(F)c1F)C(C)C ZINC000266614073 388996565 /nfs/dbraw/zinc/99/65/65/388996565.db2.gz ISXKKGIOVVJNBS-GFCCVEGCSA-N 0 3 243.297 2.725 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccn1)[C@H]1CCCCO1 ZINC000271928548 389027684 /nfs/dbraw/zinc/02/76/84/389027684.db2.gz GKXVNFHCDWDDAE-OUCADQQQSA-N 0 3 234.343 2.690 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cncc(F)c1)C(C)C ZINC000268987900 389007016 /nfs/dbraw/zinc/00/70/16/389007016.db2.gz QQMNJERHVVEPLX-MFKMUULPSA-N 0 3 240.322 2.542 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1ccc(F)cn1 ZINC000269011262 389007152 /nfs/dbraw/zinc/00/71/52/389007152.db2.gz MWLTWVADCAWMKS-GWCFXTLKSA-N 0 3 222.307 2.889 20 0 BFADHN CO[C@H](C)c1nc(CN[C@@H](C)C(C)C)cs1 ZINC000269037443 389007159 /nfs/dbraw/zinc/00/71/59/389007159.db2.gz FTPFJAJRQFANAH-VHSXEESVSA-N 0 3 242.388 2.985 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCC1=CCCOC1)CC2 ZINC000269263562 389008574 /nfs/dbraw/zinc/00/85/74/389008574.db2.gz CPCUEUQPKIYSIN-MRXNPFEDSA-N 0 3 243.350 2.919 20 0 BFADHN CC[C@@H]1CN(Cc2ccccc2Cl)C[C@H]1O ZINC000270902378 389015253 /nfs/dbraw/zinc/01/52/53/389015253.db2.gz MRTOUXNHMNYZNN-ZWNOBZJWSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H](NC[C@H]1CCO[C@H](C)C1)c1cscn1 ZINC000271511112 389021169 /nfs/dbraw/zinc/02/11/69/389021169.db2.gz IANBZIOIFLNMNZ-VWYCJHECSA-N 0 3 240.372 2.609 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccc(F)cn1)C(C)C ZINC000271582893 389021175 /nfs/dbraw/zinc/02/11/75/389021175.db2.gz REPLVKKCSQFBRQ-MFKMUULPSA-N 0 3 240.322 2.542 20 0 BFADHN CC[C@@H](C)CNCc1csc([C@@H](C)OC)n1 ZINC000271589376 389024528 /nfs/dbraw/zinc/02/45/28/389024528.db2.gz WOLJOZWMZLCKPG-NXEZZACHSA-N 0 3 242.388 2.986 20 0 BFADHN Cc1ccoc1CN[C@H](C)[C@H]1CCCCO1 ZINC000271934976 389025349 /nfs/dbraw/zinc/02/53/49/389025349.db2.gz AAVSNQDUFCIROX-VXGBXAGGSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@H](O)CN[C@H](C)c1ccc(F)c(C)c1 ZINC000271788316 389025498 /nfs/dbraw/zinc/02/54/98/389025498.db2.gz MKQMLUJSQOVLCI-PWSUYJOCSA-N 0 3 225.307 2.556 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@H]2CCCCO2)o1 ZINC000271961101 389027406 /nfs/dbraw/zinc/02/74/06/389027406.db2.gz GEKHCFUWWZFQAW-DGCLKSJQSA-N 0 3 223.316 2.635 20 0 BFADHN CCSCCNCc1ccc(C)c(OC)c1 ZINC000273743066 389042387 /nfs/dbraw/zinc/04/23/87/389042387.db2.gz OFEMYZHMGCTDIQ-UHFFFAOYSA-N 0 3 239.384 2.846 20 0 BFADHN COc1ncccc1CN1CC[C@H](C(C)(C)C)C1 ZINC000488320812 533258769 /nfs/dbraw/zinc/25/87/69/533258769.db2.gz YONCANLKVSKHKV-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H](NC/C=C/c1ccncc1)c1ccccn1 ZINC000486950619 533326247 /nfs/dbraw/zinc/32/62/47/533326247.db2.gz MGGHXMNBBFVZHK-MUBLQREKSA-N 0 3 239.322 2.841 20 0 BFADHN C1=C/[C@H](N2CCN(CC3CC3)CC2)CCCCC/1 ZINC000335295456 533480728 /nfs/dbraw/zinc/48/07/28/533480728.db2.gz OGSORDQOAKIHIR-NBUZRDOWSA-N 0 3 248.414 2.903 20 0 BFADHN C1=C/[C@@H](N2CCN(C3CC3)CC2)CCCCC/1 ZINC000336023258 533480823 /nfs/dbraw/zinc/48/08/23/533480823.db2.gz JSMHBLKTJUYIHQ-YVARQFDVSA-N 0 3 234.387 2.655 20 0 BFADHN Cc1cc(CN2C[C@@H](O)C[C@H]2C)ccc1Cl ZINC000471802381 533632354 /nfs/dbraw/zinc/63/23/54/533632354.db2.gz BPBBUGKISPIGDB-PWSUYJOCSA-N 0 3 239.746 2.604 20 0 BFADHN COCCN1CCC(=Cc2cccc(F)c2)CC1 ZINC000533540061 322829543 /nfs/dbraw/zinc/82/95/43/322829543.db2.gz RIWCQDMBOHVRDJ-UHFFFAOYSA-N 0 3 249.329 2.951 20 0 BFADHN CCC(C)(C)CCN1CCOCC12CC2 ZINC000338600339 168275662 /nfs/dbraw/zinc/27/56/62/168275662.db2.gz VFZUGPCZGFNWST-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@H](CF)NC1CCN(c2ccccc2)CC1 ZINC000290095484 132216695 /nfs/dbraw/zinc/21/66/95/132216695.db2.gz HHMYOJZTWUIUEK-GFCCVEGCSA-N 0 3 236.334 2.603 20 0 BFADHN Cc1ccncc1N1CCN(CCC(C)C)CC1 ZINC000340602072 132222507 /nfs/dbraw/zinc/22/25/07/132222507.db2.gz ZSCDAFZXZUAFJM-UHFFFAOYSA-N 0 3 247.386 2.558 20 0 BFADHN Cc1ccc2nccc(N3CCO[C@@H](C)C3)c2c1 ZINC000302167514 132261204 /nfs/dbraw/zinc/26/12/04/132261204.db2.gz SCTWTKFJSGWJGJ-LBPRGKRZSA-N 0 3 242.322 2.768 20 0 BFADHN Cc1cc(N2CCO[C@@H](C)C2)c2ccccc2n1 ZINC000041873343 132350567 /nfs/dbraw/zinc/35/05/67/132350567.db2.gz FIJBROCUEBXAGH-LBPRGKRZSA-N 0 3 242.322 2.768 20 0 BFADHN CC(C)OCCCN[C@H](C)c1cncs1 ZINC000132100494 133662028 /nfs/dbraw/zinc/66/20/28/133662028.db2.gz SSKWHWRIQBRUDV-SNVBAGLBSA-N 0 3 228.361 2.609 20 0 BFADHN CN(CC[C@@H]1CCCO1)Cc1ccc(Cl)o1 ZINC000343475471 133686537 /nfs/dbraw/zinc/68/65/37/133686537.db2.gz TVHFZUCLFMFQNQ-JTQLQIEISA-N 0 3 243.734 2.934 20 0 BFADHN CCCC[C@H](C)[C@H](C)N[C@@H](C)c1nncn1C ZINC000343505472 133692151 /nfs/dbraw/zinc/69/21/51/133692151.db2.gz FBQZVFSNXMQFHV-SRVKXCTJSA-N 0 3 238.379 2.681 20 0 BFADHN CN(CC[C@@H]1CCCCO1)Cc1ccoc1 ZINC000347390080 133829331 /nfs/dbraw/zinc/82/93/31/133829331.db2.gz VHNWWQCMLQCHBC-ZDUSSCGKSA-N 0 3 223.316 2.671 20 0 BFADHN Cc1cc(CN2CC[C@H](C3CCCC3)C2)no1 ZINC000335494476 133866020 /nfs/dbraw/zinc/86/60/20/133866020.db2.gz JLBNBESIHVGNCV-ZDUSSCGKSA-N 0 3 234.343 2.995 20 0 BFADHN c1ccc([C@H]2C[C@@H]2CN2CC3(C2)CCOC3)cc1 ZINC000335778290 133901401 /nfs/dbraw/zinc/90/14/01/133901401.db2.gz VAWDOZPWDUOWQL-HUUCEWRRSA-N 0 3 243.350 2.512 20 0 BFADHN C[C@@H]1CCN(Cc2nc3cccnc3s2)[C@@H]1C ZINC000335934101 134011704 /nfs/dbraw/zinc/01/17/04/134011704.db2.gz DOGDXARKVMASML-NXEZZACHSA-N 0 3 247.367 2.922 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]3CCC[C@@H]32)cn1 ZINC000335938710 134013906 /nfs/dbraw/zinc/01/39/06/134013906.db2.gz NJAZUFFIOIOTRB-KBPBESRZSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)C[C@H]2C)cc1 ZINC000351943287 134015255 /nfs/dbraw/zinc/01/52/55/134015255.db2.gz FNZHSIUHQGMIAN-ZIAGYGMSSA-N 0 3 232.371 2.519 20 0 BFADHN CC(C)n1cc(CN2C[C@@H](C)C[C@@H]2C)cn1 ZINC000335944602 134019281 /nfs/dbraw/zinc/01/92/81/134019281.db2.gz XTTFLTKBKHOSNV-RYUDHWBXSA-N 0 3 221.348 2.694 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCCC(F)(F)C1 ZINC000335955429 134023014 /nfs/dbraw/zinc/02/30/14/134023014.db2.gz BUWBKNXKYWHWCD-SNVBAGLBSA-N 0 3 244.285 2.959 20 0 BFADHN C[C@H]1C[C@@H](C(C)(C)C)CCN1CC(N)=O ZINC000335958641 134024285 /nfs/dbraw/zinc/02/42/85/134024285.db2.gz SCXVYKVPWNDHRN-UWVGGRQHSA-N 0 3 212.337 2.668 20 0 BFADHN CCCN1CCc2c(ncn2-c2ccccc2)C1 ZINC000335965322 134028302 /nfs/dbraw/zinc/02/83/02/134028302.db2.gz CIYFXAJRHONCEG-UHFFFAOYSA-N 0 3 241.338 2.640 20 0 BFADHN Cc1nocc1CN1CC(C)(C)[C@H]2CCC[C@@H]21 ZINC000335970487 134029562 /nfs/dbraw/zinc/02/95/62/134029562.db2.gz QTCAYQURIZBVHJ-STQMWFEESA-N 0 3 234.343 2.994 20 0 BFADHN Cc1cnc(CN2CC[C@@]3(CC[C@H](C)C3)C2)cn1 ZINC000335981051 134037898 /nfs/dbraw/zinc/03/78/98/134037898.db2.gz HGESJBFSUPBKGP-SWLSCSKDSA-N 0 3 245.370 2.797 20 0 BFADHN C[C@H]1CCN(Cc2coc3ccccc23)C[C@H]1O ZINC000335996608 134042261 /nfs/dbraw/zinc/04/22/61/134042261.db2.gz KYBXMKFENXTFCF-SMDDNHRTSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@@H]1CN(CCCCF)CCC1(F)F ZINC000352027060 134043690 /nfs/dbraw/zinc/04/36/90/134043690.db2.gz JKYQEGBNPQXBJP-SECBINFHSA-N 0 3 209.255 2.713 20 0 BFADHN C[C@H](c1cnccn1)N1C[C@@H](C)CC(C)(C)C1 ZINC000336040305 134061836 /nfs/dbraw/zinc/06/18/36/134061836.db2.gz ZXYPLNUDVCUHMD-NWDGAFQWSA-N 0 3 233.359 2.906 20 0 BFADHN C[C@@H](c1cnccn1)N1C[C@H](C)CC(C)(C)C1 ZINC000336040304 134062041 /nfs/dbraw/zinc/06/20/41/134062041.db2.gz ZXYPLNUDVCUHMD-NEPJUHHUSA-N 0 3 233.359 2.906 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1cc(-n2ccnc2)cs1 ZINC000336071136 134071750 /nfs/dbraw/zinc/07/17/50/134071750.db2.gz BBHZBFYMTYFCFZ-QWRGUYRKSA-N 0 3 247.367 2.917 20 0 BFADHN Cc1c(CN(C)[C@H]2CCC(C)(C)C2)cnn1C ZINC000336074498 134072809 /nfs/dbraw/zinc/07/28/09/134072809.db2.gz IQZDETAVGGBCPZ-ZDUSSCGKSA-N 0 3 235.375 2.739 20 0 BFADHN CO[C@H](C)CN1Cc2ccccc2C2(CC2)C1 ZINC000336083700 134075979 /nfs/dbraw/zinc/07/59/79/134075979.db2.gz MQKMSMKINNBWDL-GFCCVEGCSA-N 0 3 231.339 2.569 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CC[C@](O)(C2CC2)C1 ZINC000336096788 134080360 /nfs/dbraw/zinc/08/03/60/134080360.db2.gz QMCHRUBQCBUXJU-XHDPSFHLSA-N 0 3 249.329 2.734 20 0 BFADHN C[C@@H]1CN(C/C=C/c2ccncc2)C[C@@H](C)S1 ZINC000336107172 134082705 /nfs/dbraw/zinc/08/27/05/134082705.db2.gz IUVBLZUICCBEKH-CDJBXZAQSA-N 0 3 248.395 2.921 20 0 BFADHN CN(Cc1cncs1)C1(C)CCCC1 ZINC000336105543 134084844 /nfs/dbraw/zinc/08/48/44/134084844.db2.gz IGLOJFQUCCHHSL-UHFFFAOYSA-N 0 3 210.346 2.908 20 0 BFADHN Cc1nocc1CN1CC[C@H](C)C2(CCC2)C1 ZINC000336126008 134093113 /nfs/dbraw/zinc/09/31/13/134093113.db2.gz XKNUVARZPPWLAR-NSHDSACASA-N 0 3 234.343 2.995 20 0 BFADHN Cc1ncc(CN2CC[C@H]2C(C)C)s1 ZINC000336157466 134106704 /nfs/dbraw/zinc/10/67/04/134106704.db2.gz LDGFUSNOQMYVGI-NSHDSACASA-N 0 3 210.346 2.682 20 0 BFADHN CCN(Cc1cc2ccccc2o1)C[C@H](C)O ZINC000352221651 134109700 /nfs/dbraw/zinc/10/97/00/134109700.db2.gz NEZZJRUJAYSLPK-NSHDSACASA-N 0 3 233.311 2.636 20 0 BFADHN CC(C)[C@@H]1CCCN([C@@H](C)c2cnccn2)C1 ZINC000336184145 134120768 /nfs/dbraw/zinc/12/07/68/134120768.db2.gz KDAAIPAVTBOIPM-QWHCGFSZSA-N 0 3 233.359 2.906 20 0 BFADHN FC1(F)CC[C@H](CN2CCOC[C@@H]2C2CC2)C1 ZINC000336197231 134126854 /nfs/dbraw/zinc/12/68/54/134126854.db2.gz KRCDUZOAVSSPMI-CMPLNLGQSA-N 0 3 245.313 2.533 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1cc2cccnc2o1 ZINC000336204905 134131391 /nfs/dbraw/zinc/13/13/91/134131391.db2.gz YADXDPZTIXPGHP-CABZTGNLSA-N 0 3 248.351 2.669 20 0 BFADHN CCC1CC(N[C@@H]2C[C@@H](C)n3ccnc32)C1 ZINC000336205770 134131927 /nfs/dbraw/zinc/13/19/27/134131927.db2.gz IWCIBTOBWXGUPU-HBIQZDMRSA-N 0 3 219.332 2.667 20 0 BFADHN CN(Cc1cc2cccnc2o1)[C@H]1CCSC1 ZINC000336206396 134132381 /nfs/dbraw/zinc/13/23/81/134132381.db2.gz ZSCDLSJCEVDQST-NSHDSACASA-N 0 3 248.351 2.765 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1cccc2ccoc21 ZINC000336210120 134133913 /nfs/dbraw/zinc/13/39/13/134133913.db2.gz JOKYHJWBIIYPMP-ZWNOBZJWSA-N 0 3 231.295 2.700 20 0 BFADHN CC[C@@H]1CCN1Cc1cc2cccnc2o1 ZINC000336212196 134135072 /nfs/dbraw/zinc/13/50/72/134135072.db2.gz LBZDJOMIYXPMIV-LLVKDONJSA-N 0 3 216.284 2.812 20 0 BFADHN C[C@@H]1CCCN1Cc1ccnn1C1CCCC1 ZINC000336214141 134135573 /nfs/dbraw/zinc/13/55/73/134135573.db2.gz ORKSYEHTKYUSAY-GFCCVEGCSA-N 0 3 233.359 2.983 20 0 BFADHN C[C@H]1CCCN1Cc1cc2cccnc2o1 ZINC000336214139 134135749 /nfs/dbraw/zinc/13/57/49/134135749.db2.gz ONHRSPPGEAVGBY-JTQLQIEISA-N 0 3 216.284 2.812 20 0 BFADHN C[C@@H]1C[C@@H]1CC(=O)Nc1ccc(CN(C)C)cc1 ZINC000352291734 134139417 /nfs/dbraw/zinc/13/94/17/134139417.db2.gz CFQYRIWQCWTIRU-DGCLKSJQSA-N 0 3 246.354 2.733 20 0 BFADHN Cc1ccc([C@H](C)NCC[C@H](O)C(C)C)o1 ZINC000168231759 134160510 /nfs/dbraw/zinc/16/05/10/134160510.db2.gz NRCNEKNPKSZNGE-RYUDHWBXSA-N 0 3 225.332 2.646 20 0 BFADHN Cc1ccc([C@@H](C)NCC[C@H](O)C(C)C)o1 ZINC000168231663 134160670 /nfs/dbraw/zinc/16/06/70/134160670.db2.gz NRCNEKNPKSZNGE-NEPJUHHUSA-N 0 3 225.332 2.646 20 0 BFADHN CC(C)[C@H](O)CCN[C@@H](C)c1ccsc1 ZINC000168229346 134160698 /nfs/dbraw/zinc/16/06/98/134160698.db2.gz QSPBEHWPGFCVGU-CMPLNLGQSA-N 0 3 227.373 2.806 20 0 BFADHN C[C@H](CNCc1cc(F)cc(Cl)c1)N(C)C ZINC000168254918 134161131 /nfs/dbraw/zinc/16/11/31/134161131.db2.gz YCGLFVPBBFECSS-SECBINFHSA-N 0 3 244.741 2.519 20 0 BFADHN CCC[C@@H](O)CNCc1cc(F)cc(Cl)c1 ZINC000168276632 134163415 /nfs/dbraw/zinc/16/34/15/134163415.db2.gz HNSITNWPEIMRKA-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccccc1F)c1cn[nH]c1 ZINC000219206610 134276550 /nfs/dbraw/zinc/27/65/50/134276550.db2.gz OTHFFKHCDSXAMA-WDEREUQCSA-N 0 3 247.317 2.831 20 0 BFADHN Cc1cc([C@@H](C)NCCc2ccn(C)n2)c(C)o1 ZINC000219300333 134281208 /nfs/dbraw/zinc/28/12/08/134281208.db2.gz IJFUPCJVUGFYFQ-LLVKDONJSA-N 0 3 247.342 2.523 20 0 BFADHN COc1cccc(CN2C[C@@H](C)CC[C@@H]2C)n1 ZINC000174489779 134281941 /nfs/dbraw/zinc/28/19/41/134281941.db2.gz ZXZMNTHDZPVWOM-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(Cl)o2)CCO1 ZINC000219925348 134295420 /nfs/dbraw/zinc/29/54/20/134295420.db2.gz IKEHCTIGAHRYNW-IUCAKERBSA-N 0 3 229.707 2.590 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1nc2ccccc2n1C ZINC000177099328 134297360 /nfs/dbraw/zinc/29/73/60/134297360.db2.gz VYKXAOLFCVWABS-RYUDHWBXSA-N 0 3 243.354 2.946 20 0 BFADHN COc1cccc(CN2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC000177570849 134300148 /nfs/dbraw/zinc/30/01/48/134300148.db2.gz HBWLHDVHJNYRKN-BETUJISGSA-N 0 3 246.354 2.712 20 0 BFADHN CCOC[C@H](C)NC/C=C\c1ccccc1 ZINC000220562567 134309866 /nfs/dbraw/zinc/30/98/66/134309866.db2.gz UADIPELAUHAZIV-SZNGSJQDSA-N 0 3 219.328 2.714 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H]2CCCOC2)o1 ZINC000178431289 134310455 /nfs/dbraw/zinc/31/04/55/134310455.db2.gz FZROVYFYTVCONB-NEPJUHHUSA-N 0 3 237.343 2.919 20 0 BFADHN CCC[C@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccccn1 ZINC000179472906 134320566 /nfs/dbraw/zinc/32/05/66/134320566.db2.gz LWMPTEPHOCXSSZ-BEAPCOKYSA-N 0 3 246.354 2.832 20 0 BFADHN CCc1ccc(CN[C@@]2(C)CCCOC2)o1 ZINC000221346630 134320569 /nfs/dbraw/zinc/32/05/69/134320569.db2.gz DEHQIPMBNJKLDZ-ZDUSSCGKSA-N 0 3 223.316 2.501 20 0 BFADHN CCc1ccc(CN[C@]2(C)CCCOC2)o1 ZINC000221346686 134320991 /nfs/dbraw/zinc/32/09/91/134320991.db2.gz DEHQIPMBNJKLDZ-CYBMUJFWSA-N 0 3 223.316 2.501 20 0 BFADHN C[C@@H]1Cc2ccccc2CN1Cc1cccn1C ZINC000179911305 134325226 /nfs/dbraw/zinc/32/52/26/134325226.db2.gz QTBDRERDPUJGNR-CYBMUJFWSA-N 0 3 240.350 2.972 20 0 BFADHN C[C@H]1Cc2ccccc2CN1Cc1cccn1C ZINC000179911320 134325630 /nfs/dbraw/zinc/32/56/30/134325630.db2.gz QTBDRERDPUJGNR-ZDUSSCGKSA-N 0 3 240.350 2.972 20 0 BFADHN Cc1nc([C@@H](C)NCC2(C)CC2)cs1 ZINC000222177557 134330080 /nfs/dbraw/zinc/33/00/80/134330080.db2.gz FVRUJRHAVCCZOD-MRVPVSSYSA-N 0 3 210.346 2.902 20 0 BFADHN CCCn1cc(CN2[C@H](C)CC[C@@H]2C)cn1 ZINC000180595254 134330109 /nfs/dbraw/zinc/33/01/09/134330109.db2.gz CWZQWRVJDVSESY-TXEJJXNPSA-N 0 3 221.348 2.666 20 0 BFADHN C[C@H](NCCCc1ccccn1)c1ccco1 ZINC000181495846 134336968 /nfs/dbraw/zinc/33/69/68/134336968.db2.gz HWXVFGQMBTXYKV-LBPRGKRZSA-N 0 3 230.311 2.958 20 0 BFADHN CO[C@H](C)CN1CCC[C@@H]1c1cccc(C)n1 ZINC000182237494 134343392 /nfs/dbraw/zinc/34/33/92/134343392.db2.gz CNSLLYNOGXTJOL-TZMCWYRMSA-N 0 3 234.343 2.562 20 0 BFADHN Cc1csc([C@H](C)NC[C@H]2CCSC2)n1 ZINC000224430316 134347133 /nfs/dbraw/zinc/34/71/33/134347133.db2.gz BTNSTEPMJDZFRP-VHSXEESVSA-N 0 3 242.413 2.855 20 0 BFADHN Cc1csc([C@@H](C)NC[C@@H]2CCSC2)n1 ZINC000224430183 134347212 /nfs/dbraw/zinc/34/72/12/134347212.db2.gz BTNSTEPMJDZFRP-ZJUUUORDSA-N 0 3 242.413 2.855 20 0 BFADHN CC1(C)C[C@@H]1CNCc1ncc(Cl)s1 ZINC000225345508 134355081 /nfs/dbraw/zinc/35/50/81/134355081.db2.gz RCUJGFXIFWTXAQ-SSDOTTSWSA-N 0 3 230.764 2.932 20 0 BFADHN CCn1nc(CNCC2(C)CC2)c2ccccc21 ZINC000225362732 134355123 /nfs/dbraw/zinc/35/51/23/134355123.db2.gz VPYCRYIZGDRNCM-UHFFFAOYSA-N 0 3 243.354 2.946 20 0 BFADHN COC[C@H](N[C@@H]1COCc2ccccc21)C(C)C ZINC000226002286 134358150 /nfs/dbraw/zinc/35/81/50/134358150.db2.gz XBNHELLYEZCUGR-LSDHHAIUSA-N 0 3 249.354 2.519 20 0 BFADHN CC(C)CCN[C@@H]1COCc2ccccc21 ZINC000225975974 134358222 /nfs/dbraw/zinc/35/82/22/134358222.db2.gz KNITUCXWUWPEAB-CQSZACIVSA-N 0 3 219.328 2.894 20 0 BFADHN CC1(C)C[C@@H]1CNCc1cccc2c1OCO2 ZINC000226255771 134362539 /nfs/dbraw/zinc/36/25/39/134362539.db2.gz MNCPPMWGTLBHAZ-LLVKDONJSA-N 0 3 233.311 2.551 20 0 BFADHN Cc1ccc(F)cc1CN[C@H]1CCO[C@@H]1C1CC1 ZINC000226514516 134364087 /nfs/dbraw/zinc/36/40/87/134364087.db2.gz HGHYVWMBPOYRMW-LSDHHAIUSA-N 0 3 249.329 2.791 20 0 BFADHN CC[C@H]1CN(C[C@@H]2CCC(C)(C)O2)CCS1 ZINC000184660390 134367823 /nfs/dbraw/zinc/36/78/23/134367823.db2.gz DASYQTFEONJOAO-RYUDHWBXSA-N 0 3 243.416 2.771 20 0 BFADHN COc1ccc(C)cc1CNCC1(OC)CCC1 ZINC000227325721 134377729 /nfs/dbraw/zinc/37/77/29/134377729.db2.gz NXONWWCOTKMZFQ-UHFFFAOYSA-N 0 3 249.354 2.662 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1nccn1CC ZINC000185631903 134386947 /nfs/dbraw/zinc/38/69/47/134386947.db2.gz MSIRVXZCENOHQB-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN Cn1cc(CN2CCC3(C2)CCCCC3)cn1 ZINC000129886539 134614549 /nfs/dbraw/zinc/61/45/49/134614549.db2.gz LQOIEJMPVCVTMB-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN CCCCN(CC)C(=O)c1ccc2c(c1)CNC2 ZINC000130990951 134625179 /nfs/dbraw/zinc/62/51/79/134625179.db2.gz DEMSANOXYFMHRT-UHFFFAOYSA-N 0 3 246.354 2.552 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1ccc(C)c(F)c1 ZINC000131049274 134626184 /nfs/dbraw/zinc/62/61/84/134626184.db2.gz RTAAKAAKCRUDOS-WCQYABFASA-N 0 3 239.334 2.946 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)COC)o1 ZINC000132005343 134639661 /nfs/dbraw/zinc/63/96/61/134639661.db2.gz LPUCWSGVIBXDNK-ZJUUUORDSA-N 0 3 211.305 2.528 20 0 BFADHN C[C@H](NCC1(O)CCC1)c1ccc(Cl)s1 ZINC000133418458 134682008 /nfs/dbraw/zinc/68/20/08/134682008.db2.gz JMOVINMOLCVPTJ-QMMMGPOBSA-N 0 3 245.775 2.967 20 0 BFADHN CCCC[C@H](N)C(=O)N1CCC[C@@](C)(CC)C1 ZINC000236762950 134835022 /nfs/dbraw/zinc/83/50/22/134835022.db2.gz QQTMJOUQEVYBHT-GXTWGEPZSA-N 0 3 240.391 2.543 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@@H](C)C2)c(C)c1 ZINC000335664533 134853338 /nfs/dbraw/zinc/85/33/38/134853338.db2.gz RFZLHJSQBMGZKU-JSGCOSHPSA-N 0 3 219.328 2.570 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2cnn3ccccc23)C1 ZINC000179761444 134912643 /nfs/dbraw/zinc/91/26/43/134912643.db2.gz YWWXMVJZMKDALX-STQMWFEESA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1nccn1C ZINC000070852814 134925217 /nfs/dbraw/zinc/92/52/17/134925217.db2.gz KHJXVFBNPVIBMV-NWDGAFQWSA-N 0 3 223.364 2.725 20 0 BFADHN C1=C[C@H](N2CC[C@@H]3OCCC[C@@H]3C2)CCC1 ZINC000246450952 134936657 /nfs/dbraw/zinc/93/66/57/134936657.db2.gz BURRNVAZUGKBHQ-RDBSUJKOSA-N 0 3 221.344 2.596 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](C)[C@H]2CCOC2)o1 ZINC000248024793 135001133 /nfs/dbraw/zinc/00/11/33/135001133.db2.gz ORNLBVYRFVWQOC-SRVKXCTJSA-N 0 3 223.316 2.664 20 0 BFADHN COc1ccccc1[C@@H]1CCCN1C[C@@H](C)OC ZINC000248405618 135006470 /nfs/dbraw/zinc/00/64/70/135006470.db2.gz ATEYCRAVKSZHHR-OCCSQVGLSA-N 0 3 249.354 2.867 20 0 BFADHN CO[C@H](C)CN1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000248423401 135007568 /nfs/dbraw/zinc/00/75/68/135007568.db2.gz NAYIBASYPUYWCX-YRGRVCCFSA-N 0 3 219.328 2.714 20 0 BFADHN CCn1ccnc1CN1C[C@H](C)[C@@H]2CCCC[C@H]21 ZINC000248424527 135007767 /nfs/dbraw/zinc/00/77/67/135007767.db2.gz NLLNTQJHTDDNFZ-MELADBBJSA-N 0 3 247.386 2.914 20 0 BFADHN CO[C@H](C)CN(C)[C@H](C)c1ccc(F)cc1 ZINC000248420337 135008455 /nfs/dbraw/zinc/00/84/55/135008455.db2.gz LSHHULAZRYCJTA-GHMZBOCLSA-N 0 3 225.307 2.853 20 0 BFADHN CC[C@H](N[C@@H](C)CCOC)c1nccs1 ZINC000070717427 135009687 /nfs/dbraw/zinc/00/96/87/135009687.db2.gz UFJFATCFFRSLRW-UWVGGRQHSA-N 0 3 228.361 2.609 20 0 BFADHN C[C@@H]1CCCN1Cc1nc2ccccc2o1 ZINC000076115305 135010833 /nfs/dbraw/zinc/01/08/33/135010833.db2.gz HBILSDRSGXUJCC-SNVBAGLBSA-N 0 3 216.284 2.812 20 0 BFADHN C[C@H](c1cnccn1)N1CCC[C@@H](C)CC1 ZINC000248608134 135015939 /nfs/dbraw/zinc/01/59/39/135015939.db2.gz GWAVWDFGFGXJGX-VXGBXAGGSA-N 0 3 219.332 2.660 20 0 BFADHN CC(C)C[C@@H]1CCN([C@H](C)c2cnccn2)C1 ZINC000248607331 135016254 /nfs/dbraw/zinc/01/62/54/135016254.db2.gz GGKKLTKPISYGGS-OLZOCXBDSA-N 0 3 233.359 2.906 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN(C)C[C@H]2CCOC2)o1 ZINC000248747481 135020716 /nfs/dbraw/zinc/02/07/16/135020716.db2.gz DUESSRCQAAZGBX-YRGRVCCFSA-N 0 3 249.354 2.871 20 0 BFADHN CCN(C)c1ccc(CN2CCC[C@H]2C)cn1 ZINC000248915347 135025955 /nfs/dbraw/zinc/02/59/55/135025955.db2.gz ZMZUIYVUELMMHI-GFCCVEGCSA-N 0 3 233.359 2.522 20 0 BFADHN Cc1cncc(CN2CCS[C@@H](C)[C@H]2C)c1 ZINC000248986649 135029204 /nfs/dbraw/zinc/02/92/04/135029204.db2.gz WLKYBSVOFOMOLT-NEPJUHHUSA-N 0 3 236.384 2.716 20 0 BFADHN CO[C@@H]1CN(Cc2ccc(F)cc2)CC[C@H]1C ZINC000249575417 135041554 /nfs/dbraw/zinc/04/15/54/135041554.db2.gz ACCWRHROFJHGOA-BXUZGUMPSA-N 0 3 237.318 2.683 20 0 BFADHN C[C@H]1CN([C@H]2CCCc3ccccc32)CCO1 ZINC000249712825 135043338 /nfs/dbraw/zinc/04/33/38/135043338.db2.gz GDAOCWIYQJQJDV-WFASDCNBSA-N 0 3 231.339 2.785 20 0 BFADHN CCCN(C)[C@H](C)C(=O)N1CCCC[C@H]1CC ZINC000249815724 135045917 /nfs/dbraw/zinc/04/59/17/135045917.db2.gz BPYGYBKAGXBWPW-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000249878900 135047765 /nfs/dbraw/zinc/04/77/65/135047765.db2.gz HIDCCSAIOXCIQI-DNVSUFBTSA-N 0 3 247.338 2.814 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCCC[C@H]2O)c(C)o1 ZINC000250149172 135050440 /nfs/dbraw/zinc/05/04/40/135050440.db2.gz VLLQRUWKUUHPEI-LEWSCRJBSA-N 0 3 237.343 2.851 20 0 BFADHN Cc1nccc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)n1 ZINC000250833754 135060132 /nfs/dbraw/zinc/06/01/32/135060132.db2.gz GNXOSIMELFAZIQ-JTNHKYCSSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1occc1CN(C)C[C@@H]1CCCC[C@H]1O ZINC000250894063 135060812 /nfs/dbraw/zinc/06/08/12/135060812.db2.gz RZURDQIYIFFMJY-UONOGXRCSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)C[C@H]2C)on1 ZINC000250963934 135061137 /nfs/dbraw/zinc/06/11/37/135061137.db2.gz MEBVDMNFZKAFCE-OPQQBVKSSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CN[C@H]2C[C@H](C)C[C@H](C)C2)on1 ZINC000250964799 135061303 /nfs/dbraw/zinc/06/13/03/135061303.db2.gz NTOVNCHPWLUBHX-IAZYJMLFSA-N 0 3 222.332 2.897 20 0 BFADHN CCCC[C@H](N)C(=O)NCC(C)(C)CC(C)C ZINC000236788691 135067796 /nfs/dbraw/zinc/06/77/96/135067796.db2.gz SLHKWYHLBYXURK-LBPRGKRZSA-N 0 3 242.407 2.692 20 0 BFADHN C[C@@H](O)CCCN(C)[C@H](C)c1ccccc1F ZINC000251282449 135068126 /nfs/dbraw/zinc/06/81/26/135068126.db2.gz ZSXLKMXQFZFXDJ-VXGBXAGGSA-N 0 3 239.334 2.980 20 0 BFADHN C(C1CCCCC1)N1CCN2CCCC[C@H]2C1 ZINC000251590460 135072270 /nfs/dbraw/zinc/07/22/70/135072270.db2.gz ZHSRISUCOCDNAV-HNNXBMFYSA-N 0 3 236.403 2.737 20 0 BFADHN CN(Cc1cnc(N)s1)C1CCCCCC1 ZINC000086765842 135096414 /nfs/dbraw/zinc/09/64/14/135096414.db2.gz YSZOGTVUFXZZAI-UHFFFAOYSA-N 0 3 239.388 2.880 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@H]1CSc2ccccc21 ZINC000252685403 135097008 /nfs/dbraw/zinc/09/70/08/135097008.db2.gz IBPZFUZVUMUPQD-WCQGTBRESA-N 0 3 235.352 2.600 20 0 BFADHN C[C@H](N)c1nc2c(s1)CCCC2(C)C ZINC000086844150 135098476 /nfs/dbraw/zinc/09/84/76/135098476.db2.gz TYGISNJBZRVLRO-ZETCQYMHSA-N 0 3 210.346 2.777 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(F)cc2)CS1 ZINC000086934279 135103173 /nfs/dbraw/zinc/10/31/73/135103173.db2.gz HSDXYXREWWDIDK-JOYOIKCWSA-N 0 3 225.332 2.809 20 0 BFADHN C[C@@H](N[C@@H](C)C[C@H](C)O)c1cc(F)ccc1F ZINC000252746674 135106366 /nfs/dbraw/zinc/10/63/66/135106366.db2.gz BTJACJLGUJYHIJ-LPEHRKFASA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H](O)[C@@H](C)N[C@@H](C)c1ccc(Cl)s1 ZINC000087128741 135106883 /nfs/dbraw/zinc/10/68/83/135106883.db2.gz WQLZAKHOLUDVIG-GJMOJQLCSA-N 0 3 233.764 2.821 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H]2CCC[C@H]2C)s1 ZINC000252809512 135111796 /nfs/dbraw/zinc/11/17/96/135111796.db2.gz LRFTYKITODKNEV-KHQFGBGNSA-N 0 3 225.361 2.686 20 0 BFADHN CC[C@H](CCO)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000252892612 135116484 /nfs/dbraw/zinc/11/64/84/135116484.db2.gz OEIPZWJVEOCKLV-WZRBSPASSA-N 0 3 237.343 2.654 20 0 BFADHN CC[C@@H](COC)NCc1cscc1C ZINC000087309460 135121879 /nfs/dbraw/zinc/12/18/79/135121879.db2.gz WWCVHZQYGOMGRH-NSHDSACASA-N 0 3 213.346 2.571 20 0 BFADHN Cc1cscc1CNCc1ccn(C)c1 ZINC000087309518 135122066 /nfs/dbraw/zinc/12/20/66/135122066.db2.gz OECPJLRSDMCKTB-UHFFFAOYSA-N 0 3 220.341 2.685 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCOC2)cc1F ZINC000087363966 135126538 /nfs/dbraw/zinc/12/65/38/135126538.db2.gz XHTUZXHJVXACDR-CMPLNLGQSA-N 0 3 223.291 2.574 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)C[C@@H]1CCCO1 ZINC000255532083 135129956 /nfs/dbraw/zinc/12/99/56/135129956.db2.gz BNQPLLMTVWDOIN-HKLWWIALSA-N 0 3 249.329 2.950 20 0 BFADHN Cc1cccnc1[C@H](NC[C@@H]1CCCO1)C(C)C ZINC000265996179 135146498 /nfs/dbraw/zinc/14/64/98/135146498.db2.gz LUWCDPAAVCDOFU-UONOGXRCSA-N 0 3 248.370 2.856 20 0 BFADHN Clc1cc(Cl)cc(CN[C@H]2CCOC2)c1 ZINC000087784029 135169816 /nfs/dbraw/zinc/16/98/16/135169816.db2.gz YKSFTVOFRMGGCL-NSHDSACASA-N 0 3 246.137 2.872 20 0 BFADHN CCCN(CC)C(=O)[C@@H](N)CC1CCCCC1 ZINC000261541540 135181511 /nfs/dbraw/zinc/18/15/11/135181511.db2.gz BGZBKGITTYQDME-ZDUSSCGKSA-N 0 3 240.391 2.543 20 0 BFADHN CCCN(CC)C(=O)[C@H](N)CC1CCCCC1 ZINC000261541539 135181627 /nfs/dbraw/zinc/18/16/27/135181627.db2.gz BGZBKGITTYQDME-CYBMUJFWSA-N 0 3 240.391 2.543 20 0 BFADHN Cc1nc(C)c(CNCCC(F)(F)F)s1 ZINC000084148984 135187399 /nfs/dbraw/zinc/18/73/99/135187399.db2.gz BDDGQKVZSXGKSZ-UHFFFAOYSA-N 0 3 238.278 2.802 20 0 BFADHN C[C@@H](NCCOC(F)(F)F)c1cccs1 ZINC000084177779 135189589 /nfs/dbraw/zinc/18/95/89/135189589.db2.gz CCRIRFAWHVAXLD-SSDOTTSWSA-N 0 3 239.262 2.935 20 0 BFADHN CN(Cc1cnc(N)s1)[C@@H]1CCC(C)(C)C1 ZINC000261562363 135203814 /nfs/dbraw/zinc/20/38/14/135203814.db2.gz BFYAPUFWOMQEHH-SECBINFHSA-N 0 3 239.388 2.736 20 0 BFADHN C[C@H]1CCN(Cc2cnc(N)s2)CC1(C)C ZINC000261562269 135203846 /nfs/dbraw/zinc/20/38/46/135203846.db2.gz BEUMUEYNYNQJAF-VIFPVBQESA-N 0 3 239.388 2.593 20 0 BFADHN OCc1cccc(CNCc2ccsc2)c1 ZINC000163908251 135208668 /nfs/dbraw/zinc/20/86/68/135208668.db2.gz DZAOCGNIJHMPFS-UHFFFAOYSA-N 0 3 233.336 2.530 20 0 BFADHN C[C@H](NCc1cnc(N)s1)c1ccccc1 ZINC000261576881 135218171 /nfs/dbraw/zinc/21/81/71/135218171.db2.gz KQNDLFQYWIRCQP-VIFPVBQESA-N 0 3 233.340 2.576 20 0 BFADHN CC1(C)CC[C@@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000342226596 135253620 /nfs/dbraw/zinc/25/36/20/135253620.db2.gz KGEWPJDCJAVJPS-ZDUSSCGKSA-N 0 3 245.326 2.948 20 0 BFADHN CC1(C)CC[C@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000342226592 135254117 /nfs/dbraw/zinc/25/41/17/135254117.db2.gz KGEWPJDCJAVJPS-CYBMUJFWSA-N 0 3 245.326 2.948 20 0 BFADHN FC(F)[C@H]1C[C@@H]1NCc1cccc2[nH]ccc21 ZINC000342234366 135254924 /nfs/dbraw/zinc/25/49/24/135254924.db2.gz UPIQTQIJDNZQBX-JQWIXIFHSA-N 0 3 236.265 2.911 20 0 BFADHN COc1ccc(C)cc1CN[C@H](C)[C@H](C)OC ZINC000342285860 135255443 /nfs/dbraw/zinc/25/54/43/135255443.db2.gz VBBPZMFKMPPNNY-NEPJUHHUSA-N 0 3 237.343 2.517 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN[C@H]1CC[C@@H](F)C1 ZINC000342285491 135255716 /nfs/dbraw/zinc/25/57/16/135255716.db2.gz ROGIJWNFUXBDDS-MNOVXSKESA-N 0 3 239.338 2.687 20 0 BFADHN CCCN1CCNC[C@@H]1c1cccc(Cl)c1 ZINC000261833493 135256158 /nfs/dbraw/zinc/25/61/58/135256158.db2.gz ZAASXWBJYQDIIB-CYBMUJFWSA-N 0 3 238.762 2.696 20 0 BFADHN F[C@H]1CC[C@@H](NCc2ccc(-c3cc[nH]n3)o2)C1 ZINC000342289221 135256219 /nfs/dbraw/zinc/25/62/19/135256219.db2.gz LVIWVEBDTMPWDO-VHSXEESVSA-N 0 3 249.289 2.650 20 0 BFADHN Fc1ccc(/C=C\CN[C@@H]2CCCOC2)cc1 ZINC000342299602 135257370 /nfs/dbraw/zinc/25/73/70/135257370.db2.gz ACGDEJJELLUQDY-NPPUXFBVSA-N 0 3 235.302 2.608 20 0 BFADHN Fc1ccc(/C=C\CN[C@H]2CCCOC2)cc1 ZINC000342299603 135257428 /nfs/dbraw/zinc/25/74/28/135257428.db2.gz ACGDEJJELLUQDY-VXYRWZGASA-N 0 3 235.302 2.608 20 0 BFADHN CC(C)c1ccc(NC(=O)[C@@H](C)NC2CC2)cc1 ZINC000261869471 135258394 /nfs/dbraw/zinc/25/83/94/135258394.db2.gz SDARSMAPGDLWDB-LLVKDONJSA-N 0 3 246.354 2.889 20 0 BFADHN COc1ccccc1/C=C\CN[C@H](C)[C@@H](C)OC ZINC000342277146 135260461 /nfs/dbraw/zinc/26/04/61/135260461.db2.gz BBTVLLYTWCGZON-JKOXKOMZSA-N 0 3 249.354 2.721 20 0 BFADHN COC[C@@H](NCCC1CC1)c1ccc(F)cc1 ZINC000342325577 135263940 /nfs/dbraw/zinc/26/39/40/135263940.db2.gz JVXISHQMZZSKNW-CQSZACIVSA-N 0 3 237.318 2.903 20 0 BFADHN COc1cnccc1[C@@H](C)N[C@H]1CCC1(C)C ZINC000342578443 135292118 /nfs/dbraw/zinc/29/21/18/135292118.db2.gz OQSXTBRDCAKVAS-MFKMUULPSA-N 0 3 234.343 2.929 20 0 BFADHN C[C@H](c1cnccn1)N(C)C[C@@H]1CC=CCC1 ZINC000342634090 135298259 /nfs/dbraw/zinc/29/82/59/135298259.db2.gz OEUIZLQKIZJWPR-CHWSQXEVSA-N 0 3 231.343 2.826 20 0 BFADHN C[C@H](CCc1ccccc1)CN1CCOCC1 ZINC000342679477 135304467 /nfs/dbraw/zinc/30/44/67/135304467.db2.gz ISGGEJKPVLSBTD-CQSZACIVSA-N 0 3 233.355 2.588 20 0 BFADHN CCC1CC(NCc2ccc(OC)cc2OC)C1 ZINC000342687350 135305367 /nfs/dbraw/zinc/30/53/67/135305367.db2.gz IHBRFYBFJDMVQL-UHFFFAOYSA-N 0 3 249.354 2.982 20 0 BFADHN CCCC[C@@H](N)C(=O)N1CCC[C@H](CC)CC1 ZINC000079339317 135307415 /nfs/dbraw/zinc/30/74/15/135307415.db2.gz UBVJRXDNRTZQFE-QWHCGFSZSA-N 0 3 240.391 2.543 20 0 BFADHN C[C@H](C[S@@](C)=O)N(C)CCC1CCCCC1 ZINC000342665411 135308330 /nfs/dbraw/zinc/30/83/30/135308330.db2.gz SVOMMFUGEUVBGD-MLGOLLRUSA-N 0 3 245.432 2.656 20 0 BFADHN CCC(C)(C)CCN(C)[C@@H](C)C[S@](C)=O ZINC000342663666 135308483 /nfs/dbraw/zinc/30/84/83/135308483.db2.gz QYBZTDJSEODBHH-NHYWBVRUSA-N 0 3 233.421 2.512 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H]1CCC1(C)C ZINC000342710705 135312647 /nfs/dbraw/zinc/31/26/47/135312647.db2.gz PBCTXYNILGFVMN-LBPRGKRZSA-N 0 3 235.375 2.817 20 0 BFADHN CCC[C@@](C)(N)C(=O)NCCCCC(C)(C)C ZINC000262703199 135315028 /nfs/dbraw/zinc/31/50/28/135315028.db2.gz KHBVUKKDQSBNDY-CQSZACIVSA-N 0 3 242.407 2.837 20 0 BFADHN CCC1CC(N[C@H](CO)c2ccc(F)cc2)C1 ZINC000342720582 135315063 /nfs/dbraw/zinc/31/50/63/135315063.db2.gz CLVMVDWLNIXIRU-UZANAETPSA-N 0 3 237.318 2.637 20 0 BFADHN CC(C)(C)c1ncc(CN[C@@H]2C=CCCC2)cn1 ZINC000342798522 135321128 /nfs/dbraw/zinc/32/11/28/135321128.db2.gz VBUDZDYMWQRHSI-CYBMUJFWSA-N 0 3 245.370 2.972 20 0 BFADHN Cc1cnc(CN2CC[C@H](C(C)(C)C)C2)n1C ZINC000342768983 135326815 /nfs/dbraw/zinc/32/68/15/135326815.db2.gz PCWIDGAODGJYML-LBPRGKRZSA-N 0 3 235.375 2.597 20 0 BFADHN c1cc(CN2CCC[C@@H]3CCCC[C@H]32)on1 ZINC000334277794 135333307 /nfs/dbraw/zinc/33/33/07/135333307.db2.gz DOCWMYJXFLOIAJ-WCQYABFASA-N 0 3 220.316 2.829 20 0 BFADHN Cc1nccc(CN2CCC[C@H]3CCC[C@@H]32)n1 ZINC000334304880 135348296 /nfs/dbraw/zinc/34/82/96/135348296.db2.gz CPNPWZBHGXEERW-OCCSQVGLSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1ccc(C)c(CN2CCCOCC2)c1 ZINC000334340730 135363461 /nfs/dbraw/zinc/36/34/61/135363461.db2.gz NIMODCUTQNHLMP-UHFFFAOYSA-N 0 3 219.328 2.526 20 0 BFADHN CC[C@@H](NCCc1ccncc1)c1nccs1 ZINC000080278328 135367943 /nfs/dbraw/zinc/36/79/43/135367943.db2.gz DPLRLGQHEGDOJN-GFCCVEGCSA-N 0 3 247.367 2.822 20 0 BFADHN C[C@H](F)CCN1CC[C@@]2(C1)OCc1ccccc12 ZINC000334358462 135370881 /nfs/dbraw/zinc/37/08/81/135370881.db2.gz SRFYYCUKCNXUJT-WFASDCNBSA-N 0 3 249.329 2.866 20 0 BFADHN Cn1nccc1[C@@H]1CCCCN1CC1CCC1 ZINC000334358450 135370987 /nfs/dbraw/zinc/37/09/87/135370987.db2.gz SPWMXXMYHLCQET-AWEZNQCLSA-N 0 3 233.359 2.747 20 0 BFADHN CC(C)n1nccc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000334373542 135376133 /nfs/dbraw/zinc/37/61/33/135376133.db2.gz SZXDLMVVXSIUNN-BETUJISGSA-N 0 3 233.359 2.696 20 0 BFADHN COc1ccccc1NC1CCN(C2CC2)CC1 ZINC000297579770 135380243 /nfs/dbraw/zinc/38/02/43/135380243.db2.gz DJQXZQRNHBYYRG-UHFFFAOYSA-N 0 3 246.354 2.734 20 0 BFADHN Cc1cc(C)cc(NC(=O)[C@H]2CCCCCN2)c1 ZINC000080993401 135385133 /nfs/dbraw/zinc/38/51/33/135385133.db2.gz DOGQDDFCPASUIK-CQSZACIVSA-N 0 3 246.354 2.774 20 0 BFADHN C[C@@H]1CCN(Cc2nc3ccccc3o2)C1 ZINC000334401250 135390494 /nfs/dbraw/zinc/39/04/94/135390494.db2.gz NJADGAZRPPSENV-SNVBAGLBSA-N 0 3 216.284 2.670 20 0 BFADHN Cc1nccc(CNC2CCC(C)(C)CC2)n1 ZINC000079627436 135397132 /nfs/dbraw/zinc/39/71/32/135397132.db2.gz FMLKVKBFRDCFDI-UHFFFAOYSA-N 0 3 233.359 2.843 20 0 BFADHN c1ccc(SCCN2CCCOCC2)cc1 ZINC000079618675 135397319 /nfs/dbraw/zinc/39/73/19/135397319.db2.gz YGRUZAAVDBPAST-UHFFFAOYSA-N 0 3 237.368 2.501 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cccc3cccnc32)CO1 ZINC000335424746 135412311 /nfs/dbraw/zinc/41/23/11/135412311.db2.gz HNRDUBMWBZZLRK-BXUZGUMPSA-N 0 3 242.322 2.502 20 0 BFADHN CC[C@H]1CCN(Cc2nc3cccnc3s2)C1 ZINC000334453657 135416915 /nfs/dbraw/zinc/41/69/15/135416915.db2.gz MEGJNBKMMVYJIM-JTQLQIEISA-N 0 3 247.367 2.923 20 0 BFADHN Cc1nccc(CNC2C[C@H](C)C[C@@H](C)C2)n1 ZINC000084468973 135472686 /nfs/dbraw/zinc/47/26/86/135472686.db2.gz PJUUWTBQQYJRDV-GHMZBOCLSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cc(CNCCC2=CCCCC2)n(C)n1 ZINC000089183750 135533691 /nfs/dbraw/zinc/53/36/91/135533691.db2.gz YDFBDYSIBHJNCL-UHFFFAOYSA-N 0 3 233.359 2.709 20 0 BFADHN C[C@@H]1CN(Cc2cccc(O)c2)C[C@@H](C)S1 ZINC000092541115 135577858 /nfs/dbraw/zinc/57/78/58/135577858.db2.gz MKZYBVDFBGWGBT-GHMZBOCLSA-N 0 3 237.368 2.718 20 0 BFADHN Cc1nn(C)cc1CN1CC[C@H](CC(C)C)C1 ZINC000093338439 135594780 /nfs/dbraw/zinc/59/47/80/135594780.db2.gz UVTHOCYRELDUGX-CYBMUJFWSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1ncc(CN2CCCC[C@H]2C(C)C)cn1 ZINC000334970511 135854342 /nfs/dbraw/zinc/85/43/42/135854342.db2.gz UWZYLRNQHBLPIR-AWEZNQCLSA-N 0 3 233.359 2.796 20 0 BFADHN COc1ccncc1CN(CC1CC1)CC1CC1 ZINC000346982953 135986830 /nfs/dbraw/zinc/98/68/30/135986830.db2.gz NHLOIYUPSOSIIE-UHFFFAOYSA-N 0 3 246.354 2.712 20 0 BFADHN CSC1(CN(C)Cc2ccoc2)CC1 ZINC000347648210 136000727 /nfs/dbraw/zinc/00/07/27/136000727.db2.gz BZVPWCHZABNAJE-UHFFFAOYSA-N 0 3 211.330 2.607 20 0 BFADHN Cc1cccc(CN[C@@H]2CC23CC3)c1F ZINC000335283218 136001134 /nfs/dbraw/zinc/00/11/34/136001134.db2.gz XQGUCNKFTPSHLB-LLVKDONJSA-N 0 3 205.276 2.776 20 0 BFADHN CCC(=O)CCCN(C)CCOc1ccccc1 ZINC000347729367 136004186 /nfs/dbraw/zinc/00/41/86/136004186.db2.gz JCTAICCAVUCAHC-UHFFFAOYSA-N 0 3 249.354 2.757 20 0 BFADHN Cc1ccccc1[C@H]1C[C@H](NCc2cc[nH]n2)C1 ZINC000367005129 136005918 /nfs/dbraw/zinc/00/59/18/136005918.db2.gz QBENLAKDELTFDV-MQMHXKEQSA-N 0 3 241.338 2.754 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCC[C@H]2C)n(C)n1 ZINC000335297075 136006854 /nfs/dbraw/zinc/00/68/54/136006854.db2.gz BTZHNDRKYHSDGT-BXUZGUMPSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@@H]1CN(CC23CCC(CC2)C3)[C@@H](C)CO1 ZINC000347744379 136010703 /nfs/dbraw/zinc/01/07/03/136010703.db2.gz SVTVMNRLRWNGDN-MRFVTOPCSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@@H](CCC(C)(C)C)C(=O)NC[C@H](C)N(C)C ZINC000347854073 136012674 /nfs/dbraw/zinc/01/26/74/136012674.db2.gz RBZHDUMPYJYVJE-RYUDHWBXSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@H](CCC(C)(C)C)C(=O)NC[C@H](C)N(C)C ZINC000347854064 136012880 /nfs/dbraw/zinc/01/28/80/136012880.db2.gz RBZHDUMPYJYVJE-NEPJUHHUSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1ccncc1CCCN(C)Cc1ccc[nH]1 ZINC000347885170 136015027 /nfs/dbraw/zinc/01/50/27/136015027.db2.gz SFBWNOANXBBWTP-UHFFFAOYSA-N 0 3 243.354 2.783 20 0 BFADHN CCCC[C@@H](NCc1ccn(C)n1)C1CCC1 ZINC000347908678 136015976 /nfs/dbraw/zinc/01/59/76/136015976.db2.gz HABIMHYVLGSYQR-CQSZACIVSA-N 0 3 235.375 2.869 20 0 BFADHN CCCC[C@H](NCc1ccn(C)n1)C1CCC1 ZINC000347908677 136016180 /nfs/dbraw/zinc/01/61/80/136016180.db2.gz HABIMHYVLGSYQR-AWEZNQCLSA-N 0 3 235.375 2.869 20 0 BFADHN C[C@@H]1CN(Cc2ccc(Cl)cn2)C[C@H]1C ZINC000335309046 136028357 /nfs/dbraw/zinc/02/83/57/136028357.db2.gz HKGJVDJQJAHLMJ-NXEZZACHSA-N 0 3 224.735 2.823 20 0 BFADHN CCCCOCCN(CC)Cc1ccncc1 ZINC000348077555 136034449 /nfs/dbraw/zinc/03/44/49/136034449.db2.gz PAXQNIDXDADLBS-UHFFFAOYSA-N 0 3 236.359 2.720 20 0 BFADHN c1c(CN[C@H]2CC[C@H]2C2CC2)nc2ccccn12 ZINC000348087496 136035231 /nfs/dbraw/zinc/03/52/31/136035231.db2.gz PFJMSFQWMBFTJZ-KBPBESRZSA-N 0 3 241.338 2.613 20 0 BFADHN c1cc2c(c(CN[C@@H]3CC[C@H]3C3CC3)c1)OCO2 ZINC000348101623 136036402 /nfs/dbraw/zinc/03/64/02/136036402.db2.gz IJZDCQFMTUTLIM-QWHCGFSZSA-N 0 3 245.322 2.694 20 0 BFADHN CCc1nnc([C@H](C)[NH2+]C[C@H](C)CC(C)C)[n-]1 ZINC000348138043 136039100 /nfs/dbraw/zinc/03/91/00/136039100.db2.gz ROZIBFHXYWPAAY-MNOVXSKESA-N 0 3 238.379 2.700 20 0 BFADHN CCc1nnc([C@H](C)NC[C@H](C)CC(C)C)[nH]1 ZINC000348138043 136039101 /nfs/dbraw/zinc/03/91/01/136039101.db2.gz ROZIBFHXYWPAAY-MNOVXSKESA-N 0 3 238.379 2.700 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1nnc(C)n1C1CC1 ZINC000348159223 136041146 /nfs/dbraw/zinc/04/11/46/136041146.db2.gz JLAQNDXNNUUHMC-WCQYABFASA-N 0 3 248.374 2.590 20 0 BFADHN Cc1ccsc1CN[C@@H]1C[C@@H]1C(F)F ZINC000348176796 136042007 /nfs/dbraw/zinc/04/20/07/136042007.db2.gz DNVPQELABZLVEX-JGVFFNPUSA-N 0 3 217.284 2.800 20 0 BFADHN Cc1nccc(CN(C)[C@@H]2CCCC[C@@H]2C)n1 ZINC000335339679 136045758 /nfs/dbraw/zinc/04/57/58/136045758.db2.gz SJWXLWVCWPATAH-SMDDNHRTSA-N 0 3 233.359 2.796 20 0 BFADHN FC(F)[C@@H]1C[C@@H]1N[C@H]1CCCc2occc21 ZINC000348223858 136047627 /nfs/dbraw/zinc/04/76/27/136047627.db2.gz MNAGBQBCITXGBF-UTLUCORTSA-N 0 3 227.254 2.900 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2C[C@H]2C(F)F)s1 ZINC000348187959 136048738 /nfs/dbraw/zinc/04/87/38/136048738.db2.gz QSVFZLIHSZEYNI-HTQZYQBOSA-N 0 3 232.299 2.503 20 0 BFADHN Cc1cc(CN[C@H]2C[C@H]2C(F)F)ccc1F ZINC000348192741 136049627 /nfs/dbraw/zinc/04/96/27/136049627.db2.gz YKIIJSFNXMNVEV-KOLCDFICSA-N 0 3 229.245 2.877 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CC1=Cc2ccccc2OC1 ZINC000335328038 136052607 /nfs/dbraw/zinc/05/26/07/136052607.db2.gz AXKGFFPQCISHQA-VXGBXAGGSA-N 0 3 229.323 2.945 20 0 BFADHN C(=C/c1ccncc1)\CN1C[C@@H]2CCC[C@H]21 ZINC000335334908 136055327 /nfs/dbraw/zinc/05/53/27/136055327.db2.gz FSCKXFYZJBUWKJ-AZBIDPCXSA-N 0 3 214.312 2.579 20 0 BFADHN CCc1cccnc1[C@H](C)NCC1=CCCOC1 ZINC000348208740 136055498 /nfs/dbraw/zinc/05/54/98/136055498.db2.gz USAMUZLUCAWRIR-LBPRGKRZSA-N 0 3 246.354 2.641 20 0 BFADHN CCOCCCN1CC[C@H](C(F)(F)F)[C@H]1C ZINC000348244644 136056099 /nfs/dbraw/zinc/05/60/99/136056099.db2.gz IIXUVWFVUPTQKK-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CSCCN1CC[C@@H](C(F)(F)F)[C@@H]1C ZINC000348249962 136056867 /nfs/dbraw/zinc/05/68/67/136056867.db2.gz XTQIDUJWFRRPFJ-JGVFFNPUSA-N 0 3 227.295 2.622 20 0 BFADHN CC[C@H](NC[C@@H]1CCCO1)c1c(C)noc1C ZINC000348278628 136059715 /nfs/dbraw/zinc/05/97/15/136059715.db2.gz AIRHWVLEQHJOJP-RYUDHWBXSA-N 0 3 238.331 2.511 20 0 BFADHN OC[C@@H]1C[C@H](F)CN1CCCC1CCCCC1 ZINC000348229619 136060584 /nfs/dbraw/zinc/06/05/84/136060584.db2.gz SOTKWMWMBPXYGQ-KBPBESRZSA-N 0 3 243.366 2.752 20 0 BFADHN CC(C)CCN1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000348231761 136060653 /nfs/dbraw/zinc/06/06/53/136060653.db2.gz PKTNTPBGWYLJPB-DGCLKSJQSA-N 0 3 211.349 2.532 20 0 BFADHN C[C@@H]1CN([C@@H]2C=CCCC2)C[C@H](C2CC2)O1 ZINC000348231788 136060872 /nfs/dbraw/zinc/06/08/72/136060872.db2.gz PMPDGDLOZFXRIQ-MRVWCRGKSA-N 0 3 221.344 2.594 20 0 BFADHN CN1CC[C@H](c2nc(C3CCCCCC3)no2)C1 ZINC000335356400 136061823 /nfs/dbraw/zinc/06/18/23/136061823.db2.gz BOLMLUQQOFGASQ-LBPRGKRZSA-N 0 3 249.358 2.927 20 0 BFADHN COc1cccc([C@H](C)N[C@H]2C[C@H]2C(F)F)c1 ZINC000348299102 136063270 /nfs/dbraw/zinc/06/32/70/136063270.db2.gz DNPMFQGNZOMQOD-AXTRIDKLSA-N 0 3 241.281 2.999 20 0 BFADHN Cc1cnc(CCN2[C@H](C)C[C@H]2C)c(C)c1 ZINC000335349078 136068080 /nfs/dbraw/zinc/06/80/80/136068080.db2.gz DPTHSQYWLAWZII-CHWSQXEVSA-N 0 3 218.344 2.724 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](C)c1ccncc1OC ZINC000348318465 136068596 /nfs/dbraw/zinc/06/85/96/136068596.db2.gz YFERGEQPFALDRX-DMDPSCGWSA-N 0 3 234.343 2.929 20 0 BFADHN CCCC[C@H]1CCC[C@@H]1NCc1nccn1C ZINC000348317825 136068704 /nfs/dbraw/zinc/06/87/04/136068704.db2.gz NNUVQVOIOSLLHS-STQMWFEESA-N 0 3 235.375 2.869 20 0 BFADHN CCC(C)(C)N(C)CC(=O)N1CCCC[C@H]1C ZINC000348319936 136069059 /nfs/dbraw/zinc/06/90/59/136069059.db2.gz KJFWVTJYOWPODG-GFCCVEGCSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](CO)c1ccc(F)cc1 ZINC000348370300 136073184 /nfs/dbraw/zinc/07/31/84/136073184.db2.gz FSTRRDDIYHCOCJ-HONMWMINSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](CO)c1cccc(F)c1 ZINC000348375554 136073578 /nfs/dbraw/zinc/07/35/78/136073578.db2.gz KTXGODWEOVFDOH-HONMWMINSA-N 0 3 237.318 2.637 20 0 BFADHN CSC1(CN2CCC[C@](C)(F)C2)CC1 ZINC000335359094 136074882 /nfs/dbraw/zinc/07/48/82/136074882.db2.gz NALUAWCWFXAWIT-JTQLQIEISA-N 0 3 217.353 2.706 20 0 BFADHN CSC1(CN2CCC[C@@](C)(F)C2)CC1 ZINC000335359095 136074971 /nfs/dbraw/zinc/07/49/71/136074971.db2.gz NALUAWCWFXAWIT-SNVBAGLBSA-N 0 3 217.353 2.706 20 0 BFADHN Cc1nccnc1[C@H](C)NCCC1(F)CCC1 ZINC000348403248 136076759 /nfs/dbraw/zinc/07/67/59/136076759.db2.gz LNZJPYPENSBMTA-JTQLQIEISA-N 0 3 237.322 2.718 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CC[C@@H](F)C2)c(C)n1 ZINC000348410219 136077589 /nfs/dbraw/zinc/07/75/89/136077589.db2.gz NUQVFKUILOKJFE-WCQGTBRESA-N 0 3 237.322 2.635 20 0 BFADHN Cc1csc(CN[C@@H]2CCC[C@H](F)C2)n1 ZINC000335370883 136083096 /nfs/dbraw/zinc/08/30/96/136083096.db2.gz AUETUCGZAMSKRK-VHSXEESVSA-N 0 3 228.336 2.822 20 0 BFADHN Cc1ccoc1CN[C@H]1CCC[C@H](F)C1 ZINC000335372740 136084192 /nfs/dbraw/zinc/08/41/92/136084192.db2.gz OSFJLOPBVUAVNM-QWRGUYRKSA-N 0 3 211.280 2.958 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@@H](F)C2)s1 ZINC000335373684 136084930 /nfs/dbraw/zinc/08/49/30/136084930.db2.gz UUMOUCMNFFKCLK-NXEZZACHSA-N 0 3 228.336 2.822 20 0 BFADHN CC1(F)CC(NCC2=Cc3ccccc3OC2)C1 ZINC000335376748 136085957 /nfs/dbraw/zinc/08/59/57/136085957.db2.gz UNSJDVAIFSKIDC-UHFFFAOYSA-N 0 3 247.313 2.943 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CC2(C)C)cn1 ZINC000335399898 136093928 /nfs/dbraw/zinc/09/39/28/136093928.db2.gz CFQAHHQLIWFBII-LBPRGKRZSA-N 0 3 204.317 2.620 20 0 BFADHN CC1(C(N)=O)CN([C@@H]2CCCc3ccccc32)C1 ZINC000335399021 136094011 /nfs/dbraw/zinc/09/40/11/136094011.db2.gz ZOVFBIJZUIETNZ-CYBMUJFWSA-N 0 3 244.338 2.921 20 0 BFADHN CCC(CC)CN1C[C@@H](CC)[S@](=O)[C@@H](C)C1 ZINC000348547632 136095786 /nfs/dbraw/zinc/09/57/86/136095786.db2.gz PGNHXSWXSLYQNM-NORZTCDRSA-N 0 3 245.432 2.654 20 0 BFADHN CC(C)[C@@H](O)CN1Cc2ccccc2[C@@H]1C ZINC000335557955 136099853 /nfs/dbraw/zinc/09/98/53/136099853.db2.gz IOBRWWMAYDXKDW-FZMZJTMJSA-N 0 3 219.328 2.580 20 0 BFADHN C[C@@H](N[C@H]1CCCC(F)(F)C1)c1cn[nH]c1 ZINC000335573121 136110166 /nfs/dbraw/zinc/11/01/66/136110166.db2.gz YTNYVJYFLQFSBC-SCZZXKLOSA-N 0 3 229.274 2.638 20 0 BFADHN c1cncc(CN2CCC[C@]3(CCSC3)C2)c1 ZINC000335579751 136111827 /nfs/dbraw/zinc/11/18/27/136111827.db2.gz JWQXEGNLNRBDQK-AWEZNQCLSA-N 0 3 248.395 2.801 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1ccncc1F ZINC000335582238 136113163 /nfs/dbraw/zinc/11/31/63/136113163.db2.gz SBEWWESGQJRHEX-CMPLNLGQSA-N 0 3 222.307 2.984 20 0 BFADHN CCN(Cc1ccc(C)c(Cl)c1)C[C@@H](C)O ZINC000348710696 136116788 /nfs/dbraw/zinc/11/67/88/136116788.db2.gz PKBUVHAGEDMHCD-LLVKDONJSA-N 0 3 241.762 2.851 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@@H](C)CC[C@@H]2C)o1 ZINC000398053015 322855674 /nfs/dbraw/zinc/85/56/74/322855674.db2.gz GMOKEYDGFAEWAZ-OUJBWJOFSA-N 0 3 222.332 2.897 20 0 BFADHN CCc1ccc(CN[C@@H]2CCCC[C@H]2OC)o1 ZINC000150850479 397733974 /nfs/dbraw/zinc/73/39/74/397733974.db2.gz BTKRAMYGYMJUIV-ZIAGYGMSSA-N 0 3 237.343 2.889 20 0 BFADHN Cc1cnn(CCN[C@H](C)c2ccccc2C)c1 ZINC000159605402 397764674 /nfs/dbraw/zinc/76/46/74/397764674.db2.gz KXFMEARTLQRFKD-CQSZACIVSA-N 0 3 243.354 2.851 20 0 BFADHN C[C@H](NCCC(C)(C)C1CC1)c1cnccn1 ZINC000294868798 397742442 /nfs/dbraw/zinc/74/24/42/397742442.db2.gz CMIPMWIVDUZSRI-NSHDSACASA-N 0 3 233.359 2.954 20 0 BFADHN COCc1cnc(CNC2(C)CCCC2)s1 ZINC000309841622 397870669 /nfs/dbraw/zinc/87/06/69/397870669.db2.gz DNVBUZHHXWIMEF-UHFFFAOYSA-N 0 3 240.372 2.712 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCC[C@@H]2CCO)o1 ZINC000188034035 397881304 /nfs/dbraw/zinc/88/13/04/397881304.db2.gz VALFJVOAULMBTM-UPJWGTAASA-N 0 3 237.343 2.790 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCC[C@@H]2CCO)o1 ZINC000188033991 397881465 /nfs/dbraw/zinc/88/14/65/397881465.db2.gz VALFJVOAULMBTM-JHJVBQTASA-N 0 3 237.343 2.790 20 0 BFADHN C[C@@H](CF)NC[C@@H]1CCOc2ccccc21 ZINC000390208754 397883556 /nfs/dbraw/zinc/88/35/56/397883556.db2.gz UDJCYDOALGBYEC-QWRGUYRKSA-N 0 3 223.291 2.500 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@@H](C)C[C@H](C)C1 ZINC000356106600 397927530 /nfs/dbraw/zinc/92/75/30/397927530.db2.gz DZSBAFSLZOKVTR-MNOVXSKESA-N 0 3 221.348 2.586 20 0 BFADHN CCN(CCCO)Cc1cc(C)sc1C ZINC000266557719 397936721 /nfs/dbraw/zinc/93/67/21/397936721.db2.gz MDYMRXUAXXPKJO-UHFFFAOYSA-N 0 3 227.373 2.569 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cc2n(n1)CCCC2 ZINC000649546494 397937354 /nfs/dbraw/zinc/93/73/54/397937354.db2.gz RSFUHAIHGHOQAO-GXTWGEPZSA-N 0 3 247.386 2.840 20 0 BFADHN CCCc1ccc(CN2CC[C@H](C)[C@@H](O)C2)cc1 ZINC000357573607 397938501 /nfs/dbraw/zinc/93/85/01/397938501.db2.gz SRPHDTMCDVMLPR-BBRMVZONSA-N 0 3 247.382 2.842 20 0 BFADHN C[C@@H](F)CCN[C@H]1CCCc2cccnc21 ZINC000382381057 397944904 /nfs/dbraw/zinc/94/49/04/397944904.db2.gz JLQRLBLNBLGMHL-PWSUYJOCSA-N 0 3 222.307 2.797 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@H](O)CC(C)(C)C1 ZINC000650162583 397948131 /nfs/dbraw/zinc/94/81/31/397948131.db2.gz BXNISSYKLYFMBA-OCCSQVGLSA-N 0 3 248.370 2.626 20 0 BFADHN CCC(C)(C)N(C)Cc1cc2n(n1)CCCC2 ZINC000649560092 397950105 /nfs/dbraw/zinc/95/01/05/397950105.db2.gz ATHYXOQKWWRGKH-UHFFFAOYSA-N 0 3 235.375 2.840 20 0 BFADHN CN(Cc1ccncc1F)C(C1CC1)C1CC1 ZINC000449021555 397950572 /nfs/dbraw/zinc/95/05/72/397950572.db2.gz ZWNFOYFTYBWCBA-UHFFFAOYSA-N 0 3 234.318 2.841 20 0 BFADHN CCC(CC)(CC)NCc1cc2n(n1)CCC2 ZINC000649561755 397952624 /nfs/dbraw/zinc/95/26/24/397952624.db2.gz IJWPYWUQKWFVNI-UHFFFAOYSA-N 0 3 235.375 2.888 20 0 BFADHN C[C@@H]1C[C@H](C)CCN(Cc2cc3n(n2)CCC3)C1 ZINC000649567588 397957993 /nfs/dbraw/zinc/95/79/93/397957993.db2.gz XCNVCAGCIDOSGA-CHWSQXEVSA-N 0 3 247.386 2.697 20 0 BFADHN C[C@H]1CCN(Cc2cc3n(n2)CCC3)C[C@@H](C)C1 ZINC000649567591 397958044 /nfs/dbraw/zinc/95/80/44/397958044.db2.gz XCNVCAGCIDOSGA-STQMWFEESA-N 0 3 247.386 2.697 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccnn1C)c1cccs1 ZINC000192246969 397892787 /nfs/dbraw/zinc/89/27/87/397892787.db2.gz BTINFCWZEOCDTH-VHSXEESVSA-N 0 3 235.356 2.893 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccs1)c1ccnn1C ZINC000192246962 397892937 /nfs/dbraw/zinc/89/29/37/397892937.db2.gz BTINFCWZEOCDTH-ZJUUUORDSA-N 0 3 235.356 2.893 20 0 BFADHN CCC1(CNCc2cnc(OC)s2)CCC1 ZINC000390509376 397893647 /nfs/dbraw/zinc/89/36/47/397893647.db2.gz YIMLLIDQPCFDPG-UHFFFAOYSA-N 0 3 240.372 2.822 20 0 BFADHN CC(C)(C)[C@H]1C[C@@H](NCc2ccccn2)CCO1 ZINC000270665595 397962014 /nfs/dbraw/zinc/96/20/14/397962014.db2.gz PGZDPHAGODRXPW-GXTWGEPZSA-N 0 3 248.370 2.765 20 0 BFADHN CC(C)n1cncc1CNC1(C(C)C)CC1 ZINC000379736271 397962540 /nfs/dbraw/zinc/96/25/40/397962540.db2.gz MYYUOIUWDYLMEJ-UHFFFAOYSA-N 0 3 221.348 2.742 20 0 BFADHN CN(Cc1cn2ccccc2n1)CC1CCC1 ZINC000111296051 397907429 /nfs/dbraw/zinc/90/74/29/397907429.db2.gz MCNPWURHVQQVMH-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CCN(Cc1ccoc1C)C[C@@H]1CCCO1 ZINC000414381753 397917957 /nfs/dbraw/zinc/91/79/57/397917957.db2.gz NBXSVHFZNXAKGD-ZDUSSCGKSA-N 0 3 223.316 2.589 20 0 BFADHN COC[C@H]1CCN(C/C=C/c2ccc(F)cc2)C1 ZINC000271162057 397964512 /nfs/dbraw/zinc/96/45/12/397964512.db2.gz GDTIHDMDQQBNHE-HSWBROFVSA-N 0 3 249.329 2.807 20 0 BFADHN CC(C)(C)c1noc([C@@H]2CC[C@H](C3CC3)N2)n1 ZINC000650225056 397996363 /nfs/dbraw/zinc/99/63/63/397996363.db2.gz CKBIKHZCVFGQFZ-ZJUUUORDSA-N 0 3 235.331 2.570 20 0 BFADHN CCCCN[C@H](COC)c1ccc(C)o1 ZINC000184639836 398045427 /nfs/dbraw/zinc/04/54/27/398045427.db2.gz NYPNASKGGSPASF-LLVKDONJSA-N 0 3 211.305 2.665 20 0 BFADHN C[C@H](NCCCOc1ccccc1)c1cn[nH]c1 ZINC000274032908 398001241 /nfs/dbraw/zinc/00/12/41/398001241.db2.gz MOUAEPFNIFIZRB-LBPRGKRZSA-N 0 3 245.326 2.529 20 0 BFADHN Cc1nnsc1CNC[C@@H]1CCCC1(C)C ZINC000388817596 398001296 /nfs/dbraw/zinc/00/12/96/398001296.db2.gz ATVMLRFIOSCSNU-JTQLQIEISA-N 0 3 239.388 2.762 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1cc(C)oc1C ZINC000167049921 398004719 /nfs/dbraw/zinc/00/47/19/398004719.db2.gz FVAPUNAAVIZNAF-UWVGGRQHSA-N 0 3 211.305 2.582 20 0 BFADHN COC(=O)CN(C[C@@H]1CCC[C@@H](C)C1)C(C)C ZINC000336728959 398006993 /nfs/dbraw/zinc/00/69/93/398006993.db2.gz XCRQKHKUTGROAY-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN COC(CN1CCC[C@@H]1c1ccc(C)cc1)OC ZINC000372899136 398007110 /nfs/dbraw/zinc/00/71/10/398007110.db2.gz IEAINPAOGZZNLA-CQSZACIVSA-N 0 3 249.354 2.751 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC2(C)C)on1 ZINC000389065980 398012907 /nfs/dbraw/zinc/01/29/07/398012907.db2.gz LGQAUXOCQTVWAY-NSHDSACASA-N 0 3 222.332 2.899 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2cc3n(n2)CCCC3)[C@H]1C ZINC000649632124 398014335 /nfs/dbraw/zinc/01/43/35/398014335.db2.gz XPZYSBMXRNUSQD-UPJWGTAASA-N 0 3 247.386 2.696 20 0 BFADHN C[C@@H]1CN(Cc2cc3n(n2)CCCC3)[C@@H](C)[C@H]1C ZINC000649632120 398014550 /nfs/dbraw/zinc/01/45/50/398014550.db2.gz XPZYSBMXRNUSQD-AGIUHOORSA-N 0 3 247.386 2.696 20 0 BFADHN Cc1ccccc1C1(NCCF)CCC1 ZINC000649644539 398019226 /nfs/dbraw/zinc/01/92/26/398019226.db2.gz HNVGLBPFYMOYOM-UHFFFAOYSA-N 0 3 207.292 2.933 20 0 BFADHN COC[C@H](N[C@@H](C)[C@H]1CCCO1)c1ccccc1 ZINC000300064923 398019461 /nfs/dbraw/zinc/01/94/61/398019461.db2.gz UDQIBMIXCXVXGU-AEGPPILISA-N 0 3 249.354 2.531 20 0 BFADHN CC(C)=CCN1C[C@H](C)OC2(CCCC2)C1 ZINC000646132548 398020099 /nfs/dbraw/zinc/02/00/99/398020099.db2.gz AYGOVWBTXBQKMN-ZDUSSCGKSA-N 0 3 223.360 2.986 20 0 BFADHN Cc1cccc(CN2CCC[C@@H](OC(C)C)C2)n1 ZINC000649700381 398048104 /nfs/dbraw/zinc/04/81/04/398048104.db2.gz CLKXVLPZBHHMHF-OAHLLOKOSA-N 0 3 248.370 2.779 20 0 BFADHN CC(C)O[C@H]1CCCN(CCC(F)(F)F)C1 ZINC000649700571 398048447 /nfs/dbraw/zinc/04/84/47/398048447.db2.gz HJWFBPIKLMMDFM-JTQLQIEISA-N 0 3 239.281 2.828 20 0 BFADHN CCC[C@H](CN(C)Cc1cccs1)OC ZINC000637845747 398029786 /nfs/dbraw/zinc/02/97/86/398029786.db2.gz CQYNVGYFSCZVLA-LLVKDONJSA-N 0 3 227.373 2.995 20 0 BFADHN Cc1ccc([C@@H](C)NCCN2CCCCC2)o1 ZINC000042226200 398030731 /nfs/dbraw/zinc/03/07/31/398030731.db2.gz QFSDMBWNKYCOMG-CYBMUJFWSA-N 0 3 236.359 2.725 20 0 BFADHN Cc1ccsc1CNC1CC(F)(F)C1 ZINC000277743077 398032339 /nfs/dbraw/zinc/03/23/39/398032339.db2.gz VMVNQERQYQFABZ-UHFFFAOYSA-N 0 3 217.284 2.944 20 0 BFADHN CCC1CCN(Cc2cccc3nccn32)CC1 ZINC000271731675 397972104 /nfs/dbraw/zinc/97/21/04/397972104.db2.gz NHIKSWWPGDEACY-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN CCO[C@H]1CCN(CCC(F)(F)F)C[C@H]1C ZINC000451552480 397976503 /nfs/dbraw/zinc/97/65/03/397976503.db2.gz YPPNBBCAZNYPOL-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1Cc1ccn(C)n1 ZINC000354033070 398042884 /nfs/dbraw/zinc/04/28/84/398042884.db2.gz HRMMCUZAAGUZRR-GXTWGEPZSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@H]2CCc3c2cccc3F)O1 ZINC000398232811 397985457 /nfs/dbraw/zinc/98/54/57/397985457.db2.gz UGZCCTIHCJNADH-HFAKWTLXSA-N 0 3 249.329 2.970 20 0 BFADHN CCOc1ccc(CN(C)C[C@@H](C)OC)cc1 ZINC000272761892 397986651 /nfs/dbraw/zinc/98/66/51/397986651.db2.gz CQAHEMIOEBWHBB-GFCCVEGCSA-N 0 3 237.343 2.552 20 0 BFADHN C/C=C/C[C@H](CO)NCc1ccc(Cl)cc1 ZINC000185830025 398060042 /nfs/dbraw/zinc/06/00/42/398060042.db2.gz YFYFPRWSDFUCGX-YWVDXFKGSA-N 0 3 239.746 2.757 20 0 BFADHN CC[C@@H]1CO[C@@H](CC)CN1CC=C(C)C ZINC000649719743 398062496 /nfs/dbraw/zinc/06/24/96/398062496.db2.gz WOWLBMLMTJRSGJ-OLZOCXBDSA-N 0 3 211.349 2.842 20 0 BFADHN COc1cncc(CN2CCC[C@H]2C2CC2)c1C ZINC000638028581 398062623 /nfs/dbraw/zinc/06/26/23/398062623.db2.gz KVGVFVTVWVBOQB-AWEZNQCLSA-N 0 3 246.354 2.773 20 0 BFADHN CC[C@@H]1CN(CCCCF)[C@H](CC)CO1 ZINC000649719884 398062786 /nfs/dbraw/zinc/06/27/86/398062786.db2.gz YYYNEEAJKLKXJP-VXGBXAGGSA-N 0 3 217.328 2.626 20 0 BFADHN COc1cncc(CN2CCC[C@@H]2C2CC2)c1C ZINC000638028582 398063227 /nfs/dbraw/zinc/06/32/27/398063227.db2.gz KVGVFVTVWVBOQB-CQSZACIVSA-N 0 3 246.354 2.773 20 0 BFADHN CC[C@@H]1CN(CCCCCOC)[C@H](CC)CO1 ZINC000649720761 398063545 /nfs/dbraw/zinc/06/35/45/398063545.db2.gz NXHXTSGYFUCRPB-ZIAGYGMSSA-N 0 3 243.391 2.693 20 0 BFADHN CC[C@@H]1CN([C@H]2C=CCCC2)[C@@H](CC)CO1 ZINC000649721447 398064542 /nfs/dbraw/zinc/06/45/42/398064542.db2.gz YAWXLOUERDUSEK-MELADBBJSA-N 0 3 223.360 2.985 20 0 BFADHN CC[C@@H]1CO[C@@H](CC)CN1CCCOC(C)C ZINC000649721479 398064838 /nfs/dbraw/zinc/06/48/38/398064838.db2.gz YRYPHBXAPRQPSQ-KGLIPLIRSA-N 0 3 243.391 2.691 20 0 BFADHN CC[C@@](C)(N)c1cn(CCCC(C)(C)C)nn1 ZINC000384189978 398072087 /nfs/dbraw/zinc/07/20/87/398072087.db2.gz GPDXUCREVVQFOM-CYBMUJFWSA-N 0 3 238.379 2.688 20 0 BFADHN CC[C@@H]1CN([C@@H]2C=CCCC2)[C@H](C)CO1 ZINC000649733042 398073749 /nfs/dbraw/zinc/07/37/49/398073749.db2.gz FAAGPUMZCVSKTI-JHJVBQTASA-N 0 3 209.333 2.594 20 0 BFADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1cccnc1 ZINC000088169860 398074580 /nfs/dbraw/zinc/07/45/80/398074580.db2.gz DNWVGCBTMXXCPS-FOGDFJRCSA-N 0 3 222.357 2.626 20 0 BFADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1ccccn1 ZINC000088169780 398074693 /nfs/dbraw/zinc/07/46/93/398074693.db2.gz ISAWXBIVOISDLV-MXWKQRLJSA-N 0 3 222.357 2.626 20 0 BFADHN CC[C@@H]1CN(CC2=CCCC2)[C@H](C)CO1 ZINC000649737249 398075823 /nfs/dbraw/zinc/07/58/23/398075823.db2.gz UURTYMBQHQETHP-DGCLKSJQSA-N 0 3 209.333 2.596 20 0 BFADHN CC[C@H]1CN(CC2(C)CCC2)[C@H](C)CO1 ZINC000649736696 398078782 /nfs/dbraw/zinc/07/87/82/398078782.db2.gz HGSVSXZTJMINAN-NEPJUHHUSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)COCCN1CCC2(CC2(F)F)CC1 ZINC000649772928 398094969 /nfs/dbraw/zinc/09/49/69/398094969.db2.gz CRYZUEJEHBKWJT-UHFFFAOYSA-N 0 3 247.329 2.780 20 0 BFADHN CSCC[C@H](C)N(C)CCc1cscn1 ZINC000340292296 398083913 /nfs/dbraw/zinc/08/39/13/398083913.db2.gz TZJVSCLYHAYXHP-JTQLQIEISA-N 0 3 244.429 2.759 20 0 BFADHN CC[C@H](CCO)NCc1c(F)cccc1Cl ZINC000125772875 398095337 /nfs/dbraw/zinc/09/53/37/398095337.db2.gz HYFJGKZJJLZRDZ-SECBINFHSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@H](CCO)NCc1c(F)cccc1Cl ZINC000125772636 398095360 /nfs/dbraw/zinc/09/53/60/398095360.db2.gz HYFJGKZJJLZRDZ-VIFPVBQESA-N 0 3 245.725 2.730 20 0 BFADHN CCN(Cc1cccc(OC)c1)[C@@H]1CCCOC1 ZINC000649754943 398085060 /nfs/dbraw/zinc/08/50/60/398085060.db2.gz AQBCHFSKVNWGEN-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CCN(Cc1cccc(OC)c1)[C@H]1CCCOC1 ZINC000649754942 398085131 /nfs/dbraw/zinc/08/51/31/398085131.db2.gz AQBCHFSKVNWGEN-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1cnn([C@@H]2CCN(Cc3occc3C)C2)c1 ZINC000290986835 398087848 /nfs/dbraw/zinc/08/78/48/398087848.db2.gz URWJQDTWUWKLDX-CYBMUJFWSA-N 0 3 245.326 2.540 20 0 BFADHN CC(C)[C@@H](N)c1cn([C@H](C)c2ccccc2)nn1 ZINC000384447521 398089059 /nfs/dbraw/zinc/08/90/59/398089059.db2.gz LASNVPGQYIDOGC-BXUZGUMPSA-N 0 3 244.342 2.543 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC(C)(C)[C@@H]2C2CC2)n1 ZINC000291579036 398095908 /nfs/dbraw/zinc/09/59/08/398095908.db2.gz OTBUJMIZBUFFFG-AWEZNQCLSA-N 0 3 246.354 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC(C)(C)[C@@H]2C2CC2)n1 ZINC000291579036 398095911 /nfs/dbraw/zinc/09/59/11/398095911.db2.gz OTBUJMIZBUFFFG-AWEZNQCLSA-N 0 3 246.354 2.716 20 0 BFADHN CC(C)[C@@H](N)c1cn([C@@H](C)c2ccccc2)nn1 ZINC000384447525 398089706 /nfs/dbraw/zinc/08/97/06/398089706.db2.gz LASNVPGQYIDOGC-SMDDNHRTSA-N 0 3 244.342 2.543 20 0 BFADHN Cn1cc2c(n1)CCC[C@H]2NCc1ccccc1 ZINC000280200918 398054569 /nfs/dbraw/zinc/05/45/69/398054569.db2.gz SUMVMRHQJUOKOM-CQSZACIVSA-N 0 3 241.338 2.587 20 0 BFADHN Cc1sccc1CNCc1ccc(CO)cc1 ZINC000383996305 398055677 /nfs/dbraw/zinc/05/56/77/398055677.db2.gz NVOMJWJECSASRU-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN CC[C@@H]1COC(C)(C)CN1CCCOC(C)C ZINC000649715629 398056708 /nfs/dbraw/zinc/05/67/08/398056708.db2.gz HLTFRKXZYSZFDI-CYBMUJFWSA-N 0 3 243.391 2.691 20 0 BFADHN CC[C@@H]1COC(C)(C)CN1[C@H]1C=CCCC1 ZINC000649715790 398056770 /nfs/dbraw/zinc/05/67/70/398056770.db2.gz LRYSMAIOTGSMHP-OLZOCXBDSA-N 0 3 223.360 2.985 20 0 BFADHN CCCCOCCN1CC(C)(C)OC[C@H]1CC ZINC000649715708 398056805 /nfs/dbraw/zinc/05/68/05/398056805.db2.gz KEMKKUUJVKMISA-CYBMUJFWSA-N 0 3 243.391 2.693 20 0 BFADHN Cc1ccc2[nH]c3c(c2c1)C[C@H](NCCF)CC3 ZINC000281161677 398057544 /nfs/dbraw/zinc/05/75/44/398057544.db2.gz VUJMPYIYKANNOU-LLVKDONJSA-N 0 3 246.329 2.893 20 0 BFADHN CSCCCCCNCc1ccccn1 ZINC000185163839 398057621 /nfs/dbraw/zinc/05/76/21/398057621.db2.gz JGLQVMSRLPFQRI-UHFFFAOYSA-N 0 3 224.373 2.705 20 0 BFADHN CC[C@@H]1CN(CC2=CCCC2)[C@H](CC)CO1 ZINC000649717925 398059202 /nfs/dbraw/zinc/05/92/02/398059202.db2.gz PBMKHYHASYFZNT-ZIAGYGMSSA-N 0 3 223.360 2.986 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cccnc1OC ZINC000128945089 398098947 /nfs/dbraw/zinc/09/89/47/398098947.db2.gz YDTJXAWMACTDRL-AAEUAGOBSA-N 0 3 234.343 2.759 20 0 BFADHN C/C=C/CN[C@H](C)c1cc(OC)ccc1OC ZINC000384737856 398104133 /nfs/dbraw/zinc/10/41/33/398104133.db2.gz LDXZFACRBXCLIJ-MVIFTORASA-N 0 3 235.327 2.931 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(Cl)o2)[C@@H](C)O1 ZINC000384766172 398104704 /nfs/dbraw/zinc/10/47/04/398104704.db2.gz OPPWZHBGEOAIBZ-NQMVMOMDSA-N 0 3 229.707 2.589 20 0 BFADHN CCCn1cc(CN2C[C@H]3CCC[C@@H]3C2)cn1 ZINC000126917301 398097079 /nfs/dbraw/zinc/09/70/79/398097079.db2.gz ZAAUFIDKHPIDKG-ZIAGYGMSSA-N 0 3 233.359 2.525 20 0 BFADHN C[C@H]1CC[C@H](CN2CCC3(CC3(F)F)CC2)O1 ZINC000649773851 398097528 /nfs/dbraw/zinc/09/75/28/398097528.db2.gz ILURGLHLYVFUGQ-WDEREUQCSA-N 0 3 245.313 2.675 20 0 BFADHN Cc1ccnc(CNC2(C3CCC3)CC2)c1 ZINC000649810404 398117056 /nfs/dbraw/zinc/11/70/56/398117056.db2.gz JJVQQPMYDSFHTF-UHFFFAOYSA-N 0 3 216.328 2.812 20 0 BFADHN Cc1nnsc1CNCC(C)(C)C1CCC1 ZINC000384912272 398117146 /nfs/dbraw/zinc/11/71/46/398117146.db2.gz DRKDDAIZBRCWHN-UHFFFAOYSA-N 0 3 239.388 2.762 20 0 BFADHN CCc1nc(C)c(CNC2(C3CCC3)CC2)o1 ZINC000649810996 398117868 /nfs/dbraw/zinc/11/78/68/398117868.db2.gz BCTRMVJKHQZVAG-UHFFFAOYSA-N 0 3 234.343 2.968 20 0 BFADHN CSCCN1CCS[C@H]2CCCC[C@H]21 ZINC000245907234 398119631 /nfs/dbraw/zinc/11/96/31/398119631.db2.gz VDYLMMGKOODESV-MNOVXSKESA-N 0 3 231.430 2.709 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc2c(c1)OCCO2 ZINC000384793018 398109354 /nfs/dbraw/zinc/10/93/54/398109354.db2.gz VXRHHUZFUOWHSP-DLRQAJBASA-N 0 3 233.311 2.685 20 0 BFADHN CC[C@H](C)NCc1csc(C2CC2)n1 ZINC000113312360 171344195 /nfs/dbraw/zinc/34/41/95/171344195.db2.gz MPKWSWXBNZRUBF-QMMMGPOBSA-N 0 3 210.346 2.909 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1ccc(C)o1 ZINC000090725088 398111783 /nfs/dbraw/zinc/11/17/83/398111783.db2.gz CIAXJYBCJCALDT-NXEZZACHSA-N 0 3 213.346 2.990 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1cncc(F)c1 ZINC000090725023 398112171 /nfs/dbraw/zinc/11/21/71/398112171.db2.gz CBXRDYWQDQREEI-RKDXNWHRSA-N 0 3 228.336 2.623 20 0 BFADHN COc1cccc(CNC2(C3CCC3)CC2)n1 ZINC000649808347 398112775 /nfs/dbraw/zinc/11/27/75/398112775.db2.gz AAHPPYCBPLQEHM-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C3(CCC3)C2)ncn1 ZINC000293190367 398114289 /nfs/dbraw/zinc/11/42/89/398114289.db2.gz OHHQMZRYALZNHL-GFCCVEGCSA-N 0 3 245.370 2.797 20 0 BFADHN CC(C)n1ccc(CNC2(C3CCC3)CC2)n1 ZINC000649808860 398114973 /nfs/dbraw/zinc/11/49/73/398114973.db2.gz SQCGTSQUUWTTIU-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN CO[C@@H](C)CCNCc1c(F)cccc1Cl ZINC000263808113 398125278 /nfs/dbraw/zinc/12/52/78/398125278.db2.gz LSUKRIJLAFENBO-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN C[C@H]1CN([C@@H]2C=CCCC2)C2(CCC2)CO1 ZINC000649824557 398126928 /nfs/dbraw/zinc/12/69/28/398126928.db2.gz GCMLIVMLZUNFAG-QWHCGFSZSA-N 0 3 221.344 2.739 20 0 BFADHN C[C@H]1CN(Cc2ccccc2)C2(CCC2)CO1 ZINC000649827180 398128150 /nfs/dbraw/zinc/12/81/50/398128150.db2.gz JTNSMJAETFJQOU-ZDUSSCGKSA-N 0 3 231.339 2.830 20 0 BFADHN CCC1(CNCc2snnc2C)CCCC1 ZINC000309751415 398122546 /nfs/dbraw/zinc/12/25/46/398122546.db2.gz KRGHCXUJKZMOLB-UHFFFAOYSA-N 0 3 239.388 2.907 20 0 BFADHN CCCCCN1C[C@H](C)OCC12CCC2 ZINC000649821765 398123420 /nfs/dbraw/zinc/12/34/20/398123420.db2.gz PUIWETLRDHLDLA-LBPRGKRZSA-N 0 3 211.349 2.820 20 0 BFADHN CC(C)CNCC(=O)Nc1cccc(Cl)c1 ZINC000001672706 167002135 /nfs/dbraw/zinc/00/21/35/167002135.db2.gz MIZIRAPUJKPQCW-UHFFFAOYSA-N 0 3 240.734 2.524 20 0 BFADHN CC(C)CN[C@@H]1c2ccc(F)cc2O[C@@H]1C ZINC000336647469 167012301 /nfs/dbraw/zinc/01/23/01/167012301.db2.gz BYSRBXTWCUUHGY-RNCFNFMXSA-N 0 3 223.291 2.893 20 0 BFADHN COc1ccc(CNC2(C3(C)CC3)CC2)cn1 ZINC000398132780 322865344 /nfs/dbraw/zinc/86/53/44/322865344.db2.gz LCEVCXAGJKPWJU-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN CC1(C2(NCc3cccnc3)CC2)CC1 ZINC000398243994 322867179 /nfs/dbraw/zinc/86/71/79/322867179.db2.gz FRBHNPKACXMLGX-UHFFFAOYSA-N 0 3 202.301 2.504 20 0 BFADHN C[C@H](NCCc1ccco1)c1ccoc1 ZINC000087289730 322875011 /nfs/dbraw/zinc/87/50/11/322875011.db2.gz LQWMPRVXDDAQCI-JTQLQIEISA-N 0 3 205.257 2.766 20 0 BFADHN CC[C@@H](CNCc1cc2ccccc2[nH]1)OC ZINC000685631922 487562990 /nfs/dbraw/zinc/56/29/90/487562990.db2.gz GIACVSJRWGCHTJ-ZDUSSCGKSA-N 0 3 232.327 2.683 20 0 BFADHN Cc1cn[nH]c1CN[C@H]1CCc2ccc(F)cc21 ZINC000685615468 487563618 /nfs/dbraw/zinc/56/36/18/487563618.db2.gz HUGRXPQIRXFSFM-ZDUSSCGKSA-N 0 3 245.301 2.634 20 0 BFADHN CC(C)OC(=O)[C@@H]1CCCCN1C1CCCC1 ZINC000339990021 167168428 /nfs/dbraw/zinc/16/84/28/167168428.db2.gz JIRDAMBHUPFJFA-ZDUSSCGKSA-N 0 3 239.359 2.735 20 0 BFADHN CCc1ccc(CN(C)C2(CO)CCC2)s1 ZINC000668801941 487564721 /nfs/dbraw/zinc/56/47/21/487564721.db2.gz BNSFNXRBFFWBCZ-UHFFFAOYSA-N 0 3 239.384 2.657 20 0 BFADHN Cc1cc(CNC[C@@H]2CC(C)=C[C@H](C)C2)ncn1 ZINC000685619379 487565896 /nfs/dbraw/zinc/56/58/96/487565896.db2.gz WYQIQRYQVDPOBX-FZMZJTMJSA-N 0 3 245.370 2.867 20 0 BFADHN CCc1nn(C)cc1CN(C)C[C@@H]1CC=CCC1 ZINC000680334244 487566790 /nfs/dbraw/zinc/56/67/90/487566790.db2.gz KZNOYIVQCUNFOT-CYBMUJFWSA-N 0 3 247.386 2.771 20 0 BFADHN c1ccc(CN2CC(C3CCOCC3)C2)cc1 ZINC000669715938 487568801 /nfs/dbraw/zinc/56/88/01/487568801.db2.gz VMWWMZMAWIIOEG-UHFFFAOYSA-N 0 3 231.339 2.545 20 0 BFADHN C[C@H](c1ccncc1)N1CCSC(C)(C)C1 ZINC000674376059 487568906 /nfs/dbraw/zinc/56/89/06/487568906.db2.gz WFVBRNJUIQPFPP-LLVKDONJSA-N 0 3 236.384 2.970 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H]1CCCn2ccnc21 ZINC000655705353 487571330 /nfs/dbraw/zinc/57/13/30/487571330.db2.gz GPKHSXCFRWLLNP-QJPTWQEYSA-N 0 3 221.348 2.742 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1ccc(C)nc1C ZINC000685664022 487572585 /nfs/dbraw/zinc/57/25/85/487572585.db2.gz YHQCZMIOJTXYBV-RAIGVLPGSA-N 0 3 236.359 2.772 20 0 BFADHN CC(C)OCCCN(C)Cc1ccsc1 ZINC000264040129 167210677 /nfs/dbraw/zinc/21/06/77/167210677.db2.gz PPJFHGGZBPCAQX-UHFFFAOYSA-N 0 3 227.373 2.995 20 0 BFADHN C[C@H](NCC(C)(C)C(C)(C)O)c1ccoc1 ZINC000393628158 487576680 /nfs/dbraw/zinc/57/66/80/487576680.db2.gz ZECPZLHZUCEHKY-JTQLQIEISA-N 0 3 225.332 2.727 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@H]3CC[C@@H]2O3)c(C)o1 ZINC000278884762 487578700 /nfs/dbraw/zinc/57/87/00/487578700.db2.gz YEBQRTHWMKGDRV-FRJFDASCSA-N 0 3 235.327 2.867 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1ccc(F)c(F)c1 ZINC000220622649 490068103 /nfs/dbraw/zinc/06/81/03/490068103.db2.gz SSFZJDANZMRXPF-QPUJVOFHSA-N 0 3 243.322 2.948 20 0 BFADHN CCC(CC)N(Cc1n[nH]cc1C)C1CC1 ZINC000685833557 487581600 /nfs/dbraw/zinc/58/16/00/487581600.db2.gz KVPZPNSQGPCGEF-UHFFFAOYSA-N 0 3 221.348 2.871 20 0 BFADHN CC(C)n1cncc1CN(C)C[C@@H]1CC1(C)C ZINC000668814532 487582855 /nfs/dbraw/zinc/58/28/55/487582855.db2.gz MWGNZSKELFGXBI-LBPRGKRZSA-N 0 3 235.375 2.942 20 0 BFADHN CC(C)OCCN1CCc2ccsc2C1 ZINC000132797440 167235306 /nfs/dbraw/zinc/23/53/06/167235306.db2.gz BHSKBFJTPWUGGO-UHFFFAOYSA-N 0 3 225.357 2.531 20 0 BFADHN CC(C)OCCN[C@H]1CCc2cc(F)ccc21 ZINC000168878619 167246418 /nfs/dbraw/zinc/24/64/18/167246418.db2.gz BYVMEHBBXYSZAM-AWEZNQCLSA-N 0 3 237.318 2.828 20 0 BFADHN CC1(C)SC[C@@H]1N[C@H]1CCCc2cccnc21 ZINC000393846229 487585501 /nfs/dbraw/zinc/58/55/01/487585501.db2.gz FDYMCCGCBMROCG-RYUDHWBXSA-N 0 3 248.395 2.943 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H](C)c1cccc(O)c1 ZINC000337234519 167257149 /nfs/dbraw/zinc/25/71/49/167257149.db2.gz BHCJULMRWDPTFU-NEPJUHHUSA-N 0 3 237.343 2.856 20 0 BFADHN C[C@@H]1CN(Cc2ccc(O)cc2)CCC1(F)F ZINC000685849509 487588312 /nfs/dbraw/zinc/58/83/12/487588312.db2.gz SNTFNFOOUGKREV-SNVBAGLBSA-N 0 3 241.281 2.869 20 0 BFADHN CC1(C2CC2)CCN(Cc2ccc(CO)o2)CC1 ZINC000685850155 487588414 /nfs/dbraw/zinc/58/84/14/487588414.db2.gz CMQBPDRGGPXERV-UHFFFAOYSA-N 0 3 249.354 2.784 20 0 BFADHN CN(Cc1cc2ccccc2[nH]1)C[C@H]1CCOC1 ZINC000674505172 487588919 /nfs/dbraw/zinc/58/89/19/487588919.db2.gz BDNBMFUENOVJGV-GFCCVEGCSA-N 0 3 244.338 2.636 20 0 BFADHN C[C@@H]1[C@H](O)CCN1Cc1cccc(C(C)(C)C)c1 ZINC000660736510 487589366 /nfs/dbraw/zinc/58/93/66/487589366.db2.gz HQFVBBQRBZDUGK-IUODEOHRSA-N 0 3 247.382 2.939 20 0 BFADHN COc1cccc(CN[C@@H]2COC(C)(C)C2)c1C ZINC000658346449 487589799 /nfs/dbraw/zinc/58/97/99/487589799.db2.gz HNRIWOFIIUEDTB-ZDUSSCGKSA-N 0 3 249.354 2.661 20 0 BFADHN CCC[C@@H](NCc1cc(C)[nH]n1)c1cccnc1 ZINC000680463135 487600154 /nfs/dbraw/zinc/60/01/54/487600154.db2.gz BULNMFIPUWMJNJ-CQSZACIVSA-N 0 3 244.342 2.744 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CSC2(C)C)s1 ZINC000308996679 487605143 /nfs/dbraw/zinc/60/51/43/487605143.db2.gz MTDFWGSHDGFZQP-XVKPBYJWSA-N 0 3 242.413 2.996 20 0 BFADHN COc1ccccc1/C=C/CNCCOC(C)C ZINC000680485351 487605320 /nfs/dbraw/zinc/60/53/20/487605320.db2.gz XAQSTTQRFOXZFV-SOFGYWHQSA-N 0 3 249.354 2.723 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCc3ccc(O)cc32)CO1 ZINC000402308430 487607068 /nfs/dbraw/zinc/60/70/68/487607068.db2.gz KRWAMSBJPRTSSJ-IXPVHAAZSA-N 0 3 247.338 2.537 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccc(F)cc1 ZINC000178318624 487609448 /nfs/dbraw/zinc/60/94/48/487609448.db2.gz KOFBOROEFAHWGL-VKKKGTNTSA-N 0 3 235.302 2.796 20 0 BFADHN C[C@H](O)CCN[C@@]1(c2ccccc2)CC1(C)C ZINC000662340145 409650920 /nfs/dbraw/zinc/65/09/20/409650920.db2.gz IHILHPTUQIWPHV-SWLSCSKDSA-N 0 3 233.355 2.672 20 0 BFADHN C[C@H](O)CCN[C@]1(c2ccccc2)CC1(C)C ZINC000662340146 409651587 /nfs/dbraw/zinc/65/15/87/409651587.db2.gz IHILHPTUQIWPHV-WFASDCNBSA-N 0 3 233.355 2.672 20 0 BFADHN CCOc1ccc(CN(C)C[C@@H]2CC2(C)C)nc1 ZINC000662346996 409661163 /nfs/dbraw/zinc/66/11/63/409661163.db2.gz WZJWSQQYBLZDMH-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN CN(Cc1cn2ccccc2n1)C[C@@H]1CC1(C)C ZINC000662347536 409664315 /nfs/dbraw/zinc/66/43/15/409664315.db2.gz LNKOMHCETWCWMF-LBPRGKRZSA-N 0 3 243.354 2.812 20 0 BFADHN CC(=O)Nc1cccc(CN2CC3CC2(C)C3)c1 ZINC000662383368 409687955 /nfs/dbraw/zinc/68/79/55/409687955.db2.gz LZMYHYQTEHOFSN-UHFFFAOYSA-N 0 3 244.338 2.629 20 0 BFADHN CC(C)SCCN[C@H](C)c1ccccn1 ZINC000283193267 167332353 /nfs/dbraw/zinc/33/23/53/167332353.db2.gz QXWRAMVCDKWBAE-LLVKDONJSA-N 0 3 224.373 2.874 20 0 BFADHN CC[C@H](NCc1cc(OC)no1)[C@@H]1CC1(C)C ZINC000660824745 409800570 /nfs/dbraw/zinc/80/05/70/409800570.db2.gz QJFWOUBVJDDYNX-QWRGUYRKSA-N 0 3 238.331 2.598 20 0 BFADHN C[C@@H]1CCN(Cc2ncccn2)[C@H]2CCCC[C@@H]12 ZINC000558828986 410047705 /nfs/dbraw/zinc/04/77/05/410047705.db2.gz NNDFOVGVGLXATA-RDBSUJKOSA-N 0 3 245.370 2.877 20 0 BFADHN CC[C@H](C)N(CC)Cc1c(C)noc1C ZINC000661103133 410215707 /nfs/dbraw/zinc/21/57/07/410215707.db2.gz DVIULJCPDKXPQA-VIFPVBQESA-N 0 3 210.321 2.912 20 0 BFADHN CN(CC1=CCCC1)[C@@H](CO)Cc1ccccc1 ZINC000661091618 410166017 /nfs/dbraw/zinc/16/60/17/410166017.db2.gz DBSBWYJXVGONPB-MRXNPFEDSA-N 0 3 245.366 2.632 20 0 BFADHN CC[C@@H](C)N(CC)Cc1cccc(OC)n1 ZINC000661105565 410245055 /nfs/dbraw/zinc/24/50/55/410245055.db2.gz FIFQXAXVDZMVOJ-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN Cc1cc(NC(=O)[C@@H]2C[C@H]2C)ccc1CN(C)C ZINC000655430683 410249927 /nfs/dbraw/zinc/24/99/27/410249927.db2.gz GZINFRDIAPHZNG-BXUZGUMPSA-N 0 3 246.354 2.651 20 0 BFADHN CC[C@H](C)N(CC)Cc1n[nH]c(C(C)C)n1 ZINC000661104213 410226961 /nfs/dbraw/zinc/22/69/61/410226961.db2.gz JTMBPAYGJLCCAG-JTQLQIEISA-N 0 3 224.352 2.549 20 0 BFADHN Cn1cncc1CN1CCCC2(CCCC2)CC1 ZINC000661230238 410378003 /nfs/dbraw/zinc/37/80/03/410378003.db2.gz XWXWYYWPPKYYCU-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN C[C@H](N[C@H]1CNc2ccccc2C1)c1ccoc1 ZINC000650750703 410392130 /nfs/dbraw/zinc/39/21/30/410392130.db2.gz JWMPIIPFWCBYEI-SMDDNHRTSA-N 0 3 242.322 2.967 20 0 BFADHN CC(C)(C)CCNCc1nccn1C(F)F ZINC000125240161 166570576 /nfs/dbraw/zinc/57/05/76/166570576.db2.gz CLMWFJKQCWSQTJ-UHFFFAOYSA-N 0 3 231.290 2.804 20 0 BFADHN CC1(C)CN(C[C@H]2CCOC2)[C@@H]1c1ccncc1 ZINC000664832342 410638190 /nfs/dbraw/zinc/63/81/90/410638190.db2.gz IQNPVPOVLNTIOH-TZMCWYRMSA-N 0 3 246.354 2.501 20 0 BFADHN C[C@H](CO)CN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661438295 410658825 /nfs/dbraw/zinc/65/88/25/410658825.db2.gz SZTVBMQQNKONNL-JSGCOSHPSA-N 0 3 249.354 2.825 20 0 BFADHN Cc1cc(F)ccc1CN[C@H]1COCC1(C)C ZINC000313065730 410695379 /nfs/dbraw/zinc/69/53/79/410695379.db2.gz NDFVXUZNGSKBSE-ZDUSSCGKSA-N 0 3 237.318 2.649 20 0 BFADHN CCC[C@@H](CN[C@H]1CCc2c1cccc2O)OC ZINC000393492575 410703860 /nfs/dbraw/zinc/70/38/60/410703860.db2.gz UKISNQKNQSTHKJ-FZMZJTMJSA-N 0 3 249.354 2.784 20 0 BFADHN C[C@@]12COC[C@]1(C)CN(Cc1ccsc1)C2 ZINC000661493948 410708108 /nfs/dbraw/zinc/70/81/08/410708108.db2.gz XXRIWDMWRFGIMU-BETUJISGSA-N 0 3 237.368 2.607 20 0 BFADHN C[C@]1(NCc2nccs2)CC=CCC1 ZINC000306604426 487618277 /nfs/dbraw/zinc/61/82/77/487618277.db2.gz FCGBVTNCXODNGE-NSHDSACASA-N 0 3 208.330 2.732 20 0 BFADHN C[C@H](c1ccncc1)N1C[C@]2(C)COC[C@]2(C)C1 ZINC000661500324 410713227 /nfs/dbraw/zinc/71/32/27/410713227.db2.gz DTQHALCNQMDBCE-YUELXQCFSA-N 0 3 246.354 2.501 20 0 BFADHN Fc1ccc([C@H]2CCN2CC2CCOCC2)cc1 ZINC000661513766 410720214 /nfs/dbraw/zinc/72/02/14/410720214.db2.gz PDBPYOMQTXEXKU-OAHLLOKOSA-N 0 3 249.329 2.999 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H](C3CCC3)C2)o1 ZINC000665290106 410775942 /nfs/dbraw/zinc/77/59/42/410775942.db2.gz JNKQFBVXYOMLED-CYBMUJFWSA-N 0 3 234.343 2.913 20 0 BFADHN COc1ccncc1CN1CC[C@H](C2CCC2)C1 ZINC000665290343 410777203 /nfs/dbraw/zinc/77/72/03/410777203.db2.gz UXROWWAIAMFJAB-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN C[C@H](NCc1ccon1)[C@@H]1CC2CCC1CC2 ZINC000394247094 410781408 /nfs/dbraw/zinc/78/14/08/410781408.db2.gz XLCQUZIFWLVOFD-BBCYWQGDSA-N 0 3 234.343 2.979 20 0 BFADHN CC(C)C(N[C@@H]1CCn2ccnc21)C(C)C ZINC000651273065 410893026 /nfs/dbraw/zinc/89/30/26/410893026.db2.gz ONQPQBPPPRURGN-LLVKDONJSA-N 0 3 221.348 2.598 20 0 BFADHN CC(C)CNCc1ccn(Cc2ccccc2)n1 ZINC000070709072 167009426 /nfs/dbraw/zinc/00/94/26/167009426.db2.gz HXKXWNISSOXCTR-UHFFFAOYSA-N 0 3 243.354 2.677 20 0 BFADHN CC(C)CNCc1nc(C(F)(F)F)cs1 ZINC000061800134 167009779 /nfs/dbraw/zinc/00/97/79/167009779.db2.gz AXUXPGHJXDSNGL-UHFFFAOYSA-N 0 3 238.278 2.908 20 0 BFADHN CC(C)CNCc1nc2ccccc2o1 ZINC000058660285 167010693 /nfs/dbraw/zinc/01/06/93/167010693.db2.gz OKNGRMSJGRVWLR-UHFFFAOYSA-N 0 3 204.273 2.573 20 0 BFADHN CC(C)CN[C@H](C)c1nc2ccccc2n1C ZINC000019883214 167013558 /nfs/dbraw/zinc/01/35/58/167013558.db2.gz MTFZWPKMMSJTIK-LLVKDONJSA-N 0 3 231.343 2.880 20 0 BFADHN CC(C)[NH2+]Cc1cc([O-])cc(C(F)(F)F)c1 ZINC000289751144 167116300 /nfs/dbraw/zinc/11/63/00/167116300.db2.gz FKLXWAOBYCSILX-UHFFFAOYSA-N 0 3 233.233 2.909 20 0 BFADHN CC(C)N[C@@H](C)c1ccc(Br)cn1 ZINC000279822521 167132004 /nfs/dbraw/zinc/13/20/04/167132004.db2.gz POHHYQYYHGKEGK-QMMMGPOBSA-N 0 3 243.148 2.903 20 0 BFADHN CC(C)N[C@H]1COc2ccc(Cl)cc21 ZINC000035652633 167142183 /nfs/dbraw/zinc/14/21/83/167142183.db2.gz YIFAUFAMSPDOOV-JTQLQIEISA-N 0 3 211.692 2.772 20 0 BFADHN CC[C@@H](NC1(COC)CC1)c1ccsc1 ZINC000651300805 410908579 /nfs/dbraw/zinc/90/85/79/410908579.db2.gz HHGJIPCZFRMVHK-LLVKDONJSA-N 0 3 225.357 2.968 20 0 BFADHN CC(C)OC(=O)[C@H]1CCCCN1C1CCCC1 ZINC000339990020 167170062 /nfs/dbraw/zinc/17/00/62/167170062.db2.gz JIRDAMBHUPFJFA-CYBMUJFWSA-N 0 3 239.359 2.735 20 0 BFADHN CC(C)OCCN1CCC[C@@H]1c1ccc[nH]1 ZINC000125366333 167231084 /nfs/dbraw/zinc/23/10/84/167231084.db2.gz BPHXGGMIMUXULK-CYBMUJFWSA-N 0 3 222.332 2.577 20 0 BFADHN CC(C)OCCN[C@@H]1CCCOc2ccccc21 ZINC000186643482 167243443 /nfs/dbraw/zinc/24/34/43/167243443.db2.gz YFDOCVNUKPLBPK-CQSZACIVSA-N 0 3 249.354 2.915 20 0 BFADHN CC(C)OCCN[C@H](C)c1ccc(Cl)nc1 ZINC000134949139 167244604 /nfs/dbraw/zinc/24/46/04/167244604.db2.gz ZHRFQXWTULVNEJ-SNVBAGLBSA-N 0 3 242.750 2.811 20 0 BFADHN CC(C)OCCN[C@H](C)c1ccc2n[nH]cc2c1 ZINC000353188023 167244867 /nfs/dbraw/zinc/24/48/67/167244867.db2.gz XTIXBENNADIIFW-LLVKDONJSA-N 0 3 247.342 2.639 20 0 BFADHN CC[C@@H](NCc1oc(C)nc1C)C1CC1 ZINC000311773927 487619341 /nfs/dbraw/zinc/61/93/41/487619341.db2.gz NMMZABWSZBQXNF-LLVKDONJSA-N 0 3 208.305 2.570 20 0 BFADHN CC(C)OC[C@@H](C)NCc1ccc2c(c1)COC2 ZINC000337279370 167257240 /nfs/dbraw/zinc/25/72/40/167257240.db2.gz GODMKULIACUJFP-GFCCVEGCSA-N 0 3 249.354 2.620 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H]1c2ccccc2O[C@H]1C ZINC000342437190 167259822 /nfs/dbraw/zinc/25/98/22/167259822.db2.gz NYMZVOMMYGWEKG-XUJVJEKNSA-N 0 3 249.354 2.912 20 0 BFADHN CC(C)Oc1ccc(CN2CCCOCC2)cc1 ZINC000371515599 167291727 /nfs/dbraw/zinc/29/17/27/167291727.db2.gz ASBVUCDEGRXWNT-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN CC(C)Oc1ccc(CN(C)[C@H]2CCOC2)cc1 ZINC000264439850 167292269 /nfs/dbraw/zinc/29/22/69/167292269.db2.gz QKQVQCOPGGBUIR-AWEZNQCLSA-N 0 3 249.354 2.695 20 0 BFADHN CC(C)Oc1ccc(CN[C@H]2CCCOC2)cc1 ZINC000103967139 167293784 /nfs/dbraw/zinc/29/37/84/167293784.db2.gz CYPPEAGSQGJITP-AWEZNQCLSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)Oc1ccc([C@@H](C)N[C@H]2CCOC2)cc1 ZINC000112758595 167299191 /nfs/dbraw/zinc/29/91/91/167299191.db2.gz RJRMEDKRSLZYJN-OCCSQVGLSA-N 0 3 249.354 2.913 20 0 BFADHN CC(C)SCCN1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000368476559 167328854 /nfs/dbraw/zinc/32/88/54/167328854.db2.gz DUDNMWGGHUYKKU-AAEUAGOBSA-N 0 3 243.416 2.627 20 0 BFADHN CC(C)SCCNCc1cccnc1Cl ZINC000290053504 167332876 /nfs/dbraw/zinc/33/28/76/167332876.db2.gz GRZYLOSLSVIVLG-UHFFFAOYSA-N 0 3 244.791 2.966 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1ccc(F)cn1 ZINC000276132580 167368159 /nfs/dbraw/zinc/36/81/59/167368159.db2.gz BKEKPPZEFVKRAM-SNVBAGLBSA-N 0 3 210.296 2.697 20 0 BFADHN CC(C)[C@@H](C)N[C@@H](C)c1ccncc1F ZINC000308859936 167383258 /nfs/dbraw/zinc/38/32/58/167383258.db2.gz RBUWXITUYFBMLW-ZJUUUORDSA-N 0 3 210.296 2.916 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1csc(C)c1 ZINC000651337881 410924406 /nfs/dbraw/zinc/92/44/06/410924406.db2.gz CRWNVXPWBXOGMF-ZMLRMANQSA-N 0 3 225.357 2.885 20 0 BFADHN CC[C@H](NCc1oc(C)nc1C)C1CC1 ZINC000311773928 487619506 /nfs/dbraw/zinc/61/95/06/487619506.db2.gz NMMZABWSZBQXNF-NSHDSACASA-N 0 3 208.305 2.570 20 0 BFADHN CC(C)c1cc(CN[C@@H]2C[C@H](C)[C@H]2C)on1 ZINC000309597269 410964384 /nfs/dbraw/zinc/96/43/84/410964384.db2.gz WMMAKEIOMSMBBM-OPQQBVKSSA-N 0 3 222.332 2.932 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2ccnc(C)c2)C1(C)C ZINC000651388397 410971116 /nfs/dbraw/zinc/97/11/16/410971116.db2.gz WBLGPOPBHJUADR-HIFRSBDPSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCOC2(C)C)c(C)n1 ZINC000651397311 410978663 /nfs/dbraw/zinc/97/86/63/410978663.db2.gz GUFBEUMRZZHRJP-GXTWGEPZSA-N 0 3 248.370 2.917 20 0 BFADHN CCN(CC)[C@@H](C)C(=O)Nc1cc(C)ccc1C ZINC000265577485 169795314 /nfs/dbraw/zinc/79/53/14/169795314.db2.gz MNGZCQHWEXURMZ-ZDUSSCGKSA-N 0 3 248.370 2.972 20 0 BFADHN CN(CCC(C)(C)C)Cc1cccnc1N ZINC000399846223 411064931 /nfs/dbraw/zinc/06/49/31/411064931.db2.gz NFSLGDSQBVZLTR-UHFFFAOYSA-N 0 3 221.348 2.532 20 0 BFADHN CCc1cc(N2CC[C@]3(CC3(F)F)C2)ccn1 ZINC000651488725 411069883 /nfs/dbraw/zinc/06/98/83/411069883.db2.gz CATVOHRRSXCSEY-LBPRGKRZSA-N 0 3 238.281 2.880 20 0 BFADHN CCc1cccc(CNCC2(F)CC2)c1 ZINC000652032914 411083776 /nfs/dbraw/zinc/08/37/76/411083776.db2.gz MMACYTLHIQZEJP-UHFFFAOYSA-N 0 3 207.292 2.841 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NC[C@@H]1CCCC1(F)F ZINC000651431846 411022675 /nfs/dbraw/zinc/02/26/75/411022675.db2.gz WKBGFTBBAPEUBD-SCZZXKLOSA-N 0 3 243.301 2.804 20 0 BFADHN C[C@H](C(=O)Nc1cccc(CN)c1)C(C)(C)C ZINC000399281579 411031072 /nfs/dbraw/zinc/03/10/72/411031072.db2.gz UNDLBJBJHIEFEX-SNVBAGLBSA-N 0 3 234.343 2.766 20 0 BFADHN CCC1(CN[C@H](C)c2cnc(C)s2)COC1 ZINC000651460824 411042977 /nfs/dbraw/zinc/04/29/77/411042977.db2.gz GRWNPFPPIFGRGU-SECBINFHSA-N 0 3 240.372 2.529 20 0 BFADHN COc1cc(OC)c(CN(C)C(C)C)cc1F ZINC000651606397 411129160 /nfs/dbraw/zinc/12/91/60/411129160.db2.gz JWDURRRBNJDNTF-UHFFFAOYSA-N 0 3 241.306 2.683 20 0 BFADHN Cc1cccc(CNCc2ccc(CO)cc2)c1 ZINC000107800393 411139487 /nfs/dbraw/zinc/13/94/87/411139487.db2.gz WRZVRIWKCNYEIZ-UHFFFAOYSA-N 0 3 241.334 2.777 20 0 BFADHN CC[C@@]1(C)CCC[C@H]1NCc1cc(C)ncn1 ZINC000651744922 411140631 /nfs/dbraw/zinc/14/06/31/411140631.db2.gz UKVDZPXFRMRAMN-KGLIPLIRSA-N 0 3 233.359 2.843 20 0 BFADHN COc1cc(OC)c(CNC(C)(C)C)cc1F ZINC000651749960 411141064 /nfs/dbraw/zinc/14/10/64/411141064.db2.gz QGDFZRDGKZHQME-UHFFFAOYSA-N 0 3 241.306 2.731 20 0 BFADHN CCOC[C@H](C)NCc1cccc2c1CCC2 ZINC000651767990 411142718 /nfs/dbraw/zinc/14/27/18/411142718.db2.gz TVOFZIOXXISDME-LBPRGKRZSA-N 0 3 233.355 2.690 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1cccc(CC)c1 ZINC000651786691 411143704 /nfs/dbraw/zinc/14/37/04/411143704.db2.gz IUFDLYHJCOBCOM-KGLIPLIRSA-N 0 3 219.328 2.516 20 0 BFADHN CCc1nc([C@@H](C)N2CC[C@@](C)(CC)C2)n[nH]1 ZINC000659999542 411163711 /nfs/dbraw/zinc/16/37/11/411163711.db2.gz CFDOQTBKSDBBJP-ZWNOBZJWSA-N 0 3 236.363 2.550 20 0 BFADHN CC[C@H]1CCN1Cc1cc2cnccc2o1 ZINC000651816547 411145040 /nfs/dbraw/zinc/14/50/40/411145040.db2.gz ZEXLHCBVAJUFNW-NSHDSACASA-N 0 3 216.284 2.812 20 0 BFADHN CC[C@@H]1CCN1Cc1cc2cnccc2o1 ZINC000651816546 411145092 /nfs/dbraw/zinc/14/50/92/411145092.db2.gz ZEXLHCBVAJUFNW-LLVKDONJSA-N 0 3 216.284 2.812 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1cc2cnccc2o1 ZINC000651845981 411147383 /nfs/dbraw/zinc/14/73/83/411147383.db2.gz BSJAPIPCQVCKPK-SKDRFNHKSA-N 0 3 248.351 2.811 20 0 BFADHN Cc1ccccc1C1(NCc2cn[nH]c2)CCC1 ZINC000651862408 411147581 /nfs/dbraw/zinc/14/75/81/411147581.db2.gz PMWHEWLRJLUTKJ-UHFFFAOYSA-N 0 3 241.338 2.887 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC12CCCC2 ZINC000651873631 411148280 /nfs/dbraw/zinc/14/82/80/411148280.db2.gz OWVYJQJCOUJHHN-UHFFFAOYSA-N 0 3 219.332 2.545 20 0 BFADHN Cn1cccc1CN1CCC12CCCC2 ZINC000651874303 411148387 /nfs/dbraw/zinc/14/83/87/411148387.db2.gz UEBMIFHKVYFGLL-UHFFFAOYSA-N 0 3 204.317 2.544 20 0 BFADHN Cc1ccc(CN2CCC[C@H](OC(C)C)C2)cn1 ZINC000651897636 411149728 /nfs/dbraw/zinc/14/97/28/411149728.db2.gz GLNUUZYNHRKAIS-HNNXBMFYSA-N 0 3 248.370 2.779 20 0 BFADHN CC(C)(C)C[C@@H]1C[C@H]1NCc1ccc(CO)o1 ZINC000651911738 411150238 /nfs/dbraw/zinc/15/02/38/411150238.db2.gz VERSLKKWVFNDMV-GXFFZTMASA-N 0 3 237.343 2.686 20 0 BFADHN CC[C@@H](CC(F)F)CN1C[C@H]2CC[C@@H](C1)O2 ZINC000659971559 411151253 /nfs/dbraw/zinc/15/12/53/411151253.db2.gz YJXFZOUHBTUSRW-GARJFASQSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@@H]1CC[C@H](C)N(CCO[C@H]2CC2(F)F)C1 ZINC000659969397 411151385 /nfs/dbraw/zinc/15/13/85/411151385.db2.gz BGDMAQRRMZTDQJ-VWYCJHECSA-N 0 3 233.302 2.531 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cc(C)nc(C)n1 ZINC000659974653 411151605 /nfs/dbraw/zinc/15/16/05/411151605.db2.gz ONPMJPHQRKOPQA-IINYFYTJSA-N 0 3 233.359 2.714 20 0 BFADHN CC[C@@H](N[C@@H]1COC2(CCC2)C1)c1ccncc1 ZINC000657885779 411153162 /nfs/dbraw/zinc/15/31/62/411153162.db2.gz BRCXYZGIPHGFEO-UONOGXRCSA-N 0 3 246.354 2.834 20 0 BFADHN CN1CCN([C@@H]2CCc3ccccc32)CC1(C)C ZINC000653660093 411159497 /nfs/dbraw/zinc/15/94/97/411159497.db2.gz HGWKKDWMTKMLRW-OAHLLOKOSA-N 0 3 244.382 2.700 20 0 BFADHN CCN(Cc1ccoc1C)[C@@H]1CCCOC1 ZINC000651948924 411159580 /nfs/dbraw/zinc/15/95/80/411159580.db2.gz ZSLXXHFGVZUNJM-CYBMUJFWSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H]1COC2(CCC2)C1 ZINC000657911026 411164909 /nfs/dbraw/zinc/16/49/09/411164909.db2.gz ZVQFDJDITORFQJ-CHWSQXEVSA-N 0 3 246.354 2.752 20 0 BFADHN CCN(Cc1ccoc1C)[C@H]1CCCOC1 ZINC000651948925 411160364 /nfs/dbraw/zinc/16/03/64/411160364.db2.gz ZSLXXHFGVZUNJM-ZDUSSCGKSA-N 0 3 223.316 2.589 20 0 BFADHN C[C@@H](NCc1cc(C(F)(F)F)n[nH]1)C(C)(C)C ZINC000652038854 411090780 /nfs/dbraw/zinc/09/07/80/411090780.db2.gz UOOOVRKLWPWIQU-SSDOTTSWSA-N 0 3 249.280 2.953 20 0 BFADHN c1nc(C2CC2)ncc1CNC1CC2(CCC2)C1 ZINC000652042063 411091664 /nfs/dbraw/zinc/09/16/64/411091664.db2.gz BFLXKCHTUSYLFE-UHFFFAOYSA-N 0 3 243.354 2.776 20 0 BFADHN CCc1nocc1CNC1CC2(CCC2)C1 ZINC000652042305 411092816 /nfs/dbraw/zinc/09/28/16/411092816.db2.gz YEEQRQLTMDXCCZ-UHFFFAOYSA-N 0 3 220.316 2.659 20 0 BFADHN Cc1ccc(CN(C)[C@@H](C)CCO)cc1Cl ZINC000652049728 411095765 /nfs/dbraw/zinc/09/57/65/411095765.db2.gz MMDRUIKVZIMWOI-NSHDSACASA-N 0 3 241.762 2.851 20 0 BFADHN C[C@@H](CCO)N(C)C/C=C\c1ccc(F)cc1 ZINC000652047947 411098924 /nfs/dbraw/zinc/09/89/24/411098924.db2.gz AYMYVYHTAPXNME-MVZIDQBPSA-N 0 3 237.318 2.542 20 0 BFADHN C[C@@H](CCO)N(C)Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000652047748 411099028 /nfs/dbraw/zinc/09/90/28/411099028.db2.gz WOIRDMYGJDLBMR-MDZLAQPJSA-N 0 3 237.343 2.606 20 0 BFADHN C[C@H](CCO)N(C)Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000652047749 411099449 /nfs/dbraw/zinc/09/94/49/411099449.db2.gz WOIRDMYGJDLBMR-NQBHXWOUSA-N 0 3 237.343 2.606 20 0 BFADHN Oc1ccc(CN2CC[C@@]3(CC3(F)F)C2)cc1 ZINC000652063125 411103110 /nfs/dbraw/zinc/10/31/10/411103110.db2.gz FGAGUIUIDNTFKU-GFCCVEGCSA-N 0 3 239.265 2.623 20 0 BFADHN Oc1cccc(CN2CC[C@]3(CC3(F)F)C2)c1 ZINC000652062669 411103147 /nfs/dbraw/zinc/10/31/47/411103147.db2.gz RTICGKRXRNNWQX-LBPRGKRZSA-N 0 3 239.265 2.623 20 0 BFADHN Cc1ccc(CN2CC[C@@]3(CC3(F)F)C2)cn1 ZINC000652063540 411103315 /nfs/dbraw/zinc/10/33/15/411103315.db2.gz OTQZUZVWAMCZEA-GFCCVEGCSA-N 0 3 238.281 2.621 20 0 BFADHN Cc1cnccc1CN1CC[C@@]2(CC2(F)F)C1 ZINC000652064255 411103834 /nfs/dbraw/zinc/10/38/34/411103834.db2.gz JKKKWENOULUYTI-GFCCVEGCSA-N 0 3 238.281 2.621 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@]1(C)CC ZINC000652204285 411168234 /nfs/dbraw/zinc/16/82/34/411168234.db2.gz MNKSFILHCSPITJ-OCCSQVGLSA-N 0 3 235.375 2.961 20 0 BFADHN Cc1cc(CN[C@H](C)C(C)(C)C(F)F)ncn1 ZINC000657931735 411170399 /nfs/dbraw/zinc/17/03/99/411170399.db2.gz IDJBPOGNBASQCH-SECBINFHSA-N 0 3 243.301 2.554 20 0 BFADHN C[C@H](N[C@H]1CCO[C@H]1C)c1cc2cnccc2o1 ZINC000657937844 411173140 /nfs/dbraw/zinc/17/31/40/411173140.db2.gz WFHKORXOBIJWAJ-NHCYSSNCSA-N 0 3 246.310 2.656 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H](C)C2CCC2)cn1 ZINC000309566054 411175425 /nfs/dbraw/zinc/17/54/25/411175425.db2.gz MDQHIUMUATZUMM-QWRGUYRKSA-N 0 3 219.332 2.624 20 0 BFADHN CC(C)=CCCN1CCS[C@@H]2COCC[C@@H]21 ZINC000660016540 411176660 /nfs/dbraw/zinc/17/66/60/411176660.db2.gz GRXCRENRIOXIAN-QWHCGFSZSA-N 0 3 241.400 2.549 20 0 BFADHN CC(C)=CCCN1CCS[C@H]2COCC[C@H]21 ZINC000660016539 411176684 /nfs/dbraw/zinc/17/66/84/411176684.db2.gz GRXCRENRIOXIAN-OLZOCXBDSA-N 0 3 241.400 2.549 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1ccc(=O)[nH]n1 ZINC000652240864 411178423 /nfs/dbraw/zinc/17/84/23/411178423.db2.gz NYVLUQRZOSUQQI-JBLDHEPKSA-N 0 3 249.358 2.658 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1ccn(C)n1 ZINC000652240470 411178826 /nfs/dbraw/zinc/17/88/26/411178826.db2.gz CPMUOKBYKMAWBR-GMXVVIOVSA-N 0 3 235.375 2.895 20 0 BFADHN CC(C)n1cc(CN[C@@H]2CCC2(C)C)cn1 ZINC000336648010 167750922 /nfs/dbraw/zinc/75/09/22/167750922.db2.gz FZGRXEJCCAHZDN-GFCCVEGCSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cncc([C@@H](C)N[C@H](C)COCC2CC2)c1 ZINC000652287100 411187842 /nfs/dbraw/zinc/18/78/42/411187842.db2.gz NMRUZGOTYAJJKL-CHWSQXEVSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@@H](COCC1CC1)N[C@@H](C)c1ccco1 ZINC000652286073 411187930 /nfs/dbraw/zinc/18/79/30/411187930.db2.gz LWGSAHVMNKGOER-QWRGUYRKSA-N 0 3 223.316 2.745 20 0 BFADHN C[C@H](COCC1CC1)N[C@H](C)c1ccoc1 ZINC000652285948 411188369 /nfs/dbraw/zinc/18/83/69/411188369.db2.gz IQDBPFUCRDAMPI-GHMZBOCLSA-N 0 3 223.316 2.745 20 0 BFADHN CC1(C)CC(CN[C@H]2CCCn3ccnc32)C1 ZINC000655758295 411189244 /nfs/dbraw/zinc/18/92/44/411189244.db2.gz VUIPECRRZUQIAG-LBPRGKRZSA-N 0 3 233.359 2.744 20 0 BFADHN CC(C)CC[C@H](C)N[C@@H]1C[C@@H](C)n2ncnc21 ZINC000658098174 411244268 /nfs/dbraw/zinc/24/42/68/411244268.db2.gz MGUITAYYQHBUEJ-QJPTWQEYSA-N 0 3 236.363 2.698 20 0 BFADHN C[C@H](O)CCCN[C@@H](C)c1cc2cnccc2o1 ZINC000657996714 411192667 /nfs/dbraw/zinc/19/26/67/411192667.db2.gz FGTXHQUKYNAZHZ-QWRGUYRKSA-N 0 3 248.326 2.639 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](CO)CCF)cc1C ZINC000652305799 411195526 /nfs/dbraw/zinc/19/55/26/411195526.db2.gz JEMDWMZYMMGCCZ-TZMCWYRMSA-N 0 3 239.334 2.675 20 0 BFADHN CCCC(CCC)N[C@H]1C[C@H](C)n2ncnc21 ZINC000658098023 411244691 /nfs/dbraw/zinc/24/46/91/411244691.db2.gz LKZGDFBPRSDJTQ-JQWIXIFHSA-N 0 3 236.363 2.842 20 0 BFADHN Cc1ccc(C)c([C@H](C)N[C@H](CO)CCF)c1 ZINC000652306012 411196231 /nfs/dbraw/zinc/19/62/31/411196231.db2.gz MWGLMNUFKROJQL-STQMWFEESA-N 0 3 239.334 2.675 20 0 BFADHN CCCC[C@H](CC)N[C@H]1C[C@H](C)n2ncnc21 ZINC000658099227 411244925 /nfs/dbraw/zinc/24/49/25/411244925.db2.gz VRHHLQPKRRCOCE-SRVKXCTJSA-N 0 3 236.363 2.842 20 0 BFADHN CCC1CCC(N[C@H]2C[C@H](C)n3ncnc32)CC1 ZINC000658098208 411245024 /nfs/dbraw/zinc/24/50/24/411245024.db2.gz MRTFVJPCGPBBNT-WTIISPKJSA-N 0 3 248.374 2.842 20 0 BFADHN Cc1nccnc1[C@@H](C)NC1CC2(CCC2)C1 ZINC000652327946 411202567 /nfs/dbraw/zinc/20/25/67/411202567.db2.gz PFVXDZGICQBJPC-LLVKDONJSA-N 0 3 231.343 2.768 20 0 BFADHN CC[C@H](NCc1cnccc1C)[C@@H]1CCCCO1 ZINC000653875438 411204962 /nfs/dbraw/zinc/20/49/62/411204962.db2.gz VYJIVYUCBLSDRM-GJZGRUSLSA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@@H](NCc1cnccc1C)[C@H]1CCCCO1 ZINC000653875439 411205186 /nfs/dbraw/zinc/20/51/86/411205186.db2.gz VYJIVYUCBLSDRM-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN C[C@@H]1C[C@H](NC2CCC(C)(C)CC2)c2ncnn21 ZINC000658100276 411245592 /nfs/dbraw/zinc/24/55/92/411245592.db2.gz GRMVYJMKLIKASE-PWSUYJOCSA-N 0 3 248.374 2.842 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CC[C@@H](C)C[C@@H]2C)c2ncnn21 ZINC000658100762 411245599 /nfs/dbraw/zinc/24/55/99/411245599.db2.gz IZWDXGJENIHAGE-RXGFPQBGSA-N 0 3 248.374 2.698 20 0 BFADHN COC1([C@@H](C)N[C@@H]2CCCC[C@H]2F)CCC1 ZINC000658034635 411209610 /nfs/dbraw/zinc/20/96/10/411209610.db2.gz KUKVNBOBFAWARF-IJLUTSLNSA-N 0 3 229.339 2.814 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCC[C@@H](C)[C@@H]2C)c2ncnn21 ZINC000658102967 411246052 /nfs/dbraw/zinc/24/60/52/411246052.db2.gz SPEOBSCDRSDRLX-NAWOPXAZSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCC(C)(C)C2)c2ncnn21 ZINC000658102942 411246066 /nfs/dbraw/zinc/24/60/66/411246066.db2.gz SGSQQXQDVFZVMX-IJLUTSLNSA-N 0 3 248.374 2.842 20 0 BFADHN Fc1ccc(N[C@H]2CCCN3CCCC[C@@H]23)nc1 ZINC000656226698 411213008 /nfs/dbraw/zinc/21/30/08/411213008.db2.gz NJDBYPAEQCTWOK-STQMWFEESA-N 0 3 249.333 2.650 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2C[C@H](C)C[C@H](C)C2)c2ncnn21 ZINC000658101634 411246175 /nfs/dbraw/zinc/24/61/75/411246175.db2.gz MHOZHIJUUOCSOC-FHUSYTEZSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@@H](CF)NCc1cccc2cnccc21 ZINC000309726773 411219316 /nfs/dbraw/zinc/21/93/16/411219316.db2.gz DHESIKNBSVUTPC-JTQLQIEISA-N 0 3 218.275 2.682 20 0 BFADHN Cc1nc(CN(CC2CC2)CC2CC2)co1 ZINC000660073862 411220437 /nfs/dbraw/zinc/22/04/37/411220437.db2.gz FVMNZAICLFGVPS-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN C[C@H](NC[C@H]1CCN1C(C)(C)C)c1ccoc1 ZINC000658053925 411221492 /nfs/dbraw/zinc/22/14/92/411221492.db2.gz BYVXNWMSUZAZLY-WCQYABFASA-N 0 3 236.359 2.803 20 0 BFADHN C[C@@H](NCc1nc2c(s1)CCCC2)C1CC1 ZINC000309743452 411222056 /nfs/dbraw/zinc/22/20/56/411222056.db2.gz DXKAJVCNJWECJQ-SECBINFHSA-N 0 3 236.384 2.910 20 0 BFADHN COc1cc(C)nc(CNC2(C)CC=CC2)c1 ZINC000656268065 411222478 /nfs/dbraw/zinc/22/24/78/411222478.db2.gz WERGEOXUWXDZTK-UHFFFAOYSA-N 0 3 232.327 2.597 20 0 BFADHN CC(C)[C@H](N[C@H]1COC(C)(C)C1)c1cccnc1 ZINC000658057866 411223416 /nfs/dbraw/zinc/22/34/16/411223416.db2.gz JSQKZYKWDUURSI-KGLIPLIRSA-N 0 3 248.370 2.936 20 0 BFADHN CC(C)[C@H](N[C@@H]1COC(C)(C)C1)c1cccnc1 ZINC000658057864 411224028 /nfs/dbraw/zinc/22/40/28/411224028.db2.gz JSQKZYKWDUURSI-KBPBESRZSA-N 0 3 248.370 2.936 20 0 BFADHN COC1CC(CCN[C@@H](C)c2ccccn2)C1 ZINC000658054126 411224205 /nfs/dbraw/zinc/22/42/05/411224205.db2.gz BDUKDOLWZOLLBX-HIFPTAJRSA-N 0 3 234.343 2.547 20 0 BFADHN COc1ccc2c(c1)CN(C[C@@H]1C[C@@H]1C)C2 ZINC000656274986 411225357 /nfs/dbraw/zinc/22/53/57/411225357.db2.gz IKRSMKWKQWLDAE-JQWIXIFHSA-N 0 3 217.312 2.667 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ccco2)CS1 ZINC000655683555 411225754 /nfs/dbraw/zinc/22/57/54/411225754.db2.gz HBLRDCSGRGHPMQ-NXEZZACHSA-N 0 3 211.330 2.653 20 0 BFADHN Cn1cccc1CN1CCC[C@@H](OC2CCC2)C1 ZINC000656271893 411226043 /nfs/dbraw/zinc/22/60/43/411226043.db2.gz MLZKXMATGRWUMB-OAHLLOKOSA-N 0 3 248.370 2.559 20 0 BFADHN COC1CC(CCN[C@@H](C)c2ccco2)C1 ZINC000658060120 411226914 /nfs/dbraw/zinc/22/69/14/411226914.db2.gz JSNGPZVSRQTDCY-UNXYVOJBSA-N 0 3 223.316 2.745 20 0 BFADHN C[C@H](CN[C@H](C)c1cncs1)c1ccccn1 ZINC000658062635 411228416 /nfs/dbraw/zinc/22/84/16/411228416.db2.gz GNJNOBBJPRIMPN-GHMZBOCLSA-N 0 3 247.367 2.992 20 0 BFADHN CC1(C)C(CN[C@H]2CCCn3ccnc32)C1(C)C ZINC000655764480 411232820 /nfs/dbraw/zinc/23/28/20/411232820.db2.gz IQCSXOACBXSCET-NSHDSACASA-N 0 3 247.386 2.990 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1COC2(CCC2)C1 ZINC000657911028 411165320 /nfs/dbraw/zinc/16/53/20/411165320.db2.gz ZVQFDJDITORFQJ-QWHCGFSZSA-N 0 3 246.354 2.752 20 0 BFADHN CO[C@@H](C)CN[C@H]1CCc2c1cc(F)cc2F ZINC000656683370 411326926 /nfs/dbraw/zinc/32/69/26/411326926.db2.gz IEBFWKHWZAJNLS-SDBXPKJASA-N 0 3 241.281 2.577 20 0 BFADHN Cc1cccc2c1[C@H](C)C[C@H]2NC/C=C\CO ZINC000658139394 411262916 /nfs/dbraw/zinc/26/29/16/411262916.db2.gz PGDSGFYNDAUROE-VPOWOMEUSA-N 0 3 231.339 2.681 20 0 BFADHN Cc1cccc2c1[C@H](C)C[C@@H]2NC/C=C\CO ZINC000658139391 411263743 /nfs/dbraw/zinc/26/37/43/411263743.db2.gz PGDSGFYNDAUROE-VFFUXOFRSA-N 0 3 231.339 2.681 20 0 BFADHN CC[C@H](CC(F)F)CN1CCOC[C@@H]1C1CC1 ZINC000660151884 411266003 /nfs/dbraw/zinc/26/60/03/411266003.db2.gz XLBUKLKNZXWDBX-ZYHUDNBSSA-N 0 3 247.329 2.779 20 0 BFADHN CC[C@H](N)c1cn([C@@]2(C)CCCC[C@H]2C)nn1 ZINC000656459614 411269551 /nfs/dbraw/zinc/26/95/51/411269551.db2.gz WGCTUDHKCQHZKY-MDZLAQPJSA-N 0 3 236.363 2.613 20 0 BFADHN C[C@@H](NC[C@H]1CCC[C@@H](C)O1)c1ccncc1 ZINC000656686233 411327741 /nfs/dbraw/zinc/32/77/41/411327741.db2.gz FXUGEUDNYQBRCD-YRGRVCCFSA-N 0 3 234.343 2.690 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H]2CCCc3cccnc32)C1 ZINC000658161282 411273982 /nfs/dbraw/zinc/27/39/82/411273982.db2.gz LCDUNBMXYVYYGS-IHRRRGAJSA-N 0 3 246.354 2.616 20 0 BFADHN CC(C)c1cccc(CN2C[C@H](O)[C@@H](C)C2)c1 ZINC000660166538 411274271 /nfs/dbraw/zinc/27/42/71/411274271.db2.gz SXWZYBHGCLWLRF-WFASDCNBSA-N 0 3 233.355 2.623 20 0 BFADHN FC1=CCCN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)C1 ZINC000660167299 411274924 /nfs/dbraw/zinc/27/49/24/411274924.db2.gz OMYPFYSPCKSLQA-UTUOFQBUSA-N 0 3 209.308 2.982 20 0 BFADHN Fc1cccc([C@@H]2CCN2CCc2cn[nH]c2)c1 ZINC000656453917 411276087 /nfs/dbraw/zinc/27/60/87/411276087.db2.gz BYDJMTOJTIGDKX-AWEZNQCLSA-N 0 3 245.301 2.538 20 0 BFADHN FC(F)(F)CCCN[C@H]1CCCn2ccnc21 ZINC000655718152 411279273 /nfs/dbraw/zinc/27/92/73/411279273.db2.gz KINWSHLBLMRMPH-VIFPVBQESA-N 0 3 247.264 2.650 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@]1(C)CC1(C)C ZINC000658304431 411328376 /nfs/dbraw/zinc/32/83/76/411328376.db2.gz PETSABUHMRRCLE-ZWNOBZJWSA-N 0 3 219.332 2.624 20 0 BFADHN CCn1cc(CN[C@H](C)CCCC(C)C)nn1 ZINC000658178017 411283724 /nfs/dbraw/zinc/28/37/24/411283724.db2.gz ZKUAIQMZNPYQDC-GFCCVEGCSA-N 0 3 238.379 2.602 20 0 BFADHN CCCC[C@H]1CCC[C@@H]1NCc1cnccn1 ZINC000656496444 411287460 /nfs/dbraw/zinc/28/74/60/411287460.db2.gz MJDWLXBITUJKEG-JSGCOSHPSA-N 0 3 233.359 2.925 20 0 BFADHN c1cn2c(n1)[C@@H](NC[C@@H]1C[C@H]1C1CCC1)CCC2 ZINC000655776911 411287540 /nfs/dbraw/zinc/28/75/40/411287540.db2.gz NHIQSEJNSSFQJR-IHRRRGAJSA-N 0 3 245.370 2.744 20 0 BFADHN CC1(C)CCC[C@@H]1N[C@H]1CCCn2ccnc21 ZINC000655720626 411289247 /nfs/dbraw/zinc/28/92/47/411289247.db2.gz BBHPWSCMOGHPJD-RYUDHWBXSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1ncc([C@H](C)NCCc2ccoc2)s1 ZINC000658198767 411290453 /nfs/dbraw/zinc/29/04/53/411290453.db2.gz HRDJSRVTGXGJEO-VIFPVBQESA-N 0 3 236.340 2.938 20 0 BFADHN Cc1ccncc1[C@H](C)NCCc1ccoc1 ZINC000658199401 411290529 /nfs/dbraw/zinc/29/05/29/411290529.db2.gz JMWPJMJPUFOQBK-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN c1cnc(CN[C@@H]2C[C@@H]2C2CCCCC2)cn1 ZINC000656528791 411290633 /nfs/dbraw/zinc/29/06/33/411290633.db2.gz YLAUMVVRCPKWKN-ZIAGYGMSSA-N 0 3 231.343 2.535 20 0 BFADHN COc1cc([C@@H](C)NCCc2ccoc2)ccn1 ZINC000658201925 411291295 /nfs/dbraw/zinc/29/12/95/411291295.db2.gz RRGPOMVJUXIQEP-LLVKDONJSA-N 0 3 246.310 2.577 20 0 BFADHN Cc1cncc([C@@H](C)NCCc2ccoc2)c1 ZINC000658200426 411291484 /nfs/dbraw/zinc/29/14/84/411291484.db2.gz NNUATZAOCSTTTH-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)CCC1CC1 ZINC000186275377 411292986 /nfs/dbraw/zinc/29/29/86/411292986.db2.gz BPBHBXHOTRLEGA-VIFPVBQESA-N 0 3 222.332 2.960 20 0 BFADHN CCn1cc([C@@H](C)N[C@@]2(C)CC2(C)C)cn1 ZINC000658306549 411329579 /nfs/dbraw/zinc/32/95/79/411329579.db2.gz DVBXCPGPZSFMKX-MFKMUULPSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@H](NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccn(C)n1 ZINC000658206483 411293317 /nfs/dbraw/zinc/29/33/17/411293317.db2.gz OMEPGTVWSPHRAG-RFGFWPKPSA-N 0 3 247.386 2.897 20 0 BFADHN C[C@@H](N[C@@]1(C)CC1(C)C)c1cncs1 ZINC000658306202 411329676 /nfs/dbraw/zinc/32/96/76/411329676.db2.gz DEQYCPGAYGOIEN-KCJUWKMLSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@@H](CN1CC(C(F)F)C1)c1ccccc1 ZINC000656690289 411329793 /nfs/dbraw/zinc/32/97/93/411329793.db2.gz HUMPDBRGYZQMHL-JTQLQIEISA-N 0 3 225.282 2.987 20 0 BFADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1ccoc1 ZINC000658216234 411296280 /nfs/dbraw/zinc/29/62/80/411296280.db2.gz APRYOPRDVYNZHN-PSASIEDQSA-N 0 3 215.243 2.976 20 0 BFADHN C[C@@H](NC[C@H]1CCC[C@@H](C)O1)c1cncs1 ZINC000658216974 411297156 /nfs/dbraw/zinc/29/71/56/411297156.db2.gz BUBPHZFBUSHPPM-GMTAPVOTSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H](NC[C@@]1(C)CC1(F)F)c1nccn1C ZINC000658217915 411297835 /nfs/dbraw/zinc/29/78/35/411297835.db2.gz HURYOCNPUNDGFA-GXSJLCMTSA-N 0 3 243.301 2.506 20 0 BFADHN CO[C@@H](C)CCNCc1cccc(Cl)c1F ZINC000268313221 411297974 /nfs/dbraw/zinc/29/79/74/411297974.db2.gz CGUQWKFWPVZESR-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN COc1ccccc1[C@@H](CO)NCCC=C(C)C ZINC000660235802 411301456 /nfs/dbraw/zinc/30/14/56/411301456.db2.gz IAVWSSQYFKJDPZ-CQSZACIVSA-N 0 3 249.354 2.675 20 0 BFADHN C[C@H](NCC[C@]1(C)CC1(F)F)c1ccncn1 ZINC000658222828 411301792 /nfs/dbraw/zinc/30/17/92/411301792.db2.gz RUNUOWKUBPXJFU-GXSJLCMTSA-N 0 3 241.285 2.563 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1nccc(OC)c1F ZINC000658224771 411303457 /nfs/dbraw/zinc/30/34/57/411303457.db2.gz DFVKMQNWRGCMKE-NXEZZACHSA-N 0 3 240.322 2.754 20 0 BFADHN Cc1cc([C@H](C)NCCCC2CCC2)nn1C ZINC000658225453 411304776 /nfs/dbraw/zinc/30/47/76/411304776.db2.gz ZFKUJZGVTRRPEK-LBPRGKRZSA-N 0 3 235.375 2.959 20 0 BFADHN CC[C@@H](N[C@@H](CC)C1CC1)c1ccn(C)n1 ZINC000658233375 411305147 /nfs/dbraw/zinc/30/51/47/411305147.db2.gz KQCOFTYXUUOKNB-NWDGAFQWSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@H](N[C@@H]1CCc2ccc(O)cc21)[C@H]1CCCO1 ZINC000658235396 411305296 /nfs/dbraw/zinc/30/52/96/411305296.db2.gz DBQGHHKISRGHMX-COLVAYQJSA-N 0 3 247.338 2.537 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)[C@H](C)CO1 ZINC000659822299 411305327 /nfs/dbraw/zinc/30/53/27/411305327.db2.gz SANARHHFFDMZJY-KSTCHIGDSA-N 0 3 223.360 2.532 20 0 BFADHN CC1=C[C@H](C)CN(Cc2ccc3c(n2)CCC3)C1 ZINC000656586826 411305599 /nfs/dbraw/zinc/30/55/99/411305599.db2.gz JNSSBGNEIJGDAN-LBPRGKRZSA-N 0 3 242.366 2.968 20 0 BFADHN CC1(C)C[C@@H](N2CCc3sccc3C2)CO1 ZINC000659824531 411307968 /nfs/dbraw/zinc/30/79/68/411307968.db2.gz DNFXOXYAIFOXFX-LLVKDONJSA-N 0 3 237.368 2.674 20 0 BFADHN CO[C@@H](CN(C)Cc1ccc(C)o1)C1CCC1 ZINC000659826389 411308557 /nfs/dbraw/zinc/30/85/57/411308557.db2.gz GYHQTRYSMJWCLS-AWEZNQCLSA-N 0 3 237.343 2.835 20 0 BFADHN COc1ccc(CN(C)[C@H]2COC(C)(C)C2)cc1 ZINC000659829236 411312250 /nfs/dbraw/zinc/31/22/50/411312250.db2.gz NQVDMNPZBQOSJW-CYBMUJFWSA-N 0 3 249.354 2.695 20 0 BFADHN CC1(C)CCC[C@@H]1NCc1cscn1 ZINC000086831098 167909727 /nfs/dbraw/zinc/90/97/27/167909727.db2.gz QDIMBTRGZVJHPW-JTQLQIEISA-N 0 3 210.346 2.811 20 0 BFADHN C[C@@H](O)CN[C@@H]1CCCc2sc(Cl)cc21 ZINC000132264878 411313605 /nfs/dbraw/zinc/31/36/05/411313605.db2.gz UXHYUNZHNDAVQB-VXNVDRBHSA-N 0 3 245.775 2.749 20 0 BFADHN COC(=O)CN(CCC(C)C)C1CCCCC1 ZINC000604503467 411314144 /nfs/dbraw/zinc/31/41/44/411314144.db2.gz XPBLNBADYVSTIU-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1ccncc1 ZINC000656691860 411331925 /nfs/dbraw/zinc/33/19/25/411331925.db2.gz YIXFFTGTQNWCIG-KOLCDFICSA-N 0 3 226.270 2.778 20 0 BFADHN CC1(C)CCC[C@H]1N[C@@H](CCO)c1ccco1 ZINC000186517809 167915620 /nfs/dbraw/zinc/91/56/20/167915620.db2.gz OLDPOAHQJSEXLR-WCQYABFASA-N 0 3 237.343 2.871 20 0 BFADHN CC(C)CC1(N[C@H]2CCCn3ccnc32)CC1 ZINC000658319922 411332148 /nfs/dbraw/zinc/33/21/48/411332148.db2.gz PFJKMMMNIGYIDV-LBPRGKRZSA-N 0 3 233.359 2.886 20 0 BFADHN CC(C)CC1(N[C@@H](C)c2ccncn2)CC1 ZINC000658320096 411332449 /nfs/dbraw/zinc/33/24/49/411332449.db2.gz YLKCDNSLEOJZAH-NSHDSACASA-N 0 3 219.332 2.706 20 0 BFADHN CC[C@@H](C)CCN[C@H](C)c1cnccn1 ZINC000656680171 411320079 /nfs/dbraw/zinc/32/00/79/411320079.db2.gz OWVUYKSMHIJLEB-GHMZBOCLSA-N 0 3 207.321 2.563 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccccn1)C1CCCC1 ZINC000658317743 411332467 /nfs/dbraw/zinc/33/24/67/411332467.db2.gz WLLZPNGETMCOAO-IUODEOHRSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)CC1(N[C@H](C)c2ccn(C)n2)CC1 ZINC000658319767 411332476 /nfs/dbraw/zinc/33/24/76/411332476.db2.gz GRGDXWPHJGDDLS-LLVKDONJSA-N 0 3 221.348 2.649 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@H]2C)cc1O ZINC000162910750 411332516 /nfs/dbraw/zinc/33/25/16/411332516.db2.gz JRNIGOOVCNGNOJ-GHMZBOCLSA-N 0 3 235.327 2.631 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1c(OC)cccc1OC ZINC000658118486 411250263 /nfs/dbraw/zinc/25/02/63/411250263.db2.gz GDSIZPDEQDUJAV-YPMHNXCESA-N 0 3 249.354 2.982 20 0 BFADHN COc1cccc(OC)c1CN[C@@H](C)CC1CC1 ZINC000658121285 411250389 /nfs/dbraw/zinc/25/03/89/411250389.db2.gz OQGFXDBFTRWSIF-NSHDSACASA-N 0 3 249.354 2.982 20 0 BFADHN CC[C@@H](NCc1c(OC)cccc1OC)C1CC1 ZINC000658122825 411250966 /nfs/dbraw/zinc/25/09/66/411250966.db2.gz WKERVRZSCTWPKE-CYBMUJFWSA-N 0 3 249.354 2.982 20 0 BFADHN CC[C@@H](C)CCN[C@H](CC)c1nccn1C ZINC000658122165 411251083 /nfs/dbraw/zinc/25/10/83/411251083.db2.gz RTFKYHDMYTXOED-VXGBXAGGSA-N 0 3 223.364 2.897 20 0 BFADHN Cc1ccoc1CN[C@@H]1CC[C@H](C)SC1 ZINC000655769379 411251261 /nfs/dbraw/zinc/25/12/61/411251261.db2.gz HBCDDBHAMYRBNH-WDEREUQCSA-N 0 3 225.357 2.962 20 0 BFADHN CC[C@H](C)CCN[C@@H](C)c1ccn(C)n1 ZINC000658123551 411252351 /nfs/dbraw/zinc/25/23/51/411252351.db2.gz KOLHGYBZOKBVBB-QWRGUYRKSA-N 0 3 209.337 2.507 20 0 BFADHN Cc1ccncc1CCN[C@H](C)c1nccs1 ZINC000658125208 411253225 /nfs/dbraw/zinc/25/32/25/411253225.db2.gz GYKHRFPBOOSTFM-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1ccncc1CCN[C@@H](C)c1cncs1 ZINC000658127116 411254218 /nfs/dbraw/zinc/25/42/18/411254218.db2.gz RKHXYRIKJOKIFI-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1ccncc1CCN[C@H](C)c1cncs1 ZINC000658127115 411254348 /nfs/dbraw/zinc/25/43/48/411254348.db2.gz RKHXYRIKJOKIFI-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cc(C)cc([C@H](C)NC/C=C/CO)c1 ZINC000658131937 411254931 /nfs/dbraw/zinc/25/49/31/411254931.db2.gz FIBMZDPZQFWCIG-IHVVCDCBSA-N 0 3 219.328 2.503 20 0 BFADHN C[C@H](NC/C=C/CO)c1cccc(Cl)c1 ZINC000658131760 411254980 /nfs/dbraw/zinc/25/49/80/411254980.db2.gz GKCLHEHRMAMIHS-PBKGFPTLSA-N 0 3 225.719 2.539 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@H](C)SC2)o1 ZINC000655773712 411255177 /nfs/dbraw/zinc/25/51/77/411255177.db2.gz DTCYLRVUVKSDSW-VHSXEESVSA-N 0 3 240.372 2.611 20 0 BFADHN CCc1ccc([C@@H](C)NC/C=C\CO)s1 ZINC000658131840 411255118 /nfs/dbraw/zinc/25/51/18/411255118.db2.gz DWDBYJLSKSQTGV-UMCURTJPSA-N 0 3 225.357 2.510 20 0 BFADHN CC(C)C[C@H](NC/C=C/CO)c1ccccc1 ZINC000658131925 411255324 /nfs/dbraw/zinc/25/53/24/411255324.db2.gz FFLQGSPCTMASQS-LFAOLKIESA-N 0 3 233.355 2.912 20 0 BFADHN CCC(C)(C)[C@@H]1CCCN1Cc1cnccn1 ZINC000656446261 411255408 /nfs/dbraw/zinc/25/54/08/411255408.db2.gz WUGDICYFYOQVCL-ZDUSSCGKSA-N 0 3 233.359 2.877 20 0 BFADHN CC[C@@H](NC/C=C\CO)c1cccc(Cl)c1 ZINC000658132087 411255463 /nfs/dbraw/zinc/25/54/63/411255463.db2.gz IPXVBEHUKMELBS-DHCBQETCSA-N 0 3 239.746 2.929 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H]1CCCn2ccnc21 ZINC000655705356 411255850 /nfs/dbraw/zinc/25/58/50/411255850.db2.gz GPKHSXCFRWLLNP-TUAOUCFPSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cc([C@H](C)NC/C=C\CO)c(C)s1 ZINC000658132428 411256238 /nfs/dbraw/zinc/25/62/38/411256238.db2.gz LPRATJBBPBYKPA-LWTINBJPSA-N 0 3 225.357 2.564 20 0 BFADHN CCC[C@@H](NC/C=C\CO)c1ccccc1 ZINC000658132161 411256286 /nfs/dbraw/zinc/25/62/86/411256286.db2.gz JELCXHVCPFVNMW-LMVHVUTASA-N 0 3 219.328 2.666 20 0 BFADHN CCc1ccc([C@@H](CC)NC/C=C/CO)cc1 ZINC000658132894 411256675 /nfs/dbraw/zinc/25/66/75/411256675.db2.gz JUOZUCILVMWWBF-LLYBFZRZSA-N 0 3 233.355 2.838 20 0 BFADHN Cc1cc(C)c([C@@H](C)NC/C=C/CO)cc1C ZINC000658132785 411256915 /nfs/dbraw/zinc/25/69/15/411256915.db2.gz NAKDYJDZFPDYGR-VBROQKIQSA-N 0 3 233.355 2.811 20 0 BFADHN CC[C@H](NC/C=C/CO)c1cc(C)ccc1OC ZINC000658133244 411257689 /nfs/dbraw/zinc/25/76/89/411257689.db2.gz CSFKCYJJGPOCLR-GJBLVYBDSA-N 0 3 249.354 2.593 20 0 BFADHN CCCc1ccc([C@@H](C)NC/C=C\CO)cc1 ZINC000658133827 411257942 /nfs/dbraw/zinc/25/79/42/411257942.db2.gz ORODLSOJJGKWNB-DSYXLKISSA-N 0 3 233.355 2.838 20 0 BFADHN Cc1ccc([C@H](C)NC/C=C/CO)c(C)c1 ZINC000658133691 411257954 /nfs/dbraw/zinc/25/79/54/411257954.db2.gz RWCLPWRQEPXKAM-IHVVCDCBSA-N 0 3 219.328 2.503 20 0 BFADHN C[C@H]1CCC[C@H](NCc2cc3n(n2)CCCC3)C1 ZINC000655708069 411258469 /nfs/dbraw/zinc/25/84/69/411258469.db2.gz IXMJFFKCNKZPTO-STQMWFEESA-N 0 3 247.386 2.888 20 0 BFADHN Cc1cc([C@@H](C)NC/C=C\CO)cc(C)c1F ZINC000658133158 411258638 /nfs/dbraw/zinc/25/86/38/411258638.db2.gz KMGRIRSCLWSKAQ-QMAVJUDZSA-N 0 3 237.318 2.642 20 0 BFADHN CC(C)C[C@@H](NC/C=C\CO)c1cccs1 ZINC000658134846 411259863 /nfs/dbraw/zinc/25/98/63/411259863.db2.gz SBAWITKBCFJXLN-VSQXVHSFSA-N 0 3 239.384 2.973 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](O)C1)c1ccc(Cl)c(F)c1 ZINC000658348555 411349740 /nfs/dbraw/zinc/34/97/40/411349740.db2.gz BVFFGVFOHIKMND-SZEHBUNVSA-N 0 3 243.709 2.653 20 0 BFADHN CC[C@@H](N[C@H]1C[C@H](O)C1)c1ccc(Cl)s1 ZINC000658348911 411350166 /nfs/dbraw/zinc/35/01/66/411350166.db2.gz DCRMLLSXSLAWDI-IWSPIJDZSA-N 0 3 245.775 2.966 20 0 BFADHN CCCN(CC(C)C)[C@@H](C)c1nnc(CC)[nH]1 ZINC000659860243 411351047 /nfs/dbraw/zinc/35/10/47/411351047.db2.gz UVOFMKZAVJJADA-NSHDSACASA-N 0 3 238.379 2.796 20 0 BFADHN CCC[N@H+](CC(C)C)[C@@H](C)c1nnc(CC)[n-]1 ZINC000659860243 411351049 /nfs/dbraw/zinc/35/10/49/411351049.db2.gz UVOFMKZAVJJADA-NSHDSACASA-N 0 3 238.379 2.796 20 0 BFADHN CCC[N@@H+](CC(C)C)[C@@H](C)c1nnc(CC)[n-]1 ZINC000659860243 411351053 /nfs/dbraw/zinc/35/10/53/411351053.db2.gz UVOFMKZAVJJADA-NSHDSACASA-N 0 3 238.379 2.796 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1)c1ccccc1Cl ZINC000658350526 411352167 /nfs/dbraw/zinc/35/21/67/411352167.db2.gz FSVWIDZEDSHDEP-GUBZILKMSA-N 0 3 225.719 2.514 20 0 BFADHN CCCOc1ccc([C@H](C)N[C@H]2C[C@H](O)C2)cc1 ZINC000658350520 411352222 /nfs/dbraw/zinc/35/22/22/411352222.db2.gz FQGWYKRYVFNNBJ-UBHSHLNASA-N 0 3 249.354 2.649 20 0 BFADHN C[C@@]12CCN([C@@H]3COC(C)(C)C3)C[C@@H]1C2(F)F ZINC000660605362 411352484 /nfs/dbraw/zinc/35/24/84/411352484.db2.gz HOGHDMKHEAWDGK-JBLDHEPKSA-N 0 3 245.313 2.531 20 0 BFADHN Cc1cc([C@@H](N[C@H]2C[C@H](O)C2)C2CC2)ccc1F ZINC000658351490 411353895 /nfs/dbraw/zinc/35/38/95/411353895.db2.gz XIWXOIMBVRHELS-YDHLFZDLSA-N 0 3 249.329 2.698 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1)c1ccc(Cl)s1 ZINC000658352802 411356058 /nfs/dbraw/zinc/35/60/58/411356058.db2.gz YUHUVOSSPBNJHZ-FXQIFTODSA-N 0 3 231.748 2.575 20 0 BFADHN CC[C@@H]1CO[C@@H](CC)CN1Cc1cccc(N)c1 ZINC000403737014 411356561 /nfs/dbraw/zinc/35/65/61/411356561.db2.gz QZXZBLUGCCYIIZ-CABCVRRESA-N 0 3 248.370 2.658 20 0 BFADHN COc1cccc(CN[C@H](C)[C@H](C)OC)c1C ZINC000658354312 411356814 /nfs/dbraw/zinc/35/68/14/411356814.db2.gz VAEPQBLDIVBCSL-NEPJUHHUSA-N 0 3 237.343 2.517 20 0 BFADHN CC(C)(C)CNc1ccc([C@H]2CNCCO2)cc1 ZINC000656793616 411364904 /nfs/dbraw/zinc/36/49/04/411364904.db2.gz VGMNLDLKEAYJNF-CQSZACIVSA-N 0 3 248.370 2.806 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@](C)(CO)C2)cs1 ZINC000662144594 411366756 /nfs/dbraw/zinc/36/67/56/411366756.db2.gz TUPSBQNGLAIZNB-GWCFXTLKSA-N 0 3 239.384 2.507 20 0 BFADHN CC[C@@H](C)CCNC(=O)C[C@H](N)c1ccccc1 ZINC000659341503 411370749 /nfs/dbraw/zinc/37/07/49/411370749.db2.gz XAKANEAIDDIHPP-OCCSQVGLSA-N 0 3 248.370 2.629 20 0 BFADHN CC[C@@H](C)CCNC(=O)C[C@@H](N)c1ccccc1 ZINC000659341505 411370780 /nfs/dbraw/zinc/37/07/80/411370780.db2.gz XAKANEAIDDIHPP-TZMCWYRMSA-N 0 3 248.370 2.629 20 0 BFADHN CC(C)CC1(N[C@@H](C)c2ccnn2C)CC1 ZINC000658322074 411333955 /nfs/dbraw/zinc/33/39/55/411333955.db2.gz ZTWUEBAFCNIQLT-NSHDSACASA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@@H](NCCCc1ccco1)c1ccn(C)n1 ZINC000658325934 411334750 /nfs/dbraw/zinc/33/47/50/411334750.db2.gz VWAHAZNPRKYQKH-CYBMUJFWSA-N 0 3 247.342 2.687 20 0 BFADHN O=C(CCN1CC[C@@H]2C[C@@H]2C1)c1ccc(F)cc1 ZINC000661968846 411337938 /nfs/dbraw/zinc/33/79/38/411337938.db2.gz HZMBVHPLCYAXAM-CHWSQXEVSA-N 0 3 247.313 2.740 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000661968855 411338433 /nfs/dbraw/zinc/33/84/33/411338433.db2.gz IBQOEKSLLWLXFS-DGCLKSJQSA-N 0 3 219.303 2.976 20 0 BFADHN CC[C@H](N[C@@H]1C[C@]1(C)OC)c1ccsc1 ZINC000658330885 411338668 /nfs/dbraw/zinc/33/86/68/411338668.db2.gz KOJKJTMEJLSMOZ-TUAOUCFPSA-N 0 3 225.357 2.966 20 0 BFADHN C[C@@H](NC[C@H]1CCOC1(C)C)c1nccs1 ZINC000658332119 411339570 /nfs/dbraw/zinc/33/95/70/411339570.db2.gz RMEOZZDOWAUGFY-NXEZZACHSA-N 0 3 240.372 2.609 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1ccc(C)nc1C ZINC000658333393 411340303 /nfs/dbraw/zinc/34/03/03/411340303.db2.gz XWBNJMUISDBULO-BNOWGMLFSA-N 0 3 234.343 2.526 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1c2ccccc2C[C@@H]1C ZINC000658332552 411340475 /nfs/dbraw/zinc/34/04/75/411340475.db2.gz RWXUUGRCSWOUFI-QOWREQOWSA-N 0 3 231.339 2.687 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1cc(C)oc1C ZINC000658333385 411340766 /nfs/dbraw/zinc/34/07/66/411340766.db2.gz XTBRDJVHYKKKSP-WQAKAFBOSA-N 0 3 223.316 2.724 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1sccc1C ZINC000658332471 411340939 /nfs/dbraw/zinc/34/09/39/411340939.db2.gz QUBMUZKMEANOEJ-FOGDFJRCSA-N 0 3 225.357 2.885 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1c2ccccc2C[C@H]1C ZINC000658332553 411340962 /nfs/dbraw/zinc/34/09/62/411340962.db2.gz RWXUUGRCSWOUFI-QZKOUSHUSA-N 0 3 231.339 2.687 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1C[C@]1(C)OC ZINC000658334212 411341937 /nfs/dbraw/zinc/34/19/37/411341937.db2.gz FHKKJCSBHAEYEF-GDLCADMTSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@@H]1C[C@]1(C)OC ZINC000658334226 411342239 /nfs/dbraw/zinc/34/22/39/411342239.db2.gz FTOKPAINNQSJDD-GLQYFDAESA-N 0 3 249.354 2.832 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCCc2ccccc21 ZINC000658334622 411343602 /nfs/dbraw/zinc/34/36/02/411343602.db2.gz OVJVEHYIKOSGQA-KFWWJZLASA-N 0 3 231.339 2.831 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCSc2ccccc21 ZINC000658334865 411343722 /nfs/dbraw/zinc/34/37/22/411343722.db2.gz VYTJYMDUYLYWHQ-YUTCNCBUSA-N 0 3 249.379 2.991 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCCc2ccc(F)cc21 ZINC000658331460 411343843 /nfs/dbraw/zinc/34/38/43/411343843.db2.gz JTOJDIPJXUFDLI-KFWWJZLASA-N 0 3 249.329 2.970 20 0 BFADHN CCC[C@@H](CC)NCc1cc2n(n1)CCCC2 ZINC000655729073 411372538 /nfs/dbraw/zinc/37/25/38/411372538.db2.gz DTDZHUUXPMZCQF-GFCCVEGCSA-N 0 3 235.375 2.888 20 0 BFADHN CC1(C)[C@H](O)CCN1C/C=C/c1ccc(F)cc1 ZINC000361062045 168007345 /nfs/dbraw/zinc/00/73/45/168007345.db2.gz SCPDOSCQTBPJBO-RDFMZFSFSA-N 0 3 249.329 2.684 20 0 BFADHN CC[C@H](N[C@H](C)c1nccn1C)[C@H]1C[C@H]1C ZINC000658339752 411346172 /nfs/dbraw/zinc/34/61/72/411346172.db2.gz VEWCBYCAPQGGRQ-WYUUTHIRSA-N 0 3 221.348 2.505 20 0 BFADHN CC1(CCNCc2cccc3c2OCO3)CC1 ZINC000280719891 168020861 /nfs/dbraw/zinc/02/08/61/168020861.db2.gz XWSANLVZJSUDPG-UHFFFAOYSA-N 0 3 233.311 2.695 20 0 BFADHN CCCN(CC)Cc1cnc2ccccc2n1 ZINC000659857023 411346922 /nfs/dbraw/zinc/34/69/22/411346922.db2.gz JHOVLPJERXLHFZ-UHFFFAOYSA-N 0 3 229.327 2.862 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)C(F)F)c1nccn1C ZINC000658340341 411347301 /nfs/dbraw/zinc/34/73/01/411347301.db2.gz ZRLQBTXBSHYYDN-RKDXNWHRSA-N 0 3 245.317 2.751 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccn1C)C(C)(C)C(F)F ZINC000658340222 411347323 /nfs/dbraw/zinc/34/73/23/411347323.db2.gz ZRLQBTXBSHYYDN-DTWKUNHWSA-N 0 3 245.317 2.751 20 0 BFADHN CC1(CNCc2nccn2-c2ccccc2)CC1 ZINC000359746726 168043470 /nfs/dbraw/zinc/04/34/70/168043470.db2.gz IGSOQGYJEKOLBA-UHFFFAOYSA-N 0 3 241.338 2.762 20 0 BFADHN CC1(F)CC(NCc2cc(F)ccc2F)C1 ZINC000335376729 168053380 /nfs/dbraw/zinc/05/33/80/168053380.db2.gz TUHWGZGIPYIFLO-UHFFFAOYSA-N 0 3 229.245 2.945 20 0 BFADHN CC1(NCc2nccn2-c2ccccc2)CCC1 ZINC000191482603 168063747 /nfs/dbraw/zinc/06/37/47/168063747.db2.gz GGEFWGAVEJRPEM-UHFFFAOYSA-N 0 3 241.338 2.905 20 0 BFADHN CC1(NCc2cn3ccccc3n2)CCCC1 ZINC000042375827 168063997 /nfs/dbraw/zinc/06/39/97/168063997.db2.gz VYIMJHIILCXCRW-UHFFFAOYSA-N 0 3 229.327 2.757 20 0 BFADHN CC1(NCc2cnn(-c3ccccc3)c2)CCC1 ZINC000159928756 168064484 /nfs/dbraw/zinc/06/44/84/168064484.db2.gz AFONMIHQRXBWJY-UHFFFAOYSA-N 0 3 241.338 2.905 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@H]1CCC[C@@H](F)C1 ZINC000655778548 411349211 /nfs/dbraw/zinc/34/92/11/411349211.db2.gz PBHWHJNEJSNPJN-TUAOUCFPSA-N 0 3 237.322 2.716 20 0 BFADHN CC1(O)CCN(Cc2ccc3occc3c2)CC1 ZINC000290134155 168066167 /nfs/dbraw/zinc/06/61/67/168066167.db2.gz VHBLOFIZGTZAFD-UHFFFAOYSA-N 0 3 245.322 2.780 20 0 BFADHN CC1(O)CCN([C@@H]2CCc3ccc(F)cc32)CC1 ZINC000177293695 168067731 /nfs/dbraw/zinc/06/77/31/168067731.db2.gz YNEGPGJJJVJNTN-CQSZACIVSA-N 0 3 249.329 2.660 20 0 BFADHN Cc1noc(C)c1CN1CCC(C)=C(C)C1 ZINC000280977128 168084531 /nfs/dbraw/zinc/08/45/31/168084531.db2.gz VXMROYQIZNDHKK-UHFFFAOYSA-N 0 3 220.316 2.834 20 0 BFADHN CC1=C(C)CN(Cc2c[nH]c3nccnc23)CC1 ZINC000291572448 168085532 /nfs/dbraw/zinc/08/55/32/168085532.db2.gz OAIKVWQBQNGLNW-UHFFFAOYSA-N 0 3 242.326 2.500 20 0 BFADHN CC1=C(c2ccco2)CN(CCCCO)CC1 ZINC000374516595 168088200 /nfs/dbraw/zinc/08/82/00/168088200.db2.gz YPPQEEGAIUOZCC-UHFFFAOYSA-N 0 3 235.327 2.531 20 0 BFADHN Cc1nc(CCN2CCC=C(C)C2)cs1 ZINC000280534242 168098253 /nfs/dbraw/zinc/09/82/53/168098253.db2.gz AFMHOPIWNCDJMY-UHFFFAOYSA-N 0 3 222.357 2.646 20 0 BFADHN Cc1cccnc1CN1CCC=C(C)C1 ZINC000280968902 168099039 /nfs/dbraw/zinc/09/90/39/168099039.db2.gz ZXYGENPDIFCMQQ-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN CC1=CCCN(Cc2cccc(O)c2)C1 ZINC000281798081 168099297 /nfs/dbraw/zinc/09/92/97/168099297.db2.gz MJCUWUHMSHNZCN-UHFFFAOYSA-N 0 3 203.285 2.544 20 0 BFADHN CC1=CCCN(Cc2ccc3c[nH]nc3c2)C1 ZINC000281707336 168100054 /nfs/dbraw/zinc/10/00/54/168100054.db2.gz DEFGDAXXELUJSK-UHFFFAOYSA-N 0 3 227.311 2.715 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CN(C)Cc1ccnn1C ZINC000355290376 168102214 /nfs/dbraw/zinc/10/22/14/168102214.db2.gz LSXUPNYHBLLAJG-UKRRQHHQSA-N 0 3 247.386 2.844 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN(C)Cc1cnccn1 ZINC000191058430 168103023 /nfs/dbraw/zinc/10/30/23/168103023.db2.gz RMFXOJKFUJNZTQ-ZFWWWQNUSA-N 0 3 245.370 2.901 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN(C)Cc1cnn(C)c1 ZINC000191041429 168103232 /nfs/dbraw/zinc/10/32/32/168103232.db2.gz QPEVQCTWAMBDBR-ZFWWWQNUSA-N 0 3 247.386 2.844 20 0 BFADHN CC1=CCN(CCCO[C@@H]2CCCCO2)CC1 ZINC000338284633 168111071 /nfs/dbraw/zinc/11/10/71/168111071.db2.gz HZAARVFVBAJVNV-CQSZACIVSA-N 0 3 239.359 2.572 20 0 BFADHN CC1=CCN(Cc2ccc(F)cc2)CC1 ZINC000339657246 168114446 /nfs/dbraw/zinc/11/44/46/168114446.db2.gz BXQVTEBOBKGBCA-UHFFFAOYSA-N 0 3 205.276 2.978 20 0 BFADHN CC1=CCN(Cc2ccc(-c3cc[nH]n3)o2)CC1 ZINC000336423576 168115930 /nfs/dbraw/zinc/11/59/30/168115930.db2.gz OMTMLYLPLKCROD-UHFFFAOYSA-N 0 3 243.310 2.822 20 0 BFADHN C[C@@]1(NCc2cc3n(n2)CCCC3)CC=CCC1 ZINC000655779924 411380370 /nfs/dbraw/zinc/38/03/70/411380370.db2.gz BGGOMWHQXPHCHZ-OAHLLOKOSA-N 0 3 245.370 2.808 20 0 BFADHN Cc1cccnc1CN[C@@H]1CC=C(C)CC1 ZINC000294402138 168118891 /nfs/dbraw/zinc/11/88/91/168118891.db2.gz VYNURNVNNWIGEQ-CYBMUJFWSA-N 0 3 216.328 2.978 20 0 BFADHN Cc1noc(C)c1CN1CC(C)=C[C@@H](C)C1 ZINC000334149024 168122681 /nfs/dbraw/zinc/12/26/81/168122681.db2.gz DVNVJZDJPQXPMY-SECBINFHSA-N 0 3 220.316 2.689 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CN(C)Cc2cnccn2)C1 ZINC000290486287 168124217 /nfs/dbraw/zinc/12/42/17/168124217.db2.gz RIPPRHHQUHITSV-TZMCWYRMSA-N 0 3 245.370 2.901 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN2CCOC[C@@H]2C)C1 ZINC000356097197 168127530 /nfs/dbraw/zinc/12/75/30/168127530.db2.gz ZUTJLJIIUNLDNC-FPMFFAJLSA-N 0 3 223.360 2.700 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN2CCOC[C@H]2C)C1 ZINC000356097200 168128909 /nfs/dbraw/zinc/12/89/09/168128909.db2.gz ZUTJLJIIUNLDNC-YUTCNCBUSA-N 0 3 223.360 2.700 20 0 BFADHN CC1CC(N[C@H]2c3ccccc3O[C@H]2C)C1 ZINC000309743742 168148614 /nfs/dbraw/zinc/14/86/14/168148614.db2.gz DZRMVWKABWYAHT-YNJZAQGCSA-N 0 3 217.312 2.897 20 0 BFADHN C[C@@H](NCC1CCC(C)CC1)c1cnccn1 ZINC000070479697 168156783 /nfs/dbraw/zinc/15/67/83/168156783.db2.gz XIKDTXSWYZPZTI-OTTFEQOBSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@H](NCC1CCC(C)CC1)c1cnccn1 ZINC000070479696 168157107 /nfs/dbraw/zinc/15/71/07/168157107.db2.gz XIKDTXSWYZPZTI-CPCZMJQVSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@H](NCC1(O)CCC(C)CC1)c1ccccn1 ZINC000087044200 168167652 /nfs/dbraw/zinc/16/76/52/168167652.db2.gz GSKALTGUNQSSAD-OWYJLGKBSA-N 0 3 248.370 2.673 20 0 BFADHN CC1CCN(CCNCc2ccsc2)CC1 ZINC000040771174 168180953 /nfs/dbraw/zinc/18/09/53/168180953.db2.gz OXUZJLLWRHODSE-UHFFFAOYSA-N 0 3 238.400 2.570 20 0 BFADHN CC1CCN(Cc2cccc3nccn32)CC1 ZINC000183470960 168184462 /nfs/dbraw/zinc/18/44/62/168184462.db2.gz LQGROAQYBBPTNV-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CCC(=O)CCN(CC)Cc1ccccc1 ZINC000190166498 168200032 /nfs/dbraw/zinc/20/00/32/168200032.db2.gz ONHODZPNHMFQFT-UHFFFAOYSA-N 0 3 219.328 2.878 20 0 BFADHN CCC(=O)CCN1CC[C@H](Oc2ccccc2)C1 ZINC000375441786 168203620 /nfs/dbraw/zinc/20/36/20/168203620.db2.gz VUJKBBSPXKUIPE-HNNXBMFYSA-N 0 3 247.338 2.509 20 0 BFADHN CCC(=O)CCN1CC[C@@H](c2cccc(F)c2)C1 ZINC000276830680 168203881 /nfs/dbraw/zinc/20/38/81/168203881.db2.gz GOGJMWSLTYVGFM-CYBMUJFWSA-N 0 3 249.329 2.984 20 0 BFADHN CCC(=O)NCC(C)(C)N[C@@H](C)c1ccccc1 ZINC000104120306 168228441 /nfs/dbraw/zinc/22/84/41/168228441.db2.gz DLUCXYIJUVDDGK-LBPRGKRZSA-N 0 3 248.370 2.642 20 0 BFADHN CC[C@H]1CN(CCC2CCC2)C[C@H](C)O1 ZINC000659919462 411382673 /nfs/dbraw/zinc/38/26/73/411382673.db2.gz RELRGAFGIGHKDM-AAEUAGOBSA-N 0 3 211.349 2.676 20 0 BFADHN CCC(=O)N[C@H](C)[C@@H](c1ccccc1C)N(C)C ZINC000357846288 168238727 /nfs/dbraw/zinc/23/87/27/168238727.db2.gz FVFCDZQXALBXEF-DOMZBBRYSA-N 0 3 248.370 2.512 20 0 BFADHN CCC(C)(C)CN[C@H]1C[C@@H](C)n2ccnc21 ZINC000345365623 168281492 /nfs/dbraw/zinc/28/14/92/168281492.db2.gz YSZZBVCCKHJPNC-MNOVXSKESA-N 0 3 221.348 2.915 20 0 BFADHN CCC(C)(C)CN[C@H](C)c1nccnc1C ZINC000277108127 168282193 /nfs/dbraw/zinc/28/21/93/168282193.db2.gz MEPUROVBDJLFMI-LLVKDONJSA-N 0 3 221.348 2.872 20 0 BFADHN CCC(C)(C)N(C)Cc1cnc([C@@H](C)O)s1 ZINC000290094193 168288883 /nfs/dbraw/zinc/28/88/83/168288883.db2.gz RNNSOHXFHVGGTH-SECBINFHSA-N 0 3 242.388 2.817 20 0 BFADHN CCC(C)(C)NC(=O)[C@@H](C)[C@@H](N)c1ccccc1 ZINC000131599486 168294440 /nfs/dbraw/zinc/29/44/40/168294440.db2.gz ONWGAFCCFRHMOG-WCQYABFASA-N 0 3 248.370 2.627 20 0 BFADHN CCC(C)(C)NC(=O)[C@H](C)[C@@H](N)c1ccccc1 ZINC000131599687 168295358 /nfs/dbraw/zinc/29/53/58/168295358.db2.gz ONWGAFCCFRHMOG-DGCLKSJQSA-N 0 3 248.370 2.627 20 0 BFADHN CCC(C)(C)NCc1ncc(COC)s1 ZINC000336752752 168298784 /nfs/dbraw/zinc/29/87/84/168298784.db2.gz HCGRXFFDSMPHBP-UHFFFAOYSA-N 0 3 228.361 2.568 20 0 BFADHN CCC(C)(C)NCc1cc(F)ncc1F ZINC000295294630 168299448 /nfs/dbraw/zinc/29/94/48/168299448.db2.gz XGQMOLPKDGIFLT-UHFFFAOYSA-N 0 3 214.259 2.638 20 0 BFADHN CCC(C)(C)NCc1ncc(C)c(OC)c1C ZINC000020036130 168299620 /nfs/dbraw/zinc/29/96/20/168299620.db2.gz HCCDVWGNVJSXHX-UHFFFAOYSA-N 0 3 236.359 2.985 20 0 BFADHN CCC(C)(CC)NC(=O)CN1[C@H](C)CC[C@@H]1C ZINC000360226345 168306553 /nfs/dbraw/zinc/30/65/53/168306553.db2.gz WSIOEBRGFRVVMK-TXEJJXNPSA-N 0 3 240.391 2.554 20 0 BFADHN Fc1cccc(CNCC2CC2)c1OC(F)F ZINC000657304202 411456292 /nfs/dbraw/zinc/45/62/92/411456292.db2.gz LYMPSDOLYVYBFH-UHFFFAOYSA-N 0 3 245.244 2.927 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1ccc(F)c(F)c1F ZINC000657309831 411459627 /nfs/dbraw/zinc/45/96/27/411459627.db2.gz IVLZVBKDCDXASE-APPZFPTMSA-N 0 3 229.245 2.850 20 0 BFADHN C[C@H]1C[C@H]1CNCc1ccc(F)c(F)c1F ZINC000657309837 411459685 /nfs/dbraw/zinc/45/96/85/411459685.db2.gz IVLZVBKDCDXASE-CBAPKCEASA-N 0 3 229.245 2.850 20 0 BFADHN Cc1c[nH]c(CN[C@@H](C)Cc2ccccc2C)n1 ZINC000657319158 411460521 /nfs/dbraw/zinc/46/05/21/411460521.db2.gz UBCXUBUJCKPLAB-LBPRGKRZSA-N 0 3 243.354 2.747 20 0 BFADHN CC1(C)C[C@@H](N2CCc3ccccc3C2)CO1 ZINC000659814838 411461017 /nfs/dbraw/zinc/46/10/17/411461017.db2.gz XALVITQJFOSZHL-CQSZACIVSA-N 0 3 231.339 2.612 20 0 BFADHN CCC(C)(C)[C@@H](C)NC(=O)C1(N)CCCCC1 ZINC000659339572 411398405 /nfs/dbraw/zinc/39/84/05/411398405.db2.gz JFTJLALZDGGEFO-LLVKDONJSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1cc(CN2CCC(C(C)C)CC2)nc(C)n1 ZINC000659955437 411398468 /nfs/dbraw/zinc/39/84/68/411398468.db2.gz ABPQQNLLCDVRQT-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN COc1cc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)on1 ZINC000660306515 411398911 /nfs/dbraw/zinc/39/89/11/411398911.db2.gz QBNNPCWYLKXZQJ-JBLDHEPKSA-N 0 3 238.331 2.598 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1cc(OC)no1 ZINC000660306395 411399498 /nfs/dbraw/zinc/39/94/98/411399498.db2.gz PMURXLYZFKZJGO-JQWIXIFHSA-N 0 3 238.331 2.742 20 0 BFADHN C[C@H]1CCCN1Cc1cc(C2CC2)no1 ZINC000659969266 411403888 /nfs/dbraw/zinc/40/38/88/411403888.db2.gz FOGXVWLJDLZORB-VIFPVBQESA-N 0 3 206.289 2.536 20 0 BFADHN CC[C@@H](CC(F)F)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000659814254 411461733 /nfs/dbraw/zinc/46/17/33/411461733.db2.gz PGMHASRORHEKSM-AXFHLTTASA-N 0 3 235.318 2.777 20 0 BFADHN Oc1ccc(CNCCOCC2CCCC2)cc1 ZINC000313303352 411409621 /nfs/dbraw/zinc/40/96/21/411409621.db2.gz QIVZRZQXIWZEAS-UHFFFAOYSA-N 0 3 249.354 2.689 20 0 BFADHN C[C@H](CCc1ccco1)N[C@H](C)c1ccn(C)n1 ZINC000459770525 411416345 /nfs/dbraw/zinc/41/63/45/411416345.db2.gz YPQKICHDCGUUTF-VXGBXAGGSA-N 0 3 247.342 2.685 20 0 BFADHN CCc1ncc(CN2[C@@H](C)CC[C@@H]2CC)cn1 ZINC000414441184 411418126 /nfs/dbraw/zinc/41/81/26/411418126.db2.gz JDZNFDLMJHJCFN-AAEUAGOBSA-N 0 3 233.359 2.802 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]3COC[C@@H]32)cc1C ZINC000657209147 411418707 /nfs/dbraw/zinc/41/87/07/411418707.db2.gz WAGDMBKRVMCLTK-CVEARBPZSA-N 0 3 245.366 2.914 20 0 BFADHN CCc1ncc(CN2C[C@H](C)C[C@H](C)[C@H]2C)cn1 ZINC000414442816 411419160 /nfs/dbraw/zinc/41/91/60/411419160.db2.gz YNYURSRYDIWSGW-FRRDWIJNSA-N 0 3 247.386 2.905 20 0 BFADHN CC(C)N1CCN(c2cccc3[nH]ccc32)CC1 ZINC000653547894 411419814 /nfs/dbraw/zinc/41/98/14/411419814.db2.gz BULFRBZRIDZHEJ-UHFFFAOYSA-N 0 3 243.354 2.698 20 0 BFADHN COC(=O)c1ccc(CN(C)CC(C)C)s1 ZINC000268211207 411422687 /nfs/dbraw/zinc/42/26/87/411422687.db2.gz ZNMQIMYWALXRNA-UHFFFAOYSA-N 0 3 241.356 2.623 20 0 BFADHN CN(CCC(C)(C)C1CC1)Cc1cnccn1 ZINC000653566127 411423548 /nfs/dbraw/zinc/42/35/48/411423548.db2.gz LPLUFCGPRWREOT-UHFFFAOYSA-N 0 3 233.359 2.735 20 0 BFADHN CO[C@H]1CCN([C@@H]2CCCc3ccc(F)cc32)C1 ZINC000270021059 411425907 /nfs/dbraw/zinc/42/59/07/411425907.db2.gz RPKZBETYFVSBBR-DZGCQCFKSA-N 0 3 249.329 2.924 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H]1CCCc2cccnc21 ZINC000657241720 411428577 /nfs/dbraw/zinc/42/85/77/411428577.db2.gz JVWGKCXKBJMVJZ-MCIONIFRSA-N 0 3 246.354 2.616 20 0 BFADHN CCCCOc1ccc(CN[C@@H]2CCOC2)cc1 ZINC000131165936 411431205 /nfs/dbraw/zinc/43/12/05/411431205.db2.gz TVCAJRNVECTXIQ-CQSZACIVSA-N 0 3 249.354 2.744 20 0 BFADHN Cc1ccoc1CNCC[C@H]1CCO[C@@H](C)C1 ZINC000273102692 411435938 /nfs/dbraw/zinc/43/59/38/411435938.db2.gz VZLQYXZEFWXQDI-STQMWFEESA-N 0 3 237.343 2.883 20 0 BFADHN CCC(CC)CNCc1cc2n(n1)CCCC2 ZINC000655732971 411442504 /nfs/dbraw/zinc/44/25/04/411442504.db2.gz HAPWGYWFQVRCRY-UHFFFAOYSA-N 0 3 235.375 2.745 20 0 BFADHN CC(C)CC[C@@H](C)NCc1cc2n(n1)CCC2 ZINC000657286055 411444414 /nfs/dbraw/zinc/44/44/14/411444414.db2.gz FOEQQVISQLSOIG-GFCCVEGCSA-N 0 3 235.375 2.744 20 0 BFADHN CCOc1ccc(CN[C@H](C)COC)c(C)c1 ZINC000657285303 411444614 /nfs/dbraw/zinc/44/46/14/411444614.db2.gz BRAUMFHXFZGIRG-GFCCVEGCSA-N 0 3 237.343 2.518 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H]1CCCn2ccnc21 ZINC000655738497 411444744 /nfs/dbraw/zinc/44/47/44/411444744.db2.gz VYMFPZULHCRAIL-VXGBXAGGSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cncc(CN[C@H]2CC[C@@H](C)SC2)c1 ZINC000655738592 411445015 /nfs/dbraw/zinc/44/50/15/411445015.db2.gz YIDQTSFCVXPLPY-YPMHNXCESA-N 0 3 236.384 2.764 20 0 BFADHN CC(C)[C@H](C)CNCc1cc2n(n1)CCCC2 ZINC000655742584 411447190 /nfs/dbraw/zinc/44/71/90/411447190.db2.gz VHGUQHDPDUZEHG-GFCCVEGCSA-N 0 3 235.375 2.601 20 0 BFADHN CC[C@H]1CCCN(CCO[C@H]2CC2(F)F)C1 ZINC000659824267 411463918 /nfs/dbraw/zinc/46/39/18/411463918.db2.gz ARJIJQFJABMOQZ-QWRGUYRKSA-N 0 3 233.302 2.533 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccccc2C)CO1 ZINC000657288518 411447800 /nfs/dbraw/zinc/44/78/00/411447800.db2.gz CMTNKCRXNWRULW-KBPBESRZSA-N 0 3 219.328 2.652 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccccc2C)CO1 ZINC000657288523 411447881 /nfs/dbraw/zinc/44/78/81/411447881.db2.gz CMTNKCRXNWRULW-ZIAGYGMSSA-N 0 3 219.328 2.652 20 0 BFADHN CC(C)(CCN1CCO[C@@H](C2CC2)C1)C1CC1 ZINC000653622735 411448885 /nfs/dbraw/zinc/44/88/85/411448885.db2.gz QUUXGVOAOZJLFC-CQSZACIVSA-N 0 3 237.387 2.924 20 0 BFADHN CC(C)n1ccnc1CNCCc1ccccc1 ZINC000657288968 411450375 /nfs/dbraw/zinc/45/03/75/411450375.db2.gz CFILXZSVRUQKOL-UHFFFAOYSA-N 0 3 243.354 2.796 20 0 BFADHN C[C@@H](NCc1cnc2n1CCC2)c1ccccc1 ZINC000657289370 411450589 /nfs/dbraw/zinc/45/05/89/411450589.db2.gz GGXZWROHAMMYBQ-GFCCVEGCSA-N 0 3 241.338 2.680 20 0 BFADHN Cc1c[nH]c(CNCCc2ccccc2Cl)n1 ZINC000657334466 411464132 /nfs/dbraw/zinc/46/41/32/411464132.db2.gz BDKSZZXHKCLSQH-UHFFFAOYSA-N 0 3 249.745 2.704 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccc(C)cc2)CO1 ZINC000657290637 411451679 /nfs/dbraw/zinc/45/16/79/411451679.db2.gz LOXWDMFXBXKKCM-UONOGXRCSA-N 0 3 219.328 2.652 20 0 BFADHN CC(C)n1ccnc1CNCCc1cccs1 ZINC000657290232 411452301 /nfs/dbraw/zinc/45/23/01/411452301.db2.gz ZSXIQWZIMCNQJD-UHFFFAOYSA-N 0 3 249.383 2.858 20 0 BFADHN CC(C)CCCNCc1ccnn1CC1CC1 ZINC000657297916 411453957 /nfs/dbraw/zinc/45/39/57/411453957.db2.gz IUIVZXKOJOWUJS-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2cn(C3CCC3)nn2)C1 ZINC000657300289 411453961 /nfs/dbraw/zinc/45/39/61/411453961.db2.gz KZLDAJQDNMHYTM-VXGBXAGGSA-N 0 3 248.374 2.671 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2cc3n(n2)CCC3)C1 ZINC000657328518 411467528 /nfs/dbraw/zinc/46/75/28/411467528.db2.gz YHJQVKWPADWMCF-OLZOCXBDSA-N 0 3 247.386 2.745 20 0 BFADHN CC(C)c1cccc(CN2CCC(O)CC2)c1 ZINC000659834311 411467547 /nfs/dbraw/zinc/46/75/47/411467547.db2.gz UDJMOFKGRSOVBU-UHFFFAOYSA-N 0 3 233.355 2.767 20 0 BFADHN Cc1c[nH]c(CNC2[C@H](C)CCC[C@H]2C)n1 ZINC000657331502 411468255 /nfs/dbraw/zinc/46/82/55/411468255.db2.gz FDSOJHJDWXRHBS-NXEZZACHSA-N 0 3 221.348 2.632 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccc(C)c(OC)c2)CO1 ZINC000657332237 411468740 /nfs/dbraw/zinc/46/87/40/411468740.db2.gz DQYPGYDTXHXUAT-KBPBESRZSA-N 0 3 249.354 2.661 20 0 BFADHN COCC1(CNCc2ccc([C@@H]3C[C@H]3C)o2)CC1 ZINC000655837969 411532639 /nfs/dbraw/zinc/53/26/39/411532639.db2.gz HMRZCYKFHGZAHN-DGCLKSJQSA-N 0 3 249.354 2.919 20 0 BFADHN CCCN(CCC)Cc1cc(OC)ns1 ZINC000659838907 411471312 /nfs/dbraw/zinc/47/13/12/411471312.db2.gz NALDICRUEUDQJG-UHFFFAOYSA-N 0 3 228.361 2.774 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H]2CCc3c2cccc3F)CO1 ZINC000657336753 411471501 /nfs/dbraw/zinc/47/15/01/411471501.db2.gz WBLLOJPDQNTRBV-FIXISWKDSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@]2(O)CCC[C@H]2C)c1 ZINC000293981926 411472695 /nfs/dbraw/zinc/47/26/95/411472695.db2.gz LAJQGYHYMUTTEK-VNHYZAJKSA-N 0 3 248.370 2.592 20 0 BFADHN C[C@H](Cc1ccccn1)N[C@@H](C)c1ccccn1 ZINC000655838049 411532946 /nfs/dbraw/zinc/53/29/46/411532946.db2.gz ZHMWBKFDMRQXQV-OLZOCXBDSA-N 0 3 241.338 2.758 20 0 BFADHN Cc1cc(CN(C)CCC(C)C)nc(C)n1 ZINC000659848216 411475604 /nfs/dbraw/zinc/47/56/04/411475604.db2.gz FLFLJSQOXXMNCE-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN CCC1CCN(Cc2cc(C)nc(C)n2)CC1 ZINC000659849624 411475655 /nfs/dbraw/zinc/47/56/55/411475655.db2.gz RRTOKRXGDNTZKF-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H]2CSc3ccccc32)CO1 ZINC000657365637 411481595 /nfs/dbraw/zinc/48/15/95/411481595.db2.gz DEGRYOWIWNFBOJ-WZRBSPASSA-N 0 3 249.379 2.991 20 0 BFADHN Cc1c[nH]c(CNC[C@@H](C)c2cccc(C)c2)n1 ZINC000657373817 411482199 /nfs/dbraw/zinc/48/21/99/411482199.db2.gz CLXAINCYZZQTDU-GFCCVEGCSA-N 0 3 243.354 2.920 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2cc3n(n2)CCC3)C1 ZINC000657373519 411483010 /nfs/dbraw/zinc/48/30/10/411483010.db2.gz XYTKJUODQUCGPD-STQMWFEESA-N 0 3 247.386 2.888 20 0 BFADHN CC(C)[C@@H](C)CNCc1nccn1C(C)C ZINC000657370010 411483690 /nfs/dbraw/zinc/48/36/90/411483690.db2.gz KFXGPKABFQEAAO-LBPRGKRZSA-N 0 3 223.364 2.846 20 0 BFADHN CC1(C)CCN(CCO[C@@H]2CC2(F)F)CC1 ZINC000659870809 411483989 /nfs/dbraw/zinc/48/39/89/411483989.db2.gz HZFHLYDASPRLLT-SNVBAGLBSA-N 0 3 233.302 2.533 20 0 BFADHN CCc1cccc(F)c1CN[C@@H](CC)COC ZINC000657371813 411484629 /nfs/dbraw/zinc/48/46/29/411484629.db2.gz WNWUSNZXNDVCBG-LBPRGKRZSA-N 0 3 239.334 2.903 20 0 BFADHN Fc1ccc(CN[C@@H]2CCSC2)c(F)c1F ZINC000657377394 411485711 /nfs/dbraw/zinc/48/57/11/411485711.db2.gz CYDMFVCHOCLPGU-MRVPVSSYSA-N 0 3 247.285 2.699 20 0 BFADHN COc1cc(CN2CCC[C@@H]2C2CC2)sn1 ZINC000659877270 411486989 /nfs/dbraw/zinc/48/69/89/411486989.db2.gz IFOVWUMHVJYWMC-LLVKDONJSA-N 0 3 238.356 2.526 20 0 BFADHN Cc1nc(CN2CCC[C@H]2C2CCC2)co1 ZINC000659875286 411487287 /nfs/dbraw/zinc/48/72/87/411487287.db2.gz LRMRQGFEIDTXJR-ZDUSSCGKSA-N 0 3 220.316 2.748 20 0 BFADHN CC(C)n1ccnc1CNCCCC(F)(F)F ZINC000657381918 411488081 /nfs/dbraw/zinc/48/80/81/411488081.db2.gz BOIBMVADBSDCAY-UHFFFAOYSA-N 0 3 249.280 2.896 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2C2CC2)c(C)o1 ZINC000659879582 411488423 /nfs/dbraw/zinc/48/84/23/411488423.db2.gz WFDQFOPHDLVIGZ-CYBMUJFWSA-N 0 3 220.316 2.666 20 0 BFADHN CCCC1(CNCc2nc(C)c[nH]2)CCC1 ZINC000657393315 411490438 /nfs/dbraw/zinc/49/04/38/411490438.db2.gz AGOYPCZFASXBPP-UHFFFAOYSA-N 0 3 221.348 2.778 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccc(OC)cc2C)CO1 ZINC000657398688 411494850 /nfs/dbraw/zinc/49/48/50/411494850.db2.gz DZNOMYUHJXYIEA-ZIAGYGMSSA-N 0 3 249.354 2.661 20 0 BFADHN CCc1nocc1CN1[C@@H](C)CCC[C@@H]1C ZINC000659910982 411495682 /nfs/dbraw/zinc/49/56/82/411495682.db2.gz OTNHPHTZCIWVQH-QWRGUYRKSA-N 0 3 222.332 3.000 20 0 BFADHN FCCCNCc1ccc(F)c(F)c1F ZINC000657401969 411495913 /nfs/dbraw/zinc/49/59/13/411495913.db2.gz ZRWODIKEVOXGSP-UHFFFAOYSA-N 0 3 221.197 2.553 20 0 BFADHN CC[C@H](C)CN(C)Cc1cc(OC)ns1 ZINC000659917075 411497022 /nfs/dbraw/zinc/49/70/22/411497022.db2.gz PWRRHGBGXJGMFQ-VIFPVBQESA-N 0 3 228.361 2.630 20 0 BFADHN CCn1cc(CN(C)CCC2CCC2)cn1 ZINC000659927705 411499932 /nfs/dbraw/zinc/49/99/32/411499932.db2.gz HRYMXXVUNMRRGU-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCc1cccc2cc(CNC3(CO)CC3)oc21 ZINC000657415303 411500274 /nfs/dbraw/zinc/50/02/74/411500274.db2.gz INUYBYWDQDEKHK-UHFFFAOYSA-N 0 3 245.322 2.610 20 0 BFADHN Cc1ccc2cc(CN[C@@]3(C)CCOC3)[nH]c2c1 ZINC000657417101 411501307 /nfs/dbraw/zinc/50/13/07/411501307.db2.gz HYPACLJJAJRDBD-HNNXBMFYSA-N 0 3 244.338 2.745 20 0 BFADHN C[C@H](N[C@@H]1CCOC1)c1ccc2c(c1)CCC2 ZINC000131371641 411501342 /nfs/dbraw/zinc/50/13/42/411501342.db2.gz OURIDTAAOFPHLV-XHDPSFHLSA-N 0 3 231.339 2.615 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2cn(C)cn2)CC1 ZINC000659931857 411501629 /nfs/dbraw/zinc/50/16/29/411501629.db2.gz UMYSBCNSEFANMM-CYBMUJFWSA-N 0 3 235.375 2.678 20 0 BFADHN CC1(C)C[C@@H](N2CCC[C@H]2c2ccco2)CO1 ZINC000659933978 411503054 /nfs/dbraw/zinc/50/30/54/411503054.db2.gz LWQSNFOINKQZIY-NEPJUHHUSA-N 0 3 235.327 2.984 20 0 BFADHN CC1(C)CC[C@@H](NCc2cn(C3CCC3)nn2)C1 ZINC000657423677 411504487 /nfs/dbraw/zinc/50/44/87/411504487.db2.gz LIPJKZMCKTUGOP-LLVKDONJSA-N 0 3 248.374 2.671 20 0 BFADHN CC[C@H](CNCc1nc(C)c[nH]1)CC(F)(F)F ZINC000657492767 411534582 /nfs/dbraw/zinc/53/45/82/411534582.db2.gz KNIBMMWTSKNZCZ-VIFPVBQESA-N 0 3 249.280 2.786 20 0 BFADHN CCOC[C@H](C)NCc1cc2ccc(C)cc2[nH]1 ZINC000657439574 411507886 /nfs/dbraw/zinc/50/78/86/411507886.db2.gz CLOGLRRLJGSQTE-LBPRGKRZSA-N 0 3 246.354 2.991 20 0 BFADHN C[C@@H]1CCCN(CCO[C@@H]2CC2(F)F)[C@H]1C ZINC000659948891 411508051 /nfs/dbraw/zinc/50/80/51/411508051.db2.gz DNNUVPSVWSDWAX-OUAUKWLOSA-N 0 3 233.302 2.531 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)[C@H]2C)nc(C)n1 ZINC000659950681 411508416 /nfs/dbraw/zinc/50/84/16/411508416.db2.gz QUOXGTILLSUFJA-ZYHUDNBSSA-N 0 3 233.359 2.714 20 0 BFADHN Cc1nc(CN2C[C@@H](C)CCC[C@@H]2C)co1 ZINC000659951011 411508861 /nfs/dbraw/zinc/50/88/61/411508861.db2.gz BQJJYIJNSONQAC-QWRGUYRKSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CC[C@@H](C)[C@@H](C)C2)n1 ZINC000657436572 411509105 /nfs/dbraw/zinc/50/91/05/411509105.db2.gz WDIGMSDEGMFRNP-JFGNBEQYSA-N 0 3 221.348 2.632 20 0 BFADHN FC1(F)C[C@@H]1OCCN1C2CCCC1CCC2 ZINC000659955876 411510959 /nfs/dbraw/zinc/51/09/59/411510959.db2.gz FISBSBKWGVTIDF-MCIGGMRASA-N 0 3 245.313 2.818 20 0 BFADHN Cc1c[nH]c(CN[C@H]2CCC[C@@H](C)CC2)n1 ZINC000657441745 411511836 /nfs/dbraw/zinc/51/18/36/411511836.db2.gz HBOLCQOZGJUZNP-PWSUYJOCSA-N 0 3 221.348 2.777 20 0 BFADHN Cc1c[nH]c(CNCC(C(C)C)C(C)C)n1 ZINC000657445040 411512172 /nfs/dbraw/zinc/51/21/72/411512172.db2.gz WQAUNAWJVQXNFO-UHFFFAOYSA-N 0 3 223.364 2.736 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cc(OC)ns1 ZINC000659973444 411512652 /nfs/dbraw/zinc/51/26/52/411512652.db2.gz DVAJADWWYSXASJ-ZJUUUORDSA-N 0 3 240.372 2.772 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)CCCC(F)(F)F)n1 ZINC000657446430 411513410 /nfs/dbraw/zinc/51/34/10/411513410.db2.gz HBQLHUNVFOKOIL-MRVPVSSYSA-N 0 3 249.280 2.929 20 0 BFADHN C[C@H]1CN(Cc2cc(C3CC3)no2)C[C@H]1C ZINC000659969893 411513473 /nfs/dbraw/zinc/51/34/73/411513473.db2.gz HTBKAGJGZHIARV-AOOOYVTPSA-N 0 3 220.316 2.640 20 0 BFADHN CC[C@@H](CNCc1nc(C)c[nH]1)CC(F)(F)F ZINC000657492766 411534897 /nfs/dbraw/zinc/53/48/97/411534897.db2.gz KNIBMMWTSKNZCZ-SECBINFHSA-N 0 3 249.280 2.786 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CCC[C@H](C)[C@H]2C)n1 ZINC000657455121 411515629 /nfs/dbraw/zinc/51/56/29/411515629.db2.gz PLTNYUKTJIIAGA-UHTWSYAYSA-N 0 3 235.375 2.880 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2cn(C3CCC3)nn2)C1 ZINC000657456907 411516332 /nfs/dbraw/zinc/51/63/32/411516332.db2.gz AYYOESBCNOWLHA-NWDGAFQWSA-N 0 3 248.374 2.529 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1CCC[C@H]1C ZINC000657454964 411516692 /nfs/dbraw/zinc/51/66/92/411516692.db2.gz CYJWLARDFOXMBC-OLZOCXBDSA-N 0 3 235.375 2.990 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1nc(C)oc1C ZINC000659975700 411516695 /nfs/dbraw/zinc/51/66/95/411516695.db2.gz ZKWDJLLDHASJTL-CABZTGNLSA-N 0 3 222.332 2.912 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cnc2ccccc2n1 ZINC000659984856 411518924 /nfs/dbraw/zinc/51/89/24/411518924.db2.gz WNAVWOURSFMRNW-NWDGAFQWSA-N 0 3 241.338 2.860 20 0 BFADHN C[C@H]1CC[C@H]1NCc1c(C2CCC2)cnn1C ZINC000657466544 411519040 /nfs/dbraw/zinc/51/90/40/411519040.db2.gz ZILZJHPTNSJWCN-GXFFZTMASA-N 0 3 233.359 2.576 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1c(C2CCC2)cnn1C ZINC000657466542 411519295 /nfs/dbraw/zinc/51/92/95/411519295.db2.gz ZILZJHPTNSJWCN-GWCFXTLKSA-N 0 3 233.359 2.576 20 0 BFADHN CC(C)(C)c1cccc(CN2CC[C@](C)(O)C2)c1 ZINC000659985542 411520212 /nfs/dbraw/zinc/52/02/12/411520212.db2.gz LXVYQQIZSQWLRR-INIZCTEOSA-N 0 3 247.382 2.941 20 0 BFADHN CN1CCN(CC2CC=CC2)C2(CCCCC2)C1 ZINC000659987794 411521124 /nfs/dbraw/zinc/52/11/24/411521124.db2.gz FCBLLHNCPHZGJP-UHFFFAOYSA-N 0 3 248.414 2.903 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2CCCC(F)(F)C2)n1 ZINC000657458159 411522425 /nfs/dbraw/zinc/52/24/25/411522425.db2.gz JOEIEIKYLULJLR-JTQLQIEISA-N 0 3 243.301 2.633 20 0 BFADHN CC(C)n1ccnc1CNCC1CC(F)(F)C1 ZINC000657471863 411523029 /nfs/dbraw/zinc/52/30/29/411523029.db2.gz CITIYWGCKUPCOF-UHFFFAOYSA-N 0 3 243.301 2.599 20 0 BFADHN c1cc(CN[C@H](C2CC2)[C@@H]2CCCCO2)ccn1 ZINC000655810450 411523226 /nfs/dbraw/zinc/52/32/26/411523226.db2.gz MAVRVMBYJVCRRF-LSDHHAIUSA-N 0 3 246.354 2.519 20 0 BFADHN CC[C@H]1CCN(Cc2cc(C3CC3)no2)C1 ZINC000659995962 411523791 /nfs/dbraw/zinc/52/37/91/411523791.db2.gz JHNCSPPCRANVDK-JTQLQIEISA-N 0 3 220.316 2.784 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2C[C@H]2c2ccccc2)n1 ZINC000657474150 411523912 /nfs/dbraw/zinc/52/39/12/411523912.db2.gz ANWUMDMTXDXFJS-KBPBESRZSA-N 0 3 241.338 2.611 20 0 BFADHN COc1cc(CN2CC[C@@H](C(C)C)C2)sn1 ZINC000659997196 411523988 /nfs/dbraw/zinc/52/39/88/411523988.db2.gz RGWACIQJGWKZMY-SNVBAGLBSA-N 0 3 240.372 2.630 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cc(C2CC2)no1 ZINC000659999618 411525327 /nfs/dbraw/zinc/52/53/27/411525327.db2.gz CKHCSGPNMIZYER-UWVGGRQHSA-N 0 3 220.316 2.925 20 0 BFADHN Cc1c[nH]c(CNCC(C)(C)C2CCC2)n1 ZINC000657481115 411526743 /nfs/dbraw/zinc/52/67/43/411526743.db2.gz JSGOIZPSJIOIMO-UHFFFAOYSA-N 0 3 221.348 2.634 20 0 BFADHN CC(C)(CNCc1cc2n(n1)CCC2)C1CCC1 ZINC000657481031 411526911 /nfs/dbraw/zinc/52/69/11/411526911.db2.gz HHWJZKFZAJKZSZ-UHFFFAOYSA-N 0 3 247.386 2.745 20 0 BFADHN CCC[C@H]1[C@@H](C)CCCN1Cc1cn(C)cn1 ZINC000660085186 411535151 /nfs/dbraw/zinc/53/51/51/411535151.db2.gz QENXKSBMNBOYCZ-JSGCOSHPSA-N 0 3 235.375 2.821 20 0 BFADHN CC1(C)C[C@@H](N2CCC(C(F)F)CC2)CO1 ZINC000660013236 411528643 /nfs/dbraw/zinc/52/86/43/411528643.db2.gz HBNIAIYTGUNEKW-SNVBAGLBSA-N 0 3 233.302 2.531 20 0 BFADHN CC[C@H](NCc1cc2n(n1)CCC2)C1CCCC1 ZINC000657485085 411530109 /nfs/dbraw/zinc/53/01/09/411530109.db2.gz KIOKQHGEJRDPII-HNNXBMFYSA-N 0 3 247.386 2.888 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H]2C[C@H]2c2ccccc2F)CO1 ZINC000657488062 411530812 /nfs/dbraw/zinc/53/08/12/411530812.db2.gz KFWIPBPDQOEWLS-UQFNBPPOSA-N 0 3 249.329 2.839 20 0 BFADHN Cc1c[nH]c(CNCC(C)(C)C2=CCCC2)n1 ZINC000657486619 411531000 /nfs/dbraw/zinc/53/10/00/411531000.db2.gz GIWYWOOSODAGQW-UHFFFAOYSA-N 0 3 233.359 2.944 20 0 BFADHN Cc1c[nH]c(CNCC2(C3CCC3)CCC2)n1 ZINC000657487581 411531075 /nfs/dbraw/zinc/53/10/75/411531075.db2.gz WHCJAFYMYQJLQO-UHFFFAOYSA-N 0 3 233.359 2.778 20 0 BFADHN CC[C@H](CC(F)F)CN(C)Cc1cn[nH]c1 ZINC000660090783 411535303 /nfs/dbraw/zinc/53/53/03/411535303.db2.gz DWZGGRLTAYGJIN-SECBINFHSA-N 0 3 231.290 2.523 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccccn1)c1ccoc1 ZINC000655835986 411532419 /nfs/dbraw/zinc/53/24/19/411532419.db2.gz AATCRXFQICLNME-NWDGAFQWSA-N 0 3 230.311 2.956 20 0 BFADHN CC1(C)C[C@@H]1NCc1ccc(F)c(F)c1F ZINC000657325292 411465199 /nfs/dbraw/zinc/46/51/99/411465199.db2.gz CSCHAHJIDONDOT-VIFPVBQESA-N 0 3 229.245 2.992 20 0 BFADHN CC[C@@H]1C[C@@H](NCCOc2ccccc2C)CO1 ZINC000657323929 411465318 /nfs/dbraw/zinc/46/53/18/411465318.db2.gz IZBJOPHOLBSBAK-ZIAGYGMSSA-N 0 3 249.354 2.531 20 0 BFADHN CCN1CCSC[C@@H]1c1ccccc1 ZINC000115558901 170006460 /nfs/dbraw/zinc/00/64/60/170006460.db2.gz KAIXQTPBGQPRNV-GFCCVEGCSA-N 0 3 207.342 2.796 20 0 BFADHN FC1(CNC[C@H]2CCC3(CCCC3)O2)CC1 ZINC000655863055 411540255 /nfs/dbraw/zinc/54/02/55/411540255.db2.gz GIVBMRUIGQOQPC-LLVKDONJSA-N 0 3 227.323 2.570 20 0 BFADHN CC(C)=CCCN(C)Cc1cccnc1 ZINC000660125770 411541053 /nfs/dbraw/zinc/54/10/53/411541053.db2.gz KHSZSFUNJFVMMP-UHFFFAOYSA-N 0 3 204.317 2.870 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCN(CC)[C@H](C)C1 ZINC000660126400 411541740 /nfs/dbraw/zinc/54/17/40/411541740.db2.gz PHIKHGKRGHBGPV-NEPJUHHUSA-N 0 3 248.361 2.694 20 0 BFADHN CCNC(=O)[C@H](C)N[C@@H](CC)c1ccc(C)cc1 ZINC000035138548 170095624 /nfs/dbraw/zinc/09/56/24/170095624.db2.gz CELSSGMQDCUSLG-JSGCOSHPSA-N 0 3 248.370 2.560 20 0 BFADHN C[C@H](N[C@@H]1CCCN2CCCC[C@@H]12)c1ccco1 ZINC000655849970 411537012 /nfs/dbraw/zinc/53/70/12/411537012.db2.gz RVDWNCVMRNVINP-MJBXVCDLSA-N 0 3 248.370 2.947 20 0 BFADHN CC[C@@H](NCc1cncs1)[C@H]1CCCCO1 ZINC000655855436 411537730 /nfs/dbraw/zinc/53/77/30/411537730.db2.gz DNPUVZCPKDCIGK-VXGBXAGGSA-N 0 3 240.372 2.580 20 0 BFADHN CC(C)(C)C[C@@H]1C[C@H]1NCc1cnc2n1CCC2 ZINC000657501393 411537886 /nfs/dbraw/zinc/53/78/86/411537886.db2.gz SURPQSJQGINROE-WCQYABFASA-N 0 3 247.386 2.744 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cc(C)nc(C)n1 ZINC000660169345 411551371 /nfs/dbraw/zinc/55/13/71/411551371.db2.gz MAWGOQIIENQQIB-BXUZGUMPSA-N 0 3 233.359 2.856 20 0 BFADHN CCc1ncc(CN[C@@]23C[C@@H]2CCC3)s1 ZINC000657550096 411551693 /nfs/dbraw/zinc/55/16/93/411551693.db2.gz GSRINYGVZIREIU-CABZTGNLSA-N 0 3 222.357 2.738 20 0 BFADHN Cc1nc(CNC2[C@@H](C)CCC[C@@H]2C)co1 ZINC000660178984 411551895 /nfs/dbraw/zinc/55/18/95/411551895.db2.gz LOJJUESPJNDJFX-UWVGGRQHSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@H](COCC1CC1)NCc1ccc(C)cn1 ZINC000657563065 411552129 /nfs/dbraw/zinc/55/21/29/411552129.db2.gz SAUVOCBAENDRDS-CQSZACIVSA-N 0 3 248.370 2.685 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000660195223 411552393 /nfs/dbraw/zinc/55/23/93/411552393.db2.gz PHYIFPQVQNGNFA-WRSRJMLGSA-N 0 3 234.343 2.988 20 0 BFADHN CO[C@H](CN[C@H](C)c1cccc(O)c1)C1CCC1 ZINC000660191115 411552621 /nfs/dbraw/zinc/55/26/21/411552621.db2.gz IRAVVCWDCFUCKF-IAQYHMDHSA-N 0 3 249.354 2.858 20 0 BFADHN CCNCc1cc(-c2ccncc2)ccc1OC ZINC000040231710 170124811 /nfs/dbraw/zinc/12/48/11/170124811.db2.gz GKIAQHSOIGRQPR-UHFFFAOYSA-N 0 3 242.322 2.867 20 0 BFADHN CCNCc1ccc(-c2ccncc2)cc1F ZINC000040231827 170126418 /nfs/dbraw/zinc/12/64/18/170126418.db2.gz QYEVYXZZCLLERW-UHFFFAOYSA-N 0 3 230.286 2.997 20 0 BFADHN CCNCc1sccc1Br ZINC000043674510 170132502 /nfs/dbraw/zinc/13/25/02/170132502.db2.gz RUXIAPRBMBBDRJ-UHFFFAOYSA-N 0 3 220.135 2.620 20 0 BFADHN CCN[C@@H](C)c1ccnn1-c1ccccc1 ZINC000229442161 170142165 /nfs/dbraw/zinc/14/21/65/170142165.db2.gz PRKDDNBPPGHWBI-NSHDSACASA-N 0 3 215.300 2.543 20 0 BFADHN C[C@H]1C[C@H](CNCc2nccn2C)C[C@@H](C)C1 ZINC000657589275 411555665 /nfs/dbraw/zinc/55/56/65/411555665.db2.gz GTXDDSDLZMFWNQ-ITGUQSILSA-N 0 3 235.375 2.582 20 0 BFADHN Cc1c[nH]nc1CNCC1C[C@H](C)C[C@@H](C)C1 ZINC000657589338 411555984 /nfs/dbraw/zinc/55/59/84/411555984.db2.gz JCBGVRWTXXHUMA-GHMZBOCLSA-N 0 3 235.375 2.880 20 0 BFADHN Cc1ccc(CNC[C@H](C)c2ccccn2)nc1 ZINC000657536129 411545796 /nfs/dbraw/zinc/54/57/96/411545796.db2.gz TZRLMVQUSXLBOW-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN CC[C@@H](C)CNCc1cnc(C(F)(F)F)nc1 ZINC000657549245 411546771 /nfs/dbraw/zinc/54/67/71/411546771.db2.gz CSPOVMQBUMCZLV-MRVPVSSYSA-N 0 3 247.264 2.631 20 0 BFADHN COc1ccc(OC)c(CN[C@]23C[C@H]2CCC3)c1 ZINC000657548986 411546835 /nfs/dbraw/zinc/54/68/35/411546835.db2.gz WFSRAAYITNYKGV-IUODEOHRSA-N 0 3 247.338 2.736 20 0 BFADHN CC1(C)C[C@@H](N2CCc3ccsc3C2)CO1 ZINC000660150805 411547592 /nfs/dbraw/zinc/54/75/92/411547592.db2.gz UINKJDYBVASFEQ-LLVKDONJSA-N 0 3 237.368 2.674 20 0 BFADHN CC(C)(C)n1cc(CN[C@@]23C[C@@H]2CCC3)cn1 ZINC000657552236 411548133 /nfs/dbraw/zinc/54/81/33/411548133.db2.gz RJGIGCBXPXZACA-JSGCOSHPSA-N 0 3 233.359 2.670 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)[C@H](C)C2)nc(C)n1 ZINC000660156431 411548296 /nfs/dbraw/zinc/54/82/96/411548296.db2.gz GCJZUYUTSBENKJ-GHMZBOCLSA-N 0 3 233.359 2.571 20 0 BFADHN Fc1cccc(CN[C@@]23C[C@@H]2CCC3)c1F ZINC000657554826 411549915 /nfs/dbraw/zinc/54/99/15/411549915.db2.gz ZQOARWPREOEGAY-GWCFXTLKSA-N 0 3 223.266 2.997 20 0 BFADHN OC[C@@H](NCc1ccc(Cl)s1)C1CCC1 ZINC000657557392 411550541 /nfs/dbraw/zinc/55/05/41/411550541.db2.gz PBBUMOZXWKBHAI-SNVBAGLBSA-N 0 3 245.775 2.652 20 0 BFADHN CCOC(=O)CCCN(C)Cc1cccc(C)c1 ZINC000338727676 170201266 /nfs/dbraw/zinc/20/12/66/170201266.db2.gz ARJMRNADQWWGOD-UHFFFAOYSA-N 0 3 249.354 2.770 20 0 BFADHN CCOC(=O)CCCN[C@H](C)c1cccs1 ZINC000070225166 170202986 /nfs/dbraw/zinc/20/29/86/170202986.db2.gz RZLUHKBKYUMEMM-SNVBAGLBSA-N 0 3 241.356 2.742 20 0 BFADHN CCOC(=O)CCN[C@H](CC)c1cccs1 ZINC000044388538 170209853 /nfs/dbraw/zinc/20/98/53/170209853.db2.gz FMAYFEBIIWDZQU-SNVBAGLBSA-N 0 3 241.356 2.742 20 0 BFADHN Cc1cccc(CN[C@H]2COC3(CCC3)C2)c1 ZINC000657629843 411566523 /nfs/dbraw/zinc/56/65/23/411566523.db2.gz MBAQGTXMXURELT-CQSZACIVSA-N 0 3 231.339 2.796 20 0 BFADHN CCOC(=O)CN(CCC1CC1)C1CCCC1 ZINC000359884693 170217172 /nfs/dbraw/zinc/21/71/72/170217172.db2.gz ZHICLYCMXBVKGH-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1noc(C)c1CNCCCOC(C)(C)C ZINC000657637719 411567636 /nfs/dbraw/zinc/56/76/36/411567636.db2.gz MQIWQJKMXNLMMV-UHFFFAOYSA-N 0 3 240.347 2.586 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1coc(C)n1 ZINC000660317175 411567705 /nfs/dbraw/zinc/56/77/05/411567705.db2.gz NTPHWXWVYDEXPN-CABZTGNLSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@H](C)CCNCc1nc(C)c(C)[nH]1 ZINC000657585556 411557224 /nfs/dbraw/zinc/55/72/24/411557224.db2.gz CWOXQTSQZWRSAV-SECBINFHSA-N 0 3 209.337 2.552 20 0 BFADHN COc1cccc(OC)c1CN[C@H](C)[C@H]1C[C@@H]1C ZINC000657587249 411557812 /nfs/dbraw/zinc/55/78/12/411557812.db2.gz VAEDZIDAODCQNE-TUAOUCFPSA-N 0 3 249.354 2.838 20 0 BFADHN COc1cccc(OC)c1CN[C@H](C)[C@H]1C[C@H]1C ZINC000657587251 411558052 /nfs/dbraw/zinc/55/80/52/411558052.db2.gz VAEDZIDAODCQNE-UTUOFQBUSA-N 0 3 249.354 2.838 20 0 BFADHN COC[C@@H](NCCC1CCC1)c1ccco1 ZINC000660251486 411560061 /nfs/dbraw/zinc/56/00/61/411560061.db2.gz NTHPECLDXRFSDJ-GFCCVEGCSA-N 0 3 223.316 2.747 20 0 BFADHN C[C@H](NCc1ccc([C@@H]2C[C@H]2C)o1)C1(CO)CC1 ZINC000657602570 411560451 /nfs/dbraw/zinc/56/04/51/411560451.db2.gz JRALHGREKGSRLO-NTZNESFSSA-N 0 3 249.354 2.654 20 0 BFADHN COc1cc(CN2CC[C@@H](C(C)(C)C)C2)on1 ZINC000660254737 411560669 /nfs/dbraw/zinc/56/06/69/411560669.db2.gz QADSIZOZPYCYAF-SNVBAGLBSA-N 0 3 238.331 2.551 20 0 BFADHN COc1cc(CN2CC[C@H](C(C)(C)C)C2)on1 ZINC000660254736 411560707 /nfs/dbraw/zinc/56/07/07/411560707.db2.gz QADSIZOZPYCYAF-JTQLQIEISA-N 0 3 238.331 2.551 20 0 BFADHN CCOC(=O)[C@H](C)N1CCC[C@H](C(C)(C)C)C1 ZINC000361543282 170266563 /nfs/dbraw/zinc/26/65/63/170266563.db2.gz MPJCZBFSPLHDHC-RYUDHWBXSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H](NCC1CC=CC1)c1nccs1 ZINC000660266209 411561894 /nfs/dbraw/zinc/56/18/94/411561894.db2.gz OKURRQADYNARMV-SECBINFHSA-N 0 3 208.330 2.760 20 0 BFADHN C[C@H](NCc1cscc1Cl)C1(CO)CC1 ZINC000657607725 411562057 /nfs/dbraw/zinc/56/20/57/411562057.db2.gz MTYWURMMCRVLEO-QMMMGPOBSA-N 0 3 245.775 2.652 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cn(C)cn1 ZINC000660277749 411564244 /nfs/dbraw/zinc/56/42/44/411564244.db2.gz WGZXRISXSIITOX-ZDUSSCGKSA-N 0 3 221.348 2.575 20 0 BFADHN Cc1nocc1CN[C@@H]1Cc2ccccc2[C@@H]1C ZINC000657617614 411564565 /nfs/dbraw/zinc/56/45/65/411564565.db2.gz WCUVENJKFRSXOA-ZUZCIYMTSA-N 0 3 242.322 2.801 20 0 BFADHN OCC[C@H](NC[C@@H]1CC[C@H]2C[C@H]2C1)c1ccco1 ZINC000660296829 411565498 /nfs/dbraw/zinc/56/54/98/411565498.db2.gz HBJIJENCNWSVIX-RQJABVFESA-N 0 3 249.354 2.729 20 0 BFADHN CO[C@@H](C)CNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000657620220 411565707 /nfs/dbraw/zinc/56/57/07/411565707.db2.gz QXHRSUGPDPRDDL-JFGNBEQYSA-N 0 3 223.316 2.528 20 0 BFADHN FC1(CNC[C@@H]2C[C@H](c3ccccc3)CO2)CC1 ZINC000657656347 411571640 /nfs/dbraw/zinc/57/16/40/411571640.db2.gz NHKMDVJYVJJHDH-KBPBESRZSA-N 0 3 249.329 2.651 20 0 BFADHN c1coc(CNC[C@@H]2CCC[C@@H]3C[C@@H]32)c1 ZINC000657656140 411571645 /nfs/dbraw/zinc/57/16/45/411571645.db2.gz LZSRUDADQNMHDG-MDZLAQPJSA-N 0 3 205.301 2.805 20 0 BFADHN COCCN(CCC1CCC1)Cc1cccnc1 ZINC000660367873 411572653 /nfs/dbraw/zinc/57/26/53/411572653.db2.gz NLZXEJQRDYWTLN-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1ccc(F)cn1 ZINC000159227182 170313366 /nfs/dbraw/zinc/31/33/66/170313366.db2.gz MQPOOCNYALMRFP-JTQLQIEISA-N 0 3 240.322 2.686 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1cccnc1 ZINC000166948529 170313785 /nfs/dbraw/zinc/31/37/85/170313785.db2.gz MOZSECBMTXUCBE-LLVKDONJSA-N 0 3 222.332 2.547 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1cccc(O)c1 ZINC000159300111 170313896 /nfs/dbraw/zinc/31/38/96/170313896.db2.gz SPJOAWMZBCTJEP-LLVKDONJSA-N 0 3 237.343 2.858 20 0 BFADHN CCOC1(C)CCN(Cc2ncccc2C)CC1 ZINC000298213616 170318574 /nfs/dbraw/zinc/31/85/74/170318574.db2.gz DUTDROWOUGVISU-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN C[C@H]1CCC[C@@H](CNCc2ccc(Cl)o2)O1 ZINC000657667417 411573351 /nfs/dbraw/zinc/57/33/51/411573351.db2.gz VVHHBBUVLQMBNU-UWVGGRQHSA-N 0 3 243.734 2.980 20 0 BFADHN CCOC1CC(CN(C)Cc2occc2C)C1 ZINC000292324492 170323302 /nfs/dbraw/zinc/32/33/02/170323302.db2.gz OEYSFNCZFQAQNL-UHFFFAOYSA-N 0 3 237.343 2.835 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CCC[C@@H](C)O1 ZINC000657668355 411573693 /nfs/dbraw/zinc/57/36/93/411573693.db2.gz UITZCOASPJLOMY-NEPJUHHUSA-N 0 3 223.316 2.635 20 0 BFADHN CCOC1CC(Nc2cccc(CN(C)C)c2)C1 ZINC000127804489 170330366 /nfs/dbraw/zinc/33/03/66/170330366.db2.gz ZJBAYFFOFHIFPX-UHFFFAOYSA-N 0 3 248.370 2.728 20 0 BFADHN COc1cccc(CNC[C@]2(C)CC2(F)F)c1 ZINC000657669994 411574642 /nfs/dbraw/zinc/57/46/42/411574642.db2.gz QRUFBLBONCZIFY-LBPRGKRZSA-N 0 3 241.281 2.830 20 0 BFADHN Cc1nocc1CNCC[C@]1(C)CC1(F)F ZINC000657671027 411574992 /nfs/dbraw/zinc/57/49/92/411574992.db2.gz QNZDIDMMXJSGKS-SNVBAGLBSA-N 0 3 230.258 2.508 20 0 BFADHN CCOCC(C)(C)CNCc1cnc(C)s1 ZINC000288603521 170351114 /nfs/dbraw/zinc/35/11/14/170351114.db2.gz PSYIBLAJTIULOU-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN CCOCC(C)(C)CN[C@@H](C)c1nccs1 ZINC000290453997 170352166 /nfs/dbraw/zinc/35/21/66/170352166.db2.gz WDCBLFQBENTKPE-JTQLQIEISA-N 0 3 242.388 2.856 20 0 BFADHN CCOCC(C)(C)CN[C@@H](C)c1ccccn1 ZINC000290498818 170352331 /nfs/dbraw/zinc/35/23/31/170352331.db2.gz YBVBYJQWIZXQDK-LBPRGKRZSA-N 0 3 236.359 2.795 20 0 BFADHN CCc1cc(CC)n(-c2ccncc2C)n1 ZINC000131620369 411575703 /nfs/dbraw/zinc/57/57/03/411575703.db2.gz HKAIAAQUXFLOKY-UHFFFAOYSA-N 0 3 215.300 2.701 20 0 BFADHN CCOCC(C)(C)NCc1cccc(Cl)n1 ZINC000293165479 170354801 /nfs/dbraw/zinc/35/48/01/170354801.db2.gz FXLBSPHAHNDISR-UHFFFAOYSA-N 0 3 242.750 2.640 20 0 BFADHN CCOCC(C)(C)NCc1ccc(F)cc1 ZINC000292739103 170355257 /nfs/dbraw/zinc/35/52/57/170355257.db2.gz AGPRHCXDJSUTIF-UHFFFAOYSA-N 0 3 225.307 2.730 20 0 BFADHN CC[C@]1(C)CN(C[C@@H]2C[C@H]3C[C@H]3C2)CCO1 ZINC000660377091 411575748 /nfs/dbraw/zinc/57/57/48/411575748.db2.gz BOJCZVDNKYXBSR-XJFOESAGSA-N 0 3 223.360 2.533 20 0 BFADHN CCOCCCCN[C@@H](C)c1ccncc1F ZINC000340459871 170369966 /nfs/dbraw/zinc/36/99/66/170369966.db2.gz FSICXCFOIHLRPM-NSHDSACASA-N 0 3 240.322 2.688 20 0 BFADHN CCCn1cc(CNCCC(C)(C)F)cn1 ZINC000657645318 411569069 /nfs/dbraw/zinc/56/90/69/411569069.db2.gz RWJGXHMAKISAEM-UHFFFAOYSA-N 0 3 227.327 2.521 20 0 BFADHN Cc1ccc2nc(CNCCC(C)(C)F)cn2c1 ZINC000657644961 411569189 /nfs/dbraw/zinc/56/91/89/411569189.db2.gz HQNNGEADRXATFS-UHFFFAOYSA-N 0 3 249.333 2.871 20 0 BFADHN CCOCCCNCc1cc2ccccc2o1 ZINC000020092217 170380219 /nfs/dbraw/zinc/38/02/19/170380219.db2.gz AKWODLJUWVVJJK-UHFFFAOYSA-N 0 3 233.311 2.949 20 0 BFADHN CCOCCCN[C@@H](C)c1ccc(CC)o1 ZINC000131606817 170381209 /nfs/dbraw/zinc/38/12/09/170381209.db2.gz VFWNUAJTIMIYAQ-NSHDSACASA-N 0 3 225.332 2.919 20 0 BFADHN CCOCCCNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000019962871 170381445 /nfs/dbraw/zinc/38/14/45/170381445.db2.gz WSLJBLPZEZSSLE-AAEUAGOBSA-N 0 3 237.343 2.919 20 0 BFADHN Cc1nc(CN2CC[C@H]3CCC[C@@H]32)c(C)o1 ZINC000660332238 411570211 /nfs/dbraw/zinc/57/02/11/411570211.db2.gz JFZWLQDKARWRSM-YPMHNXCESA-N 0 3 220.316 2.666 20 0 BFADHN CCOCCN(C)CCc1cccc(Cl)c1 ZINC000362287073 170388455 /nfs/dbraw/zinc/38/84/55/170388455.db2.gz SUXCEUAHUDMTEG-UHFFFAOYSA-N 0 3 241.762 2.851 20 0 BFADHN CCOCCN(C)Cc1ccc2occc2c1 ZINC000290317658 170389238 /nfs/dbraw/zinc/38/92/38/170389238.db2.gz JFTPGWWIHBMXNP-UHFFFAOYSA-N 0 3 233.311 2.901 20 0 BFADHN CCOCCN(C)[C@H]1CCc2ccc(F)cc21 ZINC000093312838 170391138 /nfs/dbraw/zinc/39/11/38/170391138.db2.gz LCAPNKFMZLXNAI-AWEZNQCLSA-N 0 3 237.318 2.781 20 0 BFADHN CCOCCN(CC)Cc1csc(C)c1 ZINC000294718065 170396027 /nfs/dbraw/zinc/39/60/27/170396027.db2.gz FZSCTVTZGTUMQY-UHFFFAOYSA-N 0 3 227.373 2.915 20 0 BFADHN CCOCCN(CC)Cc1ccc(C)s1 ZINC000092537684 170396049 /nfs/dbraw/zinc/39/60/49/170396049.db2.gz GEGNPNOAHFPGOT-UHFFFAOYSA-N 0 3 227.373 2.915 20 0 BFADHN CCOCCN(CC)[C@@H](C)c1cccnc1 ZINC000270698937 170396231 /nfs/dbraw/zinc/39/62/31/170396231.db2.gz ZXWRGJDAFMPFBY-LBPRGKRZSA-N 0 3 222.332 2.501 20 0 BFADHN OCC1(N(Cc2ccc(F)cc2)C2CC2)CCC1 ZINC000660772286 411624002 /nfs/dbraw/zinc/62/40/02/411624002.db2.gz IETMRPBZKQLIES-UHFFFAOYSA-N 0 3 249.329 2.705 20 0 BFADHN Cc1cc(CN(C)C2CC(C)(C)C2)nc(C)n1 ZINC000660779023 411627727 /nfs/dbraw/zinc/62/77/27/411627727.db2.gz OORNFIQYRMNHSR-UHFFFAOYSA-N 0 3 233.359 2.714 20 0 BFADHN Cc1cc(C)c(CNCCOCCF)c(C)c1 ZINC000663342503 411630829 /nfs/dbraw/zinc/63/08/29/411630829.db2.gz YCHCNOQLEXNXNC-UHFFFAOYSA-N 0 3 239.334 2.688 20 0 BFADHN CCOCCN[C@@H]1C[C@@H](C)c2ccccc21 ZINC000289136758 170414640 /nfs/dbraw/zinc/41/46/40/170414640.db2.gz FIRFRJKKWKVLSO-BXUZGUMPSA-N 0 3 219.328 2.861 20 0 BFADHN CCOCCN[C@H](c1ccc(F)cn1)C(C)C ZINC000353337513 170415887 /nfs/dbraw/zinc/41/58/87/170415887.db2.gz PGPNKLVGWXZNTH-ZDUSSCGKSA-N 0 3 240.322 2.544 20 0 BFADHN COc1ccnc(CN[C@H]2CCC[C@H]2C)c1F ZINC000657672852 411576025 /nfs/dbraw/zinc/57/60/25/411576025.db2.gz JVFOUSIUCDDGEO-ZJUUUORDSA-N 0 3 238.306 2.508 20 0 BFADHN C[C@H]1CCC[C@@H](CNCc2cccs2)O1 ZINC000657663928 411576344 /nfs/dbraw/zinc/57/63/44/411576344.db2.gz KENNVVWGANYNSD-QWRGUYRKSA-N 0 3 225.357 2.795 20 0 BFADHN C[C@H]1CCC[C@H](CNCc2cccs2)O1 ZINC000657663930 411576458 /nfs/dbraw/zinc/57/64/58/411576458.db2.gz KENNVVWGANYNSD-WDEREUQCSA-N 0 3 225.357 2.795 20 0 BFADHN Cc1ncsc1CNC[C@]1(C)CC1(F)F ZINC000657662980 411576721 /nfs/dbraw/zinc/57/67/21/411576721.db2.gz AFPGSRNAXMZUFG-VIFPVBQESA-N 0 3 232.299 2.586 20 0 BFADHN COc1cccc(CNC[C@@H]2CCC[C@H](C)O2)c1 ZINC000657665672 411577793 /nfs/dbraw/zinc/57/77/93/411577793.db2.gz PKNKBVBQUQJXSR-WFASDCNBSA-N 0 3 249.354 2.742 20 0 BFADHN COCc1ccc(CNC[C@@]2(C)CC2(F)F)o1 ZINC000657666614 411577822 /nfs/dbraw/zinc/57/78/22/411577822.db2.gz VHJGLZPOMPLYPL-LLVKDONJSA-N 0 3 245.269 2.561 20 0 BFADHN CC[C@@](C)(NCc1cc2n(n1)CCCC2)C1CC1 ZINC000657686955 411578406 /nfs/dbraw/zinc/57/84/06/411578406.db2.gz GEQCXDNNUCPSNH-OAHLLOKOSA-N 0 3 247.386 2.888 20 0 BFADHN CC[C@@](C)(NCc1nccs1)C1CC1 ZINC000657688363 411578461 /nfs/dbraw/zinc/57/84/61/411578461.db2.gz KRXWATATBMLUPK-LLVKDONJSA-N 0 3 210.346 2.811 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)nc(C)n1 ZINC000660394835 411578979 /nfs/dbraw/zinc/57/89/79/411578979.db2.gz ZLNRUKWJBQGOAL-NQBHXWOUSA-N 0 3 247.386 2.960 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3ccc(O)cc32)[C@H](C)O1 ZINC000657694049 411579976 /nfs/dbraw/zinc/57/99/76/411579976.db2.gz RBCHLNFXFXJALR-QPNXVFALSA-N 0 3 247.338 2.535 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H]2CCc3ccc(O)cc32)CO1 ZINC000657693133 411580180 /nfs/dbraw/zinc/58/01/80/411580180.db2.gz HBCYIEWKHBMQMV-KYOSRNDESA-N 0 3 247.338 2.537 20 0 BFADHN CC[C@H](NCc1nc2c(s1)CCC2)C1CC1 ZINC000657681802 411580641 /nfs/dbraw/zinc/58/06/41/411580641.db2.gz SBBSKTRPLNXFJF-JTQLQIEISA-N 0 3 236.384 2.910 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1nc(C)oc1C ZINC000660410622 411580908 /nfs/dbraw/zinc/58/09/08/411580908.db2.gz QFKMPJRHRIKCCF-VXGBXAGGSA-N 0 3 222.332 2.960 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CCC[C@@H]2CC)[n-]1 ZINC000660411228 411581283 /nfs/dbraw/zinc/58/12/83/411581283.db2.gz VRBBHSLQBUSTEO-GARJFASQSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@H](C)N[C@@H]2CCC[C@@H]2CC)[nH]1 ZINC000660411228 411581285 /nfs/dbraw/zinc/58/12/85/411581285.db2.gz VRBBHSLQBUSTEO-GARJFASQSA-N 0 3 236.363 2.596 20 0 BFADHN C1=CCC(CN2CCC[C@H]2c2cc[nH]n2)C1 ZINC000660430879 411583559 /nfs/dbraw/zinc/58/35/59/411583559.db2.gz FHLKGFHHBIJTTH-ZDUSSCGKSA-N 0 3 217.316 2.513 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H](O)C23CCC3)cc1F ZINC000657704173 411583606 /nfs/dbraw/zinc/58/36/06/411583606.db2.gz DKEQATVINOYIQA-KGLIPLIRSA-N 0 3 249.329 2.527 20 0 BFADHN O[C@@H]1C[C@@H](NC/C=C/c2ccccc2)C12CCC2 ZINC000657704400 411583742 /nfs/dbraw/zinc/58/37/42/411583742.db2.gz GDAXFAOMCFKQQZ-GMLRFVCHSA-N 0 3 243.350 2.593 20 0 BFADHN c1cc([C@H]2CCCN2CCC2CCC2)n[nH]1 ZINC000660431182 411583973 /nfs/dbraw/zinc/58/39/73/411583973.db2.gz IVMDBYHXRAEGCU-CYBMUJFWSA-N 0 3 219.332 2.737 20 0 BFADHN C[C@H]1CN(CCC2CCC2)C[C@H](C2CC2)O1 ZINC000660445776 411585579 /nfs/dbraw/zinc/58/55/79/411585579.db2.gz NWPXNHLTMDTLMC-SMDDNHRTSA-N 0 3 223.360 2.676 20 0 BFADHN Fc1cc(F)c(CN[C@H]2[C@@H]3CCC[C@@H]32)cc1F ZINC000657733818 411586958 /nfs/dbraw/zinc/58/69/58/411586958.db2.gz QGIKVRUQNRAZJN-WOFXILAISA-N 0 3 241.256 2.992 20 0 BFADHN Fc1ccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)c(F)c1F ZINC000657734062 411587664 /nfs/dbraw/zinc/58/76/64/411587664.db2.gz CRZHHBKSSUIAJG-YFKFIEJBSA-N 0 3 241.256 2.992 20 0 BFADHN CC1(C)[C@H](CNCc2cncs2)C1(F)F ZINC000657736207 411587705 /nfs/dbraw/zinc/58/77/05/411587705.db2.gz AQSYPPKWBBVEAE-QMMMGPOBSA-N 0 3 232.299 2.524 20 0 BFADHN Cc1nc(CN(CC2CC2)CC2CCC2)co1 ZINC000660459918 411587984 /nfs/dbraw/zinc/58/79/84/411587984.db2.gz WZBLSFUTWGIIFB-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN CC1(C)[C@H](CNCc2cccc(O)c2)C1(F)F ZINC000657737633 411588126 /nfs/dbraw/zinc/58/81/26/411588126.db2.gz VOEBIOBLSCLXHV-NSHDSACASA-N 0 3 241.281 2.773 20 0 BFADHN Cc1c[nH]nc1CN[C@@H](C)C1CCC(F)CC1 ZINC000657743843 411589465 /nfs/dbraw/zinc/58/94/65/411589465.db2.gz KVQHWZFLNWKKLD-UNXYVOJBSA-N 0 3 239.338 2.725 20 0 BFADHN Cc1c[nH]nc1CN[C@H](C)C1CCC(F)CC1 ZINC000657743847 411589530 /nfs/dbraw/zinc/58/95/30/411589530.db2.gz KVQHWZFLNWKKLD-VOMCLLRMSA-N 0 3 239.338 2.725 20 0 BFADHN CC(C)n1cc(CN[C@]2(C)CC2(C)C)cn1 ZINC000657761070 411590560 /nfs/dbraw/zinc/59/05/60/411590560.db2.gz FJALUXNNWJEETK-CYBMUJFWSA-N 0 3 221.348 2.742 20 0 BFADHN COc1ccccc1CN[C@@]1(C)CC1(C)C ZINC000657760335 411590645 /nfs/dbraw/zinc/59/06/45/411590645.db2.gz GUTPJQGJZALZIK-AWEZNQCLSA-N 0 3 219.328 2.973 20 0 BFADHN CC(C)n1cc(CN[C@@]2(C)CC2(C)C)cn1 ZINC000657761072 411590891 /nfs/dbraw/zinc/59/08/91/411590891.db2.gz FJALUXNNWJEETK-ZDUSSCGKSA-N 0 3 221.348 2.742 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1cccc(F)c1 ZINC000044689595 170446820 /nfs/dbraw/zinc/44/68/20/170446820.db2.gz GYFNKLJUJIBDLK-QWRGUYRKSA-N 0 3 225.307 2.901 20 0 BFADHN CC1(C)C[C@]1(C)NCc1cn2ccccc2n1 ZINC000657762308 411591769 /nfs/dbraw/zinc/59/17/69/411591769.db2.gz ZZTYBEYCZKKKSC-AWEZNQCLSA-N 0 3 229.327 2.613 20 0 BFADHN CCN(C)c1ccc(CNCC2=CCCC2)cn1 ZINC000657749723 411592467 /nfs/dbraw/zinc/59/24/67/411592467.db2.gz KGGTWJRCMYJVGD-UHFFFAOYSA-N 0 3 245.370 2.738 20 0 BFADHN CO[C@H](CNCc1ccco1)C1CCCC1 ZINC000657767069 411593507 /nfs/dbraw/zinc/59/35/07/411593507.db2.gz MIIBOHDFDKUBTD-CYBMUJFWSA-N 0 3 223.316 2.574 20 0 BFADHN CO[C@H](CNCc1ccc(C)o1)C1CCCC1 ZINC000657768039 411593522 /nfs/dbraw/zinc/59/35/22/411593522.db2.gz TYCHTDKJKPAGES-CQSZACIVSA-N 0 3 237.343 2.883 20 0 BFADHN CO[C@H](CNCc1ccoc1)C1CCCC1 ZINC000657768151 411593946 /nfs/dbraw/zinc/59/39/46/411593946.db2.gz WNIWVWHFWMJZFV-CYBMUJFWSA-N 0 3 223.316 2.574 20 0 BFADHN CCOC[C@H](NCc1cncc(C)c1)C(C)C ZINC000233780312 170449748 /nfs/dbraw/zinc/44/97/48/170449748.db2.gz ZSVATZSVURHTKF-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc(Cl)s1 ZINC000657788911 411596820 /nfs/dbraw/zinc/59/68/20/411596820.db2.gz YEXWXYYNYRPGFZ-SCZZXKLOSA-N 0 3 231.748 2.669 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cccc(SC)c1 ZINC000660551597 411597228 /nfs/dbraw/zinc/59/72/28/411597228.db2.gz MIDFDMDFBFWXQH-NSHDSACASA-N 0 3 239.384 2.875 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cc2ccc(C)cc2[nH]1 ZINC000657790455 411597616 /nfs/dbraw/zinc/59/76/16/411597616.db2.gz QUEIHARIBFOXNS-CABCVRRESA-N 0 3 244.338 2.743 20 0 BFADHN Fc1ccc2c(c1)C[C@@H]1[C@@H](NCc3ccco3)[C@H]21 ZINC000657791316 411597637 /nfs/dbraw/zinc/59/76/37/411597637.db2.gz RPNWDDHXDWPYOG-RRFJBIMHSA-N 0 3 243.281 2.847 20 0 BFADHN C[C@H](NCC1CC=CC1)c1c(F)cncc1F ZINC000660550478 411599304 /nfs/dbraw/zinc/59/93/04/411599304.db2.gz FHYNTVBHXZYHQS-VIFPVBQESA-N 0 3 238.281 2.977 20 0 BFADHN CCc1nc([C@@H](C)N2CCC[C@@H](C3CC3)C2)n[nH]1 ZINC000660559438 411600080 /nfs/dbraw/zinc/60/00/80/411600080.db2.gz HQJBHHUTSRHRTH-ZYHUDNBSSA-N 0 3 248.374 2.550 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2cccc(OC)c2C)CO1 ZINC000657804049 411600496 /nfs/dbraw/zinc/60/04/96/411600496.db2.gz VCTVRMAFJSDGJT-ZIAGYGMSSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](O)C1)c1ccc(Cl)cc1 ZINC000657809653 411601801 /nfs/dbraw/zinc/60/18/01/411601801.db2.gz NBBFPBOIFLVRNH-GGZOMVNGSA-N 0 3 225.719 2.514 20 0 BFADHN c1ccc(CN[C@@H]2CCCC23CC3)nc1 ZINC000657815613 411604823 /nfs/dbraw/zinc/60/48/23/411604823.db2.gz GZYTYCHPXFBMEM-GFCCVEGCSA-N 0 3 202.301 2.504 20 0 BFADHN OC[C@@H]1CN(CCCC2CCC2)CCC1(F)F ZINC000660596094 411605311 /nfs/dbraw/zinc/60/53/11/411605311.db2.gz JXWGGPONGAPEBN-LBPRGKRZSA-N 0 3 247.329 2.516 20 0 BFADHN CCN(Cc1cc(OC)no1)CC(C)(C)C ZINC000660596521 411605340 /nfs/dbraw/zinc/60/53/40/411605340.db2.gz MKSCRCZPYGZSGK-UHFFFAOYSA-N 0 3 226.320 2.551 20 0 BFADHN c1cc2c(o1)CCC[C@H]2N[C@@H]1COC2(CCC2)C1 ZINC000657835111 411609375 /nfs/dbraw/zinc/60/93/75/411609375.db2.gz OFAFQTRTTCSQGE-WCQYABFASA-N 0 3 247.338 2.958 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CCOCc1ccccc1 ZINC000660640961 411610889 /nfs/dbraw/zinc/61/08/89/411610889.db2.gz TZSPYFPRZLIDNE-QWHCGFSZSA-N 0 3 219.328 2.543 20 0 BFADHN CC(C)C1(NCc2cc(C3CC3)no2)CC1 ZINC000660641014 411610921 /nfs/dbraw/zinc/61/09/21/411610921.db2.gz UTXSVPSGQKWSCT-UHFFFAOYSA-N 0 3 220.316 2.830 20 0 BFADHN CC[C@@H](C)N(CC)Cc1nnc(-c2ccco2)o1 ZINC000661105399 411711762 /nfs/dbraw/zinc/71/17/62/411711762.db2.gz GPFAWNBHFGWQQN-SNVBAGLBSA-N 0 3 249.314 2.950 20 0 BFADHN CC[C@@H](C)N(CC)CC(=O)NCCC(C)(C)C ZINC000661108783 411713099 /nfs/dbraw/zinc/71/30/99/411713099.db2.gz OGROQCUQCYZLOF-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@H]1C[C@@H](NCCOCCF)c2ccccc21 ZINC000663460345 411661828 /nfs/dbraw/zinc/66/18/28/411661828.db2.gz NFVGWBGNHOEQQB-BXUZGUMPSA-N 0 3 237.318 2.811 20 0 BFADHN Cc1cc([C@H](C)NCCOCCF)cs1 ZINC000663460197 411662049 /nfs/dbraw/zinc/66/20/49/411662049.db2.gz KWNQMUZWJOCILG-JTQLQIEISA-N 0 3 231.336 2.693 20 0 BFADHN OCC[C@@H](NCC1CC=CC1)c1ccccc1F ZINC000660900955 411665172 /nfs/dbraw/zinc/66/51/72/411665172.db2.gz CSLVJVJUCHJAGO-OAHLLOKOSA-N 0 3 249.329 2.805 20 0 BFADHN CC(C)=CCCN1CCO[C@@]2(CCSC2)C1 ZINC000660898711 411665300 /nfs/dbraw/zinc/66/53/00/411665300.db2.gz JIMNISYWTXKPEY-ZDUSSCGKSA-N 0 3 241.400 2.551 20 0 BFADHN Cn1cnc(CN2CCCC[C@@H]2C2CCC2)c1 ZINC000660906371 411667654 /nfs/dbraw/zinc/66/76/54/411667654.db2.gz GHYVAUVBPDBESX-CQSZACIVSA-N 0 3 233.359 2.575 20 0 BFADHN C(CN1CCOC[C@@H]1C1CCC1)C1CCC1 ZINC000660906388 411667793 /nfs/dbraw/zinc/66/77/93/411667793.db2.gz WRMDKHMLJOCTGJ-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN CC[C@@H]1CN(CCC=C(C)C)[C@H](C)CO1 ZINC000660912373 411669201 /nfs/dbraw/zinc/66/92/01/411669201.db2.gz JYMRQFYPEGUWNH-CHWSQXEVSA-N 0 3 211.349 2.842 20 0 BFADHN C[C@H]1CN(CCC2CCC2)C2(CCC2)CO1 ZINC000660920765 411672463 /nfs/dbraw/zinc/67/24/63/411672463.db2.gz RIJWZQHAGNMIHX-LBPRGKRZSA-N 0 3 223.360 2.820 20 0 BFADHN Cc1cc(CNC2(C3CCC3)CC2)nc(C)n1 ZINC000660920395 411672592 /nfs/dbraw/zinc/67/25/92/411672592.db2.gz NZOLHFMJAZDZRN-UHFFFAOYSA-N 0 3 231.343 2.516 20 0 BFADHN CC(C)=CCCN1C[C@@H](C)OCC12CCC2 ZINC000660920258 411673372 /nfs/dbraw/zinc/67/33/72/411673372.db2.gz MWFNTNPSMSLMAA-CYBMUJFWSA-N 0 3 223.360 2.986 20 0 BFADHN c1ccc(OCCN2C[C@@H]3CCCC[C@@H]32)cc1 ZINC000660927681 411678748 /nfs/dbraw/zinc/67/87/48/411678748.db2.gz DXYYHVLCKDHOLY-ZFWWWQNUSA-N 0 3 231.339 2.940 20 0 BFADHN C(CN1C[C@@H]2CCCC[C@@H]21)OCC1CCC1 ZINC000660935213 411683286 /nfs/dbraw/zinc/68/32/86/411683286.db2.gz YRGBZGHGUNMSFZ-KBPBESRZSA-N 0 3 223.360 2.678 20 0 BFADHN c1[nH]nc2cc(CN3C[C@@H]4CCCC[C@@H]43)ccc12 ZINC000660934975 411684312 /nfs/dbraw/zinc/68/43/12/411684312.db2.gz XEXLRQSSVWNWEX-ZFWWWQNUSA-N 0 3 241.338 2.937 20 0 BFADHN Cc1ccc(CN2C[C@@H]3CCCC[C@@H]32)nc1 ZINC000660933260 411684965 /nfs/dbraw/zinc/68/49/65/411684965.db2.gz MNVNFNIGBDMLRO-JSGCOSHPSA-N 0 3 216.328 2.764 20 0 BFADHN CN(CCCc1ccsc1)Cc1cnc[nH]1 ZINC000661088485 411700937 /nfs/dbraw/zinc/70/09/37/411700937.db2.gz JOCQGJZAFWRGLF-UHFFFAOYSA-N 0 3 235.356 2.536 20 0 BFADHN CCCCCN1CCO[C@](C)(C2CC2)C1 ZINC000661171431 411728810 /nfs/dbraw/zinc/72/88/10/411728810.db2.gz OCELEZVVRFIFCZ-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@@H](c1cccnc1)N1C[C@]2(C)COC[C@]2(C)C1 ZINC000661502693 411792104 /nfs/dbraw/zinc/79/21/04/411792104.db2.gz PBZMAXQKDLEHTI-AEGPPILISA-N 0 3 246.354 2.501 20 0 BFADHN CCOc1ccccc1CNC[C@H](OC)C1CC1 ZINC000292879848 170593065 /nfs/dbraw/zinc/59/30/65/170593065.db2.gz BXVZNEHSHAZUBU-HNNXBMFYSA-N 0 3 249.354 2.600 20 0 BFADHN CCOc1ccccc1[C@H](CC)NCCCO ZINC000271635843 170599109 /nfs/dbraw/zinc/59/91/09/170599109.db2.gz BWUNXINKBSULCL-ZDUSSCGKSA-N 0 3 237.343 2.508 20 0 BFADHN Cc1ccc2c(c1)CN([C@@H]1CCCOC1)CC2 ZINC000661371892 411755333 /nfs/dbraw/zinc/75/53/33/411755333.db2.gz RAYCQLORCMQWDQ-OAHLLOKOSA-N 0 3 231.339 2.532 20 0 BFADHN CC[C@@H](CN1CCc2ccc(C)cc2C1)OC ZINC000661371651 411756867 /nfs/dbraw/zinc/75/68/67/411756867.db2.gz BKPKVPPSVJWDPG-HNNXBMFYSA-N 0 3 233.355 2.778 20 0 BFADHN Cc1nocc1CN1CCC(C2CCC2)CC1 ZINC000661375042 411758204 /nfs/dbraw/zinc/75/82/04/411758204.db2.gz SNLSBBICLPUILT-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN C[C@H](NCC1CC=CC1)c1cscn1 ZINC000661415025 411772263 /nfs/dbraw/zinc/77/22/63/411772263.db2.gz QBEASFUFCFQEKG-VIFPVBQESA-N 0 3 208.330 2.760 20 0 BFADHN C[C@@H]1COC2(CCC2)CN1Cc1ccccc1 ZINC000661467834 411782946 /nfs/dbraw/zinc/78/29/46/411782946.db2.gz SWZIYNAHTCCDID-CYBMUJFWSA-N 0 3 231.339 2.830 20 0 BFADHN C[C@@H]1COC2(CCC2)CN1[C@@H]1C=CCCC1 ZINC000661467500 411784077 /nfs/dbraw/zinc/78/40/77/411784077.db2.gz SABIWEVAQNJJAF-CHWSQXEVSA-N 0 3 221.344 2.739 20 0 BFADHN CC(C)[C@H](NCC[C@H](C)O)c1ccccc1F ZINC000661486211 411785269 /nfs/dbraw/zinc/78/52/69/411785269.db2.gz UQHQYWDOJMQWQP-FZMZJTMJSA-N 0 3 239.334 2.883 20 0 BFADHN CC(C)[C@@H](NCC[C@@H](C)O)c1ccccc1F ZINC000661486210 411785285 /nfs/dbraw/zinc/78/52/85/411785285.db2.gz UQHQYWDOJMQWQP-BXUZGUMPSA-N 0 3 239.334 2.883 20 0 BFADHN C[C@@H](c1ccccn1)N1C[C@]2(C)COC[C@]2(C)C1 ZINC000661489146 411786509 /nfs/dbraw/zinc/78/65/09/411786509.db2.gz DCPFTTHTLXPBAJ-AEGPPILISA-N 0 3 246.354 2.501 20 0 BFADHN Fc1ccc([C@@H]2CCN2C[C@H]2CCCOC2)cc1 ZINC000661513888 411794128 /nfs/dbraw/zinc/79/41/28/411794128.db2.gz UULVMMJFLIQBGO-DOMZBBRYSA-N 0 3 249.329 2.999 20 0 BFADHN C[C@@H](O)CCCN1CCC(c2ccco2)CC1 ZINC000661161546 411724745 /nfs/dbraw/zinc/72/47/45/411724745.db2.gz UFAACSLPPRHCGB-GFCCVEGCSA-N 0 3 237.343 2.620 20 0 BFADHN C[C@@H]1CN(CCCC(C)(C)C)C[C@@H](C)C1=O ZINC000661540091 411797377 /nfs/dbraw/zinc/79/73/77/411797377.db2.gz XDWUSAQTALMCDN-VXGBXAGGSA-N 0 3 225.376 2.970 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)C[C@@H](C)C1=O ZINC000661537516 411797774 /nfs/dbraw/zinc/79/77/74/411797774.db2.gz BTZPIERRZPJLEP-KSTCHIGDSA-N 0 3 235.371 2.580 20 0 BFADHN C[C@@H]1CN(CC2CCCCC2)C[C@@H](C)C1=O ZINC000661550670 411801176 /nfs/dbraw/zinc/80/11/76/411801176.db2.gz FQOGHEFERDXTPF-VXGBXAGGSA-N 0 3 223.360 2.724 20 0 BFADHN CC[C@@H](C)CN(C)C(=O)Nc1cccc(CN)c1 ZINC000037173986 170695364 /nfs/dbraw/zinc/69/53/64/170695364.db2.gz AHZOGBIPRIIUDN-LLVKDONJSA-N 0 3 249.358 2.655 20 0 BFADHN c1noc2c1cccc2OCCN1CCCCC1 ZINC000664038797 411823393 /nfs/dbraw/zinc/82/33/93/411823393.db2.gz NNSPSXCNWOYMRE-UHFFFAOYSA-N 0 3 246.310 2.693 20 0 BFADHN O[C@]1(C2CC2)CCCN(Cc2ccccc2F)C1 ZINC000661633149 411826152 /nfs/dbraw/zinc/82/61/52/411826152.db2.gz PVNXDGLFYJZHCU-OAHLLOKOSA-N 0 3 249.329 2.563 20 0 BFADHN CN(CC1=CCCOC1)[C@@H]1C[C@@H]1c1ccccc1 ZINC000661652502 411828343 /nfs/dbraw/zinc/82/83/43/411828343.db2.gz MNAVRIMIXMXTAF-HZPDHXFCSA-N 0 3 243.350 2.821 20 0 BFADHN CCC1(CC)CCCN(Cc2n[nH]c(C)n2)C1 ZINC000661672746 411834760 /nfs/dbraw/zinc/83/47/60/411834760.db2.gz PTGMYCHAPPWKHK-UHFFFAOYSA-N 0 3 236.363 2.515 20 0 BFADHN Cc1cc(CN2CCC[C@H](CCF)C2)on1 ZINC000661690015 411838947 /nfs/dbraw/zinc/83/89/47/411838947.db2.gz MZQONVRDQDENTB-LLVKDONJSA-N 0 3 226.295 2.555 20 0 BFADHN FCC[C@H]1CCCN(CCc2cscn2)C1 ZINC000661689966 411839806 /nfs/dbraw/zinc/83/98/06/411839806.db2.gz MLAMBADGAVIGIH-LLVKDONJSA-N 0 3 242.363 2.757 20 0 BFADHN Cc1ncccc1CN1CCC[C@H](CCF)C1 ZINC000661690580 411841148 /nfs/dbraw/zinc/84/11/48/411841148.db2.gz RVZGCMMTZXINIB-CYBMUJFWSA-N 0 3 236.334 2.962 20 0 BFADHN Cc1ccc(CN2CCC[C@H](CCF)C2)cn1 ZINC000661690881 411841592 /nfs/dbraw/zinc/84/15/92/411841592.db2.gz WIZWFYJSCYCOPC-CYBMUJFWSA-N 0 3 236.334 2.962 20 0 BFADHN CC(C)c1cccc(CN2CC[C@@]3(O)C[C@H]3C2)c1 ZINC000661719441 411855859 /nfs/dbraw/zinc/85/58/59/411855859.db2.gz BFACSTIEPXVHIS-JKSUJKDBSA-N 0 3 245.366 2.767 20 0 BFADHN COc1ccc2c(c1)CCN(CCCCF)C2 ZINC000661742644 411862698 /nfs/dbraw/zinc/86/26/98/411862698.db2.gz VLZLMKSOTUDJGD-UHFFFAOYSA-N 0 3 237.318 2.803 20 0 BFADHN Cc1cc(CN2CC[C@@H]3C[C@@H]3C2)cs1 ZINC000661966553 411920304 /nfs/dbraw/zinc/92/03/04/411920304.db2.gz JNLOQKWNHIXQOS-VXGBXAGGSA-N 0 3 207.342 2.898 20 0 BFADHN O=C(CCN1CC[C@H]2C[C@H]2C1)c1ccccc1 ZINC000661975217 411930776 /nfs/dbraw/zinc/93/07/76/411930776.db2.gz XRUTVKNXHMTVPF-KBPBESRZSA-N 0 3 229.323 2.601 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H]3C[C@H]3C2)s1 ZINC000661977834 411931906 /nfs/dbraw/zinc/93/19/06/411931906.db2.gz ZXKAVARGOQHKRM-QWRGUYRKSA-N 0 3 222.357 2.602 20 0 BFADHN CC(C)n1ncnc1CN[C@H]1CCC(C)(C)C1 ZINC000132415996 411933939 /nfs/dbraw/zinc/93/39/39/411933939.db2.gz PMNIOSVTLUXXQX-NSHDSACASA-N 0 3 236.363 2.527 20 0 BFADHN CCCCN1CCOC(C2CC2)(C2CC2)C1 ZINC000661996973 411938050 /nfs/dbraw/zinc/93/80/50/411938050.db2.gz MCQKCHYOXSEGOD-UHFFFAOYSA-N 0 3 223.360 2.678 20 0 BFADHN Cc1cnc(NC[C@@H]2CCN2C(C)(C)C)s1 ZINC000664141490 411869387 /nfs/dbraw/zinc/86/93/87/411869387.db2.gz CDRMQJYWNRFGLA-JTQLQIEISA-N 0 3 239.388 2.736 20 0 BFADHN CCc1nocc1CN[C@@H](CC)C1CC1 ZINC000661762632 411874103 /nfs/dbraw/zinc/87/41/03/411874103.db2.gz ROSPIUMEDQHGDR-NSHDSACASA-N 0 3 208.305 2.515 20 0 BFADHN Cc1ncncc1[C@@H](C)NCCCC(F)(F)F ZINC000568238534 322929629 /nfs/dbraw/zinc/92/96/29/322929629.db2.gz XBZDSJFRZJHYPM-MRVPVSSYSA-N 0 3 247.264 2.778 20 0 BFADHN C[C@@H]1CN(C/C=C\c2ccccc2)C[C@]1(C)CO ZINC000662136194 411999842 /nfs/dbraw/zinc/99/98/42/411999842.db2.gz OKKWSSFULROBGR-YRPJOXRESA-N 0 3 245.366 2.650 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nnc2ccccn21)C(C)C ZINC000037281396 170908990 /nfs/dbraw/zinc/90/89/90/170908990.db2.gz YQWWPVOPNBOEQM-NWDGAFQWSA-N 0 3 246.358 2.815 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1cc(OC)no1 ZINC000662159664 412012392 /nfs/dbraw/zinc/01/23/92/412012392.db2.gz BMRJAJVHNPLJQM-WDEREUQCSA-N 0 3 238.331 2.836 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1cc2n(n1)CCC2 ZINC000662160843 412012644 /nfs/dbraw/zinc/01/26/44/412012644.db2.gz NCRSRBUUEMISDO-GXTWGEPZSA-N 0 3 247.386 2.982 20 0 BFADHN CCc1nc(CN2[C@H](CC)CCC[C@@H]2C)n[nH]1 ZINC000662159964 412013802 /nfs/dbraw/zinc/01/38/02/412013802.db2.gz GADGGBREFQBQSX-WDEREUQCSA-N 0 3 236.363 2.520 20 0 BFADHN Cc1noc([C@H](C)NC2[C@H](C)CCC[C@H]2C)n1 ZINC000664422160 411951741 /nfs/dbraw/zinc/95/17/41/411951741.db2.gz RJAUBGBYQGQORY-BBBLOLIVSA-N 0 3 237.347 2.853 20 0 BFADHN C1=C[C@H](N2CC[C@@H]3COC[C@H]3C2)CCCCC1 ZINC000662036986 411952313 /nfs/dbraw/zinc/95/23/13/411952313.db2.gz SVHIDBVSBCYURB-KFWWJZLASA-N 0 3 235.371 2.844 20 0 BFADHN CC1(C)CN(C[C@H]2CCOC2)[C@@H]1c1cccnc1 ZINC000664823850 412026730 /nfs/dbraw/zinc/02/67/30/412026730.db2.gz QAXJCUCMGHNBGC-TZMCWYRMSA-N 0 3 246.354 2.501 20 0 BFADHN CC(C)N1CC(C)(C)[C@@H]1c1cccnc1 ZINC000664827270 412027291 /nfs/dbraw/zinc/02/72/91/412027291.db2.gz ICZFFGQODITBIE-LBPRGKRZSA-N 0 3 204.317 2.873 20 0 BFADHN CCCN1CC(C)(C)[C@@H]1c1cccnc1 ZINC000664826984 412027590 /nfs/dbraw/zinc/02/75/90/412027590.db2.gz DNGJARWSXLWEMU-LBPRGKRZSA-N 0 3 204.317 2.875 20 0 BFADHN CC1(CN2CC(C)(C)[C@@H]2c2ccncc2)COC1 ZINC000664830625 412028969 /nfs/dbraw/zinc/02/89/69/412028969.db2.gz XVNCEVJJFXEIGO-ZDUSSCGKSA-N 0 3 246.354 2.501 20 0 BFADHN COCCCCN1CC(C)(C)[C@H]1c1ccncc1 ZINC000664829163 412029770 /nfs/dbraw/zinc/02/97/70/412029770.db2.gz KNAPSUGSWIRWHE-CQSZACIVSA-N 0 3 248.370 2.891 20 0 BFADHN CCCN1CC(C)(C)[C@@H]1c1ccncc1 ZINC000664832886 412029991 /nfs/dbraw/zinc/02/99/91/412029991.db2.gz OZIHGRICGRGRBN-LBPRGKRZSA-N 0 3 204.317 2.875 20 0 BFADHN CC(C)CCOCCN(C)CC1=CCSC1 ZINC000662270136 412060014 /nfs/dbraw/zinc/06/00/14/412060014.db2.gz MFPFVQZIOCELSL-UHFFFAOYSA-N 0 3 243.416 2.654 20 0 BFADHN CC[C@@H]1CCC[C@@H](N[C@@H]2CCn3ccnc32)C1 ZINC000345345463 170987447 /nfs/dbraw/zinc/98/74/47/170987447.db2.gz JCXCAMTXHCBPSX-JHJVBQTASA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cc(OC)ccn1 ZINC000285987370 171000992 /nfs/dbraw/zinc/00/09/92/171000992.db2.gz FQGIASTVLREDIJ-BXUZGUMPSA-N 0 3 234.343 2.759 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1ccc(F)cn1 ZINC000269011266 171001094 /nfs/dbraw/zinc/00/10/94/171001094.db2.gz MWLTWVADCAWMKS-ZWNOBZJWSA-N 0 3 222.307 2.889 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1ncnn1C(C)C ZINC000348183973 171001737 /nfs/dbraw/zinc/00/17/37/171001737.db2.gz NPIBOVYCFJCYHD-VXGBXAGGSA-N 0 3 236.363 2.527 20 0 BFADHN CC[C@@H]1CCN(Cc2cnc3cccc(C)n23)C1 ZINC000355077194 171012023 /nfs/dbraw/zinc/01/20/23/171012023.db2.gz FFGNREMWVCHUHT-CYBMUJFWSA-N 0 3 243.354 2.875 20 0 BFADHN CC[C@@H]1CCN(Cc2cn3ccccc3n2)C1 ZINC000125417539 171012553 /nfs/dbraw/zinc/01/25/53/171012553.db2.gz IEIKJMMVCCOSGO-GFCCVEGCSA-N 0 3 229.327 2.566 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc(OCCOC)cc1 ZINC000292767419 171024257 /nfs/dbraw/zinc/02/42/57/171024257.db2.gz KCKRSGDTKMOFSM-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1noc([C@H](C)N(CCC2CC2)CC2CC2)n1 ZINC000662180740 412021594 /nfs/dbraw/zinc/02/15/94/412021594.db2.gz PLQCQCAKMGGXQK-JTQLQIEISA-N 0 3 249.358 2.951 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cc(C)on1 ZINC000271517043 171053218 /nfs/dbraw/zinc/05/32/18/171053218.db2.gz KSDLKRBHGXNIND-JOYOIKCWSA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cnc(C)nc1 ZINC000336319691 171053984 /nfs/dbraw/zinc/05/39/84/171053984.db2.gz VKNUIWLFLLXTGB-GXFFZTMASA-N 0 3 219.332 2.548 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1ccc(OC)nc1 ZINC000271604557 171055245 /nfs/dbraw/zinc/05/52/45/171055245.db2.gz VOXWVYJOMOPPRF-WCQYABFASA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1c(C)noc1C ZINC000336726042 171058431 /nfs/dbraw/zinc/05/84/31/171058431.db2.gz GRHBIQPIUABXMC-ZYHUDNBSSA-N 0 3 208.305 2.570 20 0 BFADHN CC[C@@H]1COCCN1C[C@@H]1CCC(F)(F)C1 ZINC000335152754 171105961 /nfs/dbraw/zinc/10/59/61/171105961.db2.gz DYMWYDOSGZLEJO-GHMZBOCLSA-N 0 3 233.302 2.533 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@H]1NCc1ccn(C)n1 ZINC000358265941 171115625 /nfs/dbraw/zinc/11/56/25/171115625.db2.gz LOUKQNWNOATJHQ-OUCADQQQSA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@@H]1C[C@@H](CN(C)Cc2ccco2)CCO1 ZINC000356927364 171120092 /nfs/dbraw/zinc/12/00/92/171120092.db2.gz TWPMWWJPGKOHOO-QWHCGFSZSA-N 0 3 237.343 2.917 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2occc2C)CCO1 ZINC000309384184 171121088 /nfs/dbraw/zinc/12/10/88/171121088.db2.gz AZJAYDRVBUUXKB-NWDGAFQWSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@@H]1C[C@@H](CNCc2ccco2)CCO1 ZINC000336746077 171122016 /nfs/dbraw/zinc/12/20/16/171122016.db2.gz WDEIIJDSBBSCQH-NWDGAFQWSA-N 0 3 223.316 2.574 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1conc1C ZINC000294703606 171157086 /nfs/dbraw/zinc/15/70/86/171157086.db2.gz OJBWGDBHHKLLRM-JOYOIKCWSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@](C)(CO)NCc1ccccc1Cl ZINC000070650092 171170639 /nfs/dbraw/zinc/17/06/39/171170639.db2.gz MJDSJNLRGFZDGW-LBPRGKRZSA-N 0 3 227.735 2.591 20 0 BFADHN CC[C@@](C)(CO)NCc1sccc1Cl ZINC000193081528 171171684 /nfs/dbraw/zinc/17/16/84/171171684.db2.gz QDWWKOMAAIHNTC-JTQLQIEISA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@@](C)(O)CN1CCC=C(c2ccco2)C1 ZINC000285480053 171178354 /nfs/dbraw/zinc/17/83/54/171178354.db2.gz CQHUFYWRLSNWLN-CQSZACIVSA-N 0 3 235.327 2.530 20 0 BFADHN CC[C@@](C)(O)CNCc1ccccc1Cl ZINC000082506010 171183867 /nfs/dbraw/zinc/18/38/67/171183867.db2.gz NPBDFYAMPUIQEL-GFCCVEGCSA-N 0 3 227.735 2.591 20 0 BFADHN CC[C@@](C)(O)CNCc1sccc1Cl ZINC000193093640 171183913 /nfs/dbraw/zinc/18/39/13/171183913.db2.gz NRGVTAJGFNNIAH-SNVBAGLBSA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1sccc1OC ZINC000186157372 171184081 /nfs/dbraw/zinc/18/40/81/171184081.db2.gz YUANQTOMBIBACG-JOYOIKCWSA-N 0 3 243.372 2.568 20 0 BFADHN CC[C@@]1(C)CCN(CCOCC(F)(F)F)C1 ZINC000336660740 171201195 /nfs/dbraw/zinc/20/11/95/171201195.db2.gz BICQEIFRUNYELK-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cnc3ccccn23)C1 ZINC000354078106 171202348 /nfs/dbraw/zinc/20/23/48/171202348.db2.gz NEYDPNIPIGRDLC-HNNXBMFYSA-N 0 3 243.354 2.956 20 0 BFADHN CC[C@@]1(C)COCCN1CCCc1ccncc1 ZINC000360468113 171204850 /nfs/dbraw/zinc/20/48/50/171204850.db2.gz LPEAFDYLJKERJJ-HNNXBMFYSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@@]1(CO)CCCN([C@H](C)c2cccnc2)C1 ZINC000275162715 171211907 /nfs/dbraw/zinc/21/19/07/171211907.db2.gz RWDSWCCZEWSLER-UKRRQHHQSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H](C(=O)Nc1ccsc1)N(CC)CC ZINC000266008155 171234909 /nfs/dbraw/zinc/23/49/09/171234909.db2.gz IMZWGXJZGBQEER-LLVKDONJSA-N 0 3 240.372 2.807 20 0 BFADHN CC[C@H](C)CN(C)Cc1cncc(C)c1 ZINC000189885424 171273912 /nfs/dbraw/zinc/27/39/12/171273912.db2.gz LMQYDZXZFDOLTK-NSHDSACASA-N 0 3 206.333 2.868 20 0 BFADHN CC[C@H](C)CN(C)[C@@H](C)C(=O)NCCC(C)C ZINC000111254465 171274332 /nfs/dbraw/zinc/27/43/32/171274332.db2.gz SRYPVOOMZRGIMT-STQMWFEESA-N 0 3 242.407 2.515 20 0 BFADHN CC[C@H](C)CN(C)Cc1cccc(OC)n1 ZINC000171829592 171274968 /nfs/dbraw/zinc/27/49/68/171274968.db2.gz OKKXGAXBSYIRGJ-NSHDSACASA-N 0 3 222.332 2.568 20 0 BFADHN CC[C@H](C)CN(CCOC)Cc1ccccn1 ZINC000287522007 171278758 /nfs/dbraw/zinc/27/87/58/171278758.db2.gz VAFVJOKYVPSEED-ZDUSSCGKSA-N 0 3 236.359 2.576 20 0 BFADHN CC[C@H](C)CN1CC2(CCC2(F)F)C1 ZINC000336617840 171279298 /nfs/dbraw/zinc/27/92/98/171279298.db2.gz RXXGNJHBZDUSFY-VIFPVBQESA-N 0 3 203.276 2.764 20 0 BFADHN CC[C@H](C)CN[C@H](C)C(=O)Nc1ccccc1 ZINC000054471769 171287411 /nfs/dbraw/zinc/28/74/11/171287411.db2.gz KFDMYDYKXAUKRJ-NWDGAFQWSA-N 0 3 234.343 2.649 20 0 BFADHN CC[C@H](C)CN[C@H](c1nccn1C)C1CC1 ZINC000310041768 171287651 /nfs/dbraw/zinc/28/76/51/171287651.db2.gz YYMHXKMBECWVDA-JQWIXIFHSA-N 0 3 221.348 2.507 20 0 BFADHN CC[C@H](C)C[C@@H](C)N[C@H](C)c1cnccn1 ZINC000251767949 171295681 /nfs/dbraw/zinc/29/56/81/171295681.db2.gz ICXQBWLSIRVLTK-QJPTWQEYSA-N 0 3 221.348 2.952 20 0 BFADHN CC[C@H](C)N(C)C(=O)[C@@H](C)[C@H](N)c1ccccc1 ZINC000130132338 171302936 /nfs/dbraw/zinc/30/29/36/171302936.db2.gz JDYSIKVLFLDPJX-OBJOEFQTSA-N 0 3 248.370 2.579 20 0 BFADHN CC[C@H](C)NC(=O)[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000020367948 171332609 /nfs/dbraw/zinc/33/26/09/171332609.db2.gz MSSIIAVFAOYPGJ-RWMBFGLXSA-N 0 3 248.370 2.640 20 0 BFADHN CC[C@H](C)NCc1cc(OC)ccc1F ZINC000293686800 171342934 /nfs/dbraw/zinc/34/29/34/171342934.db2.gz AZXABZGAQAOPKQ-VIFPVBQESA-N 0 3 211.280 2.722 20 0 BFADHN CC[C@@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)CC)[n-]1 ZINC000336729074 171346644 /nfs/dbraw/zinc/34/66/44/171346644.db2.gz HKKLLKZHRSCMRY-UTLUCORTSA-N 0 3 224.352 2.767 20 0 BFADHN CC[C@@H](C)c1nnc([C@H](C)N[C@@H](C)CC)[nH]1 ZINC000336729074 171346646 /nfs/dbraw/zinc/34/66/46/171346646.db2.gz HKKLLKZHRSCMRY-UTLUCORTSA-N 0 3 224.352 2.767 20 0 BFADHN CC[C@H](C)N[C@@H]1COc2ccc(F)cc21 ZINC000335714801 171347090 /nfs/dbraw/zinc/34/70/90/171347090.db2.gz JPFVKBGRNZBBCZ-GZMMTYOYSA-N 0 3 209.264 2.647 20 0 BFADHN CC[C@H](C)[C@@H](C)NC(=O)C[C@H](N)c1ccccc1 ZINC000042558740 171361814 /nfs/dbraw/zinc/36/18/14/171361814.db2.gz VKGHSRRXVPUBAS-SCRDCRAPSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1nccn1C(F)F ZINC000042555865 171365500 /nfs/dbraw/zinc/36/55/00/171365500.db2.gz LWHOCFREZJXQDI-DTWKUNHWSA-N 0 3 231.290 2.802 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1c(C)nn(C)c1Cl ZINC000162530429 171366032 /nfs/dbraw/zinc/36/60/32/171366032.db2.gz UEDDJDSIAGDVCW-DTWKUNHWSA-N 0 3 243.782 2.906 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1cnccn1 ZINC000246783000 171366467 /nfs/dbraw/zinc/36/64/67/171366467.db2.gz QQKOKZHHPMWAMO-HBNTYKKESA-N 0 3 207.321 2.562 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)NCCCCC(C)(C)C ZINC000237064278 171371218 /nfs/dbraw/zinc/37/12/18/171371218.db2.gz WWIVPUKHPHOQSJ-NWDGAFQWSA-N 0 3 242.407 2.692 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)Nc1cccc(Cl)c1 ZINC000019503988 171372977 /nfs/dbraw/zinc/37/29/77/171372977.db2.gz RPYXTSVMNSHRNI-GZMMTYOYSA-N 0 3 240.734 2.652 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@@H](C)c1cccc(F)c1 ZINC000268893948 171378609 /nfs/dbraw/zinc/37/86/09/171378609.db2.gz ORJURIKKSNVQDP-MJVIPROJSA-N 0 3 239.334 2.883 20 0 BFADHN CC[C@H](C)[C@H](C)[NH2+]Cc1nc(C)ccc1[O-] ZINC000082424850 171389339 /nfs/dbraw/zinc/38/93/39/171389339.db2.gz JSVGWVZOYSNMTD-ONGXEEELSA-N 0 3 222.332 2.620 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000345346016 171391004 /nfs/dbraw/zinc/39/10/04/171391004.db2.gz CAJYNKGDPCEDMK-USZNOCQGSA-N 0 3 221.348 2.913 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](C)c1nnc2ccccn21 ZINC000252392441 171391483 /nfs/dbraw/zinc/39/14/83/171391483.db2.gz VNIGPLLQOSKZNU-SDDRHHMPSA-N 0 3 246.358 2.815 20 0 BFADHN CC[C@H](C)[C@H](CNCc1ccsc1)OC ZINC000294173175 171391880 /nfs/dbraw/zinc/39/18/80/171391880.db2.gz JLTRJIBUYDBTPE-JQWIXIFHSA-N 0 3 227.373 2.899 20 0 BFADHN CC[C@H](C)[C@H](CNCc1cccs1)OC ZINC000362976702 171391970 /nfs/dbraw/zinc/39/19/70/171391970.db2.gz LJBQVNUOUCMDTF-JQWIXIFHSA-N 0 3 227.373 2.899 20 0 BFADHN CC[C@H](C)[C@H](CN[C@H](C)c1cncs1)OC ZINC000294142896 171392808 /nfs/dbraw/zinc/39/28/08/171392808.db2.gz GQDBMBYHTYZIJC-AXFHLTTASA-N 0 3 242.388 2.855 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)Nc1cc(C)ccc1C ZINC000011960434 171396169 /nfs/dbraw/zinc/39/61/69/171396169.db2.gz KIOBJRHINOQRKC-GWCFXTLKSA-N 0 3 234.343 2.615 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)Nc1cccc(Cl)c1 ZINC000011959837 171397058 /nfs/dbraw/zinc/39/70/58/171397058.db2.gz RPYXTSVMNSHRNI-KWQFWETISA-N 0 3 240.734 2.652 20 0 BFADHN CCc1nocc1CN(C)C[C@H]1CC1(C)C ZINC000662343994 412114043 /nfs/dbraw/zinc/11/40/43/412114043.db2.gz OHVAZHJLRQSXKQ-LLVKDONJSA-N 0 3 222.332 2.715 20 0 BFADHN Cc1cnc([C@H](C)N(C)C[C@H]2CC2(C)C)cn1 ZINC000662344683 412114152 /nfs/dbraw/zinc/11/41/52/412114152.db2.gz RIVQHOOVAIDCIR-NWDGAFQWSA-N 0 3 233.359 2.824 20 0 BFADHN CN(CCC(F)(F)F)C[C@@H]1CC1(C)C ZINC000662345868 412115270 /nfs/dbraw/zinc/11/52/70/412115270.db2.gz MZYFCVCGBWGYNN-QMMMGPOBSA-N 0 3 209.255 2.917 20 0 BFADHN CC(C)CCN1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662363744 412123169 /nfs/dbraw/zinc/12/31/69/412123169.db2.gz KPYNPZOZLCHXRK-ZYHUDNBSSA-N 0 3 235.318 2.777 20 0 BFADHN CC[C@H](C)CN1C[C@H](C)O[C@@](C)(C(F)F)C1 ZINC000662359522 412123531 /nfs/dbraw/zinc/12/35/31/412123531.db2.gz KXLIOBZILXBFLY-JBLDHEPKSA-N 0 3 235.318 2.777 20 0 BFADHN C[C@@]1(C(F)F)CN(CC2CCCC2)CCO1 ZINC000662369442 412128540 /nfs/dbraw/zinc/12/85/40/412128540.db2.gz SWWBXFMFGPOVCU-LBPRGKRZSA-N 0 3 233.302 2.533 20 0 BFADHN CC[C@@H](NC)C(=O)N1CC[C@H](C)c2ccccc21 ZINC000662504543 412198238 /nfs/dbraw/zinc/19/82/38/412198238.db2.gz JIOHAGXSBREFDT-WCQYABFASA-N 0 3 246.354 2.525 20 0 BFADHN CC[C@H](NC(=O)CN(CC)CC)c1ccccc1 ZINC000003622585 171498827 /nfs/dbraw/zinc/49/88/27/171498827.db2.gz RHWGDKBPRUQDTI-AWEZNQCLSA-N 0 3 248.370 2.596 20 0 BFADHN CC[C@H](O)CN[C@H](C)c1nccc2ccccc21 ZINC000293285412 171576790 /nfs/dbraw/zinc/57/67/90/171576790.db2.gz DBXGWENCSQGPAU-YPMHNXCESA-N 0 3 244.338 2.656 20 0 BFADHN c1ccc([C@H]2C[C@H]2CN2CC3(CC3)C2)cc1 ZINC000335382906 487622599 /nfs/dbraw/zinc/62/25/99/487622599.db2.gz KLWNHTKRGPSNSB-UONOGXRCSA-N 0 3 213.324 2.886 20 0 BFADHN Fc1cnccc1CN1CC[C@@H](C2CCC2)C1 ZINC000665290008 412236003 /nfs/dbraw/zinc/23/60/03/412236003.db2.gz FJWSIOUWJUDHBK-GFCCVEGCSA-N 0 3 234.318 2.843 20 0 BFADHN CCCCC[C@H]1CCCCN1C(=O)[C@@H](N)CC ZINC000662932063 412348681 /nfs/dbraw/zinc/34/86/81/412348681.db2.gz HHLPXGZITPNMBS-STQMWFEESA-N 0 3 240.391 2.685 20 0 BFADHN CCc1cc(N[C@@H](CC)CO)c2ccccc2n1 ZINC000302502633 171768978 /nfs/dbraw/zinc/76/89/78/171768978.db2.gz PEGCDSOZUINVQC-LBPRGKRZSA-N 0 3 244.338 2.980 20 0 BFADHN C[C@@H](N[C@H](CO)CC1CC1)c1ccccc1F ZINC000678605014 487623192 /nfs/dbraw/zinc/62/31/92/487623192.db2.gz BLLDXAMWOSRQDF-PWSUYJOCSA-N 0 3 237.318 2.637 20 0 BFADHN CCc1ccc(CNCC2(C(F)F)CC2)cn1 ZINC000352711044 171804496 /nfs/dbraw/zinc/80/44/96/171804496.db2.gz MOKSLUQDCYPTKP-UHFFFAOYSA-N 0 3 240.297 2.779 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@@H](OC)C2(C)C)cn1 ZINC000352750639 171812936 /nfs/dbraw/zinc/81/29/36/171812936.db2.gz QWQCTVLMNPBZCB-UONOGXRCSA-N 0 3 248.370 2.547 20 0 BFADHN CCc1ccc([C@@H](C)NCCOCC2CC2)o1 ZINC000268873699 171830532 /nfs/dbraw/zinc/83/05/32/171830532.db2.gz GRSLSJZPVYRBPW-LLVKDONJSA-N 0 3 237.343 2.919 20 0 BFADHN CCc1cccc(Cl)c1CN[C@H](CC)CO ZINC000336778697 171857673 /nfs/dbraw/zinc/85/76/73/171857673.db2.gz MBKBMHSEHGMYFZ-LLVKDONJSA-N 0 3 241.762 2.763 20 0 BFADHN CCc1cnc(CNCC2CC(F)(F)C2)s1 ZINC000309675181 171913373 /nfs/dbraw/zinc/91/33/73/171913373.db2.gz XNCIFUDILABQLM-UHFFFAOYSA-N 0 3 246.326 2.840 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@H]2CC=C(C)CC2)[n-]1 ZINC000285087026 172002892 /nfs/dbraw/zinc/00/28/92/172002892.db2.gz JUUNSGRZFVOCEM-MNOVXSKESA-N 0 3 234.347 2.517 20 0 BFADHN CCc1nnc([C@@H](C)N[C@H]2CC=C(C)CC2)[nH]1 ZINC000285087026 172002894 /nfs/dbraw/zinc/00/28/94/172002894.db2.gz JUUNSGRZFVOCEM-MNOVXSKESA-N 0 3 234.347 2.517 20 0 BFADHN CCc1nc([C@@H](C)NCCCCCO)cs1 ZINC000184717266 172002978 /nfs/dbraw/zinc/00/29/78/172002978.db2.gz HIRLUOBUSVFTCR-SNVBAGLBSA-N 0 3 242.388 2.519 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CCCC[C@@H]2C)[n-]1 ZINC000358240424 172007574 /nfs/dbraw/zinc/00/75/74/172007574.db2.gz RATQETNJGLXZLB-GARJFASQSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1ncc(CN2CCC[C@@H]2C)s1 ZINC000271182305 172017573 /nfs/dbraw/zinc/01/75/73/172017573.db2.gz MPAVOWJKMTZOTK-VIFPVBQESA-N 0 3 210.346 2.690 20 0 BFADHN CCc1ncc(CNCCc2ccco2)s1 ZINC000037924039 172018621 /nfs/dbraw/zinc/01/86/21/172018621.db2.gz NRSSBCNKNYBPSW-UHFFFAOYSA-N 0 3 236.340 2.631 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@H](F)C2)s1 ZINC000336759931 172019306 /nfs/dbraw/zinc/01/93/06/172019306.db2.gz LHJCSDSJHOVCJP-DTWKUNHWSA-N 0 3 228.336 2.686 20 0 BFADHN CCc1ncc(CNCCCSC)s1 ZINC000068998063 172019406 /nfs/dbraw/zinc/01/94/06/172019406.db2.gz MXZWUKJZBGBAGU-UHFFFAOYSA-N 0 3 230.402 2.548 20 0 BFADHN CCc1ncc(CNCC2(SC)CC2)s1 ZINC000227951186 172019586 /nfs/dbraw/zinc/01/95/86/172019586.db2.gz CXSGIUSJMDJDEO-UHFFFAOYSA-N 0 3 242.413 2.691 20 0 BFADHN CCc1ncc(CN[C@H]2CCC2(C)C)s1 ZINC000309032007 172019960 /nfs/dbraw/zinc/01/99/60/172019960.db2.gz CHXDGITXSPCLOV-JTQLQIEISA-N 0 3 224.373 2.984 20 0 BFADHN CCc1nccn1CCN(C)Cc1ccccc1 ZINC000278693772 172026471 /nfs/dbraw/zinc/02/64/71/172026471.db2.gz ZOVUHLNJYMKTLM-UHFFFAOYSA-N 0 3 243.354 2.578 20 0 BFADHN CCc1nnc(CNCC2CCCCC2)s1 ZINC000309987277 172051435 /nfs/dbraw/zinc/05/14/35/172051435.db2.gz YVEMAUNDCKXYQW-UHFFFAOYSA-N 0 3 239.388 2.770 20 0 BFADHN CCc1noc(C)c1CN(CC)C(C)C ZINC000163319948 172075268 /nfs/dbraw/zinc/07/52/68/172075268.db2.gz VTCXERPHYCMTTR-UHFFFAOYSA-N 0 3 210.321 2.776 20 0 BFADHN CCc1noc(C)c1CN1CC2CCC1CC2 ZINC000378380983 172075785 /nfs/dbraw/zinc/07/57/85/172075785.db2.gz TZACUECNTPDQHZ-UHFFFAOYSA-N 0 3 234.343 2.920 20 0 BFADHN CCc1noc(C)c1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC000336222452 172076985 /nfs/dbraw/zinc/07/69/85/172076985.db2.gz HCPALJVJXKRQEL-TXEJJXNPSA-N 0 3 234.343 2.633 20 0 BFADHN CCc1noc(C)c1CN1C[C@H](C)[C@H](C)C1 ZINC000336555802 172077818 /nfs/dbraw/zinc/07/78/18/172077818.db2.gz YPAFBTKAHXWOLT-AOOOYVTPSA-N 0 3 222.332 2.633 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CC[C@H](C)C1 ZINC000339435642 172078710 /nfs/dbraw/zinc/07/87/10/172078710.db2.gz DPEJJFBCCFGBIU-GXSJLCMTSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CCC[C@@H]1C ZINC000309667650 172080684 /nfs/dbraw/zinc/08/06/84/172080684.db2.gz UWLSXZSWBIUPCA-ZANVPECISA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(CC)c1CNC[C@@H]1C[C@@H]1C ZINC000309654209 172083737 /nfs/dbraw/zinc/08/37/37/172083737.db2.gz PCXATZAYPJFBMT-UWVGGRQHSA-N 0 3 222.332 2.545 20 0 BFADHN CCc1noc(CC)c1CN[C@H](C)CC ZINC000122855741 172083833 /nfs/dbraw/zinc/08/38/33/172083833.db2.gz BEVNXCGDCDETDO-SECBINFHSA-N 0 3 210.321 2.688 20 0 BFADHN CCc1noc(CC)c1CN[C@@H](C)CC ZINC000122855496 172084166 /nfs/dbraw/zinc/08/41/66/172084166.db2.gz BEVNXCGDCDETDO-VIFPVBQESA-N 0 3 210.321 2.688 20 0 BFADHN CCc1nocc1CN1CCC[C@@H](C2CC2)C1 ZINC000353540770 172098255 /nfs/dbraw/zinc/09/82/55/172098255.db2.gz FUIDMXFAYBCNHD-GFCCVEGCSA-N 0 3 234.343 2.859 20 0 BFADHN CCc1nocc1CN1CCC[C@H](C2CC2)C1 ZINC000353540771 172098702 /nfs/dbraw/zinc/09/87/02/172098702.db2.gz FUIDMXFAYBCNHD-LBPRGKRZSA-N 0 3 234.343 2.859 20 0 BFADHN CCc1nocc1CNCCc1ccccc1 ZINC000339164944 172099560 /nfs/dbraw/zinc/09/95/60/172099560.db2.gz MHCJRLUTSQSFNA-UHFFFAOYSA-N 0 3 230.311 2.569 20 0 BFADHN CCc1nocc1CNC[C@@H]1CCCCS1 ZINC000339343407 172099754 /nfs/dbraw/zinc/09/97/54/172099754.db2.gz JSKUYDPVUZSKAK-NSHDSACASA-N 0 3 240.372 2.612 20 0 BFADHN CCc1oc2ccccc2c1CN[C@H]1C[C@@H](O)C1 ZINC000294820409 172112260 /nfs/dbraw/zinc/11/22/60/172112260.db2.gz KNNUABYJQZKCBU-PHIMTYICSA-N 0 3 245.322 2.608 20 0 BFADHN CCc1oc2ccccc2c1CN(C)[C@@H](C)CO ZINC000289486864 172113234 /nfs/dbraw/zinc/11/32/34/172113234.db2.gz RDRHMOPWFCDWQW-NSHDSACASA-N 0 3 247.338 2.808 20 0 BFADHN CCn1cc(CN2CCC(C3CC3)CC2)cn1 ZINC000368639193 172163091 /nfs/dbraw/zinc/16/30/91/172163091.db2.gz SPCAGAKZPZFZKC-UHFFFAOYSA-N 0 3 233.359 2.525 20 0 BFADHN CCn1cc(CN2CC[C@]3(CC[C@H](C)C3)C2)cn1 ZINC000360011958 172164814 /nfs/dbraw/zinc/16/48/14/172164814.db2.gz AAUJWPACBGJRNJ-ZFWWWQNUSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1cc(CN2CC[C@@]3(CC[C@@H](C)C3)C2)cn1 ZINC000360011957 172165655 /nfs/dbraw/zinc/16/56/55/172165655.db2.gz AAUJWPACBGJRNJ-UKRRQHHQSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1cc(CNC2CC(C(C)(C)C)C2)cn1 ZINC000339466764 172170913 /nfs/dbraw/zinc/17/09/13/172170913.db2.gz JDBKCEGONYWATE-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1cc(CNCc2sccc2C)cn1 ZINC000020351206 172171782 /nfs/dbraw/zinc/17/17/82/172171782.db2.gz FVAVKGNRFWTHPK-UHFFFAOYSA-N 0 3 235.356 2.563 20 0 BFADHN CCn1cc([C@@H](C)NC[C@H](C)C(F)(F)F)cn1 ZINC000359971114 172192990 /nfs/dbraw/zinc/19/29/90/172192990.db2.gz PONVUOYEIHYNFX-DTWKUNHWSA-N 0 3 249.280 2.752 20 0 BFADHN CCn1cc([C@H](C)NCCSC(C)C)cn1 ZINC000309192662 172195700 /nfs/dbraw/zinc/19/57/00/172195700.db2.gz IXSPWSUZRBHBKI-NSHDSACASA-N 0 3 241.404 2.695 20 0 BFADHN CCn1cc([C@H](C)NC[C@H](C)C(F)(F)F)cn1 ZINC000359971115 172198016 /nfs/dbraw/zinc/19/80/16/172198016.db2.gz PONVUOYEIHYNFX-IUCAKERBSA-N 0 3 249.280 2.752 20 0 BFADHN CCn1cccc1CN(C)CCCSC ZINC000267433539 172203506 /nfs/dbraw/zinc/20/35/06/172203506.db2.gz OFBXHACBMFRAEL-UHFFFAOYSA-N 0 3 226.389 2.693 20 0 BFADHN CCn1ccnc1CNC1CCC(C)CC1 ZINC000051920860 172224814 /nfs/dbraw/zinc/22/48/14/172224814.db2.gz OXOLEOLOJIRQPS-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CC=CCC1 ZINC000296823021 172229818 /nfs/dbraw/zinc/22/98/18/172229818.db2.gz MHRNTAYTERERMA-RYUDHWBXSA-N 0 3 219.332 2.662 20 0 BFADHN CCn1cncc1CN1CC[C@@H](C)C[C@H]1C ZINC000335529644 172233664 /nfs/dbraw/zinc/23/36/64/172233664.db2.gz RHJLKWJFNBXUNN-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1nc(C)c(CN2[C@H](C)C[C@@H]2C)c1C ZINC000335753261 172245885 /nfs/dbraw/zinc/24/58/85/172245885.db2.gz CLQGPNOLZCMXGU-AOOOYVTPSA-N 0 3 221.348 2.503 20 0 BFADHN CCn1nc(CNC[C@@H]2C[C@H]2C)c2ccccc21 ZINC000159186442 172249876 /nfs/dbraw/zinc/24/98/76/172249876.db2.gz RKNQMSGPPWEWFP-NEPJUHHUSA-N 0 3 243.354 2.802 20 0 BFADHN CCn1nc(CN[C@@H](C)C2CC2)c2ccccc21 ZINC000089174110 172250522 /nfs/dbraw/zinc/25/05/22/172250522.db2.gz MTYVMAUZGRYSBZ-NSHDSACASA-N 0 3 243.354 2.944 20 0 BFADHN CCn1nccc1CN(C)[C@H]1CC[C@H](C)C1 ZINC000339130839 172263286 /nfs/dbraw/zinc/26/32/86/172263286.db2.gz PKSYPTHCJPPJLU-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1nccc1CN1CCC[C@@](C)(CC)C1 ZINC000127232570 172266546 /nfs/dbraw/zinc/26/65/46/172266546.db2.gz NUNLZQFVDUIBIP-CQSZACIVSA-N 0 3 235.375 2.915 20 0 BFADHN CCn1nccc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000119922857 172267165 /nfs/dbraw/zinc/26/71/65/172267165.db2.gz NQWWXKWTOHCYND-OCCSQVGLSA-N 0 3 233.359 2.668 20 0 BFADHN CCn1nccc1CN1CC(C)(C)[C@@H]2CCC[C@@H]21 ZINC000354580660 172267501 /nfs/dbraw/zinc/26/75/01/172267501.db2.gz OBSZRGGLGWASRF-KGLIPLIRSA-N 0 3 247.386 2.914 20 0 BFADHN CCn1nccc1CN1CCC(C)(C)CC1 ZINC000336528470 172267548 /nfs/dbraw/zinc/26/75/48/172267548.db2.gz KDYJNJLTUSBAHG-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1nccc1CN1CCCC2(CCC2)C1 ZINC000355223097 172267576 /nfs/dbraw/zinc/26/75/76/172267576.db2.gz PZWBJDDLNJNJDE-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN CCn1nccc1CN1CC[C@H](C)C(C)(C)C1 ZINC000355516285 172268066 /nfs/dbraw/zinc/26/80/66/172268066.db2.gz RNKNOIANEVUJDB-LBPRGKRZSA-N 0 3 235.375 2.771 20 0 BFADHN CCn1nccc1CN1CC[C@@H]2CCCC[C@@H]21 ZINC000124455461 172268660 /nfs/dbraw/zinc/26/86/60/172268660.db2.gz SPZWUEKTZDOEAZ-JSGCOSHPSA-N 0 3 233.359 2.668 20 0 BFADHN CCn1nccc1CN1C[C@H](C)CC1(C)C ZINC000334616410 172269028 /nfs/dbraw/zinc/26/90/28/172269028.db2.gz AKFAVXUKELJMIF-LLVKDONJSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1nccc1CN1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000355072151 172269545 /nfs/dbraw/zinc/26/95/45/172269545.db2.gz CVPOSCUTGLHBBY-JHJVBQTASA-N 0 3 235.375 2.769 20 0 BFADHN CCn1ncnc1CN[C@@H](C)CCC=C(C)C ZINC000355597304 172282350 /nfs/dbraw/zinc/28/23/50/172282350.db2.gz DSZXVFFLMVHZAO-LBPRGKRZSA-N 0 3 236.363 2.523 20 0 BFADHN CCCC[C@@H](CC)CN(CC)CC(=O)N(C)C ZINC000680633881 487624784 /nfs/dbraw/zinc/62/47/84/487624784.db2.gz FOKQYJIQMULSKT-CYBMUJFWSA-N 0 3 242.407 2.613 20 0 BFADHN CN(C[C@H]1CCOC1)c1ccnc2ccccc21 ZINC000267296473 172834412 /nfs/dbraw/zinc/83/44/12/172834412.db2.gz SSRMHBQHQDLXNY-GFCCVEGCSA-N 0 3 242.322 2.708 20 0 BFADHN CN(Cc1cccc(F)c1)CC1(O)CCCC1 ZINC000087463791 172863335 /nfs/dbraw/zinc/86/33/35/172863335.db2.gz ZWXYZBAHTJANTG-UHFFFAOYSA-N 0 3 237.318 2.563 20 0 BFADHN CN1CCC[C@H]1CNc1nc2ccccc2s1 ZINC000049099985 173055399 /nfs/dbraw/zinc/05/53/99/173055399.db2.gz UIZPZCSZTNKJHW-JTQLQIEISA-N 0 3 247.367 2.802 20 0 BFADHN CN1CCC[C@H]1CNCc1ccc(Cl)s1 ZINC000163681089 173057191 /nfs/dbraw/zinc/05/71/91/173057191.db2.gz DMVZTJPUKRGYFQ-VIFPVBQESA-N 0 3 244.791 2.585 20 0 BFADHN CN1CCC[C@H]1CNCc1ccsc1Cl ZINC000336768488 173057577 /nfs/dbraw/zinc/05/75/77/173057577.db2.gz UHXVXBPYVPNSFZ-JTQLQIEISA-N 0 3 244.791 2.585 20 0 BFADHN CCc1ccc(CN[C@@H]2CS[C@H](C)C2)cn1 ZINC000414499774 487626127 /nfs/dbraw/zinc/62/61/27/487626127.db2.gz PDMDNHFQWBEAKF-MFKMUULPSA-N 0 3 236.384 2.628 20 0 BFADHN CCc1ccc(CN[C@@H]2CS[C@@H](C)C2)cn1 ZINC000414499770 487626339 /nfs/dbraw/zinc/62/63/39/487626339.db2.gz PDMDNHFQWBEAKF-GWCFXTLKSA-N 0 3 236.384 2.628 20 0 BFADHN CNCc1cccc(NC(=O)[C@H](C)C(C)C)c1 ZINC000070125459 173389965 /nfs/dbraw/zinc/38/99/65/173389965.db2.gz TVVVGSJDLQEDHP-LLVKDONJSA-N 0 3 234.343 2.637 20 0 BFADHN CNCc1nc(-c2ccccc2)c(C)s1 ZINC000003710566 173394592 /nfs/dbraw/zinc/39/45/92/173394592.db2.gz VDQLFLVUZXCXCK-UHFFFAOYSA-N 0 3 218.325 2.838 20 0 BFADHN CNCc1nc2c(s1)CCc1ccccc1-2 ZINC000045205982 173396504 /nfs/dbraw/zinc/39/65/04/173396504.db2.gz QZXHHZJHMDWKCH-UHFFFAOYSA-N 0 3 230.336 2.628 20 0 BFADHN COC1(C)CCN(Cc2ccc(C)nc2C)CC1 ZINC000336491548 173975441 /nfs/dbraw/zinc/97/54/41/173975441.db2.gz CCAQVODLOCDDCI-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN COC1CCN([C@@H]2CCc3ccc(F)cc32)CC1 ZINC000267173138 174029579 /nfs/dbraw/zinc/02/95/79/174029579.db2.gz VRIUWZKTTFTFPT-OAHLLOKOSA-N 0 3 249.329 2.924 20 0 BFADHN COC1CCN(Cc2ccsc2C)CC1 ZINC000294898878 174030202 /nfs/dbraw/zinc/03/02/02/174030202.db2.gz MJCCLUDTXGNVTQ-UHFFFAOYSA-N 0 3 225.357 2.667 20 0 BFADHN COC1CCN(Cc2ccc(F)cc2C)CC1 ZINC000271051402 174031382 /nfs/dbraw/zinc/03/13/82/174031382.db2.gz WIELRORCIDYTLF-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN COCC(C)(C)CN1Cc2ccc(C)cc2C1 ZINC000361114912 174069486 /nfs/dbraw/zinc/06/94/86/174069486.db2.gz UYKUMKFILMJOQR-UHFFFAOYSA-N 0 3 233.355 2.983 20 0 BFADHN COCC(C)(C)N(C)Cc1cccs1 ZINC000292346393 174080132 /nfs/dbraw/zinc/08/01/32/174080132.db2.gz PNNCYGHREVFARU-UHFFFAOYSA-N 0 3 213.346 2.605 20 0 BFADHN COCC1(CCNCc2ccc(C)o2)CCC1 ZINC000339454339 174093903 /nfs/dbraw/zinc/09/39/03/174093903.db2.gz WEKLHCBLCWYWPE-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN COCC1(CN[C@H](C)c2ccccn2)CCC1 ZINC000282400371 174105320 /nfs/dbraw/zinc/10/53/20/174105320.db2.gz BVQYWICEVVIVHX-GFCCVEGCSA-N 0 3 234.343 2.549 20 0 BFADHN COCC1(NCc2cc(C)cc(C)c2)CCC1 ZINC000341348458 174109276 /nfs/dbraw/zinc/10/92/76/174109276.db2.gz KITRYMCPCSTQPF-UHFFFAOYSA-N 0 3 233.355 2.962 20 0 BFADHN COCC1(NCc2ccc(F)c(C)c2)CCC1 ZINC000283997875 174109446 /nfs/dbraw/zinc/10/94/46/174109446.db2.gz MJLJBVKRRAOJGB-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN COCC1(NCc2cc(C)ccc2C)CCC1 ZINC000341213433 174109486 /nfs/dbraw/zinc/10/94/86/174109486.db2.gz MJWBKIVBIHQFRF-UHFFFAOYSA-N 0 3 233.355 2.962 20 0 BFADHN COCC1(NCc2ccc(C)c(C)c2)CCC1 ZINC000341236137 174109740 /nfs/dbraw/zinc/10/97/40/174109740.db2.gz IDPHLKLULDUXKH-UHFFFAOYSA-N 0 3 233.355 2.962 20 0 BFADHN COCC1=CCN(CCc2ccccc2)CC1 ZINC000185811845 174115150 /nfs/dbraw/zinc/11/51/50/174115150.db2.gz VGLAJFILPGUSJJ-UHFFFAOYSA-N 0 3 231.339 2.508 20 0 BFADHN COCC1CCN(Cc2csc(C)c2)CC1 ZINC000294844870 174119784 /nfs/dbraw/zinc/11/97/84/174119784.db2.gz OKQKRONBDRIJKC-UHFFFAOYSA-N 0 3 239.384 2.915 20 0 BFADHN COCC1CCN([C@H](C)c2ccccn2)CC1 ZINC000267332646 174119908 /nfs/dbraw/zinc/11/99/08/174119908.db2.gz AIFMLAUSNRFPCI-GFCCVEGCSA-N 0 3 234.343 2.501 20 0 BFADHN COCCC(C)(C)CNCc1csc(C)n1 ZINC000135003580 174143904 /nfs/dbraw/zinc/14/39/04/174143904.db2.gz QCYJCKALBJZTLF-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN COCCC1(CN[C@@H](C)c2nccs2)CC1 ZINC000090536116 174156576 /nfs/dbraw/zinc/15/65/76/174156576.db2.gz FHAVWHGWORSVCQ-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN COCCC1(CNCc2ccco2)CCC1 ZINC000151969550 174156801 /nfs/dbraw/zinc/15/68/01/174156801.db2.gz IDSAKTGIDNTHIF-UHFFFAOYSA-N 0 3 223.316 2.576 20 0 BFADHN COCCCCCN(C)[C@@H](C)c1ccccn1 ZINC000128070402 174176330 /nfs/dbraw/zinc/17/63/30/174176330.db2.gz QZEHBKLIJKFICD-ZDUSSCGKSA-N 0 3 236.359 2.891 20 0 BFADHN COCCCCCN[C@@H](C)c1ccccn1 ZINC000127530097 174183088 /nfs/dbraw/zinc/18/30/88/174183088.db2.gz YSFYOOYHZASNGN-LBPRGKRZSA-N 0 3 222.332 2.549 20 0 BFADHN COCCCCCN[C@H](C)c1ccc(F)cn1 ZINC000092743092 174184461 /nfs/dbraw/zinc/18/44/61/174184461.db2.gz OBSZOOHMFQNTFF-LLVKDONJSA-N 0 3 240.322 2.688 20 0 BFADHN COCCCCNCc1cc(C)ccc1F ZINC000164109281 174200668 /nfs/dbraw/zinc/20/06/68/174200668.db2.gz DGUGSDXCBPUTLR-UHFFFAOYSA-N 0 3 225.307 2.650 20 0 BFADHN COCCCN(C)C/C=C/c1ccccc1 ZINC000066961473 174215586 /nfs/dbraw/zinc/21/55/86/174215586.db2.gz AQUFBDZXHXXXFJ-UXBLZVDNSA-N 0 3 219.328 2.668 20 0 BFADHN COCCCN(C)C/C=C/c1ccc(F)cc1 ZINC000092537286 174216291 /nfs/dbraw/zinc/21/62/91/174216291.db2.gz MDTMAMRGZVKDKP-HWKANZROSA-N 0 3 237.318 2.807 20 0 BFADHN COCCCNCc1ccc(F)cc1Cl ZINC000034938234 174248683 /nfs/dbraw/zinc/24/86/83/174248683.db2.gz VFVRRONGDXQASV-UHFFFAOYSA-N 0 3 231.698 2.605 20 0 BFADHN COCCCN[C@@H](C)c1cc(F)ccc1F ZINC000020142639 174248961 /nfs/dbraw/zinc/24/89/61/174248961.db2.gz MPLYRXQEZCTZCO-VIFPVBQESA-N 0 3 229.270 2.652 20 0 BFADHN COCCCN[C@@H](CC(C)C)c1ccccn1 ZINC000151641927 174248970 /nfs/dbraw/zinc/24/89/70/174248970.db2.gz OBBMECTXASUPAE-AWEZNQCLSA-N 0 3 236.359 2.795 20 0 BFADHN COCCCN[C@H](C)c1cc(C)ccc1OC ZINC000020142661 174250490 /nfs/dbraw/zinc/25/04/90/174250490.db2.gz KKSZNQPKLBAKSA-GFCCVEGCSA-N 0 3 237.343 2.691 20 0 BFADHN COCCCN[C@H](CC(C)C)c1ccccn1 ZINC000151641994 174251074 /nfs/dbraw/zinc/25/10/74/174251074.db2.gz OBBMECTXASUPAE-CQSZACIVSA-N 0 3 236.359 2.795 20 0 BFADHN COCCC[C@@H](C)N[C@H]1COc2ccc(C)cc21 ZINC000367556457 174261908 /nfs/dbraw/zinc/26/19/08/174261908.db2.gz HUQBXHOOUAZNHT-OCCSQVGLSA-N 0 3 249.354 2.833 20 0 BFADHN COCCC[C@@H](NCCF)c1ccc(F)cc1 ZINC000282117168 174262134 /nfs/dbraw/zinc/26/21/34/174262134.db2.gz AOFJISPAFHEPAV-CYBMUJFWSA-N 0 3 243.297 2.853 20 0 BFADHN COCCC[C@H](C)N[C@@H](C)c1ccncc1F ZINC000338014663 174263521 /nfs/dbraw/zinc/26/35/21/174263521.db2.gz WEEZPVDCBWDGCN-QWRGUYRKSA-N 0 3 240.322 2.686 20 0 BFADHN COCCC[C@H](C)NCc1ccc(F)cc1F ZINC000268986935 174263832 /nfs/dbraw/zinc/26/38/32/174263832.db2.gz IYUXHAYWSFFSSS-JTQLQIEISA-N 0 3 243.297 2.870 20 0 BFADHN COCCC[C@H](C)N[C@H](C)c1ccncc1F ZINC000338014665 174264411 /nfs/dbraw/zinc/26/44/11/174264411.db2.gz WEEZPVDCBWDGCN-WDEREUQCSA-N 0 3 240.322 2.686 20 0 BFADHN COCCC[C@H](C)N[C@H](C)c1ccccn1 ZINC000178127011 174264685 /nfs/dbraw/zinc/26/46/85/174264685.db2.gz QKCDJYXGNFCTDO-NWDGAFQWSA-N 0 3 222.332 2.547 20 0 BFADHN COCCN(C)C[C@@H](C)c1cc(F)cc(F)c1 ZINC000294626171 174279625 /nfs/dbraw/zinc/27/96/25/174279625.db2.gz ZGZFCKKDOPWJIP-SNVBAGLBSA-N 0 3 243.297 2.647 20 0 BFADHN COCCN(C)Cc1cc(C)nc2ccccc12 ZINC000124020829 174280300 /nfs/dbraw/zinc/28/03/00/174280300.db2.gz NLEDGJNOGMURJW-UHFFFAOYSA-N 0 3 244.338 2.621 20 0 BFADHN COCCN(C)Cc1ccc(CC(C)C)cc1 ZINC000337634095 174281672 /nfs/dbraw/zinc/28/16/72/174281672.db2.gz RAZCFWKADOFOGG-UHFFFAOYSA-N 0 3 235.371 2.963 20 0 BFADHN COCCN(CCC(C)C)Cc1ccccn1 ZINC000287290551 174289555 /nfs/dbraw/zinc/28/95/55/174289555.db2.gz INMRHIJLSXSAGL-UHFFFAOYSA-N 0 3 236.359 2.576 20 0 BFADHN COCCN(CCC(C)=O)[C@H](C)c1ccccc1 ZINC000269387456 174290041 /nfs/dbraw/zinc/29/00/41/174290041.db2.gz RBKROFSNVRYYOA-CQSZACIVSA-N 0 3 249.354 2.675 20 0 BFADHN COCCN(Cc1ccccn1)CC1CCCC1 ZINC000287226612 174298986 /nfs/dbraw/zinc/29/89/86/174298986.db2.gz FTOAQCOHQUMLAX-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN COCCN(Cc1ccccn1)CC1(C)CCC1 ZINC000289932109 174299478 /nfs/dbraw/zinc/29/94/78/174299478.db2.gz WLKNFLGGYJBUPS-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN COCCN(Cc1cnc(C)s1)CC(C)C ZINC000098132670 174301480 /nfs/dbraw/zinc/30/14/80/174301480.db2.gz RWRKCSSKZSJMPF-UHFFFAOYSA-N 0 3 242.388 2.556 20 0 BFADHN COCCN1[C@H](C)c2ccc(F)cc2C[C@@H]1C ZINC000354833578 174318421 /nfs/dbraw/zinc/31/84/21/174318421.db2.gz OJBLWQAPYCVCBC-WDEREUQCSA-N 0 3 237.318 2.780 20 0 BFADHN COCCN[C@@H](C)c1cccc(C2CC2)c1 ZINC000293648887 174337900 /nfs/dbraw/zinc/33/79/00/174337900.db2.gz ILHPPXDOGFPILU-NSHDSACASA-N 0 3 219.328 2.861 20 0 BFADHN COCCN[C@@H](C)c1ccccc1OC(F)F ZINC000019881516 174338332 /nfs/dbraw/zinc/33/83/32/174338332.db2.gz WPVUULURSDAGID-VIFPVBQESA-N 0 3 245.269 2.585 20 0 BFADHN COCCN[C@@H]1CCc2ccc(Cl)cc21 ZINC000127150513 174339341 /nfs/dbraw/zinc/33/93/41/174339341.db2.gz RHHFKEFVYNDTOE-GFCCVEGCSA-N 0 3 225.719 2.563 20 0 BFADHN COCCN[C@H](C)c1ccccc1SC ZINC000194831865 174340040 /nfs/dbraw/zinc/34/00/40/174340040.db2.gz YRAVZFDHPIWFAP-SNVBAGLBSA-N 0 3 225.357 2.706 20 0 BFADHN COCCN[C@H](C)c1cc2ccccc2o1 ZINC000019881724 174340476 /nfs/dbraw/zinc/34/04/76/174340476.db2.gz NASQYFHOBFGAKG-SNVBAGLBSA-N 0 3 219.284 2.730 20 0 BFADHN COCCOc1ccccc1CN(C)CC1CC1 ZINC000122938497 174369714 /nfs/dbraw/zinc/36/97/14/174369714.db2.gz HLSUNYPODUJLKV-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN COCCOc1ccccc1CN1CCC[C@H]1C ZINC000124374984 174369858 /nfs/dbraw/zinc/36/98/58/174369858.db2.gz BIJUPICPRFXJOA-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN COCC[C@@H](C)NCc1ccsc1Cl ZINC000308629605 174384285 /nfs/dbraw/zinc/38/42/85/174384285.db2.gz YJYSEBHLELQBBG-MRVPVSSYSA-N 0 3 233.764 2.916 20 0 BFADHN COCC[C@@H](NC1CC(C)C1)c1ccco1 ZINC000336763079 174394326 /nfs/dbraw/zinc/39/43/26/174394326.db2.gz LWJBLMJVRIROOF-HTAVTVPLSA-N 0 3 223.316 2.745 20 0 BFADHN COCC[C@H](C)NCc1cc(C)ccc1OC ZINC000112018385 174406627 /nfs/dbraw/zinc/40/66/27/174406627.db2.gz CXZHAIPAJVCRBC-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN Cc1ccc2cc(CN(C)[C@@H](C)CCO)[nH]c2c1 ZINC000668291827 487628519 /nfs/dbraw/zinc/62/85/19/487628519.db2.gz WBAACIAKGRTZFK-LBPRGKRZSA-N 0 3 246.354 2.679 20 0 BFADHN COC[C@H](NCC[C@H]1CCCO1)c1ccccc1 ZINC000189841086 174580530 /nfs/dbraw/zinc/58/05/30/174580530.db2.gz QVDDMJGSGPQUKW-CABCVRRESA-N 0 3 249.354 2.533 20 0 BFADHN COc1cc(C)ccc1CNC[C@@H]1CCC=CO1 ZINC000194514504 174967235 /nfs/dbraw/zinc/96/72/35/174967235.db2.gz HLGCQHRHVGCBRV-AWEZNQCLSA-N 0 3 247.338 2.786 20 0 BFADHN COc1cc(NC2CCN(C)CC2)c(C)cc1C ZINC000280408092 175001367 /nfs/dbraw/zinc/00/13/67/175001367.db2.gz YIGHSXOJXJMECV-UHFFFAOYSA-N 0 3 248.370 2.818 20 0 BFADHN COc1cc([C@@H](C)N[C@H]2CC2(C)C)c(F)cn1 ZINC000287720489 175013904 /nfs/dbraw/zinc/01/39/04/175013904.db2.gz OPPSNNRHVHYXRD-KCJUWKMLSA-N 0 3 238.306 2.678 20 0 BFADHN COc1cc([C@H](C)NCC[C@@H](C)F)c(F)cn1 ZINC000340466592 175015997 /nfs/dbraw/zinc/01/59/97/175015997.db2.gz DOOBVJJWJKCFLK-BDAKNGLRSA-N 0 3 244.285 2.628 20 0 BFADHN COc1cc([C@H](C)NC[C@@H]2C[C@H]2C)c(F)cn1 ZINC000287572353 175016033 /nfs/dbraw/zinc/01/60/33/175016033.db2.gz GWGYLQTZLXGONC-UTLUCORTSA-N 0 3 238.306 2.536 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1C[C@@H]1C(F)F ZINC000342245848 175076357 /nfs/dbraw/zinc/07/63/57/175076357.db2.gz ZJSXDIBVXXNXLV-WDEREUQCSA-N 0 3 241.281 2.747 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2CC=CCC2)nc1 ZINC000338293415 175095586 /nfs/dbraw/zinc/09/55/86/175095586.db2.gz YZSIJAILWZHTON-CYBMUJFWSA-N 0 3 246.354 2.878 20 0 BFADHN COc1ccc(CN(C)[C@@H](C)C2(C)CC2)cn1 ZINC000132683182 175096390 /nfs/dbraw/zinc/09/63/90/175096390.db2.gz NCZOBIKXOBNWEX-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc(CNC2CCC2)c(Cl)c1 ZINC000082600325 175105469 /nfs/dbraw/zinc/10/54/69/175105469.db2.gz HEDICKYDAFZMMA-UHFFFAOYSA-N 0 3 225.719 2.991 20 0 BFADHN COc1ccc(CNCc2ccccc2C)cn1 ZINC000020185995 175108126 /nfs/dbraw/zinc/10/81/26/175108126.db2.gz QHILANOAEFTXGJ-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN COc1ccc(CN[C@@H](C)COC(C)C)cc1 ZINC000337339083 175109014 /nfs/dbraw/zinc/10/90/14/175109014.db2.gz OKFFCFKEPJITQZ-LBPRGKRZSA-N 0 3 237.343 2.598 20 0 BFADHN COc1ccc(CN[C@@H]2CCC[C@H]2F)cc1 ZINC000339589450 175110436 /nfs/dbraw/zinc/11/04/36/175110436.db2.gz GWTAWNHOIPDRAM-CHWSQXEVSA-N 0 3 223.291 2.675 20 0 BFADHN COc1ccc(CN[C@H]2CC2(C)C)c(OC)c1 ZINC000044371844 175110649 /nfs/dbraw/zinc/11/06/49/175110649.db2.gz IBHNOYVLLCLOOU-ZDUSSCGKSA-N 0 3 235.327 2.592 20 0 BFADHN COc1ccc(CN[C@H]2CC2(C)C)cc1F ZINC000044370550 175111178 /nfs/dbraw/zinc/11/11/78/175111178.db2.gz HNBIDYJHDISQFA-LBPRGKRZSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(CN[C@H]2CCC[C@@H]2F)cc1 ZINC000339589453 175112063 /nfs/dbraw/zinc/11/20/63/175112063.db2.gz GWTAWNHOIPDRAM-STQMWFEESA-N 0 3 223.291 2.675 20 0 BFADHN COc1ccc(F)c(CN2CC[C@H](C)C2)c1 ZINC000295321406 175123900 /nfs/dbraw/zinc/12/39/00/175123900.db2.gz LJULBAUHBKYVQB-JTQLQIEISA-N 0 3 223.291 2.676 20 0 BFADHN COc1ccc(F)c(CNCCCSC)c1 ZINC000293480576 175124117 /nfs/dbraw/zinc/12/41/17/175124117.db2.gz FRSJXLYKRKWASH-UHFFFAOYSA-N 0 3 243.347 2.677 20 0 BFADHN COc1ccc(F)c(CN(C)CC2CC2)c1 ZINC000295444914 175124141 /nfs/dbraw/zinc/12/41/41/175124141.db2.gz UUNCFIRWGHHXEO-UHFFFAOYSA-N 0 3 223.291 2.676 20 0 BFADHN COc1ccc(F)c(CNCCC(C)C)c1 ZINC000339175784 175124346 /nfs/dbraw/zinc/12/43/46/175124346.db2.gz YKGCCYZKQUITOE-UHFFFAOYSA-N 0 3 225.307 2.970 20 0 BFADHN COc1ccc(F)c(CNC(C)(C)C)c1 ZINC000294348502 175124786 /nfs/dbraw/zinc/12/47/86/175124786.db2.gz ZJQNXUPRZXIYMX-UHFFFAOYSA-N 0 3 211.280 2.722 20 0 BFADHN COc1ccc(F)c(CN[C@H]2CC[C@@H]2C)c1 ZINC000339400383 175125397 /nfs/dbraw/zinc/12/53/97/175125397.db2.gz NOGSDXKNXWGUQH-ZANVPECISA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)c(CNC[C@H]2C[C@@H]2C)c1 ZINC000294086725 175125940 /nfs/dbraw/zinc/12/59/40/175125940.db2.gz LNFPJGVGTISKGE-VHSXEESVSA-N 0 3 223.291 2.580 20 0 BFADHN COc1ccc(F)c(CNC[C@H]2CC2(C)C)c1 ZINC000339250777 175125949 /nfs/dbraw/zinc/12/59/49/175125949.db2.gz JPDNVDUHVVGAER-LLVKDONJSA-N 0 3 237.318 2.970 20 0 BFADHN COc1ccc(F)c(CN[C@@H](C)CSC)c1 ZINC000293536520 175125951 /nfs/dbraw/zinc/12/59/51/175125951.db2.gz JUSLYLJKHLYVKN-VIFPVBQESA-N 0 3 243.347 2.675 20 0 BFADHN COc1ccc(F)cc1CN[C@@H](C)CSC ZINC000291236999 175129847 /nfs/dbraw/zinc/12/98/47/175129847.db2.gz QIKOMMAJIVDQGL-VIFPVBQESA-N 0 3 243.347 2.675 20 0 BFADHN COc1ccc(F)cc1CNC[C@@H](C)SC ZINC000291105177 175130463 /nfs/dbraw/zinc/13/04/63/175130463.db2.gz BHYRCDMXFSZSNV-SECBINFHSA-N 0 3 243.347 2.675 20 0 BFADHN COc1ccc(OC)c(CN(C)CCC2CC2)c1 ZINC000173583707 175165747 /nfs/dbraw/zinc/16/57/47/175165747.db2.gz BDEFGVOXFGAXST-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN COc1ccc(OCCCN2C[C@H](C)[C@@H]2C)cc1 ZINC000339571607 175172700 /nfs/dbraw/zinc/17/27/00/175172700.db2.gz KTQRPDKKPGVASQ-STQMWFEESA-N 0 3 249.354 2.804 20 0 BFADHN COc1ccc([C@@H](C)NCc2cc[nH]c2)cc1 ZINC000090994811 175176685 /nfs/dbraw/zinc/17/66/85/175176685.db2.gz CGUOWCZBYIJZNJ-LLVKDONJSA-N 0 3 230.311 2.874 20 0 BFADHN COc1ccc([C@@H](C)N[C@@H]2CCCOC2)cc1 ZINC000112793380 175177025 /nfs/dbraw/zinc/17/70/25/175177025.db2.gz FAJJHJBPNJBIBW-DGCLKSJQSA-N 0 3 235.327 2.525 20 0 BFADHN COc1ccc([C@@H](C)NC[C@H](C)OC)cc1F ZINC000182421246 175177053 /nfs/dbraw/zinc/17/70/53/175177053.db2.gz QZFJCYGAPCXZMT-VHSXEESVSA-N 0 3 241.306 2.520 20 0 BFADHN COc1ccc([C@H](C)NCc2cccn2C)cc1 ZINC000084224798 175189342 /nfs/dbraw/zinc/18/93/42/175189342.db2.gz CQCIADBKRQJFDX-LBPRGKRZSA-N 0 3 244.338 2.885 20 0 BFADHN COc1ccc([C@H](C)NCc2c[nH]nc2C)cc1 ZINC000038011165 175189415 /nfs/dbraw/zinc/18/94/15/175189415.db2.gz CUBAZVZKUUIRRV-JTQLQIEISA-N 0 3 245.326 2.578 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2CC2(C)C)cc1O ZINC000290836364 175190220 /nfs/dbraw/zinc/19/02/20/175190220.db2.gz YCHBZZLBMSKPIG-ZANVPECISA-N 0 3 235.327 2.850 20 0 BFADHN COc1ccc2c(c1)OCCN(C[C@@H]1C[C@H]1C)C2 ZINC000338995638 175212625 /nfs/dbraw/zinc/21/26/25/175212625.db2.gz GVFCFHLVKDYNRR-YPMHNXCESA-N 0 3 247.338 2.546 20 0 BFADHN COc1cccc(C2(N[C@H](C)[C@H](C)OC)CC2)c1 ZINC000272202020 175248124 /nfs/dbraw/zinc/24/81/24/175248124.db2.gz JCRWARUTSJUXDH-NEPJUHHUSA-N 0 3 249.354 2.697 20 0 BFADHN COc1cccc(C2(NCCCF)CC2)c1 ZINC000271723998 175248470 /nfs/dbraw/zinc/24/84/70/175248470.db2.gz QQAYWHHYANKWKY-UHFFFAOYSA-N 0 3 223.291 2.634 20 0 BFADHN COc1cccc(CN(C)CC2CCCC2)n1 ZINC000171826490 175255224 /nfs/dbraw/zinc/25/52/24/175255224.db2.gz ZQUAHCLPSMFDHK-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cccc(CN(C)Cc2ccn(C)c2)c1 ZINC000270974961 175255364 /nfs/dbraw/zinc/25/53/64/175255364.db2.gz ANUMXRUBPXURLF-UHFFFAOYSA-N 0 3 244.338 2.666 20 0 BFADHN COc1cccc(CN2CCC23CCC3)c1 ZINC000335411842 175256749 /nfs/dbraw/zinc/25/67/49/175256749.db2.gz NFBAIDWFXZMHFP-UHFFFAOYSA-N 0 3 217.312 2.824 20 0 BFADHN COc1cccc(CN2CCCC3(CCC3)C2)n1 ZINC000366341590 175257137 /nfs/dbraw/zinc/25/71/37/175257137.db2.gz LZPPGNBGRXLOEN-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN COc1cccc(CN2CC[C@H](SC)C2)c1 ZINC000295027013 175259673 /nfs/dbraw/zinc/25/96/73/175259673.db2.gz LFLWOUJKDDLWMP-ZDUSSCGKSA-N 0 3 237.368 2.633 20 0 BFADHN COc1cccc(CNC2CC2)c1OC(F)F ZINC000035099514 175262913 /nfs/dbraw/zinc/26/29/13/175262913.db2.gz NLLVGSBNJPKDNT-UHFFFAOYSA-N 0 3 243.253 2.549 20 0 BFADHN COc1cccc(CNCC23CCC(CC2)C3)n1 ZINC000359689162 175263245 /nfs/dbraw/zinc/26/32/45/175263245.db2.gz RUEGPPCLZKRYPP-UHFFFAOYSA-N 0 3 246.354 2.760 20 0 BFADHN COc1cccc(CNCC2(C(C)C)CC2)n1 ZINC000191300368 175263683 /nfs/dbraw/zinc/26/36/83/175263683.db2.gz KFERQFWPWDLJSM-UHFFFAOYSA-N 0 3 234.343 2.616 20 0 BFADHN COc1cccc(CNCCC2CC2)c1OC ZINC000088454908 175263819 /nfs/dbraw/zinc/26/38/19/175263819.db2.gz VVMNNGXLFQVMTE-UHFFFAOYSA-N 0 3 235.327 2.594 20 0 BFADHN COc1cccc(CNCCCC(C)C)n1 ZINC000069637584 175264733 /nfs/dbraw/zinc/26/47/33/175264733.db2.gz DECPNRSENWDWQD-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN COc1cccc(CNCc2ccc(C)nc2)c1 ZINC000057591363 175265428 /nfs/dbraw/zinc/26/54/28/175265428.db2.gz QKNPRVJMEUXLRP-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN COc1cccc(CN[C@@H](C)c2ccncc2)c1 ZINC000020120987 175267053 /nfs/dbraw/zinc/26/70/53/175267053.db2.gz IHOHZSOPGCEUDZ-LBPRGKRZSA-N 0 3 242.322 2.941 20 0 BFADHN COc1cccc(CN[C@H]2CC2(C)C)c1OC ZINC000044371794 175267720 /nfs/dbraw/zinc/26/77/20/175267720.db2.gz IGNNGGBZNDNKHY-LBPRGKRZSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc(SC[C@@H](C)N(C)C)c1 ZINC000358854559 175285724 /nfs/dbraw/zinc/28/57/24/175285724.db2.gz OYPXRNUZULRLSP-SNVBAGLBSA-N 0 3 225.357 2.737 20 0 BFADHN COc1cccc(SC[C@H](C)N(C)C)c1 ZINC000358854558 175286003 /nfs/dbraw/zinc/28/60/03/175286003.db2.gz OYPXRNUZULRLSP-JTQLQIEISA-N 0 3 225.357 2.737 20 0 BFADHN COc1cccc([C@@H](C)NC[C@H](OC)C2CC2)c1 ZINC000295092748 175287147 /nfs/dbraw/zinc/28/71/47/175287147.db2.gz UZLPPEHSGWBEII-ABAIWWIYSA-N 0 3 249.354 2.771 20 0 BFADHN COc1cccc([C@@H](C)N[C@@H](C)[C@H](C)OC)c1 ZINC000161258267 175287530 /nfs/dbraw/zinc/28/75/30/175287530.db2.gz GLVNRBDUNWWDAF-TUAOUCFPSA-N 0 3 237.343 2.769 20 0 BFADHN COc1cccc([C@H](C)N[C@@H](C)[C@@H](C)OC)c1 ZINC000129212420 175293017 /nfs/dbraw/zinc/29/30/17/175293017.db2.gz GLVNRBDUNWWDAF-SDDRHHMPSA-N 0 3 237.343 2.769 20 0 BFADHN COc1cccc2c1CCN(CCCCF)C2 ZINC000285736522 175301301 /nfs/dbraw/zinc/30/13/01/175301301.db2.gz UTJFNCKLJIIYAJ-UHFFFAOYSA-N 0 3 237.318 2.803 20 0 BFADHN COc1cccc2c1CCN(CCC1CC1)C2 ZINC000359891605 175301625 /nfs/dbraw/zinc/30/16/25/175301625.db2.gz CLAKGOFCHDEXNF-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN COc1cccc2c1OCC[C@H]2NC(C)C ZINC000035652562 175304173 /nfs/dbraw/zinc/30/41/73/175304173.db2.gz JZAAMTZVRNPIGI-LLVKDONJSA-N 0 3 221.300 2.517 20 0 BFADHN COc1ccccc1CN(C)CC[C@H]1CCCO1 ZINC000191659962 175324944 /nfs/dbraw/zinc/32/49/44/175324944.db2.gz YLDLDNNRJMSMBQ-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccccc1CN1CCC=C(C)C1 ZINC000281884684 175325512 /nfs/dbraw/zinc/32/55/12/175325512.db2.gz VWQPHWUEGUNVKJ-UHFFFAOYSA-N 0 3 217.312 2.847 20 0 BFADHN COc1ccccc1CN(C)[C@H]1CCSC1 ZINC000130606929 175325759 /nfs/dbraw/zinc/32/57/59/175325759.db2.gz VVPIMDCNNLATBX-LBPRGKRZSA-N 0 3 237.368 2.633 20 0 BFADHN COc1ccccc1CN(C[C@H](C)OC)C1CC1 ZINC000182072029 175326036 /nfs/dbraw/zinc/32/60/36/175326036.db2.gz ZKVMNRVBPSFFFP-LBPRGKRZSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccccc1CN(C)[C@@H]1CCSC1 ZINC000130606713 175326536 /nfs/dbraw/zinc/32/65/36/175326536.db2.gz VVPIMDCNNLATBX-GFCCVEGCSA-N 0 3 237.368 2.633 20 0 BFADHN COc1ccccc1CN[C@@H]1CSC1(C)C ZINC000282880689 175330463 /nfs/dbraw/zinc/33/04/63/175330463.db2.gz RWAZJQRWEMYTBC-GFCCVEGCSA-N 0 3 237.368 2.679 20 0 BFADHN COc1ccccc1CN[C@@H](C)CSC ZINC000124998527 175330609 /nfs/dbraw/zinc/33/06/09/175330609.db2.gz NLOJVYRRTKGDDI-JTQLQIEISA-N 0 3 225.357 2.536 20 0 BFADHN COc1ccccc1CNc1ccncc1 ZINC000021023409 175331694 /nfs/dbraw/zinc/33/16/94/175331694.db2.gz GVPBTPDGFSGSDM-UHFFFAOYSA-N 0 3 214.268 2.702 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1CC[C@@H](CO)C1 ZINC000296636651 175345921 /nfs/dbraw/zinc/34/59/21/175345921.db2.gz XTTDPPNCEQCHFH-UPJWGTAASA-N 0 3 249.354 2.507 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1CCSC1 ZINC000020096624 175346330 /nfs/dbraw/zinc/34/63/30/175346330.db2.gz VVLMELSPLHRZJQ-GHMZBOCLSA-N 0 3 237.368 2.851 20 0 BFADHN COc1ccccc1[C@H](C)NC1CCC(O)CC1 ZINC000019918647 175350540 /nfs/dbraw/zinc/35/05/40/175350540.db2.gz HUCVZDKQYJDHQZ-HIFPTAJRSA-N 0 3 249.354 2.649 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000296672431 175351486 /nfs/dbraw/zinc/35/14/86/175351486.db2.gz HBONSDDBMWVOTK-OWTLIXCDSA-N 0 3 249.354 2.912 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1CCO[C@H]1C ZINC000135296263 175352300 /nfs/dbraw/zinc/35/23/00/175352300.db2.gz GFFIHQIVLKHHEV-GMXVVIOVSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1CCO[C@H]1C ZINC000135296303 175352632 /nfs/dbraw/zinc/35/26/32/175352632.db2.gz GFFIHQIVLKHHEV-GVXVVHGQSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccnc(CN2CCC[C@@H]2C2CCC2)c1 ZINC000106934442 175358679 /nfs/dbraw/zinc/35/86/79/175358679.db2.gz VXNLNPFHRVZEMD-OAHLLOKOSA-N 0 3 246.354 2.855 20 0 BFADHN COc1ccnc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)c1 ZINC000355777089 175360400 /nfs/dbraw/zinc/36/04/00/175360400.db2.gz CLLDWLCBUARNFR-AGIUHOORSA-N 0 3 248.370 2.957 20 0 BFADHN COc1ccncc1CN1CC[C@@H](CC2CC2)C1 ZINC000339673761 175370274 /nfs/dbraw/zinc/37/02/74/175370274.db2.gz MANLWJDGEJNZFY-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ccncc1CN[C@@H]1CC[C@@H]1C1CCC1 ZINC000340403742 175371285 /nfs/dbraw/zinc/37/12/85/175371285.db2.gz QKUPQMPNTLVMNX-ZIAGYGMSSA-N 0 3 246.354 2.759 20 0 BFADHN COc1ccncc1CNC[C@H]1CC=CCC1 ZINC000336687545 175371843 /nfs/dbraw/zinc/37/18/43/175371843.db2.gz FJGJMEWUJBZQBR-LBPRGKRZSA-N 0 3 232.327 2.536 20 0 BFADHN COc1ccncc1CN[C@H]1CCC[C@@H](C)C1 ZINC000292723590 175372815 /nfs/dbraw/zinc/37/28/15/175372815.db2.gz PMDSZEIVPAVNFS-YPMHNXCESA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccncc1CN[C@H]1CC[C@@H]1C1CCC1 ZINC000340403739 175373435 /nfs/dbraw/zinc/37/34/35/175373435.db2.gz QKUPQMPNTLVMNX-KGLIPLIRSA-N 0 3 246.354 2.759 20 0 BFADHN COc1ccsc1CN1CCC=C(C)C1 ZINC000281769122 175377382 /nfs/dbraw/zinc/37/73/82/175377382.db2.gz JNHJNUGLCYYFIJ-UHFFFAOYSA-N 0 3 223.341 2.909 20 0 BFADHN COc1ccsc1CNCC[C@@H](C)F ZINC000339484225 175378789 /nfs/dbraw/zinc/37/87/89/175378789.db2.gz MQFWUPZGPYYWRZ-MRVPVSSYSA-N 0 3 217.309 2.594 20 0 BFADHN COc1ccsc1CNCCSC(C)C ZINC000290231852 175378882 /nfs/dbraw/zinc/37/88/82/175378882.db2.gz YMDDSWKDJBODLS-UHFFFAOYSA-N 0 3 245.413 2.988 20 0 BFADHN COc1ccsc1CN1CCC[C@@H](C)C1 ZINC000271012195 175378942 /nfs/dbraw/zinc/37/89/42/175378942.db2.gz PYVWCCVSJNWMPR-SNVBAGLBSA-N 0 3 225.357 2.989 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@@H]1CCSC1 ZINC000274578741 175379596 /nfs/dbraw/zinc/37/95/96/175379596.db2.gz KKXSBGGOFBLQQC-RKDXNWHRSA-N 0 3 243.397 2.913 20 0 BFADHN COc1ccsc1[C@H](C)NC[C@@H]1CCCO1 ZINC000186078334 175379830 /nfs/dbraw/zinc/37/98/30/175379830.db2.gz BHZHVJGMOSHYNY-UWVGGRQHSA-N 0 3 241.356 2.586 20 0 BFADHN COc1ccsc1[C@@H](C)NCCC[C@@H](C)O ZINC000278957242 175380047 /nfs/dbraw/zinc/38/00/47/175380047.db2.gz ZVSZTRVKOJNXKB-NXEZZACHSA-N 0 3 243.372 2.568 20 0 BFADHN COc1cncc(CN(C)[C@H](C)C(C)C)c1 ZINC000290350174 175384694 /nfs/dbraw/zinc/38/46/94/175384694.db2.gz QBQOTGPLJHWBAQ-LLVKDONJSA-N 0 3 222.332 2.567 20 0 BFADHN COc1cncc(CN2CCC[C@H](C3CC3)C2)c1 ZINC000289399780 175385473 /nfs/dbraw/zinc/38/54/73/175385473.db2.gz KVYBRNKGEDMEAU-AWEZNQCLSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cncc(CN2CC[C@H](C)[C@@H](C)C2)c1 ZINC000290075698 175385735 /nfs/dbraw/zinc/38/57/35/175385735.db2.gz WIJBAGQFEDVEFE-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN COc1cncc(CN2C[C@@H](C)CC[C@H]2C)c1 ZINC000290408575 175386208 /nfs/dbraw/zinc/38/62/08/175386208.db2.gz UAYRBUBYJMXPEA-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN2CCC[C@H]2C2CCC2)c1 ZINC000290327941 175386245 /nfs/dbraw/zinc/38/62/45/175386245.db2.gz OSZAKTIOPQDEHB-HNNXBMFYSA-N 0 3 246.354 2.855 20 0 BFADHN COc1nc(C)cc(C)c1CN(C)CC1CC1 ZINC000286721123 175390555 /nfs/dbraw/zinc/39/05/55/175390555.db2.gz DIVWBQUDHWGXHV-UHFFFAOYSA-N 0 3 234.343 2.549 20 0 BFADHN CS[C@@H]1CCC[C@@H](NCc2cscn2)C1 ZINC000117394536 175548355 /nfs/dbraw/zinc/54/83/55/175548355.db2.gz WOSKULFHEZPIBL-MWLCHTKSSA-N 0 3 242.413 2.907 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1occc1C ZINC000336656108 175551953 /nfs/dbraw/zinc/55/19/53/175551953.db2.gz OIGBKIOKRRGNEG-MWLCHTKSSA-N 0 3 211.330 2.572 20 0 BFADHN CSc1ccc(CNCCC(C)(C)O)s1 ZINC000336738879 175575436 /nfs/dbraw/zinc/57/54/36/175575436.db2.gz RUCBJGAMNYTQCB-UHFFFAOYSA-N 0 3 245.413 2.721 20 0 BFADHN C[C@@H](CN(C)C)Nc1ncc(Cl)cc1Cl ZINC000130635398 175700317 /nfs/dbraw/zinc/70/03/17/175700317.db2.gz HOKOITAEODQBNX-ZETCQYMHSA-N 0 3 248.157 2.750 20 0 BFADHN C[C@@H](NCC1CC(F)(F)C1)c1nccs1 ZINC000293761695 175870287 /nfs/dbraw/zinc/87/02/87/175870287.db2.gz SDNKQUPOIXLUOX-SSDOTTSWSA-N 0 3 232.299 2.839 20 0 BFADHN C[C@@H](NC[C@H]1CCCS1)c1ccncc1F ZINC000309557245 175893095 /nfs/dbraw/zinc/89/30/95/175893095.db2.gz KARIVMVYHBVNJH-NXEZZACHSA-N 0 3 240.347 2.767 20 0 BFADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)CCO ZINC000267140649 176014264 /nfs/dbraw/zinc/01/42/64/176014264.db2.gz HZQHLBLOMFAAJN-QMMMGPOBSA-N 0 3 248.153 2.979 20 0 BFADHN C[C@@H](c1ccc(Cl)cc1)N1CC[C@@H](CO)C1 ZINC000224473359 176014320 /nfs/dbraw/zinc/01/43/20/176014320.db2.gz PLQSQBFXHPKFEO-WDEREUQCSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@@H](c1cccc(F)c1)N(C)CCCCO ZINC000263980636 176017860 /nfs/dbraw/zinc/01/78/60/176017860.db2.gz FPNXUIQCTNLYJG-NSHDSACASA-N 0 3 225.307 2.591 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCCN(C)CC1 ZINC000297776066 176018254 /nfs/dbraw/zinc/01/82/54/176018254.db2.gz GKZUONLFTHDWIJ-LBPRGKRZSA-N 0 3 236.334 2.524 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1[C@@H]2CC[C@H]1CC(O)C2 ZINC000111313478 176018532 /nfs/dbraw/zinc/01/85/32/176018532.db2.gz KKCTYCWMVUHKMG-ZEIJEMSESA-N 0 3 249.329 2.874 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)C[C@H]1CCCO1 ZINC000252958365 176018842 /nfs/dbraw/zinc/01/88/42/176018842.db2.gz LFDRWOQCVYUPGV-SMDDNHRTSA-N 0 3 235.327 2.564 20 0 BFADHN C[C@@H](c1ccccc1)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000339619892 176021411 /nfs/dbraw/zinc/02/14/11/176021411.db2.gz ZQSZMDHUYPBBMM-MJBXVCDLSA-N 0 3 232.371 2.772 20 0 BFADHN C[C@@H](NCc1ccn(C)n1)[C@@H](C)c1ccccc1 ZINC000271792794 176022771 /nfs/dbraw/zinc/02/27/71/176022771.db2.gz HFGUNGVPFCKILE-CHWSQXEVSA-N 0 3 243.354 2.702 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)CCC(C)(C)O ZINC000081666331 176024862 /nfs/dbraw/zinc/02/48/62/176024862.db2.gz ZLQCYAPMDCMVPQ-NSHDSACASA-N 0 3 239.334 2.980 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)CCCCCO ZINC000061804128 176025462 /nfs/dbraw/zinc/02/54/62/176025462.db2.gz ZCGAYTSXUJPLBI-LBPRGKRZSA-N 0 3 239.334 2.981 20 0 BFADHN C[C@@H](c1ccccn1)N1CCSC(C)(C)C1 ZINC000267566303 176027744 /nfs/dbraw/zinc/02/77/44/176027744.db2.gz CBXKGORUUZDDBV-NSHDSACASA-N 0 3 236.384 2.970 20 0 BFADHN C[C@@H](c1cccnc1)N1CCS[C@H](C)CC1 ZINC000276959435 176030954 /nfs/dbraw/zinc/03/09/54/176030954.db2.gz PUIMUDMPOWZSSI-NEPJUHHUSA-N 0 3 236.384 2.970 20 0 BFADHN C[C@@H](c1ccco1)N(CC1(C)COC1)C1CC1 ZINC000336718819 176031293 /nfs/dbraw/zinc/03/12/93/176031293.db2.gz XHXXYBSWQYORGN-NSHDSACASA-N 0 3 235.327 2.842 20 0 BFADHN C[C@@H](c1cccs1)N(C)C[C@H]1CCCO1 ZINC000248923935 176032393 /nfs/dbraw/zinc/03/23/93/176032393.db2.gz WYGQHTRICTYORA-WDEREUQCSA-N 0 3 225.357 2.920 20 0 BFADHN C[C@@H](c1ccco1)N(C[C@H]1CCOC1)C1CC1 ZINC000336681217 176033558 /nfs/dbraw/zinc/03/35/58/176033558.db2.gz CMNONRCYBXQLEJ-NWDGAFQWSA-N 0 3 235.327 2.842 20 0 BFADHN C[C@@H](c1cnccn1)N(C)CC1CCCC1 ZINC000184486670 176036076 /nfs/dbraw/zinc/03/60/76/176036076.db2.gz ZYAIXBKHANMMNT-NSHDSACASA-N 0 3 219.332 2.660 20 0 BFADHN C[C@@H]1CC(O)C[C@@H](C)N1C/C=C/c1ccccc1 ZINC000299422895 176055643 /nfs/dbraw/zinc/05/56/43/176055643.db2.gz URNJDIIOYLWTRL-VAIDBEGPSA-N 0 3 245.366 2.934 20 0 BFADHN C[C@@H]1CCCCCN1Cc1ccc(CO)o1 ZINC000161168753 176063796 /nfs/dbraw/zinc/06/37/96/176063796.db2.gz WQQPACMAOMKZFG-LLVKDONJSA-N 0 3 223.316 2.536 20 0 BFADHN C[C@@H]1CCCN1CC1=Cc2ccccc2OC1 ZINC000124346418 176108856 /nfs/dbraw/zinc/10/88/56/176108856.db2.gz JBDUSRHXCMXKEH-GFCCVEGCSA-N 0 3 229.323 2.947 20 0 BFADHN C[C@@H]1CCCN1Cc1cccc2nsnc21 ZINC000269048597 176110093 /nfs/dbraw/zinc/11/00/93/176110093.db2.gz XBRWSEWFBMIWKG-SECBINFHSA-N 0 3 233.340 2.676 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1Cc1cccnc1 ZINC000001619771 176116638 /nfs/dbraw/zinc/11/66/38/176116638.db2.gz JDNPBYIOUAUZBG-VXGBXAGGSA-N 0 3 204.317 2.845 20 0 BFADHN C[C@@H]1CCC[C@@H](CCN2CC[C@](F)(CO)C2)C1 ZINC000338629242 176117111 /nfs/dbraw/zinc/11/71/11/176117111.db2.gz YPHNCKIEBOKQLC-HZSPNIEDSA-N 0 3 243.366 2.609 20 0 BFADHN C[C@@H]1CCC[C@@H](N(C)c2ccnc(CO)c2)C1 ZINC000042494309 176120295 /nfs/dbraw/zinc/12/02/95/176120295.db2.gz VYACAWOKNHOGFO-DGCLKSJQSA-N 0 3 234.343 2.589 20 0 BFADHN C[C@@H]1CCC[C@H](N(C)c2ccnc(CO)c2)C1 ZINC000042494305 176140902 /nfs/dbraw/zinc/14/09/02/176140902.db2.gz VYACAWOKNHOGFO-YPMHNXCESA-N 0 3 234.343 2.589 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2cscn2)C1 ZINC000041043319 176144693 /nfs/dbraw/zinc/14/46/93/176144693.db2.gz OZSGBUFFHXTOPD-ZJUUUORDSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@@H]1CCC[C@H](N[C@@H]2CCn3ccnc32)[C@@H]1C ZINC000345353259 176144893 /nfs/dbraw/zinc/14/48/93/176144893.db2.gz QIABPRSHBVZVRN-FVCCEPFGSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1nc2ccccc2n1C ZINC000105504668 176150646 /nfs/dbraw/zinc/15/06/46/176150646.db2.gz BARJPFOOFKOLMH-VXGBXAGGSA-N 0 3 243.354 2.852 20 0 BFADHN C[C@@H]1CCN(C[C@H](O)c2ccccc2Cl)C1 ZINC000263757749 176171678 /nfs/dbraw/zinc/17/16/78/176171678.db2.gz UEBULUMQEQXNKG-MFKMUULPSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@@H]1CCN(Cc2cc3ccccc3o2)[C@@H]1CO ZINC000190445939 176174101 /nfs/dbraw/zinc/17/41/01/176174101.db2.gz YXZYGHQGDRIHGT-BXUZGUMPSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(O)c2)CCS1 ZINC000190693588 176176109 /nfs/dbraw/zinc/17/61/09/176176109.db2.gz GJICOBGCSPQVHW-LLVKDONJSA-N 0 3 237.368 2.720 20 0 BFADHN C[C@@H]1C[C@H](C)CCN(Cc2cocn2)C1 ZINC000191949736 176176913 /nfs/dbraw/zinc/17/69/13/176176913.db2.gz ATSRFXHMZIDFSH-GHMZBOCLSA-N 0 3 208.305 2.543 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@@H](C)[C@H](O)C1 ZINC000305770327 176180503 /nfs/dbraw/zinc/18/05/03/176180503.db2.gz XISYSEGFLOGENB-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@@H](C)C[C@@H](C)C1 ZINC000184686046 176181034 /nfs/dbraw/zinc/18/10/34/176181034.db2.gz JCCVVCLCKSBEAA-UPJWGTAASA-N 0 3 233.359 2.906 20 0 BFADHN C[C@@H]1CCOCCN1CCSC(C)(C)C ZINC000374856253 176188808 /nfs/dbraw/zinc/18/88/08/176188808.db2.gz NNODXKSFNZDHKN-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN C[C@@H]1CC[C@@H](CN(C)CCOc2ccccc2)O1 ZINC000188535533 176202588 /nfs/dbraw/zinc/20/25/88/176202588.db2.gz PJJGZXMHDVBFQH-HIFRSBDPSA-N 0 3 249.354 2.565 20 0 BFADHN C[C@@H]1CC[C@@H](N[C@H]2COc3ccccc32)C1 ZINC000252134553 176214304 /nfs/dbraw/zinc/21/43/04/176214304.db2.gz MKICJDNPQPNPIR-WZRBSPASSA-N 0 3 217.312 2.898 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@@H](C)C1)C(=O)Nc1ccccc1 ZINC000222025140 176214571 /nfs/dbraw/zinc/21/45/71/176214571.db2.gz KAWBAERXWKESPZ-YRGRVCCFSA-N 0 3 246.354 2.792 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@@H](c2cccnc2)C2CC2)O1 ZINC000355281040 176226854 /nfs/dbraw/zinc/22/68/54/176226854.db2.gz WKTCTCNPKZPAJL-KCPJHIHWSA-N 0 3 246.354 2.690 20 0 BFADHN C[C@@H]1CC[C@H](N(C)Cc2ccccn2)C1 ZINC000275745450 176229021 /nfs/dbraw/zinc/22/90/21/176229021.db2.gz WCDODWGLGUKHBW-YPMHNXCESA-N 0 3 204.317 2.702 20 0 BFADHN C[C@@H]1CC[C@H](N(C)Cc2cccnc2)C1 ZINC000275588812 176229529 /nfs/dbraw/zinc/22/95/29/176229529.db2.gz JQWMZCIEFKWNOI-YPMHNXCESA-N 0 3 204.317 2.702 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ccn(C(F)F)n2)C1 ZINC000336781808 176233699 /nfs/dbraw/zinc/23/36/99/176233699.db2.gz VTAZUBALCYMIED-BDAKNGLRSA-N 0 3 229.274 2.556 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1cccc2c1OCCCO2 ZINC000310841375 176236624 /nfs/dbraw/zinc/23/66/24/176236624.db2.gz BEHBDEBRMFHYDK-DGCLKSJQSA-N 0 3 247.338 2.736 20 0 BFADHN C[C@@H]1CC[C@]2(CCN(Cc3ccno3)C2)C1 ZINC000336592895 176238282 /nfs/dbraw/zinc/23/82/82/176238282.db2.gz VTUOSLQDYSTGMA-YPMHNXCESA-N 0 3 220.316 2.687 20 0 BFADHN C[C@@H]1CN(CCCCC(F)(F)F)CCCO1 ZINC000366715976 176287413 /nfs/dbraw/zinc/28/74/13/176287413.db2.gz DFGGYQRWYRPLJP-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN C[C@@H]1CCN(CCc2cscn2)C[C@H]1C ZINC000296427321 176296268 /nfs/dbraw/zinc/29/62/68/176296268.db2.gz YCAPDGNACQDFFW-GHMZBOCLSA-N 0 3 224.373 2.664 20 0 BFADHN C[C@H]1CN(Cc2cccc(Cl)n2)C[C@H]1C ZINC000076111733 176305694 /nfs/dbraw/zinc/30/56/94/176305694.db2.gz MIUNIXTUVIODPL-AOOOYVTPSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@@H]1CN(Cc2ccccc2N(C)C)[C@@H]1C ZINC000339572645 176306850 /nfs/dbraw/zinc/30/68/50/176306850.db2.gz BEWCUARFSNVXEA-VXGBXAGGSA-N 0 3 218.344 2.593 20 0 BFADHN C[C@@H]1CN(Cc2ccn(C)c2)CCC1(F)F ZINC000291616497 176308093 /nfs/dbraw/zinc/30/80/93/176308093.db2.gz RJFFSOWJMXJFGV-SNVBAGLBSA-N 0 3 228.286 2.502 20 0 BFADHN C[C@@H](C(=O)Nc1ccccc1)N1C[C@H](C)[C@H](C)C1 ZINC000362450275 176313950 /nfs/dbraw/zinc/31/39/50/176313950.db2.gz QXNDUGGWJPHFFM-XQQFMLRXSA-N 0 3 246.354 2.601 20 0 BFADHN C[C@@H]1COCCN1C[C@@H]1CCc2ccccc2C1 ZINC000359596387 176337872 /nfs/dbraw/zinc/33/78/72/176337872.db2.gz MTCSCPFUYJAGCM-ZIAGYGMSSA-N 0 3 245.366 2.512 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@H]2CCOC[C@H]2C)o1 ZINC000278030106 176340869 /nfs/dbraw/zinc/34/08/69/176340869.db2.gz WXGLOAYRKORICA-OXHZDVMGSA-N 0 3 249.354 2.918 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1cc(F)cc(F)c1 ZINC000309784887 176353492 /nfs/dbraw/zinc/35/34/92/176353492.db2.gz NUELIBZMXLHSRW-PELKAZGASA-N 0 3 243.322 2.806 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1cccc(F)c1F ZINC000335605733 176353581 /nfs/dbraw/zinc/35/35/81/176353581.db2.gz HUPYPJFJLFPPRA-KCJUWKMLSA-N 0 3 243.322 2.806 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1ccc(F)c(F)c1 ZINC000304487605 176353687 /nfs/dbraw/zinc/35/36/87/176353687.db2.gz LZJWFMZSAJFVDU-PELKAZGASA-N 0 3 243.322 2.806 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1ccc(Cl)cn1 ZINC000309163047 176356017 /nfs/dbraw/zinc/35/60/17/176356017.db2.gz ZVNHYYFTVUOGMA-LDYMZIIASA-N 0 3 242.775 2.576 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@H]2CC=CCC2)c2nccn21 ZINC000294109428 176376583 /nfs/dbraw/zinc/37/65/83/176376583.db2.gz NBJAEASPQDDYSS-FRRDWIJNSA-N 0 3 231.343 2.835 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccccc2Cl)[C@@H](C)O1 ZINC000294909665 176378021 /nfs/dbraw/zinc/37/80/21/176378021.db2.gz ZZYFHVASQHVKMR-GIPNMCIBSA-N 0 3 239.746 2.996 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(F)cc2F)CCO1 ZINC000070361010 176378322 /nfs/dbraw/zinc/37/83/22/176378322.db2.gz LDNLEKYKAPKROZ-SKDRFNHKSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1C[C@@H]1CC(=O)Nc1cccc(CN(C)C)c1 ZINC000352496947 176390785 /nfs/dbraw/zinc/39/07/85/176390785.db2.gz BOZUATSVFORRMN-DGCLKSJQSA-N 0 3 246.354 2.733 20 0 BFADHN CC(C)n1cncc1CN1C[C@@H]2CCCC[C@@H]21 ZINC000668299173 487633981 /nfs/dbraw/zinc/63/39/81/487633981.db2.gz WZJTWNPTNNNOMT-JSGCOSHPSA-N 0 3 233.359 2.838 20 0 BFADHN CCOc1ccc(CN2C[C@@H](C)C[C@@H]2C)nc1 ZINC000674865162 487634265 /nfs/dbraw/zinc/63/42/65/487634265.db2.gz VOXVSJSPEUKLNE-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](CCO)NCc1cc(Cl)ccc1Cl ZINC000123660518 176637306 /nfs/dbraw/zinc/63/73/06/176637306.db2.gz ZIEGQEXTXWHOGX-MRVPVSSYSA-N 0 3 248.153 2.854 20 0 BFADHN C[C@H](CCc1ccccc1)NCc1nccn1C ZINC000035404054 176641197 /nfs/dbraw/zinc/64/11/97/176641197.db2.gz ANLBPQQBNNAHHT-CYBMUJFWSA-N 0 3 243.354 2.531 20 0 BFADHN C[C@H](CCn1cccn1)NCc1ccccc1F ZINC000158536833 176642589 /nfs/dbraw/zinc/64/25/89/176642589.db2.gz UNDYYTABXBCXHN-GFCCVEGCSA-N 0 3 247.317 2.591 20 0 BFADHN COCc1ccc(CN2C[C@@H]3CCCC[C@@H]32)o1 ZINC000668299309 487634727 /nfs/dbraw/zinc/63/47/27/487634727.db2.gz AAYXBSORWNTHQG-FZMZJTMJSA-N 0 3 235.327 2.800 20 0 BFADHN C[C@H](NCCC(C)(C)C1CC1)c1ncc[nH]1 ZINC000340516123 176840390 /nfs/dbraw/zinc/84/03/90/176840390.db2.gz TXMPZEVEPHXGOV-JTQLQIEISA-N 0 3 221.348 2.887 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccccc1)c1cncs1 ZINC000128205716 176859265 /nfs/dbraw/zinc/85/92/65/176859265.db2.gz WFVMYPZWIHGQLJ-CMPLNLGQSA-N 0 3 248.351 2.527 20 0 BFADHN C[C@@H](CN1CCCc2n[nH]cc2C1)C(C)(C)C ZINC000668843183 487636518 /nfs/dbraw/zinc/63/65/18/487636518.db2.gz WUOFEQICARZOMN-NSHDSACASA-N 0 3 235.375 2.840 20 0 BFADHN C[C@H](O)[C@@H]1CCN(Cc2ccc(Cl)cc2)C1 ZINC000166082672 177009032 /nfs/dbraw/zinc/00/90/32/177009032.db2.gz NTOBFOXFDFAYTQ-CMPLNLGQSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H](O)[C@@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC000272630605 177009467 /nfs/dbraw/zinc/00/94/67/177009467.db2.gz WFXACXQNVDUROH-GMXVVIOVSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](c1ccc(Cl)cc1)N(C)CCCO ZINC000045915472 177032661 /nfs/dbraw/zinc/03/26/61/177032661.db2.gz XNRAEQUNPKNTQB-SNVBAGLBSA-N 0 3 227.735 2.715 20 0 BFADHN C[C@H](c1cccc(C(F)(F)F)c1)N(C)CCO ZINC000267153340 177035102 /nfs/dbraw/zinc/03/51/02/177035102.db2.gz NGCHSTFABMLNBE-SECBINFHSA-N 0 3 247.260 2.691 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)Cc1ccn(C)c1 ZINC000093315599 177037858 /nfs/dbraw/zinc/03/78/58/177037858.db2.gz FGCSPLHEYMSLEI-GFCCVEGCSA-N 0 3 244.338 2.924 20 0 BFADHN C[C@H](c1ccccc1)N(C)CCn1ccnc1 ZINC000183121420 177038908 /nfs/dbraw/zinc/03/89/08/177038908.db2.gz GUFAQYROXNAASS-CYBMUJFWSA-N 0 3 229.327 2.576 20 0 BFADHN C[C@H](c1ccccc1F)N(C)CCc1cn[nH]c1 ZINC000290106512 177041219 /nfs/dbraw/zinc/04/12/19/177041219.db2.gz SKUFLLQHKUWUJJ-LLVKDONJSA-N 0 3 247.317 2.784 20 0 BFADHN C[C@H](c1ccccc1F)N1C[C@@H](O)C(C)(C)C1 ZINC000279678614 177042106 /nfs/dbraw/zinc/04/21/06/177042106.db2.gz FAUGXRKTBQIMCU-ZWNOBZJWSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](c1ccccn1)N(C)C[C@@H]1CCCOC1 ZINC000177152023 177042456 /nfs/dbraw/zinc/04/24/56/177042456.db2.gz JWIDLKIZHNBVMY-OLZOCXBDSA-N 0 3 234.343 2.501 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@H]1CCSC1 ZINC000278538792 177044741 /nfs/dbraw/zinc/04/47/41/177044741.db2.gz CKALFJXTKWWGLX-VXGBXAGGSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@H](c1cccnc1)N1CCC(CF)CC1 ZINC000295197233 177044819 /nfs/dbraw/zinc/04/48/19/177044819.db2.gz UROGYXHITTUNOW-LLVKDONJSA-N 0 3 222.307 2.824 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@@H](O)C(C)(C)C ZINC000284350174 177047656 /nfs/dbraw/zinc/04/76/56/177047656.db2.gz RZJSJZAFGXPKDA-ZYHUDNBSSA-N 0 3 225.332 2.679 20 0 BFADHN C[C@H](c1ccncc1)N(C)C[C@@H]1CCCCO1 ZINC000173560716 177050427 /nfs/dbraw/zinc/05/04/27/177050427.db2.gz VQTKEWPZEDKYPU-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@H]1CCCCCN1CC(=O)Nc1ccccc1 ZINC000358399351 177070222 /nfs/dbraw/zinc/07/02/22/177070222.db2.gz NCBWFOLKSNTZLA-ZDUSSCGKSA-N 0 3 246.354 2.890 20 0 BFADHN C[C@H]1CCCN1Cc1cnc(C(C)(C)C)nc1 ZINC000284289237 177110732 /nfs/dbraw/zinc/11/07/32/177110732.db2.gz HZWQBTNVVJAWFK-NSHDSACASA-N 0 3 233.359 2.758 20 0 BFADHN C[C@@H]1CCC[C@H](C)N(Cc2ccon2)C1 ZINC000125265667 177114805 /nfs/dbraw/zinc/11/48/05/177114805.db2.gz BWPJHYRVXPFCFD-MNOVXSKESA-N 0 3 208.305 2.685 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1Cc1ccc(F)cn1 ZINC000276214130 177116642 /nfs/dbraw/zinc/11/66/42/177116642.db2.gz LEBZWONLSOHJMO-PHIMTYICSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@H]1CCC[C@@H](CNCc2ccc(CO)o2)C1 ZINC000174301470 177118982 /nfs/dbraw/zinc/11/89/82/177118982.db2.gz OXBABKKESNTGDK-NWDGAFQWSA-N 0 3 237.343 2.688 20 0 BFADHN C[C@H]1CCC[C@@H](CN(C)Cc2cnccn2)C1 ZINC000092799717 177119010 /nfs/dbraw/zinc/11/90/10/177119010.db2.gz YDXRQMHARXWOMQ-QWHCGFSZSA-N 0 3 233.359 2.735 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2nccn2C(F)F)C1 ZINC000037255065 177122847 /nfs/dbraw/zinc/12/28/47/177122847.db2.gz JWOBNNIEVAWODB-VHSXEESVSA-N 0 3 243.301 2.947 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2ccc(CO)o2)CC1 ZINC000227309926 177123095 /nfs/dbraw/zinc/12/30/95/177123095.db2.gz WMXFREHTBZHGKY-NWDGAFQWSA-N 0 3 237.343 2.830 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1c(Cl)cnn1C ZINC000336782459 177124208 /nfs/dbraw/zinc/12/42/08/177124208.db2.gz XSLXPJLUMOIEJJ-VHSXEESVSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1nc(-c2ccco2)no1 ZINC000279199810 177126737 /nfs/dbraw/zinc/12/67/37/177126737.db2.gz FVJRJJNTUJBCPN-UWVGGRQHSA-N 0 3 247.298 2.608 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1nc2ccccc2n1C ZINC000105504664 177126823 /nfs/dbraw/zinc/12/68/23/177126823.db2.gz BARJPFOOFKOLMH-RYUDHWBXSA-N 0 3 243.354 2.852 20 0 BFADHN C[C@H]1CCC[C@H](CN2CCOC(C)(C)C2)C1 ZINC000356973110 177133048 /nfs/dbraw/zinc/13/30/48/177133048.db2.gz IDLPYCXDTAKNSE-STQMWFEESA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@H](C)C1)c1cnccn1 ZINC000152105927 177133415 /nfs/dbraw/zinc/13/34/15/177133415.db2.gz FNTQRXTYNFBUSV-AVGNSLFASA-N 0 3 233.359 2.954 20 0 BFADHN C[C@H]1CCC[C@H](CN2CCOCC2(C)C)C1 ZINC000357004137 177133693 /nfs/dbraw/zinc/13/36/93/177133693.db2.gz PEFDMYRVVFXJIY-STQMWFEESA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1[C@@H](C)CCC[C@@H]1NCc1cocn1 ZINC000183158783 177136972 /nfs/dbraw/zinc/13/69/72/177136972.db2.gz NSQDWSBFENMCTL-UMNHJUIQSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1nnc(-c2ccco2)o1 ZINC000279176159 177142490 /nfs/dbraw/zinc/14/24/90/177142490.db2.gz CHJZKFHLUJKLAE-VHSXEESVSA-N 0 3 247.298 2.608 20 0 BFADHN C[C@H]1CCN(C[C@H](O)c2cccc(Cl)c2)C1 ZINC000266539648 177162296 /nfs/dbraw/zinc/16/22/96/177162296.db2.gz PHJZIKGUWOAKCQ-GWCFXTLKSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@H]1CCN(Cc2ccc(F)c(F)c2)C1 ZINC000263663173 177163653 /nfs/dbraw/zinc/16/36/53/177163653.db2.gz DVCXEYBUFMCTSM-VIFPVBQESA-N 0 3 211.255 2.807 20 0 BFADHN C[C@H]1CN(Cc2cccnc2)CC[C@@H]1C ZINC000122658255 177166030 /nfs/dbraw/zinc/16/60/30/177166030.db2.gz WVLYRXDXHLAONT-RYUDHWBXSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@H]1CCN(Cc2ccncc2)CC1(C)C ZINC000273686894 177166554 /nfs/dbraw/zinc/16/65/54/177166554.db2.gz LDOKGLYYXPUGOW-LBPRGKRZSA-N 0 3 218.344 2.950 20 0 BFADHN C[C@H]1CCSCCN1CCc1cscn1 ZINC000296083664 177179873 /nfs/dbraw/zinc/17/98/73/177179873.db2.gz SPLBGVMWUJHELO-JTQLQIEISA-N 0 3 242.413 2.513 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC000331551579 177183869 /nfs/dbraw/zinc/18/38/69/177183869.db2.gz RTUDZDLAANSUFU-JFGNBEQYSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1cncs1 ZINC000093498237 177184625 /nfs/dbraw/zinc/18/46/25/177184625.db2.gz WTLOTTNRSYCWEN-VHSXEESVSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@H]1CC[C@@H](NCc2nccn2C(F)F)C1 ZINC000121982490 177193602 /nfs/dbraw/zinc/19/36/02/177193602.db2.gz KVJPZNMUEARSOM-DTWKUNHWSA-N 0 3 229.274 2.556 20 0 BFADHN C[C@H]1CC[C@H](CN2CCC=C(c3ccco3)C2)O1 ZINC000285525160 177201958 /nfs/dbraw/zinc/20/19/58/177201958.db2.gz GYPJSGUDABUIFM-GXTWGEPZSA-N 0 3 247.338 2.936 20 0 BFADHN C[C@H]1CC[C@H]1NCc1c[nH]c(-c2ccccc2)n1 ZINC000353144770 177207094 /nfs/dbraw/zinc/20/70/94/177207094.db2.gz VKBYWGNUIFPRBR-SMDDNHRTSA-N 0 3 241.338 2.965 20 0 BFADHN CCOc1ccc(CN2CCC[C@@H]2C2CC2)nc1 ZINC000674862978 487637261 /nfs/dbraw/zinc/63/72/61/487637261.db2.gz DIKZLOQPZWWFFL-OAHLLOKOSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@H]1CN(CC2CC2)[C@H](c2ccccc2)CO1 ZINC000268624159 177245184 /nfs/dbraw/zinc/24/51/84/177245184.db2.gz ATFWWIFBDHBZPM-WFASDCNBSA-N 0 3 231.339 2.858 20 0 BFADHN C[C@H]1CN(CCCCCF)CC(C)(C)O1 ZINC000341838474 177247485 /nfs/dbraw/zinc/24/74/85/177247485.db2.gz ZSKKHSOKDALLPM-NSHDSACASA-N 0 3 217.328 2.626 20 0 BFADHN C[C@@H]1CN(CCCCOC(C)(C)C)C[C@H](C)O1 ZINC000354866628 177247693 /nfs/dbraw/zinc/24/76/93/177247693.db2.gz SFMQDXGYTDSHHJ-BETUJISGSA-N 0 3 243.391 2.691 20 0 BFADHN C[C@H]1CN(CCCCOC(C)(C)C)C[C@H](C)O1 ZINC000354866630 177247750 /nfs/dbraw/zinc/24/77/50/177247750.db2.gz SFMQDXGYTDSHHJ-STQMWFEESA-N 0 3 243.391 2.691 20 0 BFADHN C[C@H]1CN(CCCCOC(C)(C)C)[C@@H](C)CO1 ZINC000354902912 177247832 /nfs/dbraw/zinc/24/78/32/177247832.db2.gz XUZWLCRDHATZRL-STQMWFEESA-N 0 3 243.391 2.691 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CCc1ccc2c(c1)CCO2 ZINC000293188541 177253829 /nfs/dbraw/zinc/25/38/29/177253829.db2.gz XBDFYXHZURQMAU-NWDGAFQWSA-N 0 3 231.339 2.504 20 0 BFADHN C[C@H]1CN(CCn2cccn2)[C@@H]1c1ccccc1 ZINC000174987703 177256761 /nfs/dbraw/zinc/25/67/61/177256761.db2.gz UQZQLBVVVAVZDJ-ZFWWWQNUSA-N 0 3 241.338 2.576 20 0 BFADHN C[C@H]1COCCN(CCCCC(F)(F)F)C1 ZINC000374357167 177287899 /nfs/dbraw/zinc/28/78/99/177287899.db2.gz JWLSTEJBGAJTJM-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN C[C@H]1COCCN(C/C=C/c2ccc(F)cc2)C1 ZINC000370052619 177288161 /nfs/dbraw/zinc/28/81/61/177288161.db2.gz IQFYPCYTYLAGOK-YWVDXFKGSA-N 0 3 249.329 2.807 20 0 BFADHN C[C@@H]1CCC[C@@H](CCN2CCOC[C@@H]2C)C1 ZINC000362463500 177292570 /nfs/dbraw/zinc/29/25/70/177292570.db2.gz HWPNCTSZRRCVIP-RDBSUJKOSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H]1COCC[C@@H]1NC1(c2ccccc2F)CC1 ZINC000195097652 177294163 /nfs/dbraw/zinc/29/41/63/177294163.db2.gz HTHQJMCGTUONHQ-FZMZJTMJSA-N 0 3 249.329 2.829 20 0 BFADHN C[C@@H]1CCC[C@H](CN2C[C@@H](C)OC[C@@H]2C)C1 ZINC000356954168 177302114 /nfs/dbraw/zinc/30/21/14/177302114.db2.gz BNUKXONICIELLG-RQJABVFESA-N 0 3 225.376 2.922 20 0 BFADHN C[C@H]1CSCCN1Cc1ccc2c[nH]nc2c1 ZINC000179662197 177305318 /nfs/dbraw/zinc/30/53/18/177305318.db2.gz BUSHDRHTRNGFBD-JTQLQIEISA-N 0 3 247.367 2.500 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1ccccc1F ZINC000286114779 177306345 /nfs/dbraw/zinc/30/63/45/177306345.db2.gz UOHXDDVDQNSPEF-CABZTGNLSA-N 0 3 225.332 2.667 20 0 BFADHN C[C@H]1C[C@@H](CN(C)Cc2ccoc2)CCO1 ZINC000352695290 177323509 /nfs/dbraw/zinc/32/35/09/177323509.db2.gz WEIYQCDOAJXFCH-RYUDHWBXSA-N 0 3 223.316 2.527 20 0 BFADHN C[C@H]1C[C@@H](NC2CCCCC2)c2nccn21 ZINC000334468277 177330455 /nfs/dbraw/zinc/33/04/55/177330455.db2.gz FXCSKVJYBSIKLX-CMPLNLGQSA-N 0 3 219.332 2.811 20 0 BFADHN C[C@H]1C[C@@H](NCCC(C)(C)C)c2nccn21 ZINC000345365597 177330656 /nfs/dbraw/zinc/33/06/56/177330656.db2.gz YPVURLJAYBCFHS-WDEREUQCSA-N 0 3 221.348 2.915 20 0 BFADHN C[C@H]1C[C@@H](NCc2c(F)cccc2F)CCO1 ZINC000219921291 177332602 /nfs/dbraw/zinc/33/26/02/177332602.db2.gz LFWCFOKIHWRFLU-UWVGGRQHSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H]1C[C@@H](N[C@@H]2C=C[C@H](CO)C2)c2ccccc21 ZINC000289223008 177334010 /nfs/dbraw/zinc/33/40/10/177334010.db2.gz LKBWLRXMGONOND-BCUIYNNISA-N 0 3 243.350 2.762 20 0 BFADHN C[C@@H](O)CN1C[C@@H](C)C[C@H]1c1ccccc1F ZINC000297961066 177339348 /nfs/dbraw/zinc/33/93/48/177339348.db2.gz WLEGNYZXRGHZNK-WDMOLILDSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1cccc(F)c1F ZINC000122950398 177345550 /nfs/dbraw/zinc/34/55/50/177345550.db2.gz WQIKJOWBCQSLLN-WCBMZHEXSA-N 0 3 211.255 2.710 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1cc(F)ccc1F ZINC000136058907 177345582 /nfs/dbraw/zinc/34/55/82/177345582.db2.gz ZZQPTUMLJLJOAZ-DTWKUNHWSA-N 0 3 211.255 2.710 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1ccc(Br)o1 ZINC000166797565 177345950 /nfs/dbraw/zinc/34/59/50/177345950.db2.gz LLGIZNFOEUNRPO-JGVFFNPUSA-N 0 3 244.132 2.788 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNC2CCOCC2)o1 ZINC000036319242 177351763 /nfs/dbraw/zinc/35/17/63/177351763.db2.gz QVOVQUCMLKTHRZ-GWCFXTLKSA-N 0 3 235.327 2.672 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN(C)[C@@H]2CCC[C@H]2O)o1 ZINC000289230688 177352064 /nfs/dbraw/zinc/35/20/64/177352064.db2.gz CZDDAANQRJVWBX-SCUASFONSA-N 0 3 249.354 2.748 20 0 BFADHN C[C@H]1C[C@@H]1c1noc(C2CCN(C3CC3)CC2)n1 ZINC000334155251 177352557 /nfs/dbraw/zinc/35/25/57/177352557.db2.gz UTNXUJLXRNUSBC-CABZTGNLSA-N 0 3 247.342 2.535 20 0 BFADHN C[C@@H]1CC[C@H](N[C@H]2CCn3ccnc32)[C@@H](C)C1 ZINC000345349540 177356435 /nfs/dbraw/zinc/35/64/35/177356435.db2.gz NAWFQLHMCLNPJO-VOAKCMCISA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2ccccn2)C1 ZINC000253604082 177358516 /nfs/dbraw/zinc/35/85/16/177358516.db2.gz SOTWCKWJWCCYKQ-RYUDHWBXSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cn(C)nc2C(F)F)C1 ZINC000335162792 177359667 /nfs/dbraw/zinc/35/96/67/177359667.db2.gz KYWYSOGVCXBMIF-IUCAKERBSA-N 0 3 243.301 2.588 20 0 BFADHN C[C@@H]1C[C@@H](CCNCc2ccccn2)C[C@H](C)O1 ZINC000338481433 177361238 /nfs/dbraw/zinc/36/12/38/177361238.db2.gz WWPPSEVUNLZYAC-BTTYYORXSA-N 0 3 248.370 2.765 20 0 BFADHN C[C@H]1C[C@H](NCC2(C3CC3)CC2)c2nccn21 ZINC000345341165 177369113 /nfs/dbraw/zinc/36/91/13/177369113.db2.gz CPKZDCCGOOPWNE-JQWIXIFHSA-N 0 3 231.343 2.669 20 0 BFADHN C[C@H]1C[C@H](NCc2cc[nH]n2)CC(C)(C)C1 ZINC000041014530 177370600 /nfs/dbraw/zinc/37/06/00/177370600.db2.gz BAKUSLGSLFSBCM-JQWIXIFHSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@@H]1CCC[C@@H]1CN[C@H]1C[C@H](C)n2ccnc21 ZINC000345348513 177370655 /nfs/dbraw/zinc/37/06/55/177370655.db2.gz MJADJDXFMRELCR-XQHKEYJVSA-N 0 3 233.359 2.915 20 0 BFADHN C[C@H]1C[C@H]1NCc1cnc(C(F)(F)F)s1 ZINC000308820424 177386254 /nfs/dbraw/zinc/38/62/54/177386254.db2.gz BUASFUVPNYVSJK-CAHLUQPWSA-N 0 3 236.262 2.660 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN[C@@H]2C[C@H](O)C2(C)C)o1 ZINC000129424483 177387239 /nfs/dbraw/zinc/38/72/39/177387239.db2.gz ADJPOEUFSRUSGT-FRJFDASCSA-N 0 3 249.354 2.652 20 0 BFADHN C[C@H]1N(CCCc2ccncc2)CCOC1(C)C ZINC000360454223 177392761 /nfs/dbraw/zinc/39/27/61/177392761.db2.gz IMLUATMESPSVJQ-CYBMUJFWSA-N 0 3 248.370 2.514 20 0 BFADHN C[C@]1(CNCc2ccco2)CCO[C@H]1C1CC1 ZINC000368768882 177463137 /nfs/dbraw/zinc/46/31/37/177463137.db2.gz YXMXZVKKYZWOBL-UONOGXRCSA-N 0 3 235.327 2.574 20 0 BFADHN CCOc1ccc(CN2CC[C@H](CC)C2)nc1 ZINC000674868225 487639063 /nfs/dbraw/zinc/63/90/63/487639063.db2.gz OQZNQLOHOZFLRH-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN CO[C@H](CNC/C=C/c1ccncc1)C(C)(C)C ZINC000487330233 225300039 /nfs/dbraw/zinc/30/00/39/225300039.db2.gz UUQSSXDDWUVFPV-VBROQKIQSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCC[C@@H]2C)nn1C ZINC000487395703 225303746 /nfs/dbraw/zinc/30/37/46/225303746.db2.gz LGOROTWKSNNGKE-SMDDNHRTSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCCC[C@@H]2C)nn1C ZINC000487395700 225303938 /nfs/dbraw/zinc/30/39/38/225303938.db2.gz LGOROTWKSNNGKE-FZMZJTMJSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cc([C@@H]2CCCN2C[C@H]2C[C@H]2C)on1 ZINC000488319200 225371948 /nfs/dbraw/zinc/37/19/48/225371948.db2.gz BUTXJULDABOXPR-JLLWLGSASA-N 0 3 220.316 2.776 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCc2cccc(F)c2C1 ZINC000488328931 225375620 /nfs/dbraw/zinc/37/56/20/225375620.db2.gz ILSIYCVWQJUUGB-ZYHUDNBSSA-N 0 3 219.303 2.840 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCOC2(CCCCC2)C1 ZINC000488340834 225379572 /nfs/dbraw/zinc/37/95/72/225379572.db2.gz ATFSNHYUAPFNSJ-OLZOCXBDSA-N 0 3 223.360 2.678 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@@H](C(C)C)C2)cc1 ZINC000488001031 225340800 /nfs/dbraw/zinc/34/08/00/225340800.db2.gz HNYWTVUSZCQBQQ-MRXNPFEDSA-N 0 3 246.398 2.767 20 0 BFADHN Cc1ccccc1N1CCN(C[C@@H]2C[C@H]2C)CC1 ZINC000488307963 225367820 /nfs/dbraw/zinc/36/78/20/225367820.db2.gz BSFZKNGIVRULMH-CABCVRRESA-N 0 3 244.382 2.773 20 0 BFADHN C[C@H](NCC1(CO)CC1)c1cc2ccccc2o1 ZINC000211097248 487648695 /nfs/dbraw/zinc/64/86/95/487648695.db2.gz MKVCUHZEDDOLGG-NSHDSACASA-N 0 3 245.322 2.856 20 0 BFADHN Cc1nc2ccccn2c1CN1CCC[C@H](C)C1 ZINC000505307376 226353787 /nfs/dbraw/zinc/35/37/87/226353787.db2.gz DWFNKCFSGIQNGD-LBPRGKRZSA-N 0 3 243.354 2.875 20 0 BFADHN C[C@@H]1C[C@H](O)CN(Cc2ccccc2Cl)C1 ZINC000401632281 226360130 /nfs/dbraw/zinc/36/01/30/226360130.db2.gz ZIFSLUOWTNEPLU-PWSUYJOCSA-N 0 3 239.746 2.543 20 0 BFADHN Cc1cccc2ncc(CN3CCC(C)CC3)n21 ZINC000505865322 226360622 /nfs/dbraw/zinc/36/06/22/226360622.db2.gz ZZFIVGVZFONDSM-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN COCCN(Cc1ccc(C)c(F)c1)C1CC1 ZINC000507153458 226366034 /nfs/dbraw/zinc/36/60/34/226366034.db2.gz BNDXMBYKTPJEOP-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN COCCN(Cc1ccsc1C)C1CC1 ZINC000507243224 226367875 /nfs/dbraw/zinc/36/78/75/226367875.db2.gz KRMPPZBATKULBW-UHFFFAOYSA-N 0 3 225.357 2.667 20 0 BFADHN CCc1nocc1CN1CCC[C@H](CC)C1 ZINC000507553017 226370127 /nfs/dbraw/zinc/37/01/27/226370127.db2.gz OWJCSZNSFPZBOX-NSHDSACASA-N 0 3 222.332 2.859 20 0 BFADHN CC[C@@H]1CN(CCCOC(C)(C)C)C[C@H](C)O1 ZINC000674907579 487651003 /nfs/dbraw/zinc/65/10/03/487651003.db2.gz CNMKNLQXIOCDKL-QWHCGFSZSA-N 0 3 243.391 2.691 20 0 BFADHN C[C@@]1(NCc2ccc(F)cc2)CCO[C@H]1C1CC1 ZINC000273096918 487653509 /nfs/dbraw/zinc/65/35/09/487653509.db2.gz UVCZPEBQLUBNSM-LSDHHAIUSA-N 0 3 249.329 2.873 20 0 BFADHN CC/C=C\CCN1CCC[C@@H]1c1cc[nH]n1 ZINC000671628447 487658201 /nfs/dbraw/zinc/65/82/01/487658201.db2.gz FCFMSMUVHWPPDQ-DHCBQETCSA-N 0 3 219.332 2.903 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(C(F)F)cc2)C1 ZINC000274163336 487659222 /nfs/dbraw/zinc/65/92/22/487659222.db2.gz MNKKMFIHAAIHDG-LBPRGKRZSA-N 0 3 241.281 2.845 20 0 BFADHN CCOC[C@@H](NCc1cc(C)ccn1)C(C)C ZINC000671630978 487659819 /nfs/dbraw/zinc/65/98/19/487659819.db2.gz XUUMPMUBMDIGKG-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1ccccc1N(C)C ZINC000525996717 262730135 /nfs/dbraw/zinc/73/01/35/262730135.db2.gz BBSFIVTXTBRZSK-RYUDHWBXSA-N 0 3 218.344 2.735 20 0 BFADHN CN(C)c1ccccc1CNC[C@H]1CCC=CO1 ZINC000275473824 487669781 /nfs/dbraw/zinc/66/97/81/487669781.db2.gz BPIQTZVEBFZVQZ-CQSZACIVSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1cc(CN[C@@H](C)CC(C)(C)C)no1 ZINC000394985742 262759256 /nfs/dbraw/zinc/75/92/56/262759256.db2.gz YVLSXGGYCHRZDU-VIFPVBQESA-N 0 3 210.321 2.897 20 0 BFADHN Cc1cc(CN2[C@H](C)C[C@H]3CCCC[C@H]32)nn1C ZINC000487409952 229179076 /nfs/dbraw/zinc/17/90/76/229179076.db2.gz FPBYDSOORRMYTQ-UMVBOHGHSA-N 0 3 247.386 2.882 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1O)c1csc(Cl)c1 ZINC000307583398 229222701 /nfs/dbraw/zinc/22/27/01/229222701.db2.gz YJZHZFCUTRCBEU-NBEYISGCSA-N 0 3 231.748 2.575 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc(C)o2)C12CCC2 ZINC000308339707 229227516 /nfs/dbraw/zinc/22/75/16/229227516.db2.gz AOMPIKWDWASMQH-STQMWFEESA-N 0 3 235.327 2.635 20 0 BFADHN Cc1cccnc1CCN[C@@H](C)c1cccnc1 ZINC000404933246 229237517 /nfs/dbraw/zinc/23/75/17/229237517.db2.gz NDPHAFGATLEMAJ-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN COc1nccc(CN[C@]2(C)CCCC[C@H]2C)n1 ZINC000308715047 229244398 /nfs/dbraw/zinc/24/43/98/229244398.db2.gz OLMPBNCYMBGTEG-BXUZGUMPSA-N 0 3 249.358 2.544 20 0 BFADHN c1ccc2c(c1)CCCN(CCOCC1CC1)C2 ZINC000172796882 229328001 /nfs/dbraw/zinc/32/80/01/229328001.db2.gz OGKOMHDQAQWHEW-UHFFFAOYSA-N 0 3 245.366 2.861 20 0 BFADHN CCc1cc(N2CCC[C@@H]2CF)ccn1 ZINC000335905122 487672915 /nfs/dbraw/zinc/67/29/15/487672915.db2.gz UJLSWCJTIDKZQN-GFCCVEGCSA-N 0 3 208.280 2.582 20 0 BFADHN CC[C@@H](CN1CCCC1)Nc1ncccc1F ZINC000675150900 487674502 /nfs/dbraw/zinc/67/45/02/487674502.db2.gz CFJSOXUAKCZFDW-NSHDSACASA-N 0 3 237.322 2.507 20 0 BFADHN CC(C)(CNCc1ccccn1)[C@@H]1CCCCO1 ZINC000675155665 487676255 /nfs/dbraw/zinc/67/62/55/487676255.db2.gz BYRUWEQUZFKFCC-AWEZNQCLSA-N 0 3 248.370 2.767 20 0 BFADHN CCn1ccc(CN(C)CCOCC(C)C)c1 ZINC000669693656 487682792 /nfs/dbraw/zinc/68/27/92/487682792.db2.gz MUCYEKMXFZHUBG-UHFFFAOYSA-N 0 3 238.375 2.612 20 0 BFADHN CCCn1nccc1CN1C[C@H]2CCC[C@@H]2C1 ZINC000667642598 487689722 /nfs/dbraw/zinc/68/97/22/487689722.db2.gz DHRFYOAWBNGUID-CHWSQXEVSA-N 0 3 233.359 2.525 20 0 BFADHN CCCNCc1cc(F)ccc1OC(F)F ZINC000233119485 487690011 /nfs/dbraw/zinc/69/00/11/487690011.db2.gz DIHDUCUXMXFPMU-UHFFFAOYSA-N 0 3 233.233 2.927 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2ccncc2F)C1 ZINC000336214271 487691002 /nfs/dbraw/zinc/69/10/02/487691002.db2.gz CFKSLNZXKYKIOO-ZYHUDNBSSA-N 0 3 222.307 2.889 20 0 BFADHN C[C@@H](CN1CCCc2c(cnn2C)C1)C(C)(C)C ZINC000666403746 487692013 /nfs/dbraw/zinc/69/20/13/487692013.db2.gz PAIVANXDFSGBLY-LBPRGKRZSA-N 0 3 249.402 2.851 20 0 BFADHN CCCn1nccc1CN1CC[C@H](C)[C@H](C)C1 ZINC000667649622 487695248 /nfs/dbraw/zinc/69/52/48/487695248.db2.gz ZCGJVROTTNDVBD-QWHCGFSZSA-N 0 3 235.375 2.771 20 0 BFADHN CCc1cc(CC)n(-c2ccnc(C)c2)n1 ZINC000163191240 487696069 /nfs/dbraw/zinc/69/60/69/487696069.db2.gz ZBVBIWRFWBXHJL-UHFFFAOYSA-N 0 3 215.300 2.701 20 0 BFADHN CC1(C)C[C@@H](NC/C=C\c2ccccc2)CO1 ZINC000396578724 262807002 /nfs/dbraw/zinc/80/70/02/262807002.db2.gz GVFZCMAKJILOIO-DEBLGDTFSA-N 0 3 231.339 2.857 20 0 BFADHN C[C@@H](CNCc1ccco1)CC(F)(F)F ZINC000396934530 262828386 /nfs/dbraw/zinc/82/83/86/262828386.db2.gz WGVPIAFANBGSIO-MRVPVSSYSA-N 0 3 221.222 2.958 20 0 BFADHN CCO[C@H](CN1CCC(F)(F)CC1)C1CC1 ZINC000528488425 262850151 /nfs/dbraw/zinc/85/01/51/262850151.db2.gz RRNKGXPHUFCSGW-LLVKDONJSA-N 0 3 233.302 2.533 20 0 BFADHN Cc1ccc(CN[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)c(C)n1 ZINC000528758671 262850397 /nfs/dbraw/zinc/85/03/97/262850397.db2.gz LAKXUNOYRASOIB-HTUGSXCWSA-N 0 3 248.370 2.600 20 0 BFADHN CC(C)c1ncc2c(n1)CCN(C1CCCC1)C2 ZINC000527618384 262865747 /nfs/dbraw/zinc/86/57/47/262865747.db2.gz CQDHDBMKCPGRIH-UHFFFAOYSA-N 0 3 245.370 2.901 20 0 BFADHN C[C@H](NCC[C@H]1CCCOC1)c1ccccn1 ZINC000527932335 262896189 /nfs/dbraw/zinc/89/61/89/262896189.db2.gz SFYCRQXBNSZFCW-QWHCGFSZSA-N 0 3 234.343 2.549 20 0 BFADHN Cc1cc(F)ccc1CNCC1=CCOCC1 ZINC000397723283 262930647 /nfs/dbraw/zinc/93/06/47/262930647.db2.gz LGEIMNWTIWYELV-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN Cc1ncc(CNCC2CCCCC2)o1 ZINC000397919834 262940700 /nfs/dbraw/zinc/94/07/00/262940700.db2.gz MTFFFZCNHFZRKO-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CCC[C@]1(CO)CCN(C/C=C(/C)Cl)C1 ZINC000528506271 262954242 /nfs/dbraw/zinc/95/42/42/262954242.db2.gz SRBMINSXHFJILJ-MCWZUMHDSA-N 0 3 231.767 2.614 20 0 BFADHN FCCCN[C@H](c1ccccn1)C1CCC1 ZINC000528661611 262965086 /nfs/dbraw/zinc/96/50/86/262965086.db2.gz VRDLRYBFSCABIB-ZDUSSCGKSA-N 0 3 222.307 2.872 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@H](C)C1CC1 ZINC000651205882 487700870 /nfs/dbraw/zinc/70/08/70/487700870.db2.gz QJWOCQVEQJELON-QWRGUYRKSA-N 0 3 221.348 2.600 20 0 BFADHN CCCn1nccc1CN(CC)C1CCC1 ZINC000667658339 487702470 /nfs/dbraw/zinc/70/24/70/487702470.db2.gz CVVCDHQCXLIAJP-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN COc1cccc(CN(C)CC(C)C)c1F ZINC000669707221 487710469 /nfs/dbraw/zinc/71/04/69/487710469.db2.gz RIQWOICPHZGDRD-UHFFFAOYSA-N 0 3 225.307 2.922 20 0 BFADHN CC[C@H]1Cc2ccccc2CN1Cc1cn[nH]c1 ZINC000681084063 487717698 /nfs/dbraw/zinc/71/76/98/487717698.db2.gz KIIONJUXVZGZMW-HNNXBMFYSA-N 0 3 241.338 2.747 20 0 BFADHN CCc1ccc(NC(=O)CNC(C)C)cc1CC ZINC000662824222 487723665 /nfs/dbraw/zinc/72/36/65/487723665.db2.gz ZKCDYBBHBLWRLT-UHFFFAOYSA-N 0 3 248.370 2.748 20 0 BFADHN Cc1cccc(CN[C@@H]2CO[C@H](C3CC3)C2)c1 ZINC000667771506 487740766 /nfs/dbraw/zinc/74/07/66/487740766.db2.gz CHRNBTCQUVHLGN-GJZGRUSLSA-N 0 3 231.339 2.652 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2COCC2(C)C)cc1 ZINC000312976201 487748923 /nfs/dbraw/zinc/74/89/23/487748923.db2.gz DDFHSYAAXBOXDI-SMDDNHRTSA-N 0 3 249.354 2.771 20 0 BFADHN CCCOC(=O)[C@H](C)N(CCC)CC(C)C ZINC000741781296 585118436 /nfs/dbraw/zinc/11/84/36/585118436.db2.gz NDDQQUYZHNTGIF-LBPRGKRZSA-N 0 3 229.364 2.696 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2ccsc2)nn1C ZINC000666232595 487766008 /nfs/dbraw/zinc/76/60/08/487766008.db2.gz MZBVKSWDEAZECK-SNVBAGLBSA-N 0 3 249.383 2.511 20 0 BFADHN C[C@@H](CC(C)(C)C)N[C@@H](C)c1ncc[nH]1 ZINC000385241096 487775421 /nfs/dbraw/zinc/77/54/21/487775421.db2.gz VEDRXDMADRFSJQ-UWVGGRQHSA-N 0 3 209.337 2.885 20 0 BFADHN C[C@@H](Cc1cnccn1)N[C@@H](C)c1ccsc1 ZINC000675785742 487775732 /nfs/dbraw/zinc/77/57/32/487775732.db2.gz XRYVFPQIIAYPCC-QWRGUYRKSA-N 0 3 247.367 2.820 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@@H](C)C1CCC1 ZINC000675807682 487781507 /nfs/dbraw/zinc/78/15/07/487781507.db2.gz AXGSFJDXHSBAFN-LBPRGKRZSA-N 0 3 248.370 2.985 20 0 BFADHN CCC[C@H](N[C@@H](C)CCOC)c1ccccn1 ZINC000675816568 487781540 /nfs/dbraw/zinc/78/15/40/487781540.db2.gz PCGUWQMNDDFRCZ-JSGCOSHPSA-N 0 3 236.359 2.937 20 0 BFADHN CCC[C@H](N[C@H](C)[C@@H](C)OC)c1ccccn1 ZINC000675817133 487782032 /nfs/dbraw/zinc/78/20/32/487782032.db2.gz RZWMIXMZGYKOCR-BZPMIXESSA-N 0 3 236.359 2.936 20 0 BFADHN Cc1occc1CN1CCCOC(C)(C)C1 ZINC000666313186 487784755 /nfs/dbraw/zinc/78/47/55/487784755.db2.gz VODUDRSQNOCUHF-UHFFFAOYSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@@H]2C(C)C)o1 ZINC000459680027 487788403 /nfs/dbraw/zinc/78/84/03/487788403.db2.gz UMOXIJILIDGPEJ-VXGBXAGGSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1cccc([C@@H]2CCCN2CC2(C)COC2)n1 ZINC000675897943 487790568 /nfs/dbraw/zinc/79/05/68/487790568.db2.gz YHISQFZHGPJZFY-AWEZNQCLSA-N 0 3 246.354 2.563 20 0 BFADHN CC(C)[C@H]1CC[C@@H]1N[C@@H](C)c1ccn(C)n1 ZINC000459745142 487793190 /nfs/dbraw/zinc/79/31/90/487793190.db2.gz IERKTKXFVCVDDF-LOWVWBTDSA-N 0 3 221.348 2.505 20 0 BFADHN Cc1occc1CN1CCC([C@@H]2CCOC2)CC1 ZINC000666356149 487793264 /nfs/dbraw/zinc/79/32/64/487793264.db2.gz VKUPTPLFHQSZQY-OAHLLOKOSA-N 0 3 249.354 2.837 20 0 BFADHN CCC(CC)CN[C@H](C)c1cc2n(n1)CCC2 ZINC000414130562 487795502 /nfs/dbraw/zinc/79/55/02/487795502.db2.gz WRDVNBRUUOMKAL-LLVKDONJSA-N 0 3 235.375 2.916 20 0 BFADHN COCCC1CN(Cc2cc(F)ccc2C)C1 ZINC000668472787 487798066 /nfs/dbraw/zinc/79/80/66/487798066.db2.gz ICVWJTQAIXQWFU-UHFFFAOYSA-N 0 3 237.318 2.602 20 0 BFADHN COCCC1CN(Cc2cc(C)ccc2C)C1 ZINC000668473834 487799392 /nfs/dbraw/zinc/79/93/92/487799392.db2.gz CZWBSKCPCDDFOM-UHFFFAOYSA-N 0 3 233.355 2.772 20 0 BFADHN CCCCCCN1CCO[C@@H](C(C)C)C1 ZINC000778241111 585729438 /nfs/dbraw/zinc/72/94/38/585729438.db2.gz BGQFJTAIPJYBBV-CYBMUJFWSA-N 0 3 213.365 2.924 20 0 BFADHN C[C@H]1CCN(Cc2cc3cnccc3o2)C1 ZINC000651624494 487802004 /nfs/dbraw/zinc/80/20/04/487802004.db2.gz RJADAAKFHZUIGG-JTQLQIEISA-N 0 3 216.284 2.670 20 0 BFADHN CC(C)=CCN1CCOCC2(CCCC2)C1 ZINC000681477478 487802424 /nfs/dbraw/zinc/80/24/24/487802424.db2.gz YRVVAPYSQKVSST-UHFFFAOYSA-N 0 3 223.360 2.845 20 0 BFADHN CC[C@@H](C)CC(=O)Nc1cccc(CN)c1 ZINC000164176146 487802425 /nfs/dbraw/zinc/80/24/25/487802425.db2.gz IMDASZBXYOLSSN-SNVBAGLBSA-N 0 3 220.316 2.520 20 0 BFADHN CCCCN1CCN(Cc2cccc(C)c2)CC1 ZINC000302849297 487802510 /nfs/dbraw/zinc/80/25/10/487802510.db2.gz RIYPAFOXUTYSFG-UHFFFAOYSA-N 0 3 246.398 2.913 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCC[C@@H]2C)CCC1=O ZINC000042863782 487806865 /nfs/dbraw/zinc/80/68/65/487806865.db2.gz SXMHTUZFCKGYIZ-XQQFMLRXSA-N 0 3 223.360 2.724 20 0 BFADHN Cc1cc2cc(CNCC[C@H](C)O)oc2cc1C ZINC000304736701 322942503 /nfs/dbraw/zinc/94/25/03/322942503.db2.gz WJXGXGWGSQTOJZ-LBPRGKRZSA-N 0 3 247.338 2.910 20 0 BFADHN Cc1ncc(CN[C@H](C)[C@H]2CC2(F)F)s1 ZINC000666445870 487811722 /nfs/dbraw/zinc/81/17/22/487811722.db2.gz YEIZWTWFPOJQLJ-HZGVNTEJSA-N 0 3 232.299 2.585 20 0 BFADHN C=Cn1cc(CN2CC[C@H](C3CCC3)C2)cn1 ZINC000666487704 487819273 /nfs/dbraw/zinc/81/92/73/487819273.db2.gz HYPCHYSCHMVYQP-AWEZNQCLSA-N 0 3 231.343 2.606 20 0 BFADHN C[C@H]1CC2(CCC2)CN1Cc1cnc2n1CCC2 ZINC000668064420 487824837 /nfs/dbraw/zinc/82/48/37/487824837.db2.gz LNYUIXQWWMZGOA-LBPRGKRZSA-N 0 3 245.370 2.594 20 0 BFADHN CC(C)C[C@H]1CCN(Cc2ccccn2)C1 ZINC000074994088 487825129 /nfs/dbraw/zinc/82/51/29/487825129.db2.gz IPLHTXPWLRXBCY-CYBMUJFWSA-N 0 3 218.344 2.950 20 0 BFADHN CCC1(CC)CCN(Cc2cn[nH]c2)CC1 ZINC000681630699 487826318 /nfs/dbraw/zinc/82/63/18/487826318.db2.gz ZXAIIXUCGVHTTI-UHFFFAOYSA-N 0 3 221.348 2.812 20 0 BFADHN Cc1ncc([C@@H](C)N2CCC(C)CC2)c(C)n1 ZINC000681640697 487828316 /nfs/dbraw/zinc/82/83/16/487828316.db2.gz CWOYTLHHJVNJNB-GFCCVEGCSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cc(N)cc(CNCc2ccc(C)nc2)c1 ZINC000414965105 487832534 /nfs/dbraw/zinc/83/25/34/487832534.db2.gz NBCQPVZOVIZDPI-UHFFFAOYSA-N 0 3 241.338 2.570 20 0 BFADHN COCc1csc(CN2CC(C(C)C)C2)c1 ZINC000668090108 487833768 /nfs/dbraw/zinc/83/37/68/487833768.db2.gz FVPUYCHFJKGPBA-UHFFFAOYSA-N 0 3 239.384 2.982 20 0 BFADHN CC[C@H](C)N1CCOc2ccccc2C1 ZINC000681682516 487839290 /nfs/dbraw/zinc/83/92/90/487839290.db2.gz SEWLLWAPQIPSPC-NSHDSACASA-N 0 3 205.301 2.680 20 0 BFADHN COCCNCc1cccc(C(C)C)c1 ZINC000146500732 487842295 /nfs/dbraw/zinc/84/22/95/487842295.db2.gz MYKGTVCUVIEYQM-UHFFFAOYSA-N 0 3 207.317 2.546 20 0 BFADHN CN(C[C@@H]1CC1(C)C)CC1(Br)CC1 ZINC000852773289 588701627 /nfs/dbraw/zinc/70/16/27/588701627.db2.gz XGEARVGZRUCERL-VIFPVBQESA-N 0 3 246.192 2.892 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCCC[C@@H]2C)n1C ZINC000668115588 487843521 /nfs/dbraw/zinc/84/35/21/487843521.db2.gz CALBXOPPUATUMK-FZMZJTMJSA-N 0 3 235.375 2.739 20 0 BFADHN CC(C)CCNCc1nc(Cl)cs1 ZINC000876886961 590254156 /nfs/dbraw/zinc/25/41/56/590254156.db2.gz GARAJEFIYRZQOF-UHFFFAOYSA-N 0 3 218.753 2.932 20 0 BFADHN CCCCOCCNC[C@@H]1CC1(Cl)Cl ZINC000876987120 590262176 /nfs/dbraw/zinc/26/21/76/590262176.db2.gz SNCHXCDCZWRAQQ-VIFPVBQESA-N 0 3 240.174 2.587 20 0 BFADHN Cc1cncc(CCN[C@@H](C)c2ccsc2)n1 ZINC000402749852 487849151 /nfs/dbraw/zinc/84/91/51/487849151.db2.gz YPOWMVIEIIBXML-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN COc1c(O)cccc1CNC(C)(C)C(C)C ZINC000558499504 322951190 /nfs/dbraw/zinc/95/11/90/322951190.db2.gz KHJXUVVCVWEVKJ-UHFFFAOYSA-N 0 3 237.343 2.925 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ccc(C)nc1C ZINC000681744036 487851575 /nfs/dbraw/zinc/85/15/75/487851575.db2.gz SPOFWQWERLDNNU-AAEUAGOBSA-N 0 3 236.359 2.774 20 0 BFADHN CO[C@H](C)CN(C)Cc1cc2ccc(C)cc2[nH]1 ZINC000668150847 487851895 /nfs/dbraw/zinc/85/18/95/487851895.db2.gz KFFDWNLOKKFKAK-GFCCVEGCSA-N 0 3 246.354 2.943 20 0 BFADHN Cc1nn(C)cc1CN[C@@H]1C[C@H]1CC(C)(C)C ZINC000651911555 487859738 /nfs/dbraw/zinc/85/97/38/487859738.db2.gz FZMVKKJCGPRADP-WCQYABFASA-N 0 3 235.375 2.643 20 0 BFADHN CCN(Cc1cnn2c1CCC2)CC(C)(C)C ZINC000668183610 487867295 /nfs/dbraw/zinc/86/72/95/487867295.db2.gz KADBDJQSHYILRV-UHFFFAOYSA-N 0 3 235.375 2.697 20 0 BFADHN Cc1ccc(CN2CC[C@H](c3ccco3)C2)nc1 ZINC000676560892 487872428 /nfs/dbraw/zinc/87/24/28/487872428.db2.gz DTWSXQLERMIUQM-ZDUSSCGKSA-N 0 3 242.322 2.973 20 0 BFADHN COc1cccc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)n1 ZINC000403365108 322962188 /nfs/dbraw/zinc/96/21/88/322962188.db2.gz LKYMITUXBHRRKD-UPJWGTAASA-N 0 3 246.354 2.616 20 0 BFADHN COCC1(NCc2coc3ccccc23)CC1 ZINC000676569209 487875183 /nfs/dbraw/zinc/87/51/83/487875183.db2.gz RWXJOJOEQPIFCY-UHFFFAOYSA-N 0 3 231.295 2.701 20 0 BFADHN c1ccc([C@@H]2CCN2[C@H]2CCCOC2)cc1 ZINC000676627049 487878393 /nfs/dbraw/zinc/87/83/93/487878393.db2.gz MIIPNWZTOITORL-KBPBESRZSA-N 0 3 217.312 2.612 20 0 BFADHN Cc1nc(CNCCC(C)(C)C)[nH]c1C ZINC000569502982 322968289 /nfs/dbraw/zinc/96/82/89/322968289.db2.gz MSLLFTIKHLAEJC-UHFFFAOYSA-N 0 3 209.337 2.552 20 0 BFADHN Cc1nc(CN(C)C(C2CC2)C2CC2)c(C)o1 ZINC000659861812 487880587 /nfs/dbraw/zinc/88/05/87/487880587.db2.gz IBTGFGVAMNEGMI-UHFFFAOYSA-N 0 3 234.343 2.912 20 0 BFADHN Cc1ncc(CN2C[C@@H](C(C)C)[C@H]2C(C)C)n1C ZINC000668220476 487881460 /nfs/dbraw/zinc/88/14/60/487881460.db2.gz RONAYFBNTHIQKD-LSDHHAIUSA-N 0 3 249.402 2.841 20 0 BFADHN C[C@@H](CN[C@@H](CCO)c1ccco1)C(C)(C)C ZINC000670269252 487881511 /nfs/dbraw/zinc/88/15/11/487881511.db2.gz INYLMEXSHFAEDQ-RYUDHWBXSA-N 0 3 239.359 2.975 20 0 BFADHN Cc1nc(CN[C@H]2CC[C@@H]2C)sc1C ZINC000307593264 643515472 /nfs/dbraw/zinc/51/54/72/643515472.db2.gz HANXHLZJHXGNPR-XVKPBYJWSA-N 0 3 210.346 2.648 20 0 BFADHN CCC[C@H](N[C@@H]1CCO[C@H]1C)c1ccccn1 ZINC000676639620 487885594 /nfs/dbraw/zinc/88/55/94/487885594.db2.gz PPACWRIVPDGCRF-SCRDCRAPSA-N 0 3 234.343 2.690 20 0 BFADHN CCC[C@H](N[C@H]1CCO[C@@H]1C)c1ccccn1 ZINC000676639618 487886057 /nfs/dbraw/zinc/88/60/57/487886057.db2.gz PPACWRIVPDGCRF-DYEKYZERSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H](CN1CC(C)C1)c1ccc(F)cc1 ZINC000670270630 487886327 /nfs/dbraw/zinc/88/63/27/487886327.db2.gz DEJPEDUAOTWGGC-NSHDSACASA-N 0 3 207.292 2.881 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1nccn1C ZINC000652241768 487888441 /nfs/dbraw/zinc/88/84/41/487888441.db2.gz ZYGUKNBUTUZJOO-GRYCIOLGSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1cccc(CN2CCCOC[C@H]2C)c1 ZINC000676711712 487899049 /nfs/dbraw/zinc/89/90/49/487899049.db2.gz VUGOUWRSACWASS-CYBMUJFWSA-N 0 3 219.328 2.606 20 0 BFADHN c1cncc(CNC[C@H]2CCC3(CCCC3)O2)c1 ZINC000229269638 487899946 /nfs/dbraw/zinc/89/99/46/487899946.db2.gz HKACUXSCJVAYQF-CQSZACIVSA-N 0 3 246.354 2.663 20 0 BFADHN CCOc1ccc(CN2CC=CCC2)cc1 ZINC000679322717 487902753 /nfs/dbraw/zinc/90/27/53/487902753.db2.gz MOTSGGBSFYGLLY-UHFFFAOYSA-N 0 3 217.312 2.847 20 0 BFADHN COc1cc(CNC2CC2)ccc1Cl ZINC000083346800 487905017 /nfs/dbraw/zinc/90/50/17/487905017.db2.gz ZUIOVQCSPFBZQW-UHFFFAOYSA-N 0 3 211.692 2.601 20 0 BFADHN CC1(C)CCC[C@H](NCc2ncc[nH]2)CC1 ZINC000083435890 487912714 /nfs/dbraw/zinc/91/27/14/487912714.db2.gz OHYZSFBTCJNNBF-NSHDSACASA-N 0 3 221.348 2.858 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2ncc[nH]2)CC1 ZINC000083435888 487912730 /nfs/dbraw/zinc/91/27/30/487912730.db2.gz MZXYXXFEIRPFNB-VXGBXAGGSA-N 0 3 221.348 2.858 20 0 BFADHN CC(C)(C)c1cccc(CN2CC[C@@H](O)C2)c1 ZINC000208065918 487917695 /nfs/dbraw/zinc/91/76/95/487917695.db2.gz RGXRQSOYXVLFDR-CQSZACIVSA-N 0 3 233.355 2.551 20 0 BFADHN C[C@@H](CC(F)(F)F)NCc1ccc(CO)cc1 ZINC000235178113 487926763 /nfs/dbraw/zinc/92/67/63/487926763.db2.gz NOUPGIDIKHLFGG-VIFPVBQESA-N 0 3 247.260 2.609 20 0 BFADHN CC[C@@H](c1ccccc1)N1CCc2cn[nH]c2C1 ZINC000676792433 487928333 /nfs/dbraw/zinc/92/83/33/487928333.db2.gz NUMMXKVSZMBQQZ-HNNXBMFYSA-N 0 3 241.338 2.919 20 0 BFADHN Cc1cc(CN[C@H]2COC(C)(C)C2)cc(C)c1O ZINC000396511709 487938498 /nfs/dbraw/zinc/93/84/98/487938498.db2.gz BOONLIHVJSMSSI-CYBMUJFWSA-N 0 3 249.354 2.666 20 0 BFADHN COc1cccc(OCCN2C3CCC2CC3)c1 ZINC000676882654 487941346 /nfs/dbraw/zinc/94/13/46/487941346.db2.gz HYNCDZCOQDMTOM-UHFFFAOYSA-N 0 3 247.338 2.701 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CC2(C)C)s1 ZINC000307743741 487944404 /nfs/dbraw/zinc/94/44/04/487944404.db2.gz MUHXOJSDFPHHEU-XVKPBYJWSA-N 0 3 210.346 2.901 20 0 BFADHN c1ccc2c(c1)CC[C@H]2N[C@H]1CO[C@@H](C2CC2)C1 ZINC000668567836 487948008 /nfs/dbraw/zinc/94/80/08/487948008.db2.gz LXBAFVGPQWRGDD-FVQBIDKESA-N 0 3 243.350 2.831 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN(C)Cc1cn[nH]c1 ZINC000682404675 487948232 /nfs/dbraw/zinc/94/82/32/487948232.db2.gz HYDMWNJITOTGIA-JSGCOSHPSA-N 0 3 233.359 2.834 20 0 BFADHN C[C@H]1SCCN(Cc2ccc(O)cc2)[C@@H]1C ZINC000682404683 487948304 /nfs/dbraw/zinc/94/83/04/487948304.db2.gz IBQGKAKCLDIEJW-GHMZBOCLSA-N 0 3 237.368 2.718 20 0 BFADHN C[C@H](CCc1ccco1)N[C@@H](C)c1ccn(C)n1 ZINC000459770516 487952047 /nfs/dbraw/zinc/95/20/47/487952047.db2.gz YPQKICHDCGUUTF-NEPJUHHUSA-N 0 3 247.342 2.685 20 0 BFADHN C[C@@H](N[C@@H](C)CCc1ccco1)c1ccn(C)n1 ZINC000459770521 487952084 /nfs/dbraw/zinc/95/20/84/487952084.db2.gz YPQKICHDCGUUTF-NWDGAFQWSA-N 0 3 247.342 2.685 20 0 BFADHN Cc1ccc(CN[C@H]2COC(C)(C)C2)cc1C ZINC000396546567 487953447 /nfs/dbraw/zinc/95/34/47/487953447.db2.gz QJXHLXHDVUKIPO-CQSZACIVSA-N 0 3 233.355 2.961 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@@H]2CC[C@@H]3C[C@@H]3C2)n1 ZINC000403375563 322979444 /nfs/dbraw/zinc/97/94/44/322979444.db2.gz NLXPOXCIAWKMAW-UPJWGTAASA-N 0 3 246.354 2.621 20 0 BFADHN CCO[C@@H]1C[C@@H]1NC/C(C)=C\c1ccccc1 ZINC000559817026 322979923 /nfs/dbraw/zinc/97/99/23/322979923.db2.gz OBWGOWQFRIBUAE-KOJAKUIZSA-N 0 3 231.339 2.857 20 0 BFADHN COC[C@@H](N[C@H](C)c1cc(C)ccn1)C(C)C ZINC000560525804 322989052 /nfs/dbraw/zinc/98/90/52/322989052.db2.gz YTWOPFCGJMXWMB-TZMCWYRMSA-N 0 3 236.359 2.712 20 0 BFADHN F[C@@]1(c2ccccc2)CCN(C[C@@H]2CCOC2)C1 ZINC000561487604 323002801 /nfs/dbraw/zinc/00/28/01/323002801.db2.gz UECYOXXSQWNSGX-ZFWWWQNUSA-N 0 3 249.329 2.594 20 0 BFADHN CCCN(C)Cc1c[nH]nc1C(C)(C)C ZINC000127559568 323005444 /nfs/dbraw/zinc/00/54/44/323005444.db2.gz YAZJELCGVWAKJT-UHFFFAOYSA-N 0 3 209.337 2.549 20 0 BFADHN COc1ccncc1CN(C)[C@H](C)C(C)(C)C ZINC000561843211 323007873 /nfs/dbraw/zinc/00/78/73/323007873.db2.gz GMHGAYLRCAXLPY-LLVKDONJSA-N 0 3 236.359 2.957 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1CCCNc2ccccc21 ZINC000561959363 323009291 /nfs/dbraw/zinc/00/92/91/323009291.db2.gz BQWZAEVSZVTQDX-SOUVJXGZSA-N 0 3 246.354 2.700 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](CO)C(C)C)o1 ZINC000562064381 323011274 /nfs/dbraw/zinc/01/12/74/323011274.db2.gz LBGRVMNJESLSSV-RYUDHWBXSA-N 0 3 239.359 2.757 20 0 BFADHN CCOc1ncccc1CNC(C1CC1)C1CC1 ZINC000151182930 323012780 /nfs/dbraw/zinc/01/27/80/323012780.db2.gz BJAGBQHLMGXRPS-UHFFFAOYSA-N 0 3 246.354 2.759 20 0 BFADHN CC1(C)CCCN1Cc1cc(CO)ccc1F ZINC000528374419 323013827 /nfs/dbraw/zinc/01/38/27/323013827.db2.gz XCKZSUSITMJGJE-UHFFFAOYSA-N 0 3 237.318 2.692 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCO[C@](C)(c2ccccc2)C1 ZINC000562330050 323016326 /nfs/dbraw/zinc/01/63/26/323016326.db2.gz SXYBXQJTLNAICR-YCPHGPKFSA-N 0 3 245.366 2.890 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1ccc2c(c1)COC2 ZINC000562498610 323022072 /nfs/dbraw/zinc/02/20/72/323022072.db2.gz IAQUNOFORMEFPD-NZVBXONLSA-N 0 3 247.338 2.545 20 0 BFADHN CC(C)c1ncc(CN(C)CC2(C)CC2)cn1 ZINC000562528316 323023259 /nfs/dbraw/zinc/02/32/59/323023259.db2.gz NCNUGPRGPBYNKU-UHFFFAOYSA-N 0 3 233.359 2.832 20 0 BFADHN C[C@H](NCc1ccno1)[C@@H]1CCCC[C@H]1C ZINC000562543125 323023612 /nfs/dbraw/zinc/02/36/12/323023612.db2.gz KVOXTDMOAIEJOI-NTZNESFSSA-N 0 3 222.332 2.979 20 0 BFADHN CCC(=O)CCCN(C)Cc1cccc(O)c1 ZINC000562697638 323028185 /nfs/dbraw/zinc/02/81/85/323028185.db2.gz DEXMEXUVUCAKLY-UHFFFAOYSA-N 0 3 235.327 2.583 20 0 BFADHN C[C@@]1(O)C[C@H](NCc2ccc(C(F)F)cc2)C1 ZINC000562813350 323031346 /nfs/dbraw/zinc/03/13/46/323031346.db2.gz DXBNWCAAKVVDNQ-BJHJDKERSA-N 0 3 241.281 2.627 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)[C@H]1C)c1cscn1 ZINC000306995089 323032879 /nfs/dbraw/zinc/03/28/79/323032879.db2.gz PGOLKBQMBJGNQB-DOLQZWNJSA-N 0 3 210.346 2.838 20 0 BFADHN CC(C)N(CCN(C)C)C(=O)CCC(C)(C)C ZINC000151944920 323034987 /nfs/dbraw/zinc/03/49/87/323034987.db2.gz BPCIAJZSBJWJBZ-UHFFFAOYSA-N 0 3 242.407 2.611 20 0 BFADHN Cc1ccccc1C[C@@H](C)NCc1ccno1 ZINC000569693958 323037256 /nfs/dbraw/zinc/03/72/56/323037256.db2.gz CERBBEITMRHJTQ-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN COc1c(O)cccc1CN[C@H](C)C(C)(C)C ZINC000569700483 323037572 /nfs/dbraw/zinc/03/75/72/323037572.db2.gz SXQLNLOZPDVPLP-SNVBAGLBSA-N 0 3 237.343 2.925 20 0 BFADHN Cc1c(CN(C)CCC2CCCC2)cnn1C ZINC000569792678 323040618 /nfs/dbraw/zinc/04/06/18/323040618.db2.gz IFXTYBBJWHCTBH-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1nsc(C)c1CN1CCC[C@@H]1C ZINC000569863139 323042932 /nfs/dbraw/zinc/04/29/32/323042932.db2.gz LXJLPTGHZJUCOQ-QMMMGPOBSA-N 0 3 210.346 2.744 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@H]2C)nc2ccccc12 ZINC000570142820 323050859 /nfs/dbraw/zinc/05/08/59/323050859.db2.gz QIFXHWSWSGFVFU-PWSUYJOCSA-N 0 3 241.338 2.684 20 0 BFADHN OC1(CNCc2cc(C3CC3)ccc2F)CCC1 ZINC000570272577 323054420 /nfs/dbraw/zinc/05/44/20/323054420.db2.gz CMUOMJRNTIICBX-UHFFFAOYSA-N 0 3 249.329 2.708 20 0 BFADHN c1ccc(C2=CCCN(CCC3CC3)C2)nc1 ZINC000570407941 323058810 /nfs/dbraw/zinc/05/88/10/323058810.db2.gz QDALGOHKIUCCJD-UHFFFAOYSA-N 0 3 228.339 2.971 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H]1COc2ccc(C)cc21 ZINC000570445789 323059548 /nfs/dbraw/zinc/05/95/48/323059548.db2.gz ZYERQLZHMYNFDN-YRGRVCCFSA-N 0 3 249.379 2.912 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@H]1COc2ccc(C)cc21 ZINC000570445786 323059641 /nfs/dbraw/zinc/05/96/41/323059641.db2.gz ZYERQLZHMYNFDN-MBNYWOFBSA-N 0 3 249.379 2.912 20 0 BFADHN COC(C)(C)CN(C)Cc1c(C)nsc1C ZINC000570737333 323068991 /nfs/dbraw/zinc/06/89/91/323068991.db2.gz SSNXSUJYTFEXCN-UHFFFAOYSA-N 0 3 242.388 2.617 20 0 BFADHN CCc1ccc(CCN2CCOCC2(C)C)cc1 ZINC000570753697 323069358 /nfs/dbraw/zinc/06/93/58/323069358.db2.gz PNFXWFIVYNXVHG-UHFFFAOYSA-N 0 3 247.382 2.902 20 0 BFADHN C[C@@H](O)[C@H](C)NCc1cc(C2CC2)ccc1F ZINC000562998409 323078975 /nfs/dbraw/zinc/07/89/75/323078975.db2.gz HVGSNKSPPRPUTE-VHSXEESVSA-N 0 3 237.318 2.562 20 0 BFADHN C/C=C/C[C@H](CO)NCc1cc(F)ccc1C ZINC000185809849 323082917 /nfs/dbraw/zinc/08/29/17/323082917.db2.gz WLKIGDIXVBTNHF-RDFMZFSFSA-N 0 3 237.318 2.551 20 0 BFADHN CC[C@H](N[C@@H](C)c1cscn1)C1CC1 ZINC000323867650 323083468 /nfs/dbraw/zinc/08/34/68/323083468.db2.gz KTQXHZKHYNMBME-WPRPVWTQSA-N 0 3 210.346 2.982 20 0 BFADHN CC(C)(NCC[C@H]1CCCO1)c1nccs1 ZINC000189674682 323084056 /nfs/dbraw/zinc/08/40/56/323084056.db2.gz ZFPCSBCIQFPQQS-SNVBAGLBSA-N 0 3 240.372 2.537 20 0 BFADHN CCOc1cccc(CNCC2=CCOCC2)c1 ZINC000397726355 323091178 /nfs/dbraw/zinc/09/11/78/323091178.db2.gz ZMLGLZMRQXPOIF-UHFFFAOYSA-N 0 3 247.338 2.522 20 0 BFADHN CC[C@H](N[C@H]1CCC[C@H](F)C1)c1nccn1C ZINC000563124844 323094182 /nfs/dbraw/zinc/09/41/82/323094182.db2.gz KHPZLWMURWTZMM-SRVKXCTJSA-N 0 3 239.338 2.742 20 0 BFADHN Cc1nnsc1CN1CCC[C@@H]1CC(C)C ZINC000563216315 323103124 /nfs/dbraw/zinc/10/31/24/323103124.db2.gz JJELLCCUDFNXSX-LLVKDONJSA-N 0 3 239.388 2.857 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@@H]1C[C@H]1C1CCC1 ZINC000571061404 323106294 /nfs/dbraw/zinc/10/62/94/323106294.db2.gz YKTDNQDBGKCRHD-VHRBIJSZSA-N 0 3 231.343 2.624 20 0 BFADHN CCCCNCc1cc(OC)c(OC)cc1F ZINC000563251649 323106769 /nfs/dbraw/zinc/10/67/69/323106769.db2.gz CNJJTVXMWOBPJK-UHFFFAOYSA-N 0 3 241.306 2.733 20 0 BFADHN CCC1(CN2CCC[C@H](F)C2)CCOCC1 ZINC000563273901 323107278 /nfs/dbraw/zinc/10/72/78/323107278.db2.gz YUQAPJRVAWRPBQ-LBPRGKRZSA-N 0 3 229.339 2.627 20 0 BFADHN CCC1(CN2CCC[C@@H](F)C2)CCOCC1 ZINC000563273900 323107355 /nfs/dbraw/zinc/10/73/55/323107355.db2.gz YUQAPJRVAWRPBQ-GFCCVEGCSA-N 0 3 229.339 2.627 20 0 BFADHN C[C@@H](NCC1(CCO)CCCC1)c1ccoc1 ZINC000531728760 323111615 /nfs/dbraw/zinc/11/16/15/323111615.db2.gz HGOFHUZKOIBAGQ-GFCCVEGCSA-N 0 3 237.343 2.873 20 0 BFADHN CCOc1cccc(CN2C3CCC2CC3)n1 ZINC000534331688 323117089 /nfs/dbraw/zinc/11/70/89/323117089.db2.gz SUOXTQDWIQZQOL-UHFFFAOYSA-N 0 3 232.327 2.607 20 0 BFADHN Cn1ccc(CN2CCC[C@H]2c2ccccn2)c1 ZINC000534332214 323117146 /nfs/dbraw/zinc/11/71/46/323117146.db2.gz NQLBJJKQCSAHSF-HNNXBMFYSA-N 0 3 241.338 2.757 20 0 BFADHN Cn1ccc(CN2CCC[C@@H]2c2ccccn2)c1 ZINC000534332215 323117149 /nfs/dbraw/zinc/11/71/49/323117149.db2.gz NQLBJJKQCSAHSF-OAHLLOKOSA-N 0 3 241.338 2.757 20 0 BFADHN C[C@H]1C[C@H](C)N1CCc1ccc(F)c(F)c1 ZINC000563581950 323130644 /nfs/dbraw/zinc/13/06/44/323130644.db2.gz PIKIGMXODQYJFH-UWVGGRQHSA-N 0 3 225.282 2.990 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CCC[C@@H](F)C1 ZINC000563626396 323132315 /nfs/dbraw/zinc/13/23/15/323132315.db2.gz YTKZAOTVXIJFRJ-QJPTWQEYSA-N 0 3 239.338 2.834 20 0 BFADHN CC1(C)CN(C/C=C\c2ccccc2)CC[C@@H]1O ZINC000310612893 323133507 /nfs/dbraw/zinc/13/35/07/323133507.db2.gz CRCMUKAQYFCEKM-AJHXJQRKSA-N 0 3 245.366 2.793 20 0 BFADHN Cc1cccn2cc(CN[C@@H]3CCC[C@@H]3C)nc12 ZINC000152216109 323133647 /nfs/dbraw/zinc/13/36/47/323133647.db2.gz WRYCFRUZBJEUKZ-SMDDNHRTSA-N 0 3 243.354 2.921 20 0 BFADHN CCC[C@H](C)[C@@H](CO)N[C@@H](C)c1cccnc1 ZINC000571529835 323147004 /nfs/dbraw/zinc/14/70/04/323147004.db2.gz ZFSGFKCSBGBKSK-SGMGOOAPSA-N 0 3 236.359 2.529 20 0 BFADHN CCC[C@H](C)[C@@H](CO)N[C@H](C)c1cccnc1 ZINC000571529833 323147019 /nfs/dbraw/zinc/14/70/19/323147019.db2.gz ZFSGFKCSBGBKSK-OUCADQQQSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1nnsc1CN1C[C@@H](C)CCC[C@H]1C ZINC000571527539 323147037 /nfs/dbraw/zinc/14/70/37/323147037.db2.gz YNSXNYKIMJUTOV-VHSXEESVSA-N 0 3 239.388 2.857 20 0 BFADHN Cc1cncc([C@H](C)NC[C@H]2CCC(C)(C)O2)c1 ZINC000187860557 323154680 /nfs/dbraw/zinc/15/46/80/323154680.db2.gz DSYWKVSPEHPZFW-GXTWGEPZSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1ccccc1NC(=O)[C@H](C)N(C)CC1CC1 ZINC000049238196 323159311 /nfs/dbraw/zinc/15/93/11/323159311.db2.gz ZYXQKWKUXXUGAZ-LBPRGKRZSA-N 0 3 246.354 2.664 20 0 BFADHN CCC[C@](C)(CO)NCc1cccc(F)c1F ZINC000304267022 323164221 /nfs/dbraw/zinc/16/42/21/323164221.db2.gz VODMDRWETCSCHP-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN Cc1nc(CCN2C3CCC2CC3)cs1 ZINC000535052781 323164605 /nfs/dbraw/zinc/16/46/05/323164605.db2.gz PWVIARMURAQZFV-UHFFFAOYSA-N 0 3 222.357 2.621 20 0 BFADHN CC(C)CCOCCN(C)C[C@@H]1CCCCO1 ZINC000050075100 323165431 /nfs/dbraw/zinc/16/54/31/323165431.db2.gz HPQJJXNEGCYISB-AWEZNQCLSA-N 0 3 243.391 2.550 20 0 BFADHN CN(CCn1cccn1)[C@H]1CCc2ccccc21 ZINC000049983217 323165763 /nfs/dbraw/zinc/16/57/63/323165763.db2.gz ORYSYLAFHVNZQE-HNNXBMFYSA-N 0 3 241.338 2.502 20 0 BFADHN C[C@@H](O)CCN(C)[C@@H](C)c1ccc(F)cc1 ZINC000075777585 323171536 /nfs/dbraw/zinc/17/15/36/323171536.db2.gz IHYRSAUAGOEPIG-MNOVXSKESA-N 0 3 225.307 2.589 20 0 BFADHN C[C@H]1CCC[C@@H](SCCN(C)C)C1 ZINC000308293476 323173464 /nfs/dbraw/zinc/17/34/64/323173464.db2.gz ISNOISWCCLIARI-WDEREUQCSA-N 0 3 201.379 2.860 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1noc2ccccc21 ZINC000563730886 323176367 /nfs/dbraw/zinc/17/63/67/323176367.db2.gz KBMRFBOAXZPYQK-VHSXEESVSA-N 0 3 216.284 2.573 20 0 BFADHN COC1CCC(N[C@@H](C)c2cscn2)CC1 ZINC000563872375 323181967 /nfs/dbraw/zinc/18/19/67/323181967.db2.gz FYQGAZQSJYHEAK-WHXUTIOJSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1nc(C)c(CNC[C@@H](C)c2ccncc2)o1 ZINC000529545352 323183549 /nfs/dbraw/zinc/18/35/49/323183549.db2.gz PSEVPLKLKYTWLK-SNVBAGLBSA-N 0 3 245.326 2.580 20 0 BFADHN Cc1nc(C)c(CNC[C@H](C)c2ccncc2)o1 ZINC000529545347 323183574 /nfs/dbraw/zinc/18/35/74/323183574.db2.gz PSEVPLKLKYTWLK-JTQLQIEISA-N 0 3 245.326 2.580 20 0 BFADHN CCn1nc(C)c(CN2C3CCC2CC3)c1C ZINC000535779901 323191132 /nfs/dbraw/zinc/19/11/32/323191132.db2.gz OTGRQRFYKYWHMR-UHFFFAOYSA-N 0 3 233.359 2.647 20 0 BFADHN Cc1ccc(CN(C[C@H]2CCOC2)C2CC2)o1 ZINC000051967653 323191919 /nfs/dbraw/zinc/19/19/19/323191919.db2.gz SPRRXKMMRBADAH-GFCCVEGCSA-N 0 3 235.327 2.589 20 0 BFADHN C[C@H]1CCC[C@@H](CCNCc2cnccn2)C1 ZINC000070538104 323197204 /nfs/dbraw/zinc/19/72/04/323197204.db2.gz IDGXIHHGVXHHPZ-STQMWFEESA-N 0 3 233.359 2.783 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1cc(C)sc1C ZINC000309040609 323197720 /nfs/dbraw/zinc/19/77/20/323197720.db2.gz KZPZPHGEOQVAAM-RYUDHWBXSA-N 0 3 225.357 2.632 20 0 BFADHN COc1ccc(OC)c(CN2C3CCC2CC3)c1 ZINC000536628081 323198919 /nfs/dbraw/zinc/19/89/19/323198919.db2.gz GFHKQIKMFHZYSP-UHFFFAOYSA-N 0 3 247.338 2.831 20 0 BFADHN CC[C@@H](CN1CCN(C)C[C@H]1C)c1ccccc1 ZINC000536671841 323200539 /nfs/dbraw/zinc/20/05/39/323200539.db2.gz QXIZETNMZXKAMZ-CABCVRRESA-N 0 3 246.398 2.816 20 0 BFADHN CC[C@@H](CN1CCN(C)C[C@@H]1C)c1ccccc1 ZINC000536671842 323200568 /nfs/dbraw/zinc/20/05/68/323200568.db2.gz QXIZETNMZXKAMZ-GJZGRUSLSA-N 0 3 246.398 2.816 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(SC)s1 ZINC000309042103 323205605 /nfs/dbraw/zinc/20/56/05/323205605.db2.gz LUJCOEFSYXSIIM-NXEZZACHSA-N 0 3 243.397 2.737 20 0 BFADHN CC[C@@H](C)NCc1nc(C)c2ccccc2n1 ZINC000532218571 323208870 /nfs/dbraw/zinc/20/88/70/323208870.db2.gz GUEIXNPAPOYSDX-SNVBAGLBSA-N 0 3 229.327 2.826 20 0 BFADHN COc1cccc(CNC2(C3CC3)CC2)c1OC ZINC000532374771 323256117 /nfs/dbraw/zinc/25/61/17/323256117.db2.gz YVEAPNXWNMZYJA-UHFFFAOYSA-N 0 3 247.338 2.736 20 0 BFADHN C[C@@H](NCCCc1ccccc1)c1ccncn1 ZINC000395915353 323263834 /nfs/dbraw/zinc/26/38/34/323263834.db2.gz MMSQEOSCXQUFMH-CYBMUJFWSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@H](NCCCc1ccccc1)c1ccncn1 ZINC000395915354 323263868 /nfs/dbraw/zinc/26/38/68/323263868.db2.gz MMSQEOSCXQUFMH-ZDUSSCGKSA-N 0 3 241.338 2.760 20 0 BFADHN CCC(C)(C)NC(=O)Nc1cccc(CNC)c1 ZINC000395928817 323268130 /nfs/dbraw/zinc/26/81/30/323268130.db2.gz MYPLPESHQLAYMR-UHFFFAOYSA-N 0 3 249.358 2.716 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@H]1C)c1ccncn1 ZINC000396006701 323281709 /nfs/dbraw/zinc/28/17/09/323281709.db2.gz ZPKNVPSPQJNMDP-GRYCIOLGSA-N 0 3 219.332 2.706 20 0 BFADHN CC(C)(C)CCN1CCN(CC2(F)CC2)CC1 ZINC000526970137 323309551 /nfs/dbraw/zinc/30/95/51/323309551.db2.gz QRJMRTFJOFRKQK-UHFFFAOYSA-N 0 3 242.382 2.542 20 0 BFADHN CCn1cncc1CN1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000418011102 323313075 /nfs/dbraw/zinc/31/30/75/323313075.db2.gz KHYSRHZNQWMUGN-JHJVBQTASA-N 0 3 235.375 2.769 20 0 BFADHN COC(=O)c1oc(CN(C)C(C)(C)C)cc1C ZINC000563955489 323334227 /nfs/dbraw/zinc/33/42/27/323334227.db2.gz HURCIJDMKFIKQB-UHFFFAOYSA-N 0 3 239.315 2.605 20 0 BFADHN Cc1nc(CNC(C)(C)C2CC2)cs1 ZINC000230674280 323399087 /nfs/dbraw/zinc/39/90/87/323399087.db2.gz MTFANHBFXUHOOX-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1cncs1 ZINC000309428224 323403021 /nfs/dbraw/zinc/40/30/21/323403021.db2.gz BAIRTDPHWGPODH-LMLFDSFASA-N 0 3 240.372 2.750 20 0 BFADHN Cc1ccc(CN[C@]23C[C@H]2COC3(C)C)cc1F ZINC000527766648 323684930 /nfs/dbraw/zinc/68/49/30/323684930.db2.gz PDFDXFHICVLVAX-SWLSCSKDSA-N 0 3 249.329 2.791 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@]2(C)OCC)cc1 ZINC000527783585 323690882 /nfs/dbraw/zinc/69/08/82/323690882.db2.gz MJEXGCWYDUNCFI-CABCVRRESA-N 0 3 249.354 2.742 20 0 BFADHN OCc1ccc(CNCC[C@@H]2CC=CCC2)o1 ZINC000527789066 323695115 /nfs/dbraw/zinc/69/51/15/323695115.db2.gz MKANULBVWNCYPU-GFCCVEGCSA-N 0 3 235.327 2.608 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)[C@H]1CC12CC2 ZINC000527849676 323706266 /nfs/dbraw/zinc/70/62/66/323706266.db2.gz IAGAJOBVHNAMMU-BXKDBHETSA-N 0 3 220.316 2.570 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccs1)[C@H]1CC12CC2 ZINC000527907864 323718317 /nfs/dbraw/zinc/71/83/17/323718317.db2.gz JASYTKIEAGFXGD-KXUCPTDWSA-N 0 3 222.357 2.982 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@H](C)O[C@H]1C)c1ccoc1 ZINC000527999194 323735021 /nfs/dbraw/zinc/73/50/21/323735021.db2.gz CRVJQQPQIXAERL-XGZQDADWSA-N 0 3 223.316 2.742 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C)c1cccc(O)c1 ZINC000528001999 323737183 /nfs/dbraw/zinc/73/71/83/323737183.db2.gz KRCSCYYPIXNARZ-HJHSNUOESA-N 0 3 249.354 2.855 20 0 BFADHN C[C@@H](NCC[C@H]1CC=CCC1)c1ccc(=O)[nH]n1 ZINC000527976780 323740071 /nfs/dbraw/zinc/74/00/71/323740071.db2.gz GOTHPWIXPYIBLG-NEPJUHHUSA-N 0 3 247.342 2.579 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@H](C)O[C@H]1C)c1ccccn1 ZINC000528005879 323745965 /nfs/dbraw/zinc/74/59/65/323745965.db2.gz VHASKOUNVAZVSS-JNLQPACOSA-N 0 3 234.343 2.544 20 0 BFADHN Cc1cncc([C@@H](C)N[C@@H]2C[C@]2(C)OC(C)C)c1 ZINC000528006771 323747349 /nfs/dbraw/zinc/74/73/49/323747349.db2.gz OPKWKSHERXJNAD-YUELXQCFSA-N 0 3 248.370 2.997 20 0 BFADHN CCN(CC)C[C@H](OC)c1ccc(F)cc1 ZINC000528344595 323799282 /nfs/dbraw/zinc/79/92/82/323799282.db2.gz KQWPTQMVRMGIHX-ZDUSSCGKSA-N 0 3 225.307 2.855 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@@H](C)c1cnn(C)c1 ZINC000044500438 323821191 /nfs/dbraw/zinc/82/11/91/323821191.db2.gz KLKAYXPUTNWGDA-MNOVXSKESA-N 0 3 249.383 2.763 20 0 BFADHN C[C@H](NC[C@H]1CCCS1)c1cncc(F)c1 ZINC000122930110 324064946 /nfs/dbraw/zinc/06/49/46/324064946.db2.gz UIUVLNWQVPBTCL-JOYOIKCWSA-N 0 3 240.347 2.767 20 0 BFADHN Cc1cnc(CN[C@@H](C)C2(C)CC2)s1 ZINC000122997731 324074318 /nfs/dbraw/zinc/07/43/18/324074318.db2.gz PUOJLXWBBAHDBM-VIFPVBQESA-N 0 3 210.346 2.730 20 0 BFADHN Cc1cccn2c(CN3C[C@H](C)C[C@H]3C)cnc12 ZINC000537618213 324092496 /nfs/dbraw/zinc/09/24/96/324092496.db2.gz PYYJJDGXCWLPQF-DGCLKSJQSA-N 0 3 243.354 2.873 20 0 BFADHN CCC(CC)CN1CCC[C@H]1C(=O)OC(C)C ZINC000537617374 324092775 /nfs/dbraw/zinc/09/27/75/324092775.db2.gz HHCWTGCJKKGPOW-ZDUSSCGKSA-N 0 3 241.375 2.839 20 0 BFADHN CC(=O)Nc1ccccc1CN1CC[C@@H]1C1CC1 ZINC000449424165 324140140 /nfs/dbraw/zinc/14/01/40/324140140.db2.gz CWLYWFAYPCYDRN-OAHLLOKOSA-N 0 3 244.338 2.629 20 0 BFADHN Cc1ccc(CCN2CCO[C@@H](C(C)C)C2)cc1 ZINC000481531407 324152687 /nfs/dbraw/zinc/15/26/87/324152687.db2.gz VBUTVNFKPANIGB-MRXNPFEDSA-N 0 3 247.382 2.894 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1ccncc1C ZINC000564163144 324186325 /nfs/dbraw/zinc/18/63/25/324186325.db2.gz KQNZWCAXOVGHOB-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN CN(Cc1ccc2cc[nH]c2c1)C[C@H]1CCCO1 ZINC000529520830 324322113 /nfs/dbraw/zinc/32/21/13/324322113.db2.gz NFLLTRCCJYFWMM-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN CCN(CCOC)Cc1ccc2cc[nH]c2c1 ZINC000529519835 324322803 /nfs/dbraw/zinc/32/28/03/324322803.db2.gz HLHXCVKNSLTHQN-UHFFFAOYSA-N 0 3 232.327 2.636 20 0 BFADHN Fc1ccc(CNCCc2ccsc2)nc1 ZINC000529535728 324328824 /nfs/dbraw/zinc/32/88/24/324328824.db2.gz UHLHDEWGNXMGPL-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN Fc1ccc(CNCCCc2ccccc2)nc1 ZINC000529537049 324329624 /nfs/dbraw/zinc/32/96/24/324329624.db2.gz SSJFDFFXXSGDTD-UHFFFAOYSA-N 0 3 244.313 2.943 20 0 BFADHN c1cc2ccc(CN3CC=CCC3)cc2[nH]1 ZINC000529541334 324331546 /nfs/dbraw/zinc/33/15/46/324331546.db2.gz JXRWRWPENGDFEA-UHFFFAOYSA-N 0 3 212.296 2.930 20 0 BFADHN CC(C)[C@@H](C)CNCc1ccc(F)cn1 ZINC000529546890 324336148 /nfs/dbraw/zinc/33/61/48/324336148.db2.gz HBFPJNKIXFFRMW-JTQLQIEISA-N 0 3 210.296 2.602 20 0 BFADHN Fc1ccc(CNCCC2CC(F)(F)C2)nc1 ZINC000529598916 324358819 /nfs/dbraw/zinc/35/88/19/324358819.db2.gz DLMZLSMPPTZBEH-UHFFFAOYSA-N 0 3 244.260 2.746 20 0 BFADHN Fc1ccc(CNC[C@@H]2C[C@H]2C2CCC2)nc1 ZINC000529611451 324364412 /nfs/dbraw/zinc/36/44/12/324364412.db2.gz LFWPBPPSACFZQB-FZMZJTMJSA-N 0 3 234.318 2.747 20 0 BFADHN COC1(CN2CC(Cc3ccco3)C2)CCCC1 ZINC000529649289 324371834 /nfs/dbraw/zinc/37/18/34/324371834.db2.gz QESLFTVMKCQLBI-UHFFFAOYSA-N 0 3 249.354 2.713 20 0 BFADHN CC(C)(C)CCN[C@@H]1Cc2ccccc2NC1=O ZINC000529667726 324374350 /nfs/dbraw/zinc/37/43/50/324374350.db2.gz CUDLNTWVHUPDMZ-CYBMUJFWSA-N 0 3 246.354 2.576 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCc1nccs1 ZINC000677061942 487962962 /nfs/dbraw/zinc/96/29/62/487962962.db2.gz CGWQXHTVAXVKMO-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN C[C@@H](Nc1ccc2c(c1)OCCNC2)C1CCC1 ZINC000530246254 324556494 /nfs/dbraw/zinc/55/64/94/324556494.db2.gz DGNHOBRXIAQLHB-LLVKDONJSA-N 0 3 246.354 2.769 20 0 BFADHN C[C@H](Nc1ccc2c(c1)OCCNC2)C1CCC1 ZINC000530246255 324556623 /nfs/dbraw/zinc/55/66/23/324556623.db2.gz DGNHOBRXIAQLHB-NSHDSACASA-N 0 3 246.354 2.769 20 0 BFADHN COCC1(N[C@@H]2CCc3ccc(F)cc32)CC1 ZINC000540749553 324915762 /nfs/dbraw/zinc/91/57/62/324915762.db2.gz KEINGWSLRAKBAO-CYBMUJFWSA-N 0 3 235.302 2.582 20 0 BFADHN COCC1(N[C@H]2CCc3ccc(F)cc32)CC1 ZINC000540749560 324915901 /nfs/dbraw/zinc/91/59/01/324915901.db2.gz KEINGWSLRAKBAO-ZDUSSCGKSA-N 0 3 235.302 2.582 20 0 BFADHN Cc1ccc([C@H](NCC[C@@H](C)O)C2CCC2)o1 ZINC000541744159 324976488 /nfs/dbraw/zinc/97/64/88/324976488.db2.gz YBSLKKBZMRJXCV-QMTHXVAHSA-N 0 3 237.343 2.790 20 0 BFADHN CC[C@@H](N)C(=O)N1CCCCC[C@@H]1CC(C)C ZINC000129975661 325000101 /nfs/dbraw/zinc/00/01/01/325000101.db2.gz LXHIHTBBCAZKFX-CHWSQXEVSA-N 0 3 240.391 2.541 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1C2CCC1CC2 ZINC000541998300 325002623 /nfs/dbraw/zinc/00/26/23/325002623.db2.gz MVGCCQAPAMXDBT-BPCQOVAHSA-N 0 3 239.359 2.591 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N1C2CCC1CC2 ZINC000541998302 325002758 /nfs/dbraw/zinc/00/27/58/325002758.db2.gz MVGCCQAPAMXDBT-WXRRBKDZSA-N 0 3 239.359 2.591 20 0 BFADHN C[C@@H](Cc1ccsc1)N[C@@H](C)c1nccn1C ZINC000070445288 325009638 /nfs/dbraw/zinc/00/96/38/325009638.db2.gz HASUAFUMJVYEHI-QWRGUYRKSA-N 0 3 249.383 2.763 20 0 BFADHN Cc1nn(C)cc1CN(C1CC1)C1CCCC1 ZINC000130208000 325023759 /nfs/dbraw/zinc/02/37/59/325023759.db2.gz HKKWNBGRMNNCRA-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN C[C@@H](O)CC(C)(C)CN[C@H](C)c1ccoc1 ZINC000130222516 325026266 /nfs/dbraw/zinc/02/62/66/325026266.db2.gz RLZQBKAZVINKAL-GHMZBOCLSA-N 0 3 225.332 2.727 20 0 BFADHN CSCCCCNCc1csc(C)n1 ZINC000130487061 325057148 /nfs/dbraw/zinc/05/71/48/325057148.db2.gz QYYOUZPUCAUKDF-UHFFFAOYSA-N 0 3 230.402 2.684 20 0 BFADHN CCn1nccc1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000130658419 325077939 /nfs/dbraw/zinc/07/79/39/325077939.db2.gz OQVXVLKNZLYGTK-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN CC(C)[C@](C)(O)CN[C@@H](C)c1ccccc1F ZINC000130947347 325103753 /nfs/dbraw/zinc/10/37/53/325103753.db2.gz CVHYCLMRZDWKAB-SMDDNHRTSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1ccccc1[C@@H](CO)N[C@H]1C=CCCC1 ZINC000543839406 325116467 /nfs/dbraw/zinc/11/64/67/325116467.db2.gz KHRYVEADUVPWQE-DZGCQCFKSA-N 0 3 231.339 2.727 20 0 BFADHN COCC(C)(C)NCc1cccc(Cl)c1F ZINC000131126642 325120302 /nfs/dbraw/zinc/12/03/02/325120302.db2.gz MHRJKKXODUSUFK-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1ncccc1F ZINC000131248947 325129558 /nfs/dbraw/zinc/12/95/58/325129558.db2.gz XHEDDCOALKGCBF-JQWIXIFHSA-N 0 3 222.307 2.889 20 0 BFADHN CCOCCCN[C@H](C)c1cnn(C(C)C)c1 ZINC000131587852 325167116 /nfs/dbraw/zinc/16/71/16/325167116.db2.gz ODCOITXCHJEFLV-GFCCVEGCSA-N 0 3 239.363 2.541 20 0 BFADHN CCCCN(CC)[C@@H](C)C(=O)N1CCCCC1 ZINC000131820040 325186016 /nfs/dbraw/zinc/18/60/16/325186016.db2.gz IXAHNRSJQHNGHA-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CCC(CC)N(C[C@@H](O)C(F)(F)F)C1CC1 ZINC000545894814 325243821 /nfs/dbraw/zinc/24/38/21/325243821.db2.gz FRGFDUFLQGVMQT-SNVBAGLBSA-N 0 3 239.281 2.563 20 0 BFADHN C[C@]1(CO)CCCN1Cc1cccc(Cl)c1 ZINC000546104174 325265869 /nfs/dbraw/zinc/26/58/69/325265869.db2.gz HTTWEKVVLVWUTL-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN C[C@H](NC1C[C@@H](C)O[C@H](C)C1)c1ccco1 ZINC000133353545 325289415 /nfs/dbraw/zinc/28/94/15/325289415.db2.gz WLHHNDLUJQCVPS-MXWKQRLJSA-N 0 3 223.316 2.886 20 0 BFADHN COC1([C@H](C)N[C@@H](C)c2ccoc2)CCC1 ZINC000564304720 325292148 /nfs/dbraw/zinc/29/21/48/325292148.db2.gz AANHMUYUIPCQTB-QWRGUYRKSA-N 0 3 223.316 2.888 20 0 BFADHN Cc1cccc([C@@H](C)N2CCN(C3CC3)CC2)c1 ZINC000075673764 325306319 /nfs/dbraw/zinc/30/63/19/325306319.db2.gz LPTBDMACQYIUCO-CQSZACIVSA-N 0 3 244.382 2.836 20 0 BFADHN c1cncc(CN2CCC[C@@H]3CCC[C@@H]32)c1 ZINC000075768545 325311109 /nfs/dbraw/zinc/31/11/09/325311109.db2.gz OSNUXHPOSXFHNI-KBPBESRZSA-N 0 3 216.328 2.846 20 0 BFADHN COCCCCN1CCC(C(F)(F)F)CC1 ZINC000075996195 325324113 /nfs/dbraw/zinc/32/41/13/325324113.db2.gz QOMAOTHTIKEZQN-UHFFFAOYSA-N 0 3 239.281 2.687 20 0 BFADHN Cc1nn(C(C)C)cc1CNC1(C2CC2)CC1 ZINC000547361019 325347733 /nfs/dbraw/zinc/34/77/33/325347733.db2.gz CZJJLEKFGGDUID-UHFFFAOYSA-N 0 3 233.359 2.805 20 0 BFADHN Cc1ccncc1N(C)C(=O)C(C)C(F)(F)F ZINC000547364061 325347755 /nfs/dbraw/zinc/34/77/55/325347755.db2.gz MOQIEAKQCXSYMZ-QMMMGPOBSA-N 0 3 246.232 2.551 20 0 BFADHN CC[C@H](NCCCF)c1ccc(F)cn1 ZINC000134593176 325366506 /nfs/dbraw/zinc/36/65/06/325366506.db2.gz QLHMYZMKHPGUSL-JTQLQIEISA-N 0 3 214.259 2.621 20 0 BFADHN CC[C@@](C)(CCO)NCc1ccccc1Cl ZINC000134469612 325360324 /nfs/dbraw/zinc/36/03/24/325360324.db2.gz PEFZIFLIEKKIPC-ZDUSSCGKSA-N 0 3 241.762 2.981 20 0 BFADHN CCOc1ccc(OCCN2[C@H](C)C[C@@H]2C)cc1 ZINC000547770584 325395349 /nfs/dbraw/zinc/39/53/49/325395349.db2.gz UFRYKGJWMOCVBP-BETUJISGSA-N 0 3 249.354 2.947 20 0 BFADHN c1csc(CN2C[C@H]3CCCC[C@@H]3C2)n1 ZINC000135131121 325396558 /nfs/dbraw/zinc/39/65/58/325396558.db2.gz YRDOECWSZXTSSH-GHMZBOCLSA-N 0 3 222.357 2.765 20 0 BFADHN COCc1cccc(CN2[C@H](C)C[C@H]2C)c1 ZINC000548098057 325430111 /nfs/dbraw/zinc/43/01/11/325430111.db2.gz IJVIRGVFCUFFLY-VXGBXAGGSA-N 0 3 219.328 2.816 20 0 BFADHN Cc1ccnc([C@H](C)NCCOCC2CCC2)c1 ZINC000552065202 325593216 /nfs/dbraw/zinc/59/32/16/325593216.db2.gz JTACLYDBKLQTDT-ZDUSSCGKSA-N 0 3 248.370 2.857 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cccc(C)n2)C1(C)C ZINC000085398230 325594626 /nfs/dbraw/zinc/59/46/26/325594626.db2.gz WBZHZDLRMWKTGK-KGLIPLIRSA-N 0 3 248.370 2.683 20 0 BFADHN COC[C@@H](NCc1cccc(F)c1F)C(C)C ZINC000086695279 325618931 /nfs/dbraw/zinc/61/89/31/325618931.db2.gz ZNVGXNJKDSRYQX-GFCCVEGCSA-N 0 3 243.297 2.725 20 0 BFADHN C[C@H](NCC(C1CC1)C1CC1)c1nccn1C ZINC000088455577 325658582 /nfs/dbraw/zinc/65/85/82/325658582.db2.gz WCAVQEQKDBTSQJ-JTQLQIEISA-N 0 3 233.359 2.507 20 0 BFADHN CC[C@H](F)CN1CCC(C2CCOCC2)CC1 ZINC000555927345 325773565 /nfs/dbraw/zinc/77/35/65/325773565.db2.gz JVKQNJAVTXQJLK-AWEZNQCLSA-N 0 3 243.366 2.873 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CCC[C@H]2C[C@H]21 ZINC000556221957 325785386 /nfs/dbraw/zinc/78/53/86/325785386.db2.gz DSDRNKGJNCWGGU-MISXGVKJSA-N 0 3 234.343 2.824 20 0 BFADHN CC1(CNCc2noc3ccccc32)CC1 ZINC000565433980 325911119 /nfs/dbraw/zinc/91/11/19/325911119.db2.gz ROEGJAALBGAVAY-UHFFFAOYSA-N 0 3 216.284 2.718 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)Cc1ccccc1F ZINC000565474525 325915154 /nfs/dbraw/zinc/91/51/54/325915154.db2.gz GAJQKEFBYUIHNK-LEWSCRJBSA-N 0 3 237.318 2.524 20 0 BFADHN Cc1nc(CNC[C@H]2CCCC[C@H]2C)[nH]c1C ZINC000566496772 326058511 /nfs/dbraw/zinc/05/85/11/326058511.db2.gz NKRNZLANKCONBM-ZWNOBZJWSA-N 0 3 235.375 2.942 20 0 BFADHN CCc1ccc(CNC2(COC)CCCC2)o1 ZINC000566499027 326059086 /nfs/dbraw/zinc/05/90/86/326059086.db2.gz UCIMTPKCMYJBJH-UHFFFAOYSA-N 0 3 237.343 2.891 20 0 BFADHN COc1ncccc1CN[C@@H]1CCCC12CC2 ZINC000566500463 326059556 /nfs/dbraw/zinc/05/95/56/326059556.db2.gz NAMVDTPBIXKOBF-GFCCVEGCSA-N 0 3 232.327 2.513 20 0 BFADHN CC1=CC[C@@H](N[C@@H](C)c2ccon2)CC1 ZINC000566518409 326061647 /nfs/dbraw/zinc/06/16/47/326061647.db2.gz AGLGEHNUIXSSPB-WDEREUQCSA-N 0 3 206.289 2.824 20 0 BFADHN CCn1ccc(CN[C@H](C)Cc2ccsc2)n1 ZINC000566607947 326067523 /nfs/dbraw/zinc/06/75/23/326067523.db2.gz JDJWLSUIXOMQTD-LLVKDONJSA-N 0 3 249.383 2.685 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@H]1CSC[C@H]1C)CO2 ZINC000566777854 326081440 /nfs/dbraw/zinc/08/14/40/326081440.db2.gz CZEMJTUNOGWRQM-RTXFEEFZSA-N 0 3 249.379 2.770 20 0 BFADHN CCc1cc(OC)ccc1CN[C@H]1CO[C@H](C)C1 ZINC000566796299 326084071 /nfs/dbraw/zinc/08/40/71/326084071.db2.gz WLZONCQYXGJJPX-BXUZGUMPSA-N 0 3 249.354 2.525 20 0 BFADHN C[C@@]1(CO)CCCN1Cc1sccc1Cl ZINC000566843591 326087157 /nfs/dbraw/zinc/08/71/57/326087157.db2.gz CQHHTEQNQBVQCH-NSHDSACASA-N 0 3 245.775 2.748 20 0 BFADHN Cc1nc(CNC[C@@H]2CCC[C@@H]2C)[nH]c1C ZINC000566865925 326090003 /nfs/dbraw/zinc/09/00/03/326090003.db2.gz HKHKJMKLNZTLBF-CABZTGNLSA-N 0 3 221.348 2.552 20 0 BFADHN COC1([C@H](C)NCc2cccc(C)n2)CCCC1 ZINC000566908779 326092608 /nfs/dbraw/zinc/09/26/08/326092608.db2.gz MPOSINRYDZWYGZ-ZDUSSCGKSA-N 0 3 248.370 2.827 20 0 BFADHN COc1ccc(CCSCCN(C)C)cc1 ZINC000154127125 326132116 /nfs/dbraw/zinc/13/21/16/326132116.db2.gz YWUOZWAFIXYOHR-UHFFFAOYSA-N 0 3 239.384 2.533 20 0 BFADHN CCn1ccnc1CNC[C@H]1CCC[C@H]1C1CC1 ZINC000567220398 326143797 /nfs/dbraw/zinc/14/37/97/326143797.db2.gz PJUQLYRYBPRHKE-KGLIPLIRSA-N 0 3 247.386 2.819 20 0 BFADHN CCCN(C)Cc1cnc2c(cnn2C(C)C)c1 ZINC000155023290 326179661 /nfs/dbraw/zinc/17/96/61/326179661.db2.gz NHNADSCCIPMERX-UHFFFAOYSA-N 0 3 246.358 2.854 20 0 BFADHN CCC[C@H](N)C(=O)N1CCC[C@@H]1CC(C)(C)C ZINC000156345941 326228459 /nfs/dbraw/zinc/22/84/59/326228459.db2.gz VXKVRRZBQPONFZ-NEPJUHHUSA-N 0 3 240.391 2.541 20 0 BFADHN CCC[C@@H](N)C(=O)N1CCC[C@@H]1CC(C)(C)C ZINC000156346062 326228560 /nfs/dbraw/zinc/22/85/60/326228560.db2.gz VXKVRRZBQPONFZ-VXGBXAGGSA-N 0 3 240.391 2.541 20 0 BFADHN CCN(CC)Cc1cc(OC)cc2c1O[C@H](C)C2 ZINC000156906603 326248282 /nfs/dbraw/zinc/24/82/82/326248282.db2.gz DUCMVPTZCWVHJO-LLVKDONJSA-N 0 3 249.354 2.860 20 0 BFADHN CCn1cc(CN([C@H](C)C(C)C)C2CC2)cn1 ZINC000156978092 326251136 /nfs/dbraw/zinc/25/11/36/326251136.db2.gz QTJQSBGDPOEAOB-GFCCVEGCSA-N 0 3 235.375 2.912 20 0 BFADHN Cc1cccn2c(CN3CCC[C@@H](C)C3)cnc12 ZINC000157698175 326290832 /nfs/dbraw/zinc/29/08/32/326290832.db2.gz WANSQJFBLPSKMM-GFCCVEGCSA-N 0 3 243.354 2.875 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cnc2ccccn12 ZINC000157812166 326296634 /nfs/dbraw/zinc/29/66/34/326296634.db2.gz HGPMWUBDRIFSQK-NSHDSACASA-N 0 3 229.327 2.565 20 0 BFADHN CSC1(CN2CCC[C@@H](F)C2)CCC1 ZINC000568054596 326301751 /nfs/dbraw/zinc/30/17/51/326301751.db2.gz WZTNVQPDXNPRDG-SNVBAGLBSA-N 0 3 217.353 2.706 20 0 BFADHN C[C@H]1CN(Cc2ccccc2)CC2(CCC2)O1 ZINC000568084957 326306278 /nfs/dbraw/zinc/30/62/78/326306278.db2.gz ZQNUIXPPZLETLV-ZDUSSCGKSA-N 0 3 231.339 2.830 20 0 BFADHN CCCCN(C)Cc1cccc2c1OCO2 ZINC000158154338 326310398 /nfs/dbraw/zinc/31/03/98/326310398.db2.gz FXFSOPUWNLXRKP-UHFFFAOYSA-N 0 3 221.300 2.647 20 0 BFADHN Cc1ncncc1[C@H](C)NCC1=CCCCC1 ZINC000568240143 326332946 /nfs/dbraw/zinc/33/29/46/326332946.db2.gz ZLAFBZHVJKFTCX-NSHDSACASA-N 0 3 231.343 2.936 20 0 BFADHN CCc1nc(CN2CCC3(CCCC3)CC2)n[nH]1 ZINC000158632222 326334394 /nfs/dbraw/zinc/33/43/94/326334394.db2.gz QHUSGTMSIDPQBB-UHFFFAOYSA-N 0 3 248.374 2.523 20 0 BFADHN CCCn1nc(C)c(CN(C)C(C)C)c1C ZINC000158702161 326338813 /nfs/dbraw/zinc/33/88/13/326338813.db2.gz NSOYNUHVIJQWPZ-UHFFFAOYSA-N 0 3 223.364 2.750 20 0 BFADHN CCC[C@H](NC[C@@H]1CCCN1C)c1ccccn1 ZINC000158804152 326343491 /nfs/dbraw/zinc/34/34/91/326343491.db2.gz DQLBCSWKUCSONQ-KBPBESRZSA-N 0 3 247.386 2.607 20 0 BFADHN CC1CCN(Cc2c[nH]nc2C(C)(C)C)CC1 ZINC000158861712 326344980 /nfs/dbraw/zinc/34/49/80/326344980.db2.gz HZJIXCUULOJPLB-UHFFFAOYSA-N 0 3 235.375 2.939 20 0 BFADHN CCC[C@H](NC[C@](C)(O)CC)c1ccccn1 ZINC000159048623 326348423 /nfs/dbraw/zinc/34/84/23/326348423.db2.gz URXLHSJODDADTF-GXTWGEPZSA-N 0 3 236.359 2.673 20 0 BFADHN CCCCN(CCO)Cc1cc(C)ccc1F ZINC000159083267 326349287 /nfs/dbraw/zinc/34/92/87/326349287.db2.gz OQWHSJJMCQTCGQ-UHFFFAOYSA-N 0 3 239.334 2.729 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CCC[C@@H](F)C1 ZINC000568627415 326368436 /nfs/dbraw/zinc/36/84/36/326368436.db2.gz XMTOAWGEWPDOJI-TUAOUCFPSA-N 0 3 237.322 2.716 20 0 BFADHN CCc1cccc(CNCc2cccnc2)c1 ZINC000568871388 326380496 /nfs/dbraw/zinc/38/04/96/326380496.db2.gz KWNASIYIRUJMNF-UHFFFAOYSA-N 0 3 226.323 2.934 20 0 BFADHN CCC(C)(C)CCN(C)Cc1cnn(C)c1C ZINC000568954259 326383304 /nfs/dbraw/zinc/38/33/04/326383304.db2.gz FHSCZKBYANKBQA-UHFFFAOYSA-N 0 3 237.391 2.987 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H]1CCCc2c(O)cccc21 ZINC000569050377 326387938 /nfs/dbraw/zinc/38/79/38/326387938.db2.gz MQKDLEQPPBHQAS-UMVBOHGHSA-N 0 3 247.338 2.537 20 0 BFADHN C[C@H](NC1CCCC1)c1cn2ccccc2n1 ZINC000569120091 326391505 /nfs/dbraw/zinc/39/15/05/326391505.db2.gz ZHLGLXDIJZWCIC-NSHDSACASA-N 0 3 229.327 2.928 20 0 BFADHN Cc1cc(F)ccc1CN1C[C@@H]2C[C@H]1CS2 ZINC000569315857 326399856 /nfs/dbraw/zinc/39/98/56/326399856.db2.gz BWSYQUOBKHIZKG-STQMWFEESA-N 0 3 237.343 2.824 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCNc3ccccc32)CO1 ZINC000572642017 326402274 /nfs/dbraw/zinc/40/22/74/326402274.db2.gz IXTCBRKENCMEDA-TYNCELHUSA-N 0 3 246.354 2.700 20 0 BFADHN CC[C@@H](NCc1cnc(C)n1C)c1ccccc1 ZINC000572857052 326415480 /nfs/dbraw/zinc/41/54/80/326415480.db2.gz LWWKTLLFPPHOFL-OAHLLOKOSA-N 0 3 243.354 2.969 20 0 BFADHN CCCC[C@H](CCC)NCc1ccn(C)n1 ZINC000165246873 326420169 /nfs/dbraw/zinc/42/01/69/326420169.db2.gz CAFPFAVQCALIBT-LBPRGKRZSA-N 0 3 223.364 2.869 20 0 BFADHN Cc1cccn2cc(CN(C)[C@@H](C)C3CC3)nc12 ZINC000073779502 487977972 /nfs/dbraw/zinc/97/79/72/487977972.db2.gz IIPJSJOOXOOBLB-LBPRGKRZSA-N 0 3 243.354 2.873 20 0 BFADHN CCc1cccc(CN2CCCOC[C@@H]2C)c1 ZINC000682532499 487978825 /nfs/dbraw/zinc/97/88/25/487978825.db2.gz XRTNJELTMJJNEN-ZDUSSCGKSA-N 0 3 233.355 2.860 20 0 BFADHN CC1(C)SC[C@H]1NCc1n[nH]c2ccccc21 ZINC000669999358 487986952 /nfs/dbraw/zinc/98/69/52/487986952.db2.gz PRDNDRUWLNZTOP-GFCCVEGCSA-N 0 3 247.367 2.547 20 0 BFADHN CC1(C)SC[C@H]1NCc1[nH]nc2ccccc21 ZINC000669999358 487986956 /nfs/dbraw/zinc/98/69/56/487986956.db2.gz PRDNDRUWLNZTOP-GFCCVEGCSA-N 0 3 247.367 2.547 20 0 BFADHN FCCC[C@H]1CCC[C@H]1NCc1cocn1 ZINC000309575597 487987153 /nfs/dbraw/zinc/98/71/53/487987153.db2.gz ORBCANATVHURKE-ZYHUDNBSSA-N 0 3 226.295 2.683 20 0 BFADHN OCCCCCN1CC[C@H]1c1cccc(F)c1 ZINC000674010112 487986991 /nfs/dbraw/zinc/98/69/91/487986991.db2.gz PPMOAEREFZJTRQ-AWEZNQCLSA-N 0 3 237.318 2.735 20 0 BFADHN CCN1CCN(CCCC2CCCC2)C[C@@H]1C ZINC000677191378 487994414 /nfs/dbraw/zinc/99/44/14/487994414.db2.gz BDVVNOLHEYLZHX-AWEZNQCLSA-N 0 3 238.419 2.983 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)C2CCC2)nn1C ZINC000309609867 487995358 /nfs/dbraw/zinc/99/53/58/487995358.db2.gz ZRRPTUZOWJKRSP-MNOVXSKESA-N 0 3 221.348 2.568 20 0 BFADHN CCN1CCN(CCCc2ccccc2)C[C@H]1C ZINC000677191936 487997156 /nfs/dbraw/zinc/99/71/56/487997156.db2.gz MLOXVJMISPAZLF-OAHLLOKOSA-N 0 3 246.398 2.645 20 0 BFADHN CCCC[C@@H](CC)Cn1cc([C@@H](N)CC)nn1 ZINC000229934955 487999614 /nfs/dbraw/zinc/99/96/14/487999614.db2.gz SJNWYHMHGZMUNR-NEPJUHHUSA-N 0 3 238.379 2.904 20 0 BFADHN OC[C@@H](CC1CC1)NCc1coc2ccccc12 ZINC000677245464 488005917 /nfs/dbraw/zinc/00/59/17/488005917.db2.gz PKWUQBYLRGGGBF-CYBMUJFWSA-N 0 3 245.322 2.683 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H]2C(C)(C)C)ncn1 ZINC000672605537 488009024 /nfs/dbraw/zinc/00/90/24/488009024.db2.gz NHNJNDOFMCWYKJ-QWHCGFSZSA-N 0 3 233.359 2.699 20 0 BFADHN C[C@@H](NCc1cccc(F)c1)[C@@H]1CC1(F)F ZINC000666445097 488011880 /nfs/dbraw/zinc/01/18/80/488011880.db2.gz PCGBKTSBKSSKGI-KCJUWKMLSA-N 0 3 229.245 2.959 20 0 BFADHN Cc1ccoc1CN[C@H](C)[C@@H]1CC1(F)F ZINC000666445016 488012157 /nfs/dbraw/zinc/01/21/57/488012157.db2.gz NXUURJBXTZCTIA-BDAKNGLRSA-N 0 3 215.243 2.721 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@H](OC(C)(C)C)C2)nc1 ZINC000655845199 488013925 /nfs/dbraw/zinc/01/39/25/488013925.db2.gz TVYRWYCJNNGNJI-HDJSIYSDSA-N 0 3 248.370 2.826 20 0 BFADHN CCCN(CC)Cc1ccnn1CC1CC1 ZINC000667463480 488014604 /nfs/dbraw/zinc/01/46/04/488014604.db2.gz PBLLLDKKGLLJTJ-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCN(Cc1cnc(C)n1C)C1CCCCC1 ZINC000667469982 488016049 /nfs/dbraw/zinc/01/60/49/488016049.db2.gz LSJMEUSSILMKFD-UHFFFAOYSA-N 0 3 235.375 2.883 20 0 BFADHN C[C@@H]1CCCN(Cc2ccnn2CC2CC2)C1 ZINC000667471571 488017657 /nfs/dbraw/zinc/01/76/57/488017657.db2.gz CTDWXOGYEJTGGE-GFCCVEGCSA-N 0 3 233.359 2.525 20 0 BFADHN CCCn1nccc1CN1C[C@H](C)C[C@@H](C)C1 ZINC000667472322 488017843 /nfs/dbraw/zinc/01/78/43/488017843.db2.gz COCAJZRWJIZRSC-CHWSQXEVSA-N 0 3 235.375 2.771 20 0 BFADHN CC(C)N(C)Cc1c(C2CCC2)cnn1C ZINC000667472997 488019249 /nfs/dbraw/zinc/01/92/49/488019249.db2.gz ZFOVOVTWZZUZKV-UHFFFAOYSA-N 0 3 221.348 2.528 20 0 BFADHN CCc1ccc(CN2CC[C@@H](C)O[C@@H](C)C2)o1 ZINC000668625918 488025641 /nfs/dbraw/zinc/02/56/41/488025641.db2.gz GUWJKJUKBISIFQ-NEPJUHHUSA-N 0 3 237.343 2.841 20 0 BFADHN CCc1cccc2cc(CN3CC[C@@H](O)C3)oc21 ZINC000667495391 488027297 /nfs/dbraw/zinc/02/72/97/488027297.db2.gz HHLFTIKJRWFFNB-CYBMUJFWSA-N 0 3 245.322 2.562 20 0 BFADHN C[C@@H](NCc1ccon1)C1CCC(F)(F)CC1 ZINC000682732085 488027606 /nfs/dbraw/zinc/02/76/06/488027606.db2.gz DOIRUZIBGVCLNN-SECBINFHSA-N 0 3 244.285 2.978 20 0 BFADHN c1nn2c(c1CN(C1CC1)C1CCCC1)CCC2 ZINC000667498290 488030343 /nfs/dbraw/zinc/03/03/43/488030343.db2.gz BZXYJGVBHNIOBF-UHFFFAOYSA-N 0 3 245.370 2.736 20 0 BFADHN Cc1ncc(CN(CCC(C)C)C2CC2)n1C ZINC000667497871 488030603 /nfs/dbraw/zinc/03/06/03/488030603.db2.gz QIZVWGKATVZINO-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN CC(C)CCN(Cc1cnn2c1CCC2)C1CC1 ZINC000667497406 488030972 /nfs/dbraw/zinc/03/09/72/488030972.db2.gz AEAWLDSWRWVFKW-UHFFFAOYSA-N 0 3 247.386 2.840 20 0 BFADHN CN(Cc1cc2ccncc2s1)C1CC1 ZINC000667504634 488032175 /nfs/dbraw/zinc/03/21/75/488032175.db2.gz ZOQHPGFMIGHPMC-UHFFFAOYSA-N 0 3 218.325 2.891 20 0 BFADHN CCC[C@H](C)N(C)Cc1ccnn1CC1CC1 ZINC000667506644 488033359 /nfs/dbraw/zinc/03/33/59/488033359.db2.gz KQTNGFPCUQVXJR-LBPRGKRZSA-N 0 3 235.375 2.914 20 0 BFADHN CCOc1ccc(CN(C)C2CC2)c(C)c1 ZINC000667504388 488033366 /nfs/dbraw/zinc/03/33/66/488033366.db2.gz QLIUELHWDNLOIL-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN CC[C@H](C)N(C)Cc1c(C2CC2)cnn1C ZINC000667505044 488033421 /nfs/dbraw/zinc/03/34/21/488033421.db2.gz CIIWETKPMHZGTD-JTQLQIEISA-N 0 3 221.348 2.528 20 0 BFADHN CCC[C@@H](C)N[C@@H](C)c1cc2n(n1)CCC2 ZINC000666079410 488033608 /nfs/dbraw/zinc/03/36/08/488033608.db2.gz JAIREFMUPBDQPO-MNOVXSKESA-N 0 3 221.348 2.669 20 0 BFADHN CCCN(Cc1cnc2n1CCC2)CC(C)C ZINC000667513623 488034689 /nfs/dbraw/zinc/03/46/89/488034689.db2.gz GKALREDBHMPHOD-UHFFFAOYSA-N 0 3 235.375 2.697 20 0 BFADHN COc1cc(C)nc(CN(C)CCC(C)C)c1 ZINC000677437537 488035971 /nfs/dbraw/zinc/03/59/71/488035971.db2.gz XUCVFFDCHGRJAA-UHFFFAOYSA-N 0 3 236.359 2.877 20 0 BFADHN C[C@@H]1CCN(C[C@H](O)C2CCCCC2)C[C@@H]1F ZINC000682772895 488037482 /nfs/dbraw/zinc/03/74/82/488037482.db2.gz UDHCPRIWCBHJPZ-XBFCOCLRSA-N 0 3 243.366 2.608 20 0 BFADHN CC(C)N(Cc1ccnn1CC1CC1)CC1CC1 ZINC000667524017 488038247 /nfs/dbraw/zinc/03/82/47/488038247.db2.gz XOJIKOFCBDUAPQ-UHFFFAOYSA-N 0 3 247.386 2.914 20 0 BFADHN CCCn1nccc1CN(C)C[C@H](C)CC ZINC000667530407 488038823 /nfs/dbraw/zinc/03/88/23/488038823.db2.gz YHWFZXRHHOPQDM-GFCCVEGCSA-N 0 3 223.364 2.771 20 0 BFADHN CC[C@@H](C)CN(C)Cc1c(C2CC2)cnn1C ZINC000667529984 488039160 /nfs/dbraw/zinc/03/91/60/488039160.db2.gz JRFULRVNKQMDQL-LLVKDONJSA-N 0 3 235.375 2.775 20 0 BFADHN CCn1ccc(CN(C)CCc2ccncc2)c1 ZINC000682779328 488039115 /nfs/dbraw/zinc/03/91/15/488039115.db2.gz YZFUXAILVPYOMM-UHFFFAOYSA-N 0 3 243.354 2.578 20 0 BFADHN CCCn1nccc1CN1CCC[C@H](CC)C1 ZINC000667531989 488039305 /nfs/dbraw/zinc/03/93/05/488039305.db2.gz YIDVUXKHXLGKCD-ZDUSSCGKSA-N 0 3 235.375 2.915 20 0 BFADHN CC[C@H]1CCCN1Cc1ccnn1CC1CC1 ZINC000667544351 488041341 /nfs/dbraw/zinc/04/13/41/488041341.db2.gz DYDSAVHZPGBVBX-ZDUSSCGKSA-N 0 3 233.359 2.668 20 0 BFADHN Cc1ccc(CCN2CC3(CC(F)C3)C2)cc1 ZINC000677474668 488044739 /nfs/dbraw/zinc/04/47/39/488044739.db2.gz ZSQBCEDXAJJZRN-UHFFFAOYSA-N 0 3 233.330 2.971 20 0 BFADHN CCN(Cc1cnc(C)n1C)[C@@H](C)C(C)C ZINC000667561044 488046660 /nfs/dbraw/zinc/04/66/60/488046660.db2.gz JMEHLGZDUBHJAQ-NSHDSACASA-N 0 3 223.364 2.595 20 0 BFADHN CCCn1nccc1CN1CCC[C@@H](C)[C@@H]1C ZINC000667568186 488048725 /nfs/dbraw/zinc/04/87/25/488048725.db2.gz WJOVCTHDOOXAOD-OLZOCXBDSA-N 0 3 235.375 2.914 20 0 BFADHN CC(C)OC(=O)CC[C@@H](C)N1CC2(CCC2)C1 ZINC001172748985 974922730 /nfs/dbraw/zinc/92/27/30/974922730.db2.gz ALDPSEPOXYOTIC-GFCCVEGCSA-N 0 3 239.359 2.593 20 0 BFADHN C[C@@H](CN[C@H](C)c1cc2n(n1)CCC2)C1CC1 ZINC000666085355 488064909 /nfs/dbraw/zinc/06/49/09/488064909.db2.gz HFHORIKWLXHNSZ-WDEREUQCSA-N 0 3 233.359 2.526 20 0 BFADHN CCN(C)Cc1cn(-c2ccccc2F)nc1C ZINC000656247813 488072073 /nfs/dbraw/zinc/07/20/73/488072073.db2.gz DFCJRHQXUYKHFK-UHFFFAOYSA-N 0 3 247.317 2.772 20 0 BFADHN Cc1nc(C)c(CN2CCCC2(C)C)o1 ZINC000685562570 488074476 /nfs/dbraw/zinc/07/44/76/488074476.db2.gz UTBSVQDMSLCVBF-UHFFFAOYSA-N 0 3 208.305 2.666 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2c(C3CCC3)cnn2C)C1 ZINC000667664485 488079315 /nfs/dbraw/zinc/07/93/15/488079315.db2.gz MGQZDDMNNUQBIO-NEPJUHHUSA-N 0 3 247.386 2.918 20 0 BFADHN CC1(C)CCCN(Cc2cnc3n2CCC3)CC1 ZINC000667668206 488082468 /nfs/dbraw/zinc/08/24/68/488082468.db2.gz GADVEKZLFRELDF-UHFFFAOYSA-N 0 3 247.386 2.841 20 0 BFADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H]2C[C@@H]2C)c1 ZINC000677600017 488082567 /nfs/dbraw/zinc/08/25/67/488082567.db2.gz RQKDXCIMVOCSKY-SMDDNHRTSA-N 0 3 246.354 2.651 20 0 BFADHN Cc1ccnc(CN(C)C2CCCC2)c1 ZINC000683003689 488085500 /nfs/dbraw/zinc/08/55/00/488085500.db2.gz RQWNGFQJPNPAMY-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cnc(C)n1C ZINC000667688013 488086332 /nfs/dbraw/zinc/08/63/32/488086332.db2.gz CUOKGMSUASGWNE-LLVKDONJSA-N 0 3 223.364 2.597 20 0 BFADHN COCCN(Cc1cc(C)ccn1)C1CCCC1 ZINC000683005321 488086519 /nfs/dbraw/zinc/08/65/19/488086519.db2.gz ZYECPKHWRYCDCF-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN C[C@@H]1CCN(Cc2c(C3CCC3)cnn2C)[C@H]1C ZINC000667693400 488088899 /nfs/dbraw/zinc/08/88/99/488088899.db2.gz KNCRAKHNPSJHEO-NEPJUHHUSA-N 0 3 247.386 2.918 20 0 BFADHN Cc1ccnc(CN2CC[C@H](c3ccco3)C2)c1 ZINC000683009292 488089394 /nfs/dbraw/zinc/08/93/94/488089394.db2.gz IBOMAWTXXBIGGG-ZDUSSCGKSA-N 0 3 242.322 2.973 20 0 BFADHN C[C@H]1CCN(Cc2cnc3n2CCC3)C[C@H](C)C1 ZINC000667694838 488090511 /nfs/dbraw/zinc/09/05/11/488090511.db2.gz AGRAVXBSQOMCBE-QWHCGFSZSA-N 0 3 247.386 2.697 20 0 BFADHN C[C@@H](N(C)Cc1c(C2CC2)cnn1C)C1(C)CC1 ZINC000667695868 488090549 /nfs/dbraw/zinc/09/05/49/488090549.db2.gz FEGGZNFMKHWKLA-LLVKDONJSA-N 0 3 247.386 2.918 20 0 BFADHN CCCn1nccc1CN(C)[C@@H](C)C1(C)CC1 ZINC000667695930 488090814 /nfs/dbraw/zinc/09/08/14/488090814.db2.gz GYJOJZVSEIMIPQ-LBPRGKRZSA-N 0 3 235.375 2.914 20 0 BFADHN CCCn1nccc1CN1CC[C@@H](CC)C1 ZINC000667697487 488091979 /nfs/dbraw/zinc/09/19/79/488091979.db2.gz BRPJLVRCHVOPRO-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2ccnn2CC2CC2)C1 ZINC000667696745 488091994 /nfs/dbraw/zinc/09/19/94/488091994.db2.gz GAODWUUDPUCTTF-CQSZACIVSA-N 0 3 247.386 2.771 20 0 BFADHN Cc1ccnc(CN(C)CCC2CCOCC2)c1 ZINC000683011989 488092406 /nfs/dbraw/zinc/09/24/06/488092406.db2.gz QBRALUCLRWULKQ-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1ccnn1CC1CC1 ZINC000667698894 488092904 /nfs/dbraw/zinc/09/29/04/488092904.db2.gz PSTVLOZGRXYRQI-VXGBXAGGSA-N 0 3 233.359 2.666 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1NCc1ccn(C(C)C)n1 ZINC000521921597 488092964 /nfs/dbraw/zinc/09/29/64/488092964.db2.gz JRGSKLSMGISBFG-KBPBESRZSA-N 0 3 235.375 2.988 20 0 BFADHN CC/C=C\CCN1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000348203973 488096675 /nfs/dbraw/zinc/09/66/75/488096675.db2.gz CZAGKNLFGHFGFJ-UISIKIEVSA-N 0 3 223.360 2.842 20 0 BFADHN Cc1ccc2c(c1)CCN([C@@H]1CCCOC1)C2 ZINC000683028431 488100362 /nfs/dbraw/zinc/10/03/62/488100362.db2.gz VKWPLWDPWVGAJI-OAHLLOKOSA-N 0 3 231.339 2.532 20 0 BFADHN C[C@@H](c1ccncc1)N1CCC2(CCOC2)CC1 ZINC000677720893 488101189 /nfs/dbraw/zinc/10/11/89/488101189.db2.gz RXPHMHLXWHOXIB-ZDUSSCGKSA-N 0 3 246.354 2.645 20 0 BFADHN CCCn1nc(C)c(CN2CC[C@@H]3C[C@@H]3C2)c1C ZINC000668677704 488102088 /nfs/dbraw/zinc/10/20/88/488102088.db2.gz VNKZWCCTAHAPGM-ZIAGYGMSSA-N 0 3 247.386 2.752 20 0 BFADHN C[C@H]1CC[C@H](C)N1C(=O)Nc1cccc(CN)c1 ZINC000667725312 488102512 /nfs/dbraw/zinc/10/25/12/488102512.db2.gz CNVWDZMSAROPIP-QWRGUYRKSA-N 0 3 247.342 2.550 20 0 BFADHN COCc1csc(CN2CC[C@H]3C[C@H]3C2)c1 ZINC000668678296 488103443 /nfs/dbraw/zinc/10/34/43/488103443.db2.gz GXBHVDGFSLDDGU-RYUDHWBXSA-N 0 3 237.368 2.736 20 0 BFADHN COCc1csc(CN2CC[C@@H]3C[C@@H]3C2)c1 ZINC000668678297 488103977 /nfs/dbraw/zinc/10/39/77/488103977.db2.gz GXBHVDGFSLDDGU-VXGBXAGGSA-N 0 3 237.368 2.736 20 0 BFADHN CCn1nccc1CN1CCC[C@H](C2CC2)C1 ZINC000685475913 487536726 /nfs/dbraw/zinc/53/67/26/487536726.db2.gz AXWGSFALPHCBAA-ZDUSSCGKSA-N 0 3 233.359 2.525 20 0 BFADHN CCCn1cc(CN2CCC[C@H](C3CC3)C2)cn1 ZINC000685475906 487537024 /nfs/dbraw/zinc/53/70/24/487537024.db2.gz ASMQDXIJVWITDK-HNNXBMFYSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1noc([C@H](C)N2CC[C@H](C(C)(C)C)C2)n1 ZINC000680110783 487537562 /nfs/dbraw/zinc/53/75/62/487537562.db2.gz LWEOWTWHAHCISG-ONGXEEELSA-N 0 3 237.347 2.807 20 0 BFADHN C[C@@H](c1ccccn1)N1CCCOC(C)(C)C1 ZINC000674250463 487537276 /nfs/dbraw/zinc/53/72/76/487537276.db2.gz ABOUADLINUVFEM-LBPRGKRZSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C2CC2)C1)c1cccs1 ZINC000667764867 488112735 /nfs/dbraw/zinc/11/27/35/488112735.db2.gz KGMFSOIGQVSNPW-WCQGTBRESA-N 0 3 237.368 2.966 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@H](C3CC3)C2)cc1 ZINC000667765362 488113615 /nfs/dbraw/zinc/11/36/15/488113615.db2.gz VZJDIHFYBYELJJ-CABCVRRESA-N 0 3 231.339 2.652 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000667769996 488115532 /nfs/dbraw/zinc/11/55/32/488115532.db2.gz LXBAFVGPQWRGDD-CWRNSKLLSA-N 0 3 243.350 2.831 20 0 BFADHN CCC[C@@H](CC)NCc1cn(C2CCC2)nn1 ZINC000657349225 488115716 /nfs/dbraw/zinc/11/57/16/488115716.db2.gz OIKFEJQJZJGQGN-LLVKDONJSA-N 0 3 236.363 2.671 20 0 BFADHN C[C@@H](c1ccncc1)N1CCS[C@@H](C)CC1 ZINC000683145527 488120451 /nfs/dbraw/zinc/12/04/51/488120451.db2.gz PFIMMOYQPGFBPP-RYUDHWBXSA-N 0 3 236.384 2.970 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@H](C3CC3)C2)c(C)c1 ZINC000667792911 488122363 /nfs/dbraw/zinc/12/23/63/488122363.db2.gz MUCSTRWFLMSVCD-HOTGVXAUSA-N 0 3 245.366 2.961 20 0 BFADHN c1cn2c(n1)[C@@H](NC[C@@H]1CC=CCC1)CCC2 ZINC000655699618 487537467 /nfs/dbraw/zinc/53/74/67/487537467.db2.gz FHRUFEDBTGNEDU-OLZOCXBDSA-N 0 3 231.343 2.664 20 0 BFADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1cn[nH]c1 ZINC000677811424 488128543 /nfs/dbraw/zinc/12/85/43/488128543.db2.gz NLEOZWMRVPVCSZ-XHDPSFHLSA-N 0 3 241.338 2.823 20 0 BFADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1cn[nH]c1 ZINC000677811422 488128623 /nfs/dbraw/zinc/12/86/23/488128623.db2.gz NLEOZWMRVPVCSZ-IAQYHMDHSA-N 0 3 241.338 2.823 20 0 BFADHN C/C(=C/c1ccccc1)CNC1([C@H](C)O)CC1 ZINC000668697977 488128713 /nfs/dbraw/zinc/12/87/13/488128713.db2.gz QLHPCRNGGVNARY-UKVQZPPCSA-N 0 3 231.339 2.593 20 0 BFADHN Cc1cc(Cl)cc(CNC2([C@H](C)O)CC2)c1 ZINC000668699548 488131269 /nfs/dbraw/zinc/13/12/69/488131269.db2.gz FCGABEPVPQVZMH-JTQLQIEISA-N 0 3 239.746 2.651 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC[C@H]2C)ncn1 ZINC000680133443 487538887 /nfs/dbraw/zinc/53/88/87/487538887.db2.gz BJSZEIMFDDNKDZ-DGCLKSJQSA-N 0 3 233.359 2.701 20 0 BFADHN Cc1nccc(CN2[C@@H](C)CCC2(C)C)n1 ZINC000334692577 487540279 /nfs/dbraw/zinc/54/02/79/487540279.db2.gz SJCWWBSJEGBDKV-JTQLQIEISA-N 0 3 219.332 2.548 20 0 BFADHN C[C@@H]1CCC[C@@H](N[C@@H]2CCCn3ccnc32)C1 ZINC000655701727 487542793 /nfs/dbraw/zinc/54/27/93/487542793.db2.gz YTUQTEZRKKGNRI-JHJVBQTASA-N 0 3 233.359 2.886 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@@H](C)c1ccncn1 ZINC000658113665 487544297 /nfs/dbraw/zinc/54/42/97/487544297.db2.gz IFEYJRLDOFQMJC-QWRGUYRKSA-N 0 3 221.348 2.952 20 0 BFADHN CCN(Cc1oc(C)nc1C)CC1CC1 ZINC000685564069 487545597 /nfs/dbraw/zinc/54/55/97/487545597.db2.gz AQNPHWHRROGJOH-UHFFFAOYSA-N 0 3 208.305 2.523 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@H](C)[C@H]2C)o1 ZINC000685565160 487547025 /nfs/dbraw/zinc/54/70/25/487547025.db2.gz ZNPSOZMRWZHLTG-GXSJLCMTSA-N 0 3 222.332 2.912 20 0 BFADHN Cc1nc(C)c(CN2C[C@H](C)C[C@H]2C)o1 ZINC000685565130 487547528 /nfs/dbraw/zinc/54/75/28/487547528.db2.gz SWYGWIIROCMMDV-RKDXNWHRSA-N 0 3 208.305 2.522 20 0 BFADHN Cc1nc(C)c(CN2CCC3(CCC3)C2)o1 ZINC000685566617 487548233 /nfs/dbraw/zinc/54/82/33/487548233.db2.gz ZDXMUGUFPASMIW-UHFFFAOYSA-N 0 3 220.316 2.667 20 0 BFADHN Cc1nc(C)c(CN(C)CCC2CC2)o1 ZINC000685568974 487549943 /nfs/dbraw/zinc/54/99/43/487549943.db2.gz BQFZYFUAWKZYSC-UHFFFAOYSA-N 0 3 208.305 2.523 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H](C)[C@@H](C)C2)o1 ZINC000685570344 487551700 /nfs/dbraw/zinc/55/17/00/487551700.db2.gz JYMSTKXMOWQMIY-ZJUUUORDSA-N 0 3 222.332 2.769 20 0 BFADHN Cc1ncsc1CN1CCC2(CC2)CC1 ZINC000685569981 487551842 /nfs/dbraw/zinc/55/18/42/487551842.db2.gz LRKGZNKDOAZRSO-UHFFFAOYSA-N 0 3 222.357 2.828 20 0 BFADHN Fc1cccc([C@H]2CCN([C@H]3CCCOC3)C2)c1 ZINC000674301624 487554984 /nfs/dbraw/zinc/55/49/84/487554984.db2.gz UBFQGYBSPOVRQU-ZFWWWQNUSA-N 0 3 249.329 2.794 20 0 BFADHN COc1cccc(CN[C@@H](C)C(C)C)c1F ZINC000160461103 488135179 /nfs/dbraw/zinc/13/51/79/488135179.db2.gz LHBXTCVMOJIWAV-JTQLQIEISA-N 0 3 225.307 2.968 20 0 BFADHN CC[C@H](C)[C@H](C)N(Cc1cncnc1)C1CC1 ZINC000119552589 487556510 /nfs/dbraw/zinc/55/65/10/487556510.db2.gz WCHMVFBKNAXVJV-RYUDHWBXSA-N 0 3 233.359 2.876 20 0 BFADHN Cc1ccc([C@@H]2CCCN2[C@H]2CCCOC2)o1 ZINC000674303173 487556887 /nfs/dbraw/zinc/55/68/87/487556887.db2.gz ULWSMRNUSDBBNI-STQMWFEESA-N 0 3 235.327 2.904 20 0 BFADHN CC(C)[C@@H](N[C@@H](C)c1cnccn1)C1CC1 ZINC000324122386 487532840 /nfs/dbraw/zinc/53/28/40/487532840.db2.gz NMJILVXEXXQOOE-GXFFZTMASA-N 0 3 219.332 2.562 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1ccnn1CC1CC1 ZINC000668240996 487533032 /nfs/dbraw/zinc/53/30/32/487533032.db2.gz YOYFASOLNALDPJ-LBPRGKRZSA-N 0 3 247.386 2.914 20 0 BFADHN c1cc2c(s1)CCC[C@@H]2NCc1cn[nH]c1 ZINC000061328523 487470831 /nfs/dbraw/zinc/47/08/31/487470831.db2.gz CELQJDBLNWHGSD-NSHDSACASA-N 0 3 233.340 2.638 20 0 BFADHN CO[C@H](C)CNC1(c2ccc(F)cc2)CCC1 ZINC000679853938 487472578 /nfs/dbraw/zinc/47/25/78/487472578.db2.gz IWNWSWXIQMXYJY-LLVKDONJSA-N 0 3 237.318 2.829 20 0 BFADHN CO[C@H](C)CN1CCc2cc(F)ccc2[C@@H]1C ZINC000679853191 487473109 /nfs/dbraw/zinc/47/31/09/487473109.db2.gz JZHOGHPPOIESPK-MNOVXSKESA-N 0 3 237.318 2.780 20 0 BFADHN CC1=CC[C@H](N[C@@H](C)c2nccn2C)CC1 ZINC000668748271 487476228 /nfs/dbraw/zinc/47/62/28/487476228.db2.gz RXNOQTFLIPDFJL-RYUDHWBXSA-N 0 3 219.332 2.570 20 0 BFADHN C[C@@H](CCC(C)(C)C)NC(=O)C1(N)CCCC1 ZINC000237493889 487477312 /nfs/dbraw/zinc/47/73/12/487477312.db2.gz KNOOMABCKUNETE-NSHDSACASA-N 0 3 240.391 2.589 20 0 BFADHN C[C@H](O)CN(Cc1cccc(Cl)c1)C1CC1 ZINC000685000300 487487696 /nfs/dbraw/zinc/48/76/96/487487696.db2.gz LYKJDHAUDGTWOR-JTQLQIEISA-N 0 3 239.746 2.685 20 0 BFADHN C[C@@H](CCNCc1cnccn1)c1ccccc1 ZINC000061910138 487488635 /nfs/dbraw/zinc/48/86/35/487488635.db2.gz AZXFZCYLDFYSLP-ZDUSSCGKSA-N 0 3 241.338 2.760 20 0 BFADHN CC[C@@H]1CN([C@@H](C)c2ccncc2)CCCO1 ZINC000685006895 487491592 /nfs/dbraw/zinc/49/15/92/487491592.db2.gz FNPGVNXSIUEVAY-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]1c1ccccc1)c1cn[nH]c1 ZINC000310266645 487490043 /nfs/dbraw/zinc/49/00/43/487490043.db2.gz FSJXKHQNTWBPHZ-ZLKJLUDKSA-N 0 3 227.311 2.616 20 0 BFADHN CCCC[C@H](CC)CCNCc1cn(C)nn1 ZINC000679932902 487495629 /nfs/dbraw/zinc/49/56/29/487495629.db2.gz IBTYANMKHCEGLW-LBPRGKRZSA-N 0 3 238.379 2.511 20 0 BFADHN CC(C)(O)CN1CC(C)(C)[C@H]1c1ccccc1 ZINC000674010382 487497775 /nfs/dbraw/zinc/49/77/75/487497775.db2.gz QRHRZWIROKMVII-CYBMUJFWSA-N 0 3 233.355 2.840 20 0 BFADHN Cc1ccoc1CNC[C@@H](C)c1ccncc1 ZINC000674069827 487508415 /nfs/dbraw/zinc/50/84/15/487508415.db2.gz IQHOMNRGXXYHFJ-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN Cn1ccc(CN2CCC3(CCC3)CC2)c1 ZINC000685444294 487524481 /nfs/dbraw/zinc/52/44/81/487524481.db2.gz QRBDWYVPYDRUQL-UHFFFAOYSA-N 0 3 218.344 2.791 20 0 BFADHN c1nc(CN[C@@H]2CCCSCC2)cs1 ZINC000657861992 488154947 /nfs/dbraw/zinc/15/49/47/488154947.db2.gz XSHUTQNKTWFOIB-SECBINFHSA-N 0 3 228.386 2.518 20 0 BFADHN CCCn1nccc1CN1CC[C@H](C2CCC2)C1 ZINC000668241535 487534518 /nfs/dbraw/zinc/53/45/18/487534518.db2.gz KWLOTZPYCDZYQL-AWEZNQCLSA-N 0 3 247.386 2.915 20 0 BFADHN c1cncc(CNCc2cccc3cnccc32)c1 ZINC000061125005 487606486 /nfs/dbraw/zinc/60/64/86/487606486.db2.gz IZCQPFHKAZTSOI-UHFFFAOYSA-N 0 3 249.317 2.920 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1cccs1 ZINC000178312458 487610786 /nfs/dbraw/zinc/61/07/86/487610786.db2.gz UNPGDOLLHPUWDI-ZDCRXTMVSA-N 0 3 223.341 2.719 20 0 BFADHN C[C@H]1CCN(CCCC2CCOCC2)C[C@@H]1F ZINC000681121768 487733744 /nfs/dbraw/zinc/73/37/44/487733744.db2.gz YSJRVCNMOQQVPH-JSGCOSHPSA-N 0 3 243.366 2.873 20 0 BFADHN c1ccc2nc(CN[C@@H]3CC=CCC3)cnc2c1 ZINC000088747387 487748884 /nfs/dbraw/zinc/74/88/84/487748884.db2.gz VLGGSRYGWFAMDU-GFCCVEGCSA-N 0 3 239.322 2.828 20 0 BFADHN c1ccc2[nH]c(C3CN(CC4CCC4)C3)nc2c1 ZINC000675976259 487797972 /nfs/dbraw/zinc/79/79/72/487797972.db2.gz LNRXZNWSPHTTBA-UHFFFAOYSA-N 0 3 241.338 2.762 20 0 BFADHN Cc1ccnc(CN2CCC[C@H]2[C@@H]2CCCO2)c1 ZINC000671245279 487888510 /nfs/dbraw/zinc/88/85/10/487888510.db2.gz ZMBKMDZDHBUTNI-GJZGRUSLSA-N 0 3 246.354 2.533 20 0 BFADHN C[C@@H]1SCCN(Cc2ccc(O)cc2)[C@H]1C ZINC000682404685 487948217 /nfs/dbraw/zinc/94/82/17/487948217.db2.gz IBQGKAKCLDIEJW-QWRGUYRKSA-N 0 3 237.368 2.718 20 0 BFADHN C1=C(CNCc2cccc3c2OCO3)CCC1 ZINC000401027717 488000551 /nfs/dbraw/zinc/00/05/51/488000551.db2.gz BVVLJTTWRSBUIS-UHFFFAOYSA-N 0 3 231.295 2.615 20 0 BFADHN c1ccc2c(CN[C@@H]3CC34CCCC4)n[nH]c2c1 ZINC000683205179 488130632 /nfs/dbraw/zinc/13/06/32/488130632.db2.gz FHJPWRNGTOYTPX-CQSZACIVSA-N 0 3 241.338 2.985 20 0 BFADHN CCc1nn(C)c(CC)c1CN[C@]1(C)CC1(C)C ZINC000668701715 488136486 /nfs/dbraw/zinc/13/64/86/488136486.db2.gz VCCGZLLHKAUWEP-OAHLLOKOSA-N 0 3 249.402 2.823 20 0 BFADHN CC(C)c1cccc(CNC2([C@H](C)O)CC2)c1 ZINC000668702200 488139076 /nfs/dbraw/zinc/13/90/76/488139076.db2.gz XZZGVGYAYUBIJP-LBPRGKRZSA-N 0 3 233.355 2.813 20 0 BFADHN C(=C/c1ccccc1)\CN[C@@H]1CO[C@H](C2CC2)C1 ZINC000667865885 488141426 /nfs/dbraw/zinc/14/14/26/488141426.db2.gz LWMSJGPPFQGOAZ-KBKYZLAFSA-N 0 3 243.350 2.857 20 0 BFADHN Cc1cccc(CN2CC[C@@H]3COC[C@@H]3C2)c1F ZINC000668705867 488144549 /nfs/dbraw/zinc/14/45/49/488144549.db2.gz NUVVFAHRSZIVQK-KGLIPLIRSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1occc1CNCC1(CO)CCCCC1 ZINC000321577514 488145509 /nfs/dbraw/zinc/14/55/09/488145509.db2.gz VKNZVIUZHCQBMV-UHFFFAOYSA-N 0 3 237.343 2.620 20 0 BFADHN CCN(Cc1cccc(F)c1)[C@H]1CCCOC1 ZINC000677881320 488145804 /nfs/dbraw/zinc/14/58/04/488145804.db2.gz HHBVWJPQPDHQGM-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN C[C@@H](NC1CCC(C(F)F)CC1)c1ncc[nH]1 ZINC000414179946 488148697 /nfs/dbraw/zinc/14/86/97/488148697.db2.gz LAENYZUSAMWFCK-XNWIYYODSA-N 0 3 243.301 2.884 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N(C)C[C@H](O)C1CC1 ZINC000677892634 488150684 /nfs/dbraw/zinc/15/06/84/488150684.db2.gz PSZYGLKTLJWSIC-HZMBPMFUSA-N 0 3 237.318 2.589 20 0 BFADHN CN(C[C@H](O)C1CC1)[C@@H]1CCc2ccc(F)cc21 ZINC000677893758 488151271 /nfs/dbraw/zinc/15/12/71/488151271.db2.gz RLXIDGPWJKEXQL-CABCVRRESA-N 0 3 249.329 2.516 20 0 BFADHN COc1ccc(CN(C)CCC(C)(C)C)cn1 ZINC000677896208 488151811 /nfs/dbraw/zinc/15/18/11/488151811.db2.gz CTKZWEIEQGBGJO-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN COc1ccc(CN(C)[C@H]2C=CCCC2)cn1 ZINC000677899239 488152217 /nfs/dbraw/zinc/15/22/17/488152217.db2.gz YNLWJGFCQGBKNT-ZDUSSCGKSA-N 0 3 232.327 2.631 20 0 BFADHN C(c1noc2c1CCCC2)N1CC[C@H](C2CC2)C1 ZINC000677905283 488153584 /nfs/dbraw/zinc/15/35/84/488153584.db2.gz LDJQLSZJUSXQNI-LBPRGKRZSA-N 0 3 246.354 2.785 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H]1C1CC1)c1ncc[nH]1 ZINC000414185602 488158885 /nfs/dbraw/zinc/15/88/85/488158885.db2.gz GSQYCNUQGBDXKZ-WCFLWFBJSA-N 0 3 233.359 2.887 20 0 BFADHN Cc1cnn(C)c1CN1CCC[C@H](C2CCC2)C1 ZINC000668717865 488159622 /nfs/dbraw/zinc/15/96/22/488159622.db2.gz DYUSFKYAVXUSDN-AWEZNQCLSA-N 0 3 247.386 2.741 20 0 BFADHN CCOCCN(C)Cc1cc2ccc(C)cc2[nH]1 ZINC000667949760 488165717 /nfs/dbraw/zinc/16/57/17/488165717.db2.gz NHNJKCGWOAANBT-UHFFFAOYSA-N 0 3 246.354 2.945 20 0 BFADHN CN(Cc1cnc2n1CCC2)C[C@H]1CC=CCC1 ZINC000667970531 488171908 /nfs/dbraw/zinc/17/19/08/488171908.db2.gz MJJDHMXCKPTJSP-ZDUSSCGKSA-N 0 3 245.370 2.617 20 0 BFADHN C[C@@H]1CCN(Cc2cnc3n2CCC3)CC1(C)C ZINC000667988034 488176843 /nfs/dbraw/zinc/17/68/43/488176843.db2.gz ZFFNUOGRWFBLFG-GFCCVEGCSA-N 0 3 247.386 2.697 20 0 BFADHN C[C@H](CN1CCc2nccnc2C1)C(C)(C)C ZINC000668734442 488179781 /nfs/dbraw/zinc/17/97/81/488179781.db2.gz HHIALCQQARAKIN-LLVKDONJSA-N 0 3 233.359 2.517 20 0 BFADHN CC1(C)C[C@@H](NCc2cc3ccccc3[nH]2)CO1 ZINC000669532064 488180605 /nfs/dbraw/zinc/18/06/05/488180605.db2.gz XCPBCWYNMOBLKK-CYBMUJFWSA-N 0 3 244.338 2.825 20 0 BFADHN CCCn1nccc1CN1CC[C@H](C)[C@H]1CC ZINC000668003159 488181730 /nfs/dbraw/zinc/18/17/30/488181730.db2.gz ISQYHIGSJLXERA-GXTWGEPZSA-N 0 3 235.375 2.914 20 0 BFADHN CCc1ccccc1CCN1CCCOC[C@@H]1C ZINC000683522023 488183878 /nfs/dbraw/zinc/18/38/78/488183878.db2.gz PRRSETHXYPGIBN-AWEZNQCLSA-N 0 3 247.382 2.902 20 0 BFADHN Cc1ncc(CN2CCC(C)(C(C)C)CC2)n1C ZINC000668011405 488184198 /nfs/dbraw/zinc/18/41/98/488184198.db2.gz AFLOWXOPDTURGQ-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN Fc1ccccc1CCN1CCC12CCOCC2 ZINC000683525921 488186803 /nfs/dbraw/zinc/18/68/03/488186803.db2.gz LZBOBYCRLYIBER-UHFFFAOYSA-N 0 3 249.329 2.623 20 0 BFADHN C[C@H]1CCC[C@H](C)N1Cc1ccc(N(C)C)nc1 ZINC000678120823 488199427 /nfs/dbraw/zinc/19/94/27/488199427.db2.gz PQXMNMCCQZTRDW-STQMWFEESA-N 0 3 247.386 2.911 20 0 BFADHN C[C@H]1CCCCN1Cc1nn(C)c2ccccc12 ZINC000678120560 488199865 /nfs/dbraw/zinc/19/98/65/488199865.db2.gz BVYHVMIRYZGKPF-LBPRGKRZSA-N 0 3 243.354 2.948 20 0 BFADHN C[C@@H]1CCCN(Cc2nn(C)c3ccccc23)C1 ZINC000678120259 488200015 /nfs/dbraw/zinc/20/00/15/488200015.db2.gz DJIYMENJEXVBGG-GFCCVEGCSA-N 0 3 243.354 2.805 20 0 BFADHN CCCCN(C)Cc1nn(C)c2ccccc12 ZINC000678121074 488200916 /nfs/dbraw/zinc/20/09/16/488200916.db2.gz ZRFUJDNXAWYSAZ-UHFFFAOYSA-N 0 3 231.343 2.805 20 0 BFADHN CC(C)CN(C)Cc1nn(C)c2ccccc12 ZINC000678132658 488202967 /nfs/dbraw/zinc/20/29/67/488202967.db2.gz IUUPZYMNSLBACB-UHFFFAOYSA-N 0 3 231.343 2.661 20 0 BFADHN CCN(CCOC)Cc1nccc2ccccc21 ZINC000678134235 488203090 /nfs/dbraw/zinc/20/30/90/488203090.db2.gz KXXYGRQLJWXMNW-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN CC[C@@H](C(N)=O)N1C[C@H](C)CC(C)(C)C1 ZINC000336499207 490092708 /nfs/dbraw/zinc/09/27/08/490092708.db2.gz PFONJBJKIIUTOX-ZJUUUORDSA-N 0 3 212.337 2.668 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1CCc2ccc(C)cc21 ZINC000683693569 488207658 /nfs/dbraw/zinc/20/76/58/488207658.db2.gz FJHLYHRBUJUUIW-SOUVJXGZSA-N 0 3 231.339 2.749 20 0 BFADHN CCCn1nccc1CNC1(C2(C)CC2)CC1 ZINC000398151646 488212814 /nfs/dbraw/zinc/21/28/14/488212814.db2.gz UGXBBOIPIARTED-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN CC[C@H](NCc1nc2ccccc2n1C)C1CC1 ZINC000323696827 488216992 /nfs/dbraw/zinc/21/69/92/488216992.db2.gz HXKHBFQBBOILOQ-LBPRGKRZSA-N 0 3 243.354 2.852 20 0 BFADHN COc1ccc2c(c1)OCC[C@H]2NCC1(C)CC1 ZINC000683770449 488218294 /nfs/dbraw/zinc/21/82/94/488218294.db2.gz BAZBAEXYUGQHEV-CYBMUJFWSA-N 0 3 247.338 2.909 20 0 BFADHN Cn1nc(CN2CCCC2(C)C)c2ccccc21 ZINC000678226045 488220568 /nfs/dbraw/zinc/22/05/68/488220568.db2.gz XKOMMUSJDYVCJJ-UHFFFAOYSA-N 0 3 243.354 2.948 20 0 BFADHN CCN(Cc1cc2ccc(C)cc2[nH]1)[C@@H](C)CO ZINC000668195651 488221068 /nfs/dbraw/zinc/22/10/68/488221068.db2.gz QPRKPAWUCSCDMC-LBPRGKRZSA-N 0 3 246.354 2.679 20 0 BFADHN CC[C@@H](NCc1ccc(COC)o1)C1CC1 ZINC000323800666 488222981 /nfs/dbraw/zinc/22/29/81/488222981.db2.gz GKRXJHOVGQGLBR-CYBMUJFWSA-N 0 3 223.316 2.704 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cn2ccccc2n1 ZINC000078723265 488223651 /nfs/dbraw/zinc/22/36/51/488223651.db2.gz LFTIFFDIVYAFFV-NSHDSACASA-N 0 3 229.327 2.565 20 0 BFADHN Fc1ccc([C@@H]2CCN(Cc3cn[nH]c3)C2)cc1 ZINC000678262069 488228329 /nfs/dbraw/zinc/22/83/29/488228329.db2.gz DZZISNGZGQOEIR-CYBMUJFWSA-N 0 3 245.301 2.538 20 0 BFADHN CN(Cc1cn[nH]c1)C1CCC(C)(C)CC1 ZINC000678266022 488230061 /nfs/dbraw/zinc/23/00/61/488230061.db2.gz IVZZRSRHPVHRHF-UHFFFAOYSA-N 0 3 221.348 2.810 20 0 BFADHN CN(Cc1cn[nH]c1)C[C@H]1C[C@@H]1c1ccccc1 ZINC000678266025 488230185 /nfs/dbraw/zinc/23/01/85/488230185.db2.gz JFBJOSAVUMKQCO-HUUCEWRRSA-N 0 3 241.338 2.645 20 0 BFADHN c1n[nH]cc1CN1CCC[C@@]2(CC=CCC2)C1 ZINC000678267691 488231395 /nfs/dbraw/zinc/23/13/95/488231395.db2.gz UQLHBGYBKBDYDL-AWEZNQCLSA-N 0 3 231.343 2.732 20 0 BFADHN CC1=CCN(Cc2[nH]nc3ccccc32)CC1 ZINC000678270424 488231963 /nfs/dbraw/zinc/23/19/63/488231963.db2.gz ANGPNGNPCXZWKI-UHFFFAOYSA-N 0 3 227.311 2.715 20 0 BFADHN Cc1cc(CN2CCCOC[C@H]2C)ccc1F ZINC000678273053 488233603 /nfs/dbraw/zinc/23/36/03/488233603.db2.gz IMHVHHKGEIMVGS-GFCCVEGCSA-N 0 3 237.318 2.745 20 0 BFADHN CC[C@H](Cc1ccccc1)N(C)Cc1cn[nH]c1 ZINC000678279386 488236243 /nfs/dbraw/zinc/23/62/43/488236243.db2.gz KBGFQUWFOZSQLK-OAHLLOKOSA-N 0 3 243.354 2.863 20 0 BFADHN Cn1cccc1CN1C2CCCC1CCC2 ZINC000678279637 488236273 /nfs/dbraw/zinc/23/62/73/488236273.db2.gz PDLWAQVEFKVYBV-UHFFFAOYSA-N 0 3 218.344 2.932 20 0 BFADHN Cc1nc(C)c(CN(C)[C@@H](C)C(C)C)o1 ZINC000683898152 488240422 /nfs/dbraw/zinc/24/04/22/488240422.db2.gz MDPKNBYAHLQIJP-JTQLQIEISA-N 0 3 210.321 2.768 20 0 BFADHN CC[C@@H]1CCN(Cc2n[nH]c3ccccc32)C1 ZINC000678339091 488242675 /nfs/dbraw/zinc/24/26/75/488242675.db2.gz MZDPONZOVWLPRM-LLVKDONJSA-N 0 3 229.327 2.795 20 0 BFADHN C[C@H](NCc1cc2ccccc2[nH]1)c1cn[nH]c1 ZINC000683928204 488246340 /nfs/dbraw/zinc/24/63/40/488246340.db2.gz JOIXNEXNEZGJFD-JTQLQIEISA-N 0 3 240.310 2.742 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1ccc(C)nc1C ZINC000678428469 488255391 /nfs/dbraw/zinc/25/53/91/488255391.db2.gz SRXCRWNMXHEGRZ-DGCLKSJQSA-N 0 3 236.359 2.510 20 0 BFADHN OCCCN(Cc1cc2ccccc2[nH]1)C1CC1 ZINC000684021672 488256473 /nfs/dbraw/zinc/25/64/73/488256473.db2.gz RMSWNDYKYXUPMW-UHFFFAOYSA-N 0 3 244.338 2.515 20 0 BFADHN OCC[C@@H]1CCCN1Cc1cc2ccccc2[nH]1 ZINC000684025100 488256843 /nfs/dbraw/zinc/25/68/43/488256843.db2.gz LPRAXPRBINCGIC-AWEZNQCLSA-N 0 3 244.338 2.515 20 0 BFADHN CC[C@@H](N[C@@H]1CCCC1(F)F)C1CCOCC1 ZINC000672622920 488260415 /nfs/dbraw/zinc/26/04/15/488260415.db2.gz ZBLWEOLAAJRWEG-VXGBXAGGSA-N 0 3 247.329 2.969 20 0 BFADHN Cc1ccncc1[C@@H](C)NCc1ccc(O)cc1 ZINC000678541467 488265691 /nfs/dbraw/zinc/26/56/91/488265691.db2.gz CYABFHCFDPFGRO-GFCCVEGCSA-N 0 3 242.322 2.946 20 0 BFADHN COc1cccc2c1OCC[C@H]2NC1CC(C)C1 ZINC000678594031 488269487 /nfs/dbraw/zinc/26/94/87/488269487.db2.gz AVENNAVIFIVFSS-GCZXYKMCSA-N 0 3 247.338 2.907 20 0 BFADHN COC[C@H](N[C@H](C)c1ccc(C)nc1C)C1CC1 ZINC000678603851 488270910 /nfs/dbraw/zinc/27/09/10/488270910.db2.gz MTPARAFVIRPSPS-DOMZBBRYSA-N 0 3 248.370 2.774 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@H]2C(C)C)ncn1 ZINC000678606515 488271485 /nfs/dbraw/zinc/27/14/85/488271485.db2.gz ZLCHFIAHGBUMTD-KBPBESRZSA-N 0 3 233.359 2.699 20 0 BFADHN CO[C@]1(C)CCCN([C@H](C)c2ccncc2)C1 ZINC000684208696 488276542 /nfs/dbraw/zinc/27/65/42/488276542.db2.gz KKGIPSXHGRJTQP-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc2c(c1)CCC(=O)N2 ZINC000678750837 488280368 /nfs/dbraw/zinc/28/03/68/488280368.db2.gz ZFSBSMBGNKTQKY-PGLGOXFNSA-N 0 3 244.338 2.798 20 0 BFADHN CCc1nn(C)cc1CN(C)[C@H](C)C(C)(C)C ZINC000678814463 488286637 /nfs/dbraw/zinc/28/66/37/488286637.db2.gz LSWOFFZRYGMDAK-LLVKDONJSA-N 0 3 237.391 2.849 20 0 BFADHN CCc1nn(C)cc1CN1CCC(C)(CC)CC1 ZINC000678821714 488287018 /nfs/dbraw/zinc/28/70/18/488287018.db2.gz CACONMSZLBVRGS-UHFFFAOYSA-N 0 3 249.402 2.995 20 0 BFADHN C[C@@H]1CCN(Cc2nc3c(s2)CCC3)[C@H]1C ZINC000678841178 488290113 /nfs/dbraw/zinc/29/01/13/488290113.db2.gz QWACGSAMOSPVAC-ZJUUUORDSA-N 0 3 236.384 2.862 20 0 BFADHN CCc1nn(C)cc1CN1C2CCCC1CCC2 ZINC000678838319 488290294 /nfs/dbraw/zinc/29/02/94/488290294.db2.gz SZRNECRJNMBQBB-UHFFFAOYSA-N 0 3 247.386 2.890 20 0 BFADHN CC[C@H](NCc1nccc(N)n1)C1CCCCC1 ZINC000678894355 488294617 /nfs/dbraw/zinc/29/46/17/488294617.db2.gz BLGWHUKETJICCV-LBPRGKRZSA-N 0 3 248.374 2.507 20 0 BFADHN CCOC1CC(N[C@H](C)c2cnccc2C)C1 ZINC000678925674 488295462 /nfs/dbraw/zinc/29/54/62/488295462.db2.gz AQAOXFSCKBTFTQ-PNESKVBLSA-N 0 3 234.343 2.608 20 0 BFADHN CC(C)C1CN(Cc2ccccc2N(C)C)C1 ZINC000684408338 488307713 /nfs/dbraw/zinc/30/77/13/488307713.db2.gz IQJKXJOAKVISEM-UHFFFAOYSA-N 0 3 232.371 2.840 20 0 BFADHN CC(C)C1CN(Cc2cn(C)nc2C(C)(C)C)C1 ZINC000684408454 488307923 /nfs/dbraw/zinc/30/79/23/488307923.db2.gz PDHWIZVKUKZXOM-UHFFFAOYSA-N 0 3 249.402 2.805 20 0 BFADHN Cc1ccc(CN2CC([C@@H]3CCOC3)C2)cc1F ZINC000684414582 488309754 /nfs/dbraw/zinc/30/97/54/488309754.db2.gz NDGUYQNZLPZYEI-CYBMUJFWSA-N 0 3 249.329 2.602 20 0 BFADHN CCc1nn(C)cc1CN(C)[C@@H]1CCC(C)(C)C1 ZINC000684427109 488311654 /nfs/dbraw/zinc/31/16/54/488311654.db2.gz MMVQSFAHAKJHFH-CYBMUJFWSA-N 0 3 249.402 2.993 20 0 BFADHN CC(C)CC[C@@H](O)CN(C)CCOC(C)(C)C ZINC000684617904 488332519 /nfs/dbraw/zinc/33/25/19/488332519.db2.gz HURGYEYASZHOFV-CYBMUJFWSA-N 0 3 245.407 2.530 20 0 BFADHN CC(C)CC[C@H](O)CN(C)CCOC(C)(C)C ZINC000684617906 488332692 /nfs/dbraw/zinc/33/26/92/488332692.db2.gz HURGYEYASZHOFV-ZDUSSCGKSA-N 0 3 245.407 2.530 20 0 BFADHN Fc1ccc(C2(NCc3cnc[nH]3)CCC2)cc1 ZINC000684619317 488333689 /nfs/dbraw/zinc/33/36/89/488333689.db2.gz PDRSIVFMZJCNOI-UHFFFAOYSA-N 0 3 245.301 2.718 20 0 BFADHN COCCCCCN1CCC(F)(F)CC1 ZINC000684626285 488334973 /nfs/dbraw/zinc/33/49/73/488334973.db2.gz VBUXTWCQHWVQKO-UHFFFAOYSA-N 0 3 221.291 2.534 20 0 BFADHN CC1=C[C@H](C)CN(Cc2ccc(O)cc2)C1 ZINC000684683984 488338728 /nfs/dbraw/zinc/33/87/28/488338728.db2.gz XHUAVBDQFDJWQW-NSHDSACASA-N 0 3 217.312 2.790 20 0 BFADHN Cc1ccc(F)cc1CN1CCCOC[C@@H]1C ZINC000679333194 488340989 /nfs/dbraw/zinc/34/09/89/488340989.db2.gz GEGRSHYAGDTTJC-LBPRGKRZSA-N 0 3 237.318 2.745 20 0 BFADHN c1[nH]nc(-c2ccccc2)c1CN1CC=CCC1 ZINC000679357280 488343982 /nfs/dbraw/zinc/34/39/82/488343982.db2.gz BXQRKGUWAVIHQK-UHFFFAOYSA-N 0 3 239.322 2.839 20 0 BFADHN Cc1ccc2c(c1)[C@@H](N[C@@H](C)CCCCO)CO2 ZINC000679354942 488343993 /nfs/dbraw/zinc/34/39/93/488343993.db2.gz OIHGHQBCTCFWDM-JSGCOSHPSA-N 0 3 249.354 2.569 20 0 BFADHN CCCCCC(=O)N1CCCN(C)C[C@H]1CC ZINC000684825011 488346301 /nfs/dbraw/zinc/34/63/01/488346301.db2.gz MHCAQLRJSDFTLJ-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1ccccc1[C@H]1C[C@H](NCc2cn[nH]c2)C1 ZINC000684930583 488353575 /nfs/dbraw/zinc/35/35/75/488353575.db2.gz GPHQGRAOZLLFQZ-HDJSIYSDSA-N 0 3 241.338 2.754 20 0 BFADHN COc1ccccc1CCCN1CC=CCC1 ZINC000679537068 488357130 /nfs/dbraw/zinc/35/71/30/488357130.db2.gz YGDZEEGRLVWCCP-UHFFFAOYSA-N 0 3 231.339 2.890 20 0 BFADHN CCc1cccnc1[C@H](C)NCC[C@H]1CCCO1 ZINC000679575027 488358745 /nfs/dbraw/zinc/35/87/45/488358745.db2.gz RWIMCRQKGMUIFY-GXTWGEPZSA-N 0 3 248.370 2.864 20 0 BFADHN CCc1cccnc1[C@@H](C)NCC1(O)CCCC1 ZINC000679575696 488359035 /nfs/dbraw/zinc/35/90/35/488359035.db2.gz SJJAXUQPCCMHFB-GFCCVEGCSA-N 0 3 248.370 2.600 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2ccnn2CC2CC2)C[C@H]1C ZINC000668243017 490047289 /nfs/dbraw/zinc/04/72/89/490047289.db2.gz PZSZUXKAGYKDOH-JHJVBQTASA-N 0 3 247.386 2.769 20 0 BFADHN CCN(Cc1ccc(Cl)s1)C[C@@H](C)CO ZINC000120489565 490062502 /nfs/dbraw/zinc/06/25/02/490062502.db2.gz GWDGIUHLGSRAAT-SECBINFHSA-N 0 3 247.791 2.852 20 0 BFADHN CC[C@@H](C(N)=O)N1C[C@@H](C)CC(C)(C)C1 ZINC000336499201 490092654 /nfs/dbraw/zinc/09/26/54/490092654.db2.gz PFONJBJKIIUTOX-UWVGGRQHSA-N 0 3 212.337 2.668 20 0 BFADHN c1cn(-c2ccc(CN3CC=CCC3)cc2)cn1 ZINC000679322268 490112740 /nfs/dbraw/zinc/11/27/40/490112740.db2.gz YHNTWEXRCCMNBZ-UHFFFAOYSA-N 0 3 239.322 2.634 20 0 BFADHN Cc1cc(C)cc(OCCN2CC=CCC2)c1 ZINC000679322206 490112981 /nfs/dbraw/zinc/11/29/81/490112981.db2.gz VOYFBWACWKQUDN-UHFFFAOYSA-N 0 3 231.339 2.944 20 0 BFADHN CC(C)n1cc([C@H](C)NC2CC=CC2)cn1 ZINC000122111953 490125206 /nfs/dbraw/zinc/12/52/06/490125206.db2.gz MLSNLKBFTWCAEE-NSHDSACASA-N 0 3 219.332 2.833 20 0 BFADHN CCc1cccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)c1 ZINC000651933749 490161713 /nfs/dbraw/zinc/16/17/13/490161713.db2.gz JFUYVEFUNUGZQU-KFWWJZLASA-N 0 3 231.339 2.659 20 0 BFADHN Cc1noc(C)c1CN(C)CCC(C)C ZINC000046060930 490163281 /nfs/dbraw/zinc/16/32/81/490163281.db2.gz VHVUSKIEINAVMW-UHFFFAOYSA-N 0 3 210.321 2.769 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1NCc1cn2ccccc2n1 ZINC000514081708 490167157 /nfs/dbraw/zinc/16/71/57/490167157.db2.gz DFXMZDNWHKXWHA-UONOGXRCSA-N 0 3 243.354 2.859 20 0 BFADHN Cc1cc(CNC[C@H]2C(C)=CCC[C@@H]2C)ncn1 ZINC000681375554 490168718 /nfs/dbraw/zinc/16/87/18/490168718.db2.gz UHLHPBVWTVFQJP-WFASDCNBSA-N 0 3 245.370 2.867 20 0 BFADHN CC(C)[C@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1cccnc1 ZINC000652253460 490175161 /nfs/dbraw/zinc/17/51/61/490175161.db2.gz KRYYYDBZLTXUQZ-KBXIAJHMSA-N 0 3 246.354 2.688 20 0 BFADHN CO[C@H](CN(C)[C@H](C)c1cccnc1)C1CCC1 ZINC000660142031 490178065 /nfs/dbraw/zinc/17/80/65/490178065.db2.gz YLBHTGLGUUBFQO-IUODEOHRSA-N 0 3 248.370 2.890 20 0 BFADHN CO[C@@H](CN(C)[C@@H](C)c1cccnc1)C1CCC1 ZINC000660142036 490178266 /nfs/dbraw/zinc/17/82/66/490178266.db2.gz YLBHTGLGUUBFQO-WFASDCNBSA-N 0 3 248.370 2.890 20 0 BFADHN COc1ccc(CN[C@@H](C)c2cn[nH]c2)c(C)c1 ZINC000564046245 490195683 /nfs/dbraw/zinc/19/56/83/490195683.db2.gz VAPMCILASGJFJQ-NSHDSACASA-N 0 3 245.326 2.578 20 0 BFADHN COc1ncc(CN[C@@H]2CCC(C)(C)C2)s1 ZINC000309385805 490231346 /nfs/dbraw/zinc/23/13/46/490231346.db2.gz RKKHYJHQYCUSQA-SECBINFHSA-N 0 3 240.372 2.820 20 0 BFADHN C[C@H](CNCc1ccco1)N(C)c1ccccc1 ZINC000069681701 490267582 /nfs/dbraw/zinc/26/75/82/490267582.db2.gz JAMXMFRNLOYVIS-CYBMUJFWSA-N 0 3 244.338 2.894 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1cc2cnccc2o1 ZINC000657888142 490292544 /nfs/dbraw/zinc/29/25/44/490292544.db2.gz OFWLQMRQHNCOEV-PWSUYJOCSA-N 0 3 248.326 2.639 20 0 BFADHN COCCC1(NCc2cc(C)ns2)CCC1 ZINC000639124900 490377286 /nfs/dbraw/zinc/37/72/86/490377286.db2.gz NNKVMSAPOAHTTD-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN Oc1cccc(CN2CCC[C@@H](CCF)C2)c1 ZINC000668592517 490393695 /nfs/dbraw/zinc/39/36/95/490393695.db2.gz MJEGXWYLNFNVQW-LBPRGKRZSA-N 0 3 237.318 2.964 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@@H]1C)c1ncc[nH]1 ZINC000124695363 490398632 /nfs/dbraw/zinc/39/86/32/490398632.db2.gz WUUKPJDTDFBRRO-GARJFASQSA-N 0 3 207.321 2.639 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@@H]1C)c1ncc[nH]1 ZINC000124694937 490398753 /nfs/dbraw/zinc/39/87/53/490398753.db2.gz WUUKPJDTDFBRRO-DCAQKATOSA-N 0 3 207.321 2.639 20 0 BFADHN c1ncc(CN2CCC3(C2)CCCCC3)cn1 ZINC000130976710 490466345 /nfs/dbraw/zinc/46/63/45/490466345.db2.gz XITZXKYBWUEOTN-UHFFFAOYSA-N 0 3 231.343 2.633 20 0 BFADHN Cc1nc(CN[C@H](C(C)C)C2CC2)cs1 ZINC000126628019 490510562 /nfs/dbraw/zinc/51/05/62/490510562.db2.gz UKCPNSNUDNDRCH-GFCCVEGCSA-N 0 3 224.373 2.976 20 0 BFADHN c1nc(C2CC2)c(CN2CC[C@H]3C[C@H]3C2)s1 ZINC000668677551 490516331 /nfs/dbraw/zinc/51/63/31/490516331.db2.gz RZSNYBOWAUZIMA-QWRGUYRKSA-N 0 3 234.368 2.862 20 0 BFADHN Cc1ncc([C@@H](C)NCC[C@@H]2CCCO2)s1 ZINC000126951453 490526820 /nfs/dbraw/zinc/52/68/20/490526820.db2.gz COCNJMLUMZJVFE-KOLCDFICSA-N 0 3 240.372 2.671 20 0 BFADHN Cc1ncc([C@H](C)NC[C@@H]2CCCCO2)s1 ZINC000126959400 490528951 /nfs/dbraw/zinc/52/89/51/490528951.db2.gz SKMHSFSQCNFXRU-ONGXEEELSA-N 0 3 240.372 2.671 20 0 BFADHN CN(C)c1ccc(CN2CCC3(CCC3)C2)cn1 ZINC000127155823 490538102 /nfs/dbraw/zinc/53/81/02/490538102.db2.gz HZYIWSMVZGRXMA-UHFFFAOYSA-N 0 3 245.370 2.524 20 0 BFADHN OCc1ccc(CN2CCC[C@@H](C3CCC3)C2)o1 ZINC000668717930 490541980 /nfs/dbraw/zinc/54/19/80/490541980.db2.gz HJDRNGSRUIVENF-CYBMUJFWSA-N 0 3 249.354 2.784 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NCc1ccccc1C1CC1 ZINC000128169944 490574349 /nfs/dbraw/zinc/57/43/49/490574349.db2.gz UMGYKEOXRBSVIE-LSDHHAIUSA-N 0 3 245.366 2.813 20 0 BFADHN CN(C)Cc1cccc(-c2ccccn2)c1 ZINC000219874018 490580354 /nfs/dbraw/zinc/58/03/54/490580354.db2.gz JTTNCERGSWCMIH-UHFFFAOYSA-N 0 3 212.296 2.810 20 0 BFADHN COc1ncccc1CN[C@H](C)CC1CCC1 ZINC000128943352 490595583 /nfs/dbraw/zinc/59/55/83/490595583.db2.gz MXAQVUPAXUONME-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1ccccc1F ZINC000220515282 490605085 /nfs/dbraw/zinc/60/50/85/490605085.db2.gz KRGJKOGHJALSBG-SKDRFNHKSA-N 0 3 225.332 2.809 20 0 BFADHN COc1ccccc1CN[C@@H]1CCS[C@@H]1C ZINC000220524894 490607405 /nfs/dbraw/zinc/60/74/05/490607405.db2.gz ZKQNXRUUGMPGNK-ZYHUDNBSSA-N 0 3 237.368 2.679 20 0 BFADHN C[C@H](N[C@H]1CCS[C@@H]1C)c1cccnc1 ZINC000220550356 490610460 /nfs/dbraw/zinc/61/04/60/490610460.db2.gz FLOHXEFYYLMWID-UMNHJUIQSA-N 0 3 222.357 2.626 20 0 BFADHN c1cnc2c(c1)CCC[C@H]2N[C@H]1CCCSC1 ZINC000136351030 491056272 /nfs/dbraw/zinc/05/62/72/491056272.db2.gz ZKPVLCFALHPFJX-QWHCGFSZSA-N 0 3 248.395 2.944 20 0 BFADHN Cc1cc(C)nc(N[C@H](C)[C@@H]2CCCO2)c1 ZINC000309814219 491059065 /nfs/dbraw/zinc/05/90/65/491059065.db2.gz UYUDZFNDMYNCJA-NEPJUHHUSA-N 0 3 220.316 2.678 20 0 BFADHN Cc1ncc([C@H](C)N[C@H](CO)CC(C)C)s1 ZINC000090404272 491067267 /nfs/dbraw/zinc/06/72/67/491067267.db2.gz MTQQVAOGTQCMLL-ONGXEEELSA-N 0 3 242.388 2.509 20 0 BFADHN Cc1cnc(CN[C@H]2CCCC[C@H]2C)o1 ZINC000184590784 491086257 /nfs/dbraw/zinc/08/62/57/491086257.db2.gz MNDLLEFHWQFNFC-KOLCDFICSA-N 0 3 208.305 2.651 20 0 BFADHN CCC1(CN[C@@H](C)c2ncc[nH]2)CCC1 ZINC000309164718 491091459 /nfs/dbraw/zinc/09/14/59/491091459.db2.gz ILOZUIZNZLUIEM-JTQLQIEISA-N 0 3 207.321 2.641 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H](C)C(C)(C)O ZINC000087817342 491092623 /nfs/dbraw/zinc/09/26/23/491092623.db2.gz STRVPHIQZIESNH-GHMZBOCLSA-N 0 3 237.343 2.505 20 0 BFADHN C[C@H]1CC(NCc2ccon2)C[C@H](C)C1 ZINC000088052743 491094128 /nfs/dbraw/zinc/09/41/28/491094128.db2.gz VKMROXJHEWDQAN-NXEZZACHSA-N 0 3 208.305 2.589 20 0 BFADHN Cc1ccc(CNCc2cccs2)cn1 ZINC000037865185 491098053 /nfs/dbraw/zinc/09/80/53/491098053.db2.gz GWILOCCXDHJTDE-UHFFFAOYSA-N 0 3 218.325 2.741 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1cc(F)ccc1F ZINC000220681667 491110957 /nfs/dbraw/zinc/11/09/57/491110957.db2.gz WGXRJBQHSBQONI-QPUJVOFHSA-N 0 3 243.322 2.948 20 0 BFADHN Cc1nocc1CN[C@H]1C[C@@H]1Cc1ccccc1 ZINC000293660025 491114251 /nfs/dbraw/zinc/11/42/51/491114251.db2.gz TXNXIOIHEQDODE-ZFWWWQNUSA-N 0 3 242.322 2.704 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CNCc2ccn(C)n2)C1 ZINC000235705084 491125822 /nfs/dbraw/zinc/12/58/22/491125822.db2.gz JZFZAHXRJXFLCY-DGCLKSJQSA-N 0 3 233.359 2.502 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ncc[nH]1)c1ccccc1 ZINC000139004136 491130171 /nfs/dbraw/zinc/13/01/71/491130171.db2.gz UUUZTFMNQMHUKP-RYUDHWBXSA-N 0 3 229.327 2.864 20 0 BFADHN COC[C@H]1CCCN1Cc1cc(C)ccc1F ZINC000091861561 491131737 /nfs/dbraw/zinc/13/17/37/491131737.db2.gz GVLHPHXXXMDAFI-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN CCn1cncc1CN1CCC[C@H](C2CCC2)C1 ZINC000668719529 491132434 /nfs/dbraw/zinc/13/24/34/491132434.db2.gz XEKKTBAVWIYRBQ-AWEZNQCLSA-N 0 3 247.386 2.915 20 0 BFADHN C/C=C/CN[C@@H](C)c1cccc(NC(C)=O)c1 ZINC000223631713 491137751 /nfs/dbraw/zinc/13/77/51/491137751.db2.gz OQLIZWDSJAYIAL-ZWNMCFTASA-N 0 3 232.327 2.872 20 0 BFADHN C[C@@H](N[C@H]1CC2CCC1CC2)c1ncc[nH]1 ZINC000309443538 491148352 /nfs/dbraw/zinc/14/83/52/491148352.db2.gz KRTDIQXZSGQAKO-YYJSSNLHSA-N 0 3 219.332 2.639 20 0 BFADHN C[C@H](C[C@H]1CCOC1)NCc1ccc(Cl)o1 ZINC000309544632 491150953 /nfs/dbraw/zinc/15/09/53/491150953.db2.gz GRGYBTRAZUUMQZ-NXEZZACHSA-N 0 3 243.734 2.838 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@@H]1CC12CCCC2 ZINC000309574535 491151938 /nfs/dbraw/zinc/15/19/38/491151938.db2.gz ONBJGDAHQOGHTB-BXKDBHETSA-N 0 3 219.332 2.701 20 0 BFADHN CC[C@]1(NCc2ccc(Cl)cc2)CCOC1 ZINC000309699083 491154706 /nfs/dbraw/zinc/15/47/06/491154706.db2.gz LZRYDVFLMIPYPO-ZDUSSCGKSA-N 0 3 239.746 2.999 20 0 BFADHN C/C=C\CN[C@@H]1CCCc2c(O)cccc21 ZINC000309926936 491158295 /nfs/dbraw/zinc/15/82/95/491158295.db2.gz VULBSZKJJQYKCP-XQJDBVBESA-N 0 3 217.312 2.935 20 0 BFADHN C[C@@H](NCC(C)(C)CO)c1cccc(F)c1F ZINC000225006609 491161743 /nfs/dbraw/zinc/16/17/43/491161743.db2.gz FIUVWLJCOFOTSX-SECBINFHSA-N 0 3 243.297 2.634 20 0 BFADHN CCOc1ccc(CN2C[C@H](C)C[C@@H](C)C2)nc1 ZINC000083595669 491162314 /nfs/dbraw/zinc/16/23/14/491162314.db2.gz OVQKRNFENYOSHD-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCN(c2cccs2)CC1 ZINC000488362564 533722312 /nfs/dbraw/zinc/72/23/12/533722312.db2.gz ZZFFFRCZXPNEQC-VXGBXAGGSA-N 0 3 236.384 2.526 20 0 BFADHN C[C@H](NC/C=C/c1ccncc1)c1ccccn1 ZINC000486950618 533789504 /nfs/dbraw/zinc/78/95/04/533789504.db2.gz MGGHXMNBBFVZHK-IHVVCDCBSA-N 0 3 239.322 2.841 20 0 BFADHN COc1ccccc1/C=C\CN[C@@H](C)[C@@H](C)OC ZINC000466889665 533959309 /nfs/dbraw/zinc/95/93/09/533959309.db2.gz BBTVLLYTWCGZON-YKNITIARSA-N 0 3 249.354 2.721 20 0 BFADHN COc1ccccc1/C=C\CN[C@@H](C)[C@H](C)OC ZINC000466889664 533959334 /nfs/dbraw/zinc/95/93/34/533959334.db2.gz BBTVLLYTWCGZON-JWRBQHRDSA-N 0 3 249.354 2.721 20 0 BFADHN CCC[C@H](C)CN1CCO[C@@H]2CCC[C@H]21 ZINC000342620531 535612254 /nfs/dbraw/zinc/61/22/54/535612254.db2.gz XUUSFNVHCCHKTF-YNEHKIRRSA-N 0 3 211.349 2.676 20 0 BFADHN CCN(CC)C[C@H]1C[C@@]1(C)Br ZINC000891438880 582685781 /nfs/dbraw/zinc/68/57/81/582685781.db2.gz VLSQKSCFQCTKBX-RKDXNWHRSA-N 0 3 220.154 2.502 20 0 BFADHN CCOc1ccc(CN2CCC[C@@H](C)C2)o1 ZINC000891447751 582687608 /nfs/dbraw/zinc/68/76/08/582687608.db2.gz VQNRVYPPNSCQBI-LLVKDONJSA-N 0 3 223.316 2.910 20 0 BFADHN CCN(C[C@@H](C)O)[C@@H](C)c1ccc(F)cc1F ZINC000352211862 535765142 /nfs/dbraw/zinc/76/51/42/535765142.db2.gz MDAIJLQXUBKJMB-ZJUUUORDSA-N 0 3 243.297 2.729 20 0 BFADHN CC[C@@H](NC[C@@H]1CCCOC1)c1nccs1 ZINC000070717378 535804682 /nfs/dbraw/zinc/80/46/82/535804682.db2.gz YNVFRNLJDWSUIM-WDEREUQCSA-N 0 3 240.372 2.610 20 0 BFADHN CCC[C@@H](C)N(C)Cc1c2c(nn1C)CCC2 ZINC000891625262 582703426 /nfs/dbraw/zinc/70/34/26/582703426.db2.gz NGFGCEKHQGMVJY-LLVKDONJSA-N 0 3 235.375 2.529 20 0 BFADHN CC[C@@H]1COCCN1C[C@H]1C[C@H]1c1ccccc1 ZINC000352090837 535834036 /nfs/dbraw/zinc/83/40/36/535834036.db2.gz DDPXAHGFFHNJOI-OAGGEKHMSA-N 0 3 245.366 2.901 20 0 BFADHN CC[C@@H]1COCCN1CC1CCC(C)CC1 ZINC000352091296 535834213 /nfs/dbraw/zinc/83/42/13/535834213.db2.gz ISGRSUCYUZOPON-JXQTWKCFSA-N 0 3 225.376 2.924 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)[C@@H]2C)cnc1F ZINC000892215542 582808155 /nfs/dbraw/zinc/80/81/55/582808155.db2.gz XJINCODYASDUIJ-KOLCDFICSA-N 0 3 222.307 2.759 20 0 BFADHN CCn1cc(CN2[C@@H](C)CC[C@@H]2C)c(C)n1 ZINC000892223548 582809290 /nfs/dbraw/zinc/80/92/90/582809290.db2.gz JOMMPVORGKIQRN-QWRGUYRKSA-N 0 3 221.348 2.584 20 0 BFADHN CCc1ccc(CNCc2cnn(C)c2C)cc1 ZINC000020349170 523945294 /nfs/dbraw/zinc/94/52/94/523945294.db2.gz HYCWPGUQEZGOMI-UHFFFAOYSA-N 0 3 243.354 2.581 20 0 BFADHN CCCSC[C@H](C)NCc1ccco1 ZINC000892429898 582885720 /nfs/dbraw/zinc/88/57/20/582885720.db2.gz UHWBCIZEVANUPW-JTQLQIEISA-N 0 3 213.346 2.901 20 0 BFADHN CC(C)Cn1cc(CN2CCC3(CCC3)C2)cn1 ZINC000183313780 534897536 /nfs/dbraw/zinc/89/75/36/534897536.db2.gz FLBDMFXRJWKBGN-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CC1(C)Cc2occc2[C@H](N[C@H]2C[C@@](C)(O)C2)C1 ZINC000417392802 527780598 /nfs/dbraw/zinc/78/05/98/527780598.db2.gz BUZRMQXRWDGCNM-HCKVZZMMSA-N 0 3 249.354 2.796 20 0 BFADHN CCN(C/C=C\c1ccncc1)C[C@@H]1CCCO1 ZINC000495150571 527871611 /nfs/dbraw/zinc/87/16/11/527871611.db2.gz KLIIEABBWJWJGY-QTLSWZBMSA-N 0 3 246.354 2.596 20 0 BFADHN CCC[C@H](C)CN(CC(=O)OC)[C@@H](C)CC ZINC000467515874 528032219 /nfs/dbraw/zinc/03/22/19/528032219.db2.gz BNWARXAVJNUWEJ-RYUDHWBXSA-N 0 3 229.364 2.696 20 0 BFADHN CCC[C@H](C)CN1CC[C@@H](C)C[C@H]1C(=O)OC ZINC000482493587 528032278 /nfs/dbraw/zinc/03/22/78/528032278.db2.gz XQSVIFNMRJBBAI-AGIUHOORSA-N 0 3 241.375 2.696 20 0 BFADHN CCC[C@H](C)CN1CC[C@H](C)C[C@@H]1C(=O)OC ZINC000482493592 528032509 /nfs/dbraw/zinc/03/25/09/528032509.db2.gz XQSVIFNMRJBBAI-RWMBFGLXSA-N 0 3 241.375 2.696 20 0 BFADHN CCC[C@H](NC(=O)[C@@H](N)CC)C1CCCCC1 ZINC000494862111 528194013 /nfs/dbraw/zinc/19/40/13/528194013.db2.gz WEWKFWZCQMHOHJ-STQMWFEESA-N 0 3 240.391 2.589 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H]2C[C@@H](C)[C@H]2C)[n-]1 ZINC000495062845 528315495 /nfs/dbraw/zinc/31/54/95/528315495.db2.gz BBKYNVYUPZYDQU-CHWFTXMASA-N 0 3 236.363 2.623 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@@H]2C[C@@H](C)[C@H]2C)[nH]1 ZINC000495062845 528315497 /nfs/dbraw/zinc/31/54/97/528315497.db2.gz BBKYNVYUPZYDQU-CHWFTXMASA-N 0 3 236.363 2.623 20 0 BFADHN CCCCN(C/C=C/c1ccncc1)CCOC ZINC000494957319 528375097 /nfs/dbraw/zinc/37/50/97/528375097.db2.gz DWPKWHLDYHQAIO-AATRIKPKSA-N 0 3 248.370 2.843 20 0 BFADHN CCCCN(CCC)[C@@H](CC)C(=O)OCC ZINC000484543026 528398182 /nfs/dbraw/zinc/39/81/82/528398182.db2.gz RICWUKBJAFBLDX-LBPRGKRZSA-N 0 3 229.364 2.840 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cnc2ccccn12 ZINC000507186938 528407464 /nfs/dbraw/zinc/40/74/64/528407464.db2.gz ASOSUIZUUHOXMP-GFCCVEGCSA-N 0 3 231.343 2.811 20 0 BFADHN CCN(CN1CC(C)(C)OC1=O)[C@@H](C)C(C)C ZINC000495662670 528612292 /nfs/dbraw/zinc/61/22/92/528612292.db2.gz VSQJGPCOHPWHKI-NSHDSACASA-N 0 3 242.363 2.541 20 0 BFADHN CCCc1ccc(CN2C[C@H](O)C3(CC3)C2)cc1 ZINC000494661386 528622839 /nfs/dbraw/zinc/62/28/39/528622839.db2.gz MGLHSJPSNNOMES-HNNXBMFYSA-N 0 3 245.366 2.596 20 0 BFADHN CCCc1cccc(CN[C@@H]2C[C@H](O)C2(C)C)c1 ZINC000494427361 528637158 /nfs/dbraw/zinc/63/71/58/528637158.db2.gz FIMUHXZEOOLWAE-CABCVRRESA-N 0 3 247.382 2.888 20 0 BFADHN CC(C)[C@@H]1CN(CCCc2ccccc2)CCO1 ZINC000481498864 528746148 /nfs/dbraw/zinc/74/61/48/528746148.db2.gz SMOZQEHFVXENED-INIZCTEOSA-N 0 3 247.382 2.976 20 0 BFADHN CC/C=C\CCN(CC)C[C@H](O)C(F)(F)F ZINC000494259840 528788180 /nfs/dbraw/zinc/78/81/80/528788180.db2.gz OWQWUCSSQWPHMO-OMMCCPJFSA-N 0 3 239.281 2.588 20 0 BFADHN CCCOc1ncccc1CNC[C@@H]1C[C@@H]1C ZINC000488414850 528793832 /nfs/dbraw/zinc/79/38/32/528793832.db2.gz RMGMGBKJWBYUBE-AAEUAGOBSA-N 0 3 234.343 2.616 20 0 BFADHN CCC[C@@H](C)CN(CC(=O)OC)[C@H](C)CC ZINC000467515875 528876575 /nfs/dbraw/zinc/87/65/75/528876575.db2.gz BNWARXAVJNUWEJ-VXGBXAGGSA-N 0 3 229.364 2.696 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CCCC[C@H](C)C1 ZINC000481586228 528878953 /nfs/dbraw/zinc/87/89/53/528878953.db2.gz LBQFBHUZSHYBTF-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)N(Cc1ccn(C)n1)C1CCCCC1 ZINC000505763271 528963315 /nfs/dbraw/zinc/96/33/15/528963315.db2.gz UCYIIMABGOKMLH-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN CC[C@@H](C)CN(CC)[C@@H](CC(C)C)C(=O)OC ZINC000509682333 529319301 /nfs/dbraw/zinc/31/93/01/529319301.db2.gz NYTUFRVCUZZPKM-OLZOCXBDSA-N 0 3 243.391 2.942 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cc(OC)ccn1 ZINC000466927327 529325402 /nfs/dbraw/zinc/32/54/02/529325402.db2.gz QWDFUFQHWYTBMA-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H](C)N(C)Cc1c(C)nc2ccccn21 ZINC000507146458 529345137 /nfs/dbraw/zinc/34/51/37/529345137.db2.gz WVBUBPXVASPLDZ-LLVKDONJSA-N 0 3 231.343 2.873 20 0 BFADHN CC(C)(C)OC(=O)[C@H]1CCCN1CC1CCC1 ZINC000167643156 534944091 /nfs/dbraw/zinc/94/40/91/534944091.db2.gz VETRTJAAJQEWCR-GFCCVEGCSA-N 0 3 239.359 2.593 20 0 BFADHN COC[C@@H](C)NCc1c(C)c2ccccc2n1C ZINC000227516169 536551006 /nfs/dbraw/zinc/55/10/06/536551006.db2.gz PFZAXULJCJUONX-LLVKDONJSA-N 0 3 246.354 2.611 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@H](N)CC(C)C ZINC000226070731 535025429 /nfs/dbraw/zinc/02/54/29/535025429.db2.gz ZKVDWPQTTIOMBA-QWHCGFSZSA-N 0 3 242.407 2.835 20 0 BFADHN CCC(CC)NC(=O)[C@H](C)N1CCCCCC1 ZINC000253373431 535069900 /nfs/dbraw/zinc/06/99/00/535069900.db2.gz IUQBQOCBSZOCFL-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CCC(CC)NCc1csc(COC)n1 ZINC000164405525 535078690 /nfs/dbraw/zinc/07/86/90/535078690.db2.gz ITLLOGWYARZCFC-UHFFFAOYSA-N 0 3 228.361 2.568 20 0 BFADHN CCCc1ccc(CN(C)CC[C@H](C)O)cc1 ZINC000173818279 535416808 /nfs/dbraw/zinc/41/68/08/535416808.db2.gz RPDUIHKWBWYPCF-ZDUSSCGKSA-N 0 3 235.371 2.842 20 0 BFADHN CCC[C@@H]1CCCN(C(=O)[C@](C)(N)CCC)C1 ZINC000262119792 535419916 /nfs/dbraw/zinc/41/99/16/535419916.db2.gz JNEARJGQFVYMEA-TZMCWYRMSA-N 0 3 240.391 2.543 20 0 BFADHN CCC[C@@H]1CCCN(C(=O)[C@@](C)(N)CCC)C1 ZINC000262119791 535419613 /nfs/dbraw/zinc/41/96/13/535419613.db2.gz JNEARJGQFVYMEA-OCCSQVGLSA-N 0 3 240.391 2.543 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1cn2cc(C)ccc2n1 ZINC000348107828 535823674 /nfs/dbraw/zinc/82/36/74/535823674.db2.gz JBLPZPGYLFSWNY-OCCSQVGLSA-N 0 3 243.354 2.921 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](c1nccn1C)C1CC1 ZINC000348277107 535824878 /nfs/dbraw/zinc/82/48/78/535824878.db2.gz FYXVSODQCDSXNJ-RTXFEEFZSA-N 0 3 233.359 2.649 20 0 BFADHN CCn1nccc1CN1CC[C@H](C)C[C@H](C)C1 ZINC000180519480 535870721 /nfs/dbraw/zinc/87/07/21/535870721.db2.gz WZEIEEJBUCIHIW-STQMWFEESA-N 0 3 235.375 2.771 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2nccs2)CCO1 ZINC000251726381 535880687 /nfs/dbraw/zinc/88/06/87/535880687.db2.gz SFGUQUNNZDRHFZ-OUAUKWLOSA-N 0 3 240.372 2.751 20 0 BFADHN CCc1cccc(F)c1CNC[C@](C)(O)CC ZINC000353932969 535890265 /nfs/dbraw/zinc/89/02/65/535890265.db2.gz UHYHZQVEVIKOCT-CQSZACIVSA-N 0 3 239.334 2.639 20 0 BFADHN CC[C@H](NC[C@H](O)C(F)F)c1ccc(C)cc1 ZINC000224443131 535909940 /nfs/dbraw/zinc/90/99/40/535909940.db2.gz FZKNTFRTXRUPOG-RYUDHWBXSA-N 0 3 243.297 2.662 20 0 BFADHN CC[C@]1(C)CCN(Cc2conc2C)C1 ZINC000335938537 535911179 /nfs/dbraw/zinc/91/11/79/535911179.db2.gz PFMNACPIABNJAA-GFCCVEGCSA-N 0 3 208.305 2.605 20 0 BFADHN CC[C@]1(C)CCN([C@@H](C)c2cnc(C)cn2)C1 ZINC000336109813 535911687 /nfs/dbraw/zinc/91/16/87/535911687.db2.gz NMYLCNSYFMUPRK-GXTWGEPZSA-N 0 3 233.359 2.968 20 0 BFADHN CC[C@H](N[C@@H]1CCO[C@@H](C)C1)c1nccs1 ZINC000227097591 535939280 /nfs/dbraw/zinc/93/92/80/535939280.db2.gz VFLDWCMUNUCTIM-AXFHLTTASA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H](N[C@@H]1CCSC1)c1ccc(F)cn1 ZINC000226619555 535940866 /nfs/dbraw/zinc/94/08/66/535940866.db2.gz QMGLUQVHIIEKMA-MNOVXSKESA-N 0 3 240.347 2.767 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccc(F)cn2)C1 ZINC000335678839 536050317 /nfs/dbraw/zinc/05/03/17/536050317.db2.gz HTCBGWBYOUYLAF-ZDUSSCGKSA-N 0 3 222.307 2.843 20 0 BFADHN CC[C@H]1CC[C@@H](NCc2cccnc2OC)C1 ZINC000078005465 536093913 /nfs/dbraw/zinc/09/39/13/536093913.db2.gz QDSMFKPXAMUNFS-WCQYABFASA-N 0 3 234.343 2.759 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC)C[C@H]2C)cn1 ZINC000348333900 536178662 /nfs/dbraw/zinc/17/86/62/536178662.db2.gz CMGBKSWEELNGQJ-IUODEOHRSA-N 0 3 248.370 2.643 20 0 BFADHN CCc1ccc(CN2C[C@H](C)N(C)C[C@@H]2C)cc1 ZINC000351951446 536179528 /nfs/dbraw/zinc/17/95/28/536179528.db2.gz KSCFRYFBCOJVLV-KBPBESRZSA-N 0 3 246.398 2.773 20 0 BFADHN CC[C@@H]1COC[C@@H]1N[C@@H](C)c1cnc(C)s1 ZINC000850539580 582927703 /nfs/dbraw/zinc/92/77/03/582927703.db2.gz GFBRCJNRYWAFTF-GDPRMGEGSA-N 0 3 240.372 2.527 20 0 BFADHN CN(CCc1cccnc1)Cc1ccc(F)cc1 ZINC000172074159 536410564 /nfs/dbraw/zinc/41/05/64/536410564.db2.gz IVNQJSAYINGFFE-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN CO[C@@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC000336089906 536693247 /nfs/dbraw/zinc/69/32/47/536693247.db2.gz FACSEORTUZLRQX-ZWNOBZJWSA-N 0 3 223.291 2.607 20 0 BFADHN COc1cc(C)nc(CN[C@H](C)CC2CC2)c1 ZINC000214084152 536694040 /nfs/dbraw/zinc/69/40/40/536694040.db2.gz NSOXINUWTCDBJV-SNVBAGLBSA-N 0 3 234.343 2.677 20 0 BFADHN COC[C@@H](NCc1cscc1C)C1CC1 ZINC000087481880 536720352 /nfs/dbraw/zinc/72/03/52/536720352.db2.gz NEPGEDJDSNMAMB-GFCCVEGCSA-N 0 3 225.357 2.571 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2cccnc2)C1(C)C ZINC000227790382 536809754 /nfs/dbraw/zinc/80/97/54/536809754.db2.gz FHZHKBOYOBKJLX-WCFLWFBJSA-N 0 3 234.343 2.546 20 0 BFADHN COC[C@H](NCCC1CC1)c1ccc(F)cc1 ZINC000342325575 536875730 /nfs/dbraw/zinc/87/57/30/536875730.db2.gz JVXISHQMZZSKNW-AWEZNQCLSA-N 0 3 237.318 2.903 20 0 BFADHN COCCN[C@H](C)c1ccc2ccccc2n1 ZINC000178120541 536894270 /nfs/dbraw/zinc/89/42/70/536894270.db2.gz WRNGHQNIJPUQOL-LLVKDONJSA-N 0 3 230.311 2.532 20 0 BFADHN COc1ccccc1CNCC[C@H]1CCCCO1 ZINC000227339340 537047577 /nfs/dbraw/zinc/04/75/77/537047577.db2.gz TYVXLIWVWAIJIG-CQSZACIVSA-N 0 3 249.354 2.744 20 0 BFADHN COc1ccc2nc(CNC(C)C)sc2c1 ZINC000084787984 537053790 /nfs/dbraw/zinc/05/37/90/537053790.db2.gz PSLXLOYKMCXHCG-UHFFFAOYSA-N 0 3 236.340 2.803 20 0 BFADHN COCc1cccc(CN[C@H]2CCC[C@H]2F)c1 ZINC000339582638 566373644 /nfs/dbraw/zinc/37/36/44/566373644.db2.gz GZCVANFTKVKVCU-KGLIPLIRSA-N 0 3 237.318 2.813 20 0 BFADHN CC(C)[C@H](NCc1ccn(C(C)C)n1)C1CC1 ZINC000324359566 566465770 /nfs/dbraw/zinc/46/57/70/566465770.db2.gz HNKWUMIOCXLOKL-AWEZNQCLSA-N 0 3 235.375 2.988 20 0 BFADHN C[C@@H](CCO)NCc1ccc(C(F)F)c(F)c1 ZINC000893004785 583027500 /nfs/dbraw/zinc/02/75/00/583027500.db2.gz LSZFRBWOJUOAEK-QMMMGPOBSA-N 0 3 247.260 2.624 20 0 BFADHN CCOc1ccc(CNC[C@H](C)SC)o1 ZINC000893180190 583065070 /nfs/dbraw/zinc/06/50/70/583065070.db2.gz CYCREIQMYSETSI-VIFPVBQESA-N 0 3 229.345 2.519 20 0 BFADHN CC(C)[C@H](CC(F)(F)F)NCc1cncnc1 ZINC000438473320 566372468 /nfs/dbraw/zinc/37/24/68/566372468.db2.gz ROQMROJXWLXULN-JTQLQIEISA-N 0 3 247.264 2.543 20 0 BFADHN Nc1cc(N2CCCC3(CC3)C2)c(Cl)cn1 ZINC000893238457 583076038 /nfs/dbraw/zinc/07/60/38/583076038.db2.gz LSGFIUWFFVXCNY-UHFFFAOYSA-N 0 3 237.734 2.698 20 0 BFADHN Cc1cnc(CN[C@@H]2CCCC[C@@H]2C)o1 ZINC000184590733 583162084 /nfs/dbraw/zinc/16/20/84/583162084.db2.gz MNDLLEFHWQFNFC-GXSJLCMTSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1n[nH]c(CN2C[C@H](C)CC[C@H]2C)c1C ZINC000894035473 583279614 /nfs/dbraw/zinc/27/96/14/583279614.db2.gz YWYNNPAXNWMXDL-NXEZZACHSA-N 0 3 221.348 2.647 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1ocnc1C ZINC000894037889 583282494 /nfs/dbraw/zinc/28/24/94/583282494.db2.gz AAIZTQSAODRCMU-SECBINFHSA-N 0 3 228.361 2.556 20 0 BFADHN CCC[C@@H]1CCCN(Cc2ocnc2C)C1 ZINC000894063604 583292627 /nfs/dbraw/zinc/29/26/27/583292627.db2.gz DANHIALZHSYAHS-GFCCVEGCSA-N 0 3 222.332 2.995 20 0 BFADHN COc1cnc(F)c(CN[C@H]2CC[C@H](C)C2)c1 ZINC000877460585 583314431 /nfs/dbraw/zinc/31/44/31/583314431.db2.gz VSNXIEHKUYLOFP-ONGXEEELSA-N 0 3 238.306 2.508 20 0 BFADHN Cc1cc(NC(=O)C(C)C(F)(F)F)ccc1N ZINC000854012705 588771630 /nfs/dbraw/zinc/77/16/30/588771630.db2.gz SUTMTAMTVQCZHO-SSDOTTSWSA-N 0 3 246.232 2.714 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccns1)C1CCC1 ZINC000860059773 582499816 /nfs/dbraw/zinc/49/98/16/582499816.db2.gz OYDMPLGPPKBCLG-GXSJLCMTSA-N 0 3 240.372 2.609 20 0 BFADHN CCC(=O)CCCN1CCC[C@]2(CC2(F)F)C1 ZINC000844442891 582587107 /nfs/dbraw/zinc/58/71/07/582587107.db2.gz HFUPMWSKQUNIIJ-LBPRGKRZSA-N 0 3 245.313 2.867 20 0 BFADHN CCOc1cccc(CN[C@]2(CC)CCOC2)c1 ZINC000393484951 582662163 /nfs/dbraw/zinc/66/21/63/582662163.db2.gz PYQIVKVOFIZUKJ-OAHLLOKOSA-N 0 3 249.354 2.744 20 0 BFADHN CCCN(CCC)Cc1cnn(C)c1C1CC1 ZINC000891449359 582688886 /nfs/dbraw/zinc/68/88/86/582688886.db2.gz VODJKGODYUWNQE-UHFFFAOYSA-N 0 3 235.375 2.920 20 0 BFADHN c1nn(CC2CCC2)cc1CN1C2CCC1CC2 ZINC000891555262 582696791 /nfs/dbraw/zinc/69/67/91/582696791.db2.gz JPGBBJCYQQYCBZ-UHFFFAOYSA-N 0 3 245.370 2.810 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cn(CC)nc1C ZINC000891626227 582702863 /nfs/dbraw/zinc/70/28/63/582702863.db2.gz VZMWHQPFSCXUCF-LLVKDONJSA-N 0 3 223.364 2.832 20 0 BFADHN Cc1ncc([C@H](C)NC[C@H](C)C[C@@H](C)O)s1 ZINC000090417729 582706663 /nfs/dbraw/zinc/70/66/63/582706663.db2.gz UASOQVDUSBCREP-BBBLOLIVSA-N 0 3 242.388 2.509 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cn(CC)nc1C ZINC000891695307 582710764 /nfs/dbraw/zinc/71/07/64/582710764.db2.gz XCHLMMXPEICZPF-LLVKDONJSA-N 0 3 223.364 2.689 20 0 BFADHN CC[C@H]1CCCN1Cc1cnc(F)c(C)c1 ZINC000891778754 582719080 /nfs/dbraw/zinc/71/90/80/582719080.db2.gz BHRCMCJCVUTUPV-LBPRGKRZSA-N 0 3 222.307 2.904 20 0 BFADHN CCn1cc(CN2CCC[C@@H]3CCC[C@@H]32)c(C)n1 ZINC000891804091 582723788 /nfs/dbraw/zinc/72/37/88/582723788.db2.gz NRNSGZDMSPIXFI-ZFWWWQNUSA-N 0 3 247.386 2.976 20 0 BFADHN CCn1cc(CN2CCC[C@@H]3CCC[C@H]32)c(C)n1 ZINC000891804086 582724044 /nfs/dbraw/zinc/72/40/44/582724044.db2.gz NRNSGZDMSPIXFI-DZGCQCFKSA-N 0 3 247.386 2.976 20 0 BFADHN CCn1cc(CN(C)CC2CCCC2)c(C)n1 ZINC000891846603 582728940 /nfs/dbraw/zinc/72/89/40/582728940.db2.gz NUGMZZCZFNYBKM-UHFFFAOYSA-N 0 3 235.375 2.833 20 0 BFADHN CCn1cc(CN2CCC[C@H](C)[C@H]2C)c(C)n1 ZINC000891861634 582730693 /nfs/dbraw/zinc/73/06/93/582730693.db2.gz JAFVZXCETYUWIL-WCQYABFASA-N 0 3 235.375 2.832 20 0 BFADHN CCn1cc(CN2CCCC2(C)C)c(C)n1 ZINC000891869528 582732289 /nfs/dbraw/zinc/73/22/89/582732289.db2.gz MGZSCIHSDBCLEP-UHFFFAOYSA-N 0 3 221.348 2.586 20 0 BFADHN Cc1cc(F)ncc1CN1CCC[C@@H]1C1CC1 ZINC000891933247 582739870 /nfs/dbraw/zinc/73/98/70/582739870.db2.gz NTVGUQNZYACUCG-CYBMUJFWSA-N 0 3 234.318 2.904 20 0 BFADHN c1nn(C2CCC2)cc1CN1CCC[C@@H]1C1CC1 ZINC000891933176 582740263 /nfs/dbraw/zinc/74/02/63/582740263.db2.gz MFHXUXLNFMZTPO-OAHLLOKOSA-N 0 3 245.370 2.983 20 0 BFADHN C[C@@H]1CCCN1Cc1cccc2c1OCC2 ZINC000892019619 582762674 /nfs/dbraw/zinc/76/26/74/582762674.db2.gz NDJPPPHWFHKDRH-LLVKDONJSA-N 0 3 217.312 2.606 20 0 BFADHN CC1(C)CCN(Cc2ccnn2C2CCC2)C1 ZINC000892021066 582762714 /nfs/dbraw/zinc/76/27/14/582762714.db2.gz BDLGUBVOIYWYPY-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2C)cnc1F ZINC000892020360 582763299 /nfs/dbraw/zinc/76/32/99/582763299.db2.gz VJVYWWQFMBWCEL-JTQLQIEISA-N 0 3 208.280 2.513 20 0 BFADHN c1cc(CN2CCC3(CCC3)C2)n(C2CCC2)n1 ZINC000892054259 582777288 /nfs/dbraw/zinc/77/72/88/582777288.db2.gz AQKDHPQTWNNZPT-UHFFFAOYSA-N 0 3 245.370 2.984 20 0 BFADHN CN(C[C@@H]1C[C@]1(C)Br)C1CCC1 ZINC000892059077 582778807 /nfs/dbraw/zinc/77/88/07/582778807.db2.gz UNFXPLLCAHWSAR-WPRPVWTQSA-N 0 3 232.165 2.644 20 0 BFADHN COc1ccc(CN2CCC2(C)C)c(C)c1 ZINC000892058464 582779006 /nfs/dbraw/zinc/77/90/06/582779006.db2.gz WJJRFBNGNCAUJX-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN COc1ccc(CN2CC[C@H](C)[C@@H](C)C2)o1 ZINC000892064334 582782382 /nfs/dbraw/zinc/78/23/82/582782382.db2.gz BYRJKPYAGPIPHJ-QWRGUYRKSA-N 0 3 223.316 2.766 20 0 BFADHN COc1ccc(CN2C[C@H](C)CC[C@@H]2C)o1 ZINC000892067588 582782766 /nfs/dbraw/zinc/78/27/66/582782766.db2.gz SADGRHYNBRTNGI-MNOVXSKESA-N 0 3 223.316 2.909 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1ccc(OC)o1 ZINC000892068967 582784335 /nfs/dbraw/zinc/78/43/35/582784335.db2.gz WXWOIRTVEORZCN-MNOVXSKESA-N 0 3 223.316 2.909 20 0 BFADHN C[C@@H]1CN(Cc2cnn(CC3CCC3)c2)C[C@H]1C ZINC000892068234 582785065 /nfs/dbraw/zinc/78/50/65/582785065.db2.gz IAABXDYLJJEQDN-CHWSQXEVSA-N 0 3 247.386 2.771 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1nccn1C1CC1 ZINC000892068101 582785073 /nfs/dbraw/zinc/78/50/73/582785073.db2.gz FBRLCGZVPLMNDQ-VXGBXAGGSA-N 0 3 233.359 2.838 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@@H](C)C2)cnc1F ZINC000892068204 582785139 /nfs/dbraw/zinc/78/51/39/582785139.db2.gz GWXLMBKGCRAHIE-QWRGUYRKSA-N 0 3 222.307 2.617 20 0 BFADHN CCOc1ccc(CN2C[C@H](C)[C@@H](C)C2)o1 ZINC000892068208 582785262 /nfs/dbraw/zinc/78/52/62/582785262.db2.gz HAGXOPOIZYJNPU-QWRGUYRKSA-N 0 3 223.316 2.766 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2c3c(nn2C)CCC3)C1 ZINC000892070006 582785930 /nfs/dbraw/zinc/78/59/30/582785930.db2.gz SDDCJECAWOTSTK-NEPJUHHUSA-N 0 3 247.386 2.529 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cn3ccnc3s2)C1 ZINC000892103150 582791958 /nfs/dbraw/zinc/79/19/58/582791958.db2.gz IXRYZODBGJVBAV-ZJUUUORDSA-N 0 3 235.356 2.626 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cnn(CC3CCC3)c2)C1 ZINC000892105269 582792737 /nfs/dbraw/zinc/79/27/37/582792737.db2.gz YQROLIARGIMDGE-STQMWFEESA-N 0 3 247.386 2.914 20 0 BFADHN CC1(O)CCN(C/C=C\c2ccccc2)CC1 ZINC000255146430 582798004 /nfs/dbraw/zinc/79/80/04/582798004.db2.gz YARHSXPDTLMWRC-YVMONPNESA-N 0 3 231.339 2.547 20 0 BFADHN c1nn(C2CCC2)cc1CN1CC[C@@H](C2CC2)C1 ZINC000892145500 582800421 /nfs/dbraw/zinc/80/04/21/582800421.db2.gz SNZBEOLXLMQDPE-CQSZACIVSA-N 0 3 245.370 2.840 20 0 BFADHN C[C@H]1CCN(Cc2cnn(C3CCC3)c2)[C@H]1C ZINC000892215217 582808256 /nfs/dbraw/zinc/80/82/56/582808256.db2.gz TZWSSXGFHGWMQD-RYUDHWBXSA-N 0 3 233.359 2.838 20 0 BFADHN COc1ccc(CN(C)[C@@H](C)C2(C)CC2)o1 ZINC000892216730 582808474 /nfs/dbraw/zinc/80/84/74/582808474.db2.gz BPHBQNOJAQEJBV-JTQLQIEISA-N 0 3 223.316 2.909 20 0 BFADHN C[C@H]1CCN(Cc2cnn(CC3CCC3)c2)[C@H]1C ZINC000892215533 582808542 /nfs/dbraw/zinc/80/85/42/582808542.db2.gz XAKWMFYHSOQURH-STQMWFEESA-N 0 3 247.386 2.914 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cccc2[nH]c(=O)oc21 ZINC000892223798 582809390 /nfs/dbraw/zinc/80/93/90/582809390.db2.gz MNVCSFQFAIBGDD-AOOOYVTPSA-N 0 3 246.310 2.906 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cn2ccnc2s1 ZINC000892223537 582809866 /nfs/dbraw/zinc/80/98/66/582809866.db2.gz JHKZTXUWRGPKJG-AOOOYVTPSA-N 0 3 235.356 2.769 20 0 BFADHN CCn1cc(CN2[C@H](C)CC[C@@H]2C)c(C)n1 ZINC000892223547 582809974 /nfs/dbraw/zinc/80/99/74/582809974.db2.gz JOMMPVORGKIQRN-PHIMTYICSA-N 0 3 221.348 2.584 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](CC)C2)o1 ZINC000892223815 582810239 /nfs/dbraw/zinc/81/02/39/582810239.db2.gz MTDVQFJSQQOSKF-LLVKDONJSA-N 0 3 223.316 2.910 20 0 BFADHN c1ccc2c(c1)CC[C@H]2CN1C[C@H]2CC[C@@H](C1)O2 ZINC000780293409 582827153 /nfs/dbraw/zinc/82/71/53/582827153.db2.gz VLFMLOHQSHMCTM-SOUVJXGZSA-N 0 3 243.350 2.580 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCCc1cccnc1 ZINC000092331980 582855021 /nfs/dbraw/zinc/85/50/21/582855021.db2.gz CSUZPIWGTMYUBT-SNVBAGLBSA-N 0 3 245.326 2.580 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCC[C@@H]1CCCO1 ZINC000092331514 582855188 /nfs/dbraw/zinc/85/51/88/582855188.db2.gz ZJFLRPKROSLICZ-CABZTGNLSA-N 0 3 238.331 2.511 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1C=CCC1 ZINC000829347843 582856130 /nfs/dbraw/zinc/85/61/30/582856130.db2.gz NRRXPGOKWUACPC-ZDUSSCGKSA-N 0 3 217.312 2.812 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1C=CCC1 ZINC000829347841 582856441 /nfs/dbraw/zinc/85/64/41/582856441.db2.gz NRRXPGOKWUACPC-CYBMUJFWSA-N 0 3 217.312 2.812 20 0 BFADHN CCOc1cccc(CN[C@H]2C=CCC2)c1 ZINC000829346684 582856937 /nfs/dbraw/zinc/85/69/37/582856937.db2.gz ARZZYNGPFOZMDN-ZDUSSCGKSA-N 0 3 217.312 2.894 20 0 BFADHN CCC[C@@](C)(O)CNCc1cc(C)oc1C ZINC000127638423 582860251 /nfs/dbraw/zinc/86/02/51/582860251.db2.gz OFIXWRXTFHVPIY-CYBMUJFWSA-N 0 3 225.332 2.537 20 0 BFADHN CCc1onc(C)c1CNCCC(C)C ZINC000892426146 582884357 /nfs/dbraw/zinc/88/43/57/582884357.db2.gz DQRGUAUMNMWGIP-UHFFFAOYSA-N 0 3 210.321 2.681 20 0 BFADHN CCCCCNCc1ccnc(OC)c1F ZINC000892430627 582885966 /nfs/dbraw/zinc/88/59/66/582885966.db2.gz HKHBMIZUAIGLKR-UHFFFAOYSA-N 0 3 226.295 2.509 20 0 BFADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1cncnc1 ZINC000179999469 582901496 /nfs/dbraw/zinc/90/14/96/582901496.db2.gz ZHUDICHKNUGSRP-GXTWGEPZSA-N 0 3 233.359 2.877 20 0 BFADHN CCOc1ccc(CNCC2CCCC2)o1 ZINC000892510145 582902106 /nfs/dbraw/zinc/90/21/06/582902106.db2.gz ICZHDBDPPDSZRK-UHFFFAOYSA-N 0 3 223.316 2.958 20 0 BFADHN c1ccc2c(c1)OCCC[C@@H]2NC1CSC1 ZINC000393769439 582923581 /nfs/dbraw/zinc/92/35/81/582923581.db2.gz RXWRTSCDEBZYHS-LBPRGKRZSA-N 0 3 235.352 2.605 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1ccc(F)cc1C ZINC000850572377 582930307 /nfs/dbraw/zinc/93/03/07/582930307.db2.gz ZYDTWDUBHPKEQO-HONMWMINSA-N 0 3 237.318 2.962 20 0 BFADHN COc1ccc(CNC[C@H]2CC=CCC2)o1 ZINC000892745335 582974458 /nfs/dbraw/zinc/97/44/58/582974458.db2.gz NSLTUEHUUFSZMI-NSHDSACASA-N 0 3 221.300 2.734 20 0 BFADHN COCC[C@@H](C)[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000892784150 582982064 /nfs/dbraw/zinc/98/20/64/582982064.db2.gz HFIMUFYWLISDKS-SECBINFHSA-N 0 3 243.734 2.560 20 0 BFADHN C[C@@H](NCc1cn(C)nc1Cl)C1CCCC1 ZINC000856770935 588876728 /nfs/dbraw/zinc/87/67/28/588876728.db2.gz SMUDJROXMNDCMY-SECBINFHSA-N 0 3 241.766 2.742 20 0 BFADHN Nc1cc(NC[C@@H]2CCCS2)c(Cl)cn1 ZINC000892883756 583004457 /nfs/dbraw/zinc/00/44/57/583004457.db2.gz FHRZCGZCSDIHHR-ZETCQYMHSA-N 0 3 243.763 2.625 20 0 BFADHN COc1ccc(CNCC2(C)CCCC2)o1 ZINC000892946646 583015391 /nfs/dbraw/zinc/01/53/91/583015391.db2.gz JDWWKNJGWQIDPY-UHFFFAOYSA-N 0 3 223.316 2.958 20 0 BFADHN COCCC[C@@H](C)N[C@@H](C)c1c(C)noc1C ZINC000395417312 583057457 /nfs/dbraw/zinc/05/74/57/583057457.db2.gz QXPLQLUPFSOMIK-ZJUUUORDSA-N 0 3 240.347 2.757 20 0 BFADHN COCCC[C@H](C)N[C@@H](C)c1c(C)noc1C ZINC000395417306 583057610 /nfs/dbraw/zinc/05/76/10/583057610.db2.gz QXPLQLUPFSOMIK-UWVGGRQHSA-N 0 3 240.347 2.757 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1CCC(F)(F)C1 ZINC000893153785 583058406 /nfs/dbraw/zinc/05/84/06/583058406.db2.gz XWUBARWGJHXTCM-SECBINFHSA-N 0 3 244.285 2.823 20 0 BFADHN COc1nccc(CN[C@@H](C)CC(C)C)c1F ZINC000893179942 583065424 /nfs/dbraw/zinc/06/54/24/583065424.db2.gz DSMZIEPMTVSCHH-JTQLQIEISA-N 0 3 240.322 2.754 20 0 BFADHN COc1ccc(CN[C@@H](C)CC(C)C)nc1 ZINC000893180659 583065975 /nfs/dbraw/zinc/06/59/75/583065975.db2.gz GYCBNDDBDYKSEM-NSHDSACASA-N 0 3 222.332 2.614 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2cn(C)nc2Cl)C1 ZINC000856765968 588876357 /nfs/dbraw/zinc/87/63/57/588876357.db2.gz SJZYDVYTBUKINX-KOLCDFICSA-N 0 3 241.766 2.742 20 0 BFADHN Cn1cc(CN[C@@H]2CCCC2(C)C)c(Cl)n1 ZINC000856771729 588877126 /nfs/dbraw/zinc/87/71/26/588877126.db2.gz LPQCSLCYSZZEDC-SNVBAGLBSA-N 0 3 241.766 2.742 20 0 BFADHN CCOc1cncc(CN[C@@H]2CCC2(C)C)c1 ZINC000856789013 588877910 /nfs/dbraw/zinc/87/79/10/588877910.db2.gz YNQDACZIFJPFEB-CYBMUJFWSA-N 0 3 234.343 2.759 20 0 BFADHN CC(C)n1nccc1CN[C@@H]1CCC(F)(F)C1 ZINC000394619786 583129251 /nfs/dbraw/zinc/12/92/51/583129251.db2.gz SPADNHBBUHAJMT-SNVBAGLBSA-N 0 3 243.301 2.741 20 0 BFADHN CC1CC(CNCc2cccnc2Cl)C1 ZINC000856811764 588878903 /nfs/dbraw/zinc/87/89/03/588878903.db2.gz UMUIPTPVRYYTAK-UHFFFAOYSA-N 0 3 224.735 2.871 20 0 BFADHN CCN(Cc1nnc(-c2ccco2)o1)CC(C)C ZINC000046064368 583150805 /nfs/dbraw/zinc/15/08/05/583150805.db2.gz SACWVVWFHGGKRJ-UHFFFAOYSA-N 0 3 249.314 2.808 20 0 BFADHN Cc1oc(C(C)(C)C)cc1CN(C)C[C@@H](C)O ZINC000893547038 583162104 /nfs/dbraw/zinc/16/21/04/583162104.db2.gz VKAWKINYTVBGHJ-SNVBAGLBSA-N 0 3 239.359 2.698 20 0 BFADHN CCN(CCC1CC1)Cc1ccc(OC)o1 ZINC000893590342 583167993 /nfs/dbraw/zinc/16/79/93/583167993.db2.gz MXDIGDBETFMPGX-UHFFFAOYSA-N 0 3 223.316 2.910 20 0 BFADHN CCN(CCC1CC1)Cc1cn(C)nc1C1CC1 ZINC000893589350 583168218 /nfs/dbraw/zinc/16/82/18/583168218.db2.gz GMTJGMJCSPDUDV-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccc(F)c1F)C(C)(C)O ZINC000393971704 583199673 /nfs/dbraw/zinc/19/96/73/583199673.db2.gz IPJOGBQKRMWKIG-DTWKUNHWSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cn1cccnc1=S ZINC000841695153 583204109 /nfs/dbraw/zinc/20/41/09/583204109.db2.gz ZLCFAXDONWOCBZ-OUAUKWLOSA-N 0 3 237.372 2.546 20 0 BFADHN c1cc(CC2CN(C[C@H]3CCC=CO3)C2)cs1 ZINC000857068310 588885499 /nfs/dbraw/zinc/88/54/99/588885499.db2.gz QBKDEDQNDDWGMT-CQSZACIVSA-N 0 3 249.379 2.915 20 0 BFADHN CCOc1ccc(CN[C@@H]2CC[C@@H](C)C2)o1 ZINC000893866621 583235220 /nfs/dbraw/zinc/23/52/20/583235220.db2.gz KMWJXUHJDSVABH-GHMZBOCLSA-N 0 3 223.316 2.957 20 0 BFADHN CCN(CC)Cc1cc(C)cc(OC)c1OC ZINC000893871300 583237835 /nfs/dbraw/zinc/23/78/35/583237835.db2.gz JJARSCQMIZICJZ-UHFFFAOYSA-N 0 3 237.343 2.854 20 0 BFADHN CC1CCN(Cc2ccc3oc(=O)oc3c2)CC1 ZINC000893872914 583238828 /nfs/dbraw/zinc/23/88/28/583238828.db2.gz YLAWNBZHFXSSGI-UHFFFAOYSA-N 0 3 247.294 2.618 20 0 BFADHN CCOc1ccc(CNCC(C)(C)OCC)o1 ZINC000893876386 583239568 /nfs/dbraw/zinc/23/95/68/583239568.db2.gz VIWAHIFCTAEZKF-UHFFFAOYSA-N 0 3 241.331 2.583 20 0 BFADHN OCC1CCN(C/C=C\c2cccc(F)c2)CC1 ZINC000893921273 583248182 /nfs/dbraw/zinc/24/81/82/583248182.db2.gz JJPQMWNFOVUKNI-RQOWECAXSA-N 0 3 249.329 2.543 20 0 BFADHN OCC1CCN(C/C=C/c2cccc(F)c2)CC1 ZINC000893921272 583248611 /nfs/dbraw/zinc/24/86/11/583248611.db2.gz JJPQMWNFOVUKNI-DUXPYHPUSA-N 0 3 249.329 2.543 20 0 BFADHN CN(C/C=C/c1cccc(F)c1)C[C@H]1CCCO1 ZINC000893926696 583249383 /nfs/dbraw/zinc/24/93/83/583249383.db2.gz XJADVIFYQSZIGP-YFCVBGDOSA-N 0 3 249.329 2.950 20 0 BFADHN CC[C@@H]1CCCN(Cc2[nH]nc(C)c2C)C1 ZINC000893961280 583256474 /nfs/dbraw/zinc/25/64/74/583256474.db2.gz DOXVYULSNVKFQW-GFCCVEGCSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1n[nH]c(CN(CC(C)C)C2CC2)c1C ZINC000893952163 583260361 /nfs/dbraw/zinc/26/03/61/583260361.db2.gz CXJBFRNGBLRNTE-UHFFFAOYSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1[nH]nc(CN(CC(C)C)C2CC2)c1C ZINC000893952163 583260362 /nfs/dbraw/zinc/26/03/62/583260362.db2.gz CXJBFRNGBLRNTE-UHFFFAOYSA-N 0 3 221.348 2.647 20 0 BFADHN CC[C@@H](C)CN(C)Cc1[nH]nc(C)c1C ZINC000893960422 583262863 /nfs/dbraw/zinc/26/28/63/583262863.db2.gz XENPKBNRZMNEDJ-SECBINFHSA-N 0 3 209.337 2.504 20 0 BFADHN CC[C@@H](C)CN(C)Cc1n[nH]c(C)c1C ZINC000893960422 583262865 /nfs/dbraw/zinc/26/28/65/583262865.db2.gz XENPKBNRZMNEDJ-SECBINFHSA-N 0 3 209.337 2.504 20 0 BFADHN Cc1noc2ncc(CN3CCCC3(C)C)cc12 ZINC000893986166 583268669 /nfs/dbraw/zinc/26/86/69/583268669.db2.gz LTCIPZQQOTUZBH-UHFFFAOYSA-N 0 3 245.326 2.906 20 0 BFADHN Cc1ncoc1CN1CCC2(CCCC2)C1 ZINC000894021645 583274381 /nfs/dbraw/zinc/27/43/81/583274381.db2.gz PAPKGDCHDCSJPV-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN C[C@@H](O)CCN(C)C/C=C/c1cccc(F)c1 ZINC000894034022 583278167 /nfs/dbraw/zinc/27/81/67/583278167.db2.gz PCAGWJAPAGINOC-FVOPLDGLSA-N 0 3 237.318 2.542 20 0 BFADHN CCn1cc(CN[C@H]2CC23CCCC3)c(C)n1 ZINC000894035850 583279212 /nfs/dbraw/zinc/27/92/12/583279212.db2.gz ZYUXFHWJIODPEH-ZDUSSCGKSA-N 0 3 233.359 2.634 20 0 BFADHN Cc1ncoc1CN1C[C@H](C)CC[C@H]1C ZINC000894035186 583279745 /nfs/dbraw/zinc/27/97/45/583279745.db2.gz WGPQMJPOTFSQNL-NXEZZACHSA-N 0 3 208.305 2.603 20 0 BFADHN CCC(C)(C)CNCc1ccc(OC)cn1 ZINC000894029955 583282028 /nfs/dbraw/zinc/28/20/28/583282028.db2.gz KDUMPYYYCGWTNN-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ocnc2C)C1 ZINC000894086752 583297156 /nfs/dbraw/zinc/29/71/56/583297156.db2.gz LYRVQSVUXKEVGX-LBPRGKRZSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1n[nH]c(CN2CC[C@@H](C(C)C)C2)c1C ZINC000894088979 583298661 /nfs/dbraw/zinc/29/86/61/583298661.db2.gz NMDLUMWVTBMVSC-GFCCVEGCSA-N 0 3 221.348 2.504 20 0 BFADHN CC[C@H]1CCN(Cc2ccc3oc(=O)oc3c2)C1 ZINC000894088592 583298845 /nfs/dbraw/zinc/29/88/45/583298845.db2.gz MCGFRQYFHSGTEB-JTQLQIEISA-N 0 3 247.294 2.618 20 0 BFADHN COc1ccc(CN2[C@@H](C)C[C@@H]2C)c(C)c1 ZINC000894138611 583314852 /nfs/dbraw/zinc/31/48/52/583314852.db2.gz HBYKMUNXSAJNFH-RYUDHWBXSA-N 0 3 219.328 2.986 20 0 BFADHN Cc1ccc(CCCNCc2c[nH]cn2)c(C)c1 ZINC000894153394 583319235 /nfs/dbraw/zinc/31/92/35/583319235.db2.gz WTAACVPCBQXNPL-UHFFFAOYSA-N 0 3 243.354 2.749 20 0 BFADHN CCN(Cc1cn(C)nc1C1CC1)CC1CCC1 ZINC000894176341 583327683 /nfs/dbraw/zinc/32/76/83/583327683.db2.gz MOWKQJPVXBUNOQ-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN CC1=C(C)CN(Cc2cn(C)nc2C2CC2)CC1 ZINC000894195767 583335747 /nfs/dbraw/zinc/33/57/47/583335747.db2.gz VGAATXBVKHPUAC-UHFFFAOYSA-N 0 3 245.370 2.840 20 0 BFADHN CCn1cc(CN2CCC(C)=C(C)C2)c(C)n1 ZINC000894196181 583335760 /nfs/dbraw/zinc/33/57/60/583335760.db2.gz XVGLATZYVHSGTK-UHFFFAOYSA-N 0 3 233.359 2.754 20 0 BFADHN Cc1ncoc1CNCC1CCC(C)CC1 ZINC000894200141 583336796 /nfs/dbraw/zinc/33/67/96/583336796.db2.gz JYTKGKYAUQOPLH-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN C[C@H]1C[C@@H](NCc2noc3ccccc32)CS1 ZINC000877620853 583339417 /nfs/dbraw/zinc/33/94/17/583339417.db2.gz KJIJUHQQTIPQHQ-VHSXEESVSA-N 0 3 248.351 2.811 20 0 BFADHN C[C@]1(C(F)(F)F)CCN(C[C@@H]2CCC=CO2)C1 ZINC000877812620 583348427 /nfs/dbraw/zinc/34/84/27/583348427.db2.gz RQGQFQSCOFPLTK-QWRGUYRKSA-N 0 3 249.276 2.953 20 0 BFADHN CC1=CCCN(C[C@H]2C[C@]2(C)Br)C1 ZINC000894221324 583382099 /nfs/dbraw/zinc/38/20/99/583382099.db2.gz NKOBCAPSSYBUPN-MNOVXSKESA-N 0 3 244.176 2.812 20 0 BFADHN Cc1ccc(C(C)(C)CNCc2c[nH]cn2)cc1 ZINC000894223537 583383000 /nfs/dbraw/zinc/38/30/00/583383000.db2.gz AWYRBYJKPHFGQF-UHFFFAOYSA-N 0 3 243.354 2.786 20 0 BFADHN COc1ccc(CNC[C@H]2CCC[C@H]2C)o1 ZINC000894241628 583387718 /nfs/dbraw/zinc/38/77/18/583387718.db2.gz YEQAPBSYMQMILD-GHMZBOCLSA-N 0 3 223.316 2.814 20 0 BFADHN COc1ccc(CNC[C@H]2CCC[C@@H]2C)o1 ZINC000894241634 583387782 /nfs/dbraw/zinc/38/77/82/583387782.db2.gz YEQAPBSYMQMILD-WDEREUQCSA-N 0 3 223.316 2.814 20 0 BFADHN Cc1ncoc1CNCC1(C)CCCC1 ZINC000894255437 583394747 /nfs/dbraw/zinc/39/47/47/583394747.db2.gz ZRRIPIMUASYWCC-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CS[C@@H]1CCC[C@H](NCc2ocnc2C)C1 ZINC000894259828 583398432 /nfs/dbraw/zinc/39/84/32/583398432.db2.gz JVFIENVOIVSIIG-WDEREUQCSA-N 0 3 240.372 2.747 20 0 BFADHN Cc1ncoc1CN[C@@H]1CC[C@H](C)C[C@@H]1C ZINC000894261045 583399582 /nfs/dbraw/zinc/39/95/82/583399582.db2.gz PLLFXJJBBVXLSK-JBLDHEPKSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](C)C2CC2)no1 ZINC000389856737 583405237 /nfs/dbraw/zinc/40/52/37/583405237.db2.gz AGWXIZNUIAGGCS-WCBMZHEXSA-N 0 3 208.305 2.680 20 0 BFADHN Cc1ncoc1CNCCc1cc(C)cc(C)c1 ZINC000894285464 583410599 /nfs/dbraw/zinc/41/05/99/583410599.db2.gz WIOSVYAIOKJIMN-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCCO2)c(C)o1 ZINC000127601011 583420564 /nfs/dbraw/zinc/42/05/64/583420564.db2.gz GNSSNMNSNKUUOM-ZDUSSCGKSA-N 0 3 223.316 2.555 20 0 BFADHN CC1(F)CCN(C[C@@H]2C[C@@H]3CCC[C@H]3O2)CC1 ZINC000879383273 583428113 /nfs/dbraw/zinc/42/81/13/583428113.db2.gz GPVWMLFXYNHLKU-RWMBFGLXSA-N 0 3 241.350 2.768 20 0 BFADHN Cc1ncoc1CNCCc1c(C)cccc1C ZINC000894351630 583433540 /nfs/dbraw/zinc/43/35/40/583433540.db2.gz GPEHQBXJMYLLTN-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN CO[C@H](C)CN(C)Cc1cc(O)cc(Cl)c1 ZINC000894435388 583459301 /nfs/dbraw/zinc/45/93/01/583459301.db2.gz OGDGIMFCONJZRU-SECBINFHSA-N 0 3 243.734 2.512 20 0 BFADHN c1csc(N[C@H]2CCCN3CCCC[C@H]23)n1 ZINC000894438742 583460084 /nfs/dbraw/zinc/46/00/84/583460084.db2.gz UCDUAUIZNOJXHQ-WDEREUQCSA-N 0 3 237.372 2.572 20 0 BFADHN Cc1ccnc(N)c1CN1C[C@H](C)CC(C)(C)C1 ZINC000894446311 583460663 /nfs/dbraw/zinc/46/06/63/583460663.db2.gz ZFCOSIGMJLECBH-LLVKDONJSA-N 0 3 247.386 2.840 20 0 BFADHN Cc1ccnc(N)c1CN1C[C@@H](C)CC(C)(C)C1 ZINC000894446312 583461043 /nfs/dbraw/zinc/46/10/43/583461043.db2.gz ZFCOSIGMJLECBH-NSHDSACASA-N 0 3 247.386 2.840 20 0 BFADHN COc1ccc(CN[C@H]2CCC2(C)C)o1 ZINC000894447199 583461877 /nfs/dbraw/zinc/46/18/77/583461877.db2.gz YSMYHRZOLJANLJ-JTQLQIEISA-N 0 3 209.289 2.566 20 0 BFADHN OCC[C@H]1CCCN1C/C=C\c1cccc(F)c1 ZINC000894499098 583472486 /nfs/dbraw/zinc/47/24/86/583472486.db2.gz DRAVQARZBJQBSZ-ZSQCLPQSSA-N 0 3 249.329 2.686 20 0 BFADHN CC[C@@]1(CO)CCCN(Cc2cc(C)co2)C1 ZINC000894503868 583473580 /nfs/dbraw/zinc/47/35/80/583473580.db2.gz MPTJIMOKCGFHGQ-CQSZACIVSA-N 0 3 237.343 2.573 20 0 BFADHN COc1ccc(CNC[C@H]2CCCSC2)o1 ZINC000894514347 583476242 /nfs/dbraw/zinc/47/62/42/583476242.db2.gz YNMJGUGDFMQTKS-SNVBAGLBSA-N 0 3 241.356 2.521 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1nccc2ccccc21 ZINC000894534083 583478299 /nfs/dbraw/zinc/47/82/99/583478299.db2.gz XSHJEPWHPBYPAH-NWDGAFQWSA-N 0 3 244.338 2.748 20 0 BFADHN C[C@@H]1CCN(C/C=C\c2cccc(F)c2)[C@H]1CO ZINC000894535103 583478581 /nfs/dbraw/zinc/47/85/81/583478581.db2.gz WTYCQHZLBPWDQD-FWQWQBSGSA-N 0 3 249.329 2.542 20 0 BFADHN CC1(C)CN(C/C=C/c2cccc(F)c2)C[C@@H]1O ZINC000894595113 583492845 /nfs/dbraw/zinc/49/28/45/583492845.db2.gz GROXKEXFIXRMIE-YVZNUBBCSA-N 0 3 249.329 2.542 20 0 BFADHN Nc1cc(NCC2=CCCC2)c(Cl)cn1 ZINC000894599461 583493640 /nfs/dbraw/zinc/49/36/40/583493640.db2.gz LNPQGEJJVYZTOQ-UHFFFAOYSA-N 0 3 223.707 2.839 20 0 BFADHN COC[C@H]1CCN1CCc1ccc(C)c(C)c1 ZINC000894612186 583494083 /nfs/dbraw/zinc/49/40/83/583494083.db2.gz DZBKZBDXPHNXOT-OAHLLOKOSA-N 0 3 233.355 2.567 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@H]2C)c(C)c1 ZINC000894628620 583496880 /nfs/dbraw/zinc/49/68/80/583496880.db2.gz PQRVFDVOEHVFOY-NWDGAFQWSA-N 0 3 219.328 2.844 20 0 BFADHN CCOc1ccc(CNC2(C(C)C)CC2)o1 ZINC000894626323 583497642 /nfs/dbraw/zinc/49/76/42/583497642.db2.gz PWKQKXPAWNDCNL-UHFFFAOYSA-N 0 3 223.316 2.957 20 0 BFADHN Cc1ncoc1CNC[C@H]1CCC[C@@H]1C ZINC000894651662 583500639 /nfs/dbraw/zinc/50/06/39/583500639.db2.gz HJJFOYCXXWBJQZ-GXSJLCMTSA-N 0 3 208.305 2.509 20 0 BFADHN COCCCC1(CN2CC3(CC3)C2)CCC1 ZINC000894663079 583501894 /nfs/dbraw/zinc/50/18/94/583501894.db2.gz HBLGPCPTKHLOQY-UHFFFAOYSA-N 0 3 223.360 2.679 20 0 BFADHN Cn1ncc(CNCC2=CCCCC2)c1C1CC1 ZINC000894663078 583502070 /nfs/dbraw/zinc/50/20/70/583502070.db2.gz GYAZIKSDWZOONT-UHFFFAOYSA-N 0 3 245.370 2.888 20 0 BFADHN Cc1noc(C)c1CCN1CCC[C@@H](CF)C1 ZINC000880237598 583505943 /nfs/dbraw/zinc/50/59/43/583505943.db2.gz YHFQDZBEAGMYKW-LBPRGKRZSA-N 0 3 240.322 2.515 20 0 BFADHN CS[C@@H]1CCN(Cc2cccc3c2OCC3)C1 ZINC000894692026 583507919 /nfs/dbraw/zinc/50/79/19/583507919.db2.gz NYQCTFJQWQAMPB-CYBMUJFWSA-N 0 3 249.379 2.559 20 0 BFADHN c1cc2c(cc1CN1CC[C@H]1C1CC1)CCCO2 ZINC000894688929 583508193 /nfs/dbraw/zinc/50/81/93/583508193.db2.gz GTXZNESDMQERDP-HNNXBMFYSA-N 0 3 243.350 2.996 20 0 BFADHN Cc1nocc1CNC1CC2(C1)CCCC2 ZINC000894697134 583509888 /nfs/dbraw/zinc/50/98/88/583509888.db2.gz IRJDWVJIQGCHBT-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN Cc1coc(CN2CC[C@@]3(C2)CCCOC3)c1 ZINC000894699692 583509931 /nfs/dbraw/zinc/50/99/31/583509931.db2.gz VFVSQDKWFQSESX-CQSZACIVSA-N 0 3 235.327 2.591 20 0 BFADHN CC/C=C\CNCc1cnc(F)c(C)c1 ZINC000894704268 583510935 /nfs/dbraw/zinc/51/09/35/583510935.db2.gz RJKLFBXOBDTCLO-PLNGDYQASA-N 0 3 208.280 2.585 20 0 BFADHN CC[C@]1(C)CCC[C@@H]1[NH2+][C@H](C)c1nnc(C)[n-]1 ZINC000894775938 583518241 /nfs/dbraw/zinc/51/82/41/583518241.db2.gz ARRDCMOTSBJWDF-SUZMYJTESA-N 0 3 236.363 2.733 20 0 BFADHN c1csc([C@@H]2CCN(Cc3ccccn3)C2)n1 ZINC000880317159 583528852 /nfs/dbraw/zinc/52/88/52/583528852.db2.gz PNYRZPRLHPMKTO-LLVKDONJSA-N 0 3 245.351 2.528 20 0 BFADHN COCC1(C)CN(CCc2ccc(C)c(C)c2)C1 ZINC000894841076 583529910 /nfs/dbraw/zinc/52/99/10/583529910.db2.gz RFJXBNNKMSLYGR-UHFFFAOYSA-N 0 3 247.382 2.814 20 0 BFADHN CCC[C@H](O)CN1CCc2ccsc2[C@H]1C ZINC000880377149 583530136 /nfs/dbraw/zinc/53/01/36/583530136.db2.gz PJXJWZBJWQUNMP-PWSUYJOCSA-N 0 3 239.384 2.828 20 0 BFADHN Cn1cc(CN2CC(C(C)(C)C)C2)c(C2CC2)n1 ZINC000894842757 583530794 /nfs/dbraw/zinc/53/07/94/583530794.db2.gz DNKGJQVSTDCOSI-UHFFFAOYSA-N 0 3 247.386 2.775 20 0 BFADHN COCC(C)(C)N(C)Cc1ccc(SC)o1 ZINC000894845517 583531341 /nfs/dbraw/zinc/53/13/41/583531341.db2.gz BWRWTPXTXPIAHX-UHFFFAOYSA-N 0 3 243.372 2.858 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1cccc2c1NCCC2 ZINC000894862470 583536703 /nfs/dbraw/zinc/53/67/03/583536703.db2.gz ZBSPINZQGYDLMM-NWDGAFQWSA-N 0 3 230.355 2.885 20 0 BFADHN Cc1coc(CN2CCCO[C@H](C(C)C)C2)c1 ZINC000894868319 583539266 /nfs/dbraw/zinc/53/92/66/583539266.db2.gz SOZOMOGUERMZFG-AWEZNQCLSA-N 0 3 237.343 2.835 20 0 BFADHN CC[C@@H](CO)N(C)C/C=C\c1cccc(F)c1 ZINC000894870596 583539510 /nfs/dbraw/zinc/53/95/10/583539510.db2.gz MVPLLPRLAODDAZ-VSKVDJIOSA-N 0 3 237.318 2.542 20 0 BFADHN Cc1ncoc1CN(C)CCc1ccccc1C ZINC000894871764 583540201 /nfs/dbraw/zinc/54/02/01/583540201.db2.gz BXXRNTDIRWDXET-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN CCC1(NCc2cnc3onc(C)c3c2)CC1 ZINC000894877872 583542423 /nfs/dbraw/zinc/54/24/23/583542423.db2.gz NFNGFJZEXBQCHM-UHFFFAOYSA-N 0 3 231.299 2.563 20 0 BFADHN FC(F)(F)C1CN(C[C@H]2CC=CCC2)C1 ZINC000894892022 583548481 /nfs/dbraw/zinc/54/84/81/583548481.db2.gz JFHCYCLEPWIVKQ-VIFPVBQESA-N 0 3 219.250 2.837 20 0 BFADHN C[C@H]1CN(C[C@@H]2CCCO2)Cc2ccccc21 ZINC000726955195 583549861 /nfs/dbraw/zinc/54/98/61/583549861.db2.gz JZYVERFRCHTCBT-JSGCOSHPSA-N 0 3 231.339 2.785 20 0 BFADHN COCC[C@@H](C)CNCc1nc(C)c(C)s1 ZINC000894895870 583550258 /nfs/dbraw/zinc/55/02/58/583550258.db2.gz STRPZETVDQJOPT-SECBINFHSA-N 0 3 242.388 2.522 20 0 BFADHN Cc1ncoc1CNCC(C)(C)C1CCC1 ZINC000894898798 583550655 /nfs/dbraw/zinc/55/06/55/583550655.db2.gz LDRVRJOGNWNDDB-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN CC(C)[C@@H]1CN(Cc2c[nH]cn2)[C@@H]1C(C)C ZINC000894908573 583554359 /nfs/dbraw/zinc/55/43/59/583554359.db2.gz HTZYLAAXKSWLOE-QWHCGFSZSA-N 0 3 221.348 2.522 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cnc[nH]2)[C@@H]1C(C)C ZINC000894908573 583554360 /nfs/dbraw/zinc/55/43/60/583554360.db2.gz HTZYLAAXKSWLOE-QWHCGFSZSA-N 0 3 221.348 2.522 20 0 BFADHN CCC1CN(Cc2cccc3c2CCOC3)C1 ZINC000894968795 583560699 /nfs/dbraw/zinc/56/06/99/583560699.db2.gz DVKXCICDYZYTCX-UHFFFAOYSA-N 0 3 231.339 2.601 20 0 BFADHN CC[C@@H](NCc1ocnc1C)[C@H]1CC1(C)C ZINC000895023844 583569889 /nfs/dbraw/zinc/56/98/89/583569889.db2.gz FUNGDJHTJOQKIC-GHMZBOCLSA-N 0 3 222.332 2.897 20 0 BFADHN CCc1cccc(NC(=O)CN[C@H]2CC[C@H]2C)c1 ZINC000895039392 583570632 /nfs/dbraw/zinc/57/06/32/583570632.db2.gz DDDGBGXLJJCUFF-RISCZKNCSA-N 0 3 246.354 2.576 20 0 BFADHN CSC(C)(C)CN[C@@H]1CCCc2c[nH]nc21 ZINC000857855915 588913191 /nfs/dbraw/zinc/91/31/91/588913191.db2.gz AWBQOMQRJRJBKG-SNVBAGLBSA-N 0 3 239.388 2.518 20 0 BFADHN FC1(F)CC[C@H](N[C@H]2CCCc3c[nH]nc32)C1 ZINC000857858864 588914015 /nfs/dbraw/zinc/91/40/15/588914015.db2.gz BNAKHUYAPRKUIS-UWVGGRQHSA-N 0 3 241.285 2.565 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NCC12CCC(CC1)C2 ZINC000857857179 588914034 /nfs/dbraw/zinc/91/40/34/588914034.db2.gz BENZVPZHEUNBGV-GLWUULTISA-N 0 3 245.370 2.957 20 0 BFADHN C[C@H]1CC[C@H](N[C@H]2CCCc3c[nH]nc32)CC1 ZINC000857860536 588914147 /nfs/dbraw/zinc/91/41/47/588914147.db2.gz UIJHMAWTSMYABN-DRZSPHRISA-N 0 3 233.359 2.955 20 0 BFADHN CCOc1ccc(CN[C@@H]2CCS[C@H]2C)o1 ZINC000895125091 583596741 /nfs/dbraw/zinc/59/67/41/583596741.db2.gz KKXRZOCPVWWKPT-GXSJLCMTSA-N 0 3 241.356 2.662 20 0 BFADHN CC1(CN[C@@H]2CCCc3c[nH]nc32)CCCC1 ZINC000857860898 588915378 /nfs/dbraw/zinc/91/53/78/588915378.db2.gz VZSBNFQINDPRMD-GFCCVEGCSA-N 0 3 233.359 2.957 20 0 BFADHN Cc1coc(CN(C)CCN2CCCCC2)c1 ZINC000895148864 583605476 /nfs/dbraw/zinc/60/54/76/583605476.db2.gz AKMKJFRBTHOQMH-UHFFFAOYSA-N 0 3 236.359 2.506 20 0 BFADHN CCC[C@H](C)NCc1ncc(C)c(OC)c1C ZINC000020040837 583612142 /nfs/dbraw/zinc/61/21/42/583612142.db2.gz IHAGSKRLXQZUIV-NSHDSACASA-N 0 3 236.359 2.985 20 0 BFADHN CCCCCNCc1ncc(C)c(OC)c1C ZINC000020039835 583612370 /nfs/dbraw/zinc/61/23/70/583612370.db2.gz IBEGCGSZLULZOV-UHFFFAOYSA-N 0 3 236.359 2.987 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1C[C@H]1C1CCC1 ZINC000857869795 588916390 /nfs/dbraw/zinc/91/63/90/588916390.db2.gz RMNVKCCDWQCDOO-RWMBFGLXSA-N 0 3 231.343 2.565 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H]1CCCc2c[nH]nc21 ZINC000857869211 588916571 /nfs/dbraw/zinc/91/65/71/588916571.db2.gz LMXJBGSKLCNDDC-FRRDWIJNSA-N 0 3 233.359 2.811 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000857869881 588916848 /nfs/dbraw/zinc/91/68/48/588916848.db2.gz AQZOSRQUFDIMSQ-JHEVNIALSA-N 0 3 231.343 2.565 20 0 BFADHN COC[C@H](CC(C)(C)C)NCc1ocnc1C ZINC000895189542 583619710 /nfs/dbraw/zinc/61/97/10/583619710.db2.gz VOJDRYWEIWEWIW-NSHDSACASA-N 0 3 240.347 2.524 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H]1CCCc2c[nH]nc21 ZINC000857869212 588917014 /nfs/dbraw/zinc/91/70/14/588917014.db2.gz LMXJBGSKLCNDDC-JHJVBQTASA-N 0 3 233.359 2.811 20 0 BFADHN COC1CCC(CN2CCC[C@H](F)C2)CC1 ZINC000895191099 583621428 /nfs/dbraw/zinc/62/14/28/583621428.db2.gz HGPVFVNWRSJSQN-CPCZMJQVSA-N 0 3 229.339 2.626 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NC1(C2CCC2)CC1 ZINC000857872295 588917136 /nfs/dbraw/zinc/91/71/36/588917136.db2.gz KEHIRHLVEQEABL-LBPRGKRZSA-N 0 3 231.343 2.709 20 0 BFADHN C[C@@H](N[C@@H]1CCCc2c[nH]nc21)C(C)(C)C ZINC000857872407 588917147 /nfs/dbraw/zinc/91/71/47/588917147.db2.gz MHLGEHKFNXHKFE-MWLCHTKSSA-N 0 3 221.348 2.811 20 0 BFADHN CCOc1ccc(CNC2(C)CC=CC2)o1 ZINC000895203375 583624134 /nfs/dbraw/zinc/62/41/34/583624134.db2.gz ZGSKYJUMBDGEBJ-UHFFFAOYSA-N 0 3 221.300 2.877 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1ocnc1C ZINC000895237949 583630638 /nfs/dbraw/zinc/63/06/38/583630638.db2.gz VVKIKGOHKYJNJS-JTQLQIEISA-N 0 3 210.321 2.897 20 0 BFADHN Cc1scc(CNC[C@H]2CC(C)=NO2)c1C ZINC000895301421 583642045 /nfs/dbraw/zinc/64/20/45/583642045.db2.gz IKXFQPQTJIMKMO-GFCCVEGCSA-N 0 3 238.356 2.619 20 0 BFADHN CC1=NO[C@@H](CNCC[C@H](C)c2ccccc2)C1 ZINC000895304403 583645903 /nfs/dbraw/zinc/64/59/03/583645903.db2.gz OAXVQFXUYCGNKN-SWLSCSKDSA-N 0 3 246.354 2.935 20 0 BFADHN CC1=NO[C@H](CNCC[C@@H](C)c2ccccc2)C1 ZINC000895304398 583646014 /nfs/dbraw/zinc/64/60/14/583646014.db2.gz OAXVQFXUYCGNKN-DOMZBBRYSA-N 0 3 246.354 2.935 20 0 BFADHN CC1=NO[C@H](CNCc2cccc(C)c2C)C1 ZINC000895309596 583646256 /nfs/dbraw/zinc/64/62/56/583646256.db2.gz CRDMXOQZTMFSIG-AWEZNQCLSA-N 0 3 232.327 2.558 20 0 BFADHN CC1=NO[C@@H](CNCc2cccc(C)c2C)C1 ZINC000895309597 583646559 /nfs/dbraw/zinc/64/65/59/583646559.db2.gz CRDMXOQZTMFSIG-CQSZACIVSA-N 0 3 232.327 2.558 20 0 BFADHN CC1=NO[C@@H](CNCc2ccccc2C2CC2)C1 ZINC000895308548 583646585 /nfs/dbraw/zinc/64/65/85/583646585.db2.gz MXYHIUSZKAEJFS-CQSZACIVSA-N 0 3 244.338 2.818 20 0 BFADHN CC1=NO[C@H](CNCc2ccc(C)c(C)c2)C1 ZINC000895312099 583647047 /nfs/dbraw/zinc/64/70/47/583647047.db2.gz UEDRZHFCLDOBGU-AWEZNQCLSA-N 0 3 232.327 2.558 20 0 BFADHN CCCCC[C@H](C)N1CCc2n[nH]cc2C1 ZINC000857877846 588918623 /nfs/dbraw/zinc/91/86/23/588918623.db2.gz JBCGUKIPJUPZIY-NSHDSACASA-N 0 3 221.348 2.737 20 0 BFADHN CCCc1ccc(CNC[C@@H]2CC(C)=NO2)cc1 ZINC000895312526 583648797 /nfs/dbraw/zinc/64/87/97/583648797.db2.gz XHDROALYOGPVAE-HNNXBMFYSA-N 0 3 246.354 2.894 20 0 BFADHN c1cc(CNC[C@H]2COc3ccccc3C2)co1 ZINC000727469287 583657191 /nfs/dbraw/zinc/65/71/91/583657191.db2.gz VDZQGEHEKYKGTF-ZDUSSCGKSA-N 0 3 243.306 2.621 20 0 BFADHN CN(C/C=C\c1cccc(F)c1)C1(CO)CCC1 ZINC000895358451 583658259 /nfs/dbraw/zinc/65/82/59/583658259.db2.gz YPAKNVASEXQHLQ-UTCJRWHESA-N 0 3 249.329 2.686 20 0 BFADHN Cc1cc(F)ncc1CNCCC(C)(C)F ZINC000895370939 583661646 /nfs/dbraw/zinc/66/16/46/583661646.db2.gz RWRMWYLSCLGVJF-UHFFFAOYSA-N 0 3 228.286 2.757 20 0 BFADHN COc1ccc(CNCC[C@@]2(C)CC2(F)F)o1 ZINC000895379777 583662078 /nfs/dbraw/zinc/66/20/78/583662078.db2.gz DZJFVMVOESKSHN-NSHDSACASA-N 0 3 245.269 2.813 20 0 BFADHN Cc1ncoc1CNCC[C@@H]1CC1(Cl)Cl ZINC000895380105 583662131 /nfs/dbraw/zinc/66/21/31/583662131.db2.gz XPWMGRYRPIYGQG-MRVPVSSYSA-N 0 3 249.141 2.657 20 0 BFADHN CC[C@@H](NCc1ccc(OC)o1)C1CC1 ZINC000895392312 583663879 /nfs/dbraw/zinc/66/38/79/583663879.db2.gz RKOKRFVELQTSDH-LLVKDONJSA-N 0 3 209.289 2.566 20 0 BFADHN CSC1CCN(Cc2cc(C)co2)CC1 ZINC000895415442 583667318 /nfs/dbraw/zinc/66/73/18/583667318.db2.gz MOPGSBXDPAKBEF-UHFFFAOYSA-N 0 3 225.357 2.915 20 0 BFADHN Fc1cc(CNC2CSC2)ccc1C(F)F ZINC000895433164 583671944 /nfs/dbraw/zinc/67/19/44/583671944.db2.gz JKMOHNZJFAWYPS-UHFFFAOYSA-N 0 3 247.285 2.968 20 0 BFADHN COc1ccc(CNC[C@H]2C(C)(C)C2(F)F)o1 ZINC000895434222 583672366 /nfs/dbraw/zinc/67/23/66/583672366.db2.gz SXFFMCJHCZNMJC-VIFPVBQESA-N 0 3 245.269 2.669 20 0 BFADHN c1nn(CC2CCC2)cc1CN1CC[C@H]2C[C@H]2C1 ZINC000895434499 583672572 /nfs/dbraw/zinc/67/25/72/583672572.db2.gz WFENIOKIQAXAMN-GJZGRUSLSA-N 0 3 245.370 2.525 20 0 BFADHN COc1ccc(CNC[C@@H]2C(C)(C)C2(F)F)o1 ZINC000895434220 583672655 /nfs/dbraw/zinc/67/26/55/583672655.db2.gz SXFFMCJHCZNMJC-SECBINFHSA-N 0 3 245.269 2.669 20 0 BFADHN CC1(C)CN(Cc2cccc3c2CCOC3)C1 ZINC000895458657 583677171 /nfs/dbraw/zinc/67/71/71/583677171.db2.gz XGQOMBSWCYQBFJ-UHFFFAOYSA-N 0 3 231.339 2.601 20 0 BFADHN Cc1ncoc1CNCCC[C@@H]1C=CCC1 ZINC000895474638 583679766 /nfs/dbraw/zinc/67/97/66/583679766.db2.gz PKKQZCIDHYFFGC-GFCCVEGCSA-N 0 3 220.316 2.819 20 0 BFADHN Cc1ccnc(N)c1CNCC1(CC2CC2)CC1 ZINC000895530480 583685312 /nfs/dbraw/zinc/68/53/12/583685312.db2.gz BKZOYPQSJJCOIW-UHFFFAOYSA-N 0 3 245.370 2.642 20 0 BFADHN Cc1ncoc1CNCC1(C)CC(F)(F)C1 ZINC000895533588 583686223 /nfs/dbraw/zinc/68/62/23/583686223.db2.gz ZXQVREUZIPDJJZ-UHFFFAOYSA-N 0 3 230.258 2.508 20 0 BFADHN FC[C@@H]1CCCN(Cc2cnn3ccccc23)C1 ZINC000895546585 583690199 /nfs/dbraw/zinc/69/01/99/583690199.db2.gz QEDFASRXLULAHY-LBPRGKRZSA-N 0 3 247.317 2.516 20 0 BFADHN COc1ccc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)nc1 ZINC000895562146 583691681 /nfs/dbraw/zinc/69/16/81/583691681.db2.gz YVDVWIWCJJQKFB-FRRDWIJNSA-N 0 3 246.354 2.616 20 0 BFADHN COc1cc(F)cc(CN2CC[C@H](CF)C2)c1 ZINC000895572225 583692087 /nfs/dbraw/zinc/69/20/87/583692087.db2.gz FGBYATSMYKGBMK-SNVBAGLBSA-N 0 3 241.281 2.626 20 0 BFADHN FC[C@@H]1CCN(Cc2cc3ccccc3[nH]2)C1 ZINC000895572778 583692311 /nfs/dbraw/zinc/69/23/11/583692311.db2.gz LIWAMUWPSJDZTM-NSHDSACASA-N 0 3 232.302 2.959 20 0 BFADHN FC[C@H]1CCN(Cc2scnc2C2CC2)C1 ZINC000895573208 583692829 /nfs/dbraw/zinc/69/28/29/583692829.db2.gz OTGJPXHSDFLGFX-SECBINFHSA-N 0 3 240.347 2.812 20 0 BFADHN Cc1ccc(CN2CC[C@@H](CF)C2)c(F)c1 ZINC000895567770 583693854 /nfs/dbraw/zinc/69/38/54/583693854.db2.gz KKRDLRYFXVDQAE-NSHDSACASA-N 0 3 225.282 2.926 20 0 BFADHN Cn1ccc(CN2CCC3(CCCCO3)CC2)c1 ZINC000895653346 583704090 /nfs/dbraw/zinc/70/40/90/583704090.db2.gz GNGLEVUFMXMQAR-UHFFFAOYSA-N 0 3 248.370 2.560 20 0 BFADHN CC(C)(F)CNCCc1ccc(F)c(F)c1 ZINC000895690603 583707227 /nfs/dbraw/zinc/70/72/27/583707227.db2.gz HDQBKLYDJPWXIR-UHFFFAOYSA-N 0 3 231.261 2.845 20 0 BFADHN C/C=C\CN[C@@H]1CCc2ccc(Cl)nc21 ZINC000857916127 588924046 /nfs/dbraw/zinc/92/40/46/588924046.db2.gz GNABHAVEEYDPKW-MXQLGCADSA-N 0 3 222.719 2.888 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@@H]1C)c1ncco1 ZINC000895764752 583722805 /nfs/dbraw/zinc/72/28/05/583722805.db2.gz IUPVVVKVVPZAHP-DCAQKATOSA-N 0 3 208.305 2.904 20 0 BFADHN C[C@@]1(Br)C[C@@H]1CN1CC(C)(C)C1 ZINC000895825776 583734606 /nfs/dbraw/zinc/73/46/06/583734606.db2.gz MPBBRLMQXDGYDR-PSASIEDQSA-N 0 3 232.165 2.502 20 0 BFADHN CS[C@H]1C[C@H](NCc2nc(C)c(C)s2)C1 ZINC000895778484 583726530 /nfs/dbraw/zinc/72/65/30/583726530.db2.gz ZTEHAXKXVAXYAW-MGCOHNPYSA-N 0 3 242.413 2.744 20 0 BFADHN CCOc1ccc(CN[C@H]2C[C@H](SC)C2)o1 ZINC000895776773 583726538 /nfs/dbraw/zinc/72/65/38/583726538.db2.gz BFWIOQFKZSOYLW-HOMQSWHASA-N 0 3 241.356 2.662 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNCc1cc(C2CC2)no1 ZINC000895784391 583727290 /nfs/dbraw/zinc/72/72/90/583727290.db2.gz HHROJDCASSXBKY-DGFQIJBJSA-N 0 3 246.354 2.934 20 0 BFADHN Cc1coc(CN2CC[C@H]([C@@H]3CCCO3)C2)c1 ZINC000895842615 583734471 /nfs/dbraw/zinc/73/44/71/583734471.db2.gz IEGIZUBVQDEKJW-JSGCOSHPSA-N 0 3 235.327 2.589 20 0 BFADHN COc1ccc(CNCCCCC2CC2)o1 ZINC000895832412 583735182 /nfs/dbraw/zinc/73/51/82/583735182.db2.gz GQSYKPYTGGRBBU-UHFFFAOYSA-N 0 3 223.316 2.958 20 0 BFADHN Fc1cc(CNCCc2cccs2)ccn1 ZINC000858087763 588931121 /nfs/dbraw/zinc/93/11/21/588931121.db2.gz SQNIKSMTBXNNFK-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN Fc1cc(CNC2(C3CC3)CCC2)c(F)cn1 ZINC000895902036 583742187 /nfs/dbraw/zinc/74/21/87/583742187.db2.gz VMNUCYGRYTWKBS-UHFFFAOYSA-N 0 3 238.281 2.782 20 0 BFADHN CCCc1ccc(CN2CC(COC)C2)s1 ZINC000895974268 583757112 /nfs/dbraw/zinc/75/71/12/583757112.db2.gz CUOYSZVETXUHNT-UHFFFAOYSA-N 0 3 239.384 2.779 20 0 BFADHN C[C@H]1Cc2cc(CN3CCC(F)CC3)ccc2O1 ZINC000895999143 583760385 /nfs/dbraw/zinc/76/03/85/583760385.db2.gz FXDQMULIIUSRGU-NSHDSACASA-N 0 3 249.329 2.944 20 0 BFADHN CCc1onc(C)c1CNC[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C ZINC000896046614 583765805 /nfs/dbraw/zinc/76/58/05/583765805.db2.gz FAYMELSGKZTPIF-BQUFFADESA-N 0 3 248.370 2.927 20 0 BFADHN CCC[C@H](C)CCNCc1ccc(CO)o1 ZINC000883251333 583766409 /nfs/dbraw/zinc/76/64/09/583766409.db2.gz KZNIUKPYOLFGGY-NSHDSACASA-N 0 3 225.332 2.688 20 0 BFADHN COc1ccc(CNCC[C@@H]2CC[C@H]3C[C@H]32)o1 ZINC000896272903 583786710 /nfs/dbraw/zinc/78/67/10/583786710.db2.gz OLDKTUWNNYFHPG-GVXVVHGQSA-N 0 3 235.327 2.814 20 0 BFADHN COc1ccc(CNCC[C@@H]2CC[C@@H]3C[C@@H]32)o1 ZINC000896272901 583786828 /nfs/dbraw/zinc/78/68/28/583786828.db2.gz OLDKTUWNNYFHPG-DMDPSCGWSA-N 0 3 235.327 2.814 20 0 BFADHN c1ccc([C@H](NC[C@@H]2CCC=CO2)C2CC2)nc1 ZINC000896611525 583817296 /nfs/dbraw/zinc/81/72/96/583817296.db2.gz BACOSFVGCBSIGO-DZGCQCFKSA-N 0 3 244.338 2.815 20 0 BFADHN C[C@@H](COCC1CCCCC1)NC1CSC1 ZINC000896637667 583820069 /nfs/dbraw/zinc/82/00/69/583820069.db2.gz FXKRKFKINQOYHW-NSHDSACASA-N 0 3 243.416 2.677 20 0 BFADHN Fc1cc(CNCCCc2ccco2)ccn1 ZINC000858195161 588938485 /nfs/dbraw/zinc/93/84/85/588938485.db2.gz QMAZEODTHXJPHJ-UHFFFAOYSA-N 0 3 234.274 2.536 20 0 BFADHN CCC[C@@H](CNC(C)(C)/C=C/Cl)OC ZINC000896747620 583830402 /nfs/dbraw/zinc/83/04/02/583830402.db2.gz NQXWXKCXGNPKMY-JARNTUPDSA-N 0 3 219.756 2.922 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)NC1CSC1 ZINC000896804564 583834194 /nfs/dbraw/zinc/83/41/94/583834194.db2.gz YSUSCSMAXAIUIT-VIFPVBQESA-N 0 3 225.332 2.900 20 0 BFADHN Cc1cc(F)ccc1[C@@H](C)NC1CSC1 ZINC000896802520 583835104 /nfs/dbraw/zinc/83/51/04/583835104.db2.gz YSUSCSMAXAIUIT-SECBINFHSA-N 0 3 225.332 2.900 20 0 BFADHN COc1ccc(CNCCC2CC=CC2)nc1 ZINC000896865415 583842710 /nfs/dbraw/zinc/84/27/10/583842710.db2.gz XBMRLXXVDJBSBO-UHFFFAOYSA-N 0 3 232.327 2.536 20 0 BFADHN Fc1cc(CNCCC2(F)CCC2)ccn1 ZINC000858163432 588936016 /nfs/dbraw/zinc/93/60/16/588936016.db2.gz DBTQGWDADYDIGZ-UHFFFAOYSA-N 0 3 226.270 2.593 20 0 BFADHN CCc1nc(CN[C@@H](C)[C@@H]2C[C@H]2C2CC2)co1 ZINC000858171719 588936936 /nfs/dbraw/zinc/93/69/36/588936936.db2.gz BFLWRGOGNTURCT-XDTLVQLUSA-N 0 3 234.343 2.761 20 0 BFADHN Cc1csc(CNCc2ccnc(F)c2)c1 ZINC000858173030 588937198 /nfs/dbraw/zinc/93/71/98/588937198.db2.gz ONINEYZRDRYDSQ-UHFFFAOYSA-N 0 3 236.315 2.880 20 0 BFADHN FC1(C2CC2)CCN(Cc2ccoc2)CC1 ZINC000896946568 583863360 /nfs/dbraw/zinc/86/33/60/583863360.db2.gz KUWAESUHTSTWFL-UHFFFAOYSA-N 0 3 223.291 2.994 20 0 BFADHN COc1ccc(CN[C@H]2CCS[C@H](C)C2)o1 ZINC000896951394 583864209 /nfs/dbraw/zinc/86/42/09/583864209.db2.gz IVTVRJUUDLNAHI-ZJUUUORDSA-N 0 3 241.356 2.662 20 0 BFADHN C[C@@H](CNCc1ccnc(F)c1)c1ccccn1 ZINC000858187813 588938136 /nfs/dbraw/zinc/93/81/36/588938136.db2.gz MVMUUTUCNADVJX-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(C)cc2OC)C1 ZINC000897068843 583877351 /nfs/dbraw/zinc/87/73/51/583877351.db2.gz YXVGCKIGMLLEDX-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@H]1CSC[C@@H]1N[C@@H]1CCCc2cccnc21 ZINC000897071149 583877453 /nfs/dbraw/zinc/87/74/53/583877453.db2.gz WRKBNHMGTPEYKN-UHTWSYAYSA-N 0 3 248.395 2.800 20 0 BFADHN Cn1nc(CNCCC(C)(C)C)cc1C1CC1 ZINC000897232065 583899237 /nfs/dbraw/zinc/89/92/37/583899237.db2.gz LUXUWPJOVBACON-UHFFFAOYSA-N 0 3 235.375 2.823 20 0 BFADHN CCOc1ccc(CNC[C@]23C[C@H]2CCC3)o1 ZINC000897242641 583900856 /nfs/dbraw/zinc/90/08/56/583900856.db2.gz ZPVYRHSSUFSHDT-RISCZKNCSA-N 0 3 235.327 2.958 20 0 BFADHN Cc1cnc(NCCN2CCC(C)(C)C2)s1 ZINC000897248922 583902327 /nfs/dbraw/zinc/90/23/27/583902327.db2.gz HHDPSPHMJIDPEV-UHFFFAOYSA-N 0 3 239.388 2.595 20 0 BFADHN Nc1cc(N2C[C@@H]3CCC[C@@H]3C2)c(Cl)cn1 ZINC000897295607 583911448 /nfs/dbraw/zinc/91/14/48/583911448.db2.gz ATXSGKBUXUTYAU-DTORHVGOSA-N 0 3 237.734 2.554 20 0 BFADHN [O-]c1cncc(C[NH2+]C2CC3(C2)CCCC3)c1 ZINC000897304553 583912936 /nfs/dbraw/zinc/91/29/36/583912936.db2.gz FWZSFZCAQKMHPO-UHFFFAOYSA-N 0 3 232.327 2.600 20 0 BFADHN Cc1cc(CN[C@H]2CCCSC2)c(C)o1 ZINC000121308581 583913436 /nfs/dbraw/zinc/91/34/36/583913436.db2.gz LFIDYMVSBZKPJA-LBPRGKRZSA-N 0 3 225.357 2.882 20 0 BFADHN CC[C@@]1(C)CCC[C@H]1[NH2+]Cc1cncc([O-])c1 ZINC000897316117 583918376 /nfs/dbraw/zinc/91/83/76/583918376.db2.gz VWLVVCCKDNWYTI-KGLIPLIRSA-N 0 3 234.343 2.846 20 0 BFADHN C[C@@H](Cc1cccs1)[NH2+]Cc1cncc([O-])c1 ZINC000897316178 583918491 /nfs/dbraw/zinc/91/84/91/583918491.db2.gz XKBZQWNCTYHEHR-JTQLQIEISA-N 0 3 248.351 2.570 20 0 BFADHN C[C@@H]1CCC[C@@H]([NH2+]Cc2cncc([O-])c2)[C@@H]1C ZINC000897315341 583918493 /nfs/dbraw/zinc/91/84/93/583918493.db2.gz KEKGKJQMHLCGMK-JTNHKYCSSA-N 0 3 234.343 2.702 20 0 BFADHN Cc1cc(CN[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)c(C)o1 ZINC000858283269 588941881 /nfs/dbraw/zinc/94/18/81/588941881.db2.gz FMDGOIPARKYBLP-KLTVKPSUSA-N 0 3 237.343 2.798 20 0 BFADHN Cc1cc(CN[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)c(C)o1 ZINC000858283268 588941944 /nfs/dbraw/zinc/94/19/44/588941944.db2.gz FMDGOIPARKYBLP-IHOMSZDFSA-N 0 3 237.343 2.798 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)[C@]1(C)CC=CCC1 ZINC000870546190 583922303 /nfs/dbraw/zinc/92/23/03/583922303.db2.gz AAXJYFADWMFGRG-GXTWGEPZSA-N 0 3 239.359 2.616 20 0 BFADHN C/C=C/CNCc1ccc(OC)c(OC)c1C ZINC000858291436 588942347 /nfs/dbraw/zinc/94/23/47/588942347.db2.gz YXIMGSNSPBNQCT-AATRIKPKSA-N 0 3 235.327 2.678 20 0 BFADHN CC1=C[C@H](C)CN(C[C@@H](O)c2ccccc2F)C1 ZINC000797526721 583926667 /nfs/dbraw/zinc/92/66/67/583926667.db2.gz XIHPOMAQLRAOCI-XHDPSFHLSA-N 0 3 249.329 2.757 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H](C)c1ccns1 ZINC000858316963 588943018 /nfs/dbraw/zinc/94/30/18/588943018.db2.gz PHKHKCSJJREDST-NXEZZACHSA-N 0 3 228.361 2.607 20 0 BFADHN CSC[C@H](C)NCc1cc2cccnc2o1 ZINC000449160127 583933624 /nfs/dbraw/zinc/93/36/24/583933624.db2.gz KYQSGJXUPNWILT-VIFPVBQESA-N 0 3 236.340 2.669 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CC[C@@H]1C(C)C ZINC000872135551 583948087 /nfs/dbraw/zinc/94/80/87/583948087.db2.gz WWKUYEZUTZYVAM-YNEHKIRRSA-N 0 3 233.359 2.870 20 0 BFADHN CN(Cc1cccc(=O)[nH]1)C[C@H]1CC=CCC1 ZINC000897391884 583948440 /nfs/dbraw/zinc/94/84/40/583948440.db2.gz DMLDJPZACXGSIG-LBPRGKRZSA-N 0 3 232.327 2.575 20 0 BFADHN C[C@@H](CCc1ccco1)Nc1ccnc(CO)c1 ZINC000858322326 588945521 /nfs/dbraw/zinc/94/55/21/588945521.db2.gz SZXIIYIKMQCGQQ-NSHDSACASA-N 0 3 246.310 2.600 20 0 BFADHN Cc1cccc2c1CCN(C[C@H]1CCCCO1)C2 ZINC000729477601 584015175 /nfs/dbraw/zinc/01/51/75/584015175.db2.gz KRTKBBNZJQHUBN-OAHLLOKOSA-N 0 3 245.366 2.922 20 0 BFADHN CC1(C)C[C@H](NCc2nccs2)CCS1 ZINC000897800339 584049814 /nfs/dbraw/zinc/04/98/14/584049814.db2.gz PSWPGUOJUHWBIK-SECBINFHSA-N 0 3 242.413 2.907 20 0 BFADHN C[C@@H]1CC[C@H](Nc2ccnc(CO)c2)[C@H](C)C1 ZINC000858410713 588952447 /nfs/dbraw/zinc/95/24/47/588952447.db2.gz XPIZZRXLQNZJKA-GYSYKLTISA-N 0 3 234.343 2.811 20 0 BFADHN Clc1ccccc1CN[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000897825530 584051766 /nfs/dbraw/zinc/05/17/66/584051766.db2.gz ZYVYXWJTZZEICY-UVHGVLLISA-N 0 3 249.741 2.605 20 0 BFADHN Clc1cccc(CN[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c1 ZINC000897825479 584052154 /nfs/dbraw/zinc/05/21/54/584052154.db2.gz ZJASSSKJROHYPQ-BJJPWKGXSA-N 0 3 249.741 2.605 20 0 BFADHN C[C@H](NC1CC(CF)(CF)C1)c1cncs1 ZINC000897931262 584058970 /nfs/dbraw/zinc/05/89/70/584058970.db2.gz YBLTWBONWKEQHO-QMMMGPOBSA-N 0 3 246.326 2.882 20 0 BFADHN C[C@H](CSCCF)N[C@H](C)c1cncs1 ZINC000897930183 584061224 /nfs/dbraw/zinc/06/12/24/584061224.db2.gz KDEHLOFBUMHUBZ-RKDXNWHRSA-N 0 3 248.392 2.885 20 0 BFADHN CCCCC1(NCc2cnc(C)n2C)CC1 ZINC000897935448 584061916 /nfs/dbraw/zinc/06/19/16/584061916.db2.gz AGPUPQFVJYGZTN-UHFFFAOYSA-N 0 3 221.348 2.541 20 0 BFADHN CCCCC1(NCc2cnn(C)c2Cl)CC1 ZINC000897935482 584061960 /nfs/dbraw/zinc/06/19/60/584061960.db2.gz BSSYZQBEVMQBAI-UHFFFAOYSA-N 0 3 241.766 2.886 20 0 BFADHN CCCCC1(NCc2nc(C)c[nH]2)CC1 ZINC000897936164 584062091 /nfs/dbraw/zinc/06/20/91/584062091.db2.gz PKVRCWLMEYXCOQ-UHFFFAOYSA-N 0 3 207.321 2.531 20 0 BFADHN CCCCC1(NCc2ccc(CO)o2)CC1 ZINC000897936578 584062117 /nfs/dbraw/zinc/06/21/17/584062117.db2.gz ZTGGSOVVGDVIQX-UHFFFAOYSA-N 0 3 223.316 2.584 20 0 BFADHN CCCCC1(NCc2cnc3n2CCC3)CC1 ZINC000897935519 584062238 /nfs/dbraw/zinc/06/22/38/584062238.db2.gz CIFHGXUGRYOKBR-UHFFFAOYSA-N 0 3 233.359 2.642 20 0 BFADHN CCCCC1(NCc2c[nH]nc2C)CC1 ZINC000897936135 584062298 /nfs/dbraw/zinc/06/22/98/584062298.db2.gz OFXREQDJDZXXGH-UHFFFAOYSA-N 0 3 207.321 2.531 20 0 BFADHN CCCCC1(NCc2c3c(nn2C)CCC3)CC1 ZINC000897934839 584062324 /nfs/dbraw/zinc/06/23/24/584062324.db2.gz QAHKLIPXNFLWCJ-UHFFFAOYSA-N 0 3 247.386 2.721 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@@H]3CCC[C@H]23)cs1 ZINC000897952692 584063428 /nfs/dbraw/zinc/06/34/28/584063428.db2.gz IVYMIISQDNZNHL-ZMLRMANQSA-N 0 3 222.357 2.730 20 0 BFADHN c1cc(CN[C@@H]2C[C@H]3CCC[C@@H]32)n(CC2CC2)n1 ZINC000897957127 584065699 /nfs/dbraw/zinc/06/56/99/584065699.db2.gz BNMTUBMANMLVBG-VHDGCEQUSA-N 0 3 245.370 2.571 20 0 BFADHN c1c(CN[C@@H]2C[C@@H]3CCC[C@@H]23)onc1C1CC1 ZINC000897958617 584066595 /nfs/dbraw/zinc/06/65/95/584066595.db2.gz LEMXHJNROSEBOF-ZKYQVNSYSA-N 0 3 232.327 2.830 20 0 BFADHN Cc1cnc(CN[C@@H]2C[C@H]3CCC[C@@H]32)s1 ZINC000897958118 584066610 /nfs/dbraw/zinc/06/66/10/584066610.db2.gz HKHYMZWEGZHSCK-OUAUKWLOSA-N 0 3 222.357 2.730 20 0 BFADHN Fc1cc(CN[C@@H]2C[C@H]3CCC[C@@H]32)c(F)cn1 ZINC000897958817 584066891 /nfs/dbraw/zinc/06/68/91/584066891.db2.gz NVMMEAADPPKZID-UBHAPETDSA-N 0 3 238.281 2.638 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H]3CCC[C@H]32)o1 ZINC000897959373 584067703 /nfs/dbraw/zinc/06/77/03/584067703.db2.gz GLXRUPDGZUKTGP-YUSALJHKSA-N 0 3 221.300 2.566 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2C[C@H]3CCC[C@H]32)n1 ZINC000897960364 584068096 /nfs/dbraw/zinc/06/80/96/584068096.db2.gz RJGHHLYWOLYRAJ-IJLUTSLNSA-N 0 3 233.359 2.811 20 0 BFADHN OCCC1CC(NCc2ccccc2Cl)C1 ZINC000898008332 584071070 /nfs/dbraw/zinc/07/10/70/584071070.db2.gz RXVZOEGLSVUEOR-UHFFFAOYSA-N 0 3 239.746 2.591 20 0 BFADHN Cc1cc(CNCC[C@@H]2CCC(F)(F)C2)on1 ZINC000898013998 584074367 /nfs/dbraw/zinc/07/43/67/584074367.db2.gz LWNPWIGLROJEGC-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN FC1(F)CC[C@H](CCNCc2ccccn2)C1 ZINC000898019234 584075455 /nfs/dbraw/zinc/07/54/55/584075455.db2.gz JQMCPNGIXVWBMV-LLVKDONJSA-N 0 3 240.297 2.997 20 0 BFADHN CC[C@@H](C)CNCc1cc(C(F)(F)F)n(C)n1 ZINC000898021284 584076184 /nfs/dbraw/zinc/07/61/84/584076184.db2.gz AOXWMDLEKOKLQU-MRVPVSSYSA-N 0 3 249.280 2.575 20 0 BFADHN CCn1ncnc1CNC[C@@H]1CCC=CCCC1 ZINC000898027908 584076831 /nfs/dbraw/zinc/07/68/31/584076831.db2.gz CVNSDEHVZBOPFY-CYBMUJFWSA-N 0 3 248.374 2.524 20 0 BFADHN Cn1ccnc1CNC[C@H]1CCC=CCCC1 ZINC000898032494 584078136 /nfs/dbraw/zinc/07/81/36/584078136.db2.gz SEBASLYOUDBEIV-ZDUSSCGKSA-N 0 3 233.359 2.646 20 0 BFADHN C[C@H](NCc1cn(C)cn1)C1C(C)(C)C1(C)C ZINC000898043113 584080335 /nfs/dbraw/zinc/08/03/35/584080335.db2.gz FDBNZZXXCMYODG-JTQLQIEISA-N 0 3 235.375 2.580 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2cn(C)cn2)CC1 ZINC000898042584 584080450 /nfs/dbraw/zinc/08/04/50/584080450.db2.gz SLROLWZSEMQPFM-OLZOCXBDSA-N 0 3 235.375 2.869 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1cn(C)cn1 ZINC000898043659 584081536 /nfs/dbraw/zinc/08/15/36/584081536.db2.gz XDKQZXGKCKKEFF-NEPJUHHUSA-N 0 3 223.364 2.725 20 0 BFADHN CCOc1ccc(CN2C[C@@H]3CCC[C@@H]3C2)o1 ZINC000898072127 584082286 /nfs/dbraw/zinc/08/22/86/584082286.db2.gz YBWLIIRGRVUCLN-TXEJJXNPSA-N 0 3 235.327 2.910 20 0 BFADHN CCC[C@@H](C)[C@@H](CC)NCc1cnn(CC)n1 ZINC000858444083 588955684 /nfs/dbraw/zinc/95/56/84/588955684.db2.gz QRSMQVSVIRWMOG-DGCLKSJQSA-N 0 3 238.379 2.602 20 0 BFADHN O[C@@H](CCNCc1ccccc1Cl)C1CC1 ZINC000898205235 584091848 /nfs/dbraw/zinc/09/18/48/584091848.db2.gz BVBSWPAXTLLQOP-ZDUSSCGKSA-N 0 3 239.746 2.591 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CN1CC[C@@H](O)[C@@H](F)C1 ZINC000898187943 584093863 /nfs/dbraw/zinc/09/38/63/584093863.db2.gz JMOVOBAQGCJCCA-YIYPIFLZSA-N 0 3 243.366 2.608 20 0 BFADHN CC1(C)CCC[C@H](CN2CC[C@H](O)[C@@H](F)C2)C1 ZINC000898188066 584094029 /nfs/dbraw/zinc/09/40/29/584094029.db2.gz OEVFMJZFRVQZFS-AVGNSLFASA-N 0 3 243.366 2.608 20 0 BFADHN COc1ccc(CN[C@@H]2CC=CC[C@H]2C)o1 ZINC000898223592 584102032 /nfs/dbraw/zinc/10/20/32/584102032.db2.gz ZQGUNVBJWTWYME-ZYHUDNBSSA-N 0 3 221.300 2.733 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCc1cn(C)nc1Cl ZINC000898223353 584102272 /nfs/dbraw/zinc/10/22/72/584102272.db2.gz SMJNHBVACOAEED-MWLCHTKSSA-N 0 3 239.750 2.518 20 0 BFADHN Cc1ncccc1CCNCc1ccccc1F ZINC000898257228 584107148 /nfs/dbraw/zinc/10/71/48/584107148.db2.gz PXGFBKHRCJOGSW-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN CSCC(C)(C)NCc1ccc(F)nc1C ZINC000898305366 584109196 /nfs/dbraw/zinc/10/91/96/584109196.db2.gz ABJYSDJHACQJNZ-UHFFFAOYSA-N 0 3 242.363 2.760 20 0 BFADHN COCc1ccc(CNC(C)(C)CSC)o1 ZINC000898305759 584109274 /nfs/dbraw/zinc/10/92/74/584109274.db2.gz QVLXTBGIIYTTPO-UHFFFAOYSA-N 0 3 243.372 2.657 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H](c1ccncc1)C1CC1 ZINC000898319240 584110695 /nfs/dbraw/zinc/11/06/95/584110695.db2.gz BALRHGPFPOPWIB-DOMZBBRYSA-N 0 3 248.370 2.936 20 0 BFADHN OCc1cc(N[C@H]2CC23CCCCC3)ccn1 ZINC000858465136 588957475 /nfs/dbraw/zinc/95/74/75/588957475.db2.gz BHFKKEZTLPPDKS-ZDUSSCGKSA-N 0 3 232.327 2.709 20 0 BFADHN Cc1cccc(CN[C@@H]2COC[C@H]2C2CC2)c1F ZINC000898379680 584113396 /nfs/dbraw/zinc/11/33/96/584113396.db2.gz HWTOTGDEMYQXND-UONOGXRCSA-N 0 3 249.329 2.649 20 0 BFADHN Cc1ccc(CN[C@H]2COC[C@H]2C2CC2)c(F)c1 ZINC000898375355 584113443 /nfs/dbraw/zinc/11/34/43/584113443.db2.gz DLIZRSXLJRGOKN-ZFWWWQNUSA-N 0 3 249.329 2.649 20 0 BFADHN Cc1cc(CN[C@H]2COC[C@H]2C2CC2)cs1 ZINC000898380273 584115064 /nfs/dbraw/zinc/11/50/64/584115064.db2.gz MVTONOFFKVAVPH-STQMWFEESA-N 0 3 237.368 2.571 20 0 BFADHN CO[C@H](C)CN[C@H]1CCCc2c(F)cccc21 ZINC000866345384 584118128 /nfs/dbraw/zinc/11/81/28/584118128.db2.gz ONCMHKIFEKRWGX-YGRLFVJLSA-N 0 3 237.318 2.828 20 0 BFADHN C[C@@H]1CN(c2ccnc(CO)c2)[C@H]2CCCC[C@@H]12 ZINC000858477741 588958889 /nfs/dbraw/zinc/95/88/89/588958889.db2.gz LGOZXQPHKAUZTQ-UGFHNGPFSA-N 0 3 246.354 2.589 20 0 BFADHN Cc1nnsc1CNC1(C)C(C)(C)C1(C)C ZINC000898413825 584131658 /nfs/dbraw/zinc/13/16/58/584131658.db2.gz BITPOGBLEBYXGC-UHFFFAOYSA-N 0 3 239.388 2.761 20 0 BFADHN C[C@@H]1Cc2cc(CNC/C=C/Cl)ccc2O1 ZINC000898427699 584133028 /nfs/dbraw/zinc/13/30/28/584133028.db2.gz KUWLMOVGTBTDNE-JYBNPQIESA-N 0 3 237.730 2.852 20 0 BFADHN Cl/C=C/CN[C@H]1Cc2[nH]c3ccccc3c2C1 ZINC000898429516 584133505 /nfs/dbraw/zinc/13/35/05/584133505.db2.gz PCOSXTDHZFNIJV-QLCVYAKKSA-N 0 3 246.741 2.977 20 0 BFADHN COC1(CNC/C=C/Cl)CCCCC1 ZINC000898432771 584135052 /nfs/dbraw/zinc/13/50/52/584135052.db2.gz IFVIXVKWABBWFE-VMPITWQZSA-N 0 3 217.740 2.678 20 0 BFADHN COCc1ccc(CNC/C=C/Cl)cc1 ZINC000898435555 584135583 /nfs/dbraw/zinc/13/55/83/584135583.db2.gz JCMASABOQCPRID-FARCUNLSSA-N 0 3 225.719 2.675 20 0 BFADHN Cc1cc(F)ccc1CNC/C=C\Cl ZINC000898435607 584135669 /nfs/dbraw/zinc/13/56/69/584135669.db2.gz JTXKOBDNXTXOIF-DJWKRKHSSA-N 0 3 213.683 2.976 20 0 BFADHN CCOC(=O)C1=CC[C@H](N[C@@H](C)c2ccoc2)C1 ZINC000858505437 588960961 /nfs/dbraw/zinc/96/09/61/588960961.db2.gz KLLZOVCNFREYEE-GWCFXTLKSA-N 0 3 249.310 2.582 20 0 BFADHN C[C@H](CC(C)(C)C)Nc1ccnc(CO)c1 ZINC000858566198 588966537 /nfs/dbraw/zinc/96/65/37/588966537.db2.gz NJSXHXVAVQKNCC-SNVBAGLBSA-N 0 3 222.332 2.811 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2cccnc2Cl)C1 ZINC000858586659 588969690 /nfs/dbraw/zinc/96/96/90/588969690.db2.gz WICRDFDQHZLPBC-GUBZILKMSA-N 0 3 240.734 2.563 20 0 BFADHN OCc1cc(NCC[C@H]2CC=CCC2)ccn1 ZINC000858759502 588975999 /nfs/dbraw/zinc/97/59/99/588975999.db2.gz IOSRQTADUNNGNF-LBPRGKRZSA-N 0 3 232.327 2.732 20 0 BFADHN C/C=C/C[C@@H]1CCCN(c2ccnc(CO)c2)C1 ZINC000858864158 588980023 /nfs/dbraw/zinc/98/00/23/588980023.db2.gz UVBKHSGWXBHSMY-YWVDXFKGSA-N 0 3 246.354 2.757 20 0 BFADHN C/C=C/C[C@H]1CCCN(c2ccnc(CO)c2)C1 ZINC000858864156 588980226 /nfs/dbraw/zinc/98/02/26/588980226.db2.gz UVBKHSGWXBHSMY-IBUXWKBASA-N 0 3 246.354 2.757 20 0 BFADHN Fc1ccc(-c2cc(CNC3CC3)on2)cc1 ZINC000901387264 584436277 /nfs/dbraw/zinc/43/62/77/584436277.db2.gz LRXYYBVBNVMLSG-UHFFFAOYSA-N 0 3 232.258 2.733 20 0 BFADHN CCn1cnc(CNC[C@@H](C)c2ccccc2)c1 ZINC000902034156 584519086 /nfs/dbraw/zinc/51/90/86/584519086.db2.gz KYKCZCFMQUPPJO-CYBMUJFWSA-N 0 3 243.354 2.796 20 0 BFADHN CNc1ccc(C)cc1CNC[C@@H]1CCCCO1 ZINC000902102961 584522879 /nfs/dbraw/zinc/52/28/79/584522879.db2.gz FBNCAVSHQOSZOY-AWEZNQCLSA-N 0 3 248.370 2.695 20 0 BFADHN CCn1cnc(CN[C@@H](C)Cc2ccsc2)c1 ZINC000902087332 584524300 /nfs/dbraw/zinc/52/43/00/584524300.db2.gz VOQOBDMGOXUUCS-NSHDSACASA-N 0 3 249.383 2.685 20 0 BFADHN CCn1cnc(CN[C@H](C)Cc2ccsc2)c1 ZINC000902087331 584524632 /nfs/dbraw/zinc/52/46/32/584524632.db2.gz VOQOBDMGOXUUCS-LLVKDONJSA-N 0 3 249.383 2.685 20 0 BFADHN CCCCOCCNCc1ccccc1NC ZINC000902129778 584529573 /nfs/dbraw/zinc/52/95/73/584529573.db2.gz MKUCLJFJVNPRDT-UHFFFAOYSA-N 0 3 236.359 2.635 20 0 BFADHN CCn1cnc(CNC2C[C@@H](C)C[C@H](C)C2)c1 ZINC000902261537 584545238 /nfs/dbraw/zinc/54/52/38/584545238.db2.gz QKNVPTDZMWXJBC-RYUDHWBXSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1cnc(CN[C@H]2C[C@H](C)C[C@H](C)C2)c1 ZINC000902261536 584545382 /nfs/dbraw/zinc/54/53/82/584545382.db2.gz QKNVPTDZMWXJBC-ITGUQSILSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1cnc(CNC2CCC(C)(C)CC2)c1 ZINC000902265966 584549120 /nfs/dbraw/zinc/54/91/20/584549120.db2.gz HIKREDZFEYNPDR-UHFFFAOYSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1cnc(CN[C@@H]2C[C@H]2C2CCCCC2)c1 ZINC000902276726 584550827 /nfs/dbraw/zinc/55/08/27/584550827.db2.gz YIQVSPYYENWNJL-LSDHHAIUSA-N 0 3 247.386 2.961 20 0 BFADHN CCNc1ccccc1CNCCOC1CCC1 ZINC000902277612 584550834 /nfs/dbraw/zinc/55/08/34/584550834.db2.gz KVBOXBPTZXOUJI-UHFFFAOYSA-N 0 3 248.370 2.777 20 0 BFADHN CCn1cnc(CN[C@H]2CCCC2(C)C)c1 ZINC000902302850 584553550 /nfs/dbraw/zinc/55/35/50/584553550.db2.gz XWCYWTVYHZAVKP-LBPRGKRZSA-N 0 3 221.348 2.571 20 0 BFADHN CNc1ccccc1CN[C@@H]1C[C@H](OC)C1(C)C ZINC000902306649 584554411 /nfs/dbraw/zinc/55/44/11/584554411.db2.gz WVWVEJXOYQGLMJ-KGLIPLIRSA-N 0 3 248.370 2.631 20 0 BFADHN CC[C@H]1CCCN(Cc2ccnc(F)c2)C1 ZINC000859061818 588995734 /nfs/dbraw/zinc/99/57/34/588995734.db2.gz LZLVJWQVENZBLS-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN CCC[C@H](C)N(C)Cc1ccnc(F)c1 ZINC000859073388 588996989 /nfs/dbraw/zinc/99/69/89/588996989.db2.gz XUISNWWZJVFQAP-JTQLQIEISA-N 0 3 210.296 2.841 20 0 BFADHN CC(C)CCN(C)Cc1ccnc(F)c1 ZINC000859082412 588998693 /nfs/dbraw/zinc/99/86/93/588998693.db2.gz YOJDTNDQUFSIKI-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN C[C@@H](NCc1csc(N)n1)C1CCCCC1 ZINC000902489944 584617134 /nfs/dbraw/zinc/61/71/34/584617134.db2.gz SUPHKCUPOMZHOA-SECBINFHSA-N 0 3 239.388 2.784 20 0 BFADHN C[C@@H](CC1CCCC1)NCc1csc(N)n1 ZINC000902491069 584618284 /nfs/dbraw/zinc/61/82/84/584618284.db2.gz PAWYSSCGKOSCBB-VIFPVBQESA-N 0 3 239.388 2.784 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1csc(N)n1 ZINC000902491742 584618292 /nfs/dbraw/zinc/61/82/92/584618292.db2.gz SKHYBLVASGZRLD-JTQLQIEISA-N 0 3 239.388 2.950 20 0 BFADHN CC(C)CC[C@@H](C)NCc1csc(N)n1 ZINC000902490537 584618383 /nfs/dbraw/zinc/61/83/83/584618383.db2.gz WGFZLDACSITIIH-SECBINFHSA-N 0 3 227.377 2.640 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@@H]1NCc1csc(N)n1 ZINC000902498672 584620009 /nfs/dbraw/zinc/62/00/09/584620009.db2.gz IBTPWKQWTZYATR-SCZZXKLOSA-N 0 3 239.388 2.640 20 0 BFADHN C[C@@H](CC(C)(C)C)NCc1csc(N)n1 ZINC000902503386 584620364 /nfs/dbraw/zinc/62/03/64/584620364.db2.gz XIERTTFHUMDPAO-QMMMGPOBSA-N 0 3 227.377 2.640 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1ccnc(F)c1 ZINC000859091592 589000305 /nfs/dbraw/zinc/00/03/05/589000305.db2.gz RTKVTTKIENWKAM-LBPRGKRZSA-N 0 3 222.307 2.841 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1ccccc1NCC ZINC000902488730 584627348 /nfs/dbraw/zinc/62/73/48/584627348.db2.gz NDGHFECSRGNDSV-KQIUPUNMSA-N 0 3 248.370 2.535 20 0 BFADHN CC1(C)CCC(NCc2csc(N)n2)CC1 ZINC000902489085 584627745 /nfs/dbraw/zinc/62/77/45/584627745.db2.gz IOJRLLUNGRCQRW-UHFFFAOYSA-N 0 3 239.388 2.784 20 0 BFADHN CCOC(C)(C)CNCc1ccccc1NC ZINC000902517880 584630549 /nfs/dbraw/zinc/63/05/49/584630549.db2.gz NRQDIGCBPLHAMZ-UHFFFAOYSA-N 0 3 236.359 2.633 20 0 BFADHN CNc1ccc(C)cc1CN[C@@H](C)[C@@H](C)OC ZINC000902521970 584631409 /nfs/dbraw/zinc/63/14/09/584631409.db2.gz VISQWWPNBRUWKR-NWDGAFQWSA-N 0 3 236.359 2.550 20 0 BFADHN CCn1cnc(CN[C@H]2CCC[C@H](C)CC2)c1 ZINC000902520765 584631683 /nfs/dbraw/zinc/63/16/83/584631683.db2.gz JQHLUCWFJSBEIB-STQMWFEESA-N 0 3 235.375 2.961 20 0 BFADHN CCn1cnc(CNCC(C(C)C)C(C)C)c1 ZINC000902522531 584632071 /nfs/dbraw/zinc/63/20/71/584632071.db2.gz DODFHPLTDAOCRP-UHFFFAOYSA-N 0 3 237.391 2.921 20 0 BFADHN CCn1cnc(CNC(C2CCC2)C2CCC2)c1 ZINC000902564600 584634862 /nfs/dbraw/zinc/63/48/62/584634862.db2.gz UMNDNLBANHMSLI-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN CNc1ccccc1CNC[C@](C)(OC)C1CC1 ZINC000902571915 584636516 /nfs/dbraw/zinc/63/65/16/584636516.db2.gz PVKUTWHFIMXFCF-HNNXBMFYSA-N 0 3 248.370 2.633 20 0 BFADHN CCOCC(C)(C)NCc1ccccc1NC ZINC000902574359 584637170 /nfs/dbraw/zinc/63/71/70/584637170.db2.gz AYRNECXWPZLXEN-UHFFFAOYSA-N 0 3 236.359 2.633 20 0 BFADHN CNc1ccc(C)cc1CNC[C@H](OC)C1CC1 ZINC000902574343 584637391 /nfs/dbraw/zinc/63/73/91/584637391.db2.gz ABCJVVBJGCWDRN-HNNXBMFYSA-N 0 3 248.370 2.551 20 0 BFADHN CCn1cnc(CNC[C@@H]2CCCC2(C)C)c1 ZINC000902576162 584637462 /nfs/dbraw/zinc/63/74/62/584637462.db2.gz RBXFWOFDYFBJLP-LBPRGKRZSA-N 0 3 235.375 2.819 20 0 BFADHN CC[C@H](NCc1cn(CC)cn1)C1CCCC1 ZINC000902584699 584639107 /nfs/dbraw/zinc/63/91/07/584639107.db2.gz OPALMAZMSKSXQT-AWEZNQCLSA-N 0 3 235.375 2.961 20 0 BFADHN CNc1ccc(C)cc1CNC[C@H]1CC[C@@H](C)O1 ZINC000902584956 584639158 /nfs/dbraw/zinc/63/91/58/584639158.db2.gz AMHVVMMSJCZLKT-TZMCWYRMSA-N 0 3 248.370 2.694 20 0 BFADHN CNc1ccccc1CNCC(C)(C)F ZINC000902626674 584646535 /nfs/dbraw/zinc/64/65/35/584646535.db2.gz KAVRWLCNRVUOFG-UHFFFAOYSA-N 0 3 210.296 2.566 20 0 BFADHN CNc1ccccc1CNC[C@@H]1CC(C)(C)CO1 ZINC000902639314 584649371 /nfs/dbraw/zinc/64/93/71/584649371.db2.gz SYEOOPYSIXCUKG-ZDUSSCGKSA-N 0 3 248.370 2.633 20 0 BFADHN COC(=O)c1ccc([C@@H](C)NC2CC2)c(C)c1 ZINC000902721050 584659783 /nfs/dbraw/zinc/65/97/83/584659783.db2.gz RIHJMDQVOCGSFS-SNVBAGLBSA-N 0 3 233.311 2.595 20 0 BFADHN C[C@H](NC1CC1)c1ccc(C(F)(F)F)cn1 ZINC000902721221 584659834 /nfs/dbraw/zinc/65/98/34/584659834.db2.gz UIQDBROPCRKVQI-ZETCQYMHSA-N 0 3 230.233 2.913 20 0 BFADHN c1coc(CNC2C[C@@H]3CCC[C@H]3C2)c1 ZINC000902720613 584660121 /nfs/dbraw/zinc/66/01/21/584660121.db2.gz MYVOUPSZUJFZPY-QWRGUYRKSA-N 0 3 205.301 2.948 20 0 BFADHN COC/C(C)=C\COc1cc(C)nc(C)c1C ZINC000902748575 584664387 /nfs/dbraw/zinc/66/43/87/584664387.db2.gz KPRPBLSRYHWBLW-POHAHGRESA-N 0 3 235.327 2.978 20 0 BFADHN CCc1cc(CNC2C[C@@H]3CCC[C@H]3C2)on1 ZINC000902817024 584678466 /nfs/dbraw/zinc/67/84/66/584678466.db2.gz UIJZVMPGCGJELB-QWRGUYRKSA-N 0 3 234.343 2.905 20 0 BFADHN C[C@H](C1CC1)N(Cc1ccnc(F)c1)C1CC1 ZINC000859078219 588998289 /nfs/dbraw/zinc/99/82/89/588998289.db2.gz ZKGKRUJXIQCNFV-SNVBAGLBSA-N 0 3 234.318 2.984 20 0 BFADHN CCc1nnc([C@H](C)[NH2+]C2C[C@@H]3CCC[C@H]3C2)[n-]1 ZINC000902889903 584689603 /nfs/dbraw/zinc/68/96/03/584689603.db2.gz SGYKZJJZDIBTNF-DCAQKATOSA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nnc([C@H](C)NC2C[C@@H]3CCC[C@H]3C2)[nH]1 ZINC000902889903 584689605 /nfs/dbraw/zinc/68/96/05/584689605.db2.gz SGYKZJJZDIBTNF-DCAQKATOSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H]1CCCCCN1Cc1ccnc(F)c1 ZINC000859142448 589008679 /nfs/dbraw/zinc/00/86/79/589008679.db2.gz SEQQUVBQXPZCRZ-NSHDSACASA-N 0 3 222.307 2.985 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCCCCC1CC1 ZINC000903083060 584724997 /nfs/dbraw/zinc/72/49/97/584724997.db2.gz ZJNXSGAJLOXWPN-ZDUSSCGKSA-N 0 3 233.359 2.957 20 0 BFADHN C=CC=CCCN[C@@H]1CCCc2c[nH]nc21 ZINC000903086725 584725117 /nfs/dbraw/zinc/72/51/17/584725117.db2.gz IOPIGRJUDYECHE-AAOUONPWSA-N 0 3 217.316 2.509 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2nccn2C)[C@@H]1C ZINC000903088907 584725200 /nfs/dbraw/zinc/72/52/00/584725200.db2.gz MVUNMMWGOITTPB-CZXHOFHRSA-N 0 3 235.375 2.580 20 0 BFADHN C=CC=CCCN[C@H]1CCCc2c[nH]nc21 ZINC000903086726 584725228 /nfs/dbraw/zinc/72/52/28/584725228.db2.gz IOPIGRJUDYECHE-MVZIDQBPSA-N 0 3 217.316 2.509 20 0 BFADHN C[C@H](N[C@H]1CCCc2cn[nH]c21)c1ccns1 ZINC000903073864 584726286 /nfs/dbraw/zinc/72/62/86/584726286.db2.gz VMSVNHHEFHSDOX-WPRPVWTQSA-N 0 3 248.355 2.594 20 0 BFADHN CSC[C@@H](C)N[C@@H](c1ccccn1)C1CC1 ZINC000903098512 584726758 /nfs/dbraw/zinc/72/67/58/584726758.db2.gz PTLWITFKMZYHQH-ZWNOBZJWSA-N 0 3 236.384 2.874 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+][C@H]2CCC[C@@H]3C[C@@H]32)[n-]1 ZINC000903132436 584730257 /nfs/dbraw/zinc/73/02/57/584730257.db2.gz YZGGXVBUKBEYFD-WYUUTHIRSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)[nH]1 ZINC000903132436 584730259 /nfs/dbraw/zinc/73/02/59/584730259.db2.gz YZGGXVBUKBEYFD-WYUUTHIRSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+][C@@H]2CCC[C@@H]3C[C@@H]32)[n-]1 ZINC000903132434 584730373 /nfs/dbraw/zinc/73/03/73/584730373.db2.gz YZGGXVBUKBEYFD-WISYIIOYSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)[nH]1 ZINC000903132434 584730374 /nfs/dbraw/zinc/73/03/74/584730374.db2.gz YZGGXVBUKBEYFD-WISYIIOYSA-N 0 3 248.374 2.767 20 0 BFADHN CO[C@H]1CCC[C@@H]1NC1(c2cccc(F)c2)CC1 ZINC000903138569 584730419 /nfs/dbraw/zinc/73/04/19/584730419.db2.gz XBTXCKJPSCOJSK-KBPBESRZSA-N 0 3 249.329 2.972 20 0 BFADHN CO[C@H]1CCC[C@@H]1NC1(c2ccc(F)cc2)CC1 ZINC000903136921 584730540 /nfs/dbraw/zinc/73/05/40/584730540.db2.gz HIEABGWEXHSQIA-KBPBESRZSA-N 0 3 249.329 2.972 20 0 BFADHN CC(C)N(C(=O)[C@H]1CCCN1C(C)C)C(C)C ZINC000903141961 584730860 /nfs/dbraw/zinc/73/08/60/584730860.db2.gz VOXUITDLAACQDQ-CYBMUJFWSA-N 0 3 240.391 2.505 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NC[C@@]12C[C@@H]1CCCC2 ZINC000903161992 584731482 /nfs/dbraw/zinc/73/14/82/584731482.db2.gz SIUDERFYCIJSBH-KCQAQPDRSA-N 0 3 245.370 2.957 20 0 BFADHN Cc1cc([C@H](C)NC[C@]23C[C@H]2CCCC3)nn1C ZINC000903162334 584731609 /nfs/dbraw/zinc/73/16/09/584731609.db2.gz CYMXDANTZLSLFY-GUTXKFCHSA-N 0 3 247.386 2.959 20 0 BFADHN C[C@H](NCC[C@@H]1CC[C@H]2C[C@H]21)c1ccn(C)n1 ZINC000903163542 584731862 /nfs/dbraw/zinc/73/18/62/584731862.db2.gz DFVAGSHYESAQSP-CYDGBPFRSA-N 0 3 233.359 2.507 20 0 BFADHN CC[C@H](NCC[C@@H]1CC[C@H]2C[C@H]21)c1nccn1C ZINC000903165741 584732083 /nfs/dbraw/zinc/73/20/83/584732083.db2.gz LWKHMRIPPNCXEZ-XUXIUFHCSA-N 0 3 247.386 2.897 20 0 BFADHN CO[C@@H]1CCC[C@@H]1N[C@H](c1ccccn1)C1CC1 ZINC000903224482 584735967 /nfs/dbraw/zinc/73/59/67/584735967.db2.gz WQXBHYSEKPSVQB-CFVMTHIKSA-N 0 3 246.354 2.690 20 0 BFADHN CCC[C@@H](CCO)N[C@@H](c1ccccn1)C1CC1 ZINC000903209229 584736892 /nfs/dbraw/zinc/73/68/92/584736892.db2.gz IRHVBCFBHSBGMQ-DZGCQCFKSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@H](NCC(C)(C)C1CC1)c1nccn1C ZINC000903243693 584737964 /nfs/dbraw/zinc/73/79/64/584737964.db2.gz HNQUTUGIUBMISO-JTQLQIEISA-N 0 3 221.348 2.507 20 0 BFADHN C[C@@H](NCC(C)(C)C1CC1)c1cc2n(n1)CCC2 ZINC000903240308 584738333 /nfs/dbraw/zinc/73/83/33/584738333.db2.gz QVHNFJCXJPRHBF-LLVKDONJSA-N 0 3 247.386 2.916 20 0 BFADHN COC1([C@H](C)NC/C=C/Cl)CCCC1 ZINC000903445489 584770045 /nfs/dbraw/zinc/77/00/45/584770045.db2.gz UNDPNTYQIMZQCU-YVFTVSHDSA-N 0 3 217.740 2.676 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H]1CCO[C@H]1C1CC1 ZINC000903266478 584741259 /nfs/dbraw/zinc/74/12/59/584741259.db2.gz WRWWHOZKCFHENU-DFBGVHRSSA-N 0 3 246.354 2.608 20 0 BFADHN COC[C@@H](NC/C=C\Cl)C1CCCC1 ZINC000903445743 584770347 /nfs/dbraw/zinc/77/03/47/584770347.db2.gz VCMBCGLFLQALMD-MEQVVJDKSA-N 0 3 217.740 2.534 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2CCN(C)[C@H]2C)o1 ZINC000903300260 584744252 /nfs/dbraw/zinc/74/42/52/584744252.db2.gz GFWYHWIEQFEYKP-GMXVVIOVSA-N 0 3 236.359 2.585 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000903320110 584746412 /nfs/dbraw/zinc/74/64/12/584746412.db2.gz ZNTULNQHTYUSGW-ZDEQEGDKSA-N 0 3 233.359 2.742 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@H]3CCC[C@@H]32)nn1C ZINC000903319783 584746627 /nfs/dbraw/zinc/74/66/27/584746627.db2.gz XGWBPURBZWHYTF-KZVDOYCCSA-N 0 3 233.359 2.568 20 0 BFADHN CN1CC[C@H]1CN[C@H]1CC(C)(C)Cc2occc21 ZINC000903322066 584746925 /nfs/dbraw/zinc/74/69/25/584746925.db2.gz KRQLWYWZELGTJX-AAEUAGOBSA-N 0 3 248.370 2.587 20 0 BFADHN CCCCC1(N[C@H](C)c2nccn2C)CC1 ZINC000903309117 584747733 /nfs/dbraw/zinc/74/77/33/584747733.db2.gz DBKAVRNGJCNLNQ-LLVKDONJSA-N 0 3 221.348 2.794 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCC[C@H]12)c1cc2n(n1)CCC2 ZINC000903316900 584749993 /nfs/dbraw/zinc/74/99/93/584749993.db2.gz KWQXZJQUWIAIRV-TZQJONAQSA-N 0 3 245.370 2.669 20 0 BFADHN CC[C@H](N[C@@H]1C[C@@H]2CCC[C@H]12)c1nccn1C ZINC000903316525 584750072 /nfs/dbraw/zinc/75/00/72/584750072.db2.gz JPZZNFVYHZHRID-ZDEQEGDKSA-N 0 3 233.359 2.649 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](c1ncccn1)C1CC1 ZINC000903333131 584750895 /nfs/dbraw/zinc/75/08/95/584750895.db2.gz PROGJLJBESHZLH-LOWVWBTDSA-N 0 3 233.359 2.952 20 0 BFADHN c1cnc([C@H](NC2CCCCC2)C2CC2)nc1 ZINC000903334339 584751353 /nfs/dbraw/zinc/75/13/53/584751353.db2.gz XTQCJOBSMXTPNZ-CYBMUJFWSA-N 0 3 231.343 2.850 20 0 BFADHN COC[C@H](N[C@H](c1ccccn1)C1CC1)C(C)C ZINC000903340679 584752309 /nfs/dbraw/zinc/75/23/09/584752309.db2.gz RXOGMEYOXLMZPQ-GJZGRUSLSA-N 0 3 248.370 2.793 20 0 BFADHN c1ccc([C@@H](NC2CCC2)C2CC2)nc1 ZINC000903341561 584752717 /nfs/dbraw/zinc/75/27/17/584752717.db2.gz ZWHZJRDPPXRJKB-ZDUSSCGKSA-N 0 3 202.301 2.675 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@H](C)c1cnc(C)s1 ZINC000903329948 584753820 /nfs/dbraw/zinc/75/38/20/584753820.db2.gz GBBWFDZZZSPPLC-RKDXNWHRSA-N 0 3 242.388 2.916 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@@H](C)c1cnccc1C ZINC000903329314 584753889 /nfs/dbraw/zinc/75/38/89/584753889.db2.gz BNQGVWBLTFTTQE-NWDGAFQWSA-N 0 3 236.359 2.854 20 0 BFADHN CCc1ccc([C@H](C)NC2CC(CCO)C2)o1 ZINC000903329750 584753935 /nfs/dbraw/zinc/75/39/35/584753935.db2.gz MWMBFEJANPGQID-UNXYVOJBSA-N 0 3 237.343 2.654 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000903329946 584753961 /nfs/dbraw/zinc/75/39/61/584753961.db2.gz GBBWFDZZZSPPLC-DTWKUNHWSA-N 0 3 242.388 2.916 20 0 BFADHN C[C@@H]1CC[C@@H](N[C@@H](c2ncccn2)C2CC2)C1 ZINC000903329144 584754112 /nfs/dbraw/zinc/75/41/12/584754112.db2.gz CFEODAQRYXMCMI-RAIGVLPGSA-N 0 3 231.343 2.706 20 0 BFADHN Cc1cc([C@H](C)NC2CC(CCO)C2)c(C)o1 ZINC000903331033 584754233 /nfs/dbraw/zinc/75/42/33/584754233.db2.gz VFJKVYNGUFVKOK-PKSQDBQZSA-N 0 3 237.343 2.708 20 0 BFADHN CC(C)CC[C@H](NCc1cn(C)cn1)C1CC1 ZINC000903351157 584755346 /nfs/dbraw/zinc/75/53/46/584755346.db2.gz HYTPGPYQABXMNM-AWEZNQCLSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@@H]1COC[C@@H]1C ZINC000903393888 584756498 /nfs/dbraw/zinc/75/64/98/584756498.db2.gz VIZREJSAIDNKLI-COPLHBTASA-N 0 3 237.318 2.820 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@@H]1COC[C@H]1C ZINC000903393891 584756692 /nfs/dbraw/zinc/75/66/92/584756692.db2.gz VIZREJSAIDNKLI-UHIISALHSA-N 0 3 237.318 2.820 20 0 BFADHN C[C@@H]1COC[C@H]1N[C@@H]1CCCc2ccc(F)cc21 ZINC000903395533 584757119 /nfs/dbraw/zinc/75/71/19/584757119.db2.gz LFDSCEJMPTZEPN-VCTAVGKDSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1ccc([C@H](C)NCC[C@@H](O)C2CC2)c(C)n1 ZINC000903408292 584759184 /nfs/dbraw/zinc/75/91/84/584759184.db2.gz RUEMYBHLUQTMRZ-XHDPSFHLSA-N 0 3 248.370 2.510 20 0 BFADHN Cc1ncccc1CCN[C@H](C)c1ccoc1 ZINC000903414782 584760022 /nfs/dbraw/zinc/76/00/22/584760022.db2.gz DQIOCXWFOKFBLE-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CC=CC[C@H]2C)no1 ZINC000903412920 584760027 /nfs/dbraw/zinc/76/00/27/584760027.db2.gz HKHGLOGBYFWPTO-YUSALJHKSA-N 0 3 220.316 2.988 20 0 BFADHN C[C@@H]1CC=CC[C@H]1N[C@@H]1CCCn2ccnc21 ZINC000903415178 584760121 /nfs/dbraw/zinc/76/01/21/584760121.db2.gz YYBJGTASEQAAEJ-JHJVBQTASA-N 0 3 231.343 2.662 20 0 BFADHN C[C@H](N[C@@H]1COC[C@H]1C1CC1)c1cccc(F)c1 ZINC000903435278 584761991 /nfs/dbraw/zinc/76/19/91/584761991.db2.gz CCHSRFJCQUZYDD-NZVBXONLSA-N 0 3 249.329 2.901 20 0 BFADHN CC1(C)C(C)(C)C1(C)N[C@H]1CCn2ccnc21 ZINC000903441897 584763055 /nfs/dbraw/zinc/76/30/55/584763055.db2.gz GMKCIORUGLPXOF-JTQLQIEISA-N 0 3 233.359 2.742 20 0 BFADHN COC1([C@H](C)NC/C=C\Cl)CCCC1 ZINC000903445487 584770315 /nfs/dbraw/zinc/77/03/15/584770315.db2.gz UNDPNTYQIMZQCU-NVDWLVSRSA-N 0 3 217.740 2.676 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2ccnc(F)c2)C1 ZINC000859151637 589010394 /nfs/dbraw/zinc/01/03/94/589010394.db2.gz KPIGUMBDFSEWNK-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H](C)CN1CCOc2ccc(N)cc2C1 ZINC000903944056 584829810 /nfs/dbraw/zinc/82/98/10/584829810.db2.gz FMXRLWKCFUJGEG-LLVKDONJSA-N 0 3 234.343 2.509 20 0 BFADHN Cc1cccc(CNCc2cc(N)ccn2)c1C ZINC000903950179 584830591 /nfs/dbraw/zinc/83/05/91/584830591.db2.gz JROSDLNCOZRSJJ-UHFFFAOYSA-N 0 3 241.338 2.570 20 0 BFADHN CCCCC[C@H](C)NCc1cc(N)ccn1 ZINC000903950075 584830602 /nfs/dbraw/zinc/83/06/02/584830602.db2.gz HUSZIJMCSDHCOO-NSHDSACASA-N 0 3 221.348 2.722 20 0 BFADHN O[C@H](CN1CCC2(CC2)CC1)c1cccc(F)c1 ZINC000761063435 584908948 /nfs/dbraw/zinc/90/89/48/584908948.db2.gz UZFZSIPTKRWPSF-CQSZACIVSA-N 0 3 249.329 2.735 20 0 BFADHN c1csc(CNC[C@@H]2CCc3ccccc32)n1 ZINC000732230077 584934649 /nfs/dbraw/zinc/93/46/49/584934649.db2.gz ZSHKCMNJKOGCOE-LBPRGKRZSA-N 0 3 244.363 2.963 20 0 BFADHN C/C=C\C(=O)Nc1ccc(C)cc1CN(C)C ZINC000733813576 584953459 /nfs/dbraw/zinc/95/34/59/584953459.db2.gz WHAFGLUSFAIJOI-WAYWQWQTSA-N 0 3 232.327 2.571 20 0 BFADHN COC1CCC(N(C)C/C=C\Cl)CC1 ZINC000734639845 584966080 /nfs/dbraw/zinc/96/60/80/584966080.db2.gz OCKSAQWYFCRSFB-BAQGIRSFSA-N 0 3 217.740 2.628 20 0 BFADHN C[C@H](c1ccccc1)[C@H](CO)NCc1ccoc1 ZINC000734846040 584968724 /nfs/dbraw/zinc/96/87/24/584968724.db2.gz NJQFPKLOQHLTIC-DOMZBBRYSA-N 0 3 245.322 2.534 20 0 BFADHN C[C@H]1CCCCCN1CN1CCSC1=S ZINC000738225997 585041893 /nfs/dbraw/zinc/04/18/93/585041893.db2.gz ILHFEKPGBUIORG-JTQLQIEISA-N 0 3 244.429 2.542 20 0 BFADHN CC[C@@H](C)[C@H]1CCCCN1Cc1nonc1C ZINC000738313317 585042731 /nfs/dbraw/zinc/04/27/31/585042731.db2.gz GRNXZSLQVGSBPG-ZWNOBZJWSA-N 0 3 237.347 2.779 20 0 BFADHN O[C@H](CN1CCC[C@@H]1c1cccc(F)c1)C1CC1 ZINC000838797911 585069015 /nfs/dbraw/zinc/06/90/15/585069015.db2.gz DOLLHBYQESPIAX-HUUCEWRRSA-N 0 3 249.329 2.734 20 0 BFADHN O[C@H](CN1CCC[C@@H]1c1ccc(F)cc1)C1CC1 ZINC000838783050 585069722 /nfs/dbraw/zinc/06/97/22/585069722.db2.gz GEMSQMHLDADVPJ-HUUCEWRRSA-N 0 3 249.329 2.734 20 0 BFADHN CC1(NCc2cnccn2)CCC(F)(F)CC1 ZINC000839008883 585086955 /nfs/dbraw/zinc/08/69/55/585086955.db2.gz QOCOZGUQPWJYOC-UHFFFAOYSA-N 0 3 241.285 2.534 20 0 BFADHN CCOC[C@H]1CCCCN1C/C=C/Cl ZINC000839180671 585096700 /nfs/dbraw/zinc/09/67/00/585096700.db2.gz WQQOARXUTDQHBI-OKPNEXGHSA-N 0 3 217.740 2.630 20 0 BFADHN CC(C)Nc1ccc(OCCN(C)C)c(F)c1 ZINC000839191508 585097480 /nfs/dbraw/zinc/09/74/80/585097480.db2.gz ZRDYLHPQDPHTJK-UHFFFAOYSA-N 0 3 240.322 2.586 20 0 BFADHN CC(C)(C)SCCN1CCC[C@@H]2COC[C@@H]21 ZINC000839192707 585097633 /nfs/dbraw/zinc/09/76/33/585097633.db2.gz MXDSORAQQCIZDF-NEPJUHHUSA-N 0 3 243.416 2.629 20 0 BFADHN CC(C)(C)SCCN1C[C@@H]2C[C@H]1CS2 ZINC000839201854 585099561 /nfs/dbraw/zinc/09/95/61/585099561.db2.gz SOXWTJZKUICEFV-UWVGGRQHSA-N 0 3 231.430 2.708 20 0 BFADHN C[C@H](C(=O)OC/C=C/Cl)N1[C@H](C)CC[C@@H]1C ZINC000741021100 585109250 /nfs/dbraw/zinc/10/92/50/585109250.db2.gz BPNZBLRTGDUDSN-FBMKYFCMSA-N 0 3 245.750 2.543 20 0 BFADHN CO[C@](C)([C@H](C)NCc1cc(C)co1)C1CC1 ZINC000886144754 585109674 /nfs/dbraw/zinc/10/96/74/585109674.db2.gz GSSDDOKYYWIBQC-SMDDNHRTSA-N 0 3 237.343 2.881 20 0 BFADHN CCCCOC(=O)[C@H](C)N(CC1CC1)C(C)C ZINC000741776550 585118316 /nfs/dbraw/zinc/11/83/16/585118316.db2.gz LXALOPTXDDZMQD-LBPRGKRZSA-N 0 3 241.375 2.839 20 0 BFADHN CCCOC(=O)[C@H](C)N(C)[C@H]1CCCC[C@H]1C ZINC000741782068 585118426 /nfs/dbraw/zinc/11/84/26/585118426.db2.gz WZHZXIDPULINQY-AGIUHOORSA-N 0 3 241.375 2.839 20 0 BFADHN CCCOC(=O)[C@H](C)N(C)[C@@H]1CCCC[C@H]1C ZINC000741782072 585118563 /nfs/dbraw/zinc/11/85/63/585118563.db2.gz WZHZXIDPULINQY-FRRDWIJNSA-N 0 3 241.375 2.839 20 0 BFADHN CCCCOC(=O)[C@@H](C)N1CCC[C@@H]1C1CC1 ZINC000741782937 585118684 /nfs/dbraw/zinc/11/86/84/585118684.db2.gz OSEPBQUSNYEFEV-DGCLKSJQSA-N 0 3 239.359 2.593 20 0 BFADHN C[C@H]1CCC[C@H](C)N(CN2CCSC2=O)C1 ZINC000742156682 585122488 /nfs/dbraw/zinc/12/24/88/585122488.db2.gz ZNQJREIRNVXPAJ-QWRGUYRKSA-N 0 3 242.388 2.623 20 0 BFADHN ClC1(Cl)C[C@@H]1CCN1C[C@@H]2C[C@@H]2C1 ZINC000886347806 585134038 /nfs/dbraw/zinc/13/40/38/585134038.db2.gz AAJIKNICDLBGPE-YIZRAAEISA-N 0 3 220.143 2.522 20 0 BFADHN OCCCCCCCN1Cc2ccccc2C1 ZINC000743848921 585140801 /nfs/dbraw/zinc/14/08/01/585140801.db2.gz MWPASJPLYJYWOH-UHFFFAOYSA-N 0 3 233.355 2.945 20 0 BFADHN CCN(CC=C(C)C)CCc1ccccn1 ZINC000744194880 585145596 /nfs/dbraw/zinc/14/55/96/585145596.db2.gz YWMYNVXZSKKPCE-UHFFFAOYSA-N 0 3 218.344 2.912 20 0 BFADHN Cc1nonc1CN1[C@H](C)C[C@H]2CCCC[C@@H]21 ZINC000744402905 585149973 /nfs/dbraw/zinc/14/99/73/585149973.db2.gz IPQCXHTVJCIYGB-XWIASGKRSA-N 0 3 235.331 2.531 20 0 BFADHN CCCCOC(=O)[C@H](C)N1C[C@@H](C)C[C@H]1CC ZINC000745990899 585162296 /nfs/dbraw/zinc/16/22/96/585162296.db2.gz KFYCOGVLOSAEQL-RWMBFGLXSA-N 0 3 241.375 2.839 20 0 BFADHN CCc1cc(CN[C@@H](C)c2ccccc2)n[nH]1 ZINC000746046939 585162663 /nfs/dbraw/zinc/16/26/63/585162663.db2.gz HHEPUKAKMNYMNC-NSHDSACASA-N 0 3 229.327 2.823 20 0 BFADHN CCCN(CC)C[C@H](O)c1cc(F)ccc1F ZINC000746107442 585163083 /nfs/dbraw/zinc/16/30/83/585163083.db2.gz GUQSRXAOWCTKAL-ZDUSSCGKSA-N 0 3 243.297 2.730 20 0 BFADHN CCOCCN(CCc1ccccc1)C1CC1 ZINC000746654149 585171542 /nfs/dbraw/zinc/17/15/42/585171542.db2.gz YFZOKLLJHKZZNB-UHFFFAOYSA-N 0 3 233.355 2.730 20 0 BFADHN COC(=O)/C(C)=C/CN1CCC[C@@H]1C(C)(C)C ZINC000748818454 585196543 /nfs/dbraw/zinc/19/65/43/585196543.db2.gz XTCVXFKVCQLWAL-JATZPVMKSA-N 0 3 239.359 2.616 20 0 BFADHN CC(C)[C@@H](C)N(C)CN1C(=O)C(C)(C)C1(C)C ZINC000749166879 585200173 /nfs/dbraw/zinc/20/01/73/585200173.db2.gz YFKIZYNBPSWSDP-LLVKDONJSA-N 0 3 240.391 2.567 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CCc1cccs1 ZINC000749436759 585202503 /nfs/dbraw/zinc/20/25/03/585202503.db2.gz QBSGOUONFAEEDK-RYUDHWBXSA-N 0 3 239.384 2.790 20 0 BFADHN CCC(=O)CCN1CCCc2sccc2C1 ZINC000753721078 585267118 /nfs/dbraw/zinc/26/71/18/585267118.db2.gz IVQJOQSOYKHHNC-UHFFFAOYSA-N 0 3 237.368 2.866 20 0 BFADHN CN(C)c1cc(CN2CCC(C)(C)CC2)ccn1 ZINC000754029314 585275753 /nfs/dbraw/zinc/27/57/53/585275753.db2.gz FONLYJYWDAYCKT-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN Cc1cc([C@@H]2CCCN2C[C@@H]2C[C@H]2C)no1 ZINC000754100121 585278342 /nfs/dbraw/zinc/27/83/42/585278342.db2.gz HWBMVLFVCAEFMC-CDMKHQONSA-N 0 3 220.316 2.776 20 0 BFADHN CC[C@@H](C)OC(=O)C(C)(C)N(C)C1CCCC1 ZINC000754874978 585291915 /nfs/dbraw/zinc/29/19/15/585291915.db2.gz UFCSGPFEZOEDBI-LLVKDONJSA-N 0 3 241.375 2.981 20 0 BFADHN CCC[C@@H](C)NCC(=O)N(CC)c1ccccc1 ZINC000754994976 585293064 /nfs/dbraw/zinc/29/30/64/585293064.db2.gz BPOQEFSDILGWOZ-CYBMUJFWSA-N 0 3 248.370 2.818 20 0 BFADHN CO[C@H]1c2ccccc2C[C@H]1NC/C=C\Cl ZINC000839628310 585332638 /nfs/dbraw/zinc/33/26/38/585332638.db2.gz NRYLZCRIWJOLKF-ACOKAEEESA-N 0 3 237.730 2.641 20 0 BFADHN CC(=O)CCN1CC=C(C(C)(C)C)CC1 ZINC000759263665 585366402 /nfs/dbraw/zinc/36/64/02/585366402.db2.gz OMPSSVQJTIDJBC-UHFFFAOYSA-N 0 3 209.333 2.644 20 0 BFADHN CN(CCF)CCCCc1ccccc1 ZINC000759328276 585367631 /nfs/dbraw/zinc/36/76/31/585367631.db2.gz OVIFQWUBMUBRDG-UHFFFAOYSA-N 0 3 209.308 2.911 20 0 BFADHN CN(CCF)[C@@H]1CCCc2ccccc21 ZINC000759331871 585367866 /nfs/dbraw/zinc/36/78/66/585367866.db2.gz GUKUHOCBICUCOO-CYBMUJFWSA-N 0 3 207.292 2.965 20 0 BFADHN Cc1ccc(C[C@H]2CCCN2CCF)cc1 ZINC000759341502 585369234 /nfs/dbraw/zinc/36/92/34/585369234.db2.gz HDACKIATSLPNFU-CQSZACIVSA-N 0 3 221.319 2.971 20 0 BFADHN CCCCCC[C@H](O)CN1CC[C@H](C)[C@H](F)C1 ZINC000759430993 585372877 /nfs/dbraw/zinc/37/28/77/585372877.db2.gz XKPTUAVOHUMPLB-MELADBBJSA-N 0 3 245.382 2.998 20 0 BFADHN CCCCCC[C@H](O)CN1CC[C@@H](C)[C@H](F)C1 ZINC000759430986 585372924 /nfs/dbraw/zinc/37/29/24/585372924.db2.gz XKPTUAVOHUMPLB-HZSPNIEDSA-N 0 3 245.382 2.998 20 0 BFADHN CC(C)N(CCN1CCCC1)Cc1ccco1 ZINC000760821290 585397692 /nfs/dbraw/zinc/39/76/92/585397692.db2.gz SYXXLDGSZGBZPL-UHFFFAOYSA-N 0 3 236.359 2.586 20 0 BFADHN CC(C)(C)OCCN1CC[C@@H](C(F)(F)F)C1 ZINC000761049337 585404160 /nfs/dbraw/zinc/40/41/60/585404160.db2.gz HCUGZMAFYNXZBS-SECBINFHSA-N 0 3 239.281 2.686 20 0 BFADHN CCCCOCCN1CC[C@@H](C(F)(F)F)C1 ZINC000761050073 585404270 /nfs/dbraw/zinc/40/42/70/585404270.db2.gz BGOXBYOKNNAEHQ-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN FC(F)(F)[C@@H]1CCN(CCc2cccnc2)C1 ZINC000761052323 585404644 /nfs/dbraw/zinc/40/46/44/585404644.db2.gz XHDKJEMEMFRMDV-LLVKDONJSA-N 0 3 244.260 2.508 20 0 BFADHN C[C@@H]1CN([C@@H]2CCCc3ccccc32)CCN1C ZINC000761066145 585404878 /nfs/dbraw/zinc/40/48/78/585404878.db2.gz AWJULMDPFTWETN-CZUORRHYSA-N 0 3 244.382 2.700 20 0 BFADHN C[C@@H]1CN([C@H]2CCCc3ccccc32)CCN1C ZINC000761066142 585405051 /nfs/dbraw/zinc/40/50/51/585405051.db2.gz AWJULMDPFTWETN-CJNGLKHVSA-N 0 3 244.382 2.700 20 0 BFADHN CCOc1ccc(OCCN2CC[C@@H]3C[C@@H]32)cc1 ZINC000761085638 585407451 /nfs/dbraw/zinc/40/74/51/585407451.db2.gz NFJNCTMKFYIXLD-DOMZBBRYSA-N 0 3 247.338 2.558 20 0 BFADHN Clc1ccc(CN2CC[C@H]3C[C@H]32)cc1 ZINC000761101479 585409390 /nfs/dbraw/zinc/40/93/90/585409390.db2.gz YQCWRQGVTKICOQ-CMPLNLGQSA-N 0 3 207.704 2.934 20 0 BFADHN CN(CCCF)CCc1c(F)cccc1F ZINC000887142454 585447354 /nfs/dbraw/zinc/44/73/54/585447354.db2.gz KSPYXYQGCYROLU-UHFFFAOYSA-N 0 3 231.261 2.799 20 0 BFADHN CCc1nocc1CNC1(C2CC2)CCC1 ZINC000887148395 585448126 /nfs/dbraw/zinc/44/81/26/585448126.db2.gz ADAFTLRDPYTHPZ-UHFFFAOYSA-N 0 3 220.316 2.659 20 0 BFADHN C[C@@H](COc1ccccc1)NCc1ccn(C)c1 ZINC000763462379 585448616 /nfs/dbraw/zinc/44/86/16/585448616.db2.gz GDNANXTYQCSWTP-ZDUSSCGKSA-N 0 3 244.338 2.582 20 0 BFADHN Cc1ccc2c(c1)CC[C@H]2N[C@@H]1C=C[C@H](CO)C1 ZINC000765365160 585484180 /nfs/dbraw/zinc/48/41/80/585484180.db2.gz XYCLCIRJIAWVJV-JGGQBBKZSA-N 0 3 243.350 2.509 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3nsnc32)C1 ZINC000766045793 585496792 /nfs/dbraw/zinc/49/67/92/585496792.db2.gz VRRHBHOMHJFCPI-SECBINFHSA-N 0 3 233.340 2.533 20 0 BFADHN CC/C=C\CCN(C)Cc1cccc(C(N)=O)c1 ZINC000767303724 585516449 /nfs/dbraw/zinc/51/64/49/585516449.db2.gz JLVQAJMGQNTJMU-PLNGDYQASA-N 0 3 246.354 2.574 20 0 BFADHN CC/C=C\CCN1CCCC[C@@H]1C1OCCO1 ZINC000767314768 585516633 /nfs/dbraw/zinc/51/66/33/585516633.db2.gz ZJKRNECUWLVEGH-DHCBQETCSA-N 0 3 239.359 2.570 20 0 BFADHN Cc1cc(CNCCCc2c[nH]nc2C)c(C)o1 ZINC000767360556 585517881 /nfs/dbraw/zinc/51/78/81/585517881.db2.gz JQVVEKIHDUMGTK-UHFFFAOYSA-N 0 3 247.342 2.650 20 0 BFADHN CN(CCC(=O)C1CC1)CCc1ccc(F)cc1 ZINC000767364881 585517918 /nfs/dbraw/zinc/51/79/18/585517918.db2.gz VPUNFWNZCRAHTD-UHFFFAOYSA-N 0 3 249.329 2.669 20 0 BFADHN O=C(CCN1CC[C@H]1Cc1ccccc1)C1CC1 ZINC000767413632 585519590 /nfs/dbraw/zinc/51/95/90/585519590.db2.gz CTSSUOYYMUJBOA-HNNXBMFYSA-N 0 3 243.350 2.673 20 0 BFADHN COCC[C@H]1CCCCN(C/C=C\Cl)C1 ZINC000767939410 585528466 /nfs/dbraw/zinc/52/84/66/585528466.db2.gz BWTNJWOKWLNQAO-DJFZKKHWSA-N 0 3 231.767 2.878 20 0 BFADHN Cc1cc(CN(CCO)C2CCCC2)c(C)o1 ZINC000768137241 585532891 /nfs/dbraw/zinc/53/28/91/585532891.db2.gz HQWXZACUYVOIOL-UHFFFAOYSA-N 0 3 237.343 2.633 20 0 BFADHN c1cc(CN2C3CCC2CC3)cc2c1COC2 ZINC000768231059 585534365 /nfs/dbraw/zinc/53/43/65/585534365.db2.gz UHQGORJFVXRZLF-UHFFFAOYSA-N 0 3 229.323 2.844 20 0 BFADHN CN(Cc1ccc2c(c1)COC2)[C@H]1CCSC1 ZINC000768262865 585534830 /nfs/dbraw/zinc/53/48/30/585534830.db2.gz KXYKPBYPMIWPJQ-AWEZNQCLSA-N 0 3 249.379 2.654 20 0 BFADHN Cc1cc(CN(C)C2CC2)cnc1Cl ZINC000768334247 585535835 /nfs/dbraw/zinc/53/58/35/585535835.db2.gz CDUWLHLVAXUWHM-UHFFFAOYSA-N 0 3 210.708 2.638 20 0 BFADHN C[C@H]1COCCN(C/C=C\c2ccc(F)cc2)C1 ZINC000769455695 585557569 /nfs/dbraw/zinc/55/75/69/585557569.db2.gz IQFYPCYTYLAGOK-XQJDBVBESA-N 0 3 249.329 2.807 20 0 BFADHN c1cc(CN2CC[C@@H](C3CCOCC3)C2)co1 ZINC000769519337 585558643 /nfs/dbraw/zinc/55/86/43/585558643.db2.gz REKMFECSQPQEQI-CQSZACIVSA-N 0 3 235.327 2.528 20 0 BFADHN COC(C)(C)CN(C)Cc1cc(C)oc1C ZINC000770331014 585572747 /nfs/dbraw/zinc/57/27/47/585572747.db2.gz QBIKMCRZGTVIDG-UHFFFAOYSA-N 0 3 225.332 2.753 20 0 BFADHN CC(C)C[C@H](C)C(=O)OCCN1CCCCC1 ZINC000770416325 585574033 /nfs/dbraw/zinc/57/40/33/585574033.db2.gz AUPCXEVHTHRPIM-ZDUSSCGKSA-N 0 3 241.375 2.698 20 0 BFADHN CCCC(=CC(=O)NC[C@H](C)N(C)C)CCC ZINC000770644327 585579073 /nfs/dbraw/zinc/57/90/73/585579073.db2.gz OAURRXMQZMLDBG-LBPRGKRZSA-N 0 3 240.391 2.579 20 0 BFADHN CCN(CC)CCOC(=O)[C@@H](C)C1CCCC1 ZINC000772166772 585609469 /nfs/dbraw/zinc/60/94/69/585609469.db2.gz FNOBKBCAXORFTF-LBPRGKRZSA-N 0 3 241.375 2.698 20 0 BFADHN CCC(=CC(=O)OCCN(CC)CC)CC ZINC000772187379 585609616 /nfs/dbraw/zinc/60/96/16/585609616.db2.gz HXPUPYQRUDUPJX-UHFFFAOYSA-N 0 3 227.348 2.618 20 0 BFADHN CCN(CC)CCOC(=O)[C@@H]1CCC(C)(C)C1 ZINC000772256992 585611155 /nfs/dbraw/zinc/61/11/55/585611155.db2.gz JTXBAXKCOLVLBN-GFCCVEGCSA-N 0 3 241.375 2.698 20 0 BFADHN C[C@@H](NC[C@H](O)c1cccnc1)c1ccsc1 ZINC000773080513 585630771 /nfs/dbraw/zinc/63/07/71/585630771.db2.gz GBOWWPLUHHYOOY-MFKMUULPSA-N 0 3 248.351 2.527 20 0 BFADHN Cc1ncc(CCN[C@@H](C)c2ccccn2)s1 ZINC000773083225 585630829 /nfs/dbraw/zinc/63/08/29/585630829.db2.gz UCAJMVJPYBOJSK-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN C[C@H](CCc1ccco1)NCc1cccc(F)n1 ZINC000773092130 585631046 /nfs/dbraw/zinc/63/10/46/585631046.db2.gz ZCWURLKIZOOPHM-LLVKDONJSA-N 0 3 248.301 2.925 20 0 BFADHN CC[C@@H](Cc1cccs1)NCc1ccn(C)n1 ZINC000773133766 585632314 /nfs/dbraw/zinc/63/23/14/585632314.db2.gz ULFJSVHNAUKOIG-NSHDSACASA-N 0 3 249.383 2.593 20 0 BFADHN C[C@H]1CC[C@H]1NCc1ccc2c(n1)CCCC2 ZINC000774436992 585659161 /nfs/dbraw/zinc/65/91/61/585659161.db2.gz GELFSOLVRVKRRL-SMDDNHRTSA-N 0 3 230.355 2.849 20 0 BFADHN c1ccc([C@H]2CCCN2C[C@@H]2CCC=CO2)nc1 ZINC000774832755 585666989 /nfs/dbraw/zinc/66/69/89/585666989.db2.gz KZSUUTZFNLVXMB-DZGCQCFKSA-N 0 3 244.338 2.911 20 0 BFADHN CCN(CC)CCOC(=O)[C@@H]1C[C@@]1(C)C(C)C ZINC000775071596 585671698 /nfs/dbraw/zinc/67/16/98/585671698.db2.gz IQHFQTCGKFGINA-JSGCOSHPSA-N 0 3 241.375 2.554 20 0 BFADHN COc1ccc(CN2CC[C@@H](CC(C)C)C2)nc1 ZINC000775973556 585688162 /nfs/dbraw/zinc/68/81/62/585688162.db2.gz ULAXEOUOJZPPKU-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN CC(C)(C)OC1CC(N2CC=CCC2)C1 ZINC000775967732 585688475 /nfs/dbraw/zinc/68/84/75/585688475.db2.gz CCEWUMGATMORCB-UHFFFAOYSA-N 0 3 209.333 2.594 20 0 BFADHN CC(C)[C@@H](c1ccccc1)N(C)Cn1cnnc1 ZINC000776759460 585704629 /nfs/dbraw/zinc/70/46/29/585704629.db2.gz QBDHMELKLNWIGU-AWEZNQCLSA-N 0 3 244.342 2.565 20 0 BFADHN CN(C)CCSCc1ccc(CF)cc1 ZINC000777535079 585714278 /nfs/dbraw/zinc/71/42/78/585714278.db2.gz LIPOKXSNBVBWDU-UHFFFAOYSA-N 0 3 227.348 2.951 20 0 BFADHN CC(C)(C)CN(CC1OCCO1)CC(C)(C)C ZINC000777727166 585719243 /nfs/dbraw/zinc/71/92/43/585719243.db2.gz PEYJVAPRLKOZEU-UHFFFAOYSA-N 0 3 243.391 2.754 20 0 BFADHN Cc1cc(N2CCC(C)(C(C)C)CC2)nc(N)n1 ZINC000777802999 585720341 /nfs/dbraw/zinc/72/03/41/585720341.db2.gz JJPXSFXJCHRXKL-UHFFFAOYSA-N 0 3 248.374 2.630 20 0 BFADHN C[C@@H](Cc1ccco1)NCc1ccc(F)nc1 ZINC000778156136 585726454 /nfs/dbraw/zinc/72/64/54/585726454.db2.gz NUCATRPMMIUYHJ-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN Fc1ccc(CN[C@@H]2CCCC(F)(F)C2)cn1 ZINC000778174316 585727064 /nfs/dbraw/zinc/72/70/64/585727064.db2.gz QMUNMWZEVYBBGM-SNVBAGLBSA-N 0 3 244.260 2.888 20 0 BFADHN C[C@@H]1CCN(CCc2cccs2)C[C@H]1F ZINC000778239552 585728815 /nfs/dbraw/zinc/72/88/15/585728815.db2.gz RJBMLVXXCCMGGI-ZYHUDNBSSA-N 0 3 227.348 2.971 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccc(F)nc2)C1 ZINC000779161309 585746205 /nfs/dbraw/zinc/74/62/05/585746205.db2.gz JKSRASNEIUHIGB-ZDUSSCGKSA-N 0 3 222.307 2.843 20 0 BFADHN CCC[C@H](C)N(C)Cc1ccc(F)nc1 ZINC000779154402 585746500 /nfs/dbraw/zinc/74/65/00/585746500.db2.gz UZRGXBYDQMRZFS-JTQLQIEISA-N 0 3 210.296 2.841 20 0 BFADHN CCN(CCC1CC1)Cc1ccc(F)nc1 ZINC000779168566 585746827 /nfs/dbraw/zinc/74/68/27/585746827.db2.gz IHFBVCRTJXSXRW-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN Cc1cc(CN(CC(C)(C)O)C2CC2)c(C)o1 ZINC000779191460 585747094 /nfs/dbraw/zinc/74/70/94/585747094.db2.gz IOMFKSLTCNTRLE-UHFFFAOYSA-N 0 3 237.343 2.632 20 0 BFADHN CC1(C)CN(C[C@H]2CCc3ccccc32)CCO1 ZINC000780286057 585776863 /nfs/dbraw/zinc/77/68/63/585776863.db2.gz HDVGGRPWDLZCDV-CQSZACIVSA-N 0 3 245.366 2.827 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCc3ccccc32)[C@H](C)CO1 ZINC000780285534 585776960 /nfs/dbraw/zinc/77/69/60/585776960.db2.gz DUXYWRXYBGHMHV-UMVBOHGHSA-N 0 3 245.366 2.826 20 0 BFADHN CC1(C)CN(C[C@@H]2CCc3ccccc32)CCO1 ZINC000780286056 585777013 /nfs/dbraw/zinc/77/70/13/585777013.db2.gz HDVGGRPWDLZCDV-AWEZNQCLSA-N 0 3 245.366 2.827 20 0 BFADHN CCc1cccc(NC(=O)CN[C@H](C)C2CC2)c1 ZINC000781001877 585788487 /nfs/dbraw/zinc/78/84/87/585788487.db2.gz QNUQVJHDIQYGMS-LLVKDONJSA-N 0 3 246.354 2.576 20 0 BFADHN COC(CN(C)[C@H]1CCCc2ccccc21)OC ZINC000781885515 585804685 /nfs/dbraw/zinc/80/46/85/585804685.db2.gz QUWFITBYKPMXJO-AWEZNQCLSA-N 0 3 249.354 2.615 20 0 BFADHN C[C@H](C1CC1)N1CCc2cc(F)ccc2C1 ZINC000782189861 585811215 /nfs/dbraw/zinc/81/12/15/585811215.db2.gz IMQNBADIBBNOBL-SNVBAGLBSA-N 0 3 219.303 2.982 20 0 BFADHN C[C@@H](C1CC1)N1CCN(c2cccs2)CC1 ZINC000782191532 585811392 /nfs/dbraw/zinc/81/13/92/585811392.db2.gz WUNHKPYCEAKFRE-NSHDSACASA-N 0 3 236.384 2.669 20 0 BFADHN C[C@@H](C1CC1)N1CCC(C(=O)C(F)(F)F)CC1 ZINC000782194932 585811924 /nfs/dbraw/zinc/81/19/24/585811924.db2.gz XBKICMMWMCXKJR-QMMMGPOBSA-N 0 3 249.276 2.628 20 0 BFADHN C[C@H](c1ccncc1)N1CCC(CCCO)CC1 ZINC000782537285 585819938 /nfs/dbraw/zinc/81/99/38/585819938.db2.gz PHSHJRFRKYYGSX-CYBMUJFWSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@@H]1CN(CCc2cccs2)[C@H](C)[C@H](C)O1 ZINC000782619856 585822731 /nfs/dbraw/zinc/82/27/31/585822731.db2.gz FVABJGNOQJEJDX-UTUOFQBUSA-N 0 3 239.384 2.788 20 0 BFADHN Cc1cccc2c1CCN(CCOC1CCC1)C2 ZINC000805737632 585826488 /nfs/dbraw/zinc/82/64/88/585826488.db2.gz GKZHNOHXRQCDEQ-UHFFFAOYSA-N 0 3 245.366 2.922 20 0 BFADHN COc1cccc2c1OCC[C@@H]2N[C@H]1CC[C@@H]1C ZINC000783249278 585832182 /nfs/dbraw/zinc/83/21/82/585832182.db2.gz BPFHVHPOURVTQO-DRZSPHRISA-N 0 3 247.338 2.907 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@H]1CCC=CO1 ZINC000783308981 585833511 /nfs/dbraw/zinc/83/35/11/585833511.db2.gz HKRWUUKRBCOCFQ-GXTWGEPZSA-N 0 3 246.354 2.987 20 0 BFADHN Cc1ccncc1[C@@H](C)NC[C@@H]1CCC=CO1 ZINC000783307596 585833687 /nfs/dbraw/zinc/83/36/87/585833687.db2.gz ORPWORBALMUETG-OLZOCXBDSA-N 0 3 232.327 2.733 20 0 BFADHN c1cc(CN2CCC([C@@H]3CCCO3)CC2)co1 ZINC000784664302 585865344 /nfs/dbraw/zinc/86/53/44/585865344.db2.gz OFANLQFIPBTIIP-AWEZNQCLSA-N 0 3 235.327 2.671 20 0 BFADHN Cc1cc(CN[C@@]2(C)CCO[C@@H]2C2CC2)c(C)o1 ZINC000784683210 585865411 /nfs/dbraw/zinc/86/54/11/585865411.db2.gz VHSFRLAQCPQYJK-CABCVRRESA-N 0 3 249.354 2.944 20 0 BFADHN Cc1nc(F)ccc1CN[C@@H]1CCC(F)(F)C1 ZINC000785486752 585874487 /nfs/dbraw/zinc/87/44/87/585874487.db2.gz HPZJWPOXSZMPFK-SNVBAGLBSA-N 0 3 244.260 2.807 20 0 BFADHN CCCOC(=O)c1cccc(CN2CCCC2)c1 ZINC000785722726 585878961 /nfs/dbraw/zinc/87/89/61/585878961.db2.gz KHIPNEYSGKDAKZ-UHFFFAOYSA-N 0 3 247.338 2.849 20 0 BFADHN Cc1nc(F)ccc1CN1CCC(C)(C)C1 ZINC000787165480 585902279 /nfs/dbraw/zinc/90/22/79/585902279.db2.gz TYWMYJZTCQFVHM-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN O[C@H](CN[C@H]1CCCc2occc21)c1ccco1 ZINC000788431301 585923065 /nfs/dbraw/zinc/92/30/65/585923065.db2.gz SLZKQRKLAIAHBX-NWDGAFQWSA-N 0 3 247.294 2.573 20 0 BFADHN Cc1cccc2c1CCN(CCC[C@H](C)O)C2 ZINC000789318091 585939901 /nfs/dbraw/zinc/93/99/01/585939901.db2.gz FIUVBUYQUBTUCR-ZDUSSCGKSA-N 0 3 233.355 2.514 20 0 BFADHN CC(C)[C@@H](NC[C@H]1CC[C@@H](C)O1)c1ccccn1 ZINC000789361311 585941576 /nfs/dbraw/zinc/94/15/76/585941576.db2.gz GNJKYOSDTDCENV-UMVBOHGHSA-N 0 3 248.370 2.936 20 0 BFADHN C/C=C\COC(=O)[C@@H]1CCCN1C1CCCC1 ZINC000789801161 585950171 /nfs/dbraw/zinc/95/01/71/585950171.db2.gz NSJZLXUUCFGYBC-ZRMMWKCHSA-N 0 3 237.343 2.513 20 0 BFADHN C[C@H]1C[C@H](C)N1C[C@@H](O)c1ccc(Cl)cc1 ZINC000791578357 585984666 /nfs/dbraw/zinc/98/46/66/585984666.db2.gz TUCBFPIDMIWLGX-OUJBWJOFSA-N 0 3 239.746 2.856 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2[C@@H](C)C[C@@H]2C)c1 ZINC000791578541 585984802 /nfs/dbraw/zinc/98/48/02/585984802.db2.gz VQYZQYPIPBEUIO-KCQAQPDRSA-N 0 3 233.355 2.820 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2[C@H](C)C[C@@H]2C)c1 ZINC000791578544 585984811 /nfs/dbraw/zinc/98/48/11/585984811.db2.gz VQYZQYPIPBEUIO-VNHYZAJKSA-N 0 3 233.355 2.820 20 0 BFADHN CCCCCCN(C)CC(=O)N1[C@H](C)C[C@@H]1C ZINC000792527228 586001575 /nfs/dbraw/zinc/00/15/75/586001575.db2.gz BZDXLVTXOXSGRW-BETUJISGSA-N 0 3 240.391 2.508 20 0 BFADHN CCCCCCN(C)CC(=O)N1[C@H](C)C[C@H]1C ZINC000792527229 586001608 /nfs/dbraw/zinc/00/16/08/586001608.db2.gz BZDXLVTXOXSGRW-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@@H](C[C@@H](O)c1ccccc1)NCC1(F)CC1 ZINC000793032245 586008476 /nfs/dbraw/zinc/00/84/76/586008476.db2.gz KSMDVEQHPXJNTD-WCQYABFASA-N 0 3 237.318 2.590 20 0 BFADHN Cc1cc([C@@H](C)NCCc2ccc(C)o2)n(C)n1 ZINC000793524888 586021712 /nfs/dbraw/zinc/02/17/12/586021712.db2.gz LVSYFIBCAKFOKI-GFCCVEGCSA-N 0 3 247.342 2.523 20 0 BFADHN c1cc(CN2CCC3(CCOCC3)CC2)co1 ZINC000793624399 586024455 /nfs/dbraw/zinc/02/44/55/586024455.db2.gz MSCQWLNMYQLAFV-UHFFFAOYSA-N 0 3 235.327 2.672 20 0 BFADHN CC(C)n1ccc(CN[C@H]2[C@H](C)CC[C@@H]2C)n1 ZINC000794604338 586045710 /nfs/dbraw/zinc/04/57/10/586045710.db2.gz KRMOUUKCABJIDP-IMRBUKKESA-N 0 3 235.375 2.988 20 0 BFADHN C[C@H]1CC2(CCC2)CN1Cc1ccnc(F)c1 ZINC000859281894 589027706 /nfs/dbraw/zinc/02/77/06/589027706.db2.gz XTUBZNRYMHZIFT-NSHDSACASA-N 0 3 234.318 2.985 20 0 BFADHN CC[C@@H]1CCN1C[C@@H](O)c1cc(C)cc(C)c1 ZINC000795647567 586090003 /nfs/dbraw/zinc/09/00/03/586090003.db2.gz FQDFGSMJZCNSPR-HUUCEWRRSA-N 0 3 233.355 2.821 20 0 BFADHN CC[C@@H]1CCN1C[C@H](O)c1ccccc1C ZINC000795649757 586090157 /nfs/dbraw/zinc/09/01/57/586090157.db2.gz QRHBNATWJYAAOX-OCCSQVGLSA-N 0 3 219.328 2.513 20 0 BFADHN CC[C@H]1CCN1C[C@@H](O)c1ccccc1C ZINC000795649752 586090200 /nfs/dbraw/zinc/09/02/00/586090200.db2.gz QRHBNATWJYAAOX-GXTWGEPZSA-N 0 3 219.328 2.513 20 0 BFADHN CCOC1CC(CN2CCC(F)(F)CC2)C1 ZINC000796054150 586097047 /nfs/dbraw/zinc/09/70/47/586097047.db2.gz GOCQCJNIZXLTHE-UHFFFAOYSA-N 0 3 233.302 2.533 20 0 BFADHN Cc1ccccc1[C@H](NCc1c[nH]cn1)C1CC1 ZINC000796447733 586103215 /nfs/dbraw/zinc/10/32/15/586103215.db2.gz BYKPWHJIBWMDKU-OAHLLOKOSA-N 0 3 241.338 2.959 20 0 BFADHN Cc1ccccc1[C@H](NCc1cnc[nH]1)C1CC1 ZINC000796447733 586103216 /nfs/dbraw/zinc/10/32/16/586103216.db2.gz BYKPWHJIBWMDKU-OAHLLOKOSA-N 0 3 241.338 2.959 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1c(Cl)nc2ccccn21 ZINC000796526193 586106106 /nfs/dbraw/zinc/10/61/06/586106106.db2.gz KAFSGDFMMVHOCS-ZJUUUORDSA-N 0 3 249.745 2.876 20 0 BFADHN Cc1cccc(F)c1CNC1CCC(O)CC1 ZINC000796777601 586112504 /nfs/dbraw/zinc/11/25/04/586112504.db2.gz STBNSRPYPOZCPC-UHFFFAOYSA-N 0 3 237.318 2.527 20 0 BFADHN CC1=C[C@@H](C)CN(C[C@@H](O)c2cccc(F)c2)C1 ZINC000797526489 586128258 /nfs/dbraw/zinc/12/82/58/586128258.db2.gz RIDTYCKEHJJOSS-IAQYHMDHSA-N 0 3 249.329 2.757 20 0 BFADHN Cc1cc(F)ccc1[C@@H](O)CN1CCC=C(C)C1 ZINC000797997471 586135605 /nfs/dbraw/zinc/13/56/05/586135605.db2.gz WFERYGFWMADLNU-HNNXBMFYSA-N 0 3 249.329 2.820 20 0 BFADHN CC(=O)OC[C@@H](C)NCc1ccc(C(C)C)cc1 ZINC000798181220 586138740 /nfs/dbraw/zinc/13/87/40/586138740.db2.gz RIBYSJTVKOGERV-GFCCVEGCSA-N 0 3 249.354 2.851 20 0 BFADHN Cc1nn(C(C)C)cc1CNC[C@H]1CCC=CO1 ZINC000798497552 586144475 /nfs/dbraw/zinc/14/44/75/586144475.db2.gz RLALULKYAGGDQM-CQSZACIVSA-N 0 3 249.358 2.555 20 0 BFADHN CCCCCCC(=O)NC1(CN(C)C)CCC1 ZINC000798576333 586145403 /nfs/dbraw/zinc/14/54/03/586145403.db2.gz QVYDKEHBMYNZDQ-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN c1cc(CN2CC[C@H](c3ccccn3)C2)co1 ZINC000798963069 586154545 /nfs/dbraw/zinc/15/45/45/586154545.db2.gz WJEITLBLGSQACI-ZDUSSCGKSA-N 0 3 228.295 2.664 20 0 BFADHN CC1(C)CC[C@H]1NCc1cc(CO)ccc1F ZINC000859324605 589031555 /nfs/dbraw/zinc/03/15/55/589031555.db2.gz VWHYEQLNMGRJTP-CYBMUJFWSA-N 0 3 237.318 2.596 20 0 BFADHN COc1cncc(CN2CCCCCCCC2)n1 ZINC000859324725 589031828 /nfs/dbraw/zinc/03/18/28/589031828.db2.gz GMSQOGGGSBZQDV-UHFFFAOYSA-N 0 3 249.358 2.641 20 0 BFADHN CCCC[C@@H](C)C(=O)OCCN1CCCCC1 ZINC000800274987 586207942 /nfs/dbraw/zinc/20/79/42/586207942.db2.gz RTODCUAAAFTNBJ-CYBMUJFWSA-N 0 3 241.375 2.842 20 0 BFADHN Cc1cc([C@H](C)N[C@H](CCO)C2CC2)oc1C ZINC000800482586 586222289 /nfs/dbraw/zinc/22/22/89/586222289.db2.gz VQMFOEAXWLXSML-GXFFZTMASA-N 0 3 237.343 2.708 20 0 BFADHN C(N[C@H]1CCC[C@H]2C[C@H]21)c1nc2c(s1)CCC2 ZINC000800890532 586235791 /nfs/dbraw/zinc/23/57/91/586235791.db2.gz RMICWJDTCQXXNK-AXFHLTTASA-N 0 3 248.395 2.910 20 0 BFADHN C[C@@H]1CC(CCNCc2ccoc2)C[C@@H](C)O1 ZINC000801732517 586269819 /nfs/dbraw/zinc/26/98/19/586269819.db2.gz NEIDOPXUODMYFX-VXGBXAGGSA-N 0 3 237.343 2.963 20 0 BFADHN C[C@H](NCc1ccon1)[C@@H]1C[C@H]1c1ccccc1 ZINC000802303546 586303410 /nfs/dbraw/zinc/30/34/10/586303410.db2.gz JWFDHCVNJUTGGB-CQDKDKBSSA-N 0 3 242.322 2.956 20 0 BFADHN C[C@@H](NCc1ccon1)[C@@H]1C[C@H]1c1ccccc1 ZINC000802303547 586303519 /nfs/dbraw/zinc/30/35/19/586303519.db2.gz JWFDHCVNJUTGGB-UGFHNGPFSA-N 0 3 242.322 2.956 20 0 BFADHN Cl/C=C/CN1CC[C@H]2CC[C@@H](C1)S2 ZINC000859540467 589044144 /nfs/dbraw/zinc/04/41/44/589044144.db2.gz XNMNLAIMMKDFGU-UDCXPUBYSA-N 0 3 217.765 2.709 20 0 BFADHN CN1CCCC[C@@H]1CCC(=O)OC/C=C\Cl ZINC000803854970 586377181 /nfs/dbraw/zinc/37/71/81/586377181.db2.gz BIAXHAIGAUYDNF-LAUIRUGDSA-N 0 3 245.750 2.547 20 0 BFADHN CC[C@@H](C)COC(=O)CC[C@H]1CCCCN1C ZINC000803858328 586377939 /nfs/dbraw/zinc/37/79/39/586377939.db2.gz ONLMZPWDCAKIKE-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN CC[C@H](C)COC(=O)CC[C@@H]1CCCCN1C ZINC000803858331 586377998 /nfs/dbraw/zinc/37/79/98/586377998.db2.gz ONLMZPWDCAKIKE-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN ClC1(Cl)C[C@H]1CN(C1CC1)C1CC1 ZINC000859620828 589049345 /nfs/dbraw/zinc/04/93/45/589049345.db2.gz JCFPHZNKHJNEOS-ZETCQYMHSA-N 0 3 220.143 2.807 20 0 BFADHN Cc1cc(F)ccc1[C@@H](O)CN(C1CC1)C1CC1 ZINC000859623356 589049615 /nfs/dbraw/zinc/04/96/15/589049615.db2.gz NPRYMUWHAHKUDQ-HNNXBMFYSA-N 0 3 249.329 2.794 20 0 BFADHN Clc1cc(CN(C2CC2)C2CC2)ccn1 ZINC000859623420 589050023 /nfs/dbraw/zinc/05/00/23/589050023.db2.gz XRCGJQMPTAKDPT-UHFFFAOYSA-N 0 3 222.719 2.862 20 0 BFADHN CCC1(CNCc2cn(C)cn2)CCCCC1 ZINC000808406172 586471610 /nfs/dbraw/zinc/47/16/10/586471610.db2.gz XHHQHENVFZBNJH-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN Cc1nonc1CN[C@@H](C)C1C(C)(C)C1(C)C ZINC000809744434 586516454 /nfs/dbraw/zinc/51/64/54/586516454.db2.gz KVCMCPGSKYBIOY-VIFPVBQESA-N 0 3 237.347 2.538 20 0 BFADHN c1cc(CN2CCC[C@H](C3CC3)C2)co1 ZINC000809787232 586519891 /nfs/dbraw/zinc/51/98/91/586519891.db2.gz WTUYZFKHBYBNMI-ZDUSSCGKSA-N 0 3 205.301 2.902 20 0 BFADHN COCC1(CNCc2ccoc2)CCCCC1 ZINC000810177354 586536396 /nfs/dbraw/zinc/53/63/96/586536396.db2.gz DUBCNCYZUGUALG-UHFFFAOYSA-N 0 3 237.343 2.966 20 0 BFADHN C[C@H]1CN(C[C@@H]2CCC=CO2)CCC1(F)F ZINC000811512011 586560226 /nfs/dbraw/zinc/56/02/26/586560226.db2.gz BLGSWIHZLJAFQR-QWRGUYRKSA-N 0 3 231.286 2.656 20 0 BFADHN Cc1nc(C)c(CN2CC(C3CC3)C2)s1 ZINC000812776621 586584040 /nfs/dbraw/zinc/58/40/40/586584040.db2.gz WSYKRLPFUOORAH-UHFFFAOYSA-N 0 3 222.357 2.602 20 0 BFADHN C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCCN2CCF)C1 ZINC000812842620 586587704 /nfs/dbraw/zinc/58/77/04/586587704.db2.gz NKHLZLSZNSAAOH-RFGFWPKPSA-N 0 3 243.366 2.608 20 0 BFADHN CC(C)[C@H](NCc1ccoc1)C1(CO)CCC1 ZINC000814170022 586615673 /nfs/dbraw/zinc/61/56/73/586615673.db2.gz QDLXHOUTPBONAX-ZDUSSCGKSA-N 0 3 237.343 2.556 20 0 BFADHN CC(=O)CCN1CCC[C@@H](c2ccccc2)C1 ZINC000814643569 586627849 /nfs/dbraw/zinc/62/78/49/586627849.db2.gz PIVAOBGXVJQZSF-OAHLLOKOSA-N 0 3 231.339 2.845 20 0 BFADHN CCCC[C@@H](CCC)CNCc1cnn(C)n1 ZINC000815128561 586668727 /nfs/dbraw/zinc/66/87/27/586668727.db2.gz GBBSCBRSIQQQTG-GFCCVEGCSA-N 0 3 238.379 2.511 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2C[C@@H](C)[C@@H]2C)c1 ZINC000815162757 586671869 /nfs/dbraw/zinc/67/18/69/586671869.db2.gz IWNCPSIUDDHUBJ-VNHYZAJKSA-N 0 3 233.355 2.677 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2C[C@@H](C)[C@H]2C)c1 ZINC000815162756 586671853 /nfs/dbraw/zinc/67/18/53/586671853.db2.gz IWNCPSIUDDHUBJ-UMVBOHGHSA-N 0 3 233.355 2.677 20 0 BFADHN CCOc1ccccc1CN1C[C@H](C)[C@@H]1C ZINC000815164673 586672458 /nfs/dbraw/zinc/67/24/58/586672458.db2.gz ZEZIDEIXTAIXOT-RYUDHWBXSA-N 0 3 219.328 2.926 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@@H]1CCO[C@H]1C ZINC000815599328 586688805 /nfs/dbraw/zinc/68/88/05/586688805.db2.gz QNRPRUPCVTXUPH-COPLHBTASA-N 0 3 237.318 2.962 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@H]1CCO[C@@H]1C ZINC000815599331 586688862 /nfs/dbraw/zinc/68/88/62/586688862.db2.gz QNRPRUPCVTXUPH-WDMOLILDSA-N 0 3 237.318 2.962 20 0 BFADHN CC(=O)O[C@@H]1CCCN(Cc2ccccc2)[C@H]1C ZINC000816468720 586734714 /nfs/dbraw/zinc/73/47/14/586734714.db2.gz LUGPYOHYVXVWKA-SWLSCSKDSA-N 0 3 247.338 2.603 20 0 BFADHN COCCOc1cccc(CN2C[C@@H](C)[C@@H]2C)c1 ZINC000816648074 586750902 /nfs/dbraw/zinc/75/09/02/586750902.db2.gz DHAPBINDNNOIJK-OLZOCXBDSA-N 0 3 249.354 2.552 20 0 BFADHN CCOCOc1ccc(CN2C[C@H](C)[C@@H]2C)cc1 ZINC000816650030 586752661 /nfs/dbraw/zinc/75/26/61/586752661.db2.gz RZJOSILOYPGNCM-STQMWFEESA-N 0 3 249.354 2.900 20 0 BFADHN CCCCCCC(=O)N(C)C[C@@H]1CCCN1C ZINC000817490279 586807769 /nfs/dbraw/zinc/80/77/69/586807769.db2.gz BMQPMMJEUWUFBT-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CCn1nc(C)c(CN[C@@H](C)C(C)(C)CC)n1 ZINC000818347386 586886504 /nfs/dbraw/zinc/88/65/04/586886504.db2.gz ZKZQONKJRRHYAC-NSHDSACASA-N 0 3 238.379 2.521 20 0 BFADHN CCCC[C@@H](CC)NCc1nn(CC)nc1C ZINC000818343354 586887232 /nfs/dbraw/zinc/88/72/32/586887232.db2.gz AGLHMCGOOCJXLC-GFCCVEGCSA-N 0 3 238.379 2.665 20 0 BFADHN CCc1noc(C)c1[C@H](C)N[C@@H]1CC12CC2 ZINC000818355110 586888454 /nfs/dbraw/zinc/88/84/54/586888454.db2.gz CFNZAMNZTQEXJJ-GZMMTYOYSA-N 0 3 220.316 2.749 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1ccc(Cl)nc1 ZINC000818356858 586888679 /nfs/dbraw/zinc/88/86/79/586888679.db2.gz RTBACIZLBJIIHM-PSASIEDQSA-N 0 3 222.719 2.938 20 0 BFADHN CC/C=C\CNC[C@@H](O)c1cccc(Cl)c1 ZINC000819343977 586954527 /nfs/dbraw/zinc/95/45/27/586954527.db2.gz FCVMGLUCRKRDSI-DHCBQETCSA-N 0 3 239.746 2.929 20 0 BFADHN C[C@H](F)CCN[C@@H](C)c1ccns1 ZINC000860061977 589078234 /nfs/dbraw/zinc/07/82/34/589078234.db2.gz PRWNBXOHAMHSNN-YUMQZZPRSA-N 0 3 202.298 2.542 20 0 BFADHN CC(C)CC[C@@H](O)CN[C@@H](C)c1ccns1 ZINC000860061939 589078363 /nfs/dbraw/zinc/07/83/63/589078363.db2.gz OQRSCBXKXZNPHZ-WDEREUQCSA-N 0 3 242.388 2.591 20 0 BFADHN CCC[C@@H](CC)C(=O)N(CCN(C)C)C(C)C ZINC000821169290 587100010 /nfs/dbraw/zinc/10/00/10/587100010.db2.gz RWPPAVHTNYRHTN-CYBMUJFWSA-N 0 3 242.407 2.611 20 0 BFADHN COc1ccccc1CN(C)[C@@H]1CC[C@H](OC)C1 ZINC000821348460 587110370 /nfs/dbraw/zinc/11/03/70/587110370.db2.gz OXFLVSPDPMCCOZ-KGLIPLIRSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccccc1CN(C)[C@H]1CC[C@@H](OC)C1 ZINC000821348461 587110711 /nfs/dbraw/zinc/11/07/11/587110711.db2.gz OXFLVSPDPMCCOZ-UONOGXRCSA-N 0 3 249.354 2.695 20 0 BFADHN CO[C@@H]1CC[C@H](N2CCc3ccc(F)cc3C2)C1 ZINC000821396635 587116647 /nfs/dbraw/zinc/11/66/47/587116647.db2.gz RXGCYNPTRWYBJM-LSDHHAIUSA-N 0 3 249.329 2.751 20 0 BFADHN CO[C@@H]1CC[C@H](N2CCc3cc(F)ccc3C2)C1 ZINC000821402659 587117119 /nfs/dbraw/zinc/11/71/19/587117119.db2.gz WJNOOTGDDPBFOE-LSDHHAIUSA-N 0 3 249.329 2.751 20 0 BFADHN CN(C)Cc1cccc(C(=O)OCC(C)(C)C)c1 ZINC000821622829 587132872 /nfs/dbraw/zinc/13/28/72/587132872.db2.gz SJENXQUEXLISAB-UHFFFAOYSA-N 0 3 249.354 2.951 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cnc(C)cn2)C1 ZINC000860178957 589087017 /nfs/dbraw/zinc/08/70/17/589087017.db2.gz GUZLVDIQDXYWDP-XGACYXMMSA-N 0 3 245.370 2.963 20 0 BFADHN ClC1(Cl)C[C@H]1CCN1CC=CCC1 ZINC000822832283 587188123 /nfs/dbraw/zinc/18/81/23/587188123.db2.gz UWRNWCUQDJTOIY-SECBINFHSA-N 0 3 220.143 2.832 20 0 BFADHN Cc1cccc(-c2noc([C@H]3CCCN3)n2)c1F ZINC000823157982 587205746 /nfs/dbraw/zinc/20/57/46/587205746.db2.gz ZLKZZHVYLSSYLG-SNVBAGLBSA-N 0 3 247.273 2.609 20 0 BFADHN C[C@@H]1CN(CC[C@H]2CC2(Cl)Cl)[C@@H]1C ZINC000823189411 587207390 /nfs/dbraw/zinc/20/73/90/587207390.db2.gz BDRXPGFWRZHLMS-HLTSFMKQSA-N 0 3 222.159 2.911 20 0 BFADHN CC[C@H](C)NCc1ccnc(Cl)c1F ZINC000823585004 587232116 /nfs/dbraw/zinc/23/21/16/587232116.db2.gz QUWJQCRTUPTVAT-ZETCQYMHSA-N 0 3 216.687 2.762 20 0 BFADHN CCCCC[C@H](C)NCc1nn(CC)nc1C ZINC000823609287 587232758 /nfs/dbraw/zinc/23/27/58/587232758.db2.gz SNZQREHKKUCBSS-NSHDSACASA-N 0 3 238.379 2.665 20 0 BFADHN CC(C)CCCNCc1cc(C2CC2)nn1C ZINC000823625474 587233297 /nfs/dbraw/zinc/23/32/97/587233297.db2.gz WJYXIZYFZDNDAJ-UHFFFAOYSA-N 0 3 235.375 2.823 20 0 BFADHN C[C@H](CNCc1ccncc1F)C(C)(C)C ZINC000823876926 587245199 /nfs/dbraw/zinc/24/51/99/587245199.db2.gz TYDAFPJRUDTGHM-SNVBAGLBSA-N 0 3 224.323 2.993 20 0 BFADHN Cc1cccc(F)c1CN[C@H]1CSC[C@@H]1C ZINC000823949495 587246781 /nfs/dbraw/zinc/24/67/81/587246781.db2.gz JEAWVUVYCWXBMP-GWCFXTLKSA-N 0 3 239.359 2.975 20 0 BFADHN C[C@H]1CC[C@H](CNCc2cc(F)ncc2F)C1 ZINC000824320111 587265808 /nfs/dbraw/zinc/26/58/08/587265808.db2.gz DGFFTGBBRJJBNW-UWVGGRQHSA-N 0 3 240.297 2.886 20 0 BFADHN C[C@@H](CCC(F)(F)F)N[C@H]1CCn2ccnc21 ZINC000824525828 587273081 /nfs/dbraw/zinc/27/30/81/587273081.db2.gz DRMKHHZACRMHBY-IUCAKERBSA-N 0 3 247.264 2.649 20 0 BFADHN CC(C)C[C@@H](C)CN[C@@H]1CCn2ccnc21 ZINC000824526351 587273212 /nfs/dbraw/zinc/27/32/12/587273212.db2.gz JRQRQMYJBQCMNO-VXGBXAGGSA-N 0 3 221.348 2.600 20 0 BFADHN OC1CCC2(CC1)CCN(Cc1ccoc1)CC2 ZINC000824521121 587273262 /nfs/dbraw/zinc/27/32/62/587273262.db2.gz GHYMGLUJLTVWPK-UHFFFAOYSA-N 0 3 249.354 2.797 20 0 BFADHN CCC[C@H](CNCc1cc(C)oc1C)OC ZINC000824543822 587275260 /nfs/dbraw/zinc/27/52/60/587275260.db2.gz PRBKDGCHNVFGAF-CYBMUJFWSA-N 0 3 225.332 2.801 20 0 BFADHN CCC[C@@H](CNCc1cc(C)oc1C)OC ZINC000824543823 587275547 /nfs/dbraw/zinc/27/55/47/587275547.db2.gz PRBKDGCHNVFGAF-ZDUSSCGKSA-N 0 3 225.332 2.801 20 0 BFADHN CCCCC[C@@H](C)NCc1nnc(C(C)C)[nH]1 ZINC000824602893 587278819 /nfs/dbraw/zinc/27/88/19/587278819.db2.gz GBODTTUSDGNRBE-LLVKDONJSA-N 0 3 238.379 2.987 20 0 BFADHN CCCCC[C@@H](C)[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000824602893 587278821 /nfs/dbraw/zinc/27/88/21/587278821.db2.gz GBODTTUSDGNRBE-LLVKDONJSA-N 0 3 238.379 2.987 20 0 BFADHN Cn1cccc1CNC[C@@]1(C)CC1(Cl)Cl ZINC000824764548 587285921 /nfs/dbraw/zinc/28/59/21/587285921.db2.gz BITNVKJBDYGZJY-SNVBAGLBSA-N 0 3 247.169 2.699 20 0 BFADHN C[C@@]1(CNCc2cc[nH]c2)CC1(Cl)Cl ZINC000824765733 587286521 /nfs/dbraw/zinc/28/65/21/587286521.db2.gz PEROKVSCTLINST-VIFPVBQESA-N 0 3 233.142 2.688 20 0 BFADHN c1cc(CN2C[C@H](C3CC3)[C@H]2C2CC2)co1 ZINC000824941099 587294209 /nfs/dbraw/zinc/29/42/09/587294209.db2.gz INVRKSPQMAIOBQ-ZIAGYGMSSA-N 0 3 217.312 2.900 20 0 BFADHN Cc1cnc(CN2CCCCCCCC2)cn1 ZINC000824982231 587296870 /nfs/dbraw/zinc/29/68/70/587296870.db2.gz CSLMUMZFGKEUQV-UHFFFAOYSA-N 0 3 233.359 2.941 20 0 BFADHN COc1nccc(CN2CCCCCCCC2)n1 ZINC000824983040 587296967 /nfs/dbraw/zinc/29/69/67/587296967.db2.gz OVOCKFUBDNDEAE-UHFFFAOYSA-N 0 3 249.358 2.641 20 0 BFADHN CCc1nc(CN[C@@H]2CCC[C@H]2C)co1 ZINC000825057888 587300848 /nfs/dbraw/zinc/30/08/48/587300848.db2.gz RENCOVWOZDAOSM-MWLCHTKSSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1nc(CN[C@H](CC)C(C)C)co1 ZINC000825057429 587300851 /nfs/dbraw/zinc/30/08/51/587300851.db2.gz NIHLFNKDTRYYQO-LLVKDONJSA-N 0 3 210.321 2.761 20 0 BFADHN CCc1nc(CN[C@H]2CCC[C@H]2C)co1 ZINC000825057886 587301035 /nfs/dbraw/zinc/30/10/35/587301035.db2.gz RENCOVWOZDAOSM-KOLCDFICSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1nc(CN[C@@H](C)[C@@H](C)CC)co1 ZINC000825057880 587301209 /nfs/dbraw/zinc/30/12/09/587301209.db2.gz RCGNTJADNSYWHK-UWVGGRQHSA-N 0 3 210.321 2.761 20 0 BFADHN Cc1cc(CN[C@@H]2CO[C@H](C3CC3)C2)c(C)o1 ZINC000825279554 587312945 /nfs/dbraw/zinc/31/29/45/587312945.db2.gz VQVIKUQIRVDWMR-KBPBESRZSA-N 0 3 235.327 2.554 20 0 BFADHN [O-]c1ccc(C[NH2+][C@H]2CCC[C@@H]3C[C@@H]32)cc1F ZINC000825297357 587313415 /nfs/dbraw/zinc/31/34/15/587313415.db2.gz BVNNNKQKTDCSSO-MDZLAQPJSA-N 0 3 235.302 2.810 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2nonc2C)CC1 ZINC000825922434 587345490 /nfs/dbraw/zinc/34/54/90/587345490.db2.gz QWSLQCRCODZATJ-VXGBXAGGSA-N 0 3 237.347 2.827 20 0 BFADHN COCCN(CCF)C[C@H]1C(C)=CCC[C@@H]1C ZINC000827053881 587369083 /nfs/dbraw/zinc/36/90/83/587369083.db2.gz ILGKQSYOKQKWSP-KBPBESRZSA-N 0 3 243.366 2.897 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H]1C[C@H](O)c2ccccc21 ZINC000827168991 587371761 /nfs/dbraw/zinc/37/17/61/587371761.db2.gz BCOQQGWKSIWXEZ-RFQIPJPRSA-N 0 3 249.379 2.648 20 0 BFADHN C[C@@H]1CSC[C@@H]1N[C@@H]1C[C@H](O)c2ccccc21 ZINC000827169455 587371892 /nfs/dbraw/zinc/37/18/92/587371892.db2.gz DECSZRPERUVVKI-QQUHWDOBSA-N 0 3 249.379 2.506 20 0 BFADHN C[C@@H]1CSC[C@@H]1N[C@@H]1C[C@@H](O)c2ccccc21 ZINC000827169459 587371932 /nfs/dbraw/zinc/37/19/32/587371932.db2.gz DECSZRPERUVVKI-WBMYTEFPSA-N 0 3 249.379 2.506 20 0 BFADHN Cc1ccc(C2=CCN(CC[C@H](C)O)CC2)cc1 ZINC000827303568 587376477 /nfs/dbraw/zinc/37/64/77/587376477.db2.gz KOUGPZMQYIURCO-AWEZNQCLSA-N 0 3 245.366 2.855 20 0 BFADHN CC[C@H](NCc1cc(F)ncc1F)C1CC1 ZINC000827320162 587376991 /nfs/dbraw/zinc/37/69/91/587376991.db2.gz HVEPPWXZCQRRKY-NSHDSACASA-N 0 3 226.270 2.638 20 0 BFADHN CCN(CC)C[C@]1(C)CC1(Cl)Cl ZINC000827349739 587377991 /nfs/dbraw/zinc/37/79/91/587377991.db2.gz MOMMUNNOVVKUQR-QMMMGPOBSA-N 0 3 210.148 2.912 20 0 BFADHN COc1cccc(CNC[C@@H]2CCC=CO2)c1C ZINC000827780300 587402090 /nfs/dbraw/zinc/40/20/90/587402090.db2.gz VLSKXQADLLFXSQ-AWEZNQCLSA-N 0 3 247.338 2.786 20 0 BFADHN CC(C)CC(=O)CCN1CCS[C@H](C)[C@H]1C ZINC000827930497 587410780 /nfs/dbraw/zinc/41/07/80/587410780.db2.gz LIZLIPILBHQLOU-VXGBXAGGSA-N 0 3 243.416 2.818 20 0 BFADHN CN(Cc1cnc(Cl)n1C)C[C@H]1CC1(C)C ZINC000827941556 587411735 /nfs/dbraw/zinc/41/17/35/587411735.db2.gz GIZQTISTMOMTAW-SECBINFHSA-N 0 3 241.766 2.551 20 0 BFADHN CC(C)CC(=O)CCN1CCSC(C)(C)C1 ZINC000827980121 587414928 /nfs/dbraw/zinc/41/49/28/587414928.db2.gz IWDLYBZTXFXIQT-UHFFFAOYSA-N 0 3 243.416 2.819 20 0 BFADHN CC(C)(C)CCN1CC(C(F)(F)F)C1 ZINC000828406267 587440380 /nfs/dbraw/zinc/44/03/80/587440380.db2.gz ZXXJQCAZFYLZSW-UHFFFAOYSA-N 0 3 209.255 2.917 20 0 BFADHN CC1=C[C@@H](C)CN(CCC(=O)CC(C)C)C1 ZINC000828457376 587443470 /nfs/dbraw/zinc/44/34/70/587443470.db2.gz PVXXOKZGIHQRFI-GFCCVEGCSA-N 0 3 223.360 2.890 20 0 BFADHN C[C@H]1CN(CCC2=CCCCC2)CCN1C ZINC000828529655 587448094 /nfs/dbraw/zinc/44/80/94/587448094.db2.gz RNDKAOBSQICDNP-ZDUSSCGKSA-N 0 3 222.376 2.513 20 0 BFADHN CC(C)[C@@H](NCCC[C@@H](C)O)c1ccccn1 ZINC000828693510 587457307 /nfs/dbraw/zinc/45/73/07/587457307.db2.gz URGRQYLSWXPSKT-TZMCWYRMSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCCC(C)(F)F ZINC000828687394 587457378 /nfs/dbraw/zinc/45/73/78/587457378.db2.gz KEDJVRQBEUPUQP-ZETCQYMHSA-N 0 3 232.274 2.987 20 0 BFADHN Cc1ccnc(CN2CCCC[C@@H]2C2CCC2)n1 ZINC000830989635 587580016 /nfs/dbraw/zinc/58/00/16/587580016.db2.gz WUPAUXPRKIQRCT-CQSZACIVSA-N 0 3 245.370 2.940 20 0 BFADHN C[C@@H]1CC/C(=C\C(=O)Nc2cccc(CN)c2)C1 ZINC000832223796 587651516 /nfs/dbraw/zinc/65/15/16/587651516.db2.gz WCTSQWCFKWKAQE-LWMMSDEHSA-N 0 3 244.338 2.830 20 0 BFADHN Cl/C=C\CN1CCC[C@@]2(CCSC2)C1 ZINC000832505216 587664129 /nfs/dbraw/zinc/66/41/29/587664129.db2.gz DGTYHLOAWLEHOB-SRMRHOMVSA-N 0 3 231.792 2.958 20 0 BFADHN CCON(CCN1CCCC1)Cc1ccccc1 ZINC000832795946 587690373 /nfs/dbraw/zinc/69/03/73/587690373.db2.gz SYXUPZIGILYXAZ-UHFFFAOYSA-N 0 3 248.370 2.536 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2CC[C@H]2C2CC2)c1 ZINC000834382196 587753676 /nfs/dbraw/zinc/75/36/76/587753676.db2.gz GERIDPZGLQSLQN-JKSUJKDBSA-N 0 3 245.366 2.821 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2CC[C@@H]2C2CC2)c1 ZINC000834382195 587753833 /nfs/dbraw/zinc/75/38/33/587753833.db2.gz GERIDPZGLQSLQN-HZPDHXFCSA-N 0 3 245.366 2.821 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccnc(Cl)c1)[C@H](C)O ZINC000834888072 587769467 /nfs/dbraw/zinc/76/94/67/587769467.db2.gz MMWBMPAIFYXABC-QXEWZRGKSA-N 0 3 242.750 2.545 20 0 BFADHN CCc1nc(CN[C@H]2CCC[C@H]2CC)co1 ZINC000834901449 587769883 /nfs/dbraw/zinc/76/98/83/587769883.db2.gz VWDHXHKIGDBKOB-PWSUYJOCSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nc(CN[C@H](C2CC2)C2CCC2)co1 ZINC000834900604 587769897 /nfs/dbraw/zinc/76/98/97/587769897.db2.gz VYSUOJUOTDJKRQ-AWEZNQCLSA-N 0 3 234.343 2.905 20 0 BFADHN CCc1nn(C)c(Cl)c1CN[C@@H](C)CC ZINC000835033210 587779441 /nfs/dbraw/zinc/77/94/41/587779441.db2.gz DBEIZZOIFAAXDB-QMMMGPOBSA-N 0 3 229.755 2.524 20 0 BFADHN CNCc1cccc(NC(=O)C[C@@H](C)C2CC2)c1 ZINC000837302879 587864342 /nfs/dbraw/zinc/86/43/42/587864342.db2.gz KTCNSDHDSFQVHN-LLVKDONJSA-N 0 3 246.354 2.781 20 0 BFADHN Cc1cc(CN)cc(NC(=O)C[C@H](C)C2CC2)c1 ZINC000837306310 587864662 /nfs/dbraw/zinc/86/46/62/587864662.db2.gz BZULCHKXHOPBQM-NSHDSACASA-N 0 3 246.354 2.828 20 0 BFADHN CC(C)N1CCCC[C@H]1COC(=O)C(C)(C)C ZINC000837437757 587872808 /nfs/dbraw/zinc/87/28/08/587872808.db2.gz PLPIKTWAJXGAJU-LBPRGKRZSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@H](NCCC1CCC1)c1cc2n(n1)CCC2 ZINC000840726966 587918320 /nfs/dbraw/zinc/91/83/20/587918320.db2.gz CTGNZKXUHXOGBW-NSHDSACASA-N 0 3 233.359 2.670 20 0 BFADHN C[C@@H](N[C@@H](C)c1cncs1)[C@@H]1CC1(F)F ZINC000840879184 587927532 /nfs/dbraw/zinc/92/75/32/587927532.db2.gz LOECFQRIYAAOSJ-CSMHCCOUSA-N 0 3 232.299 2.837 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CC1(F)F)c1cncs1 ZINC000840879185 587927589 /nfs/dbraw/zinc/92/75/89/587927589.db2.gz LOECFQRIYAAOSJ-FXQIFTODSA-N 0 3 232.299 2.837 20 0 BFADHN Cc1occc1CNCCNc1ccccc1 ZINC000840904917 587928645 /nfs/dbraw/zinc/92/86/45/587928645.db2.gz LZNLDULYWXXCQU-UHFFFAOYSA-N 0 3 230.311 2.790 20 0 BFADHN Cc1ccc(CN(C)[C@@H](C)C(C)(C)C)nn1 ZINC000840909747 587929400 /nfs/dbraw/zinc/92/94/00/587929400.db2.gz HFPGEFTZRIRAPF-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN C[C@@H]1C[C@H](NCc2cccnc2Cl)CS1 ZINC000840959833 587932557 /nfs/dbraw/zinc/93/25/57/587932557.db2.gz ZTRBNAMWTVMGQT-SCZZXKLOSA-N 0 3 242.775 2.719 20 0 BFADHN C[C@H]1C[C@@H](NCc2cccnc2Cl)CS1 ZINC000840959834 587932636 /nfs/dbraw/zinc/93/26/36/587932636.db2.gz ZTRBNAMWTVMGQT-WCBMZHEXSA-N 0 3 242.775 2.719 20 0 BFADHN CC[C@H](CN[C@@H](c1ccccn1)C(C)C)OC ZINC000842154762 588003829 /nfs/dbraw/zinc/00/38/29/588003829.db2.gz WTSJSLAYMQGREE-TZMCWYRMSA-N 0 3 236.359 2.793 20 0 BFADHN CN(CC1OCCO1)[C@H]1CCC[C@H]1C(C)(C)C ZINC000842167899 588005301 /nfs/dbraw/zinc/00/53/01/588005301.db2.gz VTYWHRDIEXFUBA-NEPJUHHUSA-N 0 3 241.375 2.506 20 0 BFADHN CN(CC1OCCO1)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000842168207 588005336 /nfs/dbraw/zinc/00/53/36/588005336.db2.gz VTYWHRDIEXFUBA-NWDGAFQWSA-N 0 3 241.375 2.506 20 0 BFADHN CC(C)Cn1nccc1CN[C@H](C)C1(C)CC1 ZINC000842673430 588051336 /nfs/dbraw/zinc/05/13/36/588051336.db2.gz NKLMEIHZTOHVDY-GFCCVEGCSA-N 0 3 235.375 2.817 20 0 BFADHN CC(C)[C@H](N[C@H]1CO[C@H](C)C1)c1ccccn1 ZINC000842684016 588052623 /nfs/dbraw/zinc/05/26/23/588052623.db2.gz VNRMEGAZEVLNSU-BZPMIXESSA-N 0 3 234.343 2.546 20 0 BFADHN CC(C)Cn1nccc1CN[C@@H]1CCC1(C)C ZINC000842704657 588054236 /nfs/dbraw/zinc/05/42/36/588054236.db2.gz CKILMXODMMRLKX-CYBMUJFWSA-N 0 3 235.375 2.817 20 0 BFADHN CCc1nc(CNC2CCC(F)(F)CC2)co1 ZINC000842711985 588054967 /nfs/dbraw/zinc/05/49/67/588054967.db2.gz JARCMXOYCOYQAJ-UHFFFAOYSA-N 0 3 244.285 2.905 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@H]1CO[C@H](C)C1 ZINC000842928276 588072010 /nfs/dbraw/zinc/07/20/10/588072010.db2.gz INPJEHVJBKLEHZ-NTZNESFSSA-N 0 3 237.318 2.962 20 0 BFADHN CC(C(=O)Nc1cccc(CN)c1)=C1CCCC1 ZINC000843288737 588097828 /nfs/dbraw/zinc/09/78/28/588097828.db2.gz GDKUEMPEWZOOJC-UHFFFAOYSA-N 0 3 244.338 2.974 20 0 BFADHN CC(C)[C@@H]1N(Cc2cnccn2)CC12CC=CC2 ZINC000843432075 588109462 /nfs/dbraw/zinc/10/94/62/588109462.db2.gz PVTPNMKIXXZRCP-AWEZNQCLSA-N 0 3 243.354 2.653 20 0 BFADHN O[C@@H](CN1CCC12CCCC2)c1cccc(F)c1 ZINC000844275301 588179473 /nfs/dbraw/zinc/17/94/73/588179473.db2.gz ANOUYBOTJPLTRA-AWEZNQCLSA-N 0 3 249.329 2.878 20 0 BFADHN Cc1nnsc1CN1CCC2(CCCC2)C1 ZINC000844299381 588182655 /nfs/dbraw/zinc/18/26/55/588182655.db2.gz CPTFMGDPHJBSKL-UHFFFAOYSA-N 0 3 237.372 2.613 20 0 BFADHN CC[C@@H]1CN(CC2CC(F)(F)C2)[C@@H](C)CO1 ZINC000844391032 588189101 /nfs/dbraw/zinc/18/91/01/588189101.db2.gz MLFJMFJZAJXPLF-GXSJLCMTSA-N 0 3 233.302 2.531 20 0 BFADHN CC(C)OCCN1CCC[C@@]2(CC2(F)F)C1 ZINC000844433729 588192364 /nfs/dbraw/zinc/19/23/64/588192364.db2.gz HHLXQUWBPBVRDT-LLVKDONJSA-N 0 3 233.302 2.533 20 0 BFADHN FC1(F)[C@H]2CN(Cc3ccc4c(c3)CCC4)C[C@H]21 ZINC000844451207 588195457 /nfs/dbraw/zinc/19/54/57/588195457.db2.gz NGLCFDKLDWQWDI-OKILXGFUSA-N 0 3 249.304 2.872 20 0 BFADHN C[C@H](c1noc([C@H]2CC[C@@H](C3CC3)N2)n1)C1CC1 ZINC000844913808 588219200 /nfs/dbraw/zinc/21/92/00/588219200.db2.gz VQAKRWPDRWIBCG-KPXOXKRLSA-N 0 3 247.342 2.786 20 0 BFADHN CC(C)c1csc(SCCN(C)C)n1 ZINC000845327833 588226692 /nfs/dbraw/zinc/22/66/92/588226692.db2.gz LSSDYYYUGSBGGQ-UHFFFAOYSA-N 0 3 230.402 2.920 20 0 BFADHN C[C@@H](CN1CCCC1)OC(=O)C1=CCCCC1 ZINC000845662718 588239956 /nfs/dbraw/zinc/23/99/56/588239956.db2.gz CJIOLFXDIGHMKN-LBPRGKRZSA-N 0 3 237.343 2.514 20 0 BFADHN C[C@@H](CN1CCCC1)OC(=O)C=C1CCCC1 ZINC000845667565 588240290 /nfs/dbraw/zinc/24/02/90/588240290.db2.gz HURLGLIEOVYBQJ-LBPRGKRZSA-N 0 3 237.343 2.514 20 0 BFADHN CC/C(C)=C/C(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845731633 588242614 /nfs/dbraw/zinc/24/26/14/588242614.db2.gz XSXKVBSWUNLZFD-LMMOQWNQSA-N 0 3 239.359 2.759 20 0 BFADHN CC[C@@H](C)CC(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845734948 588243100 /nfs/dbraw/zinc/24/31/00/588243100.db2.gz ICOPLEXZWGNGER-VXGBXAGGSA-N 0 3 241.375 2.839 20 0 BFADHN CC(C)(C)N1CC[C@@H]1COC(=O)C1=CCCC1 ZINC000845735571 588243164 /nfs/dbraw/zinc/24/31/64/588243164.db2.gz YAPSXVIHVSCMGL-GFCCVEGCSA-N 0 3 237.343 2.513 20 0 BFADHN CC(C)[C@H](C)C(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845741129 588243521 /nfs/dbraw/zinc/24/35/21/588243521.db2.gz RYHDXROFYMUTIP-RYUDHWBXSA-N 0 3 241.375 2.695 20 0 BFADHN CC(C)[C@@H](C)C(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845741130 588243530 /nfs/dbraw/zinc/24/35/30/588243530.db2.gz RYHDXROFYMUTIP-VXGBXAGGSA-N 0 3 241.375 2.695 20 0 BFADHN CC(C)(C)CC(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845740758 588243553 /nfs/dbraw/zinc/24/35/53/588243553.db2.gz SQMLCDDHBYJOEL-LLVKDONJSA-N 0 3 241.375 2.839 20 0 BFADHN CCc1cccc(CNC[C@H](O)c2ccco2)c1 ZINC000845921557 588250512 /nfs/dbraw/zinc/25/05/12/588250512.db2.gz OWTITRVHIQRGNX-AWEZNQCLSA-N 0 3 245.322 2.665 20 0 BFADHN C[C@H](O)[C@H](N[C@@H]1CC[C@H]1C)c1ccccc1F ZINC000846013122 588253311 /nfs/dbraw/zinc/25/33/11/588253311.db2.gz JXTXKHSSSXIZOS-QOBDMFJFSA-N 0 3 237.318 2.636 20 0 BFADHN C[C@H](O)[C@H](N[C@H]1CC[C@H]1C)c1ccccc1F ZINC000846013119 588253488 /nfs/dbraw/zinc/25/34/88/588253488.db2.gz JXTXKHSSSXIZOS-OAACRXHESA-N 0 3 237.318 2.636 20 0 BFADHN Cc1nocc1CNCC[C@@]1(C)C[C@]1(F)Cl ZINC000846033475 588254144 /nfs/dbraw/zinc/25/41/44/588254144.db2.gz FWOXKQASZQJHRV-WDEREUQCSA-N 0 3 246.713 2.777 20 0 BFADHN C[C@]1(CCNCc2cscn2)C[C@@]1(F)Cl ZINC000846033570 588254168 /nfs/dbraw/zinc/25/41/68/588254168.db2.gz HIFQEDQIJMVWLM-UWVGGRQHSA-N 0 3 248.754 2.937 20 0 BFADHN FC1(F)C[C@@]12CCCN(C[C@@H]1CCC=CO1)C2 ZINC000846105405 588256820 /nfs/dbraw/zinc/25/68/20/588256820.db2.gz UEAXTKNKEDLXAD-NWDGAFQWSA-N 0 3 243.297 2.800 20 0 BFADHN FC1(F)C[C@]12CCCN(Cc1ccco1)C2 ZINC000846106930 588256852 /nfs/dbraw/zinc/25/68/52/588256852.db2.gz CWFIZRGYMAYFIR-NSHDSACASA-N 0 3 227.254 2.901 20 0 BFADHN F[C@H]1CCCN(CCc2ccccc2)C1 ZINC000846119973 588258085 /nfs/dbraw/zinc/25/80/85/588258085.db2.gz LRUWDGCJLPASDS-ZDUSSCGKSA-N 0 3 207.292 2.663 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@H](CO)CCF ZINC000846210011 588259816 /nfs/dbraw/zinc/25/98/16/588259816.db2.gz VMNWQIWUVVOCPI-JQWIXIFHSA-N 0 3 243.297 2.505 20 0 BFADHN CC1(C)CCN(CC2(Br)CC2)C1 ZINC000846807801 588287993 /nfs/dbraw/zinc/28/79/93/588287993.db2.gz DFVUBWLTNJGJBX-UHFFFAOYSA-N 0 3 232.165 2.646 20 0 BFADHN CC(C)CN(C)CC1(Br)CC1 ZINC000846783173 588288030 /nfs/dbraw/zinc/28/80/30/588288030.db2.gz ZVFIVRBQADWENT-UHFFFAOYSA-N 0 3 220.154 2.502 20 0 BFADHN CCN(CC1CC1)CC1(Br)CC1 ZINC000846805292 588289499 /nfs/dbraw/zinc/28/94/99/588289499.db2.gz WXGRDBGPBKTQOE-UHFFFAOYSA-N 0 3 232.165 2.646 20 0 BFADHN COc1cc(CN2CC[C@@H]3C[C@@H]32)ccc1C ZINC000846837958 588292178 /nfs/dbraw/zinc/29/21/78/588292178.db2.gz RZMPUBXPAUWHIT-OLZOCXBDSA-N 0 3 217.312 2.598 20 0 BFADHN CN1CCN(C/C=C\Cl)CC12CCCCC2 ZINC000846942568 588297678 /nfs/dbraw/zinc/29/76/78/588297678.db2.gz AZAUXASRMXDSGB-YVMONPNESA-N 0 3 242.794 2.689 20 0 BFADHN CC/C=C/CCN1CCC(C(C)=O)CC1 ZINC000846947562 588298174 /nfs/dbraw/zinc/29/81/74/588298174.db2.gz ULNGJCPDMMXTKB-SNAWJCMRSA-N 0 3 209.333 2.644 20 0 BFADHN CCC(CC)C(=O)OC[C@H](C)N1CCCCC1 ZINC000847959825 588344419 /nfs/dbraw/zinc/34/44/19/588344419.db2.gz VKKKYBSJFOGFAS-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H](COC(=O)CC(C)(C)C)N1CCCCC1 ZINC000847958085 588345326 /nfs/dbraw/zinc/34/53/26/588345326.db2.gz BFOFVXNKJAXEDC-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN Cn1c(CNCCC2CCCC2)cnc1Cl ZINC000848524624 588392526 /nfs/dbraw/zinc/39/25/26/588392526.db2.gz JIVSNZKDYPOHHV-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN CSCC[C@@H](C)N[C@H](C)c1cncnc1C ZINC000848527514 588393004 /nfs/dbraw/zinc/39/30/04/588393004.db2.gz CBCZFWPOXVCJGI-MWLCHTKSSA-N 0 3 239.388 2.577 20 0 BFADHN CC[C@](C)(NCc1cnn(C)c1)c1ccccc1 ZINC000107717925 588401057 /nfs/dbraw/zinc/40/10/57/588401057.db2.gz FXVTVCMQFVCVRU-HNNXBMFYSA-N 0 3 243.354 2.835 20 0 BFADHN CC[C@](C)(NCc1cncnc1)c1ccccc1 ZINC000107717599 588401075 /nfs/dbraw/zinc/40/10/75/588401075.db2.gz GRFNMAJIONKGCP-HNNXBMFYSA-N 0 3 241.338 2.892 20 0 BFADHN CCC(C)(C)N(C)Cc1cnc(Cl)n1C ZINC000848884050 588416903 /nfs/dbraw/zinc/41/69/03/588416903.db2.gz HQLGEWDCGHJDKR-UHFFFAOYSA-N 0 3 229.755 2.694 20 0 BFADHN CC1(NCc2cc(F)ncc2F)CC=CC1 ZINC000848921832 588420709 /nfs/dbraw/zinc/42/07/09/588420709.db2.gz HQJDFQJDHIUGNU-UHFFFAOYSA-N 0 3 224.254 2.558 20 0 BFADHN CCCN(Cc1cnc(Cl)n1C)C(C)C ZINC000848923345 588421366 /nfs/dbraw/zinc/42/13/66/588421366.db2.gz JYASUCQNSQQXDP-UHFFFAOYSA-N 0 3 229.755 2.694 20 0 BFADHN COc1c(C)cccc1CNC[C@@H]1CCC=CO1 ZINC000848923293 588421304 /nfs/dbraw/zinc/42/13/04/588421304.db2.gz IHXZKUOIJDKCEP-AWEZNQCLSA-N 0 3 247.338 2.786 20 0 BFADHN Cc1nc(C)c(CCNCc2ccco2)s1 ZINC000108426910 588466774 /nfs/dbraw/zinc/46/67/74/588466774.db2.gz BVZRFELDBUKISF-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN O[C@H](CN1C[C@@H]2CCCC[C@@H]21)c1ccc(F)cc1 ZINC000849325874 588472934 /nfs/dbraw/zinc/47/29/34/588472934.db2.gz WCRVREXDCKUWTM-AEGPPILISA-N 0 3 249.329 2.734 20 0 BFADHN O[C@H](CN1C[C@@H]2CCCC[C@@H]21)c1ccccc1F ZINC000849325818 588473204 /nfs/dbraw/zinc/47/32/04/588473204.db2.gz UOKVIWGNYGYIRA-TUKIKUTGSA-N 0 3 249.329 2.734 20 0 BFADHN CCCC[C@](C)(F)C(=O)OCCN(CC)CC ZINC000849846642 588504372 /nfs/dbraw/zinc/50/43/72/588504372.db2.gz OMEMSGMNJQCROC-ZDUSSCGKSA-N 0 3 247.354 2.790 20 0 BFADHN Cc1cnc(SCCN2CCCC2)c(C)c1 ZINC000849987137 588512355 /nfs/dbraw/zinc/51/23/55/588512355.db2.gz APTVZSUSEJBLAD-UHFFFAOYSA-N 0 3 236.384 2.886 20 0 BFADHN CCCCC[C@H](C)NCc1cc2n(n1)CCC2 ZINC000850005432 588514016 /nfs/dbraw/zinc/51/40/16/588514016.db2.gz ATQANOVMDGPDEF-LBPRGKRZSA-N 0 3 235.375 2.888 20 0 BFADHN CC[C@@H]1C[C@H](NCc2c(C)cccc2F)CO1 ZINC000850159203 588522384 /nfs/dbraw/zinc/52/23/84/588522384.db2.gz AMYUNSMMFYJOCF-NWDGAFQWSA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)N1CCCC[C@H]1COC(=O)CCCF ZINC000850195028 588525381 /nfs/dbraw/zinc/52/53/81/588525381.db2.gz VLPQCVBTHZJWEF-LBPRGKRZSA-N 0 3 245.338 2.542 20 0 BFADHN CC(C)n1ccnc1CNC12CCC(CC1)C2 ZINC000850245744 588531473 /nfs/dbraw/zinc/53/14/73/588531473.db2.gz PMPXPDRMTPLYOO-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN C1=C[C@H](NCc2c[nH]c(-c3ccccc3)n2)CC1 ZINC000850358572 588539159 /nfs/dbraw/zinc/53/91/59/588539159.db2.gz GKZDVFOQBYFHKQ-ZDUSSCGKSA-N 0 3 239.322 2.885 20 0 BFADHN C1=C[C@H](NCc2cnc(-c3ccccc3)[nH]2)CC1 ZINC000850358572 588539161 /nfs/dbraw/zinc/53/91/61/588539161.db2.gz GKZDVFOQBYFHKQ-ZDUSSCGKSA-N 0 3 239.322 2.885 20 0 BFADHN C[C@]1(CNCc2ccc(Cl)nc2)CC1(F)F ZINC000850379127 588540341 /nfs/dbraw/zinc/54/03/41/588540341.db2.gz FVZZBBSMNWTQAW-SNVBAGLBSA-N 0 3 246.688 2.870 20 0 BFADHN Cc1cc(CNCCc2ccc(C)c(C)c2)[nH]n1 ZINC000850367216 588541159 /nfs/dbraw/zinc/54/11/59/588541159.db2.gz XSIUNHRDSJFUGR-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN CC[C@@H]1COC[C@H]1NCc1ccc(C)cc1OC ZINC000850383836 588542869 /nfs/dbraw/zinc/54/28/69/588542869.db2.gz VLTIYSNLSSTQEM-TZMCWYRMSA-N 0 3 249.354 2.518 20 0 BFADHN CN(CC1CC1)Cn1ccc(-c2ccsc2)n1 ZINC000109315012 588543399 /nfs/dbraw/zinc/54/33/99/588543399.db2.gz JQNZNQDQIFAQKK-UHFFFAOYSA-N 0 3 247.367 2.911 20 0 BFADHN C[C@@H](NCc1cccc(F)n1)C(C)(C)C(F)F ZINC000850448415 588547484 /nfs/dbraw/zinc/54/74/84/588547484.db2.gz SCXRLHDORNTAHF-MRVPVSSYSA-N 0 3 246.276 2.990 20 0 BFADHN CCc1nc(CN[C@H]2CCCSCC2)co1 ZINC000850473224 588549121 /nfs/dbraw/zinc/54/91/21/588549121.db2.gz VXGYBOVQAAFIOF-JTQLQIEISA-N 0 3 240.372 2.612 20 0 BFADHN CON(C)CCN[C@H](C)c1csc(Cl)c1 ZINC000850479641 588550631 /nfs/dbraw/zinc/55/06/31/588550631.db2.gz YDNWRGREHPYGSB-MRVPVSSYSA-N 0 3 248.779 2.545 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@@H]1C[C@H](C)n2ncnc21 ZINC000850499843 588552218 /nfs/dbraw/zinc/55/22/18/588552218.db2.gz YVIKNOWJGUMDEU-OUAUKWLOSA-N 0 3 236.363 2.698 20 0 BFADHN CCCCC[C@H](C)N[C@H]1C[C@H](C)n2ncnc21 ZINC000850498995 588552312 /nfs/dbraw/zinc/55/23/12/588552312.db2.gz HQALMZZRFFLBKL-SRVKXCTJSA-N 0 3 236.363 2.842 20 0 BFADHN C[C@@H](N[C@H]1C=CCC1)c1cccc(CO)c1 ZINC000850507502 588553259 /nfs/dbraw/zinc/55/32/59/588553259.db2.gz FRRZEZVLSFJCGD-RISCZKNCSA-N 0 3 217.312 2.548 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@H]2C=CCC2)cn1 ZINC000850506443 588553464 /nfs/dbraw/zinc/55/34/64/588553464.db2.gz AYGPGYHPTBMBAO-AAEUAGOBSA-N 0 3 219.332 2.833 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H]2C=CCC2)s1 ZINC000850508105 588553937 /nfs/dbraw/zinc/55/39/37/588553937.db2.gz HWXMREKRPRUFAB-SCZZXKLOSA-N 0 3 208.330 2.821 20 0 BFADHN C[C@H](N[C@@H](C)C1CCC(F)CC1)c1ncc[nH]1 ZINC000850557725 588560454 /nfs/dbraw/zinc/56/04/54/588560454.db2.gz XJEBESNJNTWBAF-JYBOHDQNSA-N 0 3 239.338 2.977 20 0 BFADHN Cc1ccccc1CN(C)C[C@@H]1COC(C)(C)O1 ZINC000851711710 588615139 /nfs/dbraw/zinc/61/51/39/588615139.db2.gz DZDQYUGXZDONLA-CQSZACIVSA-N 0 3 249.354 2.578 20 0 BFADHN CCCN(Cc1cccc(F)n1)CC1CC1 ZINC000851712077 588615742 /nfs/dbraw/zinc/61/57/42/588615742.db2.gz JLVAZHUQLLAIIY-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cccc(F)n1 ZINC000851719010 588616647 /nfs/dbraw/zinc/61/66/47/588616647.db2.gz DTMLLTKPGMDSGZ-JTQLQIEISA-N 0 3 210.296 2.697 20 0 BFADHN Cc1ccc(CN(C)CCOC(F)F)cc1 ZINC000851718263 588616945 /nfs/dbraw/zinc/61/69/45/588616945.db2.gz HAHSRMARHCCYLD-UHFFFAOYSA-N 0 3 229.270 2.666 20 0 BFADHN C[C@H](c1cccs1)N(C)CCOC(F)F ZINC000851728295 588618711 /nfs/dbraw/zinc/61/87/11/588618711.db2.gz LKPQIZVXCOOAFD-MRVPVSSYSA-N 0 3 235.299 2.980 20 0 BFADHN CC[C@@H]1CCCN1Cc1cccc(F)n1 ZINC000851746931 588622394 /nfs/dbraw/zinc/62/23/94/588622394.db2.gz BQHXJSVMMANXBJ-LLVKDONJSA-N 0 3 208.280 2.595 20 0 BFADHN C[C@@H]1CCCCCN1Cc1cccc(F)n1 ZINC000851773606 588625800 /nfs/dbraw/zinc/62/58/00/588625800.db2.gz XHDCAZYRXYOKBS-LLVKDONJSA-N 0 3 222.307 2.985 20 0 BFADHN CC[C@]1(C)CCCN(C[C@@H](O)c2ccccn2)C1 ZINC000851790710 588628990 /nfs/dbraw/zinc/62/89/90/588628990.db2.gz NSWIIWIACPZDIF-HUUCEWRRSA-N 0 3 248.370 2.627 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2cccc(F)n2)C1 ZINC000851807059 588631605 /nfs/dbraw/zinc/63/16/05/588631605.db2.gz COZDWZARPAXUEV-LLVKDONJSA-N 0 3 222.307 2.699 20 0 BFADHN FC(F)(F)C1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)C1 ZINC000851857180 588636017 /nfs/dbraw/zinc/63/60/17/588636017.db2.gz NRZHYXJJPJGOPX-BBBLOLIVSA-N 0 3 233.277 2.917 20 0 BFADHN CO[C@@H]1CC[C@H](N2CCc3c(F)cccc3C2)C1 ZINC000851869664 588637125 /nfs/dbraw/zinc/63/71/25/588637125.db2.gz NUYSYPZEQXRRTA-QWHCGFSZSA-N 0 3 249.329 2.751 20 0 BFADHN c1c[nH]c([C@H]2CCCN2CCC2CCC2)n1 ZINC000851885973 588639875 /nfs/dbraw/zinc/63/98/75/588639875.db2.gz ACHXQTPRPCNVRP-GFCCVEGCSA-N 0 3 219.332 2.737 20 0 BFADHN CC[C@H](NC[C@H]1CCC(=O)O1)c1ccc(C)cc1 ZINC000851892734 588641426 /nfs/dbraw/zinc/64/14/26/588641426.db2.gz LPFDEQCOZXWRLZ-KGLIPLIRSA-N 0 3 247.338 2.741 20 0 BFADHN c1nonc1CNC(C1CCCC1)C1CCCC1 ZINC000851902852 588642266 /nfs/dbraw/zinc/64/22/66/588642266.db2.gz OSMIMWSTWTWZAX-UHFFFAOYSA-N 0 3 249.358 2.908 20 0 BFADHN C[C@H]1CC[C@]2(CCN(CCOC(F)F)C2)C1 ZINC000851921232 588643956 /nfs/dbraw/zinc/64/39/56/588643956.db2.gz ZERMSAWGJMRBKM-JQWIXIFHSA-N 0 3 233.302 2.738 20 0 BFADHN CO[C@H]1CC[C@@H](N(C)Cc2occc2C)C1 ZINC000852077014 588659719 /nfs/dbraw/zinc/65/97/19/588659719.db2.gz NGUNNPHIMRRRDW-NEPJUHHUSA-N 0 3 223.316 2.587 20 0 BFADHN CO[C@@H]1CC[C@H](N2CC[C@]3(C)[C@H](C2)C3(F)F)C1 ZINC000852074776 588659748 /nfs/dbraw/zinc/65/97/48/588659748.db2.gz GWMHJOJYAIBPJL-WHOHXGKFSA-N 0 3 245.313 2.531 20 0 BFADHN CO[C@H](CN1CCC[C@@](C)(F)C1)C1CCC1 ZINC000852096276 588662012 /nfs/dbraw/zinc/66/20/12/588662012.db2.gz KDSZYEMVPUDQGM-CHWSQXEVSA-N 0 3 229.339 2.626 20 0 BFADHN Fc1cccc(CNC2(C3CCC3)CC2)n1 ZINC000852214232 588673015 /nfs/dbraw/zinc/67/30/15/588673015.db2.gz VFVYDMJLWZASBM-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN CC[C@H](C)N(CC)Cc1cccc(F)n1 ZINC000852291419 588676293 /nfs/dbraw/zinc/67/62/93/588676293.db2.gz UVFNXRGTTLSZBX-JTQLQIEISA-N 0 3 210.296 2.841 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2cnoc2C)C1 ZINC000852592290 588692401 /nfs/dbraw/zinc/69/24/01/588692401.db2.gz MHCUEFGVXFVMAD-ZYHUDNBSSA-N 0 3 222.332 2.994 20 0 BFADHN CC(C)CC1(NCc2cccc(F)n2)CC1 ZINC000852680577 588695123 /nfs/dbraw/zinc/69/51/23/588695123.db2.gz CRIKHHZJYSLCFS-UHFFFAOYSA-N 0 3 222.307 2.889 20 0 BFADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1cccc(F)n1 ZINC000852699965 588696539 /nfs/dbraw/zinc/69/65/39/588696539.db2.gz IHTASWRBNSLGGQ-VGPLMAKISA-N 0 3 234.318 2.984 20 0 BFADHN CN(Cc1cnc(Cl)cn1)C[C@@H]1CC1(C)C ZINC000852772592 588701672 /nfs/dbraw/zinc/70/16/72/588701672.db2.gz OTLDUSYCXKQFKQ-VIFPVBQESA-N 0 3 239.750 2.608 20 0 BFADHN CCC/C=C/C(=O)Nc1ccc2c(c1)CNC2 ZINC000852882013 588710851 /nfs/dbraw/zinc/71/08/51/588710851.db2.gz VORJBZZYOJJGKU-SNAWJCMRSA-N 0 3 230.311 2.585 20 0 BFADHN CCC/C=C/C(=O)Nc1cc(C)cc(CN)c1 ZINC000852894068 588711834 /nfs/dbraw/zinc/71/18/34/588711834.db2.gz TVYXGGCUSRXCQH-AATRIKPKSA-N 0 3 232.327 2.749 20 0 BFADHN Cc1c(CN2C[C@@H]3[C@H](C2)C3(C)C)ccnc1F ZINC000862248072 589197048 /nfs/dbraw/zinc/19/70/48/589197048.db2.gz GWGKSJZURAVXBU-TXEJJXNPSA-N 0 3 234.318 2.617 20 0 BFADHN C[C@H](NCc1cscn1)C1CC(F)(F)C1 ZINC000862274496 589198387 /nfs/dbraw/zinc/19/83/87/589198387.db2.gz PFUSDJIQJGNWTO-ZETCQYMHSA-N 0 3 232.299 2.667 20 0 BFADHN Cc1c(CN[C@@H]2CCC(F)(F)C2)ccnc1F ZINC000862286689 589200013 /nfs/dbraw/zinc/20/00/13/589200013.db2.gz GMDHDJXOUPTESO-SNVBAGLBSA-N 0 3 244.260 2.807 20 0 BFADHN Cc1nc(C)c(CNC23CCC(CC2)C3)o1 ZINC000862320895 589200500 /nfs/dbraw/zinc/20/05/00/589200500.db2.gz WRQRMVAPGMLOLQ-UHFFFAOYSA-N 0 3 220.316 2.714 20 0 BFADHN c1nc(C2CC2)oc1CNC12CCC(CC1)C2 ZINC000862320426 589200633 /nfs/dbraw/zinc/20/06/33/589200633.db2.gz RHDRXESHKUATJH-UHFFFAOYSA-N 0 3 232.327 2.974 20 0 BFADHN CCSCCCNCc1ccnc(F)c1C ZINC000862353873 589202325 /nfs/dbraw/zinc/20/23/25/589202325.db2.gz CLMSJBBPIPLYPN-UHFFFAOYSA-N 0 3 242.363 2.762 20 0 BFADHN c1ccc2ncc(CN3C[C@@H]4C[C@@H]4C3)cc2c1 ZINC000862439673 589212894 /nfs/dbraw/zinc/21/28/94/589212894.db2.gz ADQMHBMDESBYJE-OKILXGFUSA-N 0 3 224.307 2.687 20 0 BFADHN CN(C)CCSCCC(F)(F)Cl ZINC000863308263 589278066 /nfs/dbraw/zinc/27/80/66/589278066.db2.gz DIRISHJMBUVFCZ-UHFFFAOYSA-N 0 3 217.712 2.503 20 0 BFADHN Cc1cc(C)cc(OCCN(C)CCCF)c1 ZINC000119433988 589283774 /nfs/dbraw/zinc/28/37/74/589283774.db2.gz VZEPRJABNAZITQ-UHFFFAOYSA-N 0 3 239.334 2.974 20 0 BFADHN CCC[C@H](C)CCCN1CCNC[C@H]1C(F)F ZINC000863542174 589297449 /nfs/dbraw/zinc/29/74/49/589297449.db2.gz AZMCEEUCJZAVTO-RYUDHWBXSA-N 0 3 248.361 2.742 20 0 BFADHN C/C=C\CNC[C@H](O)c1cc(C)cc(C)c1 ZINC000863582563 589301532 /nfs/dbraw/zinc/30/15/32/589301532.db2.gz NUVDSNXBUAQHNR-WSNITJDQSA-N 0 3 219.328 2.503 20 0 BFADHN C/C=C/CNC[C@@H](O)c1cc(C)cc(C)c1 ZINC000863582561 589301708 /nfs/dbraw/zinc/30/17/08/589301708.db2.gz NUVDSNXBUAQHNR-ISZGNANSSA-N 0 3 219.328 2.503 20 0 BFADHN CC[C@H](NCc1ccsc1)[C@@H]1CCCO1 ZINC000119815445 589334447 /nfs/dbraw/zinc/33/44/47/589334447.db2.gz SSLKVOPBYBMDEC-RYUDHWBXSA-N 0 3 225.357 2.795 20 0 BFADHN O=c1[nH]ccc2oc(CNC3CCCC3)cc21 ZINC000865398833 589432517 /nfs/dbraw/zinc/43/25/17/589432517.db2.gz BASMVWVLQAMEIZ-UHFFFAOYSA-N 0 3 232.283 2.566 20 0 BFADHN CC(C)(C)CCNCc1cn(C2CC2)cn1 ZINC000865408794 589433609 /nfs/dbraw/zinc/43/36/09/589433609.db2.gz HTIFLKLHIVKITD-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2cn(C3CC3)cn2)C1 ZINC000865407742 589433778 /nfs/dbraw/zinc/43/37/78/589433778.db2.gz HRJGDZDRQYUYEV-VXGBXAGGSA-N 0 3 233.359 2.886 20 0 BFADHN CC1(C)C[C@@H]1CNCc1cc2c(cc[nH]c2=O)o1 ZINC000865459104 589437446 /nfs/dbraw/zinc/43/74/46/589437446.db2.gz BRYMCEBJCKZEMB-SECBINFHSA-N 0 3 246.310 2.669 20 0 BFADHN CCC(CC)CNCc1cn(C2CC2)cn1 ZINC000865455126 589437526 /nfs/dbraw/zinc/43/75/26/589437526.db2.gz VGNBGOFCXZPYPG-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN C[C@@H](NCc1cn(C2CC2)cn1)C1CCCC1 ZINC000865464555 589437630 /nfs/dbraw/zinc/43/76/30/589437630.db2.gz ZADYRGOSEUZNNA-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN CSC[C@H](C)NCc1cnc(Cl)c(F)c1 ZINC000865468594 589438286 /nfs/dbraw/zinc/43/82/86/589438286.db2.gz IYTPAJNXBFSHIC-ZETCQYMHSA-N 0 3 248.754 2.715 20 0 BFADHN O=c1[nH]ccc2oc(CN[C@@H]3CC=CCC3)cc21 ZINC000865468584 589438327 /nfs/dbraw/zinc/43/83/27/589438327.db2.gz IXJNEGWDBWQPQA-SNVBAGLBSA-N 0 3 244.294 2.732 20 0 BFADHN O=c1[nH]ccc2oc(CNCCCC3CC3)cc21 ZINC000865469524 589438549 /nfs/dbraw/zinc/43/85/49/589438549.db2.gz XUUSERNRBUSVRP-UHFFFAOYSA-N 0 3 246.310 2.813 20 0 BFADHN O=c1[nH]ccc2oc(CN[C@H]3CC=CCC3)cc21 ZINC000865468583 589438819 /nfs/dbraw/zinc/43/88/19/589438819.db2.gz IXJNEGWDBWQPQA-JTQLQIEISA-N 0 3 244.294 2.732 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cn(C2CC2)cn1 ZINC000865490369 589441031 /nfs/dbraw/zinc/44/10/31/589441031.db2.gz TZFUEQBHESTXCZ-BXUZGUMPSA-N 0 3 233.359 2.886 20 0 BFADHN c1nc(CN[C@@H]2CC3CCC2CC3)cn1C1CC1 ZINC000865495393 589441045 /nfs/dbraw/zinc/44/10/45/589441045.db2.gz ILLGIRRUFSBDNJ-KOHJWAIASA-N 0 3 245.370 2.886 20 0 BFADHN CC(C)C(NCc1cn(C2CC2)cn1)C(C)C ZINC000865496085 589441362 /nfs/dbraw/zinc/44/13/62/589441362.db2.gz QOORRKZJQJVOTK-UHFFFAOYSA-N 0 3 235.375 2.988 20 0 BFADHN CC(C)C[C@@H](C)NCc1cn(C2CC2)cn1 ZINC000865507552 589442501 /nfs/dbraw/zinc/44/25/01/589442501.db2.gz AFOVXBOWXVTWDW-LLVKDONJSA-N 0 3 221.348 2.742 20 0 BFADHN CCOc1cccc([C@H](C)N[C@H]2CCCOC2)c1 ZINC000120603392 589444196 /nfs/dbraw/zinc/44/41/96/589444196.db2.gz MDXXBBKDVQYRIF-JSGCOSHPSA-N 0 3 249.354 2.915 20 0 BFADHN CCc1csc(NCCN2CCC[C@H]2C)n1 ZINC000865564545 589446978 /nfs/dbraw/zinc/44/69/78/589446978.db2.gz ORFJRBBSXKFZFR-SNVBAGLBSA-N 0 3 239.388 2.602 20 0 BFADHN C[C@@H](F)CCNCc1cnc(Cl)c(F)c1 ZINC000865579793 589448827 /nfs/dbraw/zinc/44/88/27/589448827.db2.gz FXVSDIFTZNUTFN-SSDOTTSWSA-N 0 3 234.677 2.712 20 0 BFADHN C[C@]1(NCc2cn(C3CC3)cn2)CC=CCC1 ZINC000865601386 589450221 /nfs/dbraw/zinc/45/02/21/589450221.db2.gz RBFSWWDOQXGFEF-AWEZNQCLSA-N 0 3 231.343 2.806 20 0 BFADHN C[C@H](CNCc1cn(C2CC2)cn1)CC(F)F ZINC000865611437 589450950 /nfs/dbraw/zinc/45/09/50/589450950.db2.gz AQTCEXKNSHWVBU-VIFPVBQESA-N 0 3 243.301 2.599 20 0 BFADHN CN(C)c1ccc(F)cc1CN[C@H]1CC12CC2 ZINC000865609703 589450989 /nfs/dbraw/zinc/45/09/89/589450989.db2.gz POPMXEMBJQEXOV-ZDUSSCGKSA-N 0 3 234.318 2.534 20 0 BFADHN Fc1cc(CN[C@@H]2C=CCC2)cnc1Cl ZINC000865624259 589452150 /nfs/dbraw/zinc/45/21/50/589452150.db2.gz WXKQPZFWIIOLSI-SECBINFHSA-N 0 3 226.682 2.682 20 0 BFADHN CN(C)c1ccc(F)cc1CN[C@H]1C=CCC1 ZINC000865624227 589452198 /nfs/dbraw/zinc/45/21/98/589452198.db2.gz WSGSPNRGJVGVQJ-ZDUSSCGKSA-N 0 3 234.318 2.700 20 0 BFADHN Fc1cc(CN[C@@H]2[C@@H]3CCC[C@@H]32)cnc1Cl ZINC000865632537 589452489 /nfs/dbraw/zinc/45/24/89/589452489.db2.gz XHWHTUITWWRRPX-DOFRTFSJSA-N 0 3 240.709 2.762 20 0 BFADHN CC[C@@](C)(NCc1cn(C2CC2)cn1)C1CC1 ZINC000865627046 589452798 /nfs/dbraw/zinc/45/27/98/589452798.db2.gz AMJBKGXWRYKWNB-CQSZACIVSA-N 0 3 233.359 2.886 20 0 BFADHN c1nc(CNC[C@@H]2CCC[C@H]3C[C@H]32)cn1C1CC1 ZINC000865628635 589452840 /nfs/dbraw/zinc/45/28/40/589452840.db2.gz VJDWJUIOBZVNFH-SLEUVZQESA-N 0 3 245.370 2.744 20 0 BFADHN CS[C@H]1C[C@H](NCc2cc3cnccc3o2)C1 ZINC000865733098 589458923 /nfs/dbraw/zinc/45/89/23/589458923.db2.gz CEFRBROXUHASNR-UMSPYCQHSA-N 0 3 248.351 2.811 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2cncc(F)c2)C1 ZINC000865733309 589459255 /nfs/dbraw/zinc/45/92/55/589459255.db2.gz HLORAAVPMJUAOV-UWJYBYFXSA-N 0 3 240.347 2.765 20 0 BFADHN Cc1ccc(CNC(C)(C)[C@H]2CCCCO2)nc1 ZINC000865746071 589460468 /nfs/dbraw/zinc/46/04/68/589460468.db2.gz LHKWDDLYQBOPLO-CQSZACIVSA-N 0 3 248.370 2.827 20 0 BFADHN COC(C)(C)CCNCc1cc2cnccc2o1 ZINC000865754398 589460949 /nfs/dbraw/zinc/46/09/49/589460949.db2.gz GZZSMZGZKMSFQS-UHFFFAOYSA-N 0 3 248.326 2.733 20 0 BFADHN Cc1ccc(CNC[C@@H]2C[C@H]2C2CCOCC2)o1 ZINC000865785243 589461799 /nfs/dbraw/zinc/46/17/99/589461799.db2.gz PMMIYTCTYXLTDG-ZFWWWQNUSA-N 0 3 249.354 2.740 20 0 BFADHN CN(C)c1ccncc1CNCCCCC1CC1 ZINC000865786430 589461866 /nfs/dbraw/zinc/46/18/66/589461866.db2.gz LKZUEYWENGKNBN-UHFFFAOYSA-N 0 3 247.386 2.818 20 0 BFADHN Cc1cc(CN[C@]23CCC[C@H]2OCC3)c(C)o1 ZINC000865860022 589465539 /nfs/dbraw/zinc/46/55/39/589465539.db2.gz ZSTWKYTWHUHUEE-KGLIPLIRSA-N 0 3 235.327 2.698 20 0 BFADHN CCC(C)(C)CCNc1ccnc(CO)c1 ZINC000866026163 589473020 /nfs/dbraw/zinc/47/30/20/589473020.db2.gz SNEBINLGVGEKAT-UHFFFAOYSA-N 0 3 222.332 2.812 20 0 BFADHN Cc1ncc([C@H](C)Nc2ccnc(CO)c2)s1 ZINC000866055262 589474269 /nfs/dbraw/zinc/47/42/69/589474269.db2.gz RYURUEKHJNGQFM-QMMMGPOBSA-N 0 3 249.339 2.512 20 0 BFADHN Cc1cc(CN[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)c(C)o1 ZINC000120921945 589478404 /nfs/dbraw/zinc/47/84/04/589478404.db2.gz KVVGTOLVYCYZEC-MCIONIFRSA-N 0 3 249.354 2.800 20 0 BFADHN C[C@@H](CCc1cccnc1)NCc1cscn1 ZINC000866251483 589482925 /nfs/dbraw/zinc/48/29/25/589482925.db2.gz UOORRKYIQLCWRE-NSHDSACASA-N 0 3 247.367 2.649 20 0 BFADHN C[C@@H]1CCc2ccc(F)cc2[C@H]1N[C@H]1CCOC1 ZINC000866311370 589485847 /nfs/dbraw/zinc/48/58/47/589485847.db2.gz ZMQJJMMTRLSNCS-DGFSRKRXSA-N 0 3 249.329 2.828 20 0 BFADHN c1cnc2c(c1)[C@@H](NC[C@H]1CCC=CO1)CCC2 ZINC000866343596 589487146 /nfs/dbraw/zinc/48/71/46/589487146.db2.gz LRGGEDVGPVXSSX-DOMZBBRYSA-N 0 3 244.338 2.741 20 0 BFADHN CC(C)[C@@H](N[C@H](C)C1(CO)CC1)c1ccccn1 ZINC000866388239 589489280 /nfs/dbraw/zinc/48/92/80/589489280.db2.gz DSBMHPMSLWCOAN-TZMCWYRMSA-N 0 3 248.370 2.529 20 0 BFADHN C[C@@H]1CCc2ccc(F)cc2[C@H]1NC/C=C/CO ZINC000866386329 589489438 /nfs/dbraw/zinc/48/94/38/589489438.db2.gz CFYOBPMUASZOJV-HKHQAYBLSA-N 0 3 249.329 2.587 20 0 BFADHN COc1ccc2c(c1)CC[C@H]2N[C@H]1C=CCC1 ZINC000866389899 589489505 /nfs/dbraw/zinc/48/95/05/589489505.db2.gz XNBUXGOGGUXZQW-SWLSCSKDSA-N 0 3 229.323 2.991 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](c2ccccn2)C(C)C)C1 ZINC000866399821 589490143 /nfs/dbraw/zinc/49/01/43/589490143.db2.gz WAJFIJFLEWZUDN-OBJOEFQTSA-N 0 3 234.343 2.546 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](c2ccccn2)C(C)C)C1 ZINC000866399822 589490190 /nfs/dbraw/zinc/49/01/90/589490190.db2.gz WAJFIJFLEWZUDN-YRGRVCCFSA-N 0 3 234.343 2.546 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@@H]2CCSC2)no1 ZINC000866403499 589490649 /nfs/dbraw/zinc/49/06/49/589490649.db2.gz QQBNKXYPPAFASI-GHMZBOCLSA-N 0 3 240.372 2.777 20 0 BFADHN CC[C@H](N[C@@H]1CCCC[C@@H]1F)c1cnn(C)c1 ZINC000866450043 589493965 /nfs/dbraw/zinc/49/39/65/589493965.db2.gz MLWPKMMICPZIQF-RWMBFGLXSA-N 0 3 239.338 2.742 20 0 BFADHN C[C@H](N[C@@H]1CC(C)(C)CC[C@H]1O)c1ccoc1 ZINC000866452799 589494413 /nfs/dbraw/zinc/49/44/13/589494413.db2.gz BIBXOFVOXPUBJM-CYZMBNFOSA-N 0 3 237.343 2.870 20 0 BFADHN C[C@@H](N[C@@H]1CCCC[C@@H]1F)[C@H]1CCCCO1 ZINC000866452203 589494456 /nfs/dbraw/zinc/49/44/56/589494456.db2.gz YTGXCQPBKARJMG-YVECIDJPSA-N 0 3 229.339 2.814 20 0 BFADHN COC1([C@H](C)N[C@@H]2CCCC[C@@H]2F)CCC1 ZINC000866451444 589494487 /nfs/dbraw/zinc/49/44/87/589494487.db2.gz KUKVNBOBFAWARF-SDDRHHMPSA-N 0 3 229.339 2.814 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC23CCOCC3)o1 ZINC000866477650 589495476 /nfs/dbraw/zinc/49/54/76/589495476.db2.gz CWZDNPIFEXXUAI-CYBMUJFWSA-N 0 3 235.327 2.637 20 0 BFADHN CS[C@H]1C[C@H](N[C@H]2CCCc3cccnc32)C1 ZINC000866488419 589497068 /nfs/dbraw/zinc/49/70/68/589497068.db2.gz NQVZTXHIJQMPBD-AVGNSLFASA-N 0 3 248.395 2.943 20 0 BFADHN C[C@@H](NCC(C)(C)C(C)(F)F)c1ccn(C)n1 ZINC000866546615 589500139 /nfs/dbraw/zinc/50/01/39/589500139.db2.gz OSWRNICBMLOUPE-SECBINFHSA-N 0 3 245.317 2.752 20 0 BFADHN Cn1cc(C(F)F)c(CN[C@H]2CCC2(C)C)n1 ZINC000866567994 589500614 /nfs/dbraw/zinc/50/06/14/589500614.db2.gz OSEMZDKXCKDEPH-JTQLQIEISA-N 0 3 243.301 2.636 20 0 BFADHN C[C@@H](NCC[C@H](O)C1CCCC1)c1ccoc1 ZINC000866639301 589507203 /nfs/dbraw/zinc/50/72/03/589507203.db2.gz XRFRAHHBYGPMOK-RISCZKNCSA-N 0 3 237.343 2.871 20 0 BFADHN CC[C@H](C)CN1CCOc2cc(N)ccc2C1 ZINC000866690226 589512259 /nfs/dbraw/zinc/51/22/59/589512259.db2.gz WUJBZNALFVPBHQ-NSHDSACASA-N 0 3 234.343 2.509 20 0 BFADHN CC(C)CC(=O)N1c2ccccc2[C@@H](N)C1(C)C ZINC000867991392 589542824 /nfs/dbraw/zinc/54/28/24/589542824.db2.gz OEOBKTUBGZASLQ-CQSZACIVSA-N 0 3 246.354 2.858 20 0 BFADHN CC[C@@H](NC[C@@H](O)C1CC1)c1ccccc1F ZINC000122530005 589599492 /nfs/dbraw/zinc/59/94/92/589599492.db2.gz ROEBETUSPDAKOG-ZIAGYGMSSA-N 0 3 237.318 2.637 20 0 BFADHN c1cc2c(c(CNCCC3CCC3)c1)OCO2 ZINC000123009743 589651346 /nfs/dbraw/zinc/65/13/46/589651346.db2.gz PKLYUUZIYCKJLQ-UHFFFAOYSA-N 0 3 233.311 2.695 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1cccnc1Cl ZINC000123118736 589662748 /nfs/dbraw/zinc/66/27/48/589662748.db2.gz CXLXUIHLSWGHNJ-VIFPVBQESA-N 0 3 242.750 2.811 20 0 BFADHN CC[C@H](NCc1cc(C)oc1C)[C@H]1CCCO1 ZINC000123511154 589739591 /nfs/dbraw/zinc/73/95/91/589739591.db2.gz GSMLPHWSPNBFLZ-UONOGXRCSA-N 0 3 237.343 2.944 20 0 BFADHN C[C@@H](NCCC[C@H]1C=CCC1)c1cnccn1 ZINC000871932257 589834069 /nfs/dbraw/zinc/83/40/69/589834069.db2.gz SNXQGWPQFHXMEO-OLZOCXBDSA-N 0 3 231.343 2.874 20 0 BFADHN CC[C@H](C)N[C@@H](C)c1cc(O)ccc1F ZINC000872017105 589855045 /nfs/dbraw/zinc/85/50/45/589855045.db2.gz SRQXOBUGRHULJV-IUCAKERBSA-N 0 3 211.280 2.980 20 0 BFADHN CC[C@@H](C)[NH2+][C@H](C)c1cccc([O-])c1F ZINC000872016492 589856347 /nfs/dbraw/zinc/85/63/47/589856347.db2.gz KAKICOZAKXMIBM-RKDXNWHRSA-N 0 3 211.280 2.980 20 0 BFADHN C[C@H](NCC1CC1)c1cc(O)ccc1F ZINC000872020420 589856994 /nfs/dbraw/zinc/85/69/94/589856994.db2.gz HOBHIBIJCWBMHL-QMMMGPOBSA-N 0 3 209.264 2.592 20 0 BFADHN COCCCC[NH2+][C@@H](C)c1cccc([O-])c1F ZINC000872024173 589857081 /nfs/dbraw/zinc/85/70/81/589857081.db2.gz YKSOKAKLPCKTHT-JTQLQIEISA-N 0 3 241.306 2.609 20 0 BFADHN C[C@H]([NH2+][C@@H](C)C1CC1)c1cccc([O-])c1F ZINC000872018209 589857094 /nfs/dbraw/zinc/85/70/94/589857094.db2.gz JPYYKLNJUTTXFG-IUCAKERBSA-N 0 3 223.291 2.980 20 0 BFADHN CSC[C@H](C)N[C@@H](C)c1cc(O)ccc1F ZINC000872037975 589859390 /nfs/dbraw/zinc/85/93/90/589859390.db2.gz JEGZBDDZANQLBW-IUCAKERBSA-N 0 3 243.347 2.933 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1cc(O)ccc1F ZINC000872045342 589859854 /nfs/dbraw/zinc/85/98/54/589859854.db2.gz ZPZFZKDDXHVZEV-VIFPVBQESA-N 0 3 241.306 2.607 20 0 BFADHN C[C@H](NC1CC(C)C1)c1cc(O)ccc1F ZINC000872049391 589860740 /nfs/dbraw/zinc/86/07/40/589860740.db2.gz RWWXGDPGVUNWNB-KYHHOPLUSA-N 0 3 223.291 2.980 20 0 BFADHN CC[C@H](NCc1cn(CC)nn1)C(C)(C)CC ZINC000872083883 589867211 /nfs/dbraw/zinc/86/72/11/589867211.db2.gz BTIPOAGMYYEODN-LBPRGKRZSA-N 0 3 238.379 2.602 20 0 BFADHN C[C@H](NC[C@@H]1C[C@@H]2CCC[C@H]2O1)c1ccoc1 ZINC000872109114 589874082 /nfs/dbraw/zinc/87/40/82/589874082.db2.gz HOORTFMEYPGVGY-AUZPSNTRSA-N 0 3 235.327 2.888 20 0 BFADHN CC1(CN2CCO[C@@H](C(F)F)CC2)CCCC1 ZINC000872254113 589901277 /nfs/dbraw/zinc/90/12/77/589901277.db2.gz SFTZSHQGQSAMSM-LLVKDONJSA-N 0 3 247.329 2.923 20 0 BFADHN CN1CCN(CC2(C)CCC2)Cc2cccnc21 ZINC000872267391 589903285 /nfs/dbraw/zinc/90/32/85/589903285.db2.gz QFIJVPQRERPNSL-UHFFFAOYSA-N 0 3 245.370 2.524 20 0 BFADHN CC/C=C\CCN1CCN(C)c2ncccc2C1 ZINC000872320069 589911708 /nfs/dbraw/zinc/91/17/08/589911708.db2.gz YUCPXWWDVURNOO-PLNGDYQASA-N 0 3 245.370 2.690 20 0 BFADHN OCc1cc(NCCC[C@@H]2C=CCC2)ccn1 ZINC000872692682 589961981 /nfs/dbraw/zinc/96/19/81/589961981.db2.gz BVRVDFPBRZEUQI-GFCCVEGCSA-N 0 3 232.327 2.732 20 0 BFADHN COc1cccc(CNCCC[C@@H]2C=CCC2)n1 ZINC000873153659 589987776 /nfs/dbraw/zinc/98/77/76/589987776.db2.gz CJCYUFCMQKKYIB-CYBMUJFWSA-N 0 3 246.354 2.926 20 0 BFADHN Cc1nocc1CNCCC[C@H]1C=CCC1 ZINC000873153934 589987835 /nfs/dbraw/zinc/98/78/35/589987835.db2.gz MLIQSAFIUYJHDP-LBPRGKRZSA-N 0 3 220.316 2.819 20 0 BFADHN CC(C)OC1(CNCc2cncs2)CCC1 ZINC000873167074 589989250 /nfs/dbraw/zinc/98/92/50/589989250.db2.gz OAICVFLNEBAHRH-UHFFFAOYSA-N 0 3 240.372 2.580 20 0 BFADHN C[C@H](CC1CCCCC1)NCc1cnc(N)cn1 ZINC000873211618 589996701 /nfs/dbraw/zinc/99/67/01/589996701.db2.gz QGVVSCUDQKRSML-LLVKDONJSA-N 0 3 248.374 2.507 20 0 BFADHN CC(C)(C)CCN1CCOc2cc(N)ccc2C1 ZINC000873219350 589998847 /nfs/dbraw/zinc/99/88/47/589998847.db2.gz YHROXBIXOBBTHR-UHFFFAOYSA-N 0 3 248.370 2.899 20 0 BFADHN C[C@@H](NCc1ccncc1)c1cccs1 ZINC000020139861 590078545 /nfs/dbraw/zinc/07/85/45/590078545.db2.gz DSCVLICCGYTXFE-SNVBAGLBSA-N 0 3 218.325 2.994 20 0 BFADHN Cc1noc(C)c1CNCCc1ccccc1F ZINC000020252953 590093144 /nfs/dbraw/zinc/09/31/44/590093144.db2.gz IETOHQKRSGSZLF-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccc(F)cc1)c1ccoc1 ZINC000124911491 590098600 /nfs/dbraw/zinc/09/86/00/590098600.db2.gz XPFPOLGXIXVEBM-QMTHXVAHSA-N 0 3 249.285 2.803 20 0 BFADHN CCC[C@H](CC)C(=O)N(CCC)CCN(C)C ZINC000874383014 590106525 /nfs/dbraw/zinc/10/65/25/590106525.db2.gz ZCEVMNNTERMWDZ-ZDUSSCGKSA-N 0 3 242.407 2.613 20 0 BFADHN CSCC[C@@H](C)NCc1ccc(C)o1 ZINC000125032089 590114246 /nfs/dbraw/zinc/11/42/46/590114246.db2.gz STWIGSBBNMUPKH-SECBINFHSA-N 0 3 213.346 2.819 20 0 BFADHN CSCC[C@@H](C)NCc1cnc(C)s1 ZINC000125044385 590116474 /nfs/dbraw/zinc/11/64/74/590116474.db2.gz OSPRYBVAXVOPPS-MRVPVSSYSA-N 0 3 230.402 2.683 20 0 BFADHN CCC[C@H](CC)C(=O)Nc1cccc(CN)c1 ZINC000876505078 590204387 /nfs/dbraw/zinc/20/43/87/590204387.db2.gz KEJORZXEEFQYKY-LBPRGKRZSA-N 0 3 234.343 2.910 20 0 BFADHN CC/C=C(\F)C(=O)Nc1ccccc1CNC ZINC000876508878 590205167 /nfs/dbraw/zinc/20/51/67/590205167.db2.gz AVUODKLCYHMJQH-WDZFZDKYSA-N 0 3 236.290 2.608 20 0 BFADHN CCCN1CCN(CC2(C)CCCC2)CC1 ZINC000876523464 590207980 /nfs/dbraw/zinc/20/79/80/590207980.db2.gz XBHBCUOWFNIPDC-UHFFFAOYSA-N 0 3 224.392 2.594 20 0 BFADHN C[C@H]1CCCN(Cc2cc3c(cc[nH]c3=O)o2)C1 ZINC000876525613 590208768 /nfs/dbraw/zinc/20/87/68/590208768.db2.gz LSFZADMAEFGHAO-JTQLQIEISA-N 0 3 246.310 2.765 20 0 BFADHN CCN(Cc1c2c(nn1C)CCCC2)C(C)C ZINC000876560243 590215552 /nfs/dbraw/zinc/21/55/52/590215552.db2.gz GNCVVXSJPRTTES-UHFFFAOYSA-N 0 3 235.375 2.529 20 0 BFADHN CCOc1cc(F)cc(CN(C)CC)c1 ZINC000876561755 590215746 /nfs/dbraw/zinc/21/57/46/590215746.db2.gz SWLHYOFQPGZKHF-UHFFFAOYSA-N 0 3 211.280 2.676 20 0 BFADHN CC(C)N1CCN(CC2(C)CCCC2)CC1 ZINC000876563951 590216095 /nfs/dbraw/zinc/21/60/95/590216095.db2.gz DZEXZBAQZTWNRC-UHFFFAOYSA-N 0 3 224.392 2.593 20 0 BFADHN CCN[C@H](C)C(=O)N(C)c1ccc(C(C)C)cc1 ZINC000876688690 590230601 /nfs/dbraw/zinc/23/06/01/590230601.db2.gz VOBRFABQEXEXJC-GFCCVEGCSA-N 0 3 248.370 2.771 20 0 BFADHN CC(C)c1noc([C@@H]2C[C@@H]3CCCC[C@@H]3N2)n1 ZINC000021811892 590234788 /nfs/dbraw/zinc/23/47/88/590234788.db2.gz OAIYBWZWDIDHNS-DCAQKATOSA-N 0 3 235.331 2.786 20 0 BFADHN CSC(C)(C)CCN1CCO[C@@H](C)[C@H]1C ZINC000876738406 590235303 /nfs/dbraw/zinc/23/53/03/590235303.db2.gz CTBCHYJKAZOSSS-MNOVXSKESA-N 0 3 231.405 2.627 20 0 BFADHN C[C@H]1CN(Cc2cc3c(cc[nH]c3=O)o2)C[C@H]1C ZINC000876747559 590237478 /nfs/dbraw/zinc/23/74/78/590237478.db2.gz GYIWIPQKYMDXJU-AOOOYVTPSA-N 0 3 246.310 2.621 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cc2c(cc[nH]c2=O)o1 ZINC000876761166 590238882 /nfs/dbraw/zinc/23/88/82/590238882.db2.gz ZLROIIOQCFBVLI-VHSXEESVSA-N 0 3 246.310 2.764 20 0 BFADHN CSC(C)(C)CCN1CCOC[C@H]1C1CC1 ZINC000876764853 590239778 /nfs/dbraw/zinc/23/97/78/590239778.db2.gz OKALYDHSHYMOAF-LBPRGKRZSA-N 0 3 243.416 2.629 20 0 BFADHN CSC(C)(C)CCN(C)Cc1ccc[nH]1 ZINC000876790435 590241222 /nfs/dbraw/zinc/24/12/22/590241222.db2.gz HHAMWSKZYMIABM-UHFFFAOYSA-N 0 3 226.389 2.978 20 0 BFADHN CN(CCC1CC1)Cc1cc2c(cc[nH]c2=O)o1 ZINC000876812619 590245206 /nfs/dbraw/zinc/24/52/06/590245206.db2.gz WWYZXAXYSXALGR-UHFFFAOYSA-N 0 3 246.310 2.765 20 0 BFADHN COc1cnc(F)c(CNC2CCCCC2)c1 ZINC000876876957 590252297 /nfs/dbraw/zinc/25/22/97/590252297.db2.gz MVNLXJKJYYTROO-UHFFFAOYSA-N 0 3 238.306 2.652 20 0 BFADHN CC[C@@H](C)NCc1nc(Cl)cs1 ZINC000876887971 590254510 /nfs/dbraw/zinc/25/45/10/590254510.db2.gz LNHGXVDGEATVAI-ZCFIWIBFSA-N 0 3 204.726 2.685 20 0 BFADHN Clc1ccc(CNC2CCCCCC2)nn1 ZINC000876904587 590255646 /nfs/dbraw/zinc/25/56/46/590255646.db2.gz FPJLEKSCESMUKY-UHFFFAOYSA-N 0 3 239.750 2.942 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1nc(Cl)cs1 ZINC000876931512 590258397 /nfs/dbraw/zinc/25/83/97/590258397.db2.gz NWUZZNKEOWWAHK-RQJHMYQMSA-N 0 3 216.737 2.542 20 0 BFADHN Clc1ccc(CNC[C@@H]2CC=CCC2)nn1 ZINC000876987698 590265071 /nfs/dbraw/zinc/26/50/71/590265071.db2.gz FKARKWPCDDYUCS-SNVBAGLBSA-N 0 3 237.734 2.576 20 0 BFADHN CCC[C@@H](CC)NCc1ccc(Cl)nn1 ZINC000877021389 590269759 /nfs/dbraw/zinc/26/97/59/590269759.db2.gz SSKPBBBMVHMENL-SECBINFHSA-N 0 3 227.739 2.798 20 0 BFADHN CCc1cc(CNCCc2ccc(C)cc2)[nH]n1 ZINC000877033872 590272370 /nfs/dbraw/zinc/27/23/70/590272370.db2.gz QHGWOPIUVCYYBG-UHFFFAOYSA-N 0 3 243.354 2.613 20 0 BFADHN CCC1(NCc2cc(OC)cnc2F)CCC1 ZINC000877079381 590279664 /nfs/dbraw/zinc/27/96/64/590279664.db2.gz DIPVAQVWZRCQPJ-UHFFFAOYSA-N 0 3 238.306 2.652 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1ccc(Cl)nn1 ZINC000877085036 590279712 /nfs/dbraw/zinc/27/97/12/590279712.db2.gz LHBITARMEPHZGU-ONGXEEELSA-N 0 3 239.750 2.798 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccc[nH]1)C1CCCC1 ZINC000877124362 590289574 /nfs/dbraw/zinc/28/95/74/590289574.db2.gz NHIZJPCHTPVDPZ-BXUZGUMPSA-N 0 3 236.359 2.871 20 0 BFADHN Cc1cccc(C[C@@H](C)NCc2ccno2)c1 ZINC000877321616 590316619 /nfs/dbraw/zinc/31/66/19/590316619.db2.gz NGHDQRVBMKCSCH-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cc(C3CC3)no2)C1 ZINC000877460947 590331307 /nfs/dbraw/zinc/33/13/07/590331307.db2.gz ZTOJQHCEHPQWSS-KOLCDFICSA-N 0 3 220.316 2.830 20 0 BFADHN COc1cnc(F)c(CN[C@@H]2CC[C@H](C)C2)c1 ZINC000877460582 590331651 /nfs/dbraw/zinc/33/16/51/590331651.db2.gz VSNXIEHKUYLOFP-GXSJLCMTSA-N 0 3 238.306 2.508 20 0 BFADHN CCC[C@@H](CN1CCC(F)(F)CC1)OC ZINC000877491552 590339976 /nfs/dbraw/zinc/33/99/76/590339976.db2.gz MJKFRXXXBOOQNQ-JTQLQIEISA-N 0 3 221.291 2.533 20 0 BFADHN CC[C@H](C)[C@H](CN1CCC(F)(F)CC1)OC ZINC000877491313 590340013 /nfs/dbraw/zinc/34/00/13/590340013.db2.gz IPGSWPFBWBXVQD-QWRGUYRKSA-N 0 3 235.318 2.779 20 0 BFADHN CC[C@](C)(CN1CCC(F)(F)CC1)OC ZINC000877491140 590340308 /nfs/dbraw/zinc/34/03/08/590340308.db2.gz GCLQJXBYNOWIGU-SNVBAGLBSA-N 0 3 221.291 2.533 20 0 BFADHN Cc1nonc1CN[C@@H](C)[C@H]1CCC(C)=C(C)C1 ZINC000877526451 590348272 /nfs/dbraw/zinc/34/82/72/590348272.db2.gz IMCIGYXQCKSYCF-AAEUAGOBSA-N 0 3 249.358 2.993 20 0 BFADHN CCCn1cc(CN(CC)C(CC)CC)nn1 ZINC000877536475 590351270 /nfs/dbraw/zinc/35/12/70/590351270.db2.gz RFNQFRUEBQWQBY-UHFFFAOYSA-N 0 3 238.379 2.699 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CC1(Cl)Cl ZINC000877545763 590353386 /nfs/dbraw/zinc/35/33/86/590353386.db2.gz DYYGULDLFOQMJE-QMMMGPOBSA-N 0 3 234.126 2.871 20 0 BFADHN CCC[C@H](CN1CCC[C@@](C)(F)C1)OC ZINC000877557724 590357911 /nfs/dbraw/zinc/35/79/11/590357911.db2.gz OYUBFVBKJVBUOI-VXGBXAGGSA-N 0 3 217.328 2.626 20 0 BFADHN CCC[C@@H](CN1CCC[C@@](C)(F)C1)OC ZINC000877557722 590358963 /nfs/dbraw/zinc/35/89/63/590358963.db2.gz OYUBFVBKJVBUOI-NWDGAFQWSA-N 0 3 217.328 2.626 20 0 BFADHN CCC1(NCc2ccc(Cl)nn2)CCCC1 ZINC000877561333 590359465 /nfs/dbraw/zinc/35/94/65/590359465.db2.gz FSBLQXXTVDRAEP-UHFFFAOYSA-N 0 3 239.750 2.942 20 0 BFADHN CC/C=C/CNC[C@@H]1CC1(Cl)Cl ZINC000877564128 590360994 /nfs/dbraw/zinc/36/09/94/590360994.db2.gz ZHBFZQPUTWVXIM-RTMURIBGSA-N 0 3 208.132 2.736 20 0 BFADHN CSC(C)(C)CCN1CC2(CC(F)C2)C1 ZINC000877580788 590365792 /nfs/dbraw/zinc/36/57/92/590365792.db2.gz IBKIULPHILISGN-UHFFFAOYSA-N 0 3 231.380 2.952 20 0 BFADHN CC[C@H](C)[C@@H](CN1CC(CC(F)F)C1)OC ZINC000877599394 590370875 /nfs/dbraw/zinc/37/08/75/590370875.db2.gz YKSROAPIOPJDCF-GXSJLCMTSA-N 0 3 235.318 2.635 20 0 BFADHN c1ccc2c(CNC[C@@H]3C[C@H]4C[C@H]4C3)noc2c1 ZINC000877621343 590375594 /nfs/dbraw/zinc/37/55/94/590375594.db2.gz QTGMFSRBTVIJGM-ZSBIGDGJSA-N 0 3 242.322 2.964 20 0 BFADHN CC[C@@](C)(CNCc1noc2ccccc12)OC ZINC000877619856 590376095 /nfs/dbraw/zinc/37/60/95/590376095.db2.gz ARJOGJVYJYXXAD-AWEZNQCLSA-N 0 3 248.326 2.733 20 0 BFADHN CC[C@H](NCc1cc(OC)cnc1F)C1CC1 ZINC000877632105 590377534 /nfs/dbraw/zinc/37/75/34/590377534.db2.gz YMWGHKGCMNCTDC-LBPRGKRZSA-N 0 3 238.306 2.508 20 0 BFADHN CSc1ccc(CNC2CSC2)s1 ZINC000877634688 590379984 /nfs/dbraw/zinc/37/99/84/590379984.db2.gz QLIWAZZMCLYXHJ-UHFFFAOYSA-N 0 3 231.411 2.675 20 0 BFADHN CN(C)c1ccc(F)cc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000877635592 590380864 /nfs/dbraw/zinc/38/08/64/590380864.db2.gz XYAZGCJJRCDHTQ-VXGBXAGGSA-N 0 3 248.345 2.734 20 0 BFADHN C/C(=C\c1ccccc1)CNC1CSC1 ZINC000877635329 590380908 /nfs/dbraw/zinc/38/09/08/590380908.db2.gz MOUJCNJRQNTNMC-YRNVUSSQSA-N 0 3 219.353 2.795 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@@H](C)c1ccns1)OC ZINC000877659173 590386018 /nfs/dbraw/zinc/38/60/18/590386018.db2.gz KVBBBWOAHFFIPA-GARJFASQSA-N 0 3 242.388 2.855 20 0 BFADHN CC[C@H](C)[C@H](CN[C@@H](C)c1ccns1)OC ZINC000877659172 590386227 /nfs/dbraw/zinc/38/62/27/590386227.db2.gz KVBBBWOAHFFIPA-DCAQKATOSA-N 0 3 242.388 2.855 20 0 BFADHN Clc1ccc(CN[C@]23C[C@H]2CCCC3)nn1 ZINC000877685966 590391805 /nfs/dbraw/zinc/39/18/05/590391805.db2.gz JAUIPLOKNYJWIW-BXKDBHETSA-N 0 3 237.734 2.552 20 0 BFADHN CS[C@H]1C[C@H](NCc2ccnc(F)c2C)C1 ZINC000877700090 590393639 /nfs/dbraw/zinc/39/36/39/590393639.db2.gz CVCHRNNCKPCRLV-XYPYZODXSA-N 0 3 240.347 2.513 20 0 BFADHN Fc1cncc(CN2C[C@@H]3CC=CC[C@@H]3C2)c1 ZINC000877718914 590399607 /nfs/dbraw/zinc/39/96/07/590399607.db2.gz YFBVGMSSISSNJO-BETUJISGSA-N 0 3 232.302 2.619 20 0 BFADHN Fc1ccc(CN2C[C@@H]3CC=CC[C@@H]3C2)nc1 ZINC000877718877 590399636 /nfs/dbraw/zinc/39/96/36/590399636.db2.gz WYYQKCRIMMEWQD-TXEJJXNPSA-N 0 3 232.302 2.619 20 0 BFADHN Fc1cnccc1CN1C[C@@H]2CC=CC[C@@H]2C1 ZINC000877718786 590400191 /nfs/dbraw/zinc/40/01/91/590400191.db2.gz TWLOONBCMKUZQA-TXEJJXNPSA-N 0 3 232.302 2.619 20 0 BFADHN Cc1ccncc1CN1CC[C@@H]2CSC[C@H]2C1 ZINC000877738535 590407318 /nfs/dbraw/zinc/40/73/18/590407318.db2.gz OKNVMKSXJQMZHU-TZMCWYRMSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1ccc(CN2C[C@@H](O)CC(C)(C)C2)s1 ZINC000877753937 590416738 /nfs/dbraw/zinc/41/67/38/590416738.db2.gz VFZHCTRIQDPELS-NSHDSACASA-N 0 3 239.384 2.649 20 0 BFADHN Cc1cn[nH]c1CN1CC([C@H]2CCC[C@@H](C)C2)C1 ZINC000877764293 590420135 /nfs/dbraw/zinc/42/01/35/590420135.db2.gz ZFKIUTFDGGOVSU-YPMHNXCESA-N 0 3 247.386 2.976 20 0 BFADHN Cc1cn[nH]c1CN1CC([C@@H]2CCC[C@H](C)C2)C1 ZINC000877764292 590420197 /nfs/dbraw/zinc/42/01/97/590420197.db2.gz ZFKIUTFDGGOVSU-WCQYABFASA-N 0 3 247.386 2.976 20 0 BFADHN Cc1nnsc1CNCCC[C@H]1C=CCC1 ZINC000877806893 590426653 /nfs/dbraw/zinc/42/66/53/590426653.db2.gz SAZFJJPUBXPCPM-NSHDSACASA-N 0 3 237.372 2.683 20 0 BFADHN C[C@H](CN(C)Cc1cncnc1)C(C)(C)C ZINC000877823672 590428067 /nfs/dbraw/zinc/42/80/67/590428067.db2.gz AKLMCMDIYTVUSF-LLVKDONJSA-N 0 3 221.348 2.591 20 0 BFADHN CC(=O)Nc1ccc2c(c1)CN(CC(C)C)CC2 ZINC000877816799 590428497 /nfs/dbraw/zinc/42/84/97/590428497.db2.gz KZALHTMWDFWQLH-UHFFFAOYSA-N 0 3 246.354 2.659 20 0 BFADHN CCOC(=O)[C@@H](C)C1CN(CCCC(C)C)C1 ZINC000877830063 590429508 /nfs/dbraw/zinc/42/95/08/590429508.db2.gz GODBXTMUMYNQBS-LBPRGKRZSA-N 0 3 241.375 2.554 20 0 BFADHN C[C@@H](CN(C)Cc1cnn(C)c1)CC(C)(C)C ZINC000877903875 590444250 /nfs/dbraw/zinc/44/42/50/590444250.db2.gz HNGNLOPJEBZGQG-GFCCVEGCSA-N 0 3 237.391 2.924 20 0 BFADHN C[C@@H]1CN(CC2CC3(CCC3)C2)[C@H](C)CO1 ZINC000877920560 590447116 /nfs/dbraw/zinc/44/71/16/590447116.db2.gz QLAXDTOFSJGNRF-VXGBXAGGSA-N 0 3 223.360 2.676 20 0 BFADHN CN(CCCOC(F)(F)F)Cc1ccoc1 ZINC000877988454 590466726 /nfs/dbraw/zinc/46/67/26/590466726.db2.gz HXDYHWFJDVHKIB-UHFFFAOYSA-N 0 3 237.221 2.638 20 0 BFADHN C=C/C=C/CCN1CCC[C@H](c2nc(C)no2)C1 ZINC000877992539 590467552 /nfs/dbraw/zinc/46/75/52/590467552.db2.gz ZLIXUPDGRCJSMB-IHVVCDCBSA-N 0 3 247.342 2.690 20 0 BFADHN C=C/C=C/CCN1CCC[C@@H](c2nc(C)no2)C1 ZINC000877992540 590467587 /nfs/dbraw/zinc/46/75/87/590467587.db2.gz ZLIXUPDGRCJSMB-MUBLQREKSA-N 0 3 247.342 2.690 20 0 BFADHN CCN(Cc1cnn(C)c1)CC1CC2(CCC2)C1 ZINC000878031876 590476370 /nfs/dbraw/zinc/47/63/70/590476370.db2.gz DRIUQZNXMVIELV-UHFFFAOYSA-N 0 3 247.386 2.822 20 0 BFADHN CCCCCN(CCO)Cc1cc(C)oc1C ZINC000126887200 590491068 /nfs/dbraw/zinc/49/10/68/590491068.db2.gz XVCDLQYAVPWEDS-UHFFFAOYSA-N 0 3 239.359 2.881 20 0 BFADHN COC(=O)c1cc(CN(C)C2CCC2)ccc1C ZINC000878134223 590503404 /nfs/dbraw/zinc/50/34/04/590503404.db2.gz ALZVLXUGIGIWPP-UHFFFAOYSA-N 0 3 247.338 2.766 20 0 BFADHN CC1(C)[C@@H]2CN(CCCOC(F)(F)F)C[C@@H]21 ZINC000878132926 590503975 /nfs/dbraw/zinc/50/39/75/590503975.db2.gz CILBXTASRMDUJR-DTORHVGOSA-N 0 3 237.265 2.501 20 0 BFADHN CSCC[C@H](C)NCc1occc1C ZINC000126922547 590506506 /nfs/dbraw/zinc/50/65/06/590506506.db2.gz KQAPUDVICWEGGD-JTQLQIEISA-N 0 3 213.346 2.819 20 0 BFADHN CC(C)[C@H](O)CCN1CC[C@H]1c1ccccc1 ZINC000878166132 590512834 /nfs/dbraw/zinc/51/28/34/590512834.db2.gz MCOAXUYOEDPYNW-LSDHHAIUSA-N 0 3 233.355 2.840 20 0 BFADHN CC(C)[C@H](O)CCN1CCC[C@@H]1c1ccccn1 ZINC000878177471 590515792 /nfs/dbraw/zinc/51/57/92/590515792.db2.gz WKPUDNQSKCDUMX-HUUCEWRRSA-N 0 3 248.370 2.626 20 0 BFADHN CC(C)[C@@H](O)CCN1CCC[C@H]1c1ccccn1 ZINC000878177470 590516430 /nfs/dbraw/zinc/51/64/30/590516430.db2.gz WKPUDNQSKCDUMX-GJZGRUSLSA-N 0 3 248.370 2.626 20 0 BFADHN C=C/C=C\CCN1CCOC(C)(C)[C@@H]1C ZINC000878193661 590522348 /nfs/dbraw/zinc/52/23/48/590522348.db2.gz ACBXJZGPMIITMY-DGMVEKRQSA-N 0 3 209.333 2.618 20 0 BFADHN C=C/C=C\CCN1CCc2ccc(O)cc2C1 ZINC000878252808 590529893 /nfs/dbraw/zinc/52/98/93/590529893.db2.gz VPXKSOVKLIYOMV-ARJAWSKDSA-N 0 3 229.323 2.883 20 0 BFADHN C=C/C=C\CCN(C)[C@@H]1CCCc2c1cnn2C ZINC000878289582 590535925 /nfs/dbraw/zinc/53/59/25/590535925.db2.gz BSAWYZOABYKTGY-GEXIGZQTSA-N 0 3 245.370 2.862 20 0 BFADHN C[C@@H]1COCCN(CCCSC(C)(C)C)C1 ZINC000878298090 590536715 /nfs/dbraw/zinc/53/67/15/590536715.db2.gz GEOZKBFMEFVSOA-LBPRGKRZSA-N 0 3 245.432 2.877 20 0 BFADHN C[C@@H]1OCC[C@@H]1CN1CCc2c(F)cccc2C1 ZINC000878303237 590539129 /nfs/dbraw/zinc/53/91/29/590539129.db2.gz KUFOWDUIYQDTDQ-NWDGAFQWSA-N 0 3 249.329 2.609 20 0 BFADHN Cc1cc(C)c(CN2CC[C@@H]3C[C@@H]32)c(C)n1 ZINC000878316432 590542619 /nfs/dbraw/zinc/54/26/19/590542619.db2.gz IZXQPDQTMFBHES-OCCSQVGLSA-N 0 3 216.328 2.601 20 0 BFADHN Cc1conc1CN1C[C@H](C)[C@H]2CCCC[C@@H]21 ZINC000878399048 590560128 /nfs/dbraw/zinc/56/01/28/590560128.db2.gz IAUCHGCDRGOGCZ-SUHUHFCYSA-N 0 3 234.343 2.994 20 0 BFADHN Cc1conc1CN1CC[C@H](C(C)(C)C)C1 ZINC000878405695 590561469 /nfs/dbraw/zinc/56/14/69/590561469.db2.gz UAWCYVVOZNQIDI-NSHDSACASA-N 0 3 222.332 2.851 20 0 BFADHN C=C/C=C/CCN[C@H](COC)c1ccc(C)o1 ZINC000878409044 590561923 /nfs/dbraw/zinc/56/19/23/590561923.db2.gz GMUGTLOKNKHJLD-URWSZGRFSA-N 0 3 235.327 2.997 20 0 BFADHN COC[C@@H](NCCCC1CC1)c1ccco1 ZINC000878409560 590562158 /nfs/dbraw/zinc/56/21/58/590562158.db2.gz LHBWHYLLZHOZMV-GFCCVEGCSA-N 0 3 223.316 2.747 20 0 BFADHN C=C/C=C\CCN[C@@H](C)c1nnc2ccccn21 ZINC000878413717 590562478 /nfs/dbraw/zinc/56/24/78/590562478.db2.gz FTFIGRCHGSXZSB-RXNFCKPNSA-N 0 3 242.326 2.512 20 0 BFADHN C[C@@H](NC[C@H]1CC2(CCC2)CO1)c1ccco1 ZINC000878414873 590562684 /nfs/dbraw/zinc/56/26/84/590562684.db2.gz OUAXINGMVFSBAE-VXGBXAGGSA-N 0 3 235.327 2.889 20 0 BFADHN Cc1conc1CN1CCCC[C@@H](C)C1 ZINC000878453002 590569649 /nfs/dbraw/zinc/56/96/49/590569649.db2.gz YFTWVDYJPXZEAZ-SNVBAGLBSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1conc1CN1CCCC[C@H](C)C1 ZINC000878453001 590569805 /nfs/dbraw/zinc/56/98/05/590569805.db2.gz YFTWVDYJPXZEAZ-JTQLQIEISA-N 0 3 208.305 2.605 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1nocc1C ZINC000878451979 590569844 /nfs/dbraw/zinc/56/98/44/590569844.db2.gz IGTKBSZADCOUFN-CABZTGNLSA-N 0 3 208.305 2.603 20 0 BFADHN C=C/C=C\CCN(CCOC)Cc1cccnc1 ZINC000878475422 590574518 /nfs/dbraw/zinc/57/45/18/590574518.db2.gz MFSRFCAWIQFINE-PLNGDYQASA-N 0 3 246.354 2.662 20 0 BFADHN C=C/C=C\CCN1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000878535285 590588218 /nfs/dbraw/zinc/58/82/18/590588218.db2.gz TVMOVKPXFANPSA-UISIKIEVSA-N 0 3 221.344 2.618 20 0 BFADHN C=C/C=C/CCN1CCC(F)(F)CC1 ZINC000878541392 590589239 /nfs/dbraw/zinc/58/92/39/590589239.db2.gz BBOLBDGDTDEAKA-ONEGZZNKSA-N 0 3 201.260 2.850 20 0 BFADHN FC1(F)CCC[C@H]1CCN(C1CC1)C1COC1 ZINC000878658088 590604124 /nfs/dbraw/zinc/60/41/24/590604124.db2.gz MSMFDYNZRTUWKV-JTQLQIEISA-N 0 3 245.313 2.675 20 0 BFADHN CC1(C)C[C@H](CN2CC[C@@H](F)C2)C(C)(C)O1 ZINC000878664089 590605349 /nfs/dbraw/zinc/60/53/49/590605349.db2.gz XNCJUUFKVWTNCS-GHMZBOCLSA-N 0 3 229.339 2.624 20 0 BFADHN C=C/C=C\CCN1CCO[C@H](C2CCC2)C1 ZINC000878708665 590613490 /nfs/dbraw/zinc/61/34/90/590613490.db2.gz VOIPSQAYVHZJCB-NQHOJNORSA-N 0 3 221.344 2.620 20 0 BFADHN C[C@@H]1CN(CCCC2CC2)CC2(CCC2)O1 ZINC000878770320 590620433 /nfs/dbraw/zinc/62/04/33/590620433.db2.gz NVOCYQGQHHDWAR-GFCCVEGCSA-N 0 3 223.360 2.820 20 0 BFADHN C=C/C=C\CCN1C[C@@H](C)OCC12CCC2 ZINC000878807733 590626286 /nfs/dbraw/zinc/62/62/86/590626286.db2.gz SFGQSIHAAJRYNB-DSYXLKISSA-N 0 3 221.344 2.762 20 0 BFADHN Cc1conc1CN[C@@H](C)C1CCC(F)CC1 ZINC000878988524 590670098 /nfs/dbraw/zinc/67/00/98/590670098.db2.gz AIIOAUOFJABLID-UNXYVOJBSA-N 0 3 240.322 2.989 20 0 BFADHN Cc1conc1CN(CCC1CC1)CC1CC1 ZINC000878996759 590672529 /nfs/dbraw/zinc/67/25/29/590672529.db2.gz YWMONXNTEHHDCA-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN C=C/C=C\CCN1CCc2c(O)cccc2C1 ZINC000879055931 590688083 /nfs/dbraw/zinc/68/80/83/590688083.db2.gz NFDULNDRBCSDDB-ARJAWSKDSA-N 0 3 229.323 2.883 20 0 BFADHN Cc1n[nH]cc1CN(C)CC1CC2(CCC2)C1 ZINC000879189008 590711498 /nfs/dbraw/zinc/71/14/98/590711498.db2.gz WMYPPZSRHHRYCT-UHFFFAOYSA-N 0 3 233.359 2.730 20 0 BFADHN CCCCN1CCc2cccc(NC(C)=O)c2C1 ZINC000879235398 590719148 /nfs/dbraw/zinc/71/91/48/590719148.db2.gz FLFQDIKFRHWFNO-UHFFFAOYSA-N 0 3 246.354 2.803 20 0 BFADHN COc1cccc(CN2C[C@@H](OC)CC[C@@H]2C)c1 ZINC000879318337 590738627 /nfs/dbraw/zinc/73/86/27/590738627.db2.gz ULTAVYOLOIUQTK-WFASDCNBSA-N 0 3 249.354 2.695 20 0 BFADHN COc1cccc(CN2C[C@H](OC)CC[C@H]2C)c1 ZINC000879318335 590739234 /nfs/dbraw/zinc/73/92/34/590739234.db2.gz ULTAVYOLOIUQTK-IUODEOHRSA-N 0 3 249.354 2.695 20 0 BFADHN CO[C@H]1CC[C@H](C)N(Cc2cccs2)C1 ZINC000879320493 590740148 /nfs/dbraw/zinc/74/01/48/590740148.db2.gz GLSMACYKPSMWAB-QWRGUYRKSA-N 0 3 225.357 2.747 20 0 BFADHN COc1ccc(CN2C[C@@H](OC)CC[C@@H]2C)cc1 ZINC000879324031 590744697 /nfs/dbraw/zinc/74/46/97/590744697.db2.gz BBOZHCZGEYEZNE-WFASDCNBSA-N 0 3 249.354 2.695 20 0 BFADHN CO[C@H]1CC[C@H](C)N(Cc2ccsc2)C1 ZINC000879324261 590744985 /nfs/dbraw/zinc/74/49/85/590744985.db2.gz GORFFPUYHIBMEN-JQWIXIFHSA-N 0 3 225.357 2.747 20 0 BFADHN CCCCCCC(=O)NC[C@@H]1CCCN1CC ZINC000127704333 590757119 /nfs/dbraw/zinc/75/71/19/590757119.db2.gz MRJXCHMKVKZJIK-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN c1nc(CN2C[C@@H]3CC=CC[C@@H]3C2)oc1C1CC1 ZINC000879529716 590791338 /nfs/dbraw/zinc/79/13/38/590791338.db2.gz CXSZGOLNSYAJDC-BETUJISGSA-N 0 3 244.338 2.950 20 0 BFADHN Cc1ccnc(CN2CC[C@H]3CSC[C@@H]3C2)c1 ZINC000879565006 590804261 /nfs/dbraw/zinc/80/42/61/590804261.db2.gz KCMDEPDTTNMXSS-STQMWFEESA-N 0 3 248.395 2.575 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC(C(C)(C)O)CC1 ZINC000879627678 590840354 /nfs/dbraw/zinc/84/03/54/590840354.db2.gz OBGLTCDXZZFJDY-LBPRGKRZSA-N 0 3 248.370 2.626 20 0 BFADHN CN(CCCF)Cc1ccc2cc[nH]c2c1 ZINC000879726344 590856350 /nfs/dbraw/zinc/85/63/50/590856350.db2.gz LYFQZEYRHVJEFT-UHFFFAOYSA-N 0 3 220.291 2.959 20 0 BFADHN CCC[C@H](O)CN(C)Cc1ccc2cc[nH]c2c1 ZINC000879728198 590857041 /nfs/dbraw/zinc/85/70/41/590857041.db2.gz MGRALBLGTQQTAO-AWEZNQCLSA-N 0 3 246.354 2.761 20 0 BFADHN CCC(=O)CCN1CC[C@@](C)(C(F)(F)F)C1 ZINC000880003383 590906356 /nfs/dbraw/zinc/90/63/56/590906356.db2.gz GAEPZNORNBIOQE-SNVBAGLBSA-N 0 3 237.265 2.630 20 0 BFADHN CCOCCCN1CC[C@@](C)(C(F)(F)F)C1 ZINC000880001409 590906672 /nfs/dbraw/zinc/90/66/72/590906672.db2.gz PHZYSVODRIDQSC-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN CSCCN1CC[C@@H](c2nc(C)cs2)C1 ZINC000880010684 590908875 /nfs/dbraw/zinc/90/88/75/590908875.db2.gz XDBMAFOEYQBVGC-SNVBAGLBSA-N 0 3 242.413 2.604 20 0 BFADHN FC(F)(F)[C@H]1CCN(C2CCCC2)CCO1 ZINC000880048970 590914749 /nfs/dbraw/zinc/91/47/49/590914749.db2.gz DHZJWYNAIFTERZ-SNVBAGLBSA-N 0 3 237.265 2.582 20 0 BFADHN CC[C@H](C)N1CC[C@@H](C(F)(F)F)O[C@@H](C)C1 ZINC000880067370 590916543 /nfs/dbraw/zinc/91/65/43/590916543.db2.gz RTQYNKQCRIQJIA-GUBZILKMSA-N 0 3 239.281 2.827 20 0 BFADHN COCC1(N(C)Cc2cccc(F)c2)CCC1 ZINC000880186936 590953823 /nfs/dbraw/zinc/95/38/23/590953823.db2.gz ANZNBMMLJODCMC-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN FC[C@H]1CCCN(C[C@H]2CC2(Cl)Cl)C1 ZINC000880230515 590961270 /nfs/dbraw/zinc/96/12/70/590961270.db2.gz LTAVINNFNLLCRR-RKDXNWHRSA-N 0 3 240.149 2.862 20 0 BFADHN C[C@@H](c1cccnc1)N1CCC[C@H](CF)C1 ZINC000880232449 590962510 /nfs/dbraw/zinc/96/25/10/590962510.db2.gz NITOYVBZUDJKCT-NWDGAFQWSA-N 0 3 222.307 2.824 20 0 BFADHN FC[C@H]1CCCN(CCOc2ccccc2)C1 ZINC000880234309 590965062 /nfs/dbraw/zinc/96/50/62/590965062.db2.gz IAQCSSHYSZCNGN-CYBMUJFWSA-N 0 3 237.318 2.747 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC[C@H](CF)C1 ZINC000880233921 590965554 /nfs/dbraw/zinc/96/55/54/590965554.db2.gz BBLXTCKAQQWEIZ-NWDGAFQWSA-N 0 3 222.307 2.824 20 0 BFADHN Cc1cnccc1CN1CCC[C@H](CF)C1 ZINC000880237404 590967612 /nfs/dbraw/zinc/96/76/12/590967612.db2.gz BOJHRUWQJHPYDI-GFCCVEGCSA-N 0 3 222.307 2.572 20 0 BFADHN FC[C@@H]1CCCN(CCCOC(F)(F)F)C1 ZINC000880238401 590970330 /nfs/dbraw/zinc/97/03/30/590970330.db2.gz DUVXZPJNBVKOEP-VIFPVBQESA-N 0 3 243.244 2.594 20 0 BFADHN FC[C@@H]1CCCN(Cc2ccsc2)C1 ZINC000880238107 590970419 /nfs/dbraw/zinc/97/04/19/590970419.db2.gz OYWISZVFGKLPPX-JTQLQIEISA-N 0 3 213.321 2.930 20 0 BFADHN CN(C)c1cccc(CN2CCC(F)CC2)c1 ZINC000880240397 590973504 /nfs/dbraw/zinc/97/35/04/590973504.db2.gz YECXDVLSKIJWAN-UHFFFAOYSA-N 0 3 236.334 2.687 20 0 BFADHN FC[C@H]1CCN(CCc2ccc(F)c(F)c2)C1 ZINC000880295237 590988334 /nfs/dbraw/zinc/98/83/34/590988334.db2.gz QSVIYXQUUVBXPY-LLVKDONJSA-N 0 3 243.272 2.799 20 0 BFADHN Cc1ccc(CN2CC[C@H](CF)C2)cc1F ZINC000880301124 590997596 /nfs/dbraw/zinc/99/75/96/590997596.db2.gz MNCZLECVOCXFML-GFCCVEGCSA-N 0 3 225.282 2.926 20 0 BFADHN CO[C@@]1(C(F)(F)F)CCN([C@H]2C=CCCC2)C1 ZINC000880303913 590998538 /nfs/dbraw/zinc/99/85/38/590998538.db2.gz STPJRKYOPDOSCK-QWRGUYRKSA-N 0 3 249.276 2.748 20 0 BFADHN C=C/C=C/CCN1CC[C@](OC)(C(F)(F)F)C1 ZINC000880309989 590999758 /nfs/dbraw/zinc/99/97/58/590999758.db2.gz VIWMZHVOHMJPFM-SGUJLRQBSA-N 0 3 249.276 2.772 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cccc(Cl)n1 ZINC000128366006 591011070 /nfs/dbraw/zinc/01/10/70/591011070.db2.gz WUAVJSIPGZVQRQ-VHSXEESVSA-N 0 3 224.735 2.965 20 0 BFADHN CO[C@H](C)CN1CCc2ccsc2[C@H]1C ZINC000880377379 591015662 /nfs/dbraw/zinc/01/56/62/591015662.db2.gz UHKCYDDYELMBSX-NXEZZACHSA-N 0 3 225.357 2.702 20 0 BFADHN C[C@@H]1CC[C@H](CO)N1Cc1sccc1Cl ZINC000880440037 591043057 /nfs/dbraw/zinc/04/30/57/591043057.db2.gz QWDHYLHHKAKSKW-RKDXNWHRSA-N 0 3 245.775 2.747 20 0 BFADHN Cc1cncc(CN[C@@H]2CCC[C@]23CCCO3)c1 ZINC000880505403 591079250 /nfs/dbraw/zinc/07/92/50/591079250.db2.gz KPTHIXRAQWFTBS-CABCVRRESA-N 0 3 246.354 2.581 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@]12CCCO2)c1cccnc1 ZINC000880506860 591080911 /nfs/dbraw/zinc/08/09/11/591080911.db2.gz FAOHERJGFXXFFX-CFVMTHIKSA-N 0 3 246.354 2.834 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@]12CCCO2)c1cccnc1 ZINC000880514562 591082420 /nfs/dbraw/zinc/08/24/20/591082420.db2.gz FAOHERJGFXXFFX-YUELXQCFSA-N 0 3 246.354 2.834 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@H]1CC[C@@H](C)C1 ZINC000128923280 591187081 /nfs/dbraw/zinc/18/70/81/591187081.db2.gz YDKLSBLONATLNH-SCZZXKLOSA-N 0 3 241.766 2.660 20 0 BFADHN C[C@@H](N)c1cn(CCC2CCCCCC2)nn1 ZINC000881354513 591195381 /nfs/dbraw/zinc/19/53/81/591195381.db2.gz RLDZAWNFNYJASK-LLVKDONJSA-N 0 3 236.363 2.658 20 0 BFADHN CCC[C@H](N)c1cn(CC2CC3(CCC3)C2)nn1 ZINC000881426394 591203453 /nfs/dbraw/zinc/20/34/53/591203453.db2.gz FIYTXKGIYIYABE-LBPRGKRZSA-N 0 3 248.374 2.658 20 0 BFADHN CC(C)NC(=O)CN(C)C1CCCCCCC1 ZINC000130199046 591447585 /nfs/dbraw/zinc/44/75/85/591447585.db2.gz AFAQUHUSCVNVAX-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN CCc1nc(CNCCC2CCC2)cs1 ZINC000130343677 591459286 /nfs/dbraw/zinc/45/92/86/591459286.db2.gz ZDGAZNIDDKOCDP-UHFFFAOYSA-N 0 3 224.373 2.985 20 0 BFADHN CC(C)c1c[nH]c(CNCCc2ccccc2)n1 ZINC000882879114 591460610 /nfs/dbraw/zinc/46/06/10/591460610.db2.gz HMVUTRLJVCZFOB-UHFFFAOYSA-N 0 3 243.354 2.865 20 0 BFADHN CCC[C@H]1CCCCN1C/C=C(/C)C(=O)OC ZINC000213501109 591475962 /nfs/dbraw/zinc/47/59/62/591475962.db2.gz FTVXJWBLPJMBCI-SUIFULHWSA-N 0 3 239.359 2.760 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@H]2CC2(C)C)n1 ZINC000882994184 591499344 /nfs/dbraw/zinc/49/93/44/591499344.db2.gz VPRLZPJGEZTIJR-SNVBAGLBSA-N 0 3 221.348 2.669 20 0 BFADHN C[C@@H](N[C@H]1C=CCCC1)c1cncs1 ZINC000130726705 591510795 /nfs/dbraw/zinc/51/07/95/591510795.db2.gz WBTAMDALUOTRQP-ZJUUUORDSA-N 0 3 208.330 2.902 20 0 BFADHN CC[C@H](NCc1cc(C(=O)OC)co1)C(C)C ZINC000130753084 591514336 /nfs/dbraw/zinc/51/43/36/591514336.db2.gz CVXKQNVRANHPFU-LBPRGKRZSA-N 0 3 239.315 2.590 20 0 BFADHN CSC(C)(C)CNCc1nc(C(C)C)c[nH]1 ZINC000883026134 591518063 /nfs/dbraw/zinc/51/80/63/591518063.db2.gz JQRLYIUYJNACOR-UHFFFAOYSA-N 0 3 241.404 2.764 20 0 BFADHN CCCCCC[C@@H](CC)C(=O)NCCN(C)C ZINC000130821235 591525386 /nfs/dbraw/zinc/52/53/86/591525386.db2.gz OMHUUOFHCVCSSO-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CSc1ccc(CNCCc2ccncc2)o1 ZINC000883045503 591526995 /nfs/dbraw/zinc/52/69/95/591526995.db2.gz APLJHCPEIJEWCA-UHFFFAOYSA-N 0 3 248.351 2.729 20 0 BFADHN CCC1(NCc2nc(C(C)C)c[nH]2)CC1 ZINC000883133256 591547296 /nfs/dbraw/zinc/54/72/96/591547296.db2.gz UFAXHHIXMFIHIT-UHFFFAOYSA-N 0 3 207.321 2.565 20 0 BFADHN Cc1ncc(CCN[C@@H](C)c2ccco2)s1 ZINC000131105022 591553421 /nfs/dbraw/zinc/55/34/21/591553421.db2.gz YOQSOOUUSMFMFG-VIFPVBQESA-N 0 3 236.340 2.938 20 0 BFADHN CC(C)(F)CNCc1cccc2c1CCOC2 ZINC000883192508 591567760 /nfs/dbraw/zinc/56/77/60/591567760.db2.gz ASBXAASZUFNLLK-UHFFFAOYSA-N 0 3 237.318 2.597 20 0 BFADHN CC[C@H](N[C@@H](C)CO)c1sccc1Cl ZINC000883192761 591567911 /nfs/dbraw/zinc/56/79/11/591567911.db2.gz HJFQPOAYQYPBNQ-CBAPKCEASA-N 0 3 233.764 2.823 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2CCCC23CC3)n1 ZINC000883193314 591568005 /nfs/dbraw/zinc/56/80/05/591568005.db2.gz SWNIKEDWAIMUML-GFCCVEGCSA-N 0 3 233.359 2.955 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CC[C@@H](C2)C1)c1ccn(C)n1 ZINC000883228335 591578802 /nfs/dbraw/zinc/57/88/02/591578802.db2.gz LGBNEELHLDVJHZ-RVMXOQNASA-N 0 3 233.359 2.649 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@@H]2C=CCC2)n1 ZINC000883236611 591582875 /nfs/dbraw/zinc/58/28/75/591582875.db2.gz GMQREFHBMBZETH-LLVKDONJSA-N 0 3 219.332 2.589 20 0 BFADHN C1=C[C@H](CNCc2nc3ccccc3o2)CC1 ZINC000883237014 591582946 /nfs/dbraw/zinc/58/29/46/591582946.db2.gz OWCWGKZVHAAHRR-NSHDSACASA-N 0 3 228.295 2.884 20 0 BFADHN CC[C@@H](N[C@H]1C[C@H](O)C1)c1sccc1Cl ZINC000883237356 591583570 /nfs/dbraw/zinc/58/35/70/591583570.db2.gz ZMCLCEOBTYLWFV-NQMVMOMDSA-N 0 3 245.775 2.966 20 0 BFADHN CCOc1cccc(CNC[C@@H]2C=CCC2)n1 ZINC000883237676 591584166 /nfs/dbraw/zinc/58/41/66/591584166.db2.gz HXYSRENICDXILW-GFCCVEGCSA-N 0 3 232.327 2.536 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@H]1C=CCC1 ZINC000883245810 591587189 /nfs/dbraw/zinc/58/71/89/591587189.db2.gz SHPSEAQQFPYUHU-RYUDHWBXSA-N 0 3 219.332 2.520 20 0 BFADHN CC[C@H](NCCc1ccns1)c1ccncc1 ZINC000883247779 591588722 /nfs/dbraw/zinc/58/87/22/591588722.db2.gz WBKYCICLGCLAEH-ZDUSSCGKSA-N 0 3 247.367 2.822 20 0 BFADHN Cc1cc(CNCC2(CC3CC3)CC2)on1 ZINC000883248102 591589493 /nfs/dbraw/zinc/58/94/93/591589493.db2.gz HWWOMFCWNHCMDY-UHFFFAOYSA-N 0 3 220.316 2.653 20 0 BFADHN COc1cccc(CNCC2(CC3CC3)CC2)n1 ZINC000883248910 591590022 /nfs/dbraw/zinc/59/00/22/591590022.db2.gz LEXIGDPAOIYLGM-UHFFFAOYSA-N 0 3 246.354 2.760 20 0 BFADHN CCC[C@@H](C)CCNCc1ccc(C)nn1 ZINC000883250546 591590766 /nfs/dbraw/zinc/59/07/66/591590766.db2.gz CZBCAKCHYASMQX-LLVKDONJSA-N 0 3 221.348 2.701 20 0 BFADHN CCC[C@H](C)CCNCc1cnc(Cl)n1C ZINC000883250817 591590827 /nfs/dbraw/zinc/59/08/27/591590827.db2.gz PPBAQFNSLHVAEK-JTQLQIEISA-N 0 3 243.782 2.989 20 0 BFADHN CCC[C@@H](C)CCNCc1cnn(C)c1Cl ZINC000883251723 591592977 /nfs/dbraw/zinc/59/29/77/591592977.db2.gz ZLLHWLWKVBLAOH-SNVBAGLBSA-N 0 3 243.782 2.989 20 0 BFADHN C[C@@H](NCC1(CC2CC2)CC1)c1ncc[nH]1 ZINC000883253994 591593589 /nfs/dbraw/zinc/59/35/89/591593589.db2.gz HQHWERYBYLFYHX-SNVBAGLBSA-N 0 3 219.332 2.641 20 0 BFADHN C[C@H](NCC1(CC2CC2)CC1)c1ncc[nH]1 ZINC000883253993 591593637 /nfs/dbraw/zinc/59/36/37/591593637.db2.gz HQHWERYBYLFYHX-JTQLQIEISA-N 0 3 219.332 2.641 20 0 BFADHN CCC[C@@H](C)CCN[C@H](C)c1cnccn1 ZINC000883256808 591595250 /nfs/dbraw/zinc/59/52/50/591595250.db2.gz JJWVJFPXWFWYCQ-VXGBXAGGSA-N 0 3 221.348 2.954 20 0 BFADHN CCC[C@@H](C)CCN[C@@H]1CCn2ccnc21 ZINC000883257662 591595438 /nfs/dbraw/zinc/59/54/38/591595438.db2.gz HOIYVZCUILQLQH-VXGBXAGGSA-N 0 3 221.348 2.744 20 0 BFADHN CCC[C@@H](C)CCN[C@@H](C)c1ncc[nH]1 ZINC000883258231 591596462 /nfs/dbraw/zinc/59/64/62/591596462.db2.gz VCODUURHYGXWLW-MNOVXSKESA-N 0 3 209.337 2.887 20 0 BFADHN C=C/C=C\CCNCc1cn(C(C)C)nc1C ZINC000883259884 591597527 /nfs/dbraw/zinc/59/75/27/591597527.db2.gz CDUPLVQVFOQQDV-SREVYHEPSA-N 0 3 233.359 2.994 20 0 BFADHN C=CC=CCCNCc1nc(C(C)C)c[nH]1 ZINC000883259296 591597649 /nfs/dbraw/zinc/59/76/49/591597649.db2.gz IWVPEWBXFKXPGJ-AATRIKPKSA-N 0 3 219.332 2.755 20 0 BFADHN C=C/C=C\CCNCc1ccnn1CC(C)C ZINC000883260501 591598458 /nfs/dbraw/zinc/59/84/58/591598458.db2.gz XNKALDBFKQPYHQ-WAYWQWQTSA-N 0 3 233.359 2.761 20 0 BFADHN C[C@H](NCC(C)(C)CC(F)F)c1ccn(C)n1 ZINC000883260493 591599022 /nfs/dbraw/zinc/59/90/22/591599022.db2.gz XJHXTLVUYBFXGF-VIFPVBQESA-N 0 3 245.317 2.752 20 0 BFADHN C=C/C=C/CCN[C@@H](c1nccn1C)C1CC1 ZINC000883267635 591601346 /nfs/dbraw/zinc/60/13/46/591601346.db2.gz LWIDEYBGMRLVGF-MUBLQREKSA-N 0 3 231.343 2.593 20 0 BFADHN C=C/C=C\CCN[C@H](c1nccn1C)C1CC1 ZINC000883267636 591601454 /nfs/dbraw/zinc/60/14/54/591601454.db2.gz LWIDEYBGMRLVGF-ZFDPJTLLSA-N 0 3 231.343 2.593 20 0 BFADHN C=C/C=C\CCN[C@H](C)c1cc(C)n(C)n1 ZINC000883269127 591602291 /nfs/dbraw/zinc/60/22/91/591602291.db2.gz ZYGYZZMEAWPPDZ-ZHRWSRJISA-N 0 3 219.332 2.511 20 0 BFADHN Cc1ccc(CN[C@H]2CCSC2)c(Cl)n1 ZINC000883301428 591609838 /nfs/dbraw/zinc/60/98/38/591609838.db2.gz ZZPGWYOGOXZNGF-JTQLQIEISA-N 0 3 242.775 2.639 20 0 BFADHN C[C@@H]1CCC[C@H]1NC1(c2ncccn2)CCC1 ZINC000883313430 591612458 /nfs/dbraw/zinc/61/24/58/591612458.db2.gz CYAZSAXBBMFFAT-VXGBXAGGSA-N 0 3 231.343 2.634 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@H]1C[C@@H](COC)C1 ZINC000883320911 591614516 /nfs/dbraw/zinc/61/45/16/591614516.db2.gz YPTYZWOUOOUPIW-BZPMIXESSA-N 0 3 248.370 2.720 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCCC[C@H]12)c1ccn(C)n1 ZINC000883322074 591616309 /nfs/dbraw/zinc/61/63/09/591616309.db2.gz UNIKMRLWADBFLX-ZJQBRPOHSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@@]1(CNCC2(F)CC2)OCCc2ccccc21 ZINC000883338458 591618720 /nfs/dbraw/zinc/61/87/20/591618720.db2.gz CIGDTCGYPKGEHP-AWEZNQCLSA-N 0 3 249.329 2.566 20 0 BFADHN CC/C=C(/C)C(=O)Nc1cccc2c1CCNC2 ZINC000132308527 591699290 /nfs/dbraw/zinc/69/92/90/591699290.db2.gz HMMFPRBJJDAQJO-WZUFQYTHSA-N 0 3 244.338 2.627 20 0 BFADHN CC[C@H]1CN(CCC(=O)C(C)(C)C)CCS1 ZINC000133182326 591777062 /nfs/dbraw/zinc/77/70/62/591777062.db2.gz GTFMCTTUPVMSST-NSHDSACASA-N 0 3 243.416 2.819 20 0 BFADHN CN[C@@H](CC(C)C)C(=O)Nc1ccc(C)cc1C ZINC000884044744 591824618 /nfs/dbraw/zinc/82/46/18/591824618.db2.gz SXKPBUVGRFLUAO-AWEZNQCLSA-N 0 3 248.370 2.876 20 0 BFADHN CN[C@@H](CC(C)C)C(=O)Nc1cccc(C)c1 ZINC000884044305 591825164 /nfs/dbraw/zinc/82/51/64/591825164.db2.gz CIHDKQCXHFWLLM-ZDUSSCGKSA-N 0 3 234.343 2.568 20 0 BFADHN CN[C@@H](CC(C)C)C(=O)N(C)c1cccc(C)c1 ZINC000884069548 591843774 /nfs/dbraw/zinc/84/37/74/591843774.db2.gz HDEZFKXJLOFCBU-AWEZNQCLSA-N 0 3 248.370 2.592 20 0 BFADHN COc1c(C)cnc(CNCCC2CCC2)c1C ZINC000134204703 591901827 /nfs/dbraw/zinc/90/18/27/591901827.db2.gz XQVGTJNBABNOTH-UHFFFAOYSA-N 0 3 248.370 2.987 20 0 BFADHN c1cc(CNCCc2ccc3c(c2)CCO3)co1 ZINC000134650410 591945551 /nfs/dbraw/zinc/94/55/51/591945551.db2.gz BHCCKOSRRFWVNT-UHFFFAOYSA-N 0 3 243.306 2.547 20 0 BFADHN C=C/C=C\CCOC(=O)C1CCN(C2CC2)CC1 ZINC000884496386 591956847 /nfs/dbraw/zinc/95/68/47/591956847.db2.gz VGXCFZLJJPSKMN-ARJAWSKDSA-N 0 3 249.354 2.536 20 0 BFADHN Cc1cnc([C@@H](C)CNCc2ccoc2)s1 ZINC000134989394 591968113 /nfs/dbraw/zinc/96/81/13/591968113.db2.gz YHFYFPVCTKUQLD-VIFPVBQESA-N 0 3 236.340 2.938 20 0 BFADHN CC[C@@H](C)NCc1ccc(OC)c(OC)c1F ZINC000885894057 592106308 /nfs/dbraw/zinc/10/63/08/592106308.db2.gz FOVZWPAWKXKVCX-SECBINFHSA-N 0 3 241.306 2.731 20 0 BFADHN FC(F)COc1ccccc1CN1CCCC1 ZINC000885910159 592108137 /nfs/dbraw/zinc/10/81/37/592108137.db2.gz FSBQBXILZFRRLC-UHFFFAOYSA-N 0 3 241.281 2.926 20 0 BFADHN Cc1coc(CNCCOc2cccc(F)c2)c1 ZINC000885935999 592110538 /nfs/dbraw/zinc/11/05/38/592110538.db2.gz YPUCMTIYSNGHGD-UHFFFAOYSA-N 0 3 249.285 2.896 20 0 BFADHN CN(Cc1ccsc1)C[C@H]1C[C@]12CCOC2 ZINC000885941084 592112169 /nfs/dbraw/zinc/11/21/69/592112169.db2.gz ZPENKJPMSIWCBC-OLZOCXBDSA-N 0 3 237.368 2.607 20 0 BFADHN COCC(C)(C)NC/C=C\c1cccc(F)c1 ZINC000885967265 592118049 /nfs/dbraw/zinc/11/80/49/592118049.db2.gz LDBQEBIUPFMSIK-ALCCZGGFSA-N 0 3 237.318 2.854 20 0 BFADHN Cc1coc(CNC[C@](C)(O)CCC(C)C)c1 ZINC000885997312 592125237 /nfs/dbraw/zinc/12/52/37/592125237.db2.gz RRLBJFGUCXFECL-CQSZACIVSA-N 0 3 239.359 2.865 20 0 BFADHN Cc1coc(CNCC2(CCO)CCCC2)c1 ZINC000886007013 592129412 /nfs/dbraw/zinc/12/94/12/592129412.db2.gz ZGAPJUWANLYHDB-UHFFFAOYSA-N 0 3 237.343 2.620 20 0 BFADHN C(CN1CCN(C2CCC2)CC1)[C@@H]1C[C@H]1C1CC1 ZINC000886009945 592130469 /nfs/dbraw/zinc/13/04/69/592130469.db2.gz BQLHMSWFKNOJRH-ZBFHGGJFSA-N 0 3 248.414 2.593 20 0 BFADHN CCOCCC1(CNCc2cc(C)co2)CC1 ZINC000886043997 592138659 /nfs/dbraw/zinc/13/86/59/592138659.db2.gz NXFZSQKDVWLOED-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN C[C@@H]1OCCN(CC[C@@H]2C[C@H]2C2CC2)[C@H]1C ZINC000886040889 592139243 /nfs/dbraw/zinc/13/92/43/592139243.db2.gz GJALNGLXADSKIO-VTPLQMEGSA-N 0 3 223.360 2.532 20 0 BFADHN CC[C@H](NCc1cc(C)co1)[C@@H]1CCCO1 ZINC000886046352 592140087 /nfs/dbraw/zinc/14/00/87/592140087.db2.gz GSQZTNHMDCUSAN-STQMWFEESA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1[nH]nc(C)c1C ZINC000886065672 592141766 /nfs/dbraw/zinc/14/17/66/592141766.db2.gz VCANKFSMARIVJU-SECBINFHSA-N 0 3 249.280 2.847 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1n[nH]c(C)c1C ZINC000886065672 592141768 /nfs/dbraw/zinc/14/17/68/592141768.db2.gz VCANKFSMARIVJU-SECBINFHSA-N 0 3 249.280 2.847 20 0 BFADHN Cc1coc(CNC[C@@]2(C)CCO[C@H]2C2CC2)c1 ZINC000886067093 592143465 /nfs/dbraw/zinc/14/34/65/592143465.db2.gz HESKFFXNBOGMHJ-LSDHHAIUSA-N 0 3 249.354 2.883 20 0 BFADHN CC1=CCN(C[C@@H]2CC[C@H](C3CC3)O2)CC1 ZINC000886086864 592145433 /nfs/dbraw/zinc/14/54/33/592145433.db2.gz NDTSIJWRWSUOBR-UONOGXRCSA-N 0 3 221.344 2.596 20 0 BFADHN CC(C)(C)C1=CCN(C[C@](C)(O)C(F)F)CC1 ZINC000886090503 592146193 /nfs/dbraw/zinc/14/61/93/592146193.db2.gz ZXBTVAPVJQCTSI-ZDUSSCGKSA-N 0 3 247.329 2.681 20 0 BFADHN CO[C@H]1CCCC[C@@H]1NCc1cc(C)co1 ZINC000886107657 592156566 /nfs/dbraw/zinc/15/65/66/592156566.db2.gz WVNGGXFSFBMIJI-STQMWFEESA-N 0 3 223.316 2.635 20 0 BFADHN Cc1coc(CNC[C@@H]2COc3ccccc32)c1 ZINC000886118300 592157351 /nfs/dbraw/zinc/15/73/51/592157351.db2.gz BIGJSOIZVODDEQ-GFCCVEGCSA-N 0 3 243.306 2.854 20 0 BFADHN C1=CCN(CCOC2CCCCC2)CC1 ZINC000886118778 592157801 /nfs/dbraw/zinc/15/78/01/592157801.db2.gz PIJSFFXYQDNFFB-UHFFFAOYSA-N 0 3 209.333 2.598 20 0 BFADHN CC[C@@H](CNC/C=C/c1cccc(F)c1)OC ZINC000886120582 592158064 /nfs/dbraw/zinc/15/80/64/592158064.db2.gz IOJWWLNINHNCGS-DYLGSBMWSA-N 0 3 237.318 2.854 20 0 BFADHN C[C@@H]1CC[C@@H](CNC/C=C\c2cccc(F)c2)O1 ZINC000886140448 592161585 /nfs/dbraw/zinc/16/15/85/592161585.db2.gz ICKRXRBVBOTUJU-FWQWQBSGSA-N 0 3 249.329 2.996 20 0 BFADHN Cc1coc(CN[C@H]2CCC[C@@H]3C[C@@H]32)c1 ZINC000886142777 592162456 /nfs/dbraw/zinc/16/24/56/592162456.db2.gz KSFGCKSJGLZVSS-WXHSDQCUSA-N 0 3 205.301 2.866 20 0 BFADHN C[C@@H]1C[C@@H](NC/C=C/c2cccc(F)c2)CO1 ZINC000886151202 592163388 /nfs/dbraw/zinc/16/33/88/592163388.db2.gz DKPPEVPTUBLKKA-UOLJMSNASA-N 0 3 235.302 2.606 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2cc(C)co2)CCO1 ZINC000886149313 592163677 /nfs/dbraw/zinc/16/36/77/592163677.db2.gz LGXBVUXOGPJWPY-NWDGAFQWSA-N 0 3 223.316 2.635 20 0 BFADHN Fc1cccc(/C=C\CN[C@@H]2C[C@H]3CC[C@@H]2O3)c1 ZINC000886153602 592164417 /nfs/dbraw/zinc/16/44/17/592164417.db2.gz LHCOZNNDPFCTNC-MDQLWMCGSA-N 0 3 247.313 2.748 20 0 BFADHN COCC1(NCc2cc(C)co2)CCCC1 ZINC000886155528 592164492 /nfs/dbraw/zinc/16/44/92/592164492.db2.gz HYVRBSVTSVGJBP-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN Cc1coc(CN[C@H]2CCCN3CCCC[C@@H]23)c1 ZINC000886155710 592164998 /nfs/dbraw/zinc/16/49/98/592164998.db2.gz MTEMZNMOFLYEDW-GJZGRUSLSA-N 0 3 248.370 2.695 20 0 BFADHN COc1cc(C)cc(CN[C@@H]2C=CCC2)c1OC ZINC000886157767 592165559 /nfs/dbraw/zinc/16/55/59/592165559.db2.gz KGWSGZHTOPLAOW-CYBMUJFWSA-N 0 3 247.338 2.820 20 0 BFADHN CC[C@@H](COCC1CC1)NCc1cc(C)co1 ZINC000886158153 592165807 /nfs/dbraw/zinc/16/58/07/592165807.db2.gz SREJSIHWWVVXLB-ZDUSSCGKSA-N 0 3 237.343 2.883 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC3(CCC3)CO2)[C@@H]1C ZINC000886218332 592179280 /nfs/dbraw/zinc/17/92/80/592179280.db2.gz SUQASPYVLWRFGK-UPJWGTAASA-N 0 3 223.360 2.676 20 0 BFADHN FC1(F)[C@H]2CN(CC[C@@H]3C[C@H]3C3CC3)C[C@H]21 ZINC000886269507 592192077 /nfs/dbraw/zinc/19/20/77/592192077.db2.gz XFLDGMYBOKVJFY-KXNHARMFSA-N 0 3 227.298 2.620 20 0 BFADHN CCc1nocc1CNC[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C ZINC000886280808 592198056 /nfs/dbraw/zinc/19/80/56/592198056.db2.gz LOYFBUXKASVLFS-DJIHRAIXSA-N 0 3 234.343 2.619 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)n1 ZINC000886280486 592198444 /nfs/dbraw/zinc/19/84/44/592198444.db2.gz FTFKTSHRLWQBMO-VOAKCMCISA-N 0 3 247.386 2.915 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)n1 ZINC000886280484 592198471 /nfs/dbraw/zinc/19/84/71/592198471.db2.gz FTFKTSHRLWQBMO-CYDGBPFRSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1occc1CNC[C@@H]1CC2(CCC2)CO1 ZINC000886287090 592200917 /nfs/dbraw/zinc/20/09/17/592200917.db2.gz JSJBANLSPMOSLD-ZDUSSCGKSA-N 0 3 235.327 2.637 20 0 BFADHN C[C@H]1c2ccccc2CN1C[C@@H]1C[C@]12CCOC2 ZINC000886295927 592201496 /nfs/dbraw/zinc/20/14/96/592201496.db2.gz SEEMJYPLZQCSND-NOLJZWGESA-N 0 3 243.350 2.990 20 0 BFADHN C([C@H]1CC[C@H](C2CC2)O1)N(C1CC1)C1CC1 ZINC000886296900 592201626 /nfs/dbraw/zinc/20/16/26/592201626.db2.gz DAHQZMQHEQGYJL-ZIAGYGMSSA-N 0 3 221.344 2.571 20 0 BFADHN c1cc(CNC[C@@H]2CC3(CCC3)CO2)cs1 ZINC000886290288 592201726 /nfs/dbraw/zinc/20/17/26/592201726.db2.gz AHDHVUHCKKYREN-LBPRGKRZSA-N 0 3 237.368 2.797 20 0 BFADHN FC1CCN(C[C@@H]2CCC3(CCC3)CO2)CC1 ZINC000886315339 592206819 /nfs/dbraw/zinc/20/68/19/592206819.db2.gz HJOSXBPJEFFTQN-ZDUSSCGKSA-N 0 3 241.350 2.770 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1C[C@@H]2C[C@@H]2C1 ZINC000886329128 592210251 /nfs/dbraw/zinc/21/02/51/592210251.db2.gz ZGKQJXHNAIACDM-JLLWLGSASA-N 0 3 205.276 2.838 20 0 BFADHN FC(F)(F)c1ccc(CN2C[C@@H]3C[C@@H]3C2)nc1 ZINC000886346572 592213423 /nfs/dbraw/zinc/21/34/23/592213423.db2.gz LFVULCGGRZPSCE-DTORHVGOSA-N 0 3 242.244 2.552 20 0 BFADHN CCOc1ccc(CNC2(C3CC3)CCC2)nc1 ZINC000886392046 592222725 /nfs/dbraw/zinc/22/27/25/592222725.db2.gz QAHRLXISCFYUDO-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN COC(C)(C)C[C@@H](C)N[C@H]1CCc2cccnc21 ZINC000886533374 592249862 /nfs/dbraw/zinc/24/98/62/592249862.db2.gz ZMZGNTMLZNKOCC-YPMHNXCESA-N 0 3 248.370 2.862 20 0 BFADHN CO[C@@H](CN[C@H]1CCc2cccnc21)C(C)(C)C ZINC000886534833 592251379 /nfs/dbraw/zinc/25/13/79/592251379.db2.gz PKXMXRUSGCXCAE-STQMWFEESA-N 0 3 248.370 2.720 20 0 BFADHN c1cnc2c(c1)CC[C@@H]2NC1(C2CC2)CC1 ZINC000886576365 592267161 /nfs/dbraw/zinc/26/71/61/592267161.db2.gz FGUVHPMYYWSGSL-LBPRGKRZSA-N 0 3 214.312 2.601 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@H]1CCc2cccnc21 ZINC000886621806 592277037 /nfs/dbraw/zinc/27/70/37/592277037.db2.gz BSZCEJUXFLUPIA-HZSPNIEDSA-N 0 3 246.354 2.616 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@@H]2CCc3cccnc32)C1 ZINC000886623955 592279580 /nfs/dbraw/zinc/27/95/80/592279580.db2.gz URFCEVRJQNFIFT-HZSPNIEDSA-N 0 3 246.354 2.615 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H]2CCc3cccnc32)CCO1 ZINC000886628305 592280636 /nfs/dbraw/zinc/28/06/36/592280636.db2.gz METKIFVXMNAEOO-MGPQQGTHSA-N 0 3 246.354 2.616 20 0 BFADHN COC1([C@@H](C)N[C@H]2CCc3cccnc32)CCC1 ZINC000886626552 592281401 /nfs/dbraw/zinc/28/14/01/592281401.db2.gz FJSDFIBDZQYBAN-YPMHNXCESA-N 0 3 246.354 2.616 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@@H]1CCc2cccnc21 ZINC000886631357 592283339 /nfs/dbraw/zinc/28/33/39/592283339.db2.gz KRZBHQMEVWHJMW-MGPQQGTHSA-N 0 3 246.354 2.616 20 0 BFADHN COC[C@H](C)N[C@@H](c1ncccc1C)C(C)C ZINC000072637651 592289519 /nfs/dbraw/zinc/28/95/19/592289519.db2.gz HLVWJZIOODCTFS-QWHCGFSZSA-N 0 3 236.359 2.712 20 0 BFADHN CC(C)=CCN1CCC[C@@H](c2ncccn2)C1 ZINC000886677113 592300085 /nfs/dbraw/zinc/30/00/85/592300085.db2.gz RJIDVDFMDAEFOU-CYBMUJFWSA-N 0 3 231.343 2.622 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1cc(C2CC2)no1 ZINC000886684703 592302199 /nfs/dbraw/zinc/30/21/99/592302199.db2.gz QGJLNNPYNUMBCU-CABZTGNLSA-N 0 3 220.316 2.830 20 0 BFADHN c1cnc([C@H]2CCCN([C@H]3C=CCCC3)C2)nc1 ZINC000886681124 592302644 /nfs/dbraw/zinc/30/26/44/592302644.db2.gz SLTRTLIUMHMQHU-KBPBESRZSA-N 0 3 243.354 2.765 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)nn1C ZINC000886694555 592304955 /nfs/dbraw/zinc/30/49/55/592304955.db2.gz YFTYFXNUBHDBKG-YTLQFRNZSA-N 0 3 247.386 2.671 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1CCS[C@@H]1C ZINC000220735065 592335030 /nfs/dbraw/zinc/33/50/30/592335030.db2.gz CKGOXEGJTIHUJH-WHGOUJPWSA-N 0 3 240.372 2.836 20 0 BFADHN Fc1cccc(CN2CC[C@H]([C@@H]3CCCO3)C2)c1 ZINC000886838048 592358770 /nfs/dbraw/zinc/35/87/70/592358770.db2.gz QRXKYMYSOWRTHO-ZFWWWQNUSA-N 0 3 249.329 2.827 20 0 BFADHN C[C@@H](O)CCNC(C)(C)c1ccc(F)cc1F ZINC000886896627 592390560 /nfs/dbraw/zinc/39/05/60/592390560.db2.gz PJYIGJDIQWEGIH-SECBINFHSA-N 0 3 243.297 2.560 20 0 BFADHN CN(C/C=C\c1ccccc1)CCCF ZINC000887137649 592453041 /nfs/dbraw/zinc/45/30/41/592453041.db2.gz PEFYMKLDZFUXFE-UITAMQMPSA-N 0 3 207.292 2.991 20 0 BFADHN Cc1cc(C)c(CN(C)CCCF)c(C)n1 ZINC000887142461 592459981 /nfs/dbraw/zinc/45/99/81/592459981.db2.gz KYUIOMHBSLNSEA-UHFFFAOYSA-N 0 3 224.323 2.798 20 0 BFADHN CO[C@H](CN(C)CCCF)c1ccccc1 ZINC000887142424 592460065 /nfs/dbraw/zinc/46/00/65/592460065.db2.gz JRLQYFCWCRGALQ-CYBMUJFWSA-N 0 3 225.307 2.666 20 0 BFADHN CS[C@@H]1CC[C@@H](N[C@H]2CCc3cccnc32)C1 ZINC000300668828 592517150 /nfs/dbraw/zinc/51/71/50/592517150.db2.gz NJBZAOOFFVKKPR-UPJWGTAASA-N 0 3 248.395 2.943 20 0 BFADHN CCCCCCn1cc([C@@H](N)C(C)C)nn1 ZINC000300871871 592522829 /nfs/dbraw/zinc/52/28/29/592522829.db2.gz PUQTTXKWXGKPEI-LBPRGKRZSA-N 0 3 224.352 2.514 20 0 BFADHN c1cnc2c(c1)CC[C@@H]2NCC[C@@H]1CCCCO1 ZINC000223768480 592543487 /nfs/dbraw/zinc/54/34/87/592543487.db2.gz ARCYVBVCTNULRR-KBPBESRZSA-N 0 3 246.354 2.618 20 0 BFADHN CCN(Cc1c(C)cccc1Cl)[C@@H](C)CO ZINC000296664810 592729035 /nfs/dbraw/zinc/72/90/35/592729035.db2.gz FWWWZRGJNMIVFN-NSHDSACASA-N 0 3 241.762 2.851 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2CCC(F)(F)C2)cc1F ZINC000310212144 635400067 /nfs/dbraw/zinc/40/00/67/635400067.db2.gz CSBZXDKHOMCZQL-SECBINFHSA-N 0 3 245.244 2.809 20 0 BFADHN Cc1nnc([C@@H](C)N2CC[C@@H](C)C[C@@H](C)C2)[nH]1 ZINC000932126289 635587633 /nfs/dbraw/zinc/58/76/33/635587633.db2.gz JCUPJYXCQRHNHS-GMTAPVOTSA-N 0 3 236.363 2.542 20 0 BFADHN OCC[C@H](NC/C=C\Cl)c1cccs1 ZINC000308535783 631329300 /nfs/dbraw/zinc/32/93/00/631329300.db2.gz DRJIPRDOVNCHCB-ANTNXHBISA-N 0 3 231.748 2.514 20 0 BFADHN COc1ccc(CN2CCC(C)CC2)o1 ZINC000891454060 631365686 /nfs/dbraw/zinc/36/56/86/631365686.db2.gz KFZOCWNVAMYUFC-UHFFFAOYSA-N 0 3 209.289 2.520 20 0 BFADHN CC(C)=CCN1CCC[C@H](Cc2nc(C)no2)C1 ZINC000933570999 631423424 /nfs/dbraw/zinc/42/34/24/631423424.db2.gz SDHYSCHETUJTNM-CYBMUJFWSA-N 0 3 249.358 2.599 20 0 BFADHN CCCCCCN1CCOC[C@@H]1C1CC1 ZINC000090007250 631519578 /nfs/dbraw/zinc/51/95/78/631519578.db2.gz TWTGDTSFMMJQGE-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN c1cc(CNc2ccnc3ccccc32)no1 ZINC000093297686 631565788 /nfs/dbraw/zinc/56/57/88/631565788.db2.gz VATVAHHDQHWDTF-UHFFFAOYSA-N 0 3 225.251 2.835 20 0 BFADHN COC(OC)[C@@H](C)NCc1cccc(C2CC2)c1 ZINC000094519973 631577356 /nfs/dbraw/zinc/57/73/56/631577356.db2.gz MNKMTOOWOHJWJC-LLVKDONJSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)(C)c1cccc(CN2CC[C@H]2CO)c1 ZINC000934012985 631592480 /nfs/dbraw/zinc/59/24/80/631592480.db2.gz SXVBKRDDKVDTJH-AWEZNQCLSA-N 0 3 233.355 2.551 20 0 BFADHN c1nc(CN[C@H]2C[C@H]3C=C[C@@H]2CC3)cs1 ZINC000924871262 635669192 /nfs/dbraw/zinc/66/91/92/635669192.db2.gz IGEZZURFRHZDLS-UMNHJUIQSA-N 0 3 220.341 2.587 20 0 BFADHN CC(C)C[C@@H](O)CNCc1sccc1Cl ZINC000308778297 631646032 /nfs/dbraw/zinc/64/60/32/631646032.db2.gz BIKSNZFETFKXBU-SECBINFHSA-N 0 3 247.791 2.898 20 0 BFADHN Clc1ccc(CNC[C@H]2CCC=CO2)o1 ZINC000308892357 631715355 /nfs/dbraw/zinc/71/53/55/631715355.db2.gz XLBKNTQBYLVAMC-SECBINFHSA-N 0 3 227.691 2.715 20 0 BFADHN Cc1cc([C@@H](C)NCCC2CCC2)no1 ZINC000309095107 631879474 /nfs/dbraw/zinc/87/94/74/631879474.db2.gz CMTNMCBPYXQBGH-SNVBAGLBSA-N 0 3 208.305 2.824 20 0 BFADHN Clc1ccc2c(c1)CC[C@@H]2NCc1cnc[nH]1 ZINC000112344028 631884594 /nfs/dbraw/zinc/88/45/94/631884594.db2.gz HPJATTHTEPGCPT-ZDUSSCGKSA-N 0 3 247.729 2.840 20 0 BFADHN C/C=C/CN[C@@H](c1ccccn1)C(C)C ZINC000309153093 631909836 /nfs/dbraw/zinc/90/98/36/631909836.db2.gz WUEIBVQEFNYAND-MUBLQREKSA-N 0 3 204.317 2.944 20 0 BFADHN C[C@@H]1CC=CC[C@H]1[NH2+]Cc1nnc(C(C)(C)C)[n-]1 ZINC000934621797 631987091 /nfs/dbraw/zinc/98/70/91/631987091.db2.gz LSOCVQDQAHPOEZ-GHMZBOCLSA-N 0 3 248.374 2.547 20 0 BFADHN C[C@@H](NCc1ccc(Cl)cc1Cl)[C@H](C)O ZINC000308864829 631989584 /nfs/dbraw/zinc/98/95/84/631989584.db2.gz ACFNFLBMUIBTMV-SFYZADRCSA-N 0 3 248.153 2.852 20 0 BFADHN CN(Cc1ccoc1)C[C@](C)(O)c1ccccc1 ZINC000052097150 632072076 /nfs/dbraw/zinc/07/20/76/632072076.db2.gz PKXIYUBCBGVIHV-HNNXBMFYSA-N 0 3 245.322 2.619 20 0 BFADHN CCN(Cn1cc(Cl)cn1)C1CCCC1 ZINC000126938757 632132790 /nfs/dbraw/zinc/13/27/90/632132790.db2.gz GGXLIMVFVKWEJM-UHFFFAOYSA-N 0 3 227.739 2.759 20 0 BFADHN CCOC(=O)/C=C/CN[C@@H](C)c1ccc(C)cc1 ZINC000131038292 632453101 /nfs/dbraw/zinc/45/31/01/632453101.db2.gz HIMXTRPPSYIMMJ-GFUIURDCSA-N 0 3 247.338 2.765 20 0 BFADHN CC[C@H](N[C@H](C)c1nonc1C)C1CCCC1 ZINC000926544311 632472212 /nfs/dbraw/zinc/47/22/12/632472212.db2.gz YSKXSRHWQDCFPU-SKDRFNHKSA-N 0 3 237.347 2.997 20 0 BFADHN C[C@@H](N[C@H](C1CC1)C1CCC1)c1ncco1 ZINC000926558433 632524192 /nfs/dbraw/zinc/52/41/92/632524192.db2.gz IBLYTZXDSGNQLE-SKDRFNHKSA-N 0 3 220.316 2.904 20 0 BFADHN CCc1noc(C)c1[C@@H](C)N[C@@H](C)CSC ZINC000309659059 632623340 /nfs/dbraw/zinc/62/33/40/632623340.db2.gz QUFNPBKCFDLMSV-DTWKUNHWSA-N 0 3 242.388 2.948 20 0 BFADHN C[C@H](NC[C@H]1CC1(Cl)Cl)c1cccnc1 ZINC000309077158 632635688 /nfs/dbraw/zinc/63/56/88/632635688.db2.gz LWONQHAEKAERAS-WCBMZHEXSA-N 0 3 245.153 2.926 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CCC[C@H](C)[C@H]1C ZINC000924525762 632670736 /nfs/dbraw/zinc/67/07/36/632670736.db2.gz GPIUORQPVPAMGD-MIZYBKAJSA-N 0 3 237.347 2.853 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1ccnn1C1CCC1 ZINC000926615565 632696919 /nfs/dbraw/zinc/69/69/19/632696919.db2.gz PDUGZWXBIDXPPO-GWCFXTLKSA-N 0 3 231.343 2.811 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(c2ccnc(CO)c2)C1 ZINC000310287279 632714332 /nfs/dbraw/zinc/71/43/32/632714332.db2.gz YCKKOKIXRZNQIF-VXGBXAGGSA-N 0 3 234.343 2.589 20 0 BFADHN C[C@@H]1CCN(Cc2ccoc2)C[C@@H]1n1ccnc1 ZINC000091960901 632747046 /nfs/dbraw/zinc/74/70/46/632747046.db2.gz NRRIXPJBADIDCQ-OCCSQVGLSA-N 0 3 245.326 2.559 20 0 BFADHN CC[C@@H](C)[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000924537780 632775839 /nfs/dbraw/zinc/77/58/39/632775839.db2.gz NRHMKWWDPJXCGY-BDAKNGLRSA-N 0 3 211.280 2.980 20 0 BFADHN c1coc(CN[C@H]2CCOC23CCCCC3)c1 ZINC000924541482 632790427 /nfs/dbraw/zinc/79/04/27/632790427.db2.gz VGTDZRZLSSKULI-ZDUSSCGKSA-N 0 3 235.327 2.861 20 0 BFADHN Cc1cccc(C)c1CNCC1(F)CC1 ZINC000309205344 632810693 /nfs/dbraw/zinc/81/06/93/632810693.db2.gz SAWBJICVHUQJEM-UHFFFAOYSA-N 0 3 207.292 2.895 20 0 BFADHN C[C@H](NC/C=C\CO)c1coc2ccccc12 ZINC000926690093 632826631 /nfs/dbraw/zinc/82/66/31/632826631.db2.gz VIVPXEWSQUNACY-WYGGZMRJSA-N 0 3 231.295 2.632 20 0 BFADHN Cc1ccc(CNC/C=C/Cl)s1 ZINC000235780081 632828045 /nfs/dbraw/zinc/82/80/45/632828045.db2.gz KRQXLHWCFDJSEE-GORDUTHDSA-N 0 3 201.722 2.899 20 0 BFADHN COc1ccnc([C@H](C)NCCc2ccoc2)c1 ZINC000926702548 632841212 /nfs/dbraw/zinc/84/12/12/632841212.db2.gz OINNEXHGXTZXDY-NSHDSACASA-N 0 3 246.310 2.577 20 0 BFADHN CCC(CC)[C@H](O)CN[C@@H](C)c1ccns1 ZINC000925033252 635862300 /nfs/dbraw/zinc/86/23/00/635862300.db2.gz LOUUNJPQYNUEOC-GXSJLCMTSA-N 0 3 242.388 2.591 20 0 BFADHN CCc1cccc(C)c1NC(=O)[C@@H](N)CC1CC1 ZINC000310692755 632887226 /nfs/dbraw/zinc/88/72/26/632887226.db2.gz RRIUOLKYGRXVBK-ZDUSSCGKSA-N 0 3 246.354 2.623 20 0 BFADHN Fc1ccc2nc(NCc3ccoc3)[nH]c2c1 ZINC000236191208 632894155 /nfs/dbraw/zinc/89/41/55/632894155.db2.gz YXAOLMISVWYFGZ-UHFFFAOYSA-N 0 3 231.230 2.907 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccnn1CC1CCC1 ZINC000926770949 633017226 /nfs/dbraw/zinc/01/72/26/633017226.db2.gz WWSGSCCUWMOAFX-MVZIDQBPSA-N 0 3 233.359 2.910 20 0 BFADHN CCCC[C@@H](C)N(C)c1ccnc(CO)c1 ZINC000309412698 633032854 /nfs/dbraw/zinc/03/28/54/633032854.db2.gz KKEUJARLJJACRY-LLVKDONJSA-N 0 3 222.332 2.589 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1C(F)(F)F)C1(Cl)CC1 ZINC000926800634 633085990 /nfs/dbraw/zinc/08/59/90/633085990.db2.gz ZFNBDFREVMKRSR-FSDSQADBSA-N 0 3 227.657 2.687 20 0 BFADHN [O-]c1ccc(C[NH2+]CC2(C3CC3)CC2)cc1F ZINC000236594047 633088158 /nfs/dbraw/zinc/08/81/58/633088158.db2.gz OVTCTVBRWLYXGS-UHFFFAOYSA-N 0 3 235.302 2.811 20 0 BFADHN CCCC[C@@H](CC)Cn1cc([C@H](C)N)nn1 ZINC000167122041 633125471 /nfs/dbraw/zinc/12/54/71/633125471.db2.gz KQTNMAATLUXHKX-WDEREUQCSA-N 0 3 224.352 2.514 20 0 BFADHN Cc1nc2ccccc2nc1CN1C[C@H](C)[C@H]1C ZINC000293507359 633164006 /nfs/dbraw/zinc/16/40/06/633164006.db2.gz UXRYIKNVYBOVGT-CMPLNLGQSA-N 0 3 241.338 2.778 20 0 BFADHN CCn1nc(C)c([C@@H](C)NCCCC(C)C)n1 ZINC000924604618 633228816 /nfs/dbraw/zinc/22/88/16/633228816.db2.gz WRZGXDOGADFARY-LLVKDONJSA-N 0 3 238.379 2.693 20 0 BFADHN Cc1n[nH]c(CN2CCC(C3CC3)CC2)c1C ZINC000894836946 635947354 /nfs/dbraw/zinc/94/73/54/635947354.db2.gz KUGKEJJIHQYMPC-UHFFFAOYSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@@H]1CC1(Cl)Cl ZINC000306447697 635966814 /nfs/dbraw/zinc/96/68/14/635966814.db2.gz UOSKLZGCQSPRBX-RNJXMRFFSA-N 0 3 208.132 2.663 20 0 BFADHN CC[C@H](COC)[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000925106395 636046099 /nfs/dbraw/zinc/04/60/99/636046099.db2.gz OJZQRNNNENXFAM-JOYOIKCWSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@@H](NCc1sccc1Cl)C(C)(C)O ZINC000308524142 633744352 /nfs/dbraw/zinc/74/43/52/633744352.db2.gz QEZZURGRILSXIX-SSDOTTSWSA-N 0 3 233.764 2.651 20 0 BFADHN CCC(C)(C)OCCN[C@H]1CCc2cccnc21 ZINC000308541905 633746231 /nfs/dbraw/zinc/74/62/31/633746231.db2.gz KDRHOJMVPATACT-ZDUSSCGKSA-N 0 3 248.370 2.864 20 0 BFADHN CCCCC[C@H](NC(=O)[C@@H](N)CC)C(C)(C)C ZINC000236656816 633793078 /nfs/dbraw/zinc/79/30/78/633793078.db2.gz CPSSUSHWODUQHK-RYUDHWBXSA-N 0 3 242.407 2.835 20 0 BFADHN Cc1nc(F)ccc1CN[C@@H]1CSC1(C)C ZINC000309879352 633889433 /nfs/dbraw/zinc/88/94/33/633889433.db2.gz UTFQUTCNPJEOBU-SNVBAGLBSA-N 0 3 240.347 2.513 20 0 BFADHN [O-]c1ccc(C[NH2+]CCCc2ccco2)cc1F ZINC000895453984 636145836 /nfs/dbraw/zinc/14/58/36/636145836.db2.gz UIUAJVNYKAOYOZ-UHFFFAOYSA-N 0 3 249.285 2.847 20 0 BFADHN CCOc1ccc(CN[C@@]2(C)CC2(C)C)o1 ZINC000895442363 636145997 /nfs/dbraw/zinc/14/59/97/636145997.db2.gz NPFRJCWJIDPSJZ-ZDUSSCGKSA-N 0 3 223.316 2.957 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1coc(C2CC2)n1 ZINC000309813330 634014691 /nfs/dbraw/zinc/01/46/91/634014691.db2.gz USPNZDBYUARKJU-JOYOIKCWSA-N 0 3 220.316 2.830 20 0 BFADHN Cc1cc(C)nc(NCc2ccc(F)nc2)c1 ZINC000188541830 634149131 /nfs/dbraw/zinc/14/91/31/634149131.db2.gz VMGLWBDNSWCWKX-UHFFFAOYSA-N 0 3 231.274 2.845 20 0 BFADHN Cc1cnc(CN(CCC(C)C)C2CC2)cn1 ZINC000336688400 635219784 /nfs/dbraw/zinc/21/97/84/635219784.db2.gz HEORRTIWJMSFDM-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@@H](C)C2(C)CC2)nn1 ZINC000925775422 635247051 /nfs/dbraw/zinc/24/70/51/635247051.db2.gz GLKYJYHOCAYNDK-MNOVXSKESA-N 0 3 236.363 2.698 20 0 BFADHN COC(OC)[C@H](C)NCc1ccccc1C1CC1 ZINC000094519976 635368509 /nfs/dbraw/zinc/36/85/09/635368509.db2.gz HJACEIWHIIMDHS-NSHDSACASA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2nccn2C2CC2)[C@H]1C ZINC000894955617 636010005 /nfs/dbraw/zinc/01/00/05/636010005.db2.gz SDKBZPKYJRFMOX-UTUOFQBUSA-N 0 3 233.359 2.694 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@H](C)C1CCCCC1 ZINC000925134289 636194888 /nfs/dbraw/zinc/19/48/88/636194888.db2.gz CCZVTSWXZGNHEP-NXEZZACHSA-N 0 3 237.347 2.997 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@@H](C)C1CCCCC1 ZINC000925134293 636195341 /nfs/dbraw/zinc/19/53/41/636195341.db2.gz CCZVTSWXZGNHEP-VHSXEESVSA-N 0 3 237.347 2.997 20 0 BFADHN Cc1cc(NCCCC2CCCC2)nc(N)n1 ZINC000221086186 636341094 /nfs/dbraw/zinc/34/10/94/636341094.db2.gz WYFVHZBIOZYJDE-UHFFFAOYSA-N 0 3 234.347 2.750 20 0 BFADHN c1c[nH]c(CNCC23CC4CC(CC(C4)C2)C3)n1 ZINC000067666549 636762029 /nfs/dbraw/zinc/76/20/29/636762029.db2.gz YDEQJESFJDHVEE-UHFFFAOYSA-N 0 3 245.370 2.716 20 0 BFADHN Cn1nc(CN[C@@H]2CC[C@@H]2C2CC2)cc1C1CC1 ZINC000927106619 637034142 /nfs/dbraw/zinc/03/41/42/637034142.db2.gz BJULQHOPDUDETO-ZIAGYGMSSA-N 0 3 245.370 2.576 20 0 BFADHN COc1cc([C@@H](C)NCC2CCCC2)on1 ZINC000924778487 637192406 /nfs/dbraw/zinc/19/24/06/637192406.db2.gz OUKNOSVIMZLYKR-SECBINFHSA-N 0 3 224.304 2.524 20 0 BFADHN CN[C@H](C)c1cc(-c2ccc(C)cc2)no1 ZINC000901399586 637245838 /nfs/dbraw/zinc/24/58/38/637245838.db2.gz GMTLZEQTWPOLOY-SNVBAGLBSA-N 0 3 216.284 2.930 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H]1COc2ccc(C)cc21 ZINC000903123895 637344361 /nfs/dbraw/zinc/34/43/61/637344361.db2.gz CLNGQFBQAHSNEJ-VNHYZAJKSA-N 0 3 247.338 2.586 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2CC=CC[C@H]2C)nn1 ZINC000903412673 637358908 /nfs/dbraw/zinc/35/89/08/637358908.db2.gz GUMFUEHLUIZLBH-FRRDWIJNSA-N 0 3 248.374 2.864 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2COC[C@@H]2C2CC2)o1 ZINC000903437697 637362244 /nfs/dbraw/zinc/36/22/44/637362244.db2.gz PXJHZWCEJUBHLJ-ZLKJLUDKSA-N 0 3 249.354 2.918 20 0 BFADHN CCn1cnc(CNCCCC2CCC2)c1 ZINC000904440160 637410160 /nfs/dbraw/zinc/41/01/60/637410160.db2.gz XVBNXNWLVSOZSW-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CC1(Cc2noc([C@@]3(C)CCCN3)n2)CCCC1 ZINC000904722776 637455806 /nfs/dbraw/zinc/45/58/06/637455806.db2.gz XEDUKNCHBZXAQS-CQSZACIVSA-N 0 3 249.358 2.791 20 0 BFADHN Cc1cnccc1-c1ccc(F)c2c1CCNC2 ZINC000904755109 637459788 /nfs/dbraw/zinc/45/97/88/637459788.db2.gz SWRINIDUQNLLNV-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN O[C@H](CNC/C=C\Cl)c1cccc(Cl)c1 ZINC000905708213 637892707 /nfs/dbraw/zinc/89/27/07/637892707.db2.gz NHPJYTIVVPXFBF-SRMRHOMVSA-N 0 3 246.137 2.716 20 0 BFADHN c1csc(-c2cnc([C@@H]3CCCN3)nc2)c1 ZINC000906155314 638063161 /nfs/dbraw/zinc/06/31/61/638063161.db2.gz FROULSJMLLVFKL-JTQLQIEISA-N 0 3 231.324 2.630 20 0 BFADHN Cc1ccc(-c2cnc([C@H]3CCCN3)nc2)s1 ZINC000906181953 638093986 /nfs/dbraw/zinc/09/39/86/638093986.db2.gz UPRYWESIBKEXAQ-LLVKDONJSA-N 0 3 245.351 2.938 20 0 BFADHN c1ncc(-c2ccc(CNC3CSC3)cc2)o1 ZINC000906539335 638207026 /nfs/dbraw/zinc/20/70/26/638207026.db2.gz HHANRPMROWXWFN-UHFFFAOYSA-N 0 3 246.335 2.547 20 0 BFADHN C[C@]1(O)CCCN([C@H]2C[C@@H]2c2cccc(F)c2)C1 ZINC000906586197 638211397 /nfs/dbraw/zinc/21/13/97/638211397.db2.gz DZXATVPEVFQUAJ-ILXRZTDVSA-N 0 3 249.329 2.528 20 0 BFADHN CC1(O)CCN([C@@H]2C[C@@H]2c2cccc(F)c2)CC1 ZINC000906590102 638212117 /nfs/dbraw/zinc/21/21/17/638212117.db2.gz GMQKJXYJXHLQKD-ZIAGYGMSSA-N 0 3 249.329 2.528 20 0 BFADHN CN(Cc1cnc[nH]1)[C@H]1C[C@@H]1c1cccc(F)c1 ZINC000906590061 638212404 /nfs/dbraw/zinc/21/24/04/638212404.db2.gz MYFQCEOHELIKJI-KGLIPLIRSA-N 0 3 245.301 2.537 20 0 BFADHN CO[C@@H]1CCCN([C@H]2C[C@@H]2c2cccc(F)c2)C1 ZINC000906592223 638215178 /nfs/dbraw/zinc/21/51/78/638215178.db2.gz PHLOHQSOCMYZBJ-KFWWJZLASA-N 0 3 249.329 2.792 20 0 BFADHN CC(C)n1cc([C@@H](C)NC[C@H](C)C2CC2)nn1 ZINC000924829991 639408378 /nfs/dbraw/zinc/40/83/78/639408378.db2.gz YWHFSVFQJAQICJ-WDEREUQCSA-N 0 3 236.363 2.556 20 0 BFADHN CC[C@H](NCc1nncs1)C1CCCCC1 ZINC000930236953 639646531 /nfs/dbraw/zinc/64/65/31/639646531.db2.gz OWINRFDFMXDZCW-NSHDSACASA-N 0 3 239.388 2.987 20 0 BFADHN C[C@H]1CCN(CCCc2cccnc2)C[C@H]1F ZINC000930245445 639655361 /nfs/dbraw/zinc/65/53/61/639655361.db2.gz PQUOLPGERXOTOD-GXTWGEPZSA-N 0 3 236.334 2.694 20 0 BFADHN CC[C@@H]1C[C@H]1CN1CCC[C@@H]1c1cc[nH]n1 ZINC000930264814 639665568 /nfs/dbraw/zinc/66/55/68/639665568.db2.gz RQDWPALCSRIMEQ-NTZNESFSSA-N 0 3 219.332 2.593 20 0 BFADHN C[C@H](NCc1ccc(N)nc1)c1ccsc1 ZINC000930345807 639715631 /nfs/dbraw/zinc/71/56/31/639715631.db2.gz RZKDTLSWCASBMJ-VIFPVBQESA-N 0 3 233.340 2.576 20 0 BFADHN CC[C@@H]1C[C@H]1CN1CCOc2cc(O)ccc2C1 ZINC000930461403 639762878 /nfs/dbraw/zinc/76/28/78/639762878.db2.gz WJGXUSCEAMETTF-YPMHNXCESA-N 0 3 247.338 2.633 20 0 BFADHN COC/C(C)=C/CN1CCC(F)(F)[C@H](C)C1 ZINC000930511666 639792886 /nfs/dbraw/zinc/79/28/86/639792886.db2.gz GRASNQUHPFLTSR-LLMHMKPQSA-N 0 3 233.302 2.556 20 0 BFADHN CCc1nocc1CN(C)CC1CC(C)C1 ZINC000930580518 639813615 /nfs/dbraw/zinc/81/36/15/639813615.db2.gz JLJAIWQMZNINOT-UHFFFAOYSA-N 0 3 222.332 2.715 20 0 BFADHN CCC[C@@H](CC)NC(=O)[C@@H]1CCCN1C(C)C ZINC000930980187 640011422 /nfs/dbraw/zinc/01/14/22/640011422.db2.gz UMVRXIDDLROVLZ-OLZOCXBDSA-N 0 3 240.391 2.554 20 0 BFADHN CCc1ncc(CN2CCC[C@@H](CCF)C2)o1 ZINC000931014279 640018771 /nfs/dbraw/zinc/01/87/71/640018771.db2.gz AIPVGYPICCGDRU-NSHDSACASA-N 0 3 240.322 2.809 20 0 BFADHN CC[C@@H]1C[C@H]1CN1CCC[C@H]1c1ncccn1 ZINC000931054240 640042867 /nfs/dbraw/zinc/04/28/67/640042867.db2.gz BPIWCUWQCNTUCB-AGIUHOORSA-N 0 3 231.343 2.660 20 0 BFADHN CC12CC(C1)CN2C[C@@H]1CC[C@@H](C(F)(F)F)O1 ZINC000931078590 640058890 /nfs/dbraw/zinc/05/88/90/640058890.db2.gz AHHMCGMXVSRJSJ-SEQHWMEXSA-N 0 3 249.276 2.581 20 0 BFADHN c1nc([C@@H]2CCCN2CCC2CCCCC2)n[nH]1 ZINC000931139256 640071883 /nfs/dbraw/zinc/07/18/83/640071883.db2.gz AWYMTWNFEUJJBU-ZDUSSCGKSA-N 0 3 248.374 2.912 20 0 BFADHN c1nnc([C@@H]2CCC[N@H+]2CCC2CCCCC2)[n-]1 ZINC000931139256 640071889 /nfs/dbraw/zinc/07/18/89/640071889.db2.gz AWYMTWNFEUJJBU-ZDUSSCGKSA-N 0 3 248.374 2.912 20 0 BFADHN c1nnc([C@@H]2CCC[N@@H+]2CCC2CCCCC2)[n-]1 ZINC000931139256 640071893 /nfs/dbraw/zinc/07/18/93/640071893.db2.gz AWYMTWNFEUJJBU-ZDUSSCGKSA-N 0 3 248.374 2.912 20 0 BFADHN c1nc([C@@H]2CCCN2CC23CCC(CC2)C3)n[nH]1 ZINC000931140169 640074620 /nfs/dbraw/zinc/07/46/20/640074620.db2.gz HWPQPHLBRHOJGV-LXVYMNJGSA-N 0 3 246.358 2.522 20 0 BFADHN CCCC(C)(C)NC(=O)[C@H]1CCCN1C(C)C ZINC000931224059 640105701 /nfs/dbraw/zinc/10/57/01/640105701.db2.gz HRSFDIQULFLMAR-GFCCVEGCSA-N 0 3 240.391 2.554 20 0 BFADHN C[C@@H](C1CC1)N1CCc2nc(C3CC3)ncc2C1 ZINC000931258298 640117825 /nfs/dbraw/zinc/11/78/25/640117825.db2.gz JEZRKDMHHDFDJT-JTQLQIEISA-N 0 3 243.354 2.511 20 0 BFADHN C[C@@H](c1ncccn1)N1CCCC2(CC2)CC1 ZINC000931334325 640161485 /nfs/dbraw/zinc/16/14/85/640161485.db2.gz KUJIVSNHSUUGDB-LBPRGKRZSA-N 0 3 231.343 2.804 20 0 BFADHN CCCCCCN1Cc2c[nH]nc2[C@H](C)C1 ZINC000931458188 640215180 /nfs/dbraw/zinc/21/51/80/640215180.db2.gz FRQVZMVWCLNZAP-LLVKDONJSA-N 0 3 221.348 2.909 20 0 BFADHN C[C@@H]1CN(CCC2CCCC2)Cc2c[nH]nc21 ZINC000931459256 640216814 /nfs/dbraw/zinc/21/68/14/640216814.db2.gz NCZGUYOPMMUBNQ-LLVKDONJSA-N 0 3 233.359 2.909 20 0 BFADHN CC(C)=CCCN1Cc2c[nH]nc2[C@@H](C)C1 ZINC000931464315 640222167 /nfs/dbraw/zinc/22/21/67/640222167.db2.gz AMSONXSGHJLCID-NSHDSACASA-N 0 3 219.332 2.685 20 0 BFADHN C[C@H]1CN(CCCC2CCC2)Cc2c[nH]nc21 ZINC000931464263 640223902 /nfs/dbraw/zinc/22/39/02/640223902.db2.gz ZDGRJXJIUSDBEV-NSHDSACASA-N 0 3 233.359 2.909 20 0 BFADHN COC/C(C)=C\CN[C@@H](C)c1cnc(C)s1 ZINC000931466112 640225553 /nfs/dbraw/zinc/22/55/53/640225553.db2.gz CCWWIYWEQWEYCF-BSKOKIOFSA-N 0 3 240.372 2.695 20 0 BFADHN C[Si](C)(C)CN1CCc2nc[nH]c2C12CCC2 ZINC000931677773 640309270 /nfs/dbraw/zinc/30/92/70/640309270.db2.gz PBDQPXWFCUEMJP-UHFFFAOYSA-N 0 3 249.434 2.524 20 0 BFADHN CCc1onc(C)c1CN1CCC[C@@H](CF)C1 ZINC000931683631 640316178 /nfs/dbraw/zinc/31/61/78/640316178.db2.gz XIJMFDZFXRDSEO-NSHDSACASA-N 0 3 240.322 2.727 20 0 BFADHN CC/C=C/CCN1CC[C@@H](c2noc(C)n2)C1 ZINC000931940180 640399362 /nfs/dbraw/zinc/39/93/62/640399362.db2.gz WQOYWQCZEFRTNU-ZYOFXKKJSA-N 0 3 235.331 2.524 20 0 BFADHN Cc1ccc([C@@H](C)NCc2c[nH]cn2)s1 ZINC000054765216 640409663 /nfs/dbraw/zinc/40/96/63/640409663.db2.gz LIDRETZGAHBPDJ-SECBINFHSA-N 0 3 221.329 2.630 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cnc[nH]2)s1 ZINC000054765216 640409669 /nfs/dbraw/zinc/40/96/69/640409669.db2.gz LIDRETZGAHBPDJ-SECBINFHSA-N 0 3 221.329 2.630 20 0 BFADHN Cn1nccc1[C@H]1CCCN1Cc1ccccc1 ZINC000932273075 640469690 /nfs/dbraw/zinc/46/96/90/640469690.db2.gz MKUNJMAORJELOO-OAHLLOKOSA-N 0 3 241.338 2.757 20 0 BFADHN Cc1cc(F)ccc1CN1CCO[C@@H](C)CC1 ZINC000932338653 640481661 /nfs/dbraw/zinc/48/16/61/640481661.db2.gz CUKSOGRJQKPZER-LBPRGKRZSA-N 0 3 237.318 2.745 20 0 BFADHN FCCCCCN[C@@H](c1ncccn1)C1CC1 ZINC000932355711 640495998 /nfs/dbraw/zinc/49/59/98/640495998.db2.gz YAJIPWSIHRELKB-GFCCVEGCSA-N 0 3 237.322 2.657 20 0 BFADHN COC/C(C)=C/CN[C@@H](c1ccccn1)C1CC1 ZINC000932387107 640515356 /nfs/dbraw/zinc/51/53/56/640515356.db2.gz WVOFKYBENBJZBX-INDWDQIESA-N 0 3 246.354 2.715 20 0 BFADHN CN1CCCC[C@@H]1CCOc1ccccc1C=O ZINC000222997236 640524415 /nfs/dbraw/zinc/52/44/15/640524415.db2.gz WCVYXCRAMVUJCN-CQSZACIVSA-N 0 3 247.338 2.752 20 0 BFADHN CCN(C#N)CCN[C@H](C)c1ccc(F)cc1C ZINC000932562953 640576383 /nfs/dbraw/zinc/57/63/83/640576383.db2.gz YNEMWGLTENXPLH-GFCCVEGCSA-N 0 3 249.333 2.588 20 0 BFADHN CCC(CC)(CC)CNCc1ccn(C)n1 ZINC000165956863 640601694 /nfs/dbraw/zinc/60/16/94/640601694.db2.gz FRSLEDQDUHNRDK-UHFFFAOYSA-N 0 3 223.364 2.726 20 0 BFADHN CCCCCCc1csc(CNC)n1 ZINC000166439971 640641522 /nfs/dbraw/zinc/64/15/22/640641522.db2.gz HCMFYOVAWBPOPE-UHFFFAOYSA-N 0 3 212.362 2.985 20 0 BFADHN CCO[C@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC000932977628 640660162 /nfs/dbraw/zinc/66/01/62/640660162.db2.gz NJIZAFIAYKBWJS-FZMZJTMJSA-N 0 3 237.318 2.998 20 0 BFADHN CCO[C@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC000932977443 640661424 /nfs/dbraw/zinc/66/14/24/640661424.db2.gz LRVLXWJVCACZIJ-FZMZJTMJSA-N 0 3 237.318 2.998 20 0 BFADHN CC[C@@H](C)CN(C)Cc1ccc(Cl)nn1 ZINC000167393194 640718015 /nfs/dbraw/zinc/71/80/15/640718015.db2.gz VONVXPAVRSDNSH-SECBINFHSA-N 0 3 227.739 2.608 20 0 BFADHN c1cnc2c(c1)CC[C@@H]2NCCOC1CCCC1 ZINC000226820908 640794639 /nfs/dbraw/zinc/79/46/39/640794639.db2.gz ALAUEMIRVYLEOA-AWEZNQCLSA-N 0 3 246.354 2.618 20 0 BFADHN c1cc(CN(CC[C@H]2CCCO2)C2CC2)co1 ZINC000934002116 640804348 /nfs/dbraw/zinc/80/43/48/640804348.db2.gz OLBDFTYILCODHC-CQSZACIVSA-N 0 3 235.327 2.813 20 0 BFADHN C[C@@H]1CCC[C@@H](c2noc([C@@]3(C)CCCN3)n2)C1 ZINC000227069743 640813932 /nfs/dbraw/zinc/81/39/32/640813932.db2.gz VRNFXSWCUKDODU-JTNHKYCSSA-N 0 3 249.358 2.962 20 0 BFADHN CCCCCCNC(=O)CN(C)C[C@@H](C)CC ZINC000171948035 641025588 /nfs/dbraw/zinc/02/55/88/641025588.db2.gz KIPRUCNSNILXDZ-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2ncc(Cl)n2C)C1 ZINC000230416297 641053596 /nfs/dbraw/zinc/05/35/96/641053596.db2.gz HSYRSZIAHLQUFZ-VHSXEESVSA-N 0 3 241.766 2.599 20 0 BFADHN CCCCC1(NCc2cnc(C)cn2)CC1 ZINC000934210184 641103489 /nfs/dbraw/zinc/10/34/89/641103489.db2.gz ZHQPZJZATWXYFP-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN C[C@@H]1CN(CCCF)CCN1c1ccccc1 ZINC000934323157 641124252 /nfs/dbraw/zinc/12/42/52/641124252.db2.gz NLHVQOQFMAZIEA-CYBMUJFWSA-N 0 3 236.334 2.557 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnc(Cl)cn1 ZINC000231276817 641152349 /nfs/dbraw/zinc/15/23/49/641152349.db2.gz PQIUTJQTHYHHNQ-SECBINFHSA-N 0 3 227.739 2.750 20 0 BFADHN c1cncc(CN[C@H](c2ccncc2)C2CC2)c1 ZINC000934686213 641246658 /nfs/dbraw/zinc/24/66/58/641246658.db2.gz GBAQBTVGCBEDRJ-HNNXBMFYSA-N 0 3 239.322 2.718 20 0 BFADHN CCCCC[C@@H](C)Nc1cc(C)nc(N)n1 ZINC000064029879 641297973 /nfs/dbraw/zinc/29/79/73/641297973.db2.gz NFMRWADIINQOLP-SECBINFHSA-N 0 3 222.336 2.748 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NC[C@@H]1CC1(C)C ZINC000925391734 641420780 /nfs/dbraw/zinc/42/07/80/641420780.db2.gz AJWCGRNXSHZPRY-WDEREUQCSA-N 0 3 234.343 2.996 20 0 BFADHN C[C@@H]1[C@H](c2ccccc2)CCN1CCF ZINC000759347363 641421868 /nfs/dbraw/zinc/42/18/68/641421868.db2.gz TVNYQMCOTVTTLS-DGCLKSJQSA-N 0 3 207.292 2.834 20 0 BFADHN CC1(C)COC[C@H]1N[C@@H]1CSc2ccccc21 ZINC000313063137 641531297 /nfs/dbraw/zinc/53/12/97/641531297.db2.gz KGDVXWTWJPORRQ-DGCLKSJQSA-N 0 3 249.379 2.848 20 0 BFADHN N#CC(C(=O)C1CC1)c1ccc2ccccc2n1 ZINC000042654288 641556677 /nfs/dbraw/zinc/55/66/77/641556677.db2.gz RYKHTEIUMZAHKA-GFCCVEGCSA-N 0 3 236.274 2.821 20 0 BFADHN Cc1cc(NC2CCCCCCC2)nc(N)n1 ZINC000043532153 641565865 /nfs/dbraw/zinc/56/58/65/641565865.db2.gz OFQIYXGQHCYUPX-UHFFFAOYSA-N 0 3 234.347 2.892 20 0 BFADHN O=C(CN1CCCCCCC1)Nc1ccccc1 ZINC000048617076 641692958 /nfs/dbraw/zinc/69/29/58/641692958.db2.gz FVECQPAZDSICRJ-UHFFFAOYSA-N 0 3 246.354 2.891 20 0 BFADHN Cc1cccc(CNCc2ccc(F)nc2)c1 ZINC000188977222 641777744 /nfs/dbraw/zinc/77/77/44/641777744.db2.gz IVRPIYDTQVHTDL-UHFFFAOYSA-N 0 3 230.286 2.819 20 0 BFADHN CCCCCCN1CCCN(CCC)C(=O)C1 ZINC000189419709 641806876 /nfs/dbraw/zinc/80/68/76/641806876.db2.gz XTYOWTRQFHSBFC-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN CC(C)CCCCN[C@H](C(N)=O)c1ccccc1 ZINC000077410590 641854042 /nfs/dbraw/zinc/85/40/42/641854042.db2.gz NHSHBZLFALGHIU-AWEZNQCLSA-N 0 3 248.370 2.629 20 0 BFADHN Fc1ccccc1C1(NC[C@H]2CCCO2)CC1 ZINC000271790786 641969368 /nfs/dbraw/zinc/96/93/68/641969368.db2.gz NHIJUDXTRXYDEK-LLVKDONJSA-N 0 3 235.302 2.583 20 0 BFADHN CCCCCN(C(=O)CN1CCCC1)C(C)C ZINC000916970446 641989502 /nfs/dbraw/zinc/98/95/02/641989502.db2.gz QVHTXTJOQVHWOA-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CCc1csc(SC[C@@H]2CCCN2C)n1 ZINC000918543736 642220616 /nfs/dbraw/zinc/22/06/16/642220616.db2.gz KRYMJNOVKKERKY-JTQLQIEISA-N 0 3 242.413 2.892 20 0 BFADHN FCCCCCN1CCOC[C@@H]1CC1CC1 ZINC000933209139 642387034 /nfs/dbraw/zinc/38/70/34/642387034.db2.gz OUTICEIEOAXQLO-ZDUSSCGKSA-N 0 3 229.339 2.627 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@@H](C)C1CC1 ZINC000921495239 642629415 /nfs/dbraw/zinc/62/94/15/642629415.db2.gz WKGVHCPJFWYKIC-ZETCQYMHSA-N 0 3 227.739 2.514 20 0 BFADHN CC[C@@H](C)CN(CC)CN1CCSC1=S ZINC000174942929 642639509 /nfs/dbraw/zinc/63/95/09/642639509.db2.gz RNUCWZUMQQPMIR-SNVBAGLBSA-N 0 3 246.445 2.646 20 0 BFADHN CC[C@@H](CNC1(c2ccccc2F)CC1)OC ZINC000419256443 642681411 /nfs/dbraw/zinc/68/14/11/642681411.db2.gz XJFFIBSWPNPEOG-NSHDSACASA-N 0 3 237.318 2.829 20 0 BFADHN CC[C@H](NCC1(C)OCCO1)c1cccs1 ZINC000179566077 642725230 /nfs/dbraw/zinc/72/52/30/642725230.db2.gz GQNKXBABNZSMPC-JTQLQIEISA-N 0 3 241.356 2.552 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1cnc(Cl)s1 ZINC000306199657 642730268 /nfs/dbraw/zinc/73/02/68/642730268.db2.gz RPXYQHIBYKRCSJ-XPUUQOCRSA-N 0 3 248.804 2.638 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](O)c2ccco2)c(C)o1 ZINC000070141828 642977023 /nfs/dbraw/zinc/97/70/23/642977023.db2.gz SEDUDGARTDUEAC-GXFFZTMASA-N 0 3 249.310 2.874 20 0 BFADHN Cc1nnsc1[C@H](C)N1CCC[C@@H](C)C1 ZINC000929212826 642996995 /nfs/dbraw/zinc/99/69/95/642996995.db2.gz YODPJCKMVCXUSZ-SCZZXKLOSA-N 0 3 225.361 2.639 20 0 BFADHN Cc1nnc(CN[C@H]2C[C@H](C)C[C@H](C)C2)s1 ZINC000252774337 643115661 /nfs/dbraw/zinc/11/56/61/643115661.db2.gz JRSFYJPUUKXBQL-JZYVYDRUSA-N 0 3 239.388 2.761 20 0 BFADHN CC[C@@H](NCc1ccco1)[C@H](O)c1ccccc1 ZINC000071686920 643166691 /nfs/dbraw/zinc/16/66/91/643166691.db2.gz DHDYLSWSOBJTRY-HUUCEWRRSA-N 0 3 245.322 2.881 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cn1cc[nH]c1=S ZINC000072918739 643332188 /nfs/dbraw/zinc/33/21/88/643332188.db2.gz AHWPUSSLHXQCSC-NXEZZACHSA-N 0 3 225.361 2.624 20 0 BFADHN c1ccc2c(CN3CCCCC3)n[nH]c2c1 ZINC000145005676 643388777 /nfs/dbraw/zinc/38/87/77/643388777.db2.gz YYGRBGDXCCDNHR-UHFFFAOYSA-N 0 3 215.300 2.549 20 0 BFADHN C[C@@H]1CN(C[C@H]2CC2(Cl)Cl)[C@@H]1C ZINC000307711408 643580034 /nfs/dbraw/zinc/58/00/34/643580034.db2.gz TWPISRGQBKUDRP-BWZBUEFSSA-N 0 3 208.132 2.520 20 0 BFADHN CCCCCCC(=O)OCCN1CCCCC1 ZINC000819977737 643605520 /nfs/dbraw/zinc/60/55/20/643605520.db2.gz AHMPUQKMMDDTEX-UHFFFAOYSA-N 0 3 241.375 2.986 20 0 BFADHN CCC[C@@H](C)NCc1c(Cl)c(C)nn1C ZINC000084270341 643653583 /nfs/dbraw/zinc/65/35/83/643653583.db2.gz HLUXOWWDEBGMJU-MRVPVSSYSA-N 0 3 229.755 2.660 20 0 BFADHN Cc1nn(C)c(CNC2CCCCC2)c1Cl ZINC000084270287 643654227 /nfs/dbraw/zinc/65/42/27/643654227.db2.gz BWWOOGCSZDRJHF-UHFFFAOYSA-N 0 3 241.766 2.804 20 0 BFADHN C[C@H]1CCCC[C@@H]1OCCNCc1ccoc1 ZINC000053553252 643659836 /nfs/dbraw/zinc/65/98/36/643659836.db2.gz NRWLYTQLHGPNTE-JSGCOSHPSA-N 0 3 237.343 2.965 20 0 BFADHN Clc1n[nH]c(C2CC2)c1CNCCC1CC1 ZINC000921794769 643790095 /nfs/dbraw/zinc/79/00/95/643790095.db2.gz ZIPCLMRAHHJQAX-UHFFFAOYSA-N 0 3 239.750 2.830 20 0 BFADHN CC/C=C\CNCc1cccc2[nH]c(=O)oc21 ZINC000922155949 643875569 /nfs/dbraw/zinc/87/55/69/643875569.db2.gz IKXMCZNHNCJGJL-ARJAWSKDSA-N 0 3 232.283 2.589 20 0 BFADHN c1nn(C2CCC2)cc1CNCC1=CCCC1 ZINC000922262409 643931238 /nfs/dbraw/zinc/93/12/38/643931238.db2.gz VRIBDZKCGFAYJW-UHFFFAOYSA-N 0 3 231.343 2.808 20 0 BFADHN C[C@H]1Cc2cc(CNCC(C)(C)F)ccc2O1 ZINC000922299564 643959518 /nfs/dbraw/zinc/95/95/18/643959518.db2.gz DPYOJJZHGAFCEY-JTQLQIEISA-N 0 3 237.318 2.848 20 0 BFADHN COc1ccc(CNCC(C)(C)F)c(C)c1 ZINC000922301025 643961151 /nfs/dbraw/zinc/96/11/51/643961151.db2.gz ZOGWTLCYJQTCEQ-UHFFFAOYSA-N 0 3 225.307 2.841 20 0 BFADHN C[C@H](NC1C(C)(C)C1(C)C)c1cnccn1 ZINC000086239665 643969430 /nfs/dbraw/zinc/96/94/30/643969430.db2.gz DTKPHNMIFCAKIG-VIFPVBQESA-N 0 3 219.332 2.562 20 0 BFADHN CCOc1cccc(CN[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)n1 ZINC000922509960 644007189 /nfs/dbraw/zinc/00/71/89/644007189.db2.gz DTCRERSMWFKGMT-RUZUBIRVSA-N 0 3 246.354 2.614 20 0 BFADHN c1cnc([C@@H](NC[C@H]2CC=CCC2)C2CC2)nc1 ZINC000922581744 644032437 /nfs/dbraw/zinc/03/24/37/644032437.db2.gz JDKRIOUZFCSFLO-JSGCOSHPSA-N 0 3 243.354 2.874 20 0 BFADHN c1cc(CN[C@H](c2ccccn2)C2CC2)c[nH]1 ZINC000922586447 644035901 /nfs/dbraw/zinc/03/59/01/644035901.db2.gz NBDKYFWDJRFVAX-AWEZNQCLSA-N 0 3 227.311 2.651 20 0 BFADHN CC(C)(/C=C/Cl)NCc1ccc(CO)cc1 ZINC000922644600 644049000 /nfs/dbraw/zinc/04/90/00/644049000.db2.gz JMAMJFQHISYRCI-BQYQJAHWSA-N 0 3 239.746 2.800 20 0 BFADHN Cn1cccc1CNC(C)(C)/C=C/Cl ZINC000922651122 644057425 /nfs/dbraw/zinc/05/74/25/644057425.db2.gz URDYOPIZUGMCJN-VOTSOKGWSA-N 0 3 212.724 2.646 20 0 BFADHN Cc1ccc2oc(CN[C@H]3COC[C@H]3C)cc2c1 ZINC000922642537 644057544 /nfs/dbraw/zinc/05/75/44/644057544.db2.gz XRBIUMMJOGOMHY-RISCZKNCSA-N 0 3 245.322 2.866 20 0 BFADHN COc1ccc([C@H](C)NCc2cnc[nH]2)cc1C ZINC000922674375 644064891 /nfs/dbraw/zinc/06/48/91/644064891.db2.gz JHEBUNOMEWRZCY-NSHDSACASA-N 0 3 245.326 2.578 20 0 BFADHN C[C@H]1Cc2cc(CNCc3cc[nH]c3)ccc2O1 ZINC000922702090 644073862 /nfs/dbraw/zinc/07/38/62/644073862.db2.gz GCXSZBIQUQLEHZ-NSHDSACASA-N 0 3 242.322 2.628 20 0 BFADHN CCC[C@H](O)CCNCc1cccc(F)c1F ZINC000922824510 644100661 /nfs/dbraw/zinc/10/06/61/644100661.db2.gz HEOXNNXLJXFZDI-NSHDSACASA-N 0 3 243.297 2.606 20 0 BFADHN CCC[C@@H](O)CCNCc1ccc(F)cc1F ZINC000922825872 644102567 /nfs/dbraw/zinc/10/25/67/644102567.db2.gz RCEIRAWPGXOSBK-GFCCVEGCSA-N 0 3 243.297 2.606 20 0 BFADHN CCC[C@H](O)CCNCc1cccc(C)c1F ZINC000922821172 644110231 /nfs/dbraw/zinc/11/02/31/644110231.db2.gz KZBFICYWRAKUHF-ZDUSSCGKSA-N 0 3 239.334 2.775 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccon2)CC(C)(C)C1 ZINC000086452639 644139059 /nfs/dbraw/zinc/13/90/59/644139059.db2.gz YFIISXOYRMWGCP-ZYHUDNBSSA-N 0 3 222.332 2.979 20 0 BFADHN CC[C@@H]1CCC[C@@H]([NH2+]Cc2cncc([O-])c2)C1 ZINC000922975897 644205484 /nfs/dbraw/zinc/20/54/84/644205484.db2.gz NLHDNBXCRRRUDL-DGCLKSJQSA-N 0 3 234.343 2.846 20 0 BFADHN C[C@@H]1CN(CCCC(C)(F)F)CC(C)(C)O1 ZINC000929348471 644235674 /nfs/dbraw/zinc/23/56/74/644235674.db2.gz RVJBDBVIXXEBJB-SNVBAGLBSA-N 0 3 235.318 2.921 20 0 BFADHN Cc1ccc(CNC2([C@@H]3CCCCO3)CC2)nc1 ZINC000923031880 644239250 /nfs/dbraw/zinc/23/92/50/644239250.db2.gz ZDNKRNAFAIXJHW-AWEZNQCLSA-N 0 3 246.354 2.581 20 0 BFADHN Fc1ccccc1CN[C@]12C[C@H]1COC21CCC1 ZINC000923035409 644243706 /nfs/dbraw/zinc/24/37/06/644243706.db2.gz DPSULEWGQOVPGC-SWLSCSKDSA-N 0 3 247.313 2.627 20 0 BFADHN CN(Cc1ccc(F)cc1)C[C@@H]1CCC=CO1 ZINC000193617073 644261884 /nfs/dbraw/zinc/26/18/84/644261884.db2.gz UUPLAAKFEGAQMJ-AWEZNQCLSA-N 0 3 235.302 2.950 20 0 BFADHN C[C@H]1CN(CCc2cccs2)CC(C)(C)O1 ZINC000195314333 644375278 /nfs/dbraw/zinc/37/52/78/644375278.db2.gz QJSZRBWEZDDVSC-NSHDSACASA-N 0 3 239.384 2.790 20 0 BFADHN Cc1nc(CNCCc2ccco2)sc1C ZINC000087375663 644491736 /nfs/dbraw/zinc/49/17/36/644491736.db2.gz NBTZTUFZYXACRV-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN CCCCC[C@@H](C)NCc1ncnn1C(C)C ZINC000088590200 644524022 /nfs/dbraw/zinc/52/40/22/644524022.db2.gz ANSGLSDILCEKKH-GFCCVEGCSA-N 0 3 238.379 2.917 20 0 BFADHN CO[C@@H]1CCCN(Cc2cc(C)oc2C)C1 ZINC000091772666 644638851 /nfs/dbraw/zinc/63/88/51/644638851.db2.gz WISKGNNOQBBCEI-CYBMUJFWSA-N 0 3 223.316 2.507 20 0 BFADHN CC[C@@H]1CN(CCc2cccs2)C[C@@H](C)O1 ZINC000247728891 644647263 /nfs/dbraw/zinc/64/72/63/644647263.db2.gz UACMIMMZKSYTHW-VXGBXAGGSA-N 0 3 239.384 2.790 20 0 BFADHN CO[C@]1(C)C[C@@H](N(C)Cc2ccoc2)C1(C)C ZINC000093871870 644701737 /nfs/dbraw/zinc/70/17/37/644701737.db2.gz NZHBRLISSMXXKE-TZMCWYRMSA-N 0 3 237.343 2.915 20 0 BFADHN O=C(C1CCN(CCC2CC2)CC1)C(F)(F)F ZINC000775856285 644703856 /nfs/dbraw/zinc/70/38/56/644703856.db2.gz RNLXYOWFKATAOS-UHFFFAOYSA-N 0 3 249.276 2.630 20 0 BFADHN FC(F)(F)[C@H]1CCCN(C[C@H]2CCC=CO2)C1 ZINC000248881199 644704514 /nfs/dbraw/zinc/70/45/14/644704514.db2.gz KTCQTPBXEGSPIC-WDEREUQCSA-N 0 3 249.276 2.953 20 0 BFADHN CCCCN(CCCC)c1cc(C)nc(N)n1 ZINC000094267111 644714379 /nfs/dbraw/zinc/71/43/79/644714379.db2.gz DJUVPZALXGTLAZ-UHFFFAOYSA-N 0 3 236.363 2.774 20 0 BFADHN Cc1nc(F)ccc1CNCc1ccccc1 ZINC000785410517 644769756 /nfs/dbraw/zinc/76/97/56/644769756.db2.gz GOWGTKJCMYYJDQ-UHFFFAOYSA-N 0 3 230.286 2.819 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)Cc2ccco2)nn1C ZINC000800472843 644835220 /nfs/dbraw/zinc/83/52/20/644835220.db2.gz BYTRAAKJFPKWMF-JQWIXIFHSA-N 0 3 247.342 2.603 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@](C)(CO)C2CC2)o1 ZINC000304834236 644935053 /nfs/dbraw/zinc/93/50/53/644935053.db2.gz MKURDZWECQKBOX-DGFSRKRXSA-N 0 3 249.354 2.654 20 0 BFADHN CC(C)[C@]1(CO)CCCN(Cc2ccoc2)C1 ZINC000816662026 644965624 /nfs/dbraw/zinc/96/56/24/644965624.db2.gz FUQQEISFKXRLPH-CQSZACIVSA-N 0 3 237.343 2.510 20 0 BFADHN CCC[C@@H](C)NCc1cc(F)ncc1F ZINC000823591306 645046257 /nfs/dbraw/zinc/04/62/57/645046257.db2.gz ORDIQSAAPMCGHC-MRVPVSSYSA-N 0 3 214.259 2.638 20 0 BFADHN C[C@@H](F)CCNCc1ccnc(Cl)c1F ZINC000824439785 645054133 /nfs/dbraw/zinc/05/41/33/645054133.db2.gz REKXDLDGHPEUQF-SSDOTTSWSA-N 0 3 234.677 2.712 20 0 BFADHN Cc1cc(CN[C@@H]2COC(C)(C)C2)c(C)o1 ZINC000841864616 645235771 /nfs/dbraw/zinc/23/57/71/645235771.db2.gz WICBBDFFBNTXHW-LBPRGKRZSA-N 0 3 223.316 2.554 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H](C)n2ncnc21)C1CCCC1 ZINC000926544177 645269563 /nfs/dbraw/zinc/26/95/63/645269563.db2.gz WDXMSABJJSRKOD-WCFLWFBJSA-N 0 3 248.374 2.842 20 0 BFADHN CC(C)n1cc([C@H](C)NC[C@@H]2C[C@H]2C2CC2)nn1 ZINC000926552684 645276941 /nfs/dbraw/zinc/27/69/41/645276941.db2.gz XDIRYCWIKJOXEC-DRZSPHRISA-N 0 3 248.374 2.556 20 0 BFADHN COc1ccnc([C@H](C)N[C@H]2CC2(C)C)c1 ZINC000926580311 645300672 /nfs/dbraw/zinc/30/06/72/645300672.db2.gz OOZJFYQGHNLLDF-CABZTGNLSA-N 0 3 220.316 2.539 20 0 BFADHN Cc1cc(CN[C@@H](C)C2(Cl)CC2)cc(C)n1 ZINC000926602050 645309835 /nfs/dbraw/zinc/30/98/35/645309835.db2.gz QYJPSNBLKUOBQY-NSHDSACASA-N 0 3 238.762 2.948 20 0 BFADHN COc1cc([C@@H](C)NC[C@@H](C)CC(F)F)on1 ZINC000926627856 645310906 /nfs/dbraw/zinc/31/09/06/645310906.db2.gz FWHCOGFCLBNJTG-JGVFFNPUSA-N 0 3 248.273 2.625 20 0 BFADHN COc1cc([C@@H](C)NC[C@H](C)CC(F)F)on1 ZINC000926627854 645323943 /nfs/dbraw/zinc/32/39/43/645323943.db2.gz FWHCOGFCLBNJTG-HTQZYQBOSA-N 0 3 248.273 2.625 20 0 BFADHN CC[C@@H]1COC(C)(C)CN1CC1CC(F)(F)C1 ZINC000844386442 645324693 /nfs/dbraw/zinc/32/46/93/645324693.db2.gz NSSNYYMNWFURKE-LLVKDONJSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1COC[C@@H]1NC1(c2ccccc2F)CCC1 ZINC000926633105 645325740 /nfs/dbraw/zinc/32/57/40/645325740.db2.gz JLKSKYMOUPGMSF-RISCZKNCSA-N 0 3 249.329 2.829 20 0 BFADHN C[C@@H](COCC1CC1)N[C@@H](C)c1ccns1 ZINC000926648543 645335100 /nfs/dbraw/zinc/33/51/00/645335100.db2.gz AKHDSIZPMVQKHC-UWVGGRQHSA-N 0 3 240.372 2.609 20 0 BFADHN COc1cc([C@H](C)NC2(C3CCC3)CC2)on1 ZINC000926650281 645337414 /nfs/dbraw/zinc/33/74/14/645337414.db2.gz UOWVJPCPQHSXAB-VIFPVBQESA-N 0 3 236.315 2.667 20 0 BFADHN C[C@@H](NCC1SCCS1)c1ccns1 ZINC000926724430 645386748 /nfs/dbraw/zinc/38/67/48/645386748.db2.gz FYSKMYYICPTQBS-SSDOTTSWSA-N 0 3 246.426 2.600 20 0 BFADHN C[C@@H](N[C@@H]1C=CCC1)c1cccc2c1OCO2 ZINC000926690761 645361246 /nfs/dbraw/zinc/36/12/46/645361246.db2.gz QNJOMOOTGSYJHD-GHMZBOCLSA-N 0 3 231.295 2.785 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H](C)c2c(C)noc2C)C1 ZINC000926695186 645363162 /nfs/dbraw/zinc/36/31/62/645363162.db2.gz VKXWELGVMJODRA-GGZOMVNGSA-N 0 3 238.331 2.510 20 0 BFADHN CC(C)[C@@H](N[C@H](C)c1ncco1)C1CC1 ZINC000926721489 645384466 /nfs/dbraw/zinc/38/44/66/645384466.db2.gz YGSZLEOZXIIRFW-MWLCHTKSSA-N 0 3 208.305 2.760 20 0 BFADHN CCC[C@H](CN[C@H](C)c1ccns1)OCC ZINC000926743270 645397456 /nfs/dbraw/zinc/39/74/56/645397456.db2.gz YAZISQWBMGBLCZ-GHMZBOCLSA-N 0 3 242.388 2.999 20 0 BFADHN C[C@H](NCCC[C@@H]1C=CCC1)c1cn(C)cn1 ZINC000926824919 645444127 /nfs/dbraw/zinc/44/41/27/645444127.db2.gz LKRCZQFSBXKEEL-QWHCGFSZSA-N 0 3 233.359 2.817 20 0 BFADHN COc1cc([C@@H](C)NC[C@@]2(C)CC2(C)C)on1 ZINC000926843318 645455606 /nfs/dbraw/zinc/45/56/06/645455606.db2.gz VCUWTJASLGKOMP-NOZJJQNGSA-N 0 3 238.331 2.770 20 0 BFADHN c1ccc([C@@H](NCCOC2CC2)C2CCC2)nc1 ZINC000926883285 645473096 /nfs/dbraw/zinc/47/30/96/645473096.db2.gz NFSIJIRYKSQBBS-HNNXBMFYSA-N 0 3 246.354 2.691 20 0 BFADHN COc1ccnc([C@H](C)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)c1 ZINC000926887945 645474646 /nfs/dbraw/zinc/47/46/46/645474646.db2.gz MRGRDSMSIOLWCP-KHTVDDERSA-N 0 3 246.354 2.785 20 0 BFADHN C[C@H](NC[C@]12C[C@H]1CCC2)c1cc2n(n1)CCC2 ZINC000927120439 645555922 /nfs/dbraw/zinc/55/59/22/645555922.db2.gz DPCNCJUXBHPLFY-ZOWXZIJZSA-N 0 3 245.370 2.670 20 0 BFADHN COc1cc([C@H](C)NC[C@]23C[C@H]2CCC3)on1 ZINC000927122770 645558098 /nfs/dbraw/zinc/55/80/98/645558098.db2.gz SBQSDUIWDQYMSK-CWSCBRNRSA-N 0 3 236.315 2.524 20 0 BFADHN CCc1onc(C)c1CNC1CC(SC)C1 ZINC000927133603 645564489 /nfs/dbraw/zinc/56/44/89/645564489.db2.gz WIKKNTPXEKDJMQ-UHFFFAOYSA-N 0 3 240.372 2.529 20 0 BFADHN c1cc(CNC2([C@@H]3CCCCO3)CCC2)co1 ZINC000865855747 645725427 /nfs/dbraw/zinc/72/54/27/645725427.db2.gz AGOPZOSQOYHMRG-ZDUSSCGKSA-N 0 3 235.327 2.861 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C ZINC000886693502 645890151 /nfs/dbraw/zinc/89/01/51/645890151.db2.gz CIUBSDGOQSIQQI-PEDHHIEDSA-N 0 3 247.386 2.846 20 0 BFADHN CC(C)[C@H]1CN(Cc2ccco2)CCCO1 ZINC000294032543 645930550 /nfs/dbraw/zinc/93/05/50/645930550.db2.gz OHHGAJIRLQCLBJ-CYBMUJFWSA-N 0 3 223.316 2.527 20 0 BFADHN Cc1ccc(NC(=O)[C@@H]2CCCN2C(C)C)cc1 ZINC000929132575 645964714 /nfs/dbraw/zinc/96/47/14/645964714.db2.gz IBQHTAKLRHYPBB-AWEZNQCLSA-N 0 3 246.354 2.806 20 0 BFADHN CN(CCc1ccns1)Cc1cccs1 ZINC000929208634 646005676 /nfs/dbraw/zinc/00/56/76/646005676.db2.gz XEUYTSIQRHHYJN-UHFFFAOYSA-N 0 3 238.381 2.879 20 0 BFADHN c1nc(-c2ccccc2)oc1CN1CCCC1 ZINC000929212100 646010332 /nfs/dbraw/zinc/01/03/32/646010332.db2.gz PZLLPHLVJQVCDR-UHFFFAOYSA-N 0 3 228.295 2.937 20 0 BFADHN CCc1ncc(CN2CCCC[C@@H]2C)o1 ZINC000929219548 646017519 /nfs/dbraw/zinc/01/75/19/646017519.db2.gz XFYDDNSLUXETGN-JTQLQIEISA-N 0 3 208.305 2.611 20 0 BFADHN CN(CCOC1CC1)Cc1ccc(Cl)cc1 ZINC000929238751 646028508 /nfs/dbraw/zinc/02/85/08/646028508.db2.gz RIHSLNMGJFDKPW-UHFFFAOYSA-N 0 3 239.746 2.951 20 0 BFADHN CCOCCC[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000924528962 646045472 /nfs/dbraw/zinc/04/54/72/646045472.db2.gz RZKAFTJYAMYPIY-JTQLQIEISA-N 0 3 241.306 2.609 20 0 BFADHN CCCCCC[C@@H](C)N[C@H](C)c1cnn(C)n1 ZINC000924527722 646047655 /nfs/dbraw/zinc/04/76/55/646047655.db2.gz JJWDOFGEKNXORM-VXGBXAGGSA-N 0 3 238.379 2.825 20 0 BFADHN CCC[C@H](C)N[C@@H](C)c1cn(C(C)C)nn1 ZINC000924528545 646048824 /nfs/dbraw/zinc/04/88/24/646048824.db2.gz NKFXCPPNHLPTIE-QWRGUYRKSA-N 0 3 224.352 2.698 20 0 BFADHN CCc1onc(C)c1CN(C(C)C)C1CC1 ZINC000929272387 646052461 /nfs/dbraw/zinc/05/24/61/646052461.db2.gz ZZSBCQDDBFSVDC-UHFFFAOYSA-N 0 3 222.332 2.918 20 0 BFADHN Cc1cn(C)nc1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000929274434 646053759 /nfs/dbraw/zinc/05/37/59/646053759.db2.gz WGQDMOJUESWNJG-DGCLKSJQSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2N[C@H](C)C(C)C ZINC000924568349 646070176 /nfs/dbraw/zinc/07/01/76/646070176.db2.gz AZFOQUUKNXPAQV-MWLCHTKSSA-N 0 3 222.332 2.995 20 0 BFADHN COc1cc([C@@H](C)NCCC2CCCC2)on1 ZINC000924597977 646074789 /nfs/dbraw/zinc/07/47/89/646074789.db2.gz ASSHTQZWVSKGAL-SNVBAGLBSA-N 0 3 238.331 2.914 20 0 BFADHN CCCN(CC)Cc1c(C)noc1CC ZINC000929368041 646101096 /nfs/dbraw/zinc/10/10/96/646101096.db2.gz VHOGWYRGMFCNBL-UHFFFAOYSA-N 0 3 210.321 2.777 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1cn(C(C)C)nn1 ZINC000924772997 646104272 /nfs/dbraw/zinc/10/42/72/646104272.db2.gz GVTPESHEEVWHHX-QJPTWQEYSA-N 0 3 238.379 2.944 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NCC1CCC1 ZINC000924802528 646111047 /nfs/dbraw/zinc/11/10/47/646111047.db2.gz MUDPDMSVPCYQEU-LLVKDONJSA-N 0 3 220.316 2.750 20 0 BFADHN CC(C)n1cc([C@@H](C)NC[C@@H]2CC=CCC2)nn1 ZINC000924806682 646112249 /nfs/dbraw/zinc/11/22/49/646112249.db2.gz QQYKMGSJKALNNA-CHWSQXEVSA-N 0 3 248.374 2.866 20 0 BFADHN CCC(CC)CN[C@H](C)c1cc(OC)no1 ZINC000924992919 646151898 /nfs/dbraw/zinc/15/18/98/646151898.db2.gz JFDOAFSVRBFJCE-SECBINFHSA-N 0 3 226.320 2.770 20 0 BFADHN Cc1nonc1[C@H](C)NC1C[C@H](C)C[C@@H](C)C1 ZINC000925115246 646189085 /nfs/dbraw/zinc/18/90/85/646189085.db2.gz ISLSZSXVOJUAEE-BBBLOLIVSA-N 0 3 237.347 2.853 20 0 BFADHN CCOCCN(CC)[C@@H](C)c1ccc(F)nc1 ZINC000929601959 646194008 /nfs/dbraw/zinc/19/40/08/646194008.db2.gz WYTHWDOLOBUADS-NSHDSACASA-N 0 3 240.322 2.640 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccns1)C(C)(C)C ZINC000925188541 646204339 /nfs/dbraw/zinc/20/43/39/646204339.db2.gz LESPNNJDCVQZPF-ONGXEEELSA-N 0 3 242.388 2.855 20 0 BFADHN CCN(CC1CC1)[C@@H](C)c1snnc1C ZINC000929624223 646206140 /nfs/dbraw/zinc/20/61/40/646206140.db2.gz IUUJSNLBQCGRMS-VIFPVBQESA-N 0 3 225.361 2.639 20 0 BFADHN CCN(CC1CC1)[C@H](C)c1snnc1C ZINC000929624221 646207650 /nfs/dbraw/zinc/20/76/50/646207650.db2.gz IUUJSNLBQCGRMS-SECBINFHSA-N 0 3 225.361 2.639 20 0 BFADHN CCCC[C@@H](CCC)N[C@@H](C)c1cnn(C)n1 ZINC000925214963 646211609 /nfs/dbraw/zinc/21/16/09/646211609.db2.gz AXFYIEOSANOVRE-NWDGAFQWSA-N 0 3 238.379 2.825 20 0 BFADHN CCOc1cc(C)ccc1CN[C@H]1COC[C@@H]1C ZINC000925261868 646222167 /nfs/dbraw/zinc/22/21/67/646222167.db2.gz JVJYOBYYHUISCB-JSGCOSHPSA-N 0 3 249.354 2.518 20 0 BFADHN CCOc1cc(C)ccc1CN[C@H]1COC[C@H]1C ZINC000925261870 646223507 /nfs/dbraw/zinc/22/35/07/646223507.db2.gz JVJYOBYYHUISCB-OCCSQVGLSA-N 0 3 249.354 2.518 20 0 BFADHN CC[C@H]1CCCN(Cc2nn(C)cc2C)CC1 ZINC000929669140 646232229 /nfs/dbraw/zinc/23/22/29/646232229.db2.gz RZACRYKXNHTDBO-ZDUSSCGKSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1cn(C)nc1CN1CCC2(CCCC2)CC1 ZINC000929690955 646247044 /nfs/dbraw/zinc/24/70/44/646247044.db2.gz SSBHUHLVDXQMFS-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN C[C@@H](c1ccc(F)nc1)N1C[C@@H]2[C@H](C1)C2(C)C ZINC000929715573 646269984 /nfs/dbraw/zinc/26/99/84/646269984.db2.gz XLPJQYTVVGZGRZ-ZMLRMANQSA-N 0 3 234.318 2.870 20 0 BFADHN C[C@H](c1ccc(F)nc1)N1CCC[C@H]1C ZINC000929755866 646287659 /nfs/dbraw/zinc/28/76/59/646287659.db2.gz SIJVDWJGLBSKAH-NXEZZACHSA-N 0 3 208.280 2.766 20 0 BFADHN C[C@@H](c1ccc(F)nc1)N1CCC[C@H]1C ZINC000929755869 646289560 /nfs/dbraw/zinc/28/95/60/646289560.db2.gz SIJVDWJGLBSKAH-ZJUUUORDSA-N 0 3 208.280 2.766 20 0 BFADHN CCc1ncc(CN2C[C@H](C)CC[C@@H]2C)o1 ZINC000929758184 646292257 /nfs/dbraw/zinc/29/22/57/646292257.db2.gz XEESBNUPJPFZFK-MNOVXSKESA-N 0 3 222.332 2.857 20 0 BFADHN C[C@@H]([NH2+]CCC1CC1)c1cc([O-])cc(F)c1 ZINC000925481547 646294624 /nfs/dbraw/zinc/29/46/24/646294624.db2.gz QRZUAMNSIURLPG-SECBINFHSA-N 0 3 223.291 2.982 20 0 BFADHN Cc1nnsc1[C@H](C)N1CC[C@@H](C)[C@H]1C ZINC000929785128 646299080 /nfs/dbraw/zinc/29/90/80/646299080.db2.gz MSLSHDQHOCXFIS-QNSHHTMESA-N 0 3 225.361 2.638 20 0 BFADHN CSC1CC(N[C@@H]2COc3ccc(C)cc32)C1 ZINC000925533829 646318941 /nfs/dbraw/zinc/31/89/41/646318941.db2.gz HCTRXJJQKKZPGL-GCZXYKMCSA-N 0 3 249.379 2.912 20 0 BFADHN Cc1cccc(C)c1CN[C@@H](C)c1ccnnc1 ZINC000925546889 646327235 /nfs/dbraw/zinc/32/72/35/646327235.db2.gz RAHPYOQYPCBQEB-ZDUSSCGKSA-N 0 3 241.338 2.944 20 0 BFADHN Cc1nnsc1[C@@H](C)N1CC[C@H](C(C)C)C1 ZINC000929819971 646336707 /nfs/dbraw/zinc/33/67/07/646336707.db2.gz AHUMQIAVIGJHMS-MNOVXSKESA-N 0 3 239.388 2.885 20 0 BFADHN CC(C)[C@H]1CCN([C@H](C)c2ncccn2)C1 ZINC000929820295 646336963 /nfs/dbraw/zinc/33/69/63/646336963.db2.gz HKARTTVWVPUSCV-NEPJUHHUSA-N 0 3 219.332 2.516 20 0 BFADHN CCc1ncc(CN2CCC3(CCC3)C2)o1 ZINC000929827929 646343796 /nfs/dbraw/zinc/34/37/96/646343796.db2.gz FTHMTLARAGSHRP-UHFFFAOYSA-N 0 3 220.316 2.613 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1c(C)noc1C ZINC000925602956 646346294 /nfs/dbraw/zinc/34/62/94/646346294.db2.gz ZOYPUPFBXOJCCU-YUMQZZPRSA-N 0 3 228.361 2.694 20 0 BFADHN C[C@H]1N(CCCc2cccnc2)CCOC1(C)C ZINC000929840112 646352679 /nfs/dbraw/zinc/35/26/79/646352679.db2.gz AAXFUBIGTZPKJT-CYBMUJFWSA-N 0 3 248.370 2.514 20 0 BFADHN Cc1cccc([C@@H]2CCCN2CCOC2CC2)n1 ZINC000929849479 646359278 /nfs/dbraw/zinc/35/92/78/646359278.db2.gz VSQCCVBJFHZVPR-HNNXBMFYSA-N 0 3 246.354 2.706 20 0 BFADHN COc1cc([C@H](C)NCC2(C3CC3)CC2)on1 ZINC000925611731 646360726 /nfs/dbraw/zinc/36/07/26/646360726.db2.gz FKPNJLBSQFCNMH-VIFPVBQESA-N 0 3 236.315 2.524 20 0 BFADHN CC(C)CSCCN[C@H](C)c1ccnnc1 ZINC000925634354 646378876 /nfs/dbraw/zinc/37/88/76/646378876.db2.gz NOXHZJJKKSOBKE-LLVKDONJSA-N 0 3 239.388 2.516 20 0 BFADHN COC/C(C)=C/CN(C)[C@@H](C)c1cccc(O)c1 ZINC000929971439 646381247 /nfs/dbraw/zinc/38/12/47/646381247.db2.gz LDWIUTQMAIACNE-RPHSKFLZSA-N 0 3 249.354 2.978 20 0 BFADHN COC/C(C)=C\CN[C@H](C)c1ccccc1OC ZINC000930179867 646482419 /nfs/dbraw/zinc/48/24/19/646482419.db2.gz IZSLOLFAHYSLQQ-KIWPFMIBSA-N 0 3 249.354 2.939 20 0 BFADHN CC[C@@H]1COC[C@H]1NC1(c2ccccc2F)CC1 ZINC000925884419 646532357 /nfs/dbraw/zinc/53/23/57/646532357.db2.gz VORDDLMQNZBAPB-BXUZGUMPSA-N 0 3 249.329 2.829 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@H]1CC2CCC1CC2 ZINC000925899063 646536138 /nfs/dbraw/zinc/53/61/38/646536138.db2.gz IUBLGDDYQGUARI-RVHAWEMESA-N 0 3 235.331 2.607 20 0 BFADHN C[C@H](N[C@H]1CCC(F)(F)C1)c1ccns1 ZINC000925928349 646543551 /nfs/dbraw/zinc/54/35/51/646543551.db2.gz BOIGEKANYKUWBV-YUMQZZPRSA-N 0 3 232.299 2.982 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1cc(O)cc(F)c1 ZINC000926224362 646598439 /nfs/dbraw/zinc/59/84/39/646598439.db2.gz OOJSRYURSQWRAG-UWVGGRQHSA-N 0 3 241.306 2.607 20 0 BFADHN CCOC[C@H](C)[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000926224362 646598444 /nfs/dbraw/zinc/59/84/44/646598444.db2.gz OOJSRYURSQWRAG-UWVGGRQHSA-N 0 3 241.306 2.607 20 0 BFADHN COc1cc([C@H](C)N[C@H]2CC[C@@H](C)C2)on1 ZINC000926232969 646601138 /nfs/dbraw/zinc/60/11/38/646601138.db2.gz QNWOJMZAXAZPDG-UTLUCORTSA-N 0 3 224.304 2.522 20 0 BFADHN CO[C@@H](C)[C@H](C)[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000926249528 646604723 /nfs/dbraw/zinc/60/47/23/646604723.db2.gz HCZGSJRCEZYWTK-GUBZILKMSA-N 0 3 241.306 2.605 20 0 BFADHN Cc1nonc1[C@H](C)NC(C1CCC1)C1CCC1 ZINC000926417758 646633009 /nfs/dbraw/zinc/63/30/09/646633009.db2.gz IFHSVIXSFPOCDR-VIFPVBQESA-N 0 3 249.358 2.997 20 0 BFADHN COc1cc([C@@H](C)N[C@@H]2CCC2(C)C)on1 ZINC000926423484 646635715 /nfs/dbraw/zinc/63/57/15/646635715.db2.gz LXECBXRFFRQOGH-PSASIEDQSA-N 0 3 224.304 2.522 20 0 BFADHN COc1cc([C@H](C)NCCC2(F)CCC2)on1 ZINC000926426034 646637022 /nfs/dbraw/zinc/63/70/22/646637022.db2.gz SNGJRNWMFYMCSW-VIFPVBQESA-N 0 3 242.294 2.616 20 0 BFADHN C[C@H](NCC(C)(C)C(C)(C)C)c1cnn(C)n1 ZINC000926480408 646654945 /nfs/dbraw/zinc/65/49/45/646654945.db2.gz NHDYXMSPYKXFRL-JTQLQIEISA-N 0 3 238.379 2.538 20 0 BFADHN CC[C@H]1CC[C@H](N[C@@H]2C[C@H](C)n3ncnc32)CC1 ZINC000926485960 646658208 /nfs/dbraw/zinc/65/82/08/646658208.db2.gz MRTFVJPCGPBBNT-ZDEQEGDKSA-N 0 3 248.374 2.842 20 0 BFADHN C[C@H](NCC1=CCCCC1)c1ccnnc1 ZINC000926502504 646663490 /nfs/dbraw/zinc/66/34/90/646663490.db2.gz IZENTCUSCDJKBC-NSHDSACASA-N 0 3 217.316 2.628 20 0 BFADHN C[C@@H]1COC[C@H]1NCc1cc(C2CC2)ccc1F ZINC000926502467 646663612 /nfs/dbraw/zinc/66/36/12/646663612.db2.gz PCWGBGPYDZPSQW-MEBBXXQBSA-N 0 3 249.329 2.828 20 0 BFADHN C[C@@H](NCC1=CCCCC1)c1ccnnc1 ZINC000926502503 646663744 /nfs/dbraw/zinc/66/37/44/646663744.db2.gz IZENTCUSCDJKBC-LLVKDONJSA-N 0 3 217.316 2.628 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@@H]1C[C@@H](C)n2ncnc21 ZINC000926510125 646667644 /nfs/dbraw/zinc/66/76/44/646667644.db2.gz RQVGHWLHESXDEM-FDYHWXHSSA-N 0 3 248.374 2.700 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@H](C)c1nonc1C ZINC000926508875 646667813 /nfs/dbraw/zinc/66/78/13/646667813.db2.gz BXBHRWHUPJXVCO-YUSALJHKSA-N 0 3 237.347 2.855 20 0 BFADHN COCC(C)(C)CCNCc1nc(C)cs1 ZINC000718647709 711662892 /nfs/dbraw/zinc/66/28/92/711662892.db2.gz QDVSZKKSACCGQP-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN Cc1nc(CN[C@H]2CC[C@@H]2C2CC2)cs1 ZINC000309194771 711695063 /nfs/dbraw/zinc/69/50/63/711695063.db2.gz QIUFOWNAHJIILX-NEPJUHHUSA-N 0 3 222.357 2.730 20 0 BFADHN CC(C)C[C@H](C)N1Cc2c[nH]nc2[C@@H](C)C1 ZINC000931462285 711722849 /nfs/dbraw/zinc/72/28/49/711722849.db2.gz MZVFXJUNZSWMJK-QWRGUYRKSA-N 0 3 221.348 2.763 20 0 BFADHN COc1cncc(CN[C@H](C)CC(F)(F)F)c1 ZINC000700015618 711982380 /nfs/dbraw/zinc/98/23/80/711982380.db2.gz COIGEYRVYMGIGB-MRVPVSSYSA-N 0 3 248.248 2.521 20 0 BFADHN Cc1ccc(CN2CC(C)(C)CC[C@H]2CO)o1 ZINC000701637147 712025659 /nfs/dbraw/zinc/02/56/59/712025659.db2.gz LZJWYNGLIRSICI-LBPRGKRZSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1nc(CNCC[C@H](C)F)sc1C ZINC000702610218 712057083 /nfs/dbraw/zinc/05/70/83/712057083.db2.gz DUGBRWGCPAKYTJ-ZETCQYMHSA-N 0 3 216.325 2.598 20 0 BFADHN CC[C@H](NCc1nocc1C)[C@@H]1CC1(C)C ZINC000708290458 712185314 /nfs/dbraw/zinc/18/53/14/712185314.db2.gz UCZVCPDWNUFQSL-QWRGUYRKSA-N 0 3 222.332 2.897 20 0 BFADHN CCSCC[C@@H](C)N[C@@H](C)c1cn(C)cn1 ZINC000709992337 712237919 /nfs/dbraw/zinc/23/79/19/712237919.db2.gz FLQLWBFUTNBFGH-MNOVXSKESA-N 0 3 241.404 2.602 20 0 BFADHN CCC[C@H](N)c1cn(C[C@@H](C)C(C)(C)C)nn1 ZINC000710830110 712257117 /nfs/dbraw/zinc/25/71/17/712257117.db2.gz RIGQBURUUFLKIL-MNOVXSKESA-N 0 3 238.379 2.760 20 0 BFADHN Cc1cc(CN2CC[C@H]3C[C@H]3C2)cc(Cl)n1 ZINC000711111058 712281688 /nfs/dbraw/zinc/28/16/88/712281688.db2.gz UESNMDQUECSMFQ-RYUDHWBXSA-N 0 3 236.746 2.885 20 0 BFADHN CC[C@H](C)CCNCc1nccn1C1CC1 ZINC000711740653 712321760 /nfs/dbraw/zinc/32/17/60/712321760.db2.gz XJDIZFTWKKUNEN-NSHDSACASA-N 0 3 221.348 2.744 20 0 BFADHN C[C@@H](F)CCNCc1cccnc1Cl ZINC000378862660 712364348 /nfs/dbraw/zinc/36/43/48/712364348.db2.gz UCBJRUFCMBRJDN-MRVPVSSYSA-N 0 3 216.687 2.573 20 0 BFADHN CCS[C@H]1CC[C@H](N(C)CCF)C1 ZINC000379397507 712381808 /nfs/dbraw/zinc/38/18/08/712381808.db2.gz OKJUVBIUVHOTIV-UWVGGRQHSA-N 0 3 205.342 2.562 20 0 BFADHN CC(C)CC1(NCc2cnn(C)c2Cl)CC1 ZINC000712444896 712430624 /nfs/dbraw/zinc/43/06/24/712430624.db2.gz VSKIYWGYCVBLNJ-UHFFFAOYSA-N 0 3 241.766 2.742 20 0 BFADHN CCCCCC[C@H](C)NC(=O)[C@H](N)CC(C)C ZINC000037041889 712530610 /nfs/dbraw/zinc/53/06/10/712530610.db2.gz IMBYFPKKTZQDCP-QWHCGFSZSA-N 0 3 242.407 2.835 20 0 BFADHN CCCCCC[C@@H](C)NC(=O)[C@H](N)CC(C)C ZINC000037041888 712530738 /nfs/dbraw/zinc/53/07/38/712530738.db2.gz IMBYFPKKTZQDCP-CHWSQXEVSA-N 0 3 242.407 2.835 20 0 BFADHN C[C@@H](NC[C@H]1CC(C)(C)CO1)c1ccns1 ZINC000715898966 712541211 /nfs/dbraw/zinc/54/12/11/712541211.db2.gz KAVULKFECOMUKU-NXEZZACHSA-N 0 3 240.372 2.609 20 0 BFADHN CCCCCC[C@H](C)N[C@@H](C)c1cn(C)nn1 ZINC000715901984 712541219 /nfs/dbraw/zinc/54/12/19/712541219.db2.gz MHGNJCPVFHRQQR-RYUDHWBXSA-N 0 3 238.379 2.825 20 0 BFADHN CCCCCCN[C@@H](C)c1cn(CCC)nn1 ZINC000715938715 712543937 /nfs/dbraw/zinc/54/39/37/712543937.db2.gz XYCLKNLDOPFBJV-LBPRGKRZSA-N 0 3 238.379 2.919 20 0 BFADHN CCC[C@H](CC)N[C@H](C)c1cn(CCC)nn1 ZINC000715935446 712544030 /nfs/dbraw/zinc/54/40/30/712544030.db2.gz OPPSSKRGZSRDLO-NEPJUHHUSA-N 0 3 238.379 2.917 20 0 BFADHN C[C@H](N[C@@H]1C=CCCC1)c1ccns1 ZINC000716057424 712548803 /nfs/dbraw/zinc/54/88/03/712548803.db2.gz SUVWGTGVMJCWAN-VHSXEESVSA-N 0 3 208.330 2.902 20 0 BFADHN CC(C)(O)C1CCN(Cc2ccsc2)CC1 ZINC000716412516 712559155 /nfs/dbraw/zinc/55/91/55/712559155.db2.gz JKKSZOIXUARLLN-UHFFFAOYSA-N 0 3 239.384 2.731 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)cs1 ZINC000716565049 712563928 /nfs/dbraw/zinc/56/39/28/712563928.db2.gz OMBXHXWHSDCQBC-ZDAZMGEQSA-N 0 3 236.384 2.833 20 0 BFADHN CC[C@H](C[C@H](C)O)NCc1ccc(Cl)o1 ZINC000716956889 712600046 /nfs/dbraw/zinc/60/00/46/712600046.db2.gz XFBRRVFBBOKYLA-DTWKUNHWSA-N 0 3 231.723 2.572 20 0 BFADHN Cc1coc(CN[C@H]2CCS[C@@H]2C)c1 ZINC000718144821 712748751 /nfs/dbraw/zinc/74/87/51/712748751.db2.gz MZCYWDNUQPBFNI-KOLCDFICSA-N 0 3 211.330 2.572 20 0 BFADHN C[C@@H]1C[C@H](NCc2cnc(Cl)s2)CS1 ZINC000718176421 712751512 /nfs/dbraw/zinc/75/15/12/712751512.db2.gz PZPSKGAJPHUBAT-RQJHMYQMSA-N 0 3 248.804 2.780 20 0 BFADHN COCC(C)(C)CCN[C@@H](C)c1nccs1 ZINC000718647675 712776831 /nfs/dbraw/zinc/77/68/31/712776831.db2.gz PPYPZMBFRDPICJ-JTQLQIEISA-N 0 3 242.388 2.856 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)C2(C)CC2)nn1C ZINC000381288686 710617333 /nfs/dbraw/zinc/61/73/33/710617333.db2.gz CKVXMZPQIDRXMJ-QWRGUYRKSA-N 0 3 221.348 2.568 20 0 BFADHN CC(C)OC[C@@H](C)NCc1ccc(Cl)nc1 ZINC000381346990 710624726 /nfs/dbraw/zinc/62/47/26/710624726.db2.gz ZIFPNXIJYNRCPB-SNVBAGLBSA-N 0 3 242.750 2.638 20 0 BFADHN Cc1nnc(CN[C@@H]2CC[C@@H](C)[C@H](C)C2)s1 ZINC000381508832 710649379 /nfs/dbraw/zinc/64/93/79/710649379.db2.gz QKXRMOFDEFRLTE-FXPVBKGRSA-N 0 3 239.388 2.761 20 0 BFADHN CCCc1nc(C)c(CN[C@H]2CC[C@H]2C)o1 ZINC000393810438 710762882 /nfs/dbraw/zinc/76/28/82/710762882.db2.gz UIYXRGIBJPBBOG-KOLCDFICSA-N 0 3 222.332 2.824 20 0 BFADHN CO[C@@](C)(CNCc1ccoc1)c1ccccc1 ZINC000384333271 710880752 /nfs/dbraw/zinc/88/07/52/710880752.db2.gz VCOBLROTEVZVTJ-HNNXBMFYSA-N 0 3 245.322 2.931 20 0 BFADHN CO[C@@H]1CCC[C@@H]1N[C@H](C)c1c(C)noc1C ZINC000383786073 710931942 /nfs/dbraw/zinc/93/19/42/710931942.db2.gz QCSYENLNUDYTMV-JFUSQASVSA-N 0 3 238.331 2.510 20 0 BFADHN CC/C=C/CN[C@H]1CCc2cccnc21 ZINC000384743037 710953130 /nfs/dbraw/zinc/95/31/30/710953130.db2.gz MGJPOYYVNJVQKF-PCAWENJQSA-N 0 3 202.301 2.625 20 0 BFADHN CC[C@@H]1C[C@H]1C[NH2+]Cc1ccc([O-])c(F)c1 ZINC000388024103 711049990 /nfs/dbraw/zinc/04/99/90/711049990.db2.gz OVGNROIXPYCWQM-MNOVXSKESA-N 0 3 223.291 2.667 20 0 BFADHN Cc1nc(CN[C@H]2CCS[C@@H]2C)sc1C ZINC000389597839 711082971 /nfs/dbraw/zinc/08/29/71/711082971.db2.gz RAABQHOOZUWUOQ-ZJUUUORDSA-N 0 3 242.413 2.744 20 0 BFADHN C[C@@H](N[C@@H](C)C(C)(C)O)c1cccnc1Cl ZINC000390508134 711122964 /nfs/dbraw/zinc/12/29/64/711122964.db2.gz YBMPAKUNWCLPKF-BDAKNGLRSA-N 0 3 242.750 2.545 20 0 BFADHN C[C@H](N[C@@H](C)C(C)(C)O)c1cccnc1Cl ZINC000390508137 711122977 /nfs/dbraw/zinc/12/29/77/711122977.db2.gz YBMPAKUNWCLPKF-IUCAKERBSA-N 0 3 242.750 2.545 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1cccc(Cl)n1 ZINC000393469269 711160676 /nfs/dbraw/zinc/16/06/76/711160676.db2.gz DCSJVTOMRRUPJZ-SECBINFHSA-N 0 3 242.750 2.638 20 0 BFADHN FCCC[C@H]1CCC[C@H]1NCc1ccon1 ZINC000393753231 711172661 /nfs/dbraw/zinc/17/26/61/711172661.db2.gz UJXZQTRWDVAPPV-ZYHUDNBSSA-N 0 3 226.295 2.683 20 0 BFADHN CC1(C)SC[C@@H]1N[C@@H]1CCc2cccnc21 ZINC000393838294 711177181 /nfs/dbraw/zinc/17/71/81/711177181.db2.gz DYHJGRJTDKEFOP-MNOVXSKESA-N 0 3 234.368 2.553 20 0 BFADHN CCOCCN(C)Cc1cccc2c1NCCC2 ZINC000394153257 711185829 /nfs/dbraw/zinc/18/58/29/711185829.db2.gz XNSSLRQXOZYYFY-UHFFFAOYSA-N 0 3 248.370 2.513 20 0 BFADHN CC[C@@H](C)CCNCc1nc(C)cs1 ZINC000394416568 711191374 /nfs/dbraw/zinc/19/13/74/711191374.db2.gz BDOBUHLEDXBRCJ-SECBINFHSA-N 0 3 212.362 2.977 20 0 BFADHN CCCc1ccc(NC(=O)[C@@H](N)C2CCC2)cc1 ZINC000399243909 711425640 /nfs/dbraw/zinc/42/56/40/711425640.db2.gz VCGKQCZJDPIPKV-AWEZNQCLSA-N 0 3 246.354 2.705 20 0 BFADHN Cc1nonc1CN[C@H]1CCCCC12CCCC2 ZINC000380924424 710595081 /nfs/dbraw/zinc/59/50/81/710595081.db2.gz FAEFKHLACJKSKO-ZDUSSCGKSA-N 0 3 249.358 2.971 20 0 BFADHN CC(C)N[C@@H]1CCCc2cn(C(C)C)nc21 ZINC000381118456 710603300 /nfs/dbraw/zinc/60/33/00/710603300.db2.gz HYHLUSNDUSOPLR-GFCCVEGCSA-N 0 3 221.348 2.839 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCCCC2(C)C)[n-]1 ZINC000392385729 711145557 /nfs/dbraw/zinc/14/55/57/711145557.db2.gz WZURPFIDZNGFFN-GXSJLCMTSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCC[C@@H](C3CC3)C2)[n-]1 ZINC000392380212 711145358 /nfs/dbraw/zinc/14/53/58/711145358.db2.gz RKPKDUQZDMUFKJ-ZWKOPEQDSA-N 0 3 248.374 2.733 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCCC23CCCC3)[n-]1 ZINC000392343193 711145547 /nfs/dbraw/zinc/14/55/47/711145547.db2.gz CYVAESQEEUZBLY-CMPLNLGQSA-N 0 3 248.374 2.877 20 0 BFADHN CC[C@@H]1CCC[C@@H]([NH2+][C@H](C)c2nnc(C)[n-]2)C1 ZINC000392338563 711145572 /nfs/dbraw/zinc/14/55/72/711145572.db2.gz ADRYUCKCHJGWQA-YUSALJHKSA-N 0 3 236.363 2.733 20 0 BFADHN CCCCCC[C@@H](C)NCc1nnc(CC)[nH]1 ZINC000392420158 711146134 /nfs/dbraw/zinc/14/61/34/711146134.db2.gz OQVZXHXXJSMBIZ-LLVKDONJSA-N 0 3 238.379 2.816 20 0 BFADHN CCCCC[C@H](C)N[C@H](C)c1nnc(C)[nH]1 ZINC000392483785 711148635 /nfs/dbraw/zinc/14/86/35/711148635.db2.gz VWPPQLINVQREOM-VHSXEESVSA-N 0 3 224.352 2.733 20 0 BFADHN Cc1cc(C#N)ccc1NCCN1CC=CCC1 ZINC000397706510 711389454 /nfs/dbraw/zinc/38/94/54/711389454.db2.gz NUGVLDYWYQYEQH-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN C[C@H](NC[C@H](O)c1cccc(F)c1)c1ccoc1 ZINC000177782425 711494571 /nfs/dbraw/zinc/49/45/71/711494571.db2.gz RRDDDELPFNPLSY-HZMBPMFUSA-N 0 3 249.285 2.803 20 0 BFADHN C[C@@H]1C[C@@H](NCC(C)(C)C2CCC2)c2ncnn21 ZINC000926523050 711624078 /nfs/dbraw/zinc/62/40/78/711624078.db2.gz ZAUMSEPENFTUCS-ZYHUDNBSSA-N 0 3 248.374 2.700 20 0 BFADHN C[C@@H]1C[C@H](NCCC2CCC2)c2nccn21 ZINC000382236205 711665127 /nfs/dbraw/zinc/66/51/27/711665127.db2.gz FMDOTJLFTRNHDJ-PWSUYJOCSA-N 0 3 219.332 2.669 20 0 BFADHN Cc1cccc(CN[C@H]2CCCc3n[nH]cc32)c1 ZINC000335066878 711720038 /nfs/dbraw/zinc/72/00/38/711720038.db2.gz FGCDZPMZHGCNOO-AWEZNQCLSA-N 0 3 241.338 2.885 20 0 BFADHN Cc1nc(C)c(CN2CCC(CCF)CC2)[nH]1 ZINC000931463536 711724058 /nfs/dbraw/zinc/72/40/58/711724058.db2.gz FCVVLOOJAOWAQD-UHFFFAOYSA-N 0 3 239.338 2.598 20 0 BFADHN CCc1ccc(CNC[C@H]2CC[C@@H](C3CC3)O2)o1 ZINC000722314687 711734496 /nfs/dbraw/zinc/73/44/96/711734496.db2.gz DJTDCCAWMOEVAC-CABCVRRESA-N 0 3 249.354 2.889 20 0 BFADHN CCc1ccc(CNC[C@@H]2CC[C@H](C3CC3)O2)o1 ZINC000722314690 711734633 /nfs/dbraw/zinc/73/46/33/711734633.db2.gz DJTDCCAWMOEVAC-LSDHHAIUSA-N 0 3 249.354 2.889 20 0 BFADHN CCc1ccc([C@@H](C)NCc2cc(N)ccn2)o1 ZINC000925770395 711742807 /nfs/dbraw/zinc/74/28/07/711742807.db2.gz HKBGYZZVFQJXFE-SNVBAGLBSA-N 0 3 245.326 2.670 20 0 BFADHN Cc1nc(CN[C@@H]2CCCC[C@@H]2C)oc1C ZINC000037909282 711754977 /nfs/dbraw/zinc/75/49/77/711754977.db2.gz GUMYULJAEVCABG-JOYOIKCWSA-N 0 3 222.332 2.960 20 0 BFADHN CCC[C@H](N[C@H]1COCC1(C)C)c1ccccn1 ZINC000894235228 711765553 /nfs/dbraw/zinc/76/55/53/711765553.db2.gz PYEXADNUQYBUJU-KBPBESRZSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ncoc1CN[C@@H]1C[C@@H]1Cc1ccccc1 ZINC000894270755 711766938 /nfs/dbraw/zinc/76/69/38/711766938.db2.gz ZIAMQCZVVHOOIJ-UONOGXRCSA-N 0 3 242.322 2.704 20 0 BFADHN Cc1[nH]nc(CN2CC(C)(C)[C@H]2C2CC2)c1C ZINC000894795288 711772308 /nfs/dbraw/zinc/77/23/08/711772308.db2.gz QOYQVICWQNKJMB-CYBMUJFWSA-N 0 3 233.359 2.647 20 0 BFADHN C[C@H]1CCN(C/C=C\Cl)CCS1 ZINC000307995253 711776018 /nfs/dbraw/zinc/77/60/18/711776018.db2.gz BEZSTJYXLOLGDO-MDHMXLOGSA-N 0 3 205.754 2.566 20 0 BFADHN CC[C@@H]1COC[C@H]1NCc1c(C)cccc1F ZINC000925163110 711786117 /nfs/dbraw/zinc/78/61/17/711786117.db2.gz BUDAHMPRMXRDJD-BXUZGUMPSA-N 0 3 237.318 2.649 20 0 BFADHN Nc1cccc(CN2CC[C@H](C(F)(F)F)C2)c1 ZINC000384008348 711792901 /nfs/dbraw/zinc/79/29/01/711792901.db2.gz NZAFNTGCGSHNDN-JTQLQIEISA-N 0 3 244.260 2.653 20 0 BFADHN COC[C@H](CN[C@@H](C)c1nccs1)C(C)C ZINC000721987011 711861797 /nfs/dbraw/zinc/86/17/97/711861797.db2.gz PLVFDTYRACPMSN-QWRGUYRKSA-N 0 3 242.388 2.712 20 0 BFADHN O=c1[nH]c2ccccc2cc1CN[C@H]1C=CCC1 ZINC000700560321 711995916 /nfs/dbraw/zinc/99/59/16/711995916.db2.gz GSRXJHWHOGQRMC-ZDUSSCGKSA-N 0 3 240.306 2.749 20 0 BFADHN COc1ccc(CN[C@H]2C=CCC2)c(OC)c1 ZINC000700566309 711996513 /nfs/dbraw/zinc/99/65/13/711996513.db2.gz UYBALPJFHHWXEY-LBPRGKRZSA-N 0 3 233.311 2.512 20 0 BFADHN C[C@@H]1C[C@H](NC[C@]2(C)CC2(C)C)c2nccn21 ZINC000701986870 712035362 /nfs/dbraw/zinc/03/53/62/712035362.db2.gz PDCBSUFFIRPYMH-SUNKGSAMSA-N 0 3 233.359 2.915 20 0 BFADHN CO[C@@H]1CC[C@H](N[C@H](C)c2nc(C)cs2)C1 ZINC000701994267 712035976 /nfs/dbraw/zinc/03/59/76/712035976.db2.gz BCDUKXYMXYJQTM-OUAUKWLOSA-N 0 3 240.372 2.670 20 0 BFADHN CCc1nnc(CNC[C@@H]2CCC[C@H]2C)s1 ZINC000702697493 712059809 /nfs/dbraw/zinc/05/98/09/712059809.db2.gz ZVURZOJGCNRVDD-ZJUUUORDSA-N 0 3 239.388 2.626 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cncs1)C1CCC1 ZINC000703089778 712066632 /nfs/dbraw/zinc/06/66/32/712066632.db2.gz QHRBXYDWEPXQED-KOLCDFICSA-N 0 3 240.372 2.609 20 0 BFADHN CCC[C@H](CN[C@H]1CCc2cccnc21)OCC ZINC000705351441 712129824 /nfs/dbraw/zinc/12/98/24/712129824.db2.gz MGCXERIJIYUWFK-KGLIPLIRSA-N 0 3 248.370 2.864 20 0 BFADHN CCC1(NCc2cc(Cl)n(C)n2)CCCC1 ZINC000706175358 712141459 /nfs/dbraw/zinc/14/14/59/712141459.db2.gz MRCXIWKNYQZCNP-UHFFFAOYSA-N 0 3 241.766 2.886 20 0 BFADHN CC1(C)C[C@]1(C)CNCc1cscn1 ZINC000706495653 712147269 /nfs/dbraw/zinc/14/72/69/712147269.db2.gz QKNYCNJGFWVCDU-LLVKDONJSA-N 0 3 210.346 2.669 20 0 BFADHN CSC1CC(N[C@H](C)c2c(C)noc2C)C1 ZINC000706930916 712161543 /nfs/dbraw/zinc/16/15/43/712161543.db2.gz WKYIRXDFAAOMEO-CAZGOSDBSA-N 0 3 240.372 2.836 20 0 BFADHN Cc1cc(C)nc(N[C@H]2COC3(CCC3)C2)c1 ZINC000707898833 712173337 /nfs/dbraw/zinc/17/33/37/712173337.db2.gz JTHYUDCUMUDRAH-GFCCVEGCSA-N 0 3 232.327 2.822 20 0 BFADHN C[C@H](NC[C@@]12C[C@@H]1CCCC2)c1cn(C)cn1 ZINC000710032971 712243167 /nfs/dbraw/zinc/24/31/67/712243167.db2.gz ZLYDUKQLWOMFEH-SGMGOOAPSA-N 0 3 233.359 2.651 20 0 BFADHN CC[C@H](N[C@@H]1CCC1(OC)OC)c1ccccc1 ZINC000710984934 712271669 /nfs/dbraw/zinc/27/16/69/712271669.db2.gz ADIXYBGDIXCMTP-UONOGXRCSA-N 0 3 249.354 2.879 20 0 BFADHN COC1(OC)CC[C@H]1NCc1ccc(C)cc1C ZINC000710994543 712273648 /nfs/dbraw/zinc/27/36/48/712273648.db2.gz HCVVOGJEGGPLDP-CQSZACIVSA-N 0 3 249.354 2.545 20 0 BFADHN Cc1ccoc1CNC[C@H]1CCC2(CCC2)O1 ZINC000711456331 712304925 /nfs/dbraw/zinc/30/49/25/712304925.db2.gz NFVVRVNIXAWTPM-GFCCVEGCSA-N 0 3 235.327 2.779 20 0 BFADHN c1cn(C2CC2)c(CNC[C@]23C[C@H]2CCCC3)n1 ZINC000711736907 712320749 /nfs/dbraw/zinc/32/07/49/712320749.db2.gz FYDZRCNJBZJMHA-DOMZBBRYSA-N 0 3 245.370 2.888 20 0 BFADHN CC[C@@H](C)CCNCc1nccn1C1CC1 ZINC000711740651 712321389 /nfs/dbraw/zinc/32/13/89/712321389.db2.gz XJDIZFTWKKUNEN-LLVKDONJSA-N 0 3 221.348 2.744 20 0 BFADHN C[C@@H](CCC1CC1)NCc1nccn1C1CC1 ZINC000711727410 712323275 /nfs/dbraw/zinc/32/32/75/712323275.db2.gz XDBYQIRHSLPLIL-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H](CNCc1nccn1C1CC1)CC(F)F ZINC000711750214 712323885 /nfs/dbraw/zinc/32/38/85/712323885.db2.gz DTGHSVLMQSHLPL-VIFPVBQESA-N 0 3 243.301 2.599 20 0 BFADHN c1cn(C2CC2)c(CN[C@@H]2CCCC23CC3)n1 ZINC000711782021 712329572 /nfs/dbraw/zinc/32/95/72/712329572.db2.gz ADTYEXRQHYVMRR-GFCCVEGCSA-N 0 3 231.343 2.640 20 0 BFADHN C[C@@H](NC[C@H]1C=CCC1)c1nccs1 ZINC000711787768 712330084 /nfs/dbraw/zinc/33/00/84/712330084.db2.gz OJLJGDXVTNVCFV-ZJUUUORDSA-N 0 3 208.330 2.760 20 0 BFADHN CC(C)C[C@H](C)NCc1nccn1C1CC1 ZINC000711820773 712333294 /nfs/dbraw/zinc/33/32/94/712333294.db2.gz NDQKMVOHWMHCDC-NSHDSACASA-N 0 3 221.348 2.742 20 0 BFADHN C[C@@]1(NCc2nccn2C2CC2)CC=CCC1 ZINC000711819620 712333385 /nfs/dbraw/zinc/33/33/85/712333385.db2.gz GISKOCWWGSMSHH-CQSZACIVSA-N 0 3 231.343 2.806 20 0 BFADHN C[C@H]1CC[C@H]1NCc1c(F)cc(F)cc1F ZINC000378854179 712366901 /nfs/dbraw/zinc/36/69/01/712366901.db2.gz INZATGVJKHBXGD-JVXZTZIISA-N 0 3 229.245 2.992 20 0 BFADHN CCCOC(=O)[C@H](C)N1C[C@H](C)C[C@H](C)[C@H]1C ZINC000789449992 712420749 /nfs/dbraw/zinc/42/07/49/712420749.db2.gz ATEXEDPLRZCRQR-XQHKEYJVSA-N 0 3 241.375 2.695 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H]2CCCC[C@H]12)c1cn(C)cn1 ZINC000712421434 712429146 /nfs/dbraw/zinc/42/91/46/712429146.db2.gz GQXSMUBDFXGAHZ-MROQNXINSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCCC[C@H]12)c1ncc[nH]1 ZINC000712451973 712430522 /nfs/dbraw/zinc/43/05/22/712430522.db2.gz ICDGHGSGFXODKT-FIQHERPVSA-N 0 3 219.332 2.639 20 0 BFADHN CCC(C)(C)CCNCc1cnn(C)c1Cl ZINC000712468838 712430712 /nfs/dbraw/zinc/43/07/12/712430712.db2.gz JVUDQKZUGZSPNM-UHFFFAOYSA-N 0 3 243.782 2.989 20 0 BFADHN C=C/C=C/CCNCc1ccncc1Cl ZINC000713744015 712471347 /nfs/dbraw/zinc/47/13/47/712471347.db2.gz AIFJFHXEUCATLM-ONEGZZNKSA-N 0 3 222.719 2.957 20 0 BFADHN CCCn1cc(CN[C@@H](C)C(C)(C)CC)nn1 ZINC000714410343 712485525 /nfs/dbraw/zinc/48/55/25/712485525.db2.gz UCZJVXLYJVPGTR-NSHDSACASA-N 0 3 238.379 2.602 20 0 BFADHN CC1(C)CCC[C@H](CNCc2ccccn2)O1 ZINC000714383260 712487423 /nfs/dbraw/zinc/48/74/23/712487423.db2.gz CAEASHPSNPCMSR-CYBMUJFWSA-N 0 3 234.343 2.519 20 0 BFADHN Cc1nccnc1[C@@H](C)NC1CC(C(C)C)C1 ZINC000714601316 712488330 /nfs/dbraw/zinc/48/83/30/712488330.db2.gz LAVRPCJBBNSPIN-PNESKVBLSA-N 0 3 233.359 2.870 20 0 BFADHN CCCC[C@@H](CCC)NCc1cn(CC)nn1 ZINC000714936620 712500332 /nfs/dbraw/zinc/50/03/32/712500332.db2.gz UICQZDSVPDIKPF-GFCCVEGCSA-N 0 3 238.379 2.747 20 0 BFADHN C[C@H](NC[C@H]1CC[C@@H](C2CC2)O1)c1ccccn1 ZINC000715474136 712520783 /nfs/dbraw/zinc/52/07/83/712520783.db2.gz UCUKATNLTWCUDR-LNSITVRQSA-N 0 3 246.354 2.690 20 0 BFADHN c1csc(CNCC[C@@H]2CCCS2)n1 ZINC000715824479 712534201 /nfs/dbraw/zinc/53/42/01/712534201.db2.gz OIGKKSHBXSIVFP-VIFPVBQESA-N 0 3 228.386 2.518 20 0 BFADHN c1csc(CNCC[C@H]2CCCS2)n1 ZINC000715824477 712534260 /nfs/dbraw/zinc/53/42/60/712534260.db2.gz OIGKKSHBXSIVFP-SECBINFHSA-N 0 3 228.386 2.518 20 0 BFADHN CCCC[C@@H](CCC)N[C@H](C)c1cn(C)nn1 ZINC000715901386 712541307 /nfs/dbraw/zinc/54/13/07/712541307.db2.gz JVBHCLFBTXENIR-VXGBXAGGSA-N 0 3 238.379 2.825 20 0 BFADHN C[C@H](NC[C@H]1CC(C)(C)CO1)c1ccns1 ZINC000715898968 712541438 /nfs/dbraw/zinc/54/14/38/712541438.db2.gz KAVULKFECOMUKU-VHSXEESVSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1ccns1 ZINC000715939380 712543942 /nfs/dbraw/zinc/54/39/42/712543942.db2.gz CBKJSWDSLLTEBI-HRDYMLBCSA-N 0 3 228.386 2.688 20 0 BFADHN CCCn1cc([C@H](C)NCC(C)(C)CC)nn1 ZINC000715936435 712544287 /nfs/dbraw/zinc/54/42/87/712544287.db2.gz RGIMDOQCGHQIKP-NSHDSACASA-N 0 3 238.379 2.775 20 0 BFADHN C[C@H](NCCOCC1CCC1)c1ccns1 ZINC000716019011 712546910 /nfs/dbraw/zinc/54/69/10/712546910.db2.gz DFHKOGVSOGBITK-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1ccns1)OC ZINC000716048043 712548441 /nfs/dbraw/zinc/54/84/41/712548441.db2.gz OKLSCGWHCZDHMD-KOLCDFICSA-N 0 3 228.361 2.609 20 0 BFADHN C[C@H](NC[C@]1(C)CC1(F)F)c1ccns1 ZINC000715976051 712551104 /nfs/dbraw/zinc/55/11/04/712551104.db2.gz HCXCGSFUWUIRNX-CBAPKCEASA-N 0 3 232.299 2.839 20 0 BFADHN Cc1[nH]nc(CNCc2ccc(C)c(F)c2)c1C ZINC000716453667 712561984 /nfs/dbraw/zinc/56/19/84/712561984.db2.gz UDJPCTDGEZSCCA-UHFFFAOYSA-N 0 3 247.317 2.764 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1C[C@@H]1[C@H]1C[C@H]1C ZINC000716555681 712563663 /nfs/dbraw/zinc/56/36/63/712563663.db2.gz VWBLEJSYVVMWSJ-RFGFWPKPSA-N 0 3 247.386 2.846 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNCc1cscn1 ZINC000716530192 712567255 /nfs/dbraw/zinc/56/72/55/712567255.db2.gz JBIUWXKJGCQRRK-LSKIRQOJSA-N 0 3 222.357 2.525 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CN[C@@H]1C[C@H](C)n2ccnc21 ZINC000716636599 712568421 /nfs/dbraw/zinc/56/84/21/712568421.db2.gz NXZVYZRJLXGFGJ-QQOHENMQSA-N 0 3 245.370 2.771 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C[NH2+]Cc1ccc([O-])c(F)c1 ZINC000716639576 712568752 /nfs/dbraw/zinc/56/87/52/712568752.db2.gz WEZXQYVJIYXMQC-IXOXFDKPSA-N 0 3 249.329 2.913 20 0 BFADHN Cc1ccc(C)c([C@@H](C)NCC2(C)OCCO2)c1 ZINC000716874679 712579897 /nfs/dbraw/zinc/57/98/97/712579897.db2.gz WWNVKSBVOUEMGC-CYBMUJFWSA-N 0 3 249.354 2.717 20 0 BFADHN CC(C)n1ccnc1CNCC[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000717054524 712625799 /nfs/dbraw/zinc/62/57/99/712625799.db2.gz AJYSEXALHGBAND-BFHYXJOUSA-N 0 3 247.386 2.990 20 0 BFADHN Fc1cccc(CNC[C@@H]2CCC=CO2)c1F ZINC000379974705 712643199 /nfs/dbraw/zinc/64/31/99/712643199.db2.gz FHSKHQFBISFLAG-NSHDSACASA-N 0 3 239.265 2.747 20 0 BFADHN CC(C)c1c[nH]c(CNC2CCCC2)n1 ZINC000037482522 712648325 /nfs/dbraw/zinc/64/83/25/712648325.db2.gz WPUAMZQGKLDVNO-UHFFFAOYSA-N 0 3 207.321 2.565 20 0 BFADHN CCc1noc(C)c1[C@H](C)NCCSC ZINC000380200661 712717031 /nfs/dbraw/zinc/71/70/31/712717031.db2.gz SYZGANMGAVLPAN-QMMMGPOBSA-N 0 3 228.361 2.559 20 0 BFADHN Cc1csc(CNC2(C3CC3)CCC2)n1 ZINC000717883479 712731546 /nfs/dbraw/zinc/73/15/46/712731546.db2.gz OGJYVHRMWGNHAC-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN CCCn1cc(CNC2(C3CCC3)CCC2)nn1 ZINC000717892415 712731912 /nfs/dbraw/zinc/73/19/12/712731912.db2.gz HBFGOFPOVMFDBJ-UHFFFAOYSA-N 0 3 248.374 2.501 20 0 BFADHN Cc1coc(CNCCc2ccc(C)cn2)c1 ZINC000718038930 712737875 /nfs/dbraw/zinc/73/78/75/712737875.db2.gz YCQCFXFKEWKSRV-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN c1nc(CNC2CCC=CCC2)cs1 ZINC000718052631 712739337 /nfs/dbraw/zinc/73/93/37/712739337.db2.gz KXOKRZUPMQJTCN-UHFFFAOYSA-N 0 3 208.330 2.732 20 0 BFADHN C[C@H]1C[C@@H](NCc2cnc(Cl)s2)CS1 ZINC000718176417 712751451 /nfs/dbraw/zinc/75/14/51/712751451.db2.gz PZPSKGAJPHUBAT-NKWVEPMBSA-N 0 3 248.804 2.780 20 0 BFADHN COCC(C)(C)CCN[C@H](C)c1cscn1 ZINC000718647733 712776677 /nfs/dbraw/zinc/77/66/77/712776677.db2.gz QJERIZUMZJMPRP-SNVBAGLBSA-N 0 3 242.388 2.856 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@@H](C)c1ccc(F)cn1 ZINC000720159755 712828429 /nfs/dbraw/zinc/82/84/29/712828429.db2.gz SFLHHCBESPHJBM-VHSXEESVSA-N 0 3 240.322 2.685 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]2CCC[C@@H]2O1)c1ccccn1 ZINC000720862818 712871277 /nfs/dbraw/zinc/87/12/77/712871277.db2.gz UYITVPBOOKSDEQ-XFMPKHEZSA-N 0 3 246.354 2.690 20 0 BFADHN Cc1ncccc1CNC1(C)CC(F)(F)C1 ZINC000720935343 712879966 /nfs/dbraw/zinc/87/99/66/712879966.db2.gz NWAYQJAUTKNEBU-UHFFFAOYSA-N 0 3 226.270 2.667 20 0 BFADHN Cc1ncc(CNCC2(C)CC(F)(F)C2)o1 ZINC000720949067 712881792 /nfs/dbraw/zinc/88/17/92/712881792.db2.gz IRPBEVIBNKJJRE-UHFFFAOYSA-N 0 3 230.258 2.508 20 0 BFADHN CC/C=C\CCN1CCCCC12COC2 ZINC001208729387 957144614 /nfs/dbraw/zinc/14/46/14/957144614.db2.gz XNJTYMJNNNUMPD-ARJAWSKDSA-N 0 3 209.333 2.598 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCc2ncc(Cl)cc2C1 ZINC001209426596 957447945 /nfs/dbraw/zinc/44/79/45/957447945.db2.gz FFBYXRFIJBGWCZ-NXEZZACHSA-N 0 3 236.746 2.749 20 0 BFADHN CCCCc1nc(CN(CC)C(C)C)c[nH]1 ZINC001141066371 960854928 /nfs/dbraw/zinc/85/49/28/960854928.db2.gz DLGIMGWDCHGVDC-UHFFFAOYSA-N 0 3 223.364 2.983 20 0 BFADHN N=CNc1cc(Cl)nc2c1CCCC2 ZINC001167863911 961333031 /nfs/dbraw/zinc/33/30/31/961333031.db2.gz IBEWRHKJBNGFMS-UHFFFAOYSA-N 0 3 209.680 2.633 20 0 BFADHN C[C@@H]1CCC[C@H](C)[N@H+]1Cc1ccc([O-])cn1 ZINC000132435734 962426608 /nfs/dbraw/zinc/42/66/08/962426608.db2.gz RHXOWEFVDSMGAV-PHIMTYICSA-N 0 3 220.316 2.550 20 0 BFADHN C[C@@H]1CCC[C@H](C)[N@@H+]1Cc1ccc([O-])cn1 ZINC000132435734 962426629 /nfs/dbraw/zinc/42/66/29/962426629.db2.gz RHXOWEFVDSMGAV-PHIMTYICSA-N 0 3 220.316 2.550 20 0 BFADHN CC(C)(C)CC(C)(C)Nc1cc(CN)ncn1 ZINC001158187791 963825425 /nfs/dbraw/zinc/82/54/25/963825425.db2.gz HZJVVLXAWCRWFV-UHFFFAOYSA-N 0 3 236.363 2.562 20 0 BFADHN CCc1cc(CN2[C@H](C)CCC[C@H]2C)on1 ZINC001203213237 963847891 /nfs/dbraw/zinc/84/78/91/963847891.db2.gz NCOHEODYCCFXQC-GHMZBOCLSA-N 0 3 222.332 3.000 20 0 BFADHN CC1CCC(N2CC3(C2)CCCCO3)CC1 ZINC001168210443 963989584 /nfs/dbraw/zinc/98/95/84/963989584.db2.gz PGJKBDVWWCQGCV-UHFFFAOYSA-N 0 3 223.360 2.820 20 0 BFADHN Cc1nc2ccc(NCC(C)(F)F)cc2[nH]1 ZINC001162233829 964886710 /nfs/dbraw/zinc/88/67/10/964886710.db2.gz IWHGOCYMKKJVKK-UHFFFAOYSA-N 0 3 225.242 2.938 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1cnsc1 ZINC001232226305 965315511 /nfs/dbraw/zinc/31/55/11/965315511.db2.gz DKVHTSZJQLZBSL-VHSXEESVSA-N 0 3 210.346 2.764 20 0 BFADHN CCc1ccc(CN2CC3CC(C3)C2)o1 ZINC001204394342 965949184 /nfs/dbraw/zinc/94/91/84/965949184.db2.gz LQSRKRGUSPTJID-UHFFFAOYSA-N 0 3 205.301 2.684 20 0 BFADHN COc1cccc(OC)c1CN(C)C(C)(C)C ZINC001204249287 966947088 /nfs/dbraw/zinc/94/70/88/966947088.db2.gz DXLPBNHEEWFTFA-UHFFFAOYSA-N 0 3 237.343 2.934 20 0 BFADHN Cc1cc(CN2CCCO[C@H](C)C2)oc1C ZINC001204532358 967028450 /nfs/dbraw/zinc/02/84/50/967028450.db2.gz WQXHFWKRPJFRGU-LLVKDONJSA-N 0 3 223.316 2.507 20 0 BFADHN CSc1cc(F)c(CCN(C)C)c(F)c1 ZINC001250159772 967452001 /nfs/dbraw/zinc/45/20/01/967452001.db2.gz MDCGAFIPTREIQS-UHFFFAOYSA-N 0 3 231.311 2.791 20 0 BFADHN Oc1ccc(Cl)cc1CCN1CCCC1 ZINC001250168187 967465748 /nfs/dbraw/zinc/46/57/48/967465748.db2.gz QHEFQWFHXBCNQP-UHFFFAOYSA-N 0 3 225.719 2.684 20 0 BFADHN CCCC[C@@H](O)CN[C@H](C)c1ccc(C)cn1 ZINC001252111276 968595711 /nfs/dbraw/zinc/59/57/11/968595711.db2.gz RCTSFWCXQHOXQY-CHWSQXEVSA-N 0 3 236.359 2.592 20 0 BFADHN CO[C@H]1CN(CCC2CCCCC2)C[C@@H]1F ZINC001207254310 968696102 /nfs/dbraw/zinc/69/61/02/968696102.db2.gz GPZDWPNILQJFRH-STQMWFEESA-N 0 3 229.339 2.626 20 0 BFADHN CCCn1nccc1CN(C)CC1CCC1 ZINC001207723242 968867216 /nfs/dbraw/zinc/86/72/16/968867216.db2.gz FGPCAOMFYFJAHB-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN Cc1cc(C2=CCN(C)CC2)ccc1F ZINC001241319137 968976124 /nfs/dbraw/zinc/97/61/24/968976124.db2.gz DCTHZLBLPYNRNC-UHFFFAOYSA-N 0 3 205.276 2.853 20 0 BFADHN O=C1CCCN(CCC2CCCC2)CC1 ZINC001208693993 969196365 /nfs/dbraw/zinc/19/63/65/969196365.db2.gz UGZBYTNUQOYXJC-UHFFFAOYSA-N 0 3 209.333 2.622 20 0 BFADHN CCCCC[C@@H](O)CN1CCC[C@](C)(F)C1 ZINC001253524542 969199598 /nfs/dbraw/zinc/19/95/98/969199598.db2.gz CKEMDTZDVPIRKY-OLZOCXBDSA-N 0 3 231.355 2.752 20 0 BFADHN CCCCC[C@@H](O)CN1CCCC[C@H](F)C1 ZINC001253524688 969200137 /nfs/dbraw/zinc/20/01/37/969200137.db2.gz DWDCITMTVBZAQV-QWHCGFSZSA-N 0 3 231.355 2.752 20 0 BFADHN Cc1cc(Cl)nc(NC2=CCN(C)CC2)c1 ZINC001208741379 969226253 /nfs/dbraw/zinc/22/62/53/969226253.db2.gz IFUKZGSSROYIDD-UHFFFAOYSA-N 0 3 237.734 2.675 20 0 BFADHN C[C@H](CN1CCc2ncccc2C1)C(F)(F)F ZINC001208893525 969280918 /nfs/dbraw/zinc/28/09/18/969280918.db2.gz KMNWOEKGQIRTOU-SECBINFHSA-N 0 3 244.260 2.638 20 0 BFADHN CC1(CN2CC[C@@](C)(O)[C@@H](F)C2)CCCCC1 ZINC001208898319 969287090 /nfs/dbraw/zinc/28/70/90/969287090.db2.gz PFVUUQQSKHOFGY-GXTWGEPZSA-N 0 3 243.366 2.752 20 0 BFADHN CCC(=O)C(C)(C)CN1CCCC[C@H](F)C1 ZINC001208919569 969305034 /nfs/dbraw/zinc/30/50/34/969305034.db2.gz YWSGESOTCWBUHN-NSHDSACASA-N 0 3 229.339 2.816 20 0 BFADHN FC1(F)CCC(N2CCOC[C@H]2C2CC2)CC1 ZINC001254225684 969549644 /nfs/dbraw/zinc/54/96/44/969549644.db2.gz DEEVWSCUMHCBKX-LBPRGKRZSA-N 0 3 245.313 2.675 20 0 BFADHN CC[C@@H](C)Nc1cc(Cl)nc2c1CCNC2 ZINC001157238769 970166782 /nfs/dbraw/zinc/16/67/82/970166782.db2.gz SXTISDMDLZCIAX-MRVPVSSYSA-N 0 3 239.750 2.591 20 0 BFADHN CC(=O)CN(C)C1CCC(C(F)(F)F)CC1 ZINC001255516349 970283057 /nfs/dbraw/zinc/28/30/57/970283057.db2.gz OEVKEUAPIVJPGB-UHFFFAOYSA-N 0 3 237.265 2.628 20 0 BFADHN FC(F)(F)C1CCC(N2C[C@@H]3C[C@H]2CO3)CC1 ZINC001255519997 970284309 /nfs/dbraw/zinc/28/43/09/970284309.db2.gz AZNGYXNHIQRKKD-TVUZUIDESA-N 0 3 249.276 2.581 20 0 BFADHN C[C@H](CC(F)(F)F)N1CC[C@@]2(CCCO2)C1 ZINC001255645114 970421079 /nfs/dbraw/zinc/42/10/79/970421079.db2.gz ALNSDOWZPBUTJH-ZJUUUORDSA-N 0 3 237.265 2.582 20 0 BFADHN C[C@H](CC(F)(F)F)N(C)CCc1cccnc1 ZINC001255657681 970437424 /nfs/dbraw/zinc/43/74/24/970437424.db2.gz JPIRNSMMSXOKNC-SNVBAGLBSA-N 0 3 246.276 2.897 20 0 BFADHN CCN(CCn1ccnc1)[C@H](C)CC(F)(F)F ZINC001255660668 970445347 /nfs/dbraw/zinc/44/53/47/970445347.db2.gz KCITWJIRORFAQS-SNVBAGLBSA-N 0 3 249.280 2.546 20 0 BFADHN CC[C@@H]1C[C@H](N2CC[C@@](C)(F)[C@H](F)C2)CCO1 ZINC001170208420 970582431 /nfs/dbraw/zinc/58/24/31/970582431.db2.gz QEUFRSSDNQUUNY-FDYHWXHSSA-N 0 3 247.329 2.716 20 0 BFADHN COc1cccc(C[C@H](C)NC2(CF)CC2)c1 ZINC001256140071 970670863 /nfs/dbraw/zinc/67/08/63/970670863.db2.gz HFWJJQPJPSNHNA-NSHDSACASA-N 0 3 237.318 2.718 20 0 BFADHN CC[C@@H]1CC[C@@H](N2CCC(=O)[C@@H](F)CC2)C1 ZINC001173270761 975140912 /nfs/dbraw/zinc/14/09/12/975140912.db2.gz AKABWRHIDNHNMN-UTUOFQBUSA-N 0 3 227.323 2.568 20 0 BFADHN CCC[C@H](CN(C)CCC(F)(F)F)OC ZINC001332961393 975341958 /nfs/dbraw/zinc/34/19/58/975341958.db2.gz OBLQWFFPTIAUHS-SECBINFHSA-N 0 3 227.270 2.686 20 0 BFADHN CN1CC[C@@H](Oc2cc(F)cc(Cl)c2)C1 ZINC001227855619 975740723 /nfs/dbraw/zinc/74/07/23/975740723.db2.gz QZUHTHJFJPFWHM-SNVBAGLBSA-N 0 3 229.682 2.562 20 0 BFADHN Cc1cc(F)ncc1CNC/C=C/C1CC1 ZINC001333777884 976072124 /nfs/dbraw/zinc/07/21/24/976072124.db2.gz YFBOHHWORDZZIR-NSCUHMNNSA-N 0 3 220.291 2.585 20 0 BFADHN Cc1cscc1Nc1cnc(C)n1C ZINC001175765038 977726065 /nfs/dbraw/zinc/72/60/65/977726065.db2.gz FNFPMUONBAYVHH-UHFFFAOYSA-N 0 3 207.302 2.842 20 0 BFADHN c1nscc1CN1CCC[C@H]2CCC[C@@H]21 ZINC001232230608 977961952 /nfs/dbraw/zinc/96/19/52/977961952.db2.gz POXLHMSVUYEPDD-NEPJUHHUSA-N 0 3 222.357 2.908 20 0 BFADHN CCN(CC)Cc1ccnc(C(F)(F)F)c1 ZINC001232234955 977968721 /nfs/dbraw/zinc/96/87/21/977968721.db2.gz IFOYJDKPNSLYNF-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN CSc1ccc(CN2CC[C@H](C)C2)cn1 ZINC001232328239 978006733 /nfs/dbraw/zinc/00/67/33/978006733.db2.gz YHYBTFSXMNRYIK-JTQLQIEISA-N 0 3 222.357 2.645 20 0 BFADHN Cc1nc(CN2CCC23CCCCC3)c[nH]1 ZINC001232459697 978087037 /nfs/dbraw/zinc/08/70/37/978087037.db2.gz GWMOETVQDQKDLE-UHFFFAOYSA-N 0 3 219.332 2.627 20 0 BFADHN CCCn1cnc(CN2CCC[C@@H]2CC)c1 ZINC001232798997 978293703 /nfs/dbraw/zinc/29/37/03/978293703.db2.gz SCNQQHJCJXCOSQ-ZDUSSCGKSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@@H](CN(C)C[C@H]1CCCCO1)C(F)(F)F ZINC000441150450 978818969 /nfs/dbraw/zinc/81/89/69/978818969.db2.gz GEQVAIZZWVNBEI-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN CCN1CC[C@@H](Oc2ccc(F)c(C)c2F)C1 ZINC001234931402 978932537 /nfs/dbraw/zinc/93/25/37/978932537.db2.gz ONIXVWVETPHGIP-SNVBAGLBSA-N 0 3 241.281 2.746 20 0 BFADHN Oc1cc(CN2CCC3(CC3)C2)ccc1F ZINC001236698787 979696336 /nfs/dbraw/zinc/69/63/36/979696336.db2.gz KXMFTWPBXGYJFY-UHFFFAOYSA-N 0 3 221.275 2.517 20 0 BFADHN Cc1cc(CN2CCNC2)c(Cl)cc1Cl ZINC001236850071 979757930 /nfs/dbraw/zinc/75/79/30/979757930.db2.gz JNJZGVRAQKJUTR-UHFFFAOYSA-N 0 3 245.153 2.665 20 0 BFADHN Cc1cccc(CN2C[C@H](O)C[C@H]2C)c1Cl ZINC001236852328 979761508 /nfs/dbraw/zinc/76/15/08/979761508.db2.gz UPMVPJXXBYCZIZ-ZYHUDNBSSA-N 0 3 239.746 2.604 20 0 BFADHN CCCN(Cc1c(N)ccnc1F)CC(C)C ZINC001238166126 980197380 /nfs/dbraw/zinc/19/73/80/980197380.db2.gz PRUSJISLVOLQEJ-UHFFFAOYSA-N 0 3 239.338 2.671 20 0 BFADHN CCCN(CCOC)Cc1ncc(C)cc1C ZINC001238435445 980259865 /nfs/dbraw/zinc/25/98/65/980259865.db2.gz UHQMTUNTYJCRJH-UHFFFAOYSA-N 0 3 236.359 2.557 20 0 BFADHN CC(C)Oc1cc(CN(C)C)ccc1F ZINC001238465351 980273149 /nfs/dbraw/zinc/27/31/49/980273149.db2.gz SLBGDAJZQKKECJ-UHFFFAOYSA-N 0 3 211.280 2.675 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN(C1CC1)C1COC1 ZINC001194598552 981836149 /nfs/dbraw/zinc/83/61/49/981836149.db2.gz CJLWRRWKUSTMFI-NEPJUHHUSA-N 0 3 223.360 2.676 20 0 BFADHN CCc1nocc1CNC1CC2(CC2(F)F)C1 ZINC001350142268 981882184 /nfs/dbraw/zinc/88/21/84/981882184.db2.gz GSYJKLSYGKWIEI-UHFFFAOYSA-N 0 3 242.269 2.514 20 0 BFADHN CN1CC=C(c2ccc(C3CC3)cn2)CC1 ZINC001241308058 982442096 /nfs/dbraw/zinc/44/20/96/982442096.db2.gz FPWCZFAZBPMJIM-UHFFFAOYSA-N 0 3 214.312 2.678 20 0 BFADHN CCCC[C@H](C)N(C)Cc1ocnc1C ZINC001353593552 982909547 /nfs/dbraw/zinc/90/95/47/982909547.db2.gz PTDFZGFTQNZJJZ-JTQLQIEISA-N 0 3 210.321 2.994 20 0 BFADHN CCCC[C@H](O)CN[C@@H](C)c1cc(C)ccn1 ZINC001252108809 983682313 /nfs/dbraw/zinc/68/23/13/983682313.db2.gz SNPHYFFNVWXGLH-STQMWFEESA-N 0 3 236.359 2.592 20 0 BFADHN CCOCC[C@H](C)N[C@H](C)c1csc(C)n1 ZINC001172412523 974678318 /nfs/dbraw/zinc/67/83/18/974678318.db2.gz XYBZHGGDPFYOPJ-VHSXEESVSA-N 0 3 242.388 2.917 20 0 BFADHN CN1CCC[C@H](Oc2ccc(Cl)c(F)c2)C1 ZINC001225782323 974682337 /nfs/dbraw/zinc/68/23/37/974682337.db2.gz RLPTYJVEAZZFHS-JTQLQIEISA-N 0 3 243.709 2.952 20 0 BFADHN CC(C)COCC[C@@H](C)N1CCOCC1(C)C ZINC001172468497 974763871 /nfs/dbraw/zinc/76/38/71/974763871.db2.gz LHXHREUMKDCWEJ-CYBMUJFWSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)OCC[C@H](C)N[C@H](C)c1ccno1 ZINC001172441438 974798509 /nfs/dbraw/zinc/79/85/09/974798509.db2.gz WJDMAXVXDXDHSK-WDEREUQCSA-N 0 3 226.320 2.529 20 0 BFADHN CC(C)OCC[C@@H](C)NCOc1ccccc1 ZINC001172442011 974802429 /nfs/dbraw/zinc/80/24/29/974802429.db2.gz GXWJZMKWZAMIEI-CYBMUJFWSA-N 0 3 237.343 2.816 20 0 BFADHN CC(C)COCC[C@H](C)NCc1nccs1 ZINC001172453477 974817265 /nfs/dbraw/zinc/81/72/65/974817265.db2.gz DOVNRGFKSOSBEV-NSHDSACASA-N 0 3 242.388 2.684 20 0 BFADHN CC(C)OCC[C@H](C)N1CCOC[C@@H]1C(C)C ZINC001172454943 974819682 /nfs/dbraw/zinc/81/96/82/974819682.db2.gz OPEFNYJJADIMNN-UONOGXRCSA-N 0 3 243.391 2.547 20 0 BFADHN Cc1cc(CN[C@H](C)CCOCC(C)C)on1 ZINC001172457164 974821189 /nfs/dbraw/zinc/82/11/89/974821189.db2.gz LYJMUDQBUUXCNO-LLVKDONJSA-N 0 3 240.347 2.524 20 0 BFADHN CC(C)COCC[C@H](C)n1ccc([C@H](C)N)n1 ZINC001172457467 974822169 /nfs/dbraw/zinc/82/21/69/974822169.db2.gz AKYUJONLXAAZPT-RYUDHWBXSA-N 0 3 239.363 2.527 20 0 BFADHN CC(C)CC[C@H](C)N1CC[C@](O)(CF)[C@@H](F)C1 ZINC001256366984 970773799 /nfs/dbraw/zinc/77/37/99/970773799.db2.gz UTUDYVFWQJHOST-AVGNSLFASA-N 0 3 249.345 2.556 20 0 BFADHN CC(C)CC[C@@H](C)N(C1CC1)C1COC1 ZINC001256371417 970785617 /nfs/dbraw/zinc/78/56/17/970785617.db2.gz NXWNNGZYNDFVNX-LLVKDONJSA-N 0 3 211.349 2.674 20 0 BFADHN CCOc1ccc(Nc2cnc(C)n2C)c(C)n1 ZINC001215578459 970793611 /nfs/dbraw/zinc/79/36/11/970793611.db2.gz XQLXWPWSJHFDPM-UHFFFAOYSA-N 0 3 246.314 2.574 20 0 BFADHN C[C@@H](Cc1ccccn1)N1CCC[C@](C)(F)C1 ZINC001256409628 970815909 /nfs/dbraw/zinc/81/59/09/970815909.db2.gz FDALJLGBOIOCAX-JSGCOSHPSA-N 0 3 236.334 2.837 20 0 BFADHN C[C@H](Cc1ccccn1)N(C)Cc1ccccn1 ZINC001256409012 970816416 /nfs/dbraw/zinc/81/64/16/970816416.db2.gz HAVGAGRKPCJBSJ-CYBMUJFWSA-N 0 3 241.338 2.540 20 0 BFADHN CCc1cc(Nc2cncc(OC)c2C)ccn1 ZINC001215732982 970820313 /nfs/dbraw/zinc/82/03/13/970820313.db2.gz MQABLRNMJNJWFH-UHFFFAOYSA-N 0 3 243.310 2.522 20 0 BFADHN Cc1ncn(C)c1Nc1ccc(C)c2[nH]ncc21 ZINC001215756039 970829552 /nfs/dbraw/zinc/82/95/52/970829552.db2.gz KAGRIJPDCUCCBR-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN COc1cccc(Nc2cnc(C)n2C)c1C ZINC001215799404 970848876 /nfs/dbraw/zinc/84/88/76/970848876.db2.gz XSIQTYUICIIPRA-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN CSc1ncc(Nc2ccncc2C)cc1C ZINC001215826006 970855444 /nfs/dbraw/zinc/85/54/44/970855444.db2.gz GJBYCDJDVNAVAK-UHFFFAOYSA-N 0 3 245.351 2.981 20 0 BFADHN CSc1ccc(Nc2ccncc2C)c(C)n1 ZINC001215905484 970872662 /nfs/dbraw/zinc/87/26/62/970872662.db2.gz LDLMMKFRSXWGQQ-UHFFFAOYSA-N 0 3 245.351 2.981 20 0 BFADHN COc1cccc2c1CC[C@H](N1CC[C@@H](F)C1)C2 ZINC001256646547 970901466 /nfs/dbraw/zinc/90/14/66/970901466.db2.gz OEUOCTFMBLGIAG-OLZOCXBDSA-N 0 3 249.329 2.596 20 0 BFADHN Cc1c(F)nccc1-c1cncc(CN(C)C)c1 ZINC001243782860 970926073 /nfs/dbraw/zinc/92/60/73/970926073.db2.gz XHIHTMZQIRVZTQ-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN OCC1(NCC=C(Cl)Cl)CCCCC1 ZINC000403385156 970927543 /nfs/dbraw/zinc/92/75/43/970927543.db2.gz LZIXSCFUMWSTEE-UHFFFAOYSA-N 0 3 238.158 2.590 20 0 BFADHN C[C@H]1C[C@@H]1c1nnc(C2CCN(C3CC3)CC2)o1 ZINC001256700686 970930303 /nfs/dbraw/zinc/93/03/03/970930303.db2.gz PQIVVROSXUCJFT-CABZTGNLSA-N 0 3 247.342 2.535 20 0 BFADHN Cc1ncc(Nc2cc(C)c(F)c(O)c2)n1C ZINC001216078256 970930726 /nfs/dbraw/zinc/93/07/26/970930726.db2.gz DBASVKHAJQZMPI-UHFFFAOYSA-N 0 3 235.262 2.625 20 0 BFADHN C[C@@H]1C[C@H]1c1nnc(C2CCN(C3CC3)CC2)o1 ZINC001256700685 970931198 /nfs/dbraw/zinc/93/11/98/970931198.db2.gz PQIVVROSXUCJFT-BXKDBHETSA-N 0 3 247.342 2.535 20 0 BFADHN C[C@H](Cc1ccncc1)N(C)C1CC(F)(F)C1 ZINC001256734788 970958942 /nfs/dbraw/zinc/95/89/42/970958942.db2.gz CAFDYPZMXKWJFL-SNVBAGLBSA-N 0 3 240.297 2.742 20 0 BFADHN F[C@@H]1C[C@H]1N[C@@H]1CCO[C@H](c2ccccc2)C1 ZINC001256789725 970987686 /nfs/dbraw/zinc/98/76/86/970987686.db2.gz MKSSIFBXVPHTTJ-SYQHCUMBSA-N 0 3 235.302 2.607 20 0 BFADHN C[C@H]1CSC[C@H]1NCC=C(Cl)Cl ZINC000403793637 971064875 /nfs/dbraw/zinc/06/48/75/971064875.db2.gz CCCRCHFTSVAOPV-NKWVEPMBSA-N 0 3 226.172 2.647 20 0 BFADHN CCC[C@H](CC)N1CCC(=O)[C@H](F)CC1 ZINC001257257054 971148238 /nfs/dbraw/zinc/14/82/38/971148238.db2.gz NVASGHFDSRXRJI-WDEREUQCSA-N 0 3 215.312 2.568 20 0 BFADHN CCC(CC)N1CCC[C@@H]1c1ncccn1 ZINC001257301632 971191835 /nfs/dbraw/zinc/19/18/35/971191835.db2.gz KSLYCQAUHBSEPJ-GFCCVEGCSA-N 0 3 219.332 2.802 20 0 BFADHN CCC[C@@H](C)NCc1cn(C2CCC2)cn1 ZINC001257314160 971209823 /nfs/dbraw/zinc/20/98/23/971209823.db2.gz SDEYOBYYZCKNIX-LLVKDONJSA-N 0 3 221.348 2.886 20 0 BFADHN CCCC(CCC)NCC(=O)c1cccnc1 ZINC001257330389 971236448 /nfs/dbraw/zinc/23/64/48/971236448.db2.gz LFDAQIYJLYWNSX-UHFFFAOYSA-N 0 3 234.343 2.823 20 0 BFADHN CCCC(CCC)N[C@@H](C(N)=O)c1ccccc1 ZINC001257333037 971239276 /nfs/dbraw/zinc/23/92/76/971239276.db2.gz DNVIDLPJKAYZOF-CQSZACIVSA-N 0 3 248.370 2.771 20 0 BFADHN CCCC(CCC)N1CC2(C1)CCCO2 ZINC001257335664 971244183 /nfs/dbraw/zinc/24/41/83/971244183.db2.gz YHVSPTGSEDJCJM-UHFFFAOYSA-N 0 3 211.349 2.820 20 0 BFADHN CCCCCC[C@@H](C)N1CCN(CCF)CC1 ZINC001257368273 971282835 /nfs/dbraw/zinc/28/28/35/971282835.db2.gz KKYGMGUYQNTRFJ-CQSZACIVSA-N 0 3 244.398 2.932 20 0 BFADHN CCCCCC[C@H](C)N1CCO[C@H](COC)C1 ZINC001257369280 971289626 /nfs/dbraw/zinc/28/96/26/971289626.db2.gz VRJNVQVKECPMPK-KBPBESRZSA-N 0 3 243.391 2.693 20 0 BFADHN C[C@@H]1OC[C@H](N[C@H]2CSc3ccccc32)[C@@H]1C ZINC000405681421 971293019 /nfs/dbraw/zinc/29/30/19/971293019.db2.gz KUJUVIOMDNFJRW-URBCHYCLSA-N 0 3 249.379 2.846 20 0 BFADHN C[C@@H]1OC[C@H](N[C@@H]2CSc3ccccc32)[C@@H]1C ZINC000405681416 971293695 /nfs/dbraw/zinc/29/36/95/971293695.db2.gz KUJUVIOMDNFJRW-RSLMWUCJSA-N 0 3 249.379 2.846 20 0 BFADHN CCCC[C@H](CC)NCc1ncc(C)cn1 ZINC001257408745 971300743 /nfs/dbraw/zinc/30/07/43/971300743.db2.gz KOPVLJQJOHJJIO-LBPRGKRZSA-N 0 3 221.348 2.843 20 0 BFADHN CCCC[C@@H](CC)N1CCC(=O)[C@H](F)CC1 ZINC001257419729 971319302 /nfs/dbraw/zinc/31/93/02/971319302.db2.gz ZIEYFCYJDVRIRX-VXGBXAGGSA-N 0 3 229.339 2.958 20 0 BFADHN c1cc2c(cc1OCCCC1CC1)OCCNC2 ZINC000405990675 971321940 /nfs/dbraw/zinc/32/19/40/971321940.db2.gz LYPMHRZEKWTPJZ-UHFFFAOYSA-N 0 3 247.338 2.738 20 0 BFADHN N[C@H](Cc1nnc(C2CCC2)o1)c1cccs1 ZINC001217693549 971328329 /nfs/dbraw/zinc/32/83/29/971328329.db2.gz XAOFNEQMDIZGKD-SECBINFHSA-N 0 3 249.339 2.641 20 0 BFADHN CCCCCCc1nnc([C@@H]2CCCN2)o1 ZINC001217693835 971328516 /nfs/dbraw/zinc/32/85/16/971328516.db2.gz ANVKHKDQJPHQPH-JTQLQIEISA-N 0 3 223.320 2.617 20 0 BFADHN CC[C@@H](C)n1nc(-c2ccccc2)cc1CN ZINC001257428843 971332480 /nfs/dbraw/zinc/33/24/80/971332480.db2.gz DDTHTIODTSWFCU-LLVKDONJSA-N 0 3 229.327 2.980 20 0 BFADHN CC[C@@H](C)NCc1cc(OCC2CCC2)ncn1 ZINC001257434305 971341943 /nfs/dbraw/zinc/34/19/43/971341943.db2.gz PWEAUEVETDLARZ-LLVKDONJSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@]1(c2nnc(CC3CCCCC3)o2)CCCN1 ZINC001217727140 971367592 /nfs/dbraw/zinc/36/75/92/971367592.db2.gz BTKFKDIYFLWZLP-CQSZACIVSA-N 0 3 249.358 2.791 20 0 BFADHN CC[C@H](C)N(C)Cc1cn2ccc(C)cc2n1 ZINC001257448007 971368398 /nfs/dbraw/zinc/36/83/98/971368398.db2.gz OPZVYYNTDBIXBZ-LBPRGKRZSA-N 0 3 231.343 2.873 20 0 BFADHN C[C@@H]1CN(Cc2c[nH]c3ccccc23)C[C@@H]1F ZINC001204041594 971373506 /nfs/dbraw/zinc/37/35/06/971373506.db2.gz SBEHPCLXGGILAZ-MFKMUULPSA-N 0 3 232.302 2.958 20 0 BFADHN c1cnc([C@H]2CCCN2C2CCCCC2)nc1 ZINC001257467494 971392556 /nfs/dbraw/zinc/39/25/56/971392556.db2.gz YDHBFETWPFBUMS-CYBMUJFWSA-N 0 3 231.343 2.946 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N[C@@H]1COC[C@@H]1F ZINC001257478844 971405496 /nfs/dbraw/zinc/40/54/96/971405496.db2.gz XNZWFLFDGCAHTC-FVCCEPFGSA-N 0 3 231.355 2.918 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N1CC[C@@H](O)[C@@H](F)C1 ZINC001257479597 971406552 /nfs/dbraw/zinc/40/65/52/971406552.db2.gz ZCGXGKSAINPASQ-YIYPIFLZSA-N 0 3 245.382 2.996 20 0 BFADHN CCCC[C@H](CC)CO[C@@H]1CCNC[C@@H]1F ZINC001217793981 971409632 /nfs/dbraw/zinc/40/96/32/971409632.db2.gz IZSXXRZPWUZEAF-RWMBFGLXSA-N 0 3 231.355 2.919 20 0 BFADHN CCCC[C@H](CC)CO[C@H]1CCNC[C@@H]1F ZINC001217793980 971411626 /nfs/dbraw/zinc/41/16/26/971411626.db2.gz IZSXXRZPWUZEAF-AVGNSLFASA-N 0 3 231.355 2.919 20 0 BFADHN CCN1CCC(c2nnc(C3CCCC3)o2)CC1 ZINC001257499599 971416669 /nfs/dbraw/zinc/41/66/69/971416669.db2.gz JIDNPLRDOKGLLL-UHFFFAOYSA-N 0 3 249.358 2.927 20 0 BFADHN CCCC[C@H](CC)OC[C@H]1COC(C)(C)N1 ZINC001217838824 971434054 /nfs/dbraw/zinc/43/40/54/971434054.db2.gz DHOFDHDDMIRFKH-RYUDHWBXSA-N 0 3 229.364 2.696 20 0 BFADHN CCCC[C@@H](CC)OC[C@H]1COC(C)(C)N1 ZINC001217838823 971434098 /nfs/dbraw/zinc/43/40/98/971434098.db2.gz DHOFDHDDMIRFKH-NWDGAFQWSA-N 0 3 229.364 2.696 20 0 BFADHN CCCCC[C@@H](C)N1CCc2nn(C)cc2C1 ZINC001257514376 971436247 /nfs/dbraw/zinc/43/62/47/971436247.db2.gz DJZUODXPNXPOIX-GFCCVEGCSA-N 0 3 235.375 2.747 20 0 BFADHN CN1CCC[C@@H](Cc2nnc(C3CCCC3)o2)C1 ZINC001257532000 971445961 /nfs/dbraw/zinc/44/59/61/971445961.db2.gz GDSVXHPBSVGJBE-NSHDSACASA-N 0 3 249.358 2.612 20 0 BFADHN CC1(C)N[C@H](CO[C@@H]2CCC=CCCC2)CO1 ZINC001217871994 971466298 /nfs/dbraw/zinc/46/62/98/971466298.db2.gz PQBZXFSUDUMCOR-CHWSQXEVSA-N 0 3 239.359 2.616 20 0 BFADHN CC1(C)N[C@@H](CO[C@H]2CCCC(C)(C)C2)CO1 ZINC001217888918 971487131 /nfs/dbraw/zinc/48/71/31/971487131.db2.gz HJSJREFBESTRKW-RYUDHWBXSA-N 0 3 241.375 2.696 20 0 BFADHN CC1(C)N[C@H](CO[C@H]2CCCC(C)(C)C2)CO1 ZINC001217888916 971487398 /nfs/dbraw/zinc/48/73/98/971487398.db2.gz HJSJREFBESTRKW-NEPJUHHUSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H]1CCC[C@H](C)[C@H]1OC[C@@H]1COC(C)(C)N1 ZINC001217893775 971494108 /nfs/dbraw/zinc/49/41/08/971494108.db2.gz SUUKZFQFYCWTAE-XQHKEYJVSA-N 0 3 241.375 2.552 20 0 BFADHN Fc1cc(F)c2c(c1)CC[C@@H](N1CC=CC1)C2 ZINC001170685432 971523097 /nfs/dbraw/zinc/52/30/97/971523097.db2.gz MULWSWKYMUHHPM-GFCCVEGCSA-N 0 3 235.277 2.694 20 0 BFADHN CC1CN([C@H]2CCc3cc(F)cc(F)c3C2)C1 ZINC001170685511 971526344 /nfs/dbraw/zinc/52/63/44/971526344.db2.gz OGVIKAUDXOATIY-LBPRGKRZSA-N 0 3 237.293 2.774 20 0 BFADHN CC(C)CCO[C@H]1CNCc2ccccc21 ZINC001217939613 971539885 /nfs/dbraw/zinc/53/98/85/971539885.db2.gz ZGJAJSSTEOJHJZ-AWEZNQCLSA-N 0 3 219.328 2.894 20 0 BFADHN C[C@@H]1CCN1[C@H]1CCc2cc(F)cc(F)c2C1 ZINC001170689797 971551798 /nfs/dbraw/zinc/55/17/98/971551798.db2.gz NAUFGRFJXDBSFS-SKDRFNHKSA-N 0 3 237.293 2.916 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)n1cnc(CN)c1 ZINC001258051269 971573274 /nfs/dbraw/zinc/57/32/74/971573274.db2.gz DLEQHIZGCHYRKL-RYUDHWBXSA-N 0 3 223.364 2.975 20 0 BFADHN CC(C)c1ccc(O[C@@H]2CCNC[C@H]2F)cc1 ZINC001218065076 971595183 /nfs/dbraw/zinc/59/51/83/971595183.db2.gz CTKQBFNLNIPBKX-ZIAGYGMSSA-N 0 3 237.318 2.889 20 0 BFADHN Cc1cc(Cl)cc(O[C@H]2CCNC[C@@H]2F)c1 ZINC001218066792 971592889 /nfs/dbraw/zinc/59/28/89/971592889.db2.gz NZGQCVLNUNUJIW-RYUDHWBXSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1ccc(O[C@@H]2CCNC[C@@H]2F)cc1Cl ZINC001218064416 971593171 /nfs/dbraw/zinc/59/31/71/971593171.db2.gz KYLXCQLGVDQBIA-NWDGAFQWSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1scc(CN2CC[C@]23CCOC3)c1C ZINC001330622689 974369585 /nfs/dbraw/zinc/36/95/85/974369585.db2.gz UXKJWGWIIZMCCP-CYBMUJFWSA-N 0 3 237.368 2.730 20 0 BFADHN CC(C)N1CC[C@H](Oc2ccc(F)cc2F)C1 ZINC001225314141 974391308 /nfs/dbraw/zinc/39/13/08/974391308.db2.gz DGZLLHFNHUKTGL-NSHDSACASA-N 0 3 241.281 2.826 20 0 BFADHN CC1(C)[C@@H]2C[C@@H](N3CCC(=O)C(C)(C)C3)C[C@@H]21 ZINC001170761378 971745152 /nfs/dbraw/zinc/74/51/52/971745152.db2.gz MHDBKPMDVULLPY-CNDDSTCGSA-N 0 3 235.371 2.722 20 0 BFADHN Cc1ccc(O[C@H]2CNCCC2(F)F)c(F)c1 ZINC001218449199 971822552 /nfs/dbraw/zinc/82/25/52/971822552.db2.gz RDCNWNWPCIVJDF-NSHDSACASA-N 0 3 245.244 2.510 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)N1C[C@@H](C)O[C@@H](C)C1 ZINC001172334371 974600722 /nfs/dbraw/zinc/60/07/22/974600722.db2.gz BMXLHRZULDUHFT-RFQIPJPRSA-N 0 3 243.391 2.689 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1CC[C@H](F)C1 ZINC001172339135 974605680 /nfs/dbraw/zinc/60/56/80/974605680.db2.gz NXBDWJMEUXDQDK-SRVKXCTJSA-N 0 3 217.328 2.624 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N1CCOC[C@H]1CC ZINC001172355710 974624424 /nfs/dbraw/zinc/62/44/24/974624424.db2.gz VTEZNRAJDXLRNT-HZSPNIEDSA-N 0 3 243.391 2.691 20 0 BFADHN FCC1(N[C@@H]2CCc3cccc(F)c3C2)CC1 ZINC001170941758 972116565 /nfs/dbraw/zinc/11/65/65/972116565.db2.gz FFJADPDGDDJBOI-LLVKDONJSA-N 0 3 237.293 2.775 20 0 BFADHN CS[C@@H](C)CCN1CCC[C@@H](F)C1 ZINC001208144672 957017273 /nfs/dbraw/zinc/01/72/73/957017273.db2.gz YVLYODDWMGKYCO-VHSXEESVSA-N 0 3 205.342 2.562 20 0 BFADHN CC[C@H]1CC[C@@H](NCc2ccn(CC)n2)C1 ZINC000414214031 972235000 /nfs/dbraw/zinc/23/50/00/972235000.db2.gz VLUCUBPFXDMALI-NWDGAFQWSA-N 0 3 221.348 2.571 20 0 BFADHN CCc1cc(Nc2cc(C)cnc2F)ccn1 ZINC001211500388 957071908 /nfs/dbraw/zinc/07/19/08/957071908.db2.gz RYKFDPOGJWOOED-UHFFFAOYSA-N 0 3 231.274 2.652 20 0 BFADHN Cn1ccc2cc(NC3=CCN(C)CC3)ccc21 ZINC001208844156 957184170 /nfs/dbraw/zinc/18/41/70/957184170.db2.gz NEJGILFCOBSHKJ-UHFFFAOYSA-N 0 3 241.338 2.810 20 0 BFADHN C[C@@H]1COCCN1CC1(C)CCCCC1 ZINC001208894768 957212361 /nfs/dbraw/zinc/21/23/61/957212361.db2.gz BQVFWBNROIZVKP-GFCCVEGCSA-N 0 3 211.349 2.678 20 0 BFADHN Cn1ccc2ccc(NC3=CCN(C)CC3)cc21 ZINC001208931100 957252505 /nfs/dbraw/zinc/25/25/05/957252505.db2.gz XQDUQDRYFUBWAM-UHFFFAOYSA-N 0 3 241.338 2.810 20 0 BFADHN CSCC[C@H](C)NCc1cc(F)ncc1F ZINC000823916200 972259691 /nfs/dbraw/zinc/25/96/91/972259691.db2.gz XIDHHPZJEIZMEQ-QMMMGPOBSA-N 0 3 246.326 2.591 20 0 BFADHN COCCN(C)Cc1cc(C)c(F)c(C)c1 ZINC001143377267 957368730 /nfs/dbraw/zinc/36/87/30/957368730.db2.gz SLBAPAXCDLNORX-UHFFFAOYSA-N 0 3 225.307 2.521 20 0 BFADHN C[C@H]1CCN1CCc1ccc(F)c(F)c1 ZINC001209240376 957369091 /nfs/dbraw/zinc/36/90/91/957369091.db2.gz WXRHLEUJEAYFHE-VIFPVBQESA-N 0 3 211.255 2.602 20 0 BFADHN CC(=O)c1ccc2c(c1)CN(C[C@@H]1C[C@H]1C)CC2 ZINC001209433527 957463405 /nfs/dbraw/zinc/46/34/05/957463405.db2.gz UXBSCCBQBHIKSU-ABAIWWIYSA-N 0 3 243.350 2.903 20 0 BFADHN CCOC(C)(C)CN1CC2(C1)CC(F)(F)C2 ZINC000705795925 957482710 /nfs/dbraw/zinc/48/27/10/957482710.db2.gz XOBKAJOTBTXVAB-UHFFFAOYSA-N 0 3 233.302 2.533 20 0 BFADHN C[C@@H]1CCCN(Cc2ccon2)C[C@H]1C ZINC001209561417 957504817 /nfs/dbraw/zinc/50/48/17/957504817.db2.gz QETQSZSZMDEJMS-GHMZBOCLSA-N 0 3 208.305 2.543 20 0 BFADHN C[C@H]1CCN(Cc2cc(Cl)ccc2N)C1 ZINC000086549355 957635679 /nfs/dbraw/zinc/63/56/79/957635679.db2.gz TWQKRKUKABKFBE-VIFPVBQESA-N 0 3 224.735 2.764 20 0 BFADHN Cc1cc(C)cc(CNc2cc(C)nc(N)n2)c1 ZINC000693165493 957769572 /nfs/dbraw/zinc/76/95/72/957769572.db2.gz FTQXFHGPKNQPPH-UHFFFAOYSA-N 0 3 242.326 2.596 20 0 BFADHN CC1CC(N(C)Cc2ccc(Cl)cn2)C1 ZINC001136925920 972299526 /nfs/dbraw/zinc/29/95/26/972299526.db2.gz YFTHRIFFLITXFD-UHFFFAOYSA-N 0 3 224.735 2.965 20 0 BFADHN CC(=O)[C@H]1CCN(Cc2cccc(F)c2C)C1 ZINC001204514118 957806986 /nfs/dbraw/zinc/80/69/86/957806986.db2.gz ROQGBAWVLYUWFN-ZDUSSCGKSA-N 0 3 235.302 2.545 20 0 BFADHN Cc1cccn2c(CN3CCC4(CC4)C3)cnc12 ZINC001136959376 972305883 /nfs/dbraw/zinc/30/58/83/972305883.db2.gz FQPOIXUMVPLKNV-UHFFFAOYSA-N 0 3 241.338 2.629 20 0 BFADHN CN1CCc2ccc(Nc3nccs3)cc2C1 ZINC001210996877 957981577 /nfs/dbraw/zinc/98/15/77/957981577.db2.gz PIUQHAZTNJAYKF-UHFFFAOYSA-N 0 3 245.351 2.875 20 0 BFADHN CC1CC(N(C)Cc2ncc(C(F)(F)F)[nH]2)C1 ZINC001137010495 972325004 /nfs/dbraw/zinc/32/50/04/972325004.db2.gz UDCNOQLQHPQZCL-UHFFFAOYSA-N 0 3 247.264 2.659 20 0 BFADHN COc1ccc(CN2CCC23CCCCC3)cn1 ZINC001137032811 972335276 /nfs/dbraw/zinc/33/52/76/972335276.db2.gz AWMDGVZSYXYLTF-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN c1nc2cc(CN3CC4(CCC4)C3)ccc2[nH]1 ZINC001137042905 972340322 /nfs/dbraw/zinc/34/03/22/972340322.db2.gz VFYUNBLCAVFRFW-UHFFFAOYSA-N 0 3 227.311 2.549 20 0 BFADHN c1nc2ccc(CN3CC4(CCC4)C3)cc2[nH]1 ZINC001137042905 972340331 /nfs/dbraw/zinc/34/03/31/972340331.db2.gz VFYUNBLCAVFRFW-UHFFFAOYSA-N 0 3 227.311 2.549 20 0 BFADHN C[C@H]1C[C@@H](CF)N(Cc2ccc3[nH]cnc3c2)C1 ZINC001137041147 972341519 /nfs/dbraw/zinc/34/15/19/972341519.db2.gz NTTJYODJYKUJRM-JQWIXIFHSA-N 0 3 247.317 2.743 20 0 BFADHN C[C@H]1C[C@@H](CF)N(Cc2ccc3nc[nH]c3c2)C1 ZINC001137041147 972341521 /nfs/dbraw/zinc/34/15/21/972341521.db2.gz NTTJYODJYKUJRM-JQWIXIFHSA-N 0 3 247.317 2.743 20 0 BFADHN COC1CC(CNc2cc(C)cc(C)n2)C1 ZINC000707906546 958809453 /nfs/dbraw/zinc/80/94/53/958809453.db2.gz BSUKVAFQALLSFA-UHFFFAOYSA-N 0 3 220.316 2.535 20 0 BFADHN Cc1ccc(CNC/C=C\C2CC2)cc1[N+](=O)[O-] ZINC000393581412 958840996 /nfs/dbraw/zinc/84/09/96/958840996.db2.gz FSRYLFPRGNEWFQ-IHWYPQMZSA-N 0 3 246.310 2.959 20 0 BFADHN Cc1nc[nH]c1CN1CCC[C@H]2CCCC[C@H]21 ZINC001204588658 958912953 /nfs/dbraw/zinc/91/29/53/958912953.db2.gz BHVOQBYKHYMNGS-TZMCWYRMSA-N 0 3 233.359 2.873 20 0 BFADHN Cc1ccc(F)cc1CN1CCC[C@]2(CCO2)C1 ZINC001140594677 959099189 /nfs/dbraw/zinc/09/91/89/959099189.db2.gz INWLQCYOFVIESY-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN C[C@H]1C[C@H](n2cnc3c2CNCC3)CC(C)(C)C1 ZINC000708329790 959334858 /nfs/dbraw/zinc/33/48/58/959334858.db2.gz PCPDCXDTBJQWEN-RYUDHWBXSA-N 0 3 247.386 2.916 20 0 BFADHN CCC[C@H](OC[C@@H]1COC(C)(C)N1)C(C)(C)C ZINC001217871026 959690685 /nfs/dbraw/zinc/69/06/85/959690685.db2.gz QBBYUSTWDUCLFT-NEPJUHHUSA-N 0 3 243.391 2.942 20 0 BFADHN CCCCC[C@@H](OC[C@@H]1CNCCO1)C(C)C ZINC001217893366 959721153 /nfs/dbraw/zinc/72/11/53/959721153.db2.gz YBJFBOLKNKSMHE-UONOGXRCSA-N 0 3 243.391 2.596 20 0 BFADHN C[C@@H]1CCC[C@H](OC[C@H]2COC(C)(C)N2)[C@@H]1C ZINC001217892535 959721787 /nfs/dbraw/zinc/72/17/87/959721787.db2.gz HDRMDCQLCCOEQC-NDBYEHHHSA-N 0 3 241.375 2.552 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N1C[C@H](N)[C@@H](F)C1 ZINC001246536374 972473531 /nfs/dbraw/zinc/47/35/31/972473531.db2.gz PASVONVDTPLVSS-CYDGBPFRSA-N 0 3 230.371 2.572 20 0 BFADHN Cc1cnc(CN(C)[C@@H](C)C(C)(C)C)cn1 ZINC000686011540 960046803 /nfs/dbraw/zinc/04/68/03/960046803.db2.gz LTVCUKNZFMNBRP-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CCCOC(=O)Nc1ccccc1CN(C)C ZINC000310194513 960173696 /nfs/dbraw/zinc/17/36/96/960173696.db2.gz ZDZKBMOKWWRNTL-UHFFFAOYSA-N 0 3 236.315 2.707 20 0 BFADHN C[C@@H]1CC[C@@H](n2cnc3c2CNCC3)C[C@@H]1C ZINC000310314347 960181126 /nfs/dbraw/zinc/18/11/26/960181126.db2.gz FJABDNPYICBRHI-GRYCIOLGSA-N 0 3 233.359 2.526 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@@H]2CCO[C@H]2C1 ZINC001140590118 960443161 /nfs/dbraw/zinc/44/31/61/960443161.db2.gz WZWRIWBSLGJEMJ-DOMZBBRYSA-N 0 3 249.329 2.745 20 0 BFADHN Oc1cc(CN2CCCC2)ccc1OC(F)F ZINC001140624481 960466488 /nfs/dbraw/zinc/46/64/88/960466488.db2.gz YBAITFIBLQPMRE-UHFFFAOYSA-N 0 3 243.253 2.589 20 0 BFADHN CCC(=O)CCN1Cc2ccccc2C[C@@H]1C ZINC000190250826 960482579 /nfs/dbraw/zinc/48/25/79/960482579.db2.gz LVBUWVRQAILMLY-LBPRGKRZSA-N 0 3 231.339 2.802 20 0 BFADHN Cc1cc(NC=CC(C)(C)O)c2ccccc2n1 ZINC001203209798 960536724 /nfs/dbraw/zinc/53/67/24/960536724.db2.gz MAWPIZWEFQTCAK-CMDGGOBGSA-N 0 3 242.322 2.662 20 0 BFADHN Cc1cc(F)ccc1CN1C[C@H]2OC[C@@H](C)[C@H]2C1 ZINC001140781930 960563004 /nfs/dbraw/zinc/56/30/04/960563004.db2.gz UWWFNUQPOCKGAL-KCPJHIHWSA-N 0 3 249.329 2.601 20 0 BFADHN F[C@H]1CCCCN(Cc2cnc3cc[nH]cc2-3)C1 ZINC001140801918 960593336 /nfs/dbraw/zinc/59/33/36/960593336.db2.gz KXGLZZDZFWXEEC-LBPRGKRZSA-N 0 3 247.317 2.887 20 0 BFADHN F[C@H]1CCCCN(Cc2c[nH]c3ccncc23)C1 ZINC001140801918 960593343 /nfs/dbraw/zinc/59/33/43/960593343.db2.gz KXGLZZDZFWXEEC-LBPRGKRZSA-N 0 3 247.317 2.887 20 0 BFADHN CCCO[C@H]1CCN(Cc2[nH]cc(C)c2C)C1 ZINC001140859613 960632654 /nfs/dbraw/zinc/63/26/54/960632654.db2.gz BNSHWMIWKWHLNY-ZDUSSCGKSA-N 0 3 236.359 2.632 20 0 BFADHN Cc1c[nH]c(CN(C)C[C@@H]2CCCCO2)c1C ZINC001140860014 960635223 /nfs/dbraw/zinc/63/52/23/960635223.db2.gz JWUSYKXGMDZQNX-ZDUSSCGKSA-N 0 3 236.359 2.632 20 0 BFADHN Fc1ccc(CCN2CC3(CCC3)C2)cc1 ZINC001207511933 960662130 /nfs/dbraw/zinc/66/21/30/960662130.db2.gz UZEFKSFHDVCCCV-UHFFFAOYSA-N 0 3 219.303 2.854 20 0 BFADHN Nc1cccc(F)c1CN1CCCCC12CC2 ZINC001140877421 960662768 /nfs/dbraw/zinc/66/27/68/960662768.db2.gz NKLHEGIHZYRPKI-UHFFFAOYSA-N 0 3 234.318 2.926 20 0 BFADHN c1coc(CN2CCCCCCCC2)n1 ZINC001141010450 960810860 /nfs/dbraw/zinc/81/08/60/960810860.db2.gz SCRZLPUGFYNYDE-UHFFFAOYSA-N 0 3 208.305 2.831 20 0 BFADHN CN(Cc1ccc2cccc(O)c2n1)C1CC1 ZINC001141019498 960820397 /nfs/dbraw/zinc/82/03/97/960820397.db2.gz GQYHWMYWXOYAHJ-UHFFFAOYSA-N 0 3 228.295 2.535 20 0 BFADHN CCCCc1ncc(CN2CCC(F)CC2)[nH]1 ZINC001141067797 960859294 /nfs/dbraw/zinc/85/92/94/960859294.db2.gz OASNNXOPWALLAH-UHFFFAOYSA-N 0 3 239.338 2.686 20 0 BFADHN Cc1ccc(CN2CCC[C@]23CCOC3)c(F)c1 ZINC001141196256 960928962 /nfs/dbraw/zinc/92/89/62/960928962.db2.gz NTLNSNXTEFAFKY-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN C[C@H]1CCCN(Cc2[nH]cnc2C(C)(C)C)C1 ZINC001142209499 961344534 /nfs/dbraw/zinc/34/45/34/961344534.db2.gz PHNRUZRCIPXERB-NSHDSACASA-N 0 3 235.375 2.939 20 0 BFADHN CC(C)(C)c1nc[nH]c1CN1C[C@H]2CC[C@@H]1C2 ZINC001142230735 961361372 /nfs/dbraw/zinc/36/13/72/961361372.db2.gz NSTZEFSYEBNGQP-WDEREUQCSA-N 0 3 233.359 2.692 20 0 BFADHN CC(C)(C)C(=O)Nc1cc(F)ccc1NC=N ZINC001167868146 961361862 /nfs/dbraw/zinc/36/18/62/961361862.db2.gz SRHMIDKSCMCKJS-UHFFFAOYSA-N 0 3 237.278 2.829 20 0 BFADHN C1=C[C@@H]2C[C@H]1C[C@H]2CN1CCc2ccncc2C1 ZINC001207628409 961371944 /nfs/dbraw/zinc/37/19/44/961371944.db2.gz HELYHQNBMTUBBG-CFVMTHIKSA-N 0 3 240.350 2.652 20 0 BFADHN CCC(=O)N(C)CCN(C)[C@@H](C)c1ccccc1 ZINC001268229639 961449752 /nfs/dbraw/zinc/44/97/52/961449752.db2.gz NZPQQTLKZATYMK-ZDUSSCGKSA-N 0 3 248.370 2.548 20 0 BFADHN Fc1cc(F)c(F)c(CN[C@H]2C[C@H]3C[C@H]3C2)c1 ZINC001167894113 961510916 /nfs/dbraw/zinc/51/09/16/961510916.db2.gz NFLUEDNYSFNOMK-VROVMSAKSA-N 0 3 241.256 2.992 20 0 BFADHN O=C1C[C@H]2CC[C@@H](C1)N2CCCC(F)(F)F ZINC000124531897 961638483 /nfs/dbraw/zinc/63/84/83/961638483.db2.gz MBFPFRGUMPLLNY-DTORHVGOSA-N 0 3 235.249 2.525 20 0 BFADHN CC(C)c1cc(CN[C@H]2C[C@H]3C[C@H]3C2)on1 ZINC001167903199 961690018 /nfs/dbraw/zinc/69/00/18/961690018.db2.gz SDJIJMULSRXTKR-URLYPYJESA-N 0 3 220.316 2.686 20 0 BFADHN F[C@H]1CCCN(Cc2cnc3ccccn23)CC1 ZINC001203144387 961747163 /nfs/dbraw/zinc/74/71/63/961747163.db2.gz JCBNEYSJBFJOKY-LBPRGKRZSA-N 0 3 247.317 2.658 20 0 BFADHN C[C@]1(CF)CCN(Cc2ccc3nccn3c2)C1 ZINC001143019150 961864878 /nfs/dbraw/zinc/86/48/78/961864878.db2.gz YQOCBHBGVSYMDL-CQSZACIVSA-N 0 3 247.317 2.516 20 0 BFADHN C[C@@]1(CF)CCN(Cc2ccc3nccn3c2)C1 ZINC001143019149 961865050 /nfs/dbraw/zinc/86/50/50/961865050.db2.gz YQOCBHBGVSYMDL-AWEZNQCLSA-N 0 3 247.317 2.516 20 0 BFADHN c1cn2cc(CN3CC4CCC3CC4)ccc2n1 ZINC001143027152 961867466 /nfs/dbraw/zinc/86/74/66/961867466.db2.gz LIVMZHOUMOWOJT-UHFFFAOYSA-N 0 3 241.338 2.709 20 0 BFADHN Cc1cc(N[C@H]2CCCC3(CC3)C2)nc(N)n1 ZINC000696218400 961873705 /nfs/dbraw/zinc/87/37/05/961873705.db2.gz AUOJOPPQLNPKGE-JTQLQIEISA-N 0 3 232.331 2.502 20 0 BFADHN Fc1ccc(CN2CC[C@@H]3CCC[C@H]3C2)cn1 ZINC001137736164 961877342 /nfs/dbraw/zinc/87/73/42/961877342.db2.gz BNZWOJWWNQLMBU-STQMWFEESA-N 0 3 234.318 2.843 20 0 BFADHN FCC1CCN(Cc2cc3cccnc3[nH]2)CC1 ZINC001143224488 961962190 /nfs/dbraw/zinc/96/21/90/961962190.db2.gz SZYHYLPENQRBJB-UHFFFAOYSA-N 0 3 247.317 2.744 20 0 BFADHN C/C=C/CNCc1cc(C)nc(Cl)c1 ZINC000401845056 962140610 /nfs/dbraw/zinc/14/06/10/962140610.db2.gz WOAIIKURBGQZOJ-ONEGZZNKSA-N 0 3 210.708 2.709 20 0 BFADHN CC[C@@H]1CCCC[N@@H+]1Cc1ccc([O-])cn1 ZINC000132423456 962425039 /nfs/dbraw/zinc/42/50/39/962425039.db2.gz BWNHGRWPWJCOGO-GFCCVEGCSA-N 0 3 220.316 2.552 20 0 BFADHN CC[C@@H]1CCCC[N@H+]1Cc1ccc([O-])cn1 ZINC000132423456 962425047 /nfs/dbraw/zinc/42/50/47/962425047.db2.gz BWNHGRWPWJCOGO-GFCCVEGCSA-N 0 3 220.316 2.552 20 0 BFADHN COc1cccnc1CN1CCC(C)(C)CC1 ZINC001206645378 962499672 /nfs/dbraw/zinc/49/96/72/962499672.db2.gz XDEIFQWYVANSRB-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCCC1CCN(Cc2ccc(O)cn2)CC1 ZINC000133763348 962667880 /nfs/dbraw/zinc/66/78/80/962667880.db2.gz YLDIAIRQPMMOLC-UHFFFAOYSA-N 0 3 234.343 2.799 20 0 BFADHN Nc1cccc(F)c1CN1CCC[C@H](CF)C1 ZINC000711506056 962722399 /nfs/dbraw/zinc/72/23/99/962722399.db2.gz QWHPUJNZCDLCQO-SNVBAGLBSA-N 0 3 240.297 2.589 20 0 BFADHN Cc1cccnc1CN[C@H]1C=CCCC1 ZINC000134769477 962839427 /nfs/dbraw/zinc/83/94/27/962839427.db2.gz HSCBJMCCAYZLFM-LBPRGKRZSA-N 0 3 202.301 2.588 20 0 BFADHN CN(C)c1ccnc(NC(=N)C(C)(C)C)c1 ZINC001155181312 963006238 /nfs/dbraw/zinc/00/62/38/963006238.db2.gz OBEXGFDUEKCPMY-UHFFFAOYSA-N 0 3 220.320 2.583 20 0 BFADHN Cc1c(F)cccc1O[C@@H]1CN2CCC1CC2 ZINC001226773923 963346296 /nfs/dbraw/zinc/34/62/96/963346296.db2.gz IXQGIDTUVPZGPL-CQSZACIVSA-N 0 3 235.302 2.607 20 0 BFADHN C[C@@H](CN(C)C)Oc1ccc2c(c1)C(=O)CCC2 ZINC000713982132 963570582 /nfs/dbraw/zinc/57/05/82/963570582.db2.gz GSXQDOWRJWXUSB-NSHDSACASA-N 0 3 247.338 2.535 20 0 BFADHN Cc1cc(C)nc(NC[C@H]2C[C@H](F)C2)c1 ZINC001157451874 963611169 /nfs/dbraw/zinc/61/11/69/963611169.db2.gz RWDFOPGDSNQIDK-XYPYZODXSA-N 0 3 208.280 2.858 20 0 BFADHN C[C@H]1C[C@@H](N[C@H](CF)c2ccccc2)CCO1 ZINC001168084161 963643226 /nfs/dbraw/zinc/64/32/26/963643226.db2.gz PNGPQAGEFXSFKJ-FPMFFAJLSA-N 0 3 237.318 2.854 20 0 BFADHN Cc1cc(C)nc(NC[C@H]2CC(C)(C)O2)c1 ZINC001157655732 963692750 /nfs/dbraw/zinc/69/27/50/963692750.db2.gz YSWOKYUFOUGWQY-LLVKDONJSA-N 0 3 220.316 2.678 20 0 BFADHN CCc1cc(CN2CCCC[C@H]2C)on1 ZINC001203213093 963827881 /nfs/dbraw/zinc/82/78/81/963827881.db2.gz LIOVSNCOZUDECW-SNVBAGLBSA-N 0 3 208.305 2.611 20 0 BFADHN C[C@H](N)c1ccn(-c2cc3ccsc3cn2)n1 ZINC001158350066 963852289 /nfs/dbraw/zinc/85/22/89/963852289.db2.gz HZIHQNUVBYLABZ-QMMMGPOBSA-N 0 3 244.323 2.502 20 0 BFADHN Cc1cnc(N2CCN(C(C)C)[C@@H](C)C2)cc1C ZINC001158494850 963883075 /nfs/dbraw/zinc/88/30/75/963883075.db2.gz BJBWMYCWKRUZLW-AWEZNQCLSA-N 0 3 247.386 2.617 20 0 BFADHN Cc1nc2cc(O[C@H]3CCCN(C)C3)ccc2o1 ZINC001229072466 963966564 /nfs/dbraw/zinc/96/65/64/963966564.db2.gz JLZHKIXUHJXAIX-LBPRGKRZSA-N 0 3 246.310 2.609 20 0 BFADHN CC(C)OC1CN(C2CCC(C)CC2)C1 ZINC001168221723 963996403 /nfs/dbraw/zinc/99/64/03/963996403.db2.gz NAFQFCZLWSANBW-UHFFFAOYSA-N 0 3 211.349 2.674 20 0 BFADHN Cc1ccc2nc(NCC3CN(C)C3)ccc2c1 ZINC001159216431 964017555 /nfs/dbraw/zinc/01/75/55/964017555.db2.gz VPTUHXQJBMXCGP-UHFFFAOYSA-N 0 3 241.338 2.517 20 0 BFADHN COc1ccc2c(c1)C[C@@H](N1C[C@H](F)C[C@H]1C)C2 ZINC001168263209 964099872 /nfs/dbraw/zinc/09/98/72/964099872.db2.gz NDLFTUNCVTZVHR-HONMWMINSA-N 0 3 249.329 2.595 20 0 BFADHN Fc1ccc(F)c(NC2=CNCCC2)c1F ZINC001159478213 964108028 /nfs/dbraw/zinc/10/80/28/964108028.db2.gz JAEBGVUOOQGNHX-UHFFFAOYSA-N 0 3 228.217 2.741 20 0 BFADHN Nc1cccc(NC2CC3(C2)CC(F)(F)C3)n1 ZINC001160588271 964348729 /nfs/dbraw/zinc/34/87/29/964348729.db2.gz SASLBFNYFUQLOB-UHFFFAOYSA-N 0 3 239.269 2.654 20 0 BFADHN FC(F)(F)CCNc1c[nH]cc2ccnc1-2 ZINC001161824266 964773559 /nfs/dbraw/zinc/77/35/59/964773559.db2.gz LWANAOKXNNJARB-UHFFFAOYSA-N 0 3 229.205 2.927 20 0 BFADHN OCc1cnccc1N1CC[C@@H]2CCCC[C@H]2C1 ZINC000250174839 964799513 /nfs/dbraw/zinc/79/95/13/964799513.db2.gz NJZHQIOIDGUUAS-STQMWFEESA-N 0 3 246.354 2.590 20 0 BFADHN Cc1cc(C)nc(NC2(c3cnccn3)CC2)c1 ZINC001161940183 964826730 /nfs/dbraw/zinc/82/67/30/964826730.db2.gz LYRYSSXSQBCBLG-UHFFFAOYSA-N 0 3 240.310 2.590 20 0 BFADHN Cc1cc(CN[C@@H]2C=CCC2)cc(Cl)n1 ZINC000698221977 965114471 /nfs/dbraw/zinc/11/44/71/965114471.db2.gz RCRKFDMNALACSS-LLVKDONJSA-N 0 3 222.719 2.852 20 0 BFADHN Cc1c2[nH]cnc2ccc1NCc1cccn1C ZINC001162709532 965135394 /nfs/dbraw/zinc/13/53/94/965135394.db2.gz OVGFNYIRLGSDSB-UHFFFAOYSA-N 0 3 240.310 2.822 20 0 BFADHN CC(C)CN(C)Cc1cnc(C(F)(F)F)nc1 ZINC001141451433 965260803 /nfs/dbraw/zinc/26/08/03/965260803.db2.gz OFGAMPOLTUNFRH-UHFFFAOYSA-N 0 3 247.264 2.583 20 0 BFADHN Cc1nnc(CN[C@H]2CCCC3(CC3)C2)s1 ZINC000698372388 965266844 /nfs/dbraw/zinc/26/68/44/965266844.db2.gz YRFSOEYWSGJMRA-JTQLQIEISA-N 0 3 237.372 2.659 20 0 BFADHN Cc1cccnc1N[C@@H]1CNCc2ccsc21 ZINC001163219958 965308339 /nfs/dbraw/zinc/30/83/39/965308339.db2.gz IOTYMBBACGSNOD-LLVKDONJSA-N 0 3 245.351 2.708 20 0 BFADHN Cc1cncc(N[C@@H]2CNCc3ccsc32)c1 ZINC001163226785 965308540 /nfs/dbraw/zinc/30/85/40/965308540.db2.gz MGUNRVNHFKBXSY-GFCCVEGCSA-N 0 3 245.351 2.708 20 0 BFADHN Nc1cccc(N[C@H]2CCCC23CCC3)n1 ZINC001163518261 965426289 /nfs/dbraw/zinc/42/62/89/965426289.db2.gz PSVYUMCQLCYQDP-JTQLQIEISA-N 0 3 217.316 2.799 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1c1cc(CN(C)C)ccn1 ZINC001163549748 965444243 /nfs/dbraw/zinc/44/42/43/965444243.db2.gz GIMMBYCSTAAVMB-CHWSQXEVSA-N 0 3 247.386 2.911 20 0 BFADHN COC[C@H]1CCCCN1Cc1cnc(C)cc1C ZINC001233008983 965452559 /nfs/dbraw/zinc/45/25/59/965452559.db2.gz XWYMBYLKZWGNCA-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CCCN(CC)c1cc(N2CCCC2)nc(C)n1 ZINC001163602049 965476334 /nfs/dbraw/zinc/47/63/34/965476334.db2.gz PPBGXQRGYBLEQA-UHFFFAOYSA-N 0 3 248.374 2.622 20 0 BFADHN Nc1ccccc1CNc1c[nH]cc2ccnc1-2 ZINC001163890090 965619723 /nfs/dbraw/zinc/61/97/23/965619723.db2.gz PSXWBKNBENLNQA-UHFFFAOYSA-N 0 3 238.294 2.757 20 0 BFADHN Nc1ccccc1CNc1cncc2cc[nH]c21 ZINC001163890090 965619726 /nfs/dbraw/zinc/61/97/26/965619726.db2.gz PSXWBKNBENLNQA-UHFFFAOYSA-N 0 3 238.294 2.757 20 0 BFADHN Cc1cc(CN)nn1-c1cccc2c1CC(C)=C2 ZINC001163984802 965677645 /nfs/dbraw/zinc/67/76/45/965677645.db2.gz BOENZEBRBZMGOL-UHFFFAOYSA-N 0 3 239.322 2.599 20 0 BFADHN Cc1cc(CN)nn1-c1c(C)ccc(Cl)c1C ZINC001163986353 965684112 /nfs/dbraw/zinc/68/41/12/965684112.db2.gz ZZDWQSKPHPAGHR-UHFFFAOYSA-N 0 3 249.745 2.910 20 0 BFADHN CN1CCC[C@H](Oc2cccc3ncsc32)C1 ZINC001234475056 965835434 /nfs/dbraw/zinc/83/54/34/965835434.db2.gz UYUIIQZVIPEYCI-JTQLQIEISA-N 0 3 248.351 2.769 20 0 BFADHN CC1(C)CN(c2cccc(N)n2)CCC1(F)F ZINC001164675997 965947069 /nfs/dbraw/zinc/94/70/69/965947069.db2.gz WZORPBAWIKHUCZ-UHFFFAOYSA-N 0 3 241.285 2.535 20 0 BFADHN CC[C@H]1COC[C@@H]1NCc1cc2ccccc2o1 ZINC000699540058 965953513 /nfs/dbraw/zinc/95/35/13/965953513.db2.gz VQRUWDWBNGJBPG-FZMZJTMJSA-N 0 3 245.322 2.947 20 0 BFADHN CCC(F)(F)C(C)(C)CNCc1cn(C)cn1 ZINC000699650746 966022731 /nfs/dbraw/zinc/02/27/31/966022731.db2.gz XGVXOKOBFYXPOK-UHFFFAOYSA-N 0 3 245.317 2.581 20 0 BFADHN COc1cc(Cl)cc(-c2ccnc(CN)c2)c1 ZINC001205141004 966025695 /nfs/dbraw/zinc/02/56/95/966025695.db2.gz SGKJWFPZUPCVAM-UHFFFAOYSA-N 0 3 248.713 2.869 20 0 BFADHN CCc1cc(CN2C[C@H](C)C[C@H](C)C2)on1 ZINC001203227400 966066299 /nfs/dbraw/zinc/06/62/99/966066299.db2.gz YUSDTHKFMKQZPY-PHIMTYICSA-N 0 3 222.332 2.715 20 0 BFADHN CCOc1ccc(Nc2nccn2C)c(OC)c1 ZINC001213115323 966129392 /nfs/dbraw/zinc/12/93/92/966129392.db2.gz UBNNXVLVRAEZJA-UHFFFAOYSA-N 0 3 247.298 2.571 20 0 BFADHN Cc1nc2ccc(Nc3cc[nH]c(=O)c3)cc2[nH]1 ZINC001213070306 966474613 /nfs/dbraw/zinc/47/46/13/966474613.db2.gz JSTJLCJQBQWSJI-UHFFFAOYSA-N 0 3 240.266 2.716 20 0 BFADHN Cc1cncc(CN2[C@H](C)CCC[C@@H]2C)n1 ZINC001203162080 966536136 /nfs/dbraw/zinc/53/61/36/966536136.db2.gz PWVHXYHXQBRRSS-TXEJJXNPSA-N 0 3 219.332 2.548 20 0 BFADHN COc1cccc(CCN(C)Cc2ccco2)c1 ZINC001203285839 966597710 /nfs/dbraw/zinc/59/77/10/966597710.db2.gz VVYQHTDYULANBJ-UHFFFAOYSA-N 0 3 245.322 2.963 20 0 BFADHN Fc1ccccc1CN1CCC2(CCCO2)CC1 ZINC001203354068 966611874 /nfs/dbraw/zinc/61/18/74/966611874.db2.gz SQJUGMSVTXNTJY-UHFFFAOYSA-N 0 3 249.329 2.971 20 0 BFADHN COc1ccccc1CN1CCC[C@H]2C[C@H]21 ZINC001203438543 966650488 /nfs/dbraw/zinc/65/04/88/966650488.db2.gz JTETXEONQUBENL-WCQYABFASA-N 0 3 217.312 2.680 20 0 BFADHN COc1ccc(OC)c(CN2CCC[C@H]3C[C@H]32)c1 ZINC001203531762 966672123 /nfs/dbraw/zinc/67/21/23/966672123.db2.gz LWSKEIOCMFSIMB-SMDDNHRTSA-N 0 3 247.338 2.688 20 0 BFADHN COc1ccc(OC)c(CN2CC3CC(C3)C2)c1 ZINC001203531490 966676043 /nfs/dbraw/zinc/67/60/43/966676043.db2.gz ARSJNNLPVOVAQA-UHFFFAOYSA-N 0 3 247.338 2.546 20 0 BFADHN COc1ccoc1CN1CCCC1(C)C ZINC001237864710 966699744 /nfs/dbraw/zinc/69/97/44/966699744.db2.gz WXHCKYDAJWNTGA-UHFFFAOYSA-N 0 3 209.289 2.663 20 0 BFADHN CCCO[C@H]1CCN(Cc2cccc(OC)c2)C1 ZINC001203822595 966793588 /nfs/dbraw/zinc/79/35/88/966793588.db2.gz SYWXETAJBDKTCA-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccc(CN2CC3(CCC3)C2)cc1C ZINC001203894408 966814096 /nfs/dbraw/zinc/81/40/96/966814096.db2.gz GSWYMNVUJOKBGL-UHFFFAOYSA-N 0 3 231.339 2.990 20 0 BFADHN CN(C)C1CN(Cc2ccc3ccccc3c2)C1 ZINC001203997611 966842626 /nfs/dbraw/zinc/84/26/26/966842626.db2.gz BNNQBVIDRYZWEB-UHFFFAOYSA-N 0 3 240.350 2.586 20 0 BFADHN CCCC[C@H](CC)COC(=O)N1CC[C@H]1NC ZINC001239335667 966862817 /nfs/dbraw/zinc/86/28/17/966862817.db2.gz SPIFXVPPJWWOFR-RYUDHWBXSA-N 0 3 242.363 2.591 20 0 BFADHN Cc1cccc(CN2CC[C@@](C)(CF)C2)n1 ZINC001204067590 966875221 /nfs/dbraw/zinc/87/52/21/966875221.db2.gz YYACKORRKHPQEF-ZDUSSCGKSA-N 0 3 222.307 2.572 20 0 BFADHN CN1CCCC[C@@H]1c1ccc(C2=CCOC2)nc1 ZINC001239480579 966897339 /nfs/dbraw/zinc/89/73/39/966897339.db2.gz JIJDXAZHOCORNW-OAHLLOKOSA-N 0 3 244.338 2.652 20 0 BFADHN C1=C(c2cccc(C3=NCCC3)c2)COC1 ZINC001239489213 966912408 /nfs/dbraw/zinc/91/24/08/966912408.db2.gz MOXFGYLYNCJCBI-UHFFFAOYSA-N 0 3 213.280 2.683 20 0 BFADHN Cc1ccc(CN2C[C@H]3CC[C@@H](C2)C3=O)cc1C ZINC001204355609 966980385 /nfs/dbraw/zinc/98/03/85/966980385.db2.gz OVGXBCZNNGDASD-GASCZTMLSA-N 0 3 243.350 2.714 20 0 BFADHN C[C@@H]1CCN1Cc1ccc(OC(F)F)cc1 ZINC001204419274 966995495 /nfs/dbraw/zinc/99/54/95/966995495.db2.gz WCQDSUXKZWCQPN-SECBINFHSA-N 0 3 227.254 2.882 20 0 BFADHN COC1CCC(Nc2c[nH]cc3ccnc2-3)CC1 ZINC001168613931 966996881 /nfs/dbraw/zinc/99/68/81/966996881.db2.gz JDPBFYXBFUVCKD-UHFFFAOYSA-N 0 3 245.326 2.932 20 0 BFADHN COC1CCC(Nc2cncc3cc[nH]c32)CC1 ZINC001168613931 966996889 /nfs/dbraw/zinc/99/68/89/966996889.db2.gz JDPBFYXBFUVCKD-UHFFFAOYSA-N 0 3 245.326 2.932 20 0 BFADHN COC1CCN(Cc2cc(C)c(C)o2)CC1 ZINC001204530698 967028944 /nfs/dbraw/zinc/02/89/44/967028944.db2.gz HFCLJJAUOHUPKQ-UHFFFAOYSA-N 0 3 223.316 2.507 20 0 BFADHN COc1cc(CN2CCC3(CC3)C2)ccc1F ZINC001204556914 967049886 /nfs/dbraw/zinc/04/98/86/967049886.db2.gz NRSKWNLDBPZUKK-UHFFFAOYSA-N 0 3 235.302 2.820 20 0 BFADHN Cc1c(CN2CCC[C@@H]3CCCC[C@H]32)cnn1C ZINC001204571940 967068196 /nfs/dbraw/zinc/06/81/96/967068196.db2.gz LSFIJOFMSVKEOJ-DZGCQCFKSA-N 0 3 247.386 2.883 20 0 BFADHN Cc1nc[nH]c1CN(C)CCc1ccc(F)cc1 ZINC001204591097 967088000 /nfs/dbraw/zinc/08/80/00/967088000.db2.gz WGQSMKXIQSCXCD-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN Cc1nc[nH]c1CN(C)CCc1cccc(F)c1 ZINC001204594262 967092685 /nfs/dbraw/zinc/09/26/85/967092685.db2.gz IXVAHJWXNKHLNA-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN COc1ccc(CN2CCCC[C@H]2C)nc1C ZINC001249889801 967134150 /nfs/dbraw/zinc/13/41/50/967134150.db2.gz SSKLTIYLWAAVHE-LLVKDONJSA-N 0 3 234.343 2.773 20 0 BFADHN CC(C)=CCC[C@@H](C)CCN1C[C@H](N)[C@@H](F)C1 ZINC001204885156 967211418 /nfs/dbraw/zinc/21/14/18/967211418.db2.gz LESVDZVNQANAQP-RDBSUJKOSA-N 0 3 242.382 2.740 20 0 BFADHN CCOc1cc(CN(C)C2CC(C)C2)ccn1 ZINC001250003327 967264088 /nfs/dbraw/zinc/26/40/88/967264088.db2.gz SWNIOPMAARVDKQ-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN Clc1cccc2c1C[C@H](NCc1ccno1)C2 ZINC001168675620 967275827 /nfs/dbraw/zinc/27/58/27/967275827.db2.gz GAGRZUTWMNEOTG-SNVBAGLBSA-N 0 3 248.713 2.585 20 0 BFADHN Cc1cccc(CN2CCCC23COC3)c1C ZINC001205089645 967339526 /nfs/dbraw/zinc/33/95/26/967339526.db2.gz VVENVBYTQGRVMR-UHFFFAOYSA-N 0 3 231.339 2.668 20 0 BFADHN F[C@@H]1C[C@H]1N[C@@H]1Cc2cccc(Cl)c2C1 ZINC001168691471 967387176 /nfs/dbraw/zinc/38/71/76/967387176.db2.gz ONIMVMMRXDLJRD-GGZOMVNGSA-N 0 3 225.694 2.507 20 0 BFADHN Cc1cc(CN2C[C@H]3[C@H](CF)[C@H]3C2)ccc1F ZINC001205192664 967414837 /nfs/dbraw/zinc/41/48/37/967414837.db2.gz BBGZCKUPYXQLOI-XYYAHUGASA-N 0 3 237.293 2.781 20 0 BFADHN Cc1ccc(CN2CC[C@@]3(CCCO3)C2)cc1F ZINC001205214772 967430188 /nfs/dbraw/zinc/43/01/88/967430188.db2.gz ASGBRUZYIUXTMR-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1ccc(CN2C[C@H]3[C@H](CF)[C@H]3C2)cc1F ZINC001205243326 967433114 /nfs/dbraw/zinc/43/31/14/967433114.db2.gz BSLIHXLBOVSIOV-XYYAHUGASA-N 0 3 237.293 2.781 20 0 BFADHN C[C@H]1CC[C@@H](CO)N1Cc1sccc1Cl ZINC001205319965 967534792 /nfs/dbraw/zinc/53/47/92/967534792.db2.gz QWDHYLHHKAKSKW-IUCAKERBSA-N 0 3 245.775 2.747 20 0 BFADHN Cc1cnc(-c2ccc(F)c3n[nH]cc32)cc1N ZINC001205325916 967539059 /nfs/dbraw/zinc/53/90/59/967539059.db2.gz ZSTLEDFHZVOVCE-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN Fc1ccc(F)c(CCN2CCCCC2)c1 ZINC001250239130 967547172 /nfs/dbraw/zinc/54/71/72/967547172.db2.gz RYAYNSUFSYIYIL-UHFFFAOYSA-N 0 3 225.282 2.993 20 0 BFADHN Cc1cnc(F)cc1CCN1CCCCC1 ZINC001250241910 967549783 /nfs/dbraw/zinc/54/97/83/967549783.db2.gz ONRKEIBTXDQKOL-UHFFFAOYSA-N 0 3 222.307 2.558 20 0 BFADHN Cc1ccnc(F)c1CCN1CCCCC1 ZINC001250241857 967552029 /nfs/dbraw/zinc/55/20/29/967552029.db2.gz LMLXGBLOLTZMMU-UHFFFAOYSA-N 0 3 222.307 2.558 20 0 BFADHN COc1cc(C)cc(OC)c1CN1CCCC1 ZINC001143132944 967565119 /nfs/dbraw/zinc/56/51/19/967565119.db2.gz MYMGIXFAROFKFQ-UHFFFAOYSA-N 0 3 235.327 2.608 20 0 BFADHN Clc1cccc2c1C[C@@H](N1CCC1)C2 ZINC001168718790 967622087 /nfs/dbraw/zinc/62/20/87/967622087.db2.gz SCPQVQLVULEKOI-JTQLQIEISA-N 0 3 207.704 2.513 20 0 BFADHN CC(C)(C)C1CCN(Cc2cnoc2)CC1 ZINC001205670710 967758615 /nfs/dbraw/zinc/75/86/15/967758615.db2.gz SNTYJWYPPZAPHA-UHFFFAOYSA-N 0 3 222.332 2.933 20 0 BFADHN Cc1cccnc1CN1CC[C@](C)(CF)C1 ZINC001205770546 967818205 /nfs/dbraw/zinc/81/82/05/967818205.db2.gz MBBSOHYMLSRANX-CYBMUJFWSA-N 0 3 222.307 2.572 20 0 BFADHN CCc1cc(-c2cc(CN)ncn2)ccc1Cl ZINC001205793243 967824764 /nfs/dbraw/zinc/82/47/64/967824764.db2.gz FLBWOALYDATHHG-UHFFFAOYSA-N 0 3 247.729 2.818 20 0 BFADHN CCc1nc2ccc(N3CCCC3)cc2[nH]1 ZINC001250425335 967837626 /nfs/dbraw/zinc/83/76/26/967837626.db2.gz CJLZZESAFLOWOJ-UHFFFAOYSA-N 0 3 215.300 2.726 20 0 BFADHN Cc1cc(O)cc(-c2nccc3c2CCN3)c1 ZINC001206204888 968134869 /nfs/dbraw/zinc/13/48/69/968134869.db2.gz REOKWWPBEUIYQD-UHFFFAOYSA-N 0 3 226.279 2.731 20 0 BFADHN Cc1nnc(CNC[C@H]2CCCC2(C)C)s1 ZINC000698310403 968178522 /nfs/dbraw/zinc/17/85/22/968178522.db2.gz CUZGTNBGYGABDB-SNVBAGLBSA-N 0 3 239.388 2.762 20 0 BFADHN CO[C@@H](C)CN1CC(c2ccccc2)(C(C)C)C1 ZINC001206321597 968227614 /nfs/dbraw/zinc/22/76/14/968227614.db2.gz UTWFCROPTFBOHW-AWEZNQCLSA-N 0 3 247.382 2.931 20 0 BFADHN COc1ccccc1CN[C@@H]1C=CCC1 ZINC000698326087 968328475 /nfs/dbraw/zinc/32/84/75/968328475.db2.gz FSAZTKBNLHSNKX-GFCCVEGCSA-N 0 3 203.285 2.503 20 0 BFADHN COc1cnccc1CN1CC[C@H](C)C[C@@H]1C ZINC001206473523 968331903 /nfs/dbraw/zinc/33/19/03/968331903.db2.gz QXLVOQRBUIAVLS-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cnccc1CN1CCCC2(CCC2)C1 ZINC001206493402 968339340 /nfs/dbraw/zinc/33/93/40/968339340.db2.gz AQTVNVOVNQTWOJ-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN COc1ncccc1CN1CCC12CCCCC2 ZINC001206560806 968382999 /nfs/dbraw/zinc/38/29/99/968382999.db2.gz HTZQWFLXFAPSRR-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN CCn1cc(CN2C[C@H]3CCCC[C@H]32)c(C)n1 ZINC001206560509 968383542 /nfs/dbraw/zinc/38/35/42/968383542.db2.gz RBZQKAZTYTUDAZ-TZMCWYRMSA-N 0 3 233.359 2.586 20 0 BFADHN C[C@H](O)CN1CCCC[C@H]1c1ccccc1F ZINC001251961303 968398554 /nfs/dbraw/zinc/39/85/54/968398554.db2.gz FEUVYDCMEUMDNV-FZMZJTMJSA-N 0 3 237.318 2.734 20 0 BFADHN COc1cccnc1CN1CCC[C@H](C)[C@@H]1C ZINC001206644240 968426429 /nfs/dbraw/zinc/42/64/29/968426429.db2.gz KQRULNZRIDMWRO-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cccnc1CN1CCC[C@H](C)[C@H]1C ZINC001206644239 968427901 /nfs/dbraw/zinc/42/79/01/968427901.db2.gz KQRULNZRIDMWRO-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN CCCC[C@@H](O)CNCc1ccc(F)cc1F ZINC001252078241 968497685 /nfs/dbraw/zinc/49/76/85/968497685.db2.gz MBVYFPGHZRUFPL-GFCCVEGCSA-N 0 3 243.297 2.606 20 0 BFADHN Cc1nc(CN[C@]23C[C@H]2CCC3)sc1C ZINC000822060695 968507065 /nfs/dbraw/zinc/50/70/65/968507065.db2.gz VTEQMCJOQBFHMD-ZYHUDNBSSA-N 0 3 222.357 2.792 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@@H]1CCC[C@@H](C)C1 ZINC000822400026 968513572 /nfs/dbraw/zinc/51/35/72/968513572.db2.gz AEIYNSCHFJJCNR-JFGNBEQYSA-N 0 3 237.347 2.855 20 0 BFADHN COc1cncc(CN2CC[C@@H]3CCC[C@H]3C2)c1 ZINC001206993482 968531531 /nfs/dbraw/zinc/53/15/31/968531531.db2.gz GFRWFUYWPUOBIP-KBPBESRZSA-N 0 3 246.354 2.712 20 0 BFADHN CN(Cc1cccc(F)c1)Cc1ccc[nH]c1=O ZINC001207001967 968538253 /nfs/dbraw/zinc/53/82/53/968538253.db2.gz PCTGABNIXIYTDE-UHFFFAOYSA-N 0 3 246.285 2.558 20 0 BFADHN CN1CC[C@H](Oc2c(O)ccc3ccccc32)C1 ZINC001231226842 968541982 /nfs/dbraw/zinc/54/19/82/968541982.db2.gz GJJWDQZFIWEADI-LBPRGKRZSA-N 0 3 243.306 2.628 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1ncccc1F ZINC001207036074 968567757 /nfs/dbraw/zinc/56/77/57/968567757.db2.gz QWWQSYFEYXAFPX-ZDUSSCGKSA-N 0 3 222.307 2.841 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1ncccc1F ZINC001207036073 968568223 /nfs/dbraw/zinc/56/82/23/968568223.db2.gz QWWQSYFEYXAFPX-CYBMUJFWSA-N 0 3 222.307 2.841 20 0 BFADHN Fc1ccc(CN2CC[C@@H]3CCC[C@H]3C2)nc1 ZINC001207038902 968568607 /nfs/dbraw/zinc/56/86/07/968568607.db2.gz UBKHDBDYUKVYRA-RYUDHWBXSA-N 0 3 234.318 2.843 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](F)CC2)s1 ZINC001207058742 968574484 /nfs/dbraw/zinc/57/44/84/968574484.db2.gz LHKXUPGACDXSBR-SNVBAGLBSA-N 0 3 228.336 2.776 20 0 BFADHN Cc1ncc(CN(C)C2CC(C)C2)s1 ZINC001207059061 968590666 /nfs/dbraw/zinc/59/06/66/968590666.db2.gz SOABOVAZOFHXLH-UHFFFAOYSA-N 0 3 210.346 2.682 20 0 BFADHN Cc1cc(O)ccc1-c1cccc(CN(C)C)n1 ZINC001240642991 968616879 /nfs/dbraw/zinc/61/68/79/968616879.db2.gz NTLHVULMGAOYJV-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN CCc1nccc(CN2C3CCCC2CCC3)n1 ZINC001207089023 968623328 /nfs/dbraw/zinc/62/33/28/968623328.db2.gz BLOMCVBAKLTASF-UHFFFAOYSA-N 0 3 245.370 2.946 20 0 BFADHN CC(C)(O)CNc1ccnc(-c2ccccc2)c1 ZINC001252346555 968625660 /nfs/dbraw/zinc/62/56/60/968625660.db2.gz CGHZFJQHQCPDHZ-UHFFFAOYSA-N 0 3 242.322 2.931 20 0 BFADHN CCCN(Cc1nccn1CC)[C@H](C)CC ZINC001207140574 968651464 /nfs/dbraw/zinc/65/14/64/968651464.db2.gz YMEAJXXFQYREFO-GFCCVEGCSA-N 0 3 223.364 2.914 20 0 BFADHN Cc1cncc(CN2CC[C@]3(C2)CCCCO3)c1 ZINC001207155072 968664375 /nfs/dbraw/zinc/66/43/75/968664375.db2.gz JFNXIVKKAZBBGX-HNNXBMFYSA-N 0 3 246.354 2.535 20 0 BFADHN [O-]c1ccc(-c2ccc3c(c2)C[NH2+]CC3)cc1F ZINC001240785719 968687701 /nfs/dbraw/zinc/68/77/01/968687701.db2.gz MKIICDYIRTVMKR-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN CN(CCF)CCCc1ccccc1F ZINC001207496714 968805318 /nfs/dbraw/zinc/80/53/18/968805318.db2.gz BTCFVFYZJSQFBH-UHFFFAOYSA-N 0 3 213.271 2.660 20 0 BFADHN CN(C)Cc1cccc(-c2cccc(O)c2)n1 ZINC001240924726 968812863 /nfs/dbraw/zinc/81/28/63/968812863.db2.gz NULHPLXMIUPPIF-UHFFFAOYSA-N 0 3 228.295 2.516 20 0 BFADHN FC[C@H]1CCCN1CCc1ccc(F)cc1 ZINC001207518131 968816024 /nfs/dbraw/zinc/81/60/24/968816024.db2.gz LEVWHDQPCRZDKB-CYBMUJFWSA-N 0 3 225.282 2.802 20 0 BFADHN CCS[C@H]1CCC[C@@H](NCc2cc[nH]n2)C1 ZINC000165239096 968859681 /nfs/dbraw/zinc/85/96/81/968859681.db2.gz PMLVCWHKRIQERJ-PWSUYJOCSA-N 0 3 239.388 2.564 20 0 BFADHN c1ccc(C2(CN3CC4(CSC4)C3)CC2)cc1 ZINC001207840266 968900816 /nfs/dbraw/zinc/90/08/16/968900816.db2.gz GKOPASHELZQJIK-UHFFFAOYSA-N 0 3 245.391 2.767 20 0 BFADHN CCOc1cccc(-c2cccc(CN)n2)c1 ZINC001241113705 968928868 /nfs/dbraw/zinc/92/88/68/968928868.db2.gz IBULUTBCTVLYEE-UHFFFAOYSA-N 0 3 228.295 2.606 20 0 BFADHN C[C@H](CN(C)Cc1ccncn1)c1ccccc1 ZINC001207914035 968930798 /nfs/dbraw/zinc/93/07/98/968930798.db2.gz QMYSCQFHJNICKQ-CYBMUJFWSA-N 0 3 241.338 2.712 20 0 BFADHN CC(=O)[C@@H]1CCCN1C[C@@H](C)c1ccccc1 ZINC001207913084 968930859 /nfs/dbraw/zinc/93/08/59/968930859.db2.gz DSZIPBCXUSBVCZ-DOMZBBRYSA-N 0 3 231.339 2.844 20 0 BFADHN COc1ccc2[nH]ccc2c1C1=CCN(C)CC1 ZINC001241322475 968972187 /nfs/dbraw/zinc/97/21/87/968972187.db2.gz OKGDELCNHRYLDY-UHFFFAOYSA-N 0 3 242.322 2.895 20 0 BFADHN CSc1cncc(C2=CCN(C)CC2)c1 ZINC001241319114 968973014 /nfs/dbraw/zinc/97/30/14/968973014.db2.gz CEUZKGXPJGHEPP-UHFFFAOYSA-N 0 3 220.341 2.522 20 0 BFADHN CN1CC=C(c2ccc(CF)cc2)CC1 ZINC001241320025 968973416 /nfs/dbraw/zinc/97/34/16/968973416.db2.gz RZKCCIFZJJHJAM-UHFFFAOYSA-N 0 3 205.276 2.875 20 0 BFADHN CCOc1cc(OC)cc(C2=CCN(C)CC2)c1 ZINC001241333489 968977543 /nfs/dbraw/zinc/97/75/43/968977543.db2.gz VXFLRQXJVVQMGI-UHFFFAOYSA-N 0 3 247.338 2.813 20 0 BFADHN C(CC1CCCC1)CN1CCOC2(CC2)C1 ZINC001208094347 968998028 /nfs/dbraw/zinc/99/80/28/968998028.db2.gz JSQXFJKBUVRTPU-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CS[C@H](C)CCN1CC[C@@](C)(F)[C@H](F)C1 ZINC001208140270 969055144 /nfs/dbraw/zinc/05/51/44/969055144.db2.gz ZJJMODPSNDYFBP-GMTAPVOTSA-N 0 3 237.359 2.900 20 0 BFADHN CN1CCC=C(c2cccc3ncoc32)C1 ZINC001241570358 969057267 /nfs/dbraw/zinc/05/72/67/969057267.db2.gz IQBOTFWGNBQTBF-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN Cc1cc(F)ccc1C1=CCCN(C)C1 ZINC001241570193 969058148 /nfs/dbraw/zinc/05/81/48/969058148.db2.gz WQJZIRIQYYZTDR-UHFFFAOYSA-N 0 3 205.276 2.853 20 0 BFADHN Cc1noc2ccc(C3=CCCN(C)C3)cc12 ZINC001241570782 969068649 /nfs/dbraw/zinc/06/86/49/969068649.db2.gz MLFIBBCGGNSZOK-UHFFFAOYSA-N 0 3 228.295 2.855 20 0 BFADHN CN1CCC(c2nnc(-c3ccccc3)o2)CC1 ZINC001253034242 969070878 /nfs/dbraw/zinc/07/08/78/969070878.db2.gz CYCSSHDJEOPOLN-UHFFFAOYSA-N 0 3 243.310 2.546 20 0 BFADHN Cc1ccc([C@@H](C)CCN2CC3(CC(O)C3)C2)o1 ZINC001208150696 969073013 /nfs/dbraw/zinc/07/30/13/969073013.db2.gz HCTXWCVAXOAPSB-NSHDSACASA-N 0 3 249.354 2.538 20 0 BFADHN Cc1ccc([C@@H](C)CCN2CCOC3(CC3)C2)o1 ZINC001208166135 969100744 /nfs/dbraw/zinc/10/07/44/969100744.db2.gz VXXDHLLFQWULKV-LBPRGKRZSA-N 0 3 249.354 2.946 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@H]2OCCC[C@H]2C1 ZINC001253182526 969101352 /nfs/dbraw/zinc/10/13/52/969101352.db2.gz QIFSNJFKBMAAFR-VHDGCEQUSA-N 0 3 246.354 2.644 20 0 BFADHN C[C@@H](CCN1CC[C@@](F)(CO)C1)CC(C)(C)C ZINC001208656751 969184025 /nfs/dbraw/zinc/18/40/25/969184025.db2.gz XVOCXHJJEUBVGZ-JSGCOSHPSA-N 0 3 245.382 2.855 20 0 BFADHN F[C@@H]1CN(CCC2CC2)CCCC1(F)F ZINC001208678762 969191115 /nfs/dbraw/zinc/19/11/15/969191115.db2.gz JHVAVYAYWWZFSD-SNVBAGLBSA-N 0 3 221.266 2.856 20 0 BFADHN C[C@]1(F)CCN(CCC2CC2)C[C@@H]1F ZINC001208678647 969192109 /nfs/dbraw/zinc/19/21/09/969192109.db2.gz FPGXFGZGSCTNML-QWRGUYRKSA-N 0 3 203.276 2.559 20 0 BFADHN CN(CCC1CCCC1)Cc1ccno1 ZINC001208696327 969197801 /nfs/dbraw/zinc/19/78/01/969197801.db2.gz RVFHIJNITNTTCX-UHFFFAOYSA-N 0 3 208.305 2.687 20 0 BFADHN c1cc(C2=CCOCC2)ccc1CN1CCC1 ZINC001242076951 969199919 /nfs/dbraw/zinc/19/99/19/969199919.db2.gz HCQNFVLQXQXSEM-UHFFFAOYSA-N 0 3 229.323 2.696 20 0 BFADHN CC/C=C\CCN1CCc2ncccc2C1 ZINC001208728925 969214347 /nfs/dbraw/zinc/21/43/47/969214347.db2.gz HFYCJPULDRCYDT-ARJAWSKDSA-N 0 3 216.328 2.796 20 0 BFADHN CC(C)CCCN1CCCC(=O)[C@@H](C)C1 ZINC001208733088 969217289 /nfs/dbraw/zinc/21/72/89/969217289.db2.gz CQFYTJWOAFZVBO-LBPRGKRZSA-N 0 3 211.349 2.724 20 0 BFADHN CCCCC[C@H](O)CN[C@H](C)c1ccoc1 ZINC001253555689 969230154 /nfs/dbraw/zinc/23/01/54/969230154.db2.gz ALGCOXGGSZATSP-YPMHNXCESA-N 0 3 225.332 2.871 20 0 BFADHN CC(C)Oc1ncccc1CCN1CC[C@@H]1C ZINC001208790746 969237465 /nfs/dbraw/zinc/23/74/65/969237465.db2.gz OPRIDIZEXADUOU-LBPRGKRZSA-N 0 3 234.343 2.506 20 0 BFADHN CN1CC=C(Nc2ccc(C3CCC3)nc2)CC1 ZINC001208802394 969245285 /nfs/dbraw/zinc/24/52/85/969245285.db2.gz KREWHYLOJVDPEJ-UHFFFAOYSA-N 0 3 243.354 2.980 20 0 BFADHN COc1ccc(C)cc1NC1=CCN(C)CC1 ZINC001208838763 969250691 /nfs/dbraw/zinc/25/06/91/969250691.db2.gz SDKRHNZJYPQDFZ-UHFFFAOYSA-N 0 3 232.327 2.635 20 0 BFADHN CN1CC=C(Nc2ccc(Cl)cc2)CC1 ZINC001208837429 969251049 /nfs/dbraw/zinc/25/10/49/969251049.db2.gz IKPBWMWDVBFGGT-UHFFFAOYSA-N 0 3 222.719 2.971 20 0 BFADHN CN1CC=C(Nc2ccccc2Cl)CC1 ZINC001208837406 969251931 /nfs/dbraw/zinc/25/19/31/969251931.db2.gz IBBHPTWYBVJGAC-UHFFFAOYSA-N 0 3 222.719 2.971 20 0 BFADHN CN1CC=C(Nc2cc(F)c(F)cc2F)CC1 ZINC001208837527 969252755 /nfs/dbraw/zinc/25/27/55/969252755.db2.gz KOTYFMZQONWPJE-UHFFFAOYSA-N 0 3 242.244 2.735 20 0 BFADHN C[C@@H](CN1CCc2ncccc2C1)C(F)(F)F ZINC001208893527 969282813 /nfs/dbraw/zinc/28/28/13/969282813.db2.gz KMNWOEKGQIRTOU-VIFPVBQESA-N 0 3 244.260 2.638 20 0 BFADHN CCC(=O)C(C)(C)CN(C)Cc1cccc(O)c1 ZINC001208916933 969300999 /nfs/dbraw/zinc/30/09/99/969300999.db2.gz BBWNZOFMNPFHME-UHFFFAOYSA-N 0 3 249.354 2.829 20 0 BFADHN CC1(C)CCN(CCOC(=O)c2ccccc2)C1 ZINC001209044669 969336153 /nfs/dbraw/zinc/33/61/53/969336153.db2.gz IHXOSSOPMDIKDC-UHFFFAOYSA-N 0 3 247.338 2.575 20 0 BFADHN CCOC(C)(C)CN1Cc2ccccc2C1 ZINC001209069601 969346787 /nfs/dbraw/zinc/34/67/87/969346787.db2.gz KNEMBIFPDRMMQD-UHFFFAOYSA-N 0 3 219.328 2.817 20 0 BFADHN Fc1cc(F)cc(CCN2CCCC2)c1 ZINC001209127575 969352355 /nfs/dbraw/zinc/35/23/55/969352355.db2.gz XCCWLZXYMCDPMZ-UHFFFAOYSA-N 0 3 211.255 2.603 20 0 BFADHN c1ccc(OCCN2CC3(C2)CCCC3)cc1 ZINC001209295307 969426434 /nfs/dbraw/zinc/42/64/34/969426434.db2.gz GNPZMCMORIWUSA-UHFFFAOYSA-N 0 3 231.339 2.941 20 0 BFADHN Cc1cc(CN2CC[C@H](CC(C)C)C2)[nH]n1 ZINC001254010677 969445963 /nfs/dbraw/zinc/44/59/63/969445963.db2.gz IRZAJTIVNGQCCQ-GFCCVEGCSA-N 0 3 221.348 2.586 20 0 BFADHN C[C@H](N)c1ccn(-c2nccc3sccc32)n1 ZINC001169227646 969491551 /nfs/dbraw/zinc/49/15/51/969491551.db2.gz DLSAOZQVSWHNOS-QMMMGPOBSA-N 0 3 244.323 2.502 20 0 BFADHN Cc1cccc(C)c1-n1ccc([C@@H](C)N)n1 ZINC001169231265 969501854 /nfs/dbraw/zinc/50/18/54/969501854.db2.gz GZOPLGWFDQGBBG-LLVKDONJSA-N 0 3 215.300 2.509 20 0 BFADHN C[C@@H](N)c1ccn(-c2ccc3sccc3n2)n1 ZINC001169229733 969502211 /nfs/dbraw/zinc/50/22/11/969502211.db2.gz YAGQZIWPZXIOMH-MRVPVSSYSA-N 0 3 244.323 2.502 20 0 BFADHN Cc1cccc(C)c1-n1ccc([C@H](C)N)n1 ZINC001169231266 969502842 /nfs/dbraw/zinc/50/28/42/969502842.db2.gz GZOPLGWFDQGBBG-NSHDSACASA-N 0 3 215.300 2.509 20 0 BFADHN c1nc(CN2CCCCCC2)cc2c1OCCC2 ZINC001209500037 969530536 /nfs/dbraw/zinc/53/05/36/969530536.db2.gz PSDVSZGMCZCGIN-UHFFFAOYSA-N 0 3 246.354 2.783 20 0 BFADHN F[C@H]1CCCN(C2CCC(F)(F)CC2)C1 ZINC001254219594 969536720 /nfs/dbraw/zinc/53/67/20/969536720.db2.gz ASWIQPZMUNEQMM-VIFPVBQESA-N 0 3 221.266 2.998 20 0 BFADHN CC(C)[C@H]1COCCN1C1CCC(F)(F)CC1 ZINC001254225341 969547741 /nfs/dbraw/zinc/54/77/41/969547741.db2.gz SBCICGUJYGDTLP-GFCCVEGCSA-N 0 3 247.329 2.921 20 0 BFADHN Cc1ccc(CN2CCC(OC3CCC3)CC2)[nH]1 ZINC001209570136 969552662 /nfs/dbraw/zinc/55/26/62/969552662.db2.gz LSXDOQDCHPZGHE-UHFFFAOYSA-N 0 3 248.370 2.857 20 0 BFADHN Cc1c[nH+]ccc1Nc1ccc(Cl)c([O-])c1 ZINC001212587307 969554468 /nfs/dbraw/zinc/55/44/68/969554468.db2.gz QMAILNYKGOWLPK-UHFFFAOYSA-N 0 3 234.686 2.915 20 0 BFADHN Cc1ccc(CN2CCCCC23CC3)[nH]1 ZINC001209575007 969556833 /nfs/dbraw/zinc/55/68/33/969556833.db2.gz AJOCMQHFYLUEIH-UHFFFAOYSA-N 0 3 204.317 2.842 20 0 BFADHN COc1ccccc1[C@@H](C)N(C)[C@@H]1CCCOC1 ZINC001254386415 969602650 /nfs/dbraw/zinc/60/26/50/969602650.db2.gz QMEDADDFKZHMJJ-CHWSQXEVSA-N 0 3 249.354 2.867 20 0 BFADHN Cc1cc(-c2ccc(CN)nc2)ccc1N(C)C ZINC001242241138 969618460 /nfs/dbraw/zinc/61/84/60/969618460.db2.gz DZGWLTQYTURMPL-UHFFFAOYSA-N 0 3 241.338 2.582 20 0 BFADHN O=C1CCN(C2CCC3(CC3)CC2)CC[C@@H]1F ZINC001254441896 969634035 /nfs/dbraw/zinc/63/40/35/969634035.db2.gz YJMPZLCGKXJCDK-LBPRGKRZSA-N 0 3 239.334 2.712 20 0 BFADHN O=C1CCN(C2CCC3(CC3)CC2)CC[C@H]1F ZINC001254441895 969635316 /nfs/dbraw/zinc/63/53/16/969635316.db2.gz YJMPZLCGKXJCDK-GFCCVEGCSA-N 0 3 239.334 2.712 20 0 BFADHN c1ncc2c(n1)CCN(C1CCC3(CC3)CC1)C2 ZINC001254447903 969638538 /nfs/dbraw/zinc/63/85/38/969638538.db2.gz MCEBGBVDPYWUPB-UHFFFAOYSA-N 0 3 243.354 2.558 20 0 BFADHN CCCOC1CN(C2CCC3(CC3)CC2)C1 ZINC001254448084 969639552 /nfs/dbraw/zinc/63/95/52/969639552.db2.gz OLIGCLAUIXUJKB-UHFFFAOYSA-N 0 3 223.360 2.820 20 0 BFADHN COc1cc(Cl)ccc1Nc1nccn1C ZINC001209904542 969685685 /nfs/dbraw/zinc/68/56/85/969685685.db2.gz MIRORJPTDJYTLI-UHFFFAOYSA-N 0 3 237.690 2.826 20 0 BFADHN CO[C@@H](C)c1cccc(Nc2nccn2C)c1 ZINC001209946693 969707755 /nfs/dbraw/zinc/70/77/55/969707755.db2.gz PYAVYIRNFWDIJO-JTQLQIEISA-N 0 3 231.299 2.871 20 0 BFADHN CC(C)[C@H]1CN(C2Cc3ccccc3C2)CCO1 ZINC001255025266 969850897 /nfs/dbraw/zinc/85/08/97/969850897.db2.gz CARKMBLXEUNZEI-MRXNPFEDSA-N 0 3 245.366 2.511 20 0 BFADHN Cc1ncc(Nc2ccnc(C3CC3)c2)cc1N ZINC001210289058 969857031 /nfs/dbraw/zinc/85/70/31/969857031.db2.gz SGNZIEXMPKRXJW-UHFFFAOYSA-N 0 3 240.310 2.988 20 0 BFADHN c1cc2c[nH]cc(NCCc3cncs3)c-2n1 ZINC001169866763 969878516 /nfs/dbraw/zinc/87/85/16/969878516.db2.gz LPTMELWMIVTMQV-UHFFFAOYSA-N 0 3 244.323 2.674 20 0 BFADHN [O-]c1c(F)cccc1-c1cccc2c1CC[NH2+]C2 ZINC001242351691 969901902 /nfs/dbraw/zinc/90/19/02/969901902.db2.gz PAZRIJAKRYJWDF-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN C[C@@]1(F)CCN(C2CCSCC2)C[C@H]1F ZINC001255087729 969921820 /nfs/dbraw/zinc/92/18/20/969921820.db2.gz HOQPZRNQWVRANX-GHMZBOCLSA-N 0 3 235.343 2.654 20 0 BFADHN Cc1c(F)ccc(CN[C@@H]2CCSC2)c1F ZINC001255272471 970026591 /nfs/dbraw/zinc/02/65/91/970026591.db2.gz YNEFFNATGVEOHC-SNVBAGLBSA-N 0 3 243.322 2.868 20 0 BFADHN CCCC[C@H](C)N(C)[C@H](C(=O)OC)C(C)C ZINC001255332084 970114043 /nfs/dbraw/zinc/11/40/43/970114043.db2.gz ISPUXSXLACSIIL-RYUDHWBXSA-N 0 3 229.364 2.695 20 0 BFADHN CO[C@H]1CN([C@H](C)CCCC(C)C)C[C@@H]1F ZINC001170077311 970178285 /nfs/dbraw/zinc/17/82/85/970178285.db2.gz ISEAYVUEHHVDSE-AGIUHOORSA-N 0 3 231.355 2.870 20 0 BFADHN CC(C)CCC[C@H](C)N1CCO[C@@H](C)C1 ZINC001170078516 970181259 /nfs/dbraw/zinc/18/12/59/970181259.db2.gz SRBZQUNIAVAURY-STQMWFEESA-N 0 3 213.365 2.922 20 0 BFADHN CC(C)CCC[C@H](C)N1C[C@@H]2C[C@H]1CO2 ZINC001170084323 970199505 /nfs/dbraw/zinc/19/95/05/970199505.db2.gz WPKKQOZQCMLDLK-AVGNSLFASA-N 0 3 211.349 2.674 20 0 BFADHN C[C@@H]1CC[C@@H](n2nc(CN)c3ccccc32)C1 ZINC001170106118 970306610 /nfs/dbraw/zinc/30/66/10/970306610.db2.gz UKPQGQINOMKRQO-GHMZBOCLSA-N 0 3 229.327 2.856 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@H](C)C1)c1nccs1 ZINC001170118976 970340666 /nfs/dbraw/zinc/34/06/66/970340666.db2.gz VKPMKXNGZOMBTN-IVZWLZJFSA-N 0 3 210.346 2.982 20 0 BFADHN COC[C@H](C)N(C)[C@H](C)c1ccccc1OC ZINC001255581851 970383036 /nfs/dbraw/zinc/38/30/36/970383036.db2.gz PEKXGLPPLSWKHH-NWDGAFQWSA-N 0 3 237.343 2.723 20 0 BFADHN COC[C@@H](C)N(C)[C@H](C)c1ccccc1OC ZINC001255581863 970382874 /nfs/dbraw/zinc/38/28/74/970382874.db2.gz PEKXGLPPLSWKHH-VXGBXAGGSA-N 0 3 237.343 2.723 20 0 BFADHN COC[C@@H](C)N1CCSc2ccccc2C1 ZINC001255582922 970386904 /nfs/dbraw/zinc/38/69/04/970386904.db2.gz DWMPNEJZGKNEPV-LLVKDONJSA-N 0 3 237.368 2.629 20 0 BFADHN Cc1ncc(Nc2cnccc2C2CC2)n1C ZINC001213874996 970392980 /nfs/dbraw/zinc/39/29/80/970392980.db2.gz SAFUWAQFWBWNHD-UHFFFAOYSA-N 0 3 228.299 2.745 20 0 BFADHN C[C@@H]1CC[C@H](N2C[C@@H]3C[C@H]2[C@@H](C(F)(F)F)O3)C1 ZINC001170147584 970441995 /nfs/dbraw/zinc/44/19/95/970441995.db2.gz CQUIWDGTSRXJSS-NMUGVGKYSA-N 0 3 249.276 2.579 20 0 BFADHN CN(C)Cc1ccnc(-c2cc(N)ccc2F)c1 ZINC001243284541 970449440 /nfs/dbraw/zinc/44/94/40/970449440.db2.gz OJANNOULDGSSHL-UHFFFAOYSA-N 0 3 245.301 2.532 20 0 BFADHN NCc1ccn([C@H]2CCC[C@H](C(F)(F)F)C2)n1 ZINC001255690010 970459002 /nfs/dbraw/zinc/45/90/02/970459002.db2.gz QDTGJEGFPWOPFH-WPRPVWTQSA-N 0 3 247.264 2.635 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCc3ncccc3C2)C1 ZINC001170151224 970461918 /nfs/dbraw/zinc/46/19/18/970461918.db2.gz GBWKGCSPQUFCIN-DGCLKSJQSA-N 0 3 216.328 2.628 20 0 BFADHN CCCCOC1CN([C@H]2CC[C@@H](C)C2)C1 ZINC001170153443 970465591 /nfs/dbraw/zinc/46/55/91/970465591.db2.gz PYLYIGMBIHCSDL-NEPJUHHUSA-N 0 3 211.349 2.676 20 0 BFADHN CCOc1cc(Nc2nccn2C)ccc1C ZINC001214320990 970481755 /nfs/dbraw/zinc/48/17/55/970481755.db2.gz NOHOWMXAYCZYHV-UHFFFAOYSA-N 0 3 231.299 2.871 20 0 BFADHN Cc1ccc(C[C@H](C)N2CCCC(=O)CC2)cc1 ZINC001255788038 970489704 /nfs/dbraw/zinc/48/97/04/970489704.db2.gz REWGQAFNGCGZGM-AWEZNQCLSA-N 0 3 245.366 2.981 20 0 BFADHN Cc1ccc(C[C@H](C)N2CCOC3(CC3)C2)cc1 ZINC001255793506 970497934 /nfs/dbraw/zinc/49/79/34/970497934.db2.gz DWOCAHGXPIIIHQ-AWEZNQCLSA-N 0 3 245.366 2.791 20 0 BFADHN C[C@H](Cc1ccccc1F)n1ccnc1[C@H](C)N ZINC001255829978 970506655 /nfs/dbraw/zinc/50/66/55/970506655.db2.gz QLUWNBYYXFNSTF-MNOVXSKESA-N 0 3 247.317 2.846 20 0 BFADHN C[C@@H](N)c1nccn1[C@H](C)Cc1ccccc1F ZINC001255829977 970507563 /nfs/dbraw/zinc/50/75/63/970507563.db2.gz QLUWNBYYXFNSTF-GHMZBOCLSA-N 0 3 247.317 2.846 20 0 BFADHN C[C@@H](Cc1ccccc1F)N1CC=CC1 ZINC001255842200 970512570 /nfs/dbraw/zinc/51/25/70/970512570.db2.gz PWHLIHCSLBYJMU-NSHDSACASA-N 0 3 205.276 2.629 20 0 BFADHN Cn1ncc2c1CCN(C1CCCCCC1)C2 ZINC001255893080 970561269 /nfs/dbraw/zinc/56/12/69/970561269.db2.gz AYWRBWSQZCRDAN-UHFFFAOYSA-N 0 3 233.359 2.501 20 0 BFADHN Clc1ccc(CN2CC[C@@H](C3CC3)C2)cn1 ZINC000400403636 970586997 /nfs/dbraw/zinc/58/69/97/970586997.db2.gz RBEMEOCMTPYLLR-GFCCVEGCSA-N 0 3 236.746 2.967 20 0 BFADHN CC[C@@H]1C[C@H](N(C)Cc2cncs2)CCO1 ZINC001170235797 970609172 /nfs/dbraw/zinc/60/91/72/970609172.db2.gz AJEKJLVOYQVKGT-GHMZBOCLSA-N 0 3 240.372 2.533 20 0 BFADHN CC[C@H]1C[C@@H](N(C)Cc2cncs2)CCO1 ZINC001170235799 970609536 /nfs/dbraw/zinc/60/95/36/970609536.db2.gz AJEKJLVOYQVKGT-QWRGUYRKSA-N 0 3 240.372 2.533 20 0 BFADHN Cc1cc2cc(CN[C@H](C)CO)oc2cc1C ZINC000304715259 970633087 /nfs/dbraw/zinc/63/30/87/970633087.db2.gz MZDLERGPBUZHSU-LLVKDONJSA-N 0 3 233.311 2.520 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)Nc1cccc(F)c1 ZINC000400859646 970635730 /nfs/dbraw/zinc/63/57/30/970635730.db2.gz HUXYQUJWRNYPPW-CABZTGNLSA-N 0 3 238.306 2.528 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)Nc1ccc(F)cc1 ZINC000400905871 970640973 /nfs/dbraw/zinc/64/09/73/970640973.db2.gz PNSNVXCCIHGZCJ-SKDRFNHKSA-N 0 3 238.306 2.528 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)N(C)C1CCCCC1 ZINC000400946714 970649923 /nfs/dbraw/zinc/64/99/23/970649923.db2.gz HRJVSUBNNKGXJE-DGCLKSJQSA-N 0 3 240.391 2.541 20 0 BFADHN C[C@H](Cc1ccccc1Cl)NC1(C)COC1 ZINC001170249953 970655753 /nfs/dbraw/zinc/65/57/53/970655753.db2.gz FEXFAUFVVNZDDB-SNVBAGLBSA-N 0 3 239.746 2.650 20 0 BFADHN Cc1ccc(CN[C@H](C)Cc2ccsc2)nn1 ZINC000689946007 970746979 /nfs/dbraw/zinc/74/69/79/970746979.db2.gz JSUOCEYBZDMECG-LLVKDONJSA-N 0 3 247.367 2.567 20 0 BFADHN CC(C)CC[C@H](C)N[C@H](CO)c1ccccn1 ZINC001256357120 970757517 /nfs/dbraw/zinc/75/75/17/970757517.db2.gz BKDSPSLCONQYLL-GXTWGEPZSA-N 0 3 236.359 2.529 20 0 BFADHN CC1(NCc2cccc3c2OC(F)(F)O3)CC1 ZINC001327375390 972564067 /nfs/dbraw/zinc/56/40/67/972564067.db2.gz MRLKTSLTORPAET-UHFFFAOYSA-N 0 3 241.237 2.650 20 0 BFADHN CCc1cc(CN2CC(C)C2)cc(CC)c1O ZINC001144136675 972605668 /nfs/dbraw/zinc/60/56/68/972605668.db2.gz CRKJKYGHKRMUNV-UHFFFAOYSA-N 0 3 233.355 2.969 20 0 BFADHN Fc1ccc2[nH]nc(CN3C4CCC3CC4)c2c1 ZINC001144200038 972671592 /nfs/dbraw/zinc/67/15/92/972671592.db2.gz WITUFBNRNSPFSI-UHFFFAOYSA-N 0 3 245.301 2.829 20 0 BFADHN C[C@H]1C[N@@H+](Cc2ccc([O-])cn2)CCC[C@@H]1C ZINC001144253385 972717231 /nfs/dbraw/zinc/71/72/31/972717231.db2.gz VOEDJHAKJMLICF-RYUDHWBXSA-N 0 3 234.343 2.655 20 0 BFADHN C[C@H]1C[N@H+](Cc2ccc([O-])cn2)CCC[C@@H]1C ZINC001144253385 972717243 /nfs/dbraw/zinc/71/72/43/972717243.db2.gz VOEDJHAKJMLICF-RYUDHWBXSA-N 0 3 234.343 2.655 20 0 BFADHN CN(Cc1cccn1C1CC1)[C@H]1CCSC1 ZINC001327941022 972823075 /nfs/dbraw/zinc/82/30/75/972823075.db2.gz UQJVEAFIFYCHLO-ZDUSSCGKSA-N 0 3 236.384 2.760 20 0 BFADHN COc1ncc(CN(C)CC(C)(C)C)cc1F ZINC001144462860 972829285 /nfs/dbraw/zinc/82/92/85/972829285.db2.gz JRVDMVCWBCKKBP-UHFFFAOYSA-N 0 3 240.322 2.707 20 0 BFADHN CCCC[C@H](C)N1CC[C@](N)(C(F)(F)F)C1 ZINC001246571491 972850905 /nfs/dbraw/zinc/85/09/05/972850905.db2.gz SGLPAMDPTVIVDX-VHSXEESVSA-N 0 3 238.297 2.531 20 0 BFADHN CC(C)CN(C)Cc1c(F)cc(O)cc1F ZINC001144542140 972861798 /nfs/dbraw/zinc/86/17/98/972861798.db2.gz PTKOTVOICFIWGZ-UHFFFAOYSA-N 0 3 229.270 2.758 20 0 BFADHN CC1(C)CCN(Cc2ncn3ccccc23)C1 ZINC001144623657 972893094 /nfs/dbraw/zinc/89/30/94/972893094.db2.gz ZEAAEVKJZUFUBG-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CN(Cc1ncn2ccccc12)CC1CCC1 ZINC001144623100 972893323 /nfs/dbraw/zinc/89/33/23/972893323.db2.gz PMWXAGCTFUVTEM-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN Clc1ccnc(CN2C[C@H]3CCC[C@@H]3C2)c1 ZINC000126195128 973070399 /nfs/dbraw/zinc/07/03/99/973070399.db2.gz XSADFDVHDVUSLT-GHMZBOCLSA-N 0 3 236.746 2.967 20 0 BFADHN Cc1cc(CN2CC[C@@H]3CCCC[C@H]3C2)n(C)n1 ZINC001328715356 973297181 /nfs/dbraw/zinc/29/71/81/973297181.db2.gz WAVBMKYQJOEDTR-KBPBESRZSA-N 0 3 247.386 2.741 20 0 BFADHN CN[C@H]1CCN1C1CCC(c2ccccc2)CC1 ZINC001246900469 973379885 /nfs/dbraw/zinc/37/98/85/973379885.db2.gz RRONNTYBQAIUIV-UYSNPLJNSA-N 0 3 244.382 2.964 20 0 BFADHN CN(C)c1ncccc1CN1CCCC(C)(C)C1 ZINC001329757729 973916525 /nfs/dbraw/zinc/91/65/25/973916525.db2.gz VUGTZPDIURMXFB-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN C[C@H]1CCN1[C@H]1CCc2c(F)cc(F)cc2C1 ZINC001171366943 973965730 /nfs/dbraw/zinc/96/57/30/973965730.db2.gz QPODGSDSZMFMSC-CABZTGNLSA-N 0 3 237.293 2.916 20 0 BFADHN CC(C)OC(=O)CN1[C@H](C)C[C@H]2CCCC[C@@H]21 ZINC001330089535 974102597 /nfs/dbraw/zinc/10/25/97/974102597.db2.gz OTUKWTGGRYMDJC-UPJWGTAASA-N 0 3 239.359 2.591 20 0 BFADHN F[C@H]1CCC[C@@H](NCc2cnc(C3CC3)o2)C1 ZINC001330108697 974108734 /nfs/dbraw/zinc/10/87/34/974108734.db2.gz PWUHJFMQUCOLFY-WDEREUQCSA-N 0 3 238.306 2.922 20 0 BFADHN C[C@H](N[C@H]1Cc2cccc(F)c2C1)c1ccno1 ZINC001171790557 974178813 /nfs/dbraw/zinc/17/88/13/974178813.db2.gz NSZAGMKMVHHLRF-ONGXEEELSA-N 0 3 246.285 2.632 20 0 BFADHN C[C@@H](N[C@@H]1Cc2cccc(F)c2C1)c1ccno1 ZINC001171790556 974181626 /nfs/dbraw/zinc/18/16/26/974181626.db2.gz NSZAGMKMVHHLRF-MWLCHTKSSA-N 0 3 246.285 2.632 20 0 BFADHN CCOc1ccccc1O[C@@H]1CN2CCC1CC2 ZINC001225212383 974238460 /nfs/dbraw/zinc/23/84/60/974238460.db2.gz IDORHDNESSWFEA-OAHLLOKOSA-N 0 3 247.338 2.558 20 0 BFADHN CCOc1ccccc1O[C@H]1CCCN(CC)C1 ZINC001225212624 974240079 /nfs/dbraw/zinc/24/00/79/974240079.db2.gz LHZTXXINEDMGBZ-ZDUSSCGKSA-N 0 3 249.354 2.948 20 0 BFADHN CS[C@H]1CC[C@@H](N(C)Cc2ccns2)C1 ZINC001330659275 974389490 /nfs/dbraw/zinc/38/94/90/974389490.db2.gz XPUDUHBVIAQBSS-ZJUUUORDSA-N 0 3 242.413 2.859 20 0 BFADHN CCC(=O)[C@@H](CC)Oc1ccc(CN(C)C)cc1 ZINC001225334439 974409896 /nfs/dbraw/zinc/40/98/96/974409896.db2.gz UDKOKGUFNZABQP-OAHLLOKOSA-N 0 3 249.354 2.885 20 0 BFADHN C[C@@H](NC1C[C@H]2CC[C@@H](C1)O2)c1cscn1 ZINC001172218489 974445409 /nfs/dbraw/zinc/44/54/09/974445409.db2.gz VJZSMVXADHNVQG-UBJLHIIHSA-N 0 3 238.356 2.504 20 0 BFADHN FC[C@@H](NC1C[C@@H]2C=C[C@H](C1)O2)c1ccccc1 ZINC001172233831 974477577 /nfs/dbraw/zinc/47/75/77/974477577.db2.gz NKNRREROFNKVGM-JALIKCBVSA-N 0 3 247.313 2.773 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H]2COC(C)(C)C2)c1 ZINC001172271916 974487411 /nfs/dbraw/zinc/48/74/11/974487411.db2.gz RZUIZUAUROQJNV-NEPJUHHUSA-N 0 3 234.343 2.608 20 0 BFADHN CC[C@@H](N[C@@H]1COC(C)(C)C1)c1ccccn1 ZINC001172275069 974489300 /nfs/dbraw/zinc/48/93/00/974489300.db2.gz ITTUUESDDSCVLQ-NWDGAFQWSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2COC(C)(C)C2)nc1 ZINC001172275430 974489503 /nfs/dbraw/zinc/48/95/03/974489503.db2.gz QPFFGCXKIJIHLZ-NWDGAFQWSA-N 0 3 234.343 2.608 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)NCc1nccs1 ZINC001172317089 974524205 /nfs/dbraw/zinc/52/42/05/974524205.db2.gz PIMQIOOVIOPQFP-MNOVXSKESA-N 0 3 242.388 2.826 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)n1ccc([C@H](C)N)n1 ZINC001172325348 974537285 /nfs/dbraw/zinc/53/72/85/974537285.db2.gz UORLLKVLASTRDV-WOPDTQHZSA-N 0 3 239.363 2.669 20 0 BFADHN CC(C)c1ncccc1O[C@@H]1CCCN(C)C1 ZINC001225523113 974538237 /nfs/dbraw/zinc/53/82/37/974538237.db2.gz ATOFFOUZLLXHSG-GFCCVEGCSA-N 0 3 234.343 2.678 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCc3nn(C)cc3C2)C[C@H]1C ZINC001172373287 974570879 /nfs/dbraw/zinc/57/08/79/974570879.db2.gz LCZVPQKNOWMLSG-YRGRVCCFSA-N 0 3 247.386 2.603 20 0 BFADHN C[C@@H]1CC[C@H](N2CCc3ncncc3C2)C[C@H]1C ZINC001172373416 974571949 /nfs/dbraw/zinc/57/19/49/974571949.db2.gz LWYVCTFRCSOZMJ-BZPMIXESSA-N 0 3 245.370 2.659 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)N1C[C@@H](C)O[C@@H](C)C1 ZINC001172334373 974597844 /nfs/dbraw/zinc/59/78/44/974597844.db2.gz BMXLHRZULDUHFT-SYQHCUMBSA-N 0 3 243.391 2.689 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)N1CC(C(F)F)C1 ZINC001172362218 974633671 /nfs/dbraw/zinc/63/36/71/974633671.db2.gz RPTPZSPSSJIFRC-NXEZZACHSA-N 0 3 235.318 2.777 20 0 BFADHN C[C@@H]1CC[C@H](NCC(=O)c2cccnc2)C[C@@H]1C ZINC001172363389 974636858 /nfs/dbraw/zinc/63/68/58/974636858.db2.gz HMHFNORFVQCHFI-DYEKYZERSA-N 0 3 246.354 2.679 20 0 BFADHN CCN1CC[C@@H](Oc2nc3ccccc3s2)C1 ZINC001225693075 974646325 /nfs/dbraw/zinc/64/63/25/974646325.db2.gz MOCLQJKRRCFJGP-SNVBAGLBSA-N 0 3 248.351 2.769 20 0 BFADHN CCOC1CN([C@@H]2CC[C@@H](C)[C@@H](C)C2)C1 ZINC001172368680 974647840 /nfs/dbraw/zinc/64/78/40/974647840.db2.gz XEVNCBCPOLWTHF-GRYCIOLGSA-N 0 3 211.349 2.532 20 0 BFADHN C[C@@H]1CC[C@@H](Nc2ccc(CN)nc2)C[C@H]1C ZINC001172368332 974649733 /nfs/dbraw/zinc/64/97/33/974649733.db2.gz OAEKBMFQPZGVPJ-IJLUTSLNSA-N 0 3 233.359 2.777 20 0 BFADHN CC(C)OCC[C@@H](C)NCC(=O)c1ccccc1 ZINC001172435343 974674117 /nfs/dbraw/zinc/67/41/17/974674117.db2.gz CEZJVUWRXFPHCU-CYBMUJFWSA-N 0 3 249.354 2.663 20 0 BFADHN CN1CCC[C@@H](Oc2ccc(F)c(Cl)c2)C1 ZINC001225917017 974727067 /nfs/dbraw/zinc/72/70/67/974727067.db2.gz DJXMZRUALLEVDQ-SNVBAGLBSA-N 0 3 243.709 2.952 20 0 BFADHN CCN1CC[C@@H](Oc2ccc(C)c(F)c2F)C1 ZINC001225943366 974739712 /nfs/dbraw/zinc/73/97/12/974739712.db2.gz WASDYXFEENOCMV-SNVBAGLBSA-N 0 3 241.281 2.746 20 0 BFADHN CC[C@H](CC(C)C)Nc1ccc(CN)nc1 ZINC001172391451 974742559 /nfs/dbraw/zinc/74/25/59/974742559.db2.gz GRGPLKAQPWXJRC-LLVKDONJSA-N 0 3 221.348 2.777 20 0 BFADHN CC[C@H]1COCCN1[C@H](C)CCOCC(C)C ZINC001172475339 974770749 /nfs/dbraw/zinc/77/07/49/974770749.db2.gz IFIAIGDBEOWFAS-KGLIPLIRSA-N 0 3 243.391 2.548 20 0 BFADHN [O-]c1ccc(C[NH+]2CCCCCCCC2)nc1 ZINC000322301095 974799391 /nfs/dbraw/zinc/79/93/91/974799391.db2.gz ZZEJWTDMBPPXMC-UHFFFAOYSA-N 0 3 234.343 2.943 20 0 BFADHN CC(C)OCC[C@@H](C)N1CCC[C@@H](F)C1 ZINC001172450974 974812498 /nfs/dbraw/zinc/81/24/98/974812498.db2.gz LUYDBZSDAIAQQM-VXGBXAGGSA-N 0 3 217.328 2.624 20 0 BFADHN C[C@@H]1CCC[C@H](c2noc([C@@H]3NC[C@@H]4C[C@@H]43)n2)C1 ZINC001331761869 974815186 /nfs/dbraw/zinc/81/51/86/974815186.db2.gz IVPMRZLKMOJRPD-WTPMCQDGSA-N 0 3 247.342 2.644 20 0 BFADHN F[C@H]1COC[C@@H]1N[C@H]1CC[C@@H](c2ccccc2)C1 ZINC001172553739 974868254 /nfs/dbraw/zinc/86/82/54/974868254.db2.gz BEJKOVLRJJMLQS-QPSCCSFWSA-N 0 3 249.329 2.649 20 0 BFADHN NCc1ccn([C@@H]2CC[C@@H](c3ccccc3)C2)n1 ZINC001172546081 974879173 /nfs/dbraw/zinc/87/91/73/974879173.db2.gz JLBKUGHQKXDJGF-UKRRQHHQSA-N 0 3 241.338 2.851 20 0 BFADHN C[C@H]1C[C@H](NCCCC(F)F)c2nccn21 ZINC001331964977 974892430 /nfs/dbraw/zinc/89/24/30/974892430.db2.gz NGFUJWNETTVBBO-IUCAKERBSA-N 0 3 229.274 2.524 20 0 BFADHN Oc1ccc(CN2CCC[C@@H](C(F)F)C2)cc1 ZINC001204098022 974909513 /nfs/dbraw/zinc/90/95/13/974909513.db2.gz RZVSGXKTVAARGB-LLVKDONJSA-N 0 3 241.281 2.869 20 0 BFADHN Cc1ccc2c(c1)C[C@@H](N1C=C(N)C=CC1)CC2 ZINC001172827335 974949025 /nfs/dbraw/zinc/94/90/25/974949025.db2.gz BMPFHSGPTAUQLV-INIZCTEOSA-N 0 3 240.350 2.524 20 0 BFADHN Cc1ccc2c(c1)C[C@H](N1CCO[C@@H](C)C1)CC2 ZINC001172827211 974949368 /nfs/dbraw/zinc/94/93/68/974949368.db2.gz JNILIXVAPSOJNA-XJKSGUPXSA-N 0 3 245.366 2.573 20 0 BFADHN c1cc(CN2CC3(C2)CCCCC3)c[nH]1 ZINC000297517629 974962801 /nfs/dbraw/zinc/96/28/01/974962801.db2.gz WKNXKOMOAHZEPH-UHFFFAOYSA-N 0 3 204.317 2.781 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@H](Oc2ccnc(N)c2)C1 ZINC001226654763 975002338 /nfs/dbraw/zinc/00/23/38/975002338.db2.gz CBXYCFGCZDHSKL-CKYFFXLPSA-N 0 3 220.316 2.867 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@@H](Oc2ccnc(N)c2)C1 ZINC001226654768 975004402 /nfs/dbraw/zinc/00/44/02/975004402.db2.gz CBXYCFGCZDHSKL-FOGDFJRCSA-N 0 3 220.316 2.867 20 0 BFADHN COc1ccccc1[C@H](C)Oc1ccnc(N)c1 ZINC001226658116 975005335 /nfs/dbraw/zinc/00/53/35/975005335.db2.gz WJUHZBIENDUUNM-JTQLQIEISA-N 0 3 244.294 2.812 20 0 BFADHN CC[C@@H]1CC[C@@H](n2ccnc2[C@@H](C)N)C1 ZINC001173259921 975107311 /nfs/dbraw/zinc/10/73/11/975107311.db2.gz IBYZDZBTFLLSRF-GMTAPVOTSA-N 0 3 207.321 2.654 20 0 BFADHN CC[C@@H]1CC[C@@H](Nc2ccc(CN)nc2)C1 ZINC001173274892 975156256 /nfs/dbraw/zinc/15/62/56/975156256.db2.gz QQYADQRUQZBGOE-GHMZBOCLSA-N 0 3 219.332 2.531 20 0 BFADHN CCc1ccc(C[C@H](C)N(CC)C2COC2)cc1 ZINC001173242277 975165171 /nfs/dbraw/zinc/16/51/71/975165171.db2.gz DXNMQUVQRXRIJT-ZDUSSCGKSA-N 0 3 247.382 2.901 20 0 BFADHN CCSC[C@@H](C)NCc1ncn2ccccc12 ZINC001173296274 975180545 /nfs/dbraw/zinc/18/05/45/975180545.db2.gz QWKMVKUMXCKWLP-LLVKDONJSA-N 0 3 249.383 2.566 20 0 BFADHN CCSC[C@H](C)N1CCCC[C@H]1C(C)=O ZINC001173311002 975219598 /nfs/dbraw/zinc/21/95/98/975219598.db2.gz IZPDRVKOVQSRCS-JQWIXIFHSA-N 0 3 229.389 2.572 20 0 BFADHN c1nc(-c2ccccc2)oc1CN1CC[C@H]2C[C@H]21 ZINC001332682786 975248128 /nfs/dbraw/zinc/24/81/28/975248128.db2.gz FFKQQLSSRQJQIH-GXTWGEPZSA-N 0 3 240.306 2.936 20 0 BFADHN CCc1ncc(CN2CC3CCC2CC3)o1 ZINC001332697758 975257329 /nfs/dbraw/zinc/25/73/29/975257329.db2.gz LTPGUXXMNSJOGM-UHFFFAOYSA-N 0 3 220.316 2.611 20 0 BFADHN NCc1cnnn1[C@H]1CCCC2(CCCCC2)C1 ZINC001173341575 975285160 /nfs/dbraw/zinc/28/51/60/975285160.db2.gz NMFITBKXAKKJOJ-LBPRGKRZSA-N 0 3 248.374 2.802 20 0 BFADHN CCCc1ccc(O)cc1O[C@@H]1CCCN(C)C1 ZINC001227150528 975296174 /nfs/dbraw/zinc/29/61/74/975296174.db2.gz OWINKAZFSYCBHY-CQSZACIVSA-N 0 3 249.354 2.818 20 0 BFADHN CCC[C@@H](CN(C)CCC(F)(F)F)OC ZINC001332961413 975343394 /nfs/dbraw/zinc/34/33/94/975343394.db2.gz OBLQWFFPTIAUHS-VIFPVBQESA-N 0 3 227.270 2.686 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1nncs1 ZINC001332921901 975321623 /nfs/dbraw/zinc/32/16/23/975321623.db2.gz IJYWOPUACGNAFC-VHSXEESVSA-N 0 3 239.388 2.843 20 0 BFADHN Nc1c(Cl)cccc1CNC/C=C/C1CC1 ZINC001330621031 975587514 /nfs/dbraw/zinc/58/75/14/975587514.db2.gz IDRRSYZUXLGYRH-NSCUHMNNSA-N 0 3 236.746 2.978 20 0 BFADHN CCc1ccccc1O[C@@H]1CN2CCC1CC2 ZINC001227680137 975599560 /nfs/dbraw/zinc/59/95/60/975599560.db2.gz BOZQMGGNIIREPE-OAHLLOKOSA-N 0 3 231.339 2.722 20 0 BFADHN Cc1ccc(CNC2CC(C)C2)c(Cl)n1 ZINC001333596561 975878200 /nfs/dbraw/zinc/87/82/00/975878200.db2.gz UQJDBNGMINNFMR-UHFFFAOYSA-N 0 3 224.735 2.932 20 0 BFADHN Nc1c2ccnc-2n(-c2ccncc2)cc1Cl ZINC001173879531 975917315 /nfs/dbraw/zinc/91/73/15/975917315.db2.gz TZRIEZPYODRCTJ-UHFFFAOYSA-N 0 3 244.685 2.608 20 0 BFADHN CCCSC[C@@H](C)NCc1cccc(F)n1 ZINC001333700657 975974031 /nfs/dbraw/zinc/97/40/31/975974031.db2.gz ZWSMUANHOWKSDK-SNVBAGLBSA-N 0 3 242.363 2.842 20 0 BFADHN C[C@H]1CCN(c2cc(N)ncc2Cl)C[C@@H]1F ZINC001333696149 976002421 /nfs/dbraw/zinc/00/24/21/976002421.db2.gz APBOQWJTDMPJKT-CBAPKCEASA-N 0 3 243.713 2.502 20 0 BFADHN COc1cccc(F)c1CN[C@@H](C)C1CC1 ZINC001333732177 976040823 /nfs/dbraw/zinc/04/08/23/976040823.db2.gz AZJAQPPEMDZJFK-VIFPVBQESA-N 0 3 223.291 2.722 20 0 BFADHN Fc1cccnc1CNCCCC(F)(F)F ZINC001333809756 976099482 /nfs/dbraw/zinc/09/94/82/976099482.db2.gz TZKPCUIVTUNGJJ-UHFFFAOYSA-N 0 3 236.212 2.653 20 0 BFADHN CC(C)c1cccc(OC2CCN(C)CC2)n1 ZINC001228319199 976105926 /nfs/dbraw/zinc/10/59/26/976105926.db2.gz YWBJPDUGKJYDKR-UHFFFAOYSA-N 0 3 234.343 2.678 20 0 BFADHN CC(=O)Nc1ccc(Nc2ccncc2C)cc1 ZINC001173972808 976191238 /nfs/dbraw/zinc/19/12/38/976191238.db2.gz CGCYFEZUQCQYPQ-UHFFFAOYSA-N 0 3 241.294 2.514 20 0 BFADHN COCc1cccc(Nc2ccncc2C)c1 ZINC001174092088 976282670 /nfs/dbraw/zinc/28/26/70/976282670.db2.gz PROOKWWKGVYGBO-UHFFFAOYSA-N 0 3 228.295 2.702 20 0 BFADHN Cc1ncc(Nc2ccc3c(ccn3C)c2)n1C ZINC001174097700 976295035 /nfs/dbraw/zinc/29/50/35/976295035.db2.gz VTAACXHIQTUMQT-UHFFFAOYSA-N 0 3 240.310 2.964 20 0 BFADHN COc1cccc(F)c1CN[C@@H]1CC[C@H]1C ZINC001334234215 976397000 /nfs/dbraw/zinc/39/70/00/976397000.db2.gz NTAJBNCFBFLQRK-BXKDBHETSA-N 0 3 223.291 2.722 20 0 BFADHN Cc1c(Nc2ccnc(C3CC3)c2)cnn1C ZINC001174391301 976577907 /nfs/dbraw/zinc/57/79/07/976577907.db2.gz IJAXPLWGGSMCRZ-UHFFFAOYSA-N 0 3 228.299 2.745 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1cn(C)nc1Cl ZINC001334669830 976585680 /nfs/dbraw/zinc/58/56/80/976585680.db2.gz RFIDVFKZUKQXSR-LLVKDONJSA-N 0 3 241.766 2.694 20 0 BFADHN CN1CCc2cc(OC3CCC3)ccc2C1 ZINC001228937128 976591727 /nfs/dbraw/zinc/59/17/27/976591727.db2.gz WDTZAEQBIWEIOE-UHFFFAOYSA-N 0 3 217.312 2.606 20 0 BFADHN CC(C)=CCNCc1cnc(F)cc1C ZINC001334731027 976607497 /nfs/dbraw/zinc/60/74/97/976607497.db2.gz ZHFSXTZHTSSDPZ-UHFFFAOYSA-N 0 3 208.280 2.585 20 0 BFADHN C/C=C/c1ccc(OC)c(O[C@@H]2CCN(C)C2)c1 ZINC001229247805 976805274 /nfs/dbraw/zinc/80/52/74/976805274.db2.gz FTFBXTJRILMLKF-MUBLQREKSA-N 0 3 247.338 2.811 20 0 BFADHN CC[C@@H](C)Oc1ccc(C(=O)CCN(C)C)cc1 ZINC001229303280 976816833 /nfs/dbraw/zinc/81/68/33/976816833.db2.gz RKNJEDUIFUSMHX-GFCCVEGCSA-N 0 3 249.354 2.998 20 0 BFADHN COc1ccc(C)c(Nc2ccncc2C)c1 ZINC001174537532 976819663 /nfs/dbraw/zinc/81/96/63/976819663.db2.gz JWODRESYRCCWNQ-UHFFFAOYSA-N 0 3 228.295 2.873 20 0 BFADHN Cc1cc(C)c(Nc2ccncc2C)cn1 ZINC001174537165 976820141 /nfs/dbraw/zinc/82/01/41/976820141.db2.gz ZYVWYEFZWAPYEU-UHFFFAOYSA-N 0 3 213.284 2.567 20 0 BFADHN Cc1nc2ccc(N)cc2n1-c1ccncc1C ZINC001174537460 976820207 /nfs/dbraw/zinc/82/02/07/976820207.db2.gz GHDNAQYCFPWXLP-UHFFFAOYSA-N 0 3 238.294 2.620 20 0 BFADHN COc1ccc(Nc2ccncc2C)c(Cl)n1 ZINC001174536888 976820239 /nfs/dbraw/zinc/82/02/39/976820239.db2.gz NGRFGLYTIHHUMB-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN CCc1cccnc1Nc1ccncc1C ZINC001174536880 976821601 /nfs/dbraw/zinc/82/16/01/976821601.db2.gz NEMHKRYJWFWMKT-UHFFFAOYSA-N 0 3 213.284 2.513 20 0 BFADHN CSc1cc(Nc2ccncc2C)ccn1 ZINC001174537222 976822378 /nfs/dbraw/zinc/82/23/78/976822378.db2.gz CLTAVVZBPCWEIV-UHFFFAOYSA-N 0 3 231.324 2.672 20 0 BFADHN Cc1cnccc1Nc1cccc2snnc21 ZINC001174538226 976824171 /nfs/dbraw/zinc/82/41/71/976824171.db2.gz AQNIPQTUVLHXHT-UHFFFAOYSA-N 0 3 242.307 2.560 20 0 BFADHN Cc1cnccc1Nc1cncc(C2CC2)c1 ZINC001174538025 976824718 /nfs/dbraw/zinc/82/47/18/976824718.db2.gz WZJNLVNDABDYLJ-UHFFFAOYSA-N 0 3 225.295 2.828 20 0 BFADHN Cc1cnccc1Nc1cccc2nsnc21 ZINC001174537706 976825215 /nfs/dbraw/zinc/82/52/15/976825215.db2.gz NSHAPZCTZGKYQQ-UHFFFAOYSA-N 0 3 242.307 2.560 20 0 BFADHN Cc1cnccc1Nc1ccc(O)c(Cl)c1 ZINC001174539330 976827194 /nfs/dbraw/zinc/82/71/94/976827194.db2.gz HTPCBDXXFIJEQH-UHFFFAOYSA-N 0 3 234.686 2.915 20 0 BFADHN Cc1cnccc1Nc1c(F)cc(O)cc1F ZINC001174538870 976830075 /nfs/dbraw/zinc/83/00/75/976830075.db2.gz QEKZLQWDEZIFOB-UHFFFAOYSA-N 0 3 236.221 2.539 20 0 BFADHN Cc1c[nH+]ccc1Nc1cc([O-])c(F)cc1F ZINC001174540141 976831298 /nfs/dbraw/zinc/83/12/98/976831298.db2.gz VPPKNZLSPRDVHM-UHFFFAOYSA-N 0 3 236.221 2.539 20 0 BFADHN Cc1cnccc1Nc1cc(F)c(O)cc1F ZINC001174540235 976831327 /nfs/dbraw/zinc/83/13/27/976831327.db2.gz ZIVXYKVLMZLSAI-UHFFFAOYSA-N 0 3 236.221 2.539 20 0 BFADHN CC(=O)c1c(F)cccc1Nc1ccncc1C ZINC001174540169 976831996 /nfs/dbraw/zinc/83/19/96/976831996.db2.gz WJJYFHPTBHOJRO-UHFFFAOYSA-N 0 3 244.269 2.897 20 0 BFADHN C(=C/C1CC1)\CNCc1cnn(CC2CCC2)c1 ZINC001335164589 976869633 /nfs/dbraw/zinc/86/96/33/976869633.db2.gz IEPOOJOLSDJVEL-GORDUTHDSA-N 0 3 245.370 2.739 20 0 BFADHN Cc1cc(Nc2cc(C(C)C)no2)ccn1 ZINC001174651716 976933334 /nfs/dbraw/zinc/93/33/34/976933334.db2.gz LXXAIYFISCPXSY-UHFFFAOYSA-N 0 3 217.272 2.667 20 0 BFADHN CC(C)n1cc([C@H](C)NCCCC(F)F)nn1 ZINC001335297763 976936151 /nfs/dbraw/zinc/93/61/51/976936151.db2.gz RVIKEFCBBUFFGY-VIFPVBQESA-N 0 3 246.305 2.555 20 0 BFADHN Cc1cc(Nc2nc(C)c(C)nc2Cl)ccn1 ZINC001174652746 976939436 /nfs/dbraw/zinc/93/94/36/976939436.db2.gz DZPQAXKBDADKER-UHFFFAOYSA-N 0 3 248.717 2.616 20 0 BFADHN Cc1cc(-n2cc3c(ccc(N)c3C)n2)ccn1 ZINC001174655877 976949635 /nfs/dbraw/zinc/94/96/35/976949635.db2.gz VDINYHDLAMGOPV-UHFFFAOYSA-N 0 3 238.294 2.620 20 0 BFADHN CN(C)Cc1ccccc1OC1CCC1 ZINC001229700271 976953965 /nfs/dbraw/zinc/95/39/65/976953965.db2.gz WZVVIBURNATXRP-UHFFFAOYSA-N 0 3 205.301 2.680 20 0 BFADHN CC(C)c1cccc(O)c1O[C@H]1CCCN(C)C1 ZINC001229706912 976955106 /nfs/dbraw/zinc/95/51/06/976955106.db2.gz GLEVPWJLCQDPRX-LBPRGKRZSA-N 0 3 249.354 2.989 20 0 BFADHN Cc1cc(O)c(C)c(C)c1O[C@H]1CCCN(C)C1 ZINC001229770999 976983386 /nfs/dbraw/zinc/98/33/86/976983386.db2.gz VHDVLTMOTLOBER-ZDUSSCGKSA-N 0 3 249.354 2.790 20 0 BFADHN Cc1cccc2sc(O[C@H]3CCN(C)C3)nc21 ZINC001229930254 977026540 /nfs/dbraw/zinc/02/65/40/977026540.db2.gz PGAZAQHFZRLGQL-JTQLQIEISA-N 0 3 248.351 2.688 20 0 BFADHN Cc1ncc(Nc2ccc3cc[nH]c3c2)n1C ZINC001174751395 977043392 /nfs/dbraw/zinc/04/33/92/977043392.db2.gz YKFBMQIRJJQDJP-UHFFFAOYSA-N 0 3 226.283 2.953 20 0 BFADHN COc1cccc(F)c1N(C)c1cc(N)ccn1 ZINC001174992316 977197576 /nfs/dbraw/zinc/19/75/76/977197576.db2.gz BAKVWNWMWCCZRL-UHFFFAOYSA-N 0 3 247.273 2.579 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@@]2(CC[C@H](C)C2)C1 ZINC001335748782 977256685 /nfs/dbraw/zinc/25/66/85/977256685.db2.gz QXLAZXSUQYSUNK-SWLSCSKDSA-N 0 3 247.386 2.741 20 0 BFADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1nncs1 ZINC001335780759 977262772 /nfs/dbraw/zinc/26/27/72/977262772.db2.gz MQNNCKZZYDYDJY-QWRGUYRKSA-N 0 3 239.388 2.843 20 0 BFADHN c1[nH]nc2cnnc(O[C@H]3CCC=CCCC3)c12 ZINC001230901662 977373841 /nfs/dbraw/zinc/37/38/41/977373841.db2.gz SJFGQQSEDDZGQF-JTQLQIEISA-N 0 3 244.298 2.621 20 0 BFADHN Cc1cnccc1Nc1ccc2n[nH]cc2c1C ZINC001175466852 977432320 /nfs/dbraw/zinc/43/23/20/977432320.db2.gz XJMORJCXMBSKJG-UHFFFAOYSA-N 0 3 238.294 2.740 20 0 BFADHN Cc1cccc(F)c1O[C@@H]1CCN(C(C)C)C1 ZINC001231034904 977436533 /nfs/dbraw/zinc/43/65/33/977436533.db2.gz QQUNROVZIOASQC-GFCCVEGCSA-N 0 3 237.318 2.996 20 0 BFADHN CCc1ccc(NC2=CCCN(C)C2)cc1 ZINC001175576471 977583221 /nfs/dbraw/zinc/58/32/21/977583221.db2.gz LBEVVTWFWVUNAL-UHFFFAOYSA-N 0 3 216.328 2.880 20 0 BFADHN C=Cc1ccc(NC2=CCCN(C)C2)cc1 ZINC001175577090 977584781 /nfs/dbraw/zinc/58/47/81/977584781.db2.gz VMHZHGSSLDVYBE-UHFFFAOYSA-N 0 3 214.312 2.961 20 0 BFADHN Cc1ccc(C)c(NC2=CCCN(C)C2)c1 ZINC001175577086 977590994 /nfs/dbraw/zinc/59/09/94/977590994.db2.gz QDUSWWJSLLBFBZ-UHFFFAOYSA-N 0 3 216.328 2.935 20 0 BFADHN CN1CCC=C(Nc2ccc3ccncc3c2)C1 ZINC001175578347 977592647 /nfs/dbraw/zinc/59/26/47/977592647.db2.gz DPNLJYOKUJLVRJ-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN c1[nH]c2cccnc2c1CN1CC2(C1)CCCC2 ZINC001231486802 977608006 /nfs/dbraw/zinc/60/80/06/977608006.db2.gz FXLDEZOCBGPUPY-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN c1[nH]c2cccnc2c1CN1CC2(CCC2)C1 ZINC001231488636 977609150 /nfs/dbraw/zinc/60/91/50/977609150.db2.gz YZJNEZOPIIIDII-UHFFFAOYSA-N 0 3 227.311 2.549 20 0 BFADHN c1[nH]c2cnccc2c1CN1CCC[C@H]2C[C@H]21 ZINC001231520292 977625339 /nfs/dbraw/zinc/62/53/39/977625339.db2.gz VIQGOBJLQBFTQP-IINYFYTJSA-N 0 3 227.311 2.547 20 0 BFADHN CC(C)Oc1ccc(CN2CC[C@@H](C)C2)cn1 ZINC001231532108 977626818 /nfs/dbraw/zinc/62/68/18/977626818.db2.gz PQUHDFYHXPIECF-GFCCVEGCSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1n[nH]c2cc(Nc3cnc(C)n3C)ccc12 ZINC001175661181 977642435 /nfs/dbraw/zinc/64/24/35/977642435.db2.gz CNOPBTLFGFMVLH-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN CCc1ccc(CN2C[C@@H]3C[C@H]2C[C@@H]3F)nc1 ZINC001231642041 977658417 /nfs/dbraw/zinc/65/84/17/977658417.db2.gz OFSHVKMQAROOLC-UBHSHLNASA-N 0 3 234.318 2.576 20 0 BFADHN CCOc1ccc(Nc2ccnc(CC)c2)cn1 ZINC001175739198 977677176 /nfs/dbraw/zinc/67/71/76/977677176.db2.gz BXNXXKYXSJQCIY-UHFFFAOYSA-N 0 3 243.310 2.603 20 0 BFADHN c1cc2cc(CN3CCC[C@@H]4C[C@@H]43)ncc2[nH]1 ZINC001231673657 977682444 /nfs/dbraw/zinc/68/24/44/977682444.db2.gz SLILJDZTCLDVDB-RISCZKNCSA-N 0 3 227.311 2.547 20 0 BFADHN CCc1cc(Nc2cnn(C3CCC3)c2)ccn1 ZINC001175761610 977716201 /nfs/dbraw/zinc/71/62/01/977716201.db2.gz ZWMPGCIPESIEPD-UHFFFAOYSA-N 0 3 242.326 2.731 20 0 BFADHN CCN(Cc1ccccc1C(C)C)C1CN(C)C1 ZINC001231877902 977776985 /nfs/dbraw/zinc/77/69/85/977776985.db2.gz ADEDGWMJWSTCAL-UHFFFAOYSA-N 0 3 246.398 2.946 20 0 BFADHN Cc1cc(F)ncc1CN1C[C@@H](C)CC12CC2 ZINC001231984737 977821174 /nfs/dbraw/zinc/82/11/74/977821174.db2.gz AXNGLHKUXNJNTQ-JTQLQIEISA-N 0 3 234.318 2.904 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CCCCO2)cc(C)n1 ZINC001232000342 977830667 /nfs/dbraw/zinc/83/06/67/977830667.db2.gz IVBRLPKKPXHCFS-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CCCO[C@H]1CCN(Cc2cc(C)nc(C)c2)C1 ZINC001232000692 977835678 /nfs/dbraw/zinc/83/56/78/977835678.db2.gz SDNRXDKCYOLMQI-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1cc(CN2C[C@@H]3C[C@H]2C[C@@H]3F)cc(C)n1 ZINC001232001128 977836583 /nfs/dbraw/zinc/83/65/83/977836583.db2.gz KCCMNMXKMUFUBO-IHRRRGAJSA-N 0 3 234.318 2.631 20 0 BFADHN F[C@H]1CCCCN(Cc2cc3c[nH]ccc-3n2)C1 ZINC001232011645 977840519 /nfs/dbraw/zinc/84/05/19/977840519.db2.gz NNUQIDZZWLCZKU-LBPRGKRZSA-N 0 3 247.317 2.887 20 0 BFADHN c1c2c[nH]ccc-2nc1CN1CC2(CCC2)C1 ZINC001232012655 977841451 /nfs/dbraw/zinc/84/14/51/977841451.db2.gz MPOIGZUDNAYWFR-UHFFFAOYSA-N 0 3 227.311 2.549 20 0 BFADHN C[C@@H]1CCC[C@H](C)[N@H+]1Cc1cncc([O-])c1 ZINC001232040370 977866040 /nfs/dbraw/zinc/86/60/40/977866040.db2.gz VDMXYSBHUQXNKN-PHIMTYICSA-N 0 3 220.316 2.550 20 0 BFADHN C[C@@H]1CCC[C@H](C)[N@@H+]1Cc1cncc([O-])c1 ZINC001232040370 977866043 /nfs/dbraw/zinc/86/60/43/977866043.db2.gz VDMXYSBHUQXNKN-PHIMTYICSA-N 0 3 220.316 2.550 20 0 BFADHN Oc1cncc(CN2CCC23CCCCC3)c1 ZINC001232040531 977867706 /nfs/dbraw/zinc/86/77/06/977867706.db2.gz KMONGBXPBXLNTH-UHFFFAOYSA-N 0 3 232.327 2.696 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CCC23CCCCC3)c1 ZINC001232040531 977867709 /nfs/dbraw/zinc/86/77/09/977867709.db2.gz KMONGBXPBXLNTH-UHFFFAOYSA-N 0 3 232.327 2.696 20 0 BFADHN [O-]c1cncc(C[N@H+]2CCC23CCCCC3)c1 ZINC001232040531 977867712 /nfs/dbraw/zinc/86/77/12/977867712.db2.gz KMONGBXPBXLNTH-UHFFFAOYSA-N 0 3 232.327 2.696 20 0 BFADHN C[C@H]1CCC[C@H](C)[NH+]1Cc1cncc([O-])c1 ZINC001232040371 977868575 /nfs/dbraw/zinc/86/85/75/977868575.db2.gz VDMXYSBHUQXNKN-QWRGUYRKSA-N 0 3 220.316 2.550 20 0 BFADHN CC(C)C1CCN(Cc2cncc(O)c2)CC1 ZINC001232045274 977870918 /nfs/dbraw/zinc/87/09/18/977870918.db2.gz SYPXJDVTISPJLB-UHFFFAOYSA-N 0 3 234.343 2.655 20 0 BFADHN CC(C)C1CC[NH+](Cc2cncc([O-])c2)CC1 ZINC001232045274 977870923 /nfs/dbraw/zinc/87/09/23/977870923.db2.gz SYPXJDVTISPJLB-UHFFFAOYSA-N 0 3 234.343 2.655 20 0 BFADHN Clc1cc(Nc2ncc[nH]2)cc(Cl)n1 ZINC001176079381 977873641 /nfs/dbraw/zinc/87/36/41/977873641.db2.gz IOWKTDYZQJWFJV-UHFFFAOYSA-N 0 3 229.070 2.855 20 0 BFADHN Clc1cc(Nc2ncc[nH]2)cnc1Cl ZINC001176080365 977876046 /nfs/dbraw/zinc/87/60/46/977876046.db2.gz PZQNXHUSUKWZSO-UHFFFAOYSA-N 0 3 229.070 2.855 20 0 BFADHN c1c[nH]c(Nc2cnc3ccsc3c2)n1 ZINC001176082002 977876596 /nfs/dbraw/zinc/87/65/96/977876596.db2.gz OCGCYQYOYGDQFN-UHFFFAOYSA-N 0 3 216.269 2.763 20 0 BFADHN Cc1ncc(Cl)cc1Nc1ncc[nH]1 ZINC001176080454 977877097 /nfs/dbraw/zinc/87/70/97/977877097.db2.gz RVCRBOSGTIEKCJ-UHFFFAOYSA-N 0 3 208.652 2.510 20 0 BFADHN COc1ccc2cc(Nc3ncc[nH]3)cnc2c1 ZINC001176082067 977879102 /nfs/dbraw/zinc/87/91/02/977879102.db2.gz QYMDDZOYHFKCGR-UHFFFAOYSA-N 0 3 240.266 2.710 20 0 BFADHN Cc1cccc(-n2nccc2Nc2ncc[nH]2)c1 ZINC001176082607 977881735 /nfs/dbraw/zinc/88/17/35/977881735.db2.gz PELOMSCMLNNPRU-UHFFFAOYSA-N 0 3 239.282 2.647 20 0 BFADHN Fc1ccc(Nc2ncc[nH]2)c2ncccc12 ZINC001176085328 977886211 /nfs/dbraw/zinc/88/62/11/977886211.db2.gz LFFCHWDFBPHNTJ-UHFFFAOYSA-N 0 3 228.230 2.841 20 0 BFADHN Oc1ccc(Cl)c(Nc2ncc[nH]2)c1 ZINC001176085717 977890899 /nfs/dbraw/zinc/89/08/99/977890899.db2.gz VPFHQVUJQLPVQN-UHFFFAOYSA-N 0 3 209.636 2.512 20 0 BFADHN FC[C@H]1CCCN(Cc2cc3ccncc3[nH]2)C1 ZINC001232094738 977891982 /nfs/dbraw/zinc/89/19/82/977891982.db2.gz XPYMELFCIGADKA-LLVKDONJSA-N 0 3 247.317 2.744 20 0 BFADHN Cn1cc(CN2CCC3(CC3)CC2)c(C2CC2)n1 ZINC001232168447 977906186 /nfs/dbraw/zinc/90/61/86/977906186.db2.gz PVKJTFQPDCYVAI-UHFFFAOYSA-N 0 3 245.370 2.674 20 0 BFADHN CN(Cc1ccnc(C(C)(C)C)c1)C1CC1 ZINC001232214272 977943207 /nfs/dbraw/zinc/94/32/07/977943207.db2.gz OPXFSTFRQIDVHM-UHFFFAOYSA-N 0 3 218.344 2.973 20 0 BFADHN c1nscc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001232226374 977955037 /nfs/dbraw/zinc/95/50/37/977955037.db2.gz PZRWUSLBPROQIT-NEPJUHHUSA-N 0 3 222.357 2.765 20 0 BFADHN O=c1ccc(Nc2ccnc(C3CC3)c2)c[nH]1 ZINC001176127576 977964858 /nfs/dbraw/zinc/96/48/58/977964858.db2.gz LNAHNFWZBTVCHW-UHFFFAOYSA-N 0 3 227.267 2.803 20 0 BFADHN COCc1cccc(CN2CCC3(CC3)CC2)n1 ZINC001232252415 977982502 /nfs/dbraw/zinc/98/25/02/977982502.db2.gz VSPYQZKDMGNJDT-UHFFFAOYSA-N 0 3 246.354 2.604 20 0 BFADHN C[C@H]1CC[N@H+](Cc2ccc([O-])c3ncccc23)C1 ZINC001232273533 977989703 /nfs/dbraw/zinc/98/97/03/977989703.db2.gz ZWZCXYXYZNBTOQ-NSHDSACASA-N 0 3 242.322 2.782 20 0 BFADHN C[C@H]1CC[N@@H+](Cc2ccc([O-])c3ncccc23)C1 ZINC001232273533 977989711 /nfs/dbraw/zinc/98/97/11/977989711.db2.gz ZWZCXYXYZNBTOQ-NSHDSACASA-N 0 3 242.322 2.782 20 0 BFADHN CCOc1ccc(CN2CCC[C@@H]3C[C@@H]32)c(C)n1 ZINC001232313545 978006180 /nfs/dbraw/zinc/00/61/80/978006180.db2.gz APWNNTURJIPDNR-OCCSQVGLSA-N 0 3 246.354 2.773 20 0 BFADHN CN(CCC1CC1)Cc1cn2cc(F)ccc2n1 ZINC001232417051 978057342 /nfs/dbraw/zinc/05/73/42/978057342.db2.gz JSOXLYYCUJWCRW-UHFFFAOYSA-N 0 3 247.317 2.705 20 0 BFADHN CCCC1CCN(Cc2[nH]nc3c2CCC3)CC1 ZINC001232426944 978061002 /nfs/dbraw/zinc/06/10/02/978061002.db2.gz OWRKJAVBZSEHCN-UHFFFAOYSA-N 0 3 247.386 2.911 20 0 BFADHN C[C@@H]1CCN(Cc2[nH]nc3c2CCC3)[C@H](C)C1 ZINC001232429171 978074301 /nfs/dbraw/zinc/07/43/01/978074301.db2.gz GVPVFVGIKVBQCO-GHMZBOCLSA-N 0 3 233.359 2.519 20 0 BFADHN CCN(Cc1c(C)cccc1F)CC(C)(C)O ZINC001232483168 978132504 /nfs/dbraw/zinc/13/25/04/978132504.db2.gz COJFRQNATFXDEI-UHFFFAOYSA-N 0 3 239.334 2.727 20 0 BFADHN Cc1cc(CN(C)C[C@@H](C)c2ccccc2)[nH]n1 ZINC001337032516 978137145 /nfs/dbraw/zinc/13/71/45/978137145.db2.gz DIGFGJOPSBOCRM-GFCCVEGCSA-N 0 3 243.354 2.954 20 0 BFADHN Cc1cc(CN2CC[C@H]2Cc2ccccc2)n[nH]1 ZINC001337042684 978140516 /nfs/dbraw/zinc/14/05/16/978140516.db2.gz RFRDCZQQNKUYRB-HNNXBMFYSA-N 0 3 241.338 2.535 20 0 BFADHN CCCCC(=O)[C@@H](CCCC)OCCN(C)C ZINC001206279158 978147901 /nfs/dbraw/zinc/14/79/01/978147901.db2.gz YTYIYLMCWKQDAV-CQSZACIVSA-N 0 3 243.391 2.883 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cc(F)ccn2)C1 ZINC001232493845 978148558 /nfs/dbraw/zinc/14/85/58/978148558.db2.gz DIBAQRFBZLBHOC-PHIMTYICSA-N 0 3 222.307 2.699 20 0 BFADHN CC1(C)CCN(Cc2cccc(CF)n2)C1 ZINC001232536442 978168024 /nfs/dbraw/zinc/16/80/24/978168024.db2.gz DTDPBKKCHSITMO-UHFFFAOYSA-N 0 3 222.307 2.783 20 0 BFADHN C[C@@H]1CN(Cc2cccc(CF)n2)C2(CC2)C1 ZINC001232538457 978168158 /nfs/dbraw/zinc/16/81/58/978168158.db2.gz QOHCPMZUEAQERT-NSHDSACASA-N 0 3 234.318 2.926 20 0 BFADHN COCCN(Cc1cc2ccoc2cn1)C(C)C ZINC001232553255 978175331 /nfs/dbraw/zinc/17/53/31/978175331.db2.gz MAGSXHQAFOEPFV-UHFFFAOYSA-N 0 3 248.326 2.685 20 0 BFADHN CCOc1ccnc(CN(C)C(C)(C)C)c1 ZINC001232581053 978185114 /nfs/dbraw/zinc/18/51/14/978185114.db2.gz JOLPKGKSUISPQT-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CCOc1ccnc(CN2CCCC2(C)C)c1 ZINC001232578657 978186496 /nfs/dbraw/zinc/18/64/96/978186496.db2.gz FEYYHNGZRNVEQF-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCOc1ccnc(CN(C)C[C@H]2CC2(C)C)c1 ZINC001232584515 978187323 /nfs/dbraw/zinc/18/73/23/978187323.db2.gz GPCVVCNBDCKRDP-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN CCOc1ccnc(CN2CC[C@@H](CC)C2)c1 ZINC001232585720 978191148 /nfs/dbraw/zinc/19/11/48/978191148.db2.gz HIGVXYXCPGHBNM-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN c1cc2c(cn1)cncc2CN1CCC[C@@H]2C[C@@H]21 ZINC001232653459 978212057 /nfs/dbraw/zinc/21/20/57/978212057.db2.gz GAHSCJYBQZLDIO-ABAIWWIYSA-N 0 3 239.322 2.614 20 0 BFADHN CNc1ccccc1CN1CCC(C(C)=O)CC1 ZINC001232671675 978225851 /nfs/dbraw/zinc/22/58/51/978225851.db2.gz PESCNALVUPDWRR-UHFFFAOYSA-N 0 3 246.354 2.529 20 0 BFADHN CNc1ccccc1CN(C)CCSC ZINC001232673540 978232616 /nfs/dbraw/zinc/23/26/16/978232616.db2.gz IZBPTAPTVMJUKT-UHFFFAOYSA-N 0 3 224.373 2.523 20 0 BFADHN CCCN(Cc1cn(CCC)cn1)CC1CC1 ZINC001232793979 978274401 /nfs/dbraw/zinc/27/44/01/978274401.db2.gz HJHFSHYFFLTOAA-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCCn1cnc(CN2CC[C@@H]3CCC[C@@H]3C2)c1 ZINC001232797631 978283127 /nfs/dbraw/zinc/28/31/27/978283127.db2.gz MKMRYIVOBBKROQ-UONOGXRCSA-N 0 3 247.386 2.915 20 0 BFADHN COc1cc(O)cc(CN2CCC(C)CC2)c1 ZINC001232802422 978288264 /nfs/dbraw/zinc/28/82/64/978288264.db2.gz ZMSROTXPXVCTRJ-UHFFFAOYSA-N 0 3 235.327 2.633 20 0 BFADHN CCCn1cnc(CN(C)C(CC)CC)c1 ZINC001232802904 978291857 /nfs/dbraw/zinc/29/18/57/978291857.db2.gz HOGXILQKPZIASF-UHFFFAOYSA-N 0 3 223.364 2.914 20 0 BFADHN C[C@@H]1CCN1Cc1c[nH]c2cc(Cl)ncc12 ZINC001232817403 978296387 /nfs/dbraw/zinc/29/63/87/978296387.db2.gz AWPYCWGDHOJLHN-MRVPVSSYSA-N 0 3 235.718 2.811 20 0 BFADHN COc1cc(O)cc(CN2CCCCC23CC3)c1 ZINC001232805083 978299859 /nfs/dbraw/zinc/29/98/59/978299859.db2.gz SWJGJTVKTPQJMO-UHFFFAOYSA-N 0 3 247.338 2.919 20 0 BFADHN COc1cc(CN2CCCC2)c(OC)cc1C ZINC001232833000 978302169 /nfs/dbraw/zinc/30/21/69/978302169.db2.gz KRUSXJIYVYUWHJ-UHFFFAOYSA-N 0 3 235.327 2.608 20 0 BFADHN CC(C)(O)c1ccc(CN2CCC(C)(C)C2)cn1 ZINC001232830060 978303293 /nfs/dbraw/zinc/30/32/93/978303293.db2.gz UIXWVUNCWRVYIM-UHFFFAOYSA-N 0 3 248.370 2.541 20 0 BFADHN CC1CCN(Cc2ccc(C(C)(C)O)nc2)CC1 ZINC001232823188 978305019 /nfs/dbraw/zinc/30/50/19/978305019.db2.gz QFEVFMXUCAECPA-UHFFFAOYSA-N 0 3 248.370 2.541 20 0 BFADHN CCCO[C@@H]1CCN(Cc2cc(C)cc(O)c2)C1 ZINC001232883227 978323751 /nfs/dbraw/zinc/32/37/51/978323751.db2.gz HTAGELWPDQVXNF-OAHLLOKOSA-N 0 3 249.354 2.702 20 0 BFADHN CC(=O)[C@@H]1CCCN(Cc2cc(C)cc(O)c2)C1 ZINC001232885651 978323816 /nfs/dbraw/zinc/32/38/16/978323816.db2.gz LUTDILSNGXQMSY-CQSZACIVSA-N 0 3 247.338 2.502 20 0 BFADHN C[C@H]1CCN(Cc2ccc(OC(F)F)nc2)C1 ZINC001232952511 978355445 /nfs/dbraw/zinc/35/54/45/978355445.db2.gz BVICKRSJPNEEPY-VIFPVBQESA-N 0 3 242.269 2.525 20 0 BFADHN Cc1ncc(F)cc1CN1CC2CCC1CC2 ZINC001232990076 978389689 /nfs/dbraw/zinc/38/96/89/978389689.db2.gz MRQHRXKAQCBUHH-UHFFFAOYSA-N 0 3 234.318 2.904 20 0 BFADHN CC1(C)CCC[N@@H+]1Cc1cccc([O-])c1F ZINC001233050576 978439868 /nfs/dbraw/zinc/43/98/68/978439868.db2.gz QDKYVMGAYHXOOC-UHFFFAOYSA-N 0 3 223.291 2.906 20 0 BFADHN CC1(C)CCC[N@H+]1Cc1cccc([O-])c1F ZINC001233050576 978439874 /nfs/dbraw/zinc/43/98/74/978439874.db2.gz QDKYVMGAYHXOOC-UHFFFAOYSA-N 0 3 223.291 2.906 20 0 BFADHN CC1(C)CCCN1Cc1cccc(O)c1F ZINC001233050576 978439883 /nfs/dbraw/zinc/43/98/83/978439883.db2.gz QDKYVMGAYHXOOC-UHFFFAOYSA-N 0 3 223.291 2.906 20 0 BFADHN Oc1cccc(CN2CC3CCC2CC3)c1F ZINC001233054061 978447275 /nfs/dbraw/zinc/44/72/75/978447275.db2.gz YZJVDWFOOSMQDW-UHFFFAOYSA-N 0 3 235.302 2.906 20 0 BFADHN Fc1ccc2c(c1)[nH]nc2CN1CCC[C@@H]2C[C@@H]21 ZINC001233129022 978473143 /nfs/dbraw/zinc/47/31/43/978473143.db2.gz SXLHGBAMUJMMOS-OTYXRUKQSA-N 0 3 245.301 2.686 20 0 BFADHN COCC1CCN(Cc2cnc(C)c(C)c2)CC1 ZINC001233155143 978475022 /nfs/dbraw/zinc/47/50/22/978475022.db2.gz BTNPZTHVOBMOSM-UHFFFAOYSA-N 0 3 248.370 2.557 20 0 BFADHN COC[C@@H]1CCCCN1Cc1cnc(C)c(C)c1 ZINC001233156619 978476400 /nfs/dbraw/zinc/47/64/00/978476400.db2.gz RGMWXSKXKSEPSA-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN COC[C@H]1CCCCN1Cc1cnc(C)c(C)c1 ZINC001233156620 978477419 /nfs/dbraw/zinc/47/74/19/978477419.db2.gz RGMWXSKXKSEPSA-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CO[C@H]1CCN(Cc2cnc(C)c(C)c2)[C@H](C)C1 ZINC001233165106 978484448 /nfs/dbraw/zinc/48/44/48/978484448.db2.gz SUMSOJAESQCKLC-DOMZBBRYSA-N 0 3 248.370 2.698 20 0 BFADHN Cc1ccc(CN2C[C@@H]3C[C@H]2C[C@@H]3F)cc1O ZINC001233233255 978503261 /nfs/dbraw/zinc/50/32/61/978503261.db2.gz BWLFRFMQITWAMZ-AVGNSLFASA-N 0 3 235.302 2.633 20 0 BFADHN Cc1ccc(Cl)cc1CN(C)[C@@H]1CCOC1 ZINC001233250109 978514010 /nfs/dbraw/zinc/51/40/10/978514010.db2.gz VWEDRYJIMMGADF-CYBMUJFWSA-N 0 3 239.746 2.869 20 0 BFADHN C[C@H]1C[C@@H](CF)N(Cc2cccc3c2CCO3)C1 ZINC001233274714 978523329 /nfs/dbraw/zinc/52/33/29/978523329.db2.gz ZVVMUZOXSXHCHI-AAEUAGOBSA-N 0 3 249.329 2.801 20 0 BFADHN Cc1cc(CN2CCC3(CC3)CC2)sn1 ZINC001233309983 978532874 /nfs/dbraw/zinc/53/28/74/978532874.db2.gz LLBKPNHUXUTLMH-UHFFFAOYSA-N 0 3 222.357 2.828 20 0 BFADHN c1cn2c(cccc2CN2CCC3(CC3)CC2)n1 ZINC001233361376 978573812 /nfs/dbraw/zinc/57/38/12/978573812.db2.gz MENMDRIZWUPAMG-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN c1cn2c(cccc2CN2CC3CCC2CC3)n1 ZINC001233363155 978574774 /nfs/dbraw/zinc/57/47/74/978574774.db2.gz KILIMLRNQGUMCT-UHFFFAOYSA-N 0 3 241.338 2.709 20 0 BFADHN CN1CC[C@@H](Oc2ccccc2OCC2CC2)C1 ZINC001233511779 978618563 /nfs/dbraw/zinc/61/85/63/978618563.db2.gz LDXLFRWCAYHMNY-CYBMUJFWSA-N 0 3 247.338 2.558 20 0 BFADHN C=Cc1ccc(O[C@@H]2CCCN(C)C2)c(OC)c1 ZINC001233567598 978627346 /nfs/dbraw/zinc/62/73/46/978627346.db2.gz GBKWECMSSKXKCY-CYBMUJFWSA-N 0 3 247.338 2.811 20 0 BFADHN CCn1cnc(Cl)c1CNC1(C(C)C)CC1 ZINC001178778769 978629533 /nfs/dbraw/zinc/62/95/33/978629533.db2.gz WKSVARSFGSVPQF-UHFFFAOYSA-N 0 3 241.766 2.835 20 0 BFADHN CCn1ccc(CNCC[C@@H]2CC=CCC2)n1 ZINC001179358425 978694185 /nfs/dbraw/zinc/69/41/85/978694185.db2.gz DXNBRBRQFRVZMN-CYBMUJFWSA-N 0 3 233.359 2.739 20 0 BFADHN Cc1c(F)ccc(O[C@@H]2CCCN(C)C2)c1C ZINC001233863490 978716791 /nfs/dbraw/zinc/71/67/91/978716791.db2.gz LSTCSJUEKCPOIN-GFCCVEGCSA-N 0 3 237.318 2.916 20 0 BFADHN C[C@H](CNCc1nc[nH]n1)CC1CCCCC1 ZINC001179842781 978765091 /nfs/dbraw/zinc/76/50/91/978765091.db2.gz WVTNWQIVSVZNDP-NSHDSACASA-N 0 3 236.363 2.501 20 0 BFADHN C=C(F)C(=O)NCCP(C(C)C)C(C)C ZINC001180122154 978829175 /nfs/dbraw/zinc/82/91/75/978829175.db2.gz JRUIIFGJVGBFIW-UHFFFAOYSA-N 0 3 233.267 2.885 20 0 BFADHN CCN(Cc1cc(C)nc(C)c1)C[C@@H]1CCCO1 ZINC001180157363 978837208 /nfs/dbraw/zinc/83/72/08/978837208.db2.gz HQZINMRTSBLCHA-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN CC[C@@H]1CC[C@H](NCc2cscn2)C1 ZINC000070644222 978861520 /nfs/dbraw/zinc/86/15/20/978861520.db2.gz KCGQGPCROXORME-ZJUUUORDSA-N 0 3 210.346 2.811 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H]3CCC[C@H]3C2)[nH]1 ZINC001234608865 978873060 /nfs/dbraw/zinc/87/30/60/978873060.db2.gz MCNMPJKMHQFJNW-STQMWFEESA-N 0 3 233.359 2.649 20 0 BFADHN CCc1cccc(O[C@H]2CCCN(C)C2)c1OC ZINC001234644970 978884234 /nfs/dbraw/zinc/88/42/34/978884234.db2.gz MAGNNPDGZYDLEE-ZDUSSCGKSA-N 0 3 249.354 2.731 20 0 BFADHN Cc1nc(C)c(CN2CCCC3(CCC3)C2)[nH]1 ZINC001234653698 978890433 /nfs/dbraw/zinc/89/04/33/978890433.db2.gz BIVFHCJJIWEZPR-UHFFFAOYSA-N 0 3 233.359 2.793 20 0 BFADHN CCN1CC[C@H](Oc2ccc(F)c(C)c2F)C1 ZINC001234931399 978933183 /nfs/dbraw/zinc/93/31/83/978933183.db2.gz ONIXVWVETPHGIP-JTQLQIEISA-N 0 3 241.281 2.746 20 0 BFADHN CCOc1cc(CN2CCCC[C@H]2C)ccn1 ZINC001249998089 979014935 /nfs/dbraw/zinc/01/49/35/979014935.db2.gz KXQVZZAAKPFFHT-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1cnc(F)c(CN2CCC[C@@H]3C[C@@H]32)c1 ZINC001235344953 979031636 /nfs/dbraw/zinc/03/16/36/979031636.db2.gz DZQZIBOVEUWODM-PWSUYJOCSA-N 0 3 220.291 2.513 20 0 BFADHN COc1cnccc1CN1CCC[C@@H](C)CC1 ZINC001206447276 979039474 /nfs/dbraw/zinc/03/94/74/979039474.db2.gz MMGCETVGENSNOA-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CCCC1CCN(Cc2c(C)ncn2C)CC1 ZINC001235540320 979086007 /nfs/dbraw/zinc/08/60/07/979086007.db2.gz DLOZWRLEZOQGGB-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1ncn(C)c1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001235546631 979088479 /nfs/dbraw/zinc/08/84/79/979088479.db2.gz AIPOUQZTECDEBQ-KBPBESRZSA-N 0 3 247.386 2.883 20 0 BFADHN CC1(C)CCN(Cc2nc3ccc(F)cc3[nH]2)C1 ZINC001235690500 979119932 /nfs/dbraw/zinc/11/99/32/979119932.db2.gz DAEKBSVHTQIXTP-UHFFFAOYSA-N 0 3 247.317 2.934 20 0 BFADHN Cc1nc(F)ccc1CN1CCC[C@@H](F)CC1 ZINC001235804394 979155077 /nfs/dbraw/zinc/15/50/77/979155077.db2.gz FXKCHISPIRFEDQ-GFCCVEGCSA-N 0 3 240.297 2.853 20 0 BFADHN CCn1ccc(CN2CCC3(CCC3)CC2)n1 ZINC001181612609 979207556 /nfs/dbraw/zinc/20/75/56/979207556.db2.gz WPIWYXCOQNKFGA-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN CO[C@@H](C)CNCc1c(F)cccc1SC ZINC001181688204 979218637 /nfs/dbraw/zinc/21/86/37/979218637.db2.gz PXKLRSSCFAHSHQ-VIFPVBQESA-N 0 3 243.347 2.672 20 0 BFADHN CN[C@@H]1CCN1CCCCCc1ccccc1 ZINC001236166379 979418600 /nfs/dbraw/zinc/41/86/00/979418600.db2.gz KQUFAIVDYHGBQJ-HNNXBMFYSA-N 0 3 232.371 2.651 20 0 BFADHN Cc1cc(CN(C)C2CCC(C)CC2)[nH]n1 ZINC001341523358 979450320 /nfs/dbraw/zinc/45/03/20/979450320.db2.gz RWMDSTZHZMSAMN-UHFFFAOYSA-N 0 3 221.348 2.729 20 0 BFADHN CC(C)c1cc(CN(C)CCO)ccc1Cl ZINC001236259298 979463399 /nfs/dbraw/zinc/46/33/99/979463399.db2.gz TVXTXWKNECIPNC-UHFFFAOYSA-N 0 3 241.762 2.888 20 0 BFADHN C1=C(CC2CC2)CC[C@@H](N2CCOCC2)C1 ZINC001250038341 979472699 /nfs/dbraw/zinc/47/26/99/979472699.db2.gz TVECKLHZQSHNIR-AWEZNQCLSA-N 0 3 221.344 2.598 20 0 BFADHN C[C@H]1CCN(Cc2cn3ccccc3n2)[C@@H](C)C1 ZINC000265800096 979476047 /nfs/dbraw/zinc/47/60/47/979476047.db2.gz BUKCOJMYHAPCNM-STQMWFEESA-N 0 3 243.354 2.955 20 0 BFADHN Fc1cccnc1CNCCCC1CC1 ZINC001182615090 979583482 /nfs/dbraw/zinc/58/34/82/979583482.db2.gz BQRVXUHHBHOXAV-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN CC/C=C\CCCCCCN1CCNC1 ZINC001236842790 979751347 /nfs/dbraw/zinc/75/13/47/979751347.db2.gz AHBOSSAYVDYQLT-ARJAWSKDSA-N 0 3 210.365 2.766 20 0 BFADHN CC1CN(Cc2cc(O)cc3ccccc32)C1 ZINC001237065565 979811770 /nfs/dbraw/zinc/81/17/70/979811770.db2.gz MZCDXFDICJIXRC-UHFFFAOYSA-N 0 3 227.307 2.997 20 0 BFADHN CC[C@H](C)[N@H+](C)Cc1sc(=O)[n-]c1Cl ZINC001237157887 979825282 /nfs/dbraw/zinc/82/52/82/979825282.db2.gz JNHYWQYBRKGEMF-LURJTMIESA-N 0 3 234.752 2.732 20 0 BFADHN CC[C@H](C)[N@@H+](C)Cc1sc(=O)[n-]c1Cl ZINC001237157887 979825288 /nfs/dbraw/zinc/82/52/88/979825288.db2.gz JNHYWQYBRKGEMF-LURJTMIESA-N 0 3 234.752 2.732 20 0 BFADHN CN1CCN(Cc2ccc3cccccc2-3)CC1 ZINC001237185825 979837179 /nfs/dbraw/zinc/83/71/79/979837179.db2.gz HIDLDMXDQDDRSD-UHFFFAOYSA-N 0 3 240.350 2.539 20 0 BFADHN C[C@H]1CN(Cc2cc(O)cc(F)c2)C2(CC2)C1 ZINC001237284408 979858840 /nfs/dbraw/zinc/85/88/40/979858840.db2.gz UYNGRTRWGYJLJS-SNVBAGLBSA-N 0 3 235.302 2.906 20 0 BFADHN COCCN(C)Cc1c(C)cc(F)cc1C ZINC001237317591 979868298 /nfs/dbraw/zinc/86/82/98/979868298.db2.gz ZYBVPMSSJMIDGY-UHFFFAOYSA-N 0 3 225.307 2.521 20 0 BFADHN CCCN(CCC)Cc1cc2ccccn2n1 ZINC001237441224 979891391 /nfs/dbraw/zinc/89/13/91/979891391.db2.gz LCUGCZBOKDDPCU-UHFFFAOYSA-N 0 3 231.343 2.956 20 0 BFADHN CC(C)N(Cc1cc2ccccn2n1)CC1CC1 ZINC001237441398 979894956 /nfs/dbraw/zinc/89/49/56/979894956.db2.gz DQJLGVLMACDGSC-UHFFFAOYSA-N 0 3 243.354 2.955 20 0 BFADHN Clc1[nH]c2cnccc2c1CN1CCCC1 ZINC001237451650 979898313 /nfs/dbraw/zinc/89/83/13/979898313.db2.gz BJONIACDFZOUQO-UHFFFAOYSA-N 0 3 235.718 2.812 20 0 BFADHN Cc1ccc([C@@H](C)Nc2nc(C)cc(N)n2)cc1 ZINC001342848495 979917148 /nfs/dbraw/zinc/91/71/48/979917148.db2.gz SOBSGSHEPKWAFQ-LLVKDONJSA-N 0 3 242.326 2.849 20 0 BFADHN COc1c(C)cccc1CN1CC[C@@H]1C ZINC001237501755 979922944 /nfs/dbraw/zinc/92/29/44/979922944.db2.gz VNPYFSCRLJMRNP-NSHDSACASA-N 0 3 205.301 2.598 20 0 BFADHN CCn1cncc1CN1CC[C@H](C)C[C@H]1C ZINC001237590639 979950638 /nfs/dbraw/zinc/95/06/38/979950638.db2.gz RHJLKWJFNBXUNN-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@@H]1CCN(Cc2ccnc(OC(F)F)c2)C1 ZINC001237610113 979969736 /nfs/dbraw/zinc/96/97/36/979969736.db2.gz DMHNKFXJJXDEQB-SECBINFHSA-N 0 3 242.269 2.525 20 0 BFADHN Cc1coc(CN2CCC[C@H](C)[C@H](C)C2)n1 ZINC001237636243 979991184 /nfs/dbraw/zinc/99/11/84/979991184.db2.gz WOSGWDTXUWOWRK-WDEREUQCSA-N 0 3 222.332 2.851 20 0 BFADHN CCCCCN(C)Cc1c[nH]nc1CC ZINC001237651967 980017048 /nfs/dbraw/zinc/01/70/48/980017048.db2.gz OGBWBVXYDAHACY-UHFFFAOYSA-N 0 3 209.337 2.594 20 0 BFADHN CCc1n[nH]cc1CN1CCC[C@@H]2CCC[C@H]21 ZINC001237660222 980020278 /nfs/dbraw/zinc/02/02/78/980020278.db2.gz XJKLRGBJINDHOQ-SMDDNHRTSA-N 0 3 233.359 2.737 20 0 BFADHN CC(C)[C@@H]1C[C@H]1N[C@@H]1C[C@H](C)n2ccnc21 ZINC001184453529 980085479 /nfs/dbraw/zinc/08/54/79/980085479.db2.gz WTZFTBBTCQMMDP-NNYUYHANSA-N 0 3 219.332 2.523 20 0 BFADHN CCCN(Cc1occc1OC)CC1CC1 ZINC001237862596 980101334 /nfs/dbraw/zinc/10/13/34/980101334.db2.gz DDSZQGAFZMQQKT-UHFFFAOYSA-N 0 3 223.316 2.910 20 0 BFADHN COc1ccoc1CN1C[C@@H](C)CC12CC2 ZINC001237877011 980107669 /nfs/dbraw/zinc/10/76/69/980107669.db2.gz QVAJSUYYBDDPOT-JTQLQIEISA-N 0 3 221.300 2.663 20 0 BFADHN CCOC(=O)c1ccccc1CN(C)CC1CC1 ZINC001237878682 980111822 /nfs/dbraw/zinc/11/18/22/980111822.db2.gz GYZCUCWLUYBCFB-UHFFFAOYSA-N 0 3 247.338 2.705 20 0 BFADHN Cc1ncncc1CN1CCCC2(CCC2)C1 ZINC001238179905 980213847 /nfs/dbraw/zinc/21/38/47/980213847.db2.gz XJNYCXBVWAGMRA-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN COC[C@H]1CCCCN1Cc1ncc(C)cc1C ZINC001238425117 980251735 /nfs/dbraw/zinc/25/17/35/980251735.db2.gz GPIAPZCGWUHANL-CQSZACIVSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1cc(CNCc2cccc(C)c2C)n[nH]1 ZINC000716494530 980273225 /nfs/dbraw/zinc/27/32/25/980273225.db2.gz XBEQOUDCTNFIIM-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN COc1cc(CN(C)C)c(-c2ccccc2)cn1 ZINC001238598139 980296450 /nfs/dbraw/zinc/29/64/50/980296450.db2.gz SMNJGFSJJVRUQN-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN CSc1ncc(C)cc1CN1CC2CC(C2)C1 ZINC001238718030 980314945 /nfs/dbraw/zinc/31/49/45/980314945.db2.gz ANFLVKHEVDEGNM-UHFFFAOYSA-N 0 3 248.395 2.954 20 0 BFADHN COc1cc(C)c(C)cc1CN1CC(C)C1 ZINC001238760958 980316374 /nfs/dbraw/zinc/31/63/74/980316374.db2.gz ZBWVGYOURDVOOE-UHFFFAOYSA-N 0 3 219.328 2.764 20 0 BFADHN CCOc1cc(C)c(-c2cc(N)c(C)cn2)cn1 ZINC001238976228 980401579 /nfs/dbraw/zinc/40/15/79/980401579.db2.gz TYMXEDLTWWMJMO-UHFFFAOYSA-N 0 3 243.310 2.741 20 0 BFADHN Cc1cccc(-c2ccc3c(n2)CNCC3)c1 ZINC001239487864 980641468 /nfs/dbraw/zinc/64/14/68/980641468.db2.gz UMVPHNCOTZFEEH-UHFFFAOYSA-N 0 3 224.307 2.703 20 0 BFADHN CNCc1cc(-c2c(C)cc(C)cc2C)ncn1 ZINC001239548130 980725006 /nfs/dbraw/zinc/72/50/06/980725006.db2.gz RBZGSMXTZAXGLT-UHFFFAOYSA-N 0 3 241.338 2.788 20 0 BFADHN CN(Cc1ccc(-c2cccnc2)nc1)C1CC1 ZINC001239567993 980752706 /nfs/dbraw/zinc/75/27/06/980752706.db2.gz NJVAWEZPIDEUDD-UHFFFAOYSA-N 0 3 239.322 2.738 20 0 BFADHN NCc1cc(/C=C/c2ccccc2)ccn1 ZINC001239593319 980787813 /nfs/dbraw/zinc/78/78/13/980787813.db2.gz JUFHAMGORUZZML-VOTSOKGWSA-N 0 3 210.280 2.711 20 0 BFADHN Cc1cccc(C)c1-c1cccc(CN)n1 ZINC001239595941 980793348 /nfs/dbraw/zinc/79/33/48/980793348.db2.gz XHUPSHGLRGFJML-UHFFFAOYSA-N 0 3 212.296 2.824 20 0 BFADHN Fc1ccc(-c2ccc3c(n2)CNCC3)c(F)c1 ZINC001239676031 980836008 /nfs/dbraw/zinc/83/60/08/980836008.db2.gz XVQLBEIOJDSFRI-UHFFFAOYSA-N 0 3 246.260 2.673 20 0 BFADHN CN(Cc1ccc(-c2ccoc2)nc1)C1CC1 ZINC001239689507 980847226 /nfs/dbraw/zinc/84/72/26/980847226.db2.gz OSSDDWBTLYQUTB-UHFFFAOYSA-N 0 3 228.295 2.936 20 0 BFADHN CCc1ccccc1-c1ccc2c(n1)CNCC2 ZINC001239745069 980892535 /nfs/dbraw/zinc/89/25/35/980892535.db2.gz PLMRYNNCAJYXSD-UHFFFAOYSA-N 0 3 238.334 2.957 20 0 BFADHN Cc1ccc(F)c(-c2ccc3c(n2)CNCC3)c1 ZINC001239962103 981061529 /nfs/dbraw/zinc/06/15/29/981061529.db2.gz CTYKZXOIXVRDRZ-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN NCc1cc(-c2cccc3cnccc32)ccn1 ZINC001240009927 981097056 /nfs/dbraw/zinc/09/70/56/981097056.db2.gz KOOZLYSMRUXXMN-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN CN1CCc2ccc(-c3cncc(F)c3)cc2C1 ZINC001240237549 981202415 /nfs/dbraw/zinc/20/24/15/981202415.db2.gz MWBHIICDJINALG-UHFFFAOYSA-N 0 3 242.297 2.876 20 0 BFADHN CCC1CN(Cc2cccn2C2CC2)C1 ZINC001347942240 981223612 /nfs/dbraw/zinc/22/36/12/981223612.db2.gz RYTLGLIGWCLZJM-UHFFFAOYSA-N 0 3 204.317 2.665 20 0 BFADHN COc1cc(-c2cc3[nH]ccc3c(C)n2)ccn1 ZINC001240256232 981229294 /nfs/dbraw/zinc/22/92/94/981229294.db2.gz RUFXVONUDQYWBY-UHFFFAOYSA-N 0 3 239.278 2.942 20 0 BFADHN Cc1cncc(CN2CC[C@H]3CCCC[C@H]3C2)n1 ZINC001203173824 981318018 /nfs/dbraw/zinc/31/80/18/981318018.db2.gz SZQULXCHLBIJIU-KGLIPLIRSA-N 0 3 245.370 2.797 20 0 BFADHN CC(C)OC(=O)Nc1ccc2c(c1)CN(C)CC2 ZINC001193496330 981352534 /nfs/dbraw/zinc/35/25/34/981352534.db2.gz MAFMJHABRDKCIK-UHFFFAOYSA-N 0 3 248.326 2.631 20 0 BFADHN Cc1cnc(-c2ccnc3[nH]ccc32)cc1N ZINC001240411058 981359332 /nfs/dbraw/zinc/35/93/32/981359332.db2.gz HPLHVPLBBGXBIK-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Nc1nc2cc(-c3ccc4c[nH]nc4c3)ccc2[nH]1 ZINC001240557524 981477183 /nfs/dbraw/zinc/47/71/83/981477183.db2.gz IYCVDVFHMYRWAM-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN Nc1nc2ccc(-c3ccc4c[nH]nc4c3)cc2[nH]1 ZINC001240557524 981477199 /nfs/dbraw/zinc/47/71/99/981477199.db2.gz IYCVDVFHMYRWAM-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN CCCN(CCC)C(=O)[C@H](N)C1CCCCC1 ZINC001349389768 981542026 /nfs/dbraw/zinc/54/20/26/981542026.db2.gz OZTSTPJUVXHQIK-CYBMUJFWSA-N 0 3 240.391 2.543 20 0 BFADHN CC[C@@H](C)[C@@H](CN(C)CCC(F)(F)F)OC ZINC001349595984 981589624 /nfs/dbraw/zinc/58/96/24/981589624.db2.gz DRUBDORKUQZLOL-NXEZZACHSA-N 0 3 241.297 2.932 20 0 BFADHN Nc1nc2ccc(-c3cc(F)ccc3O)cc2[nH]1 ZINC001240697796 981611653 /nfs/dbraw/zinc/61/16/53/981611653.db2.gz DXBWWHHPALQVGE-UHFFFAOYSA-N 0 3 243.241 2.657 20 0 BFADHN Nc1nc2cc(-c3cc(F)ccc3O)ccc2[nH]1 ZINC001240697796 981611658 /nfs/dbraw/zinc/61/16/58/981611658.db2.gz DXBWWHHPALQVGE-UHFFFAOYSA-N 0 3 243.241 2.657 20 0 BFADHN Cc1cc(-c2cccc(CN(C)C)n2)ccn1 ZINC001240716255 981728377 /nfs/dbraw/zinc/72/83/77/981728377.db2.gz XCIKSQWZASGKMR-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN Cc1ncccc1-c1cccc(CN(C)C)n1 ZINC001240724285 981763300 /nfs/dbraw/zinc/76/33/00/981763300.db2.gz CNQUVLWIYIXKEI-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN NCc1cc(-c2ccc3cc[nH]c3c2)ccn1 ZINC001240798830 981843179 /nfs/dbraw/zinc/84/31/79/981843179.db2.gz ZEPFCPGMCMUOCG-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN Cc1cncc(-c2ccc3[nH]c(N)nc3c2)c1 ZINC001240803292 981852983 /nfs/dbraw/zinc/85/29/83/981852983.db2.gz ZQEIZAPENLZSBE-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Cc1cncc(-c2ccc3nc(N)[nH]c3c2)c1 ZINC001240803292 981852987 /nfs/dbraw/zinc/85/29/87/981852987.db2.gz ZQEIZAPENLZSBE-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Cc1n[nH]c(CN2CCC[C@H]3CCC[C@@H]32)c1C ZINC001350309642 981919658 /nfs/dbraw/zinc/91/96/58/981919658.db2.gz KYHWVVLGHSFXLX-OCCSQVGLSA-N 0 3 233.359 2.791 20 0 BFADHN COc1c(C)cc(-c2ccc(CN)nc2)cc1C ZINC001241017059 982111918 /nfs/dbraw/zinc/11/19/18/982111918.db2.gz WYPJAPSHPBRLLV-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN C(=C/C1CC1)\CNCc1cnn(C2CCC2)c1 ZINC001350891429 982114411 /nfs/dbraw/zinc/11/44/11/982114411.db2.gz WDDPXYXXZVQSBT-NSCUHMNNSA-N 0 3 231.343 2.664 20 0 BFADHN CN(C)/C=C/c1ccncc1-c1ccccc1N ZINC001241081622 982196267 /nfs/dbraw/zinc/19/62/67/982196267.db2.gz DFUQOGVRSMCBKT-CSKARUKUSA-N 0 3 239.322 2.863 20 0 BFADHN C[C@@]1(Br)C[C@@H]1CNCc1ccoc1 ZINC001351975381 982419542 /nfs/dbraw/zinc/41/95/42/982419542.db2.gz SLZZCSCQUFMJCO-NXEZZACHSA-N 0 3 244.132 2.543 20 0 BFADHN Cc1nc(C2=CCN(C)CC2)nc2ccccc12 ZINC001241307575 982444846 /nfs/dbraw/zinc/44/48/46/982444846.db2.gz CQESGMUGYIMXRJ-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN C[C@@H]([NH2+]C1(C)CC1)c1cccc([O-])c1F ZINC001352084512 982462127 /nfs/dbraw/zinc/46/21/27/982462127.db2.gz PAAVHBVZUCXEEG-MRVPVSSYSA-N 0 3 209.264 2.734 20 0 BFADHN COc1cc(C2=CCN(C)CC2)c(OC)cc1C ZINC001241317241 982472802 /nfs/dbraw/zinc/47/28/02/982472802.db2.gz LJYOVSBAKTXWET-UHFFFAOYSA-N 0 3 247.338 2.731 20 0 BFADHN Cc1cc(F)cc(C2=CCN(C)CC2)c1 ZINC001241317677 982476692 /nfs/dbraw/zinc/47/66/92/982476692.db2.gz RBWPWSIAHRHVMA-UHFFFAOYSA-N 0 3 205.276 2.853 20 0 BFADHN CN1CC=C(c2cccc(OC3CC3)c2)CC1 ZINC001241317404 982476899 /nfs/dbraw/zinc/47/68/99/982476899.db2.gz NKVHKROAXOTCCE-UHFFFAOYSA-N 0 3 229.323 2.947 20 0 BFADHN COc1cc(C)cc(C2=CCN(C)CC2)c1 ZINC001241320196 982480194 /nfs/dbraw/zinc/48/01/94/982480194.db2.gz WEAOLDRAVRLQMT-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN COc1cc2cc[nH]c2cc1C1=CCN(C)CC1 ZINC001241322594 982485277 /nfs/dbraw/zinc/48/52/77/982485277.db2.gz PNQRRUUVYMXRTH-UHFFFAOYSA-N 0 3 242.322 2.895 20 0 BFADHN CN1CC=C(c2ccnc(C(F)F)c2)CC1 ZINC001241323086 982485561 /nfs/dbraw/zinc/48/55/61/982485561.db2.gz YLIBNOFTDMMINE-UHFFFAOYSA-N 0 3 224.254 2.738 20 0 BFADHN CN1CC=C(c2ccc(OCC3CC3)nc2)CC1 ZINC001241330732 982491405 /nfs/dbraw/zinc/49/14/05/982491405.db2.gz XUKPQVPZMDUIBG-UHFFFAOYSA-N 0 3 244.338 2.589 20 0 BFADHN COc1c(C)cccc1C1=CCN(C)CC1 ZINC001241330275 982491786 /nfs/dbraw/zinc/49/17/86/982491786.db2.gz NTBWOTQGHUENTF-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN CN1CC=C(c2ccc3[nH]c(=S)[nH]c3c2)CC1 ZINC001241329916 982493176 /nfs/dbraw/zinc/49/31/76/982493176.db2.gz FHHCYJWRKZXIRS-UHFFFAOYSA-N 0 3 245.351 2.571 20 0 BFADHN CN1CC=C(c2ccc3c(c2)OCCC3)CC1 ZINC001241330459 982493378 /nfs/dbraw/zinc/49/33/78/982493378.db2.gz RXWLDRXFYOCLOY-UHFFFAOYSA-N 0 3 229.323 2.731 20 0 BFADHN COc1cc(C2=CCN(C)CC2)cc(C)c1F ZINC001241332187 982495936 /nfs/dbraw/zinc/49/59/36/982495936.db2.gz FMQQIEXASYJERL-UHFFFAOYSA-N 0 3 235.302 2.862 20 0 BFADHN CSc1cc(C)c(C2=CCN(C)CC2)cn1 ZINC001241333868 982498836 /nfs/dbraw/zinc/49/88/36/982498836.db2.gz ZVWYELBJZJAREI-UHFFFAOYSA-N 0 3 234.368 2.831 20 0 BFADHN COc1cccc(C)c1C1=CCN(C)CC1 ZINC001241333350 982499219 /nfs/dbraw/zinc/49/92/19/982499219.db2.gz RUQJWKYOODKLEO-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN Cc1cc(OC(C)C)ncc1C1=CCN(C)CC1 ZINC001241333369 982500872 /nfs/dbraw/zinc/50/08/72/982500872.db2.gz SUVQCOSCCAOJJV-UHFFFAOYSA-N 0 3 246.354 2.896 20 0 BFADHN Cc1ccc(-c2ccc3c(n2)CNCC3)c(F)c1 ZINC001241352169 982508051 /nfs/dbraw/zinc/50/80/51/982508051.db2.gz LXJBXTYXWOACTQ-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN CCCN(C(=O)CN(C)CC(C)(C)C)C(C)C ZINC001300026202 982525805 /nfs/dbraw/zinc/52/58/05/982525805.db2.gz ALXWTBWPFRQBMY-UHFFFAOYSA-N 0 3 242.407 2.611 20 0 BFADHN c1cnc2cc(-c3nccc4c3CCN4)cnc2c1 ZINC001241382157 982541457 /nfs/dbraw/zinc/54/14/57/982541457.db2.gz XVFUTRVPWQBYBP-UHFFFAOYSA-N 0 3 248.289 2.660 20 0 BFADHN CCCCCCN(CC)C(C)(C)C(=O)OC ZINC001198042758 982653958 /nfs/dbraw/zinc/65/39/58/982653958.db2.gz RLAOJUUDGQDSRX-UHFFFAOYSA-N 0 3 229.364 2.840 20 0 BFADHN Cc1cnc(-c2c3c[nH]nc3ccc2C)cc1N ZINC001241519426 982681552 /nfs/dbraw/zinc/68/15/52/982681552.db2.gz RDTYPHWPYHYLIF-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN CCc1cccc(-c2ccc3c(n2)CNCC3)c1 ZINC001241545574 982753484 /nfs/dbraw/zinc/75/34/84/982753484.db2.gz YMTVJVPXQFQORE-UHFFFAOYSA-N 0 3 238.334 2.957 20 0 BFADHN CN1CCc2ccc(-c3ncccc3F)cc2C1 ZINC001241547933 982760902 /nfs/dbraw/zinc/76/09/02/982760902.db2.gz JHPLEDKHISZREZ-UHFFFAOYSA-N 0 3 242.297 2.876 20 0 BFADHN OCc1ccc(-c2cncc3cc[nH]c32)cc1 ZINC001241558539 982785686 /nfs/dbraw/zinc/78/56/86/982785686.db2.gz ORJSSGDSVPRUAD-UHFFFAOYSA-N 0 3 224.263 2.722 20 0 BFADHN CC(C)(C)/C=C/c1ccc2c(n1)OCCNC2 ZINC001241563016 982797489 /nfs/dbraw/zinc/79/74/89/982797489.db2.gz LCGPGOALOLKENA-VOTSOKGWSA-N 0 3 232.327 2.623 20 0 BFADHN CN1CCC=C(c2ccc3occc3n2)C1 ZINC001241566690 982810189 /nfs/dbraw/zinc/81/01/89/982810189.db2.gz AICSQPUQAXAKNT-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN C[C@H]1Cc2cc(C3=CCCN(C)C3)ccc2O1 ZINC001241568179 982811157 /nfs/dbraw/zinc/81/11/57/982811157.db2.gz CRFGAALYXKDLTF-NSHDSACASA-N 0 3 229.323 2.729 20 0 BFADHN CN1CCC=C(c2cccc(OC3CC3)c2)C1 ZINC001241568540 982815035 /nfs/dbraw/zinc/81/50/35/982815035.db2.gz GFIHDBLYLDTDGL-UHFFFAOYSA-N 0 3 229.323 2.947 20 0 BFADHN CN1CCC=C(c2cccc(C3COC3)c2)C1 ZINC001241570386 982819666 /nfs/dbraw/zinc/81/96/66/982819666.db2.gz JDCWYQMMYDUCDV-UHFFFAOYSA-N 0 3 229.323 2.519 20 0 BFADHN Cc1nc2cccc(C3=CCCN(C)C3)c2o1 ZINC001241569980 982819867 /nfs/dbraw/zinc/81/98/67/982819867.db2.gz FFEMQZGTMLFQLE-UHFFFAOYSA-N 0 3 228.295 2.855 20 0 BFADHN CN1CCC=C(c2ccc3oncc3c2)C1 ZINC001241570160 982821113 /nfs/dbraw/zinc/82/11/13/982821113.db2.gz USOFFASKIAVMNI-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN COc1ccc(C2=CCCN(C)C2)c(C)c1OC ZINC001241571075 982823296 /nfs/dbraw/zinc/82/32/96/982823296.db2.gz QLZHECLOJUPIHK-UHFFFAOYSA-N 0 3 247.338 2.731 20 0 BFADHN Fc1ccc(CN2CC[C@@]3(C2)CCCCO3)cc1 ZINC001203912693 982825626 /nfs/dbraw/zinc/82/56/26/982825626.db2.gz FLXOLFGFAMHLKX-OAHLLOKOSA-N 0 3 249.329 2.971 20 0 BFADHN Cc1ccc(CN2CCCC[C@@]23CCOC3)cn1 ZINC001198770657 982833977 /nfs/dbraw/zinc/83/39/77/982833977.db2.gz STLIERFTULKBPR-HNNXBMFYSA-N 0 3 246.354 2.535 20 0 BFADHN CC(C)c1ccc(CNCC2(C)OCCO2)cc1 ZINC000716871935 982878536 /nfs/dbraw/zinc/87/85/36/982878536.db2.gz MADFCISZWZCKIM-UHFFFAOYSA-N 0 3 249.354 2.663 20 0 BFADHN CNCc1cc(-c2cccc(C(C)C)c2)ncn1 ZINC001241736499 983026348 /nfs/dbraw/zinc/02/63/48/983026348.db2.gz CAUKACBUJWCGMR-UHFFFAOYSA-N 0 3 241.338 2.986 20 0 BFADHN c1nc(-c2ncccc2CN2CCCC2)cs1 ZINC001241889308 983103684 /nfs/dbraw/zinc/10/36/84/983103684.db2.gz IHJXDDAOEABSPJ-UHFFFAOYSA-N 0 3 245.351 2.801 20 0 BFADHN Oc1cnc(N=C2CCSCC2)c(Cl)c1 ZINC001201333686 983191160 /nfs/dbraw/zinc/19/11/60/983191160.db2.gz IDFXHARJONWPPL-UHFFFAOYSA-N 0 3 242.731 2.873 20 0 BFADHN C(C1CCCCC1)N1CC2(C1)CCCCO2 ZINC001201390231 983211459 /nfs/dbraw/zinc/21/14/59/983211459.db2.gz FYRCFNKSZUSCAF-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CCCCOC1CN(C[C@@H]2CC=CCC2)C1 ZINC001201418327 983219372 /nfs/dbraw/zinc/21/93/72/983219372.db2.gz OVOCKSOTSISOKO-CYBMUJFWSA-N 0 3 223.360 2.844 20 0 BFADHN c1cnc2c(c1)CN(C[C@@H]1CC=CCC1)CC2 ZINC001201418204 983222101 /nfs/dbraw/zinc/22/21/01/983222101.db2.gz MNFNEBVODAIJTN-CYBMUJFWSA-N 0 3 228.339 2.796 20 0 BFADHN CC(C)=CCC[C@H](C)CN1CC[C@@H](O)[C@H](F)C1 ZINC001201488018 983258594 /nfs/dbraw/zinc/25/85/94/983258594.db2.gz WTBHIZSNIZFSPB-BFHYXJOUSA-N 0 3 243.366 2.774 20 0 BFADHN CC(C)=CCC[C@@H](C)CN(C)CC(C)=O ZINC001201488840 983258798 /nfs/dbraw/zinc/25/87/98/983258798.db2.gz YNKNDWGCPWYGCS-GFCCVEGCSA-N 0 3 211.349 2.890 20 0 BFADHN CC(C)=CCC[C@H](C)CN(C)C1COC1 ZINC001201491538 983263776 /nfs/dbraw/zinc/26/37/76/983263776.db2.gz TZABOQXUZGUKJJ-LBPRGKRZSA-N 0 3 211.349 2.700 20 0 BFADHN CC[C@H](c1ccncc1)N(C)CCOC1CC1 ZINC001354460152 983308251 /nfs/dbraw/zinc/30/82/51/983308251.db2.gz OENNROKUSXJERO-CQSZACIVSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)CCOC1CC1 ZINC001354460150 983309034 /nfs/dbraw/zinc/30/90/34/983309034.db2.gz OENNROKUSXJERO-AWEZNQCLSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@H](NCCOC1CC1)c1c(C)noc1C ZINC001354598659 983329857 /nfs/dbraw/zinc/32/98/57/983329857.db2.gz ALPSTLQVVAKEJI-GFCCVEGCSA-N 0 3 238.331 2.511 20 0 BFADHN CCCC[C@@H](CC)CN1CCOC[C@H]1COC ZINC001201572514 983336069 /nfs/dbraw/zinc/33/60/69/983336069.db2.gz NJJISUXABVCOID-ZIAGYGMSSA-N 0 3 243.391 2.550 20 0 BFADHN CCN1CCC(C(=O)c2cccc(OC)c2)CC1 ZINC001201573388 983339230 /nfs/dbraw/zinc/33/92/30/983339230.db2.gz RTHLYYHMMBBAAT-UHFFFAOYSA-N 0 3 247.338 2.610 20 0 BFADHN C[C@@H]1C[C@H](F)CN1CCc1ccccc1 ZINC001201595598 983353238 /nfs/dbraw/zinc/35/32/38/983353238.db2.gz OKYSWBWSGCOFIX-YPMHNXCESA-N 0 3 207.292 2.661 20 0 BFADHN CC(C)CCN1CC[C@](C)(F)[C@H](F)C1 ZINC001201613593 983378955 /nfs/dbraw/zinc/37/89/55/983378955.db2.gz SUQHLAMRDUQBGB-MNOVXSKESA-N 0 3 205.292 2.805 20 0 BFADHN CCCN1CCN(c2cccc(OCC)c2)CC1 ZINC001201646035 983396790 /nfs/dbraw/zinc/39/67/90/983396790.db2.gz OYAZICYSBYDVMF-UHFFFAOYSA-N 0 3 248.370 2.617 20 0 BFADHN Cc1cnc(-c2cncc(C3CC3)c2)cc1N ZINC001242195135 983404589 /nfs/dbraw/zinc/40/45/89/983404589.db2.gz YFVDIQYUAKRQOG-UHFFFAOYSA-N 0 3 225.295 2.912 20 0 BFADHN C[C@@H]1CN(CCCc2ccccc2)CCCC1=O ZINC001201653156 983405926 /nfs/dbraw/zinc/40/59/26/983405926.db2.gz WKXHNVPQUFJINE-CQSZACIVSA-N 0 3 245.366 2.920 20 0 BFADHN C[NH2+]Cc1ccc(-c2ccc(F)cc2[O-])cc1 ZINC001242218824 983419782 /nfs/dbraw/zinc/41/97/82/983419782.db2.gz BHKFILXAIUKWQK-UHFFFAOYSA-N 0 3 231.270 2.918 20 0 BFADHN Cc1cccc(-c2cccc(CN)n2)c1F ZINC001242235883 983443343 /nfs/dbraw/zinc/44/33/43/983443343.db2.gz CUHUBDLDTWPKRY-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN Cc1cccc(-c2cnccc2N)c1F ZINC001242238409 983449735 /nfs/dbraw/zinc/44/97/35/983449735.db2.gz MSIYUHZMATYSCY-UHFFFAOYSA-N 0 3 202.232 2.609 20 0 BFADHN Cc1cccc(-c2ccc(CN)nc2)c1F ZINC001242238162 983450105 /nfs/dbraw/zinc/45/01/05/983450105.db2.gz KJIOYBKNPGVPDQ-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN CCCSC[C@@H](C)NCc1ccoc1 ZINC001355239836 983452979 /nfs/dbraw/zinc/45/29/79/983452979.db2.gz WPOXJLQSKMQHQO-SNVBAGLBSA-N 0 3 213.346 2.901 20 0 BFADHN CCCCCCN1CC(N2CCC(F)CC2)C1 ZINC001201754144 983454141 /nfs/dbraw/zinc/45/41/41/983454141.db2.gz OAYNLYPUGDFTSF-UHFFFAOYSA-N 0 3 242.382 2.685 20 0 BFADHN CCCCCCN1CCn2c(cnc2C)C1 ZINC001201747315 983454757 /nfs/dbraw/zinc/45/47/57/983454757.db2.gz YFJBPUGQVWZLET-UHFFFAOYSA-N 0 3 221.348 2.587 20 0 BFADHN NCc1cccc(-c2ccc3cnccc3c2)n1 ZINC001242244603 983455680 /nfs/dbraw/zinc/45/56/80/983455680.db2.gz KCNRHCMGWMCRKX-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN NCc1ccc(-c2ccc3cccnc3c2)cn1 ZINC001242250394 983464137 /nfs/dbraw/zinc/46/41/37/983464137.db2.gz CFAYEQISZHHGJF-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN CCCC[C@H](O)CN(C)Cc1ccsc1 ZINC001252090140 983475857 /nfs/dbraw/zinc/47/58/57/983475857.db2.gz UYYVIJJKZFFQAU-LBPRGKRZSA-N 0 3 227.373 2.731 20 0 BFADHN Cc1cnc(-c2cc3c[nH]nc3cc2C)cc1N ZINC001242281001 983503520 /nfs/dbraw/zinc/50/35/20/983503520.db2.gz KIHUKCIRYMZROX-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN Cc1cc2[nH]ncc2cc1-c1ccc(N)nc1C ZINC001242285584 983507041 /nfs/dbraw/zinc/50/70/41/983507041.db2.gz VBISYQRBBCRPSX-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN Cc1c(F)c(F)ccc1-c1cc(N)ccn1 ZINC001242303179 983527625 /nfs/dbraw/zinc/52/76/25/983527625.db2.gz DUARGKGYUPZXBN-UHFFFAOYSA-N 0 3 220.222 2.917 20 0 BFADHN Cc1cnc2c(c1)CN(CC1CCCC1)CC2 ZINC001202190036 983595121 /nfs/dbraw/zinc/59/51/21/983595121.db2.gz JRWWLTNBKRNHRJ-UHFFFAOYSA-N 0 3 230.355 2.938 20 0 BFADHN FC(F)[C@H]1CCCN(CCC(F)(F)F)C1 ZINC001202346674 983630003 /nfs/dbraw/zinc/63/00/03/983630003.db2.gz QWIGMHOFEIEOFK-ZETCQYMHSA-N 0 3 231.208 2.916 20 0 BFADHN C[C@@H]1CCN(C/C=C\c2cccc(F)c2)C[C@@H]1O ZINC001474501821 983905714 /nfs/dbraw/zinc/90/57/14/983905714.db2.gz KEWMBMUYTARRKX-FWQWQBSGSA-N 0 3 249.329 2.542 20 0 BFADHN C[C@@H]1CCN(C/C=C/c2cccc(F)c2)C[C@H]1O ZINC001474501820 983907805 /nfs/dbraw/zinc/90/78/05/983907805.db2.gz KEWMBMUYTARRKX-BYSCNOHMSA-N 0 3 249.329 2.542 20 0 BFADHN CN(C)Cc1ccccc1CC(=O)C(C)(C)C ZINC000200677704 983963293 /nfs/dbraw/zinc/96/32/93/983963293.db2.gz VFVILHKGWWTSLU-UHFFFAOYSA-N 0 3 233.355 2.906 20 0 BFADHN C[C@H](c1ccccn1)N1CCN(C(C)(C)C)CC1 ZINC000201326588 983991199 /nfs/dbraw/zinc/99/11/99/983991199.db2.gz ANWHMGCZXTXDOG-CYBMUJFWSA-N 0 3 247.386 2.559 20 0 BFADHN CN(C)Cc1cncc(-c2cc(O)ccc2F)c1 ZINC001242764593 984137986 /nfs/dbraw/zinc/13/79/86/984137986.db2.gz KCMJBMLZECMVGI-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN CN(C)c1ccnc(-c2cc(F)c(N)c(F)c2)c1 ZINC001242838364 984188465 /nfs/dbraw/zinc/18/84/65/984188465.db2.gz YVVLQWYTKFPNRN-UHFFFAOYSA-N 0 3 249.264 2.675 20 0 BFADHN Cc1c(N)ccnc1-c1c[nH]c2ncccc12 ZINC001242860045 984198315 /nfs/dbraw/zinc/19/83/15/984198315.db2.gz PMIIGWCZRYBWLE-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN c1[nH]c2ncccc2c1-c1nccc2c1CCN2 ZINC001242859706 984198559 /nfs/dbraw/zinc/19/85/59/984198559.db2.gz HHNSEXUJJXYGQQ-UHFFFAOYSA-N 0 3 236.278 2.593 20 0 BFADHN CN1CCc2cc(-c3nccs3)ccc2C1 ZINC001242896909 984255150 /nfs/dbraw/zinc/25/51/50/984255150.db2.gz ZXWZDPGOJCBINO-UHFFFAOYSA-N 0 3 230.336 2.798 20 0 BFADHN C[NH2+]Cc1ccccc1-c1ccc(F)c([O-])c1 ZINC001243049667 984399925 /nfs/dbraw/zinc/39/99/25/984399925.db2.gz FDLNHIYWJKHZJH-UHFFFAOYSA-N 0 3 231.270 2.918 20 0 BFADHN NCc1cc(-c2cc3ccccc3[nH]2)ccn1 ZINC001243108698 984556037 /nfs/dbraw/zinc/55/60/37/984556037.db2.gz ZCFPHCUZBXJAKB-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN CCCOc1ccccc1-c1cccc(CN)n1 ZINC001243125354 984592138 /nfs/dbraw/zinc/59/21/38/984592138.db2.gz RAEGNNUZWVKADL-UHFFFAOYSA-N 0 3 242.322 2.996 20 0 BFADHN COc1c(F)cc(-c2cc(N)ccn2)cc1F ZINC001243220817 984717291 /nfs/dbraw/zinc/71/72/91/984717291.db2.gz OPWQQAAHOWHLGS-UHFFFAOYSA-N 0 3 236.221 2.618 20 0 BFADHN CCC[C@@H](C)NCC(=O)c1ccc(OC)cc1 ZINC001257304508 984876222 /nfs/dbraw/zinc/87/62/22/984876222.db2.gz HUZZGCUGEQSVEJ-LLVKDONJSA-N 0 3 235.327 2.656 20 0 BFADHN CCC[C@@H](C)NCc1cn(CC(C)C)cn1 ZINC001257312348 984886366 /nfs/dbraw/zinc/88/63/66/984886366.db2.gz CYFFOGDWBZIJBE-GFCCVEGCSA-N 0 3 223.364 2.817 20 0 BFADHN CCC[C@H](C)NCc1cn(CC(C)C)cn1 ZINC001257312351 984886431 /nfs/dbraw/zinc/88/64/31/984886431.db2.gz CYFFOGDWBZIJBE-LBPRGKRZSA-N 0 3 223.364 2.817 20 0 BFADHN Cc1nc(-c2cc[nH]c(=O)c2)cc2[nH]ccc21 ZINC001243428139 984922933 /nfs/dbraw/zinc/92/29/33/984922933.db2.gz QRFHSIQVQYCASD-UHFFFAOYSA-N 0 3 225.251 2.639 20 0 BFADHN O=c1cc(-c2ccc(CN3CCC3)cc2)cc[nH]1 ZINC001243429661 984933474 /nfs/dbraw/zinc/93/34/74/984933474.db2.gz ZLCWVSFDZGDCEN-UHFFFAOYSA-N 0 3 240.306 2.660 20 0 BFADHN CN(C)Cc1cccc(-c2ccc(F)c(N)c2)n1 ZINC001243451569 984945425 /nfs/dbraw/zinc/94/54/25/984945425.db2.gz MWNBERZQCGURBW-UHFFFAOYSA-N 0 3 245.301 2.532 20 0 BFADHN CCCCOC(=O)CC[C@H](C)N1CC(C)(C)C1 ZINC001257393391 984950139 /nfs/dbraw/zinc/95/01/39/984950139.db2.gz PYAGETCBKPEEAF-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CC[C@@H](C)NCc1cc(F)cc(F)c1F ZINC001257427118 984993968 /nfs/dbraw/zinc/99/39/68/984993968.db2.gz ACTZJZKYLDALDA-SSDOTTSWSA-N 0 3 217.234 2.992 20 0 BFADHN CCCC[C@H](CC)N1CC(=O)N(CC)C[C@H]1C ZINC001257426401 984994201 /nfs/dbraw/zinc/99/42/01/984994201.db2.gz MCUSEFIUQIFVMF-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H](C)N[C@@H](C(C)=O)c1ccccc1 ZINC001257429678 984999771 /nfs/dbraw/zinc/99/97/71/984999771.db2.gz XCTSXCZJTFFTRR-MFKMUULPSA-N 0 3 205.301 2.705 20 0 BFADHN Cc1ccc(F)c(CNC2CCCCC2)n1 ZINC001257450626 985047905 /nfs/dbraw/zinc/04/79/05/985047905.db2.gz GUXOWTBIRJQMCB-UHFFFAOYSA-N 0 3 222.307 2.951 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N1CCOCC1 ZINC001257476850 985098720 /nfs/dbraw/zinc/09/87/20/985098720.db2.gz MFLWRHFKLIGIHA-OLZOCXBDSA-N 0 3 213.365 2.924 20 0 BFADHN CN(C)Cc1ccc(-c2cccc(O)c2F)nc1 ZINC001243543847 985074556 /nfs/dbraw/zinc/07/45/56/985074556.db2.gz JBUZXUQVMPLQEF-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN [O-]c1cccc(-c2cccc3c2C[NH2+]C3)c1F ZINC001243546670 985089570 /nfs/dbraw/zinc/08/95/70/985089570.db2.gz CBZARPCSVMYJCS-UHFFFAOYSA-N 0 3 229.254 2.802 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)n1nncc1CN ZINC001257469793 985090077 /nfs/dbraw/zinc/09/00/77/985090077.db2.gz ZQPHQBFGPFFXHG-WDEREUQCSA-N 0 3 224.352 2.514 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N[C@H]1COC[C@@H]1F ZINC001257478861 985106747 /nfs/dbraw/zinc/10/67/47/985106747.db2.gz XNZWFLFDGCAHTC-VOAKCMCISA-N 0 3 231.355 2.918 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N1CC(C(=O)OC)C1 ZINC001257479074 985109281 /nfs/dbraw/zinc/10/92/81/985109281.db2.gz VYRFCGSSIRDLQW-RYUDHWBXSA-N 0 3 241.375 2.696 20 0 BFADHN Oc1cc(O)cc(CN2CC[C@@H]3CCC[C@@H]3C2)c1 ZINC001138325922 985213044 /nfs/dbraw/zinc/21/30/44/985213044.db2.gz YZXHFRTXUMBMDX-QWHCGFSZSA-N 0 3 247.338 2.720 20 0 BFADHN C[C@]1(F)CCN([C@@H]2CCCSC2)C[C@H]1F ZINC001257671716 985248665 /nfs/dbraw/zinc/24/86/65/985248665.db2.gz QGLIDRWIEXOONT-MXWKQRLJSA-N 0 3 235.343 2.654 20 0 BFADHN O=c1cccc(-c2ccc(CN3CCC3)cc2)[nH]1 ZINC001243736194 985267668 /nfs/dbraw/zinc/26/76/68/985267668.db2.gz QJGQRGYSILQDGO-UHFFFAOYSA-N 0 3 240.306 2.660 20 0 BFADHN OCCC1(NC/C(Cl)=C/Cl)CCCC1 ZINC000687320709 985286870 /nfs/dbraw/zinc/28/68/70/985286870.db2.gz NSXSFIHVRHSYEH-CLFYSBASSA-N 0 3 238.158 2.590 20 0 BFADHN Cc1cc(F)ncc1-c1cc(CN(C)C)ccn1 ZINC001243758723 985288474 /nfs/dbraw/zinc/28/84/74/985288474.db2.gz LEJYPGDFETUBCT-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)N1CC[C@@H](O)[C@H](F)C1 ZINC001258063767 985364245 /nfs/dbraw/zinc/36/42/45/985364245.db2.gz NXZGAHQXROLRQL-AAVRWANBSA-N 0 3 245.382 2.852 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)N1C[C@H]2C[C@@H](C1)O2 ZINC001258074375 985376922 /nfs/dbraw/zinc/37/69/22/985376922.db2.gz JUHWTOIMKDTHHS-SYQHCUMBSA-N 0 3 225.376 2.920 20 0 BFADHN COC(=O)[C@H]1CCCN1[C@H](C)CCC=C(C)C ZINC001258085664 985385627 /nfs/dbraw/zinc/38/56/27/985385627.db2.gz LMMCGAWPVBWQGW-CHWSQXEVSA-N 0 3 239.359 2.759 20 0 BFADHN CO[C@@H]1CN([C@H](C)CCC=C(C)C)C[C@@H]1F ZINC001258086453 985388676 /nfs/dbraw/zinc/38/86/76/985388676.db2.gz TVLURYKCYIPKLL-FRRDWIJNSA-N 0 3 229.339 2.790 20 0 BFADHN C[C@H](N[C@@H]1COC[C@@H]1C)c1cc(F)ccc1F ZINC000688026604 985498689 /nfs/dbraw/zinc/49/86/89/985498689.db2.gz VLLSHWNQRDKGPL-MWODSPESSA-N 0 3 241.281 2.650 20 0 BFADHN CSCC[C@H](C)NCC(=O)c1ccccc1 ZINC001258202022 985611761 /nfs/dbraw/zinc/61/17/61/985611761.db2.gz GTTMXNFWMSFDAH-NSHDSACASA-N 0 3 237.368 2.601 20 0 BFADHN CC(C)(O)c1ccccc1C1=CNCCC1 ZINC001243949548 985638492 /nfs/dbraw/zinc/63/84/92/985638492.db2.gz MMLUUUUJJZRXJN-UHFFFAOYSA-N 0 3 217.312 2.638 20 0 BFADHN CSCC[C@H](C)N1CC2(C1)CC(F)(F)C2 ZINC001258213424 985644845 /nfs/dbraw/zinc/64/48/45/985644845.db2.gz PDBLEQDXSBVKOU-VIFPVBQESA-N 0 3 235.343 2.859 20 0 BFADHN COc1cccc2cc(C3=CNCCC3)cnc21 ZINC001243950756 985652480 /nfs/dbraw/zinc/65/24/80/985652480.db2.gz MGTIQHWLUGZWJH-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN c1ccc(C2=CNCCC2)c(OC2CC2)c1 ZINC001243951583 985655207 /nfs/dbraw/zinc/65/52/07/985655207.db2.gz YFRSWABJKRWPFT-UHFFFAOYSA-N 0 3 215.296 2.952 20 0 BFADHN COc1ccc2ccc(C3=CNCCC3)cc2n1 ZINC001243951559 985657981 /nfs/dbraw/zinc/65/79/81/985657981.db2.gz AVVKNKCBERRURE-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN CCOC(=O)c1ccc(C)c(C2=CNCCC2)c1 ZINC001243952379 985660148 /nfs/dbraw/zinc/66/01/48/985660148.db2.gz NYQQHVRGBBTKDA-UHFFFAOYSA-N 0 3 245.322 2.896 20 0 BFADHN Nc1cnc2c(cccc2C2=CNCCC2)c1 ZINC001243952783 985664030 /nfs/dbraw/zinc/66/40/30/985664030.db2.gz UJMHYICNORWLIP-UHFFFAOYSA-N 0 3 225.295 2.541 20 0 BFADHN CCOc1cc(OC)cc(C2=CNCCC2)c1 ZINC001243953509 985665654 /nfs/dbraw/zinc/66/56/54/985665654.db2.gz JGDSQENUSBYXIH-UHFFFAOYSA-N 0 3 233.311 2.818 20 0 BFADHN CN(C)Cc1cccc(C2=CNCCC2)c1F ZINC001243954807 985668548 /nfs/dbraw/zinc/66/85/48/985668548.db2.gz ZDUSGXBEBZRBKI-UHFFFAOYSA-N 0 3 234.318 2.612 20 0 BFADHN CSCC[C@H](C)N1CCCC[C@H]1C(C)=O ZINC001258223847 985669048 /nfs/dbraw/zinc/66/90/48/985669048.db2.gz HDDAYNUIBIXNPO-JQWIXIFHSA-N 0 3 229.389 2.572 20 0 BFADHN CSC(C)(C)C[C@H](C)N(C)CCF ZINC001258344907 985818856 /nfs/dbraw/zinc/81/88/56/985818856.db2.gz DEBBOWIRVIVORR-VIFPVBQESA-N 0 3 207.358 2.808 20 0 BFADHN CN(C)c1cccc(CN2C[C@H]3CCCC[C@H]32)n1 ZINC001460349644 985835808 /nfs/dbraw/zinc/83/58/08/985835808.db2.gz HZBZOEXHLMLCEO-TZMCWYRMSA-N 0 3 245.370 2.522 20 0 BFADHN COCc1ccc(C)c(-c2ccnc(CN)c2)c1 ZINC001244171969 985876620 /nfs/dbraw/zinc/87/66/20/985876620.db2.gz APBKOQCLRXDEQB-UHFFFAOYSA-N 0 3 242.322 2.662 20 0 BFADHN Cc1cc2cc(-c3cccc(CN)n3)ccc2[nH]1 ZINC001244236675 985895001 /nfs/dbraw/zinc/89/50/01/985895001.db2.gz CFWDBTAAYKGEGN-UHFFFAOYSA-N 0 3 237.306 2.997 20 0 BFADHN CCC[C@@H]1CCCN1Cc1n[nH]c(C(C)C)n1 ZINC001460902412 985935406 /nfs/dbraw/zinc/93/54/06/985935406.db2.gz SJADLOHOGXTLTH-LLVKDONJSA-N 0 3 236.363 2.693 20 0 BFADHN Cc1cc(N)ccc1-c1cccc(CN(C)C)n1 ZINC001244367520 986000843 /nfs/dbraw/zinc/00/08/43/986000843.db2.gz FDXSQGRJQVILID-UHFFFAOYSA-N 0 3 241.338 2.701 20 0 BFADHN Cc1occc1CNCc1cccc2n[nH]cc21 ZINC001461125402 986012711 /nfs/dbraw/zinc/01/27/11/986012711.db2.gz GBTTVLGCHHMCFU-UHFFFAOYSA-N 0 3 241.294 2.754 20 0 BFADHN Cc1ccc(-c2cc(CN(C)C)ccn2)c(N)c1 ZINC001244390921 986028662 /nfs/dbraw/zinc/02/86/62/986028662.db2.gz FTAMHIUNGDBZAQ-UHFFFAOYSA-N 0 3 241.338 2.701 20 0 BFADHN Cc1ccc(-c2cncc(CN(C)C)c2)c(N)c1 ZINC001244395311 986044734 /nfs/dbraw/zinc/04/47/34/986044734.db2.gz KKQLRHXPWHJHQQ-UHFFFAOYSA-N 0 3 241.338 2.701 20 0 BFADHN Cc1ccc(O)c(-c2cc(N)c(C)cn2)c1 ZINC001244403553 986071963 /nfs/dbraw/zinc/07/19/63/986071963.db2.gz WRLXMQQIYZWIOD-UHFFFAOYSA-N 0 3 214.268 2.653 20 0 BFADHN CCn1cnc(Cl)c1CNCC1CC(C)C1 ZINC001461656321 986111620 /nfs/dbraw/zinc/11/16/20/986111620.db2.gz GAPHQOILKBZHJS-UHFFFAOYSA-N 0 3 241.766 2.692 20 0 BFADHN CCC[C@@H](C)CCNCc1ccn(CC)n1 ZINC001461725466 986124785 /nfs/dbraw/zinc/12/47/85/986124785.db2.gz GSHRCTLLPMQQTB-GFCCVEGCSA-N 0 3 223.364 2.819 20 0 BFADHN Nc1cc[nH+]cc1-c1ccc(Cl)cc1[O-] ZINC001244469593 986142613 /nfs/dbraw/zinc/14/26/13/986142613.db2.gz LDNZJCNFLZXDFI-UHFFFAOYSA-N 0 3 220.659 2.520 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cn(C2CC2)cn1 ZINC001461959364 986238352 /nfs/dbraw/zinc/23/83/52/986238352.db2.gz MZPSHKQYLLARJJ-WCQYABFASA-N 0 3 233.359 2.838 20 0 BFADHN COc1nc(CN2CC[C@H](C)[C@@H](C)C2)ccc1C ZINC001461955432 986239619 /nfs/dbraw/zinc/23/96/19/986239619.db2.gz NNTZHHVPUXISQZ-AAEUAGOBSA-N 0 3 248.370 2.877 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cn(C2CC2)cn1 ZINC001461959360 986241225 /nfs/dbraw/zinc/24/12/25/986241225.db2.gz MZPSHKQYLLARJJ-AAEUAGOBSA-N 0 3 233.359 2.838 20 0 BFADHN Cc1cc(-c2cc(N)ccn2)cnc1OC(C)C ZINC001244684460 986304440 /nfs/dbraw/zinc/30/44/40/986304440.db2.gz OROFCJMZMSQCAW-UHFFFAOYSA-N 0 3 243.310 2.821 20 0 BFADHN C[C@@]1(CCNCc2ncccc2F)CC1(F)F ZINC001462435029 986386887 /nfs/dbraw/zinc/38/68/87/986386887.db2.gz GARXIBOKDUHFCE-LLVKDONJSA-N 0 3 244.260 2.746 20 0 BFADHN Cc1cccnc1[C@H](C)N[C@@H](C)Cc1ccno1 ZINC001462653726 986490335 /nfs/dbraw/zinc/49/03/35/986490335.db2.gz JXMNZTCYUWTOML-RYUDHWBXSA-N 0 3 245.326 2.660 20 0 BFADHN OC[C@@H]1CCCCN1Cc1cc2ccccc2[nH]1 ZINC001137313538 986754663 /nfs/dbraw/zinc/75/46/63/986754663.db2.gz DHSCUEZCNAGBQS-AWEZNQCLSA-N 0 3 244.338 2.515 20 0 BFADHN Cc1cc(C)c(CN2CC[C@H]3CCCO[C@H]3C2)[nH]1 ZINC001137322712 986773971 /nfs/dbraw/zinc/77/39/71/986773971.db2.gz NTCZGGIHBJPBQP-HIFRSBDPSA-N 0 3 248.370 2.632 20 0 BFADHN CCOC1CCN(Cc2[nH]c(C)cc2C)CC1 ZINC001137327954 986779426 /nfs/dbraw/zinc/77/94/26/986779426.db2.gz MTNHXNOBHUGXAL-UHFFFAOYSA-N 0 3 236.359 2.632 20 0 BFADHN Cc1c(N)ccnc1-c1c[nH]c2ncc(F)cc12 ZINC001245425112 986846159 /nfs/dbraw/zinc/84/61/59/986846159.db2.gz FVPXKJTWXWAQAU-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN Cc1cnc(-c2ccc(F)c(N)c2C)cc1N ZINC001245457862 986854065 /nfs/dbraw/zinc/85/40/65/986854065.db2.gz WCSJVDYOILYEBT-UHFFFAOYSA-N 0 3 231.274 2.669 20 0 BFADHN c1cc(CN2CCC2)cc(-c2ccncc2)c1 ZINC001137387629 986872274 /nfs/dbraw/zinc/87/22/74/986872274.db2.gz XGWORAGESIRKKY-UHFFFAOYSA-N 0 3 224.307 2.954 20 0 BFADHN CN(Cc1cn2c(cccc2F)n1)CC1CCC1 ZINC001139570782 986873678 /nfs/dbraw/zinc/87/36/78/986873678.db2.gz ZXGRBNDIDHTBCK-UHFFFAOYSA-N 0 3 247.317 2.705 20 0 BFADHN c1coc(CN(C2CC2)[C@@H]2CCSC2)c1 ZINC001255294030 986896408 /nfs/dbraw/zinc/89/64/08/986896408.db2.gz LSUPJGASNQESKW-LLVKDONJSA-N 0 3 223.341 2.750 20 0 BFADHN Fc1nc(Cl)ccc1CN1CC2CC(C2)C1 ZINC001137478844 986922644 /nfs/dbraw/zinc/92/26/44/986922644.db2.gz VMVNLXWMPYWLIN-UHFFFAOYSA-N 0 3 240.709 2.716 20 0 BFADHN NCc1cccc(-c2cc3ccc(F)cc3[nH]2)n1 ZINC001245586578 986976566 /nfs/dbraw/zinc/97/65/66/986976566.db2.gz KCQJHDHEPWQZPV-UHFFFAOYSA-N 0 3 241.269 2.828 20 0 BFADHN COc1ccc2[nH]c(-c3cnccc3N)cc2c1 ZINC001245595258 986980262 /nfs/dbraw/zinc/98/02/62/986980262.db2.gz OZZPLNSQEVSFKH-UHFFFAOYSA-N 0 3 239.278 2.651 20 0 BFADHN Nc1ccncc1-c1cccc2ccoc21 ZINC001245614892 986999965 /nfs/dbraw/zinc/99/99/65/986999965.db2.gz DZNXNZWSPWVJLD-UHFFFAOYSA-N 0 3 210.236 2.907 20 0 BFADHN COC[C@H](C)N[C@@H](CC(C)C)c1ccccn1 ZINC001255563645 987016208 /nfs/dbraw/zinc/01/62/08/987016208.db2.gz PNKHXYNOKQBRHE-JSGCOSHPSA-N 0 3 236.359 2.793 20 0 BFADHN C[C@H](N)c1nccn1[C@@H](C)Cc1ccc(F)cc1 ZINC001255854334 987074405 /nfs/dbraw/zinc/07/44/05/987074405.db2.gz BRMAWGRILWGTGV-QWRGUYRKSA-N 0 3 247.317 2.846 20 0 BFADHN Cc1ccc([C@H](C)NCc2cnc(C)n2C)s1 ZINC000689709055 987093210 /nfs/dbraw/zinc/09/32/10/987093210.db2.gz CQNZOVJHZULTCM-JTQLQIEISA-N 0 3 249.383 2.949 20 0 BFADHN c1cc2c(ccnc2-c2ccc3cncn3c2)[nH]1 ZINC001245895367 987107665 /nfs/dbraw/zinc/10/76/65/987107665.db2.gz XKNLZQZAWLGZII-UHFFFAOYSA-N 0 3 234.262 2.878 20 0 BFADHN CCOC(=O)CN(CC(C)C)CC1CC(C)C1 ZINC001473124513 987339893 /nfs/dbraw/zinc/33/98/93/987339893.db2.gz OBZDPXXCHNBJEP-UHFFFAOYSA-N 0 3 241.375 2.554 20 0 BFADHN CCOC(=O)CN(CCC1CCC1)CC(C)C ZINC001473124924 987340922 /nfs/dbraw/zinc/34/09/22/987340922.db2.gz UARPWHQMYJXANW-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN C[C@H](C[NH2+]Cc1ccc([O-])c(F)c1)CC(F)F ZINC000697713399 987363728 /nfs/dbraw/zinc/36/37/28/987363728.db2.gz FPSURNGFZDSBMO-QMMMGPOBSA-N 0 3 247.260 2.912 20 0 BFADHN COC[C@@H]1CCCN1Cc1cccc(C)c1F ZINC001137672675 987384199 /nfs/dbraw/zinc/38/41/99/987384199.db2.gz SKHKZQBXOXPLOZ-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN CC(C)[C@H]1CN(CCCC2CCC2)CCO1 ZINC001473308472 987393501 /nfs/dbraw/zinc/39/35/01/987393501.db2.gz ZZQCITLXKPDESR-CQSZACIVSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@H]1CCN(Cc2ccc(F)cn2)[C@H]1C ZINC001473402029 987421367 /nfs/dbraw/zinc/42/13/67/987421367.db2.gz GWASFGAKVHWEQL-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H]1CCN(Cc2ccncc2F)[C@H]1C ZINC001473402612 987426395 /nfs/dbraw/zinc/42/63/95/987426395.db2.gz LOHOABRXTWTPIE-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CCC[C@H](CN(C2CC2)C2COC2)C1 ZINC001473498004 987458688 /nfs/dbraw/zinc/45/86/88/987458688.db2.gz QRUMMSGYOXQLDT-RYUDHWBXSA-N 0 3 223.360 2.676 20 0 BFADHN c1cc(CN2CCCC[C@H]2[C@@H]2CCCO2)ccn1 ZINC001473883130 987602284 /nfs/dbraw/zinc/60/22/84/987602284.db2.gz BENDYDFYPJTTAE-GJZGRUSLSA-N 0 3 246.354 2.615 20 0 BFADHN c1cncc(CN2CCCC[C@@H]2[C@H]2CCCO2)c1 ZINC001473883822 987603455 /nfs/dbraw/zinc/60/34/55/987603455.db2.gz VKHVBRGOLRBLAE-HUUCEWRRSA-N 0 3 246.354 2.615 20 0 BFADHN C[C@H]1CCC(F)(F)CN1CCN1CCCCC1 ZINC001473880256 987603639 /nfs/dbraw/zinc/60/36/39/987603639.db2.gz VJDFHVZMODUTNQ-LBPRGKRZSA-N 0 3 246.345 2.592 20 0 BFADHN Cc1cccnc1CNCCNc1ccccc1 ZINC001116529589 987618232 /nfs/dbraw/zinc/61/82/32/987618232.db2.gz VPBARMZMWOGIBW-UHFFFAOYSA-N 0 3 241.338 2.592 20 0 BFADHN COc1ccccc1C1(NC[C@@H](C)OC)CCC1 ZINC001116534639 987618348 /nfs/dbraw/zinc/61/83/48/987618348.db2.gz UMMLKLOVUCTISH-GFCCVEGCSA-N 0 3 249.354 2.699 20 0 BFADHN c1nscc1CNCCCNc1ccccc1 ZINC001474018669 987630124 /nfs/dbraw/zinc/63/01/24/987630124.db2.gz YLKYJGDSLLBYDO-UHFFFAOYSA-N 0 3 247.367 2.735 20 0 BFADHN CCn1cnc(Cl)c1CNC1(C)CC=CC1 ZINC001474195342 987658583 /nfs/dbraw/zinc/65/85/83/987658583.db2.gz SENIMDIVDWFCSZ-UHFFFAOYSA-N 0 3 239.750 2.755 20 0 BFADHN CC(C)[C@@H]1C[C@H]1NCc1nc2ccccc2n1C ZINC001474307186 987687386 /nfs/dbraw/zinc/68/73/86/987687386.db2.gz OROYCNXBFJXGOK-WCQYABFASA-N 0 3 243.354 2.707 20 0 BFADHN CCn1ccc(CN2CCCC(C)(C)CC2)n1 ZINC001474350409 987694294 /nfs/dbraw/zinc/69/42/94/987694294.db2.gz KUPGEFNGZGTRGC-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN C[C@@H]1CN(Cc2cccn2C(F)F)C[C@H]1C ZINC001474369123 987699633 /nfs/dbraw/zinc/69/96/33/987699633.db2.gz FRUYETXBVXEORD-NXEZZACHSA-N 0 3 228.286 2.971 20 0 BFADHN CCNc1ccccc1CN1CC=CCC1 ZINC001474393139 987708579 /nfs/dbraw/zinc/70/85/79/987708579.db2.gz JOLPXEILFHPATC-UHFFFAOYSA-N 0 3 216.328 2.880 20 0 BFADHN CCN(CC)Cc1c(C)nc2scc(C)n12 ZINC001474400366 987711207 /nfs/dbraw/zinc/71/12/07/987711207.db2.gz PLAPUQWLBGREFD-UHFFFAOYSA-N 0 3 237.372 2.854 20 0 BFADHN COC1(C)CN(C[C@H]2CCC[C@H](C)C2)C1 ZINC001474505328 987740988 /nfs/dbraw/zinc/74/09/88/987740988.db2.gz RTFZSWUJVUSQRC-RYUDHWBXSA-N 0 3 211.349 2.533 20 0 BFADHN COC(=O)CN(CC(C)C)C[C@@H](C)C(C)(C)C ZINC001321473772 987917865 /nfs/dbraw/zinc/91/78/65/987917865.db2.gz KJMQWUHWGYWPJV-GFCCVEGCSA-N 0 3 243.391 2.800 20 0 BFADHN CS[C@@H]1CC[C@@H](N(C)Cc2conc2C)C1 ZINC001118495622 988385285 /nfs/dbraw/zinc/38/52/85/988385285.db2.gz XMOCSNHDJCKCAV-VXGBXAGGSA-N 0 3 240.372 2.699 20 0 BFADHN CCCC1CCC(N2CC[C@@H]2NC)CC1 ZINC001246896522 988671742 /nfs/dbraw/zinc/67/17/42/988671742.db2.gz GSCCUXKRAHSSFU-WXRRBKDZSA-N 0 3 210.365 2.597 20 0 BFADHN CN[C@H]1CCN1[C@H](Cc1ccccc1)CC(C)C ZINC001246901241 988674782 /nfs/dbraw/zinc/67/47/82/988674782.db2.gz WMRWHOIAFSVJCG-JKSUJKDBSA-N 0 3 246.398 2.895 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001324199871 988751470 /nfs/dbraw/zinc/75/14/70/988751470.db2.gz XTDUWKJQFARKID-OLZOCXBDSA-N 0 3 233.359 2.730 20 0 BFADHN CCCn1nc(C)c(CNC/C=C/C2CC2)c1C ZINC001324271880 988772618 /nfs/dbraw/zinc/77/26/18/988772618.db2.gz FLFWVXDEZRWJBR-AATRIKPKSA-N 0 3 247.386 2.966 20 0 BFADHN Cc1cc(CN2C[C@H](C(C)C)[C@H]2C(C)C)[nH]n1 ZINC001324379030 988824006 /nfs/dbraw/zinc/82/40/06/988824006.db2.gz RNQYTGPYQSJDGK-ZIAGYGMSSA-N 0 3 235.375 2.831 20 0 BFADHN CCCCCC1CCC(N2CCNC2)CC1 ZINC001246996247 988830182 /nfs/dbraw/zinc/83/01/82/988830182.db2.gz RRGABLDMZGQUOF-UHFFFAOYSA-N 0 3 224.392 2.988 20 0 BFADHN Cc1ccc(Cl)c2nc([C@H](C)N)[nH]c21 ZINC001247009891 988838219 /nfs/dbraw/zinc/83/82/19/988838219.db2.gz FBSAOCGYLIUVKX-LURJTMIESA-N 0 3 209.680 2.544 20 0 BFADHN Cc1ccc2[nH]c([C@@H]3CNC(C)(C)O3)nc2c1C ZINC001247015416 988842128 /nfs/dbraw/zinc/84/21/28/988842128.db2.gz WRNDXERIVAZBTB-NSHDSACASA-N 0 3 245.326 2.577 20 0 BFADHN Cc1ccc2[nH]c([C@H](C)N)nc2c1Cl ZINC001247018984 988844335 /nfs/dbraw/zinc/84/43/35/988844335.db2.gz ZSENGRXYYVXVHA-LURJTMIESA-N 0 3 209.680 2.544 20 0 BFADHN Cc1c(F)cccc1-c1noc([C@H]2CCCN2)n1 ZINC001247498771 988904392 /nfs/dbraw/zinc/90/43/92/988904392.db2.gz SWWHXARPBLUBSZ-LLVKDONJSA-N 0 3 247.273 2.609 20 0 BFADHN CCCCOC(=O)[C@H](C)N(CC)C(C)(C)C ZINC001324731267 988952369 /nfs/dbraw/zinc/95/23/69/988952369.db2.gz IEXHDYJPDFQDEJ-NSHDSACASA-N 0 3 229.364 2.839 20 0 BFADHN C[C@@H]1C[C@H](O)CN1Cc1c2ccoc2ccc1F ZINC001248528213 989114517 /nfs/dbraw/zinc/11/45/17/989114517.db2.gz IYFMLFVCHFDZGK-ZJUUUORDSA-N 0 3 249.285 2.527 20 0 BFADHN C[C@@H]1C[C@@H](O)CN1Cc1c2ccoc2ccc1F ZINC001248528212 989117224 /nfs/dbraw/zinc/11/72/24/989117224.db2.gz IYFMLFVCHFDZGK-NXEZZACHSA-N 0 3 249.285 2.527 20 0 BFADHN Cc1coc(CN2CCOCC3(CCCC3)C2)c1 ZINC001119512930 989117952 /nfs/dbraw/zinc/11/79/52/989117952.db2.gz JRZOMDCOGUGMJA-UHFFFAOYSA-N 0 3 249.354 2.981 20 0 BFADHN CCN(CCn1cccn1)Cc1csc(C)c1 ZINC001248803581 989149703 /nfs/dbraw/zinc/14/97/03/989149703.db2.gz GSXFCXAKONTQRG-UHFFFAOYSA-N 0 3 249.383 2.775 20 0 BFADHN c1cc2n(c1CN1CCC3(CC3)CC1)CCC2 ZINC001248821764 989177297 /nfs/dbraw/zinc/17/72/97/989177297.db2.gz LYMJJIKEESJCSA-UHFFFAOYSA-N 0 3 230.355 2.810 20 0 BFADHN CC1(F)CCN(Cc2ccc3n2CCC3)CC1 ZINC001248820917 989179507 /nfs/dbraw/zinc/17/95/07/989179507.db2.gz HUDCCGYMOXEFCZ-UHFFFAOYSA-N 0 3 236.334 2.758 20 0 BFADHN CCC1CCN(Cc2cccnc2N(C)C)CC1 ZINC001325601895 989214746 /nfs/dbraw/zinc/21/47/46/989214746.db2.gz ZTMAJNZBJKMRKB-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN C[C@@H](NCCCC(F)F)c1cscn1 ZINC001119606570 989226064 /nfs/dbraw/zinc/22/60/64/989226064.db2.gz QMFJJAMOYVJZBO-SSDOTTSWSA-N 0 3 220.288 2.839 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cc(C)n[nH]1 ZINC001325929261 989312873 /nfs/dbraw/zinc/31/28/73/989312873.db2.gz YRUJQTUOFUCGLB-NSHDSACASA-N 0 3 209.337 2.729 20 0 BFADHN c1[nH]nc2c1CN(CC1CCC3(CC3)CC1)CC2 ZINC001119772320 989397827 /nfs/dbraw/zinc/39/78/27/989397827.db2.gz ZQWZNVRDOQZADJ-UHFFFAOYSA-N 0 3 245.370 2.738 20 0 BFADHN C[C@H]1COCCN([C@H]2CCc3c2cccc3F)C1 ZINC001119780045 989400537 /nfs/dbraw/zinc/40/05/37/989400537.db2.gz DEPHZKIPMPAIFN-ABAIWWIYSA-N 0 3 249.329 2.781 20 0 BFADHN FC1(F)CCC[C@@H]1CCN1CC[C@@]12CCOC2 ZINC001119792068 989404946 /nfs/dbraw/zinc/40/49/46/989404946.db2.gz LDRBCKRFPABNOH-NEPJUHHUSA-N 0 3 245.313 2.677 20 0 BFADHN CC1(C[NH2+]Cc2cc([O-])cc(Cl)c2)CC1 ZINC001119953337 989507134 /nfs/dbraw/zinc/50/71/34/989507134.db2.gz FWTZEIHFDFGRMC-UHFFFAOYSA-N 0 3 225.719 2.935 20 0 BFADHN FC(F)(F)CCNCc1cccn1C1CC1 ZINC001326429586 989553191 /nfs/dbraw/zinc/55/31/91/989553191.db2.gz IALYKGMLNZZXSK-UHFFFAOYSA-N 0 3 232.249 2.865 20 0 BFADHN Cn1cc(CN2CC(C)(C)C2(C)C)c(C2CC2)n1 ZINC001120018463 989565758 /nfs/dbraw/zinc/56/57/58/989565758.db2.gz RTQNNSXHHGHVFT-UHFFFAOYSA-N 0 3 247.386 2.918 20 0 BFADHN Cc1cccc2c1ccnc2NC[C@@H]1CCN1C ZINC001120063920 989583999 /nfs/dbraw/zinc/58/39/99/989583999.db2.gz MCTDYWIMWJMBBB-LBPRGKRZSA-N 0 3 241.338 2.659 20 0 BFADHN CCCCC1(NC(=O)[C@@H](N)C[C@@H](C)CC)CC1 ZINC001122294073 990325368 /nfs/dbraw/zinc/32/53/68/990325368.db2.gz PRBWXHKZXDVZFD-RYUDHWBXSA-N 0 3 240.391 2.589 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1Cc1cc(O)cc(O)c1 ZINC001138329610 990919147 /nfs/dbraw/zinc/91/91/47/990919147.db2.gz ALVPXCLTXUTHHH-WDEREUQCSA-N 0 3 235.327 2.718 20 0 BFADHN Cc1ccc2[nH]c(CN(C)[C@@H]3CCOC3)cc2c1 ZINC001138419840 990943950 /nfs/dbraw/zinc/94/39/50/990943950.db2.gz GKYUYNZFCWZPFG-CQSZACIVSA-N 0 3 244.338 2.697 20 0 BFADHN Cc1cc(CN2CC(C)(N(C)C)C2)c(C)s1 ZINC001138570765 990976072 /nfs/dbraw/zinc/97/60/72/990976072.db2.gz JWUVMANAFZGJTQ-UHFFFAOYSA-N 0 3 238.400 2.501 20 0 BFADHN CCCn1ncc(CN(C)C2CC(C)C2)c1C ZINC001138636153 990986180 /nfs/dbraw/zinc/98/61/80/990986180.db2.gz BYQAVNXOJBCHPM-UHFFFAOYSA-N 0 3 235.375 2.832 20 0 BFADHN CC(C)=CCC[C@@H](C)N1C[C@@H](F)C[C@H]1CO ZINC001258085239 990987636 /nfs/dbraw/zinc/98/76/36/990987636.db2.gz HCWMZQXDONJKJJ-AGIUHOORSA-N 0 3 229.339 2.526 20 0 BFADHN CC(C)=CCC[C@@H](C)n1cnc2c1CNCC2 ZINC001258088505 990992024 /nfs/dbraw/zinc/99/20/24/990992024.db2.gz MHKCLXSMTHWHKX-GFCCVEGCSA-N 0 3 233.359 2.836 20 0 BFADHN Cc1cc(CNc2nc3ccc(C)cc3[nH]2)[nH]n1 ZINC001308501361 991011367 /nfs/dbraw/zinc/01/13/67/991011367.db2.gz MMSGZWHPMVQDDW-UHFFFAOYSA-N 0 3 241.298 2.515 20 0 BFADHN Cc1cc(CNc2nc3cc(C)ccc3[nH]2)[nH]n1 ZINC001308501361 991011375 /nfs/dbraw/zinc/01/13/75/991011375.db2.gz MMSGZWHPMVQDDW-UHFFFAOYSA-N 0 3 241.298 2.515 20 0 BFADHN Cc1cccc(Cl)c1CN(C)CC1COC1 ZINC001138720034 991016934 /nfs/dbraw/zinc/01/69/34/991016934.db2.gz MYNSBMMYBQDMJN-UHFFFAOYSA-N 0 3 239.746 2.727 20 0 BFADHN C[C@@H]1CCN1Cc1ccc2c(c1)CCCO2 ZINC001138713173 991016981 /nfs/dbraw/zinc/01/69/81/991016981.db2.gz APONMVYLCWRAGB-LLVKDONJSA-N 0 3 217.312 2.606 20 0 BFADHN COc1ccsc1CNCCC(F)F ZINC001308550392 991032110 /nfs/dbraw/zinc/03/21/10/991032110.db2.gz MGUGPKDWOBKKLI-UHFFFAOYSA-N 0 3 221.272 2.502 20 0 BFADHN Fc1ncccc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC001138783559 991046602 /nfs/dbraw/zinc/04/66/02/991046602.db2.gz UCGDVOYMDFDBPX-RYUDHWBXSA-N 0 3 234.318 2.843 20 0 BFADHN CCn1ccc(CN2CCCC[C@H]2C(C)C)n1 ZINC001308578206 991046505 /nfs/dbraw/zinc/04/65/05/991046505.db2.gz ZVQZOTFTBLNQTK-AWEZNQCLSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@@H]1CC(N2CC3(C2)CCCO3)C[C@@H](C)C1 ZINC001258161420 991047268 /nfs/dbraw/zinc/04/72/68/991047268.db2.gz ZEMOFFFCZYNCGI-RYUDHWBXSA-N 0 3 223.360 2.676 20 0 BFADHN Fc1ncccc1CN1CC2CCC1CC2 ZINC001138787569 991054193 /nfs/dbraw/zinc/05/41/93/991054193.db2.gz JNFZSEITNWSIKO-UHFFFAOYSA-N 0 3 220.291 2.595 20 0 BFADHN C[C@H]1CCCCN1Cc1cccc2ccnn21 ZINC001138804664 991064682 /nfs/dbraw/zinc/06/46/82/991064682.db2.gz RHXVIEQONZHQOZ-LBPRGKRZSA-N 0 3 229.327 2.709 20 0 BFADHN CCCOC1CN(C2C[C@H](C)C[C@@H](C)C2)C1 ZINC001258173684 991073469 /nfs/dbraw/zinc/07/34/69/991073469.db2.gz HIZRZCNGIHQGRW-VXGBXAGGSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@H](Cc1ccco1)N1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001258188868 991102357 /nfs/dbraw/zinc/10/23/57/991102357.db2.gz NSYWSHIMLUOXHT-URBCHYCLSA-N 0 3 223.291 2.500 20 0 BFADHN C[C@@H](Cc1ccco1)N1CC(c2cccnc2)C1 ZINC001258194755 991103579 /nfs/dbraw/zinc/10/35/79/991103579.db2.gz KPAMMVVWMJMVOE-LBPRGKRZSA-N 0 3 242.322 2.705 20 0 BFADHN Cc1c[nH]c(CN2CCC[C@H](C)[C@@H](C)C2)n1 ZINC001138935093 991106380 /nfs/dbraw/zinc/10/63/80/991106380.db2.gz BUGBNGCJYGXCKS-QWRGUYRKSA-N 0 3 221.348 2.586 20 0 BFADHN C[C@@H](Cc1ccco1)N1CCc2occc2C1 ZINC001258195363 991111252 /nfs/dbraw/zinc/11/12/52/991111252.db2.gz QCIQERJIHHPMSG-NSHDSACASA-N 0 3 231.295 2.862 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2ncccc2F)C1 ZINC001308731561 991114303 /nfs/dbraw/zinc/11/43/03/991114303.db2.gz BHBALBLHHGKJAO-MNOVXSKESA-N 0 3 222.307 2.747 20 0 BFADHN CSCC[C@@H](C)NC1(c2ccccn2)CC1 ZINC001258202854 991119490 /nfs/dbraw/zinc/11/94/90/991119490.db2.gz XUTHUZHUIJTZFG-LLVKDONJSA-N 0 3 236.384 2.802 20 0 BFADHN CC[C@@H](C)[C@@H](C(=O)OC)N(C)CCC(C)C ZINC001559491473 991119992 /nfs/dbraw/zinc/11/99/92/991119992.db2.gz IYEWKLNBFUNJSL-NEPJUHHUSA-N 0 3 229.364 2.552 20 0 BFADHN CC(C)N(C)Cc1ccc(C(F)(F)F)cn1 ZINC001139012194 991122638 /nfs/dbraw/zinc/12/26/38/991122638.db2.gz JBPCJRDENFKUDC-UHFFFAOYSA-N 0 3 232.249 2.941 20 0 BFADHN CSCC[C@@H](C)NCc1nc(C)ccc1F ZINC001258204598 991124849 /nfs/dbraw/zinc/12/48/49/991124849.db2.gz XFMWFAPDMMJZJD-SECBINFHSA-N 0 3 242.363 2.760 20 0 BFADHN CSCC[C@@H](C)NCc1cn(C(C)C)cn1 ZINC001258210129 991128718 /nfs/dbraw/zinc/12/87/18/991128718.db2.gz ZOTPZKLIRDMFTJ-LLVKDONJSA-N 0 3 241.404 2.695 20 0 BFADHN CCC(CC)N(CC)Cc1ccn(CC)n1 ZINC001308795199 991140787 /nfs/dbraw/zinc/14/07/87/991140787.db2.gz QKGZNUOCMBAJLC-UHFFFAOYSA-N 0 3 223.364 2.914 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC[C@@H](C(F)F)C1 ZINC001139099162 991142040 /nfs/dbraw/zinc/14/20/40/991142040.db2.gz ONMNUCPLUSUNBN-SNVBAGLBSA-N 0 3 243.301 2.504 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC[C@H](C(F)F)C1 ZINC001139099161 991143827 /nfs/dbraw/zinc/14/38/27/991143827.db2.gz ONMNUCPLUSUNBN-JTQLQIEISA-N 0 3 243.301 2.504 20 0 BFADHN C[C@@]1(Br)C[C@H]1CN1CC2(CCC2)C1 ZINC001308809988 991151361 /nfs/dbraw/zinc/15/13/61/991151361.db2.gz QGTYKFQNLNMAMT-VHSXEESVSA-N 0 3 244.176 2.646 20 0 BFADHN COc1cc(O)ccc1CN1CCCC[C@H]1C ZINC001139138167 991162982 /nfs/dbraw/zinc/16/29/82/991162982.db2.gz PTZPXFXVSOPHFQ-LLVKDONJSA-N 0 3 235.327 2.775 20 0 BFADHN C[C@H](CNCc1ncccc1F)c1ccccn1 ZINC001308860126 991184033 /nfs/dbraw/zinc/18/40/33/991184033.db2.gz SIBBZZGURVRHBU-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN CC(=O)CN1CC[C@H](C)C2(CCCCC2)C1 ZINC001559772715 991255280 /nfs/dbraw/zinc/25/52/80/991255280.db2.gz YCEVZHUVNWILFM-LBPRGKRZSA-N 0 3 223.360 2.868 20 0 BFADHN CC[C@H](C)[C@H](C)N1CC[S@@](=O)C(C)(C)CC1 ZINC001559838173 991274004 /nfs/dbraw/zinc/27/40/04/991274004.db2.gz FNTCLBHPQVULEH-MQIPJXDCSA-N 0 3 245.432 2.654 20 0 BFADHN CC(C)CNc1ccc(N2CCN(C)CC2)cc1 ZINC000083377654 991277330 /nfs/dbraw/zinc/27/73/30/991277330.db2.gz SUHCBZGWOFRCOI-UHFFFAOYSA-N 0 3 247.386 2.506 20 0 BFADHN COc1ccncc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001139606883 991288231 /nfs/dbraw/zinc/28/82/31/991288231.db2.gz QXDCTIANNBJJLD-QWHCGFSZSA-N 0 3 246.354 2.712 20 0 BFADHN CCOc1ccncc1CN1C[C@H](C)C[C@@H](C)C1 ZINC001139629805 991298352 /nfs/dbraw/zinc/29/83/52/991298352.db2.gz VNSCEOKXLQYNIO-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN CCOc1ccncc1CN1CCC[C@H]1CC ZINC001139630481 991299784 /nfs/dbraw/zinc/29/97/84/991299784.db2.gz KFESVHWULWSMDZ-CYBMUJFWSA-N 0 3 234.343 2.855 20 0 BFADHN CCCCC(CCCC)N1CC(NC(C)=O)C1 ZINC001258387588 991341675 /nfs/dbraw/zinc/34/16/75/991341675.db2.gz UUMPTESLKBGSSH-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN c1cnc2cc(CN3CCCCC3)cnc2c1 ZINC001139741411 991349478 /nfs/dbraw/zinc/34/94/78/991349478.db2.gz SWJQUMXRXWCONF-UHFFFAOYSA-N 0 3 227.311 2.616 20 0 BFADHN OC[C@H]1CCCN1Cc1ccccc1C(F)F ZINC001139795393 991371660 /nfs/dbraw/zinc/37/16/60/991371660.db2.gz GWXHIYCDNPCGTF-LLVKDONJSA-N 0 3 241.281 2.581 20 0 BFADHN C[C@]1(O)CCN(Cc2ccccc2C(F)F)C1 ZINC001139796144 991372639 /nfs/dbraw/zinc/37/26/39/991372639.db2.gz UFSKCFNZJNGTIC-ZDUSSCGKSA-N 0 3 241.281 2.581 20 0 BFADHN CCCCC[C@H](CC)N1CC[C@@H](O)[C@H](F)C1 ZINC001258438670 991374776 /nfs/dbraw/zinc/37/47/76/991374776.db2.gz CURSVGFRCLIDSL-YNEHKIRRSA-N 0 3 231.355 2.750 20 0 BFADHN CC(C)C[C@H](C)N1Cc2c[nH]nc2C[C@H](C)C1 ZINC001560144302 991391280 /nfs/dbraw/zinc/39/12/80/991391280.db2.gz XOHJSTYAEIRWRJ-RYUDHWBXSA-N 0 3 235.375 2.839 20 0 BFADHN Clc1cnccc1CN1CCC[C@H]2C[C@H]21 ZINC001139849480 991404665 /nfs/dbraw/zinc/40/46/65/991404665.db2.gz HUVJGECLIBCVGU-JOYOIKCWSA-N 0 3 222.719 2.719 20 0 BFADHN Cc1cc(CN2C[C@H]3[C@H]4CC[C@H](C4)[C@@]3(C)C2)no1 ZINC001560186611 991405312 /nfs/dbraw/zinc/40/53/12/991405312.db2.gz SWZBGHBMQUECJU-MYZSUADSSA-N 0 3 246.354 2.851 20 0 BFADHN C1=CCN(Cc2cncc3ccccc32)C1 ZINC001139894136 991413062 /nfs/dbraw/zinc/41/30/62/991413062.db2.gz PUYXAHFPVCRFQF-UHFFFAOYSA-N 0 3 210.280 2.607 20 0 BFADHN OC1(CN2CCC[C@H](F)C2)CCCCCC1 ZINC001560205197 991417313 /nfs/dbraw/zinc/41/73/13/991417313.db2.gz OHZHKFCWYHFQQG-LBPRGKRZSA-N 0 3 229.339 2.506 20 0 BFADHN OC1(CN2CCC[C@@H](F)C2)CCCCCC1 ZINC001560205196 991419097 /nfs/dbraw/zinc/41/90/97/991419097.db2.gz OHZHKFCWYHFQQG-GFCCVEGCSA-N 0 3 229.339 2.506 20 0 BFADHN Cc1ccc(CN2CCC[C@H](F)CC2)cn1 ZINC001139928809 991419516 /nfs/dbraw/zinc/41/95/16/991419516.db2.gz CXZIRVPDPKXXEM-ZDUSSCGKSA-N 0 3 222.307 2.714 20 0 BFADHN Cc1ccc(CN2CC3CCC2CC3)cn1 ZINC001139932866 991421639 /nfs/dbraw/zinc/42/16/39/991421639.db2.gz VGKQFZBCEDJKBV-UHFFFAOYSA-N 0 3 216.328 2.764 20 0 BFADHN Cn1ncc2cc(CN3CCC(F)CC3)ccc21 ZINC001139948440 991428283 /nfs/dbraw/zinc/42/82/83/991428283.db2.gz DBSXFTMIGBHKFN-UHFFFAOYSA-N 0 3 247.317 2.507 20 0 BFADHN Cc1cnc2c(c1)CN([C@H](C)CC1CC1)CC2 ZINC001258469327 991433540 /nfs/dbraw/zinc/43/35/40/991433540.db2.gz AGHRULMPXJQDKU-GFCCVEGCSA-N 0 3 230.355 2.937 20 0 BFADHN C[C@H](CC1CC1)N(C)Cc1cncs1 ZINC001258469804 991437169 /nfs/dbraw/zinc/43/71/69/991437169.db2.gz IMGGSBDHFSZWOP-SECBINFHSA-N 0 3 210.346 2.764 20 0 BFADHN CCCC1CCC(N2CC[C@@H](O)[C@H](F)C2)CC1 ZINC001258529987 991480358 /nfs/dbraw/zinc/48/03/58/991480358.db2.gz AGLPNFGKDYTOFG-NWINJMCUSA-N 0 3 243.366 2.750 20 0 BFADHN CCCOC1CN([C@@H]2CCC(C)(C)C2)C1 ZINC001258605228 991492377 /nfs/dbraw/zinc/49/23/77/991492377.db2.gz RGMHBARVJCEFTG-LLVKDONJSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@@H]1CCCN1Cc1cc(O)ccc1F ZINC001140049199 991498514 /nfs/dbraw/zinc/49/85/14/991498514.db2.gz ZADUFZYHUVLDEE-LLVKDONJSA-N 0 3 223.291 2.906 20 0 BFADHN CCN(Cc1[nH]nc2ccc(OC)cc21)C1CC1 ZINC001140066500 991512815 /nfs/dbraw/zinc/51/28/15/991512815.db2.gz VDRGDJLWINTBOY-UHFFFAOYSA-N 0 3 245.326 2.556 20 0 BFADHN COc1ccc2n[nH]c(CN3CCC[C@@H]3C)c2c1 ZINC001140065660 991512925 /nfs/dbraw/zinc/51/29/25/991512925.db2.gz KDVIZKNGDUVNHX-JTQLQIEISA-N 0 3 245.326 2.556 20 0 BFADHN Cc1sccc1CNCc1cc(C)c[nH]c1=O ZINC001560610705 991514205 /nfs/dbraw/zinc/51/42/05/991514205.db2.gz FCAQTOJWSHXXGA-UHFFFAOYSA-N 0 3 248.351 2.755 20 0 BFADHN Oc1ccc(CN2CCC[C@@H](F)CC2)c(F)c1 ZINC001140124610 991528733 /nfs/dbraw/zinc/52/87/33/991528733.db2.gz QOGNIJKEQWSZJP-LLVKDONJSA-N 0 3 241.281 2.855 20 0 BFADHN CCCCC(=O)[C@H](C)N[C@@H](C)Cc1cccnc1 ZINC001258614597 991541469 /nfs/dbraw/zinc/54/14/69/991541469.db2.gz REEPERBUETUUEI-STQMWFEESA-N 0 3 248.370 2.750 20 0 BFADHN CCCn1cncc1CN1CCC(C)(C)CC1 ZINC001560660986 991544274 /nfs/dbraw/zinc/54/42/74/991544274.db2.gz HLOMDHVLAQAOGS-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCn1ccc(CN2C[C@H](C)CCC[C@@H]2C)n1 ZINC001560687481 991555593 /nfs/dbraw/zinc/55/55/93/991555593.db2.gz MBNIGROQRDCCHF-OLZOCXBDSA-N 0 3 235.375 2.914 20 0 BFADHN CCC[C@@H](Cc1ccccc1)N(C)CC(C)=O ZINC001258657017 991594440 /nfs/dbraw/zinc/59/44/40/991594440.db2.gz MBTJDRVMEFFNPC-HNNXBMFYSA-N 0 3 233.355 2.919 20 0 BFADHN CCN(Cc1[nH]nc2ccc(C)cc21)C1CC1 ZINC001140327522 991605280 /nfs/dbraw/zinc/60/52/80/991605280.db2.gz BIQMMMJPBJBZSW-UHFFFAOYSA-N 0 3 229.327 2.856 20 0 BFADHN CCn1ccc(CN(C)C(C)(C)CC)n1 ZINC001560803798 991605991 /nfs/dbraw/zinc/60/59/91/991605991.db2.gz GBIXLFNGYBJPEX-UHFFFAOYSA-N 0 3 209.337 2.523 20 0 BFADHN c1cc(CN2CCCC3(CCCC3)C2)ncn1 ZINC001140343061 991609132 /nfs/dbraw/zinc/60/91/32/991609132.db2.gz KIQZPGASOKHBFS-UHFFFAOYSA-N 0 3 231.343 2.633 20 0 BFADHN COC1(C)CN(C[C@H](C)C2CCCCC2)C1 ZINC001560814816 991610080 /nfs/dbraw/zinc/61/00/80/991610080.db2.gz IVGBTJAOAGWGIR-LBPRGKRZSA-N 0 3 225.376 2.924 20 0 BFADHN CCCCC[C@@H](CCC)N1CC(NC(C)=O)C1 ZINC001258671236 991612580 /nfs/dbraw/zinc/61/25/80/991612580.db2.gz LZSKJQYNGJQALM-CQSZACIVSA-N 0 3 240.391 2.556 20 0 BFADHN COc1cc(F)cc(CN2CCC3(CC3)C2)c1 ZINC001140477785 991650356 /nfs/dbraw/zinc/65/03/56/991650356.db2.gz QMXKSRKJYDPKPJ-UHFFFAOYSA-N 0 3 235.302 2.820 20 0 BFADHN CC[C@H](CCC(F)(F)F)NCc1ncc[nH]1 ZINC001560957083 991666107 /nfs/dbraw/zinc/66/61/07/991666107.db2.gz UNHYYIKMWAMSOR-MRVPVSSYSA-N 0 3 235.253 2.620 20 0 BFADHN C[C@@H](Cc1cccc(Cl)c1)n1ccc(CN)n1 ZINC001258738530 991688639 /nfs/dbraw/zinc/68/86/39/991688639.db2.gz VIHDDAOBZJTNKY-JTQLQIEISA-N 0 3 249.745 2.799 20 0 BFADHN C[C@@H](Cc1cccc(Cl)c1)n1cnc(CN)c1 ZINC001258738968 991689295 /nfs/dbraw/zinc/68/92/95/991689295.db2.gz MHDJBQNBQDKWQM-JTQLQIEISA-N 0 3 249.745 2.799 20 0 BFADHN C[C@H](c1ccncc1)N1CCN(C(C)(C)C)CC1 ZINC001318779793 991717594 /nfs/dbraw/zinc/71/75/94/991717594.db2.gz MMBGMHUWZPVGSU-CYBMUJFWSA-N 0 3 247.386 2.559 20 0 BFADHN CCCC[C@H](CCC)N1CCO[C@H]2C[C@H]21 ZINC001258767832 991733685 /nfs/dbraw/zinc/73/36/85/991733685.db2.gz XZPMIMPEXTXSNF-XQQFMLRXSA-N 0 3 211.349 2.818 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2nccnc2Cl)C1 ZINC001258807941 991811953 /nfs/dbraw/zinc/81/19/53/991811953.db2.gz MLOQDKIUKXZWKJ-NXEZZACHSA-N 0 3 239.750 2.798 20 0 BFADHN Cc1nc(CN[C@H]2CCC[C@H](C)C2)c(C)o1 ZINC001258808927 991813732 /nfs/dbraw/zinc/81/37/32/991813732.db2.gz RYPIQPPGPJCFOX-CABZTGNLSA-N 0 3 222.332 2.960 20 0 BFADHN CC(C)CC(CC(C)C)n1ccc(CN)n1 ZINC001258831846 991862084 /nfs/dbraw/zinc/86/20/84/991862084.db2.gz QECANNYSRIGJSY-UHFFFAOYSA-N 0 3 223.364 2.975 20 0 BFADHN CC[C@@H](Cc1ccccc1)N1CCO[C@@H](C)C1 ZINC001258845929 991879613 /nfs/dbraw/zinc/87/96/13/991879613.db2.gz IYNNLPPOHUDSDT-ZFWWWQNUSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@@H](Cc1ccccc1)N(CC)C1COC1 ZINC001258852028 991883214 /nfs/dbraw/zinc/88/32/14/991883214.db2.gz WFUMJAGGXJOYDT-AWEZNQCLSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@H](Cc1ccccc1)N1CCOCC12CC2 ZINC001258852501 991883636 /nfs/dbraw/zinc/88/36/36/991883636.db2.gz NKLUSDGGSWDGLS-OAHLLOKOSA-N 0 3 245.366 2.873 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCC[C@H](C)C2)[nH]n1 ZINC001319687361 991934843 /nfs/dbraw/zinc/93/48/43/991934843.db2.gz GMAVEXQKFMIKIN-GXFFZTMASA-N 0 3 221.348 2.729 20 0 BFADHN Fc1ccc(CCN2CC[C@@H]3C[C@@H]32)cc1F ZINC001320289049 992155040 /nfs/dbraw/zinc/15/50/40/992155040.db2.gz XZGYZYLPMYLXLK-MFKMUULPSA-N 0 3 223.266 2.602 20 0 BFADHN CCN(CCC(C)(C)O)Cc1ccccc1F ZINC001320364920 992185901 /nfs/dbraw/zinc/18/59/01/992185901.db2.gz QSQFIZFFOIJQKO-UHFFFAOYSA-N 0 3 239.334 2.809 20 0 BFADHN CC/C=C\CNCc1ccc(F)c(OC)c1 ZINC001261256942 992225886 /nfs/dbraw/zinc/22/58/86/992225886.db2.gz ZHZNGGFJWGFIRT-PLNGDYQASA-N 0 3 223.291 2.890 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@@H]3CCCC[C@@H]3C2)n[nH]1 ZINC001261359465 992271849 /nfs/dbraw/zinc/27/18/49/992271849.db2.gz FUIVDVAUKBKBEI-WCFLWFBJSA-N 0 3 248.374 2.686 20 0 BFADHN F[C@@H]1CCC[C@@H](NCc2cn(C3CC3)cn2)C1 ZINC001261850283 992545244 /nfs/dbraw/zinc/54/52/44/992545244.db2.gz GKIZMAGEFUKMJS-GHMZBOCLSA-N 0 3 237.322 2.588 20 0 BFADHN c1cnc2c(c1)CC[C@@H]2N[C@@H]1C=CCCC1 ZINC001262107809 992677273 /nfs/dbraw/zinc/67/72/73/992677273.db2.gz ZSAULUXESPHTCL-OLZOCXBDSA-N 0 3 214.312 2.767 20 0 BFADHN CS[C@H]1CC[C@@H](N(C)Cc2ocnc2C)C1 ZINC001262109040 992680225 /nfs/dbraw/zinc/68/02/25/992680225.db2.gz HRJTUJHFBYYUKM-MNOVXSKESA-N 0 3 240.372 2.699 20 0 BFADHN CCc1onc(C)c1CNC1(C2CC2)CC1 ZINC001262256505 992819726 /nfs/dbraw/zinc/81/97/26/992819726.db2.gz OBZZUZKCSCKLFR-UHFFFAOYSA-N 0 3 220.316 2.578 20 0 BFADHN C[C@H]1CSCCN1CCCC(F)(F)F ZINC001262354064 992855496 /nfs/dbraw/zinc/85/54/96/992855496.db2.gz XNXYPNIUXUSDDO-QMMMGPOBSA-N 0 3 227.295 2.766 20 0 BFADHN CC(C)c1nnc(CNC[C@@H](C)C2CC2)s1 ZINC001262359292 992858512 /nfs/dbraw/zinc/85/85/12/992858512.db2.gz TVEHHRXJKMQKGO-SECBINFHSA-N 0 3 239.388 2.797 20 0 BFADHN COC(OC)[C@@H](C)NCc1cccc2ccoc21 ZINC001262367004 992862342 /nfs/dbraw/zinc/86/23/42/992862342.db2.gz FIMLLQRTOLJSRI-SNVBAGLBSA-N 0 3 249.310 2.530 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)Nc1ccsc1C ZINC001263172075 993143909 /nfs/dbraw/zinc/14/39/09/993143909.db2.gz JWFZOJMHACQSNT-WPRPVWTQSA-N 0 3 240.372 2.759 20 0 BFADHN COC[C@H](C)NCc1csc(Cl)c1 ZINC000378989319 993210980 /nfs/dbraw/zinc/21/09/80/993210980.db2.gz DYPKVDUJRIZNHE-ZETCQYMHSA-N 0 3 219.737 2.526 20 0 BFADHN COc1ccc(CNC/C=C/C2CC2)c(OC)c1 ZINC000379150117 993221994 /nfs/dbraw/zinc/22/19/94/993221994.db2.gz BPAOMGMUUSVCRX-ONEGZZNKSA-N 0 3 247.338 2.760 20 0 BFADHN FC1(F)CC[C@@H]2CN(Cc3ccco3)C[C@@H]21 ZINC000585381323 993259798 /nfs/dbraw/zinc/25/97/98/993259798.db2.gz IYWMNCZGLXUPSJ-KOLCDFICSA-N 0 3 227.254 2.757 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3cc(F)cc(C#N)c3)C[C@@H]21 ZINC000380375133 993328794 /nfs/dbraw/zinc/32/87/94/993328794.db2.gz FVOIVIDAJUTJKM-OKILXGFUSA-N 0 3 244.313 2.785 20 0 BFADHN Cc1nc2ccc(OC3CCN(C)CC3)cc2o1 ZINC000586477189 993373762 /nfs/dbraw/zinc/37/37/62/993373762.db2.gz UQJKXHHYDLIAPH-UHFFFAOYSA-N 0 3 246.310 2.609 20 0 BFADHN CCCCC[C@H](C)n1cnc2c1CNCC2 ZINC000381657322 993415486 /nfs/dbraw/zinc/41/54/86/993415486.db2.gz UBGJJFBTZSJAIH-NSHDSACASA-N 0 3 221.348 2.670 20 0 BFADHN Cc1c2[nH]c([C@@H]3CCOC3)nc2ccc1F ZINC000586912906 993418020 /nfs/dbraw/zinc/41/80/20/993418020.db2.gz XTJSDMWWMVBHGX-MRVPVSSYSA-N 0 3 220.247 2.514 20 0 BFADHN CCCn1cncc1CN[C@H]1CCC(F)(F)C1 ZINC000383982860 993531852 /nfs/dbraw/zinc/53/18/52/993531852.db2.gz KJAKJFUZLBJAHZ-JTQLQIEISA-N 0 3 243.301 2.571 20 0 BFADHN CCCC[C@H](N)C(=O)N1C[C@@H](C)CCC[C@H]1C ZINC001577400733 993641859 /nfs/dbraw/zinc/64/18/59/993641859.db2.gz GYSQYGRKJSFAER-XQQFMLRXSA-N 0 3 240.391 2.541 20 0 BFADHN CC(C)(C)c1ncc(CNC/C=C/C2CC2)cn1 ZINC000386190524 993681680 /nfs/dbraw/zinc/68/16/80/993681680.db2.gz JXIKAFHNZCOVJG-SNAWJCMRSA-N 0 3 245.370 2.830 20 0 BFADHN CCCN(C)Cc1cc(Br)co1 ZINC000388445545 993731215 /nfs/dbraw/zinc/73/12/15/993731215.db2.gz VIXSAHPJRZATOD-UHFFFAOYSA-N 0 3 232.121 2.884 20 0 BFADHN CC(=O)[C@H]1CCCN(Cc2csc(C)c2)C1 ZINC000390634665 993801000 /nfs/dbraw/zinc/80/10/00/993801000.db2.gz LQJPULVEYABVRX-ZDUSSCGKSA-N 0 3 237.368 2.858 20 0 BFADHN Fc1ccccc1C1(N[C@H]2CCSC2)CC1 ZINC000390790977 993803488 /nfs/dbraw/zinc/80/34/88/993803488.db2.gz CARPUKJIKOYKLL-JTQLQIEISA-N 0 3 237.343 2.910 20 0 BFADHN CCn1ccnc1CNCC1(CC(C)C)CC1 ZINC000391930530 993827039 /nfs/dbraw/zinc/82/70/39/993827039.db2.gz GXEULEXFCLJNPE-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN CC(C)n1cc(CNC/C=C\C2CC2)cn1 ZINC000393419522 993945782 /nfs/dbraw/zinc/94/57/82/993945782.db2.gz CJILTMGOPKNORJ-ARJAWSKDSA-N 0 3 219.332 2.520 20 0 BFADHN CCC[C@H](O)CNCc1csc(Cl)c1 ZINC000393541999 993965302 /nfs/dbraw/zinc/96/53/02/993965302.db2.gz ZLUBZXYRIMLQCO-VIFPVBQESA-N 0 3 233.764 2.652 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCc3ccccc32)[nH]1 ZINC000724780999 994077633 /nfs/dbraw/zinc/07/76/33/994077633.db2.gz ZGYUVOOMQQVTKA-HNNXBMFYSA-N 0 3 241.338 2.837 20 0 BFADHN CCN(C#N)CCN1CCC(CC)(CC)CC1 ZINC001307697144 995436698 /nfs/dbraw/zinc/43/66/98/995436698.db2.gz XQYDDNYIZBKBMV-UHFFFAOYSA-N 0 3 237.391 2.692 20 0 BFADHN C[C@H](CN(C1CC1)C1COC1)CC(C)(C)C ZINC001307795273 995466336 /nfs/dbraw/zinc/46/63/36/995466336.db2.gz VQQKAZOWLKNQFW-NSHDSACASA-N 0 3 225.376 2.922 20 0 BFADHN CCCCOC(=O)[C@H](C)N1C[C@H](C)[C@H](C)[C@@H]1C ZINC001307852578 995484839 /nfs/dbraw/zinc/48/48/39/995484839.db2.gz IEBYSUNTYOCRHU-CYDGBPFRSA-N 0 3 241.375 2.695 20 0 BFADHN Cn1ccc(CN2CCC[C@H](C3CCCC3)C2)n1 ZINC001308158363 995577404 /nfs/dbraw/zinc/57/74/04/995577404.db2.gz XCYVXQMMDOFVAZ-AWEZNQCLSA-N 0 3 247.386 2.822 20 0 BFADHN CCC(C)(CC)NCc1ccn(C(F)F)n1 ZINC000336780392 168311751 /nfs/dbraw/zinc/31/17/51/168311751.db2.gz REOAFDYRXHZCSP-UHFFFAOYSA-N 0 3 231.290 2.947 20 0 BFADHN CCC[C@H](N[C@H]1C[C@@H](O)C1)c1ccsc1 ZINC000295996076 398136931 /nfs/dbraw/zinc/13/69/31/398136931.db2.gz PZTKSYIRKOJRPO-TUAOUCFPSA-N 0 3 225.357 2.702 20 0 BFADHN Cc1cc(C)n(CCN[C@@H](C)c2ccccc2)n1 ZINC000271753404 177928925 /nfs/dbraw/zinc/92/89/25/177928925.db2.gz ZGWKZEVUSXNHQM-AWEZNQCLSA-N 0 3 243.354 2.851 20 0 BFADHN CCC1(N[C@H](C)c2cnc(C)nc2C)CC1 ZINC000296437173 398138496 /nfs/dbraw/zinc/13/84/96/398138496.db2.gz MDPLICKPNOVCSW-SNVBAGLBSA-N 0 3 219.332 2.687 20 0 BFADHN COCC[C@@H](C)N1CCc2sccc2C1 ZINC000268033572 538916067 /nfs/dbraw/zinc/91/60/67/538916067.db2.gz OWWHNNFPHMTBKZ-SNVBAGLBSA-N 0 3 225.357 2.531 20 0 BFADHN COCC[C@H](C)N(C)Cc1ccc(F)c(F)c1 ZINC000268020245 538916366 /nfs/dbraw/zinc/91/63/66/538916366.db2.gz JWXZGULKVDFVHV-JTQLQIEISA-N 0 3 243.297 2.822 20 0 BFADHN CCC(CC)(CC)NCc1cn2cccnc2n1 ZINC000118991348 168317580 /nfs/dbraw/zinc/31/75/80/168317580.db2.gz UWVGUMXOXBUJKJ-UHFFFAOYSA-N 0 3 246.358 2.788 20 0 BFADHN C[C@@H](NCC1(CO)CCCCC1)c1cccnc1 ZINC000161102842 538914140 /nfs/dbraw/zinc/91/41/40/538914140.db2.gz ZBSDNGDGPZTHFV-CYBMUJFWSA-N 0 3 248.370 2.675 20 0 BFADHN CCC(CC)(CC)NCc1ncc(C)n1C ZINC000309692443 168317818 /nfs/dbraw/zinc/31/78/18/168317818.db2.gz JZDFBHYEWZPHQB-UHFFFAOYSA-N 0 3 223.364 2.787 20 0 BFADHN CC(C)N(C)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000070944376 398141274 /nfs/dbraw/zinc/14/12/74/398141274.db2.gz MHEDFYNAOISECX-ZDUSSCGKSA-N 0 3 248.370 2.546 20 0 BFADHN Cc1cnccc1CN1CCS[C@H](C)CC1 ZINC000296874418 398142008 /nfs/dbraw/zinc/14/20/08/398142008.db2.gz PPWONJXIDRGZKI-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN CC(C)=CCCNCc1cc(F)ncc1F ZINC000296874409 398142045 /nfs/dbraw/zinc/14/20/45/398142045.db2.gz PPVPKAIHUAKYON-UHFFFAOYSA-N 0 3 226.270 2.806 20 0 BFADHN CC(C)CCCN[C@@H](C)c1ccncn1 ZINC000395803537 262792362 /nfs/dbraw/zinc/79/23/62/262792362.db2.gz BEXLNSVGSZNZRP-NSHDSACASA-N 0 3 207.321 2.563 20 0 BFADHN CCNCc1sc(C)cc1Br ZINC000083678493 136211487 /nfs/dbraw/zinc/21/14/87/136211487.db2.gz GNSUHTOYCBSJJQ-UHFFFAOYSA-N 0 3 234.162 2.929 20 0 BFADHN CN(C)Cc1ccccc1NC(=O)CC1CCC1 ZINC000580267583 346809777 /nfs/dbraw/zinc/80/97/77/346809777.db2.gz XFKJEXCCIPENHU-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CCc1cc(N2CC[C@H](C)[C@H](F)C2)ccn1 ZINC000649319793 398143270 /nfs/dbraw/zinc/14/32/70/398143270.db2.gz ALSRQAZHJLOBIU-GXFFZTMASA-N 0 3 222.307 2.828 20 0 BFADHN CCC(CC)(CNCc1occc1C)OC ZINC000291758227 168325039 /nfs/dbraw/zinc/32/50/39/168325039.db2.gz QZNVRXIENSMRJQ-UHFFFAOYSA-N 0 3 225.332 2.883 20 0 BFADHN CC[C@@H](C)NCc1ccc(Br)cn1 ZINC000061862832 187322233 /nfs/dbraw/zinc/32/22/33/187322233.db2.gz KZTBXIDDZUDZEV-MRVPVSSYSA-N 0 3 243.148 2.732 20 0 BFADHN CCC(CC)(CNCc1ccc(C)o1)OC ZINC000291669317 168325603 /nfs/dbraw/zinc/32/56/03/168325603.db2.gz GEIKHGUNRSSYRL-UHFFFAOYSA-N 0 3 225.332 2.883 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CC[C@@H](C)C2)on1 ZINC000336579590 177978193 /nfs/dbraw/zinc/97/81/93/177978193.db2.gz KANZVKYVMLJYAH-MWLCHTKSSA-N 0 3 208.305 2.603 20 0 BFADHN COCCCCN[C@@H](C)c1ccncc1Cl ZINC000421821617 398146964 /nfs/dbraw/zinc/14/69/64/398146964.db2.gz SIVFBGKBBAJJAF-JTQLQIEISA-N 0 3 242.750 2.812 20 0 BFADHN CC(C)C[C@H](C)CN(C)C[C@H](O)C(F)(F)F ZINC000337190037 187323327 /nfs/dbraw/zinc/32/33/27/187323327.db2.gz SGOLTNVNSFXISQ-UWVGGRQHSA-N 0 3 241.297 2.524 20 0 BFADHN CCN(CCOC)Cc1cc(C)sc1C ZINC000299226214 398149467 /nfs/dbraw/zinc/14/94/67/398149467.db2.gz NPMFJHIEHHCDSS-UHFFFAOYSA-N 0 3 227.373 2.833 20 0 BFADHN CCCc1ccc(CN2CCC[C@@H](O)C2)cc1 ZINC000299229785 398150133 /nfs/dbraw/zinc/15/01/33/398150133.db2.gz ZYBKKZYLQQBSRP-OAHLLOKOSA-N 0 3 233.355 2.596 20 0 BFADHN Cc1cc(CN2CC[C@H](C(C)(C)C)C2)nn1C ZINC000336719063 178001701 /nfs/dbraw/zinc/00/17/01/178001701.db2.gz SESCGHJOQKYYGU-LBPRGKRZSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)nn1C ZINC000336725197 178008600 /nfs/dbraw/zinc/00/86/00/178008600.db2.gz QKBZGGHDBDRGAU-NQBHXWOUSA-N 0 3 235.375 2.595 20 0 BFADHN CSc1ccccc1CN(C)[C@H](C)CCO ZINC000649869506 398155524 /nfs/dbraw/zinc/15/55/24/398155524.db2.gz FTGYVLLCOOJHFX-LLVKDONJSA-N 0 3 239.384 2.611 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1C[C@@H](C)[C@@H]1C ZINC000390102228 398156408 /nfs/dbraw/zinc/15/64/08/398156408.db2.gz ALOVIZFHVFWEFG-JDVQERKKSA-N 0 3 222.332 2.987 20 0 BFADHN Cc1cc(CNC(C)(C)CF)cc(C)c1O ZINC000309858635 178016581 /nfs/dbraw/zinc/01/65/81/178016581.db2.gz LOKQTWLHCUWHEK-UHFFFAOYSA-N 0 3 225.307 2.847 20 0 BFADHN Cc1cc(CNC2C3CC4CC(C3)CC2C4)on1 ZINC000044986462 178019607 /nfs/dbraw/zinc/01/96/07/178019607.db2.gz VSIWIDIZXPQLNV-UHFFFAOYSA-N 0 3 246.354 2.897 20 0 BFADHN CCN(Cc1cccc2c1OCCO2)C(C)C ZINC000171343557 346816799 /nfs/dbraw/zinc/81/67/99/346816799.db2.gz PLANQXIKFABJKL-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN Cc1cc(CNC[C@H](C)c2ccccc2)no1 ZINC000044296443 178025118 /nfs/dbraw/zinc/02/51/18/178025118.db2.gz PYXNBMIHHCTFIC-NSHDSACASA-N 0 3 230.311 2.876 20 0 BFADHN CCc1cc(N2CCC3(CC3)C2)ccn1 ZINC000649355482 398168764 /nfs/dbraw/zinc/16/87/64/398168764.db2.gz YIPZMRHBLPQGNF-UHFFFAOYSA-N 0 3 202.301 2.634 20 0 BFADHN Cc1cc(CNCc2cccnc2)cc(C)c1O ZINC000020305129 178026203 /nfs/dbraw/zinc/02/62/03/178026203.db2.gz HDYORNMJXINGFI-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN CC(C)(CO)CCCNCc1ccc(Cl)o1 ZINC000164662523 136214992 /nfs/dbraw/zinc/21/49/92/136214992.db2.gz SKKQRVJGIQXLIE-UHFFFAOYSA-N 0 3 245.750 2.821 20 0 BFADHN Cc1cc(CNCc2cccc(CO)c2)cs1 ZINC000295266470 178027024 /nfs/dbraw/zinc/02/70/24/178027024.db2.gz VJTCWGXHBAWBCY-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN Cc1cc(CN[C@@H](C)CCc2ccco2)on1 ZINC000044986393 178030157 /nfs/dbraw/zinc/03/01/57/178030157.db2.gz MNBODRCSDLHELB-JTQLQIEISA-N 0 3 234.299 2.687 20 0 BFADHN Cc1cc(CN[C@@H]2CC(C)(C)OC2(C)C)ccn1 ZINC000336233914 178033460 /nfs/dbraw/zinc/03/34/60/178033460.db2.gz ZRZMFLNCPGEWRC-CYBMUJFWSA-N 0 3 248.370 2.826 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H](C)C2)on1 ZINC000044985456 178033707 /nfs/dbraw/zinc/03/37/07/178033707.db2.gz PQKNJOIOFGCRDC-MWLCHTKSSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)ncn1 ZINC000273341879 178034757 /nfs/dbraw/zinc/03/47/57/178034757.db2.gz LEQFDJUVIUYDGN-COPLHBTASA-N 0 3 233.359 2.699 20 0 BFADHN Cc1sccc1CN[C@@]12C[C@@H]1COC2(C)C ZINC000527764870 398173072 /nfs/dbraw/zinc/17/30/72/398173072.db2.gz OAWGWBXQHYVZJX-YPMHNXCESA-N 0 3 237.368 2.714 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)nn1C ZINC000360340415 178036050 /nfs/dbraw/zinc/03/60/50/178036050.db2.gz ZJRULZMAOOQCMB-JTNHKYCSSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1cc(CN[C@H](C)C(C)(C)C)nc(C)n1 ZINC000309781909 178037097 /nfs/dbraw/zinc/03/70/97/178037097.db2.gz NCYNYOMMLBLVKC-SNVBAGLBSA-N 0 3 221.348 2.618 20 0 BFADHN Cc1cc(CN[C@@]2(C)CCO[C@@H]2C)cs1 ZINC000309064093 178037451 /nfs/dbraw/zinc/03/74/51/178037451.db2.gz YCAVIJNEUHENOK-PWSUYJOCSA-N 0 3 225.357 2.714 20 0 BFADHN Cc1cc(CN[C@H](C)Cn2cccn2)ccc1F ZINC000050545496 178037631 /nfs/dbraw/zinc/03/76/31/178037631.db2.gz JLWUFNMAOAVHGY-GFCCVEGCSA-N 0 3 247.317 2.509 20 0 BFADHN Cc1occc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000424204317 398174445 /nfs/dbraw/zinc/17/44/45/398174445.db2.gz VKKQWCRMMHTOEJ-QWHCGFSZSA-N 0 3 205.301 2.866 20 0 BFADHN C[C@@H](c1ccncc1)N1CC[C@@]2(CC2(F)F)C1 ZINC000649904078 398174641 /nfs/dbraw/zinc/17/46/41/398174641.db2.gz BDPMOMPYOLNNNR-CMPLNLGQSA-N 0 3 238.281 2.874 20 0 BFADHN Cc1cc(CN[C@H]2CCSC2)ccc1F ZINC000075152697 178039573 /nfs/dbraw/zinc/03/95/73/178039573.db2.gz HAAXKUBCQRRPER-NSHDSACASA-N 0 3 225.332 2.729 20 0 BFADHN CC(C)(C)OCCN1CC[C@@]2(CC2(F)F)C1 ZINC000649903843 398174725 /nfs/dbraw/zinc/17/47/25/398174725.db2.gz YGVUARDIKWBNEQ-LLVKDONJSA-N 0 3 233.302 2.533 20 0 BFADHN Cc1ccc(CN(C)CC2CC(F)(F)C2)nc1 ZINC000649904024 398174780 /nfs/dbraw/zinc/17/47/80/398174780.db2.gz JJNFVIVMNCAMNV-UHFFFAOYSA-N 0 3 240.297 2.867 20 0 BFADHN Cc1ccc(CN(C)CCCCF)nc1 ZINC000649903977 398175013 /nfs/dbraw/zinc/17/50/13/398175013.db2.gz FARWLPPPXVLGJG-UHFFFAOYSA-N 0 3 210.296 2.572 20 0 BFADHN Cc1noc(C)c1CN1CC[C@]2(CC2(F)F)C1 ZINC000649902349 398175623 /nfs/dbraw/zinc/17/56/23/398175623.db2.gz YRINKEOESWANPQ-NSHDSACASA-N 0 3 242.269 2.523 20 0 BFADHN Cc1cc(CN[C@]2(C)CCO[C@H]2C)cs1 ZINC000309064091 178042127 /nfs/dbraw/zinc/04/21/27/178042127.db2.gz YCAVIJNEUHENOK-CMPLNLGQSA-N 0 3 225.357 2.714 20 0 BFADHN Cc1cc(CN[C@]2(C)CCO[C@@H]2C)cs1 ZINC000309064094 178042283 /nfs/dbraw/zinc/04/22/83/178042283.db2.gz YCAVIJNEUHENOK-ZYHUDNBSSA-N 0 3 225.357 2.714 20 0 BFADHN Cc1noc(C)c1CN1CC[C@@]2(CC2(F)F)C1 ZINC000649902348 398175762 /nfs/dbraw/zinc/17/57/62/398175762.db2.gz YRINKEOESWANPQ-LLVKDONJSA-N 0 3 242.269 2.523 20 0 BFADHN F[C@]1(c2ccccc2)C[C@@H]1NC[C@H]1CCCOC1 ZINC000424207062 398176837 /nfs/dbraw/zinc/17/68/37/398176837.db2.gz XEEXAVBXOKFQSI-SNPRPXQTSA-N 0 3 249.329 2.640 20 0 BFADHN Fc1ccc(CNC2CC(F)(F)C2)cc1F ZINC000277593795 398176891 /nfs/dbraw/zinc/17/68/91/398176891.db2.gz HYMMLQOFXNLJSR-UHFFFAOYSA-N 0 3 233.208 2.852 20 0 BFADHN Cc1cnc(CNC2[C@H](C)CCC[C@H]2C)n1C ZINC000341023520 398182308 /nfs/dbraw/zinc/18/23/08/398182308.db2.gz JKURHMNUUCTHBS-GHMZBOCLSA-N 0 3 235.375 2.643 20 0 BFADHN CC[C@H](NCc1ncc(C)s1)[C@H]1C[C@H]1C ZINC000322024365 398160248 /nfs/dbraw/zinc/16/02/48/398160248.db2.gz KYXXCTVMUVKHGW-MIMYLULJSA-N 0 3 224.373 2.976 20 0 BFADHN Cc1cc(Cl)ccc1CN[C@]1(C)CCOC1 ZINC000130356067 178067484 /nfs/dbraw/zinc/06/74/84/178067484.db2.gz AFVVRKBCAAXJQP-CYBMUJFWSA-N 0 3 239.746 2.917 20 0 BFADHN CCCCCN(CC(N)=O)[C@@H](C)c1ccccc1 ZINC000342054346 398187961 /nfs/dbraw/zinc/18/79/61/398187961.db2.gz ZXNWFVAQCQASMZ-ZDUSSCGKSA-N 0 3 248.370 2.725 20 0 BFADHN Cc1cc(F)ccc1CN(C)C[C@@H]1CCCO1 ZINC000128062839 178084798 /nfs/dbraw/zinc/08/47/98/178084798.db2.gz JXPWZPPIDCIIQB-AWEZNQCLSA-N 0 3 237.318 2.745 20 0 BFADHN COc1ccc(CN[C@H]2C[C@@H]2C(F)F)cc1F ZINC000342196050 398189425 /nfs/dbraw/zinc/18/94/25/398189425.db2.gz AGRLZQJHUPQROO-WPRPVWTQSA-N 0 3 245.244 2.578 20 0 BFADHN Cc1cc(F)ccc1[C@@H]1CCCN1C[C@@H](C)O ZINC000336295893 178092010 /nfs/dbraw/zinc/09/20/10/178092010.db2.gz WQJQAVWGNURIEL-RISCZKNCSA-N 0 3 237.318 2.652 20 0 BFADHN C[C@@H](NCCCC(C)(C)C)c1ccncn1 ZINC000313281725 343981750 /nfs/dbraw/zinc/98/17/50/343981750.db2.gz LYSCFVZGNPJXRJ-LLVKDONJSA-N 0 3 221.348 2.954 20 0 BFADHN c1nc(CN[C@@H]2CC[C@H]3CCC[C@H]3C2)co1 ZINC000336692276 398192974 /nfs/dbraw/zinc/19/29/74/398192974.db2.gz XDSWICKPDIBISF-GRYCIOLGSA-N 0 3 220.316 2.733 20 0 BFADHN COCC[C@@H](C)N1CCc2cccc(F)c2C1 ZINC000271244261 538923700 /nfs/dbraw/zinc/92/37/00/538923700.db2.gz PWCTVOAAVAXFEA-LLVKDONJSA-N 0 3 237.318 2.609 20 0 BFADHN CCN(CC)Cc1scnc1C1CC1 ZINC000494426160 538926670 /nfs/dbraw/zinc/92/66/70/538926670.db2.gz OEVZISDUYKPGKQ-UHFFFAOYSA-N 0 3 210.346 2.862 20 0 BFADHN CC(C)(C)CCCN[C@H]1CCn2ccnc21 ZINC000313291549 343982692 /nfs/dbraw/zinc/98/26/92/343982692.db2.gz HGNKOJRHHSVGQV-NSHDSACASA-N 0 3 221.348 2.744 20 0 BFADHN Cc1noc(C)c1CCN1CCCC[C@@H]1C ZINC000164715838 398203397 /nfs/dbraw/zinc/20/33/97/398203397.db2.gz CVTZGMAJMOJLIC-JTQLQIEISA-N 0 3 222.332 2.708 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@H](NCc2cc(C)ccn2)C1(C)C ZINC000649983109 398205875 /nfs/dbraw/zinc/20/58/75/398205875.db2.gz QRATVBVRVBGQGO-FPMFFAJLSA-N 0 3 248.370 2.539 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)nc1 ZINC000338362846 187327434 /nfs/dbraw/zinc/32/74/34/187327434.db2.gz OORHRIMVVQTNPX-AGIUHOORSA-N 0 3 248.370 2.957 20 0 BFADHN CC(C)(CO)CNCc1ccc(Cl)cc1F ZINC000162970739 538925929 /nfs/dbraw/zinc/92/59/29/538925929.db2.gz NUFIGGDQHCTSJY-UHFFFAOYSA-N 0 3 245.725 2.587 20 0 BFADHN CCC(CC)C(=O)Nc1ccc2c(c1)CNCC2 ZINC000069052524 168341450 /nfs/dbraw/zinc/34/14/50/168341450.db2.gz QJUYZFKGJIJWTJ-UHFFFAOYSA-N 0 3 246.354 2.707 20 0 BFADHN CC[C@@H](F)CN1CCS[C@H](C)CC1 ZINC000306518434 398208354 /nfs/dbraw/zinc/20/83/54/398208354.db2.gz DFECRISPUKFCCS-NXEZZACHSA-N 0 3 205.342 2.562 20 0 BFADHN CO[C@H]1CCN([C@H](C)c2ccncc2)CC1(C)C ZINC000650002793 398214684 /nfs/dbraw/zinc/21/46/84/398214684.db2.gz WQPSQCUHPDKAAE-OCCSQVGLSA-N 0 3 248.370 2.890 20 0 BFADHN CO[C@@H]1CCN([C@@H](C)c2ccncc2)CC1(C)C ZINC000650002791 398214801 /nfs/dbraw/zinc/21/48/01/398214801.db2.gz WQPSQCUHPDKAAE-GXTWGEPZSA-N 0 3 248.370 2.890 20 0 BFADHN Cc1cccc(CN2CCOC[C@@H]2C2CCC2)c1 ZINC000576343117 365570999 /nfs/dbraw/zinc/57/09/99/365570999.db2.gz JVJHWOHOFUNNIK-MRXNPFEDSA-N 0 3 245.366 2.996 20 0 BFADHN CO[C@@H]1CCN([C@H](C)c2ccncc2)CC1(C)C ZINC000650002794 398214926 /nfs/dbraw/zinc/21/49/26/398214926.db2.gz WQPSQCUHPDKAAE-TZMCWYRMSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCC[C@@H]3C[C@@H]32)c2nccn21 ZINC000414173532 398219106 /nfs/dbraw/zinc/21/91/06/398219106.db2.gz GLHFEOHTSKQWHI-LBELIVKGSA-N 0 3 231.343 2.667 20 0 BFADHN CCO[C@@H]1CCN([C@@H](C)c2ccncc2)C[C@@H]1C ZINC000649990048 398209981 /nfs/dbraw/zinc/20/99/81/398209981.db2.gz NLLQYJXWONMWBQ-KCQAQPDRSA-N 0 3 248.370 2.890 20 0 BFADHN CCO[C@@H]1CCN(Cc2cc(C)ccn2)C[C@H]1C ZINC000649992156 398210668 /nfs/dbraw/zinc/21/06/68/398210668.db2.gz OXUCSBHKFNLUSC-UKRRQHHQSA-N 0 3 248.370 2.637 20 0 BFADHN C(C1CC1)N1CCN(CC2CC2)[C@H]2CCCC[C@@H]21 ZINC000339733869 187329747 /nfs/dbraw/zinc/32/97/47/187329747.db2.gz LUDGOVCPIFFELZ-HOTGVXAUSA-N 0 3 248.414 2.735 20 0 BFADHN CCC(CC)(CCO)CNCc1ccoc1C ZINC000414362658 398220201 /nfs/dbraw/zinc/22/02/01/398220201.db2.gz JTSHRVXZXQJTJL-UHFFFAOYSA-N 0 3 239.359 2.866 20 0 BFADHN Cc1cc(NC[C@H]2CCOC2)c2ccccc2n1 ZINC000037988187 178170597 /nfs/dbraw/zinc/17/05/97/178170597.db2.gz VCJTYZDDNDVLJY-GFCCVEGCSA-N 0 3 242.322 2.992 20 0 BFADHN Cc1ccc(CNCC[C@H](C)F)c(F)c1 ZINC000631142144 343986629 /nfs/dbraw/zinc/98/66/29/343986629.db2.gz DJQWIHNNCHWPHV-JTQLQIEISA-N 0 3 213.271 2.972 20 0 BFADHN CC(C)(C)[C@H](CO)NCc1ccc(Cl)s1 ZINC000265426291 187332256 /nfs/dbraw/zinc/33/22/56/187332256.db2.gz LFUBACDMLFTVQL-VIFPVBQESA-N 0 3 247.791 2.898 20 0 BFADHN CC(C)(CCO)CNCc1cc2ccccc2o1 ZINC000231402180 192029739 /nfs/dbraw/zinc/02/97/39/192029739.db2.gz HJIQNCQKUCYMSC-UHFFFAOYSA-N 0 3 247.338 2.931 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1ccoc1)OC ZINC000322946380 259302179 /nfs/dbraw/zinc/30/21/79/259302179.db2.gz QOQYFTSDZJKFFK-CMPLNLGQSA-N 0 3 211.305 2.745 20 0 BFADHN CO[C@H](CN1CCC=C(c2ccco2)C1)C1CC1 ZINC000425460032 398226557 /nfs/dbraw/zinc/22/65/57/398226557.db2.gz QUEMTCOGTOXASS-OAHLLOKOSA-N 0 3 247.338 2.794 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2cc(C)ccn2)CCO1 ZINC000421389811 192327503 /nfs/dbraw/zinc/32/75/03/192327503.db2.gz RLFQONIZZFDGGU-BFHYXJOUSA-N 0 3 248.370 2.998 20 0 BFADHN CCC(CC)CN1CCO[C@@H](C(C)C)C1 ZINC000353162546 168353030 /nfs/dbraw/zinc/35/30/30/168353030.db2.gz JYOAMAMJKNEKMU-CYBMUJFWSA-N 0 3 213.365 2.779 20 0 BFADHN C/C(=C/c1ccccc1)CN1CC[C@]12CCOC2 ZINC000421393073 192328594 /nfs/dbraw/zinc/32/85/94/192328594.db2.gz DDASSDWTZSFJSI-SYCZXOQXSA-N 0 3 243.350 2.955 20 0 BFADHN c1cc2cccc(CN3CC[C@@]34CCOC4)c2[nH]1 ZINC000421400125 192330650 /nfs/dbraw/zinc/33/06/50/192330650.db2.gz RLQOGVZGFJQGSC-HNNXBMFYSA-N 0 3 242.322 2.533 20 0 BFADHN Cc1cccc(CN2CC[C@]23CCOC3)c1C ZINC000421401687 192331247 /nfs/dbraw/zinc/33/12/47/192331247.db2.gz URMMYRVBYLHNOY-OAHLLOKOSA-N 0 3 231.339 2.668 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(C3CC3)c2)C[C@@H]1O ZINC000421359496 192331752 /nfs/dbraw/zinc/33/17/52/192331752.db2.gz WYTOHBAGHFFGAU-WBMJQRKESA-N 0 3 245.366 2.767 20 0 BFADHN Cc1cc([C@@H](C)NCC2(CO)CCC2)oc1C ZINC000337461073 178223530 /nfs/dbraw/zinc/22/35/30/178223530.db2.gz KIUHHAUPJRBXCW-LLVKDONJSA-N 0 3 237.343 2.710 20 0 BFADHN Cc1cc([C@@H](C)NCCCn2cccn2)c(C)o1 ZINC000126277147 178224340 /nfs/dbraw/zinc/22/43/40/178224340.db2.gz OFSYBNCHKBTYLP-GFCCVEGCSA-N 0 3 247.342 2.834 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@@H](O)C(C)C)oc1C ZINC000337390763 178224482 /nfs/dbraw/zinc/22/44/82/178224482.db2.gz HILLZXUWRNBQQT-DGCLKSJQSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@@H](C)NCCC2(O)CCC2)oc1C ZINC000284265107 178225301 /nfs/dbraw/zinc/22/53/01/178225301.db2.gz GPFIMRWSMDQCHX-LLVKDONJSA-N 0 3 237.343 2.852 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@@H](C)CCO)oc1C ZINC000297355578 178225337 /nfs/dbraw/zinc/22/53/37/178225337.db2.gz PKQVRDXNPXOSCA-ZYHUDNBSSA-N 0 3 239.359 2.956 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CC2(C)C)no1 ZINC000336763474 178225848 /nfs/dbraw/zinc/22/58/48/178225848.db2.gz PEVUWJKUAYOSEJ-ZJUUUORDSA-N 0 3 208.305 2.680 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2CC=CCC2)no1 ZINC000282709191 178226039 /nfs/dbraw/zinc/22/60/39/178226039.db2.gz PLWQJYXLKWMGHE-NEPJUHHUSA-N 0 3 220.316 2.990 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](CO)C2CC2)c(C)o1 ZINC000353852750 178226122 /nfs/dbraw/zinc/22/61/22/178226122.db2.gz VSQSPRVBLCSZFR-ZWNOBZJWSA-N 0 3 237.343 2.566 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](O)C(C)C)c(C)o1 ZINC000229972841 178226724 /nfs/dbraw/zinc/22/67/24/178226724.db2.gz BYUQGXNVVJREEZ-MFKMUULPSA-N 0 3 225.332 2.564 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](C)CCO)oc1C ZINC000282353451 178227184 /nfs/dbraw/zinc/22/71/84/178227184.db2.gz VVWMKNRRJKKJAG-MWLCHTKSSA-N 0 3 225.332 2.566 20 0 BFADHN Cc1cc([C@@H](C)NCCc2cccs2)nn1C ZINC000281944368 178227234 /nfs/dbraw/zinc/22/72/34/178227234.db2.gz AGKWKRKCODYILF-LLVKDONJSA-N 0 3 249.383 2.683 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](C)CCO)c(C)o1 ZINC000085128551 178227838 /nfs/dbraw/zinc/22/78/38/178227838.db2.gz IKNJSZYQDHYFAS-MWLCHTKSSA-N 0 3 225.332 2.566 20 0 BFADHN Cc1cc([C@@H](C)NCc2[nH]ncc2C)c(C)o1 ZINC000340568663 178228466 /nfs/dbraw/zinc/22/84/66/178228466.db2.gz GVCROTXXXLGUQS-SNVBAGLBSA-N 0 3 233.315 2.779 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2COCC2(C)C)c(C)o1 ZINC000313007228 178230166 /nfs/dbraw/zinc/23/01/66/178230166.db2.gz RAENRYLIBLODNM-ZWNOBZJWSA-N 0 3 237.343 2.972 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CC3CCC2CC3)nn1C ZINC000337401402 178230270 /nfs/dbraw/zinc/23/02/70/178230270.db2.gz DCIYMYAOVPCSBD-XZNOPERXSA-N 0 3 247.386 2.958 20 0 BFADHN c1nc2ccccn2c1CN1C[C@H]2CCC[C@@H]2C1 ZINC000162015500 349523191 /nfs/dbraw/zinc/52/31/91/349523191.db2.gz WLSKAGWNLXXQCF-CHWSQXEVSA-N 0 3 241.338 2.566 20 0 BFADHN FC(F)C[C@H](N[C@@H]1CCOC1)c1ccccc1 ZINC000421372379 192335794 /nfs/dbraw/zinc/33/57/94/192335794.db2.gz MHIBALCHZWIQMR-NEPJUHHUSA-N 0 3 241.281 2.761 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCN(C3CC3)C2)oc1C ZINC000337403459 178233380 /nfs/dbraw/zinc/23/33/80/178233380.db2.gz QXEOXQGUBYCRLC-YPMHNXCESA-N 0 3 248.370 2.784 20 0 BFADHN Cc1cnc(CN(C)[C@@H]2CCC[C@H](C)C2)cn1 ZINC000334494418 136225948 /nfs/dbraw/zinc/22/59/48/136225948.db2.gz RTTZPTGDXGUHAF-SMDDNHRTSA-N 0 3 233.359 2.796 20 0 BFADHN c1coc(-c2ccccc2CN2CCCC2)n1 ZINC000649433693 398235499 /nfs/dbraw/zinc/23/54/99/398235499.db2.gz CKBSFSAXOOCHIL-UHFFFAOYSA-N 0 3 228.295 2.937 20 0 BFADHN CCc1ccc(CNCCc2cccc(C)n2)o1 ZINC000280584789 538937818 /nfs/dbraw/zinc/93/78/18/538937818.db2.gz MUJDWVOILQNVQS-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN Cc1cc([C@H](C)NCC2(C)CCCC2)nn1C ZINC000337394500 178247003 /nfs/dbraw/zinc/24/70/03/178247003.db2.gz BMGNEEXKWYQMBI-LBPRGKRZSA-N 0 3 235.375 2.959 20 0 BFADHN Cc1cc([C@H](C)NCC2=CCN(C)CC2)oc1C ZINC000282440173 178247167 /nfs/dbraw/zinc/24/71/67/178247167.db2.gz DVBGSEXLNDYITC-LBPRGKRZSA-N 0 3 248.370 2.809 20 0 BFADHN Cc1cc([C@H](C)NCCCn2cccn2)oc1C ZINC000282313595 178247434 /nfs/dbraw/zinc/24/74/34/178247434.db2.gz TXYJOZQXNITXCL-LBPRGKRZSA-N 0 3 247.342 2.834 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CC=CCC2)no1 ZINC000282709192 178248437 /nfs/dbraw/zinc/24/84/37/178248437.db2.gz PLWQJYXLKWMGHE-NWDGAFQWSA-N 0 3 220.316 2.990 20 0 BFADHN Cc1cc([C@H](C)NCC[C@H](C)CCO)oc1C ZINC000297355563 178248460 /nfs/dbraw/zinc/24/84/60/178248460.db2.gz PKQVRDXNPXOSCA-JQWIXIFHSA-N 0 3 239.359 2.956 20 0 BFADHN Cc1cc([C@H](C)NCCc2cn[nH]c2)oc1C ZINC000289630122 178248786 /nfs/dbraw/zinc/24/87/86/178248786.db2.gz FDGDRCVJZIPLTB-JTQLQIEISA-N 0 3 233.315 2.513 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CC=CCC2)nn1C ZINC000281953447 178248811 /nfs/dbraw/zinc/24/88/11/178248811.db2.gz ARHKFGDXQNQOLF-QWHCGFSZSA-N 0 3 233.359 2.735 20 0 BFADHN Cc1cc([C@H](C)NCCc2ccn(C)n2)oc1C ZINC000337417846 178248942 /nfs/dbraw/zinc/24/89/42/178248942.db2.gz DUHFERFWBRQUEN-NSHDSACASA-N 0 3 247.342 2.523 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](C)C[C@@H](C)O)oc1C ZINC000282273874 178249864 /nfs/dbraw/zinc/24/98/64/178249864.db2.gz RILQKLLLAHYRKO-JLLWLGSASA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CC[C@@H](C)C2)nn1C ZINC000337481076 178250581 /nfs/dbraw/zinc/25/05/81/178250581.db2.gz QQHCKDINOPUTBR-WXHSDQCUSA-N 0 3 235.375 2.815 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)CCCO)c(C)o1 ZINC000087358912 178254956 /nfs/dbraw/zinc/25/49/56/178254956.db2.gz RQMNCGSABSWOOV-KOLCDFICSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@@H](O)C2(C)C)cs1 ZINC000294100867 178255764 /nfs/dbraw/zinc/25/57/64/178255764.db2.gz BXLGSQNTFKXKBE-MVWJERBFSA-N 0 3 239.384 2.867 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCC[C@H](C)C2)nn1C ZINC000337490320 178257853 /nfs/dbraw/zinc/25/78/53/178257853.db2.gz VQVJDHDJMKYNIH-DRZSPHRISA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCOC2)c(C)s1 ZINC000070966973 178258151 /nfs/dbraw/zinc/25/81/51/178258151.db2.gz CSYZZNKAOTYFSV-ONGXEEELSA-N 0 3 225.357 2.804 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@H](O)C2(C)C)cs1 ZINC000294100864 178259623 /nfs/dbraw/zinc/25/96/23/178259623.db2.gz BXLGSQNTFKXKBE-DLOVCJGASA-N 0 3 239.384 2.867 20 0 BFADHN CCC(CC)CNCc1c(C)nn(C)c1Cl ZINC000106479977 168361804 /nfs/dbraw/zinc/36/18/04/168361804.db2.gz QSNYSHLSZGYLJK-UHFFFAOYSA-N 0 3 243.782 2.908 20 0 BFADHN CCC(CC)CNCc1ncccc1N(C)C ZINC000289967293 168363271 /nfs/dbraw/zinc/36/32/71/168363271.db2.gz YPMQSHYSCNDEHL-UHFFFAOYSA-N 0 3 235.375 2.673 20 0 BFADHN CC(C)[C@H](NCc1cscn1)C1CC1 ZINC000167157231 538940021 /nfs/dbraw/zinc/94/00/21/538940021.db2.gz VHEIYNMECMVZGU-NSHDSACASA-N 0 3 210.346 2.667 20 0 BFADHN C[C@H](O)[C@H](C)N[C@@H](C)c1ccc(F)cc1Cl ZINC000336775827 398240997 /nfs/dbraw/zinc/24/09/97/398240997.db2.gz CUGWLBGBQCMKOP-CIUDSAMLSA-N 0 3 245.725 2.899 20 0 BFADHN CCC(CC)CN[C@H](C)c1cnccn1 ZINC000133370795 168364266 /nfs/dbraw/zinc/36/42/66/168364266.db2.gz FGCYWHGORUPYGM-SNVBAGLBSA-N 0 3 207.321 2.563 20 0 BFADHN Cc1cc(F)ccc1CCN[C@@H](C)c1ncc[nH]1 ZINC000286165893 538943382 /nfs/dbraw/zinc/94/33/82/538943382.db2.gz QDJLMGGTWBXDLI-NSHDSACASA-N 0 3 247.317 2.751 20 0 BFADHN CCC(CC)CN[C@H]1C[C@H](C)n2ccnc21 ZINC000345345117 168364852 /nfs/dbraw/zinc/36/48/52/168364852.db2.gz BUZOHRTYTBOYKG-JQWIXIFHSA-N 0 3 221.348 2.915 20 0 BFADHN c1ccc2c(CNC[C@H]3CCSC3)noc2c1 ZINC000580902290 398250642 /nfs/dbraw/zinc/25/06/42/398250642.db2.gz HTZOJKIWMCSQNK-SNVBAGLBSA-N 0 3 248.351 2.671 20 0 BFADHN CC(C)C(C)(C)CN[C@@H](C)c1ncc[nH]1 ZINC000286952848 538944335 /nfs/dbraw/zinc/94/43/35/538944335.db2.gz BQZPZLJRPAJCKV-JTQLQIEISA-N 0 3 209.337 2.743 20 0 BFADHN C[C@H]1CC[C@H](NCc2nn(C)c3ccccc23)C1 ZINC000169062290 538946005 /nfs/dbraw/zinc/94/60/05/538946005.db2.gz SZOKFVOVRJGSHI-RYUDHWBXSA-N 0 3 243.354 2.852 20 0 BFADHN CC(C)O[C@@H]1CCN([C@@H](C)c2cccnc2)C1 ZINC000576700232 365661507 /nfs/dbraw/zinc/66/15/07/365661507.db2.gz DXRJTAAFUFPJCP-GXTWGEPZSA-N 0 3 234.343 2.642 20 0 BFADHN C[C@@H]1CC[C@H](NCc2nn(C)c3ccccc23)C1 ZINC000169062187 538946286 /nfs/dbraw/zinc/94/62/86/538946286.db2.gz SZOKFVOVRJGSHI-NEPJUHHUSA-N 0 3 243.354 2.852 20 0 BFADHN C/C(=C\c1ccccc1)CNCc1cncn1C ZINC000289817457 538946412 /nfs/dbraw/zinc/94/64/12/538946412.db2.gz LZNXUGDMPZWMPO-MDWZMJQESA-N 0 3 241.338 2.613 20 0 BFADHN CCc1ccc([C@@H](C)NCc2cncn2C)s1 ZINC000289998957 538946453 /nfs/dbraw/zinc/94/64/53/538946453.db2.gz YYGOGLRILOPSKC-SNVBAGLBSA-N 0 3 249.383 2.895 20 0 BFADHN C[C@H](O)CN[C@@]1(c2ccc(Cl)cc2)C[C@H]1C ZINC000336781830 398255832 /nfs/dbraw/zinc/25/58/32/398255832.db2.gz VVLRVLVMUBNYSQ-NRUUGDAUSA-N 0 3 239.746 2.546 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C1CC1)c1ccco1 ZINC000378753959 346824020 /nfs/dbraw/zinc/82/40/20/346824020.db2.gz QTPVOCNQRQUDKE-DLOVCJGASA-N 0 3 205.301 2.976 20 0 BFADHN c1nc(CN[C@@H]2CCC[C@H](C3CC3)C2)co1 ZINC000378749706 346824179 /nfs/dbraw/zinc/82/41/79/346824179.db2.gz UBEOUZSFSAJHSS-NWDGAFQWSA-N 0 3 220.316 2.733 20 0 BFADHN CCC(CC)N(CCOC)Cc1cccn1C ZINC000092566035 168374506 /nfs/dbraw/zinc/37/45/06/168374506.db2.gz TYFMTWWZLMJCNQ-UHFFFAOYSA-N 0 3 238.375 2.662 20 0 BFADHN CC(C)CC1(CN[C@H](C)c2nccn2C)CC1 ZINC000183327988 346841054 /nfs/dbraw/zinc/84/10/54/346841054.db2.gz LBHJXVKYHGTRLN-GFCCVEGCSA-N 0 3 235.375 2.897 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cn(C)nc2CC)C1 ZINC000180491086 538958676 /nfs/dbraw/zinc/95/86/76/538958676.db2.gz LFKVQXBIIBBEMI-CYBMUJFWSA-N 0 3 249.402 2.995 20 0 BFADHN CCc1nn(C)cc1CN1C[C@H](C)CC[C@@H]1C ZINC000180310614 538957711 /nfs/dbraw/zinc/95/77/11/538957711.db2.gz IMCPUEZKKOYOQJ-NEPJUHHUSA-N 0 3 235.375 2.603 20 0 BFADHN CC[C@H](N[C@@H](C)c1c[nH]nc1C)c1ccncc1 ZINC000180623147 538958412 /nfs/dbraw/zinc/95/84/12/538958412.db2.gz QIDFKQRQALOGHZ-HZMBPMFUSA-N 0 3 244.342 2.915 20 0 BFADHN CCCCOCCCN[C@H](C)c1c[nH]nc1C ZINC000179956468 538956422 /nfs/dbraw/zinc/95/64/22/538956422.db2.gz BNONGFBPGOVEBU-LLVKDONJSA-N 0 3 239.363 2.576 20 0 BFADHN CC[C@H](C)N1CCO[C@]2(CCc3ccccc32)C1 ZINC000181133130 538959940 /nfs/dbraw/zinc/95/99/40/538959940.db2.gz HTGBMTJNULNECK-XJKSGUPXSA-N 0 3 245.366 2.959 20 0 BFADHN CS[C@@H]1CCC[C@H](NCc2cnc(C)o2)C1 ZINC000600131054 342684601 /nfs/dbraw/zinc/68/46/01/342684601.db2.gz CBWAPIRULLDXGN-CMPLNLGQSA-N 0 3 240.372 2.747 20 0 BFADHN CCO[C@H]1CCCN([C@@H](C)c2cccnc2)C1 ZINC000602579190 349607562 /nfs/dbraw/zinc/60/75/62/349607562.db2.gz XZPIQJKDEVHQDO-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN CCO[C@@H]1CCCN([C@H](C)c2cccnc2)C1 ZINC000602579196 349607613 /nfs/dbraw/zinc/60/76/13/349607613.db2.gz XZPIQJKDEVHQDO-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN CCc1ccc(CNC2(COC)CC2)cc1 ZINC000381782997 346858127 /nfs/dbraw/zinc/85/81/27/346858127.db2.gz YFIRUYNLQYCNSY-UHFFFAOYSA-N 0 3 219.328 2.518 20 0 BFADHN CSCC[C@H](C)N(C)Cc1cnc(C)o1 ZINC000600115361 342672706 /nfs/dbraw/zinc/67/27/06/342672706.db2.gz FYNMHDFANHBAMF-VIFPVBQESA-N 0 3 228.361 2.556 20 0 BFADHN CS[C@@H](CNCc1cnc(C)o1)C(C)(C)C ZINC000600143298 342689890 /nfs/dbraw/zinc/68/98/90/342689890.db2.gz AMYOOASKQDETLY-NSHDSACASA-N 0 3 242.388 2.850 20 0 BFADHN CSCCCCCNCc1cnc(C)o1 ZINC000600146837 342690533 /nfs/dbraw/zinc/69/05/33/342690533.db2.gz BRMCTMVKLJDMMA-UHFFFAOYSA-N 0 3 228.361 2.606 20 0 BFADHN C[C@@H](NCc1ccc(O)cc1)c1cccc(O)c1 ZINC000037150881 538961611 /nfs/dbraw/zinc/96/16/11/538961611.db2.gz NZCMQNTWJULUQZ-LLVKDONJSA-N 0 3 243.306 2.949 20 0 BFADHN COC[C@H](NC1(c2ccccc2F)CC1)C1CC1 ZINC000182241932 538962456 /nfs/dbraw/zinc/96/24/56/538962456.db2.gz WRZWQPNRJQUSCX-AWEZNQCLSA-N 0 3 249.329 2.829 20 0 BFADHN c1cc(CNCCC2CCCCC2)on1 ZINC000231883953 342741399 /nfs/dbraw/zinc/74/13/99/342741399.db2.gz LLWBADJRVDYYMT-UHFFFAOYSA-N 0 3 208.305 2.735 20 0 BFADHN C[C@@H](CCCC(F)(F)F)NCc1ccno1 ZINC000231885239 342741555 /nfs/dbraw/zinc/74/15/55/342741555.db2.gz MAHAWNMQZBNORU-QMMMGPOBSA-N 0 3 236.237 2.885 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H](NCc2ccno2)C1 ZINC000231885276 342741626 /nfs/dbraw/zinc/74/16/26/342741626.db2.gz FMDZBENDQJIYRN-VXGBXAGGSA-N 0 3 222.332 2.979 20 0 BFADHN O[C@@H]1CCN(Cc2ccc(F)cc2)C2(CCC2)C1 ZINC000335606745 136236327 /nfs/dbraw/zinc/23/63/27/136236327.db2.gz BLQRXXLLKVSDIK-CQSZACIVSA-N 0 3 249.329 2.705 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCCOCC2)o1 ZINC000083425312 398281711 /nfs/dbraw/zinc/28/17/11/398281711.db2.gz PFQXXKXFGSTQQC-RYUDHWBXSA-N 0 3 223.316 2.808 20 0 BFADHN CCc1nn(C)cc1CN1CCCC[C@H]1CC ZINC000183453390 538966969 /nfs/dbraw/zinc/96/69/69/538966969.db2.gz PEIXXURPKGMMQT-CYBMUJFWSA-N 0 3 235.375 2.747 20 0 BFADHN CCc1nn(C)cc1CN([C@H](C)C(C)C)C1CC1 ZINC000183446628 538967077 /nfs/dbraw/zinc/96/70/77/538967077.db2.gz TWRAHSIFOKCIPI-GFCCVEGCSA-N 0 3 249.402 2.991 20 0 BFADHN CCN(Cc1cc2n(n1)CCC2)C1CCCC1 ZINC000649488135 398283939 /nfs/dbraw/zinc/28/39/39/398283939.db2.gz DBIMLIOEFAZBFN-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN Cc1nc(CN[C@H]2CCC[C@@H]3C[C@@H]32)cs1 ZINC000378925417 346860999 /nfs/dbraw/zinc/86/09/99/346860999.db2.gz SKCDTHIVLTUPFK-USWWRNFRSA-N 0 3 222.357 2.730 20 0 BFADHN C[C@]1(CNCc2ccncc2F)CCCS1 ZINC000449192108 398282064 /nfs/dbraw/zinc/28/20/64/398282064.db2.gz QNFHCPUTTPIIDB-GFCCVEGCSA-N 0 3 240.347 2.596 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cncnc1 ZINC000184234901 538968651 /nfs/dbraw/zinc/96/86/51/538968651.db2.gz UTWBDCUYLQKZHY-CYBMUJFWSA-N 0 3 219.332 2.631 20 0 BFADHN OCC1(CNCc2cc3ccccc3o2)CCC1 ZINC000184374758 538968726 /nfs/dbraw/zinc/96/87/26/538968726.db2.gz LOGPARCYSBXMDI-UHFFFAOYSA-N 0 3 245.322 2.685 20 0 BFADHN CCOCCCN(CC)CCC(F)(F)F ZINC000607728216 342781339 /nfs/dbraw/zinc/78/13/39/342781339.db2.gz WLFBXOIBYDHMMZ-UHFFFAOYSA-N 0 3 227.270 2.687 20 0 BFADHN CCOCCCN1CCOc2ccccc2[C@@H]1C ZINC000607728732 342782871 /nfs/dbraw/zinc/78/28/71/342782871.db2.gz QKTSUBHQTGWSRH-ZDUSSCGKSA-N 0 3 249.354 2.869 20 0 BFADHN CCN(CC)CC(=O)N[C@@H](C)CCCC(C)C ZINC000061757492 349621938 /nfs/dbraw/zinc/62/19/38/349621938.db2.gz PJSOPONYAVIXCZ-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN CC[C@H](C)C(=O)Nc1ccc(CN(C)C)cc1 ZINC000045069574 342790122 /nfs/dbraw/zinc/79/01/22/342790122.db2.gz KLRWAXFZUPEHQY-NSHDSACASA-N 0 3 234.343 2.733 20 0 BFADHN CCC(CC)N[C@@H](CC)c1ccn(C)n1 ZINC000336773317 168391021 /nfs/dbraw/zinc/39/10/21/168391021.db2.gz YMRCHYDSRCTSSY-NSHDSACASA-N 0 3 209.337 2.649 20 0 BFADHN CCC(CC)N[C@@H](COC)c1ccco1 ZINC000122311734 168392002 /nfs/dbraw/zinc/39/20/02/168392002.db2.gz IPGARGTYIPZXLI-NSHDSACASA-N 0 3 211.305 2.745 20 0 BFADHN CCCN(Cc1cccc(OC)n1)C(C)C ZINC000581832180 342808344 /nfs/dbraw/zinc/80/83/44/342808344.db2.gz ABGDAERTDABPSS-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CCN(Cc1sc(C)nc1C)C(C)C ZINC000172769320 346863588 /nfs/dbraw/zinc/86/35/88/346863588.db2.gz QFMNTMFZMYPONH-UHFFFAOYSA-N 0 3 212.362 2.990 20 0 BFADHN CC[C@@H](Cc1cccs1)NCc1cocn1 ZINC000379442253 538977891 /nfs/dbraw/zinc/97/78/91/538977891.db2.gz KPTOVEPLDSWODP-JTQLQIEISA-N 0 3 236.340 2.847 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1ccncc1F ZINC000449300224 398290505 /nfs/dbraw/zinc/29/05/05/398290505.db2.gz DGZVEOGIQBIUEJ-QWRGUYRKSA-N 0 3 222.307 2.747 20 0 BFADHN CC(C)N(Cc1ccc(F)cc1)[C@H]1CCOC1 ZINC000271251628 187335434 /nfs/dbraw/zinc/33/54/34/187335434.db2.gz HNGLEYWMZJPFAV-AWEZNQCLSA-N 0 3 237.318 2.825 20 0 BFADHN Cc1ccc(C)c(-c2ccc([C@@H](N)CO)cc2)c1 ZINC000630050266 361913117 /nfs/dbraw/zinc/91/31/17/361913117.db2.gz AIQOQURPUUUTOH-INIZCTEOSA-N 0 3 241.334 2.963 20 0 BFADHN C[C@H](C[C@H](C)O)NCc1cscc1Cl ZINC000379586148 538978997 /nfs/dbraw/zinc/97/89/97/538978997.db2.gz QNSWDCLISLIGDY-SFYZADRCSA-N 0 3 233.764 2.651 20 0 BFADHN CCc1ncc(CN(C)[C@H](C)C2CC2)s1 ZINC000271101873 187335455 /nfs/dbraw/zinc/33/54/55/187335455.db2.gz PRDDHHGCRDVQPM-SECBINFHSA-N 0 3 224.373 2.936 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCCN(C)C2)c(C)o1 ZINC000040672617 538972977 /nfs/dbraw/zinc/97/29/77/538972977.db2.gz FPXBZAMVDNAWJP-DGCLKSJQSA-N 0 3 236.359 2.641 20 0 BFADHN CC(C)(C)[C@H](NCCO)c1ccc(F)cc1F ZINC000271761607 187335703 /nfs/dbraw/zinc/33/57/03/187335703.db2.gz GERRTADVPFSWKL-GFCCVEGCSA-N 0 3 243.297 2.634 20 0 BFADHN C[C@@H](CCC1CC1)NCc1ccncc1F ZINC000449249580 398288507 /nfs/dbraw/zinc/28/85/07/398288507.db2.gz MJSCAEAZUQCMLU-JTQLQIEISA-N 0 3 222.307 2.889 20 0 BFADHN COc1ncncc1CN[C@@H]1CCC[C@@H](C)CC1 ZINC000647204726 398288532 /nfs/dbraw/zinc/28/85/32/398288532.db2.gz HSAUJLSDRLWFLV-DGCLKSJQSA-N 0 3 249.358 2.544 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H]1CCc2ccc(F)cc21 ZINC000186498347 538973514 /nfs/dbraw/zinc/97/35/14/538973514.db2.gz LESUUFGIJBXGOF-DQNOIUNYSA-N 0 3 249.329 2.730 20 0 BFADHN Cc1csc(-c2ccc3c(c2)CCNC3)n1 ZINC000630052392 361921242 /nfs/dbraw/zinc/92/12/42/361921242.db2.gz IYTRAGRNKBSQJJ-UHFFFAOYSA-N 0 3 230.336 2.764 20 0 BFADHN COc1cccc(C)c1CNC[C@@H](C)SC ZINC000602572585 349622535 /nfs/dbraw/zinc/62/25/35/349622535.db2.gz RINPCEUEKCASQD-LLVKDONJSA-N 0 3 239.384 2.845 20 0 BFADHN CC1(C)C(NCc2ccncc2F)C1(C)C ZINC000449326020 398289738 /nfs/dbraw/zinc/28/97/38/398289738.db2.gz RMLKWLODKADHCA-UHFFFAOYSA-N 0 3 222.307 2.745 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1ccco1 ZINC000088169557 398293680 /nfs/dbraw/zinc/29/36/80/398293680.db2.gz XMONSYJOCCTGRM-GMXVVIOVSA-N 0 3 223.316 2.888 20 0 BFADHN CN1CC[C@H](c2nc(C3C(C)(C)C3(C)C)no2)C1 ZINC000624748082 342826630 /nfs/dbraw/zinc/82/66/30/342826630.db2.gz IGDJFEDKUJZOMD-VIFPVBQESA-N 0 3 249.358 2.638 20 0 BFADHN Fc1ccc(C2CC2)cc1CN[C@H]1CCCOC1 ZINC000449374212 398292928 /nfs/dbraw/zinc/29/29/28/398292928.db2.gz YDVZNSWBDFLCJC-AWEZNQCLSA-N 0 3 249.329 2.972 20 0 BFADHN CN(Cc1cc2ccccc2o1)CC1CC(O)C1 ZINC000190224846 538988153 /nfs/dbraw/zinc/98/81/53/538988153.db2.gz GWVNFUULZVWPAP-UHFFFAOYSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@H]1NCc1cocn1 ZINC000380296066 538988779 /nfs/dbraw/zinc/98/87/79/538988779.db2.gz CSGOWMVNVIEITC-MWLCHTKSSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H](NCc1ccsc1)[C@H]1CCCCO1 ZINC000380354001 538989707 /nfs/dbraw/zinc/98/97/07/538989707.db2.gz WFOLNHVCFMVGIQ-CMPLNLGQSA-N 0 3 225.357 2.795 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC[C@H]2C)on1 ZINC000380996211 538994279 /nfs/dbraw/zinc/99/42/79/538994279.db2.gz MRNPWKPTFRPYMJ-PWSUYJOCSA-N 0 3 222.332 2.899 20 0 BFADHN C[C@@H]1CN(c2ccccc2F)CCN1CC1CC1 ZINC000191619249 538992648 /nfs/dbraw/zinc/99/26/48/538992648.db2.gz PPDDWMPSLQOJCR-GFCCVEGCSA-N 0 3 248.345 2.746 20 0 BFADHN COC[C@H](C)CN[C@H](C)c1nc(C)sc1C ZINC000045657038 538992708 /nfs/dbraw/zinc/99/27/08/538992708.db2.gz NATWQJMMRYCUCQ-RKDXNWHRSA-N 0 3 242.388 2.693 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NC1CSC1)CC2 ZINC000379928033 538981964 /nfs/dbraw/zinc/98/19/64/538981964.db2.gz MQEUAAMBWWBDCW-LBPRGKRZSA-N 0 3 223.316 2.518 20 0 BFADHN OCC1(CNCc2cscc2Cl)CCC1 ZINC000380007524 538983908 /nfs/dbraw/zinc/98/39/08/538983908.db2.gz PWJUKJBYOJYARB-UHFFFAOYSA-N 0 3 245.775 2.654 20 0 BFADHN CC(C)c1cc(CNC[C@H]2CCSC2)on1 ZINC000381457879 539001107 /nfs/dbraw/zinc/00/11/07/539001107.db2.gz KAAWSDVOKZGMGR-SNVBAGLBSA-N 0 3 240.372 2.641 20 0 BFADHN CCC[C@@H](N[C@H](C)COC)c1ccccn1 ZINC000381582909 539003933 /nfs/dbraw/zinc/00/39/33/539003933.db2.gz GCXXWJMGOKPUBV-DGCLKSJQSA-N 0 3 222.332 2.547 20 0 BFADHN CC(C)N(Cc1ccco1)C[C@H]1CCCN1C ZINC000649499016 398294853 /nfs/dbraw/zinc/29/48/53/398294853.db2.gz DXWKXAFMFURZOQ-CYBMUJFWSA-N 0 3 236.359 2.584 20 0 BFADHN Cc1cncc(CCN(C)[C@@H](C)c2ccco2)n1 ZINC000649498963 398294883 /nfs/dbraw/zinc/29/48/83/398294883.db2.gz BXCCYLIWWJMFOS-LBPRGKRZSA-N 0 3 245.326 2.614 20 0 BFADHN Cc1cnn(C)c1CN[C@@H]1CC12CCCCC2 ZINC000394804351 342838657 /nfs/dbraw/zinc/83/86/57/342838657.db2.gz VDNNVMBPBLJCJB-CYBMUJFWSA-N 0 3 233.359 2.541 20 0 BFADHN C[C@H]1C[C@@H](Nc2ncc(Cl)s2)CCN1C ZINC000382442429 539009520 /nfs/dbraw/zinc/00/95/20/539009520.db2.gz WHDXQCZGBDAFTB-YUMQZZPRSA-N 0 3 245.779 2.691 20 0 BFADHN C(NC1CSC1)[C@H]1CCC2(CCCCC2)O1 ZINC000381184613 538995858 /nfs/dbraw/zinc/99/58/58/538995858.db2.gz XFPPXIRGNLUCFP-GFCCVEGCSA-N 0 3 241.400 2.573 20 0 BFADHN C[C@H](NCc1ccc(Cl)cc1F)C(C)(C)O ZINC000381246004 538996528 /nfs/dbraw/zinc/99/65/28/538996528.db2.gz OGEAUTRMCHJLSD-QMMMGPOBSA-N 0 3 245.725 2.728 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@H]1CCC(F)(F)C1 ZINC000381330130 538998389 /nfs/dbraw/zinc/99/83/89/538998389.db2.gz STPMZQVJYIIYBC-APPZFPTMSA-N 0 3 229.274 2.557 20 0 BFADHN CO[C@@H]1CCC[C@@H](NCc2occc2C)C1 ZINC000381401044 538999598 /nfs/dbraw/zinc/99/95/98/538999598.db2.gz QCCKSEOIUGDPST-VXGBXAGGSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@@H]1NCc1cocn1 ZINC000381428219 539000363 /nfs/dbraw/zinc/00/03/63/539000363.db2.gz ZPTNYCCJMWUHTR-WZRBSPASSA-N 0 3 222.332 2.979 20 0 BFADHN CCCOc1ccc(CNC2CSC2)cc1 ZINC000390525490 539026110 /nfs/dbraw/zinc/02/61/10/539026110.db2.gz GQHRXEQSSHOHHI-UHFFFAOYSA-N 0 3 237.368 2.680 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc(C(=O)N(C)C)cc1 ZINC000624199801 342843723 /nfs/dbraw/zinc/84/37/23/342843723.db2.gz YQWKGHIHNCNANM-BTDICHCPSA-N 0 3 246.354 2.615 20 0 BFADHN CC(C)[C@H](CC(F)(F)F)NC1CSC1 ZINC000389285995 539016210 /nfs/dbraw/zinc/01/62/10/539016210.db2.gz VPNMWOIWKRRBHC-QMMMGPOBSA-N 0 3 227.295 2.668 20 0 BFADHN Cc1cn[nH]c1CN[C@@H](C)c1ccsc1 ZINC000389596198 539016472 /nfs/dbraw/zinc/01/64/72/539016472.db2.gz PPMRLWVLTQPCRF-VIFPVBQESA-N 0 3 221.329 2.630 20 0 BFADHN CC(C)c1ccccc1NC(=O)[C@@H](N)C(C)C ZINC000011958598 342845022 /nfs/dbraw/zinc/84/50/22/342845022.db2.gz VAHWKGMQZVWUJN-ZDUSSCGKSA-N 0 3 234.343 2.732 20 0 BFADHN C[C@@H](NCc1ccno1)[C@H]1CC2CCC1CC2 ZINC000382047088 398300694 /nfs/dbraw/zinc/30/06/94/398300694.db2.gz FEXDTUCPCYVEJD-MLCFOIATSA-N 0 3 234.343 2.979 20 0 BFADHN CCO[C@@H](CN(C)Cc1cccs1)C1CC1 ZINC000625058641 342846381 /nfs/dbraw/zinc/84/63/81/342846381.db2.gz BNOMGIAURNZPFE-ZDUSSCGKSA-N 0 3 239.384 2.995 20 0 BFADHN CCO[C@H](CN(C)Cc1ccco1)C1CC1 ZINC000625055684 342846670 /nfs/dbraw/zinc/84/66/70/342846670.db2.gz AOYYYELFCPBUKY-CYBMUJFWSA-N 0 3 223.316 2.527 20 0 BFADHN CCC[C@@H](C)CCCN(CC)CC(=O)NCC ZINC000625058319 342846949 /nfs/dbraw/zinc/84/69/49/342846949.db2.gz TXYNTUQKNBXMLW-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CCO[C@@H](CN(C)Cc1ccsc1)C1CC1 ZINC000625057844 342847042 /nfs/dbraw/zinc/84/70/42/342847042.db2.gz PGDTWXXKXWQFMU-ZDUSSCGKSA-N 0 3 239.384 2.995 20 0 BFADHN CCO[C@H](CN(C)Cc1ccccc1)C1CC1 ZINC000625064710 342847350 /nfs/dbraw/zinc/84/73/50/342847350.db2.gz KXVSMZACCNOHRZ-OAHLLOKOSA-N 0 3 233.355 2.934 20 0 BFADHN CCC[C@H](C)CCCN(CC)CC(=O)N(C)C ZINC000625080575 342850157 /nfs/dbraw/zinc/85/01/57/342850157.db2.gz DLFKNVYEEGBLMW-ZDUSSCGKSA-N 0 3 242.407 2.613 20 0 BFADHN CCn1cc([C@H](C)N[C@@H]2C[C@H]2CC(C)C)cn1 ZINC000385785188 342852650 /nfs/dbraw/zinc/85/26/50/342852650.db2.gz CXQCQSCIQYFMNZ-OUCADQQQSA-N 0 3 235.375 2.988 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1cccc(C(F)(F)F)n1 ZINC000449403223 398310859 /nfs/dbraw/zinc/31/08/59/398310859.db2.gz VJGCPICOSVQKLP-RKDXNWHRSA-N 0 3 244.260 2.846 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H](C)c1cnn(C)c1 ZINC000385821960 342860821 /nfs/dbraw/zinc/86/08/21/342860821.db2.gz JXYHCUDCDQNTFG-NQBHXWOUSA-N 0 3 221.348 2.505 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@H]2C(C)C)o1 ZINC000449610526 398303571 /nfs/dbraw/zinc/30/35/71/398303571.db2.gz QYTOGMWOOMEQIH-RYUDHWBXSA-N 0 3 222.332 2.761 20 0 BFADHN CCc1ncc(CNCC2(C)CCC2)o1 ZINC000449610864 398303763 /nfs/dbraw/zinc/30/37/63/398303763.db2.gz SFBALZTXKOLEPQ-UHFFFAOYSA-N 0 3 208.305 2.517 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@H]2C2CC2)o1 ZINC000449610904 398303783 /nfs/dbraw/zinc/30/37/83/398303783.db2.gz SIFUTDHKYDAQCQ-RYUDHWBXSA-N 0 3 220.316 2.515 20 0 BFADHN Cc1nccnc1CN[C@@H]1CCC[C@H](C)[C@H]1C ZINC000621325634 342866805 /nfs/dbraw/zinc/86/68/05/342866805.db2.gz FCIUBQARVFOMBL-DMDPSCGWSA-N 0 3 233.359 2.699 20 0 BFADHN CN1CCCC[C@H]1CNc1nc(Cl)cs1 ZINC000165014940 342868683 /nfs/dbraw/zinc/86/86/83/342868683.db2.gz BVLMPMWLUJYXST-QMMMGPOBSA-N 0 3 245.779 2.693 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc(Cl)s1 ZINC000132888706 398308456 /nfs/dbraw/zinc/30/84/56/398308456.db2.gz KIRVTBLDZWMLGR-YUMQZZPRSA-N 0 3 233.764 2.915 20 0 BFADHN CC[C@H](CSC)N[C@H](C)c1c[nH]nc1C ZINC000134598573 342872863 /nfs/dbraw/zinc/87/28/63/342872863.db2.gz LWKWGGSEHRVZCV-PSASIEDQSA-N 0 3 227.377 2.510 20 0 BFADHN CSCCN[C@H](c1ccc(F)cn1)C(C)C ZINC000134629786 342874254 /nfs/dbraw/zinc/87/42/54/342874254.db2.gz LIVFSEJAZQHWFH-LBPRGKRZSA-N 0 3 242.363 2.870 20 0 BFADHN Cc1cccc(CNC2CC(C(C)C)C2)n1 ZINC000232538098 342856924 /nfs/dbraw/zinc/85/69/24/342856924.db2.gz QJGKZFQVSOICDO-UHFFFAOYSA-N 0 3 218.344 2.914 20 0 BFADHN Cc1cc(CNC2CC(OC(C)(C)C)C2)ccn1 ZINC000449403064 398310794 /nfs/dbraw/zinc/31/07/94/398310794.db2.gz OCFDQJKLZLQLSN-UHFFFAOYSA-N 0 3 248.370 2.826 20 0 BFADHN CCN(Cc1ccoc1)C[C@H]1CCO[C@H]1C ZINC000639470798 398315754 /nfs/dbraw/zinc/31/57/54/398315754.db2.gz SVSUDUAWSNNNHW-WCQYABFASA-N 0 3 223.316 2.527 20 0 BFADHN Cc1ccc(CNC[C@@H](O)C(C)C)c(Cl)c1 ZINC000232726049 342879338 /nfs/dbraw/zinc/87/93/38/342879338.db2.gz AOAVVSHKAJRTGZ-CYBMUJFWSA-N 0 3 241.762 2.755 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1ccnn1CC1CCC1 ZINC000631134979 342886165 /nfs/dbraw/zinc/88/61/65/342886165.db2.gz JOLXUEFIBIXADL-BXUZGUMPSA-N 0 3 233.359 2.571 20 0 BFADHN CCC(=O)CCCN1C[C@@H](C)S[C@H](C)C1 ZINC000232865289 342886850 /nfs/dbraw/zinc/88/68/50/342886850.db2.gz HTEJWZJDXGPIAJ-GHMZBOCLSA-N 0 3 229.389 2.572 20 0 BFADHN CC1(CN[C@@H]2COCc3ccccc32)CC1 ZINC000232922308 342891930 /nfs/dbraw/zinc/89/19/30/342891930.db2.gz SRUMVBYBZZDYKH-CYBMUJFWSA-N 0 3 217.312 2.648 20 0 BFADHN CCC[C@H](C)[C@@H](CC)NCc1nccn1C ZINC000167250527 136245014 /nfs/dbraw/zinc/24/50/14/136245014.db2.gz MFKLLZOHEISBAJ-NWDGAFQWSA-N 0 3 223.364 2.725 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CO[C@H](C)C2)cc1 ZINC000401819978 259327884 /nfs/dbraw/zinc/32/78/84/259327884.db2.gz JLQYLSCCWXMQAA-DYEKYZERSA-N 0 3 219.328 2.823 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000631699825 398324435 /nfs/dbraw/zinc/32/44/35/398324435.db2.gz BGNIYRHXEKGCDR-OWTLIXCDSA-N 0 3 248.370 2.861 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1C[C@H](C)O[C@H]1C ZINC000631699826 398324502 /nfs/dbraw/zinc/32/45/02/398324502.db2.gz BGNIYRHXEKGCDR-ZJQBRPOHSA-N 0 3 248.370 2.861 20 0 BFADHN CN(C)Cc1cccc(NC(=O)c2ccco2)c1 ZINC000047452601 342921766 /nfs/dbraw/zinc/92/17/66/342921766.db2.gz MSULNZUAGCHEBS-UHFFFAOYSA-N 0 3 244.294 2.594 20 0 BFADHN Fc1ccc(CNCC2CCC2)c(F)c1 ZINC000037180351 342924394 /nfs/dbraw/zinc/92/43/94/342924394.db2.gz PHLDYQSRWJUBCY-UHFFFAOYSA-N 0 3 211.255 2.855 20 0 BFADHN CC[C@H](CCO)NCc1ccc(C)cc1Cl ZINC000234026608 342916984 /nfs/dbraw/zinc/91/69/84/342916984.db2.gz WGZGYNTVHMHORP-GFCCVEGCSA-N 0 3 241.762 2.899 20 0 BFADHN CCN(Cc1ccc(Cl)nc1)C(C)C ZINC000037082228 342918197 /nfs/dbraw/zinc/91/81/97/342918197.db2.gz QPFAMIDISHYHHU-UHFFFAOYSA-N 0 3 212.724 2.965 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cccc(OC)n1 ZINC000466926366 529423468 /nfs/dbraw/zinc/42/34/68/529423468.db2.gz KOVQEJHAUVWUTK-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN CCc1ncc(CN(CC)CC2CC2)s1 ZINC000271182284 187336016 /nfs/dbraw/zinc/33/60/16/187336016.db2.gz MMNAFYMWLDNAMF-UHFFFAOYSA-N 0 3 224.373 2.937 20 0 BFADHN CC[C@H](C)CN(CC)Cc1ccnc(C)n1 ZINC000351722660 136249625 /nfs/dbraw/zinc/24/96/25/136249625.db2.gz WSQZLJIEXCZMQM-NSHDSACASA-N 0 3 221.348 2.653 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1ccc(Cl)s1 ZINC000134018493 398328622 /nfs/dbraw/zinc/32/86/22/398328622.db2.gz VEYDHVPRBOFNJY-CBAPKCEASA-N 0 3 231.748 2.669 20 0 BFADHN CCC[C@H](NC[C@@H](C)CCO)c1ccccn1 ZINC000305717553 398336710 /nfs/dbraw/zinc/33/67/10/398336710.db2.gz IEVVVQCZVIADRH-STQMWFEESA-N 0 3 236.359 2.531 20 0 BFADHN COCc1ccc(CN2CC(C3CC3)C2)cc1 ZINC000643345414 398337231 /nfs/dbraw/zinc/33/72/31/398337231.db2.gz WDBKTTICVQUYRV-UHFFFAOYSA-N 0 3 231.339 2.675 20 0 BFADHN Cc1ccc([C@H](C)NCc2cncn2C)s1 ZINC000082394500 398337446 /nfs/dbraw/zinc/33/74/46/398337446.db2.gz XJOMIDGWKBAPJC-JTQLQIEISA-N 0 3 235.356 2.641 20 0 BFADHN CCSCCN(C)Cc1ccccc1 ZINC000122393477 398337706 /nfs/dbraw/zinc/33/77/06/398337706.db2.gz VTTOFQBBSYAPQX-UHFFFAOYSA-N 0 3 209.358 2.872 20 0 BFADHN COCC[C@H](C)NCc1nc(C(C)C)cs1 ZINC000123455458 398338309 /nfs/dbraw/zinc/33/83/09/398338309.db2.gz KYHKWUYZYPBYTI-JTQLQIEISA-N 0 3 242.388 2.781 20 0 BFADHN C[C@H](F)CCN1CCc2ccc(F)cc2C1 ZINC000351728726 136250112 /nfs/dbraw/zinc/25/01/12/136250112.db2.gz HCXDTAIVAYHEBC-JTQLQIEISA-N 0 3 225.282 2.932 20 0 BFADHN Cc1nocc1CN1CC2(CCC2)C[C@H]1C ZINC000336487219 398332815 /nfs/dbraw/zinc/33/28/15/398332815.db2.gz BGGVGGRITNQTSQ-SNVBAGLBSA-N 0 3 220.316 2.748 20 0 BFADHN CCc1cccnc1[C@H](C)NCCCCOC ZINC000356845861 342938052 /nfs/dbraw/zinc/93/80/52/342938052.db2.gz IOPUFTQNSINWMT-LBPRGKRZSA-N 0 3 236.359 2.721 20 0 BFADHN CCC[C@](C)(N)C(=O)N(CC)Cc1ccccc1 ZINC000037621436 342939048 /nfs/dbraw/zinc/93/90/48/342939048.db2.gz RRRGVBNSAGSDNL-HNNXBMFYSA-N 0 3 248.370 2.553 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1ccc(F)c(F)c1 ZINC000128318963 398339822 /nfs/dbraw/zinc/33/98/22/398339822.db2.gz NFTQXEIYJUGGAH-KOLCDFICSA-N 0 3 243.297 2.776 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1ccc(F)c(F)c1 ZINC000135463581 398342136 /nfs/dbraw/zinc/34/21/36/398342136.db2.gz GIODLVZTWPOWAW-ONGXEEELSA-N 0 3 243.297 2.776 20 0 BFADHN c1c(CN[C@H]2CC23CCCC3)nc2ccccn12 ZINC000335741546 398342312 /nfs/dbraw/zinc/34/23/12/398342312.db2.gz UCBJJLYCLPPNLU-ZDUSSCGKSA-N 0 3 241.338 2.757 20 0 BFADHN CC1(C)CC[C@@H](CNCc2ccccc2F)O1 ZINC000160197465 398343365 /nfs/dbraw/zinc/34/33/65/398343365.db2.gz ULPDJUZHVSMFDO-LBPRGKRZSA-N 0 3 237.318 2.873 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](CC)OC)o1 ZINC000289581277 398344057 /nfs/dbraw/zinc/34/40/57/398344057.db2.gz ALZQUHNWBGSUTL-ZYHUDNBSSA-N 0 3 225.332 2.918 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1cc(C)oc1C ZINC000289578811 398344138 /nfs/dbraw/zinc/34/41/38/398344138.db2.gz AHRIBAZYGLPPAK-MXWKQRLJSA-N 0 3 225.332 2.970 20 0 BFADHN C[C@@H](F)CCN(Cc1ccncc1)C1CC1 ZINC000351759711 136252305 /nfs/dbraw/zinc/25/23/05/136252305.db2.gz SJHCIEVJFIPNAG-LLVKDONJSA-N 0 3 222.307 2.794 20 0 BFADHN COC[C@H](C)N1CC[C@H](c2ccccc2F)C1 ZINC000075718531 344016022 /nfs/dbraw/zinc/01/60/22/344016022.db2.gz KYYNMPIYNQPQMM-RYUDHWBXSA-N 0 3 237.318 2.650 20 0 BFADHN COC[C@H](C)N[C@H](C)c1cc(C)ccc1OC ZINC000019904993 342962381 /nfs/dbraw/zinc/96/23/81/342962381.db2.gz JJMYXLMHYSBRDD-NWDGAFQWSA-N 0 3 237.343 2.689 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@@H](C)COC ZINC000019904928 342962546 /nfs/dbraw/zinc/96/25/46/342962546.db2.gz IOYZICXVFAIYKJ-RYUDHWBXSA-N 0 3 237.343 2.771 20 0 BFADHN CCSC1(CNCc2cc(C)ns2)CC1 ZINC000602713311 349704563 /nfs/dbraw/zinc/70/45/63/349704563.db2.gz JXPPAUDGSACAME-UHFFFAOYSA-N 0 3 242.413 2.827 20 0 BFADHN CC(C)=CCN1CC[C@@H](COC(F)F)C1 ZINC000353020324 187207305 /nfs/dbraw/zinc/20/73/05/187207305.db2.gz HWINPOPPYQQUFO-SNVBAGLBSA-N 0 3 219.275 2.514 20 0 BFADHN CN(C)[C@H](CNCc1ccco1)c1ccccc1 ZINC000020093871 342969354 /nfs/dbraw/zinc/96/93/54/342969354.db2.gz AWKWRFGSZGBMCU-OAHLLOKOSA-N 0 3 244.338 2.672 20 0 BFADHN Cn1cc(CN[C@H]2CCCc3ccccc32)cn1 ZINC000020133779 342970873 /nfs/dbraw/zinc/97/08/73/342970873.db2.gz SWFCZRHJSUMCIU-HNNXBMFYSA-N 0 3 241.338 2.587 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1ccnn1C(C)C ZINC000353940122 187209558 /nfs/dbraw/zinc/20/95/58/187209558.db2.gz AQJGKHABURAEOA-ZDUSSCGKSA-N 0 3 221.348 2.694 20 0 BFADHN Cc1cnccc1CNCC1(C(F)(F)F)CC1 ZINC000562666022 323026624 /nfs/dbraw/zinc/02/66/24/323026624.db2.gz NDDZKNDKMDBBLZ-UHFFFAOYSA-N 0 3 244.260 2.822 20 0 BFADHN CC(C)C[C@@H](CN[C@H](C)c1ccco1)N(C)C ZINC000020201430 342976551 /nfs/dbraw/zinc/97/65/51/342976551.db2.gz OQYDOOJHKZDCGF-OLZOCXBDSA-N 0 3 238.375 2.907 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)cn1 ZINC000355070983 187211270 /nfs/dbraw/zinc/21/12/70/187211270.db2.gz AJHZCCLOUPYDHW-AGIUHOORSA-N 0 3 248.370 2.957 20 0 BFADHN CCN1CCC[C@H]1CNc1ncccc1Cl ZINC000020016147 342966315 /nfs/dbraw/zinc/96/63/15/342966315.db2.gz JOUBDZPWHRFNLD-JTQLQIEISA-N 0 3 239.750 2.631 20 0 BFADHN COc1ccccc1CNC[C@H](C)C(F)(F)F ZINC000359112140 187215186 /nfs/dbraw/zinc/21/51/86/187215186.db2.gz ZMGMAKQBKNMJLN-VIFPVBQESA-N 0 3 247.260 2.983 20 0 BFADHN CC[C@@H](C)NC(=O)[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000020367946 342984029 /nfs/dbraw/zinc/98/40/29/342984029.db2.gz MSSIIAVFAOYPGJ-FRRDWIJNSA-N 0 3 248.370 2.640 20 0 BFADHN CSC1CCN(Cc2cccnc2C)CC1 ZINC000602724293 349714444 /nfs/dbraw/zinc/71/44/44/349714444.db2.gz CLSFYWHOPAYANC-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN C[C@@H](NC[C@H]1CCC[C@@H](C)C1)c1nccn1C ZINC000223742993 259338698 /nfs/dbraw/zinc/33/86/98/259338698.db2.gz UOASFQFUXOORTB-UPJWGTAASA-N 0 3 235.375 2.897 20 0 BFADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)C1)c1nccn1C ZINC000223742940 259338734 /nfs/dbraw/zinc/33/87/34/259338734.db2.gz UOASFQFUXOORTB-JHJVBQTASA-N 0 3 235.375 2.897 20 0 BFADHN CCN(C#N)CCNC(C)(C)c1cccc(C)c1 ZINC000602798366 349739482 /nfs/dbraw/zinc/73/94/82/349739482.db2.gz BVBNFMYBMMHMIT-UHFFFAOYSA-N 0 3 245.370 2.623 20 0 BFADHN Cc1ccc(CNCC[C@@H](C)O)c(Cl)c1 ZINC000235250705 342999563 /nfs/dbraw/zinc/99/95/63/342999563.db2.gz OLZHQPVBFUIIKV-SNVBAGLBSA-N 0 3 227.735 2.509 20 0 BFADHN CC(C)(O)CCN[C@H]1CCCOc2ccccc21 ZINC000360031238 187216429 /nfs/dbraw/zinc/21/64/29/187216429.db2.gz ZYDOLEDSWVCREW-ZDUSSCGKSA-N 0 3 249.354 2.651 20 0 BFADHN CCN(C#N)CCN(C)C[C@H](C)c1ccccc1 ZINC000602771961 349726031 /nfs/dbraw/zinc/72/60/31/349726031.db2.gz DAFIVYOKLBXNFH-AWEZNQCLSA-N 0 3 245.370 2.525 20 0 BFADHN CCN(C#N)CCN1CCC[C@@H]1c1ccccc1 ZINC000602758116 349732202 /nfs/dbraw/zinc/73/22/02/349732202.db2.gz KYRVLUXVZKKQNU-OAHLLOKOSA-N 0 3 243.354 2.626 20 0 BFADHN COc1ccc([C@H](C)[C@@H](C)NCCF)cc1 ZINC000273273274 187336580 /nfs/dbraw/zinc/33/65/80/187336580.db2.gz MQWQRDWJDRFEBD-GHMZBOCLSA-N 0 3 225.307 2.746 20 0 BFADHN C1=C[C@H](N2CCC[C@@H]3COCC[C@@H]32)CCC1 ZINC000362447551 187219659 /nfs/dbraw/zinc/21/96/59/187219659.db2.gz CFYVRWQLJSOVSW-RDBSUJKOSA-N 0 3 221.344 2.596 20 0 BFADHN C[C@H](NC[C@H]1CC12CC2)c1cscn1 ZINC000562797796 323030681 /nfs/dbraw/zinc/03/06/81/323030681.db2.gz IYXSGYQEIUTONB-DTWKUNHWSA-N 0 3 208.330 2.594 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H](C)[C@H](C)OC ZINC000467377649 529622585 /nfs/dbraw/zinc/62/25/85/529622585.db2.gz QNLNPJFSHYQEFU-TUAOUCFPSA-N 0 3 236.359 2.718 20 0 BFADHN CCc1ccc(CCN2CCO[C@H](C)[C@@H]2C)cc1 ZINC000483477525 529627683 /nfs/dbraw/zinc/62/76/83/529627683.db2.gz RHRHTFAZXQEYNR-UONOGXRCSA-N 0 3 247.382 2.901 20 0 BFADHN CCC(O)(CC)CCNCc1ccsc1 ZINC000276173185 168418914 /nfs/dbraw/zinc/41/89/14/168418914.db2.gz CZFFSRQJHGPRAU-UHFFFAOYSA-N 0 3 227.373 2.779 20 0 BFADHN Cc1ccc(CN[C@H](C)CCCO)c(Cl)c1 ZINC000235415033 343031942 /nfs/dbraw/zinc/03/19/42/343031942.db2.gz PHAZPGUZWCTJPJ-LLVKDONJSA-N 0 3 241.762 2.899 20 0 BFADHN CCn1ccnc1CNC(C)(C)C(C)(C)C ZINC000309278587 259342707 /nfs/dbraw/zinc/34/27/07/259342707.db2.gz FDKXAVMXXJAXAE-UHFFFAOYSA-N 0 3 223.364 2.817 20 0 BFADHN C=Cn1cc(CN2CC[C@]3(CC[C@@H](C)C3)C2)cn1 ZINC000373205708 187223192 /nfs/dbraw/zinc/22/31/92/187223192.db2.gz LWJDEHVJZKMNGL-HIFRSBDPSA-N 0 3 245.370 2.996 20 0 BFADHN CC(C)OCCN1C[C@@H](C)C(F)(F)[C@H](C)C1 ZINC000625648493 344024926 /nfs/dbraw/zinc/02/49/26/344024926.db2.gz QKGULJJWPMIFBX-GHMZBOCLSA-N 0 3 235.318 2.635 20 0 BFADHN CC(C)N(C(=O)CN1[C@@H](C)CC[C@@H]1C)C(C)C ZINC000123421389 187226558 /nfs/dbraw/zinc/22/65/58/187226558.db2.gz CAWRJTZHRIPDCY-STQMWFEESA-N 0 3 240.391 2.505 20 0 BFADHN Cc1nccnc1CN[C@@H]1CC[C@H](C)C[C@H]1C ZINC000621335124 343066873 /nfs/dbraw/zinc/06/68/73/343066873.db2.gz BOYDHPPGIRBFKS-DMDPSCGWSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cscc1CN[C@H]1CO[C@@H](C2CC2)C1 ZINC000668076173 488197788 /nfs/dbraw/zinc/19/77/88/488197788.db2.gz IVYPKPBHGKRNLU-CHWSQXEVSA-N 0 3 237.368 2.714 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CCc1ccccc1 ZINC000356039601 136266321 /nfs/dbraw/zinc/26/63/21/136266321.db2.gz DPCHBNJCTREHDA-HIFRSBDPSA-N 0 3 233.355 2.728 20 0 BFADHN Cc1cc(Cl)cc(CN[C@@]2(C)CCOC2)c1 ZINC000339326411 187232784 /nfs/dbraw/zinc/23/27/84/187232784.db2.gz GPHKGTHSRFYONE-ZDUSSCGKSA-N 0 3 239.746 2.917 20 0 BFADHN Cc1ccnc([C@H](C)NCC[C@H](C)F)c1 ZINC000340467816 187232724 /nfs/dbraw/zinc/23/27/24/187232724.db2.gz QPNKFCKNIAUZCS-QWRGUYRKSA-N 0 3 210.296 2.789 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCC(C)(C)C2)n1C ZINC000668095517 488202206 /nfs/dbraw/zinc/20/22/06/488202206.db2.gz JWEDBDDRICSODW-LBPRGKRZSA-N 0 3 235.375 2.739 20 0 BFADHN CCC(CC)Cn1cc([C@@H](N)C(C)(C)C)nn1 ZINC000640938388 362082085 /nfs/dbraw/zinc/08/20/85/362082085.db2.gz AEOUSUFTSCQEQH-GFCCVEGCSA-N 0 3 238.379 2.760 20 0 BFADHN CCC[C@@H](C)n1cc([C@@H](N)C(C)(C)C)nn1 ZINC000640942397 362085125 /nfs/dbraw/zinc/08/51/25/362085125.db2.gz RNMOZAADHDMQRH-MWLCHTKSSA-N 0 3 224.352 2.685 20 0 BFADHN CC(C)(C)[C@@H](N)c1cn([C@H]2C=CCCC2)nn1 ZINC000640945915 362087907 /nfs/dbraw/zinc/08/79/07/362087907.db2.gz RHGPTNHNJPOEHG-JQWIXIFHSA-N 0 3 234.347 2.605 20 0 BFADHN CC(C)=CCN[C@@H](C)c1cnc(C)nc1C ZINC000276758092 187239407 /nfs/dbraw/zinc/23/94/07/187239407.db2.gz IPAVZPHOCWEEJW-JTQLQIEISA-N 0 3 219.332 2.710 20 0 BFADHN CC[C@H](C)N(C)Cc1sccc1OC ZINC000507188763 529691014 /nfs/dbraw/zinc/69/10/14/529691014.db2.gz RFRRHGMMXHHEAB-VIFPVBQESA-N 0 3 213.346 2.987 20 0 BFADHN O=C1C[C@H]2CCC[C@@H](C1)N2C1CCCCC1 ZINC000034453244 343142454 /nfs/dbraw/zinc/14/24/54/343142454.db2.gz NFZBLCNQPRBTKB-BETUJISGSA-N 0 3 221.344 2.905 20 0 BFADHN CC[C@@H](CNCc1ccc(F)cc1C)OC ZINC000289926829 187241005 /nfs/dbraw/zinc/24/10/05/187241005.db2.gz VWYIHGWPBILEBH-ZDUSSCGKSA-N 0 3 225.307 2.649 20 0 BFADHN CC(C)(C)C1CC(NCc2ccno2)C1 ZINC000576943744 365727862 /nfs/dbraw/zinc/72/78/62/365727862.db2.gz VEPJGFQRLFRMLE-UHFFFAOYSA-N 0 3 208.305 2.589 20 0 BFADHN CC[C@H](C)N(CC(=O)OC)C[C@@H](C)CC(C)C ZINC000499791583 529693585 /nfs/dbraw/zinc/69/35/85/529693585.db2.gz NKKWVOACVFGUMC-STQMWFEESA-N 0 3 243.391 2.942 20 0 BFADHN Cc1cccc(CN[C@H]2CCOC3(CCC3)C2)n1 ZINC000094371639 343156073 /nfs/dbraw/zinc/15/60/73/343156073.db2.gz LBXSHUHGIWNRHD-ZDUSSCGKSA-N 0 3 246.354 2.581 20 0 BFADHN CC1(C)CCCC[C@H]1N[C@H]1CNCCC1(F)F ZINC000576959695 365734398 /nfs/dbraw/zinc/73/43/98/365734398.db2.gz CNDOJQCDQRSAJU-MNOVXSKESA-N 0 3 246.345 2.542 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCCCF)o1 ZINC000124414267 343167356 /nfs/dbraw/zinc/16/73/56/343167356.db2.gz OCYQMICKJHDTQL-MWLCHTKSSA-N 0 3 211.280 2.852 20 0 BFADHN C[C@@H]1C[C@@H]1CN(Cc1ccncc1)C1CC1 ZINC000625269357 343167769 /nfs/dbraw/zinc/16/77/69/343167769.db2.gz FGZGGBHOYHZEFI-DGCLKSJQSA-N 0 3 216.328 2.702 20 0 BFADHN CC1CCC(NCc2ncccc2F)CC1 ZINC000125779909 343167962 /nfs/dbraw/zinc/16/79/62/343167962.db2.gz BCWDQQDSLUGCLS-UHFFFAOYSA-N 0 3 222.307 2.889 20 0 BFADHN CC(C)C[C@H]1CCCN1CC(=O)OC(C)(C)C ZINC000354691685 187243468 /nfs/dbraw/zinc/24/34/68/187243468.db2.gz VXIUELFHEATLKI-GFCCVEGCSA-N 0 3 241.375 2.839 20 0 BFADHN CCO[C@H](CN(C)[C@H](C)c1cccnc1)C1CC1 ZINC000625270977 343174743 /nfs/dbraw/zinc/17/47/43/343174743.db2.gz NMVFUFDUGLNWII-IUODEOHRSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@@H](NC(=O)C[C@H](N)c1ccccc1)C(C)(C)C ZINC000158133845 343200905 /nfs/dbraw/zinc/20/09/05/343200905.db2.gz MOOMVYPEWOVXLM-YPMHNXCESA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@@H](N)c1cn([C@H](CC)c2ccccc2)nn1 ZINC000641011026 362125840 /nfs/dbraw/zinc/12/58/40/362125840.db2.gz BYSONVNONIXMDV-TZMCWYRMSA-N 0 3 244.342 2.687 20 0 BFADHN C[C@H](NCCOC1CCOCC1)c1ccccc1 ZINC000625282778 343219800 /nfs/dbraw/zinc/21/98/00/343219800.db2.gz WQIHYWDHAGJDRJ-ZDUSSCGKSA-N 0 3 249.354 2.533 20 0 BFADHN CC(C)n1ccc(CN[C@H](C)C(C)(C)C)n1 ZINC000132916335 343189171 /nfs/dbraw/zinc/18/91/71/343189171.db2.gz LKNFAFNNDPVCKO-LLVKDONJSA-N 0 3 223.364 2.988 20 0 BFADHN C[C@@H](N[C@@H](C)c1cnccn1)C(C)(C)C ZINC000133338335 343190494 /nfs/dbraw/zinc/19/04/94/343190494.db2.gz RDITVTHBUMXJMY-VHSXEESVSA-N 0 3 207.321 2.562 20 0 BFADHN CC(C)[C@H](O)CCNCc1cc(Cl)cs1 ZINC000308830978 187250659 /nfs/dbraw/zinc/25/06/59/187250659.db2.gz AKEDIZOTYQVKJC-LLVKDONJSA-N 0 3 247.791 2.898 20 0 BFADHN Cc1ncsc1CNC[C@H]1CCCCS1 ZINC000087684027 136282333 /nfs/dbraw/zinc/28/23/33/136282333.db2.gz WBRYNAJCADYVMI-SNVBAGLBSA-N 0 3 242.413 2.827 20 0 BFADHN CCO[C@@H](CN[C@@H](C)c1ccccn1)C1CC1 ZINC000625320712 343234309 /nfs/dbraw/zinc/23/43/09/343234309.db2.gz YDEQSVFWPGMPQC-FZMZJTMJSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1cncc(OC)n1 ZINC000625329478 343234834 /nfs/dbraw/zinc/23/48/34/343234834.db2.gz HUNNVHQDWXFIAZ-WCQYABFASA-N 0 3 249.358 2.544 20 0 BFADHN COCC[C@@H](C)N[C@@H](C)c1nc(C)cs1 ZINC000070732739 136278693 /nfs/dbraw/zinc/27/86/93/136278693.db2.gz MFFVHHZXLFBUEP-SCZZXKLOSA-N 0 3 228.361 2.527 20 0 BFADHN CC[C@@H](C)[C@@H](N)C(=O)Nc1cc(C)ccc1C ZINC000019441519 343249328 /nfs/dbraw/zinc/24/93/28/343249328.db2.gz KIOBJRHINOQRKC-ZWNOBZJWSA-N 0 3 234.343 2.615 20 0 BFADHN Cc1ccc(CNC[C@@]2(C)CCCO2)c(F)c1 ZINC000631086503 343286871 /nfs/dbraw/zinc/28/68/71/343286871.db2.gz AGKLLQHZHWFMBG-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN CCc1nc(CN[C@@H](C)CC2CC2)cs1 ZINC000069665364 343288166 /nfs/dbraw/zinc/28/81/66/343288166.db2.gz IRGADHDGJKNYRQ-VIFPVBQESA-N 0 3 224.373 2.984 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1ccnn1C ZINC000185872225 343267547 /nfs/dbraw/zinc/26/75/47/343267547.db2.gz JOVBUOXGNLXKSL-GFCCVEGCSA-N 0 3 221.348 2.575 20 0 BFADHN CCCCCC(=O)NCCN1CCCC[C@H]1C ZINC000046858928 343277055 /nfs/dbraw/zinc/27/70/55/343277055.db2.gz NOKOORJLMYBMGC-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN COC[C@H](C)NCc1ccccc1OC(C)C ZINC000034991607 343282578 /nfs/dbraw/zinc/28/25/78/343282578.db2.gz MTJYRYRLKFEFKQ-LBPRGKRZSA-N 0 3 237.343 2.598 20 0 BFADHN Cc1c[nH]nc1CNCC(C(C)C)C(C)C ZINC000309352506 343322582 /nfs/dbraw/zinc/32/25/82/343322582.db2.gz NDLLLVAVKLQLOZ-UHFFFAOYSA-N 0 3 223.364 2.736 20 0 BFADHN CCC[C@H](N)C(=O)Nc1ccc(C)cc1Cl ZINC000036873072 343325767 /nfs/dbraw/zinc/32/57/67/343325767.db2.gz HKSWDPLSFQVAKW-JTQLQIEISA-N 0 3 240.734 2.714 20 0 BFADHN CCC[C@H](N)C(=O)Nc1ccccc1C(C)C ZINC000036872732 343325886 /nfs/dbraw/zinc/32/58/86/343325886.db2.gz DAAYHXRWUWPCTJ-LBPRGKRZSA-N 0 3 234.343 2.876 20 0 BFADHN COC(=O)CCN[C@@H](C)c1cc(C)sc1C ZINC000036891668 343326634 /nfs/dbraw/zinc/32/66/34/343326634.db2.gz NQPFHHNDYIAJAU-VIFPVBQESA-N 0 3 241.356 2.579 20 0 BFADHN COC(=O)CCN[C@H](C)c1cc(C)sc1C ZINC000036891667 343326865 /nfs/dbraw/zinc/32/68/65/343326865.db2.gz NQPFHHNDYIAJAU-SECBINFHSA-N 0 3 241.356 2.579 20 0 BFADHN CN(C)Cc1cccc(NCc2ccncc2)c1 ZINC000036979657 343329220 /nfs/dbraw/zinc/32/92/20/343329220.db2.gz MFAHYUKFUTVHPL-UHFFFAOYSA-N 0 3 241.338 2.755 20 0 BFADHN CCCc1ccccc1NC(=O)[C@@H](N)CCC ZINC000037018895 343331284 /nfs/dbraw/zinc/33/12/84/343331284.db2.gz DJMWMNBYHQXWQN-LBPRGKRZSA-N 0 3 234.343 2.705 20 0 BFADHN C[C@@H](N[C@@H](C)Cn1cccn1)c1ccc(F)cc1 ZINC000035276500 343292428 /nfs/dbraw/zinc/29/24/28/343292428.db2.gz XDNGEZYNLPRAHW-NWDGAFQWSA-N 0 3 247.317 2.762 20 0 BFADHN O=c1[nH]c2ccccc2cc1CN[C@H]1CC12CC2 ZINC000335285432 136293030 /nfs/dbraw/zinc/29/30/30/136293030.db2.gz VXOIMHALXQBOOD-ZDUSSCGKSA-N 0 3 240.306 2.583 20 0 BFADHN C[C@H](O)CN[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000035601573 343298366 /nfs/dbraw/zinc/29/83/66/343298366.db2.gz LFWRALYVGAFSJY-YUMQZZPRSA-N 0 3 231.698 2.511 20 0 BFADHN C[C@@H](NC[C@H](C)O)c1ccc(C(F)(F)F)cc1 ZINC000035601603 343298939 /nfs/dbraw/zinc/29/89/39/343298939.db2.gz CHATZFDMSRWLAG-DTWKUNHWSA-N 0 3 247.260 2.737 20 0 BFADHN C[C@@H](N[C@@H](C)CO)c1ccc(C(F)(F)F)cc1 ZINC000035602488 343299355 /nfs/dbraw/zinc/29/93/55/343299355.db2.gz GOOYVLOTENHKFB-DTWKUNHWSA-N 0 3 247.260 2.737 20 0 BFADHN CCN1[C@H](C)CN(CCSC(C)C)C[C@@H]1C ZINC000602872855 349804503 /nfs/dbraw/zinc/80/45/03/349804503.db2.gz AFMHBYJXBOJHGH-BETUJISGSA-N 0 3 244.448 2.543 20 0 BFADHN CCCC[C@H](C)N[C@@H](c1nncn1C)C(C)C ZINC000348711542 136293965 /nfs/dbraw/zinc/29/39/65/136293965.db2.gz GDCJUDUGYWMFAL-NWDGAFQWSA-N 0 3 238.379 2.681 20 0 BFADHN CN(C)c1ccccc1CN1CC(C2CCC2)C1 ZINC000348714826 136294110 /nfs/dbraw/zinc/29/41/10/136294110.db2.gz ZHJYMQCAHYUGJE-UHFFFAOYSA-N 0 3 244.382 2.985 20 0 BFADHN Cc1cccc(CN(C)[C@@H]2CCCOC2)c1C ZINC000348718900 136294404 /nfs/dbraw/zinc/29/44/04/136294404.db2.gz YLQYQYNGCXPRPT-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1ccoc1CN1CC(C2CCC2)C1 ZINC000348715439 136294552 /nfs/dbraw/zinc/29/45/52/136294552.db2.gz PTRPKDKWASZHSW-UHFFFAOYSA-N 0 3 205.301 2.820 20 0 BFADHN CC[C@H](O)CN(Cc1cccc(F)c1)C1CC1 ZINC000036104354 343309313 /nfs/dbraw/zinc/30/93/13/343309313.db2.gz JAXVKRWUFFDCPB-AWEZNQCLSA-N 0 3 237.318 2.561 20 0 BFADHN CCCCCC(=O)Nc1cccc(CNC)c1 ZINC000036282647 343314021 /nfs/dbraw/zinc/31/40/21/343314021.db2.gz WLPMRNJIZKUFHI-UHFFFAOYSA-N 0 3 234.343 2.925 20 0 BFADHN CCC[C@H](N)C(=O)N(C)[C@@H](C)c1ccc(C)cc1 ZINC000037808071 343356249 /nfs/dbraw/zinc/35/62/49/343356249.db2.gz PMDCZLRVCJEYIR-JSGCOSHPSA-N 0 3 248.370 2.642 20 0 BFADHN CC[C@@H](C)NC(=O)CCN[C@@H](C)c1ccccc1 ZINC000038013727 343365684 /nfs/dbraw/zinc/36/56/84/343365684.db2.gz MKXUYQJQNZKBRY-OLZOCXBDSA-N 0 3 248.370 2.642 20 0 BFADHN C[C@@H](CO)NCc1cc(-c2ccccc2)cs1 ZINC000038030785 343366333 /nfs/dbraw/zinc/36/63/33/343366333.db2.gz ONOWMYBGCCGYIU-NSHDSACASA-N 0 3 247.363 2.886 20 0 BFADHN FC(F)C[C@@H]1COCCN1C[C@H]1CC=CCC1 ZINC000348786833 136301104 /nfs/dbraw/zinc/30/11/04/136301104.db2.gz WBILRPRKHGCTHA-NWDGAFQWSA-N 0 3 245.313 2.699 20 0 BFADHN C[C@@H](CN1CCCCC1)N[C@@H](C)c1ccco1 ZINC000037154068 343336693 /nfs/dbraw/zinc/33/66/93/343336693.db2.gz OOXUHXYHEJIUJC-STQMWFEESA-N 0 3 236.359 2.805 20 0 BFADHN C[C@H](CN1CCCCC1)N[C@@H](C)c1ccccn1 ZINC000037153536 343336735 /nfs/dbraw/zinc/33/67/35/343336735.db2.gz UOVRVMGHPIPTEP-KGLIPLIRSA-N 0 3 247.386 2.607 20 0 BFADHN Cc1c2ccccc2oc1[C@@H](C)N[C@@H](C)CO ZINC000037258274 343341712 /nfs/dbraw/zinc/34/17/12/343341712.db2.gz JIOAHGMGIHFPAL-GXSJLCMTSA-N 0 3 233.311 2.773 20 0 BFADHN CCCC[C@@H](C)N[C@H](C)c1nnc2ccccn21 ZINC000037281389 343342735 /nfs/dbraw/zinc/34/27/35/343342735.db2.gz FQVWCXCXAKUABY-VXGBXAGGSA-N 0 3 246.358 2.959 20 0 BFADHN CCCC[C@@H](C)N[C@@H](C)c1nnc2ccccn21 ZINC000037281384 343342790 /nfs/dbraw/zinc/34/27/90/343342790.db2.gz FQVWCXCXAKUABY-NEPJUHHUSA-N 0 3 246.358 2.959 20 0 BFADHN Cc1cc(C)c(NC(=O)CNC(C)C)c(C)c1 ZINC000037386045 343344222 /nfs/dbraw/zinc/34/42/22/343344222.db2.gz PMNGSXFTMDRBKI-UHFFFAOYSA-N 0 3 234.343 2.548 20 0 BFADHN COC(CN[C@H](c1ccccc1)C(C)C)OC ZINC000037566852 343348586 /nfs/dbraw/zinc/34/85/86/343348586.db2.gz MUKZRCFOCHANKB-AWEZNQCLSA-N 0 3 237.343 2.592 20 0 BFADHN CCCc1ccccc1NC(=O)CNCC1CC1 ZINC000037612881 343349168 /nfs/dbraw/zinc/34/91/68/343349168.db2.gz IUBOVFRVDOPPGJ-UHFFFAOYSA-N 0 3 246.354 2.577 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1ccc(C)cc1C ZINC000037621294 343349906 /nfs/dbraw/zinc/34/99/06/343349906.db2.gz XHRDTPHWUOMFCI-AWEZNQCLSA-N 0 3 234.343 2.759 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2cnc(C)cn2)C1 ZINC000335651956 136305209 /nfs/dbraw/zinc/30/52/09/136305209.db2.gz OXPMCKJDVFYDLV-QWHCGFSZSA-N 0 3 233.359 2.796 20 0 BFADHN C[C@H](Nc1ccc(OCCN(C)C)cc1)C1CC1 ZINC000037774233 343354444 /nfs/dbraw/zinc/35/44/44/343354444.db2.gz WNSQRHKJIIMVJM-LBPRGKRZSA-N 0 3 248.370 2.837 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@H](C(C)C)C1 ZINC000335675509 136318191 /nfs/dbraw/zinc/31/81/91/136318191.db2.gz QNKHVKHBAPMEGZ-LBPRGKRZSA-N 0 3 221.348 2.586 20 0 BFADHN Cc1noc(C)c1CN(C)C1CC(C)(C)C1 ZINC000335656553 136306651 /nfs/dbraw/zinc/30/66/51/136306651.db2.gz MXYPXSBBJCJTIZ-UHFFFAOYSA-N 0 3 222.332 2.912 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC23CCC3)on1 ZINC000335658774 136307318 /nfs/dbraw/zinc/30/73/18/136307318.db2.gz XLENXYAAEVTAJH-GFCCVEGCSA-N 0 3 220.316 2.795 20 0 BFADHN C[C@@H](N[C@H]1CCOC1)c1ccc2ncsc2c1 ZINC000335659406 136307918 /nfs/dbraw/zinc/30/79/18/136307918.db2.gz XHPXKMYFXPRDCX-KOLCDFICSA-N 0 3 248.351 2.736 20 0 BFADHN Cc1ccc(CN(CCN(C)C)CC(C)C)o1 ZINC000348889030 136308064 /nfs/dbraw/zinc/30/80/64/136308064.db2.gz BPYGJEZUKAEJFW-UHFFFAOYSA-N 0 3 238.375 2.608 20 0 BFADHN CN1CCC=C(c2cccc3[nH]ccc32)C1 ZINC000033885381 187257527 /nfs/dbraw/zinc/25/75/27/187257527.db2.gz RHOPWCFZEKQAFD-UHFFFAOYSA-N 0 3 212.296 2.887 20 0 BFADHN Cc1cc(CN[C@H]2CO[C@@H](C)C2)c(C)s1 ZINC000335664607 136316688 /nfs/dbraw/zinc/31/66/88/136316688.db2.gz UKIXXYGBNSAGHN-QPUJVOFHSA-N 0 3 225.357 2.632 20 0 BFADHN Cc1cnc(CNCC[C@H]2CC2(F)F)s1 ZINC000621377289 343380799 /nfs/dbraw/zinc/38/07/99/343380799.db2.gz VEQFOJBYAJLUDH-QMMMGPOBSA-N 0 3 232.299 2.586 20 0 BFADHN CN(CCC(C)(C)C)Cc1cccc(C(N)=O)c1 ZINC000602877481 349806811 /nfs/dbraw/zinc/80/68/11/349806811.db2.gz XJHQGSIZMBRJSC-UHFFFAOYSA-N 0 3 248.370 2.654 20 0 BFADHN CC[C@@H](N)c1cn([C@H]2C=CCCCCC2)nn1 ZINC000641075945 362185115 /nfs/dbraw/zinc/18/51/15/362185115.db2.gz OCECSCZCNQSMBY-NWDGAFQWSA-N 0 3 234.347 2.749 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cnn2c1CCC2 ZINC000668181589 488216251 /nfs/dbraw/zinc/21/62/51/488216251.db2.gz NEDIAHBQXFKCCJ-LBPRGKRZSA-N 0 3 235.375 2.840 20 0 BFADHN Cc1cc(C)cc(NC(=O)[C@@H](N)C(C)(C)C)c1 ZINC000040458493 343404764 /nfs/dbraw/zinc/40/47/64/343404764.db2.gz VCTIVDLHKZMBLI-GFCCVEGCSA-N 0 3 234.343 2.615 20 0 BFADHN CCCCOCCNCc1ccc(OC)cc1 ZINC000040505927 343405863 /nfs/dbraw/zinc/40/58/63/343405863.db2.gz FTCCNCWKKZEEDY-UHFFFAOYSA-N 0 3 237.343 2.602 20 0 BFADHN C[C@@H](c1ccccc1F)N1CC[C@](O)(C2CC2)C1 ZINC000335694424 136326570 /nfs/dbraw/zinc/32/65/70/136326570.db2.gz ODHLPWORTZRIAS-XHDPSFHLSA-N 0 3 249.329 2.734 20 0 BFADHN CCCCCc1ccc(NC(=O)[C@H](C)N)cc1 ZINC000040810136 343410095 /nfs/dbraw/zinc/41/00/95/343410095.db2.gz PORNNOWEIJDESS-NSHDSACASA-N 0 3 234.343 2.705 20 0 BFADHN CCC[C@@H](C)CCCN1CC[C@](F)(CO)C1 ZINC000625400386 343410779 /nfs/dbraw/zinc/41/07/79/343410779.db2.gz IDXPMEOZUIOOMN-CHWSQXEVSA-N 0 3 231.355 2.609 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cc(C)sc1C ZINC000308914421 346938945 /nfs/dbraw/zinc/93/89/45/346938945.db2.gz FMWICRPDVLCSNN-ZJUUUORDSA-N 0 3 227.373 2.878 20 0 BFADHN CC(C)[C@H](N)c1cn(CC23CCC(CC2)C3)nn1 ZINC000641085737 362208320 /nfs/dbraw/zinc/20/83/20/362208320.db2.gz BLIZBJSWPSEIRG-QRMWWUJWSA-N 0 3 248.374 2.514 20 0 BFADHN CC[C@H](N)c1cn(C[C@@H]2CCCC[C@H]2C)nn1 ZINC000641088419 362211577 /nfs/dbraw/zinc/21/15/77/362211577.db2.gz NLKDWGTXBGRNBM-WOPDTQHZSA-N 0 3 236.363 2.514 20 0 BFADHN CC[C@H](N)c1cn(C[C@@H]2CCCC[C@@H]2C)nn1 ZINC000641088416 362211630 /nfs/dbraw/zinc/21/16/30/362211630.db2.gz NLKDWGTXBGRNBM-SRVKXCTJSA-N 0 3 236.363 2.514 20 0 BFADHN Cc1nc(CNC2(C)CCCC2)cs1 ZINC000042176739 343430191 /nfs/dbraw/zinc/43/01/91/343430191.db2.gz HPMCFLGPTXGLPJ-UHFFFAOYSA-N 0 3 210.346 2.874 20 0 BFADHN CC(C)N(Cc1ccn(C)c1)Cc1cccnc1 ZINC000349253352 136333114 /nfs/dbraw/zinc/33/31/14/136333114.db2.gz WRWVJBVCLUMJQK-UHFFFAOYSA-N 0 3 243.354 2.831 20 0 BFADHN FC(F)O[C@H]1CCN(CC2=CCCCC2)C1 ZINC000570016164 323047412 /nfs/dbraw/zinc/04/74/12/323047412.db2.gz IFIOPXZKMHTAAV-NSHDSACASA-N 0 3 231.286 2.800 20 0 BFADHN C[C@H]1c2ccccc2CN1CC[C@H]1CCOC1 ZINC000335700566 136334062 /nfs/dbraw/zinc/33/40/62/136334062.db2.gz AGIZSEUCPXPFIG-STQMWFEESA-N 0 3 231.339 2.990 20 0 BFADHN Cc1ncc(CNCCc2ccsc2)s1 ZINC000042295715 343433280 /nfs/dbraw/zinc/43/32/80/343433280.db2.gz LBVLGGPWOJWMLW-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN c1csc([C@H](NCc2cn[nH]c2)C2CC2)c1 ZINC000042331484 343435004 /nfs/dbraw/zinc/43/50/04/343435004.db2.gz AZWZKIOJINFAHD-GFCCVEGCSA-N 0 3 233.340 2.712 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1ccc(C)cc1Cl ZINC000309426482 343436400 /nfs/dbraw/zinc/43/64/00/343436400.db2.gz FKFZYNJHJBRYQU-VIFPVBQESA-N 0 3 240.734 2.585 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H]1CCc2c1cccc2F ZINC000349349409 136342469 /nfs/dbraw/zinc/34/24/69/136342469.db2.gz AXQXFRGUEUKJHW-RRMSCMEVSA-N 0 3 249.329 2.730 20 0 BFADHN CC(C)N(C/C=C\c1ccc(F)cc1)CCO ZINC000350067598 136353817 /nfs/dbraw/zinc/35/38/17/136353817.db2.gz AYQPGDNESQLWDU-ARJAWSKDSA-N 0 3 237.318 2.542 20 0 BFADHN CC(C)n1nccc1CN1C[C@H](C)[C@@H](C)C1 ZINC000335889191 136358400 /nfs/dbraw/zinc/35/84/00/136358400.db2.gz BYBPVFTTXNNHPV-RYUDHWBXSA-N 0 3 221.348 2.552 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](C)Cc2cnn(C)c2)o1 ZINC000350519383 136361897 /nfs/dbraw/zinc/36/18/97/136361897.db2.gz YXQBBIBRWCIYGT-JQWIXIFHSA-N 0 3 247.342 2.603 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](C)Cc2cnn(C)c2)o1 ZINC000350519382 136361944 /nfs/dbraw/zinc/36/19/44/136361944.db2.gz YXQBBIBRWCIYGT-CMPLNLGQSA-N 0 3 247.342 2.603 20 0 BFADHN CC(C)NCc1nc2ccc(F)cc2s1 ZINC000084782916 136366488 /nfs/dbraw/zinc/36/64/88/136366488.db2.gz ADYYHJRKOBJTON-UHFFFAOYSA-N 0 3 224.304 2.933 20 0 BFADHN CCC(C)(C)[C@@H]1CCCN1Cc1ccn(C)n1 ZINC000354011878 136368362 /nfs/dbraw/zinc/36/83/62/136368362.db2.gz WKVAJSIYSNUMCX-ZDUSSCGKSA-N 0 3 235.375 2.821 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ccoc1C(=O)OC ZINC000042554086 343443174 /nfs/dbraw/zinc/44/31/74/343443174.db2.gz NYSFIIRYMBDYLD-NXEZZACHSA-N 0 3 239.315 2.590 20 0 BFADHN Cc1ccsc1CN[C@H]1COC(C)(C)C1 ZINC000335656193 136376262 /nfs/dbraw/zinc/37/62/62/136376262.db2.gz JTHPUGXMNYMENR-SNVBAGLBSA-N 0 3 225.357 2.714 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(Cl)cc1 ZINC000379923108 365749948 /nfs/dbraw/zinc/74/99/48/365749948.db2.gz JDYXXQNPAJFHJV-NWDGAFQWSA-N 0 3 225.719 2.607 20 0 BFADHN c1c(CNC[C@@H]2CC=CCC2)nc2ccccn12 ZINC000044290027 343497076 /nfs/dbraw/zinc/49/70/76/343497076.db2.gz DTNSTXPKNKAOKS-CYBMUJFWSA-N 0 3 241.338 2.780 20 0 BFADHN CCC(CC)NCc1ccn(C(C)C)n1 ZINC000082720483 343461009 /nfs/dbraw/zinc/46/10/09/343461009.db2.gz HYVLVTMLFZVHTB-UHFFFAOYSA-N 0 3 209.337 2.742 20 0 BFADHN Cc1occc1CN1CC[C@@H](OC(C)C)C1 ZINC000580551988 365757557 /nfs/dbraw/zinc/75/75/57/365757557.db2.gz HNSOZQSUQWOKRK-CYBMUJFWSA-N 0 3 223.316 2.587 20 0 BFADHN Cn1c(Cl)cnc1CNC[C@H]1CC=CCC1 ZINC000045630515 343532033 /nfs/dbraw/zinc/53/20/33/343532033.db2.gz NONNRASJJAXYQI-JTQLQIEISA-N 0 3 239.750 2.519 20 0 BFADHN CC[C@H](NCC1CCC1)c1nccs1 ZINC000083838825 343504401 /nfs/dbraw/zinc/50/44/01/343504401.db2.gz UMDXVGDZLQGQQU-JTQLQIEISA-N 0 3 210.346 2.984 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1cc(C)ccn1 ZINC000616769409 343563637 /nfs/dbraw/zinc/56/36/37/343563637.db2.gz RUTVMOIHDFPMDI-ZDUSSCGKSA-N 0 3 238.400 2.963 20 0 BFADHN CC(C)c1ccc(CN(CCO)C2CC2)cc1 ZINC000048065107 343570858 /nfs/dbraw/zinc/57/08/58/343570858.db2.gz KWDGHVJOIIAJNZ-UHFFFAOYSA-N 0 3 233.355 2.767 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H](C)[C@H]2C)nc1C ZINC000313812430 259365827 /nfs/dbraw/zinc/36/58/27/259365827.db2.gz IVSLPSFWFHGBMI-JTNHKYCSSA-N 0 3 218.344 2.833 20 0 BFADHN CCCCC(=O)NC[C@@H](C)N1CCCC[C@H]1C ZINC000343066073 534944795 /nfs/dbraw/zinc/94/47/95/534944795.db2.gz ARPXCRNLICELMJ-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN CC1(C)C(NCc2ccccn2)C1(C)C ZINC000086239717 343615699 /nfs/dbraw/zinc/61/56/99/343615699.db2.gz BBINELPUBDOJDH-UHFFFAOYSA-N 0 3 204.317 2.606 20 0 BFADHN CC(C)C[C@@H](C)CN[C@H](CCO)c1ccco1 ZINC000281478417 187343389 /nfs/dbraw/zinc/34/33/89/187343389.db2.gz WMHSQAUXQLGCQK-CHWSQXEVSA-N 0 3 239.359 2.975 20 0 BFADHN COCCC[C@H](C)NCc1ccc(C)c(C)n1 ZINC000313842072 259366272 /nfs/dbraw/zinc/36/62/72/259366272.db2.gz QKHDJACIVZDEHU-LBPRGKRZSA-N 0 3 236.359 2.603 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3ccc(F)cc32)CO1 ZINC000580563294 365781629 /nfs/dbraw/zinc/78/16/29/365781629.db2.gz MKEQTKMEYUMKRR-LJWDBELGSA-N 0 3 235.302 2.580 20 0 BFADHN CC[C@H](CCO)CNCc1ccc(C)cc1F ZINC000631086956 343610634 /nfs/dbraw/zinc/61/06/34/343610634.db2.gz HYJZSYVTGOXBOR-GFCCVEGCSA-N 0 3 239.334 2.632 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3ccc(F)cc32)CO1 ZINC000580563295 365781918 /nfs/dbraw/zinc/78/19/18/365781918.db2.gz MKEQTKMEYUMKRR-LQJRIPTKSA-N 0 3 235.302 2.580 20 0 BFADHN CC[C@@H](NCc1c(C)noc1C)C1CC1 ZINC000086109922 343612163 /nfs/dbraw/zinc/61/21/63/343612163.db2.gz ODRALRMISPINNK-GFCCVEGCSA-N 0 3 208.305 2.570 20 0 BFADHN COc1ccc(C[C@H](C)CNCCF)cc1F ZINC000280799572 187342718 /nfs/dbraw/zinc/34/27/18/187342718.db2.gz SDFFSSMWJRLFQK-JTQLQIEISA-N 0 3 243.297 2.572 20 0 BFADHN CCc1cc(CN[C@@H]2CC[C@@H]2CC)on1 ZINC000379999287 365790462 /nfs/dbraw/zinc/79/04/62/365790462.db2.gz LRQBZDUNAHFACG-JOYOIKCWSA-N 0 3 208.305 2.515 20 0 BFADHN CCCCC(=O)NC[C@H](C)N1CCCC[C@H]1C ZINC000343066074 534947219 /nfs/dbraw/zinc/94/72/19/534947219.db2.gz ARPXCRNLICELMJ-OLZOCXBDSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1ccc(CN2CC([C@H]3CCOC3)C2)cc1C ZINC000368442425 178563608 /nfs/dbraw/zinc/56/36/08/178563608.db2.gz PEBQFMZSDQJAQS-HNNXBMFYSA-N 0 3 245.366 2.772 20 0 BFADHN CCc1cc(CN[C@H]2CC[C@@H]2CC)on1 ZINC000379999286 365790940 /nfs/dbraw/zinc/79/09/40/365790940.db2.gz LRQBZDUNAHFACG-CABZTGNLSA-N 0 3 208.305 2.515 20 0 BFADHN CC1(CN2CCc3ccc(F)cc3C2)CC1 ZINC000683829667 488229004 /nfs/dbraw/zinc/22/90/04/488229004.db2.gz BHPBSRVEGVEXHP-UHFFFAOYSA-N 0 3 219.303 2.984 20 0 BFADHN CCN(CC(=O)Nc1ccccc1)C1CCCC1 ZINC000047967372 343677020 /nfs/dbraw/zinc/67/70/20/343677020.db2.gz MGYCGJIXJFUUSU-UHFFFAOYSA-N 0 3 246.354 2.890 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1cnc(C2CC2)s1 ZINC000380101231 343679487 /nfs/dbraw/zinc/67/94/87/343679487.db2.gz LXLMOPABYPJLDW-KWQFWETISA-N 0 3 222.357 2.909 20 0 BFADHN COc1ccncc1CN(CC(C)C)C1CC1 ZINC000286714986 187346140 /nfs/dbraw/zinc/34/61/40/187346140.db2.gz CZEHSZAIMCIGRD-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN c1cn2cc(CN[C@@H]3CC[C@@H]3C3CC3)nc2s1 ZINC000348052949 537804370 /nfs/dbraw/zinc/80/43/70/537804370.db2.gz GTIFHLXXQFVJGK-VXGBXAGGSA-N 0 3 247.367 2.674 20 0 BFADHN CC(C)CN(C)Cc1ccc([S@@](C)=O)cc1 ZINC000178411058 346954624 /nfs/dbraw/zinc/95/46/24/346954624.db2.gz YTEBCPCILLUEGK-MRXNPFEDSA-N 0 3 239.384 2.512 20 0 BFADHN CC(F)(F)CCN1CC[C@@H](c2cccnc2)C1 ZINC000570329711 323056427 /nfs/dbraw/zinc/05/64/27/323056427.db2.gz SLGLCNMQEADHAM-GFCCVEGCSA-N 0 3 240.297 2.916 20 0 BFADHN C[C@H]1CCC[C@H](CN2CCc3nc[nH]c3C2)C1 ZINC000625434988 343736059 /nfs/dbraw/zinc/73/60/59/343736059.db2.gz CINWOROCYGLPJN-RYUDHWBXSA-N 0 3 233.359 2.594 20 0 BFADHN C[C@@H]1CCC[C@@H](CCN2CCc3nc[nH]c3C2)C1 ZINC000625438852 343740632 /nfs/dbraw/zinc/74/06/32/343740632.db2.gz SPCKRUGWZYTQNN-OLZOCXBDSA-N 0 3 247.386 2.984 20 0 BFADHN CCCC[C@H](C)N(C)CC(=O)NCC(C)(C)C ZINC000625439608 343740716 /nfs/dbraw/zinc/74/07/16/343740716.db2.gz CVCMXPMFGCVDMT-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NCCSC(C)C ZINC000380272409 343716676 /nfs/dbraw/zinc/71/66/76/343716676.db2.gz WTBODVDVGSRFNY-VIFPVBQESA-N 0 3 227.377 2.510 20 0 BFADHN C[C@@H](NCCCF)c1ccc(F)cc1N(C)C ZINC000127001207 343719378 /nfs/dbraw/zinc/71/93/78/343719378.db2.gz XTPNKEXFGISODF-SNVBAGLBSA-N 0 3 242.313 2.902 20 0 BFADHN CCN(Cc1ccccc1)C[C@@H]1CCCO1 ZINC000061758308 343728729 /nfs/dbraw/zinc/72/87/29/343728729.db2.gz BNILPOLBFWVHBT-AWEZNQCLSA-N 0 3 219.328 2.688 20 0 BFADHN C=Cc1ccc(CCNCc2conc2C)cc1 ZINC000292973679 187349612 /nfs/dbraw/zinc/34/96/12/187349612.db2.gz MMMQCQVYPVXCSP-UHFFFAOYSA-N 0 3 242.322 2.958 20 0 BFADHN OCCN[C@@H]1CCc2c1cc(Cl)cc2Cl ZINC000089694171 343748529 /nfs/dbraw/zinc/74/85/29/343748529.db2.gz NXMAAWVCUDFNPS-LLVKDONJSA-N 0 3 246.137 2.563 20 0 BFADHN Cc1nccn1CCCOc1cc(C)nc(C)c1 ZINC000128151858 343759922 /nfs/dbraw/zinc/75/99/22/343759922.db2.gz FVYVHBUEWOOTMC-UHFFFAOYSA-N 0 3 245.326 2.672 20 0 BFADHN CCOc1cncc(CN2C[C@@H](C)C[C@H](C)C2)c1 ZINC000621709329 343761114 /nfs/dbraw/zinc/76/11/14/343761114.db2.gz RUXHQULCPBDGPM-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN Cc1oncc1CN1CCCC[C@H](C)C1 ZINC000189590399 346983577 /nfs/dbraw/zinc/98/35/77/346983577.db2.gz PQMFSHCJHMUOHS-JTQLQIEISA-N 0 3 208.305 2.605 20 0 BFADHN CC(C)(C)c1ccc(CN[C@H]2C[C@@H](O)C2)cc1 ZINC000294856191 187351037 /nfs/dbraw/zinc/35/10/37/187351037.db2.gz NVTPMZGOYUTBNX-OKILXGFUSA-N 0 3 233.355 2.597 20 0 BFADHN CC[C@@H](C)N(C)C(=O)c1ccc(CN(C)C)cc1 ZINC000066646346 349879080 /nfs/dbraw/zinc/87/90/80/349879080.db2.gz XRAFNFJVPFLBIF-GFCCVEGCSA-N 0 3 248.370 2.619 20 0 BFADHN CCCn1cc(CN2CCC[C@H](C)[C@@H]2C)cn1 ZINC000179798922 346997821 /nfs/dbraw/zinc/99/78/21/346997821.db2.gz PISYTWMQZSEHEL-STQMWFEESA-N 0 3 235.375 2.914 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnn3ccccc23)C1 ZINC000179771230 346996021 /nfs/dbraw/zinc/99/60/21/346996021.db2.gz JVFYBPYKFLWQKB-CYBMUJFWSA-N 0 3 243.354 2.956 20 0 BFADHN CC[C@@H](C)NCc1cc(F)cnc1Cl ZINC000297488706 187352468 /nfs/dbraw/zinc/35/24/68/187352468.db2.gz ZWBJSGHFMJOLJI-SSDOTTSWSA-N 0 3 216.687 2.762 20 0 BFADHN Cc1ccc2nc(CNC3CCCC3)cn2c1 ZINC000066862893 343882908 /nfs/dbraw/zinc/88/29/08/343882908.db2.gz KJFLTPQDYQNPRW-UHFFFAOYSA-N 0 3 229.327 2.675 20 0 BFADHN C[C@@H](NCC1(C2CC2)CCC1)c1ncc[nH]1 ZINC000336728320 537831094 /nfs/dbraw/zinc/83/10/94/537831094.db2.gz CMBJIJJKRCOTPN-SNVBAGLBSA-N 0 3 219.332 2.641 20 0 BFADHN COc1cncc(CN[C@]2(C)CCCC[C@H]2C)n1 ZINC000625466005 343871289 /nfs/dbraw/zinc/87/12/89/343871289.db2.gz XHYKNFUTPIMIAV-BXUZGUMPSA-N 0 3 249.358 2.544 20 0 BFADHN COC[C@H](CC(C)C)NC1(CF)CCC1 ZINC000570572169 323063320 /nfs/dbraw/zinc/06/33/20/323063320.db2.gz ZQPXXVQZBIWGMR-NSHDSACASA-N 0 3 217.328 2.529 20 0 BFADHN CC(C)(C)n1cc(CN[C@H]2CC[C@H](F)C2)cn1 ZINC000342274917 534955024 /nfs/dbraw/zinc/95/50/24/534955024.db2.gz IALHQUJMCVKECR-RYUDHWBXSA-N 0 3 239.338 2.618 20 0 BFADHN CC(C)[C@H](C)n1cc([C@H](N)C(C)(C)C)nn1 ZINC000641188069 362265104 /nfs/dbraw/zinc/26/51/04/362265104.db2.gz DHSUPXVWNIOCHL-ONGXEEELSA-N 0 3 224.352 2.541 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@H]1C=CCCC1 ZINC000341397667 187355525 /nfs/dbraw/zinc/35/55/25/187355525.db2.gz HPHXHNRZXNWWKL-AWEZNQCLSA-N 0 3 233.359 2.971 20 0 BFADHN CCOc1ccc(CNCCSC)cc1F ZINC000393765193 343923520 /nfs/dbraw/zinc/92/35/20/343923520.db2.gz GJVSCNRCKGXBSI-UHFFFAOYSA-N 0 3 243.347 2.677 20 0 BFADHN CCN(Cc1c(C)noc1C)CC1CC1 ZINC000070012899 343946755 /nfs/dbraw/zinc/94/67/55/343946755.db2.gz IFCCUKDXGIDXIT-UHFFFAOYSA-N 0 3 208.305 2.523 20 0 BFADHN C[C@H](N[C@@H]1C=CCCC1)c1ccccn1 ZINC000090393069 343931011 /nfs/dbraw/zinc/93/10/11/343931011.db2.gz XFXZPDOTXBNAPU-NWDGAFQWSA-N 0 3 202.301 2.841 20 0 BFADHN CCCN(CC(=O)OCC)C[C@@H]1CC=CCC1 ZINC000092380352 343955378 /nfs/dbraw/zinc/95/53/78/343955378.db2.gz MSLIMKCJPVBCLL-CYBMUJFWSA-N 0 3 239.359 2.618 20 0 BFADHN CCCN(Cc1nc(C)c(C)[nH]1)CC1CC1 ZINC000628117094 343966556 /nfs/dbraw/zinc/96/65/56/343966556.db2.gz RQBGCEUXYOGOQO-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1C[C@]1(C)CCCS1 ZINC000628120074 343968484 /nfs/dbraw/zinc/96/84/84/343968484.db2.gz WTBSOERGNJTWSA-XQQFMLRXSA-N 0 3 243.416 2.771 20 0 BFADHN CCN(Cc1nc(C)c[nH]1)C1CCCCC1 ZINC000628118765 343969546 /nfs/dbraw/zinc/96/95/46/343969546.db2.gz JLPTXEBPQZBYLG-UHFFFAOYSA-N 0 3 221.348 2.873 20 0 BFADHN CC[C@H]1CCCCN1Cc1cnc(C)o1 ZINC000628118981 343969774 /nfs/dbraw/zinc/96/97/74/343969774.db2.gz UOFOSMNFFYDQLP-NSHDSACASA-N 0 3 208.305 2.748 20 0 BFADHN CCCCN(C)Cc1cnc(C2CC2)o1 ZINC000628119012 343969935 /nfs/dbraw/zinc/96/99/35/343969935.db2.gz WHSBSPJCVGHYTC-UHFFFAOYSA-N 0 3 208.305 2.784 20 0 BFADHN CCC[C@H](O)CN[C@@H](c1ccccn1)C1CCC1 ZINC000625785522 344074110 /nfs/dbraw/zinc/07/41/10/344074110.db2.gz FBURXXNIWASPOW-DZGCQCFKSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@H](O)CCCN[C@H](c1ccccn1)C1CCC1 ZINC000625785432 344074151 /nfs/dbraw/zinc/07/41/51/344074151.db2.gz BNRLPFYEFOGJHY-WFASDCNBSA-N 0 3 248.370 2.673 20 0 BFADHN Cc1noc(C)c1CN1CCCC[C@H](C)C1 ZINC000187930450 259372123 /nfs/dbraw/zinc/37/21/23/259372123.db2.gz AQGUUHOMWLGVNL-JTQLQIEISA-N 0 3 222.332 2.913 20 0 BFADHN Cn1cnc(CN2CC(C)(C)CCC2(C)C)c1 ZINC000625723195 344056515 /nfs/dbraw/zinc/05/65/15/344056515.db2.gz MUGCGNQEVXPVIS-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN CCC[C@H](C)N1Cc2ccc(O)cc2C1 ZINC000625728209 344060100 /nfs/dbraw/zinc/06/01/00/344060100.db2.gz ZPGJFZOMUVSURO-JTQLQIEISA-N 0 3 205.301 2.896 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@H]2CC[C@@H](C1)S2 ZINC000625777084 344071552 /nfs/dbraw/zinc/07/15/52/344071552.db2.gz YUBIOKLWUZPABT-UTUOFQBUSA-N 0 3 249.383 2.508 20 0 BFADHN Cc1ccc(CN2CC[C@H]3CC[C@@H](C2)S3)nc1 ZINC000625777002 344071862 /nfs/dbraw/zinc/07/18/62/344071862.db2.gz WJRGTPPMSPZQMO-KGLIPLIRSA-N 0 3 248.395 2.860 20 0 BFADHN c1ccc([C@H](NC[C@@H]2CCCO2)C2CCC2)nc1 ZINC000625783045 344073011 /nfs/dbraw/zinc/07/30/11/344073011.db2.gz ATOCYYVTRRGSMS-DZGCQCFKSA-N 0 3 246.354 2.691 20 0 BFADHN c1ccc([C@@H](NC[C@@H]2CCCO2)C2CCC2)nc1 ZINC000625783054 344073050 /nfs/dbraw/zinc/07/30/50/344073050.db2.gz ATOCYYVTRRGSMS-ZFWWWQNUSA-N 0 3 246.354 2.691 20 0 BFADHN CC[C@H](CN1CCC[C@H]1c1cccc(C)n1)OC ZINC000573346579 344105628 /nfs/dbraw/zinc/10/56/28/344105628.db2.gz PISMOCMPTOHKKS-HIFRSBDPSA-N 0 3 248.370 2.952 20 0 BFADHN CC(C)CCCN1CCO[C@@H](C(F)F)CC1 ZINC000634734589 344115236 /nfs/dbraw/zinc/11/52/36/344115236.db2.gz JRNRKVBLJGSTOD-LLVKDONJSA-N 0 3 235.318 2.779 20 0 BFADHN CC[C@@](C)(NC[C@H](C)COC)c1nccs1 ZINC000187981974 259372571 /nfs/dbraw/zinc/37/25/71/259372571.db2.gz IDQIEXPDYQDRIQ-CMPLNLGQSA-N 0 3 242.388 2.640 20 0 BFADHN CSC1(CN2CCO[C@H]3CCC[C@H]32)CCC1 ZINC000335906343 344084316 /nfs/dbraw/zinc/08/43/16/344084316.db2.gz YORAJSFVHDGLMC-NEPJUHHUSA-N 0 3 241.400 2.525 20 0 BFADHN C/C=C/CN[C@@H]1CCCc2ccc(O)cc21 ZINC000384743738 344088171 /nfs/dbraw/zinc/08/81/71/344088171.db2.gz MJFADWAKRMQOHV-BAABZTOOSA-N 0 3 217.312 2.935 20 0 BFADHN CC[C@@H](N)C(=O)N[C@H](C)CCC1CCCCC1 ZINC000129912896 344088744 /nfs/dbraw/zinc/08/87/44/344088744.db2.gz GSYMJWFPGISKOR-DGCLKSJQSA-N 0 3 240.391 2.589 20 0 BFADHN c1[nH]nc2cc(CN(C3CC3)C3CC3)ccc12 ZINC000625840819 344091613 /nfs/dbraw/zinc/09/16/13/344091613.db2.gz BVIBRSVOJXPZGV-UHFFFAOYSA-N 0 3 227.311 2.690 20 0 BFADHN Cc1cccc(CN(C2CC2)C2CC2)n1 ZINC000625838949 344091705 /nfs/dbraw/zinc/09/17/05/344091705.db2.gz NOYLFFWISUPRTE-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN Fc1ccccc1CCN(C1CC1)C1CC1 ZINC000625841254 344094770 /nfs/dbraw/zinc/09/47/70/344094770.db2.gz FWDQYQLZGULWRW-UHFFFAOYSA-N 0 3 219.303 2.995 20 0 BFADHN Cc1noc(C)c1CCN[C@@H](C)c1ccoc1 ZINC000156073210 344124422 /nfs/dbraw/zinc/12/44/22/344124422.db2.gz QDTDYERMKGIGNB-VIFPVBQESA-N 0 3 234.299 2.778 20 0 BFADHN C(CC1CCC1)CN1CCO[C@@H]2CCC[C@@H]21 ZINC000625853835 344125807 /nfs/dbraw/zinc/12/58/07/344125807.db2.gz IDKICTIICJNWIY-UONOGXRCSA-N 0 3 223.360 2.820 20 0 BFADHN CCCN(C)Cc1ccc(F)c(F)c1N(C)C ZINC000628129825 344157970 /nfs/dbraw/zinc/15/79/70/344157970.db2.gz WDDQXQFPARXHST-UHFFFAOYSA-N 0 3 242.313 2.873 20 0 BFADHN Cc1ncc(CN(CCC(C)C)C2CC2)o1 ZINC000628131224 344160956 /nfs/dbraw/zinc/16/09/56/344160956.db2.gz UITWAXXLRQHNSF-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN CC(C)SCCNCc1cnc2ccccn12 ZINC000588926554 344207693 /nfs/dbraw/zinc/20/76/93/344207693.db2.gz UMYDYHQPFNXPQF-UHFFFAOYSA-N 0 3 249.383 2.566 20 0 BFADHN CC/C=C\CCN1CCO[C@@H](C(F)F)CC1 ZINC000634758474 344226416 /nfs/dbraw/zinc/22/64/16/344226416.db2.gz POVVKLPJFXUJHQ-DLRQAJBASA-N 0 3 233.302 2.699 20 0 BFADHN CC(C)=CCCN1CCO[C@H](C(F)F)CC1 ZINC000634759037 344233190 /nfs/dbraw/zinc/23/31/90/344233190.db2.gz ARPGHHYIVAVKKY-NSHDSACASA-N 0 3 233.302 2.699 20 0 BFADHN CC(C)OCCNCc1scnc1C1CC1 ZINC000336752537 537841400 /nfs/dbraw/zinc/84/14/00/537841400.db2.gz VUDWWXSTCARRHO-UHFFFAOYSA-N 0 3 240.372 2.535 20 0 BFADHN CN(Cc1ccc(F)cc1F)C1CCOCC1 ZINC000084864358 344198693 /nfs/dbraw/zinc/19/86/93/344198693.db2.gz BGFAMVCERGXFOU-UHFFFAOYSA-N 0 3 241.281 2.576 20 0 BFADHN CCCCCSCCCN1CCN(C)CC1 ZINC000131261953 344249468 /nfs/dbraw/zinc/24/94/68/344249468.db2.gz UAOJMTJIBUKEGF-UHFFFAOYSA-N 0 3 244.448 2.547 20 0 BFADHN C[C@@H]1CCCC[C@@H]1SCCN(C)C ZINC000600531755 344258824 /nfs/dbraw/zinc/25/88/24/344258824.db2.gz VYOMFAWDVJYZEB-MNOVXSKESA-N 0 3 201.379 2.860 20 0 BFADHN COc1cnccc1[C@H](C)N[C@H]1CC[C@@H]1C1CC1 ZINC000348308356 537843188 /nfs/dbraw/zinc/84/31/88/537843188.db2.gz RIUAEORSWCGXPO-GDLCADMTSA-N 0 3 246.354 2.929 20 0 BFADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1ccoc1 ZINC000306571872 344278556 /nfs/dbraw/zinc/27/85/56/344278556.db2.gz HHEWWODYPNBTBR-KKZNHRDASA-N 0 3 211.330 2.824 20 0 BFADHN CCC1(CNCc2cccc3c2OCO3)CC1 ZINC000226784835 168479195 /nfs/dbraw/zinc/47/91/95/168479195.db2.gz VRQGFNVFHVVPPK-UHFFFAOYSA-N 0 3 233.311 2.695 20 0 BFADHN CCc1ncc(CN2C[C@H](C)[C@H](C)C2)s1 ZINC000093503319 344318180 /nfs/dbraw/zinc/31/81/80/344318180.db2.gz TZTVKAKOELADAN-AOOOYVTPSA-N 0 3 224.373 2.793 20 0 BFADHN CCCn1ncnc1CN[C@@H](CC)C(C)(C)C ZINC000447887452 201744676 /nfs/dbraw/zinc/74/46/76/201744676.db2.gz CPKDQSHEHVYNCK-NSHDSACASA-N 0 3 238.379 2.602 20 0 BFADHN Cc1ccccc1Cn1cc2c(n1)[C@@H](N)CCC2 ZINC000584286413 344420224 /nfs/dbraw/zinc/42/02/24/344420224.db2.gz MXCVQBZFSUTOOD-AWEZNQCLSA-N 0 3 241.338 2.576 20 0 BFADHN C[C@@H](N[C@@H]1CSC1(C)C)c1ccoc1 ZINC000306745632 344423404 /nfs/dbraw/zinc/42/34/04/344423404.db2.gz BJNCWZUGNMKKMQ-PSASIEDQSA-N 0 3 211.330 2.824 20 0 BFADHN C[C@@H]1C[C@H](NCc2cccc(F)c2F)[C@@H](C)O1 ZINC000385259535 344384104 /nfs/dbraw/zinc/38/41/04/344384104.db2.gz WWYRTMPVOIEOGC-LNLATYFQSA-N 0 3 241.281 2.620 20 0 BFADHN CCCC[C@@H](CCC)CNC(=O)[C@H](N)CCC ZINC000236792828 344402996 /nfs/dbraw/zinc/40/29/96/344402996.db2.gz DGXPRWRZRBBTSS-CHWSQXEVSA-N 0 3 242.407 2.837 20 0 BFADHN CCCC[C@@H](CCC)CNC(=O)[C@@H](N)CCC ZINC000236792063 344403175 /nfs/dbraw/zinc/40/31/75/344403175.db2.gz DGXPRWRZRBBTSS-OLZOCXBDSA-N 0 3 242.407 2.837 20 0 BFADHN C[C@H](N[C@H]1CSC[C@@H]1C)c1ccoc1 ZINC000306786851 344439329 /nfs/dbraw/zinc/43/93/29/344439329.db2.gz LPRPXGPIJCVYSU-QXEWZRGKSA-N 0 3 211.330 2.682 20 0 BFADHN C[C@H](N[C@@H]1CSC[C@H]1C)c1ccoc1 ZINC000306786852 344439367 /nfs/dbraw/zinc/43/93/67/344439367.db2.gz LPRPXGPIJCVYSU-WCABBAIRSA-N 0 3 211.330 2.682 20 0 BFADHN Cc1csc(CNCC2CCCC2)n1 ZINC000042011233 344441696 /nfs/dbraw/zinc/44/16/96/344441696.db2.gz FRWCLNIIAKBRSN-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN CC[C@@H](N[C@H]1CCOC1)c1ccc(OC)cc1 ZINC000104066343 344453423 /nfs/dbraw/zinc/45/34/23/344453423.db2.gz YJWONFQCQRFXKU-GXTWGEPZSA-N 0 3 235.327 2.525 20 0 BFADHN CCN1CCN([C@H](C)c2ccccc2C)CC1 ZINC000119675205 344478181 /nfs/dbraw/zinc/47/81/81/344478181.db2.gz RVZOHTULMQXNGG-CQSZACIVSA-N 0 3 232.371 2.694 20 0 BFADHN Cc1ccc2c(c1)[C@H](NC[C@H]1C[C@H]1C)CO2 ZINC000381247116 344489788 /nfs/dbraw/zinc/48/97/88/344489788.db2.gz OMQBFJQNVNXMKD-NQBHXWOUSA-N 0 3 217.312 2.674 20 0 BFADHN Cc1ccc2c(c1)[C@H](NC[C@H]1C[C@@H]1C)CO2 ZINC000381247104 344489815 /nfs/dbraw/zinc/48/98/15/344489815.db2.gz OMQBFJQNVNXMKD-DMDPSCGWSA-N 0 3 217.312 2.674 20 0 BFADHN CC[C@@H](NCc1cncn1C)c1ccc(F)cc1 ZINC000263839108 344526362 /nfs/dbraw/zinc/52/63/62/344526362.db2.gz GBYODCQEMSBAKQ-CQSZACIVSA-N 0 3 247.317 2.800 20 0 BFADHN c1cc(CN[C@H]2CC23CCCCC3)ccn1 ZINC000395641355 344549727 /nfs/dbraw/zinc/54/97/27/344549727.db2.gz KXQSGYFEEKHWJV-ZDUSSCGKSA-N 0 3 216.328 2.894 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1ccc(C)c(F)c1 ZINC000037621320 344553585 /nfs/dbraw/zinc/55/35/85/344553585.db2.gz ZHVIGEZAPRRJOG-ZDUSSCGKSA-N 0 3 238.306 2.590 20 0 BFADHN C[C@@H](N[C@@H]1CC1(C)C)c1cccc(O)c1 ZINC000045116059 344560190 /nfs/dbraw/zinc/56/01/90/344560190.db2.gz MGLOJAGUPJEMNG-BXKDBHETSA-N 0 3 205.301 2.841 20 0 BFADHN CCc1cnccc1[C@H](C)NCc1cccn1C ZINC000358506239 344565453 /nfs/dbraw/zinc/56/54/53/344565453.db2.gz LCYRUKUUTMCSEU-LBPRGKRZSA-N 0 3 243.354 2.833 20 0 BFADHN CNCc1cccc(NC(=O)/C=C\C(C)(C)C)c1 ZINC000493803038 530007229 /nfs/dbraw/zinc/00/72/29/530007229.db2.gz MUGYSHLOGFXYME-HJWRWDBZSA-N 0 3 246.354 2.947 20 0 BFADHN C[C@]1(CN[C@H]2CCCc3cccnc32)CCCO1 ZINC000094797496 537850951 /nfs/dbraw/zinc/85/09/51/537850951.db2.gz LAJPMFSTHQVVDY-DZGCQCFKSA-N 0 3 246.354 2.618 20 0 BFADHN CC(C)C[C@@H](C)CN1CCO[C@H](CCF)C1 ZINC000626005834 344663695 /nfs/dbraw/zinc/66/36/95/344663695.db2.gz LKMWVJKFCFSWTR-CHWSQXEVSA-N 0 3 231.355 2.729 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(Cl)cc1C ZINC000309962909 259378863 /nfs/dbraw/zinc/37/88/63/259378863.db2.gz MEIXPMJXTPMYMY-QWHCGFSZSA-N 0 3 239.746 2.915 20 0 BFADHN CC[C@@H](NCc1cncn1C)c1ccc(C)cc1 ZINC000112338279 344642512 /nfs/dbraw/zinc/64/25/12/344642512.db2.gz SQUFNQIXFBDQOF-OAHLLOKOSA-N 0 3 243.354 2.969 20 0 BFADHN C[C@H](CN1C[C@@H](C)O[C@@H](C)C1)c1ccccc1 ZINC000116876480 344737222 /nfs/dbraw/zinc/73/72/22/344737222.db2.gz SYSQNTNRXYFFFA-MCIONIFRSA-N 0 3 233.355 2.899 20 0 BFADHN Cc1ccccc1CN1CCN(CC2CC2)CC1 ZINC000048775738 344737307 /nfs/dbraw/zinc/73/73/07/344737307.db2.gz HFBNUFCTZDOXJI-UHFFFAOYSA-N 0 3 244.382 2.523 20 0 BFADHN Cc1noc(CCCN2C[C@@H]3CCCC[C@@]32C)n1 ZINC000626103528 344740838 /nfs/dbraw/zinc/74/08/38/344740838.db2.gz DLVIOPZZFGIHPS-JSGCOSHPSA-N 0 3 249.358 2.575 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc2occc2c1 ZINC000560495993 344743621 /nfs/dbraw/zinc/74/36/21/344743621.db2.gz GZBVTDDNZNBALC-GXTWGEPZSA-N 0 3 231.295 2.700 20 0 BFADHN Cc1ccsc1CNCCOC(C)(C)C ZINC000050266776 344745840 /nfs/dbraw/zinc/74/58/40/344745840.db2.gz BGXMIRWPFMJBCS-UHFFFAOYSA-N 0 3 227.373 2.961 20 0 BFADHN Cc1ncc(CN2CCC[C@H](C)C2)s1 ZINC000128020740 344712868 /nfs/dbraw/zinc/71/28/68/344712868.db2.gz HVOYHSZJUXWMQV-VIFPVBQESA-N 0 3 210.346 2.683 20 0 BFADHN CC[C@@H](O)CN(C)[C@H](C)c1ccc(F)cc1 ZINC000075586855 344779661 /nfs/dbraw/zinc/77/96/61/344779661.db2.gz LRUOCMJLPXXUAE-ZWNOBZJWSA-N 0 3 225.307 2.589 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1nccn1CC ZINC000075021436 344761147 /nfs/dbraw/zinc/76/11/47/344761147.db2.gz WEGKZUFIGLXLRE-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1nccn1CC ZINC000075021434 344761342 /nfs/dbraw/zinc/76/13/42/344761342.db2.gz WEGKZUFIGLXLRE-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@H]1C[C@H](C)CN1CC(=O)Nc1ccccc1 ZINC000075021825 344762002 /nfs/dbraw/zinc/76/20/02/344762002.db2.gz OAVMZZZWZFNVML-JSGCOSHPSA-N 0 3 246.354 2.746 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cc(C)on1 ZINC000075023084 344763038 /nfs/dbraw/zinc/76/30/38/344763038.db2.gz LIHPUHWYXLOTGE-BXKDBHETSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@H](O)CN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000075595571 344780144 /nfs/dbraw/zinc/78/01/44/344780144.db2.gz USDNTLQTHUTWLK-GXSJLCMTSA-N 0 3 243.297 2.729 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ccsc1 ZINC000050344916 344783393 /nfs/dbraw/zinc/78/33/93/344783393.db2.gz GDSXLVCQEOQWKT-VHSXEESVSA-N 0 3 213.346 2.824 20 0 BFADHN C[C@@H](c1cccnc1)N1C[C@@H](C)S[C@@H](C)C1 ZINC000075892206 344787347 /nfs/dbraw/zinc/78/73/47/344787347.db2.gz AMFXMZMLIHKXCD-TUAOUCFPSA-N 0 3 236.384 2.968 20 0 BFADHN C[C@H](O)CCN(C)CCc1ccccc1Cl ZINC000075779838 344791776 /nfs/dbraw/zinc/79/17/76/344791776.db2.gz IRAJWPUPONGBSD-NSHDSACASA-N 0 3 241.762 2.585 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1nnc(C2CC2)[nH]1 ZINC000118948632 344834093 /nfs/dbraw/zinc/83/40/93/344834093.db2.gz VNCDPGAHHYGPKB-ZYHUDNBSSA-N 0 3 248.374 2.741 20 0 BFADHN CC(C)CC[C@H](O)CN1CCC(F)(F)C[C@H]1C ZINC000528772999 262972993 /nfs/dbraw/zinc/97/29/93/262972993.db2.gz NUCGOVMIXGRUKG-NEPJUHHUSA-N 0 3 249.345 2.903 20 0 BFADHN Oc1ccc2c(c1)CCN(Cc1ccco1)CC2 ZINC000146364577 537871006 /nfs/dbraw/zinc/87/10/06/537871006.db2.gz COSGZZDPXVWXMK-UHFFFAOYSA-N 0 3 243.306 2.586 20 0 BFADHN Cc1ccc(CN[C@@H]2CCOC2(C)C)c(F)c1 ZINC000631146674 344898530 /nfs/dbraw/zinc/89/85/30/344898530.db2.gz GHSZDPCRSGYSBJ-CYBMUJFWSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ncc(CN2CCCC[C@H]2C(C)C)o1 ZINC000628177278 344905371 /nfs/dbraw/zinc/90/53/71/344905371.db2.gz RPUFCEIWEOIDJD-ZDUSSCGKSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1ccc([C@@H](C)CN2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000628177058 344905625 /nfs/dbraw/zinc/90/56/25/344905625.db2.gz JOKFUQFRBFKTQT-CWRNSKLLSA-N 0 3 245.366 2.962 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@]2(CCOC2)C1 ZINC000153614218 344926707 /nfs/dbraw/zinc/92/67/07/344926707.db2.gz RHAIBADWEJUXOD-DOMZBBRYSA-N 0 3 249.329 2.999 20 0 BFADHN C[C@]1(CN2CCOC3(CCC3)C2)CCCS1 ZINC000628182774 344928557 /nfs/dbraw/zinc/92/85/57/344928557.db2.gz HUYQBQIAZNHBQW-GFCCVEGCSA-N 0 3 241.400 2.527 20 0 BFADHN Cc1c[nH]c(CN2C[C@@H](C)CCC[C@@H]2C)n1 ZINC000628178816 344913447 /nfs/dbraw/zinc/91/34/47/344913447.db2.gz DIGTUQSKARFCLN-JQWIXIFHSA-N 0 3 221.348 2.729 20 0 BFADHN C[C@H]1CN(Cc2cnc(C3CC3)o2)C[C@H]1C ZINC000628179274 344913976 /nfs/dbraw/zinc/91/39/76/344913976.db2.gz UWKKQADNJJBIFM-AOOOYVTPSA-N 0 3 220.316 2.640 20 0 BFADHN CN(Cc1cccn1C)C[C@]1(C)CCCS1 ZINC000628181649 344921156 /nfs/dbraw/zinc/92/11/56/344921156.db2.gz KSBOLDAYZWCLFQ-ZDUSSCGKSA-N 0 3 238.400 2.743 20 0 BFADHN CCCN(Cc1ccc(C)nc1)C1CC1 ZINC000153740150 344963480 /nfs/dbraw/zinc/96/34/80/344963480.db2.gz VPAIGXOPMQCAPK-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN C[C@]12CCCC[C@H]1CN2Cc1cccnc1 ZINC000626104993 344968644 /nfs/dbraw/zinc/96/86/44/344968644.db2.gz NNUYQMTYLBJERV-KBPBESRZSA-N 0 3 216.328 2.846 20 0 BFADHN C[C@H](N[C@@H]1CCN(C2CCCC2)C1)c1ccco1 ZINC000153765197 344969868 /nfs/dbraw/zinc/96/98/68/344969868.db2.gz DMLMDVDSJXHOCU-QWHCGFSZSA-N 0 3 248.370 2.947 20 0 BFADHN CN(C)Cc1ccc(NC(=O)CC2CCC2)cc1 ZINC000079451066 344972995 /nfs/dbraw/zinc/97/29/95/344972995.db2.gz FBADFVAMUTUJNB-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CCOCCC1(CNCc2ccco2)CC1 ZINC000125785979 187296646 /nfs/dbraw/zinc/29/66/46/187296646.db2.gz SELNMRCVCXEEJT-UHFFFAOYSA-N 0 3 223.316 2.576 20 0 BFADHN CC[C@H]1CCN(Cc2cnc(C3CC3)o2)C1 ZINC000628189531 344942350 /nfs/dbraw/zinc/94/23/50/344942350.db2.gz DWFTXWJZTMZMRF-JTQLQIEISA-N 0 3 220.316 2.784 20 0 BFADHN CC(C)[C@H](O)CN[C@@H](C)c1cc(F)ccc1F ZINC000131266230 187297327 /nfs/dbraw/zinc/29/73/27/187297327.db2.gz KGYAMVKOQMTFBD-TVQRCGJNSA-N 0 3 243.297 2.632 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CCC2=CCCC2)n1 ZINC000120163209 178797099 /nfs/dbraw/zinc/79/70/99/178797099.db2.gz GAAPSKKBMSLOSD-UHFFFAOYSA-N 0 3 232.327 2.686 20 0 BFADHN C[C@H](O)[C@H](NC1CCCC1)c1ccccc1F ZINC000583445541 344987864 /nfs/dbraw/zinc/98/78/64/344987864.db2.gz QMMNMFAIDFMGPV-HZMBPMFUSA-N 0 3 237.318 2.780 20 0 BFADHN Cc1cccnc1NC(=O)C(C)C(F)(F)F ZINC000079588795 344996643 /nfs/dbraw/zinc/99/66/43/344996643.db2.gz JPZVIEZICNPVEL-ZETCQYMHSA-N 0 3 232.205 2.527 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2ccc(C)nc2)C1 ZINC000153907570 345000781 /nfs/dbraw/zinc/00/07/81/345000781.db2.gz AHYCHMWGXYTABA-OAHLLOKOSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]2CC(C)C)on1 ZINC000385796044 345051843 /nfs/dbraw/zinc/05/18/43/345051843.db2.gz FYFOZPSPFMBTHS-ZYHUDNBSSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1ccccc1-c1nc2c(s1)CNCC2 ZINC000011850544 345033589 /nfs/dbraw/zinc/03/35/89/345033589.db2.gz XJAOWWIWXJLTKR-UHFFFAOYSA-N 0 3 230.336 2.764 20 0 BFADHN COc1cccc(CNC[C@H]2CC2(C)C)c1F ZINC000234992376 537883650 /nfs/dbraw/zinc/88/36/50/537883650.db2.gz GTOUPIOTNJCVQI-LLVKDONJSA-N 0 3 237.318 2.970 20 0 BFADHN Cc1ncc([C@@H](C)NCCc2ccco2)c(C)n1 ZINC000155959068 345116274 /nfs/dbraw/zinc/11/62/74/345116274.db2.gz GZVADCQKRSOMEW-SNVBAGLBSA-N 0 3 245.326 2.580 20 0 BFADHN CCCCCN(C(=O)[C@H](C)N)[C@H](C)CCC ZINC000155767520 345104239 /nfs/dbraw/zinc/10/42/39/345104239.db2.gz OEFUTJCAGDXCON-NEPJUHHUSA-N 0 3 228.380 2.541 20 0 BFADHN COc1ccc(CN2C[C@H]3CCC[C@@H]3C2)cc1O ZINC000156103675 187300689 /nfs/dbraw/zinc/30/06/89/187300689.db2.gz NJRLVGWXFXDGGF-CHWSQXEVSA-N 0 3 247.338 2.633 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1ccon1 ZINC000634982179 345162647 /nfs/dbraw/zinc/16/26/47/345162647.db2.gz DYLFNLIKSJLNFQ-QWRGUYRKSA-N 0 3 208.305 2.591 20 0 BFADHN Cn1cnnc1[C@@H]1CCCN1CC1CCCCC1 ZINC000156600582 345189497 /nfs/dbraw/zinc/18/94/97/345189497.db2.gz KXAAIFIDZVYKKK-ZDUSSCGKSA-N 0 3 248.374 2.532 20 0 BFADHN C[C@H]1CC(CNCc2ccon2)C[C@H](C)C1 ZINC000634985679 345165439 /nfs/dbraw/zinc/16/54/39/345165439.db2.gz AIGNGZFNLOMLDC-GHMZBOCLSA-N 0 3 222.332 2.837 20 0 BFADHN c1cc(CNC[C@@H]2CCC[C@@H]2C2CC2)no1 ZINC000634984262 345167948 /nfs/dbraw/zinc/16/79/48/345167948.db2.gz MLBQGGAAMKSPEF-WCQYABFASA-N 0 3 220.316 2.591 20 0 BFADHN Cc1cccc([C@H](C)CNCc2ccon2)c1 ZINC000634963496 345137825 /nfs/dbraw/zinc/13/78/25/345137825.db2.gz VFTCGYQVQCRPGZ-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1cccc([C@@H](C)CNCc2ccon2)c1 ZINC000634963497 345138097 /nfs/dbraw/zinc/13/80/97/345138097.db2.gz VFTCGYQVQCRPGZ-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN CCOC[C@@H](C)N[C@H]1CSc2ccccc21 ZINC000156251761 345142138 /nfs/dbraw/zinc/14/21/38/345142138.db2.gz MBKCIIPWJVGPQM-PWSUYJOCSA-N 0 3 237.368 2.848 20 0 BFADHN C[C@H]1CC[C@H]1NCc1nc2cc(F)ccc2o1 ZINC000634980377 345157924 /nfs/dbraw/zinc/15/79/24/345157924.db2.gz DDNRNJIJTSULES-WCBMZHEXSA-N 0 3 234.274 2.855 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1cc(F)ccc1F ZINC000128146816 345227310 /nfs/dbraw/zinc/22/73/10/345227310.db2.gz YOBDXGQNIAZQAA-MWLCHTKSSA-N 0 3 243.297 2.776 20 0 BFADHN CCNCc1cc2ccccc2cc1OC ZINC000449058515 201799302 /nfs/dbraw/zinc/79/93/02/201799302.db2.gz JXVINIHNLDDTNK-UHFFFAOYSA-N 0 3 215.296 2.958 20 0 BFADHN CCc1cc(CN[C@H](C)[C@@H](C)CC)on1 ZINC000168167555 345289790 /nfs/dbraw/zinc/28/97/90/345289790.db2.gz YKRZSZUGJSUOQV-VHSXEESVSA-N 0 3 210.321 2.761 20 0 BFADHN COCCN1CCC(c2ccc(OC)cc2)CC1 ZINC000080630151 345371970 /nfs/dbraw/zinc/37/19/70/345371970.db2.gz DYZHSQDYJKOTOU-UHFFFAOYSA-N 0 3 249.354 2.521 20 0 BFADHN C[C@@H]1CCCN(Cc2cnc3ccccn23)CC1 ZINC000158773622 345373601 /nfs/dbraw/zinc/37/36/01/345373601.db2.gz IMQADIVIJYKLLX-CYBMUJFWSA-N 0 3 243.354 2.956 20 0 BFADHN c1c(CN2CCCC3(CC3)CC2)nn2c1CCC2 ZINC000626115001 345326380 /nfs/dbraw/zinc/32/63/80/345326380.db2.gz CGFCSJRFRIGIFH-UHFFFAOYSA-N 0 3 245.370 2.595 20 0 BFADHN C[C@@H](O)C[C@H]1CCCN1Cc1cccc(F)c1 ZINC000159181100 345415131 /nfs/dbraw/zinc/41/51/31/345415131.db2.gz GEQCZSNFHRUCTE-BXUZGUMPSA-N 0 3 237.318 2.561 20 0 BFADHN COCC[C@H](C)N1CC=C(C(F)(F)F)CC1 ZINC000339825802 537896467 /nfs/dbraw/zinc/89/64/67/537896467.db2.gz APCLMFXQTPOUAZ-VIFPVBQESA-N 0 3 237.265 2.606 20 0 BFADHN c1c2cccnc2oc1CNC1(C2CC2)CC1 ZINC000562653618 345427572 /nfs/dbraw/zinc/42/75/72/345427572.db2.gz NFSHXQYUIXISHU-UHFFFAOYSA-N 0 3 228.295 2.860 20 0 BFADHN Cc1ccc([C@H](C)NCC2(CO)CCCC2)o1 ZINC000135066893 345431151 /nfs/dbraw/zinc/43/11/51/345431151.db2.gz FIDJOEDFRHTHLC-LBPRGKRZSA-N 0 3 237.343 2.791 20 0 BFADHN CC1(C)CCC[C@H]1CN[C@@H]1CCn2ccnc21 ZINC000335636809 345432420 /nfs/dbraw/zinc/43/24/20/345432420.db2.gz WAVGRUAFLDMVRE-NWDGAFQWSA-N 0 3 233.359 2.744 20 0 BFADHN CCCC[C@@H](COC)N[C@@H](C)c1cncs1 ZINC000134030572 345396226 /nfs/dbraw/zinc/39/62/26/345396226.db2.gz OYZJAOPORKQICL-QWRGUYRKSA-N 0 3 242.388 2.999 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1CCC1CCCC1 ZINC000159037840 345402358 /nfs/dbraw/zinc/40/23/58/345402358.db2.gz VMVSVUFPKVBINO-NEPJUHHUSA-N 0 3 211.349 2.676 20 0 BFADHN CC1(C)CN(CCC(F)(F)F)CCS1 ZINC000083419424 345507001 /nfs/dbraw/zinc/50/70/01/345507001.db2.gz GUHWCGPIZGYBJY-UHFFFAOYSA-N 0 3 227.295 2.766 20 0 BFADHN CSCCNCc1ccc(OC(C)C)cc1 ZINC000070184877 345456604 /nfs/dbraw/zinc/45/66/04/345456604.db2.gz JFNJLDIOJPBZGK-UHFFFAOYSA-N 0 3 239.384 2.926 20 0 BFADHN CSCCNCc1ccc(Cl)c(F)c1 ZINC000070184970 345457099 /nfs/dbraw/zinc/45/70/99/345457099.db2.gz PQUMCJNAAZKECH-UHFFFAOYSA-N 0 3 233.739 2.932 20 0 BFADHN CSCCNCc1cc(-c2ccccc2)n[nH]1 ZINC000135813639 345459077 /nfs/dbraw/zinc/45/90/77/345459077.db2.gz ROYAYHCUZZPBBT-UHFFFAOYSA-N 0 3 247.367 2.529 20 0 BFADHN Cc1ccsc1CN(C)CCC(C)(C)O ZINC000081645684 345483560 /nfs/dbraw/zinc/48/35/60/345483560.db2.gz XPXZFIIBRLXGKQ-UHFFFAOYSA-N 0 3 227.373 2.649 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C)CC2)cn1 ZINC000085789065 345531151 /nfs/dbraw/zinc/53/11/51/345531151.db2.gz UFZIINUSQYUUDL-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN c1coc(CN[C@@H]2CC[C@@H]2C2CCC2)c1 ZINC000308883469 187306913 /nfs/dbraw/zinc/30/69/13/187306913.db2.gz VAPCQSFTCUFJGE-CHWSQXEVSA-N 0 3 205.301 2.948 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000089552648 345560713 /nfs/dbraw/zinc/56/07/13/345560713.db2.gz OSMXRKDTEXJAES-QCZZGDTMSA-N 0 3 235.302 2.580 20 0 BFADHN C/C=C(\C)C(=O)Nc1cc(CN(C)C)ccc1C ZINC000176388424 187307240 /nfs/dbraw/zinc/30/72/40/187307240.db2.gz SJEQYTBTJCGXFI-IZZDOVSWSA-N 0 3 246.354 2.961 20 0 BFADHN CC[C@H](N[C@@H]1CCOC1)c1cc(F)ccc1F ZINC000090078002 345569773 /nfs/dbraw/zinc/56/97/73/345569773.db2.gz FAWGZPVSUUMYHB-MFKMUULPSA-N 0 3 241.281 2.794 20 0 BFADHN Cc1cnccc1CCN[C@@H](C)c1nccs1 ZINC000090846990 345576496 /nfs/dbraw/zinc/57/64/96/345576496.db2.gz PCNQHRSMGLVEFB-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN CCN1CCCC[C@@H]1CNc1ncccc1F ZINC000084486416 345514704 /nfs/dbraw/zinc/51/47/04/345514704.db2.gz ZBTLZIKEBYHUHQ-LLVKDONJSA-N 0 3 237.322 2.507 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)[C@H](C)C2(C)CC2)n1 ZINC000091900370 345612183 /nfs/dbraw/zinc/61/21/83/345612183.db2.gz DYYYCDHFUTYIMA-LLVKDONJSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)[C@H](C)C2(C)CC2)n1 ZINC000091900370 345612187 /nfs/dbraw/zinc/61/21/87/345612187.db2.gz DYYYCDHFUTYIMA-LLVKDONJSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccoc1CN1CC[C@@H](OCC(C)C)C1 ZINC000091964543 345612718 /nfs/dbraw/zinc/61/27/18/345612718.db2.gz UQFUWRPELVFKMJ-CYBMUJFWSA-N 0 3 237.343 2.835 20 0 BFADHN CC[C@@H]1CCN(Cc2scnc2C)C1 ZINC000091930246 345613068 /nfs/dbraw/zinc/61/30/68/345613068.db2.gz WCHLDJGDRLHKNP-SNVBAGLBSA-N 0 3 210.346 2.683 20 0 BFADHN C[C@@H]1CCN(Cc2cc(F)cc(F)c2)C1 ZINC000092471182 345622213 /nfs/dbraw/zinc/62/22/13/345622213.db2.gz VPPNVPPRYAPXIQ-SECBINFHSA-N 0 3 211.255 2.807 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C2)c(OC)c1 ZINC000092471156 345622540 /nfs/dbraw/zinc/62/25/40/345622540.db2.gz METPULSQFXFMJY-LLVKDONJSA-N 0 3 235.327 2.546 20 0 BFADHN C[C@@H]1CCN(Cc2c[nH]nc2C(C)(C)C)C1 ZINC000092471525 345623506 /nfs/dbraw/zinc/62/35/06/345623506.db2.gz ILEYCINGQHZOBF-SNVBAGLBSA-N 0 3 221.348 2.549 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2CC[C@@H](C)C2)o1 ZINC000092471264 345623624 /nfs/dbraw/zinc/62/36/24/345623624.db2.gz GAGGIGGOROEUHF-SNVBAGLBSA-N 0 3 245.326 2.820 20 0 BFADHN COCC(C)(C)CCCN[C@H](C)c1ncc[nH]1 ZINC000348410225 537902147 /nfs/dbraw/zinc/90/21/47/537902147.db2.gz NXJBATUDJNJQSQ-LLVKDONJSA-N 0 3 239.363 2.513 20 0 BFADHN CC[C@H](C)[C@@](C)(O)CN[C@@H](C)c1cccnc1 ZINC000092748829 345642707 /nfs/dbraw/zinc/64/27/07/345642707.db2.gz SJYUBVWKBWHKMS-OBJOEFQTSA-N 0 3 236.359 2.529 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cc2ccccc2o1 ZINC000182208581 187309165 /nfs/dbraw/zinc/30/91/65/187309165.db2.gz AGTHDBUXNJCRGT-NSHDSACASA-N 0 3 233.311 2.900 20 0 BFADHN COCCN(Cc1ccco1)[C@H]1CC[C@H](C)C1 ZINC000092902963 345647965 /nfs/dbraw/zinc/64/79/65/345647965.db2.gz DELDMOAOLZBVFE-STQMWFEESA-N 0 3 237.343 2.917 20 0 BFADHN CSc1ccc(CNC[C@@H]2CCCCO2)o1 ZINC000641710575 362627765 /nfs/dbraw/zinc/62/77/65/362627765.db2.gz YIGJXJXYYQUIEV-JTQLQIEISA-N 0 3 241.356 2.660 20 0 BFADHN CC(C)C[C@H]1CCN(Cc2ccc(CO)o2)C1 ZINC000093338519 345653902 /nfs/dbraw/zinc/65/39/02/345653902.db2.gz UBPIVCMDNWXBOQ-GFCCVEGCSA-N 0 3 237.343 2.640 20 0 BFADHN CN(Cc1ccc(Cl)o1)CC1(O)CCCC1 ZINC000093502954 345673849 /nfs/dbraw/zinc/67/38/49/345673849.db2.gz KGISAFWXAGQWCT-UHFFFAOYSA-N 0 3 243.734 2.670 20 0 BFADHN C[C@@H]1CN(Cc2cccc3c2OCO3)C[C@H]1C ZINC000093503460 345674195 /nfs/dbraw/zinc/67/41/95/345674195.db2.gz BVZUUVRBHJMNDK-GHMZBOCLSA-N 0 3 233.311 2.503 20 0 BFADHN Cc1ccn2c(CN3C[C@H](C)[C@@H](C)C3)cnc2c1 ZINC000093503514 345674226 /nfs/dbraw/zinc/67/42/26/345674226.db2.gz MVUPHCRXKLQYGA-STQMWFEESA-N 0 3 243.354 2.731 20 0 BFADHN CC(C)CCN1CCc2ncsc2C1 ZINC000219173336 345745903 /nfs/dbraw/zinc/74/59/03/345745903.db2.gz BPXWJYDUSWWYDF-UHFFFAOYSA-N 0 3 210.346 2.547 20 0 BFADHN CCN1CCC[C@@H](Oc2ccccc2)C1 ZINC000223299403 345749700 /nfs/dbraw/zinc/74/97/00/345749700.db2.gz JCEBFPMKDVPXJL-CYBMUJFWSA-N 0 3 205.301 2.550 20 0 BFADHN Cc1cc(N(C)CCN(C)C)nc2ccccc12 ZINC000080685093 345750956 /nfs/dbraw/zinc/75/09/56/345750956.db2.gz PXYWZGWEFKOVIY-UHFFFAOYSA-N 0 3 243.354 2.541 20 0 BFADHN CCOCCN(C)Cc1cccc(CC)c1 ZINC000189810661 259385257 /nfs/dbraw/zinc/38/52/57/259385257.db2.gz YXUWOKVAUZTYFD-UHFFFAOYSA-N 0 3 221.344 2.717 20 0 BFADHN CN(C)Cc1ccc(-c2cccc(N)c2)cc1 ZINC000032002907 345705313 /nfs/dbraw/zinc/70/53/13/345705313.db2.gz FLDVAAAMSRBHOU-UHFFFAOYSA-N 0 3 226.323 2.997 20 0 BFADHN CSc1ccc(CNC2CC(C)C2)o1 ZINC000641734355 362661730 /nfs/dbraw/zinc/66/17/30/362661730.db2.gz ZVCFWUKDSFLEFF-UHFFFAOYSA-N 0 3 211.330 2.890 20 0 BFADHN C[C@H](Cc1ccccc1)CN1CCO[C@@H](C)C1 ZINC000205252853 345732987 /nfs/dbraw/zinc/73/29/87/345732987.db2.gz SKDHPUZZRCFEJR-KGLIPLIRSA-N 0 3 233.355 2.586 20 0 BFADHN Cc1ncsc1CNC[C@H]1CCCC1(F)F ZINC000390866806 323096350 /nfs/dbraw/zinc/09/63/50/323096350.db2.gz NIRIRDBTIKRFMQ-SECBINFHSA-N 0 3 246.326 2.977 20 0 BFADHN Cc1nc(C)c(CNCCc2cccc(C)c2)o1 ZINC000628211088 345822406 /nfs/dbraw/zinc/82/24/06/345822406.db2.gz WDUJMTVZXVLDGZ-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN C[C@@H](CNCc1cnc(C2CC2)o1)C1CC1 ZINC000628208812 345798102 /nfs/dbraw/zinc/79/81/02/345798102.db2.gz DTQHQOQEKUHDCR-VIFPVBQESA-N 0 3 220.316 2.688 20 0 BFADHN CC(C)(O)CN(Cc1cccc(F)c1)C1CC1 ZINC000141319226 345841532 /nfs/dbraw/zinc/84/15/32/345841532.db2.gz YYUWTFPWNIUWMO-UHFFFAOYSA-N 0 3 237.318 2.561 20 0 BFADHN Cc1ncc(CNCCCc2cccc(F)c2)o1 ZINC000628211930 345850017 /nfs/dbraw/zinc/85/00/17/345850017.db2.gz NYRFJXPKOJCANT-UHFFFAOYSA-N 0 3 248.301 2.845 20 0 BFADHN CN(CCCc1ccccc1)Cc1cncnc1 ZINC000154242292 537914349 /nfs/dbraw/zinc/91/43/49/537914349.db2.gz JVLDKMSINPVWEO-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN CO[C@H]1CCCN(Cc2ccc3c(c2)CCC3)C1 ZINC000172289581 345925386 /nfs/dbraw/zinc/92/53/86/345925386.db2.gz XYFOWUYWBRIIKK-INIZCTEOSA-N 0 3 245.366 2.786 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H](C)c1cccc(F)c1 ZINC000186489081 187311544 /nfs/dbraw/zinc/31/15/44/187311544.db2.gz CIZUAJTWUOSONM-FSHFUFFASA-N 0 3 237.318 2.803 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCc3ccccc32)o1 ZINC000628217385 345931290 /nfs/dbraw/zinc/93/12/90/345931290.db2.gz VCRLOPBVJGQXTO-ZDUSSCGKSA-N 0 3 242.322 2.803 20 0 BFADHN CCCC[C@H](C)[C@H](C)NCc1cocn1 ZINC000389964137 537922042 /nfs/dbraw/zinc/92/20/42/537922042.db2.gz WVXFMMQIUIROFY-QWRGUYRKSA-N 0 3 210.321 2.979 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H](C)c1cccc(F)c1 ZINC000186489110 187312004 /nfs/dbraw/zinc/31/20/04/187312004.db2.gz CIZUAJTWUOSONM-UUSVCDRXSA-N 0 3 237.318 2.803 20 0 BFADHN Cc1nc(CNC[C@H](C)C2CC2)cs1 ZINC000136211029 345961478 /nfs/dbraw/zinc/96/14/78/345961478.db2.gz CGVXJKRPCVKNKC-QMMMGPOBSA-N 0 3 210.346 2.587 20 0 BFADHN Cc1cc(CN2CCC(CCO)CC2)cs1 ZINC000400941103 345931831 /nfs/dbraw/zinc/93/18/31/345931831.db2.gz SMJXMRZWABZEDG-UHFFFAOYSA-N 0 3 239.384 2.651 20 0 BFADHN CCC[C@@H](N[C@@H]1CC[C@H]1O)c1ccsc1 ZINC000382033049 347088888 /nfs/dbraw/zinc/08/88/88/347088888.db2.gz UVZHIVUTSNNDRB-IJLUTSLNSA-N 0 3 225.357 2.702 20 0 BFADHN CCc1ccc(CCNCc2cnc(C)o2)cc1 ZINC000628217992 345945934 /nfs/dbraw/zinc/94/59/34/345945934.db2.gz PUESWZHRSIANND-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN CC(C)[C@H](C)CNCc1cnc(C2CC2)o1 ZINC000628218058 345948351 /nfs/dbraw/zinc/94/83/51/345948351.db2.gz SBVMTPVEGCXNOY-SNVBAGLBSA-N 0 3 222.332 2.934 20 0 BFADHN C[C@H](O)c1ccc(CNC[C@@H]2CCC=CO2)cc1 ZINC000194265543 187315035 /nfs/dbraw/zinc/31/50/35/187315035.db2.gz MDTBAISAAYNJSX-WFASDCNBSA-N 0 3 247.338 2.522 20 0 BFADHN C=Cn1cc(CN(C)[C@@H]2CCC[C@H](C)C2)cn1 ZINC000193687287 187315306 /nfs/dbraw/zinc/31/53/06/187315306.db2.gz PYHFFRPMSUPMBD-GXTWGEPZSA-N 0 3 233.359 2.994 20 0 BFADHN C=Cn1cc(CN2CCC[C@H](CCC)C2)cn1 ZINC000194014731 187315335 /nfs/dbraw/zinc/31/53/35/187315335.db2.gz UTVMVILWQGQELP-ZDUSSCGKSA-N 0 3 233.359 2.996 20 0 BFADHN Cc1ncc(CNCC2(C3CC3)CCC2)o1 ZINC000628229719 346178814 /nfs/dbraw/zinc/17/88/14/346178814.db2.gz GCUPPPKUTHDQJE-UHFFFAOYSA-N 0 3 220.316 2.653 20 0 BFADHN CS[C@@H](C)CNCc1ccc(C)cc1F ZINC000601115039 346152044 /nfs/dbraw/zinc/15/20/44/346152044.db2.gz YCOJBIVJBJAKEQ-JTQLQIEISA-N 0 3 227.348 2.975 20 0 BFADHN CCCC1(CNCc2cnc(C)o2)CC1 ZINC000628228827 346178043 /nfs/dbraw/zinc/17/80/43/346178043.db2.gz AZXOCNFOJVXCJJ-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN Cc1ncc(CN2C[C@H](C)CC(C)(C)C2)o1 ZINC000628237235 346193297 /nfs/dbraw/zinc/19/32/97/346193297.db2.gz GNPNEKAOZBXZEO-SNVBAGLBSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1nc(CN2CC[C@@H](C(C)(C)C)C2)[nH]c1C ZINC000628237118 346193496 /nfs/dbraw/zinc/19/34/96/346193496.db2.gz BNQIEXPBKIOEQE-GFCCVEGCSA-N 0 3 235.375 2.895 20 0 BFADHN CCCCN(CC(=O)OC)[C@@H]1CCC[C@H](C)C1 ZINC000577081867 365808876 /nfs/dbraw/zinc/80/88/76/365808876.db2.gz BRXCADFQODMYTP-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN CCN(CC)[C@@H](C)C(=O)Nc1cccc(C)c1C ZINC000076653026 537962114 /nfs/dbraw/zinc/96/21/14/537962114.db2.gz KRBYBYYZRREAIC-ZDUSSCGKSA-N 0 3 248.370 2.972 20 0 BFADHN Cc1cccn2cc(CN(C)CC(C)C)nc12 ZINC000062364883 346243187 /nfs/dbraw/zinc/24/31/87/346243187.db2.gz KLNOILPLCKRDAA-UHFFFAOYSA-N 0 3 231.343 2.731 20 0 BFADHN CCc1nn(C)cc1CN1CCC[C@H](C)[C@H]1C ZINC000246012313 537962272 /nfs/dbraw/zinc/96/22/72/537962272.db2.gz YSELQEXRLIOPEO-NWDGAFQWSA-N 0 3 235.375 2.603 20 0 BFADHN Cc1ncc(CNC[C@@H]2CC[C@@H](C)C2)o1 ZINC000628256511 346254397 /nfs/dbraw/zinc/25/43/97/346254397.db2.gz ZZPXYLWKPXMJES-MWLCHTKSSA-N 0 3 208.305 2.509 20 0 BFADHN CC(C)CC[C@@H](CO)N[C@H](C)c1cccnc1 ZINC000624109901 346262544 /nfs/dbraw/zinc/26/25/44/346262544.db2.gz JREXMDOIHZGVHB-OCCSQVGLSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1c[nH]c(CN(C)[C@H]2CCCC[C@@H]2C)n1 ZINC000628265892 346265320 /nfs/dbraw/zinc/26/53/20/346265320.db2.gz VXCSDWQAZPMEGE-JQWIXIFHSA-N 0 3 221.348 2.729 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2ccnc(C)n2)C1 ZINC000626163694 346276074 /nfs/dbraw/zinc/27/60/74/346276074.db2.gz ONDNHULUIAOSGW-VQTKUKTRSA-N 0 3 245.370 2.963 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cnc(C)nc2)C1 ZINC000626163998 346277300 /nfs/dbraw/zinc/27/73/00/346277300.db2.gz UVAKQGJAPYQELY-NQHOJNORSA-N 0 3 245.370 2.963 20 0 BFADHN CCCc1nc(C)c(CNCC2(C)CC2)o1 ZINC000381533761 346282613 /nfs/dbraw/zinc/28/26/13/346282613.db2.gz DJUBWYVIBXIRCF-UHFFFAOYSA-N 0 3 222.332 2.825 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1nc(C)c(C)[nH]1 ZINC000628281147 346292691 /nfs/dbraw/zinc/29/26/91/346292691.db2.gz CYVXCIJKCMFCNZ-SKDRFNHKSA-N 0 3 221.348 2.789 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1ccc(F)cc1C ZINC000641748359 362675560 /nfs/dbraw/zinc/67/55/60/362675560.db2.gz RAVBTZDQHANHCU-XGACYXMMSA-N 0 3 237.318 2.551 20 0 BFADHN Cc1cccc(C(C)(C)NCc2cncn2C)c1 ZINC000130443786 179028189 /nfs/dbraw/zinc/02/81/89/179028189.db2.gz LDXBPCQMXTVWFN-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN Cc1cccc(C)c1CNCC1=CCCOC1 ZINC000127965458 179037437 /nfs/dbraw/zinc/03/74/37/179037437.db2.gz HMPOZXBAWIYVHV-UHFFFAOYSA-N 0 3 231.339 2.740 20 0 BFADHN Cc1cccc(C)c1CN[C@H](C)c1cn[nH]c1 ZINC000230937387 179038239 /nfs/dbraw/zinc/03/82/39/179038239.db2.gz GUUWWEFKTMXYHM-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1cccc(C)c1NC(=O)CNCCC(C)C ZINC000232744213 179040349 /nfs/dbraw/zinc/04/03/49/179040349.db2.gz NLUZKTGYCGQERU-UHFFFAOYSA-N 0 3 248.370 2.878 20 0 BFADHN CC[C@]1(NCc2ccc(SC)o2)CCOC1 ZINC000641760581 362692832 /nfs/dbraw/zinc/69/28/32/362692832.db2.gz GNHQUZNVBBQWTB-LBPRGKRZSA-N 0 3 241.356 2.660 20 0 BFADHN CC(C)(O)C(C)(C)NCc1ccccc1Cl ZINC000223247491 187320286 /nfs/dbraw/zinc/32/02/86/187320286.db2.gz OLPZPQMWFVUZLB-UHFFFAOYSA-N 0 3 241.762 2.979 20 0 BFADHN CSc1ccc(CN[C@@H]2CC[C@H]2C)o1 ZINC000641755118 362684151 /nfs/dbraw/zinc/68/41/51/362684151.db2.gz VRHVATMJBJLGOI-PSASIEDQSA-N 0 3 211.330 2.890 20 0 BFADHN CC[C@H](NCc1ncccc1F)[C@@H]1C[C@@H]1C ZINC000563343314 323119341 /nfs/dbraw/zinc/11/93/41/323119341.db2.gz ONWRTECSUGQKLK-UMNHJUIQSA-N 0 3 222.307 2.745 20 0 BFADHN CC(C)(C)[C@H]1CN(CC2CC(F)(F)C2)CCO1 ZINC000336274800 187366493 /nfs/dbraw/zinc/36/64/93/187366493.db2.gz NHAYFWUSVBTYLS-LLVKDONJSA-N 0 3 247.329 2.779 20 0 BFADHN Cc1nn(C(C)C)cc1CN1C[C@@H](C)[C@H](C)C1 ZINC000336362352 187367657 /nfs/dbraw/zinc/36/76/57/187367657.db2.gz ADNCFGZGSFWXQN-VXGBXAGGSA-N 0 3 235.375 2.860 20 0 BFADHN Cc1cccc(CN(C)CC2=CCCOC2)c1 ZINC000271045151 179063271 /nfs/dbraw/zinc/06/32/71/179063271.db2.gz LEWPUMWCKPAGPE-UHFFFAOYSA-N 0 3 231.339 2.774 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1cc(C)ccc1C ZINC000381594760 346399239 /nfs/dbraw/zinc/39/92/39/346399239.db2.gz LBLCJSWCMBQGJS-UONOGXRCSA-N 0 3 219.328 2.570 20 0 BFADHN OC/C=C/CN[C@H](c1ccccc1)C1CCC1 ZINC000641783168 362722864 /nfs/dbraw/zinc/72/28/64/362722864.db2.gz QBEPUOHLPLZACH-MBVDDHJVSA-N 0 3 231.339 2.666 20 0 BFADHN Cc1cccc(CN(C)CCCOC(C)C)n1 ZINC000336704027 179066691 /nfs/dbraw/zinc/06/66/91/179066691.db2.gz CHRXFPAUAVIDAL-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN Cc1cccc(CN(C)C[C@H]2CCCO2)c1C ZINC000128038706 179066699 /nfs/dbraw/zinc/06/66/99/179066699.db2.gz HWMYGWQWGGQBMU-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN CC[C@H](CN(C)Cc1ccc(F)cc1)OC ZINC000419200713 192102538 /nfs/dbraw/zinc/10/25/38/192102538.db2.gz MDBKCBDNPCPPRL-CYBMUJFWSA-N 0 3 225.307 2.683 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCCC12CC2 ZINC000574928059 346416380 /nfs/dbraw/zinc/41/63/80/346416380.db2.gz HEGKWFCKNNLAPU-GFCCVEGCSA-N 0 3 220.316 2.714 20 0 BFADHN Cc1cccc(CN2CCSC[C@@H]2C2CC2)n1 ZINC000336291772 179080130 /nfs/dbraw/zinc/08/01/30/179080130.db2.gz PECXEJJBDPRHOK-CQSZACIVSA-N 0 3 248.395 2.717 20 0 BFADHN Fc1ccc(CCNCc2ccco2)c(F)c1 ZINC000069877947 349959574 /nfs/dbraw/zinc/95/95/74/349959574.db2.gz PZNXCZWXLNQVRD-UHFFFAOYSA-N 0 3 237.249 2.890 20 0 BFADHN Cc1cccc(CN2CCN(C)[C@H](C)[C@H]2C)c1 ZINC000356981628 179076855 /nfs/dbraw/zinc/07/68/55/179076855.db2.gz RFYLOUHWQWNCSC-ZIAGYGMSSA-N 0 3 232.371 2.519 20 0 BFADHN CC[C@@](O)(CN1CCCC[C@@H]1C)C(F)(F)F ZINC000669379431 537980136 /nfs/dbraw/zinc/98/01/36/537980136.db2.gz BCUHIADQIPHNBO-VHSXEESVSA-N 0 3 239.281 2.564 20 0 BFADHN Cc1cccc(CN2C[C@@H](C(N)=O)CC[C@@H]2C)n1 ZINC000334144027 179082862 /nfs/dbraw/zinc/08/28/62/179082862.db2.gz SIIDQXVKWQCGPT-RYUDHWBXSA-N 0 3 247.342 2.526 20 0 BFADHN Cc1cccc(CN2C[C@@H](C)N(C)[C@@H](C)C2)c1C ZINC000354454585 179084642 /nfs/dbraw/zinc/08/46/42/179084642.db2.gz WCKKDSPXXZYACU-OKILXGFUSA-N 0 3 246.398 2.828 20 0 BFADHN Fc1cccc(OCCN2CCCCC2)c1F ZINC000170807435 346453063 /nfs/dbraw/zinc/45/30/63/346453063.db2.gz UPVYWUFUBJCCOJ-UHFFFAOYSA-N 0 3 241.281 2.830 20 0 BFADHN Cc1cccc(CNCCOCC2CC2)c1C ZINC000224526578 179092150 /nfs/dbraw/zinc/09/21/50/179092150.db2.gz SKOWLVYYUGVAPJ-UHFFFAOYSA-N 0 3 233.355 2.820 20 0 BFADHN Cc1cccc(CNCCOC(C)C)c1 ZINC000042339228 179092166 /nfs/dbraw/zinc/09/21/66/179092166.db2.gz CWYJDQXFCWSNDC-UHFFFAOYSA-N 0 3 207.317 2.510 20 0 BFADHN Cc1cccc(CNCCOCC(F)F)c1C ZINC000190894828 179092308 /nfs/dbraw/zinc/09/23/08/179092308.db2.gz NALPCGIDINGUQQ-UHFFFAOYSA-N 0 3 243.297 2.675 20 0 BFADHN Cc1nn(C)c(C)c1CNCc1cccc(C)c1 ZINC000020134405 179094209 /nfs/dbraw/zinc/09/42/09/179094209.db2.gz ZOTKZOJVAIIKHQ-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN C[C@H](NCc1ccc(=O)[nH]c1)c1ccsc1 ZINC000392777454 349963823 /nfs/dbraw/zinc/96/38/23/349963823.db2.gz BAYUFCHRVFSBCG-VIFPVBQESA-N 0 3 234.324 2.700 20 0 BFADHN Cc1cccc(CNCc2ccn(C)c2)c1C ZINC000267146511 179095543 /nfs/dbraw/zinc/09/55/43/179095543.db2.gz YYJYHGMYVQLLEB-UHFFFAOYSA-N 0 3 228.339 2.932 20 0 BFADHN Cc1cccc(CN[C@@H](C)CC(C)(C)O)c1F ZINC000295028586 179095953 /nfs/dbraw/zinc/09/59/53/179095953.db2.gz LKLMABYTAIYMNK-NSHDSACASA-N 0 3 239.334 2.773 20 0 BFADHN CCC(F)(F)CN1CCNCc2ccccc21 ZINC000641797055 362741327 /nfs/dbraw/zinc/74/13/27/362741327.db2.gz RBCKJIKVAKHOPS-UHFFFAOYSA-N 0 3 240.297 2.642 20 0 BFADHN C[C@@H](N[C@@H](CCO)C(C)(C)C)c1ccoc1 ZINC000186400525 346505509 /nfs/dbraw/zinc/50/55/09/346505509.db2.gz OBDBCPHKDJQTNL-PWSUYJOCSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1cccc(CN[C@@H]2CCC[C@@H]2F)c1 ZINC000339585654 179098930 /nfs/dbraw/zinc/09/89/30/179098930.db2.gz YCZSFRSTHJALGR-QWHCGFSZSA-N 0 3 207.292 2.975 20 0 BFADHN Cc1c([C@@H](C)NCc2cccc(C)c2)cnn1C ZINC000020349435 179099218 /nfs/dbraw/zinc/09/92/18/179099218.db2.gz DKDOPDCDPVDGOM-GFCCVEGCSA-N 0 3 243.354 2.888 20 0 BFADHN CC/C=C\CCN1CCN2CCCC[C@H]2C1 ZINC000341324754 346511813 /nfs/dbraw/zinc/51/18/13/346511813.db2.gz YOUVOGANJGYOCL-NQHOJNORSA-N 0 3 222.376 2.513 20 0 BFADHN CC/C=C\CCN1CCc2ccccc2[C@H]1CO ZINC000341324282 346512174 /nfs/dbraw/zinc/51/21/74/346512174.db2.gz WHCBEGBDRYZFRT-MJSXRHKHSA-N 0 3 245.366 2.934 20 0 BFADHN Cc1cccc(CN[C@H](C)c2cn[nH]c2)c1 ZINC000229883307 179099864 /nfs/dbraw/zinc/09/98/64/179099864.db2.gz FMLDHBONWNICRN-LLVKDONJSA-N 0 3 215.300 2.569 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1cccc(C)c1C ZINC000381641843 346516903 /nfs/dbraw/zinc/51/69/03/346516903.db2.gz APCDRVUAUNNWLP-ZIAGYGMSSA-N 0 3 219.328 2.570 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1cccc(C)c1C ZINC000381641841 346517204 /nfs/dbraw/zinc/51/72/04/346517204.db2.gz APCDRVUAUNNWLP-KGLIPLIRSA-N 0 3 219.328 2.570 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1cccc(F)c1 ZINC000390488681 259390668 /nfs/dbraw/zinc/39/06/68/259390668.db2.gz PNSCJZFYBLTDJS-TVYUQYBPSA-N 0 3 223.291 2.654 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCC(CO)CC1 ZINC000056493766 346559237 /nfs/dbraw/zinc/55/92/37/346559237.db2.gz OEUYDMMCCSNDRQ-LLVKDONJSA-N 0 3 237.318 2.591 20 0 BFADHN OC1C[C@H]2CC[C@@H](C1)N2C/C=C\c1ccccc1 ZINC000209966782 346527561 /nfs/dbraw/zinc/52/75/61/346527561.db2.gz NNLUAMMOYKUPNV-LLEQADQOSA-N 0 3 243.350 2.688 20 0 BFADHN CC[C@@H](O)CCNCc1ccc(Cl)cc1F ZINC000162984380 346544331 /nfs/dbraw/zinc/54/43/31/346544331.db2.gz NZPSJSWRKCYSSG-LLVKDONJSA-N 0 3 245.725 2.730 20 0 BFADHN CC1(C)C[C@@H](NCc2cncs2)C(C)(C)O1 ZINC000163107801 346549062 /nfs/dbraw/zinc/54/90/62/346549062.db2.gz GOAXXXKGYHHJMC-SNVBAGLBSA-N 0 3 240.372 2.579 20 0 BFADHN CCc1noc(C)c1CN(C)[C@H](C)C1CC1 ZINC000163145950 346550414 /nfs/dbraw/zinc/55/04/14/346550414.db2.gz SZGROFWPQCHMIY-SECBINFHSA-N 0 3 222.332 2.776 20 0 BFADHN CC(C)n1ncnc1CNCC1(C2CC2)CCC1 ZINC000391509958 346578238 /nfs/dbraw/zinc/57/82/38/346578238.db2.gz MHQODARHQJTRNA-UHFFFAOYSA-N 0 3 248.374 2.529 20 0 BFADHN CC(C)(C)OCCN1CC[C@@H](c2ccncc2)C1 ZINC000581407834 346623603 /nfs/dbraw/zinc/62/36/03/346623603.db2.gz JMEXNGHXSOSFQS-CQSZACIVSA-N 0 3 248.370 2.686 20 0 BFADHN Cc1cnc(CN[C@@H](C)C2CCC2)s1 ZINC000177959519 346654006 /nfs/dbraw/zinc/65/40/06/346654006.db2.gz KOLTXEKBVWVKDN-VIFPVBQESA-N 0 3 210.346 2.730 20 0 BFADHN COC[C@@H](C)CNC1(c2ccccc2F)CC1 ZINC000187987991 346668122 /nfs/dbraw/zinc/66/81/22/346668122.db2.gz PQRGXWZNFZAUDU-NSHDSACASA-N 0 3 237.318 2.687 20 0 BFADHN COC[C@@H](C)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000019904475 259392444 /nfs/dbraw/zinc/39/24/44/259392444.db2.gz NRGIBOKMWUBSIA-HOSYDEDBSA-N 0 3 223.316 2.528 20 0 BFADHN CSC1(CN2CC[C@@H](C)[C@H](F)C2)CC1 ZINC000589171574 346671532 /nfs/dbraw/zinc/67/15/32/346671532.db2.gz WAKBOXSKKXYVCV-NXEZZACHSA-N 0 3 217.353 2.562 20 0 BFADHN Cc1cccc(NC[C@H]2CCCCN2C2CC2)n1 ZINC000277480300 179148700 /nfs/dbraw/zinc/14/87/00/179148700.db2.gz QZHWKKVYFOJQQF-CQSZACIVSA-N 0 3 245.370 2.819 20 0 BFADHN CSC1(CN2CC[C@@H](C)[C@H](F)C2)CCC1 ZINC000589509615 346710206 /nfs/dbraw/zinc/71/02/06/346710206.db2.gz RXSHETMAHWDFKB-GHMZBOCLSA-N 0 3 231.380 2.952 20 0 BFADHN Cc1cc(CNCCc2ccc(Cl)cc2)[nH]n1 ZINC000589633674 346720095 /nfs/dbraw/zinc/72/00/95/346720095.db2.gz ADURWGUFPQRRNV-UHFFFAOYSA-N 0 3 249.745 2.704 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccc(C)cc2)n[nH]1 ZINC000589643320 346720903 /nfs/dbraw/zinc/72/09/03/346720903.db2.gz UDGALKSGDXDANO-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN COC(=O)c1occc1CN[C@H](C)CC(C)C ZINC000037255819 346679896 /nfs/dbraw/zinc/67/98/96/346679896.db2.gz YTGXDFIPVWQPPC-SNVBAGLBSA-N 0 3 239.315 2.590 20 0 BFADHN Cc1ccncc1CNC[C@@H]1CCCC1(F)F ZINC000381709042 346726575 /nfs/dbraw/zinc/72/65/75/346726575.db2.gz QBLIRRKDHHDGLF-LBPRGKRZSA-N 0 3 240.297 2.915 20 0 BFADHN CCCc1cccc(CN[C@@H]2CO[C@@H](C)C2)c1 ZINC000577177359 365858783 /nfs/dbraw/zinc/85/87/83/365858783.db2.gz VPTCTLAJKHMACE-WFASDCNBSA-N 0 3 233.355 2.906 20 0 BFADHN Cc1ccc(CCCNCc2ncc[nH]2)c(C)c1 ZINC000589833359 346741849 /nfs/dbraw/zinc/74/18/49/346741849.db2.gz UGRVIAPBQBXEDY-UHFFFAOYSA-N 0 3 243.354 2.749 20 0 BFADHN COC[C@@H](C)CNC(C)(C)c1ncc(C)s1 ZINC000188088409 346745799 /nfs/dbraw/zinc/74/57/99/346745799.db2.gz URUYHMVLKSLMIE-VIFPVBQESA-N 0 3 242.388 2.559 20 0 BFADHN Cc1cccc(OC2CCN(C(C)C)CC2)n1 ZINC000122626087 179162901 /nfs/dbraw/zinc/16/29/01/179162901.db2.gz HJKUXFMKYABKIL-UHFFFAOYSA-N 0 3 234.343 2.642 20 0 BFADHN Cc1cccc(OCCNCc2ccccn2)c1 ZINC000032100259 179168229 /nfs/dbraw/zinc/16/82/29/179168229.db2.gz LJZJTPPKQTVNLY-UHFFFAOYSA-N 0 3 242.322 2.559 20 0 BFADHN Cc1cccc(OCCNCc2ccco2)c1 ZINC000032016048 179168259 /nfs/dbraw/zinc/16/82/59/179168259.db2.gz KPSKBHMGTXDSLE-UHFFFAOYSA-N 0 3 231.295 2.757 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CCC[C@@H]2C)o1 ZINC000309646469 347128034 /nfs/dbraw/zinc/12/80/34/347128034.db2.gz MJWGYVZTVMMUAN-ONGXEEELSA-N 0 3 222.332 2.824 20 0 BFADHN Cc1cccc([C@@H](C)N[C@@H](C)CC(=O)N(C)C)c1 ZINC000336792881 179182395 /nfs/dbraw/zinc/18/23/95/179182395.db2.gz UPLVJCFJPILKON-QWHCGFSZSA-N 0 3 248.370 2.512 20 0 BFADHN CCC1CCN(Cc2ccnc(OC)c2)CC1 ZINC000206003016 347212588 /nfs/dbraw/zinc/21/25/88/347212588.db2.gz YMUQGZIQBNDWSQ-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN C/C=C/CNCc1ccc(OC(F)F)cc1 ZINC000309966428 347206581 /nfs/dbraw/zinc/20/65/81/347206581.db2.gz NQIKNSJLAZMLIQ-NSCUHMNNSA-N 0 3 227.254 2.954 20 0 BFADHN Cc1cccc([C@H](C)NC[C@@H](O)C(F)(F)F)c1 ZINC000160250695 179196771 /nfs/dbraw/zinc/19/67/71/179196771.db2.gz LOUDCWVVXVAFIQ-GXSJLCMTSA-N 0 3 247.260 2.569 20 0 BFADHN CCSCCN[C@@H]1CCCC[C@H]1F ZINC000382256297 347252335 /nfs/dbraw/zinc/25/23/35/347252335.db2.gz WFTIIJLCHBXBFN-NXEZZACHSA-N 0 3 205.342 2.610 20 0 BFADHN Cc1cccc([C@@H]2CCCN2CC2OCCO2)c1 ZINC000122216954 179190164 /nfs/dbraw/zinc/19/01/64/179190164.db2.gz YQAVHIHTHURVOF-AWEZNQCLSA-N 0 3 247.338 2.505 20 0 BFADHN CO[C@@H]([C@@H](C)NCc1ccsc1C)C1CC1 ZINC000388234272 347252746 /nfs/dbraw/zinc/25/27/46/347252746.db2.gz NVAQRSZNMYHDCC-RNCFNFMXSA-N 0 3 239.384 2.960 20 0 BFADHN CO[C@H](CC(C)C)CN1C[C@H](C)OC(C)(C)C1 ZINC000419209684 192104778 /nfs/dbraw/zinc/10/47/78/192104778.db2.gz PQIWVNXVOUUHQE-QWHCGFSZSA-N 0 3 243.391 2.547 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@@H]1CCn2ccnc21 ZINC000379080855 347235378 /nfs/dbraw/zinc/23/53/78/347235378.db2.gz GPTVOJYTDNCUMZ-ZYHUDNBSSA-N 0 3 247.367 2.610 20 0 BFADHN C[C@@H](Cc1ccsc1)N[C@H]1CCn2ccnc21 ZINC000379080853 347235572 /nfs/dbraw/zinc/23/55/72/347235572.db2.gz GPTVOJYTDNCUMZ-JQWIXIFHSA-N 0 3 247.367 2.610 20 0 BFADHN FC(F)[C@H]1C[C@@H]1NC/C=C\c1ccccc1 ZINC000382219540 347238535 /nfs/dbraw/zinc/23/85/35/347238535.db2.gz MPXKZOCZPVRSKP-OCWOOEOGSA-N 0 3 223.266 2.943 20 0 BFADHN Cc1cccc([C@H](C)NCCC(=O)NC(C)C)c1 ZINC000133860036 179194646 /nfs/dbraw/zinc/19/46/46/179194646.db2.gz FNYYAOOPDSCZKB-ZDUSSCGKSA-N 0 3 248.370 2.560 20 0 BFADHN Cc1c[nH]c(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)n1 ZINC000628296503 347244556 /nfs/dbraw/zinc/24/45/56/347244556.db2.gz NYAOSZREMRKNCR-NEPJUHHUSA-N 0 3 233.359 2.729 20 0 BFADHN Cc1cccc([C@H](C)NCCn2cccn2)c1C ZINC000089514774 179196063 /nfs/dbraw/zinc/19/60/63/179196063.db2.gz SBRUQTSTXCCSJF-AWEZNQCLSA-N 0 3 243.354 2.851 20 0 BFADHN COC[C@H]1CCCN(Cc2ccc(C)nc2C)C1 ZINC000187034822 347248470 /nfs/dbraw/zinc/24/84/70/347248470.db2.gz PFHQYDMJTORXPA-AWEZNQCLSA-N 0 3 248.370 2.557 20 0 BFADHN Cc1cccc([C@H](C)NC[C@@H](O)C(F)F)c1C ZINC000361964607 179196727 /nfs/dbraw/zinc/19/67/27/179196727.db2.gz BESZGNYJQPKZQP-CMPLNLGQSA-N 0 3 243.297 2.580 20 0 BFADHN COc1ccccc1CN[C@H](C)[C@@H](OC)C1CC1 ZINC000388279666 347288702 /nfs/dbraw/zinc/28/87/02/347288702.db2.gz LGPNGSAERSLTTN-IAQYHMDHSA-N 0 3 249.354 2.598 20 0 BFADHN COc1cnccc1[C@H](C)N[C@@H]1CC[C@@H](C)C1 ZINC000188777675 347289408 /nfs/dbraw/zinc/28/94/08/347289408.db2.gz XODACNBAKSQZRS-GRYCIOLGSA-N 0 3 234.343 2.929 20 0 BFADHN CCC1(NCc2cnc(C)o2)CCCC1 ZINC000628297615 347257308 /nfs/dbraw/zinc/25/73/08/347257308.db2.gz FZSMFUPUKPFLCA-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN Cc1ncc(CN(C)CCc2ccccc2C)o1 ZINC000628297723 347259365 /nfs/dbraw/zinc/25/93/65/347259365.db2.gz JQIRHDREWHWQOU-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN Cc1cccc([C@H](NC(=O)[C@H](C)N)C(C)(C)C)c1 ZINC000119306317 179201779 /nfs/dbraw/zinc/20/17/79/179201779.db2.gz UEJJRFGYKKIEBT-AAEUAGOBSA-N 0 3 248.370 2.546 20 0 BFADHN CO[C@@H]([C@H](C)N[C@H](C)c1ccccn1)C1CC1 ZINC000388263546 347276320 /nfs/dbraw/zinc/27/63/20/347276320.db2.gz CAUQBVCOMMMDCT-SUNKGSAMSA-N 0 3 234.343 2.546 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@H](C)c1ccccn1)C1CC1 ZINC000388263544 347276479 /nfs/dbraw/zinc/27/64/79/347276479.db2.gz CAUQBVCOMMMDCT-GYSYKLTISA-N 0 3 234.343 2.546 20 0 BFADHN Cc1ccoc1CNC[C@@H](O)c1cccc(C)c1 ZINC000268951680 179202825 /nfs/dbraw/zinc/20/28/25/179202825.db2.gz DJFQDRKQFGLFDS-CQSZACIVSA-N 0 3 245.322 2.720 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1ccn(-c2ccccc2)n1 ZINC000310908831 347325815 /nfs/dbraw/zinc/32/58/15/347325815.db2.gz GRDOCRMSYCTLKN-IUODEOHRSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@H]1CC[C@H]1NCc1ccn(-c2ccccc2)n1 ZINC000310908833 347326007 /nfs/dbraw/zinc/32/60/07/347326007.db2.gz GRDOCRMSYCTLKN-SWLSCSKDSA-N 0 3 241.338 2.760 20 0 BFADHN Cc1cccc2c1CN(C[C@H]1CCCO1)CC2 ZINC000277326726 179217555 /nfs/dbraw/zinc/21/75/55/179217555.db2.gz VKSDRYOIPFHFLG-CQSZACIVSA-N 0 3 231.339 2.532 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1ccco1)C1CC1 ZINC000388350368 347346318 /nfs/dbraw/zinc/34/63/18/347346318.db2.gz OFUQMEIZYHFLOL-CWSCBRNRSA-N 0 3 223.316 2.744 20 0 BFADHN CCc1ccc(CN[C@@H](C)[C@H](OC)C2CC2)o1 ZINC000388366846 347363346 /nfs/dbraw/zinc/36/33/46/347363346.db2.gz VWCOPBIWTGIXLS-HZMBPMFUSA-N 0 3 237.343 2.745 20 0 BFADHN Cc1cccc2ncc(CN3C[C@H](C)C[C@@H]3C)n21 ZINC000353748499 179231703 /nfs/dbraw/zinc/23/17/03/179231703.db2.gz PXYQEPJTAHIBPE-YPMHNXCESA-N 0 3 243.354 2.873 20 0 BFADHN CS[C@H]1CCN(Cc2n[nH]c3ccccc32)C1 ZINC000590656558 347486674 /nfs/dbraw/zinc/48/66/74/347486674.db2.gz ZMJLOQVBEPCEIL-JTQLQIEISA-N 0 3 247.367 2.500 20 0 BFADHN CS[C@H]1CCN(Cc2[nH]nc3ccccc32)C1 ZINC000590656558 347486677 /nfs/dbraw/zinc/48/66/77/347486677.db2.gz ZMJLOQVBEPCEIL-JTQLQIEISA-N 0 3 247.367 2.500 20 0 BFADHN CC[C@@H](CNC1(c2ccc(OC)cc2)CC1)OC ZINC000419228851 192106855 /nfs/dbraw/zinc/10/68/55/192106855.db2.gz NHSLWQHVCICVSQ-ZDUSSCGKSA-N 0 3 249.354 2.699 20 0 BFADHN CN(Cc1cccnc1)CC1(O)CCCCCC1 ZINC000192938072 365883927 /nfs/dbraw/zinc/88/39/27/365883927.db2.gz IEBIMVFHOWDAEH-UHFFFAOYSA-N 0 3 248.370 2.599 20 0 BFADHN CCc1nc(C)c(CN2CCCCC2)o1 ZINC000336599088 347507541 /nfs/dbraw/zinc/50/75/41/347507541.db2.gz NZAYFJAAYYNKRZ-UHFFFAOYSA-N 0 3 208.305 2.531 20 0 BFADHN CCCN(C)[C@H](C)c1cc(C(=O)OC)c(C)o1 ZINC000292562717 347513554 /nfs/dbraw/zinc/51/35/54/347513554.db2.gz IGSFHPXTQIOWAE-SECBINFHSA-N 0 3 239.315 2.777 20 0 BFADHN CC(C)OCCCN1CCOc2ccccc2C1 ZINC000590818541 347568675 /nfs/dbraw/zinc/56/86/75/347568675.db2.gz OJHDTIWSRGTMRT-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1ccccc1CC(C)(C)NCc1ncc[nH]1 ZINC000066594210 179260582 /nfs/dbraw/zinc/26/05/82/179260582.db2.gz HVOBSYASYQUTTC-UHFFFAOYSA-N 0 3 243.354 2.829 20 0 BFADHN Cc1ccccc1CN1CC2(C1)CCOCC2 ZINC000335158726 179271737 /nfs/dbraw/zinc/27/17/37/179271737.db2.gz PPJRGGWDQIDNSK-UHFFFAOYSA-N 0 3 231.339 2.607 20 0 BFADHN CC[C@H](C)N(C)Cc1cn2cccc(C)c2n1 ZINC000591377264 347651370 /nfs/dbraw/zinc/65/13/70/347651370.db2.gz QWQXINCTVMEOMT-LBPRGKRZSA-N 0 3 231.343 2.873 20 0 BFADHN CC[C@@H](O)CN1CCc2cccc(F)c2[C@H]1C ZINC000525785413 259397074 /nfs/dbraw/zinc/39/70/74/259397074.db2.gz WREKPFAXSXMDGE-ZYHUDNBSSA-N 0 3 237.318 2.516 20 0 BFADHN CS[C@H]1CC[C@@H]1N[C@@H](C)c1ccccn1 ZINC000591835364 347702978 /nfs/dbraw/zinc/70/29/78/347702978.db2.gz MKQFCLPHRUADSY-DLOVCJGASA-N 0 3 222.357 2.626 20 0 BFADHN CCCC(C)(C)NCc1ncc(Cl)n1C ZINC000136359336 168577754 /nfs/dbraw/zinc/57/77/54/168577754.db2.gz NVZQNHLDRMBBPA-UHFFFAOYSA-N 0 3 229.755 2.742 20 0 BFADHN Cc1ccccc1C[C@@H](C)N[C@@H](C)CF ZINC000288383679 179289228 /nfs/dbraw/zinc/28/92/28/179289228.db2.gz GHYBWCSAUFTFPI-NEPJUHHUSA-N 0 3 209.308 2.874 20 0 BFADHN Cc1ccccc1CN[C@@H](C)c1cc[nH]c(=O)c1 ZINC000339452043 179282069 /nfs/dbraw/zinc/28/20/69/179282069.db2.gz AQLKTBSOMXAFPQ-LBPRGKRZSA-N 0 3 242.322 2.946 20 0 BFADHN CCN(CCSC)CCc1scnc1C ZINC000592202403 347753627 /nfs/dbraw/zinc/75/36/27/347753627.db2.gz YZOKONZQUCSGHN-UHFFFAOYSA-N 0 3 244.429 2.679 20 0 BFADHN CCN(CCSC)[C@@H](C)c1cccnc1 ZINC000592205683 347757103 /nfs/dbraw/zinc/75/71/03/347757103.db2.gz ZAQHHOMPYDRCPS-NSHDSACASA-N 0 3 224.373 2.828 20 0 BFADHN Cc1nn(C)c(C)c1CNCc1ccccc1C ZINC000037487186 179283028 /nfs/dbraw/zinc/28/30/28/179283028.db2.gz XIQFBKNQDHCRFO-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN Cc1ccccc1CNCc1ccncc1C ZINC000229659316 179283121 /nfs/dbraw/zinc/28/31/21/179283121.db2.gz AVPDXWFMJOIHKD-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN CCSCCN1CC(C)(CC(F)F)C1 ZINC000592523459 347795133 /nfs/dbraw/zinc/79/51/33/347795133.db2.gz MOGKMOZNCISNJT-UHFFFAOYSA-N 0 3 223.332 2.717 20 0 BFADHN CC(C)(C)CCCN1CCN(C2CC2)CC1 ZINC000200281025 347804677 /nfs/dbraw/zinc/80/46/77/347804677.db2.gz ARQVYEQZVIBYQI-UHFFFAOYSA-N 0 3 224.392 2.593 20 0 BFADHN Cc1nc(C)c(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)o1 ZINC000628300068 347815314 /nfs/dbraw/zinc/81/53/14/347815314.db2.gz MZBOPSXAFHRLEC-ZFWWWQNUSA-N 0 3 246.354 2.912 20 0 BFADHN Cc1nc(C)c(CN2C[C@H](C3CC3)[C@@H]2C2CC2)o1 ZINC000628300066 347815515 /nfs/dbraw/zinc/81/55/15/347815515.db2.gz MZBOPSXAFHRLEC-HIFRSBDPSA-N 0 3 246.354 2.912 20 0 BFADHN Cc1ncc(CN2CCCCCC2)s1 ZINC000200829647 347842584 /nfs/dbraw/zinc/84/25/84/347842584.db2.gz RWBNCRWGYQJOLU-UHFFFAOYSA-N 0 3 210.346 2.828 20 0 BFADHN Cc1ccccc1NC1CCN(C)CC1 ZINC000019964933 179305178 /nfs/dbraw/zinc/30/51/78/179305178.db2.gz MBOYHTAEABVRNL-UHFFFAOYSA-N 0 3 204.317 2.501 20 0 BFADHN CCSCCN1C[C@H](CC)OC[C@H]1CC ZINC000593059416 347849061 /nfs/dbraw/zinc/84/90/61/347849061.db2.gz QVVOMMYPEAHIEF-NEPJUHHUSA-N 0 3 231.405 2.629 20 0 BFADHN CC(C)SCCN1C[C@H](C)OCC12CCC2 ZINC000593092393 347853767 /nfs/dbraw/zinc/85/37/67/347853767.db2.gz NTYYAMVCZVBTNY-LBPRGKRZSA-N 0 3 243.416 2.771 20 0 BFADHN Cc1ccccc1NC(=O)CCN1C[C@H](C)[C@@H]1C ZINC000293514459 179297171 /nfs/dbraw/zinc/29/71/71/179297171.db2.gz VKVUTYDYCYCJOU-STQMWFEESA-N 0 3 246.354 2.664 20 0 BFADHN Cc1ccccc1NC(=O)C1(N)CCCCC1 ZINC000019476053 179297446 /nfs/dbraw/zinc/29/74/46/179297446.db2.gz OYBWXTCHIWECSW-UHFFFAOYSA-N 0 3 232.327 2.595 20 0 BFADHN Cc1cc(CNC(C(C)C)C(C)C)no1 ZINC000389364845 537992884 /nfs/dbraw/zinc/99/28/84/537992884.db2.gz GXNLIDSPPIXHKO-UHFFFAOYSA-N 0 3 210.321 2.753 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1cnc(C2CC2)o1 ZINC000628300246 347885474 /nfs/dbraw/zinc/88/54/74/347885474.db2.gz WUXZHXSIZJJGPL-AAEUAGOBSA-N 0 3 234.343 2.934 20 0 BFADHN CCCc1ccc(CN(CCO)C2CC2)cc1 ZINC000195026867 259398572 /nfs/dbraw/zinc/39/85/72/259398572.db2.gz RCHALCULPNOMTD-UHFFFAOYSA-N 0 3 233.355 2.596 20 0 BFADHN CC[C@H](CN1CCC=C(c2ccco2)C1)OC ZINC000419271156 192110077 /nfs/dbraw/zinc/11/00/77/192110077.db2.gz RZVKUFLRLVAATM-CYBMUJFWSA-N 0 3 235.327 2.794 20 0 BFADHN C[C@@H]1CN(CCOCC2CCC2)CCC1(F)F ZINC000419271609 192110186 /nfs/dbraw/zinc/11/01/86/192110186.db2.gz GBDJCPJSVNTXOZ-LLVKDONJSA-N 0 3 247.329 2.780 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1ccc(OC)cc1C ZINC000577362374 365934229 /nfs/dbraw/zinc/93/42/29/365934229.db2.gz VEWRRAGWZKMRSY-BYCMXARLSA-N 0 3 249.354 2.832 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1cc(Cl)cs1 ZINC000308611411 347909816 /nfs/dbraw/zinc/90/98/16/347909816.db2.gz QUYKEPJPTWJCRL-NXEZZACHSA-N 0 3 231.748 2.669 20 0 BFADHN Cc1ccccc1[C@@H]1CCN(Cc2cc[nH]n2)C1 ZINC000271174782 179327348 /nfs/dbraw/zinc/32/73/48/179327348.db2.gz NHJPQSWPTDEMNU-CYBMUJFWSA-N 0 3 241.338 2.708 20 0 BFADHN Cc1ccccc1[C@@H]1CCN(Cc2ccno2)C1 ZINC000263680422 179327363 /nfs/dbraw/zinc/32/73/63/179327363.db2.gz ICBIBKISKPCYRV-CYBMUJFWSA-N 0 3 242.322 2.973 20 0 BFADHN Cc1ccccc1[C@H](C)NC(=O)[C@@H](N)C(C)(C)C ZINC000040854842 179335829 /nfs/dbraw/zinc/33/58/29/179335829.db2.gz ZKVYASKDTRORFG-WCQYABFASA-N 0 3 248.370 2.546 20 0 BFADHN Cc1ccccc1[C@H](C)NCCOCC(F)F ZINC000189818455 179337895 /nfs/dbraw/zinc/33/78/95/179337895.db2.gz KAEKLVUFWCFDBL-NSHDSACASA-N 0 3 243.297 2.927 20 0 BFADHN C[C@@H]1CCC[C@H](SCCN(C)C)C1 ZINC000308293472 323173431 /nfs/dbraw/zinc/17/34/31/323173431.db2.gz ISNOISWCCLIARI-MNOVXSKESA-N 0 3 201.379 2.860 20 0 BFADHN Cc1cc(CN2CC[C@H](C(C)(C)C)C2)no1 ZINC000202381147 348016943 /nfs/dbraw/zinc/01/69/43/348016943.db2.gz FUVUVTCEYQKLDA-NSHDSACASA-N 0 3 222.332 2.851 20 0 BFADHN CCC[C@H](C)NCc1cnc2ccccc2n1 ZINC000037189474 348060300 /nfs/dbraw/zinc/06/03/00/348060300.db2.gz XZOMXMQGPVCWPO-NSHDSACASA-N 0 3 229.327 2.908 20 0 BFADHN CCSCCCNCc1nccn1C(C)C ZINC000594936369 348142024 /nfs/dbraw/zinc/14/20/24/348142024.db2.gz KBBCCIYLBABJON-UHFFFAOYSA-N 0 3 241.404 2.697 20 0 BFADHN CCSC1(CNCc2cccc(O)c2)CC1 ZINC000594984414 348164905 /nfs/dbraw/zinc/16/49/05/348164905.db2.gz KRCQWWMQEPHCGN-UHFFFAOYSA-N 0 3 237.368 2.768 20 0 BFADHN CCSC1(CNCc2ccco2)CC1 ZINC000594985364 348165599 /nfs/dbraw/zinc/16/55/99/348165599.db2.gz XONGTKZMGDWQMI-UHFFFAOYSA-N 0 3 211.330 2.655 20 0 BFADHN CCSC1(CNCc2ccc(C)o2)CC1 ZINC000594984923 348165732 /nfs/dbraw/zinc/16/57/32/348165732.db2.gz RFKKOZTXDHCNTD-UHFFFAOYSA-N 0 3 225.357 2.963 20 0 BFADHN CCSC1(CNCc2conc2CC)CC1 ZINC000594986966 348166272 /nfs/dbraw/zinc/16/62/72/348166272.db2.gz PUSOJEBUITVGJH-UHFFFAOYSA-N 0 3 240.372 2.612 20 0 BFADHN Cc1cncc(CN[C@@H](C)CSCCF)c1 ZINC000595036985 348176051 /nfs/dbraw/zinc/17/60/51/348176051.db2.gz YYRKMJODOGGXQV-NSHDSACASA-N 0 3 242.363 2.571 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1ccccc1 ZINC000401855126 348204514 /nfs/dbraw/zinc/20/45/14/348204514.db2.gz FLPWDGMAYLBGRY-WZRBSPASSA-N 0 3 205.301 2.515 20 0 BFADHN COC(=O)[C@H]1CCCCCN1CCC=C(C)C ZINC000595428474 348247728 /nfs/dbraw/zinc/24/77/28/348247728.db2.gz DHQUKGJLPMDMMG-CYBMUJFWSA-N 0 3 239.359 2.760 20 0 BFADHN Cc1cccnc1CNC1Cc2ccccc2C1 ZINC000071339642 179381875 /nfs/dbraw/zinc/38/18/75/179381875.db2.gz NMXYMRACWYYRPO-UHFFFAOYSA-N 0 3 238.334 2.647 20 0 BFADHN Cc1cccnc1CN1CCS[C@@H](C)[C@@H]1C ZINC000093174878 179375102 /nfs/dbraw/zinc/37/51/02/179375102.db2.gz PLRPIJDIKYRWTM-RYUDHWBXSA-N 0 3 236.384 2.716 20 0 BFADHN Cc1cccnc1CN1CCS[C@@H](C)CC1 ZINC000192194748 179375738 /nfs/dbraw/zinc/37/57/38/179375738.db2.gz YBOYHACMBBGSKU-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1cccnc1CN1CC[C@@H](c2ccco2)C1 ZINC000367566188 179375882 /nfs/dbraw/zinc/37/58/82/179375882.db2.gz UIDQSQJYNCWSCF-CYBMUJFWSA-N 0 3 242.322 2.973 20 0 BFADHN CC[C@@]1(C)CN(C[C@H](CC(C)C)OC)CCO1 ZINC000419249373 192114802 /nfs/dbraw/zinc/11/48/02/192114802.db2.gz DMHHVBSFXMBTJJ-KBPBESRZSA-N 0 3 243.391 2.548 20 0 BFADHN CC[C@@H](CNC1(c2cccc(F)c2)CC1)OC ZINC000419258669 192115325 /nfs/dbraw/zinc/11/53/25/192115325.db2.gz ZNSJETQACKGNOV-ZDUSSCGKSA-N 0 3 237.318 2.829 20 0 BFADHN CN(CCOCC1CCC1)[C@H]1CC1(C)C ZINC000419304525 192119728 /nfs/dbraw/zinc/11/97/28/192119728.db2.gz JWPVYGGDPLEWKM-LBPRGKRZSA-N 0 3 211.349 2.533 20 0 BFADHN CO[C@H](CC(C)C)CN1C[C@H](C)O[C@H](C)[C@@H]1C ZINC000419261318 192117279 /nfs/dbraw/zinc/11/72/79/192117279.db2.gz TTWXNHBBXZHURQ-IGQOVBAYSA-N 0 3 243.391 2.545 20 0 BFADHN CO[C@H](CC(C)C)CN1C[C@H](C)O[C@@H](C)[C@H]1C ZINC000419261510 192117343 /nfs/dbraw/zinc/11/73/43/192117343.db2.gz TTWXNHBBXZHURQ-RFQIPJPRSA-N 0 3 243.391 2.545 20 0 BFADHN CC[C@@H](NC1(COC)CC1)c1cccs1 ZINC000308919503 323181605 /nfs/dbraw/zinc/18/16/05/323181605.db2.gz GSZOPGANQWWNIH-SNVBAGLBSA-N 0 3 225.357 2.968 20 0 BFADHN CN(CCOCC1CCC1)[C@@H]1CC1(C)C ZINC000419304524 192120627 /nfs/dbraw/zinc/12/06/27/192120627.db2.gz JWPVYGGDPLEWKM-GFCCVEGCSA-N 0 3 211.349 2.533 20 0 BFADHN CC[C@@H](NCc1ncc(C)cn1)[C@@H]1CC1(C)C ZINC000419322075 192120800 /nfs/dbraw/zinc/12/08/00/192120800.db2.gz RSSYNMHKBDUGOD-NWDGAFQWSA-N 0 3 233.359 2.699 20 0 BFADHN COC(=O)CN(CCC1CC1)C1CCCCC1 ZINC000595662838 348301520 /nfs/dbraw/zinc/30/15/20/348301520.db2.gz CGAJTIXELSBDGI-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1cccnc1[C@H](N[C@@H]1CCO[C@@H]1C)C(C)C ZINC000121193168 179399273 /nfs/dbraw/zinc/39/92/73/179399273.db2.gz NTSPQVXNSOMNCA-MGPQQGTHSA-N 0 3 248.370 2.854 20 0 BFADHN CCCCN(CCCC)C[C@H](O)C(F)(F)F ZINC000082680028 348325918 /nfs/dbraw/zinc/32/59/18/348325918.db2.gz CNAGRYHDWBVBJW-JTQLQIEISA-N 0 3 241.297 2.812 20 0 BFADHN COc1cccc(CNC[C@@H](C)SC)c1F ZINC000122110393 362918024 /nfs/dbraw/zinc/91/80/24/362918024.db2.gz GTDWCBGSVDTXNB-SECBINFHSA-N 0 3 243.347 2.675 20 0 BFADHN CSCCCN1CCC(c2ccon2)CC1 ZINC000595828858 348364984 /nfs/dbraw/zinc/36/49/84/348364984.db2.gz OUVKZSAMFFPKRM-UHFFFAOYSA-N 0 3 240.372 2.607 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)nc1 ZINC000419355950 192126800 /nfs/dbraw/zinc/12/68/00/192126800.db2.gz NNIUIDJPOOIGFS-TUAOUCFPSA-N 0 3 234.343 2.567 20 0 BFADHN CC[C@H](F)CN1CCSC[C@@H]1C1CCC1 ZINC000419373771 192129101 /nfs/dbraw/zinc/12/91/01/192129101.db2.gz NDYFRYAUVAPONZ-NWDGAFQWSA-N 0 3 231.380 2.952 20 0 BFADHN COCCCCN(C)Cc1ccc(C)nc1C ZINC000419385660 192133396 /nfs/dbraw/zinc/13/33/96/192133396.db2.gz LKFBBBMLOXNRSR-UHFFFAOYSA-N 0 3 236.359 2.557 20 0 BFADHN C[C@@H](NCc1csc(C2CC2)n1)C1CC1 ZINC000113314773 323187017 /nfs/dbraw/zinc/18/70/17/323187017.db2.gz YOJDBNCGBJUFCO-MRVPVSSYSA-N 0 3 222.357 2.909 20 0 BFADHN CC(C)n1ccnc1CN1C[C@@H](C)[C@@H](C)[C@H]1C ZINC000419368162 192127965 /nfs/dbraw/zinc/12/79/65/192127965.db2.gz FNWGGQCGUUBKNV-JHJVBQTASA-N 0 3 235.375 2.940 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1CCOCC(F)(F)F ZINC000419369803 192128830 /nfs/dbraw/zinc/12/88/30/192128830.db2.gz IHHCCMSVZUXWDX-KXUCPTDWSA-N 0 3 239.281 2.542 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCC[C@H]2CCO)c1 ZINC000287598100 179467738 /nfs/dbraw/zinc/46/77/38/179467738.db2.gz RWUREXOQTXINFO-MELADBBJSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1nc(C)c(CNCCC(C)(C)C)o1 ZINC000311727657 349994527 /nfs/dbraw/zinc/99/45/27/349994527.db2.gz CCZOCHCXIXNSBP-UHFFFAOYSA-N 0 3 210.321 2.817 20 0 BFADHN Cc1ccoc1CNC[C@H]1CCO[C@@H]1C(C)C ZINC000267211719 179526797 /nfs/dbraw/zinc/52/67/97/179526797.db2.gz BPHNCKPTFIAEIF-TZMCWYRMSA-N 0 3 237.343 2.739 20 0 BFADHN CO[C@@H]1CCC[C@@H](NCc2ccc(C)o2)C1 ZINC000069848014 490188840 /nfs/dbraw/zinc/18/88/40/490188840.db2.gz NKLQJHSYRINKMW-VXGBXAGGSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1cnc(CN[C@H]2C[C@H]2C2CCCCC2)n1C ZINC000341042439 179613255 /nfs/dbraw/zinc/61/32/55/179613255.db2.gz HWYDHKZPXOAWKJ-KBPBESRZSA-N 0 3 247.386 2.787 20 0 BFADHN CCc1nc(CNC(CC)CC)cs1 ZINC000054918098 348785422 /nfs/dbraw/zinc/78/54/22/348785422.db2.gz JCRTYWJSSLNBBE-UHFFFAOYSA-N 0 3 212.362 2.984 20 0 BFADHN CC(C)N1CCN(C/C=C\c2ccccc2)CC1 ZINC000207269106 348746294 /nfs/dbraw/zinc/74/62/94/348746294.db2.gz HMYBXFCRFZNEDE-TWGQIWQCSA-N 0 3 244.382 2.726 20 0 BFADHN C[C@@H](NC1CCC(C)CC1)c1nccn1C ZINC000070007278 490195099 /nfs/dbraw/zinc/19/50/99/490195099.db2.gz QWVRRXFQZLAXGV-MOENNCHZSA-N 0 3 221.348 2.649 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(CC)s1 ZINC000309031695 323197376 /nfs/dbraw/zinc/19/73/76/323197376.db2.gz FEFNRWHDAZJVKE-NEPJUHHUSA-N 0 3 225.357 2.578 20 0 BFADHN FCCCN1CCC=C(c2ccccn2)C1 ZINC000555880351 490197802 /nfs/dbraw/zinc/19/78/02/490197802.db2.gz KWAJMTYWMXSNTF-UHFFFAOYSA-N 0 3 220.291 2.530 20 0 BFADHN Cc1nn(C)cc1CN(C)[C@H](C)C(C)(C)C ZINC000536655852 323199930 /nfs/dbraw/zinc/19/99/30/323199930.db2.gz VHXOWOZRUJMAAO-LLVKDONJSA-N 0 3 223.364 2.595 20 0 BFADHN Cc1ncc(CN[C@@H]2CC3CCC2CC3)o1 ZINC000397886066 362932399 /nfs/dbraw/zinc/93/23/99/362932399.db2.gz JBFODPZYIHWJGR-GCZXYKMCSA-N 0 3 220.316 2.651 20 0 BFADHN C[C@]1(NCc2ccc(C(F)F)cc2)CCOC1 ZINC000161663849 490208402 /nfs/dbraw/zinc/20/84/02/490208402.db2.gz MQEXLORSEVAFPM-ZDUSSCGKSA-N 0 3 241.281 2.893 20 0 BFADHN CN(Cc1cccc(C#N)c1)[C@H]1COC(C)(C)C1 ZINC000396612361 348990719 /nfs/dbraw/zinc/99/07/19/348990719.db2.gz UJTBLKWBJJGTDN-CQSZACIVSA-N 0 3 244.338 2.558 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1ccnc(C)c1 ZINC000598597083 348999631 /nfs/dbraw/zinc/99/96/31/348999631.db2.gz VCLAECPUQVFOAC-GFCCVEGCSA-N 0 3 238.400 2.963 20 0 BFADHN CC[C@@H](NCc1ncccn1)C1CCCCC1 ZINC000054459799 349033405 /nfs/dbraw/zinc/03/34/05/349033405.db2.gz DIBIBVQVWWHFDV-CYBMUJFWSA-N 0 3 233.359 2.925 20 0 BFADHN CCc1nocc1CNC[C@H]1CCCC12CC2 ZINC000623825621 349069587 /nfs/dbraw/zinc/06/95/87/349069587.db2.gz HCWWPOCWQIQJGK-GFCCVEGCSA-N 0 3 234.343 2.907 20 0 BFADHN COc1cc(CNCCSC)ccc1Cl ZINC000598951825 349071419 /nfs/dbraw/zinc/07/14/19/349071419.db2.gz CYMHWLXCBJASHE-UHFFFAOYSA-N 0 3 245.775 2.801 20 0 BFADHN CCCC[C@@H](CC)CNCc1cnccn1 ZINC000054765598 349078887 /nfs/dbraw/zinc/07/88/87/349078887.db2.gz HVOXZLBGWPRBRH-GFCCVEGCSA-N 0 3 221.348 2.783 20 0 BFADHN CC(C)CCC[C@H](C)NCc1cnccn1 ZINC000054765651 349079099 /nfs/dbraw/zinc/07/90/99/349079099.db2.gz NFTISFCKPZMOAZ-LBPRGKRZSA-N 0 3 221.348 2.781 20 0 BFADHN CC(C)CCC[C@@H](C)NCc1cnccn1 ZINC000054765650 349079123 /nfs/dbraw/zinc/07/91/23/349079123.db2.gz NFTISFCKPZMOAZ-GFCCVEGCSA-N 0 3 221.348 2.781 20 0 BFADHN CSCCCN[C@@H]1CCCc2scnc21 ZINC000599032218 349079504 /nfs/dbraw/zinc/07/95/04/349079504.db2.gz JAXUANOJVIIUNO-SECBINFHSA-N 0 3 242.413 2.863 20 0 BFADHN COc1nsc(CNCC(C)C)c1Cl ZINC000616574628 349080298 /nfs/dbraw/zinc/08/02/98/349080298.db2.gz UJPZGEJREUQZSU-UHFFFAOYSA-N 0 3 234.752 2.551 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1nc(C)cs1 ZINC000599043157 349081050 /nfs/dbraw/zinc/08/10/50/349081050.db2.gz VLCDLDPPDMMRJF-IUCAKERBSA-N 0 3 230.402 2.854 20 0 BFADHN CCc1ccc(CNC2(COC)CC2)s1 ZINC000309044318 323205409 /nfs/dbraw/zinc/20/54/09/323205409.db2.gz NIXBCKDUQROYAT-UHFFFAOYSA-N 0 3 225.357 2.579 20 0 BFADHN Cc1cc(C)c(CN[C@H]2CO[C@@H](C)C2)cc1C ZINC000402011484 349147280 /nfs/dbraw/zinc/14/72/80/349147280.db2.gz BHOJPUCOSISNNH-DZGCQCFKSA-N 0 3 233.355 2.879 20 0 BFADHN COC(=O)CCCCN(C)Cc1cccs1 ZINC000599256791 349132568 /nfs/dbraw/zinc/13/25/68/349132568.db2.gz HANPYXGOYYTDLS-UHFFFAOYSA-N 0 3 241.356 2.523 20 0 BFADHN COC1([C@@H](C)NCc2cccnc2)CCCCC1 ZINC000421800512 192384537 /nfs/dbraw/zinc/38/45/37/192384537.db2.gz HUWVNZLJHBWIGK-CYBMUJFWSA-N 0 3 248.370 2.909 20 0 BFADHN C=Cn1cc(CN2CCC[C@H](C)[C@@H]2C)cn1 ZINC000248832382 349142417 /nfs/dbraw/zinc/14/24/17/349142417.db2.gz HUVMJSOTMLWFJX-RYUDHWBXSA-N 0 3 219.332 2.604 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1ccncc1Cl ZINC000421828343 192386539 /nfs/dbraw/zinc/38/65/39/192386539.db2.gz CGTZFWSMZOKPJB-NXEZZACHSA-N 0 3 242.750 2.547 20 0 BFADHN COC1([C@H](C)N[C@@H]2CCC[C@@H]2F)CCCC1 ZINC000421863164 192396546 /nfs/dbraw/zinc/39/65/46/192396546.db2.gz XAIRBIHPROLDPJ-SDDRHHMPSA-N 0 3 229.339 2.814 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2cncs2)CCO1 ZINC000421853266 192389607 /nfs/dbraw/zinc/38/96/07/192389607.db2.gz IUEQEESKIBBOBY-GMTAPVOTSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@@H](CN[C@H](C)c1ccncc1Cl)OC ZINC000421852692 192389757 /nfs/dbraw/zinc/38/97/57/192389757.db2.gz ILMXHLFATHHDDZ-ZJUUUORDSA-N 0 3 242.750 2.811 20 0 BFADHN C[C@@H](O)CCCN[C@@H](C)c1ccncc1Cl ZINC000421854173 192390004 /nfs/dbraw/zinc/39/00/04/192390004.db2.gz KZLCZYURTXOOHW-ZJUUUORDSA-N 0 3 242.750 2.547 20 0 BFADHN COC[C@H](C)CN1CCS[C@@H]2CCCC[C@H]21 ZINC000249849286 349165390 /nfs/dbraw/zinc/16/53/90/349165390.db2.gz PCRGKOCWDWNHHP-JHJVBQTASA-N 0 3 243.416 2.629 20 0 BFADHN COC[C@H](C)CN1CCS[C@@H]2CCCC[C@@H]21 ZINC000249849284 349165522 /nfs/dbraw/zinc/16/55/22/349165522.db2.gz PCRGKOCWDWNHHP-FRRDWIJNSA-N 0 3 243.416 2.629 20 0 BFADHN C[C@@H](NCCC(C)(C)O)c1ccncc1Cl ZINC000421843892 192393433 /nfs/dbraw/zinc/39/34/33/192393433.db2.gz RUKWPXCYWPLQMQ-SECBINFHSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@@H](CCCO)N[C@@H](C)c1ccncc1Cl ZINC000421845462 192393565 /nfs/dbraw/zinc/39/35/65/192393565.db2.gz QIHYZJDLKCTTIE-UWVGGRQHSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@H](C)c1ccncc1Cl ZINC000421846290 192393847 /nfs/dbraw/zinc/39/38/47/192393847.db2.gz ZJQRVVYDUCSXBE-KXUCPTDWSA-N 0 3 242.750 2.545 20 0 BFADHN C[C@H](CN1CCOC(C)(C)C1)c1ccccc1 ZINC000059482735 349225074 /nfs/dbraw/zinc/22/50/74/349225074.db2.gz QVICQCYRCHAANM-CYBMUJFWSA-N 0 3 233.355 2.901 20 0 BFADHN Cc1[nH]ncc1CNc1nccc2sccc21 ZINC000038011900 179859043 /nfs/dbraw/zinc/85/90/43/179859043.db2.gz UUAXMEMJZAVJJD-UHFFFAOYSA-N 0 3 244.323 2.940 20 0 BFADHN COCCN[C@H](C)c1cnc2ccsc2c1 ZINC000082924695 349251898 /nfs/dbraw/zinc/25/18/98/349251898.db2.gz ZRJMWGFWKMSPGZ-SECBINFHSA-N 0 3 236.340 2.593 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]1C1CC1)c1cscn1 ZINC000308976211 349261870 /nfs/dbraw/zinc/26/18/70/349261870.db2.gz GSGYZCUERNKIJX-JMJZKYOTSA-N 0 3 222.357 2.982 20 0 BFADHN Cc1ncc(CN2C[C@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)o1 ZINC000628303045 349268703 /nfs/dbraw/zinc/26/87/03/349268703.db2.gz DAVNNKMCNGTAPN-PAPYEOQZSA-N 0 3 246.354 2.851 20 0 BFADHN c1ccc(CNC[C@@H]2Cc3ccccc32)nc1 ZINC000037565647 349269784 /nfs/dbraw/zinc/26/97/84/349269784.db2.gz DBQFSACLTKATQM-ZDUSSCGKSA-N 0 3 224.307 2.511 20 0 BFADHN Cc1ccc([C@H](C)NCc2ccc(=O)[nH]c2)cc1 ZINC000392713595 349370110 /nfs/dbraw/zinc/37/01/10/349370110.db2.gz YFYZSMGBNGNAPN-LBPRGKRZSA-N 0 3 242.322 2.946 20 0 BFADHN Cc1nocc1CNC[C@H](C)CC(C)C ZINC000397916370 362947383 /nfs/dbraw/zinc/94/73/83/362947383.db2.gz NJVUQFLFRJNHEY-SNVBAGLBSA-N 0 3 210.321 2.755 20 0 BFADHN CC[C@@H](NC[C@@H](C)COC)c1ccc(F)cn1 ZINC000161330885 349423729 /nfs/dbraw/zinc/42/37/29/349423729.db2.gz KELXAGGKWGXSDG-ZYHUDNBSSA-N 0 3 240.322 2.544 20 0 BFADHN CC[C@@H](NC[C@H](C)CO)c1ccc(F)cc1F ZINC000151745477 538049148 /nfs/dbraw/zinc/04/91/48/538049148.db2.gz HFUCBELIXSLCFQ-TVQRCGJNSA-N 0 3 243.297 2.634 20 0 BFADHN CCn1cncc1CN1CC[C@H](C2CCC2)C1 ZINC000669572443 487527349 /nfs/dbraw/zinc/52/73/49/487527349.db2.gz UXZSVUMIAMPAMB-ZDUSSCGKSA-N 0 3 233.359 2.525 20 0 BFADHN CCCCCN(CC(=O)N[C@@H](C)CC)C(C)C ZINC000051709020 350061184 /nfs/dbraw/zinc/06/11/84/350061184.db2.gz DMJFZDXDTWMIPT-ZDUSSCGKSA-N 0 3 242.407 2.802 20 0 BFADHN CC(C)CN(C)Cc1cncc(Cl)c1 ZINC000621251247 350108283 /nfs/dbraw/zinc/10/82/83/350108283.db2.gz LGAXUVTUFAYOBG-UHFFFAOYSA-N 0 3 212.724 2.823 20 0 BFADHN CCc1ccccc1CN[C@@H](C)c1cn[nH]c1 ZINC000218983440 350109727 /nfs/dbraw/zinc/10/97/27/350109727.db2.gz PWGOCZPUVQGQRS-NSHDSACASA-N 0 3 229.327 2.823 20 0 BFADHN Cc1nc(N[C@H]2CCCN(C)[C@@H]2C)sc1C ZINC000336748645 180025143 /nfs/dbraw/zinc/02/51/43/180025143.db2.gz SXTRIMZAHVPHEP-KOLCDFICSA-N 0 3 239.388 2.655 20 0 BFADHN Cc1nc(N[C@H]2CCN(C)[C@H](C)C2)sc1C ZINC000123971733 180025653 /nfs/dbraw/zinc/02/56/53/180025653.db2.gz KTLPDVIAEWLDDG-KCJUWKMLSA-N 0 3 239.388 2.655 20 0 BFADHN Cc1cccc([C@@H](C)NCc2cn[nH]c2)c1C ZINC000604418350 350127879 /nfs/dbraw/zinc/12/78/79/350127879.db2.gz TWOBDBFEHJJYFJ-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1nc([C@@H](C)NC[C@]2(C)CCOC2)cs1 ZINC000309561343 180036748 /nfs/dbraw/zinc/03/67/48/180036748.db2.gz LDJNJFROFCKPCR-SKDRFNHKSA-N 0 3 240.372 2.529 20 0 BFADHN CC(C)Oc1cccc(CN[C@@H]2CO[C@H](C)C2)c1 ZINC000402282875 350114479 /nfs/dbraw/zinc/11/44/79/350114479.db2.gz GMLCICBFSWCEGX-OCCSQVGLSA-N 0 3 249.354 2.741 20 0 BFADHN Cc1nc([C@@H](C)N[C@H](CCO)C(C)C)cs1 ZINC000227590950 180038182 /nfs/dbraw/zinc/03/81/82/180038182.db2.gz LPJRSWKVNUTCBB-MWLCHTKSSA-N 0 3 242.388 2.509 20 0 BFADHN Cc1nc(C(C)NCCc2ccco2)cs1 ZINC000036915603 180038531 /nfs/dbraw/zinc/03/85/31/180038531.db2.gz ACYHPAVAHCVKDQ-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN [O-]c1cccc(C[N@H+]2CCCCC[C@H]2CCO)c1 ZINC000342817700 538052532 /nfs/dbraw/zinc/05/25/32/538052532.db2.gz FWZYMFQTAAYSSO-AWEZNQCLSA-N 0 3 249.354 2.519 20 0 BFADHN [O-]c1cccc(C[N@@H+]2CCCCC[C@H]2CCO)c1 ZINC000342817700 538052537 /nfs/dbraw/zinc/05/25/37/538052537.db2.gz FWZYMFQTAAYSSO-AWEZNQCLSA-N 0 3 249.354 2.519 20 0 BFADHN CCOc1ccc(-c2csc(CNC)n2)cc1 ZINC000003710608 350119683 /nfs/dbraw/zinc/11/96/83/350119683.db2.gz XECKNYYQPFXTRQ-UHFFFAOYSA-N 0 3 248.351 2.928 20 0 BFADHN CCc1ccc(CCN2C[C@@H](C)OC[C@H]2C)cc1 ZINC000604385035 350122011 /nfs/dbraw/zinc/12/20/11/350122011.db2.gz KPVNAKMUOQACGX-ZIAGYGMSSA-N 0 3 247.382 2.901 20 0 BFADHN CCC1(CNCc2c(Cl)cnn2C)CCC1 ZINC000132034382 191022765 /nfs/dbraw/zinc/02/27/65/191022765.db2.gz NRJKQIWBJNUALM-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN CCCCCN[C@@H](CC)c1nccn1C ZINC000132089077 191025239 /nfs/dbraw/zinc/02/52/39/191025239.db2.gz DFIFKCZEXXYAEO-NSHDSACASA-N 0 3 209.337 2.651 20 0 BFADHN CCOc1ccccc1CN[C@H]1CCO[C@H](C)C1 ZINC000219920905 350149055 /nfs/dbraw/zinc/14/90/55/350149055.db2.gz MLJCIAFDTBPKDB-OCCSQVGLSA-N 0 3 249.354 2.742 20 0 BFADHN CC[C@@H](NC[C@@H](C)C(C)C)c1nccn1C ZINC000132197818 191027749 /nfs/dbraw/zinc/02/77/49/191027749.db2.gz BICZDRYZNFJFSB-VXGBXAGGSA-N 0 3 223.364 2.753 20 0 BFADHN CC[C@H](NC[C@@H](C)C(C)C)c1nccn1C ZINC000132197651 191028134 /nfs/dbraw/zinc/02/81/34/191028134.db2.gz BICZDRYZNFJFSB-NEPJUHHUSA-N 0 3 223.364 2.753 20 0 BFADHN CC[C@@H](NCC1(CC)CC1)c1nccn1C ZINC000132297770 191031029 /nfs/dbraw/zinc/03/10/29/191031029.db2.gz PQEHOMJJBSUNMZ-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1ncsc1CN[C@@H](C)CC(F)(F)F ZINC000132482576 191032246 /nfs/dbraw/zinc/03/22/46/191032246.db2.gz AKSRUHQCEXBYJO-LURJTMIESA-N 0 3 238.278 2.882 20 0 BFADHN Cc1nc2ccccn2c1CN[C@H]1CC1(C)C ZINC000045117268 180106450 /nfs/dbraw/zinc/10/64/50/180106450.db2.gz WMOMLXVXLXFCGZ-LBPRGKRZSA-N 0 3 229.327 2.531 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H]1C)c1ccncn1 ZINC000395913596 323265583 /nfs/dbraw/zinc/26/55/83/323265583.db2.gz QHVICICXMHDXCA-SRVKXCTJSA-N 0 3 219.332 2.563 20 0 BFADHN Cc1ccc([C@H](C)NCCN2CC[C@@H](C)C2)o1 ZINC000532531005 323273723 /nfs/dbraw/zinc/27/37/23/323273723.db2.gz BSGDSRCOXKLMIA-YPMHNXCESA-N 0 3 236.359 2.580 20 0 BFADHN CO[C@H](C)CN[C@H]1CCc2ccc(Cl)cc21 ZINC000336775247 490359068 /nfs/dbraw/zinc/35/90/68/490359068.db2.gz YLBBZSFZCQSBQJ-RNCFNFMXSA-N 0 3 239.746 2.952 20 0 BFADHN Fc1ccc2c(c1)[C@H](N1CCCOCC1)CCC2 ZINC000334302430 538065222 /nfs/dbraw/zinc/06/52/22/538065222.db2.gz BNHHUCRYPFOBEN-OAHLLOKOSA-N 0 3 249.329 2.925 20 0 BFADHN Cc1ncc(CN2CC[C@H]3CCC[C@H]32)s1 ZINC000336359537 180146910 /nfs/dbraw/zinc/14/69/10/180146910.db2.gz LIDRTGVFWAJOSL-ZYHUDNBSSA-N 0 3 222.357 2.826 20 0 BFADHN Cc1ncc(CN2[C@H](C)CC[C@H]2C)s1 ZINC000123216561 180148701 /nfs/dbraw/zinc/14/87/01/180148701.db2.gz SBDIASMNLURZJN-RKDXNWHRSA-N 0 3 210.346 2.824 20 0 BFADHN CC(C)C[C@H](C)CNCc1nnc2ccccn21 ZINC000305908184 191072530 /nfs/dbraw/zinc/07/25/30/191072530.db2.gz DMNBZUVRJWIONG-LBPRGKRZSA-N 0 3 246.358 2.501 20 0 BFADHN CC(C)Oc1ccccc1CN[C@H]1CO[C@H](C)C1 ZINC000402348482 350191571 /nfs/dbraw/zinc/19/15/71/350191571.db2.gz ROHZJEZOZVQAMK-TZMCWYRMSA-N 0 3 249.354 2.741 20 0 BFADHN CC(C)Oc1ccccc1CN[C@H]1CO[C@@H](C)C1 ZINC000402348470 350191593 /nfs/dbraw/zinc/19/15/93/350191593.db2.gz ROHZJEZOZVQAMK-GXTWGEPZSA-N 0 3 249.354 2.741 20 0 BFADHN Cc1nccc(CN[C@@H](C)C2CCCCC2)n1 ZINC000038037710 180192275 /nfs/dbraw/zinc/19/22/75/180192275.db2.gz VIXPREPRRHYGGM-NSHDSACASA-N 0 3 233.359 2.843 20 0 BFADHN COc1ccc(CN2CCC[C@@H]2C)c(OC)c1 ZINC000124281055 191076964 /nfs/dbraw/zinc/07/69/64/191076964.db2.gz NBMPXJMZJFGGBX-NSHDSACASA-N 0 3 235.327 2.688 20 0 BFADHN CO[C@@](C)(CN[C@@H](C)c1ccoc1)C1CC1 ZINC000309217171 350202181 /nfs/dbraw/zinc/20/21/81/350202181.db2.gz FVCWMIFESRQTCD-GWCFXTLKSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1nccc(CN2CCC[C@@H]2C(C)(C)C)n1 ZINC000336510200 180184061 /nfs/dbraw/zinc/18/40/61/180184061.db2.gz WSTFGJBREUAXRZ-CYBMUJFWSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1nccc(CNC2CCCCCC2)n1 ZINC000037997808 180187307 /nfs/dbraw/zinc/18/73/07/180187307.db2.gz VMFIGARUGGFQTB-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN Cc1ncccc1CN1CCCC[C@@H]1C[C@@H](C)O ZINC000336792275 180218428 /nfs/dbraw/zinc/21/84/28/180218428.db2.gz RGHPNLSJSKNZHJ-IUODEOHRSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1ncccc1CNC[C@H](C)C(F)(F)F ZINC000336742182 180222133 /nfs/dbraw/zinc/22/21/33/180222133.db2.gz NWMKFPSZFVZBNY-QMMMGPOBSA-N 0 3 232.249 2.678 20 0 BFADHN CCC1(C(=O)Nc2cccc(CNC)c2)CCC1 ZINC000428309350 191081151 /nfs/dbraw/zinc/08/11/51/191081151.db2.gz CJHWEKUGBWAESW-UHFFFAOYSA-N 0 3 246.354 2.925 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC[C@H](CCF)C1 ZINC000668592578 490393820 /nfs/dbraw/zinc/39/38/20/490393820.db2.gz NHERYZGYWLALGN-GFCCVEGCSA-N 0 3 239.338 2.598 20 0 BFADHN Cc1nccn1CCCN(C)Cc1cccs1 ZINC000270624785 180236347 /nfs/dbraw/zinc/23/63/47/180236347.db2.gz KOSGMFKDYBVABW-UHFFFAOYSA-N 0 3 249.383 2.775 20 0 BFADHN C[C@H](NC1C[C@H](C)C[C@@H](C)C1)c1ncc[nH]1 ZINC000124781601 490402589 /nfs/dbraw/zinc/40/25/89/490402589.db2.gz GCRYHAJQKYHQDB-MXWKQRLJSA-N 0 3 221.348 2.885 20 0 BFADHN Clc1ccccc1CNC[C@@H]1CCCOC1 ZINC000038043669 350302524 /nfs/dbraw/zinc/30/25/24/350302524.db2.gz JNMMTRJMWQKRKH-NSHDSACASA-N 0 3 239.746 2.856 20 0 BFADHN CCCn1c(CNCC)nc2ccccc21 ZINC000038046544 350303441 /nfs/dbraw/zinc/30/34/41/350303441.db2.gz AVJYOJMWOYALTB-UHFFFAOYSA-N 0 3 217.316 2.556 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@@H]1CC=CCC1 ZINC000187853943 180282972 /nfs/dbraw/zinc/28/29/72/180282972.db2.gz JKZRHEKRQHNZMM-QWHCGFSZSA-N 0 3 231.343 2.792 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CC12CCCC2 ZINC000336298428 180283030 /nfs/dbraw/zinc/28/30/30/180283030.db2.gz LWRBGOXARUGJCS-RYUDHWBXSA-N 0 3 231.343 2.768 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CCC[C@H]1C ZINC000300332968 180283196 /nfs/dbraw/zinc/28/31/96/180283196.db2.gz DAEKSVVHTSAASF-ADEWGFFLSA-N 0 3 219.332 2.624 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@H]1CC=CCC1 ZINC000187853889 180283365 /nfs/dbraw/zinc/28/33/65/180283365.db2.gz JKZRHEKRQHNZMM-STQMWFEESA-N 0 3 231.343 2.792 20 0 BFADHN CCN1CCC(Nc2nc3ccccc3o2)CC1 ZINC000037979806 350294276 /nfs/dbraw/zinc/29/42/76/350294276.db2.gz SLUAQAOBSDNQDW-UHFFFAOYSA-N 0 3 245.326 2.724 20 0 BFADHN COCCCN1CCc2cc(F)ccc2[C@H]1C ZINC000606449263 350339383 /nfs/dbraw/zinc/33/93/83/350339383.db2.gz RSHBYSSEMRHLMZ-LLVKDONJSA-N 0 3 237.318 2.781 20 0 BFADHN Cc1ncsc1CN1CC(C2CCC2)C1 ZINC000336445909 180314762 /nfs/dbraw/zinc/31/47/62/180314762.db2.gz NHEHQBDWWKNZIO-UHFFFAOYSA-N 0 3 222.357 2.683 20 0 BFADHN Cc1ncsc1CN(C)[C@H](C)C(C)C ZINC000271043799 180315797 /nfs/dbraw/zinc/31/57/97/180315797.db2.gz KFFPREGZFRRLEH-SNVBAGLBSA-N 0 3 212.362 2.928 20 0 BFADHN Cc1ncsc1CN1CCS[C@H](C)CC1 ZINC000277060797 180316279 /nfs/dbraw/zinc/31/62/79/180316279.db2.gz QEKBTWFGOYXAEH-SECBINFHSA-N 0 3 242.413 2.779 20 0 BFADHN Cc1ncsc1CN1CCCC[C@H]1C ZINC000158947956 180316340 /nfs/dbraw/zinc/31/63/40/180316340.db2.gz JRSVOBSSRHBKBZ-SECBINFHSA-N 0 3 210.346 2.826 20 0 BFADHN c1nc(CNC[C@H]2Cc3ccccc32)cs1 ZINC000040505191 350370863 /nfs/dbraw/zinc/37/08/63/350370863.db2.gz SZARKJVNNVIXSB-LLVKDONJSA-N 0 3 230.336 2.573 20 0 BFADHN CSCCNC/C=C\c1ccc(F)cc1F ZINC000309419756 350379570 /nfs/dbraw/zinc/37/95/70/350379570.db2.gz AICJLUPVBWVCQF-IHWYPQMZSA-N 0 3 243.322 2.931 20 0 BFADHN Cc1ncsc1CN1CCCC[C@@H]1C ZINC000158947807 180317269 /nfs/dbraw/zinc/31/72/69/180317269.db2.gz JRSVOBSSRHBKBZ-VIFPVBQESA-N 0 3 210.346 2.826 20 0 BFADHN Cc1ncsc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC000093661153 180317957 /nfs/dbraw/zinc/31/79/57/180317957.db2.gz UYIGLELHRSYVAX-AOOOYVTPSA-N 0 3 222.357 2.539 20 0 BFADHN Cc1ncsc1CN1C[C@@H](C)C[C@H](C)C1 ZINC000158033371 180318466 /nfs/dbraw/zinc/31/84/66/180318466.db2.gz NQMZORTVNGUFGG-UWVGGRQHSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1ncsc1CNCCC(C)(F)F ZINC000294091226 180320171 /nfs/dbraw/zinc/32/01/71/180320171.db2.gz BFCNKOBCGYIGBO-UHFFFAOYSA-N 0 3 220.288 2.586 20 0 BFADHN CCn1ccnc1CNCCC(C)(C)C1CC1 ZINC000328398852 350399938 /nfs/dbraw/zinc/39/99/38/350399938.db2.gz CZVFYMPZKDUMCL-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN Cc1csc([C@H](NC(C)C)C2CC2)n1 ZINC000041061634 350409158 /nfs/dbraw/zinc/40/91/58/350409158.db2.gz CQMMRPBTWQWINL-SNVBAGLBSA-N 0 3 210.346 2.901 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2cnccc2C)C1(C)C ZINC000162696861 350411721 /nfs/dbraw/zinc/41/17/21/350411721.db2.gz JKFANWGQMUCGBA-UONOGXRCSA-N 0 3 248.370 2.683 20 0 BFADHN Fc1ccc(Cl)cc1CN[C@H]1CCCOC1 ZINC000162695529 350413622 /nfs/dbraw/zinc/41/36/22/350413622.db2.gz QKJWFPFAFMVPRZ-NSHDSACASA-N 0 3 243.709 2.748 20 0 BFADHN CCN(Cc1cc(C)n(C)n1)[C@@H](C)C(C)C ZINC000336674159 350432299 /nfs/dbraw/zinc/43/22/99/350432299.db2.gz LLXBZJGOOZUHBL-LBPRGKRZSA-N 0 3 223.364 2.595 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@@H]1C)c1nccn1C ZINC000070007337 350490086 /nfs/dbraw/zinc/49/00/86/350490086.db2.gz OOMGKTFUKAGPLG-SRVKXCTJSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1nn(C)cc1[C@H]1CCCN1CCCCF ZINC000367150872 180382559 /nfs/dbraw/zinc/38/25/59/180382559.db2.gz KFHJNBOGVJFZGK-CYBMUJFWSA-N 0 3 239.338 2.615 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@H](C)Cc1ccoc1 ZINC000361919377 180383317 /nfs/dbraw/zinc/38/33/17/180383317.db2.gz QMIBGAHWLHBJBL-MNOVXSKESA-N 0 3 247.342 2.603 20 0 BFADHN CC(C)CC[C@H](C)N[C@H](C)c1nccn1C ZINC000070007387 350488076 /nfs/dbraw/zinc/48/80/76/350488076.db2.gz WUZWMTIZEAVOGQ-NWDGAFQWSA-N 0 3 223.364 2.895 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@@H](C)C1)c1nccs1 ZINC000227205095 350488851 /nfs/dbraw/zinc/48/88/51/350488851.db2.gz VKPMKXNGZOMBTN-OPRDCNLKSA-N 0 3 210.346 2.982 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@@H]1C)c1ccc(F)cn1 ZINC000163166604 350509065 /nfs/dbraw/zinc/50/90/65/350509065.db2.gz WATMDSORRLOWAH-NHCYSSNCSA-N 0 3 222.307 2.917 20 0 BFADHN CCOC[C@@H](C)NCc1cc(F)ccc1C ZINC000163239078 350510586 /nfs/dbraw/zinc/51/05/86/350510586.db2.gz FUVZDLHKPNCTSJ-LLVKDONJSA-N 0 3 225.307 2.649 20 0 BFADHN Clc1ccc(CNCCc2nccs2)o1 ZINC000060229931 350497745 /nfs/dbraw/zinc/49/77/45/350497745.db2.gz BQCPSAPMEJZZKB-UHFFFAOYSA-N 0 3 242.731 2.722 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1ccccc1C ZINC000309446571 350499141 /nfs/dbraw/zinc/49/91/41/350499141.db2.gz JXJUXGDHALUGSO-KWCYVHTRSA-N 0 3 219.328 2.823 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@@H]3CCC[C@H]32)n1 ZINC000228172236 350531953 /nfs/dbraw/zinc/53/19/53/350531953.db2.gz RNALOVZPPIWVAC-GXTWGEPZSA-N 0 3 246.354 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@@H]3CCC[C@H]32)n1 ZINC000228172236 350531957 /nfs/dbraw/zinc/53/19/57/350531957.db2.gz RNALOVZPPIWVAC-GXTWGEPZSA-N 0 3 246.354 2.860 20 0 BFADHN CC(C)[C@H](NCCO)c1ccc(Cl)cc1F ZINC000134753268 191108304 /nfs/dbraw/zinc/10/83/04/191108304.db2.gz RRDWIZHTXJBWEO-LBPRGKRZSA-N 0 3 245.725 2.758 20 0 BFADHN CCCCN(C)CCN[C@H](C)c1ccc(C)o1 ZINC000163528355 350525820 /nfs/dbraw/zinc/52/58/20/350525820.db2.gz YOMXCYMZXPMONO-CYBMUJFWSA-N 0 3 238.375 2.971 20 0 BFADHN c1cn2cc(CNC[C@@H]3CC=CCC3)nc2s1 ZINC000134435164 191108723 /nfs/dbraw/zinc/10/87/23/191108723.db2.gz BGKGNXVMIMVQTP-LLVKDONJSA-N 0 3 247.367 2.842 20 0 BFADHN CCCC[C@@H](C)C(=O)N(CCN(C)C)C(C)C ZINC000337098865 350553126 /nfs/dbraw/zinc/55/31/26/350553126.db2.gz OXMOSBLIGOYURQ-CYBMUJFWSA-N 0 3 242.407 2.611 20 0 BFADHN Cc1csc([C@@H](C)N[C@H](C)C2CC2)n1 ZINC000070634139 350557380 /nfs/dbraw/zinc/55/73/80/350557380.db2.gz ANCPVQANMQTSMQ-RKDXNWHRSA-N 0 3 210.346 2.901 20 0 BFADHN CCC(O)(CC)CNCc1ccc(Cl)o1 ZINC000063918097 350577947 /nfs/dbraw/zinc/57/79/47/350577947.db2.gz CENIRSHHDOHMOB-UHFFFAOYSA-N 0 3 231.723 2.574 20 0 BFADHN CCCCN(C)C[C@@H](O)c1ccc(F)cc1F ZINC000051087988 350603900 /nfs/dbraw/zinc/60/39/00/350603900.db2.gz BRFKKDWCCAJHSZ-CYBMUJFWSA-N 0 3 243.297 2.730 20 0 BFADHN CCCc1ccc(CNCCOCC)cc1 ZINC000309491019 350582818 /nfs/dbraw/zinc/58/28/18/350582818.db2.gz XEFZXAHHSKOHNP-UHFFFAOYSA-N 0 3 221.344 2.765 20 0 BFADHN C[C@H](N[C@@H]1CCS[C@H]1C)c1ccoc1 ZINC000306596930 490642122 /nfs/dbraw/zinc/64/21/22/490642122.db2.gz NNMMTNPCHFFKIX-ATZCPNFKSA-N 0 3 211.330 2.824 20 0 BFADHN CCC1(CNCc2cccc(C)c2F)COC1 ZINC000393989389 350600882 /nfs/dbraw/zinc/60/08/82/350600882.db2.gz PREOCFNGECOJIK-UHFFFAOYSA-N 0 3 237.318 2.650 20 0 BFADHN CCC(CC)CN1CCc2ncsc2C1 ZINC000231254495 350617334 /nfs/dbraw/zinc/61/73/34/350617334.db2.gz QPNTWLLXWPFSBP-UHFFFAOYSA-N 0 3 224.373 2.937 20 0 BFADHN COc1ccccc1OCCN1CCC[C@@H](C)C1 ZINC000051150751 350608259 /nfs/dbraw/zinc/60/82/59/350608259.db2.gz JCWKGSKRRMHBNP-CYBMUJFWSA-N 0 3 249.354 2.806 20 0 BFADHN COC1CCN([C@@H](C)c2ccccc2F)CC1 ZINC000051155228 350609026 /nfs/dbraw/zinc/60/90/26/350609026.db2.gz JKGUBTDEBHPOLE-NSHDSACASA-N 0 3 237.318 2.998 20 0 BFADHN C[C@H](NCC(C)(C)C1CCC1)c1nccn1C ZINC000384909642 350632562 /nfs/dbraw/zinc/63/25/62/350632562.db2.gz DBHTVEOLDIQGHP-NSHDSACASA-N 0 3 235.375 2.897 20 0 BFADHN CC1(C)CN(C[C@@H]2CCCC(F)(F)C2)CCO1 ZINC000338265473 350675424 /nfs/dbraw/zinc/67/54/24/350675424.db2.gz ZNANSYWOXNLJMT-LLVKDONJSA-N 0 3 247.329 2.923 20 0 BFADHN CC1(C)COCCN1C[C@H]1CCCC(F)(F)C1 ZINC000338238058 350674362 /nfs/dbraw/zinc/67/43/62/350674362.db2.gz WUZBZFGKZUSLPV-NSHDSACASA-N 0 3 247.329 2.923 20 0 BFADHN Cc1noc(C)c1CNCC12CCC(CC1)C2 ZINC000378268680 180473378 /nfs/dbraw/zinc/47/33/78/180473378.db2.gz GPLSWSNWDDBBCE-UHFFFAOYSA-N 0 3 234.343 2.961 20 0 BFADHN C[C@H](c1ccc2c(c1)CCC2)N1CCCOCC1 ZINC000344230578 538098706 /nfs/dbraw/zinc/09/87/06/538098706.db2.gz LWPNZEBAJBYDGP-CYBMUJFWSA-N 0 3 245.366 2.959 20 0 BFADHN CN(C)CCSCc1ccccc1F ZINC000072261475 350677022 /nfs/dbraw/zinc/67/70/22/350677022.db2.gz YVOSUILOOSIRDS-UHFFFAOYSA-N 0 3 213.321 2.621 20 0 BFADHN CC[C@@H]1CCC[C@@H](CN2CC[C@](F)(CO)C2)C1 ZINC000339001558 350725493 /nfs/dbraw/zinc/72/54/93/350725493.db2.gz OCTWQRHKKVTLKY-MGPQQGTHSA-N 0 3 243.366 2.609 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CC[C@@](F)(CO)C2)C1 ZINC000339001559 350725733 /nfs/dbraw/zinc/72/57/33/350725733.db2.gz OCTWQRHKKVTLKY-RDBSUJKOSA-N 0 3 243.366 2.609 20 0 BFADHN COCCCN(Cc1cccs1)C1CC1 ZINC000051408270 350731294 /nfs/dbraw/zinc/73/12/94/350731294.db2.gz GTMQKDJMOLTECQ-UHFFFAOYSA-N 0 3 225.357 2.749 20 0 BFADHN CC[C@@H](O)CN[C@H](CC(C)C)c1ccccn1 ZINC000339080576 350731882 /nfs/dbraw/zinc/73/18/82/350731882.db2.gz CRFYLPDSFZUTMI-TZMCWYRMSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1cnns1 ZINC000334623660 350764324 /nfs/dbraw/zinc/76/43/24/350764324.db2.gz LJLMQMFAIUXDTP-MWLCHTKSSA-N 0 3 239.388 2.843 20 0 BFADHN CCCc1ccc([C@@H](C)NCCOC)cc1 ZINC000019881659 350816486 /nfs/dbraw/zinc/81/64/86/350816486.db2.gz KFCUQYHRLZCOJG-GFCCVEGCSA-N 0 3 221.344 2.936 20 0 BFADHN Cc1nocc1CN[C@@H]1C[C@@H]1Cc1ccccc1 ZINC000293660016 180509085 /nfs/dbraw/zinc/50/90/85/180509085.db2.gz TXNXIOIHEQDODE-DZGCQCFKSA-N 0 3 242.322 2.704 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2C[C@H]2CC(C)C)o1 ZINC000628308250 350783326 /nfs/dbraw/zinc/78/33/26/350783326.db2.gz CYJMSEJVXAXBPG-VXGBXAGGSA-N 0 3 222.332 2.816 20 0 BFADHN CC[C@@H](NCc1cc(F)cc(F)c1)C(C)(C)O ZINC000293223254 350862130 /nfs/dbraw/zinc/86/21/30/350862130.db2.gz YYFSZWWWAGCWKC-GFCCVEGCSA-N 0 3 243.297 2.604 20 0 BFADHN CCN(Cc1ccccc1F)C[C@H]1CCOC1 ZINC000052071462 350906404 /nfs/dbraw/zinc/90/64/04/350906404.db2.gz ILAIMJJNCZJYNO-GFCCVEGCSA-N 0 3 237.318 2.684 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1cnccn1 ZINC000252714714 350915033 /nfs/dbraw/zinc/91/50/33/350915033.db2.gz SSDZWZCUJZKBRB-UTUOFQBUSA-N 0 3 219.332 2.706 20 0 BFADHN Cc1ccc(NC2CCN(C)CC2)cc1F ZINC000019955704 350915837 /nfs/dbraw/zinc/91/58/37/350915837.db2.gz XNLJDIPSPFIGSH-UHFFFAOYSA-N 0 3 222.307 2.640 20 0 BFADHN CC[C@@H](COCC1CC1)NCc1ccnc(C)c1 ZINC000623563075 362987102 /nfs/dbraw/zinc/98/71/02/362987102.db2.gz MSRDHXRKMHEBNL-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccncc2Cl)CS1 ZINC000397809808 350977461 /nfs/dbraw/zinc/97/74/61/350977461.db2.gz GGYNMMUZMYJCST-PSASIEDQSA-N 0 3 242.775 2.719 20 0 BFADHN Cc1ncc(CN[C@H]2CC23CCCCC3)n1C ZINC000623569149 362995996 /nfs/dbraw/zinc/99/59/96/362995996.db2.gz WAXXHKJUMTVNAQ-ZDUSSCGKSA-N 0 3 233.359 2.541 20 0 BFADHN COC[C@H](C)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000035708378 358458980 /nfs/dbraw/zinc/45/89/80/358458980.db2.gz NRGIBOKMWUBSIA-SCVCMEIPSA-N 0 3 223.316 2.528 20 0 BFADHN C/C=C/CNCc1cn(C)nc1-c1cccs1 ZINC000623740429 351051937 /nfs/dbraw/zinc/05/19/37/351051937.db2.gz VZERYMSSSFNHMM-ONEGZZNKSA-N 0 3 247.367 2.814 20 0 BFADHN O=C(C[C@@H]1C=CCC1)Nc1ccc2c(c1)CNC2 ZINC000386449441 362993226 /nfs/dbraw/zinc/99/32/26/362993226.db2.gz LOTBJECTGJPKEC-LLVKDONJSA-N 0 3 242.322 2.585 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000628318475 351094817 /nfs/dbraw/zinc/09/48/17/351094817.db2.gz GPYWECABJNIOJU-QWHCGFSZSA-N 0 3 232.327 2.830 20 0 BFADHN Cc1nc(CN2CC[C@@H]3CCCC[C@@H]32)[nH]c1C ZINC000628321425 351183586 /nfs/dbraw/zinc/18/35/86/351183586.db2.gz GSRJCYGOYFPAOV-STQMWFEESA-N 0 3 233.359 2.791 20 0 BFADHN Cc1scc(CNCc2ncccc2[O-])c1C ZINC000631124809 351231316 /nfs/dbraw/zinc/23/13/16/351231316.db2.gz SEESYRGZOQVIQW-UHFFFAOYSA-N 0 3 248.351 2.755 20 0 BFADHN Cc1scc(C[NH2+]Cc2ncccc2[O-])c1C ZINC000631124809 351231318 /nfs/dbraw/zinc/23/13/18/351231318.db2.gz SEESYRGZOQVIQW-UHFFFAOYSA-N 0 3 248.351 2.755 20 0 BFADHN CCN(Cc1cc(OC)ccn1)CC(C)C ZINC000264416643 351236540 /nfs/dbraw/zinc/23/65/40/351236540.db2.gz KMKPNINQBQDPCM-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN Cc1ncc(CNCC[C@]2(C)CC2(F)F)o1 ZINC000628339212 351282682 /nfs/dbraw/zinc/28/26/82/351282682.db2.gz IKDIBIUIBRDLNV-SNVBAGLBSA-N 0 3 230.258 2.508 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](CCF)C2)o1 ZINC000628340089 351283876 /nfs/dbraw/zinc/28/38/76/351283876.db2.gz FFWIREQEJBAODI-NSHDSACASA-N 0 3 226.295 2.555 20 0 BFADHN CC(=O)Nc1cccc(CNCC2=CCCC2)c1 ZINC000631176623 351334618 /nfs/dbraw/zinc/33/46/18/351334618.db2.gz BIIYJPBHPAXHDZ-UHFFFAOYSA-N 0 3 244.338 2.845 20 0 BFADHN c1ccc2nc(CN[C@H]3[C@@H]4CCC[C@@H]43)cnc2c1 ZINC000628350000 351309373 /nfs/dbraw/zinc/30/93/73/351309373.db2.gz VILOVRVIYJXEKO-JYAVWHMHSA-N 0 3 239.322 2.518 20 0 BFADHN CC(C)n1ncnc1CN[C@@H](C)CCC1CC1 ZINC000398144266 363019721 /nfs/dbraw/zinc/01/97/21/363019721.db2.gz BWGXSRDBKABJTF-NSHDSACASA-N 0 3 236.363 2.527 20 0 BFADHN COC[C@H]1CCCCN1Cc1ccoc1C ZINC000414453447 351312013 /nfs/dbraw/zinc/31/20/13/351312013.db2.gz BQAXAKAYCQQZPJ-CYBMUJFWSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1nc(C)c(CN[C@@]2(C)CC2(C)C)o1 ZINC000628351342 351313477 /nfs/dbraw/zinc/31/34/77/351313477.db2.gz CBNKZGTVURTTIH-LBPRGKRZSA-N 0 3 208.305 2.570 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1cnc2ccccc2n1 ZINC000628351851 351314044 /nfs/dbraw/zinc/31/40/44/351314044.db2.gz QLMNSSRSSXUDKG-OAHLLOKOSA-N 0 3 241.338 2.908 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N1CC[C@H](OC)C1 ZINC000267693500 351319674 /nfs/dbraw/zinc/31/96/74/351319674.db2.gz SNGPGBNRQQQJCG-KBPBESRZSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@H]1F)[C@@H]1CCCCO1 ZINC000624001192 363022105 /nfs/dbraw/zinc/02/21/05/363022105.db2.gz YTGXCQPBKARJMG-LOWDOPEQSA-N 0 3 229.339 2.814 20 0 BFADHN Cc1nc(C)c(CNC[C@H](C)c2ccccn2)o1 ZINC000628336148 351278957 /nfs/dbraw/zinc/27/89/57/351278957.db2.gz AZSCHEGSQLRBCA-JTQLQIEISA-N 0 3 245.326 2.580 20 0 BFADHN C[C@@H](N[C@@H]1CCCC[C@H]1F)[C@@H]1CCCCO1 ZINC000624001193 363022237 /nfs/dbraw/zinc/02/22/37/363022237.db2.gz YTGXCQPBKARJMG-LPWJVIDDSA-N 0 3 229.339 2.814 20 0 BFADHN CC(C)CN(C)Cc1ccc(N2CCCC2)nc1 ZINC000271027563 351395503 /nfs/dbraw/zinc/39/55/03/351395503.db2.gz AWZPAXQHCVBLBU-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN CCN(Cc1sccc1OC)C1CC1 ZINC000271062883 351398250 /nfs/dbraw/zinc/39/82/50/351398250.db2.gz YUHFCAUXZLMTDB-UHFFFAOYSA-N 0 3 211.330 2.741 20 0 BFADHN CCC[C@H](CNCc1c(C)noc1C)OCC ZINC000623597774 363033993 /nfs/dbraw/zinc/03/39/93/363033993.db2.gz BOJUPUHZRNEQNW-GFCCVEGCSA-N 0 3 240.347 2.586 20 0 BFADHN CCC[C@@H](CNCc1occc1C)OCC ZINC000623598487 363035886 /nfs/dbraw/zinc/03/58/86/363035886.db2.gz DDFBOIMTOZJIFV-LBPRGKRZSA-N 0 3 225.332 2.883 20 0 BFADHN CCN(Cc1ccccc1C)[C@@H]1CCOC1 ZINC000271312285 351411571 /nfs/dbraw/zinc/41/15/71/351411571.db2.gz VPEABPVZBJYDRT-CQSZACIVSA-N 0 3 219.328 2.606 20 0 BFADHN CCC[C@@H](CNCc1ccncc1C)OCC ZINC000623599327 363037320 /nfs/dbraw/zinc/03/73/20/363037320.db2.gz DZGNRBXVURVFQQ-AWEZNQCLSA-N 0 3 236.359 2.685 20 0 BFADHN CSC[C@@H](C)N[C@H](C)c1cnc(C)s1 ZINC000090417552 363054918 /nfs/dbraw/zinc/05/49/18/363054918.db2.gz LSQADZUNJYVWRF-HTQZYQBOSA-N 0 3 230.402 2.854 20 0 BFADHN CC1(C)CCCN1Cc1cncc(F)c1 ZINC000275141130 351593270 /nfs/dbraw/zinc/59/32/70/351593270.db2.gz OVJWTGUFTGXXEF-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN CCCN(CC)Cc1cncc(OC)c1C ZINC000637809559 351646231 /nfs/dbraw/zinc/64/62/31/351646231.db2.gz ADPHIAZGMYZOJV-UHFFFAOYSA-N 0 3 222.332 2.631 20 0 BFADHN Cc1scc(CN2CCC[C@@H](CO)C2)c1C ZINC000637811609 351653119 /nfs/dbraw/zinc/65/31/19/351653119.db2.gz PYNSFXOZHFXLBK-GFCCVEGCSA-N 0 3 239.384 2.569 20 0 BFADHN CCCC[C@@H]1CCC[C@H]1NCc1nccc(N)n1 ZINC000276844814 351655556 /nfs/dbraw/zinc/65/55/56/351655556.db2.gz CRKWYBGHVMIUQQ-VXGBXAGGSA-N 0 3 248.374 2.507 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1ccon1 ZINC000088131872 351622845 /nfs/dbraw/zinc/62/28/45/351622845.db2.gz FHFXPOMSGFIMBO-CMPLNLGQSA-N 0 3 222.332 2.979 20 0 BFADHN COc1cncc(CN2CCC[C@H](C)C2)c1C ZINC000637831704 351681333 /nfs/dbraw/zinc/68/13/33/351681333.db2.gz FOWJKCHWCJOCBN-NSHDSACASA-N 0 3 234.343 2.631 20 0 BFADHN C[C@@H]1C[C@H](C)CN([C@H](c2nccn2C)C2CC2)C1 ZINC000637832674 351682273 /nfs/dbraw/zinc/68/22/73/351682273.db2.gz KSSYRXYGTFTFSE-SCRDCRAPSA-N 0 3 247.386 2.849 20 0 BFADHN C[C@H]1CCCN([C@H](c2nccn2C)C2CC2)C1 ZINC000637833549 351683846 /nfs/dbraw/zinc/68/38/46/351683846.db2.gz SKCLDOKSLDXKKU-AAEUAGOBSA-N 0 3 233.359 2.603 20 0 BFADHN C[C@@H]1CCCCN1[C@@H](c1nccn1C)C1CC1 ZINC000637836991 351690350 /nfs/dbraw/zinc/69/03/50/351690350.db2.gz WZAGLTACULMYHC-DGCLKSJQSA-N 0 3 233.359 2.746 20 0 BFADHN CC[C@@](C)(CN1CCn2cccc2[C@@H]1C)OC ZINC000637841199 351697624 /nfs/dbraw/zinc/69/76/24/351697624.db2.gz HWVCOFMVTYOEPO-JSGCOSHPSA-N 0 3 236.359 2.680 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H]1COC(C)(C)C1)CO2 ZINC000577725721 366044368 /nfs/dbraw/zinc/04/43/68/366044368.db2.gz HGPNXYOIVRYAKT-WCQYABFASA-N 0 3 247.338 2.586 20 0 BFADHN CCC[C@@H](CN1CCc2sccc2C1)OC ZINC000637885084 351751483 /nfs/dbraw/zinc/75/14/83/351751483.db2.gz ALYCLQIMNLKOQK-LBPRGKRZSA-N 0 3 239.384 2.921 20 0 BFADHN CCC[C@@H](CN(C)Cc1ccc(C)o1)OC ZINC000637853348 351708873 /nfs/dbraw/zinc/70/88/73/351708873.db2.gz MVPBXURGOHLYLS-LBPRGKRZSA-N 0 3 225.332 2.835 20 0 BFADHN CC[C@@](C)(CN(C)Cc1ccc(C)o1)OC ZINC000637852396 351711355 /nfs/dbraw/zinc/71/13/55/351711355.db2.gz FBXHXHAMGJECHV-ZDUSSCGKSA-N 0 3 225.332 2.835 20 0 BFADHN CO[C@](C)(CN(C)Cc1ccc(C)o1)C1CC1 ZINC000637854259 351713199 /nfs/dbraw/zinc/71/31/99/351713199.db2.gz WTRXHLSRJFOPAR-CQSZACIVSA-N 0 3 237.343 2.835 20 0 BFADHN Cc1cccc(N(C)C(=O)[C@@H](N)CCC(C)C)c1 ZINC000637907482 351777070 /nfs/dbraw/zinc/77/70/70/351777070.db2.gz NWPKWNBKKNJYSB-AWEZNQCLSA-N 0 3 248.370 2.721 20 0 BFADHN COc1ncc(CN2CCC[C@H]2C(C)C)s1 ZINC000637908392 351781377 /nfs/dbraw/zinc/78/13/77/351781377.db2.gz FTDFNKPXBOPYAV-NSHDSACASA-N 0 3 240.372 2.772 20 0 BFADHN CCN[C@@H](C)C(=O)N(C)[C@@H](C)c1ccc(C)cc1 ZINC000637915854 351790579 /nfs/dbraw/zinc/79/05/79/351790579.db2.gz AITCAJOEUUQQCF-STQMWFEESA-N 0 3 248.370 2.512 20 0 BFADHN CCN[C@@H](C)C(=O)N(C)[C@H](C)c1ccccc1C ZINC000637917063 351793861 /nfs/dbraw/zinc/79/38/61/351793861.db2.gz JXPMYNWOQKPEPQ-QWHCGFSZSA-N 0 3 248.370 2.512 20 0 BFADHN Cc1cc(C)c(/C=C\CN2CC[C@H](O)C2)cc1C ZINC000637920572 351795238 /nfs/dbraw/zinc/79/52/38/351795238.db2.gz UQTJJSKXLBYLOY-AWFCHZOYSA-N 0 3 245.366 2.692 20 0 BFADHN Cc1ncc([C@H](C)N2C3CCC2CC3)c(C)n1 ZINC000637887522 351754961 /nfs/dbraw/zinc/75/49/61/351754961.db2.gz UYMVSZPDPGLCMG-PKSQDBQZSA-N 0 3 231.343 2.781 20 0 BFADHN Cc1nsc(C)c1CN[C@H]1CSC[C@@H]1C ZINC000572374838 323613853 /nfs/dbraw/zinc/61/38/53/323613853.db2.gz BVCOBLCIVKSEGU-CPCISQLKSA-N 0 3 242.413 2.601 20 0 BFADHN CCN[C@H](C)C(=O)Nc1cccc(C(C)C)c1 ZINC000637887802 351755594 /nfs/dbraw/zinc/75/55/94/351755594.db2.gz YZBSFMYNKOJMFE-LLVKDONJSA-N 0 3 234.343 2.747 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NC(=O)C1(N)CCCCC1 ZINC000088300510 351757709 /nfs/dbraw/zinc/75/77/09/351757709.db2.gz POEHTPPWLGLZSP-VXGBXAGGSA-N 0 3 240.391 2.589 20 0 BFADHN COc1cncc(CN(C)CCC(C)C)c1C ZINC000637902507 351774862 /nfs/dbraw/zinc/77/48/62/351774862.db2.gz UBMSBHIIIOLZHU-UHFFFAOYSA-N 0 3 236.359 2.877 20 0 BFADHN CC1(C)CN([C@H]2CCc3ccc(F)cc32)C[C@H]1O ZINC000279708081 351821559 /nfs/dbraw/zinc/82/15/59/351821559.db2.gz HRWQZRZXVJNZNW-UONOGXRCSA-N 0 3 249.329 2.516 20 0 BFADHN CC1(C)CCN(Cc2cccnc2)CCS1 ZINC000279716941 351821595 /nfs/dbraw/zinc/82/15/95/351821595.db2.gz LEXCRCAABYHAIE-UHFFFAOYSA-N 0 3 236.384 2.799 20 0 BFADHN CC(C)CN(C)Cc1ccnn1C(C)C ZINC000279741355 351822573 /nfs/dbraw/zinc/82/25/73/351822573.db2.gz WZWLZPBQYDTRBK-UHFFFAOYSA-N 0 3 209.337 2.552 20 0 BFADHN COC[C@@H](C)CN(C)Cc1ccccc1F ZINC000178027014 366078323 /nfs/dbraw/zinc/07/83/23/366078323.db2.gz UNDHMYANWUCRTR-NSHDSACASA-N 0 3 225.307 2.540 20 0 BFADHN CC1(C)CN(Cc2cc3ccccc3o2)C[C@@H]1O ZINC000279874825 351827250 /nfs/dbraw/zinc/82/72/50/351827250.db2.gz UBXPPSPUPIDRHM-AWEZNQCLSA-N 0 3 245.322 2.636 20 0 BFADHN CC1(C)CN(Cc2cc3ccccc3o2)C[C@H]1O ZINC000279874826 351827627 /nfs/dbraw/zinc/82/76/27/351827627.db2.gz UBXPPSPUPIDRHM-CQSZACIVSA-N 0 3 245.322 2.636 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N(CC(C)C)C(C)C ZINC000637932075 351809497 /nfs/dbraw/zinc/80/94/97/351809497.db2.gz LUIMFOHCQVVDLP-ZDUSSCGKSA-N 0 3 242.407 2.643 20 0 BFADHN CC[C@@H](C)[C@H](CN(C)Cc1ccoc1)OC ZINC000637964989 351838905 /nfs/dbraw/zinc/83/89/05/351838905.db2.gz FAALYTQNYJVGTE-YPMHNXCESA-N 0 3 225.332 2.773 20 0 BFADHN COc1ncc(CN(CC2CC2)C(C)C)s1 ZINC000637934989 351812798 /nfs/dbraw/zinc/81/27/98/351812798.db2.gz ZHCJTFSPWDVMJV-UHFFFAOYSA-N 0 3 240.372 2.772 20 0 BFADHN CC1(C)CCN(Cc2cncs2)CCS1 ZINC000279501741 351814632 /nfs/dbraw/zinc/81/46/32/351814632.db2.gz WDWGSGNDSVMBLS-UHFFFAOYSA-N 0 3 242.413 2.861 20 0 BFADHN CC[C@H](C)[C@H](CN(C)C[C@@H]1CCCCO1)OC ZINC000637967901 351842451 /nfs/dbraw/zinc/84/24/51/351842451.db2.gz YVCXUIRQIVQFFI-IHRRRGAJSA-N 0 3 243.391 2.548 20 0 BFADHN C[C@@H]1CCN(Cc2ccnn2CC2CCC2)C1 ZINC000637971213 351843719 /nfs/dbraw/zinc/84/37/19/351843719.db2.gz RIEBJYRFQFGMEF-GFCCVEGCSA-N 0 3 233.359 2.525 20 0 BFADHN CC[C@@](C)(CN(C)Cc1ccoc1C)OC ZINC000637971970 351847356 /nfs/dbraw/zinc/84/73/56/351847356.db2.gz ZAIAFLAMJIYPEW-ZDUSSCGKSA-N 0 3 225.332 2.835 20 0 BFADHN CCCC[C@@H](COC)NCc1cnccc1C ZINC000280941052 351866970 /nfs/dbraw/zinc/86/69/70/351866970.db2.gz QVMSHEFYVZOABV-AWEZNQCLSA-N 0 3 236.359 2.685 20 0 BFADHN CCCC[C@@H](C)[C@H](C)NCc1nccn1C ZINC000336736163 351925661 /nfs/dbraw/zinc/92/56/61/351925661.db2.gz MJXRPAYQKOYNFU-NEPJUHHUSA-N 0 3 223.364 2.725 20 0 BFADHN Cc1ncc([C@H](C)N2CCC[C@H]2C)c(C)n1 ZINC000638068842 351940366 /nfs/dbraw/zinc/94/03/66/351940366.db2.gz LSCDHMORAKANTL-KOLCDFICSA-N 0 3 219.332 2.639 20 0 BFADHN Cc1ncc([C@@H](C)N2CCC[C@H]2C)c(C)n1 ZINC000638068843 351940415 /nfs/dbraw/zinc/94/04/15/351940415.db2.gz LSCDHMORAKANTL-MWLCHTKSSA-N 0 3 219.332 2.639 20 0 BFADHN c1cncc([C@@H](NCc2ccncc2)C2CC2)c1 ZINC000340105149 351904360 /nfs/dbraw/zinc/90/43/60/351904360.db2.gz OFWWYDSTVIRSGA-HNNXBMFYSA-N 0 3 239.322 2.718 20 0 BFADHN c1cncc([C@H](NCc2ccncc2)C2CC2)c1 ZINC000340105150 351904578 /nfs/dbraw/zinc/90/45/78/351904578.db2.gz OFWWYDSTVIRSGA-OAHLLOKOSA-N 0 3 239.322 2.718 20 0 BFADHN Fc1cccc2c1CN(CCC[C@H]1CCO1)CC2 ZINC000638088929 351966942 /nfs/dbraw/zinc/96/69/42/351966942.db2.gz ROBXXUWMLMGDPV-ZDUSSCGKSA-N 0 3 249.329 2.753 20 0 BFADHN c1cc(CN(CC2CC2)CC2CC2)sn1 ZINC000638090427 351968438 /nfs/dbraw/zinc/96/84/38/351968438.db2.gz DLNFQFGBQMXZNI-UHFFFAOYSA-N 0 3 222.357 2.765 20 0 BFADHN C[C@@H]1CCN([C@H](c2nccn2C)C2CC2)C[C@@H]1C ZINC000638094110 351973283 /nfs/dbraw/zinc/97/32/83/351973283.db2.gz YQWMXSOCTSPYMF-DYEKYZERSA-N 0 3 247.386 2.849 20 0 BFADHN COc1cncc(CN2C[C@H](C)C[C@H]2C)c1C ZINC000638110641 351987110 /nfs/dbraw/zinc/98/71/10/351987110.db2.gz NZOSAPBCFYCWPV-GHMZBOCLSA-N 0 3 234.343 2.629 20 0 BFADHN Cc1ncc([C@H](C)N2C[C@H](C)C[C@H]2C)c(C)n1 ZINC000638112350 351989487 /nfs/dbraw/zinc/98/94/87/351989487.db2.gz YFGXPQJKKOBXOF-FOGDFJRCSA-N 0 3 233.359 2.885 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1ccnn1CC1CCC1 ZINC000638111978 351989542 /nfs/dbraw/zinc/98/95/42/351989542.db2.gz XJKOKTUIPPSLGR-QWHCGFSZSA-N 0 3 247.386 2.914 20 0 BFADHN Cc1ccc(CC[C@@H](C)NCc2conc2C)o1 ZINC000339278932 191144801 /nfs/dbraw/zinc/14/48/01/191144801.db2.gz AWAXLOGODZJKKX-SNVBAGLBSA-N 0 3 248.326 2.995 20 0 BFADHN C[C@@H]1CCN([C@@H](c2nccn2C)C2CC2)[C@@H]1C ZINC000638162605 352027091 /nfs/dbraw/zinc/02/70/91/352027091.db2.gz JAZSAPKFWJZFDE-NQBHXWOUSA-N 0 3 233.359 2.602 20 0 BFADHN C[C@@H]1CCN([C@H](c2nccn2C)C2CC2)[C@@H]1C ZINC000638162607 352027419 /nfs/dbraw/zinc/02/74/19/352027419.db2.gz JAZSAPKFWJZFDE-WZRBSPASSA-N 0 3 233.359 2.602 20 0 BFADHN CC(C)[C@@H]1CCN([C@H](c2nccn2C)C2CC2)C1 ZINC000638168064 352035996 /nfs/dbraw/zinc/03/59/96/352035996.db2.gz BRKJXUBWVPTQOA-KGLIPLIRSA-N 0 3 247.386 2.849 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cccc(F)c1N ZINC000638173402 352037026 /nfs/dbraw/zinc/03/70/26/352037026.db2.gz WZIOAEGOXVXXTB-NXEZZACHSA-N 0 3 222.307 2.781 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1c2c(nn1C)CCCC2 ZINC000638173077 352037284 /nfs/dbraw/zinc/03/72/84/352037284.db2.gz SAJDTMPLVUDPOQ-TXEJJXNPSA-N 0 3 247.386 2.672 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1[C@H](c1nccn1C)C1CC1 ZINC000638171426 352039061 /nfs/dbraw/zinc/03/90/61/352039061.db2.gz KNYPXGQKTUYPDN-WZRBSPASSA-N 0 3 233.359 2.744 20 0 BFADHN CC[C@H]1CCN([C@H](c2nccn2C)C2CC2)C1 ZINC000638172119 352039584 /nfs/dbraw/zinc/03/95/84/352039584.db2.gz UVLRLSRKXSOYFJ-AAEUAGOBSA-N 0 3 233.359 2.603 20 0 BFADHN C[C@@H](N(C)Cc1ccns1)C1(C)CC1 ZINC000638172590 352040706 /nfs/dbraw/zinc/04/07/06/352040706.db2.gz VVHGZJNCXRCIJV-SECBINFHSA-N 0 3 210.346 2.764 20 0 BFADHN c1cc(CNCCCNc2ccccc2)sn1 ZINC000638274422 352111534 /nfs/dbraw/zinc/11/15/34/352111534.db2.gz OHVMXKYVTCBOPS-UHFFFAOYSA-N 0 3 247.367 2.735 20 0 BFADHN Cc1ncc([C@H](C)N2CC[C@H]2C(C)C)c(C)n1 ZINC000638274699 352112380 /nfs/dbraw/zinc/11/23/80/352112380.db2.gz IQUUEBLGERJGEC-FZMZJTMJSA-N 0 3 233.359 2.885 20 0 BFADHN CC1(C)CN(Cc2ccc3occc3c2)C[C@@H]1O ZINC000289784462 352198013 /nfs/dbraw/zinc/19/80/13/352198013.db2.gz RNCFYSTYGSDTME-AWEZNQCLSA-N 0 3 245.322 2.636 20 0 BFADHN Cc1nc2ccccc2nc1CNC[C@@H]1C[C@H]1C ZINC000638362643 352175187 /nfs/dbraw/zinc/17/51/87/352175187.db2.gz JFJGRSJJEGZRGG-PWSUYJOCSA-N 0 3 241.338 2.684 20 0 BFADHN CC(C)CN(Cc1ccn(C)n1)CC(C)(C)C ZINC000340275737 352145239 /nfs/dbraw/zinc/14/52/39/352145239.db2.gz BVZAECPEQQYZIC-UHFFFAOYSA-N 0 3 237.391 2.924 20 0 BFADHN CC(C)COC[C@@H](NC(C)C)c1ccco1 ZINC000125385890 187635954 /nfs/dbraw/zinc/63/59/54/187635954.db2.gz BTKXCTHXBJFIBC-GFCCVEGCSA-N 0 3 225.332 2.991 20 0 BFADHN CC1(C)CN(C[C@@H](O)c2ccccc2)[C@H]1C1CC1 ZINC000290745464 352239586 /nfs/dbraw/zinc/23/95/86/352239586.db2.gz HYKOIVNIZAYZMT-CABCVRRESA-N 0 3 245.366 2.840 20 0 BFADHN CCOc1ccccc1CN[C@@H](C)[C@H]1CCCO1 ZINC000020205433 352204387 /nfs/dbraw/zinc/20/43/87/352204387.db2.gz WAKBRQMUSXTZJE-GXTWGEPZSA-N 0 3 249.354 2.742 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cc(C)no1 ZINC000290038323 352208225 /nfs/dbraw/zinc/20/82/25/352208225.db2.gz WHZKBSZRUAYSBN-NSHDSACASA-N 0 3 210.321 2.994 20 0 BFADHN CCN(Cc1cncc(OC)c1)CC(C)C ZINC000290215173 352215910 /nfs/dbraw/zinc/21/59/10/352215910.db2.gz HNIQNAHGJJWMOJ-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN CC[C@H](c1ccncc1)N(C)CCC1(O)CCC1 ZINC000411116434 191161222 /nfs/dbraw/zinc/16/12/22/191161222.db2.gz VIPKZPPNUDEEPQ-CQSZACIVSA-N 0 3 248.370 2.770 20 0 BFADHN COc1cccc(C)c1CNC[C@H]1CCCCO1 ZINC000638425292 352232962 /nfs/dbraw/zinc/23/29/62/352232962.db2.gz DZRAVOYQPIDGFJ-CYBMUJFWSA-N 0 3 249.354 2.662 20 0 BFADHN CCN(Cc1sc(C)nc1C)C[C@@H](C)OC ZINC000291047941 352256830 /nfs/dbraw/zinc/25/68/30/352256830.db2.gz HQYAVIVWSCMCHI-SECBINFHSA-N 0 3 242.388 2.617 20 0 BFADHN C[C@H](N[C@@H]1[C@H]2CCO[C@@H]2C1(C)C)c1ccccn1 ZINC000160815494 187641438 /nfs/dbraw/zinc/64/14/38/187641438.db2.gz NICAWQVMEODXKI-UNJBNNCHSA-N 0 3 246.354 2.546 20 0 BFADHN CN(CCc1cncs1)Cc1cccs1 ZINC000411151081 191164861 /nfs/dbraw/zinc/16/48/61/191164861.db2.gz YUVZUHBSMBIRTL-UHFFFAOYSA-N 0 3 238.381 2.879 20 0 BFADHN CCN(Cc1cn2cccnc2n1)CC(C)(C)C ZINC000291194482 352265875 /nfs/dbraw/zinc/26/58/75/352265875.db2.gz JDHKVDMZCVTPCI-UHFFFAOYSA-N 0 3 246.358 2.597 20 0 BFADHN CC1(F)CCN(Cc2ccc(CO)cc2)CC1 ZINC000630073942 363157593 /nfs/dbraw/zinc/15/75/93/363157593.db2.gz YXYVMBNBVGCAKT-UHFFFAOYSA-N 0 3 237.318 2.503 20 0 BFADHN CCN(Cc1cc(C)no1)CC(C)(C)C ZINC000291267915 352270614 /nfs/dbraw/zinc/27/06/14/352270614.db2.gz PIROTMVWRSOQIA-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC23CC3)on1 ZINC000630074873 363158881 /nfs/dbraw/zinc/15/88/81/363158881.db2.gz TYLPTWJGADRMBT-NSHDSACASA-N 0 3 220.316 2.653 20 0 BFADHN CCC(CC)CN(CC)[C@@H](C)c1n[nH]c(C)n1 ZINC000411168104 191165873 /nfs/dbraw/zinc/16/58/73/191165873.db2.gz YXMHMWOMGIUCMY-JTQLQIEISA-N 0 3 238.379 2.932 20 0 BFADHN CCCN(CCC)[C@H](C)C(=O)NC(CC)CC ZINC000411168963 191166108 /nfs/dbraw/zinc/16/61/08/191166108.db2.gz RLBXLSBIYWRWIG-GFCCVEGCSA-N 0 3 242.407 2.802 20 0 BFADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1ccns1 ZINC000630076754 363170970 /nfs/dbraw/zinc/17/09/70/363170970.db2.gz NPBLTVZIQKGVNX-FGWVZKOKSA-N 0 3 222.357 2.906 20 0 BFADHN CC1(C)CN(Cc2ccncc2)[C@@H]1C1CC1 ZINC000291709542 352287124 /nfs/dbraw/zinc/28/71/24/352287124.db2.gz ZREWDDBUDAOKGK-CYBMUJFWSA-N 0 3 216.328 2.702 20 0 BFADHN CCN(CC)Cc1cccnc1C(F)(F)F ZINC000528345400 323798644 /nfs/dbraw/zinc/79/86/44/323798644.db2.gz QCTBNGKCYVAECG-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN CCN(Cc1cc2ccccc2o1)[C@H](C)CO ZINC000292202850 352307258 /nfs/dbraw/zinc/30/72/58/352307258.db2.gz NXKYBSXNYAMCQH-LLVKDONJSA-N 0 3 233.311 2.636 20 0 BFADHN CCN(Cc1cc(C)sc1C)[C@H](C)CO ZINC000292212683 352307626 /nfs/dbraw/zinc/30/76/26/352307626.db2.gz ONIVVJNQXFRSPX-SECBINFHSA-N 0 3 227.373 2.568 20 0 BFADHN CCN(Cc1ccc(Cl)cc1)[C@H](C)CO ZINC000292233738 352308910 /nfs/dbraw/zinc/30/89/10/352308910.db2.gz PUFIXJXSXBNVEZ-SNVBAGLBSA-N 0 3 227.735 2.543 20 0 BFADHN C[C@H]1CN([C@@H]2C[C@H]2c2cccc(F)c2)CCCO1 ZINC000411220233 191173263 /nfs/dbraw/zinc/17/32/63/191173263.db2.gz RTTXOKVXSCXNOM-TUKIKUTGSA-N 0 3 249.329 2.792 20 0 BFADHN CCN(Cc1cc(Cl)cs1)[C@H](C)CO ZINC000292845950 352330712 /nfs/dbraw/zinc/33/07/12/352330712.db2.gz SRYKDTPRLFVRGG-MRVPVSSYSA-N 0 3 233.764 2.604 20 0 BFADHN Cc1ccc(CN2CC[C@H]2C(C)C)cn1 ZINC000336034818 538102798 /nfs/dbraw/zinc/10/27/98/538102798.db2.gz XIDLLDQSNPYQJP-ZDUSSCGKSA-N 0 3 204.317 2.620 20 0 BFADHN FC(F)C1CCN(CCc2cncs2)CC1 ZINC000411321972 191178343 /nfs/dbraw/zinc/17/83/43/191178343.db2.gz HVXXBHJZKUKECS-UHFFFAOYSA-N 0 3 246.326 2.663 20 0 BFADHN CC[C@H](C)CN(CC)[C@@H](C)c1n[nH]c(C)n1 ZINC000411340253 191178356 /nfs/dbraw/zinc/17/83/56/191178356.db2.gz ZXSAHHYJWXWUCY-UWVGGRQHSA-N 0 3 224.352 2.542 20 0 BFADHN CC[C@H](C)N(C)Cc1csc(C(=O)OC)c1 ZINC000411361931 191179702 /nfs/dbraw/zinc/17/97/02/191179702.db2.gz HZDSUQDYQNGNBG-VIFPVBQESA-N 0 3 241.356 2.765 20 0 BFADHN CSC[C@@H]1CCCN1Cc1oc(C)nc1C ZINC000618905153 352345589 /nfs/dbraw/zinc/34/55/89/352345589.db2.gz ZCZGYCXYGJSJDH-NSHDSACASA-N 0 3 240.372 2.619 20 0 BFADHN C[C@H](O)CCNC1(c2cccc(Cl)c2)CC1 ZINC000411468320 191187901 /nfs/dbraw/zinc/18/79/01/191187901.db2.gz GXXHMOHRUVJYGP-JTQLQIEISA-N 0 3 239.746 2.690 20 0 BFADHN CC1(C)C[C@H]1CNCc1cc(C2CC2)no1 ZINC000638584364 352414332 /nfs/dbraw/zinc/41/43/32/352414332.db2.gz JZMPVTCEHCGULV-JTQLQIEISA-N 0 3 220.316 2.688 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@H](O)C1)c1cccc(Cl)c1 ZINC000295872186 352426394 /nfs/dbraw/zinc/42/63/94/352426394.db2.gz GIUCKYDNHNIVIU-FRRDWIJNSA-N 0 3 239.746 2.904 20 0 BFADHN CC(C)c1cc(CNC[C@@H]2C[C@H]3C[C@H]3C2)on1 ZINC000638596265 352426470 /nfs/dbraw/zinc/42/64/70/352426470.db2.gz HOSOAQOPEKCPOH-ZSBIGDGJSA-N 0 3 234.343 2.934 20 0 BFADHN Cc1ccc(CCNCc2ccns2)cc1 ZINC000638610017 352448267 /nfs/dbraw/zinc/44/82/67/352448267.db2.gz NXINFAXYTMRMDW-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN O[C@H]1CCCN([C@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000411766122 191208624 /nfs/dbraw/zinc/20/86/24/191208624.db2.gz BEKKOXVFQULPPU-KKUMJFAQSA-N 0 3 249.329 2.528 20 0 BFADHN CCN(Cc1cc(C)cc(Cl)c1)[C@@H](C)CO ZINC000353585713 352466163 /nfs/dbraw/zinc/46/61/63/352466163.db2.gz VBXKWDRXZCEVCB-NSHDSACASA-N 0 3 241.762 2.851 20 0 BFADHN CCN(Cc1ccncc1)C[C@@H]1CCC(C)(C)O1 ZINC000299717902 352545236 /nfs/dbraw/zinc/54/52/36/352545236.db2.gz RVMPDTRROUDPQD-AWEZNQCLSA-N 0 3 248.370 2.861 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cc(C)ncn1 ZINC000298218906 352496951 /nfs/dbraw/zinc/49/69/51/352496951.db2.gz FQAOKTFASXGKLE-GFCCVEGCSA-N 0 3 221.348 2.796 20 0 BFADHN CC[C@H](N)C(=O)N[C@@H](c1cccc(C)c1)C(C)C ZINC000638664949 352509313 /nfs/dbraw/zinc/50/93/13/352509313.db2.gz AUAFDKPSLHEQMR-UONOGXRCSA-N 0 3 248.370 2.546 20 0 BFADHN FC(F)(F)CCCNCc1cc(C2CC2)no1 ZINC000638690348 352526627 /nfs/dbraw/zinc/52/66/27/352526627.db2.gz RUUNFPPKOLYEPP-UHFFFAOYSA-N 0 3 248.248 2.984 20 0 BFADHN CCCC[C@@H](CC)CNC(=O)[C@@H](N)CC(C)C ZINC000040806302 352604555 /nfs/dbraw/zinc/60/45/55/352604555.db2.gz CIFFGCTVOFCSJI-OLZOCXBDSA-N 0 3 242.407 2.692 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2ccncc2)sn1 ZINC000638718016 352564829 /nfs/dbraw/zinc/56/48/29/352564829.db2.gz KCTLJABMJORPRW-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN CCC1(NCc2cc(C3CC3)no2)CCC1 ZINC000638723546 352574195 /nfs/dbraw/zinc/57/41/95/352574195.db2.gz OKUOAMKWWAFBLG-UHFFFAOYSA-N 0 3 220.316 2.974 20 0 BFADHN CO[C@H]1c2ccccc2C[C@H]1N[C@H]1C=CCCC1 ZINC000411894746 191223861 /nfs/dbraw/zinc/22/38/61/191223861.db2.gz SRYCNKUBZHXQHI-IMJJTQAJSA-N 0 3 243.350 2.997 20 0 BFADHN CC[C@H](F)CN[C@@H]1Cc2ccccc2[C@@H]1OC ZINC000411890044 191224191 /nfs/dbraw/zinc/22/41/91/191224191.db2.gz DJLSOEUDEBCAET-YUTCNCBUSA-N 0 3 237.318 2.637 20 0 BFADHN CC(C)CCN1CCO[C@H](C2CCC2)C1 ZINC000411874357 191226368 /nfs/dbraw/zinc/22/63/68/191226368.db2.gz MFOCKBBEANKGEQ-ZDUSSCGKSA-N 0 3 211.349 2.533 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@@H]2CCC[C@H]2C1 ZINC000608063993 352663270 /nfs/dbraw/zinc/66/32/70/352663270.db2.gz SNLZPKDIBVYWKI-MELADBBJSA-N 0 3 247.386 2.961 20 0 BFADHN CC(C)C[C@H](C)CN1CCCc2c(cnn2C)C1 ZINC000411855526 191220701 /nfs/dbraw/zinc/22/07/01/191220701.db2.gz QECKQXOXZXRMFB-ZDUSSCGKSA-N 0 3 249.402 2.851 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1ccc(C)cn1)C1CC1 ZINC000411972444 191233041 /nfs/dbraw/zinc/23/30/41/191233041.db2.gz QAXZSJONLLHGDT-WFASDCNBSA-N 0 3 248.370 2.683 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1cccc(C)n1)C1CC1 ZINC000411956503 191233648 /nfs/dbraw/zinc/23/36/48/191233648.db2.gz VNSJBJZFIFGPRG-IUODEOHRSA-N 0 3 248.370 2.683 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1cccnc1C)C1CC1 ZINC000411968274 191234585 /nfs/dbraw/zinc/23/45/85/191234585.db2.gz JIEFBADVZPEESO-DOMZBBRYSA-N 0 3 248.370 2.683 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnn(CC(C)C)c1 ZINC000341192202 352697240 /nfs/dbraw/zinc/69/72/40/352697240.db2.gz RVJXMFAHGQMGMY-CQSZACIVSA-N 0 3 235.375 2.914 20 0 BFADHN CC[C@H](F)CN[C@@H](C)[C@](C)(OC)C1CC1 ZINC000411965467 191235307 /nfs/dbraw/zinc/23/53/07/191235307.db2.gz FLVXUUQTOZTGAG-DLOVCJGASA-N 0 3 217.328 2.528 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1cnccc1C)C1CC1 ZINC000411970644 191236071 /nfs/dbraw/zinc/23/60/71/191236071.db2.gz NNNXSYFAQCXQRZ-DOMZBBRYSA-N 0 3 248.370 2.683 20 0 BFADHN CCc1ccc(CN[C@H](C)C2(OC)CCC2)nc1 ZINC000412021895 191238878 /nfs/dbraw/zinc/23/88/78/191238878.db2.gz OAMGLNYFNUKJLW-GFCCVEGCSA-N 0 3 248.370 2.691 20 0 BFADHN CCC[C@H](O)CN(CC)Cc1occc1C ZINC000412028297 191239067 /nfs/dbraw/zinc/23/90/67/191239067.db2.gz RSKYGWXWNLCREP-LBPRGKRZSA-N 0 3 225.332 2.571 20 0 BFADHN CCN(CCC1(O)CCC1)Cc1occc1C ZINC000412029712 191241445 /nfs/dbraw/zinc/24/14/45/191241445.db2.gz VEJRYTQUUCQOPD-UHFFFAOYSA-N 0 3 237.343 2.715 20 0 BFADHN Cc1ccc(CCNCc2ncccc2F)cc1 ZINC000638778760 352728618 /nfs/dbraw/zinc/72/86/18/352728618.db2.gz FPWXEVZPJDWWJV-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN C([C@@H]1CCC2(CCCC2)O1)N1CCSCC1 ZINC000334179462 352765993 /nfs/dbraw/zinc/76/59/93/352765993.db2.gz ALRGMNXXWMNIPN-LBPRGKRZSA-N 0 3 241.400 2.527 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCOC[C@@H](C)C1 ZINC000334185665 352773891 /nfs/dbraw/zinc/77/38/91/352773891.db2.gz FPDGHYFKCHKDOS-NWDGAFQWSA-N 0 3 237.318 2.855 20 0 BFADHN CC(C)n1ccc(CN2CC[C@H]3CCC[C@@H]32)n1 ZINC000334212708 352811000 /nfs/dbraw/zinc/81/10/00/352811000.db2.gz CYZFODKDRMSYOH-OCCSQVGLSA-N 0 3 233.359 2.838 20 0 BFADHN C[C@H](CN1CCc2n[nH]cc2C1)c1ccccc1 ZINC000334217278 352817866 /nfs/dbraw/zinc/81/78/66/352817866.db2.gz SBWYJVGAUSEORR-GFCCVEGCSA-N 0 3 241.338 2.572 20 0 BFADHN COC(=O)CCCN[C@H](C)c1cc(C)oc1C ZINC000037417188 358473048 /nfs/dbraw/zinc/47/30/48/358473048.db2.gz SLWKFEYLMFFTOS-SNVBAGLBSA-N 0 3 239.315 2.500 20 0 BFADHN Cc1cc(CN2CC[C@]3(CC[C@@H](C)C3)C2)on1 ZINC000334219095 352821364 /nfs/dbraw/zinc/82/13/64/352821364.db2.gz FLDQGUHXVBSHNM-RISCZKNCSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1c(CN2CC[C@@]3(CC[C@H](C)C3)C2)cnn1C ZINC000334189632 352779400 /nfs/dbraw/zinc/77/94/00/352779400.db2.gz IYOPYLFGJSQYKN-SWLSCSKDSA-N 0 3 247.386 2.741 20 0 BFADHN CC(=O)c1ccc2c(c1)CCN(C(C)C)CC2 ZINC000334198771 352790865 /nfs/dbraw/zinc/79/08/65/352790865.db2.gz MDYZKRQMCPAUIC-UHFFFAOYSA-N 0 3 231.339 2.698 20 0 BFADHN CCCC[C@@H](CCC)CNC(=O)[C@H](N)C(C)C ZINC000119558553 323928280 /nfs/dbraw/zinc/92/82/80/323928280.db2.gz FLVFPYARIXVOJU-CHWSQXEVSA-N 0 3 242.407 2.692 20 0 BFADHN COc1ccc(CN2CC[C@@H]2C(C)C)c(OC)c1 ZINC000342859461 352878194 /nfs/dbraw/zinc/87/81/94/352878194.db2.gz GAVUMWNWWIWDJT-CQSZACIVSA-N 0 3 249.354 2.934 20 0 BFADHN Cc1cnc(CN2CCC[C@@H](C(C)(C)C)C2)n1C ZINC000342843674 352872389 /nfs/dbraw/zinc/87/23/89/352872389.db2.gz DJPVPEJBNUWRAG-CYBMUJFWSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1nccn1CCN1CCC[C@@H]2CCCC[C@@H]21 ZINC000334248174 352839800 /nfs/dbraw/zinc/83/98/00/352839800.db2.gz OQNKMFUCZZZFMY-GJZGRUSLSA-N 0 3 247.386 2.846 20 0 BFADHN Cc1ccnc(CN2CCC[C@H]3CCCC[C@@H]32)n1 ZINC000334248673 352840490 /nfs/dbraw/zinc/84/04/90/352840490.db2.gz QRZRKQIJLVFOAH-KGLIPLIRSA-N 0 3 245.370 2.940 20 0 BFADHN CC(C)n1ccnc1CN1CC[C@H]2CCC[C@H]21 ZINC000334270264 352906861 /nfs/dbraw/zinc/90/68/61/352906861.db2.gz SBVYZBUJENPDPX-CHWSQXEVSA-N 0 3 233.359 2.838 20 0 BFADHN CCn1nccc1CN1CCCCC[C@H]1C ZINC000334270899 352907687 /nfs/dbraw/zinc/90/76/87/352907687.db2.gz GEJCWHVHQORGBR-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN Cc1ccc(CN2CCC[C@H]2[C@H]2CCCO2)cn1 ZINC000334282143 352922558 /nfs/dbraw/zinc/92/25/58/352922558.db2.gz TUGFOUWWKKOLTP-LSDHHAIUSA-N 0 3 246.354 2.533 20 0 BFADHN Cc1ncc(CN2CCC[C@H]3CCCC[C@@H]32)cn1 ZINC000334289282 352928419 /nfs/dbraw/zinc/92/84/19/352928419.db2.gz JVTOETLDYLRWCQ-CABCVRRESA-N 0 3 245.370 2.940 20 0 BFADHN COCc1ccc(CN2CC[C@H]2C(C)C)o1 ZINC000342861797 352879414 /nfs/dbraw/zinc/87/94/14/352879414.db2.gz NRJNJFKKFUTEMO-ZDUSSCGKSA-N 0 3 223.316 2.656 20 0 BFADHN COc1cc(CN2CCC[C@@H](C)CC2)ccn1 ZINC000342861545 352879647 /nfs/dbraw/zinc/87/96/47/352879647.db2.gz XTDBLDHHZFGXFW-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CCN(Cc1ccnc(OC)c1)CC(C)C ZINC000342861044 352879764 /nfs/dbraw/zinc/87/97/64/352879764.db2.gz VIUMQIDYHKOZGF-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN Cc1cccc2ncc(CN3CC[C@@H]3C(C)C)n21 ZINC000342863529 352881398 /nfs/dbraw/zinc/88/13/98/352881398.db2.gz YCOCZPVNXBVGRL-CQSZACIVSA-N 0 3 243.354 2.873 20 0 BFADHN CC(=O)Nc1ccccc1CN1CC[C@@H]1C(C)C ZINC000342867402 352882761 /nfs/dbraw/zinc/88/27/61/352882761.db2.gz QULPUYNWUYUPIW-OAHLLOKOSA-N 0 3 246.354 2.875 20 0 BFADHN CCc1nocc1CN1CCC(C)(C)CC1 ZINC000334254226 352892195 /nfs/dbraw/zinc/89/21/95/352892195.db2.gz XUGYLYZZBFUJJG-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN CCc1nocc1CN1CC(C)=C[C@H](C)C1 ZINC000334260885 352893161 /nfs/dbraw/zinc/89/31/61/352893161.db2.gz SHQHUQJVMURGEZ-JTQLQIEISA-N 0 3 220.316 2.635 20 0 BFADHN COc1ccccc1CNCCC(C)(F)F ZINC000294134011 187921667 /nfs/dbraw/zinc/92/16/67/187921667.db2.gz FUGBLEXHUBEVRH-UHFFFAOYSA-N 0 3 229.270 2.830 20 0 BFADHN Cc1nccc(CN(C)C2CCC(C)CC2)n1 ZINC000334314186 352945092 /nfs/dbraw/zinc/94/50/92/352945092.db2.gz LXQGZAUZQYNQGL-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN COCC[C@@H](C)N(C)Cc1cc(C)ccc1F ZINC000444745996 538106139 /nfs/dbraw/zinc/10/61/39/538106139.db2.gz WMKINPIIJNWFRT-GFCCVEGCSA-N 0 3 239.334 2.991 20 0 BFADHN CCOc1ncccc1CNC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000638853558 353024235 /nfs/dbraw/zinc/02/42/35/353024235.db2.gz MPTVOJJGFMPCGG-UBSAEIQESA-N 0 3 246.354 2.616 20 0 BFADHN Cc1cnc(CN2CC[C@H](C(C)C)C2)s1 ZINC000334360983 353010469 /nfs/dbraw/zinc/01/04/69/353010469.db2.gz BMEQMBDXRWBKFJ-NSHDSACASA-N 0 3 224.373 2.929 20 0 BFADHN CCC(C)(C)CCNC(=O)C1(N)CCCCC1 ZINC000638865758 353039818 /nfs/dbraw/zinc/03/98/18/353039818.db2.gz JFZSZXYYFFKABX-UHFFFAOYSA-N 0 3 240.391 2.591 20 0 BFADHN CC(C)Cn1ccnc1CNC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000638871132 353043165 /nfs/dbraw/zinc/04/31/65/353043165.db2.gz VZDBFHFMGZXMAS-BTTYYORXSA-N 0 3 247.386 2.675 20 0 BFADHN CC(C)C[C@@H]1OCCC[C@@H]1NCc1cccnc1 ZINC000185196463 188000613 /nfs/dbraw/zinc/00/06/13/188000613.db2.gz BGVGEGUFLJVBSB-GJZGRUSLSA-N 0 3 248.370 2.765 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2C[C@H](O)C2(C)C)o1 ZINC000187672527 188001710 /nfs/dbraw/zinc/00/17/10/188001710.db2.gz AVQDQMBZVPNGAB-BIMULSAOSA-N 0 3 237.343 2.652 20 0 BFADHN CCN(CCC(F)(F)F)CC1=CCCOC1 ZINC000092753856 538107897 /nfs/dbraw/zinc/10/78/97/538107897.db2.gz BCKBYASTMIRQGW-UHFFFAOYSA-N 0 3 237.265 2.607 20 0 BFADHN CC(C)N(C)c1ccc(CN2CCCCC2)cn1 ZINC000191452797 188003036 /nfs/dbraw/zinc/00/30/36/188003036.db2.gz CMYLCLANOFWCQT-UHFFFAOYSA-N 0 3 247.386 2.912 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCC[C@H]1CCO1 ZINC000638922304 353080470 /nfs/dbraw/zinc/08/04/70/353080470.db2.gz CYOOHZCXTVDVJJ-OLZOCXBDSA-N 0 3 234.343 2.644 20 0 BFADHN Cc1cc(Cl)cc(CN[C@H]2CCOC2)c1 ZINC000339317282 188007327 /nfs/dbraw/zinc/00/73/27/188007327.db2.gz MRRXENXIHCHLED-LBPRGKRZSA-N 0 3 225.719 2.527 20 0 BFADHN CCc1nc(C)c([C@H](C)NC[C@@H](C)OC)s1 ZINC000188113197 353122816 /nfs/dbraw/zinc/12/28/16/353122816.db2.gz FSUUMMYIZMRMLA-BDAKNGLRSA-N 0 3 242.388 2.699 20 0 BFADHN CC(C)N(Cc1ccco1)CC1(C)COC1 ZINC000336693833 188011023 /nfs/dbraw/zinc/01/10/23/188011023.db2.gz FAUIGNZOINLDBV-UHFFFAOYSA-N 0 3 223.316 2.527 20 0 BFADHN CC(C)C[C@H](C)CN1CCO[C@H](C)[C@@H]1C ZINC000337157924 188015042 /nfs/dbraw/zinc/01/50/42/188015042.db2.gz QFOBUAGCMITTML-RWMBFGLXSA-N 0 3 213.365 2.778 20 0 BFADHN CC(C)CO[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC000247787966 188019248 /nfs/dbraw/zinc/01/92/48/188019248.db2.gz YTEZCJLTPOBERS-ZIAGYGMSSA-N 0 3 248.370 2.890 20 0 BFADHN C1CC[C@H]2[C@@H](C1)N1CCN2CC2CCC(CC2)C1 ZINC000339733500 188019679 /nfs/dbraw/zinc/01/96/79/188019679.db2.gz LGPVKTWHLCUERV-STONLHKKSA-N 0 3 248.414 2.735 20 0 BFADHN CCN1CC=C(c2ccc(O)cc2)CC1 ZINC000073893405 353134479 /nfs/dbraw/zinc/13/44/79/353134479.db2.gz BRLVKFOWKHPXQV-UHFFFAOYSA-N 0 3 203.285 2.501 20 0 BFADHN CC(=O)CCN(C)[C@H](C)c1ccccc1C ZINC000269481390 188023968 /nfs/dbraw/zinc/02/39/68/188023968.db2.gz KMQVVWYEHHZAHW-CYBMUJFWSA-N 0 3 219.328 2.967 20 0 BFADHN COc1ccc(CN2CCCO[C@H](C)C2)cc1C ZINC000271146160 188025596 /nfs/dbraw/zinc/02/55/96/188025596.db2.gz HNOWDQTVGQRBMJ-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN CC[C@@H]1CN(Cc2ccc([C@@H]3C[C@H]3C)o2)C[C@H]1O ZINC000271278049 188025942 /nfs/dbraw/zinc/02/59/42/188025942.db2.gz LJPLTDXDVABXAR-HBJVGIJOSA-N 0 3 249.354 2.606 20 0 BFADHN CO[C@]1(C)CCCN(Cc2ccc(F)cc2)C1 ZINC000279235362 188031628 /nfs/dbraw/zinc/03/16/28/188031628.db2.gz FGFIFNDCIQJUMF-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN CC(C)[C@@H]1CC[C@@H](NCc2nccn2C)C[C@@H]1C ZINC000340088824 188032602 /nfs/dbraw/zinc/03/26/02/188032602.db2.gz YVPKVBATXSXXPT-MJBXVCDLSA-N 0 3 249.402 2.971 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H](C)c1cc(C)c(C)o1 ZINC000282684104 188033488 /nfs/dbraw/zinc/03/34/88/188033488.db2.gz JWOPGLPSJBLIJR-ZFAUCMQBSA-N 0 3 237.343 2.874 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc2ncccc2c1 ZINC000285689382 188034103 /nfs/dbraw/zinc/03/41/03/188034103.db2.gz NRKQJTCFMHWQPQ-LBPRGKRZSA-N 0 3 244.338 2.702 20 0 BFADHN c1ccc(C2=CCCN([C@H]3CCOC3)C2)cc1 ZINC000286258902 188034506 /nfs/dbraw/zinc/03/45/06/188034506.db2.gz SQNGLQDHSJKFKY-HNNXBMFYSA-N 0 3 229.323 2.565 20 0 BFADHN c1cncc(C2=CCCN(CC3CC3)C2)c1 ZINC000289286404 188036184 /nfs/dbraw/zinc/03/61/84/188036184.db2.gz GRPZBAXJSXAOBI-UHFFFAOYSA-N 0 3 214.312 2.581 20 0 BFADHN C=Cn1cc(CN2CC3(CCC3)[C@H]2C(C)C)cn1 ZINC000292869730 188037585 /nfs/dbraw/zinc/03/75/85/188037585.db2.gz WFMKPSIMHFLRFL-CQSZACIVSA-N 0 3 245.370 2.994 20 0 BFADHN COc1nccc(CN(C)C2CCCCCC2)n1 ZINC000294522357 188038315 /nfs/dbraw/zinc/03/83/15/188038315.db2.gz FRVYJJZCNQGIEM-UHFFFAOYSA-N 0 3 249.358 2.640 20 0 BFADHN CC(C)(C)OC1CC(N[C@@H]2CCC[C@H]2F)C1 ZINC000340530352 188040887 /nfs/dbraw/zinc/04/08/87/188040887.db2.gz MURAKAGJBQBLFH-KIDURHIOSA-N 0 3 229.339 2.813 20 0 BFADHN C=Cn1cc(CN([C@H](C)C2CC2)C2CC2)cn1 ZINC000193720718 188004409 /nfs/dbraw/zinc/00/44/09/188004409.db2.gz CPLUUNYDJJHMPL-LLVKDONJSA-N 0 3 231.343 2.747 20 0 BFADHN C=Cn1cc(CNCCC2=CCCC2)cn1 ZINC000194395233 188005286 /nfs/dbraw/zinc/00/52/86/188005286.db2.gz CKTCKKPZLWDKJN-UHFFFAOYSA-N 0 3 217.316 2.574 20 0 BFADHN CC1(C)CC[C@H]1NCc1cccc2c1OCCO2 ZINC000284312588 188050073 /nfs/dbraw/zinc/05/00/73/188050073.db2.gz LKFDHNAJNPNBNE-CYBMUJFWSA-N 0 3 247.338 2.736 20 0 BFADHN CC(C)N1CCCC[C@H]1CN1CCC(F)(F)C1 ZINC000336271147 188053752 /nfs/dbraw/zinc/05/37/52/188053752.db2.gz WAUACFWEHQBAGG-LBPRGKRZSA-N 0 3 246.345 2.590 20 0 BFADHN CC(C)N(CC(=O)NC1CCCCC1)C(C)C ZINC000352771633 188058495 /nfs/dbraw/zinc/05/84/95/188058495.db2.gz ZIWGKPGGJYZHNT-UHFFFAOYSA-N 0 3 240.391 2.554 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cccc2c1OCO2 ZINC000342862682 535202565 /nfs/dbraw/zinc/20/25/65/535202565.db2.gz UNAJSWHSANRYTG-GFCCVEGCSA-N 0 3 233.311 2.646 20 0 BFADHN CC(C)[C@H]1CCN1Cc1ccc2c(c1)ncn2C ZINC000334303683 535202662 /nfs/dbraw/zinc/20/26/62/535202662.db2.gz FTCAZNUTCXLZQW-CQSZACIVSA-N 0 3 243.354 2.804 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cn2ccsc2n1 ZINC000341805384 188045047 /nfs/dbraw/zinc/04/50/47/188045047.db2.gz CVMWKDWWNLJRHF-JTQLQIEISA-N 0 3 237.372 2.872 20 0 BFADHN Cc1sccc1CN1CCC(CCO)CC1 ZINC000563689326 353181083 /nfs/dbraw/zinc/18/10/83/353181083.db2.gz OPMLFVXYFLAJFO-UHFFFAOYSA-N 0 3 239.384 2.651 20 0 BFADHN CC[C@H](O)[C@H]1CCCCN1Cc1cccnc1C ZINC000093322441 538109811 /nfs/dbraw/zinc/10/98/11/538109811.db2.gz PKVGFECPPZDQNO-CABCVRRESA-N 0 3 248.370 2.515 20 0 BFADHN CCC[C@@H](C)CN1CCC[C@H]1C(=O)OC(C)C ZINC000537180878 324026983 /nfs/dbraw/zinc/02/69/83/324026983.db2.gz ZQRZZYGVNPDMSJ-OLZOCXBDSA-N 0 3 241.375 2.839 20 0 BFADHN FC1(F)CCC[C@@H](NCc2ccccn2)C1 ZINC000309516754 180916745 /nfs/dbraw/zinc/91/67/45/180916745.db2.gz RTZJJUZAONSUBN-SNVBAGLBSA-N 0 3 226.270 2.749 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)NCc1nccn1C ZINC000356845810 188238439 /nfs/dbraw/zinc/23/84/39/188238439.db2.gz IJDJZCLIXSOGSE-OLZOCXBDSA-N 0 3 237.391 2.971 20 0 BFADHN COC1(CNCc2cncc(C)c2)CCCCC1 ZINC000359698179 188241591 /nfs/dbraw/zinc/24/15/91/188241591.db2.gz DSKWDLDSNJNNLU-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CC(C)N(Cc1ccccc1Cl)C[C@@H](C)O ZINC000078551565 188258636 /nfs/dbraw/zinc/25/86/36/188258636.db2.gz QOXMXOMVYUIVNU-LLVKDONJSA-N 0 3 241.762 2.931 20 0 BFADHN C=Cn1cc(CN2CCC(C3CC3)CC2)cn1 ZINC000368639489 188247157 /nfs/dbraw/zinc/24/71/57/188247157.db2.gz VPZBAHOOCZYJLB-UHFFFAOYSA-N 0 3 231.343 2.606 20 0 BFADHN c1ccc(SCCNCc2cccnc2)cc1 ZINC000037854575 188254671 /nfs/dbraw/zinc/25/46/71/188254671.db2.gz VDGNDGNDWAPXCJ-UHFFFAOYSA-N 0 3 244.363 2.964 20 0 BFADHN CC(C)N(C)CCN[C@H](C)c1ccccc1F ZINC000054110889 188258844 /nfs/dbraw/zinc/25/88/44/188258844.db2.gz IHQYXXUSUTUPNK-GFCCVEGCSA-N 0 3 238.350 2.817 20 0 BFADHN CC1(C)SC[C@@H]1NCc1ccc(F)c(F)c1 ZINC000282845716 188268007 /nfs/dbraw/zinc/26/80/07/188268007.db2.gz PCBUEFKGKMLUBJ-NSHDSACASA-N 0 3 243.322 2.948 20 0 BFADHN OC1(CCNCc2cc(Cl)cs2)CCC1 ZINC000284024445 188268014 /nfs/dbraw/zinc/26/80/14/188268014.db2.gz NVDQTUXBYSPKFZ-UHFFFAOYSA-N 0 3 245.775 2.796 20 0 BFADHN Cc1cc(Cl)cc(CNCCn2ccnc2)c1 ZINC000339164300 188269916 /nfs/dbraw/zinc/26/99/16/188269916.db2.gz KMMGYEVUSKFNSD-UHFFFAOYSA-N 0 3 249.745 2.635 20 0 BFADHN C/C(=C/c1ccccc1)CN1CCC[C@H](CO)C1 ZINC000000216848 188272708 /nfs/dbraw/zinc/27/27/08/188272708.db2.gz YRGLTWKRVIAZKB-DNXIFWLFSA-N 0 3 245.366 2.794 20 0 BFADHN COc1ccc(CN[C@@H]2CC2(C)C)cc1OC ZINC000044371829 188296385 /nfs/dbraw/zinc/29/63/85/188296385.db2.gz PFPJUWQOUWAKON-CYBMUJFWSA-N 0 3 235.327 2.592 20 0 BFADHN CC1(C)Cc2cccc(CNC3CC3)c2O1 ZINC000070800029 188304934 /nfs/dbraw/zinc/30/49/34/188304934.db2.gz YEUCWMWARLXXLF-UHFFFAOYSA-N 0 3 217.312 2.652 20 0 BFADHN N#Cc1ccc(CN2C3CCC2CC3)cc1 ZINC000398897931 353333092 /nfs/dbraw/zinc/33/30/92/353333092.db2.gz JYENYGJCSJSPMJ-UHFFFAOYSA-N 0 3 212.296 2.685 20 0 BFADHN CC[C@H](C)[C@H](CN(C)Cc1ccncc1)OC ZINC000639040344 353316231 /nfs/dbraw/zinc/31/62/31/353316231.db2.gz IHTCBJBOODZHAC-JSGCOSHPSA-N 0 3 236.359 2.575 20 0 BFADHN CN(Cc1ccccn1)CC1CCSCC1 ZINC000639040985 353318364 /nfs/dbraw/zinc/31/83/64/353318364.db2.gz FGCPEIIRZKFUNH-UHFFFAOYSA-N 0 3 236.384 2.657 20 0 BFADHN CCC[C@H](CC)NCc1cccnc1OC ZINC000087414974 188318469 /nfs/dbraw/zinc/31/84/69/188318469.db2.gz GUIHSNVKHVEODU-LBPRGKRZSA-N 0 3 222.332 2.759 20 0 BFADHN c1coc(CN[C@H]2CCOC3(CCCC3)C2)c1 ZINC000094366789 188319412 /nfs/dbraw/zinc/31/94/12/188319412.db2.gz HAZOONGKZMCBGZ-LBPRGKRZSA-N 0 3 235.327 2.861 20 0 BFADHN CC(C)CO[C@H]1CCN(Cc2cccs2)C1 ZINC000091964223 188319430 /nfs/dbraw/zinc/31/94/30/188319430.db2.gz SSJFZQIQZOCRJG-LBPRGKRZSA-N 0 3 239.384 2.995 20 0 BFADHN Nc1c(F)cccc1CN1CCCC2(CC2)C1 ZINC000639057391 353362778 /nfs/dbraw/zinc/36/27/78/353362778.db2.gz OAKVLOCOTFHATK-UHFFFAOYSA-N 0 3 234.318 2.784 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2ccoc2)cn1 ZINC000178091619 366087914 /nfs/dbraw/zinc/08/79/14/366087914.db2.gz GNOJKJCHRBZSOD-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN CC(C)(NCc1cnc[nH]1)c1cccc(F)c1 ZINC000172342775 188339148 /nfs/dbraw/zinc/33/91/48/188339148.db2.gz DEAIRLPZFNWCQJ-UHFFFAOYSA-N 0 3 233.290 2.574 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCCOCC1CC1)CC2 ZINC000223390009 188356790 /nfs/dbraw/zinc/35/67/90/188356790.db2.gz RNMDKGGQTRTJIL-INIZCTEOSA-N 0 3 245.366 2.999 20 0 BFADHN CCCc1csc(CNCCCOCC)n1 ZINC000336673257 188340843 /nfs/dbraw/zinc/34/08/43/188340843.db2.gz AKDFBEFKJWUYFJ-UHFFFAOYSA-N 0 3 242.388 2.612 20 0 BFADHN C/C=C\C[C@H](CO)NCc1sccc1Cl ZINC000309061134 188343020 /nfs/dbraw/zinc/34/30/20/188343020.db2.gz WRQBEOPJFMGAMH-PKRMOACSSA-N 0 3 245.775 2.818 20 0 BFADHN Cc1noc(C)c1CN1C[C@@H](C)C[C@H]1C ZINC000334508519 353378011 /nfs/dbraw/zinc/37/80/11/353378011.db2.gz LAFOJGJSWMYICS-DTWKUNHWSA-N 0 3 208.305 2.522 20 0 BFADHN Cc1ccc(C(=O)CCN(C)C2CC2)cc1 ZINC000053935108 188348862 /nfs/dbraw/zinc/34/88/62/188348862.db2.gz AWIQQQMSICDQCX-UHFFFAOYSA-N 0 3 217.312 2.662 20 0 BFADHN COC(C)(C)CN(C)Cc1ccc(Cl)o1 ZINC000537724397 324100856 /nfs/dbraw/zinc/10/08/56/324100856.db2.gz BRKQETWHDFBRJS-UHFFFAOYSA-N 0 3 231.723 2.790 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN2CCCN(C)CC2)o1 ZINC000269326981 188374788 /nfs/dbraw/zinc/37/47/88/188374788.db2.gz AHTBRHVCYWKWPR-GXTWGEPZSA-N 0 3 248.370 2.541 20 0 BFADHN CC(C)COCCN1CCC[C@H]1c1cccnc1 ZINC000336784249 188360707 /nfs/dbraw/zinc/36/07/07/188360707.db2.gz NGGHHQNXVMCBBU-HNNXBMFYSA-N 0 3 248.370 2.891 20 0 BFADHN CC(C)COCCN[C@@H](c1cccnc1)C1CC1 ZINC000337310440 188361804 /nfs/dbraw/zinc/36/18/04/188361804.db2.gz AWUBNXZQMLGFOX-OAHLLOKOSA-N 0 3 248.370 2.795 20 0 BFADHN C1=C(CCNCc2ccccn2)CCC1 ZINC000095435709 188363514 /nfs/dbraw/zinc/36/35/14/188363514.db2.gz FRAGCKGGTISIDW-UHFFFAOYSA-N 0 3 202.301 2.672 20 0 BFADHN CC(C)[C@H]1CCCC[C@H]1NCc1nccn1C ZINC000112703448 188363818 /nfs/dbraw/zinc/36/38/18/188363818.db2.gz DJDBDYSCVJKFNA-CHWSQXEVSA-N 0 3 235.375 2.725 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@H]2C)cc1 ZINC000339570119 188368629 /nfs/dbraw/zinc/36/86/29/188368629.db2.gz VKUJIIQUIGIRHU-WDEREUQCSA-N 0 3 205.301 2.535 20 0 BFADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@H]2C1(C)C)c1ccco1 ZINC000246911924 188369656 /nfs/dbraw/zinc/36/96/56/188369656.db2.gz MDLUILNQLHIKGR-YRRQLQLVSA-N 0 3 235.327 2.744 20 0 BFADHN CC1(C)CC(CNCc2ccns2)C1 ZINC000639086618 353427720 /nfs/dbraw/zinc/42/77/20/353427720.db2.gz QXUZSJUYEBTLJJ-UHFFFAOYSA-N 0 3 210.346 2.669 20 0 BFADHN CC1(C)CN(CCCCO)Cc2ccccc21 ZINC000270364178 188376452 /nfs/dbraw/zinc/37/64/52/188376452.db2.gz LBZNTRFUUOZYJT-UHFFFAOYSA-N 0 3 233.355 2.552 20 0 BFADHN CC(C)C[C@@H]1C[C@H](NCc2ccco2)CCO1 ZINC000271959666 188377065 /nfs/dbraw/zinc/37/70/65/188377065.db2.gz GAQXUNIWUHIAFL-TZMCWYRMSA-N 0 3 237.343 2.963 20 0 BFADHN COc1ccc(CN(C)CC(C)(C)C)nc1 ZINC000272038152 188377418 /nfs/dbraw/zinc/37/74/18/188377418.db2.gz XPOATBLUMRFCAH-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN CC(C)N(CCO)Cc1cc(F)cc(Cl)c1 ZINC000275213873 188379497 /nfs/dbraw/zinc/37/94/97/188379497.db2.gz BOBLYUJZLVGAPD-UHFFFAOYSA-N 0 3 245.725 2.682 20 0 BFADHN CC(C)N(C)c1ccc(CN[C@@H]2CC2(C)C)cn1 ZINC000276725026 188380146 /nfs/dbraw/zinc/38/01/46/188380146.db2.gz CCKTYSFPFSMUCV-CYBMUJFWSA-N 0 3 247.386 2.814 20 0 BFADHN CC(C)=CCCN[C@H](c1nccn1C)C1CC1 ZINC000276984783 188381612 /nfs/dbraw/zinc/38/16/12/188381612.db2.gz ZSHNRUKEDLLCTF-ZDUSSCGKSA-N 0 3 233.359 2.817 20 0 BFADHN COC1(CNCc2ccncc2)CCC(C)CC1 ZINC000279843606 188383463 /nfs/dbraw/zinc/38/34/63/188383463.db2.gz RGYRYYRDDFJHGC-UHFFFAOYSA-N 0 3 248.370 2.767 20 0 BFADHN C/C(=C\c1ccccc1)CN1C[C@H](O)C(C)(C)C1 ZINC000280379211 188383564 /nfs/dbraw/zinc/38/35/64/188383564.db2.gz FDLMVMAZEHWWLU-GLNPCMGASA-N 0 3 245.366 2.793 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cc(C)sc1C ZINC000285886043 188386478 /nfs/dbraw/zinc/38/64/78/188386478.db2.gz YZLBNZYQYPFHGR-VIFPVBQESA-N 0 3 227.373 2.832 20 0 BFADHN CC(C)=CCC[C@H](C)NC(=O)Cc1nc[nH]c1C ZINC000297013383 188395228 /nfs/dbraw/zinc/39/52/28/188395228.db2.gz TZQJDHXQXJZTQI-NSHDSACASA-N 0 3 249.358 2.512 20 0 BFADHN CC(C)N(Cc1ccco1)C[C@H]1CCCO1 ZINC000299230992 188396161 /nfs/dbraw/zinc/39/61/61/188396161.db2.gz PPJKXBKTYWXARB-CYBMUJFWSA-N 0 3 223.316 2.669 20 0 BFADHN Cc1cc(CN2CC[C@H]3CCC[C@@H]32)sn1 ZINC000639094231 353477770 /nfs/dbraw/zinc/47/77/70/353477770.db2.gz QDTVBTFLWXSRFT-PWSUYJOCSA-N 0 3 222.357 2.826 20 0 BFADHN Fc1ccc(CNC[C@@H]2CCCCO2)cc1F ZINC000049577653 180968822 /nfs/dbraw/zinc/96/88/22/180968822.db2.gz WLXPJGFUSYCFBK-NSHDSACASA-N 0 3 241.281 2.624 20 0 BFADHN C[C@H]1COC(C)(C)CN1CC1CCSCC1 ZINC000639101701 353548410 /nfs/dbraw/zinc/54/84/10/353548410.db2.gz FYLULJLJRKPQEK-NSHDSACASA-N 0 3 243.416 2.629 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2C[C@@H](C)[C@@H]2C)o1 ZINC000336778716 353524337 /nfs/dbraw/zinc/52/43/37/353524337.db2.gz MCCOSGUVYIDSJI-WCABBAIRSA-N 0 3 222.332 2.680 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1ccc2occc2c1 ZINC000352740428 188414798 /nfs/dbraw/zinc/41/47/98/188414798.db2.gz XCXVEWYJORLDJL-SFAKSCPVSA-N 0 3 245.322 2.850 20 0 BFADHN CCc1csc(N[C@H]2CCCN(C)[C@H]2C)n1 ZINC000336777616 353501271 /nfs/dbraw/zinc/50/12/71/353501271.db2.gz IZGLFYARBRMEQS-ONGXEEELSA-N 0 3 239.388 2.600 20 0 BFADHN C[C@H]1SCCN(CCOC(C)(C)C)[C@H]1C ZINC000118417499 353566646 /nfs/dbraw/zinc/56/66/46/353566646.db2.gz NJKHFXIKAFCHNW-WDEREUQCSA-N 0 3 231.405 2.627 20 0 BFADHN Cc1nc(COc2cc(C)nc(C)c2C)co1 ZINC000577865477 366091540 /nfs/dbraw/zinc/09/15/40/366091540.db2.gz BUMKHNPHFYYZKU-UHFFFAOYSA-N 0 3 232.283 2.882 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc2c(n1)CCC2 ZINC000334518368 353653534 /nfs/dbraw/zinc/65/35/34/353653534.db2.gz QGJUTPMIEKBELP-CYBMUJFWSA-N 0 3 216.328 2.555 20 0 BFADHN CCN1C[C@@H](C)N(Cc2cccs2)C[C@H]1C ZINC000353804114 353649273 /nfs/dbraw/zinc/64/92/73/353649273.db2.gz MHXYIUSTECNTFB-VXGBXAGGSA-N 0 3 238.400 2.663 20 0 BFADHN Cc1cnn(C)c1CN(C)[C@@H]1CCCC[C@@H]1C ZINC000334515524 353610520 /nfs/dbraw/zinc/61/05/20/353610520.db2.gz YUNDEUSILBVBLW-WCQYABFASA-N 0 3 235.375 2.739 20 0 BFADHN Fc1cccc(CNC2CCSCC2)c1F ZINC000122952249 180996579 /nfs/dbraw/zinc/99/65/79/180996579.db2.gz KUKRWUAVAUHCJK-UHFFFAOYSA-N 0 3 243.322 2.950 20 0 BFADHN Fc1cccc(F)c1CNCCc1cccnc1 ZINC000037502601 181000640 /nfs/dbraw/zinc/00/06/40/181000640.db2.gz VYMDHOOHLUHDAW-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN Fc1cccc(NC[C@H]2CCCCN2C2CC2)n1 ZINC000277491891 181002009 /nfs/dbraw/zinc/00/20/09/181002009.db2.gz RVJSEZPMVMNNPS-GFCCVEGCSA-N 0 3 249.333 2.650 20 0 BFADHN Fc1cccc2c1CN(CC[C@H]1CCCO1)CC2 ZINC000276285033 181006482 /nfs/dbraw/zinc/00/64/82/181006482.db2.gz UXCBJACCODCXBK-CYBMUJFWSA-N 0 3 249.329 2.753 20 0 BFADHN Fc1cccc2c1OCC[C@H]2NCC1CC1 ZINC000309607994 181006546 /nfs/dbraw/zinc/00/65/46/181006546.db2.gz YOVOBFMZQOFBSC-GFCCVEGCSA-N 0 3 221.275 2.649 20 0 BFADHN Fc1ccccc1CN1CC[C@]2(C1)CCCOC2 ZINC000375293767 181011903 /nfs/dbraw/zinc/01/19/03/181011903.db2.gz URRFWIGPORJLBM-HNNXBMFYSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1scc(CN2C[C@H](O)C(C)(C)C2)c1C ZINC000639118310 353751691 /nfs/dbraw/zinc/75/16/91/353751691.db2.gz XICFRUDQSBIEAI-LBPRGKRZSA-N 0 3 239.384 2.568 20 0 BFADHN CC[C@](C)(CN1CCO[C@H](C(C)(C)C)C1)OC ZINC000639118842 353759755 /nfs/dbraw/zinc/75/97/55/353759755.db2.gz LVYWBGVMEVRFBH-GXTWGEPZSA-N 0 3 243.391 2.548 20 0 BFADHN CC[C@](C)(CN1CCO[C@@H](C(C)(C)C)C1)OC ZINC000639118848 353760290 /nfs/dbraw/zinc/76/02/90/353760290.db2.gz LVYWBGVMEVRFBH-TZMCWYRMSA-N 0 3 243.391 2.548 20 0 BFADHN CO[C@H](CN(C)C1CCC1)c1ccc(F)cc1 ZINC000528394138 324219416 /nfs/dbraw/zinc/21/94/16/324219416.db2.gz XXYLBDKOZFEVDH-CQSZACIVSA-N 0 3 237.318 2.998 20 0 BFADHN CCC[C@H](CN(C)Cc1cnccc1C)OC ZINC000639123728 353797400 /nfs/dbraw/zinc/79/74/00/353797400.db2.gz DHBLJZCDOSVJMG-CQSZACIVSA-N 0 3 236.359 2.637 20 0 BFADHN CCn1nccc1CN(C)[C@H]1CCCC[C@@H]1C ZINC000343347492 353840100 /nfs/dbraw/zinc/84/01/00/353840100.db2.gz WNFXVTXBWKAJTE-JSGCOSHPSA-N 0 3 235.375 2.914 20 0 BFADHN CC1=C[C@H](C)CN(Cc2cccc(F)c2N)C1 ZINC000639129669 353834794 /nfs/dbraw/zinc/83/47/94/353834794.db2.gz BZLFUVPSCKQQEF-JTQLQIEISA-N 0 3 234.318 2.806 20 0 BFADHN Fc1cnccc1CN1CCC[C@@H]1C1CCC1 ZINC000336227462 181021263 /nfs/dbraw/zinc/02/12/63/181021263.db2.gz ICWWBEANIMSNFX-CQSZACIVSA-N 0 3 234.318 2.985 20 0 BFADHN C[C@@H](O)CN1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000334520422 353884402 /nfs/dbraw/zinc/88/44/02/353884402.db2.gz YRGYVAGUQHPSNO-HIFRSBDPSA-N 0 3 245.366 2.985 20 0 BFADHN CCC1CN(Cc2sc(C)nc2C)C1 ZINC000334521819 353887564 /nfs/dbraw/zinc/88/75/64/353887564.db2.gz FNAPHMIKJIGBCY-UHFFFAOYSA-N 0 3 210.346 2.602 20 0 BFADHN CC1=CCCN([C@H](C)c2cnc(C)nc2C)C1 ZINC000639133209 353842883 /nfs/dbraw/zinc/84/28/83/353842883.db2.gz JMYRBNFEEDEFMR-GFCCVEGCSA-N 0 3 231.343 2.806 20 0 BFADHN Cc1ccc(CN2CCC3(CCO3)CC2)c(F)c1 ZINC000639139465 353856202 /nfs/dbraw/zinc/85/62/02/353856202.db2.gz OWPVPLBXIRRVLV-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN CCCC[C@H](C)[C@H](C)NCc1nncn1CC ZINC000343503487 353916043 /nfs/dbraw/zinc/91/60/43/353916043.db2.gz FCNHNQLVCGEEGG-RYUDHWBXSA-N 0 3 238.379 2.602 20 0 BFADHN CC(C)(C)C[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000343515765 353925892 /nfs/dbraw/zinc/92/58/92/353925892.db2.gz UJDKRZBZNMGHMS-LBPRGKRZSA-N 0 3 244.342 2.530 20 0 BFADHN CC(C)(C)CN[C@H](c1nnc[nH]1)c1ccccc1 ZINC000343515765 353925896 /nfs/dbraw/zinc/92/58/96/353925896.db2.gz UJDKRZBZNMGHMS-LBPRGKRZSA-N 0 3 244.342 2.530 20 0 BFADHN CC[C@H](NC[C@H]1CCC[C@@H]1C)c1nccn1C ZINC000343532518 353929869 /nfs/dbraw/zinc/92/98/69/353929869.db2.gz HVRXBUHFIFJANN-XQQFMLRXSA-N 0 3 235.375 2.897 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H](C)[C@@H](C)OC ZINC000343472078 353900648 /nfs/dbraw/zinc/90/06/48/353900648.db2.gz QNLNPJFSHYQEFU-GRYCIOLGSA-N 0 3 236.359 2.718 20 0 BFADHN C1=CC[C@@H](NCc2ccc3c(n2)CCC3)CC1 ZINC000334540117 353973524 /nfs/dbraw/zinc/97/35/24/353973524.db2.gz GQOHRFXQSMGWKN-CYBMUJFWSA-N 0 3 228.339 2.769 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NC1CC2(CCC2)C1 ZINC000334553632 353997150 /nfs/dbraw/zinc/99/71/50/353997150.db2.gz HIDXCHJKCXZESF-LBPRGKRZSA-N 0 3 231.343 2.709 20 0 BFADHN Cc1nnc([C@@H](C)N2CC[C@@H](C(C)(C)C)C2)[nH]1 ZINC000334542943 353978203 /nfs/dbraw/zinc/97/82/03/353978203.db2.gz ZRWIEDRWWJTLFK-MWLCHTKSSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@@H](C)[N@@H+]2CC[C@@H](C(C)(C)C)C2)[n-]1 ZINC000334542943 353978205 /nfs/dbraw/zinc/97/82/05/353978205.db2.gz ZRWIEDRWWJTLFK-MWLCHTKSSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@@H](C)[N@H+]2CC[C@@H](C(C)(C)C)C2)[n-]1 ZINC000334542943 353978208 /nfs/dbraw/zinc/97/82/08/353978208.db2.gz ZRWIEDRWWJTLFK-MWLCHTKSSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@H](C)N2CC[C@H](C(C)(C)C)C2)[nH]1 ZINC000334542946 353978349 /nfs/dbraw/zinc/97/83/49/353978349.db2.gz ZRWIEDRWWJTLFK-ONGXEEELSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@H](C)[N@@H+]2CC[C@H](C(C)(C)C)C2)[n-]1 ZINC000334542946 353978354 /nfs/dbraw/zinc/97/83/54/353978354.db2.gz ZRWIEDRWWJTLFK-ONGXEEELSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@H](C)[N@H+]2CC[C@H](C(C)(C)C)C2)[n-]1 ZINC000334542946 353978355 /nfs/dbraw/zinc/97/83/55/353978355.db2.gz ZRWIEDRWWJTLFK-ONGXEEELSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H](C)C1CC1 ZINC000086109741 491057444 /nfs/dbraw/zinc/05/74/44/491057444.db2.gz NWRXDTDWHRXKTE-SFYZADRCSA-N 0 3 208.305 2.741 20 0 BFADHN COc1c(O)cccc1CNC[C@H]1CCC[C@@H]1C ZINC000343644820 353951842 /nfs/dbraw/zinc/95/18/42/353951842.db2.gz XCIGSSDWTULSEP-NWDGAFQWSA-N 0 3 249.354 2.927 20 0 BFADHN CC(C)=CCN1CC[C@@H](C(=O)OC(C)(C)C)C1 ZINC000178509119 366131575 /nfs/dbraw/zinc/13/15/75/366131575.db2.gz LSSCEUFXLYVXSZ-GFCCVEGCSA-N 0 3 239.359 2.616 20 0 BFADHN CC(C)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000334555341 354000315 /nfs/dbraw/zinc/00/03/15/354000315.db2.gz CSLVNHBEJRTVLE-ZDUSSCGKSA-N 0 3 234.343 2.892 20 0 BFADHN c1cc(CN2CC[C@@H](C3CC3)C2)nc2c1CCC2 ZINC000334556269 354001960 /nfs/dbraw/zinc/00/19/60/354001960.db2.gz NKWHYEWSSGOAEH-CQSZACIVSA-N 0 3 242.366 2.802 20 0 BFADHN Cc1cc(CNc2cc(C)cc(C)n2)no1 ZINC000334557464 354004370 /nfs/dbraw/zinc/00/43/70/354004370.db2.gz HHRYCRMRVNMIPD-UHFFFAOYSA-N 0 3 217.272 2.607 20 0 BFADHN CCn1nncc1CN1CCCC12CCCCC2 ZINC000334557672 354005217 /nfs/dbraw/zinc/00/52/17/354005217.db2.gz UXZWLWPVLBLNKL-UHFFFAOYSA-N 0 3 248.374 2.597 20 0 BFADHN CC[C@H](C)CN[C@H](C)c1c(C)noc1C ZINC000086109768 491057553 /nfs/dbraw/zinc/05/75/53/491057553.db2.gz CITDMMGVJWEAFN-DTWKUNHWSA-N 0 3 210.321 2.988 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cncc(OC)c2)C1 ZINC000343835565 354035214 /nfs/dbraw/zinc/03/52/14/354035214.db2.gz CQIPOMRRFSGALM-AWEZNQCLSA-N 0 3 234.343 2.712 20 0 BFADHN CC(C)C1(C)CCN(Cc2cnccn2)CC1 ZINC000334563677 354081036 /nfs/dbraw/zinc/08/10/36/354081036.db2.gz OOMRQOOHIIOGLV-UHFFFAOYSA-N 0 3 233.359 2.735 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@@H](C)C3(CCC3)C2)n[nH]1 ZINC000334565759 354086324 /nfs/dbraw/zinc/08/63/24/354086324.db2.gz RPOGTUCDPVTLLH-MNOVXSKESA-N 0 3 248.374 2.686 20 0 BFADHN Cc1csc(CNC[C@@H]2CC[C@@H](C)C2)n1 ZINC000230412051 363310660 /nfs/dbraw/zinc/31/06/60/363310660.db2.gz NCMIVEFLBZCCPJ-MWLCHTKSSA-N 0 3 224.373 2.977 20 0 BFADHN COC1CCN([C@@H](C)c2cccc(F)c2)CC1 ZINC000056268159 363297916 /nfs/dbraw/zinc/29/79/16/363297916.db2.gz NCIIBHHGTDYZRV-NSHDSACASA-N 0 3 237.318 2.998 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)NCc1ncnn1CC ZINC000343982892 354058919 /nfs/dbraw/zinc/05/89/19/354058919.db2.gz LFFADKMOPBCZAS-VXGBXAGGSA-N 0 3 238.379 2.602 20 0 BFADHN COC1CC(NCc2cccc(Cl)c2)C1 ZINC000230689565 363320292 /nfs/dbraw/zinc/32/02/92/363320292.db2.gz ORKVLXZEOVPNGP-UHFFFAOYSA-N 0 3 225.719 2.607 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CC=CCC2)on1 ZINC000344077687 354134494 /nfs/dbraw/zinc/13/44/94/354134494.db2.gz WNDOAMKKSSPOTL-LBPRGKRZSA-N 0 3 220.316 2.771 20 0 BFADHN CC1CCC(C)(NCc2cnccn2)CC1 ZINC000334568241 354091475 /nfs/dbraw/zinc/09/14/75/354091475.db2.gz XGCAWZRYJDKFPB-UHFFFAOYSA-N 0 3 219.332 2.535 20 0 BFADHN Cc1cc(CN2CC[C@@H]2C(C)C)ccn1 ZINC000529536172 324329293 /nfs/dbraw/zinc/32/92/93/324329293.db2.gz LKDITELPEPWTPI-CYBMUJFWSA-N 0 3 204.317 2.620 20 0 BFADHN C[C@@H](NCc1ccco1)C1CC(F)(F)C1 ZINC000529537243 324329558 /nfs/dbraw/zinc/32/95/58/324329558.db2.gz UQYVJLGAAUJZHR-MRVPVSSYSA-N 0 3 215.243 2.803 20 0 BFADHN c1nc(C2CC2)ncc1CN1CC[C@H]2CCC[C@H]21 ZINC000334561946 354116313 /nfs/dbraw/zinc/11/63/13/354116313.db2.gz HQSVQDCWOBLSTL-TZMCWYRMSA-N 0 3 243.354 2.728 20 0 BFADHN c1nc(C2CC2)ncc1CN1CC[C@H]2CCC[C@@H]21 ZINC000334561944 354116372 /nfs/dbraw/zinc/11/63/72/354116372.db2.gz HQSVQDCWOBLSTL-OCCSQVGLSA-N 0 3 243.354 2.728 20 0 BFADHN CCC[C@H](N)C(=O)N(C)C1CCC(CC)CC1 ZINC000038059256 358483211 /nfs/dbraw/zinc/48/32/11/358483211.db2.gz SGIOHDIXIDXMNS-BPCQOVAHSA-N 0 3 240.391 2.541 20 0 BFADHN CCC[C@@H](N[C@@H]1CCCOC1)c1ccccn1 ZINC000344099851 354119569 /nfs/dbraw/zinc/11/95/69/354119569.db2.gz TTXNWDARTGNABE-TZMCWYRMSA-N 0 3 234.343 2.691 20 0 BFADHN Cc1csc(CNC[C@@H]2CCC[C@@H]2C)n1 ZINC000230665252 363318110 /nfs/dbraw/zinc/31/81/10/363318110.db2.gz LLTPQBBSGHNBLP-ONGXEEELSA-N 0 3 224.373 2.977 20 0 BFADHN Cc1nc(CNC[C@@H]2CCC[C@@H]2C)cs1 ZINC000230666047 363318809 /nfs/dbraw/zinc/31/88/09/363318809.db2.gz DSYFJVPOFMRMDK-ONGXEEELSA-N 0 3 224.373 2.977 20 0 BFADHN FC1(F)CC(CN2CCOC3(CCCC3)C2)C1 ZINC000334578946 354125315 /nfs/dbraw/zinc/12/53/15/354125315.db2.gz ZJDHLDVTLWXEGQ-UHFFFAOYSA-N 0 3 245.313 2.677 20 0 BFADHN CC(C)[C@H](C)CNCc1ccc(F)cn1 ZINC000529546891 324336059 /nfs/dbraw/zinc/33/60/59/324336059.db2.gz HBFPJNKIXFFRMW-SNVBAGLBSA-N 0 3 210.296 2.602 20 0 BFADHN CC(C)[C@H](N[C@@H]1CCCOC1)c1cccnc1 ZINC000344119141 354143398 /nfs/dbraw/zinc/14/33/98/354143398.db2.gz WJGFCQLKCFQAQA-KGLIPLIRSA-N 0 3 234.343 2.547 20 0 BFADHN CSC1(CNCc2cnc(C3CC3)o2)CC1 ZINC000449706072 202007883 /nfs/dbraw/zinc/00/78/83/202007883.db2.gz MATVTYPUFABFSM-UHFFFAOYSA-N 0 3 238.356 2.537 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1cnc(C2CC2)o1 ZINC000449708146 202006249 /nfs/dbraw/zinc/00/62/49/202006249.db2.gz UEQHEBJDQVNELJ-BXKDBHETSA-N 0 3 220.316 2.830 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1CC[C@H]1C1CC1 ZINC000449706808 202006643 /nfs/dbraw/zinc/00/66/43/202006643.db2.gz OJIITTMGTOIWFU-QWHCGFSZSA-N 0 3 232.327 2.830 20 0 BFADHN CO[C@H]1CC[C@H](NCc2ccc(Cl)o2)C1 ZINC000231681285 363348523 /nfs/dbraw/zinc/34/85/23/363348523.db2.gz QZVTVOFMDKNEGP-IUCAKERBSA-N 0 3 229.707 2.590 20 0 BFADHN C[C@@H](c1noc([C@H]2CCN(C)C2)n1)C(C)(C)C ZINC000334584965 354207912 /nfs/dbraw/zinc/20/79/12/354207912.db2.gz MSEOFOOBBBNLOL-UWVGGRQHSA-N 0 3 237.347 2.638 20 0 BFADHN C[C@@H]1COCCN(Cc2ccc3occc3c2)C1 ZINC000334585277 354208400 /nfs/dbraw/zinc/20/84/00/354208400.db2.gz CNPBBHURWDHSMV-LBPRGKRZSA-N 0 3 245.322 2.901 20 0 BFADHN COC1CC(N[C@@H]2CCCOc3ccccc32)C1 ZINC000334588933 354216859 /nfs/dbraw/zinc/21/68/59/354216859.db2.gz OFUBUMJGLMPXGX-ORHYLEIMSA-N 0 3 247.338 2.667 20 0 BFADHN Cc1ncc(C[NH2+]C2CCC(C)(C)CC2)c(=O)[n-]1 ZINC000344323650 354246706 /nfs/dbraw/zinc/24/67/06/354246706.db2.gz IZHUWGUTKBWUBO-UHFFFAOYSA-N 0 3 249.358 2.549 20 0 BFADHN C[C@@H]1CCCC[C@H]1CCNCc1cncnc1 ZINC000344378826 354256464 /nfs/dbraw/zinc/25/64/64/354256464.db2.gz LXKSXEUWCTYKMO-OCCSQVGLSA-N 0 3 233.359 2.783 20 0 BFADHN CC[C@H](NC1CC(C)C1)c1nccs1 ZINC000231788432 363353937 /nfs/dbraw/zinc/35/39/37/363353937.db2.gz OYAPRTDICUENBA-RTBKNWGFSA-N 0 3 210.346 2.982 20 0 BFADHN CC[C@H]1CN(Cc2ccoc2C)CCCO1 ZINC000334595290 354227780 /nfs/dbraw/zinc/22/77/80/354227780.db2.gz CHOHCQAESRIWGX-ZDUSSCGKSA-N 0 3 223.316 2.589 20 0 BFADHN CC1(C)CCC(NCc2ccno2)CC1 ZINC000231881575 363357361 /nfs/dbraw/zinc/35/73/61/363357361.db2.gz GBNNFXFAWSXUHJ-UHFFFAOYSA-N 0 3 208.305 2.733 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccncc1F ZINC000334604822 354285992 /nfs/dbraw/zinc/28/59/92/354285992.db2.gz BIGFFLVLZSCIAY-GFCCVEGCSA-N 0 3 222.307 2.985 20 0 BFADHN CC(C)(C)CCN1CC[S@@](=O)C(C)(C)CC1 ZINC000334617337 354305148 /nfs/dbraw/zinc/30/51/48/354305148.db2.gz CWEODUUNRUKIDC-MRXNPFEDSA-N 0 3 245.432 2.656 20 0 BFADHN CCCCCN1CCC[C@@H](NC(=O)CCC)C1 ZINC000344581491 354310193 /nfs/dbraw/zinc/31/01/93/354310193.db2.gz LAKJKKHZPKDJQU-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN CCc1ccc(CN[C@H](CC)C[C@@H](C)CO)o1 ZINC000344579104 354310302 /nfs/dbraw/zinc/31/03/02/354310302.db2.gz MXWMSHYILFURHE-VXGBXAGGSA-N 0 3 239.359 2.729 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(CCSc2ncco2)C1 ZINC000577964058 366148426 /nfs/dbraw/zinc/14/84/26/366148426.db2.gz XHNFPUDDLVEOCS-GHMZBOCLSA-N 0 3 240.372 2.745 20 0 BFADHN CO[C@@H]1CCN(Cc2ccoc2C)[C@H](C)C1 ZINC000334608322 354315636 /nfs/dbraw/zinc/31/56/36/354315636.db2.gz DXOLHSNNXXZJCY-ZWNOBZJWSA-N 0 3 223.316 2.587 20 0 BFADHN Cn1ccc(CNCc2cccc3[nH]ccc32)c1 ZINC000344602000 354323688 /nfs/dbraw/zinc/32/36/88/354323688.db2.gz IVCDHFYKAILCRC-UHFFFAOYSA-N 0 3 239.322 2.796 20 0 BFADHN C[C@H](NC[C@@H](O)Cc1ccccc1)c1ccoc1 ZINC000178791786 366165241 /nfs/dbraw/zinc/16/52/41/366165241.db2.gz KQGPVAYCGYBKKR-WFASDCNBSA-N 0 3 245.322 2.534 20 0 BFADHN c1cc2c(c(CNC[C@@H]3C[C@H]3C3CC3)c1)OCO2 ZINC000449742937 202019087 /nfs/dbraw/zinc/01/90/87/202019087.db2.gz SLLCHPXCDSAICH-STQMWFEESA-N 0 3 245.322 2.551 20 0 BFADHN c1coc([C@@H]2N(C[C@H]3CCOC3)CC23CCC3)c1 ZINC000334634130 354378703 /nfs/dbraw/zinc/37/87/03/354378703.db2.gz QRCGNLUNIXQSCQ-OCCSQVGLSA-N 0 3 247.338 2.843 20 0 BFADHN CCC[C@H](CN[C@@H]1c2ccccc2O[C@@H]1C)OC ZINC000639185694 354380569 /nfs/dbraw/zinc/38/05/69/354380569.db2.gz CHVWHZQGUBICHF-JMSVASOKSA-N 0 3 249.354 2.913 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H]1c2ccccc2O[C@H]1C ZINC000639187016 354381165 /nfs/dbraw/zinc/38/11/65/354381165.db2.gz WYIWRPYRVQSFHY-BBGACYKPSA-N 0 3 235.327 2.522 20 0 BFADHN c1ccc([C@@H]2C[C@H]2CN2CC3(C2)CCOC3)cc1 ZINC000334646618 354436333 /nfs/dbraw/zinc/43/63/33/354436333.db2.gz VAWDOZPWDUOWQL-GJZGRUSLSA-N 0 3 243.350 2.512 20 0 BFADHN O[C@H]1CCCN(Cc2ccc(C3CC3)cc2)C1 ZINC000334643342 354400025 /nfs/dbraw/zinc/40/00/25/354400025.db2.gz IAYFTJKPXHIIBL-HNNXBMFYSA-N 0 3 231.339 2.521 20 0 BFADHN CC(C)C[C@@H](C)N1CCCc2c(cnn2C)C1 ZINC000334644397 354402395 /nfs/dbraw/zinc/40/23/95/354402395.db2.gz AQLPNHIMVWERLF-GFCCVEGCSA-N 0 3 235.375 2.603 20 0 BFADHN Cn1cc2c(n1)CCC[C@H]2NCC1=CCCCC1 ZINC000334669072 354409406 /nfs/dbraw/zinc/40/94/06/354409406.db2.gz LVPBKCRKNZWCIZ-CQSZACIVSA-N 0 3 245.370 2.888 20 0 BFADHN Cc1cnccc1CN1CCSC[C@@H]1C1CC1 ZINC000334678525 354423243 /nfs/dbraw/zinc/42/32/43/354423243.db2.gz FEWOJEAAVWJGLO-CQSZACIVSA-N 0 3 248.395 2.717 20 0 BFADHN Cc1cnccc1CN1CCSC[C@H]1C1CC1 ZINC000334678524 354423369 /nfs/dbraw/zinc/42/33/69/354423369.db2.gz FEWOJEAAVWJGLO-AWEZNQCLSA-N 0 3 248.395 2.717 20 0 BFADHN Cc1ccncc1CN1CCSC[C@@H]1C1CC1 ZINC000334678462 354423459 /nfs/dbraw/zinc/42/34/59/354423459.db2.gz CBIPUWZVTZAZLL-CQSZACIVSA-N 0 3 248.395 2.717 20 0 BFADHN C[C@H](O)CN1CC2(CCC2)[C@H]1c1ccccc1 ZINC000334656371 354450741 /nfs/dbraw/zinc/45/07/41/354450741.db2.gz YSOLGAKRUOXJOQ-GXTWGEPZSA-N 0 3 231.339 2.594 20 0 BFADHN Cc1ccc(CN2CCS[C@H](C)CC2)cn1 ZINC000334659761 354456540 /nfs/dbraw/zinc/45/65/40/354456540.db2.gz UZBIXQUZNQEOFV-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN CC1(CN2CCC[C@H]2c2cc[nH]n2)CCC1 ZINC000334659568 354456804 /nfs/dbraw/zinc/45/68/04/354456804.db2.gz LUFFNZISXXBUMA-LBPRGKRZSA-N 0 3 219.332 2.737 20 0 BFADHN C/C(=C/c1ccccc1)CN1CC2(C1)CCOC2 ZINC000334664850 354466034 /nfs/dbraw/zinc/46/60/34/354466034.db2.gz AVAIJQJOZJERDZ-ZROIWOOFSA-N 0 3 243.350 2.812 20 0 BFADHN COc1ccnc(CN2C[C@@H]3CCCC[C@@H]3C2)c1 ZINC000334691036 354479788 /nfs/dbraw/zinc/47/97/88/354479788.db2.gz ZYRJYIBFIYFAQF-BETUJISGSA-N 0 3 246.354 2.712 20 0 BFADHN C[C@@H]1CCC(C)(C)N1Cc1nccs1 ZINC000334692114 354481727 /nfs/dbraw/zinc/48/17/27/354481727.db2.gz LAXCDGQGLQRVMO-SECBINFHSA-N 0 3 210.346 2.906 20 0 BFADHN C1C[C@@H](N2CCSC3(CCCCC3)C2)CO1 ZINC000334695301 354485944 /nfs/dbraw/zinc/48/59/44/354485944.db2.gz YYUAZTOLRPCQRH-GFCCVEGCSA-N 0 3 241.400 2.527 20 0 BFADHN CO[C@H]1CCN(Cc2cccc(F)c2)C[C@H]1C ZINC000334737678 354539925 /nfs/dbraw/zinc/53/99/25/354539925.db2.gz FHGPCCWORNQVJS-RISCZKNCSA-N 0 3 237.318 2.683 20 0 BFADHN CO[C@H]1CCN(Cc2cccs2)C[C@@H]1C ZINC000334739397 354542489 /nfs/dbraw/zinc/54/24/89/354542489.db2.gz TUNLZNSYDZLXOG-JQWIXIFHSA-N 0 3 225.357 2.605 20 0 BFADHN CO[C@H]1CCN(Cc2cccs2)C[C@H]1C ZINC000334739399 354542910 /nfs/dbraw/zinc/54/29/10/354542910.db2.gz TUNLZNSYDZLXOG-PWSUYJOCSA-N 0 3 225.357 2.605 20 0 BFADHN CC1(C)CC[C@H]1NCc1ccc2c(n1)CCC2 ZINC000334721648 354521596 /nfs/dbraw/zinc/52/15/96/354521596.db2.gz LLQNNGLIHIFOBL-CQSZACIVSA-N 0 3 230.355 2.849 20 0 BFADHN Cc1ccccc1CN1CC2(C1)CCCOC2 ZINC000334721987 354521674 /nfs/dbraw/zinc/52/16/74/354521674.db2.gz PYADQNJGFIQPNW-UHFFFAOYSA-N 0 3 231.339 2.607 20 0 BFADHN CC(C)(O)C1CN(Cc2cccc3ccoc32)C1 ZINC000334723343 354522891 /nfs/dbraw/zinc/52/28/91/354522891.db2.gz WTUPIDIKJZFLIS-UHFFFAOYSA-N 0 3 245.322 2.636 20 0 BFADHN CN(CC1=CCCCC1)[C@H]1CCCOC1 ZINC000334801369 354557119 /nfs/dbraw/zinc/55/71/19/354557119.db2.gz TWFAWBUQADVUML-ZDUSSCGKSA-N 0 3 209.333 2.598 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2ccncc2F)C1 ZINC000334769083 354590141 /nfs/dbraw/zinc/59/01/41/354590141.db2.gz ZMIMKTYTDBVVRI-LLVKDONJSA-N 0 3 222.307 2.699 20 0 BFADHN CCN(Cc1csc(C)c1C)[C@H]1CCOC1 ZINC000639197706 354598861 /nfs/dbraw/zinc/59/88/61/354598861.db2.gz LLUDBACKWCGNJC-ZDUSSCGKSA-N 0 3 239.384 2.976 20 0 BFADHN CCN(Cc1csc(C)c1C)[C@H](C)CO ZINC000639199982 354604369 /nfs/dbraw/zinc/60/43/69/354604369.db2.gz SNPOJZJAUWVZAP-SECBINFHSA-N 0 3 227.373 2.568 20 0 BFADHN C[C@@H]1SCCN(C/C=C/c2ccncc2)[C@H]1C ZINC000334796707 354551131 /nfs/dbraw/zinc/55/11/31/354551131.db2.gz KMLGETRCZZKROS-GFSGRHGLSA-N 0 3 248.395 2.921 20 0 BFADHN CC[C@H]1CCN([C@H](C)c2ncc(C)o2)C1 ZINC000335091763 354628155 /nfs/dbraw/zinc/62/81/55/354628155.db2.gz BLZGKELHDSYEHJ-MNOVXSKESA-N 0 3 208.305 2.776 20 0 BFADHN C[C@@H](N[C@@H]1CCC12CCCC2)c1ccc(=O)[nH]n1 ZINC000335082844 354616092 /nfs/dbraw/zinc/61/60/92/354616092.db2.gz QIWILOSOTBPPDG-ZYHUDNBSSA-N 0 3 247.342 2.556 20 0 BFADHN C[C@H](N[C@H]1CCC12CCCC2)c1ccc(=O)[nH]n1 ZINC000335082840 354616184 /nfs/dbraw/zinc/61/61/84/354616184.db2.gz QIWILOSOTBPPDG-JQWIXIFHSA-N 0 3 247.342 2.556 20 0 BFADHN C[C@@H]1CC[C@H](N[C@@H]2CCn3ccnc32)C[C@@H]1C ZINC000345350667 354624313 /nfs/dbraw/zinc/62/43/13/354624313.db2.gz NPXYATBYNMXFLX-MROQNXINSA-N 0 3 233.359 2.742 20 0 BFADHN CCCCN(CC)C(=O)[C@@H](CC)N(CC)CC ZINC000345565903 354695273 /nfs/dbraw/zinc/69/52/73/354695273.db2.gz YXWKIPUNGVLWBL-CYBMUJFWSA-N 0 3 242.407 2.755 20 0 BFADHN CO[C@@H]1[C@H](C)[C@H](NCc2ccc(C)o2)C1(C)C ZINC000345705886 354753865 /nfs/dbraw/zinc/75/38/65/354753865.db2.gz LHHUWDPTPRSBRM-KGYLQXTDSA-N 0 3 237.343 2.737 20 0 BFADHN CO[C@@H]1[C@H](C)[C@H](NCc2ccc(C)cn2)C1(C)C ZINC000345706095 354754411 /nfs/dbraw/zinc/75/44/11/354754411.db2.gz MJERNTLXEIYYMT-KWCYVHTRSA-N 0 3 248.370 2.539 20 0 BFADHN Cc1cnc(CNC[C@H]2CCCC2(C)C)o1 ZINC000639210796 354741695 /nfs/dbraw/zinc/74/16/95/354741695.db2.gz NKCFLZMVJDXWAU-LLVKDONJSA-N 0 3 222.332 2.899 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](CO)C2CC2)o1 ZINC000353878132 354818877 /nfs/dbraw/zinc/81/88/77/354818877.db2.gz HIISRJQRFMDKLY-PWSUYJOCSA-N 0 3 237.343 2.511 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1ccnn1CC ZINC000353876932 354794132 /nfs/dbraw/zinc/79/41/32/354794132.db2.gz AMPJGDBFBUAJLS-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1ccnn1CC ZINC000353876930 354794535 /nfs/dbraw/zinc/79/45/35/354794535.db2.gz AMPJGDBFBUAJLS-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN CCCC[C@@H](CC)CC(=O)N[C@H](C)CN(C)C ZINC000346132823 354870194 /nfs/dbraw/zinc/87/01/94/354870194.db2.gz ISPMNSZNVLKTPR-CHWSQXEVSA-N 0 3 242.407 2.659 20 0 BFADHN CC[C@@H](C(=O)N(C)[C@H](C)C(C)C)N(CC)CC ZINC000345991318 354834433 /nfs/dbraw/zinc/83/44/33/354834433.db2.gz MCWGRBZIJQKWMU-OLZOCXBDSA-N 0 3 242.407 2.610 20 0 BFADHN CN(Cc1ccc2c(n1)CCC2)C1CCC1 ZINC000335140623 354844221 /nfs/dbraw/zinc/84/42/21/354844221.db2.gz VDWWAMKYLKBSOE-UHFFFAOYSA-N 0 3 216.328 2.555 20 0 BFADHN CC(C)[C@H](CO)N[C@@H]1CCCOc2ccccc21 ZINC000346061607 354850758 /nfs/dbraw/zinc/85/07/58/354850758.db2.gz AGGYTMJAHNECIO-KGLIPLIRSA-N 0 3 249.354 2.507 20 0 BFADHN CCN(Cc1ccc(F)cn1)C1CCC1 ZINC000346260022 354883220 /nfs/dbraw/zinc/88/32/20/354883220.db2.gz LFUHORZOLPQGFS-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN CN(Cc1cncs1)C[C@@H]1CC=CCC1 ZINC000346316507 354894072 /nfs/dbraw/zinc/89/40/72/354894072.db2.gz AJKPVFMIFFNDJI-LLVKDONJSA-N 0 3 222.357 2.931 20 0 BFADHN FC(F)(F)CCN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000366942512 354903153 /nfs/dbraw/zinc/90/31/53/354903153.db2.gz DSCGOFKCAFEVNS-ZJUUUORDSA-N 0 3 237.265 2.582 20 0 BFADHN c1cnc2cc(CN3CC[C@H]3C3CC3)ccc2n1 ZINC000639221883 354914767 /nfs/dbraw/zinc/91/47/67/354914767.db2.gz GWJLMRXBMGXGKH-HNNXBMFYSA-N 0 3 239.322 2.614 20 0 BFADHN CC[C@@H](C)CCCCC(=O)NC[C@H](C)N(C)C ZINC000665551190 491069489 /nfs/dbraw/zinc/06/94/89/491069489.db2.gz ARHZLLIIYBIUHB-OLZOCXBDSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C)C(C)(C)C2)cn1 ZINC000335167770 354927051 /nfs/dbraw/zinc/92/70/51/354927051.db2.gz AGVCWJYKHAEBGQ-LLVKDONJSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCCC(F)(F)C1 ZINC000335955428 134023067 /nfs/dbraw/zinc/02/30/67/134023067.db2.gz BUWBKNXKYWHWCD-JTQLQIEISA-N 0 3 244.285 2.959 20 0 BFADHN CN(Cc1cncs1)C1CC(C)(C)C1 ZINC000335170975 354935365 /nfs/dbraw/zinc/93/53/65/354935365.db2.gz YMTYGMCUHQKQHU-UHFFFAOYSA-N 0 3 210.346 2.764 20 0 BFADHN CC(C)N(Cc1ccc(F)cn1)C1CCC1 ZINC000346443226 354939805 /nfs/dbraw/zinc/93/98/05/354939805.db2.gz JBBVRMHUMHZIIE-UHFFFAOYSA-N 0 3 222.307 2.984 20 0 BFADHN COC(C)(C)CCN[C@H](C)c1cncc(F)c1 ZINC000346588138 355002321 /nfs/dbraw/zinc/00/23/21/355002321.db2.gz XBMKAINEQVTDCP-SNVBAGLBSA-N 0 3 240.322 2.686 20 0 BFADHN CCc1cccc(CN2C[C@H](C)N(C)C[C@@H]2C)c1 ZINC000346554167 354966620 /nfs/dbraw/zinc/96/66/20/354966620.db2.gz GJFABVNUHIPPBP-KBPBESRZSA-N 0 3 246.398 2.773 20 0 BFADHN CCc1cccc(CN(C)CCN(C)C2CC2)c1 ZINC000346550626 354984594 /nfs/dbraw/zinc/98/45/94/354984594.db2.gz CWAULMYZUFFEOA-UHFFFAOYSA-N 0 3 246.398 2.775 20 0 BFADHN COc1cc(C)ccc1CN[C@@H](C)[C@H]1CCCO1 ZINC000346596694 354988734 /nfs/dbraw/zinc/98/87/34/354988734.db2.gz HFFKOBFVFPHXPF-GXTWGEPZSA-N 0 3 249.354 2.661 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@@H](C)[C@@H]1CCCO1 ZINC000346627911 354997712 /nfs/dbraw/zinc/99/77/12/354997712.db2.gz SBUMZPBMIRCABX-HUBLWGQQSA-N 0 3 248.370 2.862 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H](C)CCC2CC2)[n-]1 ZINC000346578226 355000226 /nfs/dbraw/zinc/00/02/26/355000226.db2.gz SLJRAAYVOQPNAV-UWVGGRQHSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@H](C)N[C@@H](C)CCC2CC2)[nH]1 ZINC000346578226 355000228 /nfs/dbraw/zinc/00/02/28/355000228.db2.gz SLJRAAYVOQPNAV-UWVGGRQHSA-N 0 3 236.363 2.596 20 0 BFADHN CC/C=C/CNC[C@H](Cc1ccccc1)OC ZINC000639226695 355035940 /nfs/dbraw/zinc/03/59/40/355035940.db2.gz HGZVPVLOHWBYJY-LWUPOJRFSA-N 0 3 233.355 2.800 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@](O)(C2CC2)C1 ZINC000335209477 355046010 /nfs/dbraw/zinc/04/60/10/355046010.db2.gz IVYOJWHJWDZNBH-IAQYHMDHSA-N 0 3 249.329 2.734 20 0 BFADHN Cc1ccc(CCN2CCO[C@H]3CCC[C@H]32)cc1 ZINC000346651096 355018884 /nfs/dbraw/zinc/01/88/84/355018884.db2.gz VKDZXMMMISFJPZ-CVEARBPZSA-N 0 3 245.366 2.791 20 0 BFADHN Cc1nocc1CN[C@H]1CS[C@H](C(C)C)C1 ZINC000639225807 355070671 /nfs/dbraw/zinc/07/06/71/355070671.db2.gz BDSUSBCLTYOUIZ-NEPJUHHUSA-N 0 3 240.372 2.603 20 0 BFADHN C[C@@H](NCc1nc2c(s1)CCC2)C1CC1 ZINC000093053522 491073058 /nfs/dbraw/zinc/07/30/58/491073058.db2.gz SKMZXGCQAJNYAT-MRVPVSSYSA-N 0 3 222.357 2.520 20 0 BFADHN Cc1nocc1CN[C@H]1CC[C@H]1C1CCC1 ZINC000639227098 355056534 /nfs/dbraw/zinc/05/65/34/355056534.db2.gz JZJBKGQOSCCJGU-STQMWFEESA-N 0 3 220.316 2.651 20 0 BFADHN COC[C@@H](CC(C)C)NCc1ccc(C)nc1 ZINC000346792584 355106506 /nfs/dbraw/zinc/10/65/06/355106506.db2.gz IRZBVQPIZKHFCL-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@H](NC1(c2cccc(F)c2)CC1)[C@@H]1CCCO1 ZINC000346805011 355110338 /nfs/dbraw/zinc/11/03/38/355110338.db2.gz YTAIXVKWJGTLKO-FZMZJTMJSA-N 0 3 249.329 2.972 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1occc1C ZINC000449754393 202023276 /nfs/dbraw/zinc/02/32/76/202023276.db2.gz JPFAXAISOQVKBI-VXGBXAGGSA-N 0 3 223.316 2.635 20 0 BFADHN COC[C@@H]1CCCCN1Cc1ccc(OC)cc1 ZINC000449759769 202023752 /nfs/dbraw/zinc/02/37/52/202023752.db2.gz KPDYUNFXMZSQIM-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1cc(CN[C@@]2(C)CC=CCC2)no1 ZINC000335237779 355099087 /nfs/dbraw/zinc/09/90/87/355099087.db2.gz DFOGZIVKKDYAJI-LBPRGKRZSA-N 0 3 206.289 2.571 20 0 BFADHN COc1nc(C)cc(C)c1CN(C)CC(C)C ZINC000346834897 355130537 /nfs/dbraw/zinc/13/05/37/355130537.db2.gz YQZNNWMRBVJSCA-UHFFFAOYSA-N 0 3 236.359 2.795 20 0 BFADHN CC(C)N(Cc1cncc(F)c1)C1CCC1 ZINC000346973879 355208958 /nfs/dbraw/zinc/20/89/58/355208958.db2.gz INTLIBZFOYORMF-UHFFFAOYSA-N 0 3 222.307 2.984 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1ccns1 ZINC000639233872 355216315 /nfs/dbraw/zinc/21/63/15/355216315.db2.gz WUSMIOPDDWINAD-ONGXEEELSA-N 0 3 210.346 2.525 20 0 BFADHN c1cc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)sn1 ZINC000639232845 355214527 /nfs/dbraw/zinc/21/45/27/355214527.db2.gz PXQSRXCIXZHCRE-OLZOCXBDSA-N 0 3 234.368 2.764 20 0 BFADHN COc1ccncc1CN(C)[C@H](C)C1CCC1 ZINC000347015291 355205709 /nfs/dbraw/zinc/20/57/09/355205709.db2.gz MTIUQLUQGIDMHV-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN c1ccc2oc(CNC[C@@H]3C[C@H]3C3CC3)nc2c1 ZINC000639239673 355223417 /nfs/dbraw/zinc/22/34/17/355223417.db2.gz CRKHQJNHTOKLGX-RYUDHWBXSA-N 0 3 242.322 2.964 20 0 BFADHN COc1cccnc1CNCC1(C(C)C)CC1 ZINC000639240345 355224777 /nfs/dbraw/zinc/22/47/77/355224777.db2.gz PDBHUZVUIOXSRY-UHFFFAOYSA-N 0 3 234.343 2.616 20 0 BFADHN c1c(CNC[C@@H]2C[C@H]2C2CC2)onc1C1CC1 ZINC000639240293 355224946 /nfs/dbraw/zinc/22/49/46/355224946.db2.gz NEPSKNQPKKGQEH-AAEUAGOBSA-N 0 3 232.327 2.688 20 0 BFADHN Cc1cc(CNCCC2CC(F)(F)C2)sn1 ZINC000639243581 355231372 /nfs/dbraw/zinc/23/13/72/355231372.db2.gz BIOQGXSJZNLRJW-UHFFFAOYSA-N 0 3 246.326 2.977 20 0 BFADHN Cc1ccnc(CN[C@H](C)C2CCCCC2)n1 ZINC000347527462 355232918 /nfs/dbraw/zinc/23/29/18/355232918.db2.gz DQQYEEMYMCFRKP-GFCCVEGCSA-N 0 3 233.359 2.843 20 0 BFADHN CC[C@H](CN1CCC(C(F)(F)F)CC1)OC ZINC000412975086 191346007 /nfs/dbraw/zinc/34/60/07/191346007.db2.gz DPQHPYOMQBUOLO-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN CC[C@@H](CN(Cc1cccnc1)C(C)C)OC ZINC000412976325 191346087 /nfs/dbraw/zinc/34/60/87/191346087.db2.gz IOLVENIWGNZFOM-AWEZNQCLSA-N 0 3 236.359 2.717 20 0 BFADHN CC[C@H](CN1CC[C@H]1c1ccccc1)OC ZINC000412976649 191346314 /nfs/dbraw/zinc/34/63/14/191346314.db2.gz JTDZTILQGWQJSH-KGLIPLIRSA-N 0 3 219.328 2.858 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1ccn(C(F)F)n1 ZINC000347683239 355267917 /nfs/dbraw/zinc/26/79/17/355267917.db2.gz MYFWMSWJEARAIT-VIFPVBQESA-N 0 3 231.290 2.755 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000639245994 355274352 /nfs/dbraw/zinc/27/43/52/355274352.db2.gz CJEHSVVRQUSUBO-RVMXOQNASA-N 0 3 233.359 2.600 20 0 BFADHN CCc1ccc(CN(C)CC(C)C)cn1 ZINC000347669106 355258539 /nfs/dbraw/zinc/25/85/39/355258539.db2.gz IOZDHMDCHGDYFG-UHFFFAOYSA-N 0 3 206.333 2.732 20 0 BFADHN COCC1(C)CN(CCc2ccc(C)cc2)C1 ZINC000639255174 355297721 /nfs/dbraw/zinc/29/77/21/355297721.db2.gz NYESXTKVMZEKTB-UHFFFAOYSA-N 0 3 233.355 2.506 20 0 BFADHN C[C@H](N[C@@H]1CS[C@@H](C)C1)c1cncc(F)c1 ZINC000639257089 355302051 /nfs/dbraw/zinc/30/20/51/355302051.db2.gz QPPNOHDQKCQVAB-AUTRQRHGSA-N 0 3 240.347 2.765 20 0 BFADHN CC[C@@H]1CN(C)CCN1Cc1csc(C)c1 ZINC000582162315 355335830 /nfs/dbraw/zinc/33/58/30/355335830.db2.gz UNBOCHDIUSOYRA-CYBMUJFWSA-N 0 3 238.400 2.583 20 0 BFADHN Cn1ccnc1[C@@H](N[C@H]1CCC[C@@H]2C[C@@H]21)C1CC1 ZINC000639259186 355308773 /nfs/dbraw/zinc/30/87/73/355308773.db2.gz UBUVKCDXOPTNSR-RFGFWPKPSA-N 0 3 245.370 2.649 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCC[C@H]2F)CS1 ZINC000639264000 355320335 /nfs/dbraw/zinc/32/03/35/355320335.db2.gz AAXKGIFVAORRHD-GWOFURMSSA-N 0 3 217.353 2.751 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccncc1F)[C@H]1C[C@H]1C ZINC000414148811 191444404 /nfs/dbraw/zinc/44/44/04/191444404.db2.gz OFQKKVDTIJRGHR-KLBPJQLPSA-N 0 3 222.307 2.916 20 0 BFADHN C[C@H]1C[C@@H](N[C@@H]2CCCC[C@H]2F)CS1 ZINC000639264002 355320604 /nfs/dbraw/zinc/32/06/04/355320604.db2.gz AAXKGIFVAORRHD-LNFKQOIKSA-N 0 3 217.353 2.751 20 0 BFADHN Cc1ccsc1CN1CCCO[C@@H](CF)C1 ZINC000335641492 355329775 /nfs/dbraw/zinc/32/97/75/355329775.db2.gz GIBXTPJZBFNMQP-NSHDSACASA-N 0 3 243.347 2.617 20 0 BFADHN COCC[C@H](C)CN[C@H](C)c1ccc(F)cn1 ZINC000414188151 191456565 /nfs/dbraw/zinc/45/65/65/191456565.db2.gz DQPSHGZWXIGNRQ-WDEREUQCSA-N 0 3 240.322 2.544 20 0 BFADHN C[C@@H]1C[C@H](NC[C@@H]2C[C@H]3C[C@H]3C2)c2nccn21 ZINC000639248001 355277932 /nfs/dbraw/zinc/27/79/32/355277932.db2.gz MCVCGXGEBMMNHX-LBELIVKGSA-N 0 3 231.343 2.525 20 0 BFADHN CC[C@@H](NCc1ccn(CC)n1)C1CCCC1 ZINC000414207037 191468308 /nfs/dbraw/zinc/46/83/08/191468308.db2.gz GPJSTVRVQNTMSH-CQSZACIVSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@H]1CCC[C@H](N2CCc3n[nH]cc3C2)C1 ZINC000623238442 355382292 /nfs/dbraw/zinc/38/22/92/355382292.db2.gz GVHINQLKEYWJPC-AAEUAGOBSA-N 0 3 233.359 2.737 20 0 BFADHN c1[nH]nc2c1CN([C@H]1CCC[C@@H](C3CC3)C1)CC2 ZINC000623238456 355383242 /nfs/dbraw/zinc/38/32/42/355383242.db2.gz HFPCYVWVDBDCIZ-OCCSQVGLSA-N 0 3 245.370 2.737 20 0 BFADHN C[C@H](O)CCN[C@@H](C)c1csc(Cl)c1 ZINC000191289950 355383988 /nfs/dbraw/zinc/38/39/88/355383988.db2.gz KPBFRIFHTGWHQE-YUMQZZPRSA-N 0 3 233.764 2.823 20 0 BFADHN C[C@@H](N[C@@H](C)CCO)c1csc(Cl)c1 ZINC000191298490 355384750 /nfs/dbraw/zinc/38/47/50/355384750.db2.gz ZYUHVQQRZCLUKN-JGVFFNPUSA-N 0 3 233.764 2.823 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2ccns2)C[C@H]1C ZINC000639270733 355340956 /nfs/dbraw/zinc/34/09/56/355340956.db2.gz KHKLXWWFMFKFAN-OPRDCNLKSA-N 0 3 210.346 2.619 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1c1ccco1)[C@@H]1CC1(F)F ZINC000639274779 355342424 /nfs/dbraw/zinc/34/24/24/355342424.db2.gz RBTZBOBLMHNCLW-QCLAVDOMSA-N 0 3 227.254 2.769 20 0 BFADHN c1cc(CN2CC[C@H](C3CCC3)C2)sn1 ZINC000639273811 355347115 /nfs/dbraw/zinc/34/71/15/355347115.db2.gz NXVUMPIWSTUOOP-NSHDSACASA-N 0 3 222.357 2.765 20 0 BFADHN CC[C@H](N[C@@H](C)COC)c1ccccc1F ZINC000166290403 355354878 /nfs/dbraw/zinc/35/48/78/355354878.db2.gz MXLZDNFISKCMOG-GWCFXTLKSA-N 0 3 225.307 2.901 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@H]1N1CCc2n[nH]cc2C1 ZINC000623240582 355417517 /nfs/dbraw/zinc/41/75/17/355417517.db2.gz PBDPAAQNYMJGFM-BXUZGUMPSA-N 0 3 247.386 2.983 20 0 BFADHN CCc1cccnc1[C@@H](C)NCCOC(C)C ZINC000349723575 355419426 /nfs/dbraw/zinc/41/94/26/355419426.db2.gz RXQMFXPVXZPKOR-GFCCVEGCSA-N 0 3 236.359 2.720 20 0 BFADHN Cc1ccc([C@H](N[C@H]2CCOC2)C2CCC2)o1 ZINC000349753522 355423491 /nfs/dbraw/zinc/42/34/91/355423491.db2.gz BXLBXYYWWALKNR-GXTWGEPZSA-N 0 3 235.327 2.808 20 0 BFADHN C[C@@H]1CN(Cc2ccc(CO)o2)CC(C)(C)C1 ZINC000335780360 355426817 /nfs/dbraw/zinc/42/68/17/355426817.db2.gz BSLJEGYVKKCORY-NSHDSACASA-N 0 3 237.343 2.640 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1cc2ccc(F)cc2[nH]1 ZINC000335784244 355432961 /nfs/dbraw/zinc/43/29/61/355432961.db2.gz KLZHGTZIXZVROJ-NOZJJQNGSA-N 0 3 248.301 2.574 20 0 BFADHN C[C@H]1CCSCCN1C/C=C/c1ccncc1 ZINC000335785766 355435251 /nfs/dbraw/zinc/43/52/51/355435251.db2.gz GCILJXIVMHLVPV-IBUXWKBASA-N 0 3 248.395 2.922 20 0 BFADHN c1[nH]nc2c1CN([C@@H]1CCCC[C@H]1C1CC1)CC2 ZINC000623239720 355397309 /nfs/dbraw/zinc/39/73/09/355397309.db2.gz QVUFERSDHFJJKS-DZGCQCFKSA-N 0 3 245.370 2.737 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN2CCc3n[nH]cc3C2)C1 ZINC000623241516 355491221 /nfs/dbraw/zinc/49/12/21/355491221.db2.gz WKQKOKJCAXIJOP-WCQYABFASA-N 0 3 245.370 2.760 20 0 BFADHN COCc1ccc(CN(C)CC(C)C)o1 ZINC000349855431 355498487 /nfs/dbraw/zinc/49/84/87/355498487.db2.gz UKVYKOFTGMVWNC-UHFFFAOYSA-N 0 3 211.305 2.514 20 0 BFADHN CCc1ccc(CN2C[C@H]3CCC[C@H]32)cn1 ZINC000335998552 134043187 /nfs/dbraw/zinc/04/31/87/134043187.db2.gz HIMIXTZCWYQSQZ-TZMCWYRMSA-N 0 3 216.328 2.628 20 0 BFADHN COCCN(C)C/C=C\c1ccc(Cl)cc1 ZINC000349802857 355465689 /nfs/dbraw/zinc/46/56/89/355465689.db2.gz KNPZQLUQGQQVTI-ARJAWSKDSA-N 0 3 239.746 2.931 20 0 BFADHN C/C=C\C[C@H](CO)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000349823343 355470313 /nfs/dbraw/zinc/47/03/13/355470313.db2.gz UMMWCYAXTBELTJ-FVJQTQADSA-N 0 3 249.354 2.820 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCc3n[nH]cc3C2)C[C@H]1C ZINC000623241111 355479337 /nfs/dbraw/zinc/47/93/37/355479337.db2.gz HLRLKAKVISWHIW-NQBHXWOUSA-N 0 3 233.359 2.593 20 0 BFADHN CCCn1nc(C)c(CN(C)CC(C)C)c1C ZINC000349852112 355482772 /nfs/dbraw/zinc/48/27/72/355482772.db2.gz AMDMUFYFYLWFHB-UHFFFAOYSA-N 0 3 237.391 2.998 20 0 BFADHN CCc1nn(C)c(CC)c1CN(C)CC(C)C ZINC000349853497 355485080 /nfs/dbraw/zinc/48/50/80/355485080.db2.gz KQCDAQBTASQAMI-UHFFFAOYSA-N 0 3 237.391 2.633 20 0 BFADHN COc1cncc(CN2C[C@@H]3CCCC[C@H]3C2)c1 ZINC000335810668 355486905 /nfs/dbraw/zinc/48/69/05/355486905.db2.gz WCJTXGFLLAYCSR-KBPBESRZSA-N 0 3 246.354 2.712 20 0 BFADHN CC(C)CN(C)Cc1c[nH]nc1C(C)(C)C ZINC000349829093 355487054 /nfs/dbraw/zinc/48/70/54/355487054.db2.gz BAQLLVWEKOLTAO-UHFFFAOYSA-N 0 3 223.364 2.795 20 0 BFADHN CC(C)[C@H]1CCC[C@@H](N2CCc3n[nH]cc3C2)C1 ZINC000623241920 355536189 /nfs/dbraw/zinc/53/61/89/355536189.db2.gz JJZSIFZBMMKQDN-GXTWGEPZSA-N 0 3 247.386 2.983 20 0 BFADHN CC(C)[C@@H]1CCC[C@H](N2CCc3n[nH]cc3C2)C1 ZINC000623241922 355536331 /nfs/dbraw/zinc/53/63/31/355536331.db2.gz JJZSIFZBMMKQDN-OCCSQVGLSA-N 0 3 247.386 2.983 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@@H]1N1CCc2n[nH]cc2C1 ZINC000623241987 355540208 /nfs/dbraw/zinc/54/02/08/355540208.db2.gz LVMYQXOXQCHQBU-MFKMUULPSA-N 0 3 233.359 2.593 20 0 BFADHN CCc1nc(C)c(CN2CC[C@H](C)[C@H]2C)o1 ZINC000335821332 355540369 /nfs/dbraw/zinc/54/03/69/355540369.db2.gz JIUPYPBNXFQTMU-GXSJLCMTSA-N 0 3 222.332 2.776 20 0 BFADHN CCN(C/C=C\c1ccc(F)cc1)CCOC ZINC000349862714 355512105 /nfs/dbraw/zinc/51/21/05/355512105.db2.gz XUGPKGAHSMXANT-PLNGDYQASA-N 0 3 237.318 2.807 20 0 BFADHN CNCc1cccc(NC(=O)N(C)CC(C)C)c1 ZINC000415920421 191703427 /nfs/dbraw/zinc/70/34/27/191703427.db2.gz OZYGVKGWFPZUBZ-UHFFFAOYSA-N 0 3 249.358 2.526 20 0 BFADHN Cc1scc(CN(C)[C@@H](C)CCO)c1C ZINC000639298380 355598846 /nfs/dbraw/zinc/59/88/46/355598846.db2.gz ZCMOIZZTJZRZCJ-VIFPVBQESA-N 0 3 227.373 2.568 20 0 BFADHN C[C@@H]1CCCCC[C@H]1N1CCc2n[nH]cc2C1 ZINC000623243519 355609012 /nfs/dbraw/zinc/60/90/12/355609012.db2.gz DQJZLSIFDKECFJ-BXUZGUMPSA-N 0 3 233.359 2.737 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@@H](N2CCc3n[nH]cc3C2)C1 ZINC000623243528 355609882 /nfs/dbraw/zinc/60/98/82/355609882.db2.gz DXIRPJHSVGBXKK-GYSYKLTISA-N 0 3 233.359 2.593 20 0 BFADHN Cc1nocc1CN([C@H](C)C(C)C)C1CC1 ZINC000350143692 355614256 /nfs/dbraw/zinc/61/42/56/355614256.db2.gz PCOJIIQRCFPGQR-LLVKDONJSA-N 0 3 222.332 2.992 20 0 BFADHN C[C@@H]([C@@H]1CCCC[C@H]1C)N1CCc2n[nH]cc2C1 ZINC000623242852 355580747 /nfs/dbraw/zinc/58/07/47/355580747.db2.gz LETUXPWLMCVEJR-MBNYWOFBSA-N 0 3 247.386 2.983 20 0 BFADHN Cc1cc(Cl)cc(CN(CCO)C(C)C)c1 ZINC000350239166 355654915 /nfs/dbraw/zinc/65/49/15/355654915.db2.gz HSBULGIGFMSFSU-UHFFFAOYSA-N 0 3 241.762 2.851 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3OCC[C@@H]3C2)c(F)c1 ZINC000639299204 355626137 /nfs/dbraw/zinc/62/61/37/355626137.db2.gz QFQRHQNFINSIEP-HIFRSBDPSA-N 0 3 249.329 2.745 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C)C[C@@H](C)C2)cn1 ZINC000335866154 355629858 /nfs/dbraw/zinc/62/98/58/355629858.db2.gz IZQXZZWXTIPIAT-VXGBXAGGSA-N 0 3 233.359 2.653 20 0 BFADHN CC(C)N1CCC(F)(c2cccnc2)CC1 ZINC000335866327 355631266 /nfs/dbraw/zinc/63/12/66/355631266.db2.gz UJOFRWQSWLUJED-UHFFFAOYSA-N 0 3 222.307 2.751 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)C[C@H]2C)s1 ZINC000335868483 355633398 /nfs/dbraw/zinc/63/33/98/355633398.db2.gz OILKNWNIUUFKJF-DTWKUNHWSA-N 0 3 210.346 2.682 20 0 BFADHN COc1cc(CN[C@@H](C)CCCC(C)C)on1 ZINC000639324695 355721319 /nfs/dbraw/zinc/72/13/19/355721319.db2.gz NWALNQZAPGQXHN-NSHDSACASA-N 0 3 240.347 2.988 20 0 BFADHN Cc1nsc(C)c1CN1C[C@H](C)[C@H](C)C1 ZINC000582189058 355694461 /nfs/dbraw/zinc/69/44/61/355694461.db2.gz DTEUZNQNTWIIPM-DTORHVGOSA-N 0 3 224.373 2.848 20 0 BFADHN CC[C@@H]1C[C@@H](CN(C)Cc2ccccn2)CCO1 ZINC000350390728 355701645 /nfs/dbraw/zinc/70/16/45/355701645.db2.gz KZWPZWJVZZOCGL-DZGCQCFKSA-N 0 3 248.370 2.719 20 0 BFADHN CC[C@H]1C[C@H](CN(C)Cc2ccccn2)CCO1 ZINC000350390729 355701962 /nfs/dbraw/zinc/70/19/62/355701962.db2.gz KZWPZWJVZZOCGL-HIFRSBDPSA-N 0 3 248.370 2.719 20 0 BFADHN c1cc(CNCCCC2CCC2)sn1 ZINC000639317166 355706331 /nfs/dbraw/zinc/70/63/31/355706331.db2.gz RQZFHQAEPYKOCL-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN COc1cc(CN[C@H]2CCC[C@@H]2C(C)C)on1 ZINC000639324785 355714310 /nfs/dbraw/zinc/71/43/10/355714310.db2.gz PDSDZESTNNYXBG-NEPJUHHUSA-N 0 3 238.331 2.598 20 0 BFADHN COc1cc(CN[C@@H]2CCC[C@H]2C(C)C)on1 ZINC000639324786 355714548 /nfs/dbraw/zinc/71/45/48/355714548.db2.gz PDSDZESTNNYXBG-NWDGAFQWSA-N 0 3 238.331 2.598 20 0 BFADHN COc1cc(CN[C@@H](C)CCC(C)C)on1 ZINC000639323669 355717293 /nfs/dbraw/zinc/71/72/93/355717293.db2.gz FPRWOYVSUHIUSD-JTQLQIEISA-N 0 3 226.320 2.598 20 0 BFADHN COc1cc(CNC[C@H]2CCCC[C@H]2C)on1 ZINC000639324067 355718036 /nfs/dbraw/zinc/71/80/36/355718036.db2.gz JLSNSSGTZXJTEV-GHMZBOCLSA-N 0 3 238.331 2.599 20 0 BFADHN COc1cccc(C)c1CN1CC[C@@H]2C[C@@H]2C1 ZINC000639324216 355718246 /nfs/dbraw/zinc/71/82/46/355718246.db2.gz GGNHVANOYDNEHQ-CHWSQXEVSA-N 0 3 231.339 2.845 20 0 BFADHN COc1cc(CN[C@@H](C)CCC=C(C)C)on1 ZINC000639324641 355718975 /nfs/dbraw/zinc/71/89/75/355718975.db2.gz MWLFLSDYBUWKKH-NSHDSACASA-N 0 3 238.331 2.908 20 0 BFADHN COc1cc(CNCC2CCCCCC2)on1 ZINC000639324420 355719183 /nfs/dbraw/zinc/71/91/83/355719183.db2.gz MCTOXKWTSGKHLC-UHFFFAOYSA-N 0 3 238.331 2.743 20 0 BFADHN CO[C@H](CN1CCC[C@@H](F)C1)C1CCCC1 ZINC000639313776 355684286 /nfs/dbraw/zinc/68/42/86/355684286.db2.gz YBNVTXFZYAEMIO-CHWSQXEVSA-N 0 3 229.339 2.626 20 0 BFADHN COc1c(C)cccc1CNC[C@H](OC)C1CC1 ZINC000639312985 355684513 /nfs/dbraw/zinc/68/45/13/355684513.db2.gz POECQTUNBWSRSC-AWEZNQCLSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1cc(F)ccc1CN1CCOC[C@H](C)C1 ZINC000335892283 355685707 /nfs/dbraw/zinc/68/57/07/355685707.db2.gz BRVLZHBYUGMIJQ-LLVKDONJSA-N 0 3 237.318 2.602 20 0 BFADHN CC(C)n1cc(CN2CC[C@@H]3CCC[C@H]32)cn1 ZINC000335893419 355687771 /nfs/dbraw/zinc/68/77/71/355687771.db2.gz NZDYJPLYRZXWLU-UONOGXRCSA-N 0 3 233.359 2.838 20 0 BFADHN COC(=O)c1coc([C@H](C)NC[C@H]2CC23CC3)c1 ZINC000417334351 191794854 /nfs/dbraw/zinc/79/48/54/191794854.db2.gz HATVHFNXIWXVLY-GXSJLCMTSA-N 0 3 249.310 2.517 20 0 BFADHN CN(C[C@@H]1CC1(C)C)[C@@H](c1nccn1C)C1CC1 ZINC000639337204 355775017 /nfs/dbraw/zinc/77/50/17/355775017.db2.gz KNXGIUROHCDESC-QWHCGFSZSA-N 0 3 247.386 2.849 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1ccc(Cl)nc1 ZINC000191640698 355758705 /nfs/dbraw/zinc/75/87/05/355758705.db2.gz VQOQAIJUCAUTMQ-AEJSXWLSSA-N 0 3 242.750 2.809 20 0 BFADHN COc1cc(CN[C@@H](C)CC2CCCC2)on1 ZINC000639324768 355724637 /nfs/dbraw/zinc/72/46/37/355724637.db2.gz OSYVEJXQERLLLT-JTQLQIEISA-N 0 3 238.331 2.742 20 0 BFADHN COc1cccc(C)c1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000639325806 355725236 /nfs/dbraw/zinc/72/52/36/355725236.db2.gz UFGQNCUCMJOMCJ-JYAVWHMHSA-N 0 3 231.339 2.892 20 0 BFADHN Cc1cc(CN[C@]2(C)CC2(C)C)sn1 ZINC000639327422 355729925 /nfs/dbraw/zinc/72/99/25/355729925.db2.gz KIGNAETVXSTUKZ-LLVKDONJSA-N 0 3 210.346 2.730 20 0 BFADHN COC(=O)c1coc([C@H](C)NC[C@@H]2CC23CC3)c1 ZINC000417334358 191794286 /nfs/dbraw/zinc/79/42/86/191794286.db2.gz HATVHFNXIWXVLY-ONGXEEELSA-N 0 3 249.310 2.517 20 0 BFADHN CN(Cc1cccc(F)c1N)C[C@H]1CC1(C)C ZINC000639337657 355776673 /nfs/dbraw/zinc/77/66/73/355776673.db2.gz NPJCMVQSBNTSDD-LLVKDONJSA-N 0 3 236.334 2.886 20 0 BFADHN C1=CCC(CNCc2cc(C3CC3)no2)C1 ZINC000639345039 355791062 /nfs/dbraw/zinc/79/10/62/355791062.db2.gz GXVWOADJBNWYSO-UHFFFAOYSA-N 0 3 218.300 2.608 20 0 BFADHN c1cc(CNCC[C@@H]2CC=CCC2)sn1 ZINC000639350281 355795847 /nfs/dbraw/zinc/79/58/47/355795847.db2.gz DOOXXASWBVRWLO-LLVKDONJSA-N 0 3 222.357 2.979 20 0 BFADHN C/C=C/CNCc1cc2ccccc2n1C ZINC000639352044 355801171 /nfs/dbraw/zinc/80/11/71/355801171.db2.gz CTPHABRKWWEMIK-ONEGZZNKSA-N 0 3 214.312 2.844 20 0 BFADHN Cc1cnn(CCN2C3CCCC2CCC3)c1 ZINC000608498977 355827259 /nfs/dbraw/zinc/82/72/59/355827259.db2.gz NMQYUNYDDHDEBG-UHFFFAOYSA-N 0 3 233.359 2.599 20 0 BFADHN c1cc(CN2CCCC3(CC3)CC2)sn1 ZINC000639355891 355809940 /nfs/dbraw/zinc/80/99/40/355809940.db2.gz AZROFDXFRGFVBX-UHFFFAOYSA-N 0 3 222.357 2.909 20 0 BFADHN Cc1nnsc1CNC[C@H]1CCCC12CC2 ZINC000639356089 355810706 /nfs/dbraw/zinc/81/07/06/355810706.db2.gz GKSFITYIJUBXFQ-SNVBAGLBSA-N 0 3 237.372 2.516 20 0 BFADHN CCn1nc(C)c(CN(C)C[C@@H]2C[C@H]2C)c1C ZINC000639359534 355813482 /nfs/dbraw/zinc/81/34/82/355813482.db2.gz ADUUZDHCSILEDI-MFKMUULPSA-N 0 3 235.375 2.608 20 0 BFADHN c1ccc(C2CN(CCC[C@@H]3CCO3)C2)cc1 ZINC000639361466 355815327 /nfs/dbraw/zinc/81/53/27/355815327.db2.gz CJTNJPQDUYZTCI-OAHLLOKOSA-N 0 3 231.339 2.655 20 0 BFADHN Cc1ncccc1CN1CC(c2ccccc2)C1 ZINC000639361827 355816258 /nfs/dbraw/zinc/81/62/58/355816258.db2.gz UADMZNGNVZIBIW-UHFFFAOYSA-N 0 3 238.334 2.989 20 0 BFADHN CC[C@](C)(CN1CC(c2ccccc2)C1)OC ZINC000639361790 355816503 /nfs/dbraw/zinc/81/65/03/355816503.db2.gz RRESSKNDUJLPBK-OAHLLOKOSA-N 0 3 233.355 2.901 20 0 BFADHN C[C@H](c1cccc(F)c1)N(C)CC1(C)COC1 ZINC000608493619 355819656 /nfs/dbraw/zinc/81/96/56/355819656.db2.gz NCFAZENLXBEXSK-LLVKDONJSA-N 0 3 237.318 2.855 20 0 BFADHN COc1ncc(CN[C@H]2CCCC23CC3)s1 ZINC000639360729 355819752 /nfs/dbraw/zinc/81/97/52/355819752.db2.gz NGZXNMHVXMPVFP-JTQLQIEISA-N 0 3 238.356 2.574 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1ccsc1 ZINC000191651198 355822460 /nfs/dbraw/zinc/82/24/60/355822460.db2.gz JUXFTSAMSVFZBL-AEJSXWLSSA-N 0 3 213.346 2.822 20 0 BFADHN c1coc(CN[C@@H](C2CCC2)[C@H]2CCCO2)c1 ZINC000631252528 355840107 /nfs/dbraw/zinc/84/01/07/355840107.db2.gz ACAVAGJMCTUYMU-KGLIPLIRSA-N 0 3 235.327 2.717 20 0 BFADHN Cc1nocc1CN(C)C(C1CC1)C1CC1 ZINC000350871991 355846328 /nfs/dbraw/zinc/84/63/28/355846328.db2.gz SLTSBONLYANXIO-UHFFFAOYSA-N 0 3 220.316 2.603 20 0 BFADHN Cc1ccc(C)c(CN[C@@H]2CO[C@H](C3CC3)C2)c1 ZINC000623752573 355867683 /nfs/dbraw/zinc/86/76/83/355867683.db2.gz IBNAWHUCPGKBMP-HOTGVXAUSA-N 0 3 245.366 2.961 20 0 BFADHN Oc1cccc(CN2CCC(CCF)CC2)c1 ZINC000639380042 355918373 /nfs/dbraw/zinc/91/83/73/355918373.db2.gz CMSKSKCOGCPIHZ-UHFFFAOYSA-N 0 3 237.318 2.964 20 0 BFADHN C[C@@H](CCNC1(C)COC1)c1ccccc1 ZINC000639381038 355920810 /nfs/dbraw/zinc/92/08/10/355920810.db2.gz NQOQFALRSKXPFL-LBPRGKRZSA-N 0 3 219.328 2.559 20 0 BFADHN CC/C=C/CCN1CCCCC[C@@H]1C(=O)OC ZINC000613477198 363456805 /nfs/dbraw/zinc/45/68/05/363456805.db2.gz IAVYIRVYDQDWLD-MUBLQREKSA-N 0 3 239.359 2.760 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CN1CCc2n[nH]cc2C1 ZINC000628370945 355884406 /nfs/dbraw/zinc/88/44/06/355884406.db2.gz VYGBSKSHWITCJJ-CHWSQXEVSA-N 0 3 247.386 2.984 20 0 BFADHN CC[C@@H](N[C@H](C)c1cnccn1)C(C)(C)C ZINC000167378728 355986720 /nfs/dbraw/zinc/98/67/20/355986720.db2.gz YXGUHFLIZMEJRV-ZYHUDNBSSA-N 0 3 221.348 2.952 20 0 BFADHN CCCC(=O)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC000036091055 355998258 /nfs/dbraw/zinc/99/82/58/355998258.db2.gz WGSZZBXVRLHQLK-AWEZNQCLSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@@]1(O)CCCN(Cc2ccc(F)cc2)C1 ZINC000639384029 355958325 /nfs/dbraw/zinc/95/83/25/355958325.db2.gz ANEOKQBGNVTWPJ-CQSZACIVSA-N 0 3 237.318 2.563 20 0 BFADHN CC(C)[C@@H]1CN(CCC2CCCC2)CCO1 ZINC000351200814 355960668 /nfs/dbraw/zinc/96/06/68/355960668.db2.gz JRPVWFFVRUYFEQ-AWEZNQCLSA-N 0 3 225.376 2.924 20 0 BFADHN CC(C)[C@H]1CN(CCC2CCCC2)CCO1 ZINC000351200815 355961058 /nfs/dbraw/zinc/96/10/58/355961058.db2.gz JRPVWFFVRUYFEQ-CQSZACIVSA-N 0 3 225.376 2.924 20 0 BFADHN CCCC[C@H](CC)CNCc1cocn1 ZINC000192279867 355968884 /nfs/dbraw/zinc/96/88/84/355968884.db2.gz OLMUSLJUXVSXLH-NSHDSACASA-N 0 3 210.321 2.981 20 0 BFADHN CCc1nocc1CN1CCC[C@@H]1CSC ZINC000351694602 356060475 /nfs/dbraw/zinc/06/04/75/356060475.db2.gz NGGSZJQVZSNQDE-LLVKDONJSA-N 0 3 240.372 2.564 20 0 BFADHN Cc1ncsc1CN1CCC(C)(F)CC1 ZINC000639389181 356012204 /nfs/dbraw/zinc/01/22/04/356012204.db2.gz SMTPEGHKGINSKG-UHFFFAOYSA-N 0 3 228.336 2.776 20 0 BFADHN CC[C@@H](CN1CCOC[C@H]1C)c1ccccc1 ZINC000351871874 356104909 /nfs/dbraw/zinc/10/49/09/356104909.db2.gz ARVSSWYFKHKLHL-KGLIPLIRSA-N 0 3 233.355 2.901 20 0 BFADHN Cc1ncc(CN(C)C[C@@H](C)C(C)(C)C)cn1 ZINC000639397782 356112869 /nfs/dbraw/zinc/11/28/69/356112869.db2.gz FHSTWLYVHHAKLO-LLVKDONJSA-N 0 3 235.375 2.899 20 0 BFADHN Cc1ccc(CN2CCC23CCC3)cn1 ZINC000335911214 356120019 /nfs/dbraw/zinc/12/00/19/356120019.db2.gz LLOHWCIHHQVGPC-UHFFFAOYSA-N 0 3 202.301 2.518 20 0 BFADHN CC[C@]1(C)CCN([C@H](CC(C)C)C(=O)OC)C1 ZINC000351882412 356124181 /nfs/dbraw/zinc/12/41/81/356124181.db2.gz PJMCJFABOSMPPK-TZMCWYRMSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H](F)CCN(C)CCc1ccccc1O ZINC000351718284 356069653 /nfs/dbraw/zinc/06/96/53/356069653.db2.gz HXYGHSKPUOBDJZ-NSHDSACASA-N 0 3 225.307 2.615 20 0 BFADHN COC[C@H](NCC[C@H](C)F)c1ccc(F)cc1 ZINC000351721449 356071363 /nfs/dbraw/zinc/07/13/63/356071363.db2.gz RTPHAHCOEGKRAS-GWCFXTLKSA-N 0 3 243.297 2.851 20 0 BFADHN Cc1nc(C)c(CN[C@@]23C[C@@H]2CCCC3)o1 ZINC000639394407 356072929 /nfs/dbraw/zinc/07/29/29/356072929.db2.gz JJMBKQNRFDWBGQ-AAEUAGOBSA-N 0 3 220.316 2.714 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cnn(CC(F)F)c1 ZINC000351776200 356085709 /nfs/dbraw/zinc/08/57/09/356085709.db2.gz ZBBKCEITSSGBEE-NXEZZACHSA-N 0 3 243.301 2.521 20 0 BFADHN Cc1ccsc1CN1CCOC[C@@H](C)C1 ZINC000335915314 356153338 /nfs/dbraw/zinc/15/33/38/356153338.db2.gz XYANFOXBEAFOOY-JTQLQIEISA-N 0 3 225.357 2.525 20 0 BFADHN COCCN(CC[C@@H](C)F)Cc1ccccc1 ZINC000351892584 356159384 /nfs/dbraw/zinc/15/93/84/356159384.db2.gz CYJYGYTVOHMPBU-CYBMUJFWSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1cccc(CN(C)CCCCF)n1 ZINC000351884659 356132442 /nfs/dbraw/zinc/13/24/42/356132442.db2.gz ZYTLNMCJYFDQAG-UHFFFAOYSA-N 0 3 210.296 2.572 20 0 BFADHN C[C@@H](c1ccc2c(c1)CCC2)N1CCC(O)CC1 ZINC000336097880 134080936 /nfs/dbraw/zinc/08/09/36/134080936.db2.gz UKYXEAJZXCFNFP-LBPRGKRZSA-N 0 3 245.366 2.693 20 0 BFADHN CCc1nnc([C@H](C)N[C@@H]2CCC23CCCC3)[nH]1 ZINC000329917496 356143962 /nfs/dbraw/zinc/14/39/62/356143962.db2.gz FJADHYFCBCMRMK-WDEREUQCSA-N 0 3 248.374 2.741 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CCC23CCCC3)[n-]1 ZINC000329917496 356143966 /nfs/dbraw/zinc/14/39/66/356143966.db2.gz FJADHYFCBCMRMK-WDEREUQCSA-N 0 3 248.374 2.741 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@@H]1CCCOC1)C2 ZINC000336085837 134076589 /nfs/dbraw/zinc/07/65/89/134076589.db2.gz GLICAVHHQYPRBV-ZDUSSCGKSA-N 0 3 231.339 2.737 20 0 BFADHN C[C@@H](C(N)=O)N1CCC[C@@H](C(C)(C)C)C1 ZINC000336087887 134076929 /nfs/dbraw/zinc/07/69/29/134076929.db2.gz KIVQAEGEFCDLBI-VHSXEESVSA-N 0 3 212.337 2.668 20 0 BFADHN Cc1ccc(CN(C)Cc2cc[nH]c(=O)c2)cc1 ZINC000639443746 356222019 /nfs/dbraw/zinc/22/20/19/356222019.db2.gz UHISOCMBXSRYPL-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN CN(Cc1cccs1)C[C@H]1CC(C)(C)CO1 ZINC000639443789 356222575 /nfs/dbraw/zinc/22/25/75/356222575.db2.gz VNAXRAXEQJNFKH-LLVKDONJSA-N 0 3 239.384 2.995 20 0 BFADHN Cc1cnc([C@@H](C)N2CCC[C@@H](C)C2)cn1 ZINC000336114775 134088035 /nfs/dbraw/zinc/08/80/35/134088035.db2.gz ALUKQMGPQSSLQE-ZYHUDNBSSA-N 0 3 219.332 2.578 20 0 BFADHN CC[C@@]1(O)CCN([C@H](C)c2cccc(F)c2)C1 ZINC000336108856 134085613 /nfs/dbraw/zinc/08/56/13/134085613.db2.gz MESFNHGUKBDXGE-BXUZGUMPSA-N 0 3 237.318 2.734 20 0 BFADHN O=c1cc(CN2CCC[C@@H]3CCC[C@@H]32)cc[nH]1 ZINC000639497661 356325663 /nfs/dbraw/zinc/32/56/63/356325663.db2.gz MYAWEIPCLOTHDB-STQMWFEESA-N 0 3 232.327 2.552 20 0 BFADHN Cc1oncc1CN(C)[C@H]1CC[C@@H](C)C1 ZINC000336121126 134091838 /nfs/dbraw/zinc/09/18/38/134091838.db2.gz KGKGFNJGZWOQSL-SKDRFNHKSA-N 0 3 208.305 2.603 20 0 BFADHN CC(=O)CN1CCC[C@@H]1Cc1ccc(C)cc1 ZINC000639488389 356305137 /nfs/dbraw/zinc/30/51/37/356305137.db2.gz HUYINORJMUFREA-OAHLLOKOSA-N 0 3 231.339 2.591 20 0 BFADHN CC(=O)CN1C[C@H](c2ccccc2C)C[C@H]1C ZINC000639501781 356328424 /nfs/dbraw/zinc/32/84/24/356328424.db2.gz XKTRPJICIABWKE-TZMCWYRMSA-N 0 3 231.339 2.762 20 0 BFADHN CC1(C)CCCN1Cc1ccncc1F ZINC000336227652 356314784 /nfs/dbraw/zinc/31/47/84/356314784.db2.gz UVRWGKYPRLJQTD-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cnc(C)nc1 ZINC000352411638 356341919 /nfs/dbraw/zinc/34/19/19/356341919.db2.gz ZCPKMQWQCFLDAJ-LLVKDONJSA-N 0 3 221.348 2.796 20 0 BFADHN C[C@@H]1CC2(CCC2)CN1Cc1cncs1 ZINC000336136763 134096269 /nfs/dbraw/zinc/09/62/69/134096269.db2.gz KARQJZDUPBFPLM-SNVBAGLBSA-N 0 3 222.357 2.908 20 0 BFADHN C[C@@H]1OCC[C@@H]1CN1CC[C@H]1c1cccc(F)c1 ZINC000639511025 356345612 /nfs/dbraw/zinc/34/56/12/356345612.db2.gz LSSYVSOAMXVAOE-LNSITVRQSA-N 0 3 249.329 2.998 20 0 BFADHN C[C@H]1CC2(CCC2)CN1Cc1cccnc1 ZINC000336138838 134096616 /nfs/dbraw/zinc/09/66/16/134096616.db2.gz LUZAQSSMAXVZAY-LBPRGKRZSA-N 0 3 216.328 2.846 20 0 BFADHN C[C@H](NCc1ccn(C)n1)[C@H]1CCC[C@H](C)C1 ZINC000449765437 202028129 /nfs/dbraw/zinc/02/81/29/202028129.db2.gz IFYKMPRQMWSEOM-AVGNSLFASA-N 0 3 235.375 2.725 20 0 BFADHN CCN(Cc1cccc(O)c1OC)C1CCC1 ZINC000352608733 356389332 /nfs/dbraw/zinc/38/93/32/356389332.db2.gz ORGUCLZQUCLTMR-UHFFFAOYSA-N 0 3 235.327 2.775 20 0 BFADHN Cc1cc(C)cc(NC(=O)[C@@H](N)CC(C)C)c1 ZINC000011961120 356421568 /nfs/dbraw/zinc/42/15/68/356421568.db2.gz XYCIYGOMZVZEPM-ZDUSSCGKSA-N 0 3 234.343 2.615 20 0 BFADHN C/C(=C\c1ccccc1)CN1CC[C@H](O)[C@@H](C)C1 ZINC000190044742 356430751 /nfs/dbraw/zinc/43/07/51/356430751.db2.gz ATUQHAWXFCDUGX-HUUYWPLNSA-N 0 3 245.366 2.793 20 0 BFADHN CCN1CCN(Cc2ccc(C)c(C)c2)[C@H](C)C1 ZINC000352676865 356402106 /nfs/dbraw/zinc/40/21/06/356402106.db2.gz URRAVWLIKODZOO-OAHLLOKOSA-N 0 3 246.398 2.829 20 0 BFADHN CCC[C@H](N[C@H]1C[C@H](OC)C1)c1cc(C)ccn1 ZINC000631651156 356451844 /nfs/dbraw/zinc/45/18/44/356451844.db2.gz JZWYQGHKJGAXKT-IHRRRGAJSA-N 0 3 248.370 2.998 20 0 BFADHN CCC[C@@H](N[C@H]1C[C@H](OC)C1)c1cc(C)ccn1 ZINC000631651157 356451934 /nfs/dbraw/zinc/45/19/34/356451934.db2.gz JZWYQGHKJGAXKT-MGPQQGTHSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1cc(CN[C@H]2CO[C@@H](C3CC3)C2)cs1 ZINC000623755085 356452352 /nfs/dbraw/zinc/45/23/52/356452352.db2.gz ZDDKXAPZKSAWFO-CHWSQXEVSA-N 0 3 237.368 2.714 20 0 BFADHN C[C@H](c1ccncc1)N(C)C[C@@H]1CC(C)(C)CO1 ZINC000639551614 356437255 /nfs/dbraw/zinc/43/72/55/356437255.db2.gz PELOBFQFRFOAKW-OCCSQVGLSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@H]1CC(C)(C)CO1 ZINC000639551828 356440899 /nfs/dbraw/zinc/44/08/99/356440899.db2.gz SGGQMKMDEDQCDI-TZMCWYRMSA-N 0 3 248.370 2.890 20 0 BFADHN CCN(C[C@H](C)O)[C@@H](C)c1nc2ccccc2o1 ZINC000352220984 134109163 /nfs/dbraw/zinc/10/91/63/134109163.db2.gz KQTDWHODFPEHIS-QWRGUYRKSA-N 0 3 248.326 2.592 20 0 BFADHN C[C@H](NCCCCF)c1cscn1 ZINC000379346059 356444594 /nfs/dbraw/zinc/44/45/94/356444594.db2.gz VMAZBGZIKOXCKF-QMMMGPOBSA-N 0 3 202.298 2.543 20 0 BFADHN CC(C(=O)Nc1ccc(O)cc1)C(F)(F)F ZINC000336162554 134111553 /nfs/dbraw/zinc/11/15/53/134111553.db2.gz AZSWSRJQAFYVTK-ZCFIWIBFSA-N 0 3 233.189 2.529 20 0 BFADHN COc1ccc([C@H](NCC(C)=O)C(C)C)cc1 ZINC000639561883 356477057 /nfs/dbraw/zinc/47/70/57/356477057.db2.gz KIBXMEYIRLUJCK-CQSZACIVSA-N 0 3 235.327 2.571 20 0 BFADHN CCc1ccc([C@@H]2CCCN2C[C@H]2C[C@@H](O)C2)o1 ZINC000420918734 192273047 /nfs/dbraw/zinc/27/30/47/192273047.db2.gz XMIFHDKTYKCREB-SCRDCRAPSA-N 0 3 249.354 2.750 20 0 BFADHN CC[C@H](NCC(C)=O)C(C)(C)c1ccccc1 ZINC000639568613 356494236 /nfs/dbraw/zinc/49/42/36/356494236.db2.gz BYTSOUGOLYVSSS-AWEZNQCLSA-N 0 3 233.355 2.921 20 0 BFADHN C[C@@H](NC[C@H]1C[C@@H](O)C1)c1cc2ccccc2o1 ZINC000420922236 192273602 /nfs/dbraw/zinc/27/36/02/192273602.db2.gz NMCGRLKQUBLZRB-WZRBSPASSA-N 0 3 245.322 2.854 20 0 BFADHN C[C@@H]1CCC[C@@H](c2noc([C@@H]3CCN(C)C3)n2)C1 ZINC000350024600 366248326 /nfs/dbraw/zinc/24/83/26/366248326.db2.gz PDXKXRFXCSCSED-IJLUTSLNSA-N 0 3 249.358 2.782 20 0 BFADHN CC[C@H](NC[C@@H]1CCO[C@@H]1C)c1nccs1 ZINC000639579750 356500604 /nfs/dbraw/zinc/50/06/04/356500604.db2.gz KHCZQJNJSSXOMC-VWYCJHECSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@@H]1CCCC[C@H]1c1noc([C@@H]2CCN(C)C2)n1 ZINC000350059190 366251369 /nfs/dbraw/zinc/25/13/69/366251369.db2.gz XDBOTRVNZDOQHU-IJLUTSLNSA-N 0 3 249.358 2.782 20 0 BFADHN CC[C@@H]1CN([C@@H]2C=CCCC2)C[C@H](CC)O1 ZINC000420954455 192277235 /nfs/dbraw/zinc/27/72/35/192277235.db2.gz JYRRWZQKPSXWLD-MCIONIFRSA-N 0 3 223.360 2.985 20 0 BFADHN CCc1ccc(CNCCc2ccco2)cn1 ZINC000352257043 134119365 /nfs/dbraw/zinc/11/93/65/134119365.db2.gz ORIAGJOLFHWFMH-UHFFFAOYSA-N 0 3 230.311 2.569 20 0 BFADHN CC[C@@H]1CN([C@@H]2C=CCCC2)C[C@@H](CC)O1 ZINC000420954457 192277769 /nfs/dbraw/zinc/27/77/69/192277769.db2.gz JYRRWZQKPSXWLD-MGPQQGTHSA-N 0 3 223.360 2.985 20 0 BFADHN CC(=O)CN[C@H]1CCC[C@@H]1Cc1ccccc1 ZINC000639603179 356523467 /nfs/dbraw/zinc/52/34/67/356523467.db2.gz JQUHJZPMWKNHKZ-CABCVRRESA-N 0 3 231.339 2.576 20 0 BFADHN COC[C@H](C)N1CCC[C@@H](CC(F)(F)F)C1 ZINC000420957072 192280477 /nfs/dbraw/zinc/28/04/77/192280477.db2.gz ZBHZMCSYLMHKDN-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN CC[C@@H]1CN(CC[C@H](C)F)C[C@H](CC)O1 ZINC000420966089 192285436 /nfs/dbraw/zinc/28/54/36/192285436.db2.gz ZALXHPQGVKQEAY-SDDRHHMPSA-N 0 3 217.328 2.624 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2ccon2)C1 ZINC000088052771 491094097 /nfs/dbraw/zinc/09/40/97/491094097.db2.gz RMIYRIHVGMXLKW-MNOVXSKESA-N 0 3 208.305 2.591 20 0 BFADHN Cc1oncc1CN(C)C1Cc2ccccc2C1 ZINC000336181497 134119037 /nfs/dbraw/zinc/11/90/37/134119037.db2.gz LRGXRXUNGBHYOG-UHFFFAOYSA-N 0 3 242.322 2.582 20 0 BFADHN CC(=O)CN1[C@@H]2CC[C@H]1C[C@@H](c1ccccc1)C2 ZINC000639628189 356544886 /nfs/dbraw/zinc/54/48/86/356544886.db2.gz ILXOPWZIDOADSC-MUJYYYPQSA-N 0 3 243.350 2.986 20 0 BFADHN Cc1cc(C)c(CN2[C@@H](C)C[C@@H]2C)c(C)n1 ZINC000639618234 356534607 /nfs/dbraw/zinc/53/46/07/356534607.db2.gz GNZQREPLXLHCNY-RYUDHWBXSA-N 0 3 218.344 2.990 20 0 BFADHN C[C@@H](N[C@@H]1CCOC(C)(C)C1)c1ccoc1 ZINC000179561116 366271562 /nfs/dbraw/zinc/27/15/62/366271562.db2.gz GQZANXNLEFSNCU-ZYHUDNBSSA-N 0 3 223.316 2.888 20 0 BFADHN CCOC(=O)CCNC(C)(C)c1cccc(C)c1 ZINC000179591120 366273577 /nfs/dbraw/zinc/27/35/77/366273577.db2.gz XBBVJZLTRPXCCM-UHFFFAOYSA-N 0 3 249.354 2.773 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@H](CC(C)(C)C)C1 ZINC000449772119 202028845 /nfs/dbraw/zinc/02/88/45/202028845.db2.gz GNTREAHDQPBGSF-CYBMUJFWSA-N 0 3 249.402 2.987 20 0 BFADHN CC1CCC(N2CCN(C3CCC3)CC2)CC1 ZINC000179649971 366280245 /nfs/dbraw/zinc/28/02/45/366280245.db2.gz CLFWTZDFKWYGRU-UHFFFAOYSA-N 0 3 236.403 2.735 20 0 BFADHN CC1(C)CN(Cc2cccc(F)c2)[C@@H]2COC[C@@H]21 ZINC000449782307 202034266 /nfs/dbraw/zinc/03/42/66/202034266.db2.gz KSQQXWBWIXHQQN-UONOGXRCSA-N 0 3 249.329 2.683 20 0 BFADHN CC(C)[C@H]1N(Cc2cnn(C)c2)CC12CCCC2 ZINC000449790346 202037425 /nfs/dbraw/zinc/03/74/25/202037425.db2.gz KNFPCOODBOAREG-CQSZACIVSA-N 0 3 247.386 2.821 20 0 BFADHN Cc1cccc(C)c1CN1CC[C@@]12CCOC2 ZINC000421022494 192305428 /nfs/dbraw/zinc/30/54/28/192305428.db2.gz QIQLZTBSUGAPOC-HNNXBMFYSA-N 0 3 231.339 2.668 20 0 BFADHN C[C@@H]1CN(CC2=CCCCC2)[C@@H](C)CN1C ZINC000336199987 134128668 /nfs/dbraw/zinc/12/86/68/134128668.db2.gz ZEAYDQZQPRGBIB-OLZOCXBDSA-N 0 3 222.376 2.511 20 0 BFADHN C[C@@H](CN1CC[C@@]12CCOC2)c1ccccc1 ZINC000421022511 192306471 /nfs/dbraw/zinc/30/64/71/192306471.db2.gz QKZVDUAWDQLESN-ZFWWWQNUSA-N 0 3 231.339 2.655 20 0 BFADHN C[C@@H]1CN(CC2=CCCCC2)[C@H](C)CN1C ZINC000336199986 134128732 /nfs/dbraw/zinc/12/87/32/134128732.db2.gz ZEAYDQZQPRGBIB-CHWSQXEVSA-N 0 3 222.376 2.511 20 0 BFADHN CCC[C@@H](CC(C)C)C(=O)NCCN(C)CC ZINC000449857016 202045998 /nfs/dbraw/zinc/04/59/98/202045998.db2.gz PXZJFDAHVVWSER-ZDUSSCGKSA-N 0 3 242.407 2.517 20 0 BFADHN CC(=O)CN1CC[C@H](C(C)(C)C)C[C@@H]1C ZINC000639649412 356560351 /nfs/dbraw/zinc/56/03/51/356560351.db2.gz SJBMXBKXUNBTTC-JQWIXIFHSA-N 0 3 211.349 2.722 20 0 BFADHN CC(=O)CN1CC[C@H](C(C)(C)C)C[C@H]1C ZINC000639649413 356561145 /nfs/dbraw/zinc/56/11/45/356561145.db2.gz SJBMXBKXUNBTTC-PWSUYJOCSA-N 0 3 211.349 2.722 20 0 BFADHN CCN1Cc2ccc(OC)cc2OC(C)(C)C1 ZINC000421045620 192311037 /nfs/dbraw/zinc/31/10/37/192311037.db2.gz VDCGDHXDVWNKOZ-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN CN(Cc1cccc(C2CC2)c1)[C@@H]1CCOC1 ZINC000421322205 192318321 /nfs/dbraw/zinc/31/83/21/192318321.db2.gz SNHFQCMFTOZCPN-OAHLLOKOSA-N 0 3 231.339 2.785 20 0 BFADHN CN(Cc1cccc(C2CC2)c1)C1CC(O)C1 ZINC000421343479 192318961 /nfs/dbraw/zinc/31/89/61/192318961.db2.gz NKSZWBAEOKQECO-UHFFFAOYSA-N 0 3 231.339 2.519 20 0 BFADHN OC[C@H]1C=C[C@@H](NCc2cccc(C3CC3)c2)C1 ZINC000421349434 192320138 /nfs/dbraw/zinc/32/01/38/192320138.db2.gz XMFSEXXRGHCQJG-XJKSGUPXSA-N 0 3 243.350 2.591 20 0 BFADHN C[C@@H]1CN(CC2CC(F)(F)C2)C[C@H](C2CC2)O1 ZINC000336204220 134131775 /nfs/dbraw/zinc/13/17/75/134131775.db2.gz SWUCBJWGKXVAIE-BXKDBHETSA-N 0 3 245.313 2.531 20 0 BFADHN CCC1CC(N[C@@H]2C[C@H](C)n3ccnc32)C1 ZINC000336205771 134131869 /nfs/dbraw/zinc/13/18/69/134131869.db2.gz IWCIBTOBWXGUPU-WNYYMSAVSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@]1(O)CCCN(Cc2cccc(C3CC3)c2)C1 ZINC000421317958 192316608 /nfs/dbraw/zinc/31/66/08/192316608.db2.gz AYWSYUTVSCMTOW-INIZCTEOSA-N 0 3 245.366 2.911 20 0 BFADHN OC[C@H]1CCCN(Cc2cccc(C3CC3)c2)C1 ZINC000421327552 192317323 /nfs/dbraw/zinc/31/73/23/192317323.db2.gz JJXZNBXSIRVSCF-AWEZNQCLSA-N 0 3 245.366 2.768 20 0 BFADHN Cc1cc(C)c(CN[C@@H]2CCOC2(C)C)c(C)n1 ZINC000639657946 356573988 /nfs/dbraw/zinc/57/39/88/356573988.db2.gz DIDVHJOCAXVXCR-CQSZACIVSA-N 0 3 248.370 2.664 20 0 BFADHN Cc1cc(C)c(CN2C[C@@H]3C[C@H]2CS3)c(C)n1 ZINC000639670452 356586443 /nfs/dbraw/zinc/58/64/43/356586443.db2.gz APQICJKJXUGGMB-STQMWFEESA-N 0 3 248.395 2.697 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@H]2CC23CCCCC3)n1 ZINC000387173714 363532800 /nfs/dbraw/zinc/53/28/00/363532800.db2.gz UBSRGNQSTXHISE-AWEZNQCLSA-N 0 3 246.354 2.908 20 0 BFADHN CCC(O)(CC)CN(C)Cc1ccoc1C ZINC000162894219 202092709 /nfs/dbraw/zinc/09/27/09/202092709.db2.gz DPXGCFOAKJZPKJ-UHFFFAOYSA-N 0 3 225.332 2.571 20 0 BFADHN CCc1cc(N2CCC(C)CC2)ccn1 ZINC000450212237 202099459 /nfs/dbraw/zinc/09/94/59/202099459.db2.gz SCQALJYLJUIYAG-UHFFFAOYSA-N 0 3 204.317 2.880 20 0 BFADHN C[C@@H]1CN(CCC2=CCCCC2)[C@@H](C)CO1 ZINC000450231766 202100162 /nfs/dbraw/zinc/10/01/62/202100162.db2.gz JLRGSIJHGDAQJE-QWHCGFSZSA-N 0 3 223.360 2.986 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CC1=CCCCC1 ZINC000450259473 202110622 /nfs/dbraw/zinc/11/06/22/202110622.db2.gz GCKNGSNCLIDYHG-GXTWGEPZSA-N 0 3 223.360 2.986 20 0 BFADHN CCc1cc(CCCN(C)Cc2ccoc2)on1 ZINC000450291922 202120548 /nfs/dbraw/zinc/12/05/48/202120548.db2.gz VWIJPTOJULXFMA-UHFFFAOYSA-N 0 3 248.326 2.895 20 0 BFADHN CCc1cc(N2CCC[C@@H](COC)C2)ccn1 ZINC000450332440 202133116 /nfs/dbraw/zinc/13/31/16/202133116.db2.gz UUUBJDNFGVOYNA-GFCCVEGCSA-N 0 3 234.343 2.507 20 0 BFADHN Cc1csc([C@@H](C)NCC2(C)CC2)n1 ZINC000623917868 356655787 /nfs/dbraw/zinc/65/57/87/356655787.db2.gz AJXPOEXDAUBYEF-SECBINFHSA-N 0 3 210.346 2.902 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](C)O[C@@H]1C)c1cccc(C#N)c1 ZINC000384563465 356665027 /nfs/dbraw/zinc/66/50/27/356665027.db2.gz ICPHWYLJVVSQPW-BLTAXRJOSA-N 0 3 244.338 2.775 20 0 BFADHN C[C@H](NC[C@H](O)CC(C)(C)C)c1cncs1 ZINC000167846045 134154275 /nfs/dbraw/zinc/15/42/75/134154275.db2.gz NMPNBCOCTPMNPW-VHSXEESVSA-N 0 3 242.388 2.591 20 0 BFADHN Cc1ccc(-c2cnn3c2CNCC3)c(Cl)c1 ZINC000675076927 538511625 /nfs/dbraw/zinc/51/16/25/538511625.db2.gz UOEKTHQOHMFDGM-UHFFFAOYSA-N 0 3 247.729 2.615 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@@H]2OCC[C@@H]2C1 ZINC000582239099 356668688 /nfs/dbraw/zinc/66/86/88/356668688.db2.gz LOBZTUJXAJYJPK-KYOSRNDESA-N 0 3 249.329 2.998 20 0 BFADHN CCc1cc(N2CCC(C)(C)C2)ccn1 ZINC000450364277 202145067 /nfs/dbraw/zinc/14/50/67/202145067.db2.gz XSGMIJFHQPYXDX-UHFFFAOYSA-N 0 3 204.317 2.880 20 0 BFADHN Cc1ccc(CN2CCN(CC(C)C)CC2)cc1 ZINC000039971522 356693256 /nfs/dbraw/zinc/69/32/56/356693256.db2.gz JQNUHHYLFWBPLP-UHFFFAOYSA-N 0 3 246.398 2.769 20 0 BFADHN CCc1cc(N2CC[C@H](C)[C@@H]2C)ccn1 ZINC000450370018 202143968 /nfs/dbraw/zinc/14/39/68/202143968.db2.gz UUUGCIFFLMBMDV-QWRGUYRKSA-N 0 3 204.317 2.879 20 0 BFADHN CCc1cc(N(C)CC2CCOCC2)ccn1 ZINC000450420735 202159509 /nfs/dbraw/zinc/15/95/09/202159509.db2.gz RHJWQDFEWTZJON-UHFFFAOYSA-N 0 3 234.343 2.507 20 0 BFADHN CC1(C)CC[C@H](CN2CCC[C@@H]2c2cn[nH]c2)O1 ZINC000639776430 356718663 /nfs/dbraw/zinc/71/86/63/356718663.db2.gz ANRUXCHKJDORIH-CHWSQXEVSA-N 0 3 249.358 2.504 20 0 BFADHN CCCCNCc1ccc(F)c(F)c1N(C)C ZINC000623335809 356720884 /nfs/dbraw/zinc/72/08/84/356720884.db2.gz FKTLKQAXWVNXKW-UHFFFAOYSA-N 0 3 242.313 2.921 20 0 BFADHN CCN(Cc1ccccc1C(=O)OC)C1CCC1 ZINC000353826706 356721199 /nfs/dbraw/zinc/72/11/99/356721199.db2.gz ZDJXAJNKOOANNX-UHFFFAOYSA-N 0 3 247.338 2.848 20 0 BFADHN CCN(Cc1ccc(C)cn1)C1CCC1 ZINC000353819018 356721313 /nfs/dbraw/zinc/72/13/13/356721313.db2.gz PIIWDMXBVRCIIH-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN CCN(Cc1cnc2n[nH]c(C)c2c1)C1CCC1 ZINC000353882233 356724197 /nfs/dbraw/zinc/72/41/97/356724197.db2.gz RDSGWKOOFJCKMZ-UHFFFAOYSA-N 0 3 244.342 2.641 20 0 BFADHN c1n[nH]cc1[C@@H]1CCCN1[C@@H]1C=CCCC1 ZINC000639781617 356730411 /nfs/dbraw/zinc/73/04/11/356730411.db2.gz PEYYAWINWNWQDL-OLZOCXBDSA-N 0 3 217.316 2.655 20 0 BFADHN CCN(Cc1ccc(SC)s1)[C@H](C)CO ZINC000354437526 356738517 /nfs/dbraw/zinc/73/85/17/356738517.db2.gz RYNAXSSSLDTLFR-SECBINFHSA-N 0 3 245.413 2.673 20 0 BFADHN CC[C@@H](O)CCCN[C@@H](C)c1cc(C)ccn1 ZINC000353634712 356715376 /nfs/dbraw/zinc/71/53/76/356715376.db2.gz WLBNSBHEERBPNP-QWHCGFSZSA-N 0 3 236.359 2.592 20 0 BFADHN CCc1cc(N2C[C@H](C)O[C@@H](C)[C@H]2C)ccn1 ZINC000450470055 202175610 /nfs/dbraw/zinc/17/56/10/202175610.db2.gz IIWMLPOEEUWVKI-TUAOUCFPSA-N 0 3 234.343 2.646 20 0 BFADHN COc1ccc(CN2CCCC2)cc1OC1CC1 ZINC000623345104 356748782 /nfs/dbraw/zinc/74/87/82/356748782.db2.gz QWMGNJNFEXNMGW-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN Cn1cc(C2=CCCN([C@@H]3C=CCCC3)C2)cn1 ZINC000639796410 356752895 /nfs/dbraw/zinc/75/28/95/356752895.db2.gz RDCLPRCPRUVDGA-OAHLLOKOSA-N 0 3 243.354 2.618 20 0 BFADHN c1cnc(CCN2CCC(C3CCC3)CC2)cn1 ZINC000639798207 356756319 /nfs/dbraw/zinc/75/63/19/356756319.db2.gz AFVXHAMZMJZIPI-UHFFFAOYSA-N 0 3 245.370 2.531 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@@H](C)C(C)(C)O ZINC000381356684 538679504 /nfs/dbraw/zinc/67/95/04/538679504.db2.gz DJQUTUJTKXLXRQ-BDAKNGLRSA-N 0 3 243.372 2.567 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1C[C@@H]1CCC(F)(F)C1 ZINC000450494940 202184634 /nfs/dbraw/zinc/18/46/34/202184634.db2.gz QEKIFGRXODDNMK-OUAUKWLOSA-N 0 3 233.302 2.531 20 0 BFADHN CCc1cc(N(C)CCCSC)ccn1 ZINC000450496841 202184912 /nfs/dbraw/zinc/18/49/12/202184912.db2.gz BOKBFTXHLRCPEK-UHFFFAOYSA-N 0 3 224.373 2.833 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1CCCC[C@@H]1O ZINC000356903537 356808850 /nfs/dbraw/zinc/80/88/50/356808850.db2.gz SEAUFZRIFYDOBK-YUTCNCBUSA-N 0 3 248.370 2.598 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H](COC)C1CC1 ZINC000356874549 356808917 /nfs/dbraw/zinc/80/89/17/356808917.db2.gz QOVWCXCVYUMIQO-FZMZJTMJSA-N 0 3 248.370 2.720 20 0 BFADHN CC[C@H](NCC(=O)c1ccccc1F)C1CC1 ZINC000639828242 356812472 /nfs/dbraw/zinc/81/24/72/356812472.db2.gz MNTBEMPOIHMKEE-ZDUSSCGKSA-N 0 3 235.302 2.787 20 0 BFADHN CCN(Cc1ncccc1C)C1CCC1 ZINC000357306907 356823560 /nfs/dbraw/zinc/82/35/60/356823560.db2.gz QPLWMIIFYOCJLZ-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN CN(CCOCC1CC1)CC1=CCCCC1 ZINC000450489465 202183031 /nfs/dbraw/zinc/18/30/31/202183031.db2.gz XNTLZMRJWZNDIO-UHFFFAOYSA-N 0 3 223.360 2.845 20 0 BFADHN CCc1cc(N2CC[C@@]3(C2)CCCOC3)ccn1 ZINC000450518126 202189273 /nfs/dbraw/zinc/18/92/73/202189273.db2.gz OXKXGLCKRMBNCS-OAHLLOKOSA-N 0 3 246.354 2.651 20 0 BFADHN CC[C@](C)(NCCC[C@H](C)O)c1nccs1 ZINC000450565323 202198084 /nfs/dbraw/zinc/19/80/84/202198084.db2.gz KUZHORREYFMHOO-JQWIXIFHSA-N 0 3 242.388 2.519 20 0 BFADHN CCN(Cc1cnc(C)nc1)CC(C)(C)C ZINC000361017288 356915056 /nfs/dbraw/zinc/91/50/56/356915056.db2.gz MAAGOKRZXLDCQU-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN CCN(Cc1cc(F)ccc1C)C[C@@H](C)OC ZINC000361017931 356915127 /nfs/dbraw/zinc/91/51/27/356915127.db2.gz PYHSEMCLOQPGMM-GFCCVEGCSA-N 0 3 239.334 2.991 20 0 BFADHN CCc1cnccc1[C@@H](C)NC[C@H]1CCCCO1 ZINC000361131446 356916781 /nfs/dbraw/zinc/91/67/81/356916781.db2.gz JGOPYHHSLOYSGP-TZMCWYRMSA-N 0 3 248.370 2.864 20 0 BFADHN CCO[C@@H](CCN[C@@H](C)c1ncc[nH]1)C(C)C ZINC000353520108 538746971 /nfs/dbraw/zinc/74/69/71/538746971.db2.gz NITOEFIWORLDPW-RYUDHWBXSA-N 0 3 239.363 2.512 20 0 BFADHN CCN(Cc1sccc1C)[C@@H]1CCOC1 ZINC000361235630 356920122 /nfs/dbraw/zinc/92/01/22/356920122.db2.gz DFCWVKFZQORKGL-LLVKDONJSA-N 0 3 225.357 2.667 20 0 BFADHN C[C@H](NCCCc1cccc(F)c1)c1ncc[nH]1 ZINC000353531094 538747502 /nfs/dbraw/zinc/74/75/02/538747502.db2.gz UGDJSAPMWNUZIY-NSHDSACASA-N 0 3 247.317 2.832 20 0 BFADHN CCN(Cc1ccc2c(c1)CCC2)[C@@H]1CCOC1 ZINC000361248871 356921477 /nfs/dbraw/zinc/92/14/77/356921477.db2.gz DRTXZAQHJBWXFP-MRXNPFEDSA-N 0 3 245.366 2.786 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N1CC[C@@H](OC)C1 ZINC000361373415 356928546 /nfs/dbraw/zinc/92/85/46/356928546.db2.gz SNGPGBNRQQQJCG-KGLIPLIRSA-N 0 3 237.318 2.998 20 0 BFADHN c1cc(CNC2CC3(CCC3)C2)sn1 ZINC000628381838 356936975 /nfs/dbraw/zinc/93/69/75/356936975.db2.gz VHZGPNVFTZGYKW-UHFFFAOYSA-N 0 3 208.330 2.565 20 0 BFADHN c1nc(CNCC2CCCCC2)cs1 ZINC000040420115 356937182 /nfs/dbraw/zinc/93/71/82/356937182.db2.gz HNKWOTIPPFAEBN-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN CC(C)[C@H]1CC[C@@H]1NCc1cccnc1N(C)C ZINC000353447497 538742130 /nfs/dbraw/zinc/74/21/30/538742130.db2.gz MHUMYFUVYGXFHM-KGLIPLIRSA-N 0 3 247.386 2.672 20 0 BFADHN CC(C)CN1Cc2ccccc2OC[C@@H]1C ZINC000359879788 356896810 /nfs/dbraw/zinc/89/68/10/356896810.db2.gz UOVLSHRZGUFXPH-LBPRGKRZSA-N 0 3 219.328 2.926 20 0 BFADHN COc1ncccc1CN[C@@H]1CC[C@H]1C(C)C ZINC000353433589 538743662 /nfs/dbraw/zinc/74/36/62/538743662.db2.gz GZDSEMXQLAQAIS-QWHCGFSZSA-N 0 3 234.343 2.614 20 0 BFADHN COCCC1CCN(Cc2ccc(O)cc2)CC1 ZINC000628383673 357045892 /nfs/dbraw/zinc/04/58/92/357045892.db2.gz AOMCPMGCFNNMPE-UHFFFAOYSA-N 0 3 249.354 2.641 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1ncccn1 ZINC000582312927 357052665 /nfs/dbraw/zinc/05/26/65/357052665.db2.gz PYUNKLZHUXCDIJ-VXGBXAGGSA-N 0 3 221.348 2.781 20 0 BFADHN CCc1cc(CN[C@@H]2CCC(C)(C)C2)on1 ZINC000390657883 357109681 /nfs/dbraw/zinc/10/96/81/357109681.db2.gz RIPFOHAHBZAICV-LLVKDONJSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1ccc(CNC2(C3CC3)CC2)o1 ZINC000389897226 357062976 /nfs/dbraw/zinc/06/29/76/357062976.db2.gz ZZGAAKLUMIAIHC-UHFFFAOYSA-N 0 3 205.301 2.874 20 0 BFADHN COCC1(NCc2ccc(Cl)c(F)c2)CC1 ZINC000390419224 357092724 /nfs/dbraw/zinc/09/27/24/357092724.db2.gz IVTLQHKVAAYQHT-UHFFFAOYSA-N 0 3 243.709 2.748 20 0 BFADHN CCC[C@H](C)[C@@H](CO)N[C@H](C)c1ccoc1 ZINC000582425273 357146229 /nfs/dbraw/zinc/14/62/29/357146229.db2.gz RXDZJAAKAIFVMB-DMDPSCGWSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@@H](O)CCNCc1coc2ccccc12 ZINC000121769916 357150586 /nfs/dbraw/zinc/15/05/86/357150586.db2.gz RUJYSFHNIYQTJX-GFCCVEGCSA-N 0 3 233.311 2.683 20 0 BFADHN C/C=C/CNCc1ccc(C(F)F)nc1 ZINC000631183272 357240183 /nfs/dbraw/zinc/24/01/83/357240183.db2.gz MBVSCHCQSBYUSR-NSCUHMNNSA-N 0 3 212.243 2.685 20 0 BFADHN Fc1cc2cccnc2c(CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000628409772 357207686 /nfs/dbraw/zinc/20/76/86/357207686.db2.gz ZHJPQSMDBYSNIL-TXEJJXNPSA-N 0 3 242.297 2.826 20 0 BFADHN Cc1ccc(F)c(CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000628408913 357209117 /nfs/dbraw/zinc/20/91/17/357209117.db2.gz JCUCAPPEIFJTCX-PHIMTYICSA-N 0 3 205.276 2.586 20 0 BFADHN c1ccc2c(c1)ccnc2CN1C[C@@H]2C[C@@H]2C1 ZINC000628409481 357210673 /nfs/dbraw/zinc/21/06/73/357210673.db2.gz SROXUVIPKSFOKU-BETUJISGSA-N 0 3 224.307 2.687 20 0 BFADHN Cc1scc(CN[C@@]2(C)CCOC2)c1C ZINC000631102210 357211952 /nfs/dbraw/zinc/21/19/52/357211952.db2.gz TWRKOSAWFIHHDE-LBPRGKRZSA-N 0 3 225.357 2.634 20 0 BFADHN c1cc(-c2n[nH]cc2CN2C[C@@H]3C[C@@H]3C2)cs1 ZINC000628410497 357214987 /nfs/dbraw/zinc/21/49/87/357214987.db2.gz MQJOELNZBBXOBL-PHIMTYICSA-N 0 3 245.351 2.590 20 0 BFADHN Cc1cc(CNCC23CCC(CC2)C3)on1 ZINC000631102313 357220883 /nfs/dbraw/zinc/22/08/83/357220883.db2.gz VKVSOLJZOPYDEJ-UHFFFAOYSA-N 0 3 220.316 2.653 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1ncccc1F ZINC000131248747 325128733 /nfs/dbraw/zinc/12/87/33/325128733.db2.gz XHEDDCOALKGCBF-PWSUYJOCSA-N 0 3 222.307 2.889 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CO[C@H](C)C2)oc1C ZINC000583693474 357181922 /nfs/dbraw/zinc/18/19/22/357181922.db2.gz SIKOYRRTUDTFPI-SCVCMEIPSA-N 0 3 223.316 2.724 20 0 BFADHN C[C@@H]1CN(Cc2ccn(C)c2)C[C@H](C)C1(F)F ZINC000628391369 357187157 /nfs/dbraw/zinc/18/71/57/357187157.db2.gz YADQMCRUKNDQNY-PHIMTYICSA-N 0 3 242.313 2.748 20 0 BFADHN COc1ccccc1C[C@@H](C)NCC(C)(C)F ZINC000631659713 357188822 /nfs/dbraw/zinc/18/88/22/357188822.db2.gz SABCVKAVLQIJOD-LLVKDONJSA-N 0 3 239.334 2.964 20 0 BFADHN CCOC(=O)[C@@H](CC)N1CC[C@H](C(C)(C)C)C1 ZINC000131349297 325140529 /nfs/dbraw/zinc/14/05/29/325140529.db2.gz UDARWFNYPSDXQX-NWDGAFQWSA-N 0 3 241.375 2.696 20 0 BFADHN COc1ccc(CCNCc2ccoc2C)cc1 ZINC000321263517 259471433 /nfs/dbraw/zinc/47/14/33/259471433.db2.gz RCZAVDXVQQFODT-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN c1ccc([C@H](NC2CSC2)C2CCC2)nc1 ZINC000628423403 357246761 /nfs/dbraw/zinc/24/67/61/357246761.db2.gz RWQHFOCQRLYCFE-CYBMUJFWSA-N 0 3 234.368 2.628 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)CC1(C)COC1 ZINC000628424154 357249351 /nfs/dbraw/zinc/24/93/51/357249351.db2.gz ZWWJNVPAHWFNEO-ONEGZZNKSA-N 0 3 249.329 2.807 20 0 BFADHN CCOc1ccccc1[C@@H](C)NCC1(CO)CC1 ZINC000157389871 538824333 /nfs/dbraw/zinc/82/43/33/538824333.db2.gz IWLGHOBZQHFXNG-GFCCVEGCSA-N 0 3 249.354 2.508 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@H]2C[C@H]21)c1ccon1 ZINC000393160850 357278708 /nfs/dbraw/zinc/27/87/08/357278708.db2.gz WFAOPPZRCQYYPF-DTHBNOIPSA-N 0 3 206.289 2.514 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC23CCC3)no1 ZINC000394718397 357369999 /nfs/dbraw/zinc/36/99/99/357369999.db2.gz DBIMSWACQYUECT-GFCCVEGCSA-N 0 3 220.316 2.795 20 0 BFADHN CCC1(CC)CCN(Cc2snnc2C)C1 ZINC000582488909 357319496 /nfs/dbraw/zinc/31/94/96/357319496.db2.gz WSUPQFMNIHXZRF-UHFFFAOYSA-N 0 3 239.388 2.859 20 0 BFADHN CC(C)[C@H](CO)CN[C@H](C)c1ccccc1F ZINC000394064525 357325443 /nfs/dbraw/zinc/32/54/43/357325443.db2.gz VNAIKJRXGNBHIU-NEPJUHHUSA-N 0 3 239.334 2.741 20 0 BFADHN COCCNCc1ccsc1C(F)(F)F ZINC000394918891 357394696 /nfs/dbraw/zinc/39/46/96/357394696.db2.gz FQNFMMUVKGXYGA-UHFFFAOYSA-N 0 3 239.262 2.503 20 0 BFADHN CN(Cc1cccc(O)c1)CC1CCC(=O)CC1 ZINC000582945654 357443508 /nfs/dbraw/zinc/44/35/08/357443508.db2.gz DBPVJAXTSUQPGV-UHFFFAOYSA-N 0 3 247.338 2.583 20 0 BFADHN C[C@@H](Cc1ccsc1)N[C@@H](C)c1ccncn1 ZINC000395989936 357465882 /nfs/dbraw/zinc/46/58/82/357465882.db2.gz UMMGXPIRZUCERL-QWRGUYRKSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccncn1)C(C)(C)C ZINC000396069899 357470933 /nfs/dbraw/zinc/47/09/33/357470933.db2.gz GRNGQBSRQPSJIK-VHSXEESVSA-N 0 3 207.321 2.562 20 0 BFADHN CC/C=C\CCN1CC[C@@H](Oc2cccnc2)C1 ZINC000588476109 538852656 /nfs/dbraw/zinc/85/26/56/538852656.db2.gz QDJHYWWMBUAUGX-ABCZVMIZSA-N 0 3 246.354 2.891 20 0 BFADHN CC[C@@H](CO)N[C@H]1CCCOc2ccc(C)cc21 ZINC000188674422 357571437 /nfs/dbraw/zinc/57/14/37/357571437.db2.gz OAFVJIKTOMAAAM-JSGCOSHPSA-N 0 3 249.354 2.569 20 0 BFADHN COC(C)(C)CCN[C@@H](C)c1ncc(C)s1 ZINC000230417667 357524043 /nfs/dbraw/zinc/52/40/43/357524043.db2.gz JPNCOEGEUZNHGS-JTQLQIEISA-N 0 3 242.388 2.917 20 0 BFADHN COc1ccccc1[C@H](C)NC1CC(OC)C1 ZINC000230673763 357548416 /nfs/dbraw/zinc/54/84/16/357548416.db2.gz URCYBCKASPNNIX-UNXYVOJBSA-N 0 3 235.327 2.523 20 0 BFADHN COc1cc(C)nc(CNC[C@H]2CCC[C@@H]2C)c1 ZINC000230675844 357548969 /nfs/dbraw/zinc/54/89/69/357548969.db2.gz POSAMRRDHDIYLX-WCQYABFASA-N 0 3 248.370 2.924 20 0 BFADHN CCN(C)C(=O)[C@H](C)N[C@H](C)c1ccccc1C ZINC000188496330 357556683 /nfs/dbraw/zinc/55/66/83/357556683.db2.gz FNBCOZKMNAPLFN-OLZOCXBDSA-N 0 3 248.370 2.512 20 0 BFADHN CCc1ccc(CC)c(NC(=O)CNC(C)C)c1 ZINC000583214540 357563890 /nfs/dbraw/zinc/56/38/90/357563890.db2.gz GLLCDTXLEDZTDH-UHFFFAOYSA-N 0 3 248.370 2.748 20 0 BFADHN CCC[C@H](NCc1cc[nH]n1)[C@@H]1CC1(C)C ZINC000397590367 357624192 /nfs/dbraw/zinc/62/41/92/357624192.db2.gz KBULDJDUPKBNAK-RYUDHWBXSA-N 0 3 221.348 2.714 20 0 BFADHN CC(C)N(C)Cc1ncccc1C(F)(F)F ZINC000158704076 538866533 /nfs/dbraw/zinc/86/65/33/538866533.db2.gz SBXYHMKUXZJCPJ-UHFFFAOYSA-N 0 3 232.249 2.941 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCCS2)cn1 ZINC000158865136 538869294 /nfs/dbraw/zinc/86/92/94/538869294.db2.gz LQGSAFIGCCSOAU-CYBMUJFWSA-N 0 3 236.384 2.765 20 0 BFADHN CO[C@@H]1CC[C@@H](NCc2cc(C)ccc2F)C1 ZINC000231679781 357619822 /nfs/dbraw/zinc/61/98/22/357619822.db2.gz FRSWYHVCXRHCGC-CHWSQXEVSA-N 0 3 237.318 2.791 20 0 BFADHN CCC[C@H](CC)NCc1cn(C(C)(C)C)nn1 ZINC000189952964 357677851 /nfs/dbraw/zinc/67/78/51/357677851.db2.gz IEUJBDVLQURGSH-NSHDSACASA-N 0 3 238.379 2.701 20 0 BFADHN CSCCCN1CC(C)(C)OC(C)(C)C1 ZINC000189655757 357655705 /nfs/dbraw/zinc/65/57/05/357655705.db2.gz RIWXMEBBTXRSEU-UHFFFAOYSA-N 0 3 231.405 2.629 20 0 BFADHN Cc1cccc([C@@H]2CCCN2CCC[C@H](C)O)n1 ZINC000583238039 357672080 /nfs/dbraw/zinc/67/20/80/357672080.db2.gz HJPLDOXBQXVGBW-ZFWWWQNUSA-N 0 3 248.370 2.688 20 0 BFADHN Cc1cccc([C@H]2CCCN2CCC[C@H](C)O)n1 ZINC000583238036 357672445 /nfs/dbraw/zinc/67/24/45/357672445.db2.gz HJPLDOXBQXVGBW-DZGCQCFKSA-N 0 3 248.370 2.688 20 0 BFADHN CC(C)n1cncc1CN[C@@H](C)CC(F)(F)F ZINC000232771078 357684662 /nfs/dbraw/zinc/68/46/62/357684662.db2.gz AWUPBJAFYGEVDX-VIFPVBQESA-N 0 3 249.280 2.895 20 0 BFADHN CC[C@H](C)CC(=O)N[C@@H](CC(C)C)CN(C)C ZINC000190135618 357689606 /nfs/dbraw/zinc/68/96/06/357689606.db2.gz BZJVZABWRSJETN-STQMWFEESA-N 0 3 242.407 2.515 20 0 BFADHN C[C@H]1CC[C@H](CN(C)Cc2ccc(F)cc2)O1 ZINC000190304034 357700083 /nfs/dbraw/zinc/70/00/83/357700083.db2.gz WZNWQUNUKUQKII-SMDDNHRTSA-N 0 3 237.318 2.825 20 0 BFADHN C[C@H]1CC[C@H](CN(C)Cc2cccc(F)c2)O1 ZINC000190325534 357701249 /nfs/dbraw/zinc/70/12/49/357701249.db2.gz QIWRZAQEPNWNQO-SMDDNHRTSA-N 0 3 237.318 2.825 20 0 BFADHN CCN(C)c1ccc(CN2CCC(C)CC2)cn1 ZINC000191164649 357738692 /nfs/dbraw/zinc/73/86/92/357738692.db2.gz MVCMASCQZBNVOK-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CO[C@H](C)C2)o1 ZINC000583263378 357739777 /nfs/dbraw/zinc/73/97/77/357739777.db2.gz SEXGEYPPWQRTNW-OUAUKWLOSA-N 0 3 223.316 2.670 20 0 BFADHN CCOC[C@H](NCc1ccc(C)nc1)C(C)C ZINC000233778574 357747318 /nfs/dbraw/zinc/74/73/18/357747318.db2.gz KOVICYHQRHWABW-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1ncc(CN[C@@H](C)CCc2ccco2)o1 ZINC000397929576 357806169 /nfs/dbraw/zinc/80/61/69/357806169.db2.gz CUTGPUFRYGIHLN-JTQLQIEISA-N 0 3 234.299 2.687 20 0 BFADHN CCCCN(C)[C@@H]1CCc2ccccc2NC1=O ZINC000191871053 357810696 /nfs/dbraw/zinc/81/06/96/357810696.db2.gz QBQLYFHZFLKNGQ-CQSZACIVSA-N 0 3 246.354 2.672 20 0 BFADHN COCCOc1cccc(CNCC=C(C)C)c1 ZINC000191625431 357780541 /nfs/dbraw/zinc/78/05/41/357780541.db2.gz JQRRKTXUSWJEIJ-UHFFFAOYSA-N 0 3 249.354 2.768 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H]1CCc2ccc(F)cc21 ZINC000191715586 357790487 /nfs/dbraw/zinc/79/04/87/357790487.db2.gz UKXRKXNAEKKIPK-IMSIIYSGSA-N 0 3 237.318 2.826 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)C1(C)CC1 ZINC000086109905 357853488 /nfs/dbraw/zinc/85/34/88/357853488.db2.gz HVPWGTZFMVUBBL-JTQLQIEISA-N 0 3 208.305 2.570 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CNCc2ccn(C)n2)C1 ZINC000235392904 357860121 /nfs/dbraw/zinc/86/01/21/357860121.db2.gz JZFZAHXRJXFLCY-WCQYABFASA-N 0 3 233.359 2.502 20 0 BFADHN C[C@H](CN(C)C)N[C@@H](C)c1cc(F)ccc1F ZINC000168570823 134227108 /nfs/dbraw/zinc/22/71/08/134227108.db2.gz BUVHFWLZGRPCSH-ZJUUUORDSA-N 0 3 242.313 2.566 20 0 BFADHN C[C@H](N[C@H]1CCO[C@@H]1C1CC1)c1cccc(O)c1 ZINC000235519582 357875121 /nfs/dbraw/zinc/87/51/21/357875121.db2.gz RTRBUVCCABRDMP-NZVBXONLSA-N 0 3 247.338 2.610 20 0 BFADHN C[C@@H](CCCCO)NCc1ccc(F)cc1F ZINC000398614278 357888094 /nfs/dbraw/zinc/88/80/94/357888094.db2.gz LMAANBCMTPOHKF-JTQLQIEISA-N 0 3 243.297 2.606 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@H](C)[C@@H]2C)n1 ZINC000379866416 357916636 /nfs/dbraw/zinc/91/66/36/357916636.db2.gz OIHRDWGXJDLTNY-XHVZSJERSA-N 0 3 204.317 2.524 20 0 BFADHN C[C@H](NC1CC(C)(F)C1)c1cn2ccccc2n1 ZINC000583763228 357938580 /nfs/dbraw/zinc/93/85/80/357938580.db2.gz UDUUTGMBEHCINB-IFQILLTASA-N 0 3 247.317 2.876 20 0 BFADHN CCCc1ccc(CN2CC[C@@H](O)C[C@H]2C)cc1 ZINC000639939699 357948789 /nfs/dbraw/zinc/94/87/89/357948789.db2.gz JGTYORUCSASJEB-CZUORRHYSA-N 0 3 247.382 2.984 20 0 BFADHN Cc1nnsc1CN1CC[C@@H](C)C[C@@H](C)C1 ZINC000584472959 357990327 /nfs/dbraw/zinc/99/03/27/357990327.db2.gz LNTSIRHYXCETBV-NXEZZACHSA-N 0 3 239.388 2.715 20 0 BFADHN C[C@H](C1CCCCC1)N(C)Cc1cnccn1 ZINC000584508793 358011458 /nfs/dbraw/zinc/01/14/58/358011458.db2.gz SLBLRZQZQJHXJW-GFCCVEGCSA-N 0 3 233.359 2.877 20 0 BFADHN CC[C@@H](CC(F)F)CN(C)Cc1c[nH]nc1C ZINC000639971174 358021177 /nfs/dbraw/zinc/02/11/77/358021177.db2.gz CDYRBXCUPZEKFA-JTQLQIEISA-N 0 3 245.317 2.831 20 0 BFADHN FCCC1CCN(CCc2ccccn2)CC1 ZINC000639987508 358027182 /nfs/dbraw/zinc/02/71/82/358027182.db2.gz LLRSHOSRRZIWQK-UHFFFAOYSA-N 0 3 236.334 2.696 20 0 BFADHN CC[C@H]1CN(CCOCCC(C)C)CCS1 ZINC000076071784 325329031 /nfs/dbraw/zinc/32/90/31/325329031.db2.gz UPKOONQJACWPSR-ZDUSSCGKSA-N 0 3 245.432 2.877 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1cnc(C)s1 ZINC000090727096 363597633 /nfs/dbraw/zinc/59/76/33/363597633.db2.gz NHLVRGQDUDRSRC-JGVFFNPUSA-N 0 3 230.402 2.854 20 0 BFADHN CCn1ccnc1CN[C@@H](C)Cc1cccs1 ZINC000051922147 358101869 /nfs/dbraw/zinc/10/18/69/358101869.db2.gz RLXIOSOKKOHOPD-NSHDSACASA-N 0 3 249.383 2.685 20 0 BFADHN C[C@H](O)CCN1Cc2ccccc2C(C)(C)C1 ZINC000450621076 202213661 /nfs/dbraw/zinc/21/36/61/202213661.db2.gz FHURDHFLSGWZFY-LBPRGKRZSA-N 0 3 233.355 2.551 20 0 BFADHN CC(C)N[C@H](CO)c1ccc(C(F)(F)F)cc1 ZINC000038115736 358115626 /nfs/dbraw/zinc/11/56/26/358115626.db2.gz INKCNVIDZXZXHB-LLVKDONJSA-N 0 3 247.260 2.737 20 0 BFADHN CSCC1CCC(NCc2ccon2)CC1 ZINC000385441238 358171220 /nfs/dbraw/zinc/17/12/20/358171220.db2.gz QQFHLURCGZGGLN-UHFFFAOYSA-N 0 3 240.372 2.686 20 0 BFADHN CC1(C)CO[C@H](CN2CCC(C)(F)CC2)C1 ZINC000640073100 358172239 /nfs/dbraw/zinc/17/22/39/358172239.db2.gz LKSIACBWNSSSOP-NSHDSACASA-N 0 3 229.339 2.626 20 0 BFADHN CCOCCN(C)C[C@@H]1CCCCC1(F)F ZINC000450649914 202224492 /nfs/dbraw/zinc/22/44/92/202224492.db2.gz XVBSDDQIHXZJHN-NSHDSACASA-N 0 3 235.318 2.780 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cnn(C)c1 ZINC000179520635 535409246 /nfs/dbraw/zinc/40/92/46/535409246.db2.gz OBRIAIPYIZZVSK-CYBMUJFWSA-N 0 3 221.348 2.575 20 0 BFADHN CCN(CCc1cscn1)Cc1ccncc1 ZINC000450657107 202228314 /nfs/dbraw/zinc/22/83/14/202228314.db2.gz KUVYLNHPZSOKTI-UHFFFAOYSA-N 0 3 247.367 2.603 20 0 BFADHN Cc1ncc([C@@H](C)NCCOCC(C)C)s1 ZINC000090405479 491126034 /nfs/dbraw/zinc/12/60/34/491126034.db2.gz QEQCLAMVBLRKRH-SNVBAGLBSA-N 0 3 242.388 2.775 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1cc[nH]n1 ZINC000041014572 358240129 /nfs/dbraw/zinc/24/01/29/358240129.db2.gz CNNPJZKDISJJEH-GHMZBOCLSA-N 0 3 209.337 2.714 20 0 BFADHN CC(C)([NH2+]Cc1cc([O-])cc(F)c1)C1CC1 ZINC000225356334 358240344 /nfs/dbraw/zinc/24/03/44/358240344.db2.gz MAPWXNKCWRFOFQ-UHFFFAOYSA-N 0 3 223.291 2.810 20 0 BFADHN CCCOc1ccc2c(c1)CCN(CC)C2 ZINC000134516130 325362671 /nfs/dbraw/zinc/36/26/71/325362671.db2.gz KAWCVGKNDCBBQG-UHFFFAOYSA-N 0 3 219.328 2.853 20 0 BFADHN C[C@H](C[S@@](C)=O)N(C)CCC1=CCCCC1 ZINC000450721910 202243674 /nfs/dbraw/zinc/24/36/74/202243674.db2.gz ZAMHTLPMDCIBJJ-MLGOLLRUSA-N 0 3 243.416 2.576 20 0 BFADHN Cc1c([C@H](C)NCC2=CCCCC2)cnn1C ZINC000450722578 202244328 /nfs/dbraw/zinc/24/43/28/202244328.db2.gz CWQWUOAZHKSODO-NSHDSACASA-N 0 3 233.359 2.880 20 0 BFADHN CN(Cc1cn(C)nc1C(C)(C)C)C1CCC1 ZINC000180295534 366413697 /nfs/dbraw/zinc/41/36/97/366413697.db2.gz AXSFAKKTUIGGFK-UHFFFAOYSA-N 0 3 235.375 2.702 20 0 BFADHN COC[C@@H](N[C@H](C)c1cnc(C)s1)C1CC1 ZINC000090718246 491129596 /nfs/dbraw/zinc/12/95/96/491129596.db2.gz ODOGVHWZFWAHMC-LDYMZIIASA-N 0 3 240.372 2.527 20 0 BFADHN CCOC[C@H](C)NCc1ccc2[nH]ccc2c1 ZINC000229645130 358405682 /nfs/dbraw/zinc/40/56/82/358405682.db2.gz HETNKBDDFYEPFN-NSHDSACASA-N 0 3 232.327 2.683 20 0 BFADHN Cc1ccoc1CNCC1(O)CCC(C)CC1 ZINC000229478191 358403857 /nfs/dbraw/zinc/40/38/57/358403857.db2.gz KWBHUELFIGOJCQ-UHFFFAOYSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1ccc(CNC[C@@H](C)c2ccccc2)nn1 ZINC000396627560 358449591 /nfs/dbraw/zinc/44/95/91/358449591.db2.gz ITNVRJYJMJXDMU-GFCCVEGCSA-N 0 3 241.338 2.678 20 0 BFADHN CC(C)c1ccc(CNCCn2cccn2)cc1 ZINC000042351649 358522236 /nfs/dbraw/zinc/52/22/36/358522236.db2.gz XDOUIMGDFZUCPL-UHFFFAOYSA-N 0 3 243.354 2.796 20 0 BFADHN COCCCCN[C@@H](CF)c1ccc(F)cc1 ZINC000640154331 358438549 /nfs/dbraw/zinc/43/85/49/358438549.db2.gz ZESIFOAKFBSPIL-ZDUSSCGKSA-N 0 3 243.297 2.853 20 0 BFADHN c1nc(CN2CCC3(CCCCC3)CC2)c[nH]1 ZINC000046730714 358581022 /nfs/dbraw/zinc/58/10/22/358581022.db2.gz NAUYCXIBRFVIHF-UHFFFAOYSA-N 0 3 233.359 2.956 20 0 BFADHN c1ncc(CN2CCC3(CCCCC3)CC2)[nH]1 ZINC000046730714 358581024 /nfs/dbraw/zinc/58/10/24/358581024.db2.gz NAUYCXIBRFVIHF-UHFFFAOYSA-N 0 3 233.359 2.956 20 0 BFADHN CCN(Cc1c[nH]nc1C(C)(C)C)CC1CC1 ZINC000125662006 358542830 /nfs/dbraw/zinc/54/28/30/358542830.db2.gz MZMBZPHOZMIMNO-UHFFFAOYSA-N 0 3 235.375 2.939 20 0 BFADHN CC[C@@H](NCc1ccc(Cl)o1)[C@H]1CCCO1 ZINC000126318602 358549055 /nfs/dbraw/zinc/54/90/55/358549055.db2.gz ZMXLIEKVBKMRRJ-GHMZBOCLSA-N 0 3 243.734 2.980 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1ccc(Cl)o1 ZINC000307480442 491133624 /nfs/dbraw/zinc/13/36/24/491133624.db2.gz KHIJTQMAOQXSQE-VXNVDRBHSA-N 0 3 231.748 2.917 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1cccc(F)c1C ZINC000043321989 358536689 /nfs/dbraw/zinc/53/66/89/358536689.db2.gz OFQPTMVAIJJAKA-LLVKDONJSA-N 0 3 238.306 2.590 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnn(CC)c2)CC1 ZINC000128830843 358580860 /nfs/dbraw/zinc/58/08/60/358580860.db2.gz SWZLRWFRJHNVCZ-CYBMUJFWSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1cc(Cl)cc(C)c1CN(C)C[C@H](C)O ZINC000130764640 358642413 /nfs/dbraw/zinc/64/24/13/358642413.db2.gz PRZPXWMEGSXKSZ-NSHDSACASA-N 0 3 241.762 2.769 20 0 BFADHN Cc1ccc(CNC2C[C@@H](C)O[C@H](C)C2)o1 ZINC000130070181 358606608 /nfs/dbraw/zinc/60/66/08/358606608.db2.gz PNUOQEJFNISYQU-GHMZBOCLSA-N 0 3 223.316 2.634 20 0 BFADHN COC(=O)c1ccccc1CN1C[C@@H](C)[C@H](C)C1 ZINC000130363546 358621468 /nfs/dbraw/zinc/62/14/68/358621468.db2.gz GFIPPALZEXIFRG-VXGBXAGGSA-N 0 3 247.338 2.561 20 0 BFADHN O[C@@H]1CCCCC[C@H]1NCc1ccc(Cl)o1 ZINC000130646186 358638301 /nfs/dbraw/zinc/63/83/01/358638301.db2.gz HWWFNUFEDBZZGG-GHMZBOCLSA-N 0 3 243.734 2.716 20 0 BFADHN CCOC(=O)CN(C[C@@H](C)CC)C(C)(C)C ZINC000130806345 358647386 /nfs/dbraw/zinc/64/73/86/358647386.db2.gz ZBQGGZBSGAIHTJ-NSHDSACASA-N 0 3 229.364 2.696 20 0 BFADHN Cc1cccc(NC(=O)[C@H](C)N(C)CC2CC2)c1 ZINC000049238132 358677924 /nfs/dbraw/zinc/67/79/24/358677924.db2.gz UBKYLTPNFDPPAV-LBPRGKRZSA-N 0 3 246.354 2.664 20 0 BFADHN CCCn1cc(CN[C@H](CC)CSC)cn1 ZINC000132051794 358705068 /nfs/dbraw/zinc/70/50/68/358705068.db2.gz SQFJUCQIULLTAM-GFCCVEGCSA-N 0 3 241.404 2.524 20 0 BFADHN CC[C@@H](NCc1ccnn1C)c1cccs1 ZINC000049529393 358693332 /nfs/dbraw/zinc/69/33/32/358693332.db2.gz YJOANDSZQYAYBQ-LLVKDONJSA-N 0 3 235.356 2.723 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1cc(F)ccc1F ZINC000131832725 358695834 /nfs/dbraw/zinc/69/58/34/358695834.db2.gz HCOVAQCIFNWOQD-MWLCHTKSSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1cc(F)cc(F)c1 ZINC000131883438 358698765 /nfs/dbraw/zinc/69/87/65/358698765.db2.gz VSFXKLSZIOPOTF-ZANVPECISA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@H](N[C@@H](C)COC)c1cccc(OC)c1 ZINC000131881524 358698823 /nfs/dbraw/zinc/69/88/23/358698823.db2.gz WTSKGUZVYUDRIB-SMDDNHRTSA-N 0 3 237.343 2.771 20 0 BFADHN CCN(CCO)Cc1ccc(C(C)(C)C)cc1 ZINC000049754402 358700332 /nfs/dbraw/zinc/70/03/32/358700332.db2.gz JLICVZFCGLIEBD-UHFFFAOYSA-N 0 3 235.371 2.798 20 0 BFADHN C[C@@H](NCCc1ccco1)c1ccc(F)cn1 ZINC000133109437 358745101 /nfs/dbraw/zinc/74/51/01/358745101.db2.gz HOLRTAIMJWDCPK-SNVBAGLBSA-N 0 3 234.274 2.707 20 0 BFADHN COCCCN1CC=C(c2ccccc2)CC1 ZINC000051292836 358748236 /nfs/dbraw/zinc/74/82/36/358748236.db2.gz UVLHQTGINLOIHV-UHFFFAOYSA-N 0 3 231.339 2.812 20 0 BFADHN CCN1CCC[C@@H]1c1nnc(-c2ccccc2)[nH]1 ZINC000133254454 358748761 /nfs/dbraw/zinc/74/87/61/358748761.db2.gz GKGGCUCYOGXLAS-GFCCVEGCSA-N 0 3 242.326 2.629 20 0 BFADHN CC(C)N(C)Cc1ccc(Br)cn1 ZINC000133279102 358749522 /nfs/dbraw/zinc/74/95/22/358749522.db2.gz ZIOMFPPGELPVRZ-UHFFFAOYSA-N 0 3 243.148 2.684 20 0 BFADHN CCCN1CCN(C[C@@H]2CCC[C@@H](C)C2)CC1 ZINC000133365936 358751331 /nfs/dbraw/zinc/75/13/31/358751331.db2.gz AKYNYMBPDPCQEK-HUUCEWRRSA-N 0 3 238.419 2.840 20 0 BFADHN COc1cc(C)nc(CN[C@@H](C)CC2CC2)c1 ZINC000214084100 358753142 /nfs/dbraw/zinc/75/31/42/358753142.db2.gz NSOXINUWTCDBJV-JTQLQIEISA-N 0 3 234.343 2.677 20 0 BFADHN COc1cc(C)nc(CNC2C(C)(C)C2(C)C)c1 ZINC000214124176 358759871 /nfs/dbraw/zinc/75/98/71/358759871.db2.gz YSDMCECYUDMOQN-UHFFFAOYSA-N 0 3 248.370 2.923 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000051920937 358767301 /nfs/dbraw/zinc/76/73/01/358767301.db2.gz GZEFARVCEJAGBZ-FRRDWIJNSA-N 0 3 235.375 2.817 20 0 BFADHN CN(C)Cc1cccc(NCc2cccn2C)c1 ZINC000050595365 358733411 /nfs/dbraw/zinc/73/34/11/358733411.db2.gz RFQNXTUIAJWTBR-UHFFFAOYSA-N 0 3 243.354 2.699 20 0 BFADHN CS[C@H](C)CN[C@@H]1CCCc2cccnc21 ZINC000169093368 358736276 /nfs/dbraw/zinc/73/62/76/358736276.db2.gz FIGSWWXPUSGDOB-ZYHUDNBSSA-N 0 3 236.384 2.800 20 0 BFADHN Cc1ccoc1CNC[C@H]1Cc2ccccc2O1 ZINC000135140038 358822125 /nfs/dbraw/zinc/82/21/25/358822125.db2.gz ZXXWOSGBEURBAK-CYBMUJFWSA-N 0 3 243.306 2.681 20 0 BFADHN C[C@H](NC[C@H]1C[C@H]1C)c1nc2c(s1)CCC2 ZINC000134645608 358800060 /nfs/dbraw/zinc/80/00/60/358800060.db2.gz OFTZRWHYHKJDMK-KXUCPTDWSA-N 0 3 236.384 2.938 20 0 BFADHN Cc1cc(CN2CCC[C@H]2CO)ccc1Cl ZINC000134733291 358804220 /nfs/dbraw/zinc/80/42/20/358804220.db2.gz QWJQYSHHHFITIA-LBPRGKRZSA-N 0 3 239.746 2.605 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1NCc1nccs1 ZINC000308514152 491136727 /nfs/dbraw/zinc/13/67/27/491136727.db2.gz LYNXIOMWEIEMGC-VHSXEESVSA-N 0 3 210.346 2.667 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1ccsc1 ZINC000308632648 491137572 /nfs/dbraw/zinc/13/75/72/491137572.db2.gz ZOYFLVAPBCISHD-INTQDDNPSA-N 0 3 211.330 2.576 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1Cc1nccn1C ZINC000135342883 358832229 /nfs/dbraw/zinc/83/22/29/358832229.db2.gz BKMKFLMHKAJCJA-QWHCGFSZSA-N 0 3 235.375 2.821 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1Cc1nc(C)no1 ZINC000135347179 358833799 /nfs/dbraw/zinc/83/37/99/358833799.db2.gz JNLGEOZKMYQTFI-ZYHUDNBSSA-N 0 3 237.347 2.779 20 0 BFADHN CC(C)=CCN(C)[C@@H]1CCCc2c1cnn2C ZINC000135627794 358846790 /nfs/dbraw/zinc/84/67/90/358846790.db2.gz NLFNXKGTASJJNN-CYBMUJFWSA-N 0 3 233.359 2.696 20 0 BFADHN C[C@H](NC1(C2CC2)CC1)c1cncc(F)c1 ZINC000309597382 358883463 /nfs/dbraw/zinc/88/34/63/358883463.db2.gz WOXHSXBUFNYVPO-VIFPVBQESA-N 0 3 220.291 2.814 20 0 BFADHN C[C@@H](NC1(C2CC2)CC1)c1cncc(F)c1 ZINC000309597380 358883919 /nfs/dbraw/zinc/88/39/19/358883919.db2.gz WOXHSXBUFNYVPO-SECBINFHSA-N 0 3 220.291 2.814 20 0 BFADHN Cc1nnc(CN2CCC[C@H]2CC(C)(C)C)o1 ZINC000057863651 358907860 /nfs/dbraw/zinc/90/78/60/358907860.db2.gz MOUZZMWMMBRZNK-NSHDSACASA-N 0 3 237.347 2.779 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccccc2OC)CCO1 ZINC000070343098 359049858 /nfs/dbraw/zinc/04/98/58/359049858.db2.gz LNXAVWBFSCOKJW-ZIAGYGMSSA-N 0 3 249.354 2.742 20 0 BFADHN COc1cccc(CNC2CCC(C)CC2)n1 ZINC000070093193 359041071 /nfs/dbraw/zinc/04/10/71/359041071.db2.gz ZEXMFEOTBPFDPT-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@@H]1C[C@H](NCc2nccn2C)CC(C)(C)C1 ZINC000071138733 359071951 /nfs/dbraw/zinc/07/19/51/359071951.db2.gz HGPOHZOCXOLUGK-NEPJUHHUSA-N 0 3 235.375 2.725 20 0 BFADHN CN(C)Cc1ccc(CNCc2ccco2)cc1 ZINC000072727787 359090021 /nfs/dbraw/zinc/09/00/21/359090021.db2.gz IEYWXWKIJVBSFO-UHFFFAOYSA-N 0 3 244.338 2.631 20 0 BFADHN CCC[C@H]1[C@H](C)CCCN1Cc1nccn1C ZINC000072776517 359090151 /nfs/dbraw/zinc/09/01/51/359090151.db2.gz BWNRBOJKDANMBM-OLZOCXBDSA-N 0 3 235.375 2.821 20 0 BFADHN COCCN(Cc1ccccc1F)CC(C)C ZINC000073616614 359104073 /nfs/dbraw/zinc/10/40/73/359104073.db2.gz WTBQDKDVBKTCOM-UHFFFAOYSA-N 0 3 239.334 2.930 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@H]1C)c1nccn1C ZINC000070443448 359054493 /nfs/dbraw/zinc/05/44/93/359054493.db2.gz NJIARCZZTSFRTQ-FVCCEPFGSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1cccc(CCN[C@H](C)c2nccn2C)c1 ZINC000070445423 359055948 /nfs/dbraw/zinc/05/59/48/359055948.db2.gz SDLLTAKCQHKVQI-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN C[C@H]1CCC[C@@H](CCNCc2cc[nH]n2)C1 ZINC000070532036 359058751 /nfs/dbraw/zinc/05/87/51/359058751.db2.gz HMXWVSKOHWYQEB-RYUDHWBXSA-N 0 3 221.348 2.716 20 0 BFADHN C[C@H]1CCc2nc(CNCC3CC3)sc2C1 ZINC000070672001 359061395 /nfs/dbraw/zinc/06/13/95/359061395.db2.gz WNNWVRXKQZBHOI-VIFPVBQESA-N 0 3 236.384 2.768 20 0 BFADHN CC[C@H](NCc1cccnc1OC)[C@H]1C[C@H]1C ZINC000322009143 259483722 /nfs/dbraw/zinc/48/37/22/259483722.db2.gz IHRWNBWSJGLBAE-WXHSDQCUSA-N 0 3 234.343 2.614 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](O)[C@H](C)CC)o1 ZINC000248001031 359250842 /nfs/dbraw/zinc/25/08/42/359250842.db2.gz KJLRBPWNFUVVQL-NTZNESFSSA-N 0 3 239.359 2.900 20 0 BFADHN C[C@@H](CN1C[C@@H](C)OC[C@H]1C)c1ccccc1 ZINC000247218791 359201165 /nfs/dbraw/zinc/20/11/65/359201165.db2.gz VKHGLTVYAOSSNJ-BFHYXJOUSA-N 0 3 233.355 2.899 20 0 BFADHN COc1ccc(CN2CC[C@H](C)[C@@H]2C)c(OC)c1 ZINC000247255972 359206325 /nfs/dbraw/zinc/20/63/25/359206325.db2.gz XKNQGYNSBKJTQP-RYUDHWBXSA-N 0 3 249.354 2.934 20 0 BFADHN COC(=O)c1ccc2c(c1)CN(C1CCCC1)C2 ZINC000611270910 359287321 /nfs/dbraw/zinc/28/73/21/359287321.db2.gz SLNHOIZTDYGYGJ-UHFFFAOYSA-N 0 3 245.322 2.731 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCCC2(CC2)CC1 ZINC000628480242 359318436 /nfs/dbraw/zinc/31/84/36/359318436.db2.gz HRLRTPJKMFWATB-UHFFFAOYSA-N 0 3 233.359 2.793 20 0 BFADHN C[C@@H]1CCN(CCOc2ccccc2F)[C@H]1C ZINC000248343557 359267019 /nfs/dbraw/zinc/26/70/19/359267019.db2.gz KLTGDIRFRYNOSL-NEPJUHHUSA-N 0 3 237.318 2.935 20 0 BFADHN Cc1cc(CN[C@@H]2CCC(F)(F)C2)ccn1 ZINC000623451315 359345368 /nfs/dbraw/zinc/34/53/68/359345368.db2.gz SNPYFYQGSNYEAI-LLVKDONJSA-N 0 3 226.270 2.667 20 0 BFADHN CC(C)CC[C@H](N[C@@H](C)CO)c1ccoc1 ZINC000286044016 359350640 /nfs/dbraw/zinc/35/06/40/359350640.db2.gz KTDVLCRVVDXBDC-AAEUAGOBSA-N 0 3 225.332 2.727 20 0 BFADHN CCC(CC)CN1CCCCC[C@H]1C(=O)OC ZINC000611606726 359400819 /nfs/dbraw/zinc/40/08/19/359400819.db2.gz OFSGQKHTGLINRG-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1oc(C)nc1C ZINC000308926344 491140401 /nfs/dbraw/zinc/14/04/01/491140401.db2.gz IIXSXDLUZXQYMO-DTWKUNHWSA-N 0 3 210.321 2.816 20 0 BFADHN CC1(C)CCC[C@H](NCc2cocn2)C1 ZINC000268968766 359492083 /nfs/dbraw/zinc/49/20/83/359492083.db2.gz LXQVWYNPGGPAHU-JTQLQIEISA-N 0 3 208.305 2.733 20 0 BFADHN FC1(CNCCCc2cccs2)CC1 ZINC000379607148 359565873 /nfs/dbraw/zinc/56/58/73/359565873.db2.gz QYHOOYAMEKKVJH-UHFFFAOYSA-N 0 3 213.321 2.772 20 0 BFADHN CCCc1csc(CNCCCF)n1 ZINC000379563841 359544949 /nfs/dbraw/zinc/54/49/49/359544949.db2.gz AJEDLXNYNBYCAA-UHFFFAOYSA-N 0 3 216.325 2.545 20 0 BFADHN C[C@@H](NCC1=CCCCC1)c1ccnn1C ZINC000379583153 359553585 /nfs/dbraw/zinc/55/35/85/359553585.db2.gz RFQKHNHHLJMWCL-LLVKDONJSA-N 0 3 219.332 2.571 20 0 BFADHN Clc1ccc(CNC2(C3CC3)CC2)o1 ZINC000379634242 359572878 /nfs/dbraw/zinc/57/28/78/359572878.db2.gz QDOAVIAZEUAAFS-UHFFFAOYSA-N 0 3 211.692 2.965 20 0 BFADHN Cc1scc(CN[C@@H]2CCCOC2)c1C ZINC000399877450 359654901 /nfs/dbraw/zinc/65/49/01/359654901.db2.gz WVEDNEMBNYDVMF-GFCCVEGCSA-N 0 3 225.357 2.634 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2n[nH]cc2C)C1 ZINC000628495498 359697140 /nfs/dbraw/zinc/69/71/40/359697140.db2.gz RYQAWPDYNNLCCS-SCOBNMCVSA-N 0 3 233.359 2.896 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cnc(C)n2C)C1 ZINC000628495142 359697228 /nfs/dbraw/zinc/69/72/28/359697228.db2.gz JLXXYPFNNSPMMC-ISZGNANSSA-N 0 3 247.386 2.907 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)[C@H](C)[C@H](C)O1 ZINC000450832766 202280899 /nfs/dbraw/zinc/28/08/99/202280899.db2.gz WNGJLNRYTYYUMR-WISYIIOYSA-N 0 3 247.329 2.920 20 0 BFADHN COC(=O)[C@H](C)N(CCC(C)(C)C)C1CCC1 ZINC000191640758 359734504 /nfs/dbraw/zinc/73/45/04/359734504.db2.gz RWODVNSQFFFJHL-NSHDSACASA-N 0 3 241.375 2.839 20 0 BFADHN CCC[C@H](O)CN(C)Cc1ccc(F)cc1C ZINC000450851914 202287534 /nfs/dbraw/zinc/28/75/34/202287534.db2.gz YOEBBYZKXWIYEQ-AWEZNQCLSA-N 0 3 239.334 2.727 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1nnc(C2CC2)s1 ZINC000450849108 202288535 /nfs/dbraw/zinc/28/85/35/202288535.db2.gz VZDBJNWOZJSURR-SCZZXKLOSA-N 0 3 237.372 2.694 20 0 BFADHN FC1(F)CC[C@@H](CN2CCO[C@H]3CCC[C@H]32)C1 ZINC000450910904 202305113 /nfs/dbraw/zinc/30/51/13/202305113.db2.gz JPCZVABCWBOATK-UTUOFQBUSA-N 0 3 245.313 2.675 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@@H]2CC2(C)C)no1 ZINC000309104384 491145026 /nfs/dbraw/zinc/14/50/26/491145026.db2.gz HGQOEZCEQXARJI-ONGXEEELSA-N 0 3 208.305 2.507 20 0 BFADHN CSCCCN1CCC[C@@](C)(F)C1 ZINC000451113051 202354583 /nfs/dbraw/zinc/35/45/83/202354583.db2.gz JGALIDWCNBLOAX-SNVBAGLBSA-N 0 3 205.342 2.564 20 0 BFADHN Cc1cc(CN[C@H](C)[C@@H]2CC2(C)C)no1 ZINC000309104382 491145065 /nfs/dbraw/zinc/14/50/65/491145065.db2.gz HGQOEZCEQXARJI-KOLCDFICSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1ncc([C@H](C)NC2CC(C)C2)s1 ZINC000130408851 359862783 /nfs/dbraw/zinc/86/27/83/359862783.db2.gz FTSXKDWSMYYMGW-ZCUBBSJVSA-N 0 3 210.346 2.901 20 0 BFADHN C[C@@]1(F)CCCN(CCCCCF)C1 ZINC000451113788 202355777 /nfs/dbraw/zinc/35/57/77/202355777.db2.gz KIHWWWVIXYGPAE-LLVKDONJSA-N 0 3 205.292 2.950 20 0 BFADHN CC(C)N(CCCCOC(C)(C)C)C1COC1 ZINC000451122103 202358673 /nfs/dbraw/zinc/35/86/73/202358673.db2.gz WIHXVWIMSISTAX-UHFFFAOYSA-N 0 3 243.391 2.691 20 0 BFADHN C[C@@]1(CNCc2ccccc2Cl)CCCO1 ZINC000087234204 359903572 /nfs/dbraw/zinc/90/35/72/359903572.db2.gz YNIRNFDKXCVFNJ-ZDUSSCGKSA-N 0 3 239.746 2.999 20 0 BFADHN Cc1ccc(CCCN2CC[C@H](F)C2)cc1 ZINC000451194389 202374516 /nfs/dbraw/zinc/37/45/16/202374516.db2.gz KPSODPPGFJSQEY-AWEZNQCLSA-N 0 3 221.319 2.971 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1cc(F)cc(F)c1 ZINC000087282605 359904010 /nfs/dbraw/zinc/90/40/10/359904010.db2.gz HUMYLHJVZWXQIB-RKDXNWHRSA-N 0 3 229.270 2.650 20 0 BFADHN COC[C@H](C)N[C@H](C)c1ccc(C)c(F)c1 ZINC000087284212 359905567 /nfs/dbraw/zinc/90/55/67/359905567.db2.gz LCJVXGJJWHBIPC-WDEREUQCSA-N 0 3 225.307 2.820 20 0 BFADHN COc1cccc(CCCN2CC[C@H](F)C2)c1 ZINC000451194720 202376780 /nfs/dbraw/zinc/37/67/80/202376780.db2.gz WOSJFBLFZHNOLZ-ZDUSSCGKSA-N 0 3 237.318 2.672 20 0 BFADHN CC[C@H](O)CN[C@H](C)c1ccc(C)c(F)c1 ZINC000087369713 359908806 /nfs/dbraw/zinc/90/88/06/359908806.db2.gz WCLJXSJKZPHZSH-PWSUYJOCSA-N 0 3 225.307 2.556 20 0 BFADHN F[C@@H]1CCN(CCCOCc2ccccc2)C1 ZINC000451203460 202377930 /nfs/dbraw/zinc/37/79/30/202377930.db2.gz ZAKDNEBQRRFGQQ-CQSZACIVSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCO[C@@H]2C)ccc1F ZINC000451198072 202379321 /nfs/dbraw/zinc/37/93/21/202379321.db2.gz TYICCUCNVUCYHT-JTNHKYCSSA-N 0 3 237.318 2.962 20 0 BFADHN C[C@H]1CCCCC[C@@H]1NCc1ccno1 ZINC000309141644 491145532 /nfs/dbraw/zinc/14/55/32/491145532.db2.gz TZHCWHHLIDWXJF-JQWIXIFHSA-N 0 3 208.305 2.733 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1ccc(F)c(F)c1 ZINC000087012244 359893310 /nfs/dbraw/zinc/89/33/10/359893310.db2.gz RYOMSTNWNHGRHU-RNCFNFMXSA-N 0 3 243.297 2.776 20 0 BFADHN CCN(CCCc1ccccc1C)C1COC1 ZINC000451284674 202394267 /nfs/dbraw/zinc/39/42/67/202394267.db2.gz LAGKUPIDEAVWRL-UHFFFAOYSA-N 0 3 233.355 2.648 20 0 BFADHN CCN(C[C@@H]1CCc2ccccc2C1)C1COC1 ZINC000451286171 202395473 /nfs/dbraw/zinc/39/54/73/202395473.db2.gz NQTKZPQTESIIAL-CYBMUJFWSA-N 0 3 245.366 2.512 20 0 BFADHN CC(C)C[C@]1(C)CCCN1Cc1ncccn1 ZINC000451289588 202396516 /nfs/dbraw/zinc/39/65/16/202396516.db2.gz KCFHMGMOAQQHLY-AWEZNQCLSA-N 0 3 233.359 2.877 20 0 BFADHN CC(C)C[C@]1(C)CCCN1Cc1cnns1 ZINC000451293929 202396749 /nfs/dbraw/zinc/39/67/49/202396749.db2.gz WUHXWZHIPNRTPO-LBPRGKRZSA-N 0 3 239.388 2.939 20 0 BFADHN CCN(CCC1=CCCCC1)C1COC1 ZINC000451291588 202396947 /nfs/dbraw/zinc/39/69/47/202396947.db2.gz PUPHFUGFPRPIKJ-UHFFFAOYSA-N 0 3 209.333 2.598 20 0 BFADHN CCCNCc1nc(C(C)C)n2ccccc12 ZINC000578658319 366621934 /nfs/dbraw/zinc/62/19/34/366621934.db2.gz UDJFLHLTFXPVEX-UHFFFAOYSA-N 0 3 231.343 2.957 20 0 BFADHN CCCC[C@@H](N)C(=O)N1CCCC(C)(C)CC1 ZINC000087657713 359923592 /nfs/dbraw/zinc/92/35/92/359923592.db2.gz KSPQMRLOJWTZQU-GFCCVEGCSA-N 0 3 240.391 2.543 20 0 BFADHN c1ccc2cc(CN[C@H]3CCOC3)ccc2c1 ZINC000088693885 359972403 /nfs/dbraw/zinc/97/24/03/359972403.db2.gz KWADBHAHMIXTDE-HNNXBMFYSA-N 0 3 227.307 2.718 20 0 BFADHN Cc1cc(C(=O)CN2CCC[C@@H]2C(C)C)c(C)[nH]1 ZINC000088844877 359980427 /nfs/dbraw/zinc/98/04/27/359980427.db2.gz DWSVKTNKFFYMDC-CQSZACIVSA-N 0 3 248.370 2.935 20 0 BFADHN CC(C)n1cncc1CNCCc1ccccc1 ZINC000090207540 360064744 /nfs/dbraw/zinc/06/47/44/360064744.db2.gz GEXXHUMKTYAMPN-UHFFFAOYSA-N 0 3 243.354 2.796 20 0 BFADHN C[C@H]1C[C@@H](Nc2nc3ccccc3o2)CCN1C ZINC000090795271 360088675 /nfs/dbraw/zinc/08/86/75/360088675.db2.gz MIEFVQXXQIDVFU-QWRGUYRKSA-N 0 3 245.326 2.722 20 0 BFADHN CC[C@@H](N[C@@H](C)c1ccccn1)[C@H]1CCCO1 ZINC000090857997 360091662 /nfs/dbraw/zinc/09/16/62/360091662.db2.gz OPSRDUXNMPBJJZ-OUCADQQQSA-N 0 3 234.343 2.690 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1cc(C)cc(F)c1 ZINC000091513917 360118880 /nfs/dbraw/zinc/11/88/80/360118880.db2.gz WYNWDFPKVNPOQE-GFCCVEGCSA-N 0 3 238.306 2.590 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cccc2c1OCO2 ZINC000091859604 360133290 /nfs/dbraw/zinc/13/32/90/360133290.db2.gz VRHACVHIFCRGFJ-WDEREUQCSA-N 0 3 233.311 2.646 20 0 BFADHN CC[C@@H]1CCN(Cc2c[nH]nc2C(C)(C)C)C1 ZINC000091930015 360137882 /nfs/dbraw/zinc/13/78/82/360137882.db2.gz BGUBDZHECCGWHW-LLVKDONJSA-N 0 3 235.375 2.939 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)C(C2CC2)C2CC2)n1 ZINC000092703990 360192430 /nfs/dbraw/zinc/19/24/30/360192430.db2.gz FOEAGQGAQOXPOA-UHFFFAOYSA-N 0 3 246.354 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)C(C2CC2)C2CC2)n1 ZINC000092703990 360192435 /nfs/dbraw/zinc/19/24/35/360192435.db2.gz FOEAGQGAQOXPOA-UHFFFAOYSA-N 0 3 246.354 2.716 20 0 BFADHN CC[C@@H](C)[C@H](C)N(Cc1c[nH]cn1)C1CC1 ZINC000093132161 360219465 /nfs/dbraw/zinc/21/94/65/360219465.db2.gz QIDJDSMGIJANLM-MNOVXSKESA-N 0 3 221.348 2.809 20 0 BFADHN COc1ccccc1CN1CCC(C)(C)C1 ZINC000093339922 360234834 /nfs/dbraw/zinc/23/48/34/360234834.db2.gz AIGVAQHLDYDMPX-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN CCn1nc(C)c(CN2CC[C@@H](C)[C@H](C)C2)c1C ZINC000093480852 360247401 /nfs/dbraw/zinc/24/74/01/360247401.db2.gz OATPLJVUHCCIBG-VXGBXAGGSA-N 0 3 249.402 2.998 20 0 BFADHN CCn1ccnc1CN[C@H]1CCCCC1(C)C ZINC000094323929 360303775 /nfs/dbraw/zinc/30/37/75/360303775.db2.gz OZTTYFMVEDVGNU-LBPRGKRZSA-N 0 3 235.375 2.961 20 0 BFADHN CC(C)(C)n1cc(CN2C[C@@H]3[C@H](C2)C3(C)C)cn1 ZINC000093661177 360267554 /nfs/dbraw/zinc/26/75/54/360267554.db2.gz GUKZIXUAPUDKQW-BETUJISGSA-N 0 3 247.386 2.726 20 0 BFADHN Cc1cc(CN2CC[C@]3(CCOC3)C2)ccc1F ZINC000093820287 360281793 /nfs/dbraw/zinc/28/17/93/360281793.db2.gz WBSNOFIISDKKPP-HNNXBMFYSA-N 0 3 249.329 2.747 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cn(C)nc1C ZINC000093844879 360282260 /nfs/dbraw/zinc/28/22/60/360282260.db2.gz UZFSXQZBGOIKBC-LLVKDONJSA-N 0 3 223.364 2.597 20 0 BFADHN CCOC[C@H]1CCN(Cc2ccsc2)C1 ZINC000093839399 360282629 /nfs/dbraw/zinc/28/26/29/360282629.db2.gz SNDTWXMBFANOJH-NSHDSACASA-N 0 3 225.357 2.607 20 0 BFADHN CC(C)CC[C@@H]1CCCN1Cc1cc[nH]n1 ZINC000093845110 360282769 /nfs/dbraw/zinc/28/27/69/360282769.db2.gz VHGPGQDECWXZPN-ZDUSSCGKSA-N 0 3 221.348 2.810 20 0 BFADHN CCS[C@@H]1CC[C@@H](N(C)Cc2c[nH]cn2)C1 ZINC000093868725 360284812 /nfs/dbraw/zinc/28/48/12/360284812.db2.gz BFMZBRKAOPMYNQ-VXGBXAGGSA-N 0 3 239.388 2.516 20 0 BFADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cnc[nH]2)C1 ZINC000093868725 360284814 /nfs/dbraw/zinc/28/48/14/360284814.db2.gz BFMZBRKAOPMYNQ-VXGBXAGGSA-N 0 3 239.388 2.516 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1Cc1cc[nH]n1 ZINC000093845271 360284862 /nfs/dbraw/zinc/28/48/62/360284862.db2.gz IGGWXLFBXZGESP-AAEUAGOBSA-N 0 3 221.348 2.810 20 0 BFADHN CC(C)[C@H]1CN(Cc2cccn2C)CCS1 ZINC000093869350 360284963 /nfs/dbraw/zinc/28/49/63/360284963.db2.gz FDYOCPPWABCMQA-CYBMUJFWSA-N 0 3 238.400 2.599 20 0 BFADHN CC[C@](C)(O)CNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000096478342 360349038 /nfs/dbraw/zinc/34/90/38/360349038.db2.gz ZHWJINMYYTZPEX-JKOKRWQUSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1n[nH]cc1CNCc1cccc(C2CC2)c1 ZINC000094519237 360310317 /nfs/dbraw/zinc/31/03/17/360310317.db2.gz CMWYZPTYSYTHAB-UHFFFAOYSA-N 0 3 241.338 2.885 20 0 BFADHN Cc1ccc(CNC[C@@]2(C)CCCO2)cc1F ZINC000094798400 360319496 /nfs/dbraw/zinc/31/94/96/360319496.db2.gz GTJBHUHQHVKDAN-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN C[C@H](NCC1(C)COC1)c1cc(F)cc(F)c1 ZINC000094827872 360322063 /nfs/dbraw/zinc/32/20/63/360322063.db2.gz HVPPCOWUZHIEGD-VIFPVBQESA-N 0 3 241.281 2.652 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H]2CCCO2)cc1F ZINC000094836598 360323223 /nfs/dbraw/zinc/32/32/23/360323223.db2.gz JSZCLRAIJSXZCW-AAEUAGOBSA-N 0 3 237.318 2.964 20 0 BFADHN CC(C)n1ccnc1CNC(C)(C)C1CC1 ZINC000309405925 491148728 /nfs/dbraw/zinc/14/87/28/491148728.db2.gz XEBQDRBIPRXRBL-UHFFFAOYSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cc([C@H](C)NCC2(CCO)CC2)c(C)o1 ZINC000097817730 360380420 /nfs/dbraw/zinc/38/04/20/360380420.db2.gz NEPPIWOXMPNDND-NSHDSACASA-N 0 3 237.343 2.710 20 0 BFADHN c1ccc(CCN2CCc3ncccc3C2)cc1 ZINC000033873100 360451864 /nfs/dbraw/zinc/45/18/64/360451864.db2.gz DQRHPZFUHJETIA-UHFFFAOYSA-N 0 3 238.334 2.682 20 0 BFADHN Clc1cccc(CNC2CCCC2)n1 ZINC000306551187 360424859 /nfs/dbraw/zinc/42/48/59/360424859.db2.gz ZVIIWVCSGBOQRQ-UHFFFAOYSA-N 0 3 210.708 2.767 20 0 BFADHN COc1cc(CN(C)[C@H]2CC2(C)C)cc(OC)c1 ZINC000426581791 360526336 /nfs/dbraw/zinc/52/63/36/360526336.db2.gz WFHNJCBDCYCQBH-AWEZNQCLSA-N 0 3 249.354 2.934 20 0 BFADHN CC[C@H]1CCCN(Cc2cn3ccccc3n2)C1 ZINC000058506670 360509284 /nfs/dbraw/zinc/50/92/84/360509284.db2.gz PUVKNUQZAAXYPU-ZDUSSCGKSA-N 0 3 243.354 2.956 20 0 BFADHN C[C@@H](CC1CCC1)NCc1ccc(F)cn1 ZINC000309527463 491149989 /nfs/dbraw/zinc/14/99/89/491149989.db2.gz CUERMTPVASFXSS-JTQLQIEISA-N 0 3 222.307 2.889 20 0 BFADHN Oc1cccc(CN[C@@H]2CCC(F)(F)C2)c1 ZINC000309584073 491150708 /nfs/dbraw/zinc/15/07/08/491150708.db2.gz UQCVXDGZBXVNOK-SNVBAGLBSA-N 0 3 227.254 2.670 20 0 BFADHN CCC[C@@H](CCO)NCc1cccc(C)c1F ZINC000631197415 360711102 /nfs/dbraw/zinc/71/11/02/360711102.db2.gz BKQBZMOGINZGNF-ZDUSSCGKSA-N 0 3 239.334 2.775 20 0 BFADHN COc1cncc(CN[C@@H]2C[C@H]2C2CCC2)c1C ZINC000631164009 360763051 /nfs/dbraw/zinc/76/30/51/360763051.db2.gz XLFGPLFXQFSZAA-UONOGXRCSA-N 0 3 246.354 2.677 20 0 BFADHN CCC[C@H](CCO)NCc1ccc(F)c(F)c1 ZINC000631197827 360736116 /nfs/dbraw/zinc/73/61/16/360736116.db2.gz ZLXHVZFKEBIQEF-LLVKDONJSA-N 0 3 243.297 2.606 20 0 BFADHN C[C@H](NC[C@H]1CCCC[C@@H]1C)c1ncc[nH]1 ZINC000309577443 491151993 /nfs/dbraw/zinc/15/19/93/491151993.db2.gz PLEJZGXRJTWVDE-SDDRHHMPSA-N 0 3 221.348 2.887 20 0 BFADHN CO[C@H](CNCc1ccncc1C)CC(C)C ZINC000578980234 366684701 /nfs/dbraw/zinc/68/47/01/366684701.db2.gz BQJWNNSUWFGOBS-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1occc1CN[C@H]1CCO[C@@H](C2CC2)C1 ZINC000414425871 219880453 /nfs/dbraw/zinc/88/04/53/219880453.db2.gz BJASDDXFMLBLSU-UONOGXRCSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@@H](CN(C)Cc1ccno1)C(C)(C)C ZINC000640350845 361076545 /nfs/dbraw/zinc/07/65/45/361076545.db2.gz UFXOYQZKSNPRLD-JTQLQIEISA-N 0 3 210.321 2.789 20 0 BFADHN CC(C)c1ncc(CN[C@@H]2CC23CC3)s1 ZINC000424182331 200878179 /nfs/dbraw/zinc/87/81/79/200878179.db2.gz GTBDUYVJFCGCLW-SNVBAGLBSA-N 0 3 222.357 2.909 20 0 BFADHN CC(C)(CC(F)(F)F)NCc1ccncc1 ZINC000309667138 491154268 /nfs/dbraw/zinc/15/42/68/491154268.db2.gz IJHVSEGMZQHSQM-UHFFFAOYSA-N 0 3 232.249 2.902 20 0 BFADHN c1nc(CN[C@H]2CCCC[C@@H]2C2CC2)co1 ZINC000309688237 491154425 /nfs/dbraw/zinc/15/44/25/491154425.db2.gz OTYQVDFHAFNIAL-OLZOCXBDSA-N 0 3 220.316 2.733 20 0 BFADHN COc1ccc(CN[C@@H]2CC23CC3)cc1Cl ZINC000424185343 200880059 /nfs/dbraw/zinc/88/00/59/200880059.db2.gz PKFMNOQCCZPTLZ-GFCCVEGCSA-N 0 3 237.730 2.991 20 0 BFADHN CC1(NCc2ccnn2CC2CCC2)CC=CC1 ZINC000631168452 361154226 /nfs/dbraw/zinc/15/42/26/361154226.db2.gz GEDLVJHXRXLWTI-UHFFFAOYSA-N 0 3 245.370 2.882 20 0 BFADHN CCC[C@H](N[C@H]1CCCOC1)c1cc(C)ccn1 ZINC000631580304 361159139 /nfs/dbraw/zinc/15/91/39/361159139.db2.gz LQWNSLCKNLTVQK-KBPBESRZSA-N 0 3 248.370 3.000 20 0 BFADHN Cc1nc(CNC2CC(C(F)F)C2)sc1C ZINC000309697649 491154641 /nfs/dbraw/zinc/15/46/41/491154641.db2.gz LLWOQGPWQOKMOD-UHFFFAOYSA-N 0 3 246.326 2.893 20 0 BFADHN C[C@H](CF)NCc1cccc2cnccc21 ZINC000309726774 491155078 /nfs/dbraw/zinc/15/50/78/491155078.db2.gz DHESIKNBSVUTPC-SNVBAGLBSA-N 0 3 218.275 2.682 20 0 BFADHN c1ccc2c(c1)nccc2N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000158703729 361209956 /nfs/dbraw/zinc/20/99/56/361209956.db2.gz WQCRPZUXAJUJFO-KMUNFCNLSA-N 0 3 240.306 2.967 20 0 BFADHN CC(C)(C)c1ccc(CN2C[C@@H]3C[C@]3(O)C2)cc1 ZINC000640473718 361244139 /nfs/dbraw/zinc/24/41/39/361244139.db2.gz KRCLWMDQHNSHLW-HOCLYGCPSA-N 0 3 245.366 2.551 20 0 BFADHN CC(C)(C)[C@@H](CO)NCc1cc(Cl)cs1 ZINC000194481864 361297106 /nfs/dbraw/zinc/29/71/06/361297106.db2.gz XHYSPGIPOSFXBI-SNVBAGLBSA-N 0 3 247.791 2.898 20 0 BFADHN CC[C@H](N[C@H](C)c1ccoc1)[C@H](O)C(C)C ZINC000579093425 366707951 /nfs/dbraw/zinc/70/79/51/366707951.db2.gz DIPHUPZSOJGHPJ-KGYLQXTDSA-N 0 3 225.332 2.726 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1n[nH]c(C(C)C)n1 ZINC000428305974 201008289 /nfs/dbraw/zinc/00/82/89/201008289.db2.gz MYCFGTQARGFSMS-MNOVXSKESA-N 0 3 236.363 2.691 20 0 BFADHN CCN(Cc1snnc1C)[C@@H](C)C(C)C ZINC000579127174 366718791 /nfs/dbraw/zinc/71/87/91/366718791.db2.gz ZQCURGWITLFZGG-JTQLQIEISA-N 0 3 227.377 2.713 20 0 BFADHN Cc1nsc(C)c1CN1C2CCC1CC2 ZINC000579137639 366723567 /nfs/dbraw/zinc/72/35/67/366723567.db2.gz GYEGUQSMHIFHEQ-UHFFFAOYSA-N 0 3 222.357 2.887 20 0 BFADHN CCCc1nc(C)c(CN2CCC[C@@H]2C)o1 ZINC000428238030 201002968 /nfs/dbraw/zinc/00/29/68/201002968.db2.gz CFYGWXMDNUWKKP-JTQLQIEISA-N 0 3 222.332 2.920 20 0 BFADHN CC[C@H](C)CN(C)[C@@H](C)c1cnc(C)cn1 ZINC000428251568 201004411 /nfs/dbraw/zinc/00/44/11/201004411.db2.gz WGEOTMUXUFNROI-JQWIXIFHSA-N 0 3 221.348 2.824 20 0 BFADHN CCCCC[C@@H]1CCCN1Cc1n[nH]c(C)n1 ZINC000428377277 201011812 /nfs/dbraw/zinc/01/18/12/201011812.db2.gz RYRJQDZFPUGUCZ-GFCCVEGCSA-N 0 3 236.363 2.658 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@H]2CCO)o1 ZINC000428447728 201017372 /nfs/dbraw/zinc/01/73/72/201017372.db2.gz NFIHCIYOGODAJG-DYEKYZERSA-N 0 3 249.354 2.750 20 0 BFADHN CCCN(CC)Cc1oc(CC)nc1C ZINC000428461154 201019790 /nfs/dbraw/zinc/01/97/90/201019790.db2.gz IEWPDALTOGRDBF-UHFFFAOYSA-N 0 3 210.321 2.777 20 0 BFADHN CCCCN(C)[C@@H](C)c1cnc(C)cn1 ZINC000428472900 201023182 /nfs/dbraw/zinc/02/31/82/201023182.db2.gz KDFLLXJVBADHJI-NSHDSACASA-N 0 3 207.321 2.578 20 0 BFADHN CC(C)Cn1cc(CN[C@H]2CC23CCCC3)cn1 ZINC000428557566 201027900 /nfs/dbraw/zinc/02/79/00/201027900.db2.gz IVIAREFCTOPNIR-AWEZNQCLSA-N 0 3 247.386 2.961 20 0 BFADHN CC1(C)C[C@H]1CNCc1nc2c(s1)CCC2 ZINC000309779551 491156312 /nfs/dbraw/zinc/15/63/12/491156312.db2.gz MOYHGHFOVVDPOX-VIFPVBQESA-N 0 3 236.384 2.768 20 0 BFADHN CCc1cccc(CN2CC(C)(COC)C2)c1 ZINC000579239266 366742112 /nfs/dbraw/zinc/74/21/12/366742112.db2.gz OFIVLMGJXISYLS-UHFFFAOYSA-N 0 3 233.355 2.717 20 0 BFADHN NC1(C(=O)Nc2ccc(Cl)cc2)CCCC1 ZINC000037479388 181329569 /nfs/dbraw/zinc/32/95/69/181329569.db2.gz HMBLMSUPBUTYQR-UHFFFAOYSA-N 0 3 238.718 2.550 20 0 BFADHN c1coc(C2=CCCN(C[C@@H]3CCCO3)C2)c1 ZINC000285324275 370688740 /nfs/dbraw/zinc/68/87/40/370688740.db2.gz NHDARYGASJCVLI-ZDUSSCGKSA-N 0 3 233.311 2.548 20 0 BFADHN Cc1nc(CN(C)[C@H](C)C2CCCCC2)n[nH]1 ZINC000428948276 201053701 /nfs/dbraw/zinc/05/37/01/201053701.db2.gz RZBPOXJZFJZBKI-SNVBAGLBSA-N 0 3 236.363 2.514 20 0 BFADHN Cc1cc(CN2CCCC3(CCC3)C2)ncn1 ZINC000428986935 201058635 /nfs/dbraw/zinc/05/86/35/201058635.db2.gz RBCWJVMXUPZKAL-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN CCCNC(=O)CN(CCC)CCCC(C)C ZINC000429046432 201063788 /nfs/dbraw/zinc/06/37/88/201063788.db2.gz NKFUWOLSKMAGLT-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CC1(C)C[C@@H]1NCc1cc(F)cc(F)c1 ZINC000162827016 361365967 /nfs/dbraw/zinc/36/59/67/361365967.db2.gz JQQVESZPBPNRAL-NSHDSACASA-N 0 3 211.255 2.853 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc2c(c1)OCO2 ZINC000309811935 491157094 /nfs/dbraw/zinc/15/70/94/491157094.db2.gz UKMRXNCOSSBDHJ-FSIBCCDJSA-N 0 3 219.284 2.642 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCC(F)(F)C2)o1 ZINC000397925064 363785613 /nfs/dbraw/zinc/78/56/13/363785613.db2.gz QPNIYXVKOHDAJN-SECBINFHSA-N 0 3 230.258 2.508 20 0 BFADHN CC[C@H]1CCN1Cc1ccccc1N(C)C ZINC000429276173 201090194 /nfs/dbraw/zinc/09/01/94/201090194.db2.gz SMRFTDQEIJVSGM-ZDUSSCGKSA-N 0 3 218.344 2.737 20 0 BFADHN COc1ncccc1CN(C1CCC1)C1CCC1 ZINC000429140797 201073927 /nfs/dbraw/zinc/07/39/27/201073927.db2.gz IMUPPVWHVYOJQI-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN CC[C@@H]1CCN1CCOc1cccc(F)c1 ZINC000429270594 201085278 /nfs/dbraw/zinc/08/52/78/201085278.db2.gz GTJTUDILMXMWOB-GFCCVEGCSA-N 0 3 223.291 2.689 20 0 BFADHN CC[C@@H](N[C@@H]1CC12CCCC2)c1cnn(C)c1 ZINC000429418412 201106706 /nfs/dbraw/zinc/10/67/06/201106706.db2.gz JJJHJUCMOUJOGP-CHWSQXEVSA-N 0 3 233.359 2.794 20 0 BFADHN Cc1ccccc1[C@@H](C)N[C@H](CO)CC(F)F ZINC000429455193 201108196 /nfs/dbraw/zinc/10/81/96/201108196.db2.gz PKECHLNPCADLPN-MNOVXSKESA-N 0 3 243.297 2.662 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1ccc2c(n1)CCC2 ZINC000579324800 366760170 /nfs/dbraw/zinc/76/01/70/366760170.db2.gz QYOYWRGPYAPABO-NSHDSACASA-N 0 3 230.355 2.801 20 0 BFADHN CC(C)CCCN1CCOCC12CCC2 ZINC000429327191 201100370 /nfs/dbraw/zinc/10/03/70/201100370.db2.gz AGSBCFZHUPGADJ-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@H](C)[C@H](C)OC ZINC000579413473 366778515 /nfs/dbraw/zinc/77/85/15/366778515.db2.gz QNLNPJFSHYQEFU-UTUOFQBUSA-N 0 3 236.359 2.718 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@H](C)[C@@H](C)OC ZINC000579413469 366778828 /nfs/dbraw/zinc/77/88/28/366778828.db2.gz QNLNPJFSHYQEFU-IJLUTSLNSA-N 0 3 236.359 2.718 20 0 BFADHN C[C@H]1C[C@H]1CN1Cc2ccccc2O[C@H](C)C1 ZINC000430151318 201148241 /nfs/dbraw/zinc/14/82/41/201148241.db2.gz RMVVNMREDLBZJP-SCRDCRAPSA-N 0 3 231.339 2.926 20 0 BFADHN C[C@H]1C[C@H](O)CN1Cc1ccc2c(c1)CCCC2 ZINC000430061130 201141023 /nfs/dbraw/zinc/14/10/23/201141023.db2.gz WQPNKGWBDSTJQU-LRDDRELGSA-N 0 3 245.366 2.521 20 0 BFADHN CCCCCNCc1ccc(-c2cc[nH]n2)o1 ZINC000433323750 201181916 /nfs/dbraw/zinc/18/19/16/201181916.db2.gz YOPJLWLRVGQBTK-UHFFFAOYSA-N 0 3 233.315 2.950 20 0 BFADHN CN(C)[C@@H]1CCOc2c(NCC3CC3)cccc21 ZINC000433194531 201168249 /nfs/dbraw/zinc/16/82/49/201168249.db2.gz XJAYSIIADSXJKW-CQSZACIVSA-N 0 3 246.354 2.894 20 0 BFADHN COCCCCCNCc1ccc(Cl)o1 ZINC000163736539 361565766 /nfs/dbraw/zinc/56/57/66/361565766.db2.gz QHIXRUSJRKYHGW-UHFFFAOYSA-N 0 3 231.723 2.839 20 0 BFADHN CCc1ccc(CCNCC2(F)CC2)cc1 ZINC000309977638 491159194 /nfs/dbraw/zinc/15/91/94/491159194.db2.gz ULWBHGBAERCIIB-UHFFFAOYSA-N 0 3 221.319 2.883 20 0 BFADHN CN(Cc1ccc(-c2cc[nH]n2)o1)CC1CCC1 ZINC000434834965 201243395 /nfs/dbraw/zinc/24/33/95/201243395.db2.gz XHPVCHTZEMVLED-UHFFFAOYSA-N 0 3 245.326 2.902 20 0 BFADHN CCCN(CC)Cc1ccc([S@@](C)=O)cc1 ZINC000434986249 201246741 /nfs/dbraw/zinc/24/67/41/201246741.db2.gz NPGFPUCJMUGJJA-MRXNPFEDSA-N 0 3 239.384 2.656 20 0 BFADHN CCN(Cc1ccc(-c2cc[nH]n2)o1)C1CC1 ZINC000435124176 201249783 /nfs/dbraw/zinc/24/97/83/201249783.db2.gz MNXGQYBYWMQFSY-UHFFFAOYSA-N 0 3 231.299 2.654 20 0 BFADHN CCCN(C)Cc1ccc(-c2cc[nH]n2)o1 ZINC000435133932 201253422 /nfs/dbraw/zinc/25/34/22/201253422.db2.gz UAQRFVIQAOWBJY-UHFFFAOYSA-N 0 3 219.288 2.512 20 0 BFADHN CC(C)[C@H]1CCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC000435130012 201253584 /nfs/dbraw/zinc/25/35/84/201253584.db2.gz PIAJVWZQAXGRGE-CYBMUJFWSA-N 0 3 245.326 2.900 20 0 BFADHN COC(=O)c1ccccc1CN1C[C@H](C)C[C@H]1C ZINC000162909294 201265929 /nfs/dbraw/zinc/26/59/29/201265929.db2.gz JQVDZWWTVBHIOM-VXGBXAGGSA-N 0 3 247.338 2.704 20 0 BFADHN CCc1ccc(CN2CCC[C@H]2C(C)(C)O)o1 ZINC000154416815 201277029 /nfs/dbraw/zinc/27/70/29/201277029.db2.gz BYDALPSHUNXSIT-ZDUSSCGKSA-N 0 3 237.343 2.577 20 0 BFADHN CCCc1cc(NCCF)c2ccccc2n1 ZINC000435585249 201277238 /nfs/dbraw/zinc/27/72/38/201277238.db2.gz SQIUBHRFYMGYMN-UHFFFAOYSA-N 0 3 232.302 2.991 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2C[C@H](C)O[C@H]2C)s1 ZINC000631227746 361666080 /nfs/dbraw/zinc/66/60/80/361666080.db2.gz LADQLANCPWWNKF-FTYOSLGDSA-N 0 3 240.372 2.668 20 0 BFADHN c1ncc(CN[C@]23C[C@H]2CCCC3)s1 ZINC000631227180 361660245 /nfs/dbraw/zinc/66/02/45/361660245.db2.gz PZKFBTDXHRHJIH-MWLCHTKSSA-N 0 3 208.330 2.565 20 0 BFADHN CN[C@H](CO)c1cccc(-c2cc(C)co2)c1 ZINC000629735994 361673023 /nfs/dbraw/zinc/67/30/23/361673023.db2.gz KZBKYQABXGWNTF-CYBMUJFWSA-N 0 3 231.295 2.508 20 0 BFADHN Cc1coc(-c2cccc([C@H]3CNCCO3)c2)c1 ZINC000629736573 361675138 /nfs/dbraw/zinc/67/51/38/361675138.db2.gz RVZKWMCUXSRKQP-OAHLLOKOSA-N 0 3 243.306 2.916 20 0 BFADHN CCCC[C@@H](CC)NCc1cc(C)ncn1 ZINC000310022264 491160231 /nfs/dbraw/zinc/16/02/31/491160231.db2.gz NXXFZEFEKXZSQJ-GFCCVEGCSA-N 0 3 221.348 2.843 20 0 BFADHN COc1ccc2c(c1)C(C)(C)C[C@H]2NCCF ZINC000436300491 201320379 /nfs/dbraw/zinc/32/03/79/201320379.db2.gz MKGKSKULTRQLGW-CYBMUJFWSA-N 0 3 237.318 2.977 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1cc2c(cccc2F)[nH]1 ZINC000436414351 201323994 /nfs/dbraw/zinc/32/39/94/201323994.db2.gz SITGZFHHNFAHCA-ZANVPECISA-N 0 3 248.301 2.574 20 0 BFADHN CCOc1cccc(CN[C@@H]2CC[C@@H](C)C2)n1 ZINC000436406457 201324836 /nfs/dbraw/zinc/32/48/36/201324836.db2.gz HUKTZIVGTGRSHQ-VXGBXAGGSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@H]1CCC(F)(F)C1 ZINC000310548327 491161996 /nfs/dbraw/zinc/16/19/96/491161996.db2.gz FOBJELXIVYIPMA-WPRPVWTQSA-N 0 3 243.301 2.567 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)C(C)(C)O)c(C)o1 ZINC000083727694 491162812 /nfs/dbraw/zinc/16/28/12/491162812.db2.gz XIOIHBOUWCUSHT-GXSJLCMTSA-N 0 3 225.332 2.706 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CC[C@H]1CCOC1 ZINC000437797015 201374200 /nfs/dbraw/zinc/37/42/00/201374200.db2.gz IQSBJLVFSOKXLG-STQMWFEESA-N 0 3 234.343 2.501 20 0 BFADHN C[C@@H](N[C@@H](C)C(C)(C)O)c1ccccc1F ZINC000083727123 491162773 /nfs/dbraw/zinc/16/27/73/491162773.db2.gz OFIQDPFEEXTHBK-ZJUUUORDSA-N 0 3 225.307 2.636 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)C(C)(C)O)c(C)o1 ZINC000083727689 491162787 /nfs/dbraw/zinc/16/27/87/491162787.db2.gz XIOIHBOUWCUSHT-ONGXEEELSA-N 0 3 225.332 2.706 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1ccc(OC)nc1 ZINC000438107496 201390177 /nfs/dbraw/zinc/39/01/77/201390177.db2.gz IMFTXYZDIVQNCN-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN Fc1ccccc1C1(NCC[C@@H]2CCOC2)CC1 ZINC000438187524 201396142 /nfs/dbraw/zinc/39/61/42/201396142.db2.gz HZHNYRRGWCVPJW-GFCCVEGCSA-N 0 3 249.329 2.831 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NC[C@@H](F)CC ZINC000452049704 202516581 /nfs/dbraw/zinc/51/65/81/202516581.db2.gz IVEOBLGDFNIATE-QJPTWQEYSA-N 0 3 217.328 2.672 20 0 BFADHN CC(C)(O)CCN1CC[C@@H]1c1cccc(F)c1 ZINC000674231124 487527737 /nfs/dbraw/zinc/52/77/37/487527737.db2.gz GCNYJHXIBALOBG-CYBMUJFWSA-N 0 3 237.318 2.734 20 0 BFADHN CCCC[C@@H](COC)NCc1cccnc1C ZINC000130669828 363951265 /nfs/dbraw/zinc/95/12/65/363951265.db2.gz GDPUIVDHRJRKOC-AWEZNQCLSA-N 0 3 236.359 2.685 20 0 BFADHN CC(C)(C)CN(CCCO)Cc1ccco1 ZINC000093145886 541330654 /nfs/dbraw/zinc/33/06/54/541330654.db2.gz DVQQMNJLMYETRK-UHFFFAOYSA-N 0 3 225.332 2.510 20 0 BFADHN Cc1ccccc1[C@H](CO)NCCC(F)(F)F ZINC000541980258 364056713 /nfs/dbraw/zinc/05/67/13/364056713.db2.gz FJGUUMLBECDXLQ-NSHDSACASA-N 0 3 247.260 2.570 20 0 BFADHN Cc1ccccc1[C@@H](CO)NCCC(F)(F)F ZINC000541980257 364056784 /nfs/dbraw/zinc/05/67/84/364056784.db2.gz FJGUUMLBECDXLQ-LLVKDONJSA-N 0 3 247.260 2.570 20 0 BFADHN Cc1oncc1-c1csc([C@@H]2CCCCN2)n1 ZINC000630381551 364096309 /nfs/dbraw/zinc/09/63/09/364096309.db2.gz UKLVXRUZSWWDNK-JTQLQIEISA-N 0 3 249.339 2.921 20 0 BFADHN C[C@](CO)(N[C@H]1C=CCCC1)c1ccccc1 ZINC000225451433 370700795 /nfs/dbraw/zinc/70/07/95/370700795.db2.gz XPYJKAAEXJOTGE-LSDHHAIUSA-N 0 3 231.339 2.592 20 0 BFADHN CC[C@](C)(N)c1cn([C@@H]2CCC(C)(C)C2)nn1 ZINC000630604150 364327262 /nfs/dbraw/zinc/32/72/62/364327262.db2.gz PRXVKMIJKUPJIZ-MFKMUULPSA-N 0 3 236.363 2.613 20 0 BFADHN NCC1(c2nc(-c3ccsc3)no2)CCCC1 ZINC000124979385 181363802 /nfs/dbraw/zinc/36/38/02/181363802.db2.gz BWNBSYRJGJQOHI-UHFFFAOYSA-N 0 3 249.339 2.569 20 0 BFADHN CC[C@@H](CC(=O)NC[C@H](C)N(C)C)C(C)(C)C ZINC000630717187 364495094 /nfs/dbraw/zinc/49/50/94/364495094.db2.gz VUKMJVMNMAZZNA-RYUDHWBXSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@@H](N[C@@H](C)CC1CCC1)c1ccncn1 ZINC000631738747 364537910 /nfs/dbraw/zinc/53/79/10/364537910.db2.gz SWTKGJPAWXHWIO-WDEREUQCSA-N 0 3 219.332 2.706 20 0 BFADHN COC(=O)c1ccccc1CN1CC[C@H](C)[C@@H]1C ZINC000246803958 364610142 /nfs/dbraw/zinc/61/01/42/364610142.db2.gz QVFRVYNLYMQEEY-RYUDHWBXSA-N 0 3 247.338 2.704 20 0 BFADHN COc1ccc2oc(CN3CC(C)C3)cc2c1 ZINC000438849867 201420388 /nfs/dbraw/zinc/42/03/88/201420388.db2.gz GALKBKRJULISHH-UHFFFAOYSA-N 0 3 231.295 2.893 20 0 BFADHN CC1(C)CC[C@@H]1[NH2+]Cc1cc([O-])cc(F)c1 ZINC000290190689 364703143 /nfs/dbraw/zinc/70/31/43/364703143.db2.gz SVKONHWTCCFAOJ-LBPRGKRZSA-N 0 3 223.291 2.810 20 0 BFADHN COC[C@@H](C)N[C@H]1CCCc2c(OC)cccc21 ZINC000573314721 364795284 /nfs/dbraw/zinc/79/52/84/364795284.db2.gz STDGDAGVLMZKPF-RISCZKNCSA-N 0 3 249.354 2.697 20 0 BFADHN CC[C@H](C)[C@@](C)(O)CN[C@@H](C)c1ccoc1 ZINC000167605994 364799327 /nfs/dbraw/zinc/79/93/27/364799327.db2.gz JJODYAOGCLFYJU-GVXVVHGQSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1cocn1 ZINC000272392377 364750754 /nfs/dbraw/zinc/75/07/54/364750754.db2.gz VCBJXEICAUQIDM-PWSUYJOCSA-N 0 3 208.305 2.733 20 0 BFADHN CCN(Cc1cccc(Cl)n1)C[C@H](C)OC ZINC000291160875 364770793 /nfs/dbraw/zinc/77/07/93/364770793.db2.gz FUXFVUJSBXQUTQ-JTQLQIEISA-N 0 3 242.750 2.592 20 0 BFADHN CC[C@@H](NCc1cnccc1OC)C(C)C ZINC000294073742 364775050 /nfs/dbraw/zinc/77/50/50/364775050.db2.gz HZMWJGUEEJPLKT-GFCCVEGCSA-N 0 3 222.332 2.614 20 0 BFADHN Cc1cc(CN(C)CCCCCO)cs1 ZINC000296983550 364786992 /nfs/dbraw/zinc/78/69/92/364786992.db2.gz VKNXIDIZDKAYQQ-UHFFFAOYSA-N 0 3 227.373 2.651 20 0 BFADHN Cc1ccccc1C[N@@H+](C)Cc1ncccc1[O-] ZINC000573525735 364853839 /nfs/dbraw/zinc/85/38/39/364853839.db2.gz GLUFVGRKZYLZFM-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN Cc1ccccc1C[N@H+](C)Cc1ncccc1[O-] ZINC000573525735 364853841 /nfs/dbraw/zinc/85/38/41/364853841.db2.gz GLUFVGRKZYLZFM-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H]1C[C@@H](C)O[C@@H]1C)CO2 ZINC000573555435 364859480 /nfs/dbraw/zinc/85/94/80/364859480.db2.gz ZORUXVDAPOLUQR-HBJVGIJOSA-N 0 3 247.338 2.584 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCCCC1)c1nccc(N)n1 ZINC000573673519 364889705 /nfs/dbraw/zinc/88/97/05/364889705.db2.gz TZYRNFTYUWSAAD-WDEREUQCSA-N 0 3 248.374 2.509 20 0 BFADHN CCc1cc(CN[C@H](C)C2(C)CC2)on1 ZINC000168174113 364876183 /nfs/dbraw/zinc/87/61/83/364876183.db2.gz QPIVJDIYPGPUDO-SECBINFHSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1cc(CN[C@H](C)C(C)(C)C)on1 ZINC000168173887 364876434 /nfs/dbraw/zinc/87/64/34/364876434.db2.gz SBPNTPAZVPVPDK-SECBINFHSA-N 0 3 210.321 2.761 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](O)C1)c1ccc(Cl)c(F)c1 ZINC000574012703 364966101 /nfs/dbraw/zinc/96/61/01/364966101.db2.gz BVFFGVFOHIKMND-QNSHHTMESA-N 0 3 243.709 2.653 20 0 BFADHN Cc1ccc2nc(CNCCC3CC3)cn2c1 ZINC000341000657 541438843 /nfs/dbraw/zinc/43/88/43/541438843.db2.gz AOTMCXGMCYKQNT-UHFFFAOYSA-N 0 3 229.327 2.532 20 0 BFADHN Cc1nnsc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000574116239 364990150 /nfs/dbraw/zinc/99/01/50/364990150.db2.gz GMNQXLVOFNTVMN-WDEREUQCSA-N 0 3 237.372 2.611 20 0 BFADHN CC(C)OC1CCN(CCc2cccnc2)CC1 ZINC000574230418 365015370 /nfs/dbraw/zinc/01/53/70/365015370.db2.gz PEWIYZKMUYLOSJ-UHFFFAOYSA-N 0 3 248.370 2.514 20 0 BFADHN Cc1nc(CNC2(C)CCCCC2)[nH]c1C ZINC000574584345 365097307 /nfs/dbraw/zinc/09/73/07/365097307.db2.gz GLMUYPFQRFVLLX-UHFFFAOYSA-N 0 3 221.348 2.839 20 0 BFADHN CC[C@H]1CN(C)CCN1Cc1ccc(C)cc1 ZINC000574659730 365115832 /nfs/dbraw/zinc/11/58/32/365115832.db2.gz RXXBMSUYDJEQLL-HNNXBMFYSA-N 0 3 232.371 2.521 20 0 BFADHN Nc1ccc2c(c1)CCC[C@H]2NCC1(F)CC1 ZINC000574663252 365116106 /nfs/dbraw/zinc/11/61/06/365116106.db2.gz WDIINKAOETWBGB-CYBMUJFWSA-N 0 3 234.318 2.738 20 0 BFADHN CO[C@H](C)CN[C@H]1CCCc2ccc(F)cc21 ZINC000443197005 193015322 /nfs/dbraw/zinc/01/53/22/193015322.db2.gz MQFRAHPSWLNGNA-YGRLFVJLSA-N 0 3 237.318 2.828 20 0 BFADHN COc1cc(C)ccc1[C@@H](C)N[C@H]1C[C@@](C)(O)C1 ZINC000574861532 365160133 /nfs/dbraw/zinc/16/01/33/365160133.db2.gz FCDSOBVMQFVKEO-JMSVASOKSA-N 0 3 249.354 2.568 20 0 BFADHN CCN(CCOC)Cc1c[nH]c2ccccc12 ZINC000442891309 193000861 /nfs/dbraw/zinc/00/08/61/193000861.db2.gz QWHYNZGZRQSLGN-UHFFFAOYSA-N 0 3 232.327 2.636 20 0 BFADHN CCCC[C@H](COC)NC1(CF)CCC1 ZINC000575047332 365176935 /nfs/dbraw/zinc/17/69/35/365176935.db2.gz MROCMTFWDHGOJQ-LLVKDONJSA-N 0 3 217.328 2.673 20 0 BFADHN CO[C@@H](C)CNCc1cc(C)c2ncccc2c1 ZINC000442993149 193009127 /nfs/dbraw/zinc/00/91/27/193009127.db2.gz RDJKAZUOLDQBJB-LBPRGKRZSA-N 0 3 244.338 2.668 20 0 BFADHN CC(C)CCNCc1ccc2c(n1)CCC2 ZINC000575101003 365197554 /nfs/dbraw/zinc/19/75/54/365197554.db2.gz BKXZINBFSHMVON-UHFFFAOYSA-N 0 3 218.344 2.706 20 0 BFADHN CC(C)COCCN1CC[C@@H](c2ccncc2)C1 ZINC000575119851 365209206 /nfs/dbraw/zinc/20/92/06/365209206.db2.gz WRLYMIZJDWGMPF-OAHLLOKOSA-N 0 3 248.370 2.544 20 0 BFADHN CC(C)COCCN1CC[C@H](c2ccncc2)C1 ZINC000575119850 365209849 /nfs/dbraw/zinc/20/98/49/365209849.db2.gz WRLYMIZJDWGMPF-HNNXBMFYSA-N 0 3 248.370 2.544 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@H](C)C2)nn1C ZINC000443643133 193028332 /nfs/dbraw/zinc/02/83/32/193028332.db2.gz UUHVPKOVGIOXNC-NEPJUHHUSA-N 0 3 235.375 2.597 20 0 BFADHN COc1cccc(CN(C2CC2)C2CCCC2)n1 ZINC000171860197 365249252 /nfs/dbraw/zinc/24/92/52/365249252.db2.gz RXSXYKRQSBCDRQ-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN CCN(Cc1cccc(OC)n1)C1CCCC1 ZINC000171859515 365249492 /nfs/dbraw/zinc/24/94/92/365249492.db2.gz YCCHIDHNRSCWBF-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCCCCNC(=O)CN(CC(C)C)C(C)C ZINC000171883550 365251657 /nfs/dbraw/zinc/25/16/57/365251657.db2.gz KRUDXPBYTITWGV-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1noc(C)c1CN1CCS[C@H](C)CC1 ZINC000192186674 365281326 /nfs/dbraw/zinc/28/13/26/365281326.db2.gz OORWRLCBHTVWEK-SECBINFHSA-N 0 3 240.372 2.619 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@@H](C)[C@@H]2C)n1 ZINC000379866404 365330578 /nfs/dbraw/zinc/33/05/78/365330578.db2.gz OIHRDWGXJDLTNY-SUZMYJTESA-N 0 3 204.317 2.524 20 0 BFADHN CSCCN1Cc2ccccc2C[C@H]1C ZINC000172995755 365392949 /nfs/dbraw/zinc/39/29/49/365392949.db2.gz AJRAMBVUVSZIAY-LLVKDONJSA-N 0 3 221.369 2.796 20 0 BFADHN CCC(C)(C)CNCc1cc(OC)ns1 ZINC000399089393 365428141 /nfs/dbraw/zinc/42/81/41/365428141.db2.gz WGRBLUPSEOIIBM-UHFFFAOYSA-N 0 3 228.361 2.678 20 0 BFADHN CC[C@H](NCCOC(C)C)c1c(C)noc1C ZINC000182407869 366898116 /nfs/dbraw/zinc/89/81/16/366898116.db2.gz FMMGFNWTLFRGLW-LBPRGKRZSA-N 0 3 240.347 2.757 20 0 BFADHN CN(C)Cc1ccc(NCC2(F)CC2)c(F)c1 ZINC000576089537 365479819 /nfs/dbraw/zinc/47/98/19/365479819.db2.gz URYPXSMBSRMQBO-UHFFFAOYSA-N 0 3 240.297 2.801 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1NCc1ncccc1N(C)C ZINC000353654027 541484306 /nfs/dbraw/zinc/48/43/06/541484306.db2.gz ZIVMXQRMJIYVNF-STQMWFEESA-N 0 3 247.386 2.672 20 0 BFADHN CC(C)Cn1cc(CN2CCCC[C@@H]2C)cn1 ZINC000182952004 366960567 /nfs/dbraw/zinc/96/05/67/366960567.db2.gz XZZXJKUDTYKKCI-ZDUSSCGKSA-N 0 3 235.375 2.914 20 0 BFADHN CCC(C)(C)[C@@H]1CCCN1Cc1cncnc1 ZINC000180067564 541507307 /nfs/dbraw/zinc/50/73/07/541507307.db2.gz INTPZIWTPAXMMZ-ZDUSSCGKSA-N 0 3 233.359 2.877 20 0 BFADHN CCn1nccc1CN(CCC(C)C)C1CC1 ZINC000180023038 541506367 /nfs/dbraw/zinc/50/63/67/541506367.db2.gz ARZQGWUNVBOBIK-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@H](CC1CCCCC1)CN1CCN(C)CC1 ZINC000183207084 367000653 /nfs/dbraw/zinc/00/06/53/367000653.db2.gz QOKYHGQXEDUIBA-CQSZACIVSA-N 0 3 238.419 2.840 20 0 BFADHN CC(C)Cn1cc(CN2CCC[C@H]2C)cn1 ZINC000183293189 367003868 /nfs/dbraw/zinc/00/38/68/367003868.db2.gz BJMJNCZAEVTGEY-GFCCVEGCSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@H]1CCCCN1Cc1cnoc1C ZINC000185166264 367123070 /nfs/dbraw/zinc/12/30/70/367123070.db2.gz LXIFDIGVWNKHTJ-LBPRGKRZSA-N 0 3 208.305 2.748 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1c[nH]cn1 ZINC000193387710 367172152 /nfs/dbraw/zinc/17/21/52/367172152.db2.gz MZCBAWFTOXGTIE-YPMHNXCESA-N 0 3 221.348 2.810 20 0 BFADHN COC(C)(C)CN(C)Cc1ccc(Cl)cn1 ZINC000580792500 367168041 /nfs/dbraw/zinc/16/80/41/367168041.db2.gz ROFDQBUUBXGFKS-UHFFFAOYSA-N 0 3 242.750 2.592 20 0 BFADHN COC[C@H](C)N(C)Cc1cccc(Cl)c1 ZINC000193890064 367223556 /nfs/dbraw/zinc/22/35/56/367223556.db2.gz SRERMBCJUINQQN-JTQLQIEISA-N 0 3 227.735 2.807 20 0 BFADHN CC(C)(C)CNCc1ccc2c(n1)CCC2 ZINC000581117248 367266698 /nfs/dbraw/zinc/26/66/98/367266698.db2.gz TYHHOLIYKOAHSD-UHFFFAOYSA-N 0 3 218.344 2.706 20 0 BFADHN CCCC1(CNCc2nc(C)c(C)[nH]2)CC1 ZINC000581202532 367295334 /nfs/dbraw/zinc/29/53/34/367295334.db2.gz PEQPNVJNEXSMGU-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CCOCCN[C@H]1CCCc2c(OC)cccc21 ZINC000194854937 367323094 /nfs/dbraw/zinc/32/30/94/367323094.db2.gz SGTXMPDNDJUWIH-AWEZNQCLSA-N 0 3 249.354 2.699 20 0 BFADHN CCOCCN[C@@H]1CCCc2c(OC)cccc21 ZINC000194854924 367323642 /nfs/dbraw/zinc/32/36/42/367323642.db2.gz SGTXMPDNDJUWIH-CQSZACIVSA-N 0 3 249.354 2.699 20 0 BFADHN CCc1cc(CN[C@@H]2CCC23CCC3)on1 ZINC000381324220 367381082 /nfs/dbraw/zinc/38/10/82/367381082.db2.gz QCGJIRLCJRGXRQ-GFCCVEGCSA-N 0 3 220.316 2.659 20 0 BFADHN Cc1cccc(CN[C@H]2CC[C@@H]2C2CC2)n1 ZINC000381414493 367397934 /nfs/dbraw/zinc/39/79/34/367397934.db2.gz VQYTWGNWUFDZHF-KGLIPLIRSA-N 0 3 216.328 2.668 20 0 BFADHN Fc1ccc(CNC2(C3CC3)CC2)c(F)c1 ZINC000381483427 367416273 /nfs/dbraw/zinc/41/62/73/367416273.db2.gz GFELYSKWRUPJCC-UHFFFAOYSA-N 0 3 223.266 2.997 20 0 BFADHN CO[C@H](C)CCNCc1cnc(C2CC2)s1 ZINC000381482741 367416871 /nfs/dbraw/zinc/41/68/71/367416871.db2.gz TYGDHPATWOYMNT-SECBINFHSA-N 0 3 240.372 2.535 20 0 BFADHN Cc1nn(C(C)C)cc1CNC1(C)CCC1 ZINC000381222242 367366458 /nfs/dbraw/zinc/36/64/58/367366458.db2.gz IZSSGBORNCGSAG-UHFFFAOYSA-N 0 3 221.348 2.805 20 0 BFADHN CC[C@H](NCCn1cccn1)c1ccsc1 ZINC000382129402 367489875 /nfs/dbraw/zinc/48/98/75/367489875.db2.gz OHCYKYJYALABOJ-LBPRGKRZSA-N 0 3 235.356 2.686 20 0 BFADHN CCc1cnc(CN[C@@H]2CC3CCC2CC3)o1 ZINC000382180108 367494613 /nfs/dbraw/zinc/49/46/13/367494613.db2.gz XQFZWUOFKYTWKI-GCZXYKMCSA-N 0 3 234.343 2.905 20 0 BFADHN CC(C)CN(C)Cc1ccc2c(n1)CCC2 ZINC000581414866 367457006 /nfs/dbraw/zinc/45/70/06/367457006.db2.gz RZKPTIZOICKCQL-UHFFFAOYSA-N 0 3 218.344 2.658 20 0 BFADHN C[C@H](NCc1noc2ccccc21)C1CC1 ZINC000581499192 367528198 /nfs/dbraw/zinc/52/81/98/367528198.db2.gz WURNQHWJOPSTPP-VIFPVBQESA-N 0 3 216.284 2.716 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)O[C@@H](C)C1)c1nccs1 ZINC000382401722 367516011 /nfs/dbraw/zinc/51/60/11/367516011.db2.gz QGUFOJBKFSYNFT-ZRUFSTJUSA-N 0 3 240.372 2.750 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1ccoc1)OC ZINC000382411106 367517609 /nfs/dbraw/zinc/51/76/09/367517609.db2.gz ULWPDLOMLCBWID-PWSUYJOCSA-N 0 3 211.305 2.745 20 0 BFADHN Cc1cccc(CNC[C@](C)(O)C(C)C)c1F ZINC000384574962 367701716 /nfs/dbraw/zinc/70/17/16/367701716.db2.gz VTRHAIWTOVDXMZ-AWEZNQCLSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1cccc(CN[C@]2(C)CCO[C@H]2C)c1F ZINC000384586194 367703361 /nfs/dbraw/zinc/70/33/61/367703361.db2.gz YITLVTMHKLYWPK-SMDDNHRTSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cccc(CNCC[C@H]2CCOC2)c1F ZINC000384519347 367696591 /nfs/dbraw/zinc/69/65/91/367696591.db2.gz JMLXZGAAJCOGOX-LBPRGKRZSA-N 0 3 237.318 2.650 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3c2cccc3O)[C@@H](C)O1 ZINC000384558854 367699926 /nfs/dbraw/zinc/69/99/26/367699926.db2.gz HKCCQOKXEGLUAV-MHWZDGSBSA-N 0 3 247.338 2.535 20 0 BFADHN CC/C=C\CNCc1cc2ccccc2[nH]c1=O ZINC000384750203 367726695 /nfs/dbraw/zinc/72/66/95/367726695.db2.gz OAHNWOCEESOGIX-UTCJRWHESA-N 0 3 242.322 2.996 20 0 BFADHN CC/C=C\CN[C@H](C)c1cnn(CC)c1 ZINC000384782570 367731069 /nfs/dbraw/zinc/73/10/69/367731069.db2.gz UGAVDJIXCUQNAC-JMEBYUIHSA-N 0 3 207.321 2.520 20 0 BFADHN CC/C=C\CNCc1cc(OC)cc(OC)c1 ZINC000384847924 367743475 /nfs/dbraw/zinc/74/34/75/367743475.db2.gz AXGVRPIIEGFGKA-WAYWQWQTSA-N 0 3 235.327 2.760 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1C[C@@H](C)O[C@H]1C ZINC000384895463 367753185 /nfs/dbraw/zinc/75/31/85/367753185.db2.gz MBDKNQKDAYJUPZ-UHIISALHSA-N 0 3 237.318 2.790 20 0 BFADHN CC/C=C\CNCc1cccc2c1OCCO2 ZINC000384900728 367753359 /nfs/dbraw/zinc/75/33/59/367753359.db2.gz NSVMHICQEJKSKD-ARJAWSKDSA-N 0 3 233.311 2.514 20 0 BFADHN CC/C=C\CNCc1ccc2c(c1)OCCO2 ZINC000384902529 367753606 /nfs/dbraw/zinc/75/36/06/367753606.db2.gz OFRZEUDVGPDIQG-ARJAWSKDSA-N 0 3 233.311 2.514 20 0 BFADHN C[C@@H](CC(C)(C)O)NCc1ccc(Cl)o1 ZINC000384961692 367765566 /nfs/dbraw/zinc/76/55/66/367765566.db2.gz HJEDXPYFWGTDDL-QMMMGPOBSA-N 0 3 231.723 2.572 20 0 BFADHN C[C@@H](CC(C)(C)O)N[C@@H]1CCCc2occc21 ZINC000385224677 367811083 /nfs/dbraw/zinc/81/10/83/367811083.db2.gz SDTSUHHHOFAMBV-CMPLNLGQSA-N 0 3 237.343 2.796 20 0 BFADHN CC/C=C\CNCc1cccc2c1OCCCO2 ZINC000385074188 367784472 /nfs/dbraw/zinc/78/44/72/367784472.db2.gz VZKJDZBALAOGMA-ARJAWSKDSA-N 0 3 247.338 2.904 20 0 BFADHN C[C@H](CC(C)(C)O)N[C@@H]1CCCc2occc21 ZINC000385224702 367810123 /nfs/dbraw/zinc/81/01/23/367810123.db2.gz SDTSUHHHOFAMBV-ZYHUDNBSSA-N 0 3 237.343 2.796 20 0 BFADHN CCC1(CNCc2cc(OC)ns2)CCC1 ZINC000399175132 367851821 /nfs/dbraw/zinc/85/18/21/367851821.db2.gz MROBTIJQUKCOQS-UHFFFAOYSA-N 0 3 240.372 2.822 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@@H](C)[C@H]2C)c(F)c1 ZINC000385695044 367855532 /nfs/dbraw/zinc/85/55/32/367855532.db2.gz SOOHLTGQHHKLDU-GPCCPHFNSA-N 0 3 237.318 2.968 20 0 BFADHN CC(=O)CSC[C@H]1CCCCN1C(C)C ZINC000581871295 367860136 /nfs/dbraw/zinc/86/01/36/367860136.db2.gz YJHGPDCJGDPEGE-GFCCVEGCSA-N 0 3 229.389 2.572 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H]1CCCc2c1cnn2C ZINC000385840624 367868686 /nfs/dbraw/zinc/86/86/86/367868686.db2.gz NNLTTXYBHYAATE-KWCYVHTRSA-N 0 3 247.386 2.822 20 0 BFADHN C=Cn1cc(CN[C@@H]2C[C@H]2CC(C)C)cn1 ZINC000385845878 367869359 /nfs/dbraw/zinc/86/93/59/367869359.db2.gz OSKQHQCVXFVJPK-CHWSQXEVSA-N 0 3 219.332 2.508 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@@H](C)[C@@H]2C)c1OC ZINC000385621168 367848121 /nfs/dbraw/zinc/84/81/21/367848121.db2.gz IXJAVBYKPMAXFD-NTZNESFSSA-N 0 3 249.354 2.838 20 0 BFADHN COc1cc(CNCC2CCCCC2)sn1 ZINC000399219664 367908506 /nfs/dbraw/zinc/90/85/06/367908506.db2.gz YCTCKKXPCOSVOS-UHFFFAOYSA-N 0 3 240.372 2.822 20 0 BFADHN C[C@H]1C[C@@H](NCC(C2CC2)C2CC2)c2nccn21 ZINC000386117712 367914580 /nfs/dbraw/zinc/91/45/80/367914580.db2.gz VLCDLNZVQHRQJV-IINYFYTJSA-N 0 3 245.370 2.915 20 0 BFADHN O=C(Nc1ccc2c(c1)CNC2)[C@@H]1CC=CCC1 ZINC000386401654 367962879 /nfs/dbraw/zinc/96/28/79/367962879.db2.gz DOLQPSXVDJQYFE-LLVKDONJSA-N 0 3 242.322 2.585 20 0 BFADHN CC[C@H](C)CC(=O)Nc1ccc2c(c1)CNC2 ZINC000386417819 367964552 /nfs/dbraw/zinc/96/45/52/367964552.db2.gz HCSVHXZYVXWADJ-JTQLQIEISA-N 0 3 232.327 2.665 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccc(F)c(F)c1)[C@H](C)O ZINC000386942181 368036758 /nfs/dbraw/zinc/03/67/58/368036758.db2.gz VXDPYQIPUHDISS-RVBZMBCESA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@H](NCc1ccc([C@@H]2C[C@@H]2C)o1)[C@H](C)O ZINC000386951816 368038213 /nfs/dbraw/zinc/03/82/13/368038213.db2.gz XRBVYOUHRJFIGM-DJIHRAIXSA-N 0 3 237.343 2.652 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccccc1F)[C@H](C)O ZINC000386736054 368014243 /nfs/dbraw/zinc/01/42/43/368014243.db2.gz WUMXNJIBWZPWRW-KWBADKCTSA-N 0 3 225.307 2.636 20 0 BFADHN CC[C@H](N[C@H](C)c1cc(F)ccc1F)[C@H](C)O ZINC000386820133 368025980 /nfs/dbraw/zinc/02/59/80/368025980.db2.gz FZNCINJRJVHGNX-ZDMBXUJBSA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@H](N[C@H](C)c1cc(F)cc(F)c1)[C@@H](C)O ZINC000386894188 368033036 /nfs/dbraw/zinc/03/30/36/368033036.db2.gz NWNLQFIZWQXUAT-KKFJDGPESA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@H](C)N[C@H](c1ccccc1F)[C@H](C)O ZINC000566345288 326035374 /nfs/dbraw/zinc/03/53/74/326035374.db2.gz DVWNBMXMHUSPLW-KWBADKCTSA-N 0 3 225.307 2.636 20 0 BFADHN COc1ccc(CN[C@@H]2CC23CCCC3)cn1 ZINC000387351666 368158897 /nfs/dbraw/zinc/15/88/97/368158897.db2.gz VIORKQMVIIVEBM-GFCCVEGCSA-N 0 3 232.327 2.513 20 0 BFADHN COc1ccc(CN[C@H]2CC23CCCC3)cn1 ZINC000387351669 368159084 /nfs/dbraw/zinc/15/90/84/368159084.db2.gz VIORKQMVIIVEBM-LBPRGKRZSA-N 0 3 232.327 2.513 20 0 BFADHN CC(C)C[C@@H](N)C(=O)N(C)C[C@H](C)C(C)(C)C ZINC000399530790 368171902 /nfs/dbraw/zinc/17/19/02/368171902.db2.gz RWLJBJHEOAKCIN-NWDGAFQWSA-N 0 3 242.407 2.500 20 0 BFADHN CN[C@H](CC(C)(C)C)C(=O)Nc1ccsc1 ZINC000387420420 368176586 /nfs/dbraw/zinc/17/65/86/368176586.db2.gz FYPVHANLSRFXAW-SNVBAGLBSA-N 0 3 240.372 2.711 20 0 BFADHN CCn1cc([C@@H](C)N[C@@H]2CC23CCCC3)cn1 ZINC000387264108 368138826 /nfs/dbraw/zinc/13/88/26/368138826.db2.gz LJFDHPUMNYGUNC-DGCLKSJQSA-N 0 3 233.359 2.886 20 0 BFADHN CCn1cc([C@@H](C)N[C@H]2CC23CCCC3)cn1 ZINC000387264113 368138926 /nfs/dbraw/zinc/13/89/26/368138926.db2.gz LJFDHPUMNYGUNC-YPMHNXCESA-N 0 3 233.359 2.886 20 0 BFADHN CCCn1cc(CN[C@H]2CC23CCCC3)cn1 ZINC000387315627 368150954 /nfs/dbraw/zinc/15/09/54/368150954.db2.gz QKZDDZICUCCXFM-ZDUSSCGKSA-N 0 3 233.359 2.715 20 0 BFADHN CC(C)C[C@H](C)N1CCO[C@H](C2CC2)C1 ZINC000445542400 201643830 /nfs/dbraw/zinc/64/38/30/201643830.db2.gz XGMOYWDPXLLVSM-AAEUAGOBSA-N 0 3 211.349 2.532 20 0 BFADHN Cc1nc(CN[C@H](C)C(C)(C)C)[nH]c1C ZINC000566499021 326059073 /nfs/dbraw/zinc/05/90/73/326059073.db2.gz TWFPGLIVEATQCQ-SNVBAGLBSA-N 0 3 209.337 2.551 20 0 BFADHN Fc1ccccc1CN(C[C@H]1CCOC1)C1CC1 ZINC000046092013 368436295 /nfs/dbraw/zinc/43/62/95/368436295.db2.gz SINSRXWIQAJXRC-GFCCVEGCSA-N 0 3 249.329 2.827 20 0 BFADHN CCCCN(C)[C@H](C)C(=O)NC1CCCCC1 ZINC000057569865 368494735 /nfs/dbraw/zinc/49/47/35/368494735.db2.gz GWFYPNNBABRKNP-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CCC[C@](C)(N)C(=O)N(C)C[C@@H](C)C(C)(C)C ZINC000399705779 368495554 /nfs/dbraw/zinc/49/55/54/368495554.db2.gz DMGXMLAHJOJEBQ-RISCZKNCSA-N 0 3 242.407 2.645 20 0 BFADHN CCCCN1CCN(Cc2ccccc2C)CC1 ZINC000057599095 368496304 /nfs/dbraw/zinc/49/63/04/368496304.db2.gz ZZZRXDXSSGGQRZ-UHFFFAOYSA-N 0 3 246.398 2.913 20 0 BFADHN c1ccc(OCCCN2CCSCC2)cc1 ZINC000061283567 368560854 /nfs/dbraw/zinc/56/08/54/368560854.db2.gz GMEYMYQFYNCMQI-UHFFFAOYSA-N 0 3 237.368 2.504 20 0 BFADHN CN(CCc1ccncc1)Cc1ccccc1F ZINC000060474158 368544419 /nfs/dbraw/zinc/54/44/19/368544419.db2.gz AWPFBJFZNLSAFB-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN c1cnn(CCN2CCC[C@H]2c2cccs2)c1 ZINC000064138309 368602075 /nfs/dbraw/zinc/60/20/75/368602075.db2.gz MMZQLSKOILFLEZ-LBPRGKRZSA-N 0 3 247.367 2.782 20 0 BFADHN Cc1scc(CN[C@H]2C[C@H](O)C2(C)C)c1C ZINC000399811824 368604297 /nfs/dbraw/zinc/60/42/97/368604297.db2.gz MUDXAEMGIBROSO-RYUDHWBXSA-N 0 3 239.384 2.614 20 0 BFADHN C[C@H](NCc1ccc(CO)o1)C1CCCCC1 ZINC000081556076 368607112 /nfs/dbraw/zinc/60/71/12/368607112.db2.gz UMQHGJFSZSVYCP-NSHDSACASA-N 0 3 237.343 2.830 20 0 BFADHN COCCN(C)Cc1c(C)cc(C)cc1C ZINC000064953677 368617071 /nfs/dbraw/zinc/61/70/71/368617071.db2.gz GFFJVOTUUVGPFC-UHFFFAOYSA-N 0 3 221.344 2.690 20 0 BFADHN CC(C)Oc1ccccc1[C@@H](C)N[C@H]1CCOC1 ZINC000109430665 368630378 /nfs/dbraw/zinc/63/03/78/368630378.db2.gz OVGCMDRMHDMCML-OLZOCXBDSA-N 0 3 249.354 2.913 20 0 BFADHN CCN(CC)Cc1cc(F)ccc1OC ZINC000066406095 368647315 /nfs/dbraw/zinc/64/73/15/368647315.db2.gz VYAUSJCCQGRQRR-UHFFFAOYSA-N 0 3 211.280 2.676 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2cnccn2)C1 ZINC000082847494 368718556 /nfs/dbraw/zinc/71/85/56/368718556.db2.gz LZIISYYLJRDWFU-NEPJUHHUSA-N 0 3 219.332 2.535 20 0 BFADHN C[C@H](CC(C)(C)C)C(=O)NC(C)(C)CN(C)C ZINC000457245694 203025404 /nfs/dbraw/zinc/02/54/04/203025404.db2.gz RTPKIAARRDAOLP-LLVKDONJSA-N 0 3 242.407 2.515 20 0 BFADHN CCCCN(C)C[C@H]1COc2ccccc2O1 ZINC000069874864 368759653 /nfs/dbraw/zinc/75/96/53/368759653.db2.gz YGAPZANZXDWSFI-LBPRGKRZSA-N 0 3 235.327 2.558 20 0 BFADHN CCC(CC)(CC)NCc1cnccn1 ZINC000083387933 368771595 /nfs/dbraw/zinc/77/15/95/368771595.db2.gz UOHUOPHSSPIAAV-UHFFFAOYSA-N 0 3 207.321 2.535 20 0 BFADHN CC1(C)CCC[C@H](NCc2cc[nH]n2)CC1 ZINC000083435642 368776831 /nfs/dbraw/zinc/77/68/31/368776831.db2.gz STMZGBHNCMYQMF-NSHDSACASA-N 0 3 221.348 2.858 20 0 BFADHN CSC[C@H]1CCCN1Cc1cc(C)ns1 ZINC000616009410 368876126 /nfs/dbraw/zinc/87/61/26/368876126.db2.gz OAPXGYJOIUVFBS-SNVBAGLBSA-N 0 3 242.413 2.779 20 0 BFADHN Cn1ccnc1CN[C@@H]1CCCC(C)(C)CC1 ZINC000086908984 368886016 /nfs/dbraw/zinc/88/60/16/368886016.db2.gz YGAICTAXXYRGKK-GFCCVEGCSA-N 0 3 235.375 2.869 20 0 BFADHN CC1(C)CCC(O)(CNCc2ccco2)CC1 ZINC000087048337 368887959 /nfs/dbraw/zinc/88/79/59/368887959.db2.gz BUIJORZWABVOJJ-UHFFFAOYSA-N 0 3 237.343 2.701 20 0 BFADHN CC[C@H](CNCc1csc(C)c1C)OC ZINC000399902669 368912371 /nfs/dbraw/zinc/91/23/71/368912371.db2.gz TVWZXOLBKKYULI-GFCCVEGCSA-N 0 3 227.373 2.880 20 0 BFADHN CCOC1CCN([C@H](C)c2cccnc2)CC1 ZINC000618443403 369147403 /nfs/dbraw/zinc/14/74/03/369147403.db2.gz GXNRHNPJNKINQF-GFCCVEGCSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@H](N[C@H](C)C[S@](C)=O)c1cccs1 ZINC000164486572 203129562 /nfs/dbraw/zinc/12/95/62/203129562.db2.gz NEYYBLRXBNDUNK-HFBDOXOYSA-N 0 3 245.413 2.556 20 0 BFADHN CC1(C)CCC[C@@](CO)(NCc2ccccn2)C1 ZINC000623669803 369221990 /nfs/dbraw/zinc/22/19/90/369221990.db2.gz VPBZATUTYCLNKQ-OAHLLOKOSA-N 0 3 248.370 2.503 20 0 BFADHN CCCN(CCC)Cc1cnc(C2CC2)nc1 ZINC000459288899 203248508 /nfs/dbraw/zinc/24/85/08/203248508.db2.gz GIAIRZOZTGHYII-UHFFFAOYSA-N 0 3 233.359 2.976 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cnc(C2CC2)nc1 ZINC000459308001 203252368 /nfs/dbraw/zinc/25/23/68/203252368.db2.gz RBFTTWAXXAOOTN-SNVBAGLBSA-N 0 3 231.343 2.584 20 0 BFADHN CC(C)OC1CC(N(C)Cc2ccco2)C1 ZINC000459305896 203253107 /nfs/dbraw/zinc/25/31/07/203253107.db2.gz VFYRVCOQJULJIG-UHFFFAOYSA-N 0 3 223.316 2.667 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2cnc(C3CC3)nc2)C1 ZINC000459341833 203261998 /nfs/dbraw/zinc/26/19/98/203261998.db2.gz FNJUMJLHHCCTEA-CQSZACIVSA-N 0 3 245.370 2.832 20 0 BFADHN CC[C@H](C)C[N@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459347161 203263451 /nfs/dbraw/zinc/26/34/51/203263451.db2.gz PKPCLGHVKAPJPD-JTQLQIEISA-N 0 3 249.358 2.538 20 0 BFADHN CC[C@H](C)C[N@@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459347161 203263453 /nfs/dbraw/zinc/26/34/53/203263453.db2.gz PKPCLGHVKAPJPD-JTQLQIEISA-N 0 3 249.358 2.538 20 0 BFADHN FC(F)n1ccnc1CNC1CC2(CCC2)C1 ZINC000459384347 203270545 /nfs/dbraw/zinc/27/05/45/203270545.db2.gz IEIOGLQHUJJRNF-UHFFFAOYSA-N 0 3 241.285 2.701 20 0 BFADHN Cc1cc(CNCC23CCC(CC2)C3)no1 ZINC000459454721 203285103 /nfs/dbraw/zinc/28/51/03/203285103.db2.gz NZEJXVCLIYSIIU-UHFFFAOYSA-N 0 3 220.316 2.653 20 0 BFADHN CN(Cc1ccc(C2CC2)cc1)C1CC(O)C1 ZINC000459472177 203287790 /nfs/dbraw/zinc/28/77/90/203287790.db2.gz AJHZWJXCXDJVAU-UHFFFAOYSA-N 0 3 231.339 2.519 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@H](C)C2)no1 ZINC000459535718 203297379 /nfs/dbraw/zinc/29/73/79/203297379.db2.gz WLSZNCKJRVJTDN-GXSJLCMTSA-N 0 3 208.305 2.509 20 0 BFADHN C[C@H]1CC[C@@H](N(C)Cc2cnc(C3CC3)nc2)C1 ZINC000459518418 203294623 /nfs/dbraw/zinc/29/46/23/203294623.db2.gz XMWVSBDKGBCHNE-SMDDNHRTSA-N 0 3 245.370 2.974 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@@H]2C)no1 ZINC000459517922 203295012 /nfs/dbraw/zinc/29/50/12/203295012.db2.gz AZUSUMKPBNEOOV-ONGXEEELSA-N 0 3 208.305 2.509 20 0 BFADHN C[C@@H]1CC[C@@H](N(C)Cc2cnc(C3CC3)nc2)C1 ZINC000459518415 203295621 /nfs/dbraw/zinc/29/56/21/203295621.db2.gz XMWVSBDKGBCHNE-BXUZGUMPSA-N 0 3 245.370 2.974 20 0 BFADHN OCC[C@@H](NC1CC2(CCC2)C1)c1ccco1 ZINC000459537060 203297288 /nfs/dbraw/zinc/29/72/88/203297288.db2.gz RKBUGSDOKXEFAJ-GFCCVEGCSA-N 0 3 235.327 2.625 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(C(F)F)cc2)CO1 ZINC000402119612 369380166 /nfs/dbraw/zinc/38/01/66/369380166.db2.gz DKJCUZPEZCVYSQ-BXKDBHETSA-N 0 3 241.281 2.891 20 0 BFADHN OCCC1CN(Cc2ccc(C3CCC3)cc2)C1 ZINC000459588292 203306629 /nfs/dbraw/zinc/30/66/29/203306629.db2.gz GISSRWFEHSIYDM-UHFFFAOYSA-N 0 3 245.366 2.768 20 0 BFADHN Cc1cc(C)cc(CN2CC3(C2)CCCOC3)c1 ZINC000459604111 203315343 /nfs/dbraw/zinc/31/53/43/203315343.db2.gz JPAXUQFUYAYIPY-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN CC(C)C1(NCc2cnc(C3CC3)nc2)CC1 ZINC000459594113 203309978 /nfs/dbraw/zinc/30/99/78/203309978.db2.gz RIYWPBAUDDIFAW-UHFFFAOYSA-N 0 3 231.343 2.632 20 0 BFADHN Cc1ccc(C)c(CN2CC3(C2)CCCOC3)c1 ZINC000459601031 203312081 /nfs/dbraw/zinc/31/20/81/203312081.db2.gz BLQIXOMWAASPRA-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN c1cc2ccc(CNC3CC4(CCC4)C3)nc2[nH]1 ZINC000459559198 203300702 /nfs/dbraw/zinc/30/07/02/203300702.db2.gz IKILPXMKNJZDQP-UHFFFAOYSA-N 0 3 241.338 2.985 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(C3CC3)cc2)C[C@H]1O ZINC000459555020 203300766 /nfs/dbraw/zinc/30/07/66/203300766.db2.gz FEJGDKFUZAVFAO-MLGOLLRUSA-N 0 3 245.366 2.767 20 0 BFADHN C[C@H]1CCN(Cc2ccc(C3CC3)cc2)C[C@H]1O ZINC000459555018 203302303 /nfs/dbraw/zinc/30/23/03/203302303.db2.gz FEJGDKFUZAVFAO-BLLLJJGKSA-N 0 3 245.366 2.767 20 0 BFADHN Cc1cc(C)c(CN2CC(C(C)(C)O)C2)cc1C ZINC000459606516 203314801 /nfs/dbraw/zinc/31/48/01/203314801.db2.gz BYRMUWQVQMZMFW-UHFFFAOYSA-N 0 3 247.382 2.815 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2CO[C@@H](C)C2)cc1 ZINC000401872663 369372974 /nfs/dbraw/zinc/37/29/74/369372974.db2.gz ZSDPMEFFXFUQQC-GMXVVIOVSA-N 0 3 235.327 2.523 20 0 BFADHN CCOc1cc(CN2CC[C@H]2C2CC2)ccc1O ZINC000459568917 203304098 /nfs/dbraw/zinc/30/40/98/203304098.db2.gz CIQWELPQYCDARS-ZDUSSCGKSA-N 0 3 247.338 2.775 20 0 BFADHN Cc1noc(C2CN(CCC3CCCCC3)C2)n1 ZINC000459616620 203316788 /nfs/dbraw/zinc/31/67/88/203316788.db2.gz YFICKJCUDUOLOF-UHFFFAOYSA-N 0 3 249.358 2.748 20 0 BFADHN CCOC(=O)CCCN(C)Cc1ccsc1 ZINC000057622204 369401819 /nfs/dbraw/zinc/40/18/19/369401819.db2.gz FFHFZCAEDXTNDR-UHFFFAOYSA-N 0 3 241.356 2.523 20 0 BFADHN C[C@@H](CCc1ccccc1)CN1CC(C)(CO)C1 ZINC000459619444 203317779 /nfs/dbraw/zinc/31/77/79/203317779.db2.gz ILCRARAEBXQPMC-AWEZNQCLSA-N 0 3 247.382 2.570 20 0 BFADHN CCC[C@H](CN1CC(C)(CO)C1)c1ccccc1 ZINC000459619332 203318133 /nfs/dbraw/zinc/31/81/33/203318133.db2.gz HYEDTWRPPIRVHQ-OAHLLOKOSA-N 0 3 247.382 2.885 20 0 BFADHN C[C@H](CCc1ccccc1)CN1CC(C)(CO)C1 ZINC000459619445 203318993 /nfs/dbraw/zinc/31/89/93/203318993.db2.gz ILCRARAEBXQPMC-CQSZACIVSA-N 0 3 247.382 2.570 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@H]2C(C)C)o1 ZINC000459673093 203332706 /nfs/dbraw/zinc/33/27/06/203332706.db2.gz IPJRPSLTNLONBF-QWHCGFSZSA-N 0 3 222.332 2.897 20 0 BFADHN CC(C)(C)C1CN(Cc2cccc(O)c2)C1 ZINC000459645121 203328572 /nfs/dbraw/zinc/32/85/72/203328572.db2.gz YLIHYIFGGOTENG-UHFFFAOYSA-N 0 3 219.328 2.870 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1ccc(C)c(C)n1 ZINC000459682602 203334951 /nfs/dbraw/zinc/33/49/51/203334951.db2.gz ZCNVWQDTUDYHEZ-GXTWGEPZSA-N 0 3 218.344 2.977 20 0 BFADHN CCC[C@@H](C)C[NH2+]Cc1nnc(C(C)(C)C)[n-]1 ZINC000459689664 203336797 /nfs/dbraw/zinc/33/67/97/203336797.db2.gz ZKILSGFUPGDDTJ-SNVBAGLBSA-N 0 3 238.379 2.628 20 0 BFADHN CCC[C@@H](C)CNCc1nnc(C(C)(C)C)[nH]1 ZINC000459689664 203336801 /nfs/dbraw/zinc/33/68/01/203336801.db2.gz ZKILSGFUPGDDTJ-SNVBAGLBSA-N 0 3 238.379 2.628 20 0 BFADHN CCOC[C@H]1CCCCN1C[C@H](F)CC ZINC000459707698 203340822 /nfs/dbraw/zinc/34/08/22/203340822.db2.gz JSKVJBAPXMHZLD-VXGBXAGGSA-N 0 3 217.328 2.626 20 0 BFADHN CCC(CC)CN[C@H](C)c1ccn(C)n1 ZINC000459737623 203346659 /nfs/dbraw/zinc/34/66/59/203346659.db2.gz CLAVMXYYFUYAPX-SNVBAGLBSA-N 0 3 209.337 2.507 20 0 BFADHN C[C@H](N[C@@H]1CC(C)(C)C[C@H]1C)c1ccn(C)n1 ZINC000459737586 203347766 /nfs/dbraw/zinc/34/77/66/203347766.db2.gz CJMFWPAFBAZMDS-NTZNESFSSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1ccc(Cl)cc1 ZINC000459738900 203348435 /nfs/dbraw/zinc/34/84/35/203348435.db2.gz JXUXBQARYHBAFO-OLZOCXBDSA-N 0 3 239.746 2.997 20 0 BFADHN OCCN1CC2(CCCCC2)[C@H]1c1ccccc1 ZINC000459738383 203348444 /nfs/dbraw/zinc/34/84/44/203348444.db2.gz IFWYOEDJQVUNJQ-OAHLLOKOSA-N 0 3 245.366 2.986 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2CCC[C@@H]3C[C@@H]32)c1 ZINC000459738253 203349300 /nfs/dbraw/zinc/34/93/00/203349300.db2.gz AFFZQKGDUDLOPB-DDTOSNHZSA-N 0 3 235.302 2.810 20 0 BFADHN C[C@@H](CCC1CC1)N[C@@H](C)c1ccn(C)n1 ZINC000459739152 203350025 /nfs/dbraw/zinc/35/00/25/203350025.db2.gz DDDYSQWGBJPSNU-QWRGUYRKSA-N 0 3 221.348 2.649 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cnccc1N(C)C ZINC000459661900 203333052 /nfs/dbraw/zinc/33/30/52/203333052.db2.gz YHYWMZIOZNFHIY-TZMCWYRMSA-N 0 3 247.386 2.672 20 0 BFADHN C[C@@H](NC[C@H]1CCC[C@@H](C)C1)c1ccn(C)n1 ZINC000459747187 203354438 /nfs/dbraw/zinc/35/44/38/203354438.db2.gz JBDJOTCUURPGCM-UPJWGTAASA-N 0 3 235.375 2.897 20 0 BFADHN COc1cc(CN2C[C@@H]3C[C@H]2CS3)ccc1C ZINC000459751395 203356070 /nfs/dbraw/zinc/35/60/70/203356070.db2.gz ASQOTOYRYCQGOD-STQMWFEESA-N 0 3 249.379 2.693 20 0 BFADHN COc1ccnc(CNC2(C3CCC3)CCC2)c1 ZINC000459753608 203356090 /nfs/dbraw/zinc/35/60/90/203356090.db2.gz CQBWJAMBSRUWLB-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN C[C@@H](NC1CC(C(C)(C)C)C1)c1ccn(C)n1 ZINC000459753518 203356942 /nfs/dbraw/zinc/35/69/42/203356942.db2.gz JAOJVFIBAHGPMD-VOMCLLRMSA-N 0 3 235.375 2.895 20 0 BFADHN c1c(CNCC[C@H]2CC=CCC2)nn2c1CCC2 ZINC000623698389 369504690 /nfs/dbraw/zinc/50/46/90/369504690.db2.gz CLOKCLCYLISSCN-ZDUSSCGKSA-N 0 3 245.370 2.665 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@@H](C)C1)c1ccn(C)n1 ZINC000459764782 203359824 /nfs/dbraw/zinc/35/98/24/203359824.db2.gz VIYRBWXHOUVNCO-IJLUTSLNSA-N 0 3 221.348 2.649 20 0 BFADHN CCOC1CCN(CCOc2ccccc2)CC1 ZINC000060493638 369487995 /nfs/dbraw/zinc/48/79/95/369487995.db2.gz KFKYEUPQLSCYFW-UHFFFAOYSA-N 0 3 249.354 2.566 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000459771963 203364061 /nfs/dbraw/zinc/36/40/61/203364061.db2.gz JGANVDPDDWFFKF-ZNMIVQPWSA-N 0 3 249.329 2.970 20 0 BFADHN COc1ccc(CNC2(C3CCC3)CCC2)nc1 ZINC000459778951 203364561 /nfs/dbraw/zinc/36/45/61/203364561.db2.gz UFLXPZXHXJZGMQ-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN COc1cc(C)nc(CN[C@H]2CCC[C@@H]3C[C@@H]32)c1 ZINC000459775633 203364637 /nfs/dbraw/zinc/36/46/37/203364637.db2.gz VEQJXSOSIQKJFC-UGFHNGPFSA-N 0 3 246.354 2.677 20 0 BFADHN COCc1cccc(CN2C[C@@H]3C[C@H]2CS3)c1 ZINC000459779332 203365370 /nfs/dbraw/zinc/36/53/70/203365370.db2.gz ZPGSUNCRFGCCPD-KBPBESRZSA-N 0 3 249.379 2.523 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@@H](OC)C23CCC3)o1 ZINC000459777201 203365502 /nfs/dbraw/zinc/36/55/02/203365502.db2.gz KHVDGHMAVSQZIR-UONOGXRCSA-N 0 3 249.354 2.889 20 0 BFADHN CCC(C)(C)CCN[C@H](C)c1ccn(C)n1 ZINC000459779415 203366031 /nfs/dbraw/zinc/36/60/31/203366031.db2.gz FVXFZGPMNJSNOY-LLVKDONJSA-N 0 3 223.364 2.897 20 0 BFADHN C1=C(CN2C[C@@H]3C[C@H]2CS3)CCCC1 ZINC000459795678 203373720 /nfs/dbraw/zinc/37/37/20/203373720.db2.gz OIDPDJSOAVWVAC-RYUDHWBXSA-N 0 3 209.358 2.677 20 0 BFADHN CCc1cnc(CCNCc2ccco2)s1 ZINC000164901617 203381509 /nfs/dbraw/zinc/38/15/09/203381509.db2.gz JTLKPAHASQSUQV-UHFFFAOYSA-N 0 3 236.340 2.631 20 0 BFADHN CC[C@@H](F)CN(C)C[C@@H](C)C(=O)OC(C)(C)C ZINC000459785791 203368408 /nfs/dbraw/zinc/36/84/08/203368408.db2.gz ADSADHIKRXVVRA-GHMZBOCLSA-N 0 3 247.354 2.644 20 0 BFADHN CCC[C@@H](NCc1cc(C)n(C)n1)C1CCC1 ZINC000459788765 203369558 /nfs/dbraw/zinc/36/95/58/203369558.db2.gz FOJOTQVKRMTKRG-CQSZACIVSA-N 0 3 235.375 2.787 20 0 BFADHN CC(C)n1ccnc1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000459792372 203369921 /nfs/dbraw/zinc/36/99/21/203369921.db2.gz ULYMJMPCECCFME-AGIUHOORSA-N 0 3 233.359 2.742 20 0 BFADHN COC(=O)[C@H]1CCCCN1C[C@H](C)C(C)(C)C ZINC000619844108 369616272 /nfs/dbraw/zinc/61/62/72/369616272.db2.gz VONUXWZAGCVUHK-NWDGAFQWSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H]1CCCC[C@@H]1OCCSCCN(C)C ZINC000157823138 326297042 /nfs/dbraw/zinc/29/70/42/326297042.db2.gz BDCDZKFHNLSZIL-OLZOCXBDSA-N 0 3 245.432 2.877 20 0 BFADHN Cc1cnc(-c2cccc(CN(C)C)c2)nc1 ZINC000621248176 370182806 /nfs/dbraw/zinc/18/28/06/370182806.db2.gz XOYFYNOSBAATKN-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN Cc1cnc(CNC(C)(C)C2CC2)s1 ZINC000308751676 370156900 /nfs/dbraw/zinc/15/69/00/370156900.db2.gz UQUMGJTVQRQJJI-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1cncc(Cl)c1 ZINC000621294833 370220234 /nfs/dbraw/zinc/22/02/34/370220234.db2.gz OXQHDYKFEPKGTI-GZMMTYOYSA-N 0 3 242.775 2.576 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)C(C)(C)C)nn1C ZINC000309564128 370230834 /nfs/dbraw/zinc/23/08/34/370230834.db2.gz LUXLTIOQSGWNFD-WDEREUQCSA-N 0 3 223.364 2.814 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CC2(C)C)ccn1 ZINC000621322851 370250741 /nfs/dbraw/zinc/25/07/41/370250741.db2.gz KGRCLSHJGBFFIM-CYBMUJFWSA-N 0 3 218.344 2.868 20 0 BFADHN Clc1cncc(CN2CC[C@@H](C3CC3)C2)c1 ZINC000621271871 370202285 /nfs/dbraw/zinc/20/22/85/370202285.db2.gz WQHMEBFABGVING-GFCCVEGCSA-N 0 3 236.746 2.967 20 0 BFADHN CSC1(CNCc2ncccc2F)CCC1 ZINC000309909142 370275130 /nfs/dbraw/zinc/27/51/30/370275130.db2.gz LOJQWXWJAFNMNC-UHFFFAOYSA-N 0 3 240.347 2.596 20 0 BFADHN Cn1nc(CNC2(C)CCCC2)c2ccccc21 ZINC000112478782 370305113 /nfs/dbraw/zinc/30/51/13/370305113.db2.gz IRXWGBMPZWEJBW-UHFFFAOYSA-N 0 3 243.354 2.996 20 0 BFADHN CCc1cnc(CNCC2CC(C)C2)s1 ZINC000621384516 370328214 /nfs/dbraw/zinc/32/82/14/370328214.db2.gz JRFBMOWDNRFFHF-UHFFFAOYSA-N 0 3 224.373 2.841 20 0 BFADHN COc1cc(C)nc(CNCC2CC(C)C2)c1 ZINC000621384297 370328375 /nfs/dbraw/zinc/32/83/75/370328375.db2.gz ATLLGCYVPKVHJB-UHFFFAOYSA-N 0 3 234.343 2.534 20 0 BFADHN CC(C)n1ccnc1CNCC1CC(C)C1 ZINC000621385469 370329505 /nfs/dbraw/zinc/32/95/05/370329505.db2.gz JRABIATUDXIFBH-UHFFFAOYSA-N 0 3 221.348 2.600 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccc(Cl)cc1 ZINC000076711013 370345784 /nfs/dbraw/zinc/34/57/84/370345784.db2.gz GVNBXDZHWJFQHB-SNVBAGLBSA-N 0 3 227.735 2.807 20 0 BFADHN Cc1nccnc1CN(C)C1(C)CCCC1 ZINC000621725373 370477247 /nfs/dbraw/zinc/47/72/47/370477247.db2.gz LYQOIWSDBIPAHS-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN Cc1nccnc1CN(C)CC1CCCCC1 ZINC000621710757 370455614 /nfs/dbraw/zinc/45/56/14/370455614.db2.gz OWVDHGLTSKNQLY-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CCOc1cncc(CN2C3CCC2CC3)c1 ZINC000621710908 370456314 /nfs/dbraw/zinc/45/63/14/370456314.db2.gz WVHYTMASXSEURL-UHFFFAOYSA-N 0 3 232.327 2.607 20 0 BFADHN Cc1nccnc1CN1CCC[C@H]2CCC[C@H]21 ZINC000621713154 370459002 /nfs/dbraw/zinc/45/90/02/370459002.db2.gz AWJSIODXQGKHJM-TZMCWYRMSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1nccnc1CN1CCC[C@@H]1C(C)(C)C ZINC000621714937 370462344 /nfs/dbraw/zinc/46/23/44/370462344.db2.gz DRYZFOHQQDXBHP-CYBMUJFWSA-N 0 3 233.359 2.796 20 0 BFADHN CCCc1ccc(CN(C)[C@@H]2CCOC2)s1 ZINC000621715047 370463649 /nfs/dbraw/zinc/46/36/49/370463649.db2.gz IUYZLWDVILGJQI-LLVKDONJSA-N 0 3 239.384 2.921 20 0 BFADHN CCOc1cncc(CN2C[C@H]3CCC[C@@H]3C2)c1 ZINC000621716979 370465930 /nfs/dbraw/zinc/46/59/30/370465930.db2.gz SUQKEJKTMPUVFS-ZIAGYGMSSA-N 0 3 246.354 2.712 20 0 BFADHN CCOc1cncc(CN2C[C@H](C)[C@@H](C)C2)c1 ZINC000621717185 370466054 /nfs/dbraw/zinc/46/60/54/370466054.db2.gz YOUYYQKGBPTASP-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN Cc1nccnc1CN1C2CCCC1CCC2 ZINC000621717975 370467460 /nfs/dbraw/zinc/46/74/60/370467460.db2.gz VESGLOBSWXJTEM-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN Cc1nccnc1CN1CC[C@@H](C)C[C@@H](C)C1 ZINC000621719297 370469840 /nfs/dbraw/zinc/46/98/40/370469840.db2.gz IJTIJWJVXYIYRR-VXGBXAGGSA-N 0 3 233.359 2.653 20 0 BFADHN CCOc1cncc(CN(C)CCC2CC2)c1 ZINC000621719065 370469949 /nfs/dbraw/zinc/46/99/49/370469949.db2.gz ADRTUPDKUXICJS-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1nccnc1CN1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000621722053 370473715 /nfs/dbraw/zinc/47/37/15/370473715.db2.gz JVNDKEIGVOEKOV-DOMZBBRYSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1nccnc1CN(C)C[C@H]1CC=CCC1 ZINC000621722309 370473811 /nfs/dbraw/zinc/47/38/11/370473811.db2.gz RTDMUYXYYGPQEC-ZDUSSCGKSA-N 0 3 231.343 2.573 20 0 BFADHN Cc1nccnc1CN1C[C@H](C)C[C@H](C)[C@H]1C ZINC000621724327 370475337 /nfs/dbraw/zinc/47/53/37/370475337.db2.gz FSDRYHLQANLMQB-NTZNESFSSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1nccnc1CN1CCC[C@@H](C(C)C)C1 ZINC000621723432 370475805 /nfs/dbraw/zinc/47/58/05/370475805.db2.gz HFLLVZZUHUCJMX-CYBMUJFWSA-N 0 3 233.359 2.653 20 0 BFADHN CC[C@@H]1CN(C)CCN1Cc1ccsc1C ZINC000568305822 326343660 /nfs/dbraw/zinc/34/36/60/326343660.db2.gz BCYLRMFKGHLOPT-CYBMUJFWSA-N 0 3 238.400 2.583 20 0 BFADHN COC[C@@H](C)CN1CC(Cc2ccsc2)C1 ZINC000621741118 370500684 /nfs/dbraw/zinc/50/06/84/370500684.db2.gz CJXHWMJFVDKXPV-NSHDSACASA-N 0 3 239.384 2.505 20 0 BFADHN CC1CC(CN[C@H]2C[C@@H](C)n3ccnc32)C1 ZINC000621769683 370508172 /nfs/dbraw/zinc/50/81/72/370508172.db2.gz FMYDXSMYMXGTNP-RSJDFQLWSA-N 0 3 219.332 2.525 20 0 BFADHN C[C@H](NCC[C@H]1CC1(F)F)c1nccs1 ZINC000621768495 370510260 /nfs/dbraw/zinc/51/02/60/370510260.db2.gz QGSQJEPLDQAVAI-YUMQZZPRSA-N 0 3 232.299 2.839 20 0 BFADHN C[C@@H]1C[C@H](NCC[C@H]2CC2(F)F)c2nccn21 ZINC000621768986 370511575 /nfs/dbraw/zinc/51/15/75/370511575.db2.gz XDFZMNPOCRCFGQ-UTLUCORTSA-N 0 3 241.285 2.524 20 0 BFADHN C[C@H](NCC[C@H]1CC1(F)F)c1ccco1 ZINC000621770627 370513586 /nfs/dbraw/zinc/51/35/86/370513586.db2.gz UWAJFKAWXJACCU-IUCAKERBSA-N 0 3 215.243 2.976 20 0 BFADHN C[C@@H](NCC1CC(C)C1)c1cscn1 ZINC000621771671 370515183 /nfs/dbraw/zinc/51/51/83/370515183.db2.gz IRUMSPTVBDZYNM-HWOCKDDLSA-N 0 3 210.346 2.840 20 0 BFADHN Cc1nccnc1CN1CCC2(CCC2)CC1 ZINC000621727676 370480160 /nfs/dbraw/zinc/48/01/60/370480160.db2.gz MEGGEUGBQZRFQC-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN Cc1nccnc1CN1CCC(C)(C2CC2)CC1 ZINC000621728463 370480727 /nfs/dbraw/zinc/48/07/27/370480727.db2.gz QDUSJIPFONXNHF-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1nccnc1CN(C1CCC1)C1CCC1 ZINC000621728894 370481484 /nfs/dbraw/zinc/48/14/84/370481484.db2.gz BYQIQJUVVUEYOW-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN Cc1nccnc1CN1CCCC[C@H]1C1CCC1 ZINC000621731806 370485366 /nfs/dbraw/zinc/48/53/66/370485366.db2.gz AICONZYJOSZTSX-HNNXBMFYSA-N 0 3 245.370 2.940 20 0 BFADHN CCOc1cncc(CN2C[C@H](C)[C@H](C)[C@@H]2C)c1 ZINC000621731693 370485829 /nfs/dbraw/zinc/48/58/29/370485829.db2.gz XGOCSMKNHFIOPS-AVGNSLFASA-N 0 3 248.370 2.957 20 0 BFADHN CCCc1ccc(CNC2([C@@H](C)O)CC2)s1 ZINC000621732873 370487135 /nfs/dbraw/zinc/48/71/35/370487135.db2.gz WOZFCVDNCNFGIO-SNVBAGLBSA-N 0 3 239.384 2.704 20 0 BFADHN CCOc1cncc(CN(C)C[C@H]2CC2(C)C)c1 ZINC000621732770 370487819 /nfs/dbraw/zinc/48/78/19/370487819.db2.gz RLBQKQAPWJESDT-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1ccc(CN2CC(CC3CC3)C2)o1 ZINC000621740512 370499298 /nfs/dbraw/zinc/49/92/98/370499298.db2.gz UMGHYFXEVPNTKT-UHFFFAOYSA-N 0 3 205.301 2.820 20 0 BFADHN CC[C@H]1CCCCCN1CC(=O)OC(C)(C)C ZINC000312976672 370557587 /nfs/dbraw/zinc/55/75/87/370557587.db2.gz IIVNSAXPRGKYJG-LBPRGKRZSA-N 0 3 241.375 2.983 20 0 BFADHN CC[C@@H](NCc1cccc(F)c1F)[C@@H](O)CC ZINC000313140772 370569363 /nfs/dbraw/zinc/56/93/63/370569363.db2.gz HVJXITLPZMVAMD-NEPJUHHUSA-N 0 3 243.297 2.604 20 0 BFADHN Cc1ccc(CNCC[C@H]2CCCO2)c(F)c1 ZINC000631039716 370996523 /nfs/dbraw/zinc/99/65/23/370996523.db2.gz YGAZYVJBEQQLBP-CYBMUJFWSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1scc(CN[C@H](C)Cn2cccn2)c1C ZINC000631040650 371000651 /nfs/dbraw/zinc/00/06/51/371000651.db2.gz UFFNRRIVSWOFCC-SNVBAGLBSA-N 0 3 249.383 2.740 20 0 BFADHN CC1(C)C[C@@H]1NCc1ccnn1CC1CCC1 ZINC000631048730 371070820 /nfs/dbraw/zinc/07/08/20/371070820.db2.gz JHLYHMRRPIWNEL-ZDUSSCGKSA-N 0 3 233.359 2.571 20 0 BFADHN C/C=C/CNCc1ccc(O[C@@H]2CCOC2)cc1 ZINC000623740172 371074958 /nfs/dbraw/zinc/07/49/58/371074958.db2.gz OFXSMNWSXSNSMZ-XYBNCVKDSA-N 0 3 247.338 2.520 20 0 BFADHN C/C=C/CNCc1ccnn1-c1ccccc1C ZINC000623740084 371075162 /nfs/dbraw/zinc/07/51/62/371075162.db2.gz MJRXXKSEQVVBOA-ONEGZZNKSA-N 0 3 241.338 2.846 20 0 BFADHN C/C=C/CNCc1scnc1C1CC1 ZINC000623740411 371076065 /nfs/dbraw/zinc/07/60/65/371076065.db2.gz VMRHGXYKVNQRCD-NSCUHMNNSA-N 0 3 208.330 2.686 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc([C@H]3C[C@H]3C)o2)C1 ZINC000623806717 371100991 /nfs/dbraw/zinc/10/09/91/371100991.db2.gz CZQMRUZYJRTRHR-WFFHOREQSA-N 0 3 235.327 2.670 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc([C@H]3C[C@@H]3C)o2)C1 ZINC000623806716 371101169 /nfs/dbraw/zinc/10/11/69/371101169.db2.gz CZQMRUZYJRTRHR-UKJIMTQDSA-N 0 3 235.327 2.670 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccccc2OC(C)C)C1 ZINC000623807247 371102328 /nfs/dbraw/zinc/10/23/28/371102328.db2.gz XUYXIRPTWJIMIM-HDJSIYSDSA-N 0 3 249.354 2.741 20 0 BFADHN CO[C@H]1C[C@@H](CNCc2ccc([C@@H]3C[C@@H]3C)o2)C1 ZINC000623822593 371110475 /nfs/dbraw/zinc/11/04/75/371110475.db2.gz RJBOIRWSOIRFKJ-CDGCEXEKSA-N 0 3 249.354 2.918 20 0 BFADHN CCn1ccnc1CNC[C@@H]1CCCC12CC2 ZINC000623824854 371112632 /nfs/dbraw/zinc/11/26/32/371112632.db2.gz QOPAJIVDTUCVHP-LBPRGKRZSA-N 0 3 233.359 2.573 20 0 BFADHN CC(C)(NCc1ccc(=O)[nH]c1)c1ccccc1 ZINC000631051658 371118218 /nfs/dbraw/zinc/11/82/18/371118218.db2.gz DCVNROJLKMZWRJ-UHFFFAOYSA-N 0 3 242.322 2.812 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCCO2)c(F)c1 ZINC000631051638 371118550 /nfs/dbraw/zinc/11/85/50/371118550.db2.gz ATFJWGLHBXWNBM-CYBMUJFWSA-N 0 3 237.318 2.793 20 0 BFADHN CO[C@H](C)CCNCc1ccc(C(F)F)nc1 ZINC000631059658 371195016 /nfs/dbraw/zinc/19/50/16/371195016.db2.gz PKIGHOCZEHYVRX-SECBINFHSA-N 0 3 244.285 2.534 20 0 BFADHN COc1ccc(CNCCSC)c(Cl)c1 ZINC000389892034 371180701 /nfs/dbraw/zinc/18/07/01/371180701.db2.gz AJXVNJBIPBXHRP-UHFFFAOYSA-N 0 3 245.775 2.801 20 0 BFADHN C[C@@H]1CC[C@H]1N[C@H]1CCCc2scnc21 ZINC000623983217 371215955 /nfs/dbraw/zinc/21/59/55/371215955.db2.gz UWTNBRQGOCAFII-BBBLOLIVSA-N 0 3 222.357 2.909 20 0 BFADHN CN(C)CCSc1nc2cccc(F)c2o1 ZINC000624027996 371259183 /nfs/dbraw/zinc/25/91/83/371259183.db2.gz HLKGPWOHGLUEKP-UHFFFAOYSA-N 0 3 240.303 2.621 20 0 BFADHN Cc1cc(CN[C@H](C)[C@@H]2CCCCO2)cc(C)n1 ZINC000624001576 371233162 /nfs/dbraw/zinc/23/31/62/371233162.db2.gz FZYTUFDOARXUCZ-HIFRSBDPSA-N 0 3 248.370 2.746 20 0 BFADHN Nc1ccc(CN2CCCC2)c(Cl)c1 ZINC000020192744 371241837 /nfs/dbraw/zinc/24/18/37/371241837.db2.gz SRELQVGTDKUSNO-UHFFFAOYSA-N 0 3 210.708 2.518 20 0 BFADHN CC[C@@H](NC/C=C\CO)c1cc(C)ccc1C ZINC000624012156 371248161 /nfs/dbraw/zinc/24/81/61/371248161.db2.gz SPKMGYWPRUHFPB-IYKSTZQJSA-N 0 3 233.355 2.893 20 0 BFADHN CC[C@@H](NC/C=C/CO)c1cc(C)ccc1C ZINC000624012157 371248441 /nfs/dbraw/zinc/24/84/41/371248441.db2.gz SPKMGYWPRUHFPB-LLYBFZRZSA-N 0 3 233.355 2.893 20 0 BFADHN CC(C)c1cccc([C@H](C)N[C@H]2C[C@H](O)C2)c1 ZINC000624016931 371252308 /nfs/dbraw/zinc/25/23/08/371252308.db2.gz KKMQJFBWYNIUFZ-CQDKDKBSSA-N 0 3 233.355 2.984 20 0 BFADHN C[C@@H](CN[C@H](C)c1ccn(C)n1)c1ccccc1 ZINC000020351333 371268594 /nfs/dbraw/zinc/26/85/94/371268594.db2.gz QDSQXLWBIXZSAS-QWHCGFSZSA-N 0 3 243.354 2.874 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1cccnc1)OCC ZINC000624049983 371276310 /nfs/dbraw/zinc/27/63/10/371276310.db2.gz VQNWRBJTFNRIMQ-JSGCOSHPSA-N 0 3 236.359 2.937 20 0 BFADHN CC(C)CC[C@@H](CO)N[C@@H](C)c1ccoc1 ZINC000624110234 371315601 /nfs/dbraw/zinc/31/56/01/371315601.db2.gz SNILGGRELSKOCT-AAEUAGOBSA-N 0 3 225.332 2.727 20 0 BFADHN CCO[C@H]1CCCN(Cc2cccc(F)c2)C1 ZINC000156061572 371352824 /nfs/dbraw/zinc/35/28/24/371352824.db2.gz YVHAIJHSNQDYBO-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN CCCCN(CCCO)[C@@H](C)c1ccccn1 ZINC000271807247 168807970 /nfs/dbraw/zinc/80/79/70/168807970.db2.gz XVMFWPFNCSKQRS-ZDUSSCGKSA-N 0 3 236.359 2.627 20 0 BFADHN CN(CCNc1cc2ccccc2cn1)C1CC1 ZINC000631073343 371348508 /nfs/dbraw/zinc/34/85/08/371348508.db2.gz IBXVBPMDNNCXBV-UHFFFAOYSA-N 0 3 241.338 2.741 20 0 BFADHN C/C=C/CN[C@H](C)c1cnn(C(C)C)c1C ZINC000624198719 371386032 /nfs/dbraw/zinc/38/60/32/371386032.db2.gz VSUSXLBYDBWIAH-XUIVZRPNSA-N 0 3 221.348 2.999 20 0 BFADHN C/C=C\CN[C@H](C)c1sccc1OC ZINC000624198279 371387195 /nfs/dbraw/zinc/38/71/95/371387195.db2.gz KECDNIHZDCBMRU-XRVBUDJMSA-N 0 3 211.330 2.983 20 0 BFADHN C/C=C/CN[C@H]1CCc2c1ccc(OC)c2F ZINC000624198906 371388435 /nfs/dbraw/zinc/38/84/35/371388435.db2.gz BKLVHWQHXALHBG-PCAWENJQSA-N 0 3 235.302 2.987 20 0 BFADHN C[C@H](NC[C@]1(C)C[C@H]2C[C@H]2C1)c1nccn1C ZINC000624199938 371390707 /nfs/dbraw/zinc/39/07/07/371390707.db2.gz BAMWGRXKGJAVNT-FMSGJZPZSA-N 0 3 233.359 2.507 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2CO[C@@H](C3CC3)C2)c1 ZINC000624223140 371410872 /nfs/dbraw/zinc/41/08/72/371410872.db2.gz OKSTWUNUUCFNCK-TUKIKUTGSA-N 0 3 246.354 2.608 20 0 BFADHN C/C=C\CN[C@H](C)c1ccccc1N(C)C ZINC000624197254 371385004 /nfs/dbraw/zinc/38/50/04/371385004.db2.gz HAULRZPEXLPEMO-FOQNGQEVSA-N 0 3 218.344 2.979 20 0 BFADHN Cc1cc(CN[C@@H](C)CCc2ccc(C)o2)on1 ZINC000631078848 371443392 /nfs/dbraw/zinc/44/33/92/371443392.db2.gz GYGXFENOERGLTN-JTQLQIEISA-N 0 3 248.326 2.995 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2sc(C)nc2C)C1 ZINC000624296620 371462106 /nfs/dbraw/zinc/46/21/06/371462106.db2.gz BQTNIFSWBLRLBH-FBIMIBRVSA-N 0 3 240.372 2.588 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H]2C[C@H](OC)C2)o1 ZINC000624298290 371464124 /nfs/dbraw/zinc/46/41/24/371464124.db2.gz PIGNTVNBSVWWLY-CKYFFXLPSA-N 0 3 223.316 2.670 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H]2C[C@@H](OC)C2)o1 ZINC000624309423 371471891 /nfs/dbraw/zinc/47/18/91/371471891.db2.gz MFXMKTTZXSZKLN-WZRBSPASSA-N 0 3 237.343 2.918 20 0 BFADHN CO[C@H]1C[C@@H](CN[C@@H](C)c2ccc(C)o2)C1 ZINC000624308801 371471936 /nfs/dbraw/zinc/47/19/36/371471936.db2.gz YAJFXZABDMOEJW-SDDRHHMPSA-N 0 3 223.316 2.664 20 0 BFADHN C[C@@H](NC[C@H]1CCCC12CC2)c1cnccn1 ZINC000624313299 371476431 /nfs/dbraw/zinc/47/64/31/371476431.db2.gz JUZPMUIEMJHDPR-VXGBXAGGSA-N 0 3 231.343 2.708 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CCCC23CC3)nn1C ZINC000624316290 371479925 /nfs/dbraw/zinc/47/99/25/371479925.db2.gz NJVYMHRPDYDLOL-QWHCGFSZSA-N 0 3 247.386 2.959 20 0 BFADHN CSCCNCc1cc(C)ccc1F ZINC000164104613 372099287 /nfs/dbraw/zinc/09/92/87/372099287.db2.gz CYOHSISBTJWUBO-UHFFFAOYSA-N 0 3 213.321 2.587 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1cc(C)no1 ZINC000631147645 372208387 /nfs/dbraw/zinc/20/83/87/372208387.db2.gz NNVYKFXNKINTIF-NEPJUHHUSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1ccc(CNC[C@H]2CC[C@@H](C)O2)c(F)c1 ZINC000631155059 372210079 /nfs/dbraw/zinc/21/00/79/372210079.db2.gz AVVWRVXHAGGSIM-DGCLKSJQSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ccc(CN[C@@]23C[C@@H]2COC3(C)C)c(F)c1 ZINC000631180331 372219954 /nfs/dbraw/zinc/21/99/54/372219954.db2.gz DPUYDQIGVUYHOI-DOMZBBRYSA-N 0 3 249.329 2.791 20 0 BFADHN CC(C)Cn1ccnc1CNCC(C)(C)C ZINC000164690794 372184059 /nfs/dbraw/zinc/18/40/59/372184059.db2.gz LBQHGGVZXLUEQP-UHFFFAOYSA-N 0 3 223.364 2.675 20 0 BFADHN Cn1ccnc1CNCCCCc1ccccc1 ZINC000134294312 372193264 /nfs/dbraw/zinc/19/32/64/372193264.db2.gz VRTJURNEXSZAKB-UHFFFAOYSA-N 0 3 243.354 2.533 20 0 BFADHN c1ccc(CN[C@@H](C2CCC2)[C@H]2CCCO2)nc1 ZINC000631253042 372262583 /nfs/dbraw/zinc/26/25/83/372262583.db2.gz FHCBJPLTLXYWCS-CABCVRRESA-N 0 3 246.354 2.519 20 0 BFADHN CCN(CC(=O)Nc1ccc(C)c(C)c1)C(C)C ZINC000171057312 372282088 /nfs/dbraw/zinc/28/20/88/372282088.db2.gz MSHOKIRQHHRHGA-UHFFFAOYSA-N 0 3 248.370 2.972 20 0 BFADHN CC1(C)OCC[C@H]1N[C@@H]1CCCc2ncccc21 ZINC000631632698 372471369 /nfs/dbraw/zinc/47/13/69/372471369.db2.gz ACUSITBAYZLGNK-ZIAGYGMSSA-N 0 3 246.354 2.616 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@]2(C)CCCO2)o1 ZINC000631729951 372535551 /nfs/dbraw/zinc/53/55/51/372535551.db2.gz LPQFAHGTTCHBGQ-YPMHNXCESA-N 0 3 223.316 2.635 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CCCC12CC2 ZINC000631665974 372491347 /nfs/dbraw/zinc/49/13/47/372491347.db2.gz VELIFLBAMORXRV-RYUDHWBXSA-N 0 3 231.343 2.768 20 0 BFADHN CCC[C@H](CCO)N[C@@H]1CCCc2cccnc21 ZINC000631668009 372493665 /nfs/dbraw/zinc/49/36/65/372493665.db2.gz IWAWUUMBYKRZTE-ZIAGYGMSSA-N 0 3 248.370 2.600 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@H](CO)C1)c1ccccc1F ZINC000631669830 372496059 /nfs/dbraw/zinc/49/60/59/372496059.db2.gz LFACEPPEJRECIC-UHIISALHSA-N 0 3 237.318 2.637 20 0 BFADHN CCC(C)(C)CCN[C@H](C)c1ccc(=O)[nH]n1 ZINC000631682956 372506166 /nfs/dbraw/zinc/50/61/66/372506166.db2.gz ITOUWJZETWJNLZ-SNVBAGLBSA-N 0 3 237.347 2.659 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@@H](C)O[C@@H]2C)c(C)n1 ZINC000631703890 372513858 /nfs/dbraw/zinc/51/38/58/372513858.db2.gz PTJDATUFBKYXLK-CQROYNQRSA-N 0 3 248.370 2.915 20 0 BFADHN C[C@@H](Cc1cccs1)NCc1cscn1 ZINC000040436067 373264271 /nfs/dbraw/zinc/26/42/71/373264271.db2.gz QTENECRJHUWKPY-VIFPVBQESA-N 0 3 238.381 2.925 20 0 BFADHN CC[C@H](CC(=O)N[C@@H](C)CN(C)C)C(C)(C)C ZINC000633067662 373283734 /nfs/dbraw/zinc/28/37/34/373283734.db2.gz QDAIFDZRKVMSGK-NWDGAFQWSA-N 0 3 242.407 2.515 20 0 BFADHN COC1(CNCc2ccc(F)c(F)c2)CCC1 ZINC000181074998 205662688 /nfs/dbraw/zinc/66/26/88/205662688.db2.gz ACXUWILIRRULGR-UHFFFAOYSA-N 0 3 241.281 2.624 20 0 BFADHN Cc1cnc([C@@H](NCCCF)C2CC2)s1 ZINC000185358759 205711808 /nfs/dbraw/zinc/71/18/08/205711808.db2.gz UEEDDTNHZZYNLD-JTQLQIEISA-N 0 3 228.336 2.852 20 0 BFADHN CC[C@@H](CO)NCc1cc2cc(C)c(C)cc2o1 ZINC000186521764 205721333 /nfs/dbraw/zinc/72/13/33/205721333.db2.gz FYLSHFZWNKHSHK-ZDUSSCGKSA-N 0 3 247.338 2.910 20 0 BFADHN Cc1cc(CNCC2C(C)(C)C2(C)C)on1 ZINC000381032045 373771153 /nfs/dbraw/zinc/77/11/53/373771153.db2.gz DMSPZCPOYGIBAQ-UHFFFAOYSA-N 0 3 222.332 2.755 20 0 BFADHN Cc1ncccc1CN[C@@H]1C[C@H]1c1ccco1 ZINC000414597309 205764677 /nfs/dbraw/zinc/76/46/77/205764677.db2.gz WGCVLXYDLVTVKK-CHWSQXEVSA-N 0 3 228.295 2.629 20 0 BFADHN CC[C@@H](NCc1ncc(C)n1C)[C@@H]1CC1(C)C ZINC000418109581 205979818 /nfs/dbraw/zinc/97/98/18/205979818.db2.gz UTAQVZBWWKNARH-NWDGAFQWSA-N 0 3 235.375 2.643 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H]1O)c1ccccc1Cl ZINC000042237103 374256410 /nfs/dbraw/zinc/25/64/10/374256410.db2.gz JZNPTADGDVRKEG-ICCXJUOJSA-N 0 3 239.746 2.904 20 0 BFADHN C[C@@H](N[C@H]1CCOC1)c1ccc(Cl)s1 ZINC000070966256 374236614 /nfs/dbraw/zinc/23/66/14/374236614.db2.gz HQBFZJKOTMQKQT-SFYZADRCSA-N 0 3 231.748 2.841 20 0 BFADHN CC[C@H](NCc1cc(COC)on1)C(C)(C)C ZINC000459418289 206011058 /nfs/dbraw/zinc/01/10/58/206011058.db2.gz ZQVWRWROMGKJHL-LBPRGKRZSA-N 0 3 240.347 2.735 20 0 BFADHN Cc1cc(OC[C@@H]2CCO[C@H]2C)c(C)c(C)n1 ZINC000634550180 374304554 /nfs/dbraw/zinc/30/45/54/374304554.db2.gz RHNOUORXPUHDJE-STQMWFEESA-N 0 3 235.327 2.811 20 0 BFADHN CC[C@@H](NC[C@@H](C)CC)c1ccn(C)n1 ZINC000421879127 206026967 /nfs/dbraw/zinc/02/69/67/206026967.db2.gz MUKXOOXANHJLLI-WDEREUQCSA-N 0 3 209.337 2.507 20 0 BFADHN CC(C)n1cc(CN[C@@H]2C[C@H]2C2CCC2)cn1 ZINC000424194244 206034179 /nfs/dbraw/zinc/03/41/79/206034179.db2.gz LDNYDKDGWWHDEG-UONOGXRCSA-N 0 3 233.359 2.742 20 0 BFADHN c1cc2c(c(CN[C@@H]3C[C@H]3C3CCC3)c1)OCO2 ZINC000424193619 206034379 /nfs/dbraw/zinc/03/43/79/206034379.db2.gz KGULNRPJAPECNZ-QWHCGFSZSA-N 0 3 245.322 2.694 20 0 BFADHN CC[C@H](N[C@H]1CC12CC2)c1ccncc1 ZINC000424328455 206036899 /nfs/dbraw/zinc/03/68/99/206036899.db2.gz QVRLSQOIASKFRP-RYUDHWBXSA-N 0 3 202.301 2.675 20 0 BFADHN CCn1cc([C@@H](C)N[C@@H]2C[C@H]2C2CCC2)cn1 ZINC000424328725 206037608 /nfs/dbraw/zinc/03/76/08/206037608.db2.gz OGBWGMZYEJLKCE-DDTOSNHZSA-N 0 3 233.359 2.742 20 0 BFADHN Cc1ccc2ncc(CN(C)[C@H]3CC3(C)C)n2c1 ZINC000426567912 206051859 /nfs/dbraw/zinc/05/18/59/206051859.db2.gz XZPLWGBGDDFGSI-ZDUSSCGKSA-N 0 3 243.354 2.873 20 0 BFADHN CC[C@H](C)[C@@H](C)N(Cc1n[nH]c(C)n1)C1CC1 ZINC000428229714 206056336 /nfs/dbraw/zinc/05/63/36/206056336.db2.gz FTGQLJLYJDNKGH-VHSXEESVSA-N 0 3 236.363 2.512 20 0 BFADHN Cc1nnc(CN(CC2CC2)C2CCCCC2)[nH]1 ZINC000428230042 206056848 /nfs/dbraw/zinc/05/68/48/206056848.db2.gz OKPPCIFGUPMGNY-UHFFFAOYSA-N 0 3 248.374 2.658 20 0 BFADHN CCC[C@@H](O)CN1Cc2ccccc2C(C)(C)C1 ZINC000450623697 206089888 /nfs/dbraw/zinc/08/98/88/206089888.db2.gz NBMCVGXZCOQCPD-CQSZACIVSA-N 0 3 247.382 2.941 20 0 BFADHN C=Cn1cc(CNc2cc(C)cc(C)n2)cn1 ZINC000194391395 206088682 /nfs/dbraw/zinc/08/86/82/206088682.db2.gz HLTCXPZMPTWZRH-UHFFFAOYSA-N 0 3 228.299 2.608 20 0 BFADHN Cc1nnc(CN[C@@H]2CCCC2(C)C)n1C1CC1 ZINC000191848614 259579696 /nfs/dbraw/zinc/57/96/96/259579696.db2.gz FXJOETSEXFWFJP-GFCCVEGCSA-N 0 3 248.374 2.590 20 0 BFADHN CCCCOCCNCc1ccc(CC)nc1 ZINC000352781234 168902364 /nfs/dbraw/zinc/90/23/64/168902364.db2.gz SJLIRVYCNDUOFG-UHFFFAOYSA-N 0 3 236.359 2.550 20 0 BFADHN OC1CCC(N[C@@H]2CSc3ccccc32)CC1 ZINC000129521516 183027880 /nfs/dbraw/zinc/02/78/80/183027880.db2.gz AVAWXBPVHGOUDN-GCZXYKMCSA-N 0 3 249.379 2.727 20 0 BFADHN OC1CCN(Cc2cc3ccccc3s2)CC1 ZINC000271018959 183028526 /nfs/dbraw/zinc/02/85/26/183028526.db2.gz AXSNISBOPXPIDR-UHFFFAOYSA-N 0 3 247.363 2.858 20 0 BFADHN OC1CCN(Cc2ccc3c(c2)CCCC3)CC1 ZINC000292419975 183029533 /nfs/dbraw/zinc/02/95/33/183029533.db2.gz BTXGZNXZKYWZRJ-UHFFFAOYSA-N 0 3 245.366 2.522 20 0 BFADHN OC1(CCNc2ccnc3ccccc32)CCC1 ZINC000286142944 183016447 /nfs/dbraw/zinc/01/64/47/183016447.db2.gz AOKWNVVKXVALKM-UHFFFAOYSA-N 0 3 242.322 2.952 20 0 BFADHN OC1(CNCc2ccc(Cl)s2)CCCC1 ZINC000082687282 183019972 /nfs/dbraw/zinc/01/99/72/183019972.db2.gz MUCHLQUWRRRTQE-UHFFFAOYSA-N 0 3 245.775 2.796 20 0 BFADHN OC1([C@H]2CCCCN2Cc2ccc(F)cc2)CC1 ZINC000334640075 183022742 /nfs/dbraw/zinc/02/27/42/183022742.db2.gz VBJBNBWNCTULPX-CQSZACIVSA-N 0 3 249.329 2.705 20 0 BFADHN OC1([C@H]2CCCCN2Cc2cccc(F)c2)CC1 ZINC000335156250 183022756 /nfs/dbraw/zinc/02/27/56/183022756.db2.gz KOIMYIKVFAUAFQ-CQSZACIVSA-N 0 3 249.329 2.705 20 0 BFADHN OCCCCCCN(Cc1ccccn1)C1CC1 ZINC000271570225 183043911 /nfs/dbraw/zinc/04/39/11/183043911.db2.gz QRPNYVJRQHTWFO-UHFFFAOYSA-N 0 3 248.370 2.599 20 0 BFADHN OCCCCN1CCC=C(c2ccccc2)C1 ZINC000284836660 183049783 /nfs/dbraw/zinc/04/97/83/183049783.db2.gz SHDLZXBVPLIRCM-UHFFFAOYSA-N 0 3 231.339 2.548 20 0 BFADHN OCCCCNCc1cccc(Cl)c1Cl ZINC000040744123 183052135 /nfs/dbraw/zinc/05/21/35/183052135.db2.gz MJFAAMJHKPYXQH-UHFFFAOYSA-N 0 3 248.153 2.856 20 0 BFADHN OCCCN(Cc1ccc(Cl)cc1)C1CC1 ZINC000052075827 183054378 /nfs/dbraw/zinc/05/43/78/183054378.db2.gz SHQPOQQQWBCJJF-UHFFFAOYSA-N 0 3 239.746 2.687 20 0 BFADHN OCCN(Cc1cccc(Cl)c1)C1CCC1 ZINC000221159122 183070266 /nfs/dbraw/zinc/07/02/66/183070266.db2.gz NGYVHDSWMVJQIV-UHFFFAOYSA-N 0 3 239.746 2.687 20 0 BFADHN OCCN1CCC(c2ccc(Cl)cc2)CC1 ZINC000274818204 183072782 /nfs/dbraw/zinc/07/27/82/183072782.db2.gz AQZBNKBSQSPDDM-UHFFFAOYSA-N 0 3 239.746 2.512 20 0 BFADHN OCCN(Cc1csc2ccccc12)C1CC1 ZINC000066421679 183069408 /nfs/dbraw/zinc/06/94/08/183069408.db2.gz FOHOFHGTTGRANG-UHFFFAOYSA-N 0 3 247.363 2.858 20 0 BFADHN CCCC[C@H](COC)N[C@@H]1CCC[C@H]1F ZINC000340533832 169004158 /nfs/dbraw/zinc/00/41/58/169004158.db2.gz YFTFHWPQFVASJD-IJLUTSLNSA-N 0 3 217.328 2.672 20 0 BFADHN OC[C@@H]1CCCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC000267125646 183118795 /nfs/dbraw/zinc/11/87/95/183118795.db2.gz BOEGTOYGUWWQGD-IAQYHMDHSA-N 0 3 249.329 2.517 20 0 BFADHN OC[C@@H](N[C@H]1C=CCCC1)c1ccc(F)cc1 ZINC000272973527 183109043 /nfs/dbraw/zinc/10/90/43/183109043.db2.gz COAQKVMIISEODG-UONOGXRCSA-N 0 3 235.302 2.557 20 0 BFADHN OC[C@H](CC1CCC1)NCc1ccc(Cl)o1 ZINC000309595173 183136096 /nfs/dbraw/zinc/13/60/96/183136096.db2.gz UIWKESKXUSIDEG-JTQLQIEISA-N 0 3 243.734 2.574 20 0 BFADHN CCCCc1ccc(NC(=O)[C@H](N)CC)cc1 ZINC000036871657 169021715 /nfs/dbraw/zinc/02/17/15/169021715.db2.gz BTIPOJRSQQZCBM-CYBMUJFWSA-N 0 3 234.343 2.705 20 0 BFADHN OC[C@H](N[C@@H]1CCC12CCC2)c1cccc(F)c1 ZINC000337464091 183141508 /nfs/dbraw/zinc/14/15/08/183141508.db2.gz LHVUYKUHLDBTJK-UONOGXRCSA-N 0 3 249.329 2.781 20 0 BFADHN CCCN(C(=O)CNC)[C@H](CC)c1ccccc1 ZINC000269229696 169041764 /nfs/dbraw/zinc/04/17/64/169041764.db2.gz OJIZSOCCISKLRV-CQSZACIVSA-N 0 3 248.370 2.596 20 0 BFADHN O[C@@H](CN1CCC2(CCC2)C1)c1ccccc1F ZINC000123222032 183175409 /nfs/dbraw/zinc/17/54/09/183175409.db2.gz OPGCSLLCCQIKHN-AWEZNQCLSA-N 0 3 249.329 2.735 20 0 BFADHN O[C@@H](CN1CCCCC1)c1cccc(Cl)c1 ZINC000019784939 183175903 /nfs/dbraw/zinc/17/59/03/183175903.db2.gz HWCUJWYHLDUYEO-ZDUSSCGKSA-N 0 3 239.746 2.859 20 0 BFADHN O[C@@H](CN1CCCCCC1)c1ccccc1F ZINC000033466099 183176042 /nfs/dbraw/zinc/17/60/42/183176042.db2.gz YRTQBVDXNYHVFV-AWEZNQCLSA-N 0 3 237.318 2.735 20 0 BFADHN O[C@@H]1CCC[C@H]1NCc1cc2cc(F)ccc2o1 ZINC000334519502 183197106 /nfs/dbraw/zinc/19/71/06/183197106.db2.gz KAFMFCHGFCGCJL-CHWSQXEVSA-N 0 3 249.285 2.575 20 0 BFADHN O[C@H](CC1CCCC1)CN1CC2(C1)CCCC2 ZINC000352874681 183217623 /nfs/dbraw/zinc/21/76/23/183217623.db2.gz FUCWTMIRWWFHFD-CQSZACIVSA-N 0 3 237.387 2.804 20 0 BFADHN CCCN(C)Cc1cc(OC)cc(OC)c1 ZINC000098142459 169081535 /nfs/dbraw/zinc/08/15/35/169081535.db2.gz KOVYUNGOPYKMBL-UHFFFAOYSA-N 0 3 223.316 2.546 20 0 BFADHN O[C@H]1CCN(Cc2ccccc2F)C12CCCC2 ZINC000292883203 183239026 /nfs/dbraw/zinc/23/90/26/183239026.db2.gz YCCCBJQLPMRZOS-AWEZNQCLSA-N 0 3 249.329 2.705 20 0 BFADHN O[C@H]1CC[C@@H](CNCc2ccccc2Cl)C1 ZINC000188852324 183240888 /nfs/dbraw/zinc/24/08/88/183240888.db2.gz BKOHNLCKXPERTM-PWSUYJOCSA-N 0 3 239.746 2.591 20 0 BFADHN O[C@H]1CC[C@H](CNCc2ccccc2Cl)C1 ZINC000188852342 183242083 /nfs/dbraw/zinc/24/20/83/183242083.db2.gz BKOHNLCKXPERTM-JQWIXIFHSA-N 0 3 239.746 2.591 20 0 BFADHN CCCN(CC)C(=O)[C@H](C)[C@H](N)c1ccccc1 ZINC000131647529 169116555 /nfs/dbraw/zinc/11/65/55/169116555.db2.gz PSYLRNFQAXAXOW-OCCSQVGLSA-N 0 3 248.370 2.581 20 0 BFADHN CCCN(CC)C(=O)c1ccc(CN(C)C)cc1 ZINC000299547966 169117215 /nfs/dbraw/zinc/11/72/15/169117215.db2.gz CJVMJZSVJNRHKW-UHFFFAOYSA-N 0 3 248.370 2.620 20 0 BFADHN CCCN(CC)C[C@@H](O)c1ccc(F)cc1F ZINC000299409291 169125126 /nfs/dbraw/zinc/12/51/26/169125126.db2.gz ZAWGYMYUUXQMAJ-CYBMUJFWSA-N 0 3 243.297 2.730 20 0 BFADHN O[C@H]1CCCN(CCSC2CCCCC2)C1 ZINC000337331272 183233528 /nfs/dbraw/zinc/23/35/28/183233528.db2.gz HSRWSIMBPBJPLM-LBPRGKRZSA-N 0 3 243.416 2.509 20 0 BFADHN CCCN(CC(C)C)[C@@H](C(N)=O)c1ccccc1 ZINC000298108251 169105280 /nfs/dbraw/zinc/10/52/80/169105280.db2.gz LXYOFFVVBCWIFZ-CQSZACIVSA-N 0 3 248.370 2.581 20 0 BFADHN O[C@H]1CCCN(Cc2cc3cc(F)ccc3o2)C1 ZINC000305736633 183234994 /nfs/dbraw/zinc/23/49/94/183234994.db2.gz TWPWIXFRGKDFKZ-LBPRGKRZSA-N 0 3 249.285 2.529 20 0 BFADHN O[C@H]1CCCN(Cc2ccc(C(F)F)cc2)C1 ZINC000183922632 183235794 /nfs/dbraw/zinc/23/57/94/183235794.db2.gz VJNBNYSZKUVTPE-LBPRGKRZSA-N 0 3 241.281 2.581 20 0 BFADHN O[C@H]1CCCN(Cc2ccc3c(c2)CCCC3)C1 ZINC000292499974 183235949 /nfs/dbraw/zinc/23/59/49/183235949.db2.gz GSYKZVIGSCZHFQ-INIZCTEOSA-N 0 3 245.366 2.522 20 0 BFADHN CCCN(CCC)Cc1cnc(N(C)C)s1 ZINC000271006375 169150448 /nfs/dbraw/zinc/15/04/48/169150448.db2.gz NIFFTWIOEBZDFO-UHFFFAOYSA-N 0 3 241.404 2.831 20 0 BFADHN CCCN(CC)Cc1cccc2nccn21 ZINC000271803635 169128664 /nfs/dbraw/zinc/12/86/64/169128664.db2.gz LWKIRMQMWSGSAA-UHFFFAOYSA-N 0 3 217.316 2.566 20 0 BFADHN CCCN(CC)Cc1ccc(N(C)CC)nc1 ZINC000361512064 169129609 /nfs/dbraw/zinc/12/96/09/169129609.db2.gz XEFZJTOMFZYDHF-UHFFFAOYSA-N 0 3 235.375 2.770 20 0 BFADHN CCCN(CC)Cc1ncc(C)c(OC)c1C ZINC000263641474 169131097 /nfs/dbraw/zinc/13/10/97/169131097.db2.gz ADFVCUVPIREESK-UHFFFAOYSA-N 0 3 236.359 2.939 20 0 BFADHN CCCN(CC)Cc1nc2cccnc2s1 ZINC000341594615 169131419 /nfs/dbraw/zinc/13/14/19/169131419.db2.gz CBAJPTXZAJULQH-UHFFFAOYSA-N 0 3 235.356 2.923 20 0 BFADHN Oc1ccc2c(c1)[C@H](N[C@@H]1CCSC1)CCC2 ZINC000236591095 183281595 /nfs/dbraw/zinc/28/15/95/183281595.db2.gz HUFGDXHVMCOUCO-BXUZGUMPSA-N 0 3 249.379 2.865 20 0 BFADHN Oc1ccc2c(c1)[C@H](NC1CC1)CCC2 ZINC000236586429 183281749 /nfs/dbraw/zinc/28/17/49/183281749.db2.gz HJWIUTMWTCNHHL-CYBMUJFWSA-N 0 3 203.285 2.522 20 0 BFADHN Oc1ccc2c(c1)C1(CC1)CN(CCCF)C2 ZINC000336354376 183279744 /nfs/dbraw/zinc/27/97/44/183279744.db2.gz XLIRMQHBLZUOIR-UHFFFAOYSA-N 0 3 235.302 2.599 20 0 BFADHN CCCN(CCC)C(=O)CN1CCC[C@H]1CC ZINC000341161824 169141050 /nfs/dbraw/zinc/14/10/50/169141050.db2.gz APHQEPOXDGVPMO-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN Oc1ccc2c(c1)OCCN(CC1CCCC1)C2 ZINC000353147621 183280740 /nfs/dbraw/zinc/28/07/40/183280740.db2.gz YSBBCCWSQKSHQU-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]C2CCCCC2)c1 ZINC000159583661 183258125 /nfs/dbraw/zinc/25/81/25/183258125.db2.gz IBXAYDOSOFPGHL-UHFFFAOYSA-N 0 3 223.291 2.954 20 0 BFADHN [O-]c1cc(F)cc(C[N@@H+]2CC[C@H]3CCC[C@@H]32)c1 ZINC000355181600 183258646 /nfs/dbraw/zinc/25/86/46/183258646.db2.gz XZWOPIGUKHTYQZ-RISCZKNCSA-N 0 3 235.302 2.906 20 0 BFADHN [O-]c1cc(F)cc(C[N@H+]2CC[C@H]3CCC[C@@H]32)c1 ZINC000355181600 183258647 /nfs/dbraw/zinc/25/86/47/183258647.db2.gz XZWOPIGUKHTYQZ-RISCZKNCSA-N 0 3 235.302 2.906 20 0 BFADHN CCCN(CCC)CC(=O)N1CCCC[C@@H]1C ZINC000153041643 169147913 /nfs/dbraw/zinc/14/79/13/169147913.db2.gz NPPKXJFXFVPGTO-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CCCN(CCC)Cc1cc(OC)ccn1 ZINC000106933536 169148572 /nfs/dbraw/zinc/14/85/72/169148572.db2.gz NKOQBNSWTSRDOW-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CCCN(Cc1ccc(CC)o1)CC(C)(C)O ZINC000271178030 169175386 /nfs/dbraw/zinc/17/53/86/169175386.db2.gz VAZOYTBARSJXFA-UHFFFAOYSA-N 0 3 239.359 2.825 20 0 BFADHN CCCN(Cc1ccc(OC)c(O)c1)C1CC1 ZINC000180097564 169175712 /nfs/dbraw/zinc/17/57/12/169175712.db2.gz MSJXUWFLOGHRAT-UHFFFAOYSA-N 0 3 235.327 2.775 20 0 BFADHN CCCN(Cc1ccc(Cl)o1)CC(C)(C)O ZINC000353415908 169176018 /nfs/dbraw/zinc/17/60/18/169176018.db2.gz KXCYZGXNFJHKCT-UHFFFAOYSA-N 0 3 245.750 2.916 20 0 BFADHN Oc1cccc(CNCCC2(F)CCC2)c1 ZINC000309499887 183289990 /nfs/dbraw/zinc/28/99/90/183289990.db2.gz LHGMVYFXGWGCRQ-UHFFFAOYSA-N 0 3 223.291 2.764 20 0 BFADHN Oc1cccc2c1CCC[C@H]2N[C@@H]1CCCOC1 ZINC000191636189 183294980 /nfs/dbraw/zinc/29/49/80/183294980.db2.gz BOGIOEHQMOZKSP-BXUZGUMPSA-N 0 3 247.338 2.538 20 0 BFADHN Oc1cccc2c1CCC[C@H]2N[C@H]1CCCOC1 ZINC000191636218 183295093 /nfs/dbraw/zinc/29/50/93/183295093.db2.gz BOGIOEHQMOZKSP-SMDDNHRTSA-N 0 3 247.338 2.538 20 0 BFADHN Oc1cccc2c1CC[C@H]2NC[C@H]1CCC=CO1 ZINC000278860463 183297083 /nfs/dbraw/zinc/29/70/83/183297083.db2.gz LYAHDNDCWDHAIO-BXUZGUMPSA-N 0 3 245.322 2.662 20 0 BFADHN Oc1cccc2c1C[C@@H](NCc1ccsc1)C2 ZINC000336280329 183297493 /nfs/dbraw/zinc/29/74/93/183297493.db2.gz YDHPAHTXIRTMTB-LBPRGKRZSA-N 0 3 245.347 2.711 20 0 BFADHN CCCN(CCO)Cc1ccc(Cl)c(F)c1 ZINC000128040716 169166095 /nfs/dbraw/zinc/16/60/95/169166095.db2.gz MNNFDDRRCOAOOJ-UHFFFAOYSA-N 0 3 245.725 2.683 20 0 BFADHN CCCN(Cc1cnccc1C)C1CC1 ZINC000269449289 169179897 /nfs/dbraw/zinc/17/98/97/169179897.db2.gz BUEMZMKZFAYNJF-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN CCCN(Cc1ccco1)C[C@H]1CCCO1 ZINC000066435709 169179936 /nfs/dbraw/zinc/17/99/36/169179936.db2.gz KOSNEOFGWAULMB-CYBMUJFWSA-N 0 3 223.316 2.671 20 0 BFADHN CCC[N@@H+](Cc1nc(C)ccc1[O-])CC1CC1 ZINC000154689476 169181198 /nfs/dbraw/zinc/18/11/98/169181198.db2.gz MSKFQAFSULPVGM-UHFFFAOYSA-N 0 3 234.343 2.718 20 0 BFADHN CCC[N@H+](Cc1nc(C)ccc1[O-])CC1CC1 ZINC000154689476 169181201 /nfs/dbraw/zinc/18/12/01/169181201.db2.gz MSKFQAFSULPVGM-UHFFFAOYSA-N 0 3 234.343 2.718 20 0 BFADHN CCCN1CCC[C@]2(C1)OCc1ccccc12 ZINC000369006969 169201768 /nfs/dbraw/zinc/20/17/68/169201768.db2.gz FNXONYNLOFYFMV-OAHLLOKOSA-N 0 3 231.339 2.918 20 0 BFADHN c1cc(CNCCC2CC2)c2c(c1)OCCCO2 ZINC000088454816 183349604 /nfs/dbraw/zinc/34/96/04/183349604.db2.gz HSWHPJUHWLOMOP-UHFFFAOYSA-N 0 3 247.338 2.738 20 0 BFADHN c1cc(CNC[C@@H]2CCC3(CCCCC3)O2)c[nH]1 ZINC000276594507 183350001 /nfs/dbraw/zinc/35/00/01/183350001.db2.gz DYCZAYVLKAYOIW-AWEZNQCLSA-N 0 3 248.370 2.986 20 0 BFADHN c1cc2c(c(CN[C@H]3CCC34CCC4)c1)OCO2 ZINC000282390610 183353915 /nfs/dbraw/zinc/35/39/15/183353915.db2.gz XKUNXFKPAUXHNO-ZDUSSCGKSA-N 0 3 245.322 2.838 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2NC[C@@H]1CCCCO1 ZINC000124008730 183371610 /nfs/dbraw/zinc/37/16/10/183371610.db2.gz UHILAYPGMHGXHP-AAEUAGOBSA-N 0 3 235.327 2.816 20 0 BFADHN c1cc2c(nc1CNC1CCCC1)CCCC2 ZINC000277702125 183372078 /nfs/dbraw/zinc/37/20/78/183372078.db2.gz LQJQODVOAQYORI-UHFFFAOYSA-N 0 3 230.355 2.993 20 0 BFADHN c1cc(CN2CCCCC2)nc2c1CCC2 ZINC000334562953 183372354 /nfs/dbraw/zinc/37/23/54/183372354.db2.gz XSTATEQFUVKUGL-UHFFFAOYSA-N 0 3 216.328 2.556 20 0 BFADHN c1cc2c(s1)CCN(CC1CCC1)C2 ZINC000266547863 183372887 /nfs/dbraw/zinc/37/28/87/183372887.db2.gz SLMBTFSRMHXMAB-UHFFFAOYSA-N 0 3 207.342 2.906 20 0 BFADHN c1cc2c(s1)CCC[C@H]2N[C@H]1CCOC1 ZINC000070969443 183373668 /nfs/dbraw/zinc/37/36/68/183373668.db2.gz GNZCUDPTSCAOQO-GXSJLCMTSA-N 0 3 223.341 2.504 20 0 BFADHN c1cc2c(s1)CCC[C@H]2N[C@H]1CCCOC1 ZINC000071012468 183373889 /nfs/dbraw/zinc/37/38/89/183373889.db2.gz BIJCQHDBBKVFAI-CMPLNLGQSA-N 0 3 237.368 2.894 20 0 BFADHN c1[nH]nc(-c2ccccc2)c1CN1CCCC1 ZINC000006161357 183378050 /nfs/dbraw/zinc/37/80/50/183378050.db2.gz JQZXBFRZZLJZJK-UHFFFAOYSA-N 0 3 227.311 2.673 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1ccc(Cl)cc1 ZINC000261548688 169285071 /nfs/dbraw/zinc/28/50/71/169285071.db2.gz NVWZWDZSMMMBOO-SECBINFHSA-N 0 3 240.734 2.667 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1ccc2c(c1)CCC2 ZINC000261973066 169285455 /nfs/dbraw/zinc/28/54/55/169285455.db2.gz DWIKAEMPEFVCNT-LLVKDONJSA-N 0 3 246.354 2.502 20 0 BFADHN CCCN[C@H](C)c1cc(F)ccc1OC ZINC000034842328 169287298 /nfs/dbraw/zinc/28/72/98/169287298.db2.gz GXWSRDOZVMBJER-SECBINFHSA-N 0 3 211.280 2.895 20 0 BFADHN c1cc(CNC2CCC2)n(-c2ccccc2)n1 ZINC000279182462 183387872 /nfs/dbraw/zinc/38/78/72/183387872.db2.gz RZNAPMZVRHWUPW-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN c1cc2c(o1)CCC[C@H]2NCCc1ccccn1 ZINC000123888955 183394806 /nfs/dbraw/zinc/39/48/06/183394806.db2.gz BTPBOAHRPGUSKE-CQSZACIVSA-N 0 3 242.322 2.884 20 0 BFADHN c1ccc(CN2CC3(CCC3)[C@@H]2C2CC2)nc1 ZINC000291702552 183396488 /nfs/dbraw/zinc/39/64/88/183396488.db2.gz ZDKJJTUOVPZMEP-AWEZNQCLSA-N 0 3 228.339 2.846 20 0 BFADHN CCCNCc1cnn(-c2ccc(F)cc2)c1 ZINC000040932304 169269645 /nfs/dbraw/zinc/26/96/45/169269645.db2.gz WXMSGFVGUOXRSA-UHFFFAOYSA-N 0 3 233.290 2.511 20 0 BFADHN c1ccc(CN2CCC3(CCC3)CC2)nc1 ZINC000367555285 183397324 /nfs/dbraw/zinc/39/73/24/183397324.db2.gz VTMZRUTUYFUVSH-UHFFFAOYSA-N 0 3 216.328 2.848 20 0 BFADHN CCCN[C@@H](C)c1nc2c(s1)CCC2 ZINC000041061499 169276703 /nfs/dbraw/zinc/27/67/03/169276703.db2.gz ZQVSECLFLRVNIU-QMMMGPOBSA-N 0 3 210.346 2.692 20 0 BFADHN CCCN[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000035584280 169280772 /nfs/dbraw/zinc/28/07/72/169280772.db2.gz XJRWHJJGBLECAL-AWEZNQCLSA-N 0 3 247.317 2.648 20 0 BFADHN CCCO[C@@H]1CCCN(Cc2ccncc2)CC1 ZINC000130430484 169312447 /nfs/dbraw/zinc/31/24/47/169312447.db2.gz DJAFGOKJWRCBGU-OAHLLOKOSA-N 0 3 248.370 2.863 20 0 BFADHN CCCO[C@H]1CCCN(Cc2cccnc2)CC1 ZINC000108392892 169312842 /nfs/dbraw/zinc/31/28/42/169312842.db2.gz VPTJHHSVMGZZKE-HNNXBMFYSA-N 0 3 248.370 2.863 20 0 BFADHN CCCOc1cccc(CN[C@@H](C)COC)c1 ZINC000037996723 169325397 /nfs/dbraw/zinc/32/53/97/169325397.db2.gz HISRHYZJOOGKOM-LBPRGKRZSA-N 0 3 237.343 2.600 20 0 BFADHN c1ccc2c(c1)C[C@@H]2CN1CCO[C@H]2CCC[C@@H]21 ZINC000286688027 183449985 /nfs/dbraw/zinc/44/99/85/183449985.db2.gz BKNJMBDXYGVLMA-KBMXLJTQSA-N 0 3 243.350 2.580 20 0 BFADHN CCC[C@@H](C)NCc1cn2cc(C)ccc2n1 ZINC000263897166 169370924 /nfs/dbraw/zinc/37/09/24/169370924.db2.gz WRKJCRSABDRBIG-GFCCVEGCSA-N 0 3 231.343 2.921 20 0 BFADHN CCC[C@@H](C)N1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289888349 169367961 /nfs/dbraw/zinc/36/79/61/169367961.db2.gz MTEZQLOUCOFITF-PWSUYJOCSA-N 0 3 241.766 2.972 20 0 BFADHN CCC[C@@H](C)NCc1cccc(OC)c1OC ZINC000019903055 169370969 /nfs/dbraw/zinc/37/09/69/169370969.db2.gz WFPAXZYEWDGGDC-LLVKDONJSA-N 0 3 237.343 2.982 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1CCC2(CCC2)C1 ZINC000123586669 169347639 /nfs/dbraw/zinc/34/76/39/169347639.db2.gz TYAWZBCNYZFXQC-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN CCC[C@@H](C)CN1CCO[C@@H](C(C)C)C1 ZINC000353170612 169359052 /nfs/dbraw/zinc/35/90/52/169359052.db2.gz IFFVNKMORJQQEH-CHWSQXEVSA-N 0 3 213.365 2.779 20 0 BFADHN CCC[C@@H](C)CNCc1ccc(F)cn1 ZINC000336661842 169360115 /nfs/dbraw/zinc/36/01/15/169360115.db2.gz CETSCLJKKQJRDN-SNVBAGLBSA-N 0 3 210.296 2.747 20 0 BFADHN CCC[C@@H](C)N(C)C(=O)C[C@H](N)c1ccccc1 ZINC000037505093 169362394 /nfs/dbraw/zinc/36/23/94/169362394.db2.gz VIBLEJFBAOQYKD-OCCSQVGLSA-N 0 3 248.370 2.724 20 0 BFADHN CCC[C@@H](C)N[C@@H]1CCCc2c[nH]nc21 ZINC000271474434 169371760 /nfs/dbraw/zinc/37/17/60/169371760.db2.gz HWPCMTKQAJNLAN-MWLCHTKSSA-N 0 3 207.321 2.565 20 0 BFADHN CCC[C@@H](CC)N[C@@H](CCO)c1ccco1 ZINC000186550271 169380059 /nfs/dbraw/zinc/38/00/59/169380059.db2.gz ATUMVVJNNXTBLY-NEPJUHHUSA-N 0 3 225.332 2.871 20 0 BFADHN CCC[C@@H](CNCc1ccccc1)OC ZINC000293797213 169384810 /nfs/dbraw/zinc/38/48/10/169384810.db2.gz XYJOCSOPKKHHMA-ZDUSSCGKSA-N 0 3 207.317 2.591 20 0 BFADHN CCC[C@@H](CNCc1ccc(F)c(F)c1)OC ZINC000293636293 169385005 /nfs/dbraw/zinc/38/50/05/169385005.db2.gz JJDURYSDKVBIAX-NSHDSACASA-N 0 3 243.297 2.870 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1cc(C)ccn1)OC ZINC000294666790 169385552 /nfs/dbraw/zinc/38/55/52/169385552.db2.gz AGWGSQLRQXONCP-STQMWFEESA-N 0 3 236.359 2.856 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1cnccc1C)OC ZINC000297192080 169386181 /nfs/dbraw/zinc/38/61/81/169386181.db2.gz FLWSLZZUBPAMNQ-STQMWFEESA-N 0 3 236.359 2.856 20 0 BFADHN CCC[C@@H](N)C(=O)N(CC(C)C)C(CC)CC ZINC000093310659 169386400 /nfs/dbraw/zinc/38/64/00/169386400.db2.gz BMDIENADZVUKBT-CYBMUJFWSA-N 0 3 242.407 2.787 20 0 BFADHN CCC[C@@H](Cc1ccccc1)NCCF ZINC000281198739 169386844 /nfs/dbraw/zinc/38/68/44/169386844.db2.gz YAUZZQKHROKSHT-ZDUSSCGKSA-N 0 3 209.308 2.957 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@@H](C)c1cccc(C)c1C ZINC000236981576 169390263 /nfs/dbraw/zinc/39/02/63/169390263.db2.gz YOSHAYTUDFCHHU-GXTWGEPZSA-N 0 3 248.370 2.608 20 0 BFADHN CCCCN(CC)[C@H]1CCCN(C(C)C)C1=O ZINC000179308257 134319199 /nfs/dbraw/zinc/31/91/99/134319199.db2.gz XDRWRPGLTYHSHW-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN CCC[C@@H](NCC(OC)OC)c1ccccc1 ZINC000037566622 169399890 /nfs/dbraw/zinc/39/98/90/169399890.db2.gz MFVIOKURRXEMKQ-CYBMUJFWSA-N 0 3 237.343 2.736 20 0 BFADHN C[C@@H](NCC1(C)CC1)c1cncc(F)c1 ZINC000222173573 134329951 /nfs/dbraw/zinc/32/99/51/134329951.db2.gz APTMDFWMUGGUNH-SECBINFHSA-N 0 3 208.280 2.671 20 0 BFADHN FCCCN[C@H]1CCCOc2cc(F)ccc21 ZINC000182155445 134342399 /nfs/dbraw/zinc/34/23/99/134342399.db2.gz GCWRMBRISNNQPX-LBPRGKRZSA-N 0 3 241.281 2.989 20 0 BFADHN CCC[C@H](NC[C@@H](O)C(F)F)c1ccccc1 ZINC000224438700 134347178 /nfs/dbraw/zinc/34/71/78/134347178.db2.gz QGQMGGMEJMRJRZ-NWDGAFQWSA-N 0 3 243.297 2.743 20 0 BFADHN Cc1cc(C)cc([C@H](C)NC[C@@H](O)C(F)F)c1 ZINC000224440165 134347291 /nfs/dbraw/zinc/34/72/91/134347291.db2.gz KOOLQQXCJFJIGR-CMPLNLGQSA-N 0 3 243.297 2.580 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H](O)C(F)F)c(C)c1 ZINC000224466194 134347517 /nfs/dbraw/zinc/34/75/17/134347517.db2.gz RIUOHJSRHAIKEP-ZYHUDNBSSA-N 0 3 243.297 2.580 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cnc(OC)nc1C ZINC000337183630 171055117 /nfs/dbraw/zinc/05/51/17/171055117.db2.gz NVRSYOFMQUUWDP-GXFFZTMASA-N 0 3 249.358 2.557 20 0 BFADHN Cc1nc(CNC[C@@H]2CCSC2)sc1C ZINC000226383780 134364542 /nfs/dbraw/zinc/36/45/42/134364542.db2.gz SEJXINNDNZFAPX-JTQLQIEISA-N 0 3 242.413 2.603 20 0 BFADHN CC[C@H]1CC[C@H](NCc2c(C)noc2C)C1 ZINC000085444782 134367032 /nfs/dbraw/zinc/36/70/32/134367032.db2.gz QZVUIIWOBSDWBN-RYUDHWBXSA-N 0 3 222.332 2.960 20 0 BFADHN Cc1csc(CNC[C@H]2CC2(C)C)n1 ZINC000227371266 134378763 /nfs/dbraw/zinc/37/87/63/134378763.db2.gz UMPCVGLTQDHHTK-SECBINFHSA-N 0 3 210.346 2.587 20 0 BFADHN C[C@H](NC1CCSCC1)c1ccco1 ZINC000035137074 383835474 /nfs/dbraw/zinc/83/54/74/383835474.db2.gz SQNIJHIJKSTWIF-VIFPVBQESA-N 0 3 211.330 2.826 20 0 BFADHN C[C@H](NCC1=CCCC1)c1ccccn1 ZINC000401053678 383844568 /nfs/dbraw/zinc/84/45/68/383844568.db2.gz QTIKPKKQAMPKGB-NSHDSACASA-N 0 3 202.301 2.843 20 0 BFADHN c1c[nH]c(CN[C@H]2CCC[C@@H]2c2ccccc2)n1 ZINC000111412851 383862839 /nfs/dbraw/zinc/86/28/39/383862839.db2.gz ZVQDIDJFXARGQF-KGLIPLIRSA-N 0 3 241.338 2.836 20 0 BFADHN C[C@@H](O)CN[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000035601574 383863197 /nfs/dbraw/zinc/86/31/97/383863197.db2.gz LFWRALYVGAFSJY-SFYZADRCSA-N 0 3 231.698 2.511 20 0 BFADHN Cc1c[nH]nc1CN1CC(C)(C)[C@@H]2CCC[C@H]21 ZINC000336426374 383864453 /nfs/dbraw/zinc/86/44/53/383864453.db2.gz ZXYHAEVLJJCQCV-DGCLKSJQSA-N 0 3 233.359 2.729 20 0 BFADHN Cc1noc([C@@H]2CCCN([C@H]3C=CCCC3)C2)n1 ZINC000066495599 383866131 /nfs/dbraw/zinc/86/61/31/383866131.db2.gz IASQGADCIWVQBS-OLZOCXBDSA-N 0 3 247.342 2.666 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCOC2)cc1C ZINC000087783073 383866767 /nfs/dbraw/zinc/86/67/67/383866767.db2.gz LPFJYWHINMAVDF-JSGCOSHPSA-N 0 3 219.328 2.743 20 0 BFADHN Cc1noc([C@H]2CCCN([C@H]3C=CCCC3)C2)n1 ZINC000066495600 383867128 /nfs/dbraw/zinc/86/71/28/383867128.db2.gz IASQGADCIWVQBS-STQMWFEESA-N 0 3 247.342 2.666 20 0 BFADHN C[C@H](N[C@H]1CCOC1)c1ccc(F)c(Cl)c1 ZINC000087783332 383867125 /nfs/dbraw/zinc/86/71/25/383867125.db2.gz ZSTONGYJCYBJFQ-WPRPVWTQSA-N 0 3 243.709 2.919 20 0 BFADHN COc1cc(C)ccc1CN[C@H]1CC[C@@H](F)C1 ZINC000449327110 383868817 /nfs/dbraw/zinc/86/88/17/383868817.db2.gz ADTILSNQHWZQTR-OLZOCXBDSA-N 0 3 237.318 2.984 20 0 BFADHN CC[C@H](c1ccncc1)N(C)CCSC ZINC000119818464 383871830 /nfs/dbraw/zinc/87/18/30/383871830.db2.gz XBBHPPSDFIICIX-GFCCVEGCSA-N 0 3 224.373 2.828 20 0 BFADHN Cc1ncc(CNC/C=C/c2ccccc2)n1C ZINC000517759937 383876864 /nfs/dbraw/zinc/87/68/64/383876864.db2.gz XCASREYGMMCXDE-RMKNXTFCSA-N 0 3 241.338 2.532 20 0 BFADHN CC[C@@H](CCO)CN[C@@H](C)c1csc(C)n1 ZINC000087362441 383829993 /nfs/dbraw/zinc/82/99/93/383829993.db2.gz JXTWZEJHPGLMKD-ONGXEEELSA-N 0 3 242.388 2.511 20 0 BFADHN Cc1csc(CN2CCCC2(C)C)n1 ZINC000088595161 383914101 /nfs/dbraw/zinc/91/41/01/383914101.db2.gz YVLAGAHNPFVXQA-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN C[C@H](Cc1ccccc1)CN(C1CC1)C1COC1 ZINC000449348018 383926626 /nfs/dbraw/zinc/92/66/26/383926626.db2.gz ABHKTTOOXZUXPX-CYBMUJFWSA-N 0 3 245.366 2.728 20 0 BFADHN CC[C@H](N)C(=O)Nc1ccccc1C(C)(C)C ZINC000037015999 383928330 /nfs/dbraw/zinc/92/83/30/383928330.db2.gz ITNOHRMBQTUHEW-NSHDSACASA-N 0 3 234.343 2.660 20 0 BFADHN Cc1cccc(CN[C@H]2C[C@@H]2C(F)F)c1 ZINC000348187267 383931779 /nfs/dbraw/zinc/93/17/79/383931779.db2.gz PQLQJGZGZKTANN-QWRGUYRKSA-N 0 3 211.255 2.738 20 0 BFADHN CSCCN[C@H](C)c1ccc(F)c(F)c1 ZINC000070184525 383883966 /nfs/dbraw/zinc/88/39/66/383883966.db2.gz XTPHGNRTEFWMDX-MRVPVSSYSA-N 0 3 231.311 2.978 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccccc1Cl ZINC000131899466 383885337 /nfs/dbraw/zinc/88/53/37/383885337.db2.gz DYHCCXDEWZBUBC-ZJUUUORDSA-N 0 3 227.735 2.853 20 0 BFADHN CCOCCN[C@@H](C)c1ccc2c(c1)CCC2 ZINC000036228444 383886652 /nfs/dbraw/zinc/88/66/52/383886652.db2.gz SWQYUFDLMLOLLT-LBPRGKRZSA-N 0 3 233.355 2.862 20 0 BFADHN CCOCCN[C@H](C)c1ccc(C)cc1C ZINC000036229032 383888683 /nfs/dbraw/zinc/88/86/83/383888683.db2.gz XXVIAVVJAYWOKT-CYBMUJFWSA-N 0 3 221.344 2.991 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cccc(Cl)c1 ZINC000131901102 383889712 /nfs/dbraw/zinc/88/97/12/383889712.db2.gz KLNDUPQTSJZSHG-ZJUUUORDSA-N 0 3 227.735 2.853 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@H]2C(F)F)cc1F ZINC000348192151 383933863 /nfs/dbraw/zinc/93/38/63/383933863.db2.gz XFELYQQQABYSAH-ONGXEEELSA-N 0 3 229.245 2.877 20 0 BFADHN C[C@@H](NC1CCOCC1)c1cc(F)ccc1F ZINC000036319382 383896695 /nfs/dbraw/zinc/89/66/95/383896695.db2.gz VXVWNGHIORRWEZ-SECBINFHSA-N 0 3 241.281 2.794 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2C[C@@H]2C(F)F)c1 ZINC000348154484 383905993 /nfs/dbraw/zinc/90/59/93/383905993.db2.gz WDZNBROCEXRWGY-LSJOCFKGSA-N 0 3 226.270 2.694 20 0 BFADHN COc1ncccc1CN[C@H](C)CC(C)C ZINC000037485420 383980460 /nfs/dbraw/zinc/98/04/60/383980460.db2.gz UFJBLCOMDVOQEY-LLVKDONJSA-N 0 3 222.332 2.614 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1ccccc1Cl ZINC000037481246 383981469 /nfs/dbraw/zinc/98/14/69/383981469.db2.gz VKUBEQRKMYPYCG-GFCCVEGCSA-N 0 3 240.734 2.796 20 0 BFADHN CC[C@H](N)C(=O)N[C@H](CC(C)C)c1ccccc1 ZINC000037508858 383983837 /nfs/dbraw/zinc/98/38/37/383983837.db2.gz AWXXDMHYRYBJHV-UONOGXRCSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CO[C@H](C)C2)ccc1F ZINC000647214100 383984704 /nfs/dbraw/zinc/98/47/04/383984704.db2.gz MYQHNNDUEXOHIA-NQBHXWOUSA-N 0 3 237.318 2.962 20 0 BFADHN COc1ncncc1CN[C@@H](C)CCC=C(C)C ZINC000647214892 383997218 /nfs/dbraw/zinc/99/72/18/383997218.db2.gz WJVARSNGTCDWFT-LBPRGKRZSA-N 0 3 249.358 2.710 20 0 BFADHN CSCC[C@H](CO)N[C@H](C)c1ccsc1 ZINC000130902998 383935998 /nfs/dbraw/zinc/93/59/98/383935998.db2.gz MCUUOPKQGJBFQQ-MWLCHTKSSA-N 0 3 245.413 2.513 20 0 BFADHN FC(F)(F)[C@H]1CCC[C@H](NCc2cc[nH]n2)C1 ZINC000037142141 383947870 /nfs/dbraw/zinc/94/78/70/383947870.db2.gz NEUHMIAIFYYTAS-IUCAKERBSA-N 0 3 247.264 2.620 20 0 BFADHN CC(C)(C)c1csc(CNCC2CC2)n1 ZINC000070650298 383951299 /nfs/dbraw/zinc/95/12/99/383951299.db2.gz PGVAJTQKBWPWPB-UHFFFAOYSA-N 0 3 224.373 2.940 20 0 BFADHN CCO[C@H]1CCN(Cc2cccc(O)c2)C[C@@H]1C ZINC000449501363 383952189 /nfs/dbraw/zinc/95/21/89/383952189.db2.gz VUPSYRLARDXUAA-WFASDCNBSA-N 0 3 249.354 2.639 20 0 BFADHN CC[C@@H](CN[C@H]1CCc2ccc(OC)cc21)OC ZINC000290268624 383952660 /nfs/dbraw/zinc/95/26/60/383952660.db2.gz VWXPRNQTRAGFNX-WFASDCNBSA-N 0 3 249.354 2.697 20 0 BFADHN c1ccc2c(c1)CCC[C@@H]2NCc1cncnc1 ZINC000054767092 383955591 /nfs/dbraw/zinc/95/55/91/383955591.db2.gz JBFGGQCFNQCFRK-HNNXBMFYSA-N 0 3 239.322 2.644 20 0 BFADHN CC(F)(F)CCNC/C=C\c1ccncc1 ZINC000449353545 383956723 /nfs/dbraw/zinc/95/67/23/383956723.db2.gz CUEHEVGOPOASCT-IHWYPQMZSA-N 0 3 226.270 2.730 20 0 BFADHN C[C@H](NCCCO)c1ccc(F)cc1Cl ZINC000037236503 383961517 /nfs/dbraw/zinc/96/15/17/383961517.db2.gz UQWMIDKMGGTZJD-QMMMGPOBSA-N 0 3 231.698 2.512 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@H]2C[C@@H](C)n3ccnc32)C1 ZINC000345356366 383963920 /nfs/dbraw/zinc/96/39/20/383963920.db2.gz UVVGUBGWXXUJHH-NDBYEHHHSA-N 0 3 233.359 2.915 20 0 BFADHN CC[C@H]1CC[C@H](NCc2cncnc2OC)CC1 ZINC000647213060 383964575 /nfs/dbraw/zinc/96/45/75/383964575.db2.gz KQYFFCLVCBSWSW-AULYBMBSSA-N 0 3 249.358 2.544 20 0 BFADHN Clc1ccc(CN[C@H]2CCCOC2)cc1 ZINC000071010868 383967030 /nfs/dbraw/zinc/96/70/30/383967030.db2.gz BAAITRPXRHSBMX-LBPRGKRZSA-N 0 3 225.719 2.609 20 0 BFADHN Clc1cccc(CN[C@H]2CCCOC2)c1 ZINC000071011280 383967291 /nfs/dbraw/zinc/96/72/91/383967291.db2.gz RVLCPWITAWSASC-LBPRGKRZSA-N 0 3 225.719 2.609 20 0 BFADHN Clc1ccccc1CN[C@@H]1CCCOC1 ZINC000071010850 383968606 /nfs/dbraw/zinc/96/86/06/383968606.db2.gz LKTWBNURYOTYRY-LLVKDONJSA-N 0 3 225.719 2.609 20 0 BFADHN C[C@@H](NCCSC(C)(C)C)c1cnccn1 ZINC000089516469 383973206 /nfs/dbraw/zinc/97/32/06/383973206.db2.gz KOSQRRYKFLKZRJ-SNVBAGLBSA-N 0 3 239.388 2.659 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H](O)C(F)(F)F)cc1 ZINC000068879729 384018802 /nfs/dbraw/zinc/01/88/02/384018802.db2.gz LMRAEEHEGUDBFV-GXSJLCMTSA-N 0 3 247.260 2.569 20 0 BFADHN c1ccc(OCCN2CCCSCC2)cc1 ZINC000089981248 384019021 /nfs/dbraw/zinc/01/90/21/384019021.db2.gz VRRJITSNLUUWBM-UHFFFAOYSA-N 0 3 237.368 2.504 20 0 BFADHN CC(C)(C)[C@H]1CCC[C@@H]1NCc1cnccn1 ZINC000573458133 384021475 /nfs/dbraw/zinc/02/14/75/384021475.db2.gz UVMGZOZDHXAZMW-STQMWFEESA-N 0 3 233.359 2.781 20 0 BFADHN Clc1ccsc1CNCCOCC1CC1 ZINC000278480648 384003779 /nfs/dbraw/zinc/00/37/79/384003779.db2.gz KQWXFPWJEHOIEJ-UHFFFAOYSA-N 0 3 245.775 2.918 20 0 BFADHN CC[C@@H](NCc1cncnc1OC)C1CCCC1 ZINC000647215863 384005569 /nfs/dbraw/zinc/00/55/69/384005569.db2.gz KBXFSNCYYWJOAI-CYBMUJFWSA-N 0 3 249.358 2.544 20 0 BFADHN Cc1nc(F)ccc1CN[C@H]1CCCSC1 ZINC000278495517 384011832 /nfs/dbraw/zinc/01/18/32/384011832.db2.gz MRJQPFMMAZYYIL-NSHDSACASA-N 0 3 240.347 2.514 20 0 BFADHN CCn1ccc(CNC2CCC(F)(F)CC2)n1 ZINC000647217962 384045316 /nfs/dbraw/zinc/04/53/16/384045316.db2.gz PRLKMTYVOPMFEC-UHFFFAOYSA-N 0 3 243.301 2.571 20 0 BFADHN Cc1ccc([C@H](C)NCCc2ccncc2)o1 ZINC000037980918 384048935 /nfs/dbraw/zinc/04/89/35/384048935.db2.gz XRRZUBNLDOBBCF-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN CCCC[C@H](C)N(C)CC(=O)NC1CCCC1 ZINC000558474896 384028781 /nfs/dbraw/zinc/02/87/81/384028781.db2.gz DREWCHSYEFEPFQ-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@H](NCC1(O)CCCCCC1)c1ccccn1 ZINC000037856462 384028897 /nfs/dbraw/zinc/02/88/97/384028897.db2.gz JWVYNKJUWJWTHA-ZDUSSCGKSA-N 0 3 248.370 2.818 20 0 BFADHN C[C@@H](NC[C@@H]1CCOC1)c1ccccc1F ZINC000037996245 384053091 /nfs/dbraw/zinc/05/30/91/384053091.db2.gz HORNLOUYUXRHIU-MNOVXSKESA-N 0 3 223.291 2.513 20 0 BFADHN CC[C@H](NC(CF)CF)C1CCCC1 ZINC000449380404 384089227 /nfs/dbraw/zinc/08/92/27/384089227.db2.gz MUURTRCZZAHZLB-NSHDSACASA-N 0 3 205.292 2.852 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cccc(OC)n1 ZINC000069637600 384054104 /nfs/dbraw/zinc/05/41/04/384054104.db2.gz GOIFZTBVSAMSFC-MNOVXSKESA-N 0 3 222.332 2.614 20 0 BFADHN CO[C@@H](CN[C@@H]1CCCc2occc21)C(C)C ZINC000278636944 384056623 /nfs/dbraw/zinc/05/66/23/384056623.db2.gz HHDUTQQXXRNWQI-OCCSQVGLSA-N 0 3 237.343 2.918 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc(Cl)cc1 ZINC000132059172 384062113 /nfs/dbraw/zinc/06/21/13/384062113.db2.gz OGZAWVREMQJVCI-ZJUUUORDSA-N 0 3 227.735 2.853 20 0 BFADHN Clc1ccc(CNC[C@@H]2CCCCO2)o1 ZINC000049900140 384067691 /nfs/dbraw/zinc/06/76/91/384067691.db2.gz VJMFEIGDUWUDBB-VIFPVBQESA-N 0 3 229.707 2.592 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](COC)C2CC2)o1 ZINC000090717572 384070403 /nfs/dbraw/zinc/07/04/03/384070403.db2.gz NQTWJDKROVDHAQ-ZWNOBZJWSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@@H](NCC1CCCCC1)c1cnccn1 ZINC000070024949 384073875 /nfs/dbraw/zinc/07/38/75/384073875.db2.gz JVTAGVFDFDAECF-LLVKDONJSA-N 0 3 219.332 2.708 20 0 BFADHN C[C@@H](NCC1(C2CC2)CC1)c1ccccn1 ZINC000090772825 384074535 /nfs/dbraw/zinc/07/45/35/384074535.db2.gz JEFDONZQXVCRGG-LLVKDONJSA-N 0 3 216.328 2.922 20 0 BFADHN C[C@H](CC1CC1)NCc1cccc2c1OCO2 ZINC000070100724 384079594 /nfs/dbraw/zinc/07/95/94/384079594.db2.gz NYESYHMDSMOKNE-SNVBAGLBSA-N 0 3 233.311 2.694 20 0 BFADHN C[C@@H](CC1CC1)NCc1cccc2c1OCO2 ZINC000070100723 384080086 /nfs/dbraw/zinc/08/00/86/384080086.db2.gz NYESYHMDSMOKNE-JTQLQIEISA-N 0 3 233.311 2.694 20 0 BFADHN COc1ccsc1CN[C@@H]1CCS[C@@H]1C ZINC000647257865 384080585 /nfs/dbraw/zinc/08/05/85/384080585.db2.gz BUICBVPUBCSZEC-RKDXNWHRSA-N 0 3 243.397 2.740 20 0 BFADHN CC[C@H](CSC)N[C@H](C)c1cccnc1 ZINC000091555512 384098916 /nfs/dbraw/zinc/09/89/16/384098916.db2.gz GGWJHPRHPXMPOO-ZYHUDNBSSA-N 0 3 224.373 2.874 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CCCC12CC2 ZINC000569473424 384099525 /nfs/dbraw/zinc/09/95/25/384099525.db2.gz ZCVCPLSZMUCKOJ-NWDGAFQWSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@H](CSC)N(C)Cc1c(C)noc1C ZINC000091098556 384102734 /nfs/dbraw/zinc/10/27/34/384102734.db2.gz AYISOHDGYZLZOK-LLVKDONJSA-N 0 3 242.388 2.865 20 0 BFADHN C[C@@H](NCCCc1ccccc1)c1cnccn1 ZINC000070477498 384110289 /nfs/dbraw/zinc/11/02/89/384110289.db2.gz XEUULGIRPBYYSD-CYBMUJFWSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@H](CCc1ccco1)N[C@@H](C)c1cnccn1 ZINC000070477673 384110764 /nfs/dbraw/zinc/11/07/64/384110764.db2.gz XKFCTCZZHSXIHJ-NEPJUHHUSA-N 0 3 245.326 2.742 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1cc2cccnc2o1 ZINC000647259105 384112458 /nfs/dbraw/zinc/11/24/58/384112458.db2.gz IUHIXEUXJYAIQS-CABZTGNLSA-N 0 3 248.351 2.811 20 0 BFADHN C[C@H](NC[C@H]1CCCC[C@@H]1C)c1cnccn1 ZINC000070479515 384113096 /nfs/dbraw/zinc/11/30/96/384113096.db2.gz PJBSYJQLDBNHIH-RWMBFGLXSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@H](NC[C@@H]1CCCC[C@@H]1C)c1cnccn1 ZINC000070479517 384114941 /nfs/dbraw/zinc/11/49/41/384114941.db2.gz PJBSYJQLDBNHIH-AVGNSLFASA-N 0 3 233.359 2.954 20 0 BFADHN Cn1ccc(CNCCSc2ccccc2)c1 ZINC000091008653 384093049 /nfs/dbraw/zinc/09/30/49/384093049.db2.gz BSORNHMJLCRQEX-UHFFFAOYSA-N 0 3 246.379 2.907 20 0 BFADHN Cc1ccc(C[C@@H](C)NCc2ncc[nH]2)s1 ZINC000070716175 384135029 /nfs/dbraw/zinc/13/50/29/384135029.db2.gz DIHQOJKVYIEPFS-SECBINFHSA-N 0 3 235.356 2.500 20 0 BFADHN FC(F)(F)c1cccc2c1C1(CC1)CNC2 ZINC000091366333 384124111 /nfs/dbraw/zinc/12/41/11/384124111.db2.gz NEIHBCGFFWJTFI-UHFFFAOYSA-N 0 3 227.229 2.840 20 0 BFADHN CCc1cc(N[C@@H](C)CO)c2ccccc2n1 ZINC000091485256 384129283 /nfs/dbraw/zinc/12/92/83/384129283.db2.gz CNSCEUMCGUPLFC-JTQLQIEISA-N 0 3 230.311 2.590 20 0 BFADHN CC[C@H](COC)NCc1ccc(C)c(F)c1 ZINC000070657880 384129668 /nfs/dbraw/zinc/12/96/68/384129668.db2.gz WOVSJRCCBFCUEA-GFCCVEGCSA-N 0 3 225.307 2.649 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1ccccc1F ZINC000070656637 384130124 /nfs/dbraw/zinc/13/01/24/384130124.db2.gz WBPKPZSNQRCLAL-GHMZBOCLSA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@H](COC)NCc1ccc(SC)cc1 ZINC000070657842 384130561 /nfs/dbraw/zinc/13/05/61/384130561.db2.gz YOKUIJMRRCDBBE-GFCCVEGCSA-N 0 3 239.384 2.923 20 0 BFADHN CC[C@H](COC)NCc1ccc(Cl)c(F)c1 ZINC000070658004 384130600 /nfs/dbraw/zinc/13/06/00/384130600.db2.gz BDPQZRBFINRLJT-SNVBAGLBSA-N 0 3 245.725 2.994 20 0 BFADHN Cc1nc(CN2C[C@@H]3CCC[C@@H]3C2)c(C)s1 ZINC000336554869 384131886 /nfs/dbraw/zinc/13/18/86/384131886.db2.gz LOIOVJBUKBEFIQ-TXEJJXNPSA-N 0 3 236.384 2.992 20 0 BFADHN Fc1cccc(F)c1CNC[C@@H]1CCCS1 ZINC000087473064 384149302 /nfs/dbraw/zinc/14/93/02/384149302.db2.gz XEQVAIYVQGIZOP-VIFPVBQESA-N 0 3 243.322 2.950 20 0 BFADHN FCCCCN1CCC[C@@H]1c1ccccn1 ZINC000560463245 384150353 /nfs/dbraw/zinc/15/03/53/384150353.db2.gz QPKCUNZLZZVZQC-CYBMUJFWSA-N 0 3 222.307 2.968 20 0 BFADHN CCCNC(=O)CN(CCC)CC(CC)CC ZINC000092192627 384157157 /nfs/dbraw/zinc/15/71/57/384157157.db2.gz XRTVFKBUMRRZDN-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CCC[C@H](N)c1cn(C[C@H](C)CC(C)C)nn1 ZINC000302329774 384142156 /nfs/dbraw/zinc/14/21/56/384142156.db2.gz KSQAIBISTFDBMS-NEPJUHHUSA-N 0 3 238.379 2.760 20 0 BFADHN CCC[C@@H](N)c1cn(C[C@@H](C)CC(C)C)nn1 ZINC000302329775 384142765 /nfs/dbraw/zinc/14/27/65/384142765.db2.gz KSQAIBISTFDBMS-NWDGAFQWSA-N 0 3 238.379 2.760 20 0 BFADHN CC[C@@H](CN1CC[C@@H]1c1cccc(F)c1)OC ZINC000642692695 384143171 /nfs/dbraw/zinc/14/31/71/384143171.db2.gz NZRURIFNYGEFFW-UONOGXRCSA-N 0 3 237.318 2.998 20 0 BFADHN CO[C@@H](CC(C)C)CN(C)Cc1ccc[nH]1 ZINC000642692764 384144011 /nfs/dbraw/zinc/14/40/11/384144011.db2.gz ZGNYKCHLLCBFMW-ZDUSSCGKSA-N 0 3 224.348 2.508 20 0 BFADHN CSc1ccc([C@@H](C)N[C@H]2CCOC2)cc1 ZINC000070966292 384144311 /nfs/dbraw/zinc/14/43/11/384144311.db2.gz JCURQDLKAWVKGQ-PWSUYJOCSA-N 0 3 237.368 2.848 20 0 BFADHN CC(C)[C@@H](CO)N[C@H](C)c1ccc(F)cc1F ZINC000092393232 384175955 /nfs/dbraw/zinc/17/59/55/384175955.db2.gz UQDRPIWQTKIKJF-NOZJJQNGSA-N 0 3 243.297 2.632 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]([C@@H](C)C2CC2)C2CC2)n1 ZINC000092009439 384160248 /nfs/dbraw/zinc/16/02/48/384160248.db2.gz NHGBVUYZZYDLHG-NSHDSACASA-N 0 3 246.354 2.859 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]([C@@H](C)C2CC2)C2CC2)n1 ZINC000092009439 384160250 /nfs/dbraw/zinc/16/02/50/384160250.db2.gz NHGBVUYZZYDLHG-NSHDSACASA-N 0 3 246.354 2.859 20 0 BFADHN Nc1ccnc(-c2ccc3cccnc3c2)c1 ZINC000116933932 384160846 /nfs/dbraw/zinc/16/08/46/384160846.db2.gz NZRQEPLNEJUIBF-UHFFFAOYSA-N 0 3 221.263 2.879 20 0 BFADHN Cc1ccc2ncc(CN(C)CCC3CC3)n2c1 ZINC000092067256 384161647 /nfs/dbraw/zinc/16/16/47/384161647.db2.gz ZGKQHXHRTDIOJM-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](CO)CC(C)C)o1 ZINC000040773893 384161675 /nfs/dbraw/zinc/16/16/75/384161675.db2.gz ZJZLYYLVEAVFCP-VXGBXAGGSA-N 0 3 225.332 2.646 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](CO)CC(C)C)o1 ZINC000040773889 384162034 /nfs/dbraw/zinc/16/20/34/384162034.db2.gz ZJZLYYLVEAVFCP-NEPJUHHUSA-N 0 3 225.332 2.646 20 0 BFADHN CC(C)[C@@H](CO)N[C@@H](C)c1ccc(F)c(F)c1 ZINC000092392957 384176427 /nfs/dbraw/zinc/17/64/27/384176427.db2.gz NTJHBOJZGPFGHI-TVQRCGJNSA-N 0 3 243.297 2.632 20 0 BFADHN CC1(C)CCC[C@@H](N[C@@H]2CCn3ccnc32)C1 ZINC000335953856 384169503 /nfs/dbraw/zinc/16/95/03/384169503.db2.gz BOGDKQLSRKQKDI-VXGBXAGGSA-N 0 3 233.359 2.886 20 0 BFADHN FC(F)C1CCN(C[C@@H]2CCCCO2)CC1 ZINC000336586059 384185974 /nfs/dbraw/zinc/18/59/74/384185974.db2.gz SJKRRTILWHUPRR-NSHDSACASA-N 0 3 233.302 2.533 20 0 BFADHN Cc1ncc(CN([C@@H](C)C2CC2)C2CC2)o1 ZINC000628140152 384201506 /nfs/dbraw/zinc/20/15/06/384201506.db2.gz BLQHMEZJLUGOMH-VIFPVBQESA-N 0 3 220.316 2.746 20 0 BFADHN Cc1ccsc1CN1CCC([C@H](C)O)CC1 ZINC000092969903 384203118 /nfs/dbraw/zinc/20/31/18/384203118.db2.gz OCEBPRIYHGDLJZ-NSHDSACASA-N 0 3 239.384 2.649 20 0 BFADHN COC(=O)c1coc(CN[C@@]2(C)CC=CCC2)c1 ZINC000398313595 384203462 /nfs/dbraw/zinc/20/34/62/384203462.db2.gz CBFKXIMMTQUBQX-AWEZNQCLSA-N 0 3 249.310 2.655 20 0 BFADHN C[C@H]1CCC[C@H](CN2CCO[C@@H](C)C2)C1 ZINC000559366849 384203956 /nfs/dbraw/zinc/20/39/56/384203956.db2.gz ZRTYYVDYPGJJCM-AVGNSLFASA-N 0 3 211.349 2.533 20 0 BFADHN CSCc1cnc(CNC[C@H]2C[C@@H]2C)s1 ZINC000294013097 384190604 /nfs/dbraw/zinc/19/06/04/384190604.db2.gz GWHWVGOMSRVVLH-DTWKUNHWSA-N 0 3 242.413 2.752 20 0 BFADHN c1ccc2c(c1)nccc2NC[C@@H]1CCCO1 ZINC000041720414 384198891 /nfs/dbraw/zinc/19/88/91/384198891.db2.gz KVHHCYCIBKJVSS-NSHDSACASA-N 0 3 228.295 2.826 20 0 BFADHN CCOC[C@H]1CCCN(Cc2cccc(O)c2)C1 ZINC000093419404 384235485 /nfs/dbraw/zinc/23/54/85/384235485.db2.gz BAJAWHFLVLENRR-AWEZNQCLSA-N 0 3 249.354 2.641 20 0 BFADHN Cc1cc(C)c(CN(C)[C@H]2CCOC2)cc1C ZINC000132013676 384209565 /nfs/dbraw/zinc/20/95/65/384209565.db2.gz YQUKXCGFBKELJB-HNNXBMFYSA-N 0 3 233.355 2.833 20 0 BFADHN Cc1cc(C)c(CN(C)[C@@H]2CCOC2)cc1C ZINC000132013497 384210726 /nfs/dbraw/zinc/21/07/26/384210726.db2.gz YQUKXCGFBKELJB-OAHLLOKOSA-N 0 3 233.355 2.833 20 0 BFADHN CN(Cc1ccc(F)c(Cl)c1)[C@H]1CCOC1 ZINC000132065254 384214158 /nfs/dbraw/zinc/21/41/58/384214158.db2.gz RIRLYXZNJXWOTJ-JTQLQIEISA-N 0 3 243.709 2.700 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1ccc(F)cc1 ZINC000132141959 384215696 /nfs/dbraw/zinc/21/56/96/384215696.db2.gz QFKLXHRFRJABFF-OUAUKWLOSA-N 0 3 225.307 2.900 20 0 BFADHN C[C@@H]1c2ccccc2CCN1CC1=CCCOC1 ZINC000093149621 384216252 /nfs/dbraw/zinc/21/62/52/384216252.db2.gz QGNMZJFGNBPHLO-CYBMUJFWSA-N 0 3 243.350 2.952 20 0 BFADHN CO[C@@H](C)[C@@H](C)NC1(c2ccccc2C)CC1 ZINC000647316199 384217979 /nfs/dbraw/zinc/21/79/79/384217979.db2.gz UNRWGQLLEOPGBL-OLZOCXBDSA-N 0 3 233.355 2.997 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1ccc(F)cc1 ZINC000132141546 384218090 /nfs/dbraw/zinc/21/80/90/384218090.db2.gz QFKLXHRFRJABFF-GARJFASQSA-N 0 3 225.307 2.900 20 0 BFADHN CSC1(CN2CCO[C@@H]3CCC[C@H]32)CCC1 ZINC000336011037 384220731 /nfs/dbraw/zinc/22/07/31/384220731.db2.gz YORAJSFVHDGLMC-VXGBXAGGSA-N 0 3 241.400 2.525 20 0 BFADHN COC(C)(C)CNCc1ccc(F)c(C)c1 ZINC000133029774 384221837 /nfs/dbraw/zinc/22/18/37/384221837.db2.gz IRVUBWKBVCYKMK-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN CCOCCN[C@@H]1CCc2ccc(C)cc21 ZINC000132296411 384224180 /nfs/dbraw/zinc/22/41/80/384224180.db2.gz HBNYAJREZTUAME-CQSZACIVSA-N 0 3 219.328 2.608 20 0 BFADHN CN(CCOCC1CC1)Cc1ccc(F)cc1 ZINC000158382797 384224483 /nfs/dbraw/zinc/22/44/83/384224483.db2.gz GPXXOBJMVBRZHD-UHFFFAOYSA-N 0 3 237.318 2.684 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cn2ccsc2n1 ZINC000132300443 384225699 /nfs/dbraw/zinc/22/56/99/384225699.db2.gz ZPJULDPYUXRZBW-ZJUUUORDSA-N 0 3 237.372 2.920 20 0 BFADHN c1coc(CNCCCCNc2ccccn2)c1 ZINC000119025246 384226025 /nfs/dbraw/zinc/22/60/25/384226025.db2.gz HLJCIPKIWXXJGW-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN Cc1ccc([C@H](C)NCCn2cccn2)cc1C ZINC000042538985 384227131 /nfs/dbraw/zinc/22/71/31/384227131.db2.gz MHOQUDSIWCHNKG-AWEZNQCLSA-N 0 3 243.354 2.851 20 0 BFADHN Cc1ccc(CN(C)CCC(=O)OC(C)C)cc1 ZINC000173490653 384229148 /nfs/dbraw/zinc/22/91/48/384229148.db2.gz GFIWVNJMVGEXKX-UHFFFAOYSA-N 0 3 249.354 2.769 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CCOCC1CCCC1 ZINC000560595238 384243792 /nfs/dbraw/zinc/24/37/92/384243792.db2.gz CKJPHXNORIEPQI-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN CCC[C@@H](O)CNCc1cc(Cl)ccc1F ZINC000119190761 384244180 /nfs/dbraw/zinc/24/41/80/384244180.db2.gz OIWYPIOOBBAOSN-LLVKDONJSA-N 0 3 245.725 2.730 20 0 BFADHN Cc1ccc(CN2CCSC(C)(C)C2)o1 ZINC000093504349 384245204 /nfs/dbraw/zinc/24/52/04/384245204.db2.gz XRHWIQLSYWGFCG-UHFFFAOYSA-N 0 3 225.357 2.915 20 0 BFADHN Cc1ccoc1CN1CCSC(C)(C)C1 ZINC000093504582 384245536 /nfs/dbraw/zinc/24/55/36/384245536.db2.gz XZLOTMWQYAHQMW-UHFFFAOYSA-N 0 3 225.357 2.915 20 0 BFADHN c1cnc(CN[C@@H]2CC[C@H]3CCC[C@H]3C2)cn1 ZINC000560604207 384246804 /nfs/dbraw/zinc/24/68/04/384246804.db2.gz BSWLMMDRLBEHOM-FRRDWIJNSA-N 0 3 231.343 2.535 20 0 BFADHN CCC[C@H](CC)[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000449404159 384248861 /nfs/dbraw/zinc/24/88/61/384248861.db2.gz ABWQJNPBXQELKZ-JTQLQIEISA-N 0 3 224.352 2.596 20 0 BFADHN CCC[C@H](CC)NCc1nnc(C(C)C)[nH]1 ZINC000449404159 384248866 /nfs/dbraw/zinc/24/88/66/384248866.db2.gz ABWQJNPBXQELKZ-JTQLQIEISA-N 0 3 224.352 2.596 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1cc(F)cc(F)c1 ZINC000132802380 384252182 /nfs/dbraw/zinc/25/21/82/384252182.db2.gz NUTPSLZVZAFTHP-ZANVPECISA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@H](CNCc1ccc(C)o1)N1CCCC1 ZINC000119276353 384253788 /nfs/dbraw/zinc/25/37/88/384253788.db2.gz SUCSETLGEFKGCY-CYBMUJFWSA-N 0 3 236.359 2.552 20 0 BFADHN CCSCC[C@@H](C)N(C)Cc1c[nH]nc1C ZINC000093871098 384277390 /nfs/dbraw/zinc/27/73/90/384277390.db2.gz LIJFILYDESVXTA-SNVBAGLBSA-N 0 3 241.404 2.682 20 0 BFADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1cnccn1 ZINC000560610589 384257249 /nfs/dbraw/zinc/25/72/49/384257249.db2.gz XECOJHOXLZVFTK-ZIAGYGMSSA-N 0 3 233.359 2.589 20 0 BFADHN CCN(Cc1ccccc1)CC1=CCCOC1 ZINC000158693212 384257477 /nfs/dbraw/zinc/25/74/77/384257477.db2.gz UIVWYSUXIFPFPT-UHFFFAOYSA-N 0 3 231.339 2.855 20 0 BFADHN Cc1ccccc1C1(N[C@@H]2CO[C@@H](C)C2)CC1 ZINC000647224616 384277623 /nfs/dbraw/zinc/27/76/23/384277623.db2.gz QBKOEVGTTZVFOP-STQMWFEESA-N 0 3 231.339 2.751 20 0 BFADHN CCCC[C@@H](C)N(CCCC)CC(=O)OC ZINC000559387923 384277782 /nfs/dbraw/zinc/27/77/82/384277782.db2.gz TWBMHULKMRXUNI-GFCCVEGCSA-N 0 3 229.364 2.840 20 0 BFADHN CC[C@@H]1CN(C)CCN1Cc1ccc(C)cc1C ZINC000560618282 384263767 /nfs/dbraw/zinc/26/37/67/384263767.db2.gz CQLBXCDQYKANRX-MRXNPFEDSA-N 0 3 246.398 2.829 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1cccc(OC)c1)OC ZINC000290244408 384265714 /nfs/dbraw/zinc/26/57/14/384265714.db2.gz SVODTAOAULKBEF-AAEUAGOBSA-N 0 3 237.343 2.771 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](C)CC(F)F)nn1C ZINC000647319834 384266387 /nfs/dbraw/zinc/26/63/87/384266387.db2.gz MNYFCZVRYKAEDB-SCZZXKLOSA-N 0 3 245.317 2.670 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccccc2Cl)CO1 ZINC000335423496 384270287 /nfs/dbraw/zinc/27/02/87/384270287.db2.gz ABZVKNXOSSSNFD-KOLCDFICSA-N 0 3 225.719 2.607 20 0 BFADHN CCN(CCc1nccs1)Cc1ccco1 ZINC000093764398 384270214 /nfs/dbraw/zinc/27/02/14/384270214.db2.gz HBBZWPJTTIGVPP-UHFFFAOYSA-N 0 3 236.340 2.801 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc(F)c(Cl)c1 ZINC000133142667 384274061 /nfs/dbraw/zinc/27/40/61/384274061.db2.gz DRKDAPCXCWEFJK-BDAKNGLRSA-N 0 3 245.725 2.992 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cccc(O)c1)C(C)C ZINC000282432068 384276740 /nfs/dbraw/zinc/27/67/40/384276740.db2.gz TYWYQGJLXPMUFT-FZMZJTMJSA-N 0 3 237.343 2.714 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc3ccccc3o2)CO1 ZINC000335435267 384276877 /nfs/dbraw/zinc/27/68/77/384276877.db2.gz JYBDJRGDDVBVLM-ZYHUDNBSSA-N 0 3 231.295 2.700 20 0 BFADHN CN(Cc1ccc(N2CCCC2)nc1)C1CCC1 ZINC000093475772 384242046 /nfs/dbraw/zinc/24/20/46/384242046.db2.gz RVECEHHZRYQAJC-UHFFFAOYSA-N 0 3 245.370 2.666 20 0 BFADHN Cc1noc(C)c1CNC[C@H](C)CC(F)F ZINC000647225458 384303841 /nfs/dbraw/zinc/30/38/41/384303841.db2.gz GTCNJSNFPAWARM-SSDOTTSWSA-N 0 3 232.274 2.672 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1ccc(F)cc1 ZINC000133606901 384304049 /nfs/dbraw/zinc/30/40/49/384304049.db2.gz DTWWYUHLTUQNFU-MFKMUULPSA-N 0 3 225.307 2.637 20 0 BFADHN Cc1noc(C)c1CNC[C@@H](C)CC(F)F ZINC000647225459 384305102 /nfs/dbraw/zinc/30/51/02/384305102.db2.gz GTCNJSNFPAWARM-ZETCQYMHSA-N 0 3 232.274 2.672 20 0 BFADHN CCN(CCCOC(C)C)Cc1ccccn1 ZINC000119799981 384306354 /nfs/dbraw/zinc/30/63/54/384306354.db2.gz QEPFUAKSODRKRV-UHFFFAOYSA-N 0 3 236.359 2.719 20 0 BFADHN C[C@H](O)CCNCc1cc(Cl)ccc1Cl ZINC000083698505 384322296 /nfs/dbraw/zinc/32/22/96/384322296.db2.gz VWLLKFUDZKAKPW-QMMMGPOBSA-N 0 3 248.153 2.854 20 0 BFADHN C[C@H](N[C@H]1CCO[C@H]1C)c1ccc(F)cc1F ZINC000119827072 384308820 /nfs/dbraw/zinc/30/88/20/384308820.db2.gz IRIMYZWKJRWFNN-RVBZMBCESA-N 0 3 241.281 2.793 20 0 BFADHN C[C@@H](NC[C@@]1(C)CCCC[C@@H]1O)c1ccco1 ZINC000159220359 384310840 /nfs/dbraw/zinc/31/08/40/384310840.db2.gz HOUXFSUQWHJPGF-KWCYVHTRSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](NC[C@@]1(C)CCCC[C@@H]1O)c1ccco1 ZINC000159220211 384311296 /nfs/dbraw/zinc/31/12/96/384311296.db2.gz HOUXFSUQWHJPGF-FPMFFAJLSA-N 0 3 237.343 2.871 20 0 BFADHN CCSCCN(CC)CCc1nccs1 ZINC000159290356 384316142 /nfs/dbraw/zinc/31/61/42/384316142.db2.gz NZUMCFGZFFOFCT-UHFFFAOYSA-N 0 3 244.429 2.761 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1cc(F)cc(F)c1 ZINC000094826116 384317106 /nfs/dbraw/zinc/31/71/06/384317106.db2.gz NWVXDGWSKVTGCK-NOZJJQNGSA-N 0 3 241.281 2.794 20 0 BFADHN CCC[C@@H](NC[C@H](C)CCO)c1ccccn1 ZINC000305717548 384318701 /nfs/dbraw/zinc/31/87/01/384318701.db2.gz IEVVVQCZVIADRH-CHWSQXEVSA-N 0 3 236.359 2.531 20 0 BFADHN C[C@H](CCCO)N[C@@H](C)c1cc(F)cc(F)c1 ZINC000094942587 384319630 /nfs/dbraw/zinc/31/96/30/384319630.db2.gz HKPFFEUZGOVTQK-ZJUUUORDSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1ccoc1CN[C@H]1CC[C@H]1C1CC1 ZINC000309166492 384278834 /nfs/dbraw/zinc/27/88/34/384278834.db2.gz JERZWDKYYWUZCY-RYUDHWBXSA-N 0 3 205.301 2.866 20 0 BFADHN CC(C)c1nnc(C[NH2+]C2CCCCCC2)[n-]1 ZINC000449405704 384280880 /nfs/dbraw/zinc/28/08/80/384280880.db2.gz NBAKYNDOXVWZSI-UHFFFAOYSA-N 0 3 236.363 2.741 20 0 BFADHN CC(C)c1nnc(CNC2CCCCCC2)[nH]1 ZINC000449405704 384280889 /nfs/dbraw/zinc/28/08/89/384280889.db2.gz NBAKYNDOXVWZSI-UHFFFAOYSA-N 0 3 236.363 2.741 20 0 BFADHN Cc1ccnc(NCCN2CCC(C)CC2)c1 ZINC000043561599 384287559 /nfs/dbraw/zinc/28/75/59/384287559.db2.gz CFJAHVLVINKXDY-UHFFFAOYSA-N 0 3 233.359 2.534 20 0 BFADHN C[C@@]1(NCc2cc(F)ccc2F)CCCOC1 ZINC000221367928 384288058 /nfs/dbraw/zinc/28/80/58/384288058.db2.gz FZQDNEGMAPCJDF-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CCC[C@H](CC)C1 ZINC000168671989 384289415 /nfs/dbraw/zinc/28/94/15/384289415.db2.gz LENLAMHMNCXCAJ-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN CCC[C@@H](NCCN1CCCC1)c1ccccn1 ZINC000179460461 384292515 /nfs/dbraw/zinc/29/25/15/384292515.db2.gz GQZGNLGFXGYYHX-CQSZACIVSA-N 0 3 247.386 2.608 20 0 BFADHN CCC[C@@H](NC[C@@H](O)C(C)C)c1ccccn1 ZINC000179473002 384294259 /nfs/dbraw/zinc/29/42/59/384294259.db2.gz LROATXQNLXEEEP-TZMCWYRMSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1ccc([C@H](C)CNCc2ncc[nH]2)cc1 ZINC000119684171 384294347 /nfs/dbraw/zinc/29/43/47/384294347.db2.gz RVAYVXBXOKLNNZ-GFCCVEGCSA-N 0 3 229.327 2.611 20 0 BFADHN CC[C@@H](CO)NCc1cc(Cl)ccc1Cl ZINC000083377970 384295897 /nfs/dbraw/zinc/29/58/97/384295897.db2.gz AZEXQVLMKGPPDO-JTQLQIEISA-N 0 3 248.153 2.854 20 0 BFADHN CC[C@H](NCc1ccccc1F)[C@H]1CCCO1 ZINC000119681933 384296313 /nfs/dbraw/zinc/29/63/13/384296313.db2.gz ISPUEZXJXXONPQ-UONOGXRCSA-N 0 3 237.318 2.873 20 0 BFADHN Cc1nnsc1CN[C@H]1CCCCC1(C)C ZINC000335571617 384334998 /nfs/dbraw/zinc/33/49/98/384334998.db2.gz YJPUMOYIZNDDLY-NSHDSACASA-N 0 3 239.388 2.905 20 0 BFADHN CSCCCN(C)Cc1scnc1C ZINC000075719566 384336657 /nfs/dbraw/zinc/33/66/57/384336657.db2.gz BVEXHXDTFGKMQJ-UHFFFAOYSA-N 0 3 230.402 2.636 20 0 BFADHN CN(C)CCSCCCc1ccccc1 ZINC000120218379 384337328 /nfs/dbraw/zinc/33/73/28/384337328.db2.gz UWFXZHVYURKIEA-UHFFFAOYSA-N 0 3 223.385 2.914 20 0 BFADHN Cc1nocc1CN[C@@H]1CCCC(F)(F)C1 ZINC000334172595 384373470 /nfs/dbraw/zinc/37/34/70/384373470.db2.gz BTLXRLLYJBTWPC-SNVBAGLBSA-N 0 3 230.258 2.651 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1coc2ccccc12 ZINC000134238944 384339643 /nfs/dbraw/zinc/33/96/43/384339643.db2.gz REKFIQFLJCGZQK-GXFFZTMASA-N 0 3 231.295 2.700 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1coc2ccccc12 ZINC000134238962 384341062 /nfs/dbraw/zinc/34/10/62/384341062.db2.gz REKFIQFLJCGZQK-MFKMUULPSA-N 0 3 231.295 2.700 20 0 BFADHN CC[C@H](CSC)[NH2+]Cc1cc([O-])cc(F)c1 ZINC000159623354 384345159 /nfs/dbraw/zinc/34/51/59/384345159.db2.gz GVRNZJFAZDAFMC-LLVKDONJSA-N 0 3 243.347 2.763 20 0 BFADHN CC(C)[C@H]1CN(Cc2cccnc2)CCS1 ZINC000076063938 384355942 /nfs/dbraw/zinc/35/59/42/384355942.db2.gz IGXAIGQMXODEMK-CYBMUJFWSA-N 0 3 236.384 2.655 20 0 BFADHN CCc1nn(C)c(CC)c1CN(C)C1CCCC1 ZINC000179799744 384359246 /nfs/dbraw/zinc/35/92/46/384359246.db2.gz HYFWLUQVAJHLAB-UHFFFAOYSA-N 0 3 249.402 2.919 20 0 BFADHN C[C@@H](CNCc1nccs1)CC(F)F ZINC000647225819 384374421 /nfs/dbraw/zinc/37/44/21/384374421.db2.gz CVXDJVUNTQFEGX-SSDOTTSWSA-N 0 3 220.288 2.524 20 0 BFADHN Cc1ccoc1CNC[C@@H](C)CC(F)F ZINC000647225977 384374497 /nfs/dbraw/zinc/37/44/97/384374497.db2.gz LJMQBMLXYXNZRV-QMMMGPOBSA-N 0 3 217.259 2.969 20 0 BFADHN Cc1ccoc1CN[C@H]1CCOC(C)(C)C1 ZINC000159890555 384367216 /nfs/dbraw/zinc/36/72/16/384367216.db2.gz WJACSBLZAMLVDP-NSHDSACASA-N 0 3 223.316 2.635 20 0 BFADHN COc1ccccc1CNCCCOC(C)C ZINC000013717919 384368155 /nfs/dbraw/zinc/36/81/55/384368155.db2.gz FXZULNKSUPETTM-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN COCC[C@H](C)N[C@H](C)c1ccccc1F ZINC000045036146 384371932 /nfs/dbraw/zinc/37/19/32/384371932.db2.gz CUOAFYSKGAFKOF-WDEREUQCSA-N 0 3 225.307 2.901 20 0 BFADHN CC(C)CO[C@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC000120031127 384324824 /nfs/dbraw/zinc/32/48/24/384324824.db2.gz YTEZCJLTPOBERS-KBPBESRZSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@]1(NCc2cccc3cccnc32)CCOC1 ZINC000120114129 384328371 /nfs/dbraw/zinc/32/83/71/384328371.db2.gz YYPCREKSTIDYCK-HNNXBMFYSA-N 0 3 242.322 2.503 20 0 BFADHN CCc1cc(NC[C@H](C)O)c2ccccc2n1 ZINC000120182991 384334387 /nfs/dbraw/zinc/33/43/87/384334387.db2.gz QCHJQSYWRZAUAK-JTQLQIEISA-N 0 3 230.311 2.590 20 0 BFADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1cccnc1 ZINC000160213515 384392942 /nfs/dbraw/zinc/39/29/42/384392942.db2.gz FRBRCKCCKUFMAZ-DGCLKSJQSA-N 0 3 234.343 2.690 20 0 BFADHN FC1(F)CCC[C@H](NCc2cncs2)C1 ZINC000335621038 384393273 /nfs/dbraw/zinc/39/32/73/384393273.db2.gz JSUZASWGYXLGTD-QMMMGPOBSA-N 0 3 232.299 2.811 20 0 BFADHN CSCCCN[C@@H](C)c1ccc(C)o1 ZINC000035015524 384394159 /nfs/dbraw/zinc/39/41/59/384394159.db2.gz JQKLRZALXZNDMY-JTQLQIEISA-N 0 3 213.346 2.992 20 0 BFADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1cccnc1 ZINC000160213171 384394210 /nfs/dbraw/zinc/39/42/10/384394210.db2.gz FRBRCKCCKUFMAZ-AAEUAGOBSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC[C@@]2(C)CO)o1 ZINC000530517621 384394475 /nfs/dbraw/zinc/39/44/75/384394475.db2.gz DBJJXEAWAVHEJL-KYOSRNDESA-N 0 3 249.354 2.750 20 0 BFADHN CC[C@H](NCCOC)c1ccc(F)cc1F ZINC000160651494 384426414 /nfs/dbraw/zinc/42/64/14/384426414.db2.gz KZUOPLFDGVJNKY-LBPRGKRZSA-N 0 3 229.270 2.652 20 0 BFADHN Cc1ccc(CNC[C@@H](C)c2nccs2)o1 ZINC000134987506 384403936 /nfs/dbraw/zinc/40/39/36/384403936.db2.gz BATSDCFOMNAFGN-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN Cc1nnc([C@H](C)NC[C@H]2CC=CCC2)s1 ZINC000135000398 384407258 /nfs/dbraw/zinc/40/72/58/384407258.db2.gz RROSCUGYIIAMHQ-ONGXEEELSA-N 0 3 237.372 2.853 20 0 BFADHN c1ccc(CN[C@@H]2CC23CCCC3)nc1 ZINC000335633345 384407734 /nfs/dbraw/zinc/40/77/34/384407734.db2.gz LZMYHAFBQGTVOD-GFCCVEGCSA-N 0 3 202.301 2.504 20 0 BFADHN c1ncc(CN[C@@H]2CCC23CCCC3)s1 ZINC000335630667 384408553 /nfs/dbraw/zinc/40/85/53/384408553.db2.gz VTCWAUNZMDRSKZ-LLVKDONJSA-N 0 3 222.357 2.956 20 0 BFADHN c1csc(CN2CCC[C@@H]2C2CCC2)n1 ZINC000135037360 384410686 /nfs/dbraw/zinc/41/06/86/384410686.db2.gz DAWHMTNXPNHWGV-LLVKDONJSA-N 0 3 222.357 2.908 20 0 BFADHN CS[C@H](C)CN[C@@H]1CCCc2occc21 ZINC000169106944 384411717 /nfs/dbraw/zinc/41/17/17/384411717.db2.gz CMCCJQZRYBXAQW-MWLCHTKSSA-N 0 3 225.357 2.998 20 0 BFADHN COCC1(N[C@@H](C)c2ccc(OC)cc2C)CC1 ZINC000564426056 384417049 /nfs/dbraw/zinc/41/70/49/384417049.db2.gz RCWPOVXQGJOKJT-LBPRGKRZSA-N 0 3 249.354 2.833 20 0 BFADHN CCC(C)(C)C(=O)Nc1cc(C)cc(CN)c1 ZINC000322962567 384420604 /nfs/dbraw/zinc/42/06/04/384420604.db2.gz WJVPLXUJJXYPGR-UHFFFAOYSA-N 0 3 234.343 2.828 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1ccccc1Cl ZINC000096033419 384424905 /nfs/dbraw/zinc/42/49/05/384424905.db2.gz XGYCKRPKHRRRHB-BXKDBHETSA-N 0 3 225.719 2.607 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1ccccc1Cl ZINC000096033420 384424920 /nfs/dbraw/zinc/42/49/20/384424920.db2.gz XGYCKRPKHRRRHB-JOYOIKCWSA-N 0 3 225.719 2.607 20 0 BFADHN C[C@H](NCC[C@H]1CCCCO1)c1ccco1 ZINC000134728945 384380871 /nfs/dbraw/zinc/38/08/71/384380871.db2.gz ASNGCSISOLUOTI-NWDGAFQWSA-N 0 3 223.316 2.889 20 0 BFADHN COc1ccc(CN2CC(C3CC3)C2)cc1OC ZINC000643345821 384425372 /nfs/dbraw/zinc/42/53/72/384425372.db2.gz OTVIXMHHNQHTAR-UHFFFAOYSA-N 0 3 247.338 2.546 20 0 BFADHN Cc1ccoc1CN[C@@H](C)CN1CCCCC1 ZINC000134768332 384385809 /nfs/dbraw/zinc/38/58/09/384385809.db2.gz UIFMWFQNLHMXPF-ZDUSSCGKSA-N 0 3 236.359 2.552 20 0 BFADHN C[C@@H](N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)c1ccco1 ZINC000134791570 384386909 /nfs/dbraw/zinc/38/69/09/384386909.db2.gz LCUZRMXNMSDHLH-WVWOOGAGSA-N 0 3 247.338 2.888 20 0 BFADHN CC(C)[C@@H](NCCCO)c1ccc(F)cc1F ZINC000305779274 384387614 /nfs/dbraw/zinc/38/76/14/384387614.db2.gz RNLDGVNTKULGJN-CYBMUJFWSA-N 0 3 243.297 2.634 20 0 BFADHN Cc1cnc(CN[C@H]2CC3CCC2CC3)o1 ZINC000335612194 384387573 /nfs/dbraw/zinc/38/75/73/384387573.db2.gz ZFQCFBSVXPTTSK-MCIGGMRASA-N 0 3 220.316 2.651 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1cccnc1 ZINC000160898543 384445947 /nfs/dbraw/zinc/44/59/47/384445947.db2.gz RFQJHDQHVYPGPP-MRVWCRGKSA-N 0 3 234.343 2.690 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](C)CCO)o1 ZINC000121955367 384448277 /nfs/dbraw/zinc/44/82/77/384448277.db2.gz JXNQDZSJZXNXHR-MNOVXSKESA-N 0 3 225.332 2.511 20 0 BFADHN c1cnc(CNC[C@@H]2C[C@H]2C2CCCCC2)cn1 ZINC000583426655 384448472 /nfs/dbraw/zinc/44/84/72/384448472.db2.gz TWDYMDGDIYECBZ-ZFWWWQNUSA-N 0 3 245.370 2.783 20 0 BFADHN CC[C@@H](COC)NC1(c2ccccc2OC)CC1 ZINC000647328944 384448638 /nfs/dbraw/zinc/44/86/38/384448638.db2.gz BVNFBUJOZBGHET-LBPRGKRZSA-N 0 3 249.354 2.699 20 0 BFADHN Cc1ccncc1CNC[C@@H]1CCCCS1 ZINC000135466869 384448815 /nfs/dbraw/zinc/44/88/15/384448815.db2.gz MSKCCCJBHAXZKY-ZDUSSCGKSA-N 0 3 236.384 2.765 20 0 BFADHN C[C@@H]1CN(C)CCN1CCC1CCCCCC1 ZINC000574961005 384453490 /nfs/dbraw/zinc/45/34/90/384453490.db2.gz DVOMQKPDSRRNSM-CQSZACIVSA-N 0 3 238.419 2.983 20 0 BFADHN CC(C)CCc1ccc(NC(=O)[C@@H]2C[C@@H]2N)cc1 ZINC000643664888 384429315 /nfs/dbraw/zinc/42/93/15/384429315.db2.gz KQUBWGNAVQUWOQ-KGLIPLIRSA-N 0 3 246.354 2.561 20 0 BFADHN Cc1ccc2nc(CN(C)CCC3CC3)cn2c1 ZINC000135292647 384431088 /nfs/dbraw/zinc/43/10/88/384431088.db2.gz MAPKTLKGCLLALH-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1ccc2nc(CN(C)[C@@H](C)C3CC3)cn2c1 ZINC000078723241 384457067 /nfs/dbraw/zinc/45/70/67/384457067.db2.gz UAXCXFPYILBFFJ-LBPRGKRZSA-N 0 3 243.354 2.873 20 0 BFADHN CCCN(Cc1c(CC)nn(C)c1CC)C1CC1 ZINC000180099267 384437658 /nfs/dbraw/zinc/43/76/58/384437658.db2.gz JJIKYDWNRMJDHM-UHFFFAOYSA-N 0 3 249.402 2.919 20 0 BFADHN CC(C)C(CN1CCOC[C@H]1C)C(C)C ZINC000556617026 384438790 /nfs/dbraw/zinc/43/87/90/384438790.db2.gz VZDBYWVIKONUFZ-GFCCVEGCSA-N 0 3 213.365 2.635 20 0 BFADHN CC(C)CCC[C@@H](C)NCc1cc[nH]n1 ZINC000036900194 384463580 /nfs/dbraw/zinc/46/35/80/384463580.db2.gz SXTNSKTVAYHTQV-LLVKDONJSA-N 0 3 209.337 2.714 20 0 BFADHN CSCCN(C)Cc1cccc(F)c1 ZINC000096954233 384485335 /nfs/dbraw/zinc/48/53/35/384485335.db2.gz FKAWOQWHRBAYJZ-UHFFFAOYSA-N 0 3 213.321 2.621 20 0 BFADHN CC(C)(C)[C@@H](NCC1OCCO1)c1ccccc1 ZINC000122215973 384466274 /nfs/dbraw/zinc/46/62/74/384466274.db2.gz BPTUNYVKNJRMGX-AWEZNQCLSA-N 0 3 249.354 2.736 20 0 BFADHN c1cc(CN2CCCOCC2)cc(C2CC2)c1 ZINC000334996426 384466895 /nfs/dbraw/zinc/46/68/95/384466895.db2.gz ZBTOYTHRNOXXKP-UHFFFAOYSA-N 0 3 231.339 2.786 20 0 BFADHN COC(=O)c1ccccc1CN(C)CC(C)C ZINC000096730914 384475349 /nfs/dbraw/zinc/47/53/49/384475349.db2.gz NZGZDVHOANCGNT-UHFFFAOYSA-N 0 3 235.327 2.561 20 0 BFADHN CCN(Cc1cc2ccccc2[nH]1)[C@H]1CCOC1 ZINC000643353302 384481268 /nfs/dbraw/zinc/48/12/68/384481268.db2.gz IWAUXSABHSCFKE-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN C[C@@H](CCNCc1cncnc1)c1ccccc1 ZINC000061910126 384485146 /nfs/dbraw/zinc/48/51/46/384485146.db2.gz QJQXLEOKSFYOLM-ZDUSSCGKSA-N 0 3 241.338 2.760 20 0 BFADHN CO[C@H](C)CCN[C@@H](C)c1cc(C)oc1C ZINC000278906452 384458633 /nfs/dbraw/zinc/45/86/33/384458633.db2.gz ZTEZBRADGWJEFF-KOLCDFICSA-N 0 3 225.332 2.972 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](CO)C(C)C)o1 ZINC000122635661 384497748 /nfs/dbraw/zinc/49/77/48/384497748.db2.gz IEBCXSGNTPOVLB-CMPLNLGQSA-N 0 3 225.332 2.510 20 0 BFADHN C[C@@H]1CC(O)C[C@H](C)N1C/C=C/c1ccccc1 ZINC000556812329 384498332 /nfs/dbraw/zinc/49/83/32/384498332.db2.gz URNJDIIOYLWTRL-OOHALKHHSA-N 0 3 245.366 2.934 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1cccc(OC)c1 ZINC000079712538 384511926 /nfs/dbraw/zinc/51/19/26/384511926.db2.gz AKCKMJBLDFWHDP-WCQYABFASA-N 0 3 237.343 2.771 20 0 BFADHN COC(CN1CCC[C@H]1c1cccc(C)c1)OC ZINC000136745398 384507000 /nfs/dbraw/zinc/50/70/00/384507000.db2.gz WMKJYKPZXBVMGI-AWEZNQCLSA-N 0 3 249.354 2.751 20 0 BFADHN C[C@@H](NCCC(F)(F)F)c1cncc(F)c1 ZINC000122760345 384508373 /nfs/dbraw/zinc/50/83/73/384508373.db2.gz JYTIRCDUCXVKLT-SSDOTTSWSA-N 0 3 236.212 2.824 20 0 BFADHN CC(C)(NCc1ccc(N)nc1)c1ccccc1 ZINC000647518178 384492122 /nfs/dbraw/zinc/49/21/22/384492122.db2.gz LQEZNJYAQNOEPE-UHFFFAOYSA-N 0 3 241.338 2.519 20 0 BFADHN Cn1cnc(CN[C@@H]2CCC[C@H]2C(C)(C)C)c1 ZINC000642891118 384494134 /nfs/dbraw/zinc/49/41/34/384494134.db2.gz CXZURBMQQPGAMY-CHWSQXEVSA-N 0 3 235.375 2.725 20 0 BFADHN Cn1nccc1CNCC(C)(C)c1cccs1 ZINC000080202607 384535293 /nfs/dbraw/zinc/53/52/93/384535293.db2.gz QZWVWWHJYLZZPE-UHFFFAOYSA-N 0 3 249.383 2.549 20 0 BFADHN C[C@@H](N[C@@H](C)CCO)c1ccc(F)cc1Cl ZINC000122815042 384512939 /nfs/dbraw/zinc/51/29/39/384512939.db2.gz GDVZDWMBWOSGIC-DTWKUNHWSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H](CCO)N[C@@H](C)c1ccc(F)cc1Cl ZINC000122814813 384514117 /nfs/dbraw/zinc/51/41/17/384514117.db2.gz GDVZDWMBWOSGIC-BDAKNGLRSA-N 0 3 245.725 2.901 20 0 BFADHN CC[C@](C)(CNCc1ccc(C)c(C)n1)OC ZINC000319846758 384514338 /nfs/dbraw/zinc/51/43/38/384514338.db2.gz KLGMSRYGOYAVMU-CQSZACIVSA-N 0 3 236.359 2.603 20 0 BFADHN Cc1nocc1CN[C@@H]1CCCC[C@H]1C ZINC000294095909 384514723 /nfs/dbraw/zinc/51/47/23/384514723.db2.gz MCQRCCGAXZFBQP-BXKDBHETSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H](CCO)N[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000122861177 384516338 /nfs/dbraw/zinc/51/63/38/384516338.db2.gz XOUOHKSJYQOMMC-BDAKNGLRSA-N 0 3 245.725 2.901 20 0 BFADHN Cc1ccc(CNCc2cccn2C)c(C)c1 ZINC000161701929 384516345 /nfs/dbraw/zinc/51/63/45/384516345.db2.gz LIBUUUCYHJYTDK-UHFFFAOYSA-N 0 3 228.339 2.932 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCSC2)o1 ZINC000061589592 384519116 /nfs/dbraw/zinc/51/91/16/384519116.db2.gz YKYPLGBEXWXQIO-SNVBAGLBSA-N 0 3 211.330 2.573 20 0 BFADHN C[C@@H](CCO)CNCc1cc2ccccc2o1 ZINC000097439334 384520049 /nfs/dbraw/zinc/52/00/49/384520049.db2.gz HAHHBYDVQBGIEU-NSHDSACASA-N 0 3 233.311 2.541 20 0 BFADHN C[C@@H](NC[C@@H](C)CCO)c1cc(F)ccc1F ZINC000097439106 384520772 /nfs/dbraw/zinc/52/07/72/384520772.db2.gz VNKRRMFIPIYOFS-VHSXEESVSA-N 0 3 243.297 2.634 20 0 BFADHN COC(C)(C)C[C@H](C)NCc1ncc(C)s1 ZINC000122928337 384521907 /nfs/dbraw/zinc/52/19/07/384521907.db2.gz NWDFYIRNBRRZCX-VIFPVBQESA-N 0 3 242.388 2.745 20 0 BFADHN C[C@@H](CCO)CNc1ccnc2ccccc21 ZINC000097443083 384522530 /nfs/dbraw/zinc/52/25/30/384522530.db2.gz BAFUJWJLGOQMOY-NSHDSACASA-N 0 3 230.311 2.665 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1cccnc1 ZINC000122910615 384523989 /nfs/dbraw/zinc/52/39/89/384523989.db2.gz ODJJXAMBOIBPSI-LLVKDONJSA-N 0 3 224.373 2.655 20 0 BFADHN CN(Cc1cccc(O)c1)C[C@H]1CCSC1 ZINC000559085766 384524952 /nfs/dbraw/zinc/52/49/52/384524952.db2.gz LSIYZXVMZRPPFO-GFCCVEGCSA-N 0 3 237.368 2.577 20 0 BFADHN CC(C)n1cc([C@H](C)NCC[C@H](C)F)cn1 ZINC000309569180 384527243 /nfs/dbraw/zinc/52/72/43/384527243.db2.gz NDFZKXDPUHNDIN-QWRGUYRKSA-N 0 3 227.327 2.863 20 0 BFADHN C[C@@H](NC(=O)C1(N)CCCCC1)c1ccccc1 ZINC000019514328 384528990 /nfs/dbraw/zinc/52/89/90/384528990.db2.gz IXSKBRTZBVZNBU-GFCCVEGCSA-N 0 3 246.354 2.525 20 0 BFADHN CCOCCN[C@H](C)c1cc(F)ccc1OC ZINC000161929987 384542462 /nfs/dbraw/zinc/54/24/62/384542462.db2.gz JQZXGRFDRUVECM-SNVBAGLBSA-N 0 3 241.306 2.521 20 0 BFADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@@H](C)CO ZINC000123304537 384545553 /nfs/dbraw/zinc/54/55/53/384545553.db2.gz MBDCVQJJLPDKFN-IUCAKERBSA-N 0 3 242.388 2.606 20 0 BFADHN C[C@@H](N[C@H]1CCOC(C)(C)C1)c1cscn1 ZINC000161978723 384550887 /nfs/dbraw/zinc/55/08/87/384550887.db2.gz AWTHSPOFSHIWSJ-ZJUUUORDSA-N 0 3 240.372 2.751 20 0 BFADHN COC[C@@H](C)N[C@H]1CCSc2ccccc21 ZINC000019904847 384555680 /nfs/dbraw/zinc/55/56/80/384555680.db2.gz SNBBARCRUFKXQX-PWSUYJOCSA-N 0 3 237.368 2.848 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1ccc(F)cc1F ZINC000019904905 384556425 /nfs/dbraw/zinc/55/64/25/384556425.db2.gz YCDLVTMMNUPDPE-RKDXNWHRSA-N 0 3 229.270 2.650 20 0 BFADHN C[C@H](NCCc1ccccn1)c1ccco1 ZINC000019916626 384560141 /nfs/dbraw/zinc/56/01/41/384560141.db2.gz JOGSCTSFLJWSPF-NSHDSACASA-N 0 3 216.284 2.568 20 0 BFADHN C[C@@H](N[C@H]1CO[C@@H](C)C1)c1ccccc1 ZINC000647181523 384561390 /nfs/dbraw/zinc/56/13/90/384561390.db2.gz FLPWDGMAYLBGRY-DMDPSCGWSA-N 0 3 205.301 2.515 20 0 BFADHN CC(C)CCC[C@H](C)NCc1ncc[nH]1 ZINC000049714704 384561502 /nfs/dbraw/zinc/56/15/02/384561502.db2.gz YJWJNXWMUXRJMZ-NSHDSACASA-N 0 3 209.337 2.714 20 0 BFADHN CCOCCN[C@H](CC(C)C)c1ccccn1 ZINC000161891567 384537186 /nfs/dbraw/zinc/53/71/86/384537186.db2.gz GWLFXZISCVCXLZ-CQSZACIVSA-N 0 3 236.359 2.795 20 0 BFADHN CN[C@@H](C)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC000052395598 384537514 /nfs/dbraw/zinc/53/75/14/384537514.db2.gz OSHDSEBLNQUZBP-LURJTMIESA-N 0 3 247.125 2.540 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CSc3ccccc32)CO1 ZINC000402199178 384562551 /nfs/dbraw/zinc/56/25/51/384562551.db2.gz GPLGKHDVAFVAGP-SCVCMEIPSA-N 0 3 235.352 2.600 20 0 BFADHN CCOCCN[C@H]1CC(C)(C)Cc2occc21 ZINC000161911378 384538947 /nfs/dbraw/zinc/53/89/47/384538947.db2.gz MPNHCGVOJCLABQ-LBPRGKRZSA-N 0 3 237.343 2.919 20 0 BFADHN COC[C@H](Cc1ccccc1)NCc1ccco1 ZINC000080243724 384539625 /nfs/dbraw/zinc/53/96/25/384539625.db2.gz GDQIUUUDXPBSMK-AWEZNQCLSA-N 0 3 245.322 2.627 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1cccc(F)c1 ZINC000019963859 384568097 /nfs/dbraw/zinc/56/80/97/384568097.db2.gz JYZCVGYBOCCYGY-GWCFXTLKSA-N 0 3 223.291 2.655 20 0 BFADHN Cc1ncc(CN[C@H]2CCCC23CCC3)o1 ZINC000334780237 384568417 /nfs/dbraw/zinc/56/84/17/384568417.db2.gz IBJUBAOGTHNGNG-LBPRGKRZSA-N 0 3 220.316 2.795 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)[C@H]1CC1(C)C ZINC000309735265 384573362 /nfs/dbraw/zinc/57/33/62/384573362.db2.gz CEGWEWNHVDRCPU-BXKDBHETSA-N 0 3 222.332 2.816 20 0 BFADHN Cc1occc1CN[C@@H](C)C[C@H]1CCCO1 ZINC000309736402 384573514 /nfs/dbraw/zinc/57/35/14/384573514.db2.gz GOVKSJMRILLBPB-GXFFZTMASA-N 0 3 223.316 2.635 20 0 BFADHN CCC[C@H](N)C(=O)N1CCCC[C@H]1CC(C)C ZINC000236801393 384575682 /nfs/dbraw/zinc/57/56/82/384575682.db2.gz FDBMHZWCLISZTB-STQMWFEESA-N 0 3 240.391 2.541 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCCN2CCCC2)o1 ZINC000020126787 384580768 /nfs/dbraw/zinc/58/07/68/384580768.db2.gz LBUVRFCDTQGFQP-OCCSQVGLSA-N 0 3 248.370 2.588 20 0 BFADHN CC[C@H](C)C[C@@H](CO)N[C@H](C)c1cncs1 ZINC000647337846 384586291 /nfs/dbraw/zinc/58/62/91/384586291.db2.gz VQUVRUWVHPUDHB-AXFHLTTASA-N 0 3 242.388 2.591 20 0 BFADHN Cc1cncc([C@@H](C)NCCN2CCCCC2)c1 ZINC000184270627 384588981 /nfs/dbraw/zinc/58/89/81/384588981.db2.gz DQPQIAULCJXAHO-CQSZACIVSA-N 0 3 247.386 2.527 20 0 BFADHN C[C@H](NCCCO)c1ccccc1C(F)(F)F ZINC000020187950 384589929 /nfs/dbraw/zinc/58/99/29/384589929.db2.gz LYPCEWKXPABKJR-VIFPVBQESA-N 0 3 247.260 2.738 20 0 BFADHN Clc1cccc(CNC[C@H]2CCCCO2)c1 ZINC000049773023 384565028 /nfs/dbraw/zinc/56/50/28/384565028.db2.gz YQCMYKGUYBYURY-CYBMUJFWSA-N 0 3 239.746 2.999 20 0 BFADHN CC[C@H](C)N[C@H](CCO)c1ccccc1F ZINC000647345014 384604095 /nfs/dbraw/zinc/60/40/95/384604095.db2.gz CKYHAVDJOOUNTI-GXFFZTMASA-N 0 3 225.307 2.637 20 0 BFADHN Cc1cccc(NCCN2CCCC(C)(C)C2)n1 ZINC000443962154 384604703 /nfs/dbraw/zinc/60/47/03/384604703.db2.gz MEJGOHHHCKUACC-UHFFFAOYSA-N 0 3 247.386 2.924 20 0 BFADHN COc1ccccc1[C@H](CO)N[C@H]1CCC1(C)C ZINC000517976489 384605238 /nfs/dbraw/zinc/60/52/38/384605238.db2.gz PVGUDNNRCABUDR-JSGCOSHPSA-N 0 3 249.354 2.507 20 0 BFADHN CCN(CC(C)C)[C@@H](C)c1cnccn1 ZINC000184440875 384606135 /nfs/dbraw/zinc/60/61/35/384606135.db2.gz FAKIZLGFQTUOOK-NSHDSACASA-N 0 3 207.321 2.516 20 0 BFADHN CC(C)[C@@H](C)N[C@@H](CCO)c1ccccc1F ZINC000647346903 384608341 /nfs/dbraw/zinc/60/83/41/384608341.db2.gz PKSIOXPWGWCZGP-RISCZKNCSA-N 0 3 239.334 2.883 20 0 BFADHN CO[C@@H](CNCc1cccn1C)c1ccccc1 ZINC000172627588 384612376 /nfs/dbraw/zinc/61/23/76/384612376.db2.gz TVBOIOWIVHHCKN-HNNXBMFYSA-N 0 3 244.338 2.502 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2NC[C@@H]1CCC=CO1 ZINC000050267799 384612897 /nfs/dbraw/zinc/61/28/97/384612897.db2.gz KUHYFKPYUKAERJ-AAEUAGOBSA-N 0 3 233.311 2.939 20 0 BFADHN CC[C@@H](CSC)N[C@H](C)c1cn(C)nc1C ZINC000162387054 384613158 /nfs/dbraw/zinc/61/31/58/384613158.db2.gz ORHRVZHPOAMHON-KOLCDFICSA-N 0 3 241.404 2.521 20 0 BFADHN CO[C@H](CNCc1cccn1C)c1ccccc1 ZINC000172627591 384613409 /nfs/dbraw/zinc/61/34/09/384613409.db2.gz TVBOIOWIVHHCKN-OAHLLOKOSA-N 0 3 244.338 2.502 20 0 BFADHN C[C@H](N[C@H]1CCSC1)c1cccnc1Cl ZINC000271565056 384615405 /nfs/dbraw/zinc/61/54/05/384615405.db2.gz YJJPUZJLBREOJF-IUCAKERBSA-N 0 3 242.775 2.891 20 0 BFADHN CCCCNC(=O)[C@H](C)N[C@H](C)c1ccccc1 ZINC000020367965 384616127 /nfs/dbraw/zinc/61/61/27/384616127.db2.gz DYIFLUABCIIPGS-OLZOCXBDSA-N 0 3 248.370 2.642 20 0 BFADHN CO[C@]1(C)C[C@H](N[C@@H](C)c2ccccn2)C1(C)C ZINC000162401047 384616885 /nfs/dbraw/zinc/61/68/85/384616885.db2.gz LWSFEAAWJVYOAP-CORIIIEPSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@H](Cc1ccsc1)N1CC(CF)C1 ZINC000556894405 384618163 /nfs/dbraw/zinc/61/81/63/384618163.db2.gz LZYKYBQCQRHGEI-SECBINFHSA-N 0 3 213.321 2.580 20 0 BFADHN Cc1ncc(CN[C@@H](C(C)C)C2CC2)o1 ZINC000556894143 384619549 /nfs/dbraw/zinc/61/95/49/384619549.db2.gz FVSABNPZEUBZMN-LBPRGKRZSA-N 0 3 208.305 2.507 20 0 BFADHN CC(C)C1CCN([C@@H](C)c2cnccn2)CC1 ZINC000184578400 384622788 /nfs/dbraw/zinc/62/27/88/384622788.db2.gz GUQHWUNGMAYOTQ-LBPRGKRZSA-N 0 3 233.359 2.906 20 0 BFADHN COC[C@H](NC[C@H]1CC=CCC1)c1ccco1 ZINC000124200549 384623407 /nfs/dbraw/zinc/62/34/07/384623407.db2.gz YLBZBKILTRQRKZ-STQMWFEESA-N 0 3 235.327 2.913 20 0 BFADHN C[C@@H](NCc1ccc(F)cn1)C1CCCC1 ZINC000309802343 384623767 /nfs/dbraw/zinc/62/37/67/384623767.db2.gz RJSUZANNFMLHHV-SNVBAGLBSA-N 0 3 222.307 2.889 20 0 BFADHN CCCCN(CCCC)C(=O)[C@H](N)CCC ZINC000036873092 384626491 /nfs/dbraw/zinc/62/64/91/384626491.db2.gz UFMDRXUDASJHAY-GFCCVEGCSA-N 0 3 228.380 2.543 20 0 BFADHN CCc1cnc(CN[C@@H]2CC[C@@H]3C[C@@H]3C2)s1 ZINC000403316588 384627796 /nfs/dbraw/zinc/62/77/96/384627796.db2.gz FEQBEQDCDIMECX-GMTAPVOTSA-N 0 3 236.384 2.984 20 0 BFADHN CC(C)(O)CCN(Cc1cccs1)C1CC1 ZINC000081648547 384628396 /nfs/dbraw/zinc/62/83/96/384628396.db2.gz GFHWAVFCHUMWCL-UHFFFAOYSA-N 0 3 239.384 2.874 20 0 BFADHN Cc1ccsc1CNCc1cc[nH]c1 ZINC000086251896 384629721 /nfs/dbraw/zinc/62/97/21/384629721.db2.gz XBGKEFVYQMAJJA-UHFFFAOYSA-N 0 3 206.314 2.674 20 0 BFADHN CCC[C@H](N[C@H]1CO[C@@H](C)C1)c1cccnc1 ZINC000647350671 384631195 /nfs/dbraw/zinc/63/11/95/384631195.db2.gz KVJDQWYPZGEXLG-YUTCNCBUSA-N 0 3 234.343 2.690 20 0 BFADHN CN(CCCn1ccnc1)Cc1cccc(F)c1 ZINC000124321183 384631681 /nfs/dbraw/zinc/63/16/81/384631681.db2.gz FEMPISFNGBAOPM-UHFFFAOYSA-N 0 3 247.317 2.544 20 0 BFADHN C[C@H](O)C[C@@H]1CCCCN1Cc1cccc(O)c1 ZINC000123873798 384591742 /nfs/dbraw/zinc/59/17/42/384591742.db2.gz BNAIXRPBEACKCS-JSGCOSHPSA-N 0 3 249.354 2.518 20 0 BFADHN C[C@@H](O)C[C@H]1CCCCN1Cc1cccc(O)c1 ZINC000123874458 384592266 /nfs/dbraw/zinc/59/22/66/384592266.db2.gz BNAIXRPBEACKCS-TZMCWYRMSA-N 0 3 249.354 2.518 20 0 BFADHN CC[C@@H](CO)NCc1cccc(C(F)(F)F)c1 ZINC000020194421 384592617 /nfs/dbraw/zinc/59/26/17/384592617.db2.gz VOHUZRIAEXYKCB-NSHDSACASA-N 0 3 247.260 2.566 20 0 BFADHN CC[C@@H](CO)N[C@@H](C)c1ccccc1Cl ZINC000020194843 384592937 /nfs/dbraw/zinc/59/29/37/384592937.db2.gz RFMSDBFEOXZQJN-UWVGGRQHSA-N 0 3 227.735 2.762 20 0 BFADHN COc1ccc(CN(C)CCC(C)C)cc1O ZINC000080964215 384593123 /nfs/dbraw/zinc/59/31/23/384593123.db2.gz DQQGHTJGPSJWCO-UHFFFAOYSA-N 0 3 237.343 2.879 20 0 BFADHN c1ccc(CNCCCOC2CCCCC2)nc1 ZINC000020191571 384593361 /nfs/dbraw/zinc/59/33/61/384593361.db2.gz YBXGVPWOHJYVIH-UHFFFAOYSA-N 0 3 248.370 2.911 20 0 BFADHN COc1cc(CN(C)C(C)C)cc(OC)c1 ZINC000098142475 384596055 /nfs/dbraw/zinc/59/60/55/384596055.db2.gz FDNOWSFZUQIKNC-UHFFFAOYSA-N 0 3 223.316 2.544 20 0 BFADHN CC[C@@H](C)CN1CCN(Cc2ccccc2)CC1 ZINC000309774913 384597408 /nfs/dbraw/zinc/59/74/08/384597408.db2.gz XSMZJYAITRSYGP-OAHLLOKOSA-N 0 3 246.398 2.850 20 0 BFADHN CC[C@H](NCC1CCCC1)c1ccn(C)n1 ZINC000336746036 384598834 /nfs/dbraw/zinc/59/88/34/384598834.db2.gz WAKGPCXOEUBOIA-LBPRGKRZSA-N 0 3 221.348 2.651 20 0 BFADHN C[C@H](NCc1ccc(F)c(F)c1)[C@H]1CCCO1 ZINC000020205619 384599241 /nfs/dbraw/zinc/59/92/41/384599241.db2.gz RCESYKMUADHXAT-TVQRCGJNSA-N 0 3 241.281 2.622 20 0 BFADHN CCCC[C@@H](NCc1cc[nH]n1)C1CCC1 ZINC000309621990 384663995 /nfs/dbraw/zinc/66/39/95/384663995.db2.gz DRMUVPSDRUDSDE-CYBMUJFWSA-N 0 3 221.348 2.858 20 0 BFADHN CC[C@H](N[C@H]1CCC1(C)C)c1ccn(C)n1 ZINC000309624222 384664659 /nfs/dbraw/zinc/66/46/59/384664659.db2.gz FIVXBHGKXZHONT-JQWIXIFHSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@]1(O)CCCN(C/C=C/c2ccccc2)C1 ZINC000124680426 384666999 /nfs/dbraw/zinc/66/69/99/384666999.db2.gz MPYIVCAJPCALDW-BOSPYUDASA-N 0 3 231.339 2.547 20 0 BFADHN CN(Cc1ccccc1Cl)C[C@H]1CCOC1 ZINC000047698496 384672823 /nfs/dbraw/zinc/67/28/23/384672823.db2.gz TUMFFTRAPYIAQZ-LLVKDONJSA-N 0 3 239.746 2.808 20 0 BFADHN CC[C@H](NCc1ccnn1C)c1ccccc1 ZINC000051626861 384674413 /nfs/dbraw/zinc/67/44/13/384674413.db2.gz UWSONCXZUPLCFP-AWEZNQCLSA-N 0 3 229.327 2.661 20 0 BFADHN Cc1nnsc1CN[C@H]1CC(C)(C)C[C@@H]1C ZINC000309809889 384636346 /nfs/dbraw/zinc/63/63/46/384636346.db2.gz TXVZFRKVDJOIBY-WPRPVWTQSA-N 0 3 239.388 2.761 20 0 BFADHN COC[C@@H](C)NCc1cc(Cl)cs1 ZINC000086332027 384637612 /nfs/dbraw/zinc/63/76/12/384637612.db2.gz WTFDNCLXQIQHKG-SSDOTTSWSA-N 0 3 219.737 2.526 20 0 BFADHN Cc1ccc2ncc(CN3CCC[C@@H]3C)n2c1 ZINC000124409349 384638882 /nfs/dbraw/zinc/63/88/82/384638882.db2.gz QMCOMGYMNHURMA-LBPRGKRZSA-N 0 3 229.327 2.627 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@@H]3C[C@@H]3C2)s1 ZINC000403337087 384638970 /nfs/dbraw/zinc/63/89/70/384638970.db2.gz SKOXYLBOSKIVPC-GMTAPVOTSA-N 0 3 222.357 2.730 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2ncccc2C)C1(C)C ZINC000162571973 384648867 /nfs/dbraw/zinc/64/88/67/384648867.db2.gz ZQBJSSCWUZEODC-ZFWWWQNUSA-N 0 3 248.370 2.683 20 0 BFADHN CN(CCCCCO)Cc1ccccc1Cl ZINC000051019901 384655487 /nfs/dbraw/zinc/65/54/87/384655487.db2.gz PUKNYKSNJKEKCU-UHFFFAOYSA-N 0 3 241.762 2.934 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](C)COC)s1 ZINC000041062231 384656039 /nfs/dbraw/zinc/65/60/39/384656039.db2.gz CDYOCGMNIZSSMS-VHSXEESVSA-N 0 3 227.373 2.996 20 0 BFADHN CCC[C@@H](NC[C@@H]1CCCO1)c1cccnc1 ZINC000184850544 384656803 /nfs/dbraw/zinc/65/68/03/384656803.db2.gz OVJIPSKKITZILP-UONOGXRCSA-N 0 3 234.343 2.691 20 0 BFADHN COc1ccc(CNC[C@@H]2CCC(C)(C)O2)cc1 ZINC000160186384 384656859 /nfs/dbraw/zinc/65/68/59/384656859.db2.gz LCRNBTMQQRJFCR-AWEZNQCLSA-N 0 3 249.354 2.742 20 0 BFADHN CCc1ccc([C@@H](C)NCCOC)s1 ZINC000041062209 384657179 /nfs/dbraw/zinc/65/71/79/384657179.db2.gz SUZOSBSPVSWJDF-SECBINFHSA-N 0 3 213.346 2.608 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H]1CC1(C)C ZINC000272008924 384659407 /nfs/dbraw/zinc/65/94/07/384659407.db2.gz FSUVQUUROQXXCP-ZYHUDNBSSA-N 0 3 204.317 2.839 20 0 BFADHN Cc1csc(CN2C[C@@H](C)C[C@H](C)C2)n1 ZINC000173172760 384659310 /nfs/dbraw/zinc/65/93/10/384659310.db2.gz GESQZOVPSLCIJE-UWVGGRQHSA-N 0 3 224.373 2.929 20 0 BFADHN FC(F)(F)c1ccc(CN[C@H]2CCOC2)cc1 ZINC000104067694 384659599 /nfs/dbraw/zinc/65/95/99/384659599.db2.gz GKRIGQRHJGAVOM-NSHDSACASA-N 0 3 245.244 2.584 20 0 BFADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1ccncc1 ZINC000160213783 384660464 /nfs/dbraw/zinc/66/04/64/384660464.db2.gz BDDMBKCVBNJTKY-YPMHNXCESA-N 0 3 234.343 2.690 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1cnc(C)s1)OC ZINC000294115601 384723300 /nfs/dbraw/zinc/72/33/00/384723300.db2.gz DHBNGWSYCQNWOH-SKDRFNHKSA-N 0 3 242.388 2.602 20 0 BFADHN C[C@H](NC1CCC1)c1nc2ccccc2n1C ZINC000052207100 384723885 /nfs/dbraw/zinc/72/38/85/384723885.db2.gz DPFKDKLLIPRYEN-JTQLQIEISA-N 0 3 229.327 2.776 20 0 BFADHN Fc1cc(CN[C@@H]2CC23CC3)cc(F)c1F ZINC000335285486 384724276 /nfs/dbraw/zinc/72/42/76/384724276.db2.gz XSSSQCLNTDMHSR-SNVBAGLBSA-N 0 3 227.229 2.746 20 0 BFADHN CCc1ccccc1CNCc1ccncc1 ZINC000052200475 384725269 /nfs/dbraw/zinc/72/52/69/384725269.db2.gz LXPFJKHVBAOLGC-UHFFFAOYSA-N 0 3 226.323 2.934 20 0 BFADHN Cc1nc(CN[C@@H]2CSC[C@H]2C)sc1C ZINC000335912959 384678455 /nfs/dbraw/zinc/67/84/55/384678455.db2.gz NOCGCARWNJJWNL-GMSGAONNSA-N 0 3 242.413 2.601 20 0 BFADHN COCc1cnc(CN[C@H]2CC[C@@H](C)C2)s1 ZINC000309864915 384679196 /nfs/dbraw/zinc/67/91/96/384679196.db2.gz OBDABSVBHRFRKE-ZJUUUORDSA-N 0 3 240.372 2.568 20 0 BFADHN COc1ccc2c(c1F)CC[C@@H]2N[C@H]1CC12CC2 ZINC000335249768 384679347 /nfs/dbraw/zinc/67/93/47/384679347.db2.gz RKZALNANPUYCOU-AAEUAGOBSA-N 0 3 247.313 2.964 20 0 BFADHN COCC[C@H](C)NCc1cc2ccccc2o1 ZINC000101597557 384682666 /nfs/dbraw/zinc/68/26/66/384682666.db2.gz PNEUFBNHKKFJLB-NSHDSACASA-N 0 3 233.311 2.947 20 0 BFADHN C[C@@H](N[C@@H]1CCC(C)(C)C1)c1ccncn1 ZINC000335916877 384683251 /nfs/dbraw/zinc/68/32/51/384683251.db2.gz XFJUAIIRRYSXKK-GHMZBOCLSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H](N[C@H](CCO)C(C)(C)C)c1ccccn1 ZINC000185199119 384690674 /nfs/dbraw/zinc/69/06/74/384690674.db2.gz NAOFYYSWCBBYIF-WCQYABFASA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H](O)CN(C)Cc1cccc(C(F)(F)F)c1 ZINC000051821328 384690685 /nfs/dbraw/zinc/69/06/85/384690685.db2.gz QXTAARQGSNHVBQ-SECBINFHSA-N 0 3 247.260 2.518 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CO[C@@H](C)C2)ccc1F ZINC000647190196 384692342 /nfs/dbraw/zinc/69/23/42/384692342.db2.gz MYQHNNDUEXOHIA-GVXVVHGQSA-N 0 3 237.318 2.962 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CO[C@@H](C)C2)ccc1F ZINC000647190195 384692453 /nfs/dbraw/zinc/69/24/53/384692453.db2.gz MYQHNNDUEXOHIA-GMXVVIOVSA-N 0 3 237.318 2.962 20 0 BFADHN CC(C)c1ccc(CN(C)C[C@H](C)O)cc1 ZINC000051821777 384693004 /nfs/dbraw/zinc/69/30/04/384693004.db2.gz NHUPNFYGFWQBKI-LBPRGKRZSA-N 0 3 221.344 2.623 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CO[C@H](C)C2)ccc1F ZINC000647190197 384694540 /nfs/dbraw/zinc/69/45/40/384694540.db2.gz MYQHNNDUEXOHIA-MDZLAQPJSA-N 0 3 237.318 2.962 20 0 BFADHN CC/C=C/CNCc1cc2cccnc2o1 ZINC000449459939 384695484 /nfs/dbraw/zinc/69/54/84/384695484.db2.gz QXZUVDJYGBQEGK-ONEGZZNKSA-N 0 3 216.284 2.884 20 0 BFADHN c1ncc(CNCCOC2CCCCC2)s1 ZINC000082627298 384696571 /nfs/dbraw/zinc/69/65/71/384696571.db2.gz FJTPYEWFROTCQU-UHFFFAOYSA-N 0 3 240.372 2.582 20 0 BFADHN CC(C)[C@H](O)CNc1ccnc2ccccc21 ZINC000125153410 384697494 /nfs/dbraw/zinc/69/74/94/384697494.db2.gz ZHDICAFGKKPPLN-CQSZACIVSA-N 0 3 230.311 2.664 20 0 BFADHN Cc1ccncc1CN[C@@H]1CC12CCCC2 ZINC000335918609 384701016 /nfs/dbraw/zinc/70/10/16/384701016.db2.gz NQMANMUNMNCZDA-CYBMUJFWSA-N 0 3 216.328 2.812 20 0 BFADHN CCN(CC[S@@](=O)c1ccccc1)C(C)C ZINC000104680796 384703820 /nfs/dbraw/zinc/70/38/20/384703820.db2.gz AXFHQUSQYVKUJK-INIZCTEOSA-N 0 3 239.384 2.525 20 0 BFADHN CCc1cccc(Cl)c1CN[C@@H](CC)CO ZINC000309909930 384704670 /nfs/dbraw/zinc/70/46/70/384704670.db2.gz MBKBMHSEHGMYFZ-NSHDSACASA-N 0 3 241.762 2.763 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1cc(C)c(C)o1 ZINC000309902629 384704807 /nfs/dbraw/zinc/70/48/07/384704807.db2.gz IOZVMNGCEXGSDU-MNOVXSKESA-N 0 3 225.332 2.972 20 0 BFADHN Cc1csc(CNC2(C)CCCC2)n1 ZINC000082725446 384706426 /nfs/dbraw/zinc/70/64/26/384706426.db2.gz VYLCDOQCUMOIMP-UHFFFAOYSA-N 0 3 210.346 2.874 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCCC[C@@H]2O)o1 ZINC000051991191 384706580 /nfs/dbraw/zinc/70/65/80/384706580.db2.gz ZZTOBKKQTSOJCO-CABNGKKXSA-N 0 3 249.354 2.796 20 0 BFADHN CSC1(CN[C@H](C)c2ccncc2F)CC1 ZINC000309914697 384707944 /nfs/dbraw/zinc/70/79/44/384707944.db2.gz OQMMGHFQAGLFCY-SECBINFHSA-N 0 3 240.347 2.767 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H]1CC12CC2 ZINC000335276621 384708304 /nfs/dbraw/zinc/70/83/04/384708304.db2.gz GSQAHJWUUVVJLD-ZYHUDNBSSA-N 0 3 202.301 2.593 20 0 BFADHN CC1(C)OCC[C@@H]1NCc1ccc(Cl)o1 ZINC000335919874 384708243 /nfs/dbraw/zinc/70/82/43/384708243.db2.gz ULYQYXLNPDQJSS-VIFPVBQESA-N 0 3 229.707 2.590 20 0 BFADHN Fc1ccc2c(c1)[C@H](N[C@@H]1CC13CC3)CC2 ZINC000335278100 384708763 /nfs/dbraw/zinc/70/87/63/384708763.db2.gz LSRICBMWFWKNLM-CHWSQXEVSA-N 0 3 217.287 2.955 20 0 BFADHN CCCc1ncc(CN[C@@H](C)C(C)C)o1 ZINC000449609490 384709845 /nfs/dbraw/zinc/70/98/45/384709845.db2.gz BWPDQGZOUXQIQQ-JTQLQIEISA-N 0 3 210.321 2.761 20 0 BFADHN C[C@H](N[C@@H]1CO[C@@H](C)C1)c1ccc(F)cc1F ZINC000647190839 384710691 /nfs/dbraw/zinc/71/06/91/384710691.db2.gz RAQQUSOCFMXYON-QXEWZRGKSA-N 0 3 241.281 2.793 20 0 BFADHN Fc1cc(F)cc(CN[C@H]2CC23CC3)c1 ZINC000335280760 384711054 /nfs/dbraw/zinc/71/10/54/384711054.db2.gz SSDFGILWEKMWDX-NSHDSACASA-N 0 3 209.239 2.607 20 0 BFADHN CC[C@](C)(O)CNCc1ccc(Cl)cc1F ZINC000162967011 384711573 /nfs/dbraw/zinc/71/15/73/384711573.db2.gz MFBWLQJIRHCMRH-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN CS[C@H](C)CNCc1cc(C)ccc1F ZINC000125823942 384712035 /nfs/dbraw/zinc/71/20/35/384712035.db2.gz FMJIQXLGMIYQJC-SNVBAGLBSA-N 0 3 227.348 2.975 20 0 BFADHN CCc1ncc(CN[C@@H](C)[C@@H](C)CC)o1 ZINC000449608331 384712556 /nfs/dbraw/zinc/71/25/56/384712556.db2.gz GTQZLSMRGAHAKO-UWVGGRQHSA-N 0 3 210.321 2.761 20 0 BFADHN CN(Cc1nc2ccccc2o1)CC1CC1 ZINC000052128213 384718524 /nfs/dbraw/zinc/71/85/24/384718524.db2.gz DXPFDRNJOVVMNT-UHFFFAOYSA-N 0 3 216.284 2.670 20 0 BFADHN CCc1ncc(CN[C@H]2CCC[C@H]2C)o1 ZINC000449611057 384719790 /nfs/dbraw/zinc/71/97/90/384719790.db2.gz UAYDMEMACZFFQO-KOLCDFICSA-N 0 3 208.305 2.515 20 0 BFADHN C[C@H]1C[C@H](NCc2cccc(F)c2)CS1 ZINC000086934683 384721554 /nfs/dbraw/zinc/72/15/54/384721554.db2.gz TVDXSKQYASGTMA-CABZTGNLSA-N 0 3 225.332 2.809 20 0 BFADHN CCC(O)(CC)CN[C@H](C)c1ccc(C)o1 ZINC000083463528 384774108 /nfs/dbraw/zinc/77/41/08/384774108.db2.gz UOWCPCNMEXQUBK-LLVKDONJSA-N 0 3 225.332 2.790 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1cc(C)oc1C ZINC000083463309 384774259 /nfs/dbraw/zinc/77/42/59/384774259.db2.gz RVNQNODIEGALQZ-GWCFXTLKSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1ccc(CN[C@H]2CS[C@H](C)C2)nc1C ZINC000314065684 384778581 /nfs/dbraw/zinc/77/85/81/384778581.db2.gz SMUMRSDVXPVICC-ZWNOBZJWSA-N 0 3 236.384 2.682 20 0 BFADHN CCCc1nc(C)c(CNC2CCCC2)o1 ZINC000309930836 384729508 /nfs/dbraw/zinc/72/95/08/384729508.db2.gz XVPVYEUIOFYZNM-UHFFFAOYSA-N 0 3 222.332 2.968 20 0 BFADHN C[C@@H](NCC1CCC1)c1cc2n(n1)CCCC2 ZINC000647284820 384736651 /nfs/dbraw/zinc/73/66/51/384736651.db2.gz KNWPSYBSQGIKQJ-LLVKDONJSA-N 0 3 233.359 2.670 20 0 BFADHN C[C@@H](C1CCC1)N(C)CC(=O)Nc1ccccc1 ZINC000357026366 384739546 /nfs/dbraw/zinc/73/95/46/384739546.db2.gz QPENYVJKUCAYEF-LBPRGKRZSA-N 0 3 246.354 2.746 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1occc1C)OC ZINC000294310992 384747941 /nfs/dbraw/zinc/74/79/41/384747941.db2.gz VUODAMHUGAFOIG-ZYHUDNBSSA-N 0 3 225.332 2.739 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CCC[C@H]2C)o1 ZINC000300395384 384750045 /nfs/dbraw/zinc/75/00/45/384750045.db2.gz APAANUFOUHUACV-MIMYLULJSA-N 0 3 208.305 2.822 20 0 BFADHN CCCCN(C)Cc1ccc(C(=O)OC)s1 ZINC000144179042 384751710 /nfs/dbraw/zinc/75/17/10/384751710.db2.gz KBURIEORHKEMRV-UHFFFAOYSA-N 0 3 241.356 2.767 20 0 BFADHN CCOCCNC1(c2ccc(Cl)cc2)CC1 ZINC000309977139 384754255 /nfs/dbraw/zinc/75/42/55/384754255.db2.gz UECYXCGQZSLQDC-UHFFFAOYSA-N 0 3 239.746 2.955 20 0 BFADHN COC(C)(C)[C@H](C)NCc1ccsc1 ZINC000300966735 384754240 /nfs/dbraw/zinc/75/42/40/384754240.db2.gz IVBQKFDRXSSAGV-VIFPVBQESA-N 0 3 213.346 2.651 20 0 BFADHN C[C@@H](N[C@@H]1COC(C)(C)C1)c1cccc(O)c1 ZINC000334924672 384755814 /nfs/dbraw/zinc/75/58/14/384755814.db2.gz NVAHASKFRZYSIJ-PWSUYJOCSA-N 0 3 235.327 2.610 20 0 BFADHN C[C@H](N[C@H]1COC(C)(C)C1)c1cccc(O)c1 ZINC000334948142 384758230 /nfs/dbraw/zinc/75/82/30/384758230.db2.gz NVAHASKFRZYSIJ-CMPLNLGQSA-N 0 3 235.327 2.610 20 0 BFADHN Cc1ccc(CNCCc2scnc2C)o1 ZINC000053037314 384759095 /nfs/dbraw/zinc/75/90/95/384759095.db2.gz QPGVJULWNFWYRD-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1NCc1ccc(C)o1 ZINC000053036677 384760039 /nfs/dbraw/zinc/76/00/39/384760039.db2.gz AWRNADZVUXQHII-QWHCGFSZSA-N 0 3 223.316 2.635 20 0 BFADHN CCc1ccc(CN2CCC(CF)CC2)cn1 ZINC000449463944 384760112 /nfs/dbraw/zinc/76/01/12/384760112.db2.gz XKWQBGXMNKFQDT-UHFFFAOYSA-N 0 3 236.334 2.826 20 0 BFADHN CO[C@H]1CCCC[C@@H]1NCc1ccc(C)o1 ZINC000053036672 384760493 /nfs/dbraw/zinc/76/04/93/384760493.db2.gz AWRNADZVUXQHII-STQMWFEESA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1ccc(C)o1)OC ZINC000294328155 384760899 /nfs/dbraw/zinc/76/08/99/384760899.db2.gz XKPWPGGOHJMKRT-MFKMUULPSA-N 0 3 225.332 2.739 20 0 BFADHN Cc1ccc(CNC2CCSCC2)o1 ZINC000053036333 384760955 /nfs/dbraw/zinc/76/09/55/384760955.db2.gz QZJCFYODXSASCD-UHFFFAOYSA-N 0 3 211.330 2.573 20 0 BFADHN CCSCCCNCc1ccc(C)cn1 ZINC000300979360 384762618 /nfs/dbraw/zinc/76/26/18/384762618.db2.gz UAHUKBXMQRPGFP-UHFFFAOYSA-N 0 3 224.373 2.623 20 0 BFADHN Cc1ccsc1CNCc1cncs1 ZINC000087308726 384763768 /nfs/dbraw/zinc/76/37/68/384763768.db2.gz XCYPBJYTXLPBRB-UHFFFAOYSA-N 0 3 224.354 2.803 20 0 BFADHN COC[C@H](N[C@H](C)c1ccc(F)cc1)C1CC1 ZINC000126014632 384763867 /nfs/dbraw/zinc/76/38/67/384763867.db2.gz ZUHFCECPHCVYBI-YGRLFVJLSA-N 0 3 237.318 2.901 20 0 BFADHN CSCCN1CCC(C(F)(F)F)CC1 ZINC000174192686 384764104 /nfs/dbraw/zinc/76/41/04/384764104.db2.gz HPNIZJFCMCOUJB-UHFFFAOYSA-N 0 3 227.295 2.624 20 0 BFADHN COC[C@@H](N[C@@H]1CCCc2occc21)C1CC1 ZINC000126076188 384769211 /nfs/dbraw/zinc/76/92/11/384769211.db2.gz SYMRDTARUJDFNT-CHWSQXEVSA-N 0 3 235.327 2.672 20 0 BFADHN COC[C@@H](N[C@H](C)c1ccc(C)o1)C(C)C ZINC000083397931 384769420 /nfs/dbraw/zinc/76/94/20/384769420.db2.gz VIJJQCHQJIPACF-VXGBXAGGSA-N 0 3 225.332 2.910 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1cc(F)ccc1OC ZINC000053173940 384770120 /nfs/dbraw/zinc/77/01/20/384770120.db2.gz UTBXCOGRRNYHFA-ZJUUUORDSA-N 0 3 241.306 2.520 20 0 BFADHN C[C@@H]1C[C@@H](C)[C@H](C)N(Cc2ccno2)C1 ZINC000530552885 384770276 /nfs/dbraw/zinc/77/02/76/384770276.db2.gz RFWMWPOIDYDDNO-MXWKQRLJSA-N 0 3 208.305 2.541 20 0 BFADHN COC[C@H](N[C@H]1CCCc2occc21)C1CC1 ZINC000126076365 384770536 /nfs/dbraw/zinc/77/05/36/384770536.db2.gz SYMRDTARUJDFNT-STQMWFEESA-N 0 3 235.327 2.672 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@H](C)C1)c1nccn1C ZINC000310008497 384780596 /nfs/dbraw/zinc/78/05/96/384780596.db2.gz HSGIIZXZONFHAQ-TUAOUCFPSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@@H](N[C@@H](C)CC1CC1)c1ccn(C)n1 ZINC000310042863 384816201 /nfs/dbraw/zinc/81/62/01/384816201.db2.gz ZUNVKFNOPSPUBX-CMPLNLGQSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1cccc(CNC[C@H]2CC[C@@H](C)O2)c1F ZINC000398155644 384819007 /nfs/dbraw/zinc/81/90/07/384819007.db2.gz IKCOJFSMXRZRGY-DGCLKSJQSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H](CCCO)N[C@@H](C)c1ccc(Cl)nc1 ZINC000126880267 384837573 /nfs/dbraw/zinc/83/75/73/384837573.db2.gz WNCQRHIOCDGMEH-UWVGGRQHSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@@H](C)O2)cc(C)c1O ZINC000398174312 384826400 /nfs/dbraw/zinc/82/64/00/384826400.db2.gz VGARLDHSDDPUHA-OCCSQVGLSA-N 0 3 249.354 2.666 20 0 BFADHN C[C@H](CCc1ccc(F)cc1F)NCCF ZINC000309776316 384828555 /nfs/dbraw/zinc/82/85/55/384828555.db2.gz PVPGDJFMUKQJDF-SECBINFHSA-N 0 3 231.261 2.845 20 0 BFADHN CC[C@H](N[C@@H]1CCCOC1)c1ccsc1 ZINC000294420548 384831101 /nfs/dbraw/zinc/83/11/01/384831101.db2.gz OGTBRHUOLORVNV-NEPJUHHUSA-N 0 3 225.357 2.968 20 0 BFADHN Cc1ccc(CN2CC[C@@]3(C2)CCCOC3)o1 ZINC000367438394 384832528 /nfs/dbraw/zinc/83/25/28/384832528.db2.gz MSXUJXXSHNLSNS-CQSZACIVSA-N 0 3 235.327 2.591 20 0 BFADHN C[C@@H]1C[C@H]1CNC(C)(C)c1nccs1 ZINC000308592384 384833763 /nfs/dbraw/zinc/83/37/63/384833763.db2.gz KWIHIIGLVWNRRQ-BDAKNGLRSA-N 0 3 210.346 2.624 20 0 BFADHN Cc1ccc(CN[C@@H]2CSC[C@@H]2C)nc1C ZINC000314079341 384781459 /nfs/dbraw/zinc/78/14/59/384781459.db2.gz WIAPJXHQTFAQKC-GXFFZTMASA-N 0 3 236.384 2.540 20 0 BFADHN CCOCCNC(C)(C)c1cccs1 ZINC000308527945 384783204 /nfs/dbraw/zinc/78/32/04/384783204.db2.gz REJDMXBBKLKYTQ-UHFFFAOYSA-N 0 3 213.346 2.609 20 0 BFADHN C[C@H](N[C@@H]1CC=CCC1)c1nccs1 ZINC000084066778 384835953 /nfs/dbraw/zinc/83/59/53/384835953.db2.gz WHSFYSVXFBAOSI-VHSXEESVSA-N 0 3 208.330 2.902 20 0 BFADHN CCN(C)Cc1c[nH]nc1-c1ccc(F)cc1 ZINC000126292040 384788015 /nfs/dbraw/zinc/78/80/15/384788015.db2.gz UPYDNIXQXWWCCY-UHFFFAOYSA-N 0 3 233.290 2.668 20 0 BFADHN COc1ccc(CN2CCC[C@@H]2C)cc1F ZINC000174414673 384788557 /nfs/dbraw/zinc/78/85/57/384788557.db2.gz SEVSHVFJIICNRC-JTQLQIEISA-N 0 3 223.291 2.819 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000309746850 384791649 /nfs/dbraw/zinc/79/16/49/384791649.db2.gz JIZODRXBSPUHIY-WCABBAIRSA-N 0 3 239.388 2.761 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](O)C1(C)C)c1cccs1 ZINC000163320648 384793058 /nfs/dbraw/zinc/79/30/58/384793058.db2.gz VTGGJYXRLZAVOZ-GDPRMGEGSA-N 0 3 225.357 2.558 20 0 BFADHN Cc1ccc([C@H](C)NCC2=CCCOC2)cc1 ZINC000126601547 384793435 /nfs/dbraw/zinc/79/34/35/384793435.db2.gz BCEZCAMDDRJLCU-ZDUSSCGKSA-N 0 3 231.339 2.992 20 0 BFADHN C[C@@H]1CC[C@@H](CNC/C=C\c2ccccc2)O1 ZINC000398092065 384799133 /nfs/dbraw/zinc/79/91/33/384799133.db2.gz YLSSOLLRYCPAOJ-DBVFPWQWSA-N 0 3 231.339 2.857 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1c(C)noc1C ZINC000126519512 384802858 /nfs/dbraw/zinc/80/28/58/384802858.db2.gz VGTUNQKIGOSUJD-SECBINFHSA-N 0 3 242.388 2.865 20 0 BFADHN CCC1CC(N[C@H](C)c2cn(C)nc2C)C1 ZINC000310037270 384803407 /nfs/dbraw/zinc/80/34/07/384803407.db2.gz UYMIDDUDRPYEGF-OIKLOGQESA-N 0 3 221.348 2.568 20 0 BFADHN Clc1sccc1CN[C@H]1CCSC1 ZINC000306215092 384807726 /nfs/dbraw/zinc/80/77/26/384807726.db2.gz NWSNIWNDHPOFBC-QMMMGPOBSA-N 0 3 233.789 2.997 20 0 BFADHN F[C@@H]1CC[C@@H](NCc2ccc(Cl)o2)C1 ZINC000306221175 384807827 /nfs/dbraw/zinc/80/78/27/384807827.db2.gz PBCLBKRGBDPENT-HTQZYQBOSA-N 0 3 217.671 2.913 20 0 BFADHN Cc1cc(C)cc(C[C@H](C)NCc2ncc[nH]2)c1 ZINC000174582032 384813450 /nfs/dbraw/zinc/81/34/50/384813450.db2.gz LUDNYMZCAOGBBD-ZDUSSCGKSA-N 0 3 243.354 2.747 20 0 BFADHN CCOCCN[C@@H](CC)c1ccsc1 ZINC000294461371 384871314 /nfs/dbraw/zinc/87/13/14/384871314.db2.gz UHGNZFFVYXLIBQ-NSHDSACASA-N 0 3 213.346 2.825 20 0 BFADHN C[C@@H](NC[C@H]1CC1(C)C)c1cc2n(n1)CCCC2 ZINC000647293026 384871762 /nfs/dbraw/zinc/87/17/62/384871762.db2.gz QAYQVRATLHSTAT-VXGBXAGGSA-N 0 3 247.386 2.916 20 0 BFADHN Cc1ccc(CCNCc2cccnc2C)o1 ZINC000518265817 384874393 /nfs/dbraw/zinc/87/43/93/384874393.db2.gz FRMDHYBZGIFBAO-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403854511 384876398 /nfs/dbraw/zinc/87/63/98/384876398.db2.gz ULBCMCBDHWKOPM-SDDRHHMPSA-N 0 3 220.316 2.570 20 0 BFADHN CCS[C@@H]1CCC[C@@H]1NC[C@H](F)CC ZINC000308629815 384878244 /nfs/dbraw/zinc/87/82/44/384878244.db2.gz YMXNWWGCVMYIAV-OUAUKWLOSA-N 0 3 219.369 2.998 20 0 BFADHN CC[C@H](O)CCNc1ccnc2ccccc21 ZINC000127239511 384878385 /nfs/dbraw/zinc/87/83/85/384878385.db2.gz VLMBUNRSAMQUHW-NSHDSACASA-N 0 3 230.311 2.808 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H]2CC[C@H](C)O2)o1 ZINC000148509614 384890025 /nfs/dbraw/zinc/89/00/25/384890025.db2.gz MFYHXQPINMBUKL-ZMLRMANQSA-N 0 3 223.316 2.806 20 0 BFADHN Cc1cnn(CCN[C@@H](C)c2ccsc2)c1 ZINC000127256336 384879385 /nfs/dbraw/zinc/87/93/85/384879385.db2.gz CITLMRFVWJTAMX-NSHDSACASA-N 0 3 235.356 2.604 20 0 BFADHN CCS[C@@H]1CCC[C@H]1NC[C@@H](F)CC ZINC000308629813 384879841 /nfs/dbraw/zinc/87/98/41/384879841.db2.gz YMXNWWGCVMYIAV-HBNTYKKESA-N 0 3 219.369 2.998 20 0 BFADHN Clc1ccccc1CNCCOCC1CC1 ZINC000163943872 384882490 /nfs/dbraw/zinc/88/24/90/384882490.db2.gz OWILBDKKTWLOLQ-UHFFFAOYSA-N 0 3 239.746 2.856 20 0 BFADHN CC[C@@H](C)[C@H](O)CN[C@@H](C)c1ccc(F)cc1 ZINC000163984348 384887325 /nfs/dbraw/zinc/88/73/25/384887325.db2.gz UBCWPCUCYVVYKU-UHIISALHSA-N 0 3 239.334 2.883 20 0 BFADHN C[C@H](NCc1ccc(F)cn1)[C@H]1CC1(C)C ZINC000309798652 384838741 /nfs/dbraw/zinc/83/87/41/384838741.db2.gz QTCVFQQQFLSWIH-JOYOIKCWSA-N 0 3 222.307 2.745 20 0 BFADHN C[C@@H](CO)[C@H](C)NCc1ccsc1Cl ZINC000336671900 384839899 /nfs/dbraw/zinc/83/98/99/384839899.db2.gz ZIBADJDOMVERCE-YUMQZZPRSA-N 0 3 233.764 2.508 20 0 BFADHN C[C@H](CF)NC/C=C\c1ccc(F)c(F)c1 ZINC000309800486 384844059 /nfs/dbraw/zinc/84/40/59/384844059.db2.gz WIISWVQVYMZWQS-PKRMOACSSA-N 0 3 229.245 2.926 20 0 BFADHN Cc1ccc(CN[C@H]2CCC[C@@H](C)CC2)nn1 ZINC000335181572 384844630 /nfs/dbraw/zinc/84/46/30/384844630.db2.gz YVSSVTPERRQDQJ-YPMHNXCESA-N 0 3 233.359 2.843 20 0 BFADHN C[C@@H](NC[C@](C)(O)C1CC1)c1ccccc1F ZINC000310126469 384848419 /nfs/dbraw/zinc/84/84/19/384848419.db2.gz JJQKHVCWEQXHJP-YGRLFVJLSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1ccoc1CN1CCN(C2CCCC2)CC1 ZINC000126977178 384848545 /nfs/dbraw/zinc/84/85/45/384848545.db2.gz QUZCLLMEOOXCOQ-UHFFFAOYSA-N 0 3 248.370 2.648 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)O)c1ccncc1Cl ZINC000643347633 384849002 /nfs/dbraw/zinc/84/90/02/384849002.db2.gz WMGWZYGIMKJYTL-RKDXNWHRSA-N 0 3 242.750 2.545 20 0 BFADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2cccnc2)C1(C)C ZINC000084224445 384849241 /nfs/dbraw/zinc/84/92/41/384849241.db2.gz WMBSSXKRRMJHTK-IACUBPJLSA-N 0 3 248.370 2.936 20 0 BFADHN Cc1ccc(CNC2C[C@H](C)C[C@@H](C)C2)nn1 ZINC000335174347 384849365 /nfs/dbraw/zinc/84/93/65/384849365.db2.gz CUPAUVYJIQAKSG-GHMZBOCLSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@H](NCc1c[nH]cn1)c1ccc(OC)cc1 ZINC000054764697 384850949 /nfs/dbraw/zinc/85/09/49/384850949.db2.gz MWQPABKCVHVXKV-AWEZNQCLSA-N 0 3 245.326 2.659 20 0 BFADHN CC[C@H](NCc1cnc[nH]1)c1ccc(OC)cc1 ZINC000054764697 384850953 /nfs/dbraw/zinc/85/09/53/384850953.db2.gz MWQPABKCVHVXKV-AWEZNQCLSA-N 0 3 245.326 2.659 20 0 BFADHN C[C@@H](NCc1ccccc1Cl)[C@@H]1CCOC1 ZINC000127022556 384851235 /nfs/dbraw/zinc/85/12/35/384851235.db2.gz UCGJYCIDVHHWBP-ZYHUDNBSSA-N 0 3 239.746 2.855 20 0 BFADHN C[C@H]1OCC[C@H]1NC1(c2ccccc2F)CCC1 ZINC000647237429 384853920 /nfs/dbraw/zinc/85/39/20/384853920.db2.gz ZYQDPZFYZHLZCI-BXUZGUMPSA-N 0 3 249.329 2.972 20 0 BFADHN c1csc(CCNCc2cncs2)c1 ZINC000054770038 384855117 /nfs/dbraw/zinc/85/51/17/384855117.db2.gz WIXMLOCOUOIANA-UHFFFAOYSA-N 0 3 224.354 2.537 20 0 BFADHN Fc1ccc(CCNCc2cncs2)cc1 ZINC000054769855 384855135 /nfs/dbraw/zinc/85/51/35/384855135.db2.gz BMPQKGPTAMTFIZ-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](F)C1)c1cncs1 ZINC000306294308 384856265 /nfs/dbraw/zinc/85/62/65/384856265.db2.gz VWMJWCAECZLXEO-YIZRAAEISA-N 0 3 214.309 2.684 20 0 BFADHN CCOC[C@H](C)N[C@@H]1c2ccccc2O[C@@H]1C ZINC000368585507 384857326 /nfs/dbraw/zinc/85/73/26/384857326.db2.gz RNQLJDQQLKWFDD-WDMOLILDSA-N 0 3 235.327 2.523 20 0 BFADHN CCOc1ccc(CN(C)CC)cc1OCC ZINC000101734221 384859782 /nfs/dbraw/zinc/85/97/82/384859782.db2.gz RRFNWNGOWHAJDL-UHFFFAOYSA-N 0 3 237.343 2.936 20 0 BFADHN CC[C@H](NCCN(C)CC)c1ccccc1F ZINC000163790899 384860639 /nfs/dbraw/zinc/86/06/39/384860639.db2.gz ZPXAEGMZGFPUDK-AWEZNQCLSA-N 0 3 238.350 2.818 20 0 BFADHN C[C@@H](O)C[C@H](C)NCc1sccc1Cl ZINC000308602560 384862202 /nfs/dbraw/zinc/86/22/02/384862202.db2.gz VLMXLRQIBCRLED-JGVFFNPUSA-N 0 3 233.764 2.651 20 0 BFADHN CC(C)n1cc(CN[C@H]2CCCC[C@@H]2C)nn1 ZINC000310164192 384864748 /nfs/dbraw/zinc/86/47/48/384864748.db2.gz RDZBNDKIWZGOCT-AAEUAGOBSA-N 0 3 236.363 2.527 20 0 BFADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C)c1ccco1 ZINC000085631530 384957397 /nfs/dbraw/zinc/95/73/97/384957397.db2.gz MDLUILNQLHIKGR-DJIHRAIXSA-N 0 3 235.327 2.744 20 0 BFADHN COCCN[C@@H]1CCCOc2cc(C)c(C)cc21 ZINC000152122965 384957714 /nfs/dbraw/zinc/95/77/14/384957714.db2.gz OVMQXLPETOMGMB-CQSZACIVSA-N 0 3 249.354 2.753 20 0 BFADHN COC[C@@H](N[C@H]1CCCc2occc21)C(C)C ZINC000148532951 384892883 /nfs/dbraw/zinc/89/28/83/384892883.db2.gz UQSQUMSENMVZID-QWHCGFSZSA-N 0 3 237.343 2.918 20 0 BFADHN COC[C@@H](N[C@@H]1CCCc2occc21)C(C)C ZINC000148532538 384893092 /nfs/dbraw/zinc/89/30/92/384893092.db2.gz UQSQUMSENMVZID-CHWSQXEVSA-N 0 3 237.343 2.918 20 0 BFADHN CCOc1ncccc1CNC1CC2(CCC2)C1 ZINC000448059721 384896527 /nfs/dbraw/zinc/89/65/27/384896527.db2.gz DOPJGGCSAKQWHD-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN CC[C@H](O)CN1CCC(c2ccsc2)CC1 ZINC000186950969 384897910 /nfs/dbraw/zinc/89/79/10/384897910.db2.gz ZVKHRFRHUDBKSD-ZDUSSCGKSA-N 0 3 239.384 2.698 20 0 BFADHN Cc1csc([C@H](C)NC[C@H]2C[C@H]2C)n1 ZINC000308662979 384899422 /nfs/dbraw/zinc/89/94/22/384899422.db2.gz RANTZJWTEWRLNJ-FKTZTGRPSA-N 0 3 210.346 2.758 20 0 BFADHN CCCCN(CCCC)Cc1n[nH]c(C)n1 ZINC000428197657 384903125 /nfs/dbraw/zinc/90/31/25/384903125.db2.gz APMJDAUQXYEGEI-UHFFFAOYSA-N 0 3 224.352 2.515 20 0 BFADHN C[C@@]1(F)CCCN(CCC2CCOCC2)C1 ZINC000336266724 384904608 /nfs/dbraw/zinc/90/46/08/384904608.db2.gz IMBDLTQCGKXKNP-CYBMUJFWSA-N 0 3 229.339 2.627 20 0 BFADHN CC[C@H](NCCn1ccnc1C)c1ccsc1 ZINC000294500303 384907185 /nfs/dbraw/zinc/90/71/85/384907185.db2.gz ZQJBSLTZVIGEIQ-ZDUSSCGKSA-N 0 3 249.383 2.994 20 0 BFADHN CCCC[C@](C)(CO)NCc1ccsc1 ZINC000647239543 384908873 /nfs/dbraw/zinc/90/88/73/384908873.db2.gz DBRAIWMFSRUVKX-GFCCVEGCSA-N 0 3 227.373 2.779 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@H]2CC23CCCC3)n1 ZINC000336116819 384910475 /nfs/dbraw/zinc/91/04/75/384910475.db2.gz VGNIWNYUUXFUTN-CYBMUJFWSA-N 0 3 232.327 2.518 20 0 BFADHN CCCC[C@](C)(CO)NCc1ccc(CC)o1 ZINC000647240019 384911607 /nfs/dbraw/zinc/91/16/07/384911607.db2.gz XTVKCBXAOKHISS-CQSZACIVSA-N 0 3 239.359 2.873 20 0 BFADHN Cc1ccc(NC(=O)[C@@H](C(C)C)N(C)C)c(C)c1 ZINC000106407787 384911754 /nfs/dbraw/zinc/91/17/54/384911754.db2.gz FKKMRWDKGCRQLU-CQSZACIVSA-N 0 3 248.370 2.828 20 0 BFADHN CCCC[C@@](C)(CO)NCc1ccc(Cl)o1 ZINC000647239615 384913628 /nfs/dbraw/zinc/91/36/28/384913628.db2.gz GOAPUIAAXVJNSZ-LBPRGKRZSA-N 0 3 245.750 2.964 20 0 BFADHN C(=C\c1ccccc1)\CNCc1cc[nH]c1 ZINC000255657970 384918362 /nfs/dbraw/zinc/91/83/62/384918362.db2.gz KAYXUXLYBPVBMS-DAXSKMNVSA-N 0 3 212.296 2.818 20 0 BFADHN COc1cc(C)ccc1CNCC[C@H](C)OC ZINC000449110541 384918394 /nfs/dbraw/zinc/91/83/94/384918394.db2.gz DUMMBFNLTDALNT-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN C[C@]1(F)CCCN(CC[C@@H]2CCCCO2)C1 ZINC000336275672 384921109 /nfs/dbraw/zinc/92/11/09/384921109.db2.gz XPGGXFVJDIMRNS-STQMWFEESA-N 0 3 229.339 2.770 20 0 BFADHN COC[C@H](N[C@@H]1C[C@H](C)[C@@H]1C)c1ccc(C)o1 ZINC000495089218 533095632 /nfs/dbraw/zinc/09/56/32/533095632.db2.gz XLWOKNOZAQRBGV-SYEHKZFSSA-N 0 3 237.343 2.910 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1ccc(C(F)F)cc1 ZINC000149370114 384928860 /nfs/dbraw/zinc/92/88/60/384928860.db2.gz UZEGSPJFOGZACX-BXKDBHETSA-N 0 3 241.281 2.891 20 0 BFADHN CS[C@@H](C)CNCc1ccsc1 ZINC000128185800 384933395 /nfs/dbraw/zinc/93/33/95/384933395.db2.gz YKGRZTGAGCBVPL-QMMMGPOBSA-N 0 3 201.360 2.589 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1ccc2[nH]c(=O)oc2c1 ZINC000643907854 384933314 /nfs/dbraw/zinc/93/33/14/384933314.db2.gz JBNZTENRKQANLT-PRHODGIISA-N 0 3 244.294 2.737 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CC23CC3)c(C)n1 ZINC000643907916 384934268 /nfs/dbraw/zinc/93/42/68/384934268.db2.gz NRVRDIRTTGYULM-YPMHNXCESA-N 0 3 216.328 2.902 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1ccc2[nH]c(=O)oc2c1 ZINC000643907856 384935107 /nfs/dbraw/zinc/93/51/07/384935107.db2.gz JBNZTENRKQANLT-UFBFGSQYSA-N 0 3 244.294 2.737 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@@H]1C[C@H]1C1CCC1 ZINC000643909005 384936845 /nfs/dbraw/zinc/93/68/45/384936845.db2.gz QDKLAIVKQXEHEI-YDEJPDAXSA-N 0 3 219.332 2.557 20 0 BFADHN C[C@@H]1c2ccccc2CCN1C[C@@H]1CCCO1 ZINC000106862469 384937128 /nfs/dbraw/zinc/93/71/28/384937128.db2.gz BMYAPHDSIWPDCV-OCCSQVGLSA-N 0 3 231.339 2.785 20 0 BFADHN CC[C@@H](C)CNCc1ccc(Cl)cn1 ZINC000128257492 384938594 /nfs/dbraw/zinc/93/85/94/384938594.db2.gz ADZMXMLFODFYCU-SECBINFHSA-N 0 3 212.724 2.871 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2ccc(C)nc2)C1(C)C ZINC000085398467 384940890 /nfs/dbraw/zinc/94/08/90/384940890.db2.gz GDCAIKLZFBFPMH-ZIAGYGMSSA-N 0 3 248.370 2.683 20 0 BFADHN CCCCOCCN[C@H](C)c1ccoc1 ZINC000087289967 384940922 /nfs/dbraw/zinc/94/09/22/384940922.db2.gz YWDUSKSTANRVEX-LLVKDONJSA-N 0 3 211.305 2.747 20 0 BFADHN CN(C)c1ncccc1CNC1CC2(CCC2)C1 ZINC000448064341 384943028 /nfs/dbraw/zinc/94/30/28/384943028.db2.gz FUNSQSAYRSRQAF-UHFFFAOYSA-N 0 3 245.370 2.570 20 0 BFADHN C[C@@H](F)CCNc1ccnc2ccccc21 ZINC000338753312 384947407 /nfs/dbraw/zinc/94/74/07/384947407.db2.gz SZTMDSJNAQORMT-SNVBAGLBSA-N 0 3 218.275 2.817 20 0 BFADHN C[C@H](N[C@H]1CCOC1)c1csc(Cl)c1 ZINC000306458445 384966670 /nfs/dbraw/zinc/96/66/70/384966670.db2.gz ZDPKKIOFCPLVCT-CBAPKCEASA-N 0 3 231.748 2.841 20 0 BFADHN CO[C@H](CNCc1ccns1)c1ccccc1 ZINC000404309954 384969487 /nfs/dbraw/zinc/96/94/87/384969487.db2.gz JYHOPGRYIWLFPK-CYBMUJFWSA-N 0 3 248.351 2.620 20 0 BFADHN CCCCCC[C@@H](C)NC(=O)/C=C/CN(C)C ZINC000491889351 384972903 /nfs/dbraw/zinc/97/29/03/384972903.db2.gz VTOLUDGRBVXOOM-YGNAEDSMSA-N 0 3 240.391 2.579 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@H](C)CC(C)C)n1 ZINC000085972064 384977677 /nfs/dbraw/zinc/97/76/77/384977677.db2.gz IRFBUNOJYSMEAR-LLVKDONJSA-N 0 3 222.332 2.620 20 0 BFADHN CCC1(CNCc2ccns2)CCC1 ZINC000404415840 384982092 /nfs/dbraw/zinc/98/20/92/384982092.db2.gz NNILJYTZAUEAHF-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN Cc1ccoc1CN[C@@H]1CS[C@H](C)C1 ZINC000306464220 384983568 /nfs/dbraw/zinc/98/35/68/384983568.db2.gz JBCHZBHKJDKQCE-ZJUUUORDSA-N 0 3 211.330 2.572 20 0 BFADHN Cc1ccc(F)cc1CN1[C@@H]2CC[C@H]1CC(O)C2 ZINC000129156512 384983894 /nfs/dbraw/zinc/98/38/94/384983894.db2.gz JAVRJSGDHCKXEE-YIONKMFJSA-N 0 3 249.329 2.622 20 0 BFADHN COc1cc(C)ccc1[C@@H](C)NCC1(C)COC1 ZINC000094721749 384984467 /nfs/dbraw/zinc/98/44/67/384984467.db2.gz HITSULOXKUAUOA-GFCCVEGCSA-N 0 3 249.354 2.691 20 0 BFADHN C[C@H](N[C@@H]1COCC1(C)C)c1ccsc1 ZINC000312986752 385021958 /nfs/dbraw/zinc/02/19/58/385021958.db2.gz UHJYVJZKODWCIM-GXSJLCMTSA-N 0 3 225.357 2.824 20 0 BFADHN CN(Cc1cccc(Cl)n1)[C@H]1CCSC1 ZINC000086114323 384988123 /nfs/dbraw/zinc/98/81/23/384988123.db2.gz YNMSBBDZINRQQD-JTQLQIEISA-N 0 3 242.775 2.672 20 0 BFADHN CCC[C@H](C)CNCc1c(C)noc1C ZINC000086109914 384988866 /nfs/dbraw/zinc/98/88/66/384988866.db2.gz WJFAXDQHEGADDA-VIFPVBQESA-N 0 3 210.321 2.817 20 0 BFADHN CCC(CC)[C@@H](NC(=O)[C@@H](C)N)c1ccccc1 ZINC000037046026 384989885 /nfs/dbraw/zinc/98/98/85/384989885.db2.gz XILHCORTRNKBJH-BXUZGUMPSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1cc(CNCC[C@H]2CCCCO2)sn1 ZINC000404425460 384990898 /nfs/dbraw/zinc/99/08/98/384990898.db2.gz YNZMSHJICLLHBO-LLVKDONJSA-N 0 3 240.372 2.500 20 0 BFADHN Cc1ccc(NC(=O)CN2C[C@@H](C)C[C@H]2C)cc1 ZINC000530624008 384993210 /nfs/dbraw/zinc/99/32/10/384993210.db2.gz IAOQEALHPDBKER-QWHCGFSZSA-N 0 3 246.354 2.664 20 0 BFADHN CN(Cc1ccccc1F)C[C@H]1CCCOC1 ZINC000107689462 384993597 /nfs/dbraw/zinc/99/35/97/384993597.db2.gz YWEWBEGTRZKAAU-GFCCVEGCSA-N 0 3 237.318 2.684 20 0 BFADHN CCCCCN(CCO)Cc1occc1C ZINC000128103474 384994184 /nfs/dbraw/zinc/99/41/84/384994184.db2.gz PMWRTFJYSXYPQC-UHFFFAOYSA-N 0 3 225.332 2.573 20 0 BFADHN CCC(O)(CC)CNCc1cccc(F)c1F ZINC000107712296 384996661 /nfs/dbraw/zinc/99/66/61/384996661.db2.gz SSPVCBRIBUGUPM-UHFFFAOYSA-N 0 3 243.297 2.606 20 0 BFADHN C[C@H](F)CCNCc1ccc(Cl)o1 ZINC000339495204 385005895 /nfs/dbraw/zinc/00/58/95/385005895.db2.gz YJXSOSRECTWOTL-ZETCQYMHSA-N 0 3 205.660 2.771 20 0 BFADHN CCOc1ccccc1CNCC[C@@H](C)F ZINC000339494757 385006015 /nfs/dbraw/zinc/00/60/15/385006015.db2.gz LSHCEVASJSAKRL-LLVKDONJSA-N 0 3 225.307 2.923 20 0 BFADHN CSCCCCN[C@@H](C)c1nccnc1C ZINC000188038109 385007691 /nfs/dbraw/zinc/00/76/91/385007691.db2.gz WJFHEBOBSWLJBA-JTQLQIEISA-N 0 3 239.388 2.579 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H]2NC[C@@H]1CCCO1 ZINC000128189074 385011666 /nfs/dbraw/zinc/01/16/66/385011666.db2.gz JIHLINBXSACBSJ-JSGCOSHPSA-N 0 3 235.302 2.582 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1ccc(Cl)cn1 ZINC000309999486 385012954 /nfs/dbraw/zinc/01/29/54/385012954.db2.gz DHJTWIGGHHKWEA-GXSJLCMTSA-N 0 3 242.750 2.547 20 0 BFADHN CSC(C)(C)CN[C@@H](C)c1nccnc1C ZINC000188096576 385014393 /nfs/dbraw/zinc/01/43/93/385014393.db2.gz ZWOAQLULXPMEKD-JTQLQIEISA-N 0 3 239.388 2.577 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cccnc1N ZINC000086438739 385017520 /nfs/dbraw/zinc/01/75/20/385017520.db2.gz QVPBUVYPSVMJLJ-LLVKDONJSA-N 0 3 221.348 2.532 20 0 BFADHN CO[C@H](C)CN[C@@H]1CCCOc2cc(C)ccc21 ZINC000188135286 385018257 /nfs/dbraw/zinc/01/82/57/385018257.db2.gz RPWXCYFWINQVPX-TZMCWYRMSA-N 0 3 249.354 2.833 20 0 BFADHN CCOC[C@H](C)N[C@@H](c1cccnc1)C1CC1 ZINC000358358520 385018330 /nfs/dbraw/zinc/01/83/30/385018330.db2.gz YYQVVYPXHJOAIH-SMDDNHRTSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@H](NCCOc1ccccc1)c1cncs1 ZINC000128282896 385020717 /nfs/dbraw/zinc/02/07/17/385020717.db2.gz NCOKRTOUCWXPCO-NSHDSACASA-N 0 3 248.351 2.873 20 0 BFADHN CC1(C)[C@H](NCc2ccco2)[C@@H]2CCCO[C@@H]21 ZINC000085669572 384961895 /nfs/dbraw/zinc/96/18/95/384961895.db2.gz PWHPROVNOGOOMC-XQQFMLRXSA-N 0 3 235.327 2.573 20 0 BFADHN C[C@@H](NCCOC(C)(C)C)c1ccccn1 ZINC000161286148 384962562 /nfs/dbraw/zinc/96/25/62/384962562.db2.gz WFOPZZUUDFOIFW-LLVKDONJSA-N 0 3 222.332 2.547 20 0 BFADHN C[C@H](CO)CNCc1ccc(Cl)cc1Cl ZINC000088195137 385052530 /nfs/dbraw/zinc/05/25/30/385052530.db2.gz CVZRNKWLCRTXSZ-QMMMGPOBSA-N 0 3 248.153 2.711 20 0 BFADHN C[C@H](N[C@H]1CC[C@H](F)C1)c1ccncc1F ZINC000340394270 385055063 /nfs/dbraw/zinc/05/50/63/385055063.db2.gz OQDRGUVYFIPJRK-GUBZILKMSA-N 0 3 226.270 2.762 20 0 BFADHN Fc1ccc(CN[C@@H]2CCCOCC2)c(F)c1 ZINC000086874383 385057693 /nfs/dbraw/zinc/05/76/93/385057693.db2.gz RJSXHFDKUQKFGI-GFCCVEGCSA-N 0 3 241.281 2.624 20 0 BFADHN COC[C@H](C)N[C@H]1CCc2c1cccc2Cl ZINC000164981889 385058604 /nfs/dbraw/zinc/05/86/04/385058604.db2.gz IYCKFFINXCTQDB-ZANVPECISA-N 0 3 239.746 2.952 20 0 BFADHN CC[C@@H](C)C[C@H](CO)NCc1csc(C)c1 ZINC000647244692 385059322 /nfs/dbraw/zinc/05/93/22/385059322.db2.gz FOOXFXQXENWBBB-ZWNOBZJWSA-N 0 3 241.400 2.943 20 0 BFADHN CC[C@H](N[C@@H](C)C(=O)N(C)CC)c1ccccc1 ZINC000188492115 385059431 /nfs/dbraw/zinc/05/94/31/385059431.db2.gz VEJHXRMGQWWELS-JSGCOSHPSA-N 0 3 248.370 2.594 20 0 BFADHN CCN(C)C(=O)[C@@H](C)N[C@H](C)c1cccc(C)c1 ZINC000188498190 385059636 /nfs/dbraw/zinc/05/96/36/385059636.db2.gz YAWFKUVMAOVOPV-CHWSQXEVSA-N 0 3 248.370 2.512 20 0 BFADHN CCc1cc(CN[C@@H]2CCC[C@H]3C[C@]32C)on1 ZINC000518400106 385060403 /nfs/dbraw/zinc/06/04/03/385060403.db2.gz ANXNEBRXRJWPQC-ZLKJLUDKSA-N 0 3 234.343 2.905 20 0 BFADHN C[C@@H](NCC1(O)CCCCC1)c1cccc(O)c1 ZINC000087017802 385065584 /nfs/dbraw/zinc/06/55/84/385065584.db2.gz HYISHKUURIRJCF-GFCCVEGCSA-N 0 3 249.354 2.738 20 0 BFADHN C[C@@H](NCC[C@H](C)F)c1ccc(F)cn1 ZINC000340463713 385066163 /nfs/dbraw/zinc/06/61/63/385066163.db2.gz YPKTWWUPLIDUNZ-DTWKUNHWSA-N 0 3 214.259 2.619 20 0 BFADHN CC[C@H](NC1(C(C)C)CC1)c1ccn(C)n1 ZINC000340478046 385070116 /nfs/dbraw/zinc/07/01/16/385070116.db2.gz HYHHWPMCRKPRDH-NSHDSACASA-N 0 3 221.348 2.649 20 0 BFADHN CN(C)Cc1cccc(NC(=O)C=C2CCC2)c1 ZINC000087100201 385074211 /nfs/dbraw/zinc/07/42/11/385074211.db2.gz VQIDSBIQPGIJBF-UHFFFAOYSA-N 0 3 244.338 2.797 20 0 BFADHN CC[C@@H](CO)N[C@@H](C)c1ccc2ccccc2n1 ZINC000188672822 385078251 /nfs/dbraw/zinc/07/82/51/385078251.db2.gz JUTDQKUZGFHUCD-AAEUAGOBSA-N 0 3 244.338 2.656 20 0 BFADHN O[C@H](CN[C@@H]1C[C@H]1c1ccco1)CC1CCCC1 ZINC000644673434 385079593 /nfs/dbraw/zinc/07/95/93/385079593.db2.gz UALIWSNMSUHDJF-BFHYXJOUSA-N 0 3 249.354 2.666 20 0 BFADHN CCSCCN1CCC[C@@H]1c1ccccn1 ZINC000530724266 385024915 /nfs/dbraw/zinc/02/49/15/385024915.db2.gz ITLBFKGAZAKMIX-CYBMUJFWSA-N 0 3 236.384 2.972 20 0 BFADHN CCSCCN1CCC[C@H]1c1ccccn1 ZINC000530724267 385026012 /nfs/dbraw/zinc/02/60/12/385026012.db2.gz ITLBFKGAZAKMIX-ZDUSSCGKSA-N 0 3 236.384 2.972 20 0 BFADHN CN(C)CCSCc1cc(F)cc(F)c1 ZINC000188222193 385026944 /nfs/dbraw/zinc/02/69/44/385026944.db2.gz MLJMNWKTIMDGFZ-UHFFFAOYSA-N 0 3 231.311 2.760 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)no1 ZINC000336318199 385032027 /nfs/dbraw/zinc/03/20/27/385032027.db2.gz AOVJOIZNRXOWAH-STQMWFEESA-N 0 3 234.343 2.994 20 0 BFADHN CCc1ccc(CN[C@H](CO)C[C@@H](C)CC)o1 ZINC000647243135 385036011 /nfs/dbraw/zinc/03/60/11/385036011.db2.gz DQEANLGDKFHOTQ-RYUDHWBXSA-N 0 3 239.359 2.729 20 0 BFADHN Cn1cncc1CN[C@H](c1cccs1)C1CC1 ZINC000340195749 385038639 /nfs/dbraw/zinc/03/86/39/385038639.db2.gz BKVCBMWAYAPVKX-ZDUSSCGKSA-N 0 3 247.367 2.723 20 0 BFADHN CC[C@@H](C)C[C@@H](CO)NCc1ccc(Cl)o1 ZINC000647243572 385038899 /nfs/dbraw/zinc/03/88/99/385038899.db2.gz LLNLQMYDVKEUDM-ZJUUUORDSA-N 0 3 245.750 2.820 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1ccsc1 ZINC000401893414 385040995 /nfs/dbraw/zinc/04/09/95/385040995.db2.gz UQDWYXUPTRHPBZ-KKZNHRDASA-N 0 3 211.330 2.576 20 0 BFADHN O=C(CCN1CCCCC1)OCc1ccccc1 ZINC000128495304 385041424 /nfs/dbraw/zinc/04/14/24/385041424.db2.gz XGVOFQNDBGYNFY-UHFFFAOYSA-N 0 3 247.338 2.606 20 0 BFADHN COC(C)(C)C[C@H](C)NCc1occc1C ZINC000164820513 385045107 /nfs/dbraw/zinc/04/51/07/385045107.db2.gz ABHNLOAJFZRWLI-NSHDSACASA-N 0 3 225.332 2.881 20 0 BFADHN Cn1ccnc1CN1CCCC12CCCCC2 ZINC000334147501 385046569 /nfs/dbraw/zinc/04/65/69/385046569.db2.gz DSYREXLFSMWXOP-UHFFFAOYSA-N 0 3 233.359 2.719 20 0 BFADHN C(N[C@@H]1C[C@H]1C1CCC1)c1nc2c(s1)CCC2 ZINC000643801108 385109426 /nfs/dbraw/zinc/10/94/26/385109426.db2.gz AQHXVOLEDLUQOX-CMPLNLGQSA-N 0 3 248.395 2.910 20 0 BFADHN COc1ccc(CN[C@H]2CC23CC3)cc1Cl ZINC000424185346 385114774 /nfs/dbraw/zinc/11/47/74/385114774.db2.gz PKFMNOQCCZPTLZ-LBPRGKRZSA-N 0 3 237.730 2.991 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1n[nH]cc1C ZINC000289658931 385124856 /nfs/dbraw/zinc/12/48/56/385124856.db2.gz YVORNXUHCGJYJM-MWLCHTKSSA-N 0 3 209.337 2.632 20 0 BFADHN Fc1cc(C2CC2)ccc1CN[C@H]1CCCOC1 ZINC000425941944 385126425 /nfs/dbraw/zinc/12/64/25/385126425.db2.gz FPOZYRSYVDVPTM-AWEZNQCLSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@H](O)C1)c1ccccc1F ZINC000189278884 385142227 /nfs/dbraw/zinc/14/22/27/385142227.db2.gz GETZLGTVDJFPOO-TUAOUCFPSA-N 0 3 237.318 2.637 20 0 BFADHN c1ccc2c(c1)CN(CC[C@@H]1CCCO1)C2 ZINC000189227111 385138408 /nfs/dbraw/zinc/13/84/08/385138408.db2.gz NSGLYNOFNPLGKW-AWEZNQCLSA-N 0 3 217.312 2.571 20 0 BFADHN C[C@@H](NC[C@H]1CC[C@H](O)C1)c1ccccc1F ZINC000189278936 385139759 /nfs/dbraw/zinc/13/97/59/385139759.db2.gz GETZLGTVDJFPOO-WOPDTQHZSA-N 0 3 237.318 2.637 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1ccc(F)c(C)c1 ZINC000165511660 385139888 /nfs/dbraw/zinc/13/98/88/385139888.db2.gz ALKLTACSXUDMCC-GHMZBOCLSA-N 0 3 225.307 2.820 20 0 BFADHN C[C@@H](NCCC(C)(C)C)c1nnc2ccccn21 ZINC000061839230 385139939 /nfs/dbraw/zinc/13/99/39/385139939.db2.gz ABIXMUWRGXRQMU-LLVKDONJSA-N 0 3 246.358 2.816 20 0 BFADHN C[C@H](CCO)N[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000151944804 385142708 /nfs/dbraw/zinc/14/27/08/385142708.db2.gz NTDJHXTYISSSNR-SFYZADRCSA-N 0 3 247.260 2.525 20 0 BFADHN Cc1ccc([C@H](C)NCC2=CCCOC2)o1 ZINC000165214363 385085681 /nfs/dbraw/zinc/08/56/81/385085681.db2.gz RXHMOXSCXFCRSE-NSHDSACASA-N 0 3 221.300 2.585 20 0 BFADHN c1ccc(C2=CCCN(CC3CC3)C2)nc1 ZINC000559636857 385089460 /nfs/dbraw/zinc/08/94/60/385089460.db2.gz PKALFMHPPMUKLH-UHFFFAOYSA-N 0 3 214.312 2.581 20 0 BFADHN CC1(C)C[C@H](O)CCN(Cc2ccsc2)C1 ZINC000336236038 385089887 /nfs/dbraw/zinc/08/98/87/385089887.db2.gz JSIAKQPAMUCACF-GFCCVEGCSA-N 0 3 239.384 2.731 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H]2CC[C@H](O)C2)o1 ZINC000189293908 385140761 /nfs/dbraw/zinc/14/07/61/385140761.db2.gz BYKOZSVFCLTCLJ-SRVKXCTJSA-N 0 3 237.343 2.654 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1c(C)n[nH]c1C ZINC000129018527 385101080 /nfs/dbraw/zinc/10/10/80/385101080.db2.gz GKBFKOGRVQSVQJ-SECBINFHSA-N 0 3 241.404 2.600 20 0 BFADHN CN(C[C@@H]1CCCO1)CC1(c2ccccc2)CC1 ZINC000189286261 385141042 /nfs/dbraw/zinc/14/10/42/385141042.db2.gz ZAPPQHPCFLTUSB-HNNXBMFYSA-N 0 3 245.366 2.829 20 0 BFADHN CCOC[C@@H](NCc1ccc(C)o1)C(C)C ZINC000188866032 385102308 /nfs/dbraw/zinc/10/23/08/385102308.db2.gz MFMZNLVTNHLBDE-CYBMUJFWSA-N 0 3 225.332 2.739 20 0 BFADHN COC(C)(C)CNCc1ccsc1C ZINC000336684448 385103182 /nfs/dbraw/zinc/10/31/82/385103182.db2.gz KBKRNCZZXKFQNP-UHFFFAOYSA-N 0 3 213.346 2.571 20 0 BFADHN Cc1ccc(CN2C[C@@H](O)C(C)(C)C2)cc1C ZINC000279702716 385183971 /nfs/dbraw/zinc/18/39/71/385183971.db2.gz HDVJOQSTHDLWLY-CQSZACIVSA-N 0 3 233.355 2.506 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCO2)cc1Cl ZINC000063021638 385202462 /nfs/dbraw/zinc/20/24/62/385202462.db2.gz PLTXGSWRKYLXJB-GFCCVEGCSA-N 0 3 239.746 2.917 20 0 BFADHN COCCN[C@H]1CC(C)(C)c2ccccc21 ZINC000178120295 385185919 /nfs/dbraw/zinc/18/59/19/385185919.db2.gz AGMDIISRGCRZOV-ZDUSSCGKSA-N 0 3 219.328 2.645 20 0 BFADHN FCCNC1CC(c2cccc(F)c2)C1 ZINC000308824727 385188597 /nfs/dbraw/zinc/18/85/97/385188597.db2.gz QXXIYXUMFICTNX-UHFFFAOYSA-N 0 3 211.255 2.631 20 0 BFADHN CC(C)(C)CCNCc1ccc(F)cn1 ZINC000308820538 385191110 /nfs/dbraw/zinc/19/11/10/385191110.db2.gz BVLYPBDYOZKABK-UHFFFAOYSA-N 0 3 210.296 2.747 20 0 BFADHN C[C@@H]1C[C@H]1NCc1cnc(C(F)(F)F)s1 ZINC000308820431 385192026 /nfs/dbraw/zinc/19/20/26/385192026.db2.gz BUASFUVPNYVSJK-IYSWYEEDSA-N 0 3 236.262 2.660 20 0 BFADHN C[C@@H](CSc1cccs1)N(C)C ZINC000307940612 385193528 /nfs/dbraw/zinc/19/35/28/385193528.db2.gz BQUHQAHSTKDWIR-QMMMGPOBSA-N 0 3 201.360 2.790 20 0 BFADHN c1ccc2[nH]c(CNC3(C4CC4)CC3)nc2c1 ZINC000557833972 385194409 /nfs/dbraw/zinc/19/44/09/385194409.db2.gz NNQSURSOHDPILM-UHFFFAOYSA-N 0 3 227.311 2.595 20 0 BFADHN CSc1ccc(CNCC2=CCCOC2)cc1 ZINC000178161513 385194914 /nfs/dbraw/zinc/19/49/14/385194914.db2.gz LJXIKQVPQGWUGQ-UHFFFAOYSA-N 0 3 249.379 2.845 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1ccc(Cl)cn1 ZINC000274897011 385196726 /nfs/dbraw/zinc/19/67/26/385196726.db2.gz KLLUOPBSRMFRMH-CABZTGNLSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1ccsc1CN[C@@H](CO)c1ccccc1 ZINC000062999088 385198924 /nfs/dbraw/zinc/19/89/24/385198924.db2.gz OBILCIFAGHDTNS-ZDUSSCGKSA-N 0 3 247.363 2.880 20 0 BFADHN c1csc(CN2CCC[C@@H]2C2CC2)n1 ZINC000370884082 385143180 /nfs/dbraw/zinc/14/31/80/385143180.db2.gz UVOWZWLFNYOOSJ-SNVBAGLBSA-N 0 3 208.330 2.518 20 0 BFADHN CC(C)C(=O)NC[C@H](N)c1ccc(C(C)C)cc1 ZINC000151957388 385144872 /nfs/dbraw/zinc/14/48/72/385144872.db2.gz VLGHMIHOGVQWDW-AWEZNQCLSA-N 0 3 248.370 2.582 20 0 BFADHN FC(F)(F)c1cc(CNCCCC2CC2)[nH]n1 ZINC000559734049 385145037 /nfs/dbraw/zinc/14/50/37/385145037.db2.gz OQGPLIIHKJKMAB-UHFFFAOYSA-N 0 3 247.264 2.708 20 0 BFADHN CCOC[C@H](N[C@@H](C)c1ccco1)C(C)C ZINC000189361885 385151003 /nfs/dbraw/zinc/15/10/03/385151003.db2.gz VFSHJORWAPJFQN-RYUDHWBXSA-N 0 3 225.332 2.991 20 0 BFADHN C1=C[C@@H](N2CC[C@@H]3OCC[C@@H]3C2)CCCCC1 ZINC000568996889 385151697 /nfs/dbraw/zinc/15/16/97/385151697.db2.gz FXXPDZPYZSGCTB-KFWWJZLASA-N 0 3 235.371 2.986 20 0 BFADHN CCC[C@H](O)CN(C)[C@@H](C)c1ccccc1F ZINC000062017172 385151856 /nfs/dbraw/zinc/15/18/56/385151856.db2.gz KLDGRQATTZVDTR-RYUDHWBXSA-N 0 3 239.334 2.980 20 0 BFADHN CCCC(C)(C)NCc1cccc(OC)n1 ZINC000129501249 385153485 /nfs/dbraw/zinc/15/34/85/385153485.db2.gz GPSKKURARZAACW-UHFFFAOYSA-N 0 3 222.332 2.759 20 0 BFADHN COc1ccsc1[C@H](C)N[C@@H]1CC12CC2 ZINC000424312942 385157336 /nfs/dbraw/zinc/15/73/36/385157336.db2.gz AFUOBOZQQUQMQW-WCBMZHEXSA-N 0 3 223.341 2.960 20 0 BFADHN C[C@]1(c2ccccc2)CC[C@@H](N2CCOCC2)C1 ZINC000189496576 385159199 /nfs/dbraw/zinc/15/91/99/385159199.db2.gz MKFUJYFWNOPUCF-CVEARBPZSA-N 0 3 245.366 2.829 20 0 BFADHN Fc1cncc([C@H](N[C@H]2CC23CC3)C2CC2)c1 ZINC000424319363 385161058 /nfs/dbraw/zinc/16/10/58/385161058.db2.gz IAPQMRRIOLBOIO-QWHCGFSZSA-N 0 3 232.302 2.814 20 0 BFADHN FC(F)(F)c1cccc(CN[C@H]2CCOC2)c1 ZINC000109429245 385162224 /nfs/dbraw/zinc/16/22/24/385162224.db2.gz ACITYEXKARDNOX-NSHDSACASA-N 0 3 245.244 2.584 20 0 BFADHN COC[C@H]1CCCN(Cc2cccc(F)c2)C1 ZINC000062161041 385163154 /nfs/dbraw/zinc/16/31/54/385163154.db2.gz DZTQRTLAOOJFFD-ZDUSSCGKSA-N 0 3 237.318 2.684 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@H]2CC23CC3)cn1 ZINC000424326190 385167109 /nfs/dbraw/zinc/16/71/09/385167109.db2.gz OMTKMQPEGTWDID-JQWIXIFHSA-N 0 3 219.332 2.667 20 0 BFADHN COc1cc(CN(C)CC=C(C)C)ccc1O ZINC000177053551 385175005 /nfs/dbraw/zinc/17/50/05/385175005.db2.gz RGKHCIXRSOUYPV-UHFFFAOYSA-N 0 3 235.327 2.799 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1cnns1 ZINC000336713234 385178252 /nfs/dbraw/zinc/17/82/52/385178252.db2.gz VLGWOSNBFKRYTN-GXSJLCMTSA-N 0 3 225.361 2.597 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CC=CCC2)ncn1 ZINC000428353558 385180468 /nfs/dbraw/zinc/18/04/68/385180468.db2.gz VMBKVYXCQLRCIV-CYBMUJFWSA-N 0 3 231.343 2.573 20 0 BFADHN CCSCCN(Cc1ccncc1)C1CC1 ZINC000119773544 385208655 /nfs/dbraw/zinc/20/86/55/385208655.db2.gz DMHRNMNDFIVAOS-UHFFFAOYSA-N 0 3 236.384 2.799 20 0 BFADHN C[C@H](NCCc1nccs1)c1cccc(O)c1 ZINC000063133491 385211524 /nfs/dbraw/zinc/21/15/24/385211524.db2.gz NXJHONSDGHYZFY-JTQLQIEISA-N 0 3 248.351 2.742 20 0 BFADHN C[C@@H](NCC1(C)CCC1)c1ccccn1 ZINC000090219951 385218312 /nfs/dbraw/zinc/21/83/12/385218312.db2.gz QTHIWVNWMWFLPZ-LLVKDONJSA-N 0 3 204.317 2.922 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1ccccc1 ZINC000044688567 385219343 /nfs/dbraw/zinc/21/93/43/385219343.db2.gz OFHIUTBBZAQDFV-VXGBXAGGSA-N 0 3 207.317 2.762 20 0 BFADHN CCc1ccc([C@H](C)NCCN(CC)CC)o1 ZINC000152863566 385220059 /nfs/dbraw/zinc/22/00/59/385220059.db2.gz IRYBFNZRAVFGTH-LBPRGKRZSA-N 0 3 238.375 2.834 20 0 BFADHN Cc1sccc1CN[C@H]1COCC1(C)C ZINC000313030150 385220994 /nfs/dbraw/zinc/22/09/94/385220994.db2.gz XIAIYMLUMLRHPV-NSHDSACASA-N 0 3 225.357 2.571 20 0 BFADHN CC[C@@H](C(=O)NC1(C)CCCC1)N(CC)CC ZINC000359321728 385221442 /nfs/dbraw/zinc/22/14/42/385221442.db2.gz VQMPTXABBHTVDU-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1cc(C)cc([C@H](C)N[C@@H]2CCOC2)c1 ZINC000166126945 385222206 /nfs/dbraw/zinc/22/22/06/385222206.db2.gz BDMQACMCYZGFLU-GXTWGEPZSA-N 0 3 219.328 2.743 20 0 BFADHN Cc1ccc(CNCCN2CCCC2(C)C)o1 ZINC000449780888 385222355 /nfs/dbraw/zinc/22/23/55/385222355.db2.gz GWLFLEHUNDEWSU-UHFFFAOYSA-N 0 3 236.359 2.552 20 0 BFADHN COC(=O)c1ccc([C@H](C)N(C)CC(C)C)o1 ZINC000110310792 385227899 /nfs/dbraw/zinc/22/78/99/385227899.db2.gz AMXWRSOZHFUXHF-JTQLQIEISA-N 0 3 239.315 2.715 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](CC)COC)o1 ZINC000152988129 385228919 /nfs/dbraw/zinc/22/89/19/385228919.db2.gz USBCTTHTFJFOGP-QWRGUYRKSA-N 0 3 225.332 2.918 20 0 BFADHN C[C@H](N[C@@H](CCO)c1ccccc1F)C1CC1 ZINC000647249767 385231120 /nfs/dbraw/zinc/23/11/20/385231120.db2.gz NSTGNZOLWGEQCV-HZMBPMFUSA-N 0 3 237.318 2.637 20 0 BFADHN CCC[C@@](C)(N)C(=O)N(CC)CC(CC)CC ZINC000037621573 385232349 /nfs/dbraw/zinc/23/23/49/385232349.db2.gz UMQRYHDOXHQCOZ-CQSZACIVSA-N 0 3 242.407 2.789 20 0 BFADHN C[C@@H](NCCC1(C)CC1)c1cscn1 ZINC000279925899 385233304 /nfs/dbraw/zinc/23/33/04/385233304.db2.gz AJWGHBXPWUPJOC-SECBINFHSA-N 0 3 210.346 2.984 20 0 BFADHN CCOCCN[C@@H]1CCc2cc(Cl)ccc21 ZINC000130258868 385235798 /nfs/dbraw/zinc/23/57/98/385235798.db2.gz LZCYGQKSFRDFPT-CYBMUJFWSA-N 0 3 239.746 2.953 20 0 BFADHN COCC[C@H](C)NCc1ccccc1Cl ZINC000045017576 385236088 /nfs/dbraw/zinc/23/60/88/385236088.db2.gz UPXRYVKZSWMVBW-JTQLQIEISA-N 0 3 227.735 2.855 20 0 BFADHN CC(C)N(C)C(=O)[C@H](C)N[C@H](C)c1ccccc1 ZINC000177407121 385236340 /nfs/dbraw/zinc/23/63/40/385236340.db2.gz KQKQBIUXQOIYRI-OLZOCXBDSA-N 0 3 248.370 2.593 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H]2CCCO2)o1 ZINC000153161579 385236724 /nfs/dbraw/zinc/23/67/24/385236724.db2.gz IDQJAPKFGCTQRL-PWSUYJOCSA-N 0 3 223.316 2.672 20 0 BFADHN Cc1ccsc1CN(C)CCC[C@@H](C)O ZINC000308884094 385237428 /nfs/dbraw/zinc/23/74/28/385237428.db2.gz FSFBJFSOXGXEPJ-LLVKDONJSA-N 0 3 227.373 2.649 20 0 BFADHN CSCCN[C@H](C)c1cc(C)oc1C ZINC000068993770 385238222 /nfs/dbraw/zinc/23/82/22/385238222.db2.gz BQKCQKJWEWOGEK-SECBINFHSA-N 0 3 213.346 2.910 20 0 BFADHN c1ccc2c(c1)OCCC[C@H]2NC[C@@H]1CCCO1 ZINC000153120997 385238826 /nfs/dbraw/zinc/23/88/26/385238826.db2.gz VGTBEUDNOXWVCJ-GXTWGEPZSA-N 0 3 247.338 2.669 20 0 BFADHN CCc1ccc(CNCCCSC)o1 ZINC000068998055 385239764 /nfs/dbraw/zinc/23/97/64/385239764.db2.gz VRZSZNMFZPXBMC-UHFFFAOYSA-N 0 3 213.346 2.685 20 0 BFADHN c1nn(Cc2ccccc2)cc1CNC1CCC1 ZINC000052207909 385245069 /nfs/dbraw/zinc/24/50/69/385245069.db2.gz YQCLDPSCMXZCEI-UHFFFAOYSA-N 0 3 241.338 2.574 20 0 BFADHN CCN(CCn1cccn1)Cc1ccccc1C ZINC000064141225 385246819 /nfs/dbraw/zinc/24/68/19/385246819.db2.gz IUJBDBJDBAYPSF-UHFFFAOYSA-N 0 3 243.354 2.714 20 0 BFADHN Cc1nocc1CN1CCCC[C@@H](C)C1 ZINC000294701529 385253386 /nfs/dbraw/zinc/25/33/86/385253386.db2.gz ODJVZSFGHCKJBO-SNVBAGLBSA-N 0 3 208.305 2.605 20 0 BFADHN FC(F)(F)CCN1CCC2(CCC2)C1 ZINC000336397473 385254020 /nfs/dbraw/zinc/25/40/20/385254020.db2.gz LZKWIATUUGMAKE-UHFFFAOYSA-N 0 3 207.239 2.815 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCSC2)o1 ZINC000130523227 385255769 /nfs/dbraw/zinc/25/57/69/385255769.db2.gz PLEFQXOVWRAOFV-SNVBAGLBSA-N 0 3 211.330 2.525 20 0 BFADHN CO[C@](C)(CNCc1ccsc1)C1CC1 ZINC000291099351 385204366 /nfs/dbraw/zinc/20/43/66/385204366.db2.gz ZMJKZBHTZRSUFX-GFCCVEGCSA-N 0 3 225.357 2.653 20 0 BFADHN c1csc(CN[C@@H]2CC[C@H]2C2CCC2)n1 ZINC000308825470 385205303 /nfs/dbraw/zinc/20/53/03/385205303.db2.gz DMNOIJHHXWXLRA-WDEREUQCSA-N 0 3 222.357 2.811 20 0 BFADHN CC(C)(C)O[C@H]1C[C@@H](NCc2cccc(O)c2)C1 ZINC000647248732 385206037 /nfs/dbraw/zinc/20/60/37/385206037.db2.gz XZBXMAISONZCFA-XBXGTLAGSA-N 0 3 249.354 2.828 20 0 BFADHN CO[C@H](CNCc1ccc(Cl)o1)C(C)C ZINC000308932645 385303211 /nfs/dbraw/zinc/30/32/11/385303211.db2.gz JYCOTQZVVWECFH-SNVBAGLBSA-N 0 3 231.723 2.694 20 0 BFADHN Cc1noc(C)c1CN1CC[C@H](C)[C@@H]1C ZINC000177913240 385304853 /nfs/dbraw/zinc/30/48/53/385304853.db2.gz QVKBJVQGNONKHN-WPRPVWTQSA-N 0 3 208.305 2.522 20 0 BFADHN CCC[C@H](C)CN[C@H](CO)c1ccsc1 ZINC000308936579 385305596 /nfs/dbraw/zinc/30/55/96/385305596.db2.gz KYRBXZYFRFEWAG-CMPLNLGQSA-N 0 3 227.373 2.807 20 0 BFADHN CCC[C@H](C)CN[C@@H](CO)c1ccsc1 ZINC000308936584 385305696 /nfs/dbraw/zinc/30/56/96/385305696.db2.gz KYRBXZYFRFEWAG-JQWIXIFHSA-N 0 3 227.373 2.807 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1cc(F)cc(F)c1 ZINC000131083014 385307449 /nfs/dbraw/zinc/30/74/49/385307449.db2.gz FKNKWLTVGKPAEI-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN COc1cc(CNCC=C(C)C)cc(OC)c1 ZINC000191626905 385309768 /nfs/dbraw/zinc/30/97/68/385309768.db2.gz OJSILBFVIOMVMS-UHFFFAOYSA-N 0 3 235.327 2.760 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1ccc(F)cc1 ZINC000191709546 385319059 /nfs/dbraw/zinc/31/90/59/385319059.db2.gz QFKLXHRFRJABFF-AXFHLTTASA-N 0 3 225.307 2.900 20 0 BFADHN CCC(CC)[C@H](O)CN[C@@H](C)c1ccco1 ZINC000167508445 385320506 /nfs/dbraw/zinc/32/05/06/385320506.db2.gz WTTWASFDISZTSE-CMPLNLGQSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000336401591 385258761 /nfs/dbraw/zinc/25/87/61/385258761.db2.gz VVKSXNLFBAVHCQ-BXUZGUMPSA-N 0 3 233.359 2.730 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2COCC2(C)C)o1 ZINC000313048910 385263503 /nfs/dbraw/zinc/26/35/03/385263503.db2.gz ZAOWZWWLVQYMNM-SCDSUCTJSA-N 0 3 249.354 2.918 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1cccnc1Cl ZINC000167555947 385324719 /nfs/dbraw/zinc/32/47/19/385324719.db2.gz ZDBXCQVJPGJKES-ZJUUUORDSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@]2(C)CCOC2)o1 ZINC000344374622 385271126 /nfs/dbraw/zinc/27/11/26/385271126.db2.gz UKPYFRUPTQNNDL-UXIGCNINSA-N 0 3 249.354 2.919 20 0 BFADHN CN(Cc1ccccc1)C[C@H]1CCC=CO1 ZINC000191055532 385281970 /nfs/dbraw/zinc/28/19/70/385281970.db2.gz CJJZTGYIGJOHGB-CQSZACIVSA-N 0 3 217.312 2.811 20 0 BFADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@H](C)CO ZINC000166779673 385283633 /nfs/dbraw/zinc/28/36/33/385283633.db2.gz MBDCVQJJLPDKFN-BDAKNGLRSA-N 0 3 242.388 2.606 20 0 BFADHN CCc1ccc(CNC[C@@H](O)CC(C)(C)C)o1 ZINC000177787179 385283757 /nfs/dbraw/zinc/28/37/57/385283757.db2.gz TZKNRYMSVGAPKL-NSHDSACASA-N 0 3 239.359 2.729 20 0 BFADHN Cc1ccc(CN2CCN(C)C[C@@H]2C(C)C)cc1 ZINC000357208707 385287691 /nfs/dbraw/zinc/28/76/91/385287691.db2.gz WGIWFOSFNVHWQI-MRXNPFEDSA-N 0 3 246.398 2.767 20 0 BFADHN CCC[C@H]1CCCCN1Cc1ccc(CO)o1 ZINC000130841716 385288533 /nfs/dbraw/zinc/28/85/33/385288533.db2.gz OVXMBSOYPHVTEO-LBPRGKRZSA-N 0 3 237.343 2.927 20 0 BFADHN CCc1ccc([C@@H]2C[C@H](C)CCN2CCO)o1 ZINC000177843109 385291232 /nfs/dbraw/zinc/29/12/32/385291232.db2.gz ZXUDEERBQDEUTP-YPMHNXCESA-N 0 3 237.343 2.607 20 0 BFADHN CO[C@@H](C)CNCc1c(C)oc2ccccc21 ZINC000191206963 385292651 /nfs/dbraw/zinc/29/26/51/385292651.db2.gz KLDNXEXMZJUKKI-JTQLQIEISA-N 0 3 233.311 2.866 20 0 BFADHN CC(C)(C)CCN[C@@H](CO)c1ccsc1 ZINC000308920416 385292810 /nfs/dbraw/zinc/29/28/10/385292810.db2.gz CAEYHKKNCIMESP-NSHDSACASA-N 0 3 227.373 2.807 20 0 BFADHN CCCCCN(CCOC)Cc1cccnc1 ZINC000191245564 385295128 /nfs/dbraw/zinc/29/51/28/385295128.db2.gz ZXYIJRAYCHFTIN-UHFFFAOYSA-N 0 3 236.359 2.720 20 0 BFADHN CC[C@@H](COC)NCc1ccc(SC)s1 ZINC000308940472 385298815 /nfs/dbraw/zinc/29/88/15/385298815.db2.gz LYKOEQUDKGWSRB-VIFPVBQESA-N 0 3 245.413 2.985 20 0 BFADHN Cc1ccc(NC(=O)CN2CC[C@@H](C)[C@@H]2C)cc1 ZINC000177873017 385299439 /nfs/dbraw/zinc/29/94/39/385299439.db2.gz LIAJAYWRHWJTJR-OLZOCXBDSA-N 0 3 246.354 2.664 20 0 BFADHN CC(C)N(C)c1ccc(CN2CCC[C@@H]2C)cn1 ZINC000191350304 385299660 /nfs/dbraw/zinc/29/96/60/385299660.db2.gz XGVNYELZQJELIC-ZDUSSCGKSA-N 0 3 247.386 2.911 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H]1C)c1ccncc1F ZINC000308931160 385301851 /nfs/dbraw/zinc/30/18/51/385301851.db2.gz FIVLPGGIRHUIMY-LPEHRKFASA-N 0 3 208.280 2.527 20 0 BFADHN COC[C@H](C)N[C@H](c1ccccc1)[C@@H]1CCCO1 ZINC000178125550 385337507 /nfs/dbraw/zinc/33/75/07/385337507.db2.gz MOFMRZGYMZHDHP-AEGPPILISA-N 0 3 249.354 2.531 20 0 BFADHN CCOCCN[C@H](C)c1ccc2ccccc2n1 ZINC000178165185 385339103 /nfs/dbraw/zinc/33/91/03/385339103.db2.gz WXWAANODZZXDEJ-GFCCVEGCSA-N 0 3 244.338 2.922 20 0 BFADHN CC(C)CSCCNCc1ccccn1 ZINC000053618669 385346146 /nfs/dbraw/zinc/34/61/46/385346146.db2.gz RCBOKMLVTVYAIG-UHFFFAOYSA-N 0 3 224.373 2.560 20 0 BFADHN CC(C)C[C@@H](CCO)CN[C@@H](C)c1ccco1 ZINC000154668764 385347115 /nfs/dbraw/zinc/34/71/15/385347115.db2.gz XJOOPUWQPYFFJJ-QWHCGFSZSA-N 0 3 239.359 2.975 20 0 BFADHN CCc1ccccc1CN[C@H](C)c1ccnn1C ZINC000192251890 385350259 /nfs/dbraw/zinc/35/02/59/385350259.db2.gz VVQINEQGLGUKPB-GFCCVEGCSA-N 0 3 243.354 2.833 20 0 BFADHN CCN(C(=O)[C@@H](C)[C@@H](N)c1ccccc1)C(C)C ZINC000131646911 385351750 /nfs/dbraw/zinc/35/17/50/385351750.db2.gz QDPPPLKGBGYIOT-GXTWGEPZSA-N 0 3 248.370 2.579 20 0 BFADHN CC(C)c1ccccc1NC(=O)[C@H]1NCC1(C)C ZINC000643987479 385355794 /nfs/dbraw/zinc/35/57/94/385355794.db2.gz ZJYQDMKZMUSNKL-CYBMUJFWSA-N 0 3 246.354 2.747 20 0 BFADHN CC[C@](C)(O)CNCc1cc(F)cc(Cl)c1 ZINC000168263173 385356540 /nfs/dbraw/zinc/35/65/40/385356540.db2.gz XMAGFEYMMWLTSK-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN COC(=O)c1ccc(CN2CCC=C(C)C2)cc1 ZINC000280728172 385363814 /nfs/dbraw/zinc/36/38/14/385363814.db2.gz GOYJDYHMRQZSFC-UHFFFAOYSA-N 0 3 245.322 2.625 20 0 BFADHN C[C@@H](NC[C@H]1CCCC1(C)C)c1cnccn1 ZINC000294994807 385364969 /nfs/dbraw/zinc/36/49/69/385364969.db2.gz LQIYITIODXAREP-VXGBXAGGSA-N 0 3 233.359 2.954 20 0 BFADHN CC(C)CN1CCc2ccc(F)cc2C1 ZINC000179071357 385367485 /nfs/dbraw/zinc/36/74/85/385367485.db2.gz WETXVDHCZZOVAC-UHFFFAOYSA-N 0 3 207.292 2.840 20 0 BFADHN CCCCN(C)Cc1ccc([S@@](C)=O)cc1 ZINC000178415435 385368609 /nfs/dbraw/zinc/36/86/09/385368609.db2.gz NKONDDBKDZGKPJ-MRXNPFEDSA-N 0 3 239.384 2.656 20 0 BFADHN Cc1cc(C)cc(C2=CCN(C[C@H](C)O)CC2)c1 ZINC000192776069 385371064 /nfs/dbraw/zinc/37/10/64/385371064.db2.gz DRYDCRXTUVTEPI-AWEZNQCLSA-N 0 3 245.366 2.773 20 0 BFADHN CC(=O)CCN1CCC[C@@H](Nc2ccccc2)C1 ZINC000155033798 385371923 /nfs/dbraw/zinc/37/19/23/385371923.db2.gz HNEBQVXTFYXISQ-OAHLLOKOSA-N 0 3 246.354 2.542 20 0 BFADHN C[C@H](CF)N[C@@H]1Cc2ccc(Cl)cc2C1 ZINC000309018242 385373425 /nfs/dbraw/zinc/37/34/25/385373425.db2.gz VNRVWKTWDOVJAL-PRHODGIISA-N 0 3 227.710 2.755 20 0 BFADHN C/C(=C\c1ccccc1)CN[C@@H]1C=C[C@H](CO)C1 ZINC000178437795 385373667 /nfs/dbraw/zinc/37/36/67/385373667.db2.gz QALVNEXWDGUKHP-FKZVYCBESA-N 0 3 243.350 2.617 20 0 BFADHN C[C@H](NCC1CCCCC1)c1ccon1 ZINC000309021628 385374413 /nfs/dbraw/zinc/37/44/13/385374413.db2.gz XMEURXUSGOHBES-JTQLQIEISA-N 0 3 208.305 2.906 20 0 BFADHN CC/C=C/CCN[C@@H](CO)c1ccsc1 ZINC000309028127 385377747 /nfs/dbraw/zinc/37/77/47/385377747.db2.gz BZBPJUCORAWRPH-PCAWENJQSA-N 0 3 225.357 2.727 20 0 BFADHN CCc1ccc(CN[C@@H]2CC[C@H](F)C2)o1 ZINC000309029006 385378418 /nfs/dbraw/zinc/37/84/18/385378418.db2.gz CMLDDICKKWKIMA-VHSXEESVSA-N 0 3 211.280 2.822 20 0 BFADHN C[C@H](NCCN1CC=CCC1)c1cccc(F)c1 ZINC000280875447 385379855 /nfs/dbraw/zinc/37/98/55/385379855.db2.gz DDIXFTPJVLYTDP-ZDUSSCGKSA-N 0 3 248.345 2.738 20 0 BFADHN C[C@@H](N[C@H](CO)CC1CCC1)c1ccsc1 ZINC000280915577 385382205 /nfs/dbraw/zinc/38/22/05/385382205.db2.gz GWSXALXCJQZIHR-MFKMUULPSA-N 0 3 239.384 2.950 20 0 BFADHN C[C@@H](N[C@@H](C)CCO)c1ccc2ccccc2n1 ZINC000178497838 385382481 /nfs/dbraw/zinc/38/24/81/385382481.db2.gz DITWXHHTJAXCFF-NWDGAFQWSA-N 0 3 244.338 2.656 20 0 BFADHN Cc1ccc([C@@H](C)NCCN2CC=CCC2)o1 ZINC000280955323 385383497 /nfs/dbraw/zinc/38/34/97/385383497.db2.gz KBSHFIBNNUIEJA-CYBMUJFWSA-N 0 3 234.343 2.501 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCCF)[C@H](C)C2 ZINC000280941809 385383582 /nfs/dbraw/zinc/38/35/82/385383582.db2.gz GIJOTPDXQGEGJI-ZWNOBZJWSA-N 0 3 207.292 2.787 20 0 BFADHN COc1ccccc1[C@H](C)NCC1(OC)CCC1 ZINC000180198094 385384886 /nfs/dbraw/zinc/38/48/86/385384886.db2.gz ZRNSRYUOFBUPGC-LBPRGKRZSA-N 0 3 249.354 2.915 20 0 BFADHN Cc1cn[nH]c1CN[C@@H](C)c1cccs1 ZINC000289779856 385425261 /nfs/dbraw/zinc/42/52/61/385425261.db2.gz HBQIFFJREOWSPR-VIFPVBQESA-N 0 3 221.329 2.630 20 0 BFADHN CCO[C@H](CN[C@H]1CCO[C@@H]1C)c1ccccc1 ZINC000193428643 385396630 /nfs/dbraw/zinc/39/66/30/385396630.db2.gz BRQPORGESATLGU-VHDGCEQUSA-N 0 3 249.354 2.531 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1sccc1Cl ZINC000309061135 385397285 /nfs/dbraw/zinc/39/72/85/385397285.db2.gz WRQBEOPJFMGAMH-XADBCAIWSA-N 0 3 245.775 2.818 20 0 BFADHN CCCc1csc(CN[C@@H]2CC[C@@H]2C)n1 ZINC000309061736 385397450 /nfs/dbraw/zinc/39/74/50/385397450.db2.gz XBNYRJJLLYHXGJ-GXSJLCMTSA-N 0 3 224.373 2.984 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1ccn(C)n1 ZINC000168986781 385398724 /nfs/dbraw/zinc/39/87/24/385398724.db2.gz CZJWPYAQSLGSHF-DGCLKSJQSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1ccc([C@@H](C)NCc2ccnc(N)c2)cc1 ZINC000193476814 385399443 /nfs/dbraw/zinc/39/94/43/385399443.db2.gz BNZNLSDEIPVBFS-GFCCVEGCSA-N 0 3 241.338 2.823 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1cccnc1 ZINC000309083173 385401909 /nfs/dbraw/zinc/40/19/09/385401909.db2.gz SOWHWIDXXKBAFI-ZMLRMANQSA-N 0 3 222.357 2.626 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H](C)c1cccnc1 ZINC000309083171 385402756 /nfs/dbraw/zinc/40/27/56/385402756.db2.gz SOWHWIDXXKBAFI-MVWJERBFSA-N 0 3 222.357 2.626 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1O)c1cc(F)cc(F)c1 ZINC000181068664 385403228 /nfs/dbraw/zinc/40/32/28/385403228.db2.gz DTUMHKRCQRFXCK-HJIKLVIJSA-N 0 3 241.281 2.529 20 0 BFADHN CSC[C@@H](C)NCc1scnc1C1CC1 ZINC000309072974 385403443 /nfs/dbraw/zinc/40/34/43/385403443.db2.gz GWEVMDHNSLGJQY-MRVPVSSYSA-N 0 3 242.413 2.862 20 0 BFADHN C[C@H](NCc1ccco1)[C@H]1COc2ccccc21 ZINC000169086328 385404873 /nfs/dbraw/zinc/40/48/73/385404873.db2.gz FRJXSUQJOJLCJR-SMDDNHRTSA-N 0 3 243.306 2.934 20 0 BFADHN C[C@H](NCCF)[C@@H]1C[C@@H]1c1ccccc1 ZINC000309094956 385406085 /nfs/dbraw/zinc/40/60/85/385406085.db2.gz CKEJXOKDFXAPBP-WCFLWFBJSA-N 0 3 207.292 2.738 20 0 BFADHN CSC1(CNCc2cc(F)ccc2F)CC1 ZINC000193653213 385406047 /nfs/dbraw/zinc/40/60/47/385406047.db2.gz YEDGERDYKVXEKA-UHFFFAOYSA-N 0 3 243.322 2.950 20 0 BFADHN C[C@@H](NCCOC(C)(C)C)c1cccc(O)c1 ZINC000181502455 385406796 /nfs/dbraw/zinc/40/67/96/385406796.db2.gz ZEVHBEPCZZZWCY-LLVKDONJSA-N 0 3 237.343 2.858 20 0 BFADHN CSC1(CNCc2ccc(F)c(F)c2)CC1 ZINC000193662021 385407516 /nfs/dbraw/zinc/40/75/16/385407516.db2.gz DLCHBJDTUDWFFR-UHFFFAOYSA-N 0 3 243.322 2.950 20 0 BFADHN Cc1ccc(CNC[C@@H](O)C(C)C)cc1Cl ZINC000193737614 385410874 /nfs/dbraw/zinc/41/08/74/385410874.db2.gz VAUQZSHQJUZARH-CYBMUJFWSA-N 0 3 241.762 2.755 20 0 BFADHN Clc1ccsc1CNCCN1CCCC1 ZINC000161643807 385412184 /nfs/dbraw/zinc/41/21/84/385412184.db2.gz LFCAIXZGRIJQAE-UHFFFAOYSA-N 0 3 244.791 2.587 20 0 BFADHN CSC1(CN[C@@H](C)c2cncc(F)c2)CC1 ZINC000193819546 385413160 /nfs/dbraw/zinc/41/31/60/385413160.db2.gz DSZCINWYFLHPEC-VIFPVBQESA-N 0 3 240.347 2.767 20 0 BFADHN COc1cc(CN2CCCO[C@H](C)C2)ccc1C ZINC000193868642 385414809 /nfs/dbraw/zinc/41/48/09/385414809.db2.gz PZESPJQWVCTQPZ-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@@H](CCO)CCNCc1ccccc1Cl ZINC000295069180 385418804 /nfs/dbraw/zinc/41/88/04/385418804.db2.gz HFOQBVMNXFFSTI-LLVKDONJSA-N 0 3 241.762 2.838 20 0 BFADHN COc1cc(C)cc(CNC[C@H]2CCC=CO2)c1 ZINC000194522316 385439536 /nfs/dbraw/zinc/43/95/36/385439536.db2.gz VUKBUTBVLYKJHP-CQSZACIVSA-N 0 3 247.338 2.786 20 0 BFADHN Cc1csc(C(C)(C)NC[C@@H]2C[C@H]2C)n1 ZINC000309149416 385440157 /nfs/dbraw/zinc/44/01/57/385440157.db2.gz WAPFFOJNGXCHIT-SCZZXKLOSA-N 0 3 224.373 2.932 20 0 BFADHN CC[C@@H](NCCSC)c1c(C)noc1C ZINC000182398441 385443787 /nfs/dbraw/zinc/44/37/87/385443787.db2.gz MIRQKLAETKUPDX-SNVBAGLBSA-N 0 3 228.361 2.695 20 0 BFADHN CSCCCN(Cc1ccccn1)C1CC1 ZINC000179292688 385457821 /nfs/dbraw/zinc/45/78/21/385457821.db2.gz BLXRXSXTDRBLKY-UHFFFAOYSA-N 0 3 236.384 2.799 20 0 BFADHN COc1cc([C@@H](C)NC[C@H](C)OC)ccc1F ZINC000182436359 385447644 /nfs/dbraw/zinc/44/76/44/385447644.db2.gz RWHDHGUCTLKVNC-VHSXEESVSA-N 0 3 241.306 2.520 20 0 BFADHN COc1cc([C@H](C)NC[C@H](C)OC)ccc1F ZINC000182436320 385447882 /nfs/dbraw/zinc/44/78/82/385447882.db2.gz RWHDHGUCTLKVNC-UWVGGRQHSA-N 0 3 241.306 2.520 20 0 BFADHN CO[C@@H](C)CNC(C)(C)c1cccc(F)c1 ZINC000182437210 385447950 /nfs/dbraw/zinc/44/79/50/385447950.db2.gz NCXIODLGGIEPQM-JTQLQIEISA-N 0 3 225.307 2.685 20 0 BFADHN CC[C@](C)(CO)NCc1ccc(SC)s1 ZINC000309170166 385448415 /nfs/dbraw/zinc/44/84/15/385448415.db2.gz LBGQKPUJTPJKNQ-LLVKDONJSA-N 0 3 245.413 2.721 20 0 BFADHN C[C@@H](Cc1ccccc1)CN1CCN(C)C[C@H]1C ZINC000360868373 385449693 /nfs/dbraw/zinc/44/96/93/385449693.db2.gz AATCEQFNRIHKLL-LSDHHAIUSA-N 0 3 246.398 2.501 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2cncc(C)c2)C1(C)C ZINC000182085054 385429918 /nfs/dbraw/zinc/42/99/18/385429918.db2.gz UBSMVBPTIQFFEE-ZIAGYGMSSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1noc(C)c1CCN[C@@H](C)c1ccco1 ZINC000156032902 385430091 /nfs/dbraw/zinc/43/00/91/385430091.db2.gz VIMSWPNFZFCJJT-JTQLQIEISA-N 0 3 234.299 2.778 20 0 BFADHN COC[C@H](C)N(C)Cc1cc(Cl)cs1 ZINC000194347883 385432153 /nfs/dbraw/zinc/43/21/53/385432153.db2.gz FKPCGFVGFABQJN-QMMMGPOBSA-N 0 3 233.764 2.868 20 0 BFADHN C[C@]1(NCCF)CCCc2ccccc21 ZINC000309144283 385435077 /nfs/dbraw/zinc/43/50/77/385435077.db2.gz UQSRHAVDHSPBJI-ZDUSSCGKSA-N 0 3 207.292 2.797 20 0 BFADHN c1coc(CN2CCC[C@@H](C[C@@H]3CCOC3)C2)c1 ZINC000367683328 385435153 /nfs/dbraw/zinc/43/51/53/385435153.db2.gz HXZYNUGZRFGBNK-KBPBESRZSA-N 0 3 249.354 2.918 20 0 BFADHN C[C@@H](O)[C@H]1CCN(Cc2cc(Cl)cs2)C1 ZINC000194476349 385436833 /nfs/dbraw/zinc/43/68/33/385436833.db2.gz XCVMNPSNDQADHW-BDAKNGLRSA-N 0 3 245.775 2.604 20 0 BFADHN Cc1ccsc1CN(C)C[C@H]1CCCO1 ZINC000171100018 385467750 /nfs/dbraw/zinc/46/77/50/385467750.db2.gz HPWNRKOULYWDCJ-LLVKDONJSA-N 0 3 225.357 2.667 20 0 BFADHN Cc1oc2ccccc2c1CN1CCC[C@@H](O)C1 ZINC000179522539 385471318 /nfs/dbraw/zinc/47/13/18/385471318.db2.gz HIAFIOGQVYMCIQ-GFCCVEGCSA-N 0 3 245.322 2.698 20 0 BFADHN CCc1ccc([C@H](C)NCC2(C)OCCO2)cc1 ZINC000179573923 385474049 /nfs/dbraw/zinc/47/40/49/385474049.db2.gz GSMJGRLSJBZJRO-LBPRGKRZSA-N 0 3 249.354 2.663 20 0 BFADHN CCc1ccc(CN[C@@H]2CCO[C@H](C3CC3)C2)o1 ZINC000156911344 385474354 /nfs/dbraw/zinc/47/43/54/385474354.db2.gz QUAFNEKYCFJQMX-DOMZBBRYSA-N 0 3 249.354 2.889 20 0 BFADHN CCCCC[C@H](NC(=O)[C@@H](C)N)c1ccccc1 ZINC000156959698 385475142 /nfs/dbraw/zinc/47/51/42/385475142.db2.gz MKNPLPPNYBKIIP-OCCSQVGLSA-N 0 3 248.370 2.771 20 0 BFADHN Cc1ccc([C@@H](C)NCCOCC2CC2)o1 ZINC000157062113 385482863 /nfs/dbraw/zinc/48/28/63/385482863.db2.gz AKJOXRRBMFEWNV-LLVKDONJSA-N 0 3 223.316 2.665 20 0 BFADHN Cn1cccc1[C@@H]1CCCN1CC1=CCCOC1 ZINC000179708936 385485958 /nfs/dbraw/zinc/48/59/58/385485958.db2.gz DGESZCUIXCPDJE-HNNXBMFYSA-N 0 3 246.354 2.509 20 0 BFADHN Cc1cc(CN2CCC[C@](C)(O)C2)c(C)s1 ZINC000179709967 385485992 /nfs/dbraw/zinc/48/59/92/385485992.db2.gz WNWRGNGPFQVKNY-ZDUSSCGKSA-N 0 3 239.384 2.712 20 0 BFADHN CO[C@]1(C)C[C@@H](N(C)Cc2ccncc2)C1(C)C ZINC000157090035 385486631 /nfs/dbraw/zinc/48/66/31/385486631.db2.gz JPPQRFAFLPPSIF-UKRRQHHQSA-N 0 3 248.370 2.717 20 0 BFADHN CSCCN(C)Cc1cccs1 ZINC000171024015 385462014 /nfs/dbraw/zinc/46/20/14/385462014.db2.gz NXKBUXZLEPEOMR-UHFFFAOYSA-N 0 3 201.360 2.543 20 0 BFADHN C[C@@H](NC[C@@H](O)CC(C)(C)C)c1ccncc1 ZINC000179388455 385463156 /nfs/dbraw/zinc/46/31/56/385463156.db2.gz MLHOQPKQSYYKNY-YPMHNXCESA-N 0 3 236.359 2.529 20 0 BFADHN C[C@H](NCc1nccs1)C1CCCC1 ZINC000054081081 385463209 /nfs/dbraw/zinc/46/32/09/385463209.db2.gz KHOVGFJARHTILX-VIFPVBQESA-N 0 3 210.346 2.811 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)Nc1ccc(F)cc1 ZINC000257688170 385492423 /nfs/dbraw/zinc/49/24/23/385492423.db2.gz LIAJOCUBQWZMTA-GFCCVEGCSA-N 0 3 238.306 2.528 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1ccccc1F ZINC000157363889 385497470 /nfs/dbraw/zinc/49/74/70/385497470.db2.gz MRWACZLXJPPSRP-MNOVXSKESA-N 0 3 225.307 2.637 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1sc(C)nc1C ZINC000157379575 385497658 /nfs/dbraw/zinc/49/76/58/385497658.db2.gz XACHTAZFIBZJCZ-KWQFWETISA-N 0 3 242.388 2.572 20 0 BFADHN CN(Cc1cnc[nH]1)[C@H]1CCc2ccccc21 ZINC000179907122 385499561 /nfs/dbraw/zinc/49/95/61/385499561.db2.gz ZTFOYBIFTNSTNR-AWEZNQCLSA-N 0 3 227.311 2.529 20 0 BFADHN c1coc(CN(Cc2cnc[nH]2)C2CCCC2)c1 ZINC000180074845 385505993 /nfs/dbraw/zinc/50/59/93/385505993.db2.gz QLNCFHUTCAHEAW-UHFFFAOYSA-N 0 3 245.326 2.948 20 0 BFADHN Cc1noc(C)c1C(C)(C)NC[C@H]1C[C@H]1C ZINC000336776254 385508662 /nfs/dbraw/zinc/50/86/62/385508662.db2.gz FGNPWILWGAOFQR-LDYMZIIASA-N 0 3 222.332 2.772 20 0 BFADHN C[C@@H](O)CCCN(C)Cc1cccc(Cl)c1 ZINC000309286386 385509920 /nfs/dbraw/zinc/50/99/20/385509920.db2.gz OVBMCBVMHQHSCC-LLVKDONJSA-N 0 3 241.762 2.933 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1cc(C)c(C)o1 ZINC000282160898 385512310 /nfs/dbraw/zinc/51/23/10/385512310.db2.gz LRKMUNQGEGEHGF-MFKMUULPSA-N 0 3 225.332 2.708 20 0 BFADHN CC(C)CCN[C@@H](c1nccn1C)C1CC1 ZINC000183232743 385513927 /nfs/dbraw/zinc/51/39/27/385513927.db2.gz KQSRTWUHSNEDPY-GFCCVEGCSA-N 0 3 221.348 2.507 20 0 BFADHN CCCCCN[C@@H](c1nccn1C)C1CC1 ZINC000183233080 385514577 /nfs/dbraw/zinc/51/45/77/385514577.db2.gz ZMQVXURWBJWPPR-GFCCVEGCSA-N 0 3 221.348 2.651 20 0 BFADHN COCc1ccc(CN2CCC[C@@H](C)C2)o1 ZINC000157670352 385516070 /nfs/dbraw/zinc/51/60/70/385516070.db2.gz HRRRRPYAWFPWQD-LLVKDONJSA-N 0 3 223.316 2.658 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1cccc(F)c1F ZINC000183270121 385516375 /nfs/dbraw/zinc/51/63/75/385516375.db2.gz CFHDHEYOCAJZSA-ZJUUUORDSA-N 0 3 243.297 2.776 20 0 BFADHN CCc1ccccc1NC(=O)[C@H](N)CCC(C)C ZINC000257713779 385490358 /nfs/dbraw/zinc/49/03/58/385490358.db2.gz SLMOOFRUNDJJRD-CYBMUJFWSA-N 0 3 248.370 2.951 20 0 BFADHN C[C@@H](NC[C@H](C)C(F)(F)F)c1ccccn1 ZINC000309311977 385528864 /nfs/dbraw/zinc/52/88/64/385528864.db2.gz CBMKAJWBXMUWNS-DTWKUNHWSA-N 0 3 232.249 2.931 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN[C@@H]1CC1(C)C ZINC000309325767 385530072 /nfs/dbraw/zinc/53/00/72/385530072.db2.gz GLJGVZFUOIBLRP-SNVBAGLBSA-N 0 3 221.348 2.595 20 0 BFADHN CC[C@@H](C)CN(C)Cc1c(C)noc1C ZINC000171952549 385538223 /nfs/dbraw/zinc/53/82/23/385538223.db2.gz OOXLBUKFJTUXRJ-SECBINFHSA-N 0 3 210.321 2.769 20 0 BFADHN CCOc1cccc([C@H](C)NC[C@H](O)CC)c1 ZINC000180677693 385538426 /nfs/dbraw/zinc/53/84/26/385538426.db2.gz RLUPPGHDQJFBOO-WCQYABFASA-N 0 3 237.343 2.507 20 0 BFADHN C[C@@H](N(C)Cc1cccc2nccn21)C1(C)CC1 ZINC000180702226 385540602 /nfs/dbraw/zinc/54/06/02/385540602.db2.gz HWWUJGSULZNINT-GFCCVEGCSA-N 0 3 243.354 2.955 20 0 BFADHN CN(Cc1ccccc1F)CC1CCOCC1 ZINC000172007120 385540770 /nfs/dbraw/zinc/54/07/70/385540770.db2.gz YJOWLZXPAYOXII-UHFFFAOYSA-N 0 3 237.318 2.684 20 0 BFADHN Cc1ccc(F)c(CN(C)CCC[C@@H](C)O)c1 ZINC000444741144 385545433 /nfs/dbraw/zinc/54/54/33/385545433.db2.gz CTTADMOOBUIHIC-GFCCVEGCSA-N 0 3 239.334 2.727 20 0 BFADHN CCCCN(CCCC)Cc1cc[nH]n1 ZINC000158065676 385546790 /nfs/dbraw/zinc/54/67/90/385546790.db2.gz RQHUCKPRCKLKAQ-UHFFFAOYSA-N 0 3 209.337 2.812 20 0 BFADHN CC[C@@H]1CN(c2ccnc3ccccc32)CCO1 ZINC000171813562 385520286 /nfs/dbraw/zinc/52/02/86/385520286.db2.gz FLECGZCYWUCVRF-GFCCVEGCSA-N 0 3 242.322 2.850 20 0 BFADHN C[C@H](N[C@H]1CCO[C@H](C2CC2)C1)c1ccccn1 ZINC000157847997 385528469 /nfs/dbraw/zinc/52/84/69/385528469.db2.gz YSNSCKWUMNSVLH-WHOFXGATSA-N 0 3 246.354 2.690 20 0 BFADHN CO[C@@H](C)CN[C@H](c1nc(C)cs1)C1CC1 ZINC000183528972 385549889 /nfs/dbraw/zinc/54/98/89/385549889.db2.gz HHYXCCSJCLWMPG-ONGXEEELSA-N 0 3 240.372 2.527 20 0 BFADHN CO[C@H](C)CN[C@H](c1nc(C)cs1)C1CC1 ZINC000183528941 385550686 /nfs/dbraw/zinc/55/06/86/385550686.db2.gz HHYXCCSJCLWMPG-KOLCDFICSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1csc([C@@H](C)NCC[C@@H]2CCOC2)n1 ZINC000309393775 385561109 /nfs/dbraw/zinc/56/11/09/385561109.db2.gz GGQXALZGOPNWGG-GHMZBOCLSA-N 0 3 240.372 2.529 20 0 BFADHN Fc1ccc(CN2CCC[C@]23CCOC3)cc1 ZINC000367447072 385561586 /nfs/dbraw/zinc/56/15/86/385561586.db2.gz JAQIRTJRLPYFIO-CQSZACIVSA-N 0 3 235.302 2.581 20 0 BFADHN COC[C@H](NCCCSC)c1ccccc1 ZINC000181687083 385593184 /nfs/dbraw/zinc/59/31/84/385593184.db2.gz CBTHDPHZZCDURW-ZDUSSCGKSA-N 0 3 239.384 2.717 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](CO)CC(F)F)s1 ZINC000309462013 385593739 /nfs/dbraw/zinc/59/37/39/385593739.db2.gz PBGUFVHCJPMKQS-DTWKUNHWSA-N 0 3 249.326 2.723 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCC[C@@H]1OC(F)F ZINC000337320141 385594527 /nfs/dbraw/zinc/59/45/27/385594527.db2.gz YZHKTBDLSVCTMG-ZJUUUORDSA-N 0 3 245.269 2.838 20 0 BFADHN C[C@H](NCCCCF)c1c(F)cncc1F ZINC000309464834 385595966 /nfs/dbraw/zinc/59/59/66/385595966.db2.gz PRBRRICNNOMOJL-QMMMGPOBSA-N 0 3 232.249 2.760 20 0 BFADHN CC[C@@H](C)N(CCO)Cc1ccccc1Cl ZINC000336649919 385596156 /nfs/dbraw/zinc/59/61/56/385596156.db2.gz HEJFPOXHQUKHRZ-LLVKDONJSA-N 0 3 241.762 2.933 20 0 BFADHN C[C@H](Cc1ccncc1)N[C@H](C)c1ccccn1 ZINC000337324870 385598294 /nfs/dbraw/zinc/59/82/94/385598294.db2.gz USZZURONXQLKCL-CHWSQXEVSA-N 0 3 241.338 2.758 20 0 BFADHN CCOC[C@H]1CCCN(Cc2cnccc2C)C1 ZINC000269527394 385601089 /nfs/dbraw/zinc/60/10/89/385601089.db2.gz OZTBCCVAEJHUNF-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN CO[C@@H]1CCCC[C@H]1NCc1ccsc1 ZINC000063152485 385602917 /nfs/dbraw/zinc/60/29/17/385602917.db2.gz QZHGILIVDAZRGZ-VXGBXAGGSA-N 0 3 225.357 2.795 20 0 BFADHN C[C@@H](NC[C@H](O)CC(C)(C)C)c1cccnc1 ZINC000181421746 385578181 /nfs/dbraw/zinc/57/81/81/385578181.db2.gz FBUDOBYJYSWFIV-DGCLKSJQSA-N 0 3 236.359 2.529 20 0 BFADHN CCSCCNC(C)(C)c1ccc(C)nc1 ZINC000309419791 385578797 /nfs/dbraw/zinc/57/87/97/385578797.db2.gz AJWFFRNVULLZOJ-UHFFFAOYSA-N 0 3 238.400 2.968 20 0 BFADHN CC[C@H](NCc1ccnc(C)n1)C(C)(C)C ZINC000309456639 385588898 /nfs/dbraw/zinc/58/88/98/385588898.db2.gz MPSHLHBQNQXOKY-LBPRGKRZSA-N 0 3 221.348 2.699 20 0 BFADHN Cc1cnc([C@H](C)NCC[C@H](C)F)s1 ZINC000336649127 385593014 /nfs/dbraw/zinc/59/30/14/385593014.db2.gz HJYALHPXBXEXDT-CBAPKCEASA-N 0 3 216.325 2.850 20 0 BFADHN c1csc([C@@H]2CCCN2CCn2ccnc2)c1 ZINC000182110250 385636144 /nfs/dbraw/zinc/63/61/44/385636144.db2.gz HMGPMKIFJLHZQX-LBPRGKRZSA-N 0 3 247.367 2.782 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](CO)CC2CCC2)o1 ZINC000280879884 385615778 /nfs/dbraw/zinc/61/57/78/385615778.db2.gz DIYPLNGTYODAMI-YPMHNXCESA-N 0 3 237.343 2.790 20 0 BFADHN CC[C@](C)(CO)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000251252433 385618646 /nfs/dbraw/zinc/61/86/46/385618646.db2.gz KHTDJVVBZGJZLK-MPKXVKKWSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2ncc[nH]2)[C@H]1C ZINC000336724275 385620269 /nfs/dbraw/zinc/62/02/69/385620269.db2.gz BFRVQBWPCSNMLC-WOPDTQHZSA-N 0 3 221.348 2.572 20 0 BFADHN CCOc1ccccc1CNCCSCC ZINC000336678906 385621948 /nfs/dbraw/zinc/62/19/48/385621948.db2.gz WRKZKJXEUAEIDN-UHFFFAOYSA-N 0 3 239.384 2.928 20 0 BFADHN CC[C@H](NCc1nnc(C(C)C)s1)C1CC1 ZINC000336679483 385622250 /nfs/dbraw/zinc/62/22/50/385622250.db2.gz CPLHJOLMOPVIQT-JTQLQIEISA-N 0 3 239.388 2.940 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCC[C@H]2C[C@]21C ZINC000337386049 385636634 /nfs/dbraw/zinc/63/66/34/385636634.db2.gz LFXIJOFIIUJFPI-IACUBPJLSA-N 0 3 234.343 2.960 20 0 BFADHN Cc1nocc1CN[C@H]1CCCC1(C)C ZINC000293526508 385628887 /nfs/dbraw/zinc/62/88/87/385628887.db2.gz JBOLAOZTAVSJOI-NSHDSACASA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@H](NCCCCOC)c1nc(C)cs1 ZINC000184366529 385634054 /nfs/dbraw/zinc/63/40/54/385634054.db2.gz PXUZJPAFFVWKEL-NSHDSACASA-N 0 3 242.388 2.919 20 0 BFADHN C[C@H](NCc1ccsc1)c1cc[nH]c(=O)c1 ZINC000336676952 385607177 /nfs/dbraw/zinc/60/71/77/385607177.db2.gz BHYHHTJZWGWSOR-VIFPVBQESA-N 0 3 234.324 2.700 20 0 BFADHN CSCCN1CCC[C@@H]1c1ccc[nH]1 ZINC000269592655 385611776 /nfs/dbraw/zinc/61/17/76/385611776.db2.gz ITNWAQWAOYXCEN-LLVKDONJSA-N 0 3 210.346 2.515 20 0 BFADHN CSCCCN(C)Cc1cccc2nccn21 ZINC000281381076 385664114 /nfs/dbraw/zinc/66/41/14/385664114.db2.gz WEOPHVCQHWRREF-UHFFFAOYSA-N 0 3 249.383 2.519 20 0 BFADHN CC[C@@H](NCCCCCO)c1nc(C)cs1 ZINC000184391620 385640225 /nfs/dbraw/zinc/64/02/25/385640225.db2.gz QRFOBAYEXSNAFZ-LLVKDONJSA-N 0 3 242.388 2.655 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1cnccn1 ZINC000054766079 385640838 /nfs/dbraw/zinc/64/08/38/385640838.db2.gz XNRLQHLOTNUSDJ-WCQYABFASA-N 0 3 219.332 2.535 20 0 BFADHN CC[C@H](NC[C@H](O)C(F)F)c1cccc(C)c1 ZINC000336682566 385642008 /nfs/dbraw/zinc/64/20/08/385642008.db2.gz CDXZFCUQEVOAEB-RYUDHWBXSA-N 0 3 243.297 2.662 20 0 BFADHN Fc1ccc(F)c(CN[C@@H]2CC[C@@H](F)C2)c1 ZINC000309505196 385642422 /nfs/dbraw/zinc/64/24/22/385642422.db2.gz MWYIJEASPNJKTR-GHMZBOCLSA-N 0 3 229.245 2.945 20 0 BFADHN CO[C@@H](C)CN1CCCC[C@@H]1c1cccn1C ZINC000182208432 385645186 /nfs/dbraw/zinc/64/51/86/385645186.db2.gz QFFPXOVYAQHAOJ-GXTWGEPZSA-N 0 3 236.359 2.587 20 0 BFADHN Cn1cncc1CNC(C)(C)c1cccs1 ZINC000309518504 385651449 /nfs/dbraw/zinc/65/14/49/385651449.db2.gz ARGVITAHEAUHDW-UHFFFAOYSA-N 0 3 235.356 2.507 20 0 BFADHN Cc1ccc(CNCC(C)(C)[C@H](O)C(C)C)o1 ZINC000269946284 385651372 /nfs/dbraw/zinc/65/13/72/385651372.db2.gz QFWPGZRUNHJHHB-CYBMUJFWSA-N 0 3 239.359 2.721 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1cncc(C)c1 ZINC000184420213 385651528 /nfs/dbraw/zinc/65/15/28/385651528.db2.gz RNPHWXRZCBBURF-GHMZBOCLSA-N 0 3 224.373 2.792 20 0 BFADHN CC[C@@H](NCCc1ccsc1)c1nccn1C ZINC000182276072 385651559 /nfs/dbraw/zinc/65/15/59/385651559.db2.gz VHXZMLFRKBMYGK-GFCCVEGCSA-N 0 3 249.383 2.765 20 0 BFADHN C[C@@H]1C[C@H](O)CN(C/C=C\c2ccc(F)cc2)C1 ZINC000414520796 385652660 /nfs/dbraw/zinc/65/26/60/385652660.db2.gz DEMRMCQPWCNXNY-IZIWTHDTSA-N 0 3 249.329 2.542 20 0 BFADHN FC(F)(F)C1(CNCc2ccncc2)CC1 ZINC000309517547 385652906 /nfs/dbraw/zinc/65/29/06/385652906.db2.gz AJQMYJSWLBDMSK-UHFFFAOYSA-N 0 3 230.233 2.514 20 0 BFADHN COCCCCCN1CCC[C@@](C)(F)C1 ZINC000451117130 385654823 /nfs/dbraw/zinc/65/48/23/385654823.db2.gz UIKRVFAZERCLAX-GFCCVEGCSA-N 0 3 217.328 2.627 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2CCO[C@@H]2C)o1 ZINC000252683613 385655360 /nfs/dbraw/zinc/65/53/60/385655360.db2.gz DYULNSKQGOYDDW-AAXDQBDMSA-N 0 3 235.327 2.670 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@H]1CCSc2ccccc21 ZINC000252689193 385656850 /nfs/dbraw/zinc/65/68/50/385656850.db2.gz QLFSCGARFGTELJ-UHTWSYAYSA-N 0 3 249.379 2.991 20 0 BFADHN Cc1ccc([C@H](C)NCCC(=O)OC(C)C)cc1 ZINC000182339074 385659774 /nfs/dbraw/zinc/65/97/74/385659774.db2.gz HWZDJPLUDYCSKR-ZDUSSCGKSA-N 0 3 249.354 2.987 20 0 BFADHN Cc1noc(C)c1C(C)(C)NCC[C@H](C)F ZINC000309526099 385661871 /nfs/dbraw/zinc/66/18/71/385661871.db2.gz COUPBJVSFDGYGO-QMMMGPOBSA-N 0 3 228.311 2.864 20 0 BFADHN COC1([C@@H](C)NCc2ccsc2)CCC1 ZINC000414523890 385663860 /nfs/dbraw/zinc/66/38/60/385663860.db2.gz JIAGLBMJBAKPAS-SNVBAGLBSA-N 0 3 225.357 2.795 20 0 BFADHN FC1(F)CCC[C@H](NCc2ccncc2)C1 ZINC000309534222 385672019 /nfs/dbraw/zinc/67/20/19/385672019.db2.gz WPVILJJSTIXPGW-NSHDSACASA-N 0 3 226.270 2.749 20 0 BFADHN CCc1ccc(CN[C@@H](C)C2(OC)CCC2)cn1 ZINC000414525256 385672942 /nfs/dbraw/zinc/67/29/42/385672942.db2.gz LUYADGGZDDSUOB-LBPRGKRZSA-N 0 3 248.370 2.691 20 0 BFADHN CC[C@@H](NCc1ccc(Cl)o1)[C@H](O)C(C)C ZINC000414527327 385678187 /nfs/dbraw/zinc/67/81/87/385678187.db2.gz MRADBZRYCUWGLL-ZYHUDNBSSA-N 0 3 245.750 2.818 20 0 BFADHN CC(C)(C)[C@@H]1CCC[C@H]1NCc1cocn1 ZINC000414530733 385680944 /nfs/dbraw/zinc/68/09/44/385680944.db2.gz FDIMZGZFHMPPHV-VXGBXAGGSA-N 0 3 222.332 2.979 20 0 BFADHN CCC[C@H](C)CN1CC(CO)(c2ccccc2)C1 ZINC000414531902 385683407 /nfs/dbraw/zinc/68/34/07/385683407.db2.gz AFIGHUCTDSDSRM-AWEZNQCLSA-N 0 3 247.382 2.669 20 0 BFADHN CCC1(C)CCN(Cc2cnc(N)s2)CC1 ZINC000086766207 385684244 /nfs/dbraw/zinc/68/42/44/385684244.db2.gz UIQSENFSEHXXDS-UHFFFAOYSA-N 0 3 239.388 2.737 20 0 BFADHN FC(F)(F)C1CC(NCc2ccco2)C1 ZINC000336734878 385684227 /nfs/dbraw/zinc/68/42/27/385684227.db2.gz NREIIFASHKHKGY-UHFFFAOYSA-N 0 3 219.206 2.710 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2cn[nH]c2)cc1C ZINC000229884752 385686317 /nfs/dbraw/zinc/68/63/17/385686317.db2.gz RQXLXIBCIRMWHD-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN CC(C)(C)[C@H]1CCC[C@H]1NCc1cocn1 ZINC000414530730 385686841 /nfs/dbraw/zinc/68/68/41/385686841.db2.gz FDIMZGZFHMPPHV-NWDGAFQWSA-N 0 3 222.332 2.979 20 0 BFADHN Fc1ccc(CN[C@@H]2CCC23CCC3)nc1 ZINC000309566741 385696950 /nfs/dbraw/zinc/69/69/50/385696950.db2.gz LCFPQURUPIZFNF-GFCCVEGCSA-N 0 3 220.291 2.643 20 0 BFADHN CC[C@@H](COC)N[C@@H]1CCc2c1cccc2F ZINC000211897038 385699473 /nfs/dbraw/zinc/69/94/73/385699473.db2.gz XJJRRIPATDQCQG-IINYFYTJSA-N 0 3 237.318 2.828 20 0 BFADHN COc1cc(C)nc(CN2CC[C@@H](C)[C@H]2C)c1 ZINC000270365432 385701066 /nfs/dbraw/zinc/70/10/66/385701066.db2.gz YLSHKQUMYJZBMV-ZYHUDNBSSA-N 0 3 234.343 2.629 20 0 BFADHN CC(C)(C)[C@@H]1CCC[C@@H]1NCc1ncc[nH]1 ZINC000414538175 385701619 /nfs/dbraw/zinc/70/16/19/385701619.db2.gz QIJVFPUVRGKNAW-MNOVXSKESA-N 0 3 221.348 2.714 20 0 BFADHN C[C@H](N[C@H]1CSC1(C)C)c1cccc(O)c1 ZINC000283492406 385703054 /nfs/dbraw/zinc/70/30/54/385703054.db2.gz YECHNMMJHDJAMP-CABZTGNLSA-N 0 3 237.368 2.937 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CC[C@H](F)C2)c1 ZINC000309568272 385704232 /nfs/dbraw/zinc/70/42/32/385704232.db2.gz MWRYCPWLMNCAQD-SDDRHHMPSA-N 0 3 222.307 2.931 20 0 BFADHN CC[C@H](F)CN1CCSC(C)(C)C1 ZINC000307582359 385708697 /nfs/dbraw/zinc/70/86/97/385708697.db2.gz UYUCDSFTKOYYIQ-VIFPVBQESA-N 0 3 205.342 2.562 20 0 BFADHN FCCCCNCc1c(F)cccc1F ZINC000336684218 385670734 /nfs/dbraw/zinc/67/07/34/385670734.db2.gz KFFUZEVXZXMLAA-UHFFFAOYSA-N 0 3 217.234 2.804 20 0 BFADHN CO[C@@](C)(CN[C@@H](C)c1cccnc1)C1CC1 ZINC000291805520 385729043 /nfs/dbraw/zinc/72/90/43/385729043.db2.gz HFNHGIIPUSWZMI-FZMZJTMJSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@H](CCO)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000282105682 385731617 /nfs/dbraw/zinc/73/16/17/385731617.db2.gz IUQGDIPAYSLWFS-WCQYABFASA-N 0 3 239.359 2.956 20 0 BFADHN CO[C@@H]1CCCN(Cc2cc(C)c(O)c(C)c2)C1 ZINC000230361565 385732440 /nfs/dbraw/zinc/73/24/40/385732440.db2.gz GNRMVKKAWFUZTH-CQSZACIVSA-N 0 3 249.354 2.620 20 0 BFADHN COc1ncccc1CN[C@@H]1CCC[C@H]2C[C@]21C ZINC000282115567 385733650 /nfs/dbraw/zinc/73/36/50/385733650.db2.gz JFNUDVFCBMADPC-GZBFAFLISA-N 0 3 246.354 2.759 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+]C[C@H]2CC=CCC2)[n-]1 ZINC000270750315 385737435 /nfs/dbraw/zinc/73/74/35/385737435.db2.gz YCCGZTAWQIUYLM-RYUDHWBXSA-N 0 3 248.374 2.935 20 0 BFADHN CC(C)c1nnc([C@H](C)NC[C@H]2CC=CCC2)[nH]1 ZINC000270750315 385737442 /nfs/dbraw/zinc/73/74/42/385737442.db2.gz YCCGZTAWQIUYLM-RYUDHWBXSA-N 0 3 248.374 2.935 20 0 BFADHN CO[C@@H]1CCN(Cc2occc2C)[C@@H](C)C1 ZINC000282194177 385740804 /nfs/dbraw/zinc/74/08/04/385740804.db2.gz GMYYCUSURXDHAM-NWDGAFQWSA-N 0 3 223.316 2.587 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CCCC[C@@H]2C)[n-]1 ZINC000408374838 385741221 /nfs/dbraw/zinc/74/12/21/385741221.db2.gz NBWJWRYLRVWRGA-WDEREUQCSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCCC[C@@H]2C)[nH]1 ZINC000408374838 385741228 /nfs/dbraw/zinc/74/12/28/385741228.db2.gz NBWJWRYLRVWRGA-WDEREUQCSA-N 0 3 236.363 2.596 20 0 BFADHN c1cn2cc(CN[C@@H]3CCC34CCC4)nc2s1 ZINC000282219538 385743216 /nfs/dbraw/zinc/74/32/16/385743216.db2.gz OUWOSSAUWPOMBC-LLVKDONJSA-N 0 3 247.367 2.818 20 0 BFADHN COC[C@@H](N[C@@H](C)c1cc(C)c(C)o1)C1CC1 ZINC000282217845 385743810 /nfs/dbraw/zinc/74/38/10/385743810.db2.gz OTYKTSVPFVLURH-GXFFZTMASA-N 0 3 237.343 2.972 20 0 BFADHN C[C@@H](CN(C)Cc1ccco1)c1nccs1 ZINC000183260318 385746907 /nfs/dbraw/zinc/74/69/07/385746907.db2.gz SDIVMKVTYDQXOG-JTQLQIEISA-N 0 3 236.340 2.972 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1cccc(F)c1F ZINC000183263619 385747614 /nfs/dbraw/zinc/74/76/14/385747614.db2.gz ORPBLOSICLIEJB-UWVGGRQHSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1ccc([C@@H]2CCN(Cc3cc[nH]n3)C2)cc1 ZINC000363852731 385749112 /nfs/dbraw/zinc/74/91/12/385749112.db2.gz SGWXTYRXWPYTAO-CQSZACIVSA-N 0 3 241.338 2.708 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccccc2F)[C@@H]1C ZINC000336699857 385758117 /nfs/dbraw/zinc/75/81/17/385758117.db2.gz PABQJFGGZLKQKL-BREBYQMCSA-N 0 3 207.292 2.960 20 0 BFADHN CCC1(CO)CCN([C@@H](C)c2cccnc2)CC1 ZINC000270910970 385758558 /nfs/dbraw/zinc/75/85/58/385758558.db2.gz QCGWHLWYVRRYJI-ZDUSSCGKSA-N 0 3 248.370 2.627 20 0 BFADHN CCSCCN(CC)Cc1ccncc1 ZINC000270962012 385765437 /nfs/dbraw/zinc/76/54/37/385765437.db2.gz AJQIOSAAGVQPDO-UHFFFAOYSA-N 0 3 224.373 2.657 20 0 BFADHN COc1cc(CN[C@H](C)[C@@H]2CCCO2)ccc1C ZINC000183493945 385765695 /nfs/dbraw/zinc/76/56/95/385765695.db2.gz RYSOAQMDYHVLTE-OCCSQVGLSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)CN1C[C@H](C)NCc2ccc(F)cc21 ZINC000414865466 385769022 /nfs/dbraw/zinc/76/90/22/385769022.db2.gz FMMRRNGWKBVNFY-NSHDSACASA-N 0 3 236.334 2.780 20 0 BFADHN COCC[C@H](NC[C@@H]1C[C@H]1C)c1ccco1 ZINC000309652626 385770224 /nfs/dbraw/zinc/77/02/24/385770224.db2.gz OQUWVNYOEYJMRK-WOPDTQHZSA-N 0 3 223.316 2.603 20 0 BFADHN CN(CCO)Cc1ccc(-c2ccccc2F)o1 ZINC000271030346 385772584 /nfs/dbraw/zinc/77/25/84/385772584.db2.gz XYMZYUUYTRNERM-UHFFFAOYSA-N 0 3 249.285 2.510 20 0 BFADHN CN(Cc1cccc2cc[nH]c21)C[C@H]1CCCO1 ZINC000271035692 385776095 /nfs/dbraw/zinc/77/60/95/385776095.db2.gz FROHVUJCSXFAGX-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN C[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccccn1 ZINC000340448532 385776943 /nfs/dbraw/zinc/77/69/43/385776943.db2.gz MLRIMJKPDSFMBK-WDEREUQCSA-N 0 3 243.310 2.594 20 0 BFADHN CCSCCNCc1ccc2c(c1)COC2 ZINC000309657318 385777368 /nfs/dbraw/zinc/77/73/68/385777368.db2.gz QJABLIPAFVFUNB-UHFFFAOYSA-N 0 3 237.368 2.560 20 0 BFADHN C[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)c1cncs1 ZINC000340446698 385779552 /nfs/dbraw/zinc/77/95/52/385779552.db2.gz AJWYGJXJPLKBJW-RKDXNWHRSA-N 0 3 249.339 2.656 20 0 BFADHN CN(Cc1cccs1)CC1CCC(=O)CC1 ZINC000258936658 385783044 /nfs/dbraw/zinc/78/30/44/385783044.db2.gz PGPOTQLYHLTKNI-UHFFFAOYSA-N 0 3 237.368 2.939 20 0 BFADHN COC[C@@H](NCCC1CC1)c1ccc(C)o1 ZINC000309671159 385785125 /nfs/dbraw/zinc/78/51/25/385785125.db2.gz WALWTZDWHPTDEV-GFCCVEGCSA-N 0 3 223.316 2.665 20 0 BFADHN CC(C)(C)CCN[C@@H](CCO)c1ccco1 ZINC000185069647 385719042 /nfs/dbraw/zinc/71/90/42/385719042.db2.gz AUEPRDSNALNVOM-NSHDSACASA-N 0 3 225.332 2.729 20 0 BFADHN C[C@@H]1C[C@H]1NCc1ccc(F)cc1Cl ZINC000052288182 385719593 /nfs/dbraw/zinc/71/95/93/385719593.db2.gz AMTOJZKVHWVQJY-RDDDGLTNSA-N 0 3 213.683 2.977 20 0 BFADHN Cc1nccn1CCCN(C)Cc1ccoc1C ZINC000270581602 385719663 /nfs/dbraw/zinc/71/96/63/385719663.db2.gz CRGNAFOLWBMCPO-UHFFFAOYSA-N 0 3 247.342 2.615 20 0 BFADHN C[C@@H](O)CN1CCCC[C@@H]1c1ccc(F)cc1 ZINC000282016083 385724938 /nfs/dbraw/zinc/72/49/38/385724938.db2.gz OVXSMCFGQISGEI-BXUZGUMPSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H](F)CCN[C@@H](CO)c1ccc(Cl)cc1 ZINC000309597005 385725436 /nfs/dbraw/zinc/72/54/36/385725436.db2.gz WLBBZUKLFYPZRS-CABZTGNLSA-N 0 3 245.725 2.711 20 0 BFADHN Cl/C=C/CN[C@@H]1CCc2ccccc2OC1 ZINC000309598731 385726870 /nfs/dbraw/zinc/72/68/70/385726870.db2.gz VQIBBZWNQAHBFA-STWLFGJUSA-N 0 3 237.730 2.722 20 0 BFADHN CO[C@@H]1[C@H](C)[C@H](N[C@@H](C)c2ccccn2)C1(C)C ZINC000271862195 385856353 /nfs/dbraw/zinc/85/63/53/385856353.db2.gz KRNMUFVOJKJWRG-UVLXDEKHSA-N 0 3 248.370 2.792 20 0 BFADHN CCc1cccc(F)c1CNC[C@@H](C)COC ZINC000354158631 385801690 /nfs/dbraw/zinc/80/16/90/385801690.db2.gz PZWKPKGKGDBWQY-LLVKDONJSA-N 0 3 239.334 2.760 20 0 BFADHN C[C@H]1[C@@H](NCc2ccc(Cl)o2)CCCN1C ZINC000336740517 385801970 /nfs/dbraw/zinc/80/19/70/385801970.db2.gz MHFUIZNJBZDKET-ONGXEEELSA-N 0 3 242.750 2.505 20 0 BFADHN CC[C@H](CNCc1ccc(F)c(C)c1)OC ZINC000289969897 385803351 /nfs/dbraw/zinc/80/33/51/385803351.db2.gz YWBGXCZDJTVJDJ-GFCCVEGCSA-N 0 3 225.307 2.649 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CCCCO1)c1cncs1 ZINC000336737575 385804531 /nfs/dbraw/zinc/80/45/31/385804531.db2.gz JPIRYULLZOMCCW-GARJFASQSA-N 0 3 240.372 2.751 20 0 BFADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1nccn1C ZINC000112703444 385856717 /nfs/dbraw/zinc/85/67/17/385856717.db2.gz DJDBDYSCVJKFNA-STQMWFEESA-N 0 3 235.375 2.725 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(C)c(C)c2)C1 ZINC000271213927 385806657 /nfs/dbraw/zinc/80/66/57/385806657.db2.gz ZUQGITLPMAXZMC-AWEZNQCLSA-N 0 3 219.328 2.524 20 0 BFADHN Cc1ccc(CN2CC3(C2)CCOC3)cc1C ZINC000294167601 385815800 /nfs/dbraw/zinc/81/58/00/385815800.db2.gz WGYLHQPUERBQIF-UHFFFAOYSA-N 0 3 231.339 2.526 20 0 BFADHN C[C@@H](NCCN1CCCC[C@H]1C)c1cccnc1 ZINC000271307141 385817600 /nfs/dbraw/zinc/81/76/00/385817600.db2.gz HUBIBPMHVOQMAV-ZIAGYGMSSA-N 0 3 247.386 2.607 20 0 BFADHN C[C@@H](NCc1cccc(F)c1)[C@@]1(C)CCCO1 ZINC000340173081 385820019 /nfs/dbraw/zinc/82/00/19/385820019.db2.gz WPMXNZSNSAVCHN-BXUZGUMPSA-N 0 3 237.318 2.873 20 0 BFADHN Cc1ccncc1CCCN1CCC[C@H]1CF ZINC000294209029 385822229 /nfs/dbraw/zinc/82/22/29/385822229.db2.gz YDOPHZWKEXSROZ-AWEZNQCLSA-N 0 3 236.334 2.757 20 0 BFADHN Cc1ccncc1[C@@H](C)NC[C@H]1CCCCO1 ZINC000271396402 385824267 /nfs/dbraw/zinc/82/42/67/385824267.db2.gz KVQOSZHFEPSURR-CHWSQXEVSA-N 0 3 234.343 2.610 20 0 BFADHN CC[C@H]1CN(C/C=C/c2ccc(F)cc2)C[C@@H]1O ZINC000271390789 385824481 /nfs/dbraw/zinc/82/44/81/385824481.db2.gz TVJAPZNTLBGSOJ-CSDYLXSXSA-N 0 3 249.329 2.542 20 0 BFADHN CC[C@H](CN(C)Cc1ccc(C)s1)OC ZINC000412977564 385825846 /nfs/dbraw/zinc/82/58/46/385825846.db2.gz MNXHVPIWGGHYIL-LLVKDONJSA-N 0 3 227.373 2.913 20 0 BFADHN CC[C@H](NCc1nccc(C)n1)C(C)(C)C ZINC000336738034 385826159 /nfs/dbraw/zinc/82/61/59/385826159.db2.gz NYYKXSQFAIGAJH-NSHDSACASA-N 0 3 221.348 2.699 20 0 BFADHN CSCCN1CCC[C@H](c2cccnc2)C1 ZINC000602852636 385826364 /nfs/dbraw/zinc/82/63/64/385826364.db2.gz FHBCNYKSOORJDM-ZDUSSCGKSA-N 0 3 236.384 2.624 20 0 BFADHN c1c(CN2CCC3(CC3)CC2)nc2ccccn12 ZINC000366626734 385828039 /nfs/dbraw/zinc/82/80/39/385828039.db2.gz CHDAMGFQLHEUBO-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN CC[C@@H]1CCCCN1C[C@H](O)CC(F)(F)F ZINC000188664355 385829661 /nfs/dbraw/zinc/82/96/61/385829661.db2.gz ZWHUKWNFLGFGRX-NXEZZACHSA-N 0 3 239.281 2.564 20 0 BFADHN Cc1sccc1CN(C)[C@H](CO)C(C)(C)C ZINC000294263765 385830122 /nfs/dbraw/zinc/83/01/22/385830122.db2.gz OAQKDJIRPGAOFX-GFCCVEGCSA-N 0 3 241.400 2.895 20 0 BFADHN COc1cc(C)cc(CN[C@H](C)[C@@H](C)OC)c1 ZINC000271460956 385830563 /nfs/dbraw/zinc/83/05/63/385830563.db2.gz GDXCBQPHCSSHDF-VXGBXAGGSA-N 0 3 237.343 2.517 20 0 BFADHN CCc1ccc([C@H](C)NCCC[C@H](C)CO)o1 ZINC000271479931 385832273 /nfs/dbraw/zinc/83/22/73/385832273.db2.gz JPRXNNDNPLHOHI-RYUDHWBXSA-N 0 3 239.359 2.901 20 0 BFADHN CCCCCN(CCO)Cc1cccc(F)c1 ZINC000112411578 385833635 /nfs/dbraw/zinc/83/36/35/385833635.db2.gz HOEVBMJQDKSIGQ-UHFFFAOYSA-N 0 3 239.334 2.810 20 0 BFADHN C[C@@H](N[C@@H]1CCCc2cn[nH]c21)c1cncs1 ZINC000271511910 385833763 /nfs/dbraw/zinc/83/37/63/385833763.db2.gz OAEABXBXNNPBPP-PSASIEDQSA-N 0 3 248.355 2.594 20 0 BFADHN CC(C)(C)[C@@H](O)CN1CCc2sccc2C1 ZINC000283862965 385837395 /nfs/dbraw/zinc/83/73/95/385837395.db2.gz DFGGJFJSCZDDNS-LBPRGKRZSA-N 0 3 239.384 2.513 20 0 BFADHN CCCCN(C)CCNC(=O)C[C@@H](C)CCC ZINC000112308696 385837444 /nfs/dbraw/zinc/83/74/44/385837444.db2.gz RATBWNZPKWSAOY-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN CCN(CCN[C@H](C)c1cnccc1C)C1CC1 ZINC000271567701 385839237 /nfs/dbraw/zinc/83/92/37/385839237.db2.gz PEPLXZRMDGRDHK-CYBMUJFWSA-N 0 3 247.386 2.525 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cnccc1C)C(C)C ZINC000271622896 385840614 /nfs/dbraw/zinc/84/06/14/385840614.db2.gz YRDIMGUWPUHNKE-GXTWGEPZSA-N 0 3 236.359 2.712 20 0 BFADHN c1cc(CNCC2=CCCC2)n(CC2CCC2)n1 ZINC000631179069 385841295 /nfs/dbraw/zinc/84/12/95/385841295.db2.gz YCHXOOPCHFNENX-UHFFFAOYSA-N 0 3 245.370 2.883 20 0 BFADHN CN(Cc1cccc(F)c1)C[C@H](O)C(C)(C)C ZINC000284002304 385845245 /nfs/dbraw/zinc/84/52/45/385845245.db2.gz JVJCIWDTVKSHNK-ZDUSSCGKSA-N 0 3 239.334 2.665 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1ccn(C)c1 ZINC000336741064 385848121 /nfs/dbraw/zinc/84/81/21/385848121.db2.gz QPZBPINGQNPVHI-JTQLQIEISA-N 0 3 234.265 2.846 20 0 BFADHN CC(C)[C@@H](O)C1(CN[C@H](C)c2cccnc2)CC1 ZINC000271883914 385858819 /nfs/dbraw/zinc/85/88/19/385858819.db2.gz QEICPIKSHYIKHF-TZMCWYRMSA-N 0 3 248.370 2.529 20 0 BFADHN CCC[C@H](NCCCO)c1ccc(F)cc1F ZINC000271806243 385851168 /nfs/dbraw/zinc/85/11/68/385851168.db2.gz QMOSZCCMIHZPHI-ZDUSSCGKSA-N 0 3 243.297 2.778 20 0 BFADHN C[C@H](NCc1ccn(C)n1)[C@@H](C)c1ccccc1 ZINC000271792798 385851554 /nfs/dbraw/zinc/85/15/54/385851554.db2.gz HFGUNGVPFCKILE-OLZOCXBDSA-N 0 3 243.354 2.702 20 0 BFADHN CN(Cc1cn[nH]c1)C[C@@H]1C[C@H]1c1ccccc1 ZINC000643374958 385852817 /nfs/dbraw/zinc/85/28/17/385852817.db2.gz JFBJOSAVUMKQCO-GJZGRUSLSA-N 0 3 241.338 2.645 20 0 BFADHN C[C@H]1SCCN(CCc2cscn2)[C@@H]1C ZINC000284206662 385855443 /nfs/dbraw/zinc/85/54/43/385855443.db2.gz ZBKCKPZIRZEOOW-NXEZZACHSA-N 0 3 242.413 2.511 20 0 BFADHN C[C@@H]1SCCN(CCc2cscn2)[C@H]1C ZINC000284206666 385855743 /nfs/dbraw/zinc/85/57/43/385855743.db2.gz ZBKCKPZIRZEOOW-UWVGGRQHSA-N 0 3 242.413 2.511 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccc2ncccc2c1 ZINC000271112213 385788410 /nfs/dbraw/zinc/78/84/10/385788410.db2.gz FHRSQIXACVGMLW-GFCCVEGCSA-N 0 3 244.338 2.702 20 0 BFADHN COC(=O)c1ccc(CN[C@@H]2CC=C(C)CC2)o1 ZINC000294026649 385789052 /nfs/dbraw/zinc/78/90/52/385789052.db2.gz VJIRROGYESAZHS-LLVKDONJSA-N 0 3 249.310 2.655 20 0 BFADHN C[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1cccnc1 ZINC000340449917 385789919 /nfs/dbraw/zinc/78/99/19/385789919.db2.gz TWUWXNANUHQQSR-QWRGUYRKSA-N 0 3 243.310 2.594 20 0 BFADHN COCc1ccc(CN2CCCC2(C)C)o1 ZINC000271140627 385791330 /nfs/dbraw/zinc/79/13/30/385791330.db2.gz ACNPDCFJBQYFRA-UHFFFAOYSA-N 0 3 223.316 2.800 20 0 BFADHN C[C@H](N[C@H]1CC[C@H]1C)c1ccc(F)cn1 ZINC000336706850 385794654 /nfs/dbraw/zinc/79/46/54/385794654.db2.gz ROMCQJFPVLCWCL-YWVKMMECSA-N 0 3 208.280 2.670 20 0 BFADHN Fc1ccc2c(c1)CN(CCC[C@@H]1CCO1)CC2 ZINC000638077354 385796445 /nfs/dbraw/zinc/79/64/45/385796445.db2.gz ROXURUWZURJNPC-OAHLLOKOSA-N 0 3 249.329 2.753 20 0 BFADHN CCCCN1CCN(C)[C@H](Cc2ccccc2)C1 ZINC000284773649 385883898 /nfs/dbraw/zinc/88/38/98/385883898.db2.gz NGGMFEVXWICQDW-MRXNPFEDSA-N 0 3 246.398 2.645 20 0 BFADHN CCCCN1CCN(C)[C@@H](Cc2ccccc2)C1 ZINC000284773646 385884340 /nfs/dbraw/zinc/88/43/40/385884340.db2.gz NGGMFEVXWICQDW-INIZCTEOSA-N 0 3 246.398 2.645 20 0 BFADHN CN(CCOCC(F)(F)F)Cc1ccccc1 ZINC000338059245 385885802 /nfs/dbraw/zinc/88/58/02/385885802.db2.gz AVFPNNORSIWAET-UHFFFAOYSA-N 0 3 247.260 2.697 20 0 BFADHN Oc1cc(F)cc(CN[C@@H]2C[C@H]2c2ccco2)c1 ZINC000414593320 385888056 /nfs/dbraw/zinc/88/80/56/385888056.db2.gz QVUXMCWFVSRLPR-CHWSQXEVSA-N 0 3 247.269 2.770 20 0 BFADHN Cc1sccc1CN(C)CC(C)(C)CO ZINC000294769532 385893578 /nfs/dbraw/zinc/89/35/78/385893578.db2.gz KAIGNFULTZWXQL-UHFFFAOYSA-N 0 3 227.373 2.507 20 0 BFADHN COc1c(O)cccc1CN1CC[C@@H](C)[C@H](C)C1 ZINC000272339613 385896242 /nfs/dbraw/zinc/89/62/42/385896242.db2.gz YLLUCSUTZOKXSW-VXGBXAGGSA-N 0 3 249.354 2.879 20 0 BFADHN COC[C@@H](C)NCc1ccc2[nH]c(C)cc2c1 ZINC000285060319 385897072 /nfs/dbraw/zinc/89/70/72/385897072.db2.gz JJVMRTTXYOJEOT-LLVKDONJSA-N 0 3 232.327 2.601 20 0 BFADHN c1coc([C@@H]2C[C@H]2NCc2cccs2)c1 ZINC000414596959 385897836 /nfs/dbraw/zinc/89/78/36/385897836.db2.gz VTPIKLWOPYHJSQ-GHMZBOCLSA-N 0 3 219.309 2.987 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@H]2c2ccco2)c1 ZINC000414598035 385899838 /nfs/dbraw/zinc/89/98/38/385899838.db2.gz XJUCULHHLBZNGU-ZIAGYGMSSA-N 0 3 243.306 2.934 20 0 BFADHN Cc1cnccc1CN1CC[C@H](OCC(C)C)C1 ZINC000294813395 385900585 /nfs/dbraw/zinc/90/05/85/385900585.db2.gz MESSGBDVDLOHGR-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN CCC1(NCc2cccnc2Cl)CC1 ZINC000294840381 385904285 /nfs/dbraw/zinc/90/42/85/385904285.db2.gz RBFHVHVDGAXIPF-UHFFFAOYSA-N 0 3 210.708 2.767 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2C[C@H]2c2ccco2)s1 ZINC000414599552 385905345 /nfs/dbraw/zinc/90/53/45/385905345.db2.gz ZSIMSDYHJMZNEI-GHMZBOCLSA-N 0 3 248.351 2.999 20 0 BFADHN CCC[C@@H](O)CN[C@@H](CC)c1nc(C)cs1 ZINC000294874045 385907386 /nfs/dbraw/zinc/90/73/86/385907386.db2.gz BIBFDSRWURNWBP-MNOVXSKESA-N 0 3 242.388 2.653 20 0 BFADHN CCC1(NCc2cc(OC)ccc2F)CC1 ZINC000294883132 385910045 /nfs/dbraw/zinc/91/00/45/385910045.db2.gz VUEDQFFTBCIMDX-UHFFFAOYSA-N 0 3 223.291 2.867 20 0 BFADHN CS[C@@H]1CCN(Cc2ccc3c(c2)CCO3)C1 ZINC000294912439 385914284 /nfs/dbraw/zinc/91/42/84/385914284.db2.gz AGYNSAFLJMGPJT-CYBMUJFWSA-N 0 3 249.379 2.559 20 0 BFADHN CS[C@H]1CCN(Cc2ccc3c(c2)CCO3)C1 ZINC000294912440 385915039 /nfs/dbraw/zinc/91/50/39/385915039.db2.gz AGYNSAFLJMGPJT-ZDUSSCGKSA-N 0 3 249.379 2.559 20 0 BFADHN Cc1nc(C)c(CN2[C@H](C)C[C@@H]2C)s1 ZINC000335828816 385917437 /nfs/dbraw/zinc/91/74/37/385917437.db2.gz PYNXAXPWQOWKNS-OCAPTIKFSA-N 0 3 210.346 2.743 20 0 BFADHN CC[C@H](CCO)NCc1ccccc1Cl ZINC000123870688 385918468 /nfs/dbraw/zinc/91/84/68/385918468.db2.gz KSSADAFKOFSPJF-LLVKDONJSA-N 0 3 227.735 2.591 20 0 BFADHN CC[C@@H](CCO)NCc1ccccc1Cl ZINC000123870473 385919371 /nfs/dbraw/zinc/91/93/71/385919371.db2.gz KSSADAFKOFSPJF-NSHDSACASA-N 0 3 227.735 2.591 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc2occc2c1 ZINC000285390654 385919830 /nfs/dbraw/zinc/91/98/30/385919830.db2.gz AKIMJYNLHRBUNN-QWRGUYRKSA-N 0 3 233.311 2.946 20 0 BFADHN CC(=O)c1cccc(CN(C)[C@@H]2CCSC2)c1 ZINC000294938602 385921883 /nfs/dbraw/zinc/92/18/83/385921883.db2.gz KZRQXHUGTVMJHO-CQSZACIVSA-N 0 3 249.379 2.827 20 0 BFADHN CC[C@@H](N[C@@H](CC)CSC)c1ccn(C)n1 ZINC000336742085 385923330 /nfs/dbraw/zinc/92/33/30/385923330.db2.gz RSHVIEKDFFQRCJ-WDEREUQCSA-N 0 3 241.404 2.602 20 0 BFADHN CCN1CCN([C@@H]2C[C@@H]2c2ccccc2)C[C@H]1C ZINC000643375236 385924958 /nfs/dbraw/zinc/92/49/58/385924958.db2.gz MFHZMZQXOAMOCG-FVQBIDKESA-N 0 3 244.382 2.569 20 0 BFADHN CN(Cc1cnc(C(C)(C)C)nc1)CC1CC1 ZINC000284275999 385859504 /nfs/dbraw/zinc/85/95/04/385859504.db2.gz HDRMORMMMARTKC-UHFFFAOYSA-N 0 3 233.359 2.616 20 0 BFADHN CCc1ccc([C@H](C)NCC2=CCN(C)CC2)o1 ZINC000271913618 385861304 /nfs/dbraw/zinc/86/13/04/385861304.db2.gz YNFHXJFZQDFQCE-LBPRGKRZSA-N 0 3 248.370 2.755 20 0 BFADHN CCc1ccc([C@@H](C)NCC2=CCN(C)CC2)o1 ZINC000271913617 385861850 /nfs/dbraw/zinc/86/18/50/385861850.db2.gz YNFHXJFZQDFQCE-GFCCVEGCSA-N 0 3 248.370 2.755 20 0 BFADHN Cc1ccc(CN2CCCCCC2)cn1 ZINC000121762817 385862132 /nfs/dbraw/zinc/86/21/32/385862132.db2.gz WZZRRZQLNJKCFR-UHFFFAOYSA-N 0 3 204.317 2.766 20 0 BFADHN CCO[C@H]1CCN(Cc2cncc(C)c2)C[C@@H]1C ZINC000451542410 385867019 /nfs/dbraw/zinc/86/70/19/385867019.db2.gz NIBQZQFMPGIBCP-ZFWWWQNUSA-N 0 3 248.370 2.637 20 0 BFADHN CN(Cc1cnn(C)c1)[C@@H]1C=CCCCCC1 ZINC000284444705 385868728 /nfs/dbraw/zinc/86/87/28/385868728.db2.gz ZPZDULFEZVOXTK-CQSZACIVSA-N 0 3 233.359 2.741 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCSC2)cs1 ZINC000294582745 385870861 /nfs/dbraw/zinc/87/08/61/385870861.db2.gz QLAYIDDEEIASRB-LLVKDONJSA-N 0 3 227.398 2.994 20 0 BFADHN CCO[C@@H]1CCN([C@@H](C)c2cccnc2)C[C@H]1C ZINC000451546437 385874347 /nfs/dbraw/zinc/87/43/47/385874347.db2.gz SBNWIDQEDORKCK-VNHYZAJKSA-N 0 3 248.370 2.890 20 0 BFADHN CS[C@H]1CCN(CCc2ccccc2F)C1 ZINC000294972286 385930266 /nfs/dbraw/zinc/93/02/66/385930266.db2.gz FXIWKKYVVFCTPJ-LBPRGKRZSA-N 0 3 239.359 2.806 20 0 BFADHN Clc1cnc(CNC[C@@H]2CCSC2)s1 ZINC000228551675 385874973 /nfs/dbraw/zinc/87/49/73/385874973.db2.gz DFWUZBKJKKGUJZ-ZETCQYMHSA-N 0 3 248.804 2.639 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CCCOC1)c1ccco1 ZINC000336738419 385875440 /nfs/dbraw/zinc/87/54/40/385875440.db2.gz KDTYOMATLVFADG-SRVKXCTJSA-N 0 3 223.316 2.745 20 0 BFADHN CO[C@@H](C)[C@H](C)NC1(c2cccc(F)c2)CC1 ZINC000272137313 385878709 /nfs/dbraw/zinc/87/87/09/385878709.db2.gz MFVQEAYCADTAKQ-QWRGUYRKSA-N 0 3 237.318 2.828 20 0 BFADHN C[C@@H](N[C@@H](CO)CC1CCCC1)c1cccnc1 ZINC000338007931 385879641 /nfs/dbraw/zinc/87/96/41/385879641.db2.gz QHQLCBIILSPDBO-IUODEOHRSA-N 0 3 248.370 2.673 20 0 BFADHN CO[C@@H](C)[C@H](C)NC1(c2ccccc2F)CC1 ZINC000272183722 385883282 /nfs/dbraw/zinc/88/32/82/385883282.db2.gz RCIGHPAIHLHUSK-QWRGUYRKSA-N 0 3 237.318 2.828 20 0 BFADHN C[C@@H](CN(C)Cc1ccn(C)c1)c1nccs1 ZINC000273140782 385976195 /nfs/dbraw/zinc/97/61/95/385976195.db2.gz DVLCPQRLRKOYNV-NSHDSACASA-N 0 3 249.383 2.717 20 0 BFADHN CCC(C)(C)CN[C@@H](C)c1ccn(C)n1 ZINC000414146709 385994657 /nfs/dbraw/zinc/99/46/57/385994657.db2.gz VNKBUBZBRCUSJX-JTQLQIEISA-N 0 3 209.337 2.507 20 0 BFADHN CC[C@@H](CO)N(C)Cc1cc(F)cc(Cl)c1 ZINC000295152174 385977476 /nfs/dbraw/zinc/97/74/76/385977476.db2.gz RHEWRZQHBJWSPB-LBPRGKRZSA-N 0 3 245.725 2.682 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@H](C)N(C)C2CC2)c1 ZINC000285908579 385977524 /nfs/dbraw/zinc/97/75/24/385977524.db2.gz DDJPNXGQGKFSAH-STQMWFEESA-N 0 3 247.386 2.523 20 0 BFADHN CC[C@H](NCc1sccc1C)[C@H](O)C(F)F ZINC000295143123 385977617 /nfs/dbraw/zinc/97/76/17/385977617.db2.gz ZXENLSRVCFSTQY-WPRPVWTQSA-N 0 3 249.326 2.551 20 0 BFADHN C[C@@H](NCc1ccno1)[C@H](C)c1ccccc1 ZINC000273248306 385982365 /nfs/dbraw/zinc/98/23/65/385982365.db2.gz GSASPBQERXSOCX-NWDGAFQWSA-N 0 3 230.311 2.956 20 0 BFADHN CCN(Cc1c(C)cccc1Cl)[C@H](C)CO ZINC000295167706 385982507 /nfs/dbraw/zinc/98/25/07/385982507.db2.gz FWWWZRGJNMIVFN-LLVKDONJSA-N 0 3 241.762 2.851 20 0 BFADHN Cc1nnc([C@H](C)N[C@H](C)C2CCC2)s1 ZINC000336748260 385983505 /nfs/dbraw/zinc/98/35/05/385983505.db2.gz DBUHYZFNHRLMET-SFYZADRCSA-N 0 3 225.361 2.686 20 0 BFADHN COc1cnccc1[C@H](C)N[C@H](C)[C@@H]1C[C@H]1C ZINC000414136469 385984364 /nfs/dbraw/zinc/98/43/64/385984364.db2.gz OVDBAJJROQSLNG-LSCVPOLPSA-N 0 3 234.343 2.785 20 0 BFADHN C[C@H](N[C@H](c1nccn1C)C1CC1)[C@H]1C[C@H]1C ZINC000414135731 385984604 /nfs/dbraw/zinc/98/46/04/385984604.db2.gz FDSSRNZFZRACEM-URBCHYCLSA-N 0 3 233.359 2.505 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCO[C@H](C)C2)c1 ZINC000285965920 385984716 /nfs/dbraw/zinc/98/47/16/385984716.db2.gz FUENFTRZFGWLJO-AGIUHOORSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2CCCCO2)c1 ZINC000285998384 385986943 /nfs/dbraw/zinc/98/69/43/385986943.db2.gz ILJNTIVJLGYTOM-STQMWFEESA-N 0 3 234.343 2.610 20 0 BFADHN CO[C@H](CN[C@H](C)c1cc(C)ccn1)C(C)C ZINC000286019580 385989414 /nfs/dbraw/zinc/98/94/14/385989414.db2.gz JPJQGEWXHCSNLI-TZMCWYRMSA-N 0 3 236.359 2.712 20 0 BFADHN CC[C@H](NCc1csc(COC)n1)C1CC1 ZINC000289990145 385990122 /nfs/dbraw/zinc/99/01/22/385990122.db2.gz YKKJJLZGCPMPGJ-NSHDSACASA-N 0 3 240.372 2.568 20 0 BFADHN CC1(C)SC[C@@H]1NCc1cc(O)cc(F)c1 ZINC000282632543 385930698 /nfs/dbraw/zinc/93/06/98/385930698.db2.gz GITQCYLUIYYJDM-NSHDSACASA-N 0 3 241.331 2.515 20 0 BFADHN COCC[C@H](NCC[C@H](C)F)c1ccco1 ZINC000336767930 385932092 /nfs/dbraw/zinc/93/20/92/385932092.db2.gz QEOJTOAVEJFGSU-QWRGUYRKSA-N 0 3 229.295 2.695 20 0 BFADHN CS[C@@H]1CCN(CCc2ccccc2F)C1 ZINC000294972284 385932191 /nfs/dbraw/zinc/93/21/91/385932191.db2.gz FXIWKKYVVFCTPJ-GFCCVEGCSA-N 0 3 239.359 2.806 20 0 BFADHN C[C@@H](c1ccncc1)N1CCC[C@@]2(CCOC2)C1 ZINC000335041355 385932484 /nfs/dbraw/zinc/93/24/84/385932484.db2.gz RTFXZCRGILBNDA-DZGCQCFKSA-N 0 3 246.354 2.645 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2ccccc2OC)C1 ZINC000414143903 385992977 /nfs/dbraw/zinc/99/29/77/385992977.db2.gz LZQFELUNWZFTOW-RWMBFGLXSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1cc(CN2CCCC23CCC3)on1 ZINC000335871342 385992980 /nfs/dbraw/zinc/99/29/80/385992980.db2.gz JWVIURXPRSQPDJ-UHFFFAOYSA-N 0 3 206.289 2.502 20 0 BFADHN CCC[C@@H](O)CN[C@@H]1CCCc2ccc(O)cc21 ZINC000233296489 385935287 /nfs/dbraw/zinc/93/52/87/385935287.db2.gz AUBAEMLHKLMYBK-UKRRQHHQSA-N 0 3 249.354 2.520 20 0 BFADHN Cc1sccc1CN(C)C[C@H]1CCCO1 ZINC000294989431 385935505 /nfs/dbraw/zinc/93/55/05/385935505.db2.gz TZTSGQYJIMPVEW-GFCCVEGCSA-N 0 3 225.357 2.667 20 0 BFADHN CCOc1cccc(CN2CC[C@H](C)[C@@H]2C)n1 ZINC000355138983 385935916 /nfs/dbraw/zinc/93/59/16/385935916.db2.gz TZJZWNPEIJCTSG-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](NCC(C)(C)C)c1cc2n(n1)CCC2 ZINC000414062785 385939032 /nfs/dbraw/zinc/93/90/32/385939032.db2.gz DEFQKYCCRYKLGR-JTQLQIEISA-N 0 3 221.348 2.526 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H](C)c2ccccc2OC)C1 ZINC000414143906 385993173 /nfs/dbraw/zinc/99/31/73/385993173.db2.gz LZQFELUNWZFTOW-UPJWGTAASA-N 0 3 249.354 2.913 20 0 BFADHN CO[C@@H](C)CN1CCc2sccc2[C@H]1C ZINC000272841513 385943553 /nfs/dbraw/zinc/94/35/53/385943553.db2.gz TXBWTKKTGMKUCX-VHSXEESVSA-N 0 3 225.357 2.702 20 0 BFADHN Cc1ccc2nc(CN[C@H](C)[C@@H]3C[C@H]3C)cn2c1 ZINC000414068817 385943825 /nfs/dbraw/zinc/94/38/25/385943825.db2.gz ISYHKRBIVMUFNQ-YRGRVCCFSA-N 0 3 243.354 2.777 20 0 BFADHN COc1ccc(CN(C)[C@H](C)C2CCC2)nc1 ZINC000338281109 385944081 /nfs/dbraw/zinc/94/40/81/385944081.db2.gz FKNWVGLKBVFAMT-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@H](NCc1cn2ccccc2n1)[C@@H]1C[C@@H]1C ZINC000414071723 385944095 /nfs/dbraw/zinc/94/40/95/385944095.db2.gz UGGAWJJJDBNHTI-YUTCNCBUSA-N 0 3 243.354 2.859 20 0 BFADHN FCC1CCN(Cc2ccc3c[nH]nc3c2)CC1 ZINC000295015612 385944690 /nfs/dbraw/zinc/94/46/90/385944690.db2.gz KALTUEWAAQLQFI-UHFFFAOYSA-N 0 3 247.317 2.744 20 0 BFADHN CC(C)(CNCc1ccccn1)c1ccccn1 ZINC000295024221 385945133 /nfs/dbraw/zinc/94/51/33/385945133.db2.gz CNWUBTBQJWTFER-UHFFFAOYSA-N 0 3 241.338 2.544 20 0 BFADHN Fc1ccc2ccc(CNC3CC3)nc2c1F ZINC000647924377 385946634 /nfs/dbraw/zinc/94/66/34/385946634.db2.gz DORXFMSBZXPANQ-UHFFFAOYSA-N 0 3 234.249 2.765 20 0 BFADHN Cc1ccc(CN(CCO)CCC(C)(C)C)o1 ZINC000342160134 385947292 /nfs/dbraw/zinc/94/72/92/385947292.db2.gz IHWBCCLUTCOOES-UHFFFAOYSA-N 0 3 239.359 2.819 20 0 BFADHN CCN(CCCO)Cc1c(C)cccc1Cl ZINC000295032316 385947743 /nfs/dbraw/zinc/94/77/43/385947743.db2.gz NEKLEGKBRKNZPN-UHFFFAOYSA-N 0 3 241.762 2.853 20 0 BFADHN C[C@@H]1CN(C/C=C/c2ccccc2)[C@H](C)CN1C ZINC000335051960 385949423 /nfs/dbraw/zinc/94/94/23/385949423.db2.gz OUAPTFFLFXRSGY-FSQQNCNXSA-N 0 3 244.382 2.724 20 0 BFADHN C[C@H](NCc1cn2ccsc2n1)[C@H]1C[C@H]1C ZINC000414078882 385950799 /nfs/dbraw/zinc/95/07/99/385950799.db2.gz SIVZVUFVOVZDAB-YWVKMMECSA-N 0 3 235.356 2.530 20 0 BFADHN CS[C@H]1CCN(Cc2ccc3c[nH]nc3c2)C1 ZINC000295041315 385951990 /nfs/dbraw/zinc/95/19/90/385951990.db2.gz MPDPJCYGJLVRQK-LBPRGKRZSA-N 0 3 247.367 2.500 20 0 BFADHN Cc1ccn2cc(CN[C@H](C)[C@@H]3C[C@@H]3C)nc2c1 ZINC000414082580 385952654 /nfs/dbraw/zinc/95/26/54/385952654.db2.gz VHFOOEVSLXURTC-OUCADQQQSA-N 0 3 243.354 2.777 20 0 BFADHN CC/C=C\CCN1CC[C@@H](Oc2ccncc2)C1 ZINC000342245451 385953193 /nfs/dbraw/zinc/95/31/93/385953193.db2.gz JGBOODAJIAWAFX-ABCZVMIZSA-N 0 3 246.354 2.891 20 0 BFADHN CC/C=C\CCN1C[C@H](C)OC[C@@H]1CC ZINC000342242858 385953532 /nfs/dbraw/zinc/95/35/32/385953532.db2.gz WCYNUAAFNAFHSA-JSIVBPPZSA-N 0 3 211.349 2.842 20 0 BFADHN CC[C@H](CO)N(C)Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000295052599 385955018 /nfs/dbraw/zinc/95/50/18/385955018.db2.gz FWFMTUPQWLYYSU-LOWVWBTDSA-N 0 3 237.343 2.606 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc2ncccc2c1 ZINC000285689374 385955575 /nfs/dbraw/zinc/95/55/75/385955575.db2.gz NRKQJTCFMHWQPQ-GFCCVEGCSA-N 0 3 244.338 2.702 20 0 BFADHN CCOc1cccc(CN[C@H]2CCC2(C)C)n1 ZINC000342205333 385955696 /nfs/dbraw/zinc/95/56/96/385955696.db2.gz IMZDJCRPLGBAIU-LBPRGKRZSA-N 0 3 234.343 2.759 20 0 BFADHN CCC1(CN[C@@H](C)c2cc3n(n2)CCC3)CC1 ZINC000414092421 385956707 /nfs/dbraw/zinc/95/67/07/385956707.db2.gz MWEYDMIXFNUGPF-NSHDSACASA-N 0 3 233.359 2.670 20 0 BFADHN Cn1nccc1C1=CCN([C@H]2C=CCCC2)CC1 ZINC000285696944 385957716 /nfs/dbraw/zinc/95/77/16/385957716.db2.gz SBCZTKMGLXUYPW-AWEZNQCLSA-N 0 3 243.354 2.618 20 0 BFADHN FC(F)[C@H]1C[C@H]1NCc1ccc2ncccc2c1 ZINC000342216207 385960404 /nfs/dbraw/zinc/96/04/04/385960404.db2.gz PSSIDPPMMHZBEO-WCQYABFASA-N 0 3 248.276 2.978 20 0 BFADHN C[C@@H](NC[C@H]1CCCC1(F)F)c1ccncn1 ZINC000390849298 385962847 /nfs/dbraw/zinc/96/28/47/385962847.db2.gz GZJQREAPOGXBSU-NXEZZACHSA-N 0 3 241.285 2.563 20 0 BFADHN C[C@H](NCc1ccc(Cl)cn1)[C@H]1C[C@H]1C ZINC000414098497 385963080 /nfs/dbraw/zinc/96/30/80/385963080.db2.gz JSUCIXLGFALNLO-PTRXPTGYSA-N 0 3 224.735 2.869 20 0 BFADHN CO[C@@H](C)CN(C)[C@@H]1CCc2ccc(F)cc21 ZINC000285770433 385963302 /nfs/dbraw/zinc/96/33/02/385963302.db2.gz SBGFEUJGFNJUCI-IINYFYTJSA-N 0 3 237.318 2.780 20 0 BFADHN C[C@]1(NCc2ccccc2F)CCO[C@H]1C1CC1 ZINC000273033607 385963990 /nfs/dbraw/zinc/96/39/90/385963990.db2.gz GTJRSXCGTJTNSL-GJZGRUSLSA-N 0 3 249.329 2.873 20 0 BFADHN CCCN(CCC)[C@@H]1CCN(C(C)(C)C)C1=O ZINC000425404145 385965915 /nfs/dbraw/zinc/96/59/15/385965915.db2.gz JNJPHKLUPNDDMY-GFCCVEGCSA-N 0 3 240.391 2.508 20 0 BFADHN CC(C)C[C@H](C)N[C@@H](C)c1cc2n(n1)CCC2 ZINC000414104182 385966354 /nfs/dbraw/zinc/96/63/54/385966354.db2.gz OCOHHAASNOBQJN-RYUDHWBXSA-N 0 3 235.375 2.915 20 0 BFADHN CCc1ccc(CN2CC[C@@H](SC)C2)o1 ZINC000295107837 385966415 /nfs/dbraw/zinc/96/64/15/385966415.db2.gz UNRWEAGUODARTL-GFCCVEGCSA-N 0 3 225.357 2.779 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H](C)[C@@H]2CCCO2)c1 ZINC000285830081 385969698 /nfs/dbraw/zinc/96/96/98/385969698.db2.gz WWPFBQNHKXONRW-OBJOEFQTSA-N 0 3 234.343 2.608 20 0 BFADHN CCc1ccc(CN2CC[C@@](C)(COC)C2)o1 ZINC000295114712 385970393 /nfs/dbraw/zinc/97/03/93/385970393.db2.gz MGZMOSCGDQTOEW-CQSZACIVSA-N 0 3 237.343 2.700 20 0 BFADHN C[C@H](C1CCCCC1)N(C)Cc1ccnn1C ZINC000338339802 385970573 /nfs/dbraw/zinc/97/05/73/385970573.db2.gz GKMWOLOXWFUUBW-GFCCVEGCSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@H](C1CCCCC1)N(C)Cc1ccn(C)n1 ZINC000338337696 385970894 /nfs/dbraw/zinc/97/08/94/385970894.db2.gz AWSWXFUNRULRAF-GFCCVEGCSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@@H](CNCc1cncc(F)c1)C(F)(F)F ZINC000336747373 385971856 /nfs/dbraw/zinc/97/18/56/385971856.db2.gz RXJBSIUWPASQAH-ZETCQYMHSA-N 0 3 236.212 2.509 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(OC)c(C)c1 ZINC000273117921 385972928 /nfs/dbraw/zinc/97/29/28/385972928.db2.gz XOERVGXMZKYTHV-LBPRGKRZSA-N 0 3 237.343 2.691 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2cc[nH]n2)[C@H]1C ZINC000336727669 385997436 /nfs/dbraw/zinc/99/74/36/385997436.db2.gz CCWRBYPEDLAZNJ-WOPDTQHZSA-N 0 3 221.348 2.572 20 0 BFADHN C[C@H](NC[C@H]1CC[C@@H](C)C1)c1cc2n(n1)CCC2 ZINC000414149463 385997673 /nfs/dbraw/zinc/99/76/73/385997673.db2.gz OJTHPQVCBJPEHH-AGIUHOORSA-N 0 3 247.386 2.916 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccncc1F)[C@@H]1C[C@H]1C ZINC000414148800 385998404 /nfs/dbraw/zinc/99/84/04/385998404.db2.gz OFQKKVDTIJRGHR-DTHBNOIPSA-N 0 3 222.307 2.916 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C=C[C@@H](CO)C2)cc1 ZINC000345066328 386000895 /nfs/dbraw/zinc/00/08/95/386000895.db2.gz WOPZZJKCOZKTAN-GZBFAFLISA-N 0 3 231.339 2.583 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C=C[C@@H](CO)C2)s1 ZINC000345066740 386002745 /nfs/dbraw/zinc/00/27/45/386002745.db2.gz XJQDLLKUCGTMFM-QJPTWQEYSA-N 0 3 237.368 2.644 20 0 BFADHN CCS[C@H]1CCC[C@@H]1NCc1cccnc1 ZINC000286157220 386003031 /nfs/dbraw/zinc/00/30/31/386003031.db2.gz NVJPUJJXMNJTMT-STQMWFEESA-N 0 3 236.384 2.845 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)n2ccnc21)[C@H]1C[C@H]1C ZINC000414154399 386003324 /nfs/dbraw/zinc/00/33/24/386003324.db2.gz TWWWILOHQVHKCL-GCHJQGSQSA-N 0 3 219.332 2.523 20 0 BFADHN CC[C@@H]1CCC[C@H]1CN[C@H](C)c1ccn(C)n1 ZINC000414155729 386003678 /nfs/dbraw/zinc/00/36/78/386003678.db2.gz VJQQKYNXGSHJGS-UPJWGTAASA-N 0 3 235.375 2.897 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)[C@H]1C[C@H]1C ZINC000414154403 386003768 /nfs/dbraw/zinc/00/37/68/386003768.db2.gz TWWWILOHQVHKCL-LDMBFOFVSA-N 0 3 219.332 2.523 20 0 BFADHN CC[C@H](c1ccccc1)N1CC[C@@](F)(CO)C1 ZINC000338584940 386057849 /nfs/dbraw/zinc/05/78/49/386057849.db2.gz JANQCKHRYJHDKY-KGLIPLIRSA-N 0 3 237.318 2.544 20 0 BFADHN CO[C@@H](C)CNC/C=C/c1ccc(F)c(F)c1 ZINC000286213199 386008513 /nfs/dbraw/zinc/00/85/13/386008513.db2.gz YKJOCUMZLIXIMS-FSIBCCDJSA-N 0 3 241.281 2.603 20 0 BFADHN CCC[C@H](O)CN1CCC=C(c2ccco2)C1 ZINC000295298055 386008594 /nfs/dbraw/zinc/00/85/94/386008594.db2.gz LZKPKEKBCCGRGN-ZDUSSCGKSA-N 0 3 235.327 2.530 20 0 BFADHN COCC[C@H](N[C@H](C)[C@@H]1C[C@@H]1C)c1ccco1 ZINC000414116539 386009291 /nfs/dbraw/zinc/00/92/91/386009291.db2.gz XDNZAGMLMPJDCZ-LOWDOPEQSA-N 0 3 237.343 2.991 20 0 BFADHN COCC[C@H](N[C@H](C)[C@H]1C[C@@H]1C)c1ccco1 ZINC000414116545 386009397 /nfs/dbraw/zinc/00/93/97/386009397.db2.gz XDNZAGMLMPJDCZ-RNJOBUHISA-N 0 3 237.343 2.991 20 0 BFADHN CC(C)CCCN[C@@H](CO)c1cccc(F)c1 ZINC000338454486 386009781 /nfs/dbraw/zinc/00/97/81/386009781.db2.gz INMQEKMVDMWMDN-AWEZNQCLSA-N 0 3 239.334 2.885 20 0 BFADHN Cc1ccc([C@H](N[C@@H]2CCC[C@H]2O)C2CCC2)o1 ZINC000414119030 386010113 /nfs/dbraw/zinc/01/01/13/386010113.db2.gz AMKODWOZCKJVNP-UMVBOHGHSA-N 0 3 249.354 2.932 20 0 BFADHN COc1ncc(CN[C@@H]2CCCC2(C)C)s1 ZINC000309438180 386010213 /nfs/dbraw/zinc/01/02/13/386010213.db2.gz YUVPLKIZHAMJPZ-SNVBAGLBSA-N 0 3 240.372 2.820 20 0 BFADHN Cc1cnc([C@@H](C)NCC[C@H]2CCOC2)s1 ZINC000336721983 386011274 /nfs/dbraw/zinc/01/12/74/386011274.db2.gz AOEWWBGEAPXCRU-MNOVXSKESA-N 0 3 240.372 2.529 20 0 BFADHN c1ccc(C2=CCCN([C@@H]3CCOC3)C2)cc1 ZINC000286258906 386013266 /nfs/dbraw/zinc/01/32/66/386013266.db2.gz SQNGLQDHSJKFKY-OAHLLOKOSA-N 0 3 229.323 2.565 20 0 BFADHN CCc1noc(CC)c1CN[C@H](C)[C@H]1C[C@@H]1C ZINC000414120330 386013195 /nfs/dbraw/zinc/01/31/95/386013195.db2.gz XLRXMQNIXSNPKG-AXFHLTTASA-N 0 3 236.359 2.934 20 0 BFADHN Cc1cnc([C@H](C)NCC[C@@H]2CCOC2)s1 ZINC000336721996 386013482 /nfs/dbraw/zinc/01/34/82/386013482.db2.gz AOEWWBGEAPXCRU-WDEREUQCSA-N 0 3 240.372 2.529 20 0 BFADHN CCSCCCN[C@H](C)c1nccn1CC ZINC000295333510 386013854 /nfs/dbraw/zinc/01/38/54/386013854.db2.gz XSQDZWJWOXFSOR-LLVKDONJSA-N 0 3 241.404 2.697 20 0 BFADHN CC[C@H](N[C@H](C)c1ccn(C)n1)[C@@H]1CC1(C)C ZINC000414172094 386018323 /nfs/dbraw/zinc/01/83/23/386018323.db2.gz DYBBTLVBVQLUPH-WOPDTQHZSA-N 0 3 235.375 2.895 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000414172512 386018371 /nfs/dbraw/zinc/01/83/71/386018371.db2.gz FJTKHYDFGSVVMN-NDBYEHHHSA-N 0 3 233.359 2.742 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000414172511 386018464 /nfs/dbraw/zinc/01/84/64/386018464.db2.gz FJTKHYDFGSVVMN-FVCCEPFGSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1cc2n(n1)CCC2 ZINC000414177518 386020223 /nfs/dbraw/zinc/02/02/23/386020223.db2.gz JSNTXADNEIPKNE-MHDGFBEUSA-N 0 3 245.370 2.669 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3ccccc3)CC2)[C@@H](C)O1 ZINC000295366026 386020829 /nfs/dbraw/zinc/02/08/29/386020829.db2.gz JNWALDPZQAZZGI-BZPMIXESSA-N 0 3 231.339 2.831 20 0 BFADHN CC[C@H](CSC)NCc1cc(F)ncc1F ZINC000295365834 386021446 /nfs/dbraw/zinc/02/14/46/386021446.db2.gz JLLZOOCCZAHVLW-SECBINFHSA-N 0 3 246.326 2.591 20 0 BFADHN Cc1nocc1CN1CC[C@H](C)C[C@H]1C ZINC000295390433 386024007 /nfs/dbraw/zinc/02/40/07/386024007.db2.gz PUFJIYBPNSFEOT-VHSXEESVSA-N 0 3 208.305 2.603 20 0 BFADHN CN(c1ccnc2ccccc21)[C@@H]1CCC[C@H]1O ZINC000286339761 386026813 /nfs/dbraw/zinc/02/68/13/386026813.db2.gz LYULWKHPVHOMII-HUUCEWRRSA-N 0 3 242.322 2.584 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@@H]1CCC[C@@H]1C1CC1)CC2 ZINC000414186447 386027792 /nfs/dbraw/zinc/02/77/92/386027792.db2.gz HUNRMHXZKFFKCF-BFHYXJOUSA-N 0 3 245.370 2.744 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2CCCC[C@H]2O)c1 ZINC000286351219 386030086 /nfs/dbraw/zinc/03/00/86/386030086.db2.gz YTVPACABOYSUEK-KCQAQPDRSA-N 0 3 248.370 2.592 20 0 BFADHN C[C@@H](NCc1nc2ccccc2o1)C1CC1 ZINC000309776179 386030753 /nfs/dbraw/zinc/03/07/53/386030753.db2.gz LSEKPWSNPPVGBP-SECBINFHSA-N 0 3 216.284 2.716 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H](c1nccn1C)C1CC1 ZINC000414194531 386031831 /nfs/dbraw/zinc/03/18/31/386031831.db2.gz WHVQCUKAHQBUOS-MCIONIFRSA-N 0 3 247.386 2.895 20 0 BFADHN CCCCCN1CCC[C@@H]1[C@H](O)C(F)(F)F ZINC000117881275 386033928 /nfs/dbraw/zinc/03/39/28/386033928.db2.gz FLCKHWNJUAKOGK-ZJUUUORDSA-N 0 3 239.281 2.564 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]1C1CC1)c1nccn1C ZINC000414197669 386034933 /nfs/dbraw/zinc/03/49/33/386034933.db2.gz PSSWCINGBPOXED-UBHSHLNASA-N 0 3 247.386 2.897 20 0 BFADHN CC[C@H](N[C@H](C)c1ccn(C)n1)[C@H]1C[C@H]1C ZINC000414198249 386035000 /nfs/dbraw/zinc/03/50/00/386035000.db2.gz WUMYCBQREHCOHH-WYUUTHIRSA-N 0 3 221.348 2.505 20 0 BFADHN COCC[C@@H](C)CN[C@H](C)c1csc(C)n1 ZINC000414197302 386035054 /nfs/dbraw/zinc/03/50/54/386035054.db2.gz KAURVFQUQIYMBG-NXEZZACHSA-N 0 3 242.388 2.775 20 0 BFADHN C[C@@H](NC1(C2CCC2)CCC1)c1ccn(C)n1 ZINC000414199672 386035906 /nfs/dbraw/zinc/03/59/06/386035906.db2.gz XOZOCFSKEKLSBZ-LLVKDONJSA-N 0 3 233.359 2.794 20 0 BFADHN C[C@H]1Oc2ccccc2[C@H]1NC1CCSCC1 ZINC000334428462 386036333 /nfs/dbraw/zinc/03/63/33/386036333.db2.gz SKUMESAMJWZUPR-YGRLFVJLSA-N 0 3 249.379 2.994 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1NC1CCSCC1 ZINC000334428457 386036461 /nfs/dbraw/zinc/03/64/61/386036461.db2.gz SKUMESAMJWZUPR-IINYFYTJSA-N 0 3 249.379 2.994 20 0 BFADHN C[C@@]1(NCc2ccc3occc3c2)CCOC1 ZINC000286420236 386037359 /nfs/dbraw/zinc/03/73/59/386037359.db2.gz IGYDWPNUDRRAFL-CQSZACIVSA-N 0 3 231.295 2.701 20 0 BFADHN CO[C@H]1CC[C@H](NCc2ccccc2Cl)C1 ZINC000190945407 386038534 /nfs/dbraw/zinc/03/85/34/386038534.db2.gz BXRYPISSXSWGAF-RYUDHWBXSA-N 0 3 239.746 2.997 20 0 BFADHN CCN(CCCCF)Cc1ccccn1 ZINC000286430615 386039164 /nfs/dbraw/zinc/03/91/64/386039164.db2.gz MWGIGXZNVMXCEN-UHFFFAOYSA-N 0 3 210.296 2.653 20 0 BFADHN CCCC[C@H](CC)NCc1ccn(CC)n1 ZINC000414202636 386040144 /nfs/dbraw/zinc/04/01/44/386040144.db2.gz DEWCCEBZBBXMJU-LBPRGKRZSA-N 0 3 223.364 2.961 20 0 BFADHN CCn1ccc(CN[C@H]2CCC[C@@H](C3CC3)C2)n1 ZINC000414203836 386040189 /nfs/dbraw/zinc/04/01/89/386040189.db2.gz FNARAMASOIAELJ-KGLIPLIRSA-N 0 3 247.386 2.961 20 0 BFADHN CC[C@H](N[C@H](C)c1nccn1CC)[C@H]1C[C@H]1C ZINC000414158035 386043745 /nfs/dbraw/zinc/04/37/45/386043745.db2.gz YUTKIZPMENZGDT-NDBYEHHHSA-N 0 3 235.375 2.988 20 0 BFADHN CO[C@@H](CN1Cc2ccccc2[C@@H]1C)C1CC1 ZINC000425423666 386044909 /nfs/dbraw/zinc/04/49/09/386044909.db2.gz OFJFYHMWROXWNF-NHYWBVRUSA-N 0 3 231.339 2.988 20 0 BFADHN Cc1nn(-c2cccc(F)c2)cc1CNC(C)C ZINC000414239464 386052509 /nfs/dbraw/zinc/05/25/09/386052509.db2.gz IVTGTZYHFMLYQZ-UHFFFAOYSA-N 0 3 247.317 2.818 20 0 BFADHN CCc1cnccc1[C@@H](C)NC[C@H](OC)C1CC1 ZINC000425459398 386101277 /nfs/dbraw/zinc/10/12/77/386101277.db2.gz OZMTXEJZOSFQNA-ABAIWWIYSA-N 0 3 248.370 2.720 20 0 BFADHN Cc1ncc(CN2CCCCC[C@@H]2C)cn1 ZINC000334456329 386109584 /nfs/dbraw/zinc/10/95/84/386109584.db2.gz HEXYJWRTQRCDFD-NSHDSACASA-N 0 3 219.332 2.550 20 0 BFADHN COC1([C@@H](C)N[C@H](C)c2cncc(C)c2)CCC1 ZINC000414309955 386113379 /nfs/dbraw/zinc/11/33/79/386113379.db2.gz SETDREWIRRJUEC-CHWSQXEVSA-N 0 3 248.370 2.998 20 0 BFADHN CSc1ccc(CNc2nccn2C)cc1 ZINC000414310073 386113896 /nfs/dbraw/zinc/11/38/96/386113896.db2.gz VYNPCANNAWYQRI-UHFFFAOYSA-N 0 3 233.340 2.754 20 0 BFADHN COC1([C@@H](C)N[C@@H](C)c2cncs2)CCC1 ZINC000414312591 386115869 /nfs/dbraw/zinc/11/58/69/386115869.db2.gz WUDSIMQWVKXRCX-VHSXEESVSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H]2CCCOC2)o1 ZINC000038043589 386117232 /nfs/dbraw/zinc/11/72/32/386117232.db2.gz GUKZSKJQXFFDNQ-NWDGAFQWSA-N 0 3 223.316 2.665 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H]2CCCOC2)o1 ZINC000038043586 386118873 /nfs/dbraw/zinc/11/88/73/386118873.db2.gz GUKZSKJQXFFDNQ-NEPJUHHUSA-N 0 3 223.316 2.665 20 0 BFADHN Cc1ccc(CN(C)CCOC2CCC2)cc1 ZINC000287395970 386119690 /nfs/dbraw/zinc/11/96/90/386119690.db2.gz ROPIGRSKAUKEQR-UHFFFAOYSA-N 0 3 233.355 2.996 20 0 BFADHN CCn1ccc(CN[C@@H]2CCCC[C@@H]2C2CC2)n1 ZINC000414206192 386059440 /nfs/dbraw/zinc/05/94/40/386059440.db2.gz INCSINXYHKLVMU-HUUCEWRRSA-N 0 3 247.386 2.961 20 0 BFADHN CCn1ccc(CNC2C[C@H](C)C[C@@H](C)C2)n1 ZINC000414207025 386060184 /nfs/dbraw/zinc/06/01/84/386060184.db2.gz JHKQSSKRQSYWLE-VXGBXAGGSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccc(CN[C@@H](C)C2CCCCC2)n1 ZINC000414207044 386063136 /nfs/dbraw/zinc/06/31/36/386063136.db2.gz JIYNPMFNCHBXFO-LBPRGKRZSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1ccnc(C)n1 ZINC000334443512 386063706 /nfs/dbraw/zinc/06/37/06/386063706.db2.gz MIXLLANIGZMZGG-ZWNOBZJWSA-N 0 3 219.332 2.548 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1C[C@@H](C)O[C@H]1C ZINC000295642017 386065946 /nfs/dbraw/zinc/06/59/46/386065946.db2.gz IDRWPAFLKCCIEV-MBNYWOFBSA-N 0 3 249.354 2.659 20 0 BFADHN CCn1ccc(CN[C@H]2CCC[C@@H]2C(C)C)n1 ZINC000414210165 386065992 /nfs/dbraw/zinc/06/59/92/386065992.db2.gz OAQLYVFMVUIGQC-KGLIPLIRSA-N 0 3 235.375 2.817 20 0 BFADHN c1ccc2c(c1)C[C@@H]2CN1CCO[C@@H]2CCC[C@@H]21 ZINC000286688032 386066451 /nfs/dbraw/zinc/06/64/51/386066451.db2.gz BKNJMBDXYGVLMA-VNQPRFMTSA-N 0 3 243.350 2.580 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CCO[C@@H](C)C2)s1 ZINC000223145411 386077754 /nfs/dbraw/zinc/07/77/54/386077754.db2.gz GASOJAFIOQYJMG-LSJOCFKGSA-N 0 3 240.372 2.670 20 0 BFADHN CCc1ccc([C@@H](C)NCC2(CCO)CC2)o1 ZINC000188052485 386083599 /nfs/dbraw/zinc/08/35/99/386083599.db2.gz ZGLNULGDFLCLHC-LLVKDONJSA-N 0 3 237.343 2.655 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCCOCC1CC1)CC2 ZINC000223390056 386084609 /nfs/dbraw/zinc/08/46/09/386084609.db2.gz RNMDKGGQTRTJIL-MRXNPFEDSA-N 0 3 245.366 2.999 20 0 BFADHN Cc1oc2ccccc2c1CN(C)C1CC(O)C1 ZINC000355376639 386085765 /nfs/dbraw/zinc/08/57/65/386085765.db2.gz XCTHJWRIFCLNRZ-UHFFFAOYSA-N 0 3 245.322 2.696 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cc2cccs2)nn1 ZINC000414272873 386089998 /nfs/dbraw/zinc/08/99/98/386089998.db2.gz RZMWYSHFNZYIDH-NSHDSACASA-N 0 3 247.367 2.567 20 0 BFADHN Fc1cc(CN[C@@H]2CC=CCC2)c(F)cn1 ZINC000295826915 386092407 /nfs/dbraw/zinc/09/24/07/386092407.db2.gz VAEVJYCAPAIVPL-SNVBAGLBSA-N 0 3 224.254 2.558 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1)c1ccccc1Cl ZINC000295864400 386098125 /nfs/dbraw/zinc/09/81/25/386098125.db2.gz FSVWIDZEDSHDEP-LPEHRKFASA-N 0 3 225.719 2.514 20 0 BFADHN CC[C@H](NCc1nccc(C(F)F)n1)C(C)C ZINC000287149382 386098447 /nfs/dbraw/zinc/09/84/47/386098447.db2.gz SRRRLIDVJSOIRN-VIFPVBQESA-N 0 3 243.301 2.938 20 0 BFADHN CC(C)n1cncc1CN1C[C@@H](C)[C@H](C)C1 ZINC000335145508 386098806 /nfs/dbraw/zinc/09/88/06/386098806.db2.gz ABGSVXMACYASQT-VXGBXAGGSA-N 0 3 221.348 2.552 20 0 BFADHN CN(CCOC1CCC1)Cc1ccccc1 ZINC000287205470 386100134 /nfs/dbraw/zinc/10/01/34/386100134.db2.gz IJRYYZJGADBBMI-UHFFFAOYSA-N 0 3 219.328 2.688 20 0 BFADHN O[C@@H]1CCC[C@H](CNCc2ccc(Cl)o2)C1 ZINC000164668890 386189642 /nfs/dbraw/zinc/18/96/42/386189642.db2.gz COZYRPWXRFAMIA-VHSXEESVSA-N 0 3 243.734 2.574 20 0 BFADHN C/C(=C\c1ccccc1)CN1CCN(C)C[C@H]1C ZINC000334471277 386144436 /nfs/dbraw/zinc/14/44/36/386144436.db2.gz GSSDUQCOGVQUEE-ATGUSINASA-N 0 3 244.382 2.726 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1cc(C)n(C)n1 ZINC000414357369 386146325 /nfs/dbraw/zinc/14/63/25/386146325.db2.gz IYIZBNUPARBGQG-WDEREUQCSA-N 0 3 223.364 2.643 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1Cc1cc2ccccc2[nH]1 ZINC000643295766 386148978 /nfs/dbraw/zinc/14/89/78/386148978.db2.gz QDRUMRGROIMAGA-BXUZGUMPSA-N 0 3 244.338 2.513 20 0 BFADHN CCC1(N[C@@H](C)c2ccc(OC)c(O)c2)CC1 ZINC000296225586 386151735 /nfs/dbraw/zinc/15/17/35/386151735.db2.gz BWNSLWYROAEEEE-JTQLQIEISA-N 0 3 235.327 2.994 20 0 BFADHN Cc1ccsc1CN1CCOCC2(CC2)C1 ZINC000335158577 386152739 /nfs/dbraw/zinc/15/27/39/386152739.db2.gz UWNGBVMDBOOIFZ-UHFFFAOYSA-N 0 3 237.368 2.669 20 0 BFADHN c1c(CNC2CC3(CCC3)C2)nc2ccccn12 ZINC000335159686 386155728 /nfs/dbraw/zinc/15/57/28/386155728.db2.gz KMFTUSODSJHOTM-UHFFFAOYSA-N 0 3 241.338 2.757 20 0 BFADHN CC[C@H](NCc1cnc(C)cn1)[C@H]1CC1(C)C ZINC000397657295 386156399 /nfs/dbraw/zinc/15/63/99/386156399.db2.gz NCMCFNBCIGEZCO-OLZOCXBDSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2CC23CC3)c1 ZINC000335279570 386157128 /nfs/dbraw/zinc/15/71/28/386157128.db2.gz TZLFZAWJQIWKFB-JQWIXIFHSA-N 0 3 202.301 2.593 20 0 BFADHN Cc1ccc(CN2CCC[C@H]2CC(C)C)nn1 ZINC000414370409 386157863 /nfs/dbraw/zinc/15/78/63/386157863.db2.gz LICBQFBTYJKUJB-AWEZNQCLSA-N 0 3 233.359 2.796 20 0 BFADHN CCOc1cccc(CN2CC[C@@H](C3CC3)C2)n1 ZINC000354078145 386157888 /nfs/dbraw/zinc/15/78/88/386157888.db2.gz NYJHGUQQBXJQHM-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN CCc1ncc(CNCC2(C(C)C)CC2)cn1 ZINC000414367117 386159108 /nfs/dbraw/zinc/15/91/08/386159108.db2.gz QWPZUBKHFQGTPX-UHFFFAOYSA-N 0 3 233.359 2.565 20 0 BFADHN CCCCCNC(=O)Nc1ccccc1CNC ZINC000415897570 386160233 /nfs/dbraw/zinc/16/02/33/386160233.db2.gz GFMSWMRIPRVGGX-UHFFFAOYSA-N 0 3 249.358 2.718 20 0 BFADHN C[C@@H](NCCC1(O)CCC1)c1ccccc1F ZINC000284069917 386160725 /nfs/dbraw/zinc/16/07/25/386160725.db2.gz KAOPNUITXXTURM-LLVKDONJSA-N 0 3 237.318 2.781 20 0 BFADHN CCN(Cc1ccc(C)nn1)C1CCCCC1 ZINC000414367054 386162608 /nfs/dbraw/zinc/16/26/08/386162608.db2.gz PJOYPCCBHAVJJK-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN CCC[C@H](O)CN(C)[C@@H](C)c1ccc(F)cc1 ZINC000296639706 386190416 /nfs/dbraw/zinc/19/04/16/386190416.db2.gz WJRUDBDTRRTNID-FZMZJTMJSA-N 0 3 239.334 2.980 20 0 BFADHN COC(=O)CN(C[C@H]1CCCC[C@@H]1C)C(C)C ZINC000346140207 386165841 /nfs/dbraw/zinc/16/58/41/386165841.db2.gz XGMAMMDDZCZANO-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@@H](c1cccc(OC)c1)N(C)CCOC ZINC000275011389 386169384 /nfs/dbraw/zinc/16/93/84/386169384.db2.gz NISMPDCYGFPFAU-AWEZNQCLSA-N 0 3 237.343 2.725 20 0 BFADHN CC[C@H](N[C@H](C)c1ccsc1)[C@@H](O)C(F)F ZINC000296404528 386169953 /nfs/dbraw/zinc/16/99/53/386169953.db2.gz KQKQJNJCSFNQSU-FKTZTGRPSA-N 0 3 249.326 2.803 20 0 BFADHN CNCc1cccc(NC(=O)NCCC(C)C)c1 ZINC000415933430 386170422 /nfs/dbraw/zinc/17/04/22/386170422.db2.gz PHQZVVXTESRPLF-UHFFFAOYSA-N 0 3 249.358 2.574 20 0 BFADHN CCC[C@H](NC[C@H](C)OC)c1ccccn1 ZINC000188128945 386190800 /nfs/dbraw/zinc/19/08/00/386190800.db2.gz XBBZUJCKUNYBOR-RYUDHWBXSA-N 0 3 222.332 2.547 20 0 BFADHN CC1(C)CCC(N[C@@H]2CNCCC2(F)F)CC1 ZINC000414995420 386174704 /nfs/dbraw/zinc/17/47/04/386174704.db2.gz FLZGVTBRCSHUBG-LLVKDONJSA-N 0 3 246.345 2.542 20 0 BFADHN CO[C@@H]1CCC[C@H]1CN[C@H](C)c1nccs1 ZINC000336730151 386175600 /nfs/dbraw/zinc/17/56/00/386175600.db2.gz IEUFCYKFISIQSJ-OUAUKWLOSA-N 0 3 240.372 2.609 20 0 BFADHN CCC[C@H](NC[C@@H](C)OC)c1ccccn1 ZINC000188128903 386190944 /nfs/dbraw/zinc/19/09/44/386190944.db2.gz XBBZUJCKUNYBOR-NEPJUHHUSA-N 0 3 222.332 2.547 20 0 BFADHN CCC(CC)NC(=O)Nc1ccc2c(c1)CNC2 ZINC000416013155 386178210 /nfs/dbraw/zinc/17/82/10/386178210.db2.gz RKJZTLNHTUGPPD-UHFFFAOYSA-N 0 3 247.342 2.600 20 0 BFADHN COC1(CCN(C)Cc2ccoc2)CCC1 ZINC000338922748 386179610 /nfs/dbraw/zinc/17/96/10/386179610.db2.gz BGVLGFDOTDUAFS-UHFFFAOYSA-N 0 3 223.316 2.671 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000296514418 386180318 /nfs/dbraw/zinc/18/03/18/386180318.db2.gz PQBFLISSZFTIGI-GFQSEFKGSA-N 0 3 249.354 2.912 20 0 BFADHN CCc1ccc(CN(C)CC(C)C)nc1 ZINC000338932793 386182971 /nfs/dbraw/zinc/18/29/71/386182971.db2.gz CTJJOCRRFZCDHF-UHFFFAOYSA-N 0 3 206.333 2.732 20 0 BFADHN Cc1occc1CN(C[C@@H]1CCOC1)C1CC1 ZINC000414375393 386188055 /nfs/dbraw/zinc/18/80/55/386188055.db2.gz YGIGLUUNHGDRFY-LBPRGKRZSA-N 0 3 235.327 2.589 20 0 BFADHN CCC[C@@H]1C[C@H]1[NH2+]Cc1cc([O-])cc(F)c1 ZINC000225362257 386189129 /nfs/dbraw/zinc/18/91/29/386189129.db2.gz VSNKTAFAIOOEPZ-ZWNOBZJWSA-N 0 3 223.291 2.810 20 0 BFADHN Cc1cc(CNCC2(CC(C)C)CC2)nn1C ZINC000414373971 386189112 /nfs/dbraw/zinc/18/91/12/386189112.db2.gz CDSARIVIARHKNJ-UHFFFAOYSA-N 0 3 235.375 2.644 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](C)OC)o1 ZINC000188126624 386189131 /nfs/dbraw/zinc/18/91/31/386189131.db2.gz WAPSHFURSWYTKM-VHSXEESVSA-N 0 3 211.305 2.528 20 0 BFADHN CC(C)[C@H](C)NCc1cc(C2CC2)no1 ZINC000638294396 386134136 /nfs/dbraw/zinc/13/41/36/386134136.db2.gz QCYUTDPSRDIWLZ-VIFPVBQESA-N 0 3 208.305 2.686 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCC[C@H](CO)C2)c1 ZINC000414347189 386134553 /nfs/dbraw/zinc/13/45/53/386134553.db2.gz VRMATDONFGHPHJ-IHRRRGAJSA-N 0 3 248.370 2.592 20 0 BFADHN CCC[C@H](O)CN(CC)Cc1cccs1 ZINC000296616629 386189284 /nfs/dbraw/zinc/18/92/84/386189284.db2.gz ULWYKIDYVIVMPK-NSHDSACASA-N 0 3 227.373 2.731 20 0 BFADHN CN(Cc1ccco1)CC1CC(F)(F)C1 ZINC000296617054 386189326 /nfs/dbraw/zinc/18/93/26/386189326.db2.gz UMUBFECZXPZOMZ-UHFFFAOYSA-N 0 3 215.243 2.757 20 0 BFADHN CCC[C@](C)(O)CN[C@@H](C)c1cncc(C)c1 ZINC000274653087 386137134 /nfs/dbraw/zinc/13/71/34/386137134.db2.gz VHYCIIJEAIPAIN-JSGCOSHPSA-N 0 3 236.359 2.592 20 0 BFADHN CC1(C)CC[C@H](N[C@@H](CCO)c2ccco2)C1 ZINC000274659298 386137188 /nfs/dbraw/zinc/13/71/88/386137188.db2.gz MXMRSPSVFNHXOV-RYUDHWBXSA-N 0 3 237.343 2.871 20 0 BFADHN COC[C@H](N[C@H]1CCC[C@@H]1F)C1CCCC1 ZINC000340528869 386137178 /nfs/dbraw/zinc/13/71/78/386137178.db2.gz ZXRMHLHOLJWLFQ-AVGNSLFASA-N 0 3 229.339 2.672 20 0 BFADHN CC(C)Oc1ccc(CNc2nccn2C)cc1 ZINC000414304627 386138315 /nfs/dbraw/zinc/13/83/15/386138315.db2.gz PBCOIUFGBUWWIP-UHFFFAOYSA-N 0 3 245.326 2.819 20 0 BFADHN CC[C@H](N[C@H]1C[C@@H](O)C1)c1cc(C)ccc1OC ZINC000296105667 386138748 /nfs/dbraw/zinc/13/87/48/386138748.db2.gz ZCBHOIAMHJJOLY-SCRDCRAPSA-N 0 3 249.354 2.568 20 0 BFADHN Fc1ccc(CNC[C@H]2CCSC2)c(F)c1 ZINC000224412429 386139492 /nfs/dbraw/zinc/13/94/92/386139492.db2.gz JYLHZQIRAUWLDO-SECBINFHSA-N 0 3 243.322 2.808 20 0 BFADHN CN(Cc1cnn(C)c1)[C@H]1/C=C/CCCCC1 ZINC000334469697 386139645 /nfs/dbraw/zinc/13/96/45/386139645.db2.gz ZPZDULFEZVOXTK-OWNNVSBGSA-N 0 3 233.359 2.741 20 0 BFADHN Cc1ccncc1CNC[C@H](C)c1nccs1 ZINC000235151788 386140481 /nfs/dbraw/zinc/14/04/81/386140481.db2.gz OBCZFRHUATWPPT-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1ccc(CNCCOCC2CC2)cc1C ZINC000224526638 386141237 /nfs/dbraw/zinc/14/12/37/386141237.db2.gz IBVLTEZRNYFFBY-UHFFFAOYSA-N 0 3 233.355 2.820 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N(C)CC1(CO)CC1 ZINC000190020632 386142121 /nfs/dbraw/zinc/14/21/21/386142121.db2.gz UNAFXGXRFXLPSP-NSHDSACASA-N 0 3 237.318 2.591 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cccc(C)c1F ZINC000296149358 386142668 /nfs/dbraw/zinc/14/26/68/386142668.db2.gz VVLIATFUBAMTLI-MNOVXSKESA-N 0 3 225.307 2.647 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@H]2C2CCCCC2)nn1 ZINC000414356497 386143058 /nfs/dbraw/zinc/14/30/58/386143058.db2.gz DXYDAUTYEVSGMS-CABCVRRESA-N 0 3 245.370 2.843 20 0 BFADHN Cc1cc(CN[C@H]2C[C@H](C)C[C@H](C)C2)nn1C ZINC000414356523 386143995 /nfs/dbraw/zinc/14/39/95/386143995.db2.gz FAIQIICUUKFLII-PJXYFTJBSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@H](O)c2ccccc2)o1 ZINC000288468447 386203669 /nfs/dbraw/zinc/20/36/69/386203669.db2.gz FNHIMBNKJXPUDO-WFASDCNBSA-N 0 3 245.322 2.800 20 0 BFADHN C[C@H](C(=O)Nc1ccc2c(c1)CNC2)C(C)(C)C ZINC000334621517 386204665 /nfs/dbraw/zinc/20/46/65/386204665.db2.gz MIYXFEASSXNTMD-SNVBAGLBSA-N 0 3 246.354 2.911 20 0 BFADHN FC(F)(F)CCCNCc1ccccn1 ZINC000097988974 386206577 /nfs/dbraw/zinc/20/65/77/386206577.db2.gz OBZYFXUVQZFAFU-UHFFFAOYSA-N 0 3 218.222 2.514 20 0 BFADHN CC(C)[C@@H]1CN(CCOC2CCC2)CCS1 ZINC000347056718 386206663 /nfs/dbraw/zinc/20/66/63/386206663.db2.gz PKOVKYLNNZMSNN-ZDUSSCGKSA-N 0 3 243.416 2.629 20 0 BFADHN CCOC[C@H]1CCN(Cc2ccc(CC)cn2)C1 ZINC000339011582 386208628 /nfs/dbraw/zinc/20/86/28/386208628.db2.gz QJSUVIRDIHXXEW-AWEZNQCLSA-N 0 3 248.370 2.502 20 0 BFADHN CCc1ccc([C@@H](C)NCc2n[nH]c(C)n2)cc1 ZINC000292665660 386208857 /nfs/dbraw/zinc/20/88/57/386208857.db2.gz BHQWIGVCDSAJJZ-SNVBAGLBSA-N 0 3 244.342 2.526 20 0 BFADHN CCc1noc(CC)c1CNC[C@@H](C)CC ZINC000093081270 386212359 /nfs/dbraw/zinc/21/23/59/386212359.db2.gz QGQIWAGPHXVWDC-JTQLQIEISA-N 0 3 224.348 2.935 20 0 BFADHN COc1nc(C)cc(C)c1CN1C[C@H](C)[C@@H](C)C1 ZINC000347065698 386212530 /nfs/dbraw/zinc/21/25/30/386212530.db2.gz YSWFYERLVLGARG-RYUDHWBXSA-N 0 3 248.370 2.795 20 0 BFADHN CSC[C@@H](C)NCc1ccc(Cl)o1 ZINC000125016704 386214785 /nfs/dbraw/zinc/21/47/85/386214785.db2.gz OKTMHGHFSUOWPK-SSDOTTSWSA-N 0 3 219.737 2.774 20 0 BFADHN C[C@@H](CNCCF)c1cc(F)cc(F)c1 ZINC000296966766 386215682 /nfs/dbraw/zinc/21/56/82/386215682.db2.gz URBORHPUTROCKL-QMMMGPOBSA-N 0 3 217.234 2.627 20 0 BFADHN CCC(CC)[C@@H](O)CNCc1ccccc1F ZINC000225815394 386219924 /nfs/dbraw/zinc/21/99/24/386219924.db2.gz IRZODIKOUZLQIB-AWEZNQCLSA-N 0 3 239.334 2.712 20 0 BFADHN FC(F)C1CCN(CCOC2CCC2)CC1 ZINC000347026262 386219947 /nfs/dbraw/zinc/21/99/47/386219947.db2.gz CHDDGUFTLRGXRY-UHFFFAOYSA-N 0 3 233.302 2.533 20 0 BFADHN CC[C@@H](C)C(=O)Nc1ccccc1CCN(C)C ZINC000275594926 386224513 /nfs/dbraw/zinc/22/45/13/386224513.db2.gz GCBXDQRNBBVGEB-GFCCVEGCSA-N 0 3 248.370 2.775 20 0 BFADHN C[C@H]1Oc2ccccc2[C@H]1N[C@@H]1CCO[C@H](C)C1 ZINC000288664343 386225522 /nfs/dbraw/zinc/22/55/22/386225522.db2.gz WAFUZDIRHKZWHL-BLTAXRJOSA-N 0 3 247.338 2.666 20 0 BFADHN Cc1c[nH]nc1CN([C@H](C)C(C)C)C1CC1 ZINC000340560707 386227735 /nfs/dbraw/zinc/22/77/35/386227735.db2.gz HWFCEQIETGBCCW-LLVKDONJSA-N 0 3 221.348 2.727 20 0 BFADHN CCOCCN1CC[C@@H](c2ccccc2F)C1 ZINC000264360154 386228772 /nfs/dbraw/zinc/22/87/72/386228772.db2.gz FECCAPVMUKLKKG-GFCCVEGCSA-N 0 3 237.318 2.652 20 0 BFADHN OCC[C@@H](N[C@@H](C1CC1)C1CCC1)c1ccco1 ZINC000453202730 386230232 /nfs/dbraw/zinc/23/02/32/386230232.db2.gz UGYYSCWLMCULKR-UKRRQHHQSA-N 0 3 249.354 2.871 20 0 BFADHN CSCCN1CC[C@H](c2ccccc2F)C1 ZINC000264381906 386231088 /nfs/dbraw/zinc/23/10/88/386231088.db2.gz JSXCLYCDWXIXOV-NSHDSACASA-N 0 3 239.359 2.978 20 0 BFADHN CCC[C@]1(CO)CCN([C@H](C)c2ccccn2)C1 ZINC000288784312 386231151 /nfs/dbraw/zinc/23/11/51/386231151.db2.gz KQBODSIMHKBVRA-HIFRSBDPSA-N 0 3 248.370 2.627 20 0 BFADHN COc1ccncc1CN(C)[C@@H]1CC[C@H](C)C1 ZINC000347115562 386231843 /nfs/dbraw/zinc/23/18/43/386231843.db2.gz AOOGRFLMDCFBTG-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN CCN(CC)Cc1cccc(C(C)=O)c1 ZINC000140554555 386233759 /nfs/dbraw/zinc/23/37/59/386233759.db2.gz DGSLXOVEZNINNN-UHFFFAOYSA-N 0 3 205.301 2.731 20 0 BFADHN Fc1ccc(CN2CCC[C@H](C3CC3)C2)nc1 ZINC000288793822 386233840 /nfs/dbraw/zinc/23/38/40/386233840.db2.gz CNZJZYVYLMCZHW-LBPRGKRZSA-N 0 3 234.318 2.843 20 0 BFADHN CC[C@H](O)CN1CCC[C@H]1c1cccc(F)c1 ZINC000264412205 386234229 /nfs/dbraw/zinc/23/42/29/386234229.db2.gz GMLBSEMVVIBYCB-KBPBESRZSA-N 0 3 237.318 2.734 20 0 BFADHN CC[C@@H](O)CN1CCc2sccc2[C@@H]1CC ZINC000264442911 386236600 /nfs/dbraw/zinc/23/66/00/386236600.db2.gz MQPOLARUXZGVJZ-PWSUYJOCSA-N 0 3 239.384 2.828 20 0 BFADHN CCC[C@H](C)N(C)Cc1cc(OC)ccn1 ZINC000264438075 386237312 /nfs/dbraw/zinc/23/73/12/386237312.db2.gz PYZYHLMURLXXOA-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN CCC1(CC)CN(Cc2c[nH]c3nccnc23)C1 ZINC000297296866 386240824 /nfs/dbraw/zinc/24/08/24/386240824.db2.gz AYBDASAUXZXVET-UHFFFAOYSA-N 0 3 244.342 2.580 20 0 BFADHN Cc1ccc(CN(C)CC2(C)COC2)s1 ZINC000336643736 386242305 /nfs/dbraw/zinc/24/23/05/386242305.db2.gz DKXWCFGSXFVPJF-UHFFFAOYSA-N 0 3 225.357 2.525 20 0 BFADHN CCCCN(Cc1cnc(C)nc1)C1CC1 ZINC000339093495 386245121 /nfs/dbraw/zinc/24/51/21/386245121.db2.gz KKXJIGUJXZZQIM-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN Cc1ccsc1CN1CC[C@@H](O)C(C)(C)C1 ZINC000275843056 386247409 /nfs/dbraw/zinc/24/74/09/386247409.db2.gz HBWOYOACJWUAOE-GFCCVEGCSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@H](NC1CC1)c1cc(F)c(F)c(F)c1 ZINC000132696898 386248303 /nfs/dbraw/zinc/24/83/03/386248303.db2.gz FKRLRWLIFYSOSV-LURJTMIESA-N 0 3 215.218 2.917 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CCCSC2)s1 ZINC000125401883 386251624 /nfs/dbraw/zinc/25/16/24/386251624.db2.gz YZZJQPFMFYGCLD-UWVGGRQHSA-N 0 3 242.413 2.998 20 0 BFADHN CC[C@H](O)CN(C)C/C(C)=C/c1ccccc1 ZINC000339126555 386252564 /nfs/dbraw/zinc/25/25/64/386252564.db2.gz REAXJEYDJXKUNX-VOMSXAGXSA-N 0 3 233.355 2.793 20 0 BFADHN CCN(Cc1c(C)noc1C)C1CCC1 ZINC000336646853 386253803 /nfs/dbraw/zinc/25/38/03/386253803.db2.gz LEVLAWSIHOZYHA-UHFFFAOYSA-N 0 3 208.305 2.666 20 0 BFADHN C[C@H](O)CN[C@@H]1C[C@@H](C)Sc2sccc21 ZINC000336647356 386258629 /nfs/dbraw/zinc/25/86/29/386258629.db2.gz MGFZKEJZAJEXRK-QXFUBDJGSA-N 0 3 243.397 2.644 20 0 BFADHN Cc1ccc(CN[C@H](Cn2cccn2)C(C)C)o1 ZINC000310755536 386282515 /nfs/dbraw/zinc/28/25/15/386282515.db2.gz JPXVUNUWAPPTFW-CQSZACIVSA-N 0 3 247.342 2.599 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@H](C)[C@H]2C)o1 ZINC000336663540 386285822 /nfs/dbraw/zinc/28/58/22/386285822.db2.gz URPJRYBMEZAWAA-JFGNBEQYSA-N 0 3 222.332 2.849 20 0 BFADHN CCCCN1CCC=C(c2cccnc2)C1 ZINC000289359164 386290556 /nfs/dbraw/zinc/29/05/56/386290556.db2.gz NZNMHFIZIMJMOE-UHFFFAOYSA-N 0 3 216.328 2.971 20 0 BFADHN c1c[nH]c(CNC[C@@H]2CCCc3ccccc32)n1 ZINC000236611363 386292171 /nfs/dbraw/zinc/29/21/71/386292171.db2.gz ZAFBIDAEPPDQOL-ZDUSSCGKSA-N 0 3 241.338 2.619 20 0 BFADHN CCC[C@H](C)NC(=O)[C@H](C)N1CCCCCC1 ZINC000125688991 386293523 /nfs/dbraw/zinc/29/35/23/386293523.db2.gz MFGVCODBEMTIAU-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN CCc1ncc(CN2C[C@H](C)C[C@H](C)C2)cn1 ZINC000335263841 386294196 /nfs/dbraw/zinc/29/41/96/386294196.db2.gz WTIWSMIKJSCMHU-TXEJJXNPSA-N 0 3 233.359 2.517 20 0 BFADHN Cc1occc1CN1CCSCC[C@@H]1C ZINC000335264299 386295095 /nfs/dbraw/zinc/29/50/95/386295095.db2.gz ILZMUFGURTULRT-JTQLQIEISA-N 0 3 225.357 2.915 20 0 BFADHN C[C@@H](N)c1nc(CC[C@H]2CCCCO2)cs1 ZINC000416404561 386295451 /nfs/dbraw/zinc/29/54/51/386295451.db2.gz WXNIRMOWILRHLW-MWLCHTKSSA-N 0 3 240.372 2.665 20 0 BFADHN CC(C)CO[C@H]1CCN(CCSC(C)C)C1 ZINC000336668099 386296085 /nfs/dbraw/zinc/29/60/85/386296085.db2.gz QAWAAVCZXMQBPI-ZDUSSCGKSA-N 0 3 245.432 2.875 20 0 BFADHN CCc1ncc(CN2CCCC23CCC3)cn1 ZINC000335264877 386297029 /nfs/dbraw/zinc/29/70/29/386297029.db2.gz NBXSZPBJOSEOST-UHFFFAOYSA-N 0 3 231.343 2.558 20 0 BFADHN C[C@@]1(CO)CCC[C@@H]1NCc1ccc(Cl)o1 ZINC000164789687 386297514 /nfs/dbraw/zinc/29/75/14/386297514.db2.gz ICONJSGBZQMZKA-JQWIXIFHSA-N 0 3 243.734 2.574 20 0 BFADHN CCc1ncc(CN2CC3(CCC3)C[C@@H]2C)cn1 ZINC000335265613 386299951 /nfs/dbraw/zinc/29/99/51/386299951.db2.gz UCHZXLABIGOUDO-LBPRGKRZSA-N 0 3 245.370 2.804 20 0 BFADHN CC(C)[C@H]1CCN(Cc2ccc(F)cn2)C1 ZINC000335265842 386303259 /nfs/dbraw/zinc/30/32/59/386303259.db2.gz PPEZYUQNLMQNGI-NSHDSACASA-N 0 3 222.307 2.699 20 0 BFADHN CC(C)(O)CNCc1ccc(-c2ccccc2)o1 ZINC000236709755 386303684 /nfs/dbraw/zinc/30/36/84/386303684.db2.gz FTQVXIHBRNSRET-UHFFFAOYSA-N 0 3 245.322 2.807 20 0 BFADHN CC(C)C(C)(C)CNCc1cn2cccnc2n1 ZINC000298155199 386304314 /nfs/dbraw/zinc/30/43/14/386304314.db2.gz RXHLYPKEHZHWLJ-UHFFFAOYSA-N 0 3 246.358 2.501 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1ccc(F)cc1Cl ZINC000164797482 386305677 /nfs/dbraw/zinc/30/56/77/386305677.db2.gz LMHVZASQWLHDJV-IUCAKERBSA-N 0 3 245.725 2.728 20 0 BFADHN CCOC[C@H]1CCCN([C@@H](C)c2cccnc2)C1 ZINC000265104335 386307476 /nfs/dbraw/zinc/30/74/76/386307476.db2.gz YORJERFHFNSYJL-KBPBESRZSA-N 0 3 248.370 2.891 20 0 BFADHN NC(=O)[C@@H]1CCCN1C[C@H]1CCCC(F)(F)C1 ZINC000334482424 386310772 /nfs/dbraw/zinc/31/07/72/386310772.db2.gz WTQPUVDUPRTTOL-UWVGGRQHSA-N 0 3 246.301 2.812 20 0 BFADHN Fc1ccc(CN2CCC[C@H]3CCC[C@H]32)nc1 ZINC000276377419 386311722 /nfs/dbraw/zinc/31/17/22/386311722.db2.gz PPWZFFSIJWNUBS-BXUZGUMPSA-N 0 3 234.318 2.985 20 0 BFADHN CC(C)N(CCOCC(F)F)Cc1ccco1 ZINC000276388276 386313434 /nfs/dbraw/zinc/31/34/34/386313434.db2.gz RMTSUHQZDRGWEV-UHFFFAOYSA-N 0 3 247.285 2.772 20 0 BFADHN CCc1cc(N2CCC(C)(OC)CC2)ccn1 ZINC000336203516 386316715 /nfs/dbraw/zinc/31/67/15/386316715.db2.gz AKSUJCJFARKIKV-UHFFFAOYSA-N 0 3 234.343 2.649 20 0 BFADHN CN(C)Cc1cccc(NC(=O)CCC2CC2)c1 ZINC000265215690 386317692 /nfs/dbraw/zinc/31/76/92/386317692.db2.gz RJXYRQMOCVNGOD-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN c1cn(CCN2CCC[C@@H]3CCCC[C@@H]32)cn1 ZINC000181071768 386318392 /nfs/dbraw/zinc/31/83/92/386318392.db2.gz XUGFDIVDNGSMOG-KBPBESRZSA-N 0 3 233.359 2.538 20 0 BFADHN COc1ccncc1CN1CCC[C@@H](C2CC2)C1 ZINC000289522974 386322832 /nfs/dbraw/zinc/32/28/32/386322832.db2.gz VRBQVVZHMZEWSQ-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN c1onc(Cc2ccccc2)c1CNC1CCC1 ZINC000339247805 386323408 /nfs/dbraw/zinc/32/34/08/386323408.db2.gz XDECTGKBQFOCQV-UHFFFAOYSA-N 0 3 242.322 2.908 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1nccc2ccccc21 ZINC000289527612 386323780 /nfs/dbraw/zinc/32/37/80/386323780.db2.gz ABVILVJJXCRVFK-NEPJUHHUSA-N 0 3 244.338 2.920 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1n[nH]c(C(C)C)n1 ZINC000428237582 386270582 /nfs/dbraw/zinc/27/05/82/386270582.db2.gz KZZZEXWFBRIVSO-LLVKDONJSA-N 0 3 238.379 2.796 20 0 BFADHN Cc1cncc(CN2CCC(C)(C)C2)c1 ZINC000276107790 386272643 /nfs/dbraw/zinc/27/26/43/386272643.db2.gz WWQYGYSAAPWYRO-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1ccc([C@H]2C[C@@H](C)CCN2C[C@H](C)O)o1 ZINC000297739021 386276343 /nfs/dbraw/zinc/27/63/43/386276343.db2.gz KGQHXRCLZWSCQP-GMXVVIOVSA-N 0 3 237.343 2.742 20 0 BFADHN CC1(C)CCC(CN2CCc3nc[nH]c3C2)CC1 ZINC000647933020 386277740 /nfs/dbraw/zinc/27/77/40/386277740.db2.gz YQOZGKJEDFWOTC-UHFFFAOYSA-N 0 3 247.386 2.984 20 0 BFADHN COC[C@@H]1CCCN([C@@H](C)c2cccnc2)C1 ZINC000264877533 386278231 /nfs/dbraw/zinc/27/82/31/386278231.db2.gz CBQSOBNJCFUROV-QWHCGFSZSA-N 0 3 234.343 2.501 20 0 BFADHN CCOc1cc(CN(CC)C2CC2)ccc1O ZINC000297770686 386279061 /nfs/dbraw/zinc/27/90/61/386279061.db2.gz METSYBGHCRVNPB-UHFFFAOYSA-N 0 3 235.327 2.775 20 0 BFADHN CC[C@H]1CC[C@H](N[C@@H]2CCn3ccnc32)CC1 ZINC000453250569 386279564 /nfs/dbraw/zinc/27/95/64/386279564.db2.gz ZSGZETHRKMLSGP-JHJVBQTASA-N 0 3 233.359 2.886 20 0 BFADHN FC1(c2ccccn2)CCN(CC2CC2)CC1 ZINC000289282033 386279888 /nfs/dbraw/zinc/27/98/88/386279888.db2.gz GGBZMASKIWHCQY-UHFFFAOYSA-N 0 3 234.318 2.752 20 0 BFADHN O[C@@H](CNCc1ccc(Cl)s1)C1CCC1 ZINC000315695194 259686931 /nfs/dbraw/zinc/68/69/31/259686931.db2.gz FFIOPURCPDTUJP-JTQLQIEISA-N 0 3 245.775 2.652 20 0 BFADHN COC(=O)CCN[C@@H](C)c1cccc(C2CC2)c1 ZINC000298414126 386339898 /nfs/dbraw/zinc/33/98/98/386339898.db2.gz PEXTVTIBKHKGRL-NSHDSACASA-N 0 3 247.338 2.778 20 0 BFADHN Cc1noc([C@H]2CCCN2C[C@@H]2CC=CCC2)n1 ZINC000289749941 386350489 /nfs/dbraw/zinc/35/04/89/386350489.db2.gz JDMYCMJMQCXVJG-CHWSQXEVSA-N 0 3 247.342 2.871 20 0 BFADHN CCCC(O)(CCC)CNCc1ccccn1 ZINC000265660652 386357413 /nfs/dbraw/zinc/35/74/13/386357413.db2.gz RPKBHOFNUGAAQV-UHFFFAOYSA-N 0 3 236.359 2.503 20 0 BFADHN CCc1ncc(CN(C)[C@@H](C)C2(C)CC2)cn1 ZINC000414416706 386357699 /nfs/dbraw/zinc/35/76/99/386357699.db2.gz WFQLRSQLAXYWGB-NSHDSACASA-N 0 3 233.359 2.659 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1ccncc1F)OC ZINC000453290197 386358846 /nfs/dbraw/zinc/35/88/46/386358846.db2.gz AOZBXXVULYWHBX-MFKMUULPSA-N 0 3 240.322 2.686 20 0 BFADHN COC(C)(C)CCN(C)Cc1ccoc1 ZINC000336690663 386360098 /nfs/dbraw/zinc/36/00/98/386360098.db2.gz IHXGPZCJLLKKKX-UHFFFAOYSA-N 0 3 211.305 2.527 20 0 BFADHN CCC[C@@H]1CCCN(Cc2ccc(C)nn2)C1 ZINC000414417514 386363239 /nfs/dbraw/zinc/36/32/39/386363239.db2.gz YAWLCXBNRCWMAR-CYBMUJFWSA-N 0 3 233.359 2.797 20 0 BFADHN COC(C)(C)CCN(C)[C@@H](C)c1cccnc1 ZINC000336700107 386387011 /nfs/dbraw/zinc/38/70/11/386387011.db2.gz ZQECMHRASRLUEH-LBPRGKRZSA-N 0 3 236.359 2.890 20 0 BFADHN COC[C@H]1CCN(Cc2ccccc2Cl)C1 ZINC000265757034 386366992 /nfs/dbraw/zinc/36/69/92/386366992.db2.gz GKPMNOIMWLSONG-NSHDSACASA-N 0 3 239.746 2.808 20 0 BFADHN CCC(O)(CC)CCN[C@@H](C)c1ccccn1 ZINC000276716474 386367348 /nfs/dbraw/zinc/36/73/48/386367348.db2.gz WCQWYZUNEUXCJL-LBPRGKRZSA-N 0 3 236.359 2.673 20 0 BFADHN CCCCN(CCOC)Cc1cccc(C)n1 ZINC000347365194 386367661 /nfs/dbraw/zinc/36/76/61/386367661.db2.gz RPRZSHLNMUXKOY-UHFFFAOYSA-N 0 3 236.359 2.639 20 0 BFADHN C[C@](CO)(NCc1ccco1)C1CCCCC1 ZINC000265798477 386370323 /nfs/dbraw/zinc/37/03/23/386370323.db2.gz SFJBHADVFIHPMF-CQSZACIVSA-N 0 3 237.343 2.701 20 0 BFADHN CCN(CCn1cc(C)cn1)Cc1cccs1 ZINC000336695330 386374577 /nfs/dbraw/zinc/37/45/77/386374577.db2.gz IOJBCRFGDBERBG-UHFFFAOYSA-N 0 3 249.383 2.775 20 0 BFADHN CCN(CCC(C)(C)OC)Cc1ccccn1 ZINC000336695734 386374955 /nfs/dbraw/zinc/37/49/55/386374955.db2.gz ONVAXJBTSYSWNX-UHFFFAOYSA-N 0 3 236.359 2.719 20 0 BFADHN C[C@](O)(CNCc1ccccc1)c1cccs1 ZINC000265827438 386376999 /nfs/dbraw/zinc/37/69/99/386376999.db2.gz ZMACZAUZSNKPQK-AWEZNQCLSA-N 0 3 247.363 2.745 20 0 BFADHN Cc1ccc(CN(C)CC[C@H]2CCCCO2)o1 ZINC000347400397 386387379 /nfs/dbraw/zinc/38/73/79/386387379.db2.gz OTBYPBHQXUJEFE-CYBMUJFWSA-N 0 3 237.343 2.979 20 0 BFADHN CO[C@@H]1CCC[C@@H]1CN[C@@H](C)c1ccco1 ZINC000336732244 386377880 /nfs/dbraw/zinc/37/78/80/386377880.db2.gz JHLRZLNCBHRXOY-DMDPSCGWSA-N 0 3 223.316 2.745 20 0 BFADHN C[C@]1(O)CCCN(Cc2ccc3occc3c2)C1 ZINC000289984406 386378928 /nfs/dbraw/zinc/37/89/28/386378928.db2.gz JWVBOIRUYQBZCB-HNNXBMFYSA-N 0 3 245.322 2.780 20 0 BFADHN CN(CCCc1ccccc1)Cc1ccno1 ZINC000298745755 386379304 /nfs/dbraw/zinc/37/93/04/386379304.db2.gz LHRAYTAWPLZIDR-UHFFFAOYSA-N 0 3 230.311 2.739 20 0 BFADHN C[C@@H](CO)CNCc1ccc(-c2ccccc2)o1 ZINC000237450934 386379346 /nfs/dbraw/zinc/37/93/46/386379346.db2.gz ZZMFWCWXFQBCAE-GFCCVEGCSA-N 0 3 245.322 2.665 20 0 BFADHN CN(CC[C@H]1CCCCO1)Cc1ccoc1 ZINC000347390079 386379854 /nfs/dbraw/zinc/37/98/54/386379854.db2.gz VHNWWQCMLQCHBC-CYBMUJFWSA-N 0 3 223.316 2.671 20 0 BFADHN COC(C)(C)CCN(C)Cc1cccc(C)n1 ZINC000336698242 386380247 /nfs/dbraw/zinc/38/02/47/386380247.db2.gz VCRKCRGQMOWBIE-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN CCc1nocc1CN[C@H](C)[C@@H](C)CC ZINC000647970476 386380853 /nfs/dbraw/zinc/38/08/53/386380853.db2.gz RJLUWWZXFKTRFV-VHSXEESVSA-N 0 3 210.321 2.761 20 0 BFADHN CN(CC[C@@H]1CCCCO1)Cc1ccco1 ZINC000347397905 386384908 /nfs/dbraw/zinc/38/49/08/386384908.db2.gz ZUTRPXIQEYCOGQ-LBPRGKRZSA-N 0 3 223.316 2.671 20 0 BFADHN Cc1cc(CNC[C@@H]2C[C@H]2C2CC2)sn1 ZINC000639240110 386384927 /nfs/dbraw/zinc/38/49/27/386384927.db2.gz KQNSLCIDABUMOM-JQWIXIFHSA-N 0 3 222.357 2.587 20 0 BFADHN CN(CCC1CC1)Cc1cc(Cl)cn1C ZINC000336698792 386385458 /nfs/dbraw/zinc/38/54/58/386385458.db2.gz SXAXMWATQWGBRD-UHFFFAOYSA-N 0 3 226.751 2.910 20 0 BFADHN CCCCCNC(=O)[C@H](C)N(C)CC(C)(C)C ZINC000339251762 386325837 /nfs/dbraw/zinc/32/58/37/386325837.db2.gz NKXSLJPVWWHZMV-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@]1(F)CCN(CCCOc2ccccc2)C1 ZINC000347334602 386332081 /nfs/dbraw/zinc/33/20/81/386332081.db2.gz BJXCTCXDOBNBNC-AWEZNQCLSA-N 0 3 237.318 2.889 20 0 BFADHN CN(C)c1cccnc1CN[C@@H]1CCC12CCC2 ZINC000289589780 386333124 /nfs/dbraw/zinc/33/31/24/386333124.db2.gz BYJQAYVDYVFDBA-CQSZACIVSA-N 0 3 245.370 2.570 20 0 BFADHN C[C@@H](NCc1ccccc1)c1cc[nH]c(=O)c1 ZINC000339457040 386438551 /nfs/dbraw/zinc/43/85/51/386438551.db2.gz BNDKNGKLLVHBES-LLVKDONJSA-N 0 3 228.295 2.638 20 0 BFADHN Cc1cccc(CN(C)CC[C@@H]2CCCCO2)n1 ZINC000347489153 386440558 /nfs/dbraw/zinc/44/05/58/386440558.db2.gz SYZRUHKSIJZZJF-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN C[C@@H](NC1CCC2(CC2)CC1)c1cnccn1 ZINC000277528079 386444545 /nfs/dbraw/zinc/44/45/45/386444545.db2.gz OQZIBQRUROHQNI-LLVKDONJSA-N 0 3 231.343 2.850 20 0 BFADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1cncs1 ZINC000336754260 386445228 /nfs/dbraw/zinc/44/52/28/386445228.db2.gz WWDHBBGRNVCRMC-VHSXEESVSA-N 0 3 240.372 2.751 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc(F)c(Cl)c1 ZINC000277565133 386445742 /nfs/dbraw/zinc/44/57/42/386445742.db2.gz UPPHKAPJUIIGAX-SECBINFHSA-N 0 3 245.725 2.946 20 0 BFADHN COC[C@@H](C)N1CC[C@@H](c2ccccc2F)C1 ZINC000266528314 386446614 /nfs/dbraw/zinc/44/66/14/386446614.db2.gz KYYNMPIYNQPQMM-VXGBXAGGSA-N 0 3 237.318 2.650 20 0 BFADHN CC(C)[C@@H](Cn1cccn1)NCc1ccsc1 ZINC000284250769 386446930 /nfs/dbraw/zinc/44/69/30/386446930.db2.gz VOSRXRNMCLQVGT-CYBMUJFWSA-N 0 3 249.383 2.759 20 0 BFADHN Cc1cc(CN2CC[C@@H](C(C)(C)C)C2)nn1C ZINC000336719059 386448518 /nfs/dbraw/zinc/44/85/18/386448518.db2.gz SESCGHJOQKYYGU-GFCCVEGCSA-N 0 3 235.375 2.597 20 0 BFADHN CCC1(CNCc2sccc2Cl)COC1 ZINC000393967744 386448587 /nfs/dbraw/zinc/44/85/87/386448587.db2.gz AHMMECZBZQEGSC-UHFFFAOYSA-N 0 3 245.775 2.918 20 0 BFADHN COc1cc([C@@H](C)NCCC(F)(F)F)ccn1 ZINC000347556489 386450135 /nfs/dbraw/zinc/45/01/35/386450135.db2.gz ILZTYWGCUQUSQV-MRVPVSSYSA-N 0 3 248.248 2.693 20 0 BFADHN CN(Cc1ccccn1)CC1CCCC1 ZINC000299408460 386450224 /nfs/dbraw/zinc/45/02/24/386450224.db2.gz PGTKPDUPOXRNTO-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN C[C@H](O)CCN(C)Cc1ccc2occc2c1 ZINC000290041989 386387964 /nfs/dbraw/zinc/38/79/64/386387964.db2.gz OBWIRPFTLGUJDT-NSHDSACASA-N 0 3 233.311 2.636 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1ccc(Cl)cn1 ZINC000336775472 386388509 /nfs/dbraw/zinc/38/85/09/386388509.db2.gz ZCYAMQQSBPBLCU-VIFPVBQESA-N 0 3 242.750 2.811 20 0 BFADHN c1cc(CN2CC[C@@H](Cc3ccccc3)C2)on1 ZINC000265988276 386388718 /nfs/dbraw/zinc/38/87/18/386388718.db2.gz IEHOGNPLFNFTNI-AWEZNQCLSA-N 0 3 242.322 2.739 20 0 BFADHN C[C@H](Cc1ccccc1)CN1C[C@H](C)OC[C@H]1C ZINC000347354907 386391222 /nfs/dbraw/zinc/39/12/22/386391222.db2.gz QCZURENFFQFSHU-KFWWJZLASA-N 0 3 247.382 2.974 20 0 BFADHN C[C@H](Cc1ccccc1)CN1C[C@@H](C)OC[C@@H]1C ZINC000347354912 386392520 /nfs/dbraw/zinc/39/25/20/386392520.db2.gz QCZURENFFQFSHU-QLFBSQMISA-N 0 3 247.382 2.974 20 0 BFADHN CCCCN(Cc1cnc(C)cn1)C1CC1 ZINC000336704188 386393264 /nfs/dbraw/zinc/39/32/64/386393264.db2.gz POADDGSHXYLBQX-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN Cc1cncc(CN2CCS[C@H](C)CC2)c1 ZINC000276936668 386395095 /nfs/dbraw/zinc/39/50/95/386395095.db2.gz NBDFMVVFDYLMPZ-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN CCOC1CC(N(C)CCOCCC(C)C)C1 ZINC000347426288 386397563 /nfs/dbraw/zinc/39/75/63/386397563.db2.gz PJYRJBFQFOSRTK-UHFFFAOYSA-N 0 3 243.391 2.548 20 0 BFADHN CC[C@H]1CCCCN1Cc1cncc(OC)c1 ZINC000290152829 386398144 /nfs/dbraw/zinc/39/81/44/386398144.db2.gz CTKTXYXZRLEPJB-ZDUSSCGKSA-N 0 3 234.343 2.855 20 0 BFADHN CSC1(CN2CCCc3occc3C2)CC1 ZINC000290128242 386398164 /nfs/dbraw/zinc/39/81/64/386398164.db2.gz JLZMWHDNWYSZRD-UHFFFAOYSA-N 0 3 237.368 2.923 20 0 BFADHN CCCC(C)(C)NCc1cc(C(=O)OC)co1 ZINC000134263880 386403835 /nfs/dbraw/zinc/40/38/35/386403835.db2.gz HZRWHRKSLFJWKQ-UHFFFAOYSA-N 0 3 239.315 2.735 20 0 BFADHN Cc1ccoc1CN[C@@H](C)Cc1c(C)noc1C ZINC000266154157 386404767 /nfs/dbraw/zinc/40/47/67/386404767.db2.gz LIBDHDCGJZMATP-JTQLQIEISA-N 0 3 248.326 2.914 20 0 BFADHN CCCCN(C)Cc1cccc(C(=O)N(C)C)c1 ZINC000299072392 386409335 /nfs/dbraw/zinc/40/93/35/386409335.db2.gz PXLIVIQMUYFENF-UHFFFAOYSA-N 0 3 248.370 2.620 20 0 BFADHN Cc1ccc([C@H](O)CNCc2cccs2)cc1 ZINC000237791619 386410928 /nfs/dbraw/zinc/41/09/28/386410928.db2.gz GPCZRACOVSLSOQ-CQSZACIVSA-N 0 3 247.363 2.880 20 0 BFADHN Cc1ccoc1CNC[C@@H](C)Cn1ccnc1C ZINC000266236418 386417689 /nfs/dbraw/zinc/41/76/89/386417689.db2.gz VUMICMYQUVWXPI-LLVKDONJSA-N 0 3 247.342 2.519 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cnc(C)cn1 ZINC000336708653 386418413 /nfs/dbraw/zinc/41/84/13/386418413.db2.gz IRIUHHCGGIAWDU-CQSZACIVSA-N 0 3 233.359 2.940 20 0 BFADHN O[C@@H]1CC[C@@H]2CN([C@@H]3C=CCCC3)CC[C@H]2C1 ZINC000451710049 386419191 /nfs/dbraw/zinc/41/91/91/386419191.db2.gz PCSBCJNRMPPESM-GBJTYRQASA-N 0 3 235.371 2.578 20 0 BFADHN C[C@H](O)CCCN1C[C@H](C)[C@@H]1c1ccccc1 ZINC000336709195 386419733 /nfs/dbraw/zinc/41/97/33/386419733.db2.gz MKMHWVRQZXNREY-KCQAQPDRSA-N 0 3 233.355 2.840 20 0 BFADHN CO[C@H](C)CN(Cc1c(C)noc1C)C(C)C ZINC000336709625 386421031 /nfs/dbraw/zinc/42/10/31/386421031.db2.gz KCBIEDLIYUTHGY-SNVBAGLBSA-N 0 3 240.347 2.537 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1cncs1 ZINC000290359841 386423713 /nfs/dbraw/zinc/42/37/13/386423713.db2.gz GUSLMFGZQNJLPB-VIFPVBQESA-N 0 3 230.402 2.717 20 0 BFADHN C[C@@H](N[C@H]1CSC1(C)C)c1cncs1 ZINC000283161602 386425241 /nfs/dbraw/zinc/42/52/41/386425241.db2.gz IQAGNNPPDZYRJV-APPZFPTMSA-N 0 3 228.386 2.688 20 0 BFADHN CC(C)n1cc(CNCCC(F)(F)F)cn1 ZINC000164948589 386427843 /nfs/dbraw/zinc/42/78/43/386427843.db2.gz OXONXJZDHYBWHF-UHFFFAOYSA-N 0 3 235.253 2.506 20 0 BFADHN Cc1cn[nH]c1CN1CCCc2ccccc2C1 ZINC000290393061 386429416 /nfs/dbraw/zinc/42/94/16/386429416.db2.gz JQWWKVRKDXFWBH-UHFFFAOYSA-N 0 3 241.338 2.667 20 0 BFADHN CC1(C)CCCN(Cc2c[nH]c3nccnc23)C1 ZINC000290398127 386429911 /nfs/dbraw/zinc/42/99/11/386429911.db2.gz KEFAARGQHCOIOU-UHFFFAOYSA-N 0 3 244.342 2.580 20 0 BFADHN Cc1cnc(C2CCN(CCCF)CC2)s1 ZINC000277382981 386430779 /nfs/dbraw/zinc/43/07/79/386430779.db2.gz JVDLKGBPANCAEC-UHFFFAOYSA-N 0 3 242.363 2.991 20 0 BFADHN C[C@@H]1c2ccccc2CN1CC1(O)CCCC1 ZINC000336714450 386433332 /nfs/dbraw/zinc/43/33/32/386433332.db2.gz RPTARKPVISOZRG-GFCCVEGCSA-N 0 3 231.339 2.868 20 0 BFADHN CCc1ncc(CN2CC[C@H](C(C)(C)C)C2)cn1 ZINC000414432236 386434348 /nfs/dbraw/zinc/43/43/48/386434348.db2.gz MFTQEEMFCBYLBZ-ZDUSSCGKSA-N 0 3 247.386 2.907 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@@H]1C)c1cnccn1 ZINC000226964172 386435438 /nfs/dbraw/zinc/43/54/38/386435438.db2.gz FOMBRPWPKLHBSZ-MROQNXINSA-N 0 3 233.359 2.952 20 0 BFADHN CC(C)=CCN1CCC(c2ncc[nH]2)CC1 ZINC000266774612 386466866 /nfs/dbraw/zinc/46/68/66/386466866.db2.gz SYNCMSQXMATGMM-UHFFFAOYSA-N 0 3 219.332 2.555 20 0 BFADHN CCOC[C@@H]1CCC[C@@H]1NCc1ccco1 ZINC000336778483 386468317 /nfs/dbraw/zinc/46/83/17/386468317.db2.gz LMBUWUYHDXXQBX-AAEUAGOBSA-N 0 3 223.316 2.574 20 0 BFADHN CCOc1ccccc1OCCN1C[C@@H](C)[C@@H]1C ZINC000339568533 386468366 /nfs/dbraw/zinc/46/83/66/386468366.db2.gz XZNSAKMEIVWUOQ-OLZOCXBDSA-N 0 3 249.354 2.804 20 0 BFADHN Cc1ccc(F)cc1CN1C[C@@H](C)[C@@H]1C ZINC000339570820 386470399 /nfs/dbraw/zinc/47/03/99/386470399.db2.gz XZCFCXHOLYSMBV-MNOVXSKESA-N 0 3 207.292 2.974 20 0 BFADHN Cc1cnc(CNC[C@H]2CCCC2(C)C)n1C ZINC000339578003 386471851 /nfs/dbraw/zinc/47/18/51/386471851.db2.gz SQCGNCVTUGWJMD-GFCCVEGCSA-N 0 3 235.375 2.644 20 0 BFADHN CCC[C@@](C)(O)CNCc1cc(C)ccc1F ZINC000227288188 386474426 /nfs/dbraw/zinc/47/44/26/386474426.db2.gz PRKMZIOXVKCVOY-CQSZACIVSA-N 0 3 239.334 2.775 20 0 BFADHN C[C@H]1[C@@H](C(F)(F)F)CCN1CC1=CCCOC1 ZINC000335425728 386477840 /nfs/dbraw/zinc/47/78/40/386477840.db2.gz VOCMKFGOPLSFBP-ONGXEEELSA-N 0 3 249.276 2.606 20 0 BFADHN Cc1occc1CN1CC(C)=C[C@H](C)C1 ZINC000414443888 386486109 /nfs/dbraw/zinc/48/61/09/386486109.db2.gz JPYHNEBHAVNGJS-JTQLQIEISA-N 0 3 205.301 2.986 20 0 BFADHN C[C@@H](NC[C@H]1CC12CC2)c1ccncc1F ZINC000417346826 386487478 /nfs/dbraw/zinc/48/74/78/386487478.db2.gz ZWIWAXQFISJQRA-NXEZZACHSA-N 0 3 220.291 2.671 20 0 BFADHN CCc1ccc(CN2CC[C@@H](O)CC23CCC3)o1 ZINC000335432753 386488125 /nfs/dbraw/zinc/48/81/25/386488125.db2.gz FQDANYYGRPIZQF-GFCCVEGCSA-N 0 3 249.354 2.721 20 0 BFADHN C[C@H](NC[C@H]1CC12CC2)c1ccncc1F ZINC000417346828 386488301 /nfs/dbraw/zinc/48/83/01/386488301.db2.gz ZWIWAXQFISJQRA-VHSXEESVSA-N 0 3 220.291 2.671 20 0 BFADHN Cc1cnn([C@@H]2CCN(Cc3ccsc3)C2)c1 ZINC000290929829 386490128 /nfs/dbraw/zinc/49/01/28/386490128.db2.gz OVYRXRPSBUHMCY-CYBMUJFWSA-N 0 3 247.367 2.700 20 0 BFADHN C[C@H](N[C@H]1C[C@@](C)(O)C1)c1cc2ccccc2o1 ZINC000417351523 386491228 /nfs/dbraw/zinc/49/12/28/386491228.db2.gz CXPLJXWEGMORKX-ITDIGPHOSA-N 0 3 245.322 2.997 20 0 BFADHN Cc1ccc(C)c(CN2C[C@H](C)N(C)[C@@H](C)C2)c1 ZINC000339622971 386491333 /nfs/dbraw/zinc/49/13/33/386491333.db2.gz KFMINJRCEUANGU-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1cccc([C@@H](C)N[C@H]2C[C@@](C)(O)C2)c1 ZINC000417354725 386492509 /nfs/dbraw/zinc/49/25/09/386492509.db2.gz MKSBSDXXLHOKAH-BNOWGMLFSA-N 0 3 219.328 2.559 20 0 BFADHN C[C@H](N[C@H]1C[C@@](C)(O)C1)c1cc(F)cc(F)c1 ZINC000417357305 386493932 /nfs/dbraw/zinc/49/39/32/386493932.db2.gz RDNJSEBSLIMBHL-AQUOVQTQSA-N 0 3 241.281 2.529 20 0 BFADHN C[C@@]1(O)C[C@H](N[C@H]2CCSc3ccccc32)C1 ZINC000417358188 386495034 /nfs/dbraw/zinc/49/50/34/386495034.db2.gz SRONQTZBLBNYIQ-VHRBIJSZSA-N 0 3 249.379 2.727 20 0 BFADHN Cc1ccoc1CNC[C@@]1(C)CCCC[C@@H]1O ZINC000267114477 386498860 /nfs/dbraw/zinc/49/88/60/386498860.db2.gz RYKCVLCZJFEHKZ-UONOGXRCSA-N 0 3 237.343 2.619 20 0 BFADHN Cn1ccc(CN2CC(C)(C)[C@H]2C2CC2)c1 ZINC000291003833 386498987 /nfs/dbraw/zinc/49/89/87/386498987.db2.gz BEBISMQYVOLPKS-CYBMUJFWSA-N 0 3 218.344 2.646 20 0 BFADHN Cn1cc(CN[C@@H]2CCC2(C)C)c(C(F)F)n1 ZINC000291013894 386499640 /nfs/dbraw/zinc/49/96/40/386499640.db2.gz FNDGIWWRNNTBQY-SECBINFHSA-N 0 3 243.301 2.636 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCc1cncn1C)CC2 ZINC000264405551 386500867 /nfs/dbraw/zinc/50/08/67/386500867.db2.gz NEHLEOCFNOQXNY-OAHLLOKOSA-N 0 3 241.338 2.506 20 0 BFADHN C[C@@H](NC[C@H]1CC12CC2)c1ccc(F)cn1 ZINC000417338985 386506200 /nfs/dbraw/zinc/50/62/00/386506200.db2.gz NNKNVRYGZOOUDN-NXEZZACHSA-N 0 3 220.291 2.671 20 0 BFADHN CC[C@H](CN[C@@H]1CCCc2c(O)cccc21)OC ZINC000291059877 386507014 /nfs/dbraw/zinc/50/70/14/386507014.db2.gz ADFRFEFANDKMKM-BXUZGUMPSA-N 0 3 249.354 2.784 20 0 BFADHN CCCc1nc(C)c(CNC[C@@H]2C[C@@H]2C)o1 ZINC000336779593 386507612 /nfs/dbraw/zinc/50/76/12/386507612.db2.gz OTTCVIVYWMKGQO-ONGXEEELSA-N 0 3 222.332 2.681 20 0 BFADHN Cn1nccc1CCN1CC=C(C(C)(C)C)CC1 ZINC000336783571 386507737 /nfs/dbraw/zinc/50/77/37/386507737.db2.gz BOOKBPOTDFZTKO-UHFFFAOYSA-N 0 3 247.386 2.641 20 0 BFADHN CC[C@H](CN[C@H]1CCCc2c(O)cccc21)OC ZINC000291059880 386508167 /nfs/dbraw/zinc/50/81/67/386508167.db2.gz ADFRFEFANDKMKM-RISCZKNCSA-N 0 3 249.354 2.784 20 0 BFADHN Cc1ccc(CN(CC2CCC2)C(C)C)nn1 ZINC000414448042 386510260 /nfs/dbraw/zinc/51/02/60/386510260.db2.gz QSOOCNVFAMZNBM-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ccnc(CN2[C@H](C)C[C@@H]3CCCC[C@@H]32)n1 ZINC000339664805 386510838 /nfs/dbraw/zinc/51/08/38/386510838.db2.gz GCMJYXNIKTWXPQ-RDBSUJKOSA-N 0 3 245.370 2.938 20 0 BFADHN CN(C[C@H]1CCCO1)c1ccnc2ccccc21 ZINC000267223429 386511854 /nfs/dbraw/zinc/51/18/54/386511854.db2.gz OJUUUZJDRJYKTD-GFCCVEGCSA-N 0 3 242.322 2.850 20 0 BFADHN Cc1csc([C@H](NCCCF)C2CC2)n1 ZINC000183552833 386513712 /nfs/dbraw/zinc/51/37/12/386513712.db2.gz GIUBAWVIUBHAPQ-SNVBAGLBSA-N 0 3 228.336 2.852 20 0 BFADHN Cc1nn(C(C)C)cc1CN1CCC[C@@H]1C ZINC000335447898 386513725 /nfs/dbraw/zinc/51/37/25/386513725.db2.gz YNIKALNDVBEJTF-NSHDSACASA-N 0 3 221.348 2.757 20 0 BFADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2ccco2)cc1 ZINC000278338064 386513819 /nfs/dbraw/zinc/51/38/19/386513819.db2.gz CFLKMGJPCYZBIH-JSGCOSHPSA-N 0 3 245.322 2.972 20 0 BFADHN COC(=O)c1coc([C@@H](C)NCC(C)(C)C)c1 ZINC000278343404 386514077 /nfs/dbraw/zinc/51/40/77/386514077.db2.gz XYKGQYGYNUZOHK-SECBINFHSA-N 0 3 239.315 2.763 20 0 BFADHN CN(C[C@H](O)c1ccccc1F)CC(C)(C)C ZINC000227873137 386520401 /nfs/dbraw/zinc/52/04/01/386520401.db2.gz RTFBWXFWUMPGLB-ZDUSSCGKSA-N 0 3 239.334 2.837 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCSC2)o1 ZINC000278391136 386520634 /nfs/dbraw/zinc/52/06/34/386520634.db2.gz DUUUWJASXSHXSR-NSHDSACASA-N 0 3 225.357 2.773 20 0 BFADHN Cc1cncc([C@H](C)NCCC(F)(F)F)c1 ZINC000336754694 386523107 /nfs/dbraw/zinc/52/31/07/386523107.db2.gz XBLBJYRMFKMKHG-VIFPVBQESA-N 0 3 232.249 2.993 20 0 BFADHN CCOc1ccc(CN(C)C2CC2)cc1F ZINC000278406845 386523203 /nfs/dbraw/zinc/52/32/03/386523203.db2.gz GPYVMAHCJCLPLC-UHFFFAOYSA-N 0 3 223.291 2.819 20 0 BFADHN CO[C@@](C)(CN[C@H](C)c1cncc(C)c1)C1CC1 ZINC000291234601 386525405 /nfs/dbraw/zinc/52/54/05/386525405.db2.gz OHCNRRFQLMSNMK-DOMZBBRYSA-N 0 3 248.370 2.856 20 0 BFADHN COc1cc(C)nc(CN2CC[C@H](C)[C@@H](C)C2)c1 ZINC000347563435 386453391 /nfs/dbraw/zinc/45/33/91/386453391.db2.gz IYGSZBOBAYHEAR-RYUDHWBXSA-N 0 3 248.370 2.877 20 0 BFADHN CCc1ccc(CN2CCN(C)CC2(C)C)cc1 ZINC000347561107 386453507 /nfs/dbraw/zinc/45/35/07/386453507.db2.gz GKOJDYFMGZXJSU-UHFFFAOYSA-N 0 3 246.398 2.775 20 0 BFADHN COc1ncc([C@@H](C)NC2CC2)cc1Cl ZINC000284431086 386453692 /nfs/dbraw/zinc/45/36/92/386453692.db2.gz RKGCDQGMCNAKBV-SSDOTTSWSA-N 0 3 226.707 2.557 20 0 BFADHN CCOc1cccc(CNC2(C(C)C)CC2)n1 ZINC000339503997 386454315 /nfs/dbraw/zinc/45/43/15/386454315.db2.gz WLHGUMQYNHRLSS-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN FC1(F)CC(NCc2cccs2)C1 ZINC000277654542 386455940 /nfs/dbraw/zinc/45/59/40/386455940.db2.gz NHTNOXKCXQTZKI-UHFFFAOYSA-N 0 3 203.257 2.635 20 0 BFADHN CC[C@@H](NC(=O)CN(C)C(C)C)c1ccccc1 ZINC000299510071 386460897 /nfs/dbraw/zinc/46/08/97/386460897.db2.gz RZMXEHPQNYACJY-CQSZACIVSA-N 0 3 248.370 2.594 20 0 BFADHN Cc1cnn(CCN(C)Cc2ccc(C)s2)c1 ZINC000336722892 386463727 /nfs/dbraw/zinc/46/37/27/386463727.db2.gz YKGBFVIVBNZQGF-UHFFFAOYSA-N 0 3 249.383 2.693 20 0 BFADHN COCc1ccc(CNC2CC(F)(F)C2)cc1 ZINC000277788092 386465691 /nfs/dbraw/zinc/46/56/91/386465691.db2.gz ZVOKNQMZELUYLU-UHFFFAOYSA-N 0 3 241.281 2.720 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](O)C2CCC2)o1 ZINC000453397119 386574610 /nfs/dbraw/zinc/57/46/10/386574610.db2.gz ZCPDTICTCUPLHI-ZWNOBZJWSA-N 0 3 237.343 2.654 20 0 BFADHN Nc1ncccc1CN1CCC2(C1)CCCCC2 ZINC000289491342 386576349 /nfs/dbraw/zinc/57/63/49/386576349.db2.gz CMTZQSCTLWHEEP-UHFFFAOYSA-N 0 3 245.370 2.820 20 0 BFADHN CCN(Cc1cc(C)nn1C)C1CCCCC1 ZINC000417704598 386580451 /nfs/dbraw/zinc/58/04/51/386580451.db2.gz SRQLEDRTGIAYPH-UHFFFAOYSA-N 0 3 235.375 2.883 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1ccc(C)nn1 ZINC000414459038 386581041 /nfs/dbraw/zinc/58/10/41/386581041.db2.gz VMMNRXDDZPVIGR-GFCCVEGCSA-N 0 3 221.348 2.796 20 0 BFADHN FC1(CCNCc2cncs2)CCC1 ZINC000336644290 386584228 /nfs/dbraw/zinc/58/42/28/386584228.db2.gz AHPLAXPETZMLQS-UHFFFAOYSA-N 0 3 214.309 2.515 20 0 BFADHN CC[C@H](NC[C@H](C)CCO)c1ccccc1F ZINC000164068125 386584592 /nfs/dbraw/zinc/58/45/92/386584592.db2.gz AXVNIPPYANZZOB-RISCZKNCSA-N 0 3 239.334 2.885 20 0 BFADHN CC(C)=CCN1CC[C@@H](c2cccnc2)C1 ZINC000335417668 386587331 /nfs/dbraw/zinc/58/73/31/386587331.db2.gz KWPBUKDIVJXHSL-CQSZACIVSA-N 0 3 216.328 2.837 20 0 BFADHN FCCCCNCc1ccc(Cl)o1 ZINC000336644428 386588093 /nfs/dbraw/zinc/58/80/93/386588093.db2.gz PTXYTNLHOZSHGC-UHFFFAOYSA-N 0 3 205.660 2.772 20 0 BFADHN CN(Cc1cnc2ccccn12)C(C)(C)C ZINC000335455033 386531144 /nfs/dbraw/zinc/53/11/44/386531144.db2.gz MMEYIQBQHZIHIV-UHFFFAOYSA-N 0 3 217.316 2.565 20 0 BFADHN CN(Cc1cn2ccccc2n1)C(C)(C)C ZINC000335455022 386531581 /nfs/dbraw/zinc/53/15/81/386531581.db2.gz MBJALFGNUDAZKH-UHFFFAOYSA-N 0 3 217.316 2.565 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1cccc2[nH]ccc21 ZINC000262614672 386534868 /nfs/dbraw/zinc/53/48/68/386534868.db2.gz KXGFLGHIXPCEJD-CQSZACIVSA-N 0 3 245.326 2.624 20 0 BFADHN COC[C@H]1CCN(Cc2sccc2Cl)C1 ZINC000278501046 386535505 /nfs/dbraw/zinc/53/55/05/386535505.db2.gz VNDNKSQYJFWUDH-VIFPVBQESA-N 0 3 245.775 2.870 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1ccccn1 ZINC000336733452 386542803 /nfs/dbraw/zinc/54/28/03/386542803.db2.gz MKQFCLPHRUADSY-ZMLRMANQSA-N 0 3 222.357 2.626 20 0 BFADHN C[C@@H](N[C@H]1C[C@@](C)(O)C1)c1ccc(F)c(F)c1 ZINC000417360111 386544382 /nfs/dbraw/zinc/54/43/82/386544382.db2.gz XAMZRQOLJMNBRT-JQEORGNBSA-N 0 3 241.281 2.529 20 0 BFADHN CCn1cncc1CN([C@@H](C)C(C)C)C1CC1 ZINC000417689788 386550267 /nfs/dbraw/zinc/55/02/67/386550267.db2.gz GOLFRPNBSIVEFF-LBPRGKRZSA-N 0 3 235.375 2.912 20 0 BFADHN Cn1cccc1CN1CC2(CCC2)[C@@H]1C1CC1 ZINC000291514617 386554126 /nfs/dbraw/zinc/55/41/26/386554126.db2.gz IQDHEYQGCFVRII-AWEZNQCLSA-N 0 3 230.355 2.790 20 0 BFADHN CCC1(CN[C@@H]2CCCc3occc32)COC1 ZINC000393980262 386555885 /nfs/dbraw/zinc/55/58/85/386555885.db2.gz BCCROPBLCDLQIS-GFCCVEGCSA-N 0 3 235.327 2.673 20 0 BFADHN C[C@H](N[C@H]1C[C@@](C)(O)C1)c1ccc(Cl)s1 ZINC000417361939 386559548 /nfs/dbraw/zinc/55/95/48/386559548.db2.gz ZOORKEYJQXUPDC-DKCNOQQISA-N 0 3 245.775 2.966 20 0 BFADHN CC(C)n1cncc1CN(C)[C@H](C)C1CC1 ZINC000425324835 386562568 /nfs/dbraw/zinc/56/25/68/386562568.db2.gz LENOXKNRBLUFNR-LLVKDONJSA-N 0 3 221.348 2.694 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1ccc(C)nn1 ZINC000414456099 386565809 /nfs/dbraw/zinc/56/58/09/386565809.db2.gz GVSJBPWHHNPQFL-KBPBESRZSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@@H](C)CN1CCC[C@H]1c1cc[nH]n1 ZINC000278685871 386567268 /nfs/dbraw/zinc/56/72/68/386567268.db2.gz RYUYFRJUZJVTGI-PWSUYJOCSA-N 0 3 207.321 2.593 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](F)C1)c1ccccn1 ZINC000336756475 386568004 /nfs/dbraw/zinc/56/80/04/386568004.db2.gz JLFGMNIHQZYEIH-HBNTYKKESA-N 0 3 208.280 2.623 20 0 BFADHN C[C@H](O)C(C)(C)NCc1ccsc1Cl ZINC000336646404 386621341 /nfs/dbraw/zinc/62/13/41/386621341.db2.gz CUCUOHAYUNJFNG-ZETCQYMHSA-N 0 3 233.764 2.651 20 0 BFADHN CCCCN[C@@H](COC)c1ccc(CC)o1 ZINC000184778308 386624609 /nfs/dbraw/zinc/62/46/09/386624609.db2.gz NWVQDVCLXNHUCZ-LBPRGKRZSA-N 0 3 225.332 2.919 20 0 BFADHN COC[C@@H]1CCCN(Cc2ccoc2C)CC1 ZINC000414464810 386625925 /nfs/dbraw/zinc/62/59/25/386625925.db2.gz VHZCTDYDXKZXEF-CYBMUJFWSA-N 0 3 237.343 2.837 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1Cc1ccccc1 ZINC000268183746 386628969 /nfs/dbraw/zinc/62/89/69/386628969.db2.gz NVEWPPIPWAWNJS-OCCSQVGLSA-N 0 3 219.328 2.686 20 0 BFADHN Nc1ncccc1CN1CC[C@@H](C2CCCC2)C1 ZINC000335502192 386630348 /nfs/dbraw/zinc/63/03/48/386630348.db2.gz ITPPKQONAVJJIM-CYBMUJFWSA-N 0 3 245.370 2.676 20 0 BFADHN Cc1nccc(CN2CC[C@@H](C3CCCC3)C2)n1 ZINC000335502866 386631911 /nfs/dbraw/zinc/63/19/11/386631911.db2.gz LBQZAPJVQRMXKH-CQSZACIVSA-N 0 3 245.370 2.797 20 0 BFADHN CCN(CCc1ccncc1)Cc1ccccn1 ZINC000268231792 386632838 /nfs/dbraw/zinc/63/28/38/386632838.db2.gz YQXUTBWFVXAEOW-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN CCOC(=O)c1ccc(CN(C)[C@@H](C)CC)o1 ZINC000179325127 386637354 /nfs/dbraw/zinc/63/73/54/386637354.db2.gz HYNHWXJVUNYEMY-JTQLQIEISA-N 0 3 239.315 2.687 20 0 BFADHN C[C@H](O)CN1[C@H](C)Cc2cc(F)ccc2[C@@H]1C ZINC000337176074 386637371 /nfs/dbraw/zinc/63/73/71/386637371.db2.gz IMKOKCJRWMSDBX-VWYCJHECSA-N 0 3 237.318 2.514 20 0 BFADHN FCCCN1CCC[C@H](c2ccccn2)C1 ZINC000647950206 386656030 /nfs/dbraw/zinc/65/60/30/386656030.db2.gz QMRIPPKOGYVLTI-LBPRGKRZSA-N 0 3 222.307 2.621 20 0 BFADHN CCCn1cc(CN(C)[C@H](C)CC)cn1 ZINC000179323942 386638813 /nfs/dbraw/zinc/63/88/13/386638813.db2.gz XGFCONBGWCOMCB-LLVKDONJSA-N 0 3 209.337 2.523 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H](C)C[C@H]2C)o1 ZINC000644951206 386639285 /nfs/dbraw/zinc/63/92/85/386639285.db2.gz RQPMVXZKIRCKGW-NXEZZACHSA-N 0 3 222.332 2.912 20 0 BFADHN CC[C@@H](NCCC[C@H](C)O)c1nc(C)cs1 ZINC000450727227 386646364 /nfs/dbraw/zinc/64/63/64/386646364.db2.gz MCBYLKDQPTYOFJ-WDEREUQCSA-N 0 3 242.388 2.653 20 0 BFADHN Cc1ccoc1CN(C)CCc1cccnc1 ZINC000292328445 386649303 /nfs/dbraw/zinc/64/93/03/386649303.db2.gz OKAIENNDTIEMSS-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2ccc(F)cc2)C1 ZINC000279235361 386652553 /nfs/dbraw/zinc/65/25/53/386652553.db2.gz FGFIFNDCIQJUMF-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1cc(C)n(C)n1 ZINC000414472063 386652576 /nfs/dbraw/zinc/65/25/76/386652576.db2.gz RZNQBZULWWMPII-GFCCVEGCSA-N 0 3 235.375 2.953 20 0 BFADHN CO[C@@]1(C)CCCN([C@H](C)c2ccccn2)C1 ZINC000279232941 386654087 /nfs/dbraw/zinc/65/40/87/386654087.db2.gz FAJMBJLHYYBERE-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN COc1cc(CNCCOCC2CC2)ccc1C ZINC000278878723 386600377 /nfs/dbraw/zinc/60/03/77/386600377.db2.gz NYJHXDIETOCHNR-UHFFFAOYSA-N 0 3 249.354 2.520 20 0 BFADHN CCc1cccc(F)c1CNCCCOC ZINC000336781631 386602019 /nfs/dbraw/zinc/60/20/19/386602019.db2.gz VEDCQHCEWFNRNL-UHFFFAOYSA-N 0 3 225.307 2.514 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CCCC2(C)C)[n-]1 ZINC000408373057 386604981 /nfs/dbraw/zinc/60/49/81/386604981.db2.gz FNWAOIYQMZGUTK-SNVBAGLBSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCCC2(C)C)[nH]1 ZINC000408373057 386604987 /nfs/dbraw/zinc/60/49/87/386604987.db2.gz FNWAOIYQMZGUTK-SNVBAGLBSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CCCC2(C)C)[n-]1 ZINC000408373053 386605926 /nfs/dbraw/zinc/60/59/26/386605926.db2.gz FNWAOIYQMZGUTK-JTQLQIEISA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CCCC2(C)C)[nH]1 ZINC000408373053 386605932 /nfs/dbraw/zinc/60/59/32/386605932.db2.gz FNWAOIYQMZGUTK-JTQLQIEISA-N 0 3 236.363 2.596 20 0 BFADHN CCCCCN(CCCC(C)(C)C)CC(N)=O ZINC000337183880 386655079 /nfs/dbraw/zinc/65/50/79/386655079.db2.gz CANKLRFCDPPDAH-UHFFFAOYSA-N 0 3 242.407 2.790 20 0 BFADHN CC(C)C[C@H](C)CN1CCO[C@@H]2CCC[C@H]21 ZINC000337124324 386612772 /nfs/dbraw/zinc/61/27/72/386612772.db2.gz DCRBULUZNMSVJZ-BFHYXJOUSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@H]1CCc2cc(F)ccc21 ZINC000245942763 386613285 /nfs/dbraw/zinc/61/32/85/386613285.db2.gz MVABFNIJINXADV-FZZIBODNSA-N 0 3 235.302 2.580 20 0 BFADHN CC[C@H]1CCCCCN1Cc1nccc(C)n1 ZINC000335494098 386614315 /nfs/dbraw/zinc/61/43/15/386614315.db2.gz VZVOHDKXOWVVBB-ZDUSSCGKSA-N 0 3 233.359 2.940 20 0 BFADHN CO[C@@H](CN1CC[C@H]1c1cccc(F)c1)C1CC1 ZINC000644924832 386614929 /nfs/dbraw/zinc/61/49/29/386614929.db2.gz MIERSMCSGYZDPC-GJZGRUSLSA-N 0 3 249.329 2.998 20 0 BFADHN CCN(CC)C(=O)CN(C)CCCC(C)(C)C ZINC000337124895 386614996 /nfs/dbraw/zinc/61/49/96/386614996.db2.gz DIBLEGHCRMBMHU-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN CC(C)[C@@H](O)CN1CC[C@H]1c1cccc(F)c1 ZINC000644995569 386659060 /nfs/dbraw/zinc/65/90/60/386659060.db2.gz PVUMJOAKMPMZNR-KBPBESRZSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2cnccn2)[C@H]1C ZINC000520358304 386659287 /nfs/dbraw/zinc/65/92/87/386659287.db2.gz NGTCJILCYNLFDL-AGIUHOORSA-N 0 3 233.359 2.639 20 0 BFADHN COC(=O)[C@]1(C)CCCN1CCCC(C)(C)C ZINC000337216803 386660954 /nfs/dbraw/zinc/66/09/54/386660954.db2.gz ZRDQCBVQGURJRX-AWEZNQCLSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)C[C@H](C)CN1CC[C@@H](C)[S@@](=O)CC1 ZINC000337226318 386664168 /nfs/dbraw/zinc/66/41/68/386664168.db2.gz FVCDDMQCHLLTDE-ZENOOKHLSA-N 0 3 245.432 2.512 20 0 BFADHN CCC[C@@](C)(N)C(=O)N[C@H](C)CCCC(C)C ZINC000040858730 386666540 /nfs/dbraw/zinc/66/65/40/386666540.db2.gz SBJMHRBJLUFMTK-TZMCWYRMSA-N 0 3 242.407 2.835 20 0 BFADHN Cc1nc(CNC[C@H]2CCC[C@H](C)C2)[nH]c1C ZINC000520430052 386671699 /nfs/dbraw/zinc/67/16/99/386671699.db2.gz YLKROIIUFNECOB-GWCFXTLKSA-N 0 3 235.375 2.942 20 0 BFADHN COc1cc(C)nc(CN2[C@@H](C)CC[C@@H]2C)c1 ZINC000339828496 386676918 /nfs/dbraw/zinc/67/69/18/386676918.db2.gz WCXFGWSGBCIKHN-RYUDHWBXSA-N 0 3 234.343 2.771 20 0 BFADHN CCCCN(C(=O)[C@H]1CCCN1C)[C@@H](C)CC ZINC000356999617 386681254 /nfs/dbraw/zinc/68/12/54/386681254.db2.gz VMTXTOGVPZSITD-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H](NCC[C@H](C)O)c1cc(F)ccc1F ZINC000268871450 386710060 /nfs/dbraw/zinc/71/00/60/386710060.db2.gz IXTALTMRNFALNK-ZANVPECISA-N 0 3 243.297 2.776 20 0 BFADHN c1c[nH]c(CNC[C@H](c2ccccc2)C2CC2)n1 ZINC000292514927 386683612 /nfs/dbraw/zinc/68/36/12/386683612.db2.gz DJVMKYRJBWWXLI-CQSZACIVSA-N 0 3 241.338 2.693 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CN(C3CC3)C[C@@H]2C)o1 ZINC000268704448 386684034 /nfs/dbraw/zinc/68/40/34/386684034.db2.gz DFBWMUKVBCFLCM-JKOKRWQUSA-N 0 3 248.370 2.721 20 0 BFADHN CCSCCN[C@@H]1COCc2ccccc21 ZINC000336648530 386684906 /nfs/dbraw/zinc/68/49/06/386684906.db2.gz GEZBGXJIQCSAIR-CYBMUJFWSA-N 0 3 237.368 2.601 20 0 BFADHN CCCCN(C(=O)[C@@H]1CCCN1C)[C@H](C)CC ZINC000356999615 386685389 /nfs/dbraw/zinc/68/53/89/386685389.db2.gz VMTXTOGVPZSITD-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@@H](C)[C@@H]2C)cc1 ZINC000357003187 386685669 /nfs/dbraw/zinc/68/56/69/386685669.db2.gz NXVQAWCCRSFFIH-KBPBESRZSA-N 0 3 232.371 2.519 20 0 BFADHN CN(C)Cc1ccc(NCc2cc[nH]c2)c(F)c1 ZINC000414481319 386686239 /nfs/dbraw/zinc/68/62/39/386686239.db2.gz BHUBQLNPNFZFEJ-UHFFFAOYSA-N 0 3 247.317 2.828 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1ccc2c(c1)COC2 ZINC000268722153 386686705 /nfs/dbraw/zinc/68/67/05/386686705.db2.gz PJAMNMLEAOYUFB-NHYWBVRUSA-N 0 3 247.338 2.546 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1ccc2c(c1)COC2 ZINC000268722148 386687100 /nfs/dbraw/zinc/68/71/00/386687100.db2.gz PJAMNMLEAOYUFB-ABAIWWIYSA-N 0 3 247.338 2.546 20 0 BFADHN CC[C@H]1CCN1Cc1cccc(OC)c1 ZINC000292558040 386689166 /nfs/dbraw/zinc/68/91/66/386689166.db2.gz ZCOQUPDRQMCYLD-LBPRGKRZSA-N 0 3 205.301 2.680 20 0 BFADHN C[C@@H]1N([C@@H]2C=CCCC2)CCOC1(C)C ZINC000357012039 386689563 /nfs/dbraw/zinc/68/95/63/386689563.db2.gz JEQBTVCESWPRQK-NWDGAFQWSA-N 0 3 209.333 2.594 20 0 BFADHN COc1cc(CN[C@H](C)[C@H](C)OC)ccc1C ZINC000268796795 386694611 /nfs/dbraw/zinc/69/46/11/386694611.db2.gz DVZCOSOPXOUYGM-NEPJUHHUSA-N 0 3 237.343 2.517 20 0 BFADHN CC(C)CC1(CN[C@@H]2CCn3ccnc32)CC1 ZINC000391936007 386698321 /nfs/dbraw/zinc/69/83/21/386698321.db2.gz PDXXEMMJKKGMDT-GFCCVEGCSA-N 0 3 233.359 2.744 20 0 BFADHN Cc1cc(CN2CCC[C@H]3CCC[C@H]32)ncn1 ZINC000292618772 386699366 /nfs/dbraw/zinc/69/93/66/386699366.db2.gz XSRNCIORZPACQN-TZMCWYRMSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@H]2C2CC2)no1 ZINC000414484109 386699642 /nfs/dbraw/zinc/69/96/42/386699642.db2.gz NPYRMVJZVLTYNC-OCCSQVGLSA-N 0 3 234.343 2.899 20 0 BFADHN CCOc1cccc(CN[C@H]2CCC[C@H]2C)n1 ZINC000337284124 386700758 /nfs/dbraw/zinc/70/07/58/386700758.db2.gz JHMDQTMUMZXUPH-YPMHNXCESA-N 0 3 234.343 2.759 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](CO)CC(C)C)o1 ZINC000268831620 386700908 /nfs/dbraw/zinc/70/09/08/386700908.db2.gz KSENCPGRYJQUBP-RYUDHWBXSA-N 0 3 239.359 2.900 20 0 BFADHN CCn1ccc(CNC[C@H]2CC(C)=C[C@@H](C)C2)n1 ZINC000414487645 386706553 /nfs/dbraw/zinc/70/65/53/386706553.db2.gz VMKHULZRQXMPBR-TZMCWYRMSA-N 0 3 247.386 2.985 20 0 BFADHN FCCCN1CC[C@@H](c2cccc(F)c2)C1 ZINC000268863858 386707116 /nfs/dbraw/zinc/70/71/16/386707116.db2.gz FBNFZRMBCCECTQ-GFCCVEGCSA-N 0 3 225.282 2.975 20 0 BFADHN Cc1c[nH]nc1CN[C@@H](C)CCCC(F)(F)F ZINC000352851650 386731304 /nfs/dbraw/zinc/73/13/04/386731304.db2.gz IQUBFEQCYOONIV-VIFPVBQESA-N 0 3 249.280 2.929 20 0 BFADHN CC[C@H]1CCN1Cc1sccc1OC ZINC000292833659 386732734 /nfs/dbraw/zinc/73/27/34/386732734.db2.gz ROCQYFHFMGYWGA-VIFPVBQESA-N 0 3 211.330 2.741 20 0 BFADHN CC[C@H]1CCN1Cc1ccc(OC)c(OC)c1 ZINC000292866901 386738221 /nfs/dbraw/zinc/73/82/21/386738221.db2.gz VVUVYGKUKNGKOF-LBPRGKRZSA-N 0 3 235.327 2.688 20 0 BFADHN CC[C@H](N[C@@H]1CCOC1)c1ccc(F)cc1F ZINC000269015771 386738952 /nfs/dbraw/zinc/73/89/52/386738952.db2.gz ODUAXLZURWOCFV-MFKMUULPSA-N 0 3 241.281 2.794 20 0 BFADHN CCOc1ccccc1[C@H](CC)N[C@H]1CCOC1 ZINC000268891064 386713189 /nfs/dbraw/zinc/71/31/89/386713189.db2.gz YFKAXTNJISWRED-JSGCOSHPSA-N 0 3 249.354 2.915 20 0 BFADHN CCc1ccc(CN2CCC[C@@](C)(O)CC2)o1 ZINC000279653634 386713389 /nfs/dbraw/zinc/71/33/89/386713389.db2.gz KXBVFEOTXOIJLV-CQSZACIVSA-N 0 3 237.343 2.579 20 0 BFADHN Fc1ccc([C@H]2C[C@H](NCc3cc[nH]n3)C2)cc1 ZINC000292716324 386714887 /nfs/dbraw/zinc/71/48/87/386714887.db2.gz PATLVORKOHEKSD-YEORSEQZSA-N 0 3 245.301 2.585 20 0 BFADHN FC1(CNC[C@H]2CCO[C@@H]2c2ccccc2)CC1 ZINC000526986071 386719366 /nfs/dbraw/zinc/71/93/66/386719366.db2.gz FFEHTEAWZLEESU-ZIAGYGMSSA-N 0 3 249.329 2.856 20 0 BFADHN CC[C@H](O)CN1CC[C@H](C)C[C@H]1c1ccco1 ZINC000247486826 386719689 /nfs/dbraw/zinc/71/96/89/386719689.db2.gz KQFICROVTNLLKK-AVGNSLFASA-N 0 3 237.343 2.824 20 0 BFADHN C[C@H](O)CCN[C@H]1CC(C)(C)Cc2occc21 ZINC000268921964 386719889 /nfs/dbraw/zinc/71/98/89/386719889.db2.gz WYLOHPSCSDIGDO-JQWIXIFHSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@H]1CCC[C@H](C)N(Cc2cocn2)C1 ZINC000191944286 386720281 /nfs/dbraw/zinc/72/02/81/386720281.db2.gz VTVQQXALUWPNKX-QWRGUYRKSA-N 0 3 208.305 2.685 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1ccc(F)c(C)c1 ZINC000268918730 386720277 /nfs/dbraw/zinc/72/02/77/386720277.db2.gz VWSAAHLNFPPTFT-WCQYABFASA-N 0 3 239.334 2.946 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1ccc2c(c1)COC2 ZINC000268914824 386721712 /nfs/dbraw/zinc/72/17/12/386721712.db2.gz UUEKXZZQADVAIB-ABAIWWIYSA-N 0 3 247.338 2.546 20 0 BFADHN CC(C)N(C)Cc1ccnn1-c1ccc(F)cc1 ZINC000279707018 386724006 /nfs/dbraw/zinc/72/40/06/386724006.db2.gz SMYDKYVAFPTWAD-UHFFFAOYSA-N 0 3 247.317 2.852 20 0 BFADHN c1nc(CN2CCC[C@@H]2C2CCCC2)co1 ZINC000191936352 386724671 /nfs/dbraw/zinc/72/46/71/386724671.db2.gz GBNAGYLZQJTHJS-CYBMUJFWSA-N 0 3 220.316 2.829 20 0 BFADHN C[C@H]1C[C@@H]1CNc1ccc(CN(C)C)cc1F ZINC000414495039 386725206 /nfs/dbraw/zinc/72/52/06/386725206.db2.gz GMFJNTJYHKUCGH-CMPLNLGQSA-N 0 3 236.334 2.955 20 0 BFADHN Cc1ccn2cc(CN[C@@H](C)C(C)C)nc2c1 ZINC000268943150 386725438 /nfs/dbraw/zinc/72/54/38/386725438.db2.gz VVUVTQCTZGJCQD-LBPRGKRZSA-N 0 3 231.343 2.777 20 0 BFADHN COCCN(CC1(F)CC1)[C@@H]1CC[C@H](C)C1 ZINC000526988044 386725724 /nfs/dbraw/zinc/72/57/24/386725724.db2.gz OJIGIPPRGLMZCH-NWDGAFQWSA-N 0 3 229.339 2.626 20 0 BFADHN CN(Cc1cccc(Cl)c1)[C@H]1CCCOC1 ZINC000339865290 386726373 /nfs/dbraw/zinc/72/63/73/386726373.db2.gz HAZQWBLWGFJYBQ-ZDUSSCGKSA-N 0 3 239.746 2.951 20 0 BFADHN Cn1ccc(CN2CC[C@]3(C)[C@H](C2)C3(F)F)c1 ZINC000292794778 386726655 /nfs/dbraw/zinc/72/66/55/386726655.db2.gz MVESLJDGEVPRJR-NWDGAFQWSA-N 0 3 240.297 2.502 20 0 BFADHN CCOC[C@H](C)NCc1ccc(C)cc1C ZINC000044688072 386726694 /nfs/dbraw/zinc/72/66/94/386726694.db2.gz HLMRILZRSLDMJZ-ZDUSSCGKSA-N 0 3 221.344 2.818 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1ccc2[nH]cnc2c1 ZINC000526989383 386727169 /nfs/dbraw/zinc/72/71/69/386727169.db2.gz TZMTVXMRJNNRRH-NXEZZACHSA-N 0 3 215.300 2.546 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1ccc2nc[nH]c2c1 ZINC000526989383 386727174 /nfs/dbraw/zinc/72/71/74/386727174.db2.gz TZMTVXMRJNNRRH-NXEZZACHSA-N 0 3 215.300 2.546 20 0 BFADHN CN(Cc1ccc(Cl)cc1)C[C@H]1CCCO1 ZINC000248112320 386744755 /nfs/dbraw/zinc/74/47/55/386744755.db2.gz KLGPGTGAYYMDFJ-CYBMUJFWSA-N 0 3 239.746 2.951 20 0 BFADHN CC[C@H](N)C(=O)N(CC)[C@@H](CC)c1ccccc1 ZINC000269057228 386745178 /nfs/dbraw/zinc/74/51/78/386745178.db2.gz YBKAPKKYZAZQPO-KBPBESRZSA-N 0 3 248.370 2.724 20 0 BFADHN CC[C@H](N)C(=O)N(C)[C@@H](C)c1ccc(C)cc1C ZINC000269079233 386748934 /nfs/dbraw/zinc/74/89/34/386748934.db2.gz DJVARMBVSQLVMG-JSGCOSHPSA-N 0 3 248.370 2.560 20 0 BFADHN c1nc(CNC[C@H]2CCCc3ccccc32)co1 ZINC000192282554 386753106 /nfs/dbraw/zinc/75/31/06/386753106.db2.gz CJYSFCNABYSCBX-CYBMUJFWSA-N 0 3 242.322 2.884 20 0 BFADHN COc1ncccc1CN[C@H](C)[C@H]1CC1(C)C ZINC000397670512 386753445 /nfs/dbraw/zinc/75/34/45/386753445.db2.gz HTIXBDQEVFEYPN-ZYHUDNBSSA-N 0 3 234.343 2.614 20 0 BFADHN CCC[C@H](N)C(=O)N[C@@H](C)c1ccc(C)c(C)c1 ZINC000269115309 386753907 /nfs/dbraw/zinc/75/39/07/386753907.db2.gz YVIMJFGTJIZBKN-JSGCOSHPSA-N 0 3 248.370 2.608 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCc2ccccc21 ZINC000352855657 386760587 /nfs/dbraw/zinc/76/05/87/386760587.db2.gz UPAGJFMHAHGFPC-ZDUSSCGKSA-N 0 3 241.338 2.538 20 0 BFADHN CCCCCN(C(=O)[C@@H](C)NC1CC1)C(C)C ZINC000394962654 386760967 /nfs/dbraw/zinc/76/09/67/386760967.db2.gz UHHCOMPVJHATPV-GFCCVEGCSA-N 0 3 240.391 2.554 20 0 BFADHN C[C@@H](NCc1cscn1)[C@H]1CC1(C)C ZINC000336663893 386761271 /nfs/dbraw/zinc/76/12/71/386761271.db2.gz UJWMBYSETUDWMY-PSASIEDQSA-N 0 3 210.346 2.667 20 0 BFADHN CCn1cncc1CN(C)C(C)(C)CC ZINC000417801361 386762351 /nfs/dbraw/zinc/76/23/51/386762351.db2.gz VTVCXGRFMMGVPQ-UHFFFAOYSA-N 0 3 209.337 2.523 20 0 BFADHN COc1cc(C)cc(CN[C@H]2COC(C)(C)C2)c1 ZINC000417955417 386762281 /nfs/dbraw/zinc/76/22/81/386762281.db2.gz RPAPGPLFNWSMIT-CYBMUJFWSA-N 0 3 249.354 2.661 20 0 BFADHN CCC1(CNCc2cocn2)CCCC1 ZINC000192325717 386764451 /nfs/dbraw/zinc/76/44/51/386764451.db2.gz FEWJZMDIOPUTNI-UHFFFAOYSA-N 0 3 208.305 2.735 20 0 BFADHN CC[C@H](NCc1cc(F)cc(F)c1)C(C)(C)O ZINC000293223256 386767475 /nfs/dbraw/zinc/76/74/75/386767475.db2.gz YYFSZWWWAGCWKC-LBPRGKRZSA-N 0 3 243.297 2.604 20 0 BFADHN CC(C)=CCCN[C@H](C)c1cc(C)n(C)n1 ZINC000282890928 386768505 /nfs/dbraw/zinc/76/85/05/386768505.db2.gz WEYQDLCTDUCCPW-GFCCVEGCSA-N 0 3 221.348 2.735 20 0 BFADHN C[C@@H]1CN(Cc2ccc(-n3ccnc3)cc2)[C@H]1C ZINC000292880194 386741328 /nfs/dbraw/zinc/74/13/28/386741328.db2.gz CAZXDQRICYPTFZ-OLZOCXBDSA-N 0 3 241.338 2.713 20 0 BFADHN Cc1ccc(CN2CCC=C(C)C2)c(C)n1 ZINC000335537675 386742486 /nfs/dbraw/zinc/74/24/86/386742486.db2.gz SGCNLSYGLACKTD-UHFFFAOYSA-N 0 3 216.328 2.850 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CCCOC1)c1nccs1 ZINC000336723940 386789531 /nfs/dbraw/zinc/78/95/31/386789531.db2.gz BAPTWGQLSLKEKT-GARJFASQSA-N 0 3 240.372 2.609 20 0 BFADHN Cn1cc2c(n1)CCC[C@@H]2NCc1cccs1 ZINC000280251963 386793084 /nfs/dbraw/zinc/79/30/84/386793084.db2.gz WSGIIBAQAXUKFA-LBPRGKRZSA-N 0 3 247.367 2.649 20 0 BFADHN CC[C@H](NCCC(C)C)c1ccn(C)n1 ZINC000336744045 386793334 /nfs/dbraw/zinc/79/33/34/386793334.db2.gz YUQPISPCGNZDAQ-NSHDSACASA-N 0 3 209.337 2.507 20 0 BFADHN CC[C@]1(C)COCCN1Cc1ccccc1C ZINC000340881922 386796850 /nfs/dbraw/zinc/79/68/50/386796850.db2.gz OHCQLQMCFUTBSJ-OAHLLOKOSA-N 0 3 233.355 2.996 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CCCO1)c1cccc(O)c1 ZINC000248529471 386771612 /nfs/dbraw/zinc/77/16/12/386771612.db2.gz LHNQVCQGXFVRHU-COPLHBTASA-N 0 3 235.327 2.610 20 0 BFADHN CN(CCn1cccn1)[C@H]1C=CCCCCC1 ZINC000293099255 386777191 /nfs/dbraw/zinc/77/71/91/386777191.db2.gz NUFHOXMEPJKKTP-AWEZNQCLSA-N 0 3 233.359 2.704 20 0 BFADHN COc1c(O)cccc1CNCCC1(C)CC1 ZINC000280106643 386777867 /nfs/dbraw/zinc/77/78/67/386777867.db2.gz BDKWVGUECPKPAX-UHFFFAOYSA-N 0 3 235.327 2.681 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCCN(C)CC2)o1 ZINC000269326985 386779783 /nfs/dbraw/zinc/77/97/83/386779783.db2.gz AHTBRHVCYWKWPR-OCCSQVGLSA-N 0 3 248.370 2.541 20 0 BFADHN CCc1cccc(NC(=O)[C@H](N)[C@@H](C)CC)c1 ZINC000019507453 386781570 /nfs/dbraw/zinc/78/15/70/386781570.db2.gz PFLQMZJEUKMIEH-GXFFZTMASA-N 0 3 234.343 2.561 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1cccc(O)c1)C1CC1 ZINC000414506458 386785293 /nfs/dbraw/zinc/78/52/93/386785293.db2.gz WNBLGIXTAFVOID-IAQYHMDHSA-N 0 3 249.354 2.685 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2CSC2(C)C)c1 ZINC000282988749 386786554 /nfs/dbraw/zinc/78/65/54/386786554.db2.gz FILUEDJZQJOTNA-JQWIXIFHSA-N 0 3 236.384 2.935 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1cccc(O)c1)C1CC1 ZINC000414506456 386787016 /nfs/dbraw/zinc/78/70/16/386787016.db2.gz WNBLGIXTAFVOID-ABAIWWIYSA-N 0 3 249.354 2.685 20 0 BFADHN COC[C@@H]1CCCCN(Cc2ccc(C)nc2)C1 ZINC000344885169 386789170 /nfs/dbraw/zinc/78/91/70/386789170.db2.gz FJKUSCCPKSUYCU-OAHLLOKOSA-N 0 3 248.370 2.639 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccsc1C ZINC000293331321 386818015 /nfs/dbraw/zinc/81/80/15/386818015.db2.gz RRCXNENXCGZOED-IUCAKERBSA-N 0 3 213.346 2.570 20 0 BFADHN C[C@@H](O)CCNC(C)(C)c1ccc(F)c(F)c1 ZINC000411481880 386818717 /nfs/dbraw/zinc/81/87/17/386818717.db2.gz YKEVSOMQLAOEBA-SECBINFHSA-N 0 3 243.297 2.560 20 0 BFADHN CCn1cncc1CN1CC[C@@H](C(C)(C)C)C1 ZINC000418002202 386823161 /nfs/dbraw/zinc/82/31/61/386823161.db2.gz LUCVMJWGRMATLD-GFCCVEGCSA-N 0 3 235.375 2.771 20 0 BFADHN CC(C)[C@H]1N(Cc2cn[nH]c2)CC12CC=CC2 ZINC000648072600 386801162 /nfs/dbraw/zinc/80/11/62/386801162.db2.gz KLYFGTBHKCRYNZ-CYBMUJFWSA-N 0 3 231.343 2.586 20 0 BFADHN CC(C)CC[C@@H](O)CN[C@H](C)c1ccccn1 ZINC000280306798 386802165 /nfs/dbraw/zinc/80/21/65/386802165.db2.gz OBXILSKRUMHVLP-CHWSQXEVSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@H](NCc1cc(F)cc(Cl)c1)[C@@H](C)O ZINC000395297069 386811150 /nfs/dbraw/zinc/81/11/50/386811150.db2.gz FLEAOXPINAKYQJ-PELKAZGASA-N 0 3 245.725 2.728 20 0 BFADHN CC(C)CC[C@@H](O)CN(C)Cc1cccs1 ZINC000280386092 386811367 /nfs/dbraw/zinc/81/13/67/386811367.db2.gz WFEFDNGGVNAOAG-GFCCVEGCSA-N 0 3 241.400 2.977 20 0 BFADHN Cc1ccncc1CN1CC[C@H](c2ccco2)C1 ZINC000366545420 386814479 /nfs/dbraw/zinc/81/44/79/386814479.db2.gz JCNCDSOPHKVNGZ-ZDUSSCGKSA-N 0 3 242.322 2.973 20 0 BFADHN CO[C@H]1CC[C@@H](NCc2cc(F)ccc2F)C1 ZINC000190920731 386815026 /nfs/dbraw/zinc/81/50/26/386815026.db2.gz HGVWBOJCTNRXFN-NEPJUHHUSA-N 0 3 241.281 2.622 20 0 BFADHN CCSCC[C@@H](C)N(C)Cc1conc1C ZINC000293436021 386816105 /nfs/dbraw/zinc/81/61/05/386816105.db2.gz ACNVWOHQOSABEL-SNVBAGLBSA-N 0 3 242.388 2.947 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@@H]3CCC[C@@H]3C2)n1C ZINC000341038747 386826506 /nfs/dbraw/zinc/82/65/06/386826506.db2.gz CPEYPHUBSURLDR-BFHYXJOUSA-N 0 3 247.386 2.787 20 0 BFADHN c1coc(CNCCCC2CCOCC2)c1 ZINC000336673270 386829360 /nfs/dbraw/zinc/82/93/60/386829360.db2.gz OMIMNXXCSBQQTB-UHFFFAOYSA-N 0 3 223.316 2.576 20 0 BFADHN COC[C@H]1CCN1Cc1ccc(C(F)F)cc1 ZINC000293509722 386829805 /nfs/dbraw/zinc/82/98/05/386829805.db2.gz HYSQVJIFUIJCSQ-GFCCVEGCSA-N 0 3 241.281 2.845 20 0 BFADHN Cc1sccc1CN1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000293641147 386853181 /nfs/dbraw/zinc/85/31/81/386853181.db2.gz MCUOEHRPACWTDB-PHIMTYICSA-N 0 3 238.400 2.581 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)[C@@H]1C)c1ccccn1 ZINC000336673967 386838844 /nfs/dbraw/zinc/83/88/44/386838844.db2.gz GWZMPIYNXRQUBL-MPPDQPJWSA-N 0 3 204.317 2.777 20 0 BFADHN Cc1oc2ccccc2c1CN(C)[C@@H](C)CO ZINC000349291663 386843179 /nfs/dbraw/zinc/84/31/79/386843179.db2.gz ZYRZEMIQVWAWMU-JTQLQIEISA-N 0 3 233.311 2.554 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2cnccc2C)C1(C)C ZINC000357796284 386844987 /nfs/dbraw/zinc/84/49/87/386844987.db2.gz QESWXXOSRQSFJJ-KWCYVHTRSA-N 0 3 248.370 2.854 20 0 BFADHN Cc1cnccc1CN1CC(C(C)(C)C)C1 ZINC000334788150 386850491 /nfs/dbraw/zinc/85/04/91/386850491.db2.gz OEYZDAHIXJXLGT-UHFFFAOYSA-N 0 3 218.344 2.868 20 0 BFADHN CC(C)Cn1cc(CN2C[C@H](C)[C@@H](C)C2)cn1 ZINC000341165040 386850557 /nfs/dbraw/zinc/85/05/57/386850557.db2.gz RHDJPRJDMKTABK-STQMWFEESA-N 0 3 235.375 2.627 20 0 BFADHN COC[C@@H]1CCN1C[C@H](C)c1ccc(F)cc1 ZINC000293655714 386854769 /nfs/dbraw/zinc/85/47/69/386854769.db2.gz NIIQGVIHPNPGMY-FZMZJTMJSA-N 0 3 237.318 2.650 20 0 BFADHN CC(C)[C@@H](CO)NCc1ccsc1Cl ZINC000312626570 386855144 /nfs/dbraw/zinc/85/51/44/386855144.db2.gz OYXRQLZNRVAJNE-SECBINFHSA-N 0 3 233.764 2.508 20 0 BFADHN c1coc(CN2CC3(CCOCC3)[C@@H]2C2CC2)c1 ZINC000293662755 386856469 /nfs/dbraw/zinc/85/64/69/386856469.db2.gz NYMXWXQTXXJOLK-AWEZNQCLSA-N 0 3 247.338 2.671 20 0 BFADHN CC[C@]1(O)CCN([C@H](C)c2ccccc2F)C1 ZINC000334790583 386856822 /nfs/dbraw/zinc/85/68/22/386856822.db2.gz FNCSJGJTXBQEGF-RISCZKNCSA-N 0 3 237.318 2.734 20 0 BFADHN CC1=CC[C@@H](N[C@H](C)c2ccn(C)n2)CC1 ZINC000334791035 386856994 /nfs/dbraw/zinc/85/69/94/386856994.db2.gz DSLAZRHISBDLAU-VXGBXAGGSA-N 0 3 219.332 2.570 20 0 BFADHN CC1=CC[C@@H](N[C@@H](C)c2ccn(C)n2)CC1 ZINC000334791033 386857011 /nfs/dbraw/zinc/85/70/11/386857011.db2.gz DSLAZRHISBDLAU-NWDGAFQWSA-N 0 3 219.332 2.570 20 0 BFADHN c1coc(CN2CC3(CCOCC3)[C@H]2C2CC2)c1 ZINC000293662760 386857371 /nfs/dbraw/zinc/85/73/71/386857371.db2.gz NYMXWXQTXXJOLK-CQSZACIVSA-N 0 3 247.338 2.671 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cc(C)c(C)o1)C1CC1 ZINC000293870214 386894087 /nfs/dbraw/zinc/89/40/87/386894087.db2.gz ZPSCEKJKBZUEMM-IINYFYTJSA-N 0 3 237.343 2.972 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cnc(C3CC3)nc2)C1 ZINC000334793427 386860139 /nfs/dbraw/zinc/86/01/39/386860139.db2.gz XUJKNKGDUYFTJZ-RYUDHWBXSA-N 0 3 245.370 2.832 20 0 BFADHN OC1CCN(Cc2ccc(C3CC3)cc2)CC1 ZINC000334794688 386862204 /nfs/dbraw/zinc/86/22/04/386862204.db2.gz HJXZSHGATYIDTQ-UHFFFAOYSA-N 0 3 231.339 2.521 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H](C)n2ccnc21)C1CC1 ZINC000323925004 386894356 /nfs/dbraw/zinc/89/43/56/386894356.db2.gz WGRPBYIJJSFGKU-ZMLRMANQSA-N 0 3 219.332 2.667 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]3CCC[C@@H]32)nn1 ZINC000334828204 386865252 /nfs/dbraw/zinc/86/52/52/386865252.db2.gz YNYROVVDHUGKKF-JSGCOSHPSA-N 0 3 231.343 2.550 20 0 BFADHN CO[C@H]1CCC[C@@H]1CNCc1ccccc1F ZINC000341255745 386868231 /nfs/dbraw/zinc/86/82/31/386868231.db2.gz HTXJCKWBEDYYMN-OCCSQVGLSA-N 0 3 237.318 2.730 20 0 BFADHN Cc1occc1CN1CCCO[C@H](C(C)C)C1 ZINC000334835709 386875828 /nfs/dbraw/zinc/87/58/28/386875828.db2.gz TZTKDHPLCPHMFJ-AWEZNQCLSA-N 0 3 237.343 2.835 20 0 BFADHN Cc1ccc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)nn1 ZINC000334836154 386876768 /nfs/dbraw/zinc/87/67/68/386876768.db2.gz WVKDRLAFBKVQTQ-KBPBESRZSA-N 0 3 245.370 2.796 20 0 BFADHN CN(C)C1(CNCc2ccc(Cl)o2)CCC1 ZINC000165172782 386894812 /nfs/dbraw/zinc/89/48/12/386894812.db2.gz MPHGRWPKLKOPRC-UHFFFAOYSA-N 0 3 242.750 2.507 20 0 BFADHN CC/C=C\CCN(C)CCc1nccs1 ZINC000341318468 386879126 /nfs/dbraw/zinc/87/91/26/386879126.db2.gz MINRRMWDOKETBN-PLNGDYQASA-N 0 3 224.373 2.974 20 0 BFADHN Cc1ncsc1NC(=O)C(C)C(F)(F)F ZINC000334839437 386882812 /nfs/dbraw/zinc/88/28/12/386882812.db2.gz BFSOPCCYMGJTQG-SCSAIBSYSA-N 0 3 238.234 2.588 20 0 BFADHN CC[C@H](N[C@H]1C[C@@](C)(O)C1)c1ccccc1OC ZINC000417392396 386883342 /nfs/dbraw/zinc/88/33/42/386883342.db2.gz BHRKPJLITSZLCA-CORIIIEPSA-N 0 3 249.354 2.649 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@](C)(O)C1)c1ccccc1OC ZINC000417392397 386883953 /nfs/dbraw/zinc/88/39/53/386883953.db2.gz BHRKPJLITSZLCA-KYOSRNDESA-N 0 3 249.354 2.649 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cnc2ccccc2c1 ZINC000358059834 386885839 /nfs/dbraw/zinc/88/58/39/386885839.db2.gz JKYIJFBYUVKEIN-RYUDHWBXSA-N 0 3 244.338 2.748 20 0 BFADHN CCc1ncc(CN2C[C@H](C)CC2(C)C)cn1 ZINC000334842895 386888582 /nfs/dbraw/zinc/88/85/82/386888582.db2.gz ZCJBPAMGEOHBDV-LLVKDONJSA-N 0 3 233.359 2.659 20 0 BFADHN Cc1cccc(CN[C@@](C)(CO)C(C)C)c1F ZINC000398608856 386890241 /nfs/dbraw/zinc/89/02/41/386890241.db2.gz ZPSUCXDHJNUBBB-AWEZNQCLSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1cnn([C@H]2CCN(Cc3ccoc3C)C2)c1 ZINC000334843055 386890271 /nfs/dbraw/zinc/89/02/71/386890271.db2.gz AIQHBNKMSXDADT-AWEZNQCLSA-N 0 3 245.326 2.540 20 0 BFADHN CCCn1cc(CN2CC[C@@H](C)C[C@H]2C)cn1 ZINC000425360741 386916649 /nfs/dbraw/zinc/91/66/49/386916649.db2.gz VYXVGOWMGZOSRK-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1cccc2c[nH]nc21 ZINC000335760500 386899633 /nfs/dbraw/zinc/89/96/33/386899633.db2.gz RNKGALRDMFEPSV-NXEZZACHSA-N 0 3 215.300 2.546 20 0 BFADHN CCC1(CNCc2ncc(C)n2C)CCCC1 ZINC000341354812 386906027 /nfs/dbraw/zinc/90/60/27/386906027.db2.gz OKFMGITZCPUAMP-UHFFFAOYSA-N 0 3 235.375 2.789 20 0 BFADHN CCc1ncc(CN2C[C@@H]3CCCC[C@@H]3C2)cn1 ZINC000334854864 386906633 /nfs/dbraw/zinc/90/66/33/386906633.db2.gz UWHYVVWTQINECT-OKILXGFUSA-N 0 3 245.370 2.661 20 0 BFADHN Cc1ccc2nccc(NCC3(O)CCC3)c2c1 ZINC000302140569 386906733 /nfs/dbraw/zinc/90/67/33/386906733.db2.gz DTCRYWWWFLKMPZ-UHFFFAOYSA-N 0 3 242.322 2.870 20 0 BFADHN Cc1ccc2nccc(N[C@H](CO)C(C)C)c2c1 ZINC000302149519 386906957 /nfs/dbraw/zinc/90/69/57/386906957.db2.gz JOYYPVJFBFNFAK-OAHLLOKOSA-N 0 3 244.338 2.972 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc3cccnc3o2)CS1 ZINC000334855671 386906925 /nfs/dbraw/zinc/90/69/25/386906925.db2.gz ZLKAHZKFFOLPNL-MWLCHTKSSA-N 0 3 248.351 2.811 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCC[C@@H](C)C2)nn1 ZINC000334854894 386907482 /nfs/dbraw/zinc/90/74/82/386907482.db2.gz HJZSRBQSXBBBHW-BXUZGUMPSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cc(CNc2nccn2C)c(C)s1 ZINC000334856099 386909311 /nfs/dbraw/zinc/90/93/11/386909311.db2.gz MUWDBJGQTXQXLM-UHFFFAOYSA-N 0 3 221.329 2.711 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)[C@H]1CC[C@H](C)C1 ZINC000334864895 386922139 /nfs/dbraw/zinc/92/21/39/386922139.db2.gz PRIOCLDHOLXRLD-CABZTGNLSA-N 0 3 221.348 2.647 20 0 BFADHN CCC1(C)CCC(NCc2ccn(C)n2)CC1 ZINC000358266873 386922727 /nfs/dbraw/zinc/92/27/27/386922727.db2.gz NJODDPRZPXXORY-UHFFFAOYSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1ccc(CN2CCC[C@H]2C2CCC2)nn1 ZINC000334867794 386926452 /nfs/dbraw/zinc/92/64/52/386926452.db2.gz JWRNIMXOFYSCQW-AWEZNQCLSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1ccc(CCNCc2ccncc2C)o1 ZINC000518899832 386928346 /nfs/dbraw/zinc/92/83/46/386928346.db2.gz MPXWPGVXIAXUKD-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CC(C)Cn1nccc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC000648010444 386929487 /nfs/dbraw/zinc/92/94/87/386929487.db2.gz CJGRFEPMXGYCBL-OKILXGFUSA-N 0 3 247.386 2.627 20 0 BFADHN CCC[C@H](NCc1ccnc(OC)n1)C1CCC1 ZINC000395111753 386930166 /nfs/dbraw/zinc/93/01/66/386930166.db2.gz CLBHREUJRVRNAT-ZDUSSCGKSA-N 0 3 249.358 2.544 20 0 BFADHN Cc1ccc([C@@H](C)NCC2(CCO)CCC2)o1 ZINC000358305315 386931392 /nfs/dbraw/zinc/93/13/92/386931392.db2.gz SFLKFCVCXFLOEI-GFCCVEGCSA-N 0 3 237.343 2.791 20 0 BFADHN Cc1c[nH]nc1CN[C@@H](CC(F)(F)F)C(C)C ZINC000352894419 386931494 /nfs/dbraw/zinc/93/14/94/386931494.db2.gz MYOHRRDNSOCWIK-VIFPVBQESA-N 0 3 249.280 2.785 20 0 BFADHN Cc1ccc(CN2CCC3(C2)CCCCC3)nn1 ZINC000334874417 386934932 /nfs/dbraw/zinc/93/49/32/386934932.db2.gz ZUABDUDHNBXVEM-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN Cc1occc1CN1CCC[C@@]2(CCCOC2)C1 ZINC000334874366 386935316 /nfs/dbraw/zinc/93/53/16/386935316.db2.gz DUTWWRVKVRTVCX-OAHLLOKOSA-N 0 3 249.354 2.981 20 0 BFADHN Cc1cnc(CCN[C@H](C)c2ccsc2)nc1 ZINC000358364162 386941937 /nfs/dbraw/zinc/94/19/37/386941937.db2.gz HJKGPPVMJHBVBV-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1ccnc(CN2CC[C@H](C)C[C@H](C)C2)n1 ZINC000334816645 386942752 /nfs/dbraw/zinc/94/27/52/386942752.db2.gz UZVOMDIXHGFUOW-RYUDHWBXSA-N 0 3 233.359 2.653 20 0 BFADHN CN(CCOCC1CCC1)CCc1ccccc1 ZINC000341674292 386942679 /nfs/dbraw/zinc/94/26/79/386942679.db2.gz HTUBJIIWULQEEV-UHFFFAOYSA-N 0 3 247.382 2.978 20 0 BFADHN COC[C@H](N[C@@H](c1cccnc1)C1CC1)C1CC1 ZINC000358368681 386943789 /nfs/dbraw/zinc/94/37/89/386943789.db2.gz OVMICWHMEJABPJ-LSDHHAIUSA-N 0 3 246.354 2.547 20 0 BFADHN COCC[C@H]1COCCN1CCCC(C)(C)C ZINC000368260853 386954666 /nfs/dbraw/zinc/95/46/66/386954666.db2.gz NOOOJMNAYUWREQ-ZDUSSCGKSA-N 0 3 243.391 2.550 20 0 BFADHN C[C@@H](N[C@@H]1CC2CCC1CC2)c1ccn(C)n1 ZINC000334823690 386955136 /nfs/dbraw/zinc/95/51/36/386955136.db2.gz KAABAZHQYGKODZ-MLCFOIATSA-N 0 3 233.359 2.649 20 0 BFADHN CCc1ncc(CN2CCC[C@@H]3CCC[C@H]32)cn1 ZINC000334826325 386957784 /nfs/dbraw/zinc/95/77/84/386957784.db2.gz PQDOZLMYLRUIFN-UONOGXRCSA-N 0 3 245.370 2.804 20 0 BFADHN Cc1noc(C)c1CN1CC[C@H]1C(C)C ZINC000334886149 386959345 /nfs/dbraw/zinc/95/93/45/386959345.db2.gz ZHEUZEJIWCDZDS-LBPRGKRZSA-N 0 3 208.305 2.522 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1ccc(C)cn1 ZINC000358749311 387007364 /nfs/dbraw/zinc/00/73/64/387007364.db2.gz YMJSQOSIVZDPDT-ZDUSSCGKSA-N 0 3 238.400 2.963 20 0 BFADHN COc1ccc(CNC2CC(C)(C)C2)cc1O ZINC000395179723 386964674 /nfs/dbraw/zinc/96/46/74/386964674.db2.gz MOACRFFLLFDUDQ-UHFFFAOYSA-N 0 3 235.327 2.679 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2CCOC2(C)C)c1 ZINC000334894774 386967904 /nfs/dbraw/zinc/96/79/04/386967904.db2.gz HCVBFECVTCUWJB-WCQYABFASA-N 0 3 234.343 2.608 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000352932241 386970755 /nfs/dbraw/zinc/97/07/55/386970755.db2.gz FJOOVXWKMIEYLW-DRZSPHRISA-N 0 3 235.375 2.880 20 0 BFADHN CCc1ccc(CN2CC([C@H]3CCOC3)C2)cc1 ZINC000368442451 386973172 /nfs/dbraw/zinc/97/31/72/386973172.db2.gz PJOPBPHRQASUPO-HNNXBMFYSA-N 0 3 245.366 2.717 20 0 BFADHN CCC[C@H](C)CN[C@@H](c1nncn1C)C(C)C ZINC000352952308 386974728 /nfs/dbraw/zinc/97/47/28/386974728.db2.gz QGMWIJMNASHOHI-NWDGAFQWSA-N 0 3 238.379 2.538 20 0 BFADHN Cl/C=C/CN1CCC2(CCOCC2)CC1 ZINC000368502956 386982450 /nfs/dbraw/zinc/98/24/50/386982450.db2.gz IYCLAHWGSJTPKH-LZCJLJQNSA-N 0 3 229.751 2.632 20 0 BFADHN CC(C)n1nccc1CN1CCCC1(C)C ZINC000334916885 386991674 /nfs/dbraw/zinc/99/16/74/386991674.db2.gz GMZQSACFTSFNDK-UHFFFAOYSA-N 0 3 221.348 2.838 20 0 BFADHN CC1CN(Cc2cnc(-c3ccccc3)[nH]2)C1 ZINC000334930008 387006149 /nfs/dbraw/zinc/00/61/49/387006149.db2.gz YMHFSDPUXREQFO-UHFFFAOYSA-N 0 3 227.311 2.528 20 0 BFADHN CCN(Cc1cccs1)C[C@@H](OC)C1CC1 ZINC000425378353 387031896 /nfs/dbraw/zinc/03/18/96/387031896.db2.gz AUXJWEXDXYBOGW-CYBMUJFWSA-N 0 3 239.384 2.995 20 0 BFADHN CO[C@H](CN(C)Cc1ccc(C)cc1)C1CC1 ZINC000425383188 387033704 /nfs/dbraw/zinc/03/37/04/387033704.db2.gz KFHAQPGHDOOTGG-OAHLLOKOSA-N 0 3 233.355 2.852 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1ccc2cc[nH]c2n1 ZINC000425383970 387034826 /nfs/dbraw/zinc/03/48/26/387034826.db2.gz PWHSQLCBBCBMSA-NEPJUHHUSA-N 0 3 247.367 2.547 20 0 BFADHN CC(C)Cn1nccc1CN1CC[C@H]2CCC[C@@H]21 ZINC000648025992 387035212 /nfs/dbraw/zinc/03/52/12/387035212.db2.gz KVWDXWJCXPNFLD-HIFRSBDPSA-N 0 3 247.386 2.914 20 0 BFADHN CC(C)c1ccc(NC(=O)[C@H](N)C2CCC2)cc1 ZINC000399017119 387039053 /nfs/dbraw/zinc/03/90/53/387039053.db2.gz DUNDYQVEWZRXBB-CQSZACIVSA-N 0 3 246.354 2.876 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1C[C@H](C)C[C@H](C)C1 ZINC000096593422 387041309 /nfs/dbraw/zinc/04/13/09/387041309.db2.gz NWIATJPHXNFHLM-XQQFMLRXSA-N 0 3 241.375 2.696 20 0 BFADHN COC[C@H](C)NCc1cc2c(cc(C)cc2C)[nH]1 ZINC000358986085 387041786 /nfs/dbraw/zinc/04/17/86/387041786.db2.gz LJFQNOVIKQLKGQ-LBPRGKRZSA-N 0 3 246.354 2.909 20 0 BFADHN Cc1cc(CN2CC[C@]3(CC[C@@H](C)C3)C2)n(C)n1 ZINC000334962865 387042618 /nfs/dbraw/zinc/04/26/18/387042618.db2.gz XVVNMSFBEXQAJM-DOMZBBRYSA-N 0 3 247.386 2.741 20 0 BFADHN CCCc1cccc(CN[C@@H]2C=C[C@@H](CO)C2)c1 ZINC000359019091 387045061 /nfs/dbraw/zinc/04/50/61/387045061.db2.gz ZBQNYXAMNDMJSI-HZPDHXFCSA-N 0 3 245.366 2.666 20 0 BFADHN CC[C@H](NC[C@H]1CC[C@H](C)C1)c1ccn(C)n1 ZINC000359037470 387046175 /nfs/dbraw/zinc/04/61/75/387046175.db2.gz QUYCNJATKOYLJE-AVGNSLFASA-N 0 3 235.375 2.897 20 0 BFADHN C[C@H](O)C[C@H](C)N[C@@H](C)c1cc(F)cc(F)c1 ZINC000228090653 387066929 /nfs/dbraw/zinc/06/69/29/387066929.db2.gz FXZUVHRQDNCNPW-GUBZILKMSA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@H](NC[C@H]1CC[C@H](C)C1)c1nccn1C ZINC000359053068 387050752 /nfs/dbraw/zinc/05/07/52/387050752.db2.gz ZIDASFONEGRPHA-AVGNSLFASA-N 0 3 235.375 2.897 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H](CO)CC1CCC1 ZINC000359053030 387051198 /nfs/dbraw/zinc/05/11/98/387051198.db2.gz ZGDMMGAREHKFTE-TZMCWYRMSA-N 0 3 248.370 2.592 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@@H](N)C1CCC1 ZINC000399078944 387055259 /nfs/dbraw/zinc/05/52/59/387055259.db2.gz OYQDQNWUWVMJHZ-STQMWFEESA-N 0 3 240.391 2.589 20 0 BFADHN CCCn1cc(CNC[C@H](C)C(F)(F)F)cn1 ZINC000359131173 387055696 /nfs/dbraw/zinc/05/56/96/387055696.db2.gz MCUOIOGFOXHHAN-VIFPVBQESA-N 0 3 249.280 2.581 20 0 BFADHN CCCC[C@H](CC)CNC(=O)CN(C)CCC ZINC000519310972 387055970 /nfs/dbraw/zinc/05/59/70/387055970.db2.gz MPBWYIZTLKKIGV-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN CCn1cncc1CN(CC1CCC1)C(C)C ZINC000418034313 387057025 /nfs/dbraw/zinc/05/70/25/387057025.db2.gz OIQMUUHZLJRHEM-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1ncc(CN2CCC3(CCCC3)CC2)cn1 ZINC000334972828 387058781 /nfs/dbraw/zinc/05/87/81/387058781.db2.gz FOSSHBSGTIGPOR-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN C[C@H](O)CCCN[C@@H](C)c1ccc(F)cc1F ZINC000228044840 387061181 /nfs/dbraw/zinc/06/11/81/387061181.db2.gz XLLDVGOOXYIOAI-UWVGGRQHSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1ccoc1CN1CCN(C2CC2)C[C@@H](C)C1 ZINC000336222766 387061383 /nfs/dbraw/zinc/06/13/83/387061383.db2.gz IKQIIOYPEBQYCB-LBPRGKRZSA-N 0 3 248.370 2.504 20 0 BFADHN C[C@@H](O)CCCN[C@@H](C)c1ccc(F)cc1F ZINC000228044829 387062256 /nfs/dbraw/zinc/06/22/56/387062256.db2.gz XLLDVGOOXYIOAI-ZJUUUORDSA-N 0 3 243.297 2.776 20 0 BFADHN COC[C@@H](C)NCc1ccc(C(C)C)cc1 ZINC000019904482 387063663 /nfs/dbraw/zinc/06/36/63/387063663.db2.gz BJXNJBBFZJIDDY-GFCCVEGCSA-N 0 3 221.344 2.935 20 0 BFADHN CCn1cncc1CN(C)[C@H]1CC[C@H](C)C1 ZINC000418036460 387063959 /nfs/dbraw/zinc/06/39/59/387063959.db2.gz QHDRKPIVZLXAEZ-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1cncc1CN(C)[C@@H]1CC[C@@H](C)C1 ZINC000418036461 387063995 /nfs/dbraw/zinc/06/39/95/387063995.db2.gz QHDRKPIVZLXAEZ-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@@H](C)c1ccc(F)c(F)c1 ZINC000228085499 387065239 /nfs/dbraw/zinc/06/52/39/387065239.db2.gz JMGHAEMOSPZHLJ-UTLUCORTSA-N 0 3 243.297 2.775 20 0 BFADHN CCC[C@H](C)CN[C@H](c1nccn1C)C1CC1 ZINC000353036334 387010759 /nfs/dbraw/zinc/01/07/59/387010759.db2.gz RBSYTSLNCQCIGM-AAEUAGOBSA-N 0 3 235.375 2.897 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CC[C@@H]1SC ZINC000425372101 387012432 /nfs/dbraw/zinc/01/24/32/387012432.db2.gz RRILMPOYWBQABM-NEPJUHHUSA-N 0 3 240.372 2.529 20 0 BFADHN CC(C)c1cnc(SC[C@H](C)N(C)C)n1C ZINC000358783964 387014561 /nfs/dbraw/zinc/01/45/61/387014561.db2.gz HYBJLXCPCDMMLD-JTQLQIEISA-N 0 3 241.404 2.586 20 0 BFADHN CC[C@H](N[C@H]1C[C@@](C)(O)C1)c1ccsc1 ZINC000417401091 387017130 /nfs/dbraw/zinc/01/71/30/387017130.db2.gz KYVPKCNSTGBPGE-SDDRHHMPSA-N 0 3 225.357 2.702 20 0 BFADHN CC(C)CCCN1CCS[C@@H]2COCC[C@H]21 ZINC000368889340 387017470 /nfs/dbraw/zinc/01/74/70/387017470.db2.gz QGIQOTWCFMQDIR-CHWSQXEVSA-N 0 3 243.416 2.629 20 0 BFADHN CC(C)CCCN1CCS[C@H]2COCC[C@H]21 ZINC000368889341 387018662 /nfs/dbraw/zinc/01/86/62/387018662.db2.gz QGIQOTWCFMQDIR-OLZOCXBDSA-N 0 3 243.416 2.629 20 0 BFADHN CC(C)n1ccnc1SC[C@H](C)N(C)C ZINC000358875372 387024735 /nfs/dbraw/zinc/02/47/35/387024735.db2.gz ASHXJGWQEPOQFP-JTQLQIEISA-N 0 3 227.377 2.506 20 0 BFADHN CC(C)(CNCc1ccno1)c1ccccc1 ZINC000648023309 387026248 /nfs/dbraw/zinc/02/62/48/387026248.db2.gz SBMFCMZVRFGHRZ-UHFFFAOYSA-N 0 3 230.311 2.742 20 0 BFADHN C[C@@H](NCc1ccno1)C1CCC(F)(F)CC1 ZINC000648023658 387028366 /nfs/dbraw/zinc/02/83/66/387028366.db2.gz YYTLGTMXHPDVMK-SECBINFHSA-N 0 3 244.285 2.978 20 0 BFADHN COc1cc(C)c(CN2CC[C@@H](C)C2)c(C)n1 ZINC000359218972 387069748 /nfs/dbraw/zinc/06/97/48/387069748.db2.gz DCDMLLCFWZMRBT-SNVBAGLBSA-N 0 3 234.343 2.549 20 0 BFADHN COc1cc(C)c(CN(C)CC(C)C)c(C)n1 ZINC000359235276 387072238 /nfs/dbraw/zinc/07/22/38/387072238.db2.gz ZROHLAMNHUPMON-UHFFFAOYSA-N 0 3 236.359 2.795 20 0 BFADHN C/C(=C/c1ccccc1)CN[C@H]1C[C@@](C)(O)C1 ZINC000418120100 387072751 /nfs/dbraw/zinc/07/27/51/387072751.db2.gz VPTONKXEFARHTK-MLUADWQDSA-N 0 3 231.339 2.593 20 0 BFADHN COC[C@H](C)N1CCC[C@H](Oc2ccccc2)C1 ZINC000369826693 387072805 /nfs/dbraw/zinc/07/28/05/387072805.db2.gz ZPPBWVIWZQHLEP-ZFWWWQNUSA-N 0 3 249.354 2.565 20 0 BFADHN CCCCN(C)Cc1c(C)cc(OC)nc1C ZINC000359233478 387073310 /nfs/dbraw/zinc/07/33/10/387073310.db2.gz XDFZSGSAWHPALO-UHFFFAOYSA-N 0 3 236.359 2.939 20 0 BFADHN CC[C@H](N[C@H]1CCO[C@H]1C)c1c(C)noc1C ZINC000246303628 387073800 /nfs/dbraw/zinc/07/38/00/387073800.db2.gz DGKROXBLOAMDQX-DLOVCJGASA-N 0 3 238.331 2.510 20 0 BFADHN COc1cnccc1[C@@H](C)N[C@H](C)CC1CC1 ZINC000188773924 387080004 /nfs/dbraw/zinc/08/00/04/387080004.db2.gz ZEZYRFLEZBLFDD-GHMZBOCLSA-N 0 3 234.343 2.929 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1N[C@H]1COC(C)(C)C1 ZINC000418063363 387080617 /nfs/dbraw/zinc/08/06/17/387080617.db2.gz HSRDSZCUUYDFKX-WDMOLILDSA-N 0 3 247.338 2.666 20 0 BFADHN C[C@@H](NCC1(C(F)F)CC1)c1cncs1 ZINC000336765725 387080936 /nfs/dbraw/zinc/08/09/36/387080936.db2.gz RKHJDGGYKIUHPF-SSDOTTSWSA-N 0 3 232.299 2.839 20 0 BFADHN OCCCCCN1Cc2ccccc2C2(CC2)C1 ZINC000186203180 387081955 /nfs/dbraw/zinc/08/19/55/387081955.db2.gz KGWGAIDPVOBAOF-UHFFFAOYSA-N 0 3 245.366 2.696 20 0 BFADHN Cc1nocc1CN1CC[C@H](C2CCCC2)C1 ZINC000334993081 387082399 /nfs/dbraw/zinc/08/23/99/387082399.db2.gz FJIMNKXWPRVNRT-ZDUSSCGKSA-N 0 3 234.343 2.995 20 0 BFADHN CCCc1csc(CNC[C@@H]2CC23CC3)n1 ZINC000418099980 387130846 /nfs/dbraw/zinc/13/08/46/387130846.db2.gz QNMDVQFSWFAKQR-JTQLQIEISA-N 0 3 236.384 2.985 20 0 BFADHN CC(C)[C@@](C)(O)CNCc1sccc1Cl ZINC000193100312 387086653 /nfs/dbraw/zinc/08/66/53/387086653.db2.gz SHODPSMYCLOPHV-NSHDSACASA-N 0 3 247.791 2.898 20 0 BFADHN CCCCN(CCCC)C(=O)CN(C)CCC ZINC000359351820 387089662 /nfs/dbraw/zinc/08/96/62/387089662.db2.gz YHNVZGVJTRYLBZ-UHFFFAOYSA-N 0 3 242.407 2.757 20 0 BFADHN CCn1nncc1CN[C@H](C)CCC=C(C)C ZINC000418078929 387089803 /nfs/dbraw/zinc/08/98/03/387089803.db2.gz PBTMOIZGCVEMAX-GFCCVEGCSA-N 0 3 236.363 2.523 20 0 BFADHN Cc1cccc(CN2CC3(C2)CCCOC3)c1C ZINC000459601919 387095610 /nfs/dbraw/zinc/09/56/10/387095610.db2.gz CSRFAQDUXWUWCX-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN C[C@@H](CNC(=O)CCCCC(C)(C)C)N(C)C ZINC000359400844 387095711 /nfs/dbraw/zinc/09/57/11/387095711.db2.gz KSGUEAGIFMYAIV-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN CC1(C)C[C@H](N[C@@H]2C[C@H]2c2ccccc2F)CO1 ZINC000418088106 387096270 /nfs/dbraw/zinc/09/62/70/387096270.db2.gz JJEOQCQPHAEADX-VHRBIJSZSA-N 0 3 249.329 2.839 20 0 BFADHN CO[C@H](CNCc1ccoc1C)CC(C)C ZINC000418089472 387096358 /nfs/dbraw/zinc/09/63/58/387096358.db2.gz CJJOIMAZEOKJOC-ZDUSSCGKSA-N 0 3 225.332 2.739 20 0 BFADHN C[C@@H](NC1CC(C)(F)C1)c1cncc(F)c1 ZINC000336265935 387099915 /nfs/dbraw/zinc/09/99/15/387099915.db2.gz JOPKELUREQJBDU-HUUUCTMMSA-N 0 3 226.270 2.762 20 0 BFADHN CCC[C@@H]1C[C@H]1NCc1ccccc1NC(C)=O ZINC000160510026 387102007 /nfs/dbraw/zinc/10/20/07/387102007.db2.gz OQKQKUSEUVXORZ-IUODEOHRSA-N 0 3 246.354 2.923 20 0 BFADHN c1ccc2c(c1)COC[C@@H]2NC[C@H]1CCSC1 ZINC000228557380 387102106 /nfs/dbraw/zinc/10/21/06/387102106.db2.gz CVNFJUOBCRCFQN-RISCZKNCSA-N 0 3 249.379 2.601 20 0 BFADHN COc1cnccc1[C@H](C)N[C@H](C)C1CC1 ZINC000188790566 387102800 /nfs/dbraw/zinc/10/28/00/387102800.db2.gz YWIMZJQHYVWYOY-ZJUUUORDSA-N 0 3 220.316 2.539 20 0 BFADHN Cc1ccc(CN2CCC[C@H](C)C2)c(C)n1 ZINC000186559778 387109684 /nfs/dbraw/zinc/10/96/84/387109684.db2.gz KSBFAAGGOXKJGP-NSHDSACASA-N 0 3 218.344 2.930 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H]1COCc2ccccc21 ZINC000418097808 387109958 /nfs/dbraw/zinc/10/99/58/387109958.db2.gz AABPLVLANXFJOL-DOMZBBRYSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000069035767 387110702 /nfs/dbraw/zinc/11/07/02/387110702.db2.gz UKMJBNDLPKSGJA-UHFFFAOYSA-N 0 3 238.762 2.870 20 0 BFADHN C[C@@H](CNCc1cccn1C)Oc1ccccc1 ZINC000119263281 387111259 /nfs/dbraw/zinc/11/12/59/387111259.db2.gz LJZVFDITQZVTKX-ZDUSSCGKSA-N 0 3 244.338 2.582 20 0 BFADHN CCOC[C@H](NCc1ccsc1)C(C)C ZINC000188864207 387112198 /nfs/dbraw/zinc/11/21/98/387112198.db2.gz FJCRHRXHGNOEOD-LBPRGKRZSA-N 0 3 227.373 2.899 20 0 BFADHN Cn1cccc1CNCC1(C(F)(F)F)CCC1 ZINC000353090429 387114416 /nfs/dbraw/zinc/11/44/16/387114416.db2.gz OVTVDJHBQDEECJ-UHFFFAOYSA-N 0 3 246.276 2.847 20 0 BFADHN CC(C)n1cc(CN(C)CC(C)(C)C)cn1 ZINC000352372948 387116032 /nfs/dbraw/zinc/11/60/32/387116032.db2.gz ZKCFEQXDPIFXMO-UHFFFAOYSA-N 0 3 223.364 2.942 20 0 BFADHN CCCN1CC[C@@]2(C1)OCc1ccccc12 ZINC000186634523 387117289 /nfs/dbraw/zinc/11/72/89/387117289.db2.gz LCVSSYCYPMYUEO-AWEZNQCLSA-N 0 3 217.312 2.528 20 0 BFADHN Clc1ccc(CNC[C@@H]2CC23CC3)o1 ZINC000418096519 387117757 /nfs/dbraw/zinc/11/77/57/387117757.db2.gz MQEUQMXECIAOJC-QMMMGPOBSA-N 0 3 211.692 2.823 20 0 BFADHN C[C@H](N[C@@H]1CCSC1)c1ccncc1Cl ZINC000335026532 387118796 /nfs/dbraw/zinc/11/87/96/387118796.db2.gz PKVLOWCIUYHAFU-DTWKUNHWSA-N 0 3 242.775 2.891 20 0 BFADHN CC[C@H]1CCCN(Cc2ccnn2CC)C1 ZINC000119312196 387119967 /nfs/dbraw/zinc/11/99/67/387119967.db2.gz ODKOASMSRMAPON-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN C[C@@H](NC1CCOCC1)c1ccncc1Cl ZINC000335028305 387123238 /nfs/dbraw/zinc/12/32/38/387123238.db2.gz JYOSIIHHAAMVJE-SECBINFHSA-N 0 3 240.734 2.565 20 0 BFADHN c1cc(CN2CCCc3ccccc3CC2)on1 ZINC000336291773 387125002 /nfs/dbraw/zinc/12/50/02/387125002.db2.gz PIJHYHRSFJTFCB-UHFFFAOYSA-N 0 3 242.322 2.666 20 0 BFADHN CC[C@@H](NCc1cc(C)n(C)n1)[C@@H]1CC1(C)C ZINC000418099055 387129347 /nfs/dbraw/zinc/12/93/47/387129347.db2.gz BEZWZGSBEKTNAG-QWHCGFSZSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1cnccc1CN1CC[C@H]1C(C)C ZINC000336226595 387067756 /nfs/dbraw/zinc/06/77/56/387067756.db2.gz XYMIWYUBZQQFAL-ZDUSSCGKSA-N 0 3 204.317 2.620 20 0 BFADHN CCc1cccc(F)c1CNC[C@@H](CC)OC ZINC000353126049 387142031 /nfs/dbraw/zinc/14/20/31/387142031.db2.gz RGYCAVCIJBARIL-GFCCVEGCSA-N 0 3 239.334 2.903 20 0 BFADHN Cc1cc(CN2CCCCC[C@@H]2C)ncn1 ZINC000336316606 387142533 /nfs/dbraw/zinc/14/25/33/387142533.db2.gz NFTCWOWTIFBDTG-LBPRGKRZSA-N 0 3 219.332 2.550 20 0 BFADHN C[C@H](F)CCN1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000352398513 387145452 /nfs/dbraw/zinc/14/54/52/387145452.db2.gz PCLPLFBRHMENEF-GXSJLCMTSA-N 0 3 245.729 2.531 20 0 BFADHN OCCCCN1CCC(c2ccsc2)CC1 ZINC000186945573 387148806 /nfs/dbraw/zinc/14/88/06/387148806.db2.gz LMMUYLYPEZWUMO-UHFFFAOYSA-N 0 3 239.384 2.700 20 0 BFADHN Cn1cc(CN2CCC23CCC3)c(C(C)(C)C)n1 ZINC000336320226 387150270 /nfs/dbraw/zinc/15/02/70/387150270.db2.gz FTNWNAVEYLYSIZ-UHFFFAOYSA-N 0 3 247.386 2.846 20 0 BFADHN CC(C)n1cc(CN2CCCC2(C)C)cn1 ZINC000336325324 387151829 /nfs/dbraw/zinc/15/18/29/387151829.db2.gz YFSGFHUZGBONIF-UHFFFAOYSA-N 0 3 221.348 2.838 20 0 BFADHN CC(C)n1nccc1CN1C[C@@H](C)[C@@H](C)[C@H]1C ZINC000418125836 387152746 /nfs/dbraw/zinc/15/27/46/387152746.db2.gz OMHUIQFUBQPHEU-JHJVBQTASA-N 0 3 235.375 2.940 20 0 BFADHN COC[C@@H]1CCCN(Cc2ccc(C)nc2C)C1 ZINC000187034843 387153021 /nfs/dbraw/zinc/15/30/21/387153021.db2.gz PFHQYDMJTORXPA-CQSZACIVSA-N 0 3 248.370 2.557 20 0 BFADHN CO[C@H](C)CN(C)CCO[C@H]1CCCC[C@@H]1C ZINC000353202821 387155161 /nfs/dbraw/zinc/15/51/61/387155161.db2.gz KPDXPXCUXZHEIN-MJBXVCDLSA-N 0 3 243.391 2.548 20 0 BFADHN C[C@@H](F)CCN1CCCC[C@@H]1c1ccnn1C ZINC000352413397 387167006 /nfs/dbraw/zinc/16/70/06/387167006.db2.gz ONHZPVAECBIFSJ-DGCLKSJQSA-N 0 3 239.338 2.695 20 0 BFADHN C[C@@H](N[C@H]1CCC1(C)C)c1ccccn1 ZINC000284227424 387167565 /nfs/dbraw/zinc/16/75/65/387167565.db2.gz HNRUEASAPXKSBN-PWSUYJOCSA-N 0 3 204.317 2.921 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1nccs1 ZINC000418135789 387169601 /nfs/dbraw/zinc/16/96/01/387169601.db2.gz REUDCKRCXGGPKC-KXUCPTDWSA-N 0 3 210.346 2.619 20 0 BFADHN Fc1ccccc1N1CCN(C2CCCC2)CC1 ZINC000120077403 387177006 /nfs/dbraw/zinc/17/70/06/387177006.db2.gz HCVHWHPRLQESRL-UHFFFAOYSA-N 0 3 248.345 2.890 20 0 BFADHN c1coc(CN2CCC[C@H]([C@@H]3CCOC3)C2)c1 ZINC000336352265 387180324 /nfs/dbraw/zinc/18/03/24/387180324.db2.gz BGZWAJPYUKARCW-QWHCGFSZSA-N 0 3 235.327 2.528 20 0 BFADHN CCc1ccc(CN2CCC[C@@](C)(O)C2)s1 ZINC000161291080 387181114 /nfs/dbraw/zinc/18/11/14/387181114.db2.gz VPRWXBGFBMTXPX-CYBMUJFWSA-N 0 3 239.384 2.657 20 0 BFADHN Cc1ccc(CNC[C@@](C)(O)CCC(C)C)o1 ZINC000077456195 387189370 /nfs/dbraw/zinc/18/93/70/387189370.db2.gz KADPJLIGBQIIEE-AWEZNQCLSA-N 0 3 239.359 2.865 20 0 BFADHN C[C@H](F)CCN1CC(C)(C)OC(C)(C)C1 ZINC000352423129 387189499 /nfs/dbraw/zinc/18/94/99/387189499.db2.gz WIINFHLGDBUGJW-JTQLQIEISA-N 0 3 217.328 2.624 20 0 BFADHN CO[C@H](CNCc1occc1C)CC(C)C ZINC000418104403 387133234 /nfs/dbraw/zinc/13/32/34/387133234.db2.gz UTMVCOKDGCZOPK-LBPRGKRZSA-N 0 3 225.332 2.739 20 0 BFADHN COC[C@H](NCc1sccc1Cl)C1CC1 ZINC000193176378 387134171 /nfs/dbraw/zinc/13/41/71/387134171.db2.gz QXPABDCKGIDCAY-JTQLQIEISA-N 0 3 245.775 2.916 20 0 BFADHN CC[C@@H](C)NCc1ccn(Cc2ccccc2)n1 ZINC000284506548 387203215 /nfs/dbraw/zinc/20/32/15/387203215.db2.gz YAAMKFBEFQGGBQ-CYBMUJFWSA-N 0 3 243.354 2.820 20 0 BFADHN CCN1CCN(Cc2ccsc2C)[C@H](C)C1 ZINC000353463557 387208553 /nfs/dbraw/zinc/20/85/53/387208553.db2.gz MNXCHXQIUFQGQA-LLVKDONJSA-N 0 3 238.400 2.583 20 0 BFADHN CC[C@H](CN[C@@H](C)c1ccccc1OC)OC ZINC000290036098 387208859 /nfs/dbraw/zinc/20/88/59/387208859.db2.gz DEIUKTUQYZPBAI-NWDGAFQWSA-N 0 3 237.343 2.771 20 0 BFADHN CN(C/C=C/c1ccccc1)CC1(O)CCC1 ZINC000353475328 387210516 /nfs/dbraw/zinc/21/05/16/387210516.db2.gz XCPWWHPFGZGMET-WEVVVXLNSA-N 0 3 231.339 2.547 20 0 BFADHN Cc1sccc1CN1CCC([C@H](C)O)CC1 ZINC000336380526 387211948 /nfs/dbraw/zinc/21/19/48/387211948.db2.gz UCCBNGLDHHUDCE-JTQLQIEISA-N 0 3 239.384 2.649 20 0 BFADHN CC[C@H](NCc1ccccc1Cl)C(C)(C)O ZINC000292852879 387215136 /nfs/dbraw/zinc/21/51/36/387215136.db2.gz ACPSLPTWTYROEN-LBPRGKRZSA-N 0 3 241.762 2.979 20 0 BFADHN C[C@@H]1CCCCN(Cc2cn3ccccc3n2)C1 ZINC000187964947 387222795 /nfs/dbraw/zinc/22/27/95/387222795.db2.gz XSORPRGSASBDFD-CYBMUJFWSA-N 0 3 243.354 2.956 20 0 BFADHN c1cnn(CCNCc2ccc(C3CC3)cc2)c1 ZINC000094519367 387224349 /nfs/dbraw/zinc/22/43/49/387224349.db2.gz RNGHWZHVSNZFFD-UHFFFAOYSA-N 0 3 241.338 2.550 20 0 BFADHN CC[C@@H](C)CN1CCC[C@H]1c1nc(C)no1 ZINC000353541432 387225009 /nfs/dbraw/zinc/22/50/09/387225009.db2.gz HQHWWKSLPPHOGI-KOLCDFICSA-N 0 3 223.320 2.561 20 0 BFADHN Cc1noc(C)c1C(C)(C)NCCOC(C)C ZINC000353552348 387228392 /nfs/dbraw/zinc/22/83/92/387228392.db2.gz XXNOZRYYKYCUBY-UHFFFAOYSA-N 0 3 240.347 2.541 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](C)[C@H](C)OC)o1 ZINC000290047010 387231219 /nfs/dbraw/zinc/23/12/19/387231219.db2.gz DVGOQZIYMAQSMH-MXWKQRLJSA-N 0 3 225.332 2.916 20 0 BFADHN CC[C@@H](CN[C@H](C)c1cnn(C(C)C)c1)OC ZINC000353575296 387233015 /nfs/dbraw/zinc/23/30/15/387233015.db2.gz KOHNZQGKZKYUNR-YPMHNXCESA-N 0 3 239.363 2.540 20 0 BFADHN C[C@@H]1OCC[C@@]1(C)NCc1ccccc1Cl ZINC000230152132 387235452 /nfs/dbraw/zinc/23/54/52/387235452.db2.gz GCFOJHXOKXUEEF-GXFFZTMASA-N 0 3 239.746 2.997 20 0 BFADHN COC[C@@H]1CCN(C/C=C\c2ccc(F)cc2)C1 ZINC000353612030 387240294 /nfs/dbraw/zinc/24/02/94/387240294.db2.gz GDTIHDMDQQBNHE-PYLYLYNFSA-N 0 3 249.329 2.807 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H](CO)CC2CCC2)c1 ZINC000353604664 387241375 /nfs/dbraw/zinc/24/13/75/387241375.db2.gz JSCAFLBOGFIELV-TZMCWYRMSA-N 0 3 248.370 2.592 20 0 BFADHN CO[C@@H](C)CN1Cc2ccccc2C(C)(C)C1 ZINC000360214156 387245566 /nfs/dbraw/zinc/24/55/66/387245566.db2.gz ULSNIZNGVLEQAB-LBPRGKRZSA-N 0 3 233.355 2.815 20 0 BFADHN CCc1nc(CN[C@H](C)[C@H]2C[C@H]2C)cs1 ZINC000321480911 387250460 /nfs/dbraw/zinc/25/04/60/387250460.db2.gz JAUVBUMKEWGDDD-KKZNHRDASA-N 0 3 224.373 2.840 20 0 BFADHN CCN(CCCO)Cc1coc2ccccc12 ZINC000353658989 387251458 /nfs/dbraw/zinc/25/14/58/387251458.db2.gz YIJOWKVIBHJWCX-UHFFFAOYSA-N 0 3 233.311 2.637 20 0 BFADHN CCN(Cc1cnccc1C)C1CCC1 ZINC000353662504 387252241 /nfs/dbraw/zinc/25/22/41/387252241.db2.gz ZHBZKAZPPNIWBZ-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN CO[C@@H]1CC[C@H](N[C@@H](C)c2cc(C)ccn2)C1 ZINC000353666949 387252292 /nfs/dbraw/zinc/25/22/92/387252292.db2.gz AXKBPSVXEGTIDU-RWMBFGLXSA-N 0 3 234.343 2.608 20 0 BFADHN FCCCN1CCC[C@@]2(C1)OCc1ccccc12 ZINC000336363459 387195113 /nfs/dbraw/zinc/19/51/13/387195113.db2.gz AZFLBWHJBXHXIG-HNNXBMFYSA-N 0 3 249.329 2.868 20 0 BFADHN CC(C)n1cc(CN[C@@H]2CC3CCC2CC3)nn1 ZINC000336795032 387201189 /nfs/dbraw/zinc/20/11/89/387201189.db2.gz HIQWXYKFJVQWAJ-ORHYLEIMSA-N 0 3 248.374 2.527 20 0 BFADHN CC(C)CC[C@@H]1CCCN1Cc1ccn(C)n1 ZINC000354026111 387314298 /nfs/dbraw/zinc/31/42/98/387314298.db2.gz NRQMSXGXAOJMCY-AWEZNQCLSA-N 0 3 235.375 2.821 20 0 BFADHN CCc1ccc(CN2CCC=C(C)C2)nc1 ZINC000336488246 387316704 /nfs/dbraw/zinc/31/67/04/387316704.db2.gz JQJMMGHNRKJTOD-UHFFFAOYSA-N 0 3 216.328 2.796 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCCN(C)[C@@H]2C)c1 ZINC000353685409 387259221 /nfs/dbraw/zinc/25/92/21/387259221.db2.gz VMJDCWDPQCSPQI-BFHYXJOUSA-N 0 3 247.386 2.523 20 0 BFADHN C[C@@H](NCCCC1CC1)c1cscn1 ZINC000161718520 387260156 /nfs/dbraw/zinc/26/01/56/387260156.db2.gz SDPXYEDQOHHJFZ-SECBINFHSA-N 0 3 210.346 2.984 20 0 BFADHN CCc1ccc(CCN(C)[C@@H]2CCOC2)cc1 ZINC000360292613 387260062 /nfs/dbraw/zinc/26/00/62/387260062.db2.gz NYRWLRZHKPSDBR-OAHLLOKOSA-N 0 3 233.355 2.512 20 0 BFADHN COc1c(O)cccc1CN1C[C@@H](C)C[C@@H]1C ZINC000336435803 387261275 /nfs/dbraw/zinc/26/12/75/387261275.db2.gz ZROVXZORYNBXTH-QWRGUYRKSA-N 0 3 235.327 2.631 20 0 BFADHN CC[C@H](CN[C@H](C)c1ccc(C)o1)OC ZINC000290055041 387265491 /nfs/dbraw/zinc/26/54/91/387265491.db2.gz FHBGFQXOCWRVGJ-GHMZBOCLSA-N 0 3 211.305 2.664 20 0 BFADHN Cc1cnn(C)c1CN[C@@H](C)c1cccs1 ZINC000285471642 387266837 /nfs/dbraw/zinc/26/68/37/387266837.db2.gz NVYFAIRBXBBANC-JTQLQIEISA-N 0 3 235.356 2.641 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1ncc(C)cn1 ZINC000360339881 387266860 /nfs/dbraw/zinc/26/68/60/387266860.db2.gz WAXZHGZBOCOKSC-QWHCGFSZSA-N 0 3 233.359 2.843 20 0 BFADHN CCC[C@@]1(NCc2occc2C)CCOC1 ZINC000285486744 387268941 /nfs/dbraw/zinc/26/89/41/387268941.db2.gz LTYVDQASXHPIEX-CYBMUJFWSA-N 0 3 223.316 2.637 20 0 BFADHN COCc1ccc(CN2C[C@H](C)C[C@@H]2C)o1 ZINC000353754174 387270851 /nfs/dbraw/zinc/27/08/51/387270851.db2.gz YLUWDXUGWJKIAR-MNOVXSKESA-N 0 3 223.316 2.656 20 0 BFADHN COc1cnccc1[C@H](C)NC1CC(C)C1 ZINC000188788429 387272226 /nfs/dbraw/zinc/27/22/26/387272226.db2.gz OCQDDAKDYRKZJR-YVNMAJEFSA-N 0 3 220.316 2.539 20 0 BFADHN Cc1ccoc1CN(C)CCc1ccccn1 ZINC000120802463 387272906 /nfs/dbraw/zinc/27/29/06/387272906.db2.gz APXPEOLPIPGXEI-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN COc1cc(C)ccc1CN1[C@@H](C)C[C@@H]1C ZINC000514709730 387275609 /nfs/dbraw/zinc/27/56/09/387275609.db2.gz CIGIXFREWNQLHE-RYUDHWBXSA-N 0 3 219.328 2.986 20 0 BFADHN Cc1noc(C)c1C(C)(C)NCC(C)C ZINC000285625659 387275839 /nfs/dbraw/zinc/27/58/39/387275839.db2.gz YJZUNEDVUJFDHG-UHFFFAOYSA-N 0 3 210.321 2.772 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2ccccn2)C1(C)C ZINC000231634948 387280190 /nfs/dbraw/zinc/28/01/90/387280190.db2.gz URLVQMYGCWELOP-CYZMBNFOSA-N 0 3 234.343 2.546 20 0 BFADHN Cc1occc1CNC[C@@H]1Cc2ccccc2O1 ZINC000321592221 387282713 /nfs/dbraw/zinc/28/27/13/387282713.db2.gz PKGAQWSQJRXAOK-AWEZNQCLSA-N 0 3 243.306 2.681 20 0 BFADHN Cc1cnc(NCCN2CCC[C@@H](C)C2)s1 ZINC000302123712 387283212 /nfs/dbraw/zinc/28/32/12/387283212.db2.gz VJWGIZIYRFVJLI-SNVBAGLBSA-N 0 3 239.388 2.595 20 0 BFADHN CCOC[C@H](N[C@H](C)c1cccnc1)C(C)C ZINC000189351207 387283615 /nfs/dbraw/zinc/28/36/15/387283615.db2.gz NBOLTSIQZLPWFS-OCCSQVGLSA-N 0 3 236.359 2.793 20 0 BFADHN Cc1occc1CNC[C@H]1Cc2ccccc2O1 ZINC000321592224 387283769 /nfs/dbraw/zinc/28/37/69/387283769.db2.gz PKGAQWSQJRXAOK-CQSZACIVSA-N 0 3 243.306 2.681 20 0 BFADHN COC[C@@H](NCCCCF)c1ccc(C)o1 ZINC000285721337 387283906 /nfs/dbraw/zinc/28/39/06/387283906.db2.gz SZEBNZKYIRULSI-LLVKDONJSA-N 0 3 229.295 2.615 20 0 BFADHN CSCCN1Cc2ccccc2[C@@H]1C ZINC000336458527 387284395 /nfs/dbraw/zinc/28/43/95/387284395.db2.gz VMRZKYACARCCQA-JTQLQIEISA-N 0 3 207.342 2.926 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCC[C@H](CO)C2)o1 ZINC000302604254 387286736 /nfs/dbraw/zinc/28/67/36/387286736.db2.gz LSOLOCLDTCSNTD-AVGNSLFASA-N 0 3 237.343 2.790 20 0 BFADHN Cn1ccnc1[C@@H](N[C@@H]1CCC1(C)C)C1CC1 ZINC000353867834 387287343 /nfs/dbraw/zinc/28/73/43/387287343.db2.gz OFTHKNLWKFKGSC-NEPJUHHUSA-N 0 3 233.359 2.649 20 0 BFADHN CCOC[C@H](C)NC1(c2cccc(OC)c2)CC1 ZINC000353869477 387288232 /nfs/dbraw/zinc/28/82/32/387288232.db2.gz LBFSIZJFGSKBAH-LBPRGKRZSA-N 0 3 249.354 2.699 20 0 BFADHN Cn1ccnc1[C@H](N[C@H]1CCC1(C)C)C1CC1 ZINC000353867835 387288301 /nfs/dbraw/zinc/28/83/01/387288301.db2.gz OFTHKNLWKFKGSC-NWDGAFQWSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1ccc(CN2[C@H](C)C[C@@H]2C)c(C)n1 ZINC000336460700 387290679 /nfs/dbraw/zinc/29/06/79/387290679.db2.gz FHCFUVYRWHGBMZ-PHIMTYICSA-N 0 3 204.317 2.681 20 0 BFADHN CCCCCN(C)C[C@@H]1COc2ccccc2O1 ZINC000302835036 387294023 /nfs/dbraw/zinc/29/40/23/387294023.db2.gz ZOCJAQLRIUOFKP-CYBMUJFWSA-N 0 3 249.354 2.948 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2C(C)(C)C)on1 ZINC000336468166 387297478 /nfs/dbraw/zinc/29/74/78/387297478.db2.gz QDGMHDXGAKQKHD-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN FCCCCCN1CCOC[C@H]1C1CCC1 ZINC000514860783 387298116 /nfs/dbraw/zinc/29/81/16/387298116.db2.gz HCFJZRCHGNZPJS-ZDUSSCGKSA-N 0 3 229.339 2.627 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)CCC[C@@H]2C)nc1 ZINC000336469736 387298151 /nfs/dbraw/zinc/29/81/51/387298151.db2.gz LWIBVTHEEYDMIZ-AAEUAGOBSA-N 0 3 233.359 2.796 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1C[C@@H]1C[C@H]1c1ccccc1 ZINC000353922165 387299390 /nfs/dbraw/zinc/29/93/90/387299390.db2.gz KVIZEBXEGVGVRF-VRKREXBASA-N 0 3 245.366 2.899 20 0 BFADHN C[C@H](O)CCCN1CCC=C(c2ccco2)C1 ZINC000352544089 387307431 /nfs/dbraw/zinc/30/74/31/387307431.db2.gz NPLUELUKGFQIQH-LBPRGKRZSA-N 0 3 235.327 2.530 20 0 BFADHN C[C@H](NCC1(CO)CC2(CCC2)C1)c1ccoc1 ZINC000515279469 387356550 /nfs/dbraw/zinc/35/65/50/387356550.db2.gz SVMACLCETZDCOB-LBPRGKRZSA-N 0 3 249.354 2.873 20 0 BFADHN CO[C@H](C)CCN[C@@H](C)c1cnn(C(C)C)c1 ZINC000360850727 387358540 /nfs/dbraw/zinc/35/85/40/387358540.db2.gz COTDSLXQONCYLP-NEPJUHHUSA-N 0 3 239.363 2.540 20 0 BFADHN CO[C@@H](C)CCN[C@@H](C)c1cnn(C(C)C)c1 ZINC000360850729 387358654 /nfs/dbraw/zinc/35/86/54/387358654.db2.gz COTDSLXQONCYLP-RYUDHWBXSA-N 0 3 239.363 2.540 20 0 BFADHN CO[C@H]1CCCN(CCCc2ccsc2)C1 ZINC000360895973 387362851 /nfs/dbraw/zinc/36/28/51/387362851.db2.gz CAAZJYKZYRLVGL-ZDUSSCGKSA-N 0 3 239.384 2.792 20 0 BFADHN CCC[C@@H](N[C@@H]1CNCCC1(F)F)C(C)(C)C ZINC000423481391 387363936 /nfs/dbraw/zinc/36/39/36/387363936.db2.gz OILBXDTWSHTIAY-GHMZBOCLSA-N 0 3 248.361 2.788 20 0 BFADHN CCC1(C)CCN(Cc2cnoc2C)CC1 ZINC000336543333 387366598 /nfs/dbraw/zinc/36/65/98/387366598.db2.gz HACVOUUFAHWFKA-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1cnc(CN2CCCCC[C@H]2C)cn1 ZINC000336550391 387369948 /nfs/dbraw/zinc/36/99/48/387369948.db2.gz ZBFDEHWGBWMXJQ-GFCCVEGCSA-N 0 3 219.332 2.550 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H]1CCCOc2ccccc21 ZINC000360946078 387371511 /nfs/dbraw/zinc/37/15/11/387371511.db2.gz HINFDYXWAULEGH-SCRDCRAPSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1ccsc1CN1C[C@H](C)N(C)[C@@H](C)C1 ZINC000354446623 387376201 /nfs/dbraw/zinc/37/62/01/387376201.db2.gz NDGSEGXKQYTTJR-RYUDHWBXSA-N 0 3 238.400 2.581 20 0 BFADHN FC(F)(F)C1=CCN(C[C@H]2CCCOC2)CC1 ZINC000336553278 387376544 /nfs/dbraw/zinc/37/65/44/387376544.db2.gz KWDCUSGSCDNTEP-SNVBAGLBSA-N 0 3 249.276 2.607 20 0 BFADHN Cc1ccc(CN(C)CCCOC(C)C)cn1 ZINC000360973404 387378459 /nfs/dbraw/zinc/37/84/59/387378459.db2.gz IQZQOFKOXYTGIK-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN CC[C@H](O)CNCc1ccc(C2CC2)cc1F ZINC000515468183 387381627 /nfs/dbraw/zinc/38/16/27/387381627.db2.gz MSSLYNKYIYPZBW-ZDUSSCGKSA-N 0 3 237.318 2.564 20 0 BFADHN Cc1cn[nH]c1CN[C@@H]1CSc2ccccc21 ZINC000336495044 387319686 /nfs/dbraw/zinc/31/96/86/387319686.db2.gz LRSSBIPMXXEBMD-GFCCVEGCSA-N 0 3 245.351 2.655 20 0 BFADHN C[C@H](CCC(C)(C)C)N[C@@H]1CNCCC1(F)F ZINC000423472038 387320467 /nfs/dbraw/zinc/32/04/67/387320467.db2.gz FUUXIHDYHIJVRT-GHMZBOCLSA-N 0 3 248.361 2.788 20 0 BFADHN COC[C@H]1CCN1Cc1cc(C)c(C)cc1C ZINC000354057191 387321924 /nfs/dbraw/zinc/32/19/24/387321924.db2.gz RPIGHYTUUMEZLV-OAHLLOKOSA-N 0 3 233.355 2.833 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2C2CCC2)no1 ZINC000336498018 387322479 /nfs/dbraw/zinc/32/24/79/387322479.db2.gz PPEWKNTXECDZFG-CYBMUJFWSA-N 0 3 220.316 2.748 20 0 BFADHN COCc1ccc(CN2CC[C@H](C3CC3)C2)o1 ZINC000354099239 387329031 /nfs/dbraw/zinc/32/90/31/387329031.db2.gz CSBVRUNOKIKHMK-LBPRGKRZSA-N 0 3 235.327 2.658 20 0 BFADHN C[C@H](CNCc1ccno1)Cc1ccccc1 ZINC000515060552 387330160 /nfs/dbraw/zinc/33/01/60/387330160.db2.gz RACIFRXPBRZUJU-LBPRGKRZSA-N 0 3 230.311 2.643 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cnc(C)cn2)C1 ZINC000354108015 387332631 /nfs/dbraw/zinc/33/26/31/387332631.db2.gz BXSFKZGQOQOOSZ-CYBMUJFWSA-N 0 3 233.359 2.797 20 0 BFADHN CC[C@H](CN[C@@H](C)c1sccc1OC)OC ZINC000290074118 387337054 /nfs/dbraw/zinc/33/70/54/387337054.db2.gz GHHYMDKJJVAPPM-VHSXEESVSA-N 0 3 243.372 2.832 20 0 BFADHN CCc1ccc(CN2CCCSCC2)cn1 ZINC000336514051 387337245 /nfs/dbraw/zinc/33/72/45/387337245.db2.gz IHCXVYLBNAWFKD-UHFFFAOYSA-N 0 3 236.384 2.583 20 0 BFADHN COC1(CCNCc2ccc(C)o2)CCC1 ZINC000292979832 387339815 /nfs/dbraw/zinc/33/98/15/387339815.db2.gz MZUANLKJEGLDKW-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN Cc1cc(CN2CCC[C@H]([C@H](C)O)C2)cs1 ZINC000572351611 387340701 /nfs/dbraw/zinc/34/07/01/387340701.db2.gz YZJCOVIFFXXXDJ-AAEUAGOBSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccs1)[C@H]1C[C@H]1C ZINC000321971090 387342694 /nfs/dbraw/zinc/34/26/94/387342694.db2.gz ZZQRDIAHXGTMBU-IMSYWVGJSA-N 0 3 210.346 2.838 20 0 BFADHN CO[C@]1(C)C[C@H](N(C)CC2(F)CC2)C1(C)C ZINC000525978325 387344886 /nfs/dbraw/zinc/34/48/86/387344886.db2.gz LBASQJSTPVWTLH-CMPLNLGQSA-N 0 3 229.339 2.624 20 0 BFADHN FCCN[C@H]1c2ccccc2CC12CCOCC2 ZINC000336526426 387351398 /nfs/dbraw/zinc/35/13/98/387351398.db2.gz ZCYRVVONKDTZPH-AWEZNQCLSA-N 0 3 249.329 2.640 20 0 BFADHN CC[C@H](NCc1cccnc1OC)[C@@H]1C[C@@H]1C ZINC000322009142 387352204 /nfs/dbraw/zinc/35/22/04/387352204.db2.gz IHRWNBWSJGLBAE-UHTWSYAYSA-N 0 3 234.343 2.614 20 0 BFADHN CC(C)CC[C@@H](O)CN1C[C@@H](C)S[C@@H](C)C1 ZINC000572429655 387423429 /nfs/dbraw/zinc/42/34/29/387423429.db2.gz DDRHVAGDCQHJLS-FRRDWIJNSA-N 0 3 245.432 2.609 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1ccn(CC)n1 ZINC000515801160 387428211 /nfs/dbraw/zinc/42/82/11/387428211.db2.gz SOHGMKJNWYXMNO-AAEUAGOBSA-N 0 3 221.348 2.571 20 0 BFADHN CC[C@H]1CN(C[C@@H](O)CCC(C)C)CCS1 ZINC000361276246 387429390 /nfs/dbraw/zinc/42/93/90/387429390.db2.gz DKSDOIZLCAHHKZ-STQMWFEESA-N 0 3 245.432 2.611 20 0 BFADHN CCC[C@H](N)C(=O)N1CCC[C@H]1C(C)(C)CC ZINC000423810881 387430942 /nfs/dbraw/zinc/43/09/42/387430942.db2.gz NBRJPPZZBMAHAF-RYUDHWBXSA-N 0 3 240.391 2.541 20 0 BFADHN CC[C@H](C)[C@](C)(O)CNCc1ccccc1F ZINC000304070314 387433391 /nfs/dbraw/zinc/43/33/91/387433391.db2.gz VFXKPXQAPHJKBT-SMDDNHRTSA-N 0 3 239.334 2.712 20 0 BFADHN CCc1ccccc1CN1CCN(CC)C[C@H]1C ZINC000352689279 387436768 /nfs/dbraw/zinc/43/67/68/387436768.db2.gz DDUDPFQUMVZMTN-CQSZACIVSA-N 0 3 246.398 2.775 20 0 BFADHN CC(=O)c1ccc2c(c1)CCN(CCCF)CC2 ZINC000374378549 387447124 /nfs/dbraw/zinc/44/71/24/387447124.db2.gz BYQDSTVBXZPFJA-UHFFFAOYSA-N 0 3 249.329 2.649 20 0 BFADHN CCO[C@@H]1COCC[C@H]1N[C@H](C)c1ccccc1 ZINC000354959505 387453822 /nfs/dbraw/zinc/45/38/22/387453822.db2.gz LPFPJXRBUYQQCC-BPLDGKMQSA-N 0 3 249.354 2.531 20 0 BFADHN C[C@@H](CN1CC2(C1)CCOC2)c1ccccc1 ZINC000336613228 387454641 /nfs/dbraw/zinc/45/46/41/387454641.db2.gz QNPHYMOYVYYKEX-ZDUSSCGKSA-N 0 3 231.339 2.512 20 0 BFADHN CCCn1cc(CN2CC[C@H](CC3CC3)C2)cn1 ZINC000354532359 387390207 /nfs/dbraw/zinc/39/02/07/387390207.db2.gz ZIOYBBOGXRXIJP-CQSZACIVSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000515500527 387391210 /nfs/dbraw/zinc/39/12/10/387391210.db2.gz LTAAOFOSDFQEPZ-BTTYYORXSA-N 0 3 233.359 2.661 20 0 BFADHN CCN(C)Cc1cnc2ccccc2c1 ZINC000013444133 387393309 /nfs/dbraw/zinc/39/33/09/387393309.db2.gz XJEHXEGHLHUVAA-UHFFFAOYSA-N 0 3 200.285 2.687 20 0 BFADHN Cc1cnc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)n1C ZINC000354585089 387399227 /nfs/dbraw/zinc/39/92/27/387399227.db2.gz WZEGRZLFLNNQTM-OLZOCXBDSA-N 0 3 247.386 2.739 20 0 BFADHN Cc1c[nH]nc1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000336578538 387400648 /nfs/dbraw/zinc/40/06/48/387400648.db2.gz PGWXXMBKQXLQBG-CMPLNLGQSA-N 0 3 221.348 2.729 20 0 BFADHN CSCC[C@H](C)N(C)Cc1ccccn1 ZINC000121714689 387400721 /nfs/dbraw/zinc/40/07/21/387400721.db2.gz CEMCDFWPOCPZAI-NSHDSACASA-N 0 3 224.373 2.655 20 0 BFADHN Cc1cc(CN(C)[C@H]2CC[C@@H](C)C2)on1 ZINC000336579589 387401712 /nfs/dbraw/zinc/40/17/12/387401712.db2.gz KANZVKYVMLJYAH-KOLCDFICSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@H](C)[C@@H]2C)c(C)c1 ZINC000361152155 387405489 /nfs/dbraw/zinc/40/54/89/387405489.db2.gz HCYWBXFZDSXYIC-CABCVRRESA-N 0 3 246.398 2.828 20 0 BFADHN CCc1cccc(F)c1CN[C@H](C)C[C@@H](C)O ZINC000354622825 387405944 /nfs/dbraw/zinc/40/59/44/387405944.db2.gz BPRMXLCHYCRJQK-GHMZBOCLSA-N 0 3 239.334 2.637 20 0 BFADHN CCOc1cccc(CN2CCC[C@H]2CC)n1 ZINC000352649164 387406259 /nfs/dbraw/zinc/40/62/59/387406259.db2.gz IPKLBPILANLZLQ-CYBMUJFWSA-N 0 3 234.343 2.855 20 0 BFADHN CCC[C@H](N)C(=O)N(CC)[C@@H]1CCCC[C@@H]1C ZINC000423745532 387407145 /nfs/dbraw/zinc/40/71/45/387407145.db2.gz PTDHBTSLXCLGMN-RWMBFGLXSA-N 0 3 240.391 2.541 20 0 BFADHN Cc1ccc(CN2C[C@H](C)N(C)C[C@H]2C)s1 ZINC000361165465 387408301 /nfs/dbraw/zinc/40/83/01/387408301.db2.gz JKINSTXEOXEKNM-WDEREUQCSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1ccsc1CN1CCN(C)[C@@H](C)[C@@H]1C ZINC000361158019 387408400 /nfs/dbraw/zinc/40/84/00/387408400.db2.gz YTOHBLUNEZWLIJ-RYUDHWBXSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1ccn2cc(CN[C@H](C)C3CC3)nc2c1 ZINC000361156547 387408598 /nfs/dbraw/zinc/40/85/98/387408598.db2.gz XQXKZNOOKWDHOV-LLVKDONJSA-N 0 3 229.327 2.531 20 0 BFADHN C=Cn1cc(CN2CCCCC[C@@H]2C)cn1 ZINC000336581935 387408580 /nfs/dbraw/zinc/40/85/80/387408580.db2.gz UMBXAYGEVRPVRV-LBPRGKRZSA-N 0 3 219.332 2.748 20 0 BFADHN Cc1ccncc1CNC1CC(OC(C)(C)C)C1 ZINC000354641277 387408685 /nfs/dbraw/zinc/40/86/85/387408685.db2.gz YFILHVSXPHISKF-UHFFFAOYSA-N 0 3 248.370 2.826 20 0 BFADHN Cc1cc(C)nc(NC(=O)C(C)C(F)(F)F)c1 ZINC000336585293 387409981 /nfs/dbraw/zinc/40/99/81/387409981.db2.gz SXORILUOTFWDDL-MRVPVSSYSA-N 0 3 246.232 2.835 20 0 BFADHN c1coc([C@@H]2CCN(Cc3ccccn3)C2)c1 ZINC000374007412 387410107 /nfs/dbraw/zinc/41/01/07/387410107.db2.gz GQCUDQHISOQTOA-GFCCVEGCSA-N 0 3 228.295 2.664 20 0 BFADHN Cc1cc(C)nc(NC(=O)C(C)C(F)(F)F)c1 ZINC000336585294 387410684 /nfs/dbraw/zinc/41/06/84/387410684.db2.gz SXORILUOTFWDDL-QMMMGPOBSA-N 0 3 246.232 2.835 20 0 BFADHN CCc1cccc(F)c1CNCCC(C)(C)O ZINC000354654386 387411309 /nfs/dbraw/zinc/41/13/09/387411309.db2.gz FQJLTHVSSMPSDP-UHFFFAOYSA-N 0 3 239.334 2.639 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1cnn(CC)c1 ZINC000354648117 387411707 /nfs/dbraw/zinc/41/17/07/387411707.db2.gz IKHXJDIITNQVDK-JTQLQIEISA-N 0 3 249.280 2.724 20 0 BFADHN Cc1occc1CN(C)C[C@H]1CCO[C@@H](C)C1 ZINC000352715858 387460896 /nfs/dbraw/zinc/46/08/96/387460896.db2.gz GQNOJZKUQIGQHD-AAEUAGOBSA-N 0 3 237.343 2.835 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H](C)CC2CCC2)[n-]1 ZINC000648670255 387421801 /nfs/dbraw/zinc/42/18/01/387421801.db2.gz JPFLJNKBHAGPCR-SNVBAGLBSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H](C)CC2CCC2)[nH]1 ZINC000648670255 387421805 /nfs/dbraw/zinc/42/18/05/387421805.db2.gz JPFLJNKBHAGPCR-SNVBAGLBSA-N 0 3 236.363 2.596 20 0 BFADHN CCOc1cccc(CN(CC)[C@@H]2CCOC2)c1 ZINC000361237728 387422069 /nfs/dbraw/zinc/42/20/69/387422069.db2.gz LQXBSGUBQLTPCS-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@H](C)C[C@@H](C)N[C@H](C)c1ccncn1 ZINC000395882345 387498753 /nfs/dbraw/zinc/49/87/53/387498753.db2.gz HTWAYPAPAAXZEB-QJPTWQEYSA-N 0 3 221.348 2.952 20 0 BFADHN COCc1ccc(CNCC2CC(C)(C)C2)o1 ZINC000548373419 387499011 /nfs/dbraw/zinc/49/90/11/387499011.db2.gz YISXURCKIUQVBD-UHFFFAOYSA-N 0 3 237.343 2.952 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCC[C@H]2C[C@H]21 ZINC000304660502 387501493 /nfs/dbraw/zinc/50/14/93/387501493.db2.gz ZQLUNXHTNBLHSI-DMDPSCGWSA-N 0 3 220.316 2.570 20 0 BFADHN CC1(C)CCN(CC2(F)CC2)CCS1 ZINC000527243693 387543016 /nfs/dbraw/zinc/54/30/16/387543016.db2.gz PMRRVDCBGHIFFJ-UHFFFAOYSA-N 0 3 217.353 2.706 20 0 BFADHN COc1ccc([C@@H](C)N[C@H]2COC(C)(C)C2)cc1 ZINC000396847422 387503091 /nfs/dbraw/zinc/50/30/91/387503091.db2.gz CYBIVOQDELAMJI-DGCLKSJQSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1ccc(CN[C@H]2COC(C)(C)C2)cc1 ZINC000396857230 387503532 /nfs/dbraw/zinc/50/35/32/387503532.db2.gz SYCAJNXAZAMMEF-CYBMUJFWSA-N 0 3 219.328 2.652 20 0 BFADHN COc1ccc(CN2C[C@H](C)CC[C@@H]2C)cn1 ZINC000085824075 387503824 /nfs/dbraw/zinc/50/38/24/387503824.db2.gz GIRSMHPUVLMZHN-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1c[nH]nc1CN1CCCC[C@H]1C1CCC1 ZINC000648077838 387505462 /nfs/dbraw/zinc/50/54/62/387505462.db2.gz LPUDQXJUXIGRPS-AWEZNQCLSA-N 0 3 233.359 2.873 20 0 BFADHN Cc1cc(C)cc(CN2CCOCC[C@H]2C)c1 ZINC000374996553 387505948 /nfs/dbraw/zinc/50/59/48/387505948.db2.gz HMLBBAOSGQJQMQ-CQSZACIVSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1cc(C)c2c(c1)CN(CCC[C@@H](C)O)CC2 ZINC000355265987 387508283 /nfs/dbraw/zinc/50/82/83/387508283.db2.gz XSRTUMKRJOWERB-CQSZACIVSA-N 0 3 247.382 2.823 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1COC(C)(C)C1 ZINC000396898889 387509592 /nfs/dbraw/zinc/50/95/92/387509592.db2.gz VIVXOAMXJJCRDH-NEPJUHHUSA-N 0 3 249.354 2.913 20 0 BFADHN OC1(CCN(Cc2ccccc2)C2CC2)CCC1 ZINC000396906532 387512111 /nfs/dbraw/zinc/51/21/11/387512111.db2.gz GEEYLFXRGZUKEA-UHFFFAOYSA-N 0 3 245.366 2.956 20 0 BFADHN C[C@@H](Cc1ccsc1)NCc1cncs1 ZINC000054788342 387512945 /nfs/dbraw/zinc/51/29/45/387512945.db2.gz PYWMYLLRSNGLKY-VIFPVBQESA-N 0 3 238.381 2.925 20 0 BFADHN Cc1ccc(C(C)(C)NC[C@H]2CC[C@H](C)O2)cn1 ZINC000355298107 387516199 /nfs/dbraw/zinc/51/61/99/387516199.db2.gz WJQXPJCONIYHPG-GXTWGEPZSA-N 0 3 248.370 2.782 20 0 BFADHN C[C@@H](CN[C@@H](C)c1nccn1C)CC(F)(F)F ZINC000396953981 387521170 /nfs/dbraw/zinc/52/11/70/387521170.db2.gz PJSYCXAUGZNJDR-BDAKNGLRSA-N 0 3 249.280 2.659 20 0 BFADHN C[C@@H](N[C@@H](C)CCc1ccco1)c1ccncn1 ZINC000396041373 387523714 /nfs/dbraw/zinc/52/37/14/387523714.db2.gz QYFZITXVTNQMGK-NWDGAFQWSA-N 0 3 245.326 2.742 20 0 BFADHN CCSCC[C@@H](C)N[C@@H](C)c1ccncn1 ZINC000396054734 387528017 /nfs/dbraw/zinc/52/80/17/387528017.db2.gz VXEBBNCYVVILQX-MNOVXSKESA-N 0 3 239.388 2.659 20 0 BFADHN c1ccc(CN2CCOC[C@@H]2C2CCC2)cc1 ZINC000307493786 387529775 /nfs/dbraw/zinc/52/97/75/387529775.db2.gz HLMKFNFFVDKEIU-OAHLLOKOSA-N 0 3 231.339 2.688 20 0 BFADHN C[C@@H](N[C@H]1CCC(C)(C)C1)c1cnccn1 ZINC000122452422 387531269 /nfs/dbraw/zinc/53/12/69/387531269.db2.gz UBDWGYRMCACTHY-MNOVXSKESA-N 0 3 219.332 2.706 20 0 BFADHN CCC[C@H](C)CN1CCC[C@H]1c1ncc[nH]1 ZINC000375355834 387531909 /nfs/dbraw/zinc/53/19/09/387531909.db2.gz XTMZSTISQHKCSP-RYUDHWBXSA-N 0 3 221.348 2.983 20 0 BFADHN CCC[C@H](NC[C@@H](C)O)c1ccc(F)cc1F ZINC000192786323 387534627 /nfs/dbraw/zinc/53/46/27/387534627.db2.gz KCQPCQVITITLGB-RNCFNFMXSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@H](Cc1ccc(O)cc1)N(C)CC1(F)CC1 ZINC000527219890 387535831 /nfs/dbraw/zinc/53/58/31/387535831.db2.gz IFUOCCVUEIWGIW-LLVKDONJSA-N 0 3 237.318 2.757 20 0 BFADHN COCC(C)(C)CN1CCn2cccc2[C@@H]1C ZINC000426062219 387537157 /nfs/dbraw/zinc/53/71/57/387537157.db2.gz WUIUPZISTNGXSM-LBPRGKRZSA-N 0 3 236.359 2.537 20 0 BFADHN CCOC[C@@H]1CCC[C@H]1NCc1cccc(C)n1 ZINC000527223522 387540586 /nfs/dbraw/zinc/54/05/86/387540586.db2.gz ATLFMOHJDBKXAM-DZGCQCFKSA-N 0 3 248.370 2.685 20 0 BFADHN C[C@@H](F)CCN1CC(C)(C)O[C@@H]2CCC[C@H]21 ZINC000367134526 387462741 /nfs/dbraw/zinc/46/27/41/387462741.db2.gz YZUYZDNUYBDPET-IJLUTSLNSA-N 0 3 229.339 2.766 20 0 BFADHN FCCCCNCc1nc(-c2ccccc2)c[nH]1 ZINC000361442742 387462870 /nfs/dbraw/zinc/46/28/70/387462870.db2.gz KMVREUKBMSBVGZ-UHFFFAOYSA-N 0 3 247.317 2.916 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCC(C)(C)C2)nn1C ZINC000361467178 387466091 /nfs/dbraw/zinc/46/60/91/387466091.db2.gz BJGGAAAMSYPEPQ-CYBMUJFWSA-N 0 3 235.375 2.739 20 0 BFADHN CCc1ccc(CN[C@@H](CC)[C@H]2CCCO2)cn1 ZINC000352761118 387466729 /nfs/dbraw/zinc/46/67/29/387466729.db2.gz URLQBMYRPTZGGX-LSDHHAIUSA-N 0 3 248.370 2.691 20 0 BFADHN CCN(CCC1CC1)Cc1cn2ccccc2n1 ZINC000355034072 387467622 /nfs/dbraw/zinc/46/76/22/387467622.db2.gz KCGBNSSRJOVOSH-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN CCN(CC(=O)Nc1ccccc1)CC1CCC1 ZINC000361484207 387468721 /nfs/dbraw/zinc/46/87/21/387468721.db2.gz IGRDXBOLZBZICJ-UHFFFAOYSA-N 0 3 246.354 2.747 20 0 BFADHN CCc1ccc(CNC[C@H](C)C(F)(F)F)cn1 ZINC000352742417 387470099 /nfs/dbraw/zinc/47/00/99/387470099.db2.gz OEOMJOBJSYUELG-VIFPVBQESA-N 0 3 246.276 2.932 20 0 BFADHN Cc1cnn(C)c1CNC(C)(C)c1cccs1 ZINC000352755718 387472017 /nfs/dbraw/zinc/47/20/17/387472017.db2.gz NPULIORHPGXZKG-UHFFFAOYSA-N 0 3 249.383 2.815 20 0 BFADHN COC1(CN(C)Cc2ccco2)CCCC1 ZINC000361487933 387472483 /nfs/dbraw/zinc/47/24/83/387472483.db2.gz WYKOMHLNOPGWKE-UHFFFAOYSA-N 0 3 223.316 2.671 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)cn1 ZINC000355070985 387475021 /nfs/dbraw/zinc/47/50/21/387475021.db2.gz AJHZCCLOUPYDHW-JHJVBQTASA-N 0 3 248.370 2.957 20 0 BFADHN CCc1ccc(CNCCOC2CCCC2)cn1 ZINC000352773837 387475054 /nfs/dbraw/zinc/47/50/54/387475054.db2.gz UXVLVUQLJOKYJG-UHFFFAOYSA-N 0 3 248.370 2.693 20 0 BFADHN CCCn1cc(CN2CC[C@H](C(C)C)C2)cn1 ZINC000355082228 387477017 /nfs/dbraw/zinc/47/70/17/387477017.db2.gz QESQWYICRHGIQR-AWEZNQCLSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@H]2CSC2(C)C)c1 ZINC000287623487 387478676 /nfs/dbraw/zinc/47/86/76/387478676.db2.gz TUTZQGDUOZQEOK-PWSUYJOCSA-N 0 3 236.384 2.935 20 0 BFADHN CC/C=C/CCN(CCO)Cc1ccc(C)o1 ZINC000361523770 387480407 /nfs/dbraw/zinc/48/04/07/387480407.db2.gz GKXCXPPUBDHTKZ-SNAWJCMRSA-N 0 3 237.343 2.739 20 0 BFADHN COc1cc(C)nc(CNCCC2(C)CC2)c1 ZINC000355130775 387485427 /nfs/dbraw/zinc/48/54/27/387485427.db2.gz YFUCCOLKKAELQK-UHFFFAOYSA-N 0 3 234.343 2.678 20 0 BFADHN CCOCCNC/C=C/c1ccc(F)c(F)c1 ZINC000352799436 387489002 /nfs/dbraw/zinc/48/90/02/387489002.db2.gz YJWZINVKQCUOLJ-ONEGZZNKSA-N 0 3 241.281 2.604 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000304567449 387491504 /nfs/dbraw/zinc/49/15/04/387491504.db2.gz MCNFWCSUVPGLPB-WHOHXGKFSA-N 0 3 220.316 2.822 20 0 BFADHN CC(=O)c1ccccc1OCCN1CC[C@H](C)C1 ZINC000040719103 387582510 /nfs/dbraw/zinc/58/25/10/387582510.db2.gz FWFCBOBMCRLZRY-LBPRGKRZSA-N 0 3 247.338 2.610 20 0 BFADHN CCCOc1ccc(CNCCOCC)cc1 ZINC000036228396 387583595 /nfs/dbraw/zinc/58/35/95/387583595.db2.gz DIJHRYHGBQDWDS-UHFFFAOYSA-N 0 3 237.343 2.602 20 0 BFADHN CCO[C@@H](CN1CCC(F)(F)CC1)C1CC1 ZINC000528488431 387588460 /nfs/dbraw/zinc/58/84/60/387588460.db2.gz RRNKGXPHUFCSGW-NSHDSACASA-N 0 3 233.302 2.533 20 0 BFADHN Cc1ccc(CN[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)c(C)n1 ZINC000528758679 387588856 /nfs/dbraw/zinc/58/88/56/387588856.db2.gz LAKXUNOYRASOIB-PBOSXPJTSA-N 0 3 248.370 2.600 20 0 BFADHN CCCCN(CCOC)CCC(F)(F)F ZINC000355886080 387589519 /nfs/dbraw/zinc/58/95/19/387589519.db2.gz ZZPKDLVTVXOTGT-UHFFFAOYSA-N 0 3 227.270 2.687 20 0 BFADHN CCSCC[C@@H](C)N(C)CC1(F)CC1 ZINC000527511150 387590768 /nfs/dbraw/zinc/59/07/68/387590768.db2.gz JCMNBHWWFXKUNH-SNVBAGLBSA-N 0 3 219.369 2.952 20 0 BFADHN COC1(C)CN(C[C@H]2CCCC[C@@H]2C)C1 ZINC000362308277 387593667 /nfs/dbraw/zinc/59/36/67/387593667.db2.gz GWBLBQDJKOYTRS-NWDGAFQWSA-N 0 3 211.349 2.533 20 0 BFADHN COC1(C)CN(C[C@@H]2C(C)=CCC[C@@H]2C)C1 ZINC000362314278 387594564 /nfs/dbraw/zinc/59/45/64/387594564.db2.gz YEFVZYUBTOXXIY-QWHCGFSZSA-N 0 3 223.360 2.700 20 0 BFADHN CCc1ccc([C@H](C)NCc2cnn(C)c2)s1 ZINC000041632308 387605687 /nfs/dbraw/zinc/60/56/87/387605687.db2.gz WNFGJGCSMWMFET-JTQLQIEISA-N 0 3 249.383 2.895 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1ccn(C)n1 ZINC000124699197 387629078 /nfs/dbraw/zinc/62/90/78/387629078.db2.gz ASAYDCFMJNICBW-NEPJUHHUSA-N 0 3 223.364 2.725 20 0 BFADHN CO[C@H]1CCN(Cc2ccnc(C)c2)CC1(C)C ZINC000527538496 387607024 /nfs/dbraw/zinc/60/70/24/387607024.db2.gz DBRBDJUTJQKUQV-AWEZNQCLSA-N 0 3 248.370 2.637 20 0 BFADHN CCOc1ccccc1OCCN1CCC[C@@H]1C ZINC000362434106 387611590 /nfs/dbraw/zinc/61/15/90/387611590.db2.gz JQDQWBXSGCDLPE-ZDUSSCGKSA-N 0 3 249.354 2.948 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1ccncc1 ZINC000122925574 387616304 /nfs/dbraw/zinc/61/63/04/387616304.db2.gz NGMVREYEJWIDHO-LLVKDONJSA-N 0 3 224.373 2.655 20 0 BFADHN Cc1c[nH]nc1CN1C[C@H](C)CCC[C@@H]1C ZINC000356124193 387618227 /nfs/dbraw/zinc/61/82/27/387618227.db2.gz PBXDOVGAPLWGMJ-PWSUYJOCSA-N 0 3 221.348 2.729 20 0 BFADHN C[C@H](NCC1(O)CCC1)c1ccc(F)cc1F ZINC000124432666 387618356 /nfs/dbraw/zinc/61/83/56/387618356.db2.gz JLWNQQDMQOMCDT-VIFPVBQESA-N 0 3 241.281 2.530 20 0 BFADHN C[C@H](NCC1(O)CCC1)c1cc(F)ccc1F ZINC000124455618 387618686 /nfs/dbraw/zinc/61/86/86/387618686.db2.gz FCHCISXEHQGAFH-VIFPVBQESA-N 0 3 241.281 2.530 20 0 BFADHN CSCC[C@H](C)N(C)Cc1ccc(C)cn1 ZINC000122940503 387619589 /nfs/dbraw/zinc/61/95/89/387619589.db2.gz UKUZKUJWWZTPBW-LBPRGKRZSA-N 0 3 238.400 2.963 20 0 BFADHN CCN(C)c1ccc(CN2[C@H](C)C[C@@H]2C)cn1 ZINC000527239147 387547443 /nfs/dbraw/zinc/54/74/43/387547443.db2.gz MZZLVPNQXPJPIF-TXEJJXNPSA-N 0 3 233.359 2.520 20 0 BFADHN COc1ccccc1CN1[C@H](C)C[C@H]1C ZINC000527239547 387547762 /nfs/dbraw/zinc/54/77/62/387547762.db2.gz ICJPZZYKGLKIGJ-GHMZBOCLSA-N 0 3 205.301 2.678 20 0 BFADHN c1ccc(OCC2CC2)c(CNC2CC2)c1 ZINC000034825616 387549758 /nfs/dbraw/zinc/54/97/58/387549758.db2.gz NOJIBROCYHQSAS-UHFFFAOYSA-N 0 3 217.312 2.727 20 0 BFADHN Cc1ccncc1CN(C)C[C@@H]1CCO[C@@H](C)C1 ZINC000355582602 387553951 /nfs/dbraw/zinc/55/39/51/387553951.db2.gz KTENQMRQSTZIGW-UONOGXRCSA-N 0 3 248.370 2.637 20 0 BFADHN C=Cn1cc(CN(CC)CC2CCC2)cn1 ZINC000355613881 387556529 /nfs/dbraw/zinc/55/65/29/387556529.db2.gz YYKMYBPEMXQFFR-UHFFFAOYSA-N 0 3 219.332 2.606 20 0 BFADHN CC(C)CCN1CCCC[C@@H]1c1cc[nH]n1 ZINC000375637346 387560687 /nfs/dbraw/zinc/56/06/87/387560687.db2.gz UEYQIJPVRFKECU-CYBMUJFWSA-N 0 3 221.348 2.983 20 0 BFADHN C[C@H](NC[C@@H]1CCOC1)c1c(F)cccc1F ZINC000037738043 387566244 /nfs/dbraw/zinc/56/62/44/387566244.db2.gz LBODGQZZGWOPGN-UWVGGRQHSA-N 0 3 241.281 2.652 20 0 BFADHN Cc1cncc(CN2CCCC[C@H]2C[C@H](C)O)c1 ZINC000279739272 387567440 /nfs/dbraw/zinc/56/74/40/387567440.db2.gz KKJRRMMFAOCYDE-ZFWWWQNUSA-N 0 3 248.370 2.515 20 0 BFADHN c1c(CN2CC[C@@H]3CCC[C@H]32)nc2ccccn12 ZINC000426463853 387571386 /nfs/dbraw/zinc/57/13/86/387571386.db2.gz VEUQKNCIVZDZHV-GXTWGEPZSA-N 0 3 241.338 2.709 20 0 BFADHN C=Cn1cc(CN(CC2CC2)C2CCC2)cn1 ZINC000355725211 387572010 /nfs/dbraw/zinc/57/20/10/387572010.db2.gz GJVHGKCFPMKNFX-UHFFFAOYSA-N 0 3 231.343 2.748 20 0 BFADHN c1c(CN2CC[C@H]3CCC[C@H]32)nc2ccccn12 ZINC000426463859 387572267 /nfs/dbraw/zinc/57/22/67/387572267.db2.gz VEUQKNCIVZDZHV-TZMCWYRMSA-N 0 3 241.338 2.709 20 0 BFADHN CC(C)(C)CCN1CCC[C@@H]1c1cc[nH]n1 ZINC000375725337 387572868 /nfs/dbraw/zinc/57/28/68/387572868.db2.gz BWTKJAGVOXAMIS-GFCCVEGCSA-N 0 3 221.348 2.983 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1ccc(C)nc1 ZINC000122665689 387573399 /nfs/dbraw/zinc/57/33/99/387573399.db2.gz ULJVHPYVBJGFAY-GFCCVEGCSA-N 0 3 238.400 2.963 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2ccccn2)nc1 ZINC000399845109 387573905 /nfs/dbraw/zinc/57/39/05/387573905.db2.gz MVGOLKUYQAOTSV-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN CO[C@H](C)CN1CCc2c(C)cc(C)cc2C1 ZINC000362579948 387633691 /nfs/dbraw/zinc/63/36/91/387633691.db2.gz IXSWSRQAFCUMLE-CYBMUJFWSA-N 0 3 233.355 2.696 20 0 BFADHN Cc1ccc([C@@H](C)NCCn2cccn2)s1 ZINC000042539006 387634920 /nfs/dbraw/zinc/63/49/20/387634920.db2.gz MKMOAGPINAQMSZ-LLVKDONJSA-N 0 3 235.356 2.604 20 0 BFADHN Cc1c[nH]nc1CN(CC1CC1)CC1CCC1 ZINC000356272523 387635788 /nfs/dbraw/zinc/63/57/88/387635788.db2.gz DDXYZZARDOYFQX-UHFFFAOYSA-N 0 3 233.359 2.730 20 0 BFADHN CSC1(CNCc2ccc3cc[nH]c3n2)CC1 ZINC000356270634 387636005 /nfs/dbraw/zinc/63/60/05/387636005.db2.gz CDSNNFQSIAVARP-UHFFFAOYSA-N 0 3 247.367 2.548 20 0 BFADHN CN(CCO)Cc1cccc(-c2ccccc2)c1 ZINC000305737814 387639249 /nfs/dbraw/zinc/63/92/49/387639249.db2.gz VJQULHJIIPLDBV-UHFFFAOYSA-N 0 3 241.334 2.778 20 0 BFADHN CCCCN(C)CCNC(=O)CC(C)(C)CC ZINC000362600483 387639434 /nfs/dbraw/zinc/63/94/34/387639434.db2.gz PDIDGJDKJNCJBN-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CC(C)c1ncc2c(n1)CCN(C[C@@H]1C[C@H]1C)C2 ZINC000527618200 387640369 /nfs/dbraw/zinc/64/03/69/387640369.db2.gz IDFCZBMESZFAPH-NEPJUHHUSA-N 0 3 245.370 2.614 20 0 BFADHN Cn1ccc(CN[C@@H]2CCc3c2cccc3O)c1 ZINC000125061148 387640915 /nfs/dbraw/zinc/64/09/15/387640915.db2.gz BCUBKAVFQWKWJP-CQSZACIVSA-N 0 3 242.322 2.508 20 0 BFADHN Fc1cccc(CN2CCC23CCOCC3)c1 ZINC000376494999 387640985 /nfs/dbraw/zinc/64/09/85/387640985.db2.gz KGAUIVCFOGDKIT-UHFFFAOYSA-N 0 3 235.302 2.581 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@@H]1NCc1ccn(C)n1 ZINC000430702861 387642885 /nfs/dbraw/zinc/64/28/85/387642885.db2.gz CZPANPUNHJNFNK-BZPMIXESSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1ccc(C)c(SCCN(C)C)c1 ZINC000123062783 387643254 /nfs/dbraw/zinc/64/32/54/387643254.db2.gz OCAOMOVJZUXGCH-UHFFFAOYSA-N 0 3 209.358 2.957 20 0 BFADHN CCC[C@@H](NC[C@@H](O)CCC)c1ccccn1 ZINC000305746120 387645819 /nfs/dbraw/zinc/64/58/19/387645819.db2.gz VSFDJKJERHQMBO-QWHCGFSZSA-N 0 3 236.359 2.673 20 0 BFADHN Cc1ccoc1CN(CCO)[C@H]1CC[C@@H](C)C1 ZINC000356368926 387649651 /nfs/dbraw/zinc/64/96/51/387649651.db2.gz PTLJZDXJHPZWQY-YPMHNXCESA-N 0 3 237.343 2.571 20 0 BFADHN Cc1c[nH]nc1CN1CCC(C)(C(C)C)CC1 ZINC000356403228 387652953 /nfs/dbraw/zinc/65/29/53/387652953.db2.gz AOKPEWLWHXKKQI-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@@H](C)C(C)(C)C1 ZINC000356404476 387653898 /nfs/dbraw/zinc/65/38/98/387653898.db2.gz BSNDBMCUHCSPFA-LLVKDONJSA-N 0 3 221.348 2.586 20 0 BFADHN Cc1cnc([C@@H](C)NC[C@@H]2CCCOC2)s1 ZINC000125430872 387656793 /nfs/dbraw/zinc/65/67/93/387656793.db2.gz CGIQVLDVOSNAHL-MNOVXSKESA-N 0 3 240.372 2.529 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@@H]2C[C@H]2C2CC2)on1 ZINC000527653918 387661560 /nfs/dbraw/zinc/66/15/60/387661560.db2.gz NJOJYAVFPDCNSD-XDTLVQLUSA-N 0 3 220.316 2.507 20 0 BFADHN CC(C)CCN1CCOC[C@@H]1CC(C)C ZINC000356515431 387666864 /nfs/dbraw/zinc/66/68/64/387666864.db2.gz LCWISDSXECYENV-ZDUSSCGKSA-N 0 3 213.365 2.779 20 0 BFADHN c1csc(CNCc2cnc3n2CCCC3)c1 ZINC000376769150 387668281 /nfs/dbraw/zinc/66/82/81/387668281.db2.gz PUUOBPFOECVEPM-UHFFFAOYSA-N 0 3 247.367 2.571 20 0 BFADHN C[C@H](F)CCNCc1ccncc1Cl ZINC000527714362 387669692 /nfs/dbraw/zinc/66/96/92/387669692.db2.gz YZZHZLIZWSRJNL-QMMMGPOBSA-N 0 3 216.687 2.573 20 0 BFADHN COc1cccnc1CN[C@@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000527719423 387674111 /nfs/dbraw/zinc/67/41/11/387674111.db2.gz WSCZXKKGSAMGQI-DRZSPHRISA-N 0 3 246.354 2.614 20 0 BFADHN CCCCN(C)CCNC(=O)[C@H](C)[C@H](C)CC ZINC000356591269 387679705 /nfs/dbraw/zinc/67/97/05/387679705.db2.gz IUTKGVWLHPTXQP-CHWSQXEVSA-N 0 3 242.407 2.517 20 0 BFADHN Cn1ccnc1CNCC[C@@H]1CCCC1(C)C ZINC000527731383 387680078 /nfs/dbraw/zinc/68/00/78/387680078.db2.gz ZILNTRCAUKAVPD-LBPRGKRZSA-N 0 3 235.375 2.726 20 0 BFADHN CC1(C)CCC[C@@H]1CCNCc1cnccn1 ZINC000527729258 387680160 /nfs/dbraw/zinc/68/01/60/387680160.db2.gz MNXHUIUVKCOEGI-GFCCVEGCSA-N 0 3 233.359 2.783 20 0 BFADHN c1cc(CNCC(C2CCC2)C2CCC2)n[nH]1 ZINC000527734285 387681669 /nfs/dbraw/zinc/68/16/69/387681669.db2.gz HLRSXKYXIQVCOO-UHFFFAOYSA-N 0 3 233.359 2.716 20 0 BFADHN CCO[C@@H]1COC[C@H]1N[C@@H](CC)c1ccccc1 ZINC000451930738 387681745 /nfs/dbraw/zinc/68/17/45/387681745.db2.gz AHTCZANQYPFULV-RRFJBIMHSA-N 0 3 249.354 2.531 20 0 BFADHN C[C@H](N[C@@H](CCO)c1ccco1)[C@@H]1C[C@H]1C1CC1 ZINC000527694366 387687706 /nfs/dbraw/zinc/68/77/06/387687706.db2.gz ZEJFDURBEFDIAK-PYJNHQTQSA-N 0 3 249.354 2.727 20 0 BFADHN Cc1ccc2nc(CNCC3CC=CC3)cn2c1 ZINC000527743702 387689558 /nfs/dbraw/zinc/68/95/58/387689558.db2.gz IJEHRARQTCMROO-UHFFFAOYSA-N 0 3 241.338 2.699 20 0 BFADHN Cc1cc(F)ccc1[C@H]1CCCN1CCCO ZINC000451971381 387689773 /nfs/dbraw/zinc/68/97/73/387689773.db2.gz MWSDHEICBRJENE-CQSZACIVSA-N 0 3 237.318 2.653 20 0 BFADHN CCOC[C@@H](C)NCc1cccc2cccnc21 ZINC000044690113 387690339 /nfs/dbraw/zinc/69/03/39/387690339.db2.gz YHCACYITFLCEHP-GFCCVEGCSA-N 0 3 244.338 2.749 20 0 BFADHN CC(C)N(C(=O)CN1[C@H](C)CC[C@@H]1C)C(C)C ZINC000123421154 387696616 /nfs/dbraw/zinc/69/66/16/387696616.db2.gz CAWRJTZHRIPDCY-BETUJISGSA-N 0 3 240.391 2.505 20 0 BFADHN CCOC[C@H](C)NCc1cc(C)cc(C)c1 ZINC000044692110 387692186 /nfs/dbraw/zinc/69/21/86/387692186.db2.gz XFZQXYFZSICJJR-ZDUSSCGKSA-N 0 3 221.344 2.818 20 0 BFADHN CCSc1ccc([C@H](C)N[C@@H](C)CO)cc1 ZINC000126624833 387693013 /nfs/dbraw/zinc/69/30/13/387693013.db2.gz QAKOOQFMHCXGSZ-QWRGUYRKSA-N 0 3 239.384 2.830 20 0 BFADHN Clc1ccc(CNCC2CC=CC2)o1 ZINC000527750551 387693127 /nfs/dbraw/zinc/69/31/27/387693127.db2.gz MUTGCALXAHLLLW-UHFFFAOYSA-N 0 3 211.692 2.989 20 0 BFADHN CC(C)=CCN1CC2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000377783640 387749271 /nfs/dbraw/zinc/74/92/71/387749271.db2.gz OTSKXHSNYSHYSW-KGLIPLIRSA-N 0 3 235.371 2.986 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCOC2)ccc1Cl ZINC000356966391 387754308 /nfs/dbraw/zinc/75/43/08/387754308.db2.gz MTSQDTXYBSYSID-GFCCVEGCSA-N 0 3 239.746 2.869 20 0 BFADHN C[C@@H](N[C@H]1COc2cc(F)cc(F)c21)C1CC1 ZINC000377176435 387697798 /nfs/dbraw/zinc/69/77/98/387697798.db2.gz ALULACYQRPKRNF-HQJQHLMTSA-N 0 3 239.265 2.786 20 0 BFADHN CC(C)C(=O)CCN1CCC(F)(F)C[C@@H]1C ZINC000528772030 387697989 /nfs/dbraw/zinc/69/79/89/387697989.db2.gz KDBUEGWLLFCSJR-JTQLQIEISA-N 0 3 233.302 2.721 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1cccnc1 ZINC000452013344 387699991 /nfs/dbraw/zinc/69/99/91/387699991.db2.gz GMESGAIVVJYLMU-IACUBPJLSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ccc(C)c(CN[C@]23C[C@H]2COC3(C)C)c1 ZINC000527762875 387699960 /nfs/dbraw/zinc/69/99/60/387699960.db2.gz WGXXOYIAIJYGKY-GOEBONIOSA-N 0 3 245.366 2.961 20 0 BFADHN CO[C@H]1CCCN(Cc2ccsc2)CC1 ZINC000377232999 387703097 /nfs/dbraw/zinc/70/30/97/387703097.db2.gz QLFFTTOIQIKBSN-LBPRGKRZSA-N 0 3 225.357 2.749 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@@H](c1ccccn1)C1CCC1 ZINC000527773928 387704274 /nfs/dbraw/zinc/70/42/74/387704274.db2.gz VKMPKNGCOKLCJD-CORIIIEPSA-N 0 3 246.354 2.690 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@H](c1ccccn1)C1CCC1 ZINC000527773930 387704527 /nfs/dbraw/zinc/70/45/27/387704527.db2.gz VKMPKNGCOKLCJD-LNSITVRQSA-N 0 3 246.354 2.690 20 0 BFADHN CCOc1cccc(CN2CCC3(CCC3)C2)n1 ZINC000127150485 387706663 /nfs/dbraw/zinc/70/66/63/387706663.db2.gz MOCRXTYHBOBIJE-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CCOc1cccc(CN2CCCC2(C)C)n1 ZINC000127270474 387708539 /nfs/dbraw/zinc/70/85/39/387708539.db2.gz PAIDWSPXZPXNIZ-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1cc(F)cc(F)c1 ZINC000527782591 387709426 /nfs/dbraw/zinc/70/94/26/387709426.db2.gz GVQFXURWDPRNBS-OLZOCXBDSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@H](C)[C@@H]2C)s1 ZINC000418115850 387709688 /nfs/dbraw/zinc/70/96/88/387709688.db2.gz AIBNIIXPZAACQR-LSJOCFKGSA-N 0 3 224.373 2.928 20 0 BFADHN COC[C@H]1CCCCN1Cc1ccc(C)nc1C ZINC000452047212 387713964 /nfs/dbraw/zinc/71/39/64/387713964.db2.gz ZQUZHZIIHHLSLS-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN Cn1ccnc1[C@@H](NC1CCCCC1)C1CC1 ZINC000127512175 387714536 /nfs/dbraw/zinc/71/45/36/387714536.db2.gz YUHBUSMQDMZZBE-ZDUSSCGKSA-N 0 3 233.359 2.794 20 0 BFADHN COC[C@@H](C)CN[C@@H](C)c1cccc(F)c1F ZINC000127469137 387715358 /nfs/dbraw/zinc/71/53/58/387715358.db2.gz VGELUTSQWLQDLP-UWVGGRQHSA-N 0 3 243.297 2.898 20 0 BFADHN CCSCCN1CC(C)(C)O[C@@H]2CCC[C@H]21 ZINC000440957449 387716204 /nfs/dbraw/zinc/71/62/04/387716204.db2.gz ITDBADRWTZRXJL-VXGBXAGGSA-N 0 3 243.416 2.771 20 0 BFADHN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1NCc1ccccc1F ZINC000527802370 387726190 /nfs/dbraw/zinc/72/61/90/387726190.db2.gz OENPFVJSRHOCBG-KZWBYHQPSA-N 0 3 237.318 2.727 20 0 BFADHN CCc1ccc(CN[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)o1 ZINC000527805009 387728307 /nfs/dbraw/zinc/72/83/07/387728307.db2.gz TYAFGZYLCOWZSQ-PUHVVEEASA-N 0 3 237.343 2.744 20 0 BFADHN Cc1cc(CN[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)cs1 ZINC000527804455 387729118 /nfs/dbraw/zinc/72/91/18/387729118.db2.gz PSZQYONNQRXRMQ-DCQANWLSSA-N 0 3 239.384 2.958 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@H]1CCCCO1 ZINC000356846098 387729391 /nfs/dbraw/zinc/72/93/91/387729391.db2.gz NJACUSWUOUOEAL-TZMCWYRMSA-N 0 3 248.370 2.864 20 0 BFADHN CC[C@H](CNCc1cnccn1)CC(F)(F)F ZINC000402705150 387729676 /nfs/dbraw/zinc/72/96/76/387729676.db2.gz SMPBRDCLVSVPFV-VIFPVBQESA-N 0 3 247.264 2.545 20 0 BFADHN CCc1cccnc1[C@@H](C)NCCOCC1CC1 ZINC000356853605 387733620 /nfs/dbraw/zinc/73/36/20/387733620.db2.gz SHKPZORPOPJAQJ-GFCCVEGCSA-N 0 3 248.370 2.721 20 0 BFADHN Cc1cccc(N2CCN(CC3CCC3)CC2)c1 ZINC000441251644 387741454 /nfs/dbraw/zinc/74/14/54/387741454.db2.gz HXSYQADMQLTNKW-UHFFFAOYSA-N 0 3 244.382 2.917 20 0 BFADHN CCOc1cccc(CNC[C@H]2CC[C@@H](C)O2)c1 ZINC000128293210 387742348 /nfs/dbraw/zinc/74/23/48/387742348.db2.gz IWHHLZNDVYYJJA-IUODEOHRSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ccc(F)cc1CNC[C@H]1CCCCO1 ZINC000129047991 387762181 /nfs/dbraw/zinc/76/21/81/387762181.db2.gz LZEXCWJWVIGSCC-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN C/C(Cl)=C\CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000528497081 387762437 /nfs/dbraw/zinc/76/24/37/387762437.db2.gz LSIVZNMRENJZTA-QEFZOKHDSA-N 0 3 229.751 2.772 20 0 BFADHN CC(C)C[C@@H]1CCCN1Cc1cocn1 ZINC000432745764 387811854 /nfs/dbraw/zinc/81/18/54/387811854.db2.gz DNIMMZBPNAHPER-LBPRGKRZSA-N 0 3 208.305 2.685 20 0 BFADHN CCN(CC)C(=O)C(C)(C)[C@@H](N)c1ccccc1 ZINC000422910450 387769985 /nfs/dbraw/zinc/76/99/85/387769985.db2.gz QLQCOVVCZINDSZ-ZDUSSCGKSA-N 0 3 248.370 2.581 20 0 BFADHN COC[C@@H](NC1CC1)c1ccc(Cl)cc1 ZINC000193937443 387812191 /nfs/dbraw/zinc/81/21/91/387812191.db2.gz NFASEIUJMCJSTB-GFCCVEGCSA-N 0 3 225.719 2.780 20 0 BFADHN Cc1nnc([C@H](C)N[C@H](C)[C@H]2CC23CC3)s1 ZINC000527891949 387775558 /nfs/dbraw/zinc/77/55/58/387775558.db2.gz YORARFWKXHDOEF-KHQFGBGNSA-N 0 3 237.372 2.686 20 0 BFADHN COc1cnccc1[C@H](C)N[C@@H](C)[C@@H]1CC12CC2 ZINC000527892292 387776667 /nfs/dbraw/zinc/77/66/67/387776667.db2.gz JQKZHHQRMJTPPF-GVXVVHGQSA-N 0 3 246.354 2.929 20 0 BFADHN CN(CC1=CCCC1)[C@@H]1CCCc2c1cnn2C ZINC000645838412 387777058 /nfs/dbraw/zinc/77/70/58/387777058.db2.gz RPBRSSCPCDCIJV-CQSZACIVSA-N 0 3 245.370 2.840 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](C)[C@@H](C)CO)o1 ZINC000157276420 387778759 /nfs/dbraw/zinc/77/87/59/387778759.db2.gz FGGITNCPNDPSOO-HBNTYKKESA-N 0 3 225.332 2.510 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)NC(C)C)cc1 ZINC000049556769 387786091 /nfs/dbraw/zinc/78/60/91/387786091.db2.gz GWYDXBIOGKNPTH-STQMWFEESA-N 0 3 248.370 2.559 20 0 BFADHN C[C@H](N[C@@H]1CCOC1)c1cnc2ccsc2c1 ZINC000131254714 387786102 /nfs/dbraw/zinc/78/61/02/387786102.db2.gz YJKZADKEDJBMLN-GXSJLCMTSA-N 0 3 248.351 2.736 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CC[C@@H]3C[C@@H]3C2)nn1C ZINC000527920413 387790140 /nfs/dbraw/zinc/79/01/40/387790140.db2.gz ILXRYDZDHGHNOF-DGAVXFQQSA-N 0 3 247.386 2.815 20 0 BFADHN Cc1ccc(CN2CCOC[C@H](C)C2)c(C)c1 ZINC000359884386 387790256 /nfs/dbraw/zinc/79/02/56/387790256.db2.gz YFSQSZORTVKTPM-CYBMUJFWSA-N 0 3 233.355 2.772 20 0 BFADHN C[C@@H]1N(C[C@@H]2CCC(F)(F)C2)CCOC1(C)C ZINC000450495835 387790288 /nfs/dbraw/zinc/79/02/88/387790288.db2.gz XDYNDGHEJFPILG-WDEREUQCSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1OCCN(CCC2=CCCCC2)[C@H]1C ZINC000450494418 387790367 /nfs/dbraw/zinc/79/03/67/387790367.db2.gz LPOMTWOVEYNLJX-STQMWFEESA-N 0 3 223.360 2.986 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](C)c1ccc(C)nc1C ZINC000527975095 387812954 /nfs/dbraw/zinc/81/29/54/387812954.db2.gz RRARTYTUDCBCHK-YUELXQCFSA-N 0 3 248.370 2.917 20 0 BFADHN C[C@H](NCC12CC(C1)CO2)c1cccc(F)c1 ZINC000527978964 387796167 /nfs/dbraw/zinc/79/61/67/387796167.db2.gz LYZKSMNWSRECLA-IFQILLTASA-N 0 3 235.302 2.655 20 0 BFADHN COCCC1CCN([C@@H](C)c2ccncc2)CC1 ZINC000527935699 387796812 /nfs/dbraw/zinc/79/68/12/387796812.db2.gz ORZWCGKGCOSEJD-ZDUSSCGKSA-N 0 3 248.370 2.891 20 0 BFADHN CCc1ccc([C@H](C)NCC23CC(C2)CO3)o1 ZINC000527982167 387800018 /nfs/dbraw/zinc/80/00/18/387800018.db2.gz OQKWDOHQYNMRBZ-IFQILLTASA-N 0 3 235.327 2.672 20 0 BFADHN CC(C)[C@H]1CC[C@@H](C)C[C@H]1NCc1nccn1C ZINC000051922342 387801333 /nfs/dbraw/zinc/80/13/33/387801333.db2.gz SFFGEBRQZLYQCZ-MGPQQGTHSA-N 0 3 249.402 2.971 20 0 BFADHN Cc1cc([C@@H](C)NCC23CC(C2)CO3)cs1 ZINC000527984303 387801675 /nfs/dbraw/zinc/80/16/75/387801675.db2.gz XKZGKTDGYANOOO-XSRFYTQQSA-N 0 3 237.368 2.886 20 0 BFADHN COc1cc(CN2CCC[C@H](OC)C2)ccc1C ZINC000193735761 387802537 /nfs/dbraw/zinc/80/25/37/387802537.db2.gz XDKCLRXPMOKGQU-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1[C@H](C)O[C@H](C)[C@H]1C ZINC000528005195 387802745 /nfs/dbraw/zinc/80/27/45/387802745.db2.gz SFSGEAVWZQLCOU-JYKNGBAOSA-N 0 3 248.370 2.853 20 0 BFADHN COc1ccc(CCN[C@H](C)c2ccco2)cn1 ZINC000527988500 387804063 /nfs/dbraw/zinc/80/40/63/387804063.db2.gz SWUPKGFKGYROLD-LLVKDONJSA-N 0 3 246.310 2.577 20 0 BFADHN CCCC[C@@H](C)N[C@@H]1Cc2ccccc2NC1=O ZINC000527992326 387805791 /nfs/dbraw/zinc/80/57/91/387805791.db2.gz CUJPUFGCACQNRE-BXUZGUMPSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H]1C[C@]1(C)OC(C)C ZINC000527997756 387806014 /nfs/dbraw/zinc/80/60/14/387806014.db2.gz KCHHARUVSHRIGR-YUELXQCFSA-N 0 3 248.370 2.997 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@H](C)[C@H]1C)c1cccnc1 ZINC000528004586 387809287 /nfs/dbraw/zinc/80/92/87/387809287.db2.gz QYYUKWBPBVZGFV-MOWSAHLDSA-N 0 3 234.343 2.544 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@H](C)O[C@H]1C)c1cccnc1 ZINC000528004585 387809634 /nfs/dbraw/zinc/80/96/34/387809634.db2.gz QYYUKWBPBVZGFV-JNLQPACOSA-N 0 3 234.343 2.544 20 0 BFADHN COC[C@H]1CCN1Cc1ccccc1C1CC1 ZINC000646235589 387810931 /nfs/dbraw/zinc/81/09/31/387810931.db2.gz YZQDQTPFZWVADP-CQSZACIVSA-N 0 3 231.339 2.785 20 0 BFADHN CC(C)C[C@H]1CCCN1Cc1cocn1 ZINC000432745763 387811356 /nfs/dbraw/zinc/81/13/56/387811356.db2.gz DNIMMZBPNAHPER-GFCCVEGCSA-N 0 3 208.305 2.685 20 0 BFADHN C[C@H](NC[C@@H]1CCN1C1CCCC1)c1ccco1 ZINC000528014951 387821983 /nfs/dbraw/zinc/82/19/83/387821983.db2.gz WARFBEKULVWLRJ-JSGCOSHPSA-N 0 3 248.370 2.947 20 0 BFADHN CC[C@]1(C)CN(CC2(C)CCC2)CCO1 ZINC000432793918 387823838 /nfs/dbraw/zinc/82/38/38/387823838.db2.gz SAMMQBIZEYBHHT-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1ccnc(C)n1 ZINC000054790417 387829807 /nfs/dbraw/zinc/82/98/07/387829807.db2.gz OFHSDDRFGWSVCG-JSGCOSHPSA-N 0 3 233.359 2.843 20 0 BFADHN CC[C@H]1CN(CC2(C)CCC2)C[C@@H](C)O1 ZINC000432825536 387831292 /nfs/dbraw/zinc/83/12/92/387831292.db2.gz UNLZVXCEOPDFDD-NEPJUHHUSA-N 0 3 211.349 2.676 20 0 BFADHN COC[C@@H](C)CNCc1c(F)cc(C)cc1F ZINC000424160805 387839082 /nfs/dbraw/zinc/83/90/82/387839082.db2.gz UYTDCEFKPPIJGT-JTQLQIEISA-N 0 3 243.297 2.645 20 0 BFADHN CCCCOCCN1CC(C)(C)OC(C)(C)C1 ZINC000442882072 387854715 /nfs/dbraw/zinc/85/47/15/387854715.db2.gz PGUICJBVTAQBSC-UHFFFAOYSA-N 0 3 243.391 2.693 20 0 BFADHN CN(CCCN1CC=CCC1)c1ccccc1 ZINC000645847958 387858800 /nfs/dbraw/zinc/85/88/00/387858800.db2.gz WRFQNWQMXMAODX-UHFFFAOYSA-N 0 3 230.355 2.775 20 0 BFADHN CCO[C@@]1(C)C[C@H]1Nc1ccnc2ccccc21 ZINC000528159762 387860084 /nfs/dbraw/zinc/86/00/84/387860084.db2.gz YEQLLVJBYFXDOB-CABCVRRESA-N 0 3 242.322 2.636 20 0 BFADHN Cc1ccc(CN2CC[C@](C)(O)C2)c(Cl)c1 ZINC000235887636 387866043 /nfs/dbraw/zinc/86/60/43/387866043.db2.gz UQZJBPXEOJSFKM-ZDUSSCGKSA-N 0 3 239.746 2.605 20 0 BFADHN C[C@@H](NCC1=CCCC1)c1cncc(F)c1 ZINC000645853170 387870933 /nfs/dbraw/zinc/87/09/33/387870933.db2.gz YUICLRKBXZWPRE-SNVBAGLBSA-N 0 3 220.291 2.982 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](C)c1cccs1 ZINC000527974761 387814501 /nfs/dbraw/zinc/81/45/01/387814501.db2.gz OXGGHTDWWJSDFQ-WCQGTBRESA-N 0 3 225.357 2.966 20 0 BFADHN C[C@@H](NCC[C@@H]1CC=CCC1)c1ccc(=O)[nH]n1 ZINC000527976787 387815730 /nfs/dbraw/zinc/81/57/30/387815730.db2.gz GOTHPWIXPYIBLG-VXGBXAGGSA-N 0 3 247.342 2.579 20 0 BFADHN CO[C@@H]1CCC[C@@H]1CN[C@@H](C)c1cscn1 ZINC000309558815 387819882 /nfs/dbraw/zinc/81/98/82/387819882.db2.gz KKVWCRXOLJBRFF-HOSYDEDBSA-N 0 3 240.372 2.609 20 0 BFADHN C1=C(CN2CCN(CC3=CCCC3)CC2)CCC1 ZINC000645770283 387890307 /nfs/dbraw/zinc/89/03/07/387890307.db2.gz LRCPXADSNGSVCW-UHFFFAOYSA-N 0 3 246.398 2.825 20 0 BFADHN Cc1ccc(CN(C)CCC[C@H]2CCOC2)o1 ZINC000645772341 387894895 /nfs/dbraw/zinc/89/48/95/387894895.db2.gz SRPMNKCAWTVMEY-ZDUSSCGKSA-N 0 3 237.343 2.837 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1CC1CC(C)(C)C1 ZINC000528340813 387895046 /nfs/dbraw/zinc/89/50/46/387895046.db2.gz NPOZDQLCVQJCDI-MNOVXSKESA-N 0 3 211.349 2.532 20 0 BFADHN CC[C@H](CO)N(C)c1ccnc2ccc(C)cc21 ZINC000450576825 387877481 /nfs/dbraw/zinc/87/74/81/387877481.db2.gz DMNPOJOJRIIFRH-GFCCVEGCSA-N 0 3 244.338 2.750 20 0 BFADHN Fc1ccc(NC[C@@H]2CCN2C2CCCC2)nc1 ZINC000528212268 387880782 /nfs/dbraw/zinc/88/07/82/387880782.db2.gz SGHLKNVWORVKEF-ZDUSSCGKSA-N 0 3 249.333 2.650 20 0 BFADHN C[C@H](CCO)CCNCc1cscc1Cl ZINC000648622968 387885756 /nfs/dbraw/zinc/88/57/56/387885756.db2.gz XWVRDHBUQKFBNX-VIFPVBQESA-N 0 3 247.791 2.900 20 0 BFADHN c1c2ccccc2oc1CN[C@H]1C[C@H]2CC[C@@H]1O2 ZINC000152969691 387887781 /nfs/dbraw/zinc/88/77/81/387887781.db2.gz YNBZCMJVRDSZGS-ZLDLUXBVSA-N 0 3 243.306 2.842 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CC1=CCCC1 ZINC000645776818 387899554 /nfs/dbraw/zinc/89/95/54/387899554.db2.gz SANFQJUCDBJJKU-DGCLKSJQSA-N 0 3 209.333 2.596 20 0 BFADHN Cc1cc(F)c(CNCCCCCO)c(F)c1 ZINC000424186773 387904437 /nfs/dbraw/zinc/90/44/37/387904437.db2.gz HMRQYZPQRLOMFW-UHFFFAOYSA-N 0 3 243.297 2.525 20 0 BFADHN CCOCCCN(C)Cc1ccc(F)c(C)c1 ZINC000444744134 387919403 /nfs/dbraw/zinc/91/94/03/387919403.db2.gz LHSCGYSFSWSGFS-UHFFFAOYSA-N 0 3 239.334 2.993 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cc(CO)ccc1F ZINC000528351223 387906271 /nfs/dbraw/zinc/90/62/71/387906271.db2.gz QXXPJKIRVAUJQB-LLVKDONJSA-N 0 3 239.334 2.938 20 0 BFADHN C[C@@H](c1ccccn1)N1C[C@@H](C)S[C@@H](C)C1 ZINC000532970063 387914794 /nfs/dbraw/zinc/91/47/94/387914794.db2.gz TYFSRFXTCHQKBA-TUAOUCFPSA-N 0 3 236.384 2.968 20 0 BFADHN Cc1cc(CN(C)CCC(C)(C)O)ccc1F ZINC000444729302 387917397 /nfs/dbraw/zinc/91/73/97/387917397.db2.gz FVBIGAZUMSRSJO-UHFFFAOYSA-N 0 3 239.334 2.727 20 0 BFADHN CCOc1cccc(CN2CCCC23CCC3)n1 ZINC000444781971 387929852 /nfs/dbraw/zinc/92/98/52/387929852.db2.gz QAWLXACDONQXQH-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN Cc1ncsc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000424209236 387930235 /nfs/dbraw/zinc/93/02/35/387930235.db2.gz ZLKZSRGSBPEWGG-WDEREUQCSA-N 0 3 222.357 2.730 20 0 BFADHN CC[C@@H](NCC1CC(C)(C)C1)c1nccn1C ZINC000527102412 387930983 /nfs/dbraw/zinc/93/09/83/387930983.db2.gz JKSIUCFJHNZHCZ-GFCCVEGCSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2)[C@H](C)[C@H](C)O1 ZINC000438375070 387932070 /nfs/dbraw/zinc/93/20/70/387932070.db2.gz SPNGLEIOMAFFMI-MCIONIFRSA-N 0 3 233.355 2.727 20 0 BFADHN C[C@H](NCC[C@H]1CCCCO1)c1ccccn1 ZINC000180250578 387932179 /nfs/dbraw/zinc/93/21/79/387932179.db2.gz MMHHRXHQQRCHAP-QWHCGFSZSA-N 0 3 234.343 2.691 20 0 BFADHN CCCN1CCC[C@]1(CCC)C(=O)OCC ZINC000444785893 387933089 /nfs/dbraw/zinc/93/30/89/387933089.db2.gz ZVIZDIWJZSVVKS-CYBMUJFWSA-N 0 3 227.348 2.594 20 0 BFADHN Cc1n[nH]cc1CN[C@@H](CC(F)(F)F)C(C)C ZINC000438477757 387935801 /nfs/dbraw/zinc/93/58/01/387935801.db2.gz MGARUWWKOOEYBL-JTQLQIEISA-N 0 3 249.280 2.785 20 0 BFADHN CC[C@H](C)N(C)CC(=O)Nc1ccc(C)c(C)c1 ZINC000533046708 387926444 /nfs/dbraw/zinc/92/64/44/387926444.db2.gz HTLRWSIZZLLKPJ-ZDUSSCGKSA-N 0 3 248.370 2.972 20 0 BFADHN CC[C@H](C)N(C)Cc1cc(C(=O)OC)oc1C ZINC000533047307 387926859 /nfs/dbraw/zinc/92/68/59/387926859.db2.gz SDNPXNIJUIAROG-VIFPVBQESA-N 0 3 239.315 2.605 20 0 BFADHN CC(C)(C)c1ccc(CN[C@@H]2CC[C@H]2O)cc1 ZINC000424200384 387928712 /nfs/dbraw/zinc/92/87/12/387928712.db2.gz MEHQCUSJYYOCJK-ZIAGYGMSSA-N 0 3 233.355 2.597 20 0 BFADHN CCc1nc(C)c(CN[C@H](C)[C@H]2C[C@H]2C)o1 ZINC000321144873 387952867 /nfs/dbraw/zinc/95/28/67/387952867.db2.gz VPUZPIPREFSAQU-KKZNHRDASA-N 0 3 222.332 2.680 20 0 BFADHN CC[C@H](NCc1cscn1)[C@H]1C[C@@H]1C ZINC000321169606 387953172 /nfs/dbraw/zinc/95/31/72/387953172.db2.gz YGKCOSOALCIQHA-LSJOCFKGSA-N 0 3 210.346 2.667 20 0 BFADHN CCCCOCCCNCc1ccoc1C ZINC000321160953 387953903 /nfs/dbraw/zinc/95/39/03/387953903.db2.gz BTJTVOQWGXYTJB-UHFFFAOYSA-N 0 3 225.332 2.884 20 0 BFADHN C[C@@H](c1ccccc1)N(C)C[C@H]1CCCO1 ZINC000245382616 387943236 /nfs/dbraw/zinc/94/32/36/387943236.db2.gz KKHSEUKCTQNRBY-GXTWGEPZSA-N 0 3 219.328 2.858 20 0 BFADHN CCC(CC)[C@H](O)CNCc1cccc(F)c1 ZINC000225817498 387943753 /nfs/dbraw/zinc/94/37/53/387943753.db2.gz KEPWKXUSHRHFEM-CQSZACIVSA-N 0 3 239.334 2.712 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1ccoc1C)OC ZINC000321123746 387949036 /nfs/dbraw/zinc/94/90/36/387949036.db2.gz WFQLEPHFBISKIW-ZWNOBZJWSA-N 0 3 225.332 2.739 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@@H]1C[C@H]1C1CCC1 ZINC000424299357 387958703 /nfs/dbraw/zinc/95/87/03/387958703.db2.gz SOEWSKLBPZBNSB-UBHSHLNASA-N 0 3 245.370 2.872 20 0 BFADHN C[C@H]1CCCN(Cc2cnn3ccccc23)[C@H]1C ZINC000245572489 387962516 /nfs/dbraw/zinc/96/25/16/387962516.db2.gz YLWCZSXNFHEURX-STQMWFEESA-N 0 3 243.354 2.955 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2C[C@@H]3OCCC[C@H]23)c1 ZINC000438917828 387963585 /nfs/dbraw/zinc/96/35/85/387963585.db2.gz IQPHGOACWNMMAE-YUELXQCFSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CC[C@H]2O)cc(C)c1F ZINC000424316596 387967373 /nfs/dbraw/zinc/96/73/73/387967373.db2.gz NHXMIPNTTLKYTP-RAIGVLPGSA-N 0 3 237.318 2.616 20 0 BFADHN Cc1cccnc1CN1CCS[C@H](C)[C@H]1C ZINC000245540593 387957083 /nfs/dbraw/zinc/95/70/83/387957083.db2.gz PLRPIJDIKYRWTM-VXGBXAGGSA-N 0 3 236.384 2.716 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccc([S@@](C)=O)cc1 ZINC000245542559 387957144 /nfs/dbraw/zinc/95/71/44/387957144.db2.gz QABYMEPDEXLJRV-BDJLRTHQSA-N 0 3 239.384 2.654 20 0 BFADHN CCCCOCCN[C@H](CC)c1ccncc1 ZINC000453076973 387980113 /nfs/dbraw/zinc/98/01/13/387980113.db2.gz AAYLPBOUHORJGO-CQSZACIVSA-N 0 3 236.359 2.939 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@H]2C2CCC2)nn1C ZINC000424326509 387973050 /nfs/dbraw/zinc/97/30/50/387973050.db2.gz LZOCSOPDZSFVDQ-VHRBIJSZSA-N 0 3 233.359 2.568 20 0 BFADHN CN(CCC[C@@H]1CCOC1)Cc1cccc(O)c1 ZINC000645811893 387974125 /nfs/dbraw/zinc/97/41/25/387974125.db2.gz VPGDAVWOSDAOPL-CYBMUJFWSA-N 0 3 249.354 2.641 20 0 BFADHN CCN(CC[C@H](C)O)Cc1ccc(Cl)s1 ZINC000439011901 387974155 /nfs/dbraw/zinc/97/41/55/387974155.db2.gz SGAHMGBLIKMMGK-VIFPVBQESA-N 0 3 247.791 2.994 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1ccc([S@](C)=O)cc1 ZINC000424332619 387977201 /nfs/dbraw/zinc/97/72/01/387977201.db2.gz WZBNZRGYBPSGAT-SVKFGRERSA-N 0 3 249.379 2.627 20 0 BFADHN COCC[C@@H](C)N[C@H](C)c1nc(C)cs1 ZINC000070732738 135010296 /nfs/dbraw/zinc/01/02/96/135010296.db2.gz MFFVHHZXLFBUEP-PSASIEDQSA-N 0 3 228.361 2.527 20 0 BFADHN C[C@H]1CCN(Cc2cccc3nccn32)C[C@H]1C ZINC000245856817 387988058 /nfs/dbraw/zinc/98/80/58/387988058.db2.gz NTVKFDGBEMXBMQ-QWHCGFSZSA-N 0 3 243.354 2.812 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000424359214 387988552 /nfs/dbraw/zinc/98/85/52/387988552.db2.gz VACPSUFHVRBNRF-RVMXOQNASA-N 0 3 216.328 2.839 20 0 BFADHN CCC(C)(C)CCN1C[C@@H](C)O[C@H](COC)C1 ZINC000439297496 387990740 /nfs/dbraw/zinc/99/07/40/387990740.db2.gz SGPDZDKYZCJYRY-OLZOCXBDSA-N 0 3 243.391 2.548 20 0 BFADHN CCC(C)(C)CCN1C[C@H](C)O[C@H](COC)C1 ZINC000439297499 387990900 /nfs/dbraw/zinc/99/09/00/387990900.db2.gz SGPDZDKYZCJYRY-STQMWFEESA-N 0 3 243.391 2.548 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)[C@@H](C)C2)s1 ZINC000245895225 387990958 /nfs/dbraw/zinc/99/09/58/387990958.db2.gz KGJGEOJVEIIZPO-UWVGGRQHSA-N 0 3 224.373 2.929 20 0 BFADHN CC(C)CO[C@@H]1CCN(CC2=CCCC2)C1 ZINC000645814403 387992410 /nfs/dbraw/zinc/99/24/10/387992410.db2.gz ORTMWCZXOYLLQH-CQSZACIVSA-N 0 3 223.360 2.844 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1ccncc1F ZINC000424341582 387982888 /nfs/dbraw/zinc/98/28/88/387982888.db2.gz DOINWFLXOJSICT-CHWFTXMASA-N 0 3 220.291 2.670 20 0 BFADHN Cc1ccc2nc(CN3CC[C@@H](C)[C@H]3C)cn2c1 ZINC000246057671 388003845 /nfs/dbraw/zinc/00/38/45/388003845.db2.gz AQCCMZMKQXBYFP-CHWSQXEVSA-N 0 3 243.354 2.873 20 0 BFADHN C[C@H](NC[C@H]1CCC(F)(F)C1)c1ccncn1 ZINC000453205952 388009741 /nfs/dbraw/zinc/00/97/41/388009741.db2.gz DJNYNUYHBSHFMP-UWVGGRQHSA-N 0 3 241.285 2.563 20 0 BFADHN CC[C@H](N[C@@H](CO)CC(F)F)c1ccsc1 ZINC000453206103 388009997 /nfs/dbraw/zinc/00/99/97/388009997.db2.gz HTYJEQOWHQOILW-ZJUUUORDSA-N 0 3 249.326 2.805 20 0 BFADHN CC[C@@H](N[C@@H](CCO)c1ccco1)C1CCC1 ZINC000453205445 388010107 /nfs/dbraw/zinc/01/01/07/388010107.db2.gz XCSXJSAMZCXDCP-OLZOCXBDSA-N 0 3 237.343 2.871 20 0 BFADHN CC[C@H](N[C@H](CCO)c1ccco1)C1CCC1 ZINC000453205746 388010391 /nfs/dbraw/zinc/01/03/91/388010391.db2.gz XCSXJSAMZCXDCP-QWHCGFSZSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H](NC[C@@H]1CCC(F)(F)C1)c1ccncn1 ZINC000453205951 388010440 /nfs/dbraw/zinc/01/04/40/388010440.db2.gz DJNYNUYHBSHFMP-NXEZZACHSA-N 0 3 241.285 2.563 20 0 BFADHN C[C@@H]1CCCCN1Cc1ccc2c(c1)OCCO2 ZINC000064431125 388012724 /nfs/dbraw/zinc/01/27/24/388012724.db2.gz IWYXPYRJECIXIL-GFCCVEGCSA-N 0 3 247.338 2.832 20 0 BFADHN CCc1cc(N(C)CCOCC2CC2)ccn1 ZINC000450383383 388013000 /nfs/dbraw/zinc/01/30/00/388013000.db2.gz ZZZPHOLXXAVLBV-UHFFFAOYSA-N 0 3 234.343 2.507 20 0 BFADHN C[C@@H]1CCC[C@H](CN[C@H]2CCn3ccnc32)[C@H]1C ZINC000453221656 388014695 /nfs/dbraw/zinc/01/46/95/388014695.db2.gz PVBTXVNMBTXWPB-RQJABVFESA-N 0 3 247.386 2.990 20 0 BFADHN CO[C@@H]1CCCN([C@@H](C)c2ccccc2F)C1 ZINC000246170600 388015413 /nfs/dbraw/zinc/01/54/13/388015413.db2.gz XSKLODRJFIOAEA-NWDGAFQWSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@H](NC1CC(C(C)(C)C)C1)c1ccncn1 ZINC000453235954 388019303 /nfs/dbraw/zinc/01/93/03/388019303.db2.gz JTWVUYISKJBLDG-UNXYVOJBSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@H](NC1CC(C)(F)C1)c1ccc(F)cn1 ZINC000453238137 388020175 /nfs/dbraw/zinc/02/01/75/388020175.db2.gz NSJAIWMCWRROGB-JNHNWMDNSA-N 0 3 226.270 2.762 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(CC)o1 ZINC000161967635 388036227 /nfs/dbraw/zinc/03/62/27/388036227.db2.gz NRAICPDHOHLIRT-JTQLQIEISA-N 0 3 211.305 2.529 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1cncc(C)c1)OC ZINC000453295347 388036661 /nfs/dbraw/zinc/03/66/61/388036661.db2.gz GBODHDKDPNFUCX-OCCSQVGLSA-N 0 3 236.359 2.856 20 0 BFADHN COc1cc(CN[C@]2(C)CCO[C@@H]2C)ccc1C ZINC000446752445 388037283 /nfs/dbraw/zinc/03/72/83/388037283.db2.gz OSXHJVNQUSGNFW-IUODEOHRSA-N 0 3 249.354 2.661 20 0 BFADHN COCc1ccc(CN2CCCC23CCC3)o1 ZINC000446830688 388039856 /nfs/dbraw/zinc/03/98/56/388039856.db2.gz VSYPGXNDRDVEIQ-UHFFFAOYSA-N 0 3 235.327 2.945 20 0 BFADHN C[C@H](NCC1(CF)CCOCC1)c1ccco1 ZINC000453302403 388039900 /nfs/dbraw/zinc/03/99/00/388039900.db2.gz BQGKSJWDANDDOE-NSHDSACASA-N 0 3 241.306 2.697 20 0 BFADHN COCCCCNCc1cc(Cl)ccc1F ZINC000160007728 388042569 /nfs/dbraw/zinc/04/25/69/388042569.db2.gz RPXWBSXJNNQOGZ-UHFFFAOYSA-N 0 3 245.725 2.995 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H](C)c1cc(C)on1 ZINC000453310502 388042863 /nfs/dbraw/zinc/04/28/63/388042863.db2.gz RIXULASUSPSSJS-AXFHLTTASA-N 0 3 208.305 2.680 20 0 BFADHN CCOc1cccc(CNC[C@@H](C)CC)n1 ZINC000421390425 388029322 /nfs/dbraw/zinc/02/93/22/388029322.db2.gz UQYKJPCBFPOMQB-NSHDSACASA-N 0 3 222.332 2.616 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H](N)c2ccccc2)o1 ZINC000423250096 388031187 /nfs/dbraw/zinc/03/11/87/388031187.db2.gz UPCVNTPOPLMBRP-OCCSQVGLSA-N 0 3 244.338 2.939 20 0 BFADHN Clc1ccccc1CN[C@H]1CCCOCC1 ZINC000083424334 388053019 /nfs/dbraw/zinc/05/30/19/388053019.db2.gz IMDBACRHCGYSEX-LBPRGKRZSA-N 0 3 239.746 2.999 20 0 BFADHN Cc1cc([C@@H](C)NCCC2(CO)CC2)oc1C ZINC000453351227 388055055 /nfs/dbraw/zinc/05/50/55/388055055.db2.gz PORAYCOLTXZPDW-LLVKDONJSA-N 0 3 237.343 2.710 20 0 BFADHN CCn1cc([C@@H](C)N[C@H]2CCC23CCC3)cn1 ZINC000453349937 388055656 /nfs/dbraw/zinc/05/56/56/388055656.db2.gz GQDYOYOKHFRANC-YPMHNXCESA-N 0 3 233.359 2.886 20 0 BFADHN Cc1ccc2cc([C@@H](C)N[C@H]3C[C@@H](O)C3)oc2c1 ZINC000453354053 388056556 /nfs/dbraw/zinc/05/65/56/388056556.db2.gz GVQUKGLXNDVLSP-RTXFEEFZSA-N 0 3 245.322 2.915 20 0 BFADHN CC[C@H](N[C@@H]1COCC1(C)C)c1ccncc1 ZINC000453364350 388059505 /nfs/dbraw/zinc/05/95/05/388059505.db2.gz LJKWJDCPQNLYBZ-QWHCGFSZSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000453365680 388061481 /nfs/dbraw/zinc/06/14/81/388061481.db2.gz STOASWZZIDEHEX-MROQNXINSA-N 0 3 233.359 2.771 20 0 BFADHN CC(C)C[C@H](C)NCc1cc(C(F)(F)F)n[nH]1 ZINC000440638380 388063750 /nfs/dbraw/zinc/06/37/50/388063750.db2.gz QFOLDHNEQSQKKJ-QMMMGPOBSA-N 0 3 249.280 2.953 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@@H](O)C2)cc(C)c1F ZINC000453377801 388064931 /nfs/dbraw/zinc/06/49/31/388064931.db2.gz VNMSZWSAMQRMKB-WCFLWFBJSA-N 0 3 237.318 2.616 20 0 BFADHN Cc1ccc(NC(=O)[C@@H]2CCCCCN2)c(C)c1 ZINC000083896352 388065781 /nfs/dbraw/zinc/06/57/81/388065781.db2.gz SZXLRTWUNBIGAO-AWEZNQCLSA-N 0 3 246.354 2.774 20 0 BFADHN CCC[C@@H](NC[C@@H]1CCC[C@H]1O)c1ccccn1 ZINC000453380055 388066034 /nfs/dbraw/zinc/06/60/34/388066034.db2.gz KKVOHJIDOZZHEQ-GZBFAFLISA-N 0 3 248.370 2.673 20 0 BFADHN C[C@H]1C[C@@H](NC[C@@H]2C[C@H]2C2CC2)c2nccn21 ZINC000453392869 388068461 /nfs/dbraw/zinc/06/84/61/388068461.db2.gz USPWFXMBBMVIKY-XYJRDEOASA-N 0 3 231.343 2.525 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@H]1CC[C@H](C)O1 ZINC000453400808 388072321 /nfs/dbraw/zinc/07/23/21/388072321.db2.gz DDLYHNXLMWODMS-SGMGOOAPSA-N 0 3 248.370 2.862 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@@H]1CC[C@H](C)O1 ZINC000453400806 388072615 /nfs/dbraw/zinc/07/26/15/388072615.db2.gz DDLYHNXLMWODMS-OBJOEFQTSA-N 0 3 248.370 2.862 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@H]2CC[C@@H](C)O2)c1 ZINC000453404586 388074698 /nfs/dbraw/zinc/07/46/98/388074698.db2.gz IGXXZIMSOGUQOB-FRRDWIJNSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C2CC2)C2CCC2)nn1C ZINC000453409739 388075602 /nfs/dbraw/zinc/07/56/02/388075602.db2.gz GGAUNNNHPAOSPL-NHYWBVRUSA-N 0 3 247.386 2.958 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@H]1O)c1ccc(F)cc1F ZINC000453409823 388075827 /nfs/dbraw/zinc/07/58/27/388075827.db2.gz OXICZEMBWMJPQE-BZHVJNSISA-N 0 3 241.281 2.529 20 0 BFADHN CC(C)[C@H](NC[C@H]1CC[C@@H](C)O1)c1cccnc1 ZINC000453410661 388076643 /nfs/dbraw/zinc/07/66/43/388076643.db2.gz QDDMHEHIXWUUNE-YUELXQCFSA-N 0 3 248.370 2.936 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1ccco1 ZINC000453414700 388078694 /nfs/dbraw/zinc/07/86/94/388078694.db2.gz TVXCRWZRMOSAKU-DMDPSCGWSA-N 0 3 223.316 2.888 20 0 BFADHN CCOC[C@H]1CCCCN1CCOCC(C)C ZINC000453418399 388079835 /nfs/dbraw/zinc/07/98/35/388079835.db2.gz XEWZUNHSBNHPBH-CQSZACIVSA-N 0 3 243.391 2.550 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@H](C)c1cnn(C)c1 ZINC000453427713 388081568 /nfs/dbraw/zinc/08/15/68/388081568.db2.gz HPEZKQBBVBUOJA-KWCYVHTRSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2nccn2CC)C1 ZINC000085064361 388082831 /nfs/dbraw/zinc/08/28/31/388082831.db2.gz APQZDQZHTVASRP-QWHCGFSZSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@@H](C)c1nccn1C ZINC000453449833 388083065 /nfs/dbraw/zinc/08/30/65/388083065.db2.gz QPOOATVCJYZEBK-SGMGOOAPSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1noc(C)c1CNCCC1CC(F)(F)C1 ZINC000453595292 388089045 /nfs/dbraw/zinc/08/90/45/388089045.db2.gz YHIIZHAMAWIMHN-UHFFFAOYSA-N 0 3 244.285 2.816 20 0 BFADHN CCn1nnc(C)c1CN[C@H](C)CC(C)(C)C ZINC000453601958 388089619 /nfs/dbraw/zinc/08/96/19/388089619.db2.gz OCXPBQJWXJKMEG-SNVBAGLBSA-N 0 3 238.379 2.521 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1n[nH]cc1C ZINC000453604006 388090739 /nfs/dbraw/zinc/09/07/39/388090739.db2.gz BWYCJCNSHHACFE-STQMWFEESA-N 0 3 221.348 2.632 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1n[nH]cc1C ZINC000453604003 388091324 /nfs/dbraw/zinc/09/13/24/388091324.db2.gz BWYCJCNSHHACFE-CHWSQXEVSA-N 0 3 221.348 2.632 20 0 BFADHN CS[C@H](CNCc1n[nH]cc1C)C(C)(C)C ZINC000336660551 388092852 /nfs/dbraw/zinc/09/28/52/388092852.db2.gz CHYOXSDKKHFDTP-LLVKDONJSA-N 0 3 241.404 2.585 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1c[nH]nc1C ZINC000453615377 388093121 /nfs/dbraw/zinc/09/31/21/388093121.db2.gz QKQLPWQVPCDRJT-QWHCGFSZSA-N 0 3 221.348 2.632 20 0 BFADHN CCn1nccc1CN[C@@H]1C[C@@]1(CC)C(C)C ZINC000453617175 388093512 /nfs/dbraw/zinc/09/35/12/388093512.db2.gz SYYXHTZWQDYXEO-KGLIPLIRSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1c[nH]nc1C ZINC000453615375 388093550 /nfs/dbraw/zinc/09/35/50/388093550.db2.gz QKQLPWQVPCDRJT-CHWSQXEVSA-N 0 3 221.348 2.632 20 0 BFADHN CCn1nccc1CN[C@H]1C[C@@]1(CC)C(C)C ZINC000453617172 388093824 /nfs/dbraw/zinc/09/38/24/388093824.db2.gz SYYXHTZWQDYXEO-KBPBESRZSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1cccc(NC[C@H]2N(C)CCCC2(C)C)n1 ZINC000447812918 388094027 /nfs/dbraw/zinc/09/40/27/388094027.db2.gz TYEGVYUNRBACBR-CYBMUJFWSA-N 0 3 247.386 2.922 20 0 BFADHN CN1CCCC(C)(C)[C@@H]1CNc1ccccn1 ZINC000447809158 388094104 /nfs/dbraw/zinc/09/41/04/388094104.db2.gz XJZFVLYOBFYRRF-LBPRGKRZSA-N 0 3 233.359 2.614 20 0 BFADHN CC(C)(C)[C@H]1CN([C@H]2C=CCCC2)CCO1 ZINC000447829815 388094866 /nfs/dbraw/zinc/09/48/66/388094866.db2.gz HHNAYBFUWAWFAD-QWHCGFSZSA-N 0 3 223.360 2.842 20 0 BFADHN COc1cc(C)ccc1CNC[C@@H]1CC[C@@H](C)O1 ZINC000453637467 388095884 /nfs/dbraw/zinc/09/58/84/388095884.db2.gz YHNUMQYBQIJEFO-OCCSQVGLSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1nc(-c2ccccc2)c[nH]1 ZINC000447847471 388098347 /nfs/dbraw/zinc/09/83/47/388098347.db2.gz FKWVAXAIUSBMHJ-YPMHNXCESA-N 0 3 241.338 2.822 20 0 BFADHN CC[C@H](N[C@H](C)c1cc(C)c(C)o1)[C@H](C)O ZINC000453318706 388045055 /nfs/dbraw/zinc/04/50/55/388045055.db2.gz BTPAQFULYSBIKD-SCVCMEIPSA-N 0 3 225.332 2.706 20 0 BFADHN CCn1ccnc1CN[C@@H](C)CCC(F)(F)F ZINC000453322358 388046508 /nfs/dbraw/zinc/04/65/08/388046508.db2.gz PHSNGKILHQQTDY-VIFPVBQESA-N 0 3 249.280 2.724 20 0 BFADHN CCc1ccc([C@H](C)NCCC2(CO)CC2)o1 ZINC000453329362 388048311 /nfs/dbraw/zinc/04/83/11/388048311.db2.gz CWYGUUPKKJZLPB-NSHDSACASA-N 0 3 237.343 2.655 20 0 BFADHN CCC(CC)[C@@H](O)CNCc1ccsc1 ZINC000163962158 388114169 /nfs/dbraw/zinc/11/41/69/388114169.db2.gz KPGGYFMFQLQQIC-LBPRGKRZSA-N 0 3 227.373 2.635 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H](C)CC(C)(C)C)[n-]1 ZINC000449203741 388156989 /nfs/dbraw/zinc/15/69/89/388156989.db2.gz KTEHYRTVJYQYRI-UWVGGRQHSA-N 0 3 238.379 2.842 20 0 BFADHN CCc1nnc([C@H](C)N[C@@H](C)CC(C)(C)C)[nH]1 ZINC000449203741 388156992 /nfs/dbraw/zinc/15/69/92/388156992.db2.gz KTEHYRTVJYQYRI-UWVGGRQHSA-N 0 3 238.379 2.842 20 0 BFADHN Cc1cc(CN2C[C@H](C)N(C)C[C@@H]2C)cs1 ZINC000449250168 388162754 /nfs/dbraw/zinc/16/27/54/388162754.db2.gz ODFPOSFVBVHYBP-QWRGUYRKSA-N 0 3 238.400 2.581 20 0 BFADHN CC[C@H](CO)N(C)Cc1cc(C)cc(Cl)c1 ZINC000449378530 388187782 /nfs/dbraw/zinc/18/77/82/388187782.db2.gz XKQYBNIYSNLOTD-CYBMUJFWSA-N 0 3 241.762 2.851 20 0 BFADHN CC1CN(Cc2cccc3ccoc32)C1 ZINC000449292974 388168006 /nfs/dbraw/zinc/16/80/06/388168006.db2.gz GCGOCZYYKHVYKG-UHFFFAOYSA-N 0 3 201.269 2.885 20 0 BFADHN COCCC1(NCc2ncc(C)s2)CCC1 ZINC000449297093 388168639 /nfs/dbraw/zinc/16/86/39/388168639.db2.gz DXVLIGPDLFYCNS-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN CCCC[C@@H](CCC)CNC(=O)C1(N)CCC1 ZINC000449305356 388170645 /nfs/dbraw/zinc/17/06/45/388170645.db2.gz SGFQYNYZJBSYHW-GFCCVEGCSA-N 0 3 240.391 2.591 20 0 BFADHN Fc1cnccc1CN(CC1CC1)C1CCC1 ZINC000449304174 388170747 /nfs/dbraw/zinc/17/07/47/388170747.db2.gz YPBKKFRPIGDSOC-UHFFFAOYSA-N 0 3 234.318 2.985 20 0 BFADHN Cc1cccc(CN2CCC[C@]23CCOC3)c1F ZINC000449313632 388171771 /nfs/dbraw/zinc/17/17/71/388171771.db2.gz XBZGGWIZLHRGSI-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN CO[C@H](C)CN(C)Cc1csc(C)c1 ZINC000449320068 388172614 /nfs/dbraw/zinc/17/26/14/388172614.db2.gz MDYWBIUAFWXKDF-SECBINFHSA-N 0 3 213.346 2.523 20 0 BFADHN CC1(F)CC(NCc2ccc(-c3cc[nH]n3)o2)C1 ZINC000449378051 388188299 /nfs/dbraw/zinc/18/82/99/388188299.db2.gz VGCHFMUFBBPBHW-UHFFFAOYSA-N 0 3 249.289 2.650 20 0 BFADHN CCc1noc(C)c1CN[C@@H](C)Cc1ccoc1 ZINC000449341755 388176721 /nfs/dbraw/zinc/17/67/21/388176721.db2.gz WFRLXGCUHFSEBL-JTQLQIEISA-N 0 3 248.326 2.859 20 0 BFADHN O[C@H]1CCCN(Cc2cc3ccccc3[nH]2)CC1 ZINC000646298354 388178069 /nfs/dbraw/zinc/17/80/69/388178069.db2.gz JFUFQBHWTFAREB-AWEZNQCLSA-N 0 3 244.338 2.515 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCO[C@@H](Cc2ccccc2)C1 ZINC000488459516 388181431 /nfs/dbraw/zinc/18/14/31/388181431.db2.gz LQPQPAGYHRXZKE-BMFZPTHFSA-N 0 3 245.366 2.586 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCO[C@H](C2CCCCC2)C1 ZINC000488521783 388183926 /nfs/dbraw/zinc/18/39/26/388183926.db2.gz UXIQRWKYLLPZMG-SNPRPXQTSA-N 0 3 237.387 2.924 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)[NH2+]C[C@@H]2C[C@@H]2C)[n-]1 ZINC000488546284 388185695 /nfs/dbraw/zinc/18/56/95/388185695.db2.gz QJTWGFTWBJLYTM-NAKRPEOUSA-N 0 3 236.363 2.625 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)NC[C@@H]2C[C@@H]2C)[nH]1 ZINC000488546284 388185697 /nfs/dbraw/zinc/18/56/97/388185697.db2.gz QJTWGFTWBJLYTM-NAKRPEOUSA-N 0 3 236.363 2.625 20 0 BFADHN C[C@@H](O)CCNC1(c2cccc(F)c2)CCC1 ZINC000646001914 388123017 /nfs/dbraw/zinc/12/30/17/388123017.db2.gz YSOVZTCPSDEGRA-LLVKDONJSA-N 0 3 237.318 2.565 20 0 BFADHN Fc1cccc(C2(NCc3cnc[nH]3)CCC2)c1 ZINC000646001998 388123155 /nfs/dbraw/zinc/12/31/55/388123155.db2.gz FOJKVPNSWKUGKS-UHFFFAOYSA-N 0 3 245.301 2.718 20 0 BFADHN CCCN(C)Cc1cc(F)cc(OC)c1 ZINC000449007452 388126699 /nfs/dbraw/zinc/12/66/99/388126699.db2.gz DDXLJFIGFDSAFD-UHFFFAOYSA-N 0 3 211.280 2.676 20 0 BFADHN COc1cc(CN(C)CCC(C)(C)C)ccn1 ZINC000646028575 388127746 /nfs/dbraw/zinc/12/77/46/388127746.db2.gz FWUQSLLQKMJBJI-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN C[C@@H](c1cnccn1)N(C)CCC(C)(C)C ZINC000646028540 388128453 /nfs/dbraw/zinc/12/84/53/388128453.db2.gz BGUXZKWDNRAVJS-NSHDSACASA-N 0 3 221.348 2.906 20 0 BFADHN CCSCCCN[C@H](C)c1nccs1 ZINC000164018930 388130054 /nfs/dbraw/zinc/13/00/54/388130054.db2.gz RBQVTCNOBVHUHV-SECBINFHSA-N 0 3 230.402 2.937 20 0 BFADHN COc1ccc(F)c(CNC2CC(C)(F)C2)c1 ZINC000449375048 388186237 /nfs/dbraw/zinc/18/62/37/388186237.db2.gz DSYAFQNSGXRSLF-UHFFFAOYSA-N 0 3 241.281 2.815 20 0 BFADHN COc1cc(C)ccc1CN1CCC[C@@H]1C ZINC000449027272 388131816 /nfs/dbraw/zinc/13/18/16/388131816.db2.gz LJKHJAYAPLNLGI-LBPRGKRZSA-N 0 3 219.328 2.988 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1ccnc(OC)n1 ZINC000449028717 388133206 /nfs/dbraw/zinc/13/32/06/388133206.db2.gz MNDZEERQMNEVCH-CYBMUJFWSA-N 0 3 249.358 2.640 20 0 BFADHN OCC[C@H](N[C@H]1C=CCCC1)c1ccccc1F ZINC000646068700 388136208 /nfs/dbraw/zinc/13/62/08/388136208.db2.gz ZFYKWVAJKMIVHK-WFASDCNBSA-N 0 3 249.329 2.948 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccc(Cl)o2)CCO1 ZINC000449058406 388136673 /nfs/dbraw/zinc/13/66/73/388136673.db2.gz IXDNDNYPSOWYOR-NXEZZACHSA-N 0 3 243.734 2.980 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)[NH2+]C[C@H]2C[C@@H]2C)[n-]1 ZINC000488546288 388186589 /nfs/dbraw/zinc/18/65/89/388186589.db2.gz QJTWGFTWBJLYTM-XWLWVQCSSA-N 0 3 236.363 2.625 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)NC[C@H]2C[C@@H]2C)[nH]1 ZINC000488546288 388186590 /nfs/dbraw/zinc/18/65/90/388186590.db2.gz QJTWGFTWBJLYTM-XWLWVQCSSA-N 0 3 236.363 2.625 20 0 BFADHN C[C@H](O)CNC1(c2cccc(Cl)c2)CCC1 ZINC000646094971 388141545 /nfs/dbraw/zinc/14/15/45/388141545.db2.gz AONRHBDEOJETMO-JTQLQIEISA-N 0 3 239.746 2.690 20 0 BFADHN COc1cc(C)ccc1CNC[C@H]1CCCCO1 ZINC000449099357 388142051 /nfs/dbraw/zinc/14/20/51/388142051.db2.gz SUVDEERZOAHKPP-CQSZACIVSA-N 0 3 249.354 2.662 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1ccccc1F ZINC000164065301 388144911 /nfs/dbraw/zinc/14/49/11/388144911.db2.gz KKVUABKJSQDHML-ZJUUUORDSA-N 0 3 211.280 2.511 20 0 BFADHN CSC[C@@H](C)NCc1cc2cccnc2o1 ZINC000449160126 388150582 /nfs/dbraw/zinc/15/05/82/388150582.db2.gz KYQSGJXUPNWILT-SECBINFHSA-N 0 3 236.340 2.669 20 0 BFADHN CS[C@@H](C)CNCc1cc2cccnc2o1 ZINC000449184489 388154834 /nfs/dbraw/zinc/15/48/34/388154834.db2.gz GENYDXFPAYNBAN-VIFPVBQESA-N 0 3 236.340 2.669 20 0 BFADHN CCSCCNCc1ccc(F)cc1F ZINC000164798672 388248566 /nfs/dbraw/zinc/24/85/66/388248566.db2.gz HCJYFNPLXUJHEN-UHFFFAOYSA-N 0 3 231.311 2.808 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](O)C1(C)C)c1cccs1 ZINC000166443233 388254853 /nfs/dbraw/zinc/25/48/53/388254853.db2.gz VTGGJYXRLZAVOZ-JMJZKYOTSA-N 0 3 225.357 2.558 20 0 BFADHN CSC[C@@](C)(O)CN[C@H](C)c1ccsc1 ZINC000167983432 388256626 /nfs/dbraw/zinc/25/66/26/388256626.db2.gz XTJPDEVTVQGPRM-KOLCDFICSA-N 0 3 245.413 2.513 20 0 BFADHN CC(C)C1CC(N[C@H]2c3ccccc3C[C@H]2O)C1 ZINC000488588036 388190168 /nfs/dbraw/zinc/19/01/68/388190168.db2.gz JMIYBDNESORDON-GMEATFMZSA-N 0 3 245.366 2.669 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CN2CCOC[C@@H]2C)C1 ZINC000449388444 388191934 /nfs/dbraw/zinc/19/19/34/388191934.db2.gz ZUTJLJIIUNLDNC-XBFCOCLRSA-N 0 3 223.360 2.700 20 0 BFADHN F[C@@H]1CCN(CCCCOc2ccccc2)C1 ZINC000449393935 388193062 /nfs/dbraw/zinc/19/30/62/388193062.db2.gz NBTFZGRLNWSFAV-CYBMUJFWSA-N 0 3 237.318 2.889 20 0 BFADHN c1cc2cccc(CNCC3=CCOCC3)c2o1 ZINC000449400792 388195565 /nfs/dbraw/zinc/19/55/65/388195565.db2.gz DEFRFTKKIFRSGM-UHFFFAOYSA-N 0 3 243.306 2.869 20 0 BFADHN COC[C@@H](CC(C)C)NCc1ccnc(C)c1 ZINC000449403517 388195609 /nfs/dbraw/zinc/19/56/09/388195609.db2.gz QJONPHLCZWIYRE-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN CC(C)C[C@@H](C)C[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000449404893 388196499 /nfs/dbraw/zinc/19/64/99/388196499.db2.gz GGRUHFBCGGHRMN-LLVKDONJSA-N 0 3 238.379 2.700 20 0 BFADHN CC(C)C[C@@H](C)CNCc1nnc(C(C)C)[nH]1 ZINC000449404893 388196501 /nfs/dbraw/zinc/19/65/01/388196501.db2.gz GGRUHFBCGGHRMN-LLVKDONJSA-N 0 3 238.379 2.700 20 0 BFADHN CCC1(NCc2nccn2-c2ccccc2)CC1 ZINC000449415846 388198914 /nfs/dbraw/zinc/19/89/14/388198914.db2.gz QSPPIRVLNZNVDW-UHFFFAOYSA-N 0 3 241.338 2.905 20 0 BFADHN COc1ccc(C)cc1CN1CC[C@H]1C1CC1 ZINC000449422815 388200894 /nfs/dbraw/zinc/20/08/94/388200894.db2.gz FTWVRHJNTCTHNP-AWEZNQCLSA-N 0 3 231.339 2.988 20 0 BFADHN Fc1ccc(CN2CC[C@@H]2C2CC2)cc1 ZINC000449429118 388203515 /nfs/dbraw/zinc/20/35/15/388203515.db2.gz WRZIEOHZSWIOET-CYBMUJFWSA-N 0 3 205.276 2.810 20 0 BFADHN CC(C)C[C@@]1(C)CCCN1Cc1ccn(C)n1 ZINC000449431939 388204586 /nfs/dbraw/zinc/20/45/86/388204586.db2.gz FDVGCXLHWSYGAA-CQSZACIVSA-N 0 3 235.375 2.821 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1n[nH]cc1C ZINC000449435150 388205447 /nfs/dbraw/zinc/20/54/47/388205447.db2.gz VDDXWZRWIMIKFT-VXGBXAGGSA-N 0 3 221.348 2.634 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1conc1C ZINC000449435169 388205451 /nfs/dbraw/zinc/20/54/51/388205451.db2.gz VFGDQIARBGSVPK-NWDGAFQWSA-N 0 3 222.332 2.899 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1n[nH]cc1C ZINC000449435147 388205625 /nfs/dbraw/zinc/20/56/25/388205625.db2.gz VDDXWZRWIMIKFT-NEPJUHHUSA-N 0 3 221.348 2.634 20 0 BFADHN CCc1ccc(CNC[C@@](C)(CC)OC)cn1 ZINC000449479589 388215087 /nfs/dbraw/zinc/21/50/87/388215087.db2.gz VMLBJBQUARTXRE-CQSZACIVSA-N 0 3 236.359 2.549 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC)[C@@H](C)C2)o1 ZINC000449494214 388217904 /nfs/dbraw/zinc/21/79/04/388217904.db2.gz GGRKLKUEHHBQJA-SMDDNHRTSA-N 0 3 237.343 2.699 20 0 BFADHN CCc1ccc(CN2CC[C@H](OC)[C@H](C)C2)o1 ZINC000449494213 388218023 /nfs/dbraw/zinc/21/80/23/388218023.db2.gz GGRKLKUEHHBQJA-RISCZKNCSA-N 0 3 237.343 2.699 20 0 BFADHN COc1cccc(OCCN(C)[C@@H]2CC2(C)C)c1 ZINC000489503991 388219173 /nfs/dbraw/zinc/21/91/73/388219173.db2.gz WCBMXAINDCKPRY-CQSZACIVSA-N 0 3 249.354 2.804 20 0 BFADHN CCO[C@H]1CCN(Cc2occc2C)C[C@@H]1C ZINC000449496040 388219168 /nfs/dbraw/zinc/21/91/68/388219168.db2.gz PHSRTDCWPNRXJT-STQMWFEESA-N 0 3 237.343 2.835 20 0 BFADHN COc1cccc(OCCN(C)[C@H]2CC2(C)C)c1 ZINC000489503990 388219462 /nfs/dbraw/zinc/21/94/62/388219462.db2.gz WCBMXAINDCKPRY-AWEZNQCLSA-N 0 3 249.354 2.804 20 0 BFADHN COc1cccc(CN2CC[C@H](OC)[C@H](C)C2)c1 ZINC000449512299 388221004 /nfs/dbraw/zinc/22/10/04/388221004.db2.gz ZLAZYPHVLYPHRV-DOMZBBRYSA-N 0 3 249.354 2.552 20 0 BFADHN CCC[C@@H](CC(C)C)C(=O)NC[C@@H](C)N(C)C ZINC000449517037 388221844 /nfs/dbraw/zinc/22/18/44/388221844.db2.gz DLJPGMVRBSVEKZ-OLZOCXBDSA-N 0 3 242.407 2.515 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H](C)c1cc(C)ccn1 ZINC000449524447 388224223 /nfs/dbraw/zinc/22/42/23/388224223.db2.gz QXXJVXRXGKIMGO-YRGRVCCFSA-N 0 3 234.343 2.608 20 0 BFADHN CCc1nocc1CN1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000449535916 388225713 /nfs/dbraw/zinc/22/57/13/388225713.db2.gz HZJRVFMTMLAJCY-ZFWWWQNUSA-N 0 3 246.354 2.857 20 0 BFADHN CCn1nccc1CN1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000449532536 388226125 /nfs/dbraw/zinc/22/61/25/388226125.db2.gz DGLPFYHASOWULE-HUUCEWRRSA-N 0 3 245.370 2.523 20 0 BFADHN Cc1noc(C)c1CN1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000449540341 388226894 /nfs/dbraw/zinc/22/68/94/388226894.db2.gz MSQFHDPPGMEGOM-HUUCEWRRSA-N 0 3 246.354 2.912 20 0 BFADHN c1coc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)c1 ZINC000449544504 388228155 /nfs/dbraw/zinc/22/81/55/388228155.db2.gz WGYHSJXEIWKZMT-KGLIPLIRSA-N 0 3 217.312 2.900 20 0 BFADHN Cc1cnc(CNC23CCC(CC2)CC3)n1C ZINC000449542189 388228522 /nfs/dbraw/zinc/22/85/22/388228522.db2.gz PXAQETUJLXXWOG-UHFFFAOYSA-N 0 3 233.359 2.541 20 0 BFADHN CC(C)[C@H]1CN(Cc2cc[nH]n2)[C@H]1C(C)C ZINC000449559945 388231743 /nfs/dbraw/zinc/23/17/43/388231743.db2.gz ZVYNQZHHNWBTQW-OLZOCXBDSA-N 0 3 221.348 2.522 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1nccn1CC ZINC000449562243 388232482 /nfs/dbraw/zinc/23/24/82/388232482.db2.gz IFSYTQZOHCYMTD-SRVKXCTJSA-N 0 3 223.364 2.988 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC1(C)CCC1 ZINC000449562918 388232641 /nfs/dbraw/zinc/23/26/41/388232641.db2.gz PGWVIMQPWCFFIM-LLVKDONJSA-N 0 3 221.348 2.744 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H](C)CC1CC1 ZINC000449562778 388232866 /nfs/dbraw/zinc/23/28/66/388232866.db2.gz NYHPMWUAJJIBLZ-QWRGUYRKSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@H](N[C@H](C)c1nccn1CC)C(C)C ZINC000449562747 388232985 /nfs/dbraw/zinc/23/29/85/388232985.db2.gz NRVDJNWWGPBEDU-NEPJUHHUSA-N 0 3 223.364 2.988 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H](C)CC1CC1 ZINC000449562776 388233005 /nfs/dbraw/zinc/23/30/05/388233005.db2.gz NYHPMWUAJJIBLZ-GHMZBOCLSA-N 0 3 221.348 2.742 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCCC(C)C ZINC000449563285 388234084 /nfs/dbraw/zinc/23/40/84/388234084.db2.gz UBJQOVXGYPTGSD-GFCCVEGCSA-N 0 3 223.364 2.990 20 0 BFADHN CC[C@@H]1CN(CC)CCN1Cc1ccsc1 ZINC000449566878 388234733 /nfs/dbraw/zinc/23/47/33/388234733.db2.gz RRBJXAXXMQMIOQ-CYBMUJFWSA-N 0 3 238.400 2.664 20 0 BFADHN CCC(C)(C)NC(=O)CN(C)C1(C)CCCC1 ZINC000490179650 388236471 /nfs/dbraw/zinc/23/64/71/388236471.db2.gz BQKDBZNAYXGQFR-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1ccoc1CN(C)[C@@H](CO)CC(C)(C)C ZINC000449586160 388238317 /nfs/dbraw/zinc/23/83/17/388238317.db2.gz MNNLAOIVYWTHGS-GFCCVEGCSA-N 0 3 239.359 2.817 20 0 BFADHN CCc1ncc(CN[C@H](C)[C@H](C)CC)o1 ZINC000449608330 388241347 /nfs/dbraw/zinc/24/13/47/388241347.db2.gz GTQZLSMRGAHAKO-NXEZZACHSA-N 0 3 210.321 2.761 20 0 BFADHN CCCc1ncc(CNC2CC(C)(C)C2)o1 ZINC000449610888 388242357 /nfs/dbraw/zinc/24/23/57/388242357.db2.gz IFHCZGGUUARFFO-UHFFFAOYSA-N 0 3 222.332 2.905 20 0 BFADHN C[C@@H](O)CN1[C@H](C)c2ccc(F)cc2C[C@@H]1C ZINC000459370432 388242919 /nfs/dbraw/zinc/24/29/19/388242919.db2.gz IMKOKCJRWMSDBX-HBNTYKKESA-N 0 3 237.318 2.514 20 0 BFADHN CC[C@@H](C)N1CCN(CCCC(C)C)CC1 ZINC000449625637 388245186 /nfs/dbraw/zinc/24/51/86/388245186.db2.gz BTWXGIWKPLGCJJ-CQSZACIVSA-N 0 3 226.408 2.839 20 0 BFADHN COc1cc(C)ccc1CN[C@H]1COCC1(C)C ZINC000449626501 388245331 /nfs/dbraw/zinc/24/53/31/388245331.db2.gz WSVONLUDAOLYLS-AWEZNQCLSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1cc(F)c(CNC[C@@H](C)CO)c(Cl)c1 ZINC000459480064 388246176 /nfs/dbraw/zinc/24/61/76/388246176.db2.gz VSTPTTKASIAXHZ-SECBINFHSA-N 0 3 245.725 2.506 20 0 BFADHN CO[C@@H]([C@H](C)N[C@H](C)c1cc(C)ccn1)C1CC1 ZINC000449634625 388247039 /nfs/dbraw/zinc/24/70/39/388247039.db2.gz WJOBHHZPNKKJJP-XUJVJEKNSA-N 0 3 248.370 2.854 20 0 BFADHN CC[C@@H](N[C@H](C)c1cc(C)ccn1)[C@@H](O)CC ZINC000449645395 388247841 /nfs/dbraw/zinc/24/78/41/388247841.db2.gz LSVSLQRDZGEZLV-BZPMIXESSA-N 0 3 236.359 2.590 20 0 BFADHN CCSCCNCc1cc(F)ccc1F ZINC000164804307 388248380 /nfs/dbraw/zinc/24/83/80/388248380.db2.gz SFMGCRCCOXBXJQ-UHFFFAOYSA-N 0 3 231.311 2.808 20 0 BFADHN O[C@@H]1CC[C@@H]2CN(CC3=CCCCC3)CC[C@@H]2C1 ZINC000451694703 388290047 /nfs/dbraw/zinc/29/00/47/388290047.db2.gz CMFUKQNILVRRRH-BZUAXINKSA-N 0 3 249.398 2.970 20 0 BFADHN CCOCCN1CCCc2ccccc2CC1 ZINC000451710797 388291087 /nfs/dbraw/zinc/29/10/87/388291087.db2.gz NDJARMUCOWLQRX-UHFFFAOYSA-N 0 3 233.355 2.514 20 0 BFADHN CC1(C)COC[C@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000451809137 388297593 /nfs/dbraw/zinc/29/75/93/388297593.db2.gz LQENZGMELWTIQN-UONOGXRCSA-N 0 3 249.329 2.828 20 0 BFADHN CC1(C)COC[C@@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000451809136 388297797 /nfs/dbraw/zinc/29/77/97/388297797.db2.gz LQENZGMELWTIQN-KGLIPLIRSA-N 0 3 249.329 2.828 20 0 BFADHN CC[C@H](C)N1CCN(CCCCCF)CC1 ZINC000451834399 388299691 /nfs/dbraw/zinc/29/96/91/388299691.db2.gz FBIPEIVOODZHPV-ZDUSSCGKSA-N 0 3 230.371 2.542 20 0 BFADHN CC(C)(O)CCN1CC2(CCC2)[C@H]1c1ccco1 ZINC000451855136 388301503 /nfs/dbraw/zinc/30/15/03/388301503.db2.gz OJXMIZKARMPXHL-CYBMUJFWSA-N 0 3 249.354 2.968 20 0 BFADHN CN(Cc1ccccn1)C[C@H]1CCC(F)(F)C1 ZINC000451372600 388267388 /nfs/dbraw/zinc/26/73/88/388267388.db2.gz XRKNPWDVDXSLFN-NSHDSACASA-N 0 3 240.297 2.949 20 0 BFADHN CO[C@H](C)CN1CC[C@H](C(F)(F)F)C[C@@H]1C ZINC000451402656 388269997 /nfs/dbraw/zinc/26/99/97/388269997.db2.gz BYJVSDRSCUFOFV-AEJSXWLSSA-N 0 3 239.281 2.684 20 0 BFADHN CSC[C@H](C)NCc1csc(C)c1 ZINC000294949592 388404307 /nfs/dbraw/zinc/40/43/07/388404307.db2.gz CASWDTINHVSZGC-QMMMGPOBSA-N 0 3 215.387 2.898 20 0 BFADHN CCSCCNCc1ccc(OC)c(F)c1 ZINC000275785597 388345842 /nfs/dbraw/zinc/34/58/42/388345842.db2.gz NBGDHLRMYXOFQO-UHFFFAOYSA-N 0 3 243.347 2.677 20 0 BFADHN CO[C@@H](C)CNCc1ccc2nc(C)ccc2c1 ZINC000276259276 388348729 /nfs/dbraw/zinc/34/87/29/388348729.db2.gz XUJAZJDGTSKZSP-LBPRGKRZSA-N 0 3 244.338 2.668 20 0 BFADHN CC(C)[C@H](N[C@@H](C)c1cscn1)C(C)(C)O ZINC000276604597 388349309 /nfs/dbraw/zinc/34/93/09/388349309.db2.gz BTHPMJXZXUICAB-ONGXEEELSA-N 0 3 242.388 2.589 20 0 BFADHN CCC(O)(CC)CCN[C@@H](C)c1ccco1 ZINC000276654191 388351384 /nfs/dbraw/zinc/35/13/84/388351384.db2.gz MWVBYOMQLLMMNF-NSHDSACASA-N 0 3 225.332 2.871 20 0 BFADHN C[C@H](NC1CC(F)(F)C1)c1cccnc1 ZINC000277091301 388352231 /nfs/dbraw/zinc/35/22/31/388352231.db2.gz KNMVVFXTBBROSK-QMMMGPOBSA-N 0 3 212.243 2.530 20 0 BFADHN F[C@@H]1CCN(C[C@@H]2CCOc3ccccc32)C1 ZINC000648721616 388355191 /nfs/dbraw/zinc/35/51/91/388355191.db2.gz QZMPZFUOYJSAQQ-NWDGAFQWSA-N 0 3 235.302 2.597 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1ccco1)OC ZINC000293656185 388356846 /nfs/dbraw/zinc/35/68/46/388356846.db2.gz KWQKPVWWNCAOLE-WDEREUQCSA-N 0 3 211.305 2.745 20 0 BFADHN CC1(C)OCC[C@H]1NCc1cccc(Cl)c1 ZINC000293664659 388357033 /nfs/dbraw/zinc/35/70/33/388357033.db2.gz JKQKBPSAXADWAB-GFCCVEGCSA-N 0 3 239.746 2.997 20 0 BFADHN CC1(C)OCC[C@@H]1NCc1cccc(Cl)c1 ZINC000293664666 388357180 /nfs/dbraw/zinc/35/71/80/388357180.db2.gz JKQKBPSAXADWAB-LBPRGKRZSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCNc3ccccc32)CO1 ZINC000572642015 388362864 /nfs/dbraw/zinc/36/28/64/388362864.db2.gz IXTCBRKENCMEDA-JMSVASOKSA-N 0 3 246.354 2.700 20 0 BFADHN CCCCN1CCC[C@@H](OCC(F)(F)F)C1 ZINC000524593767 388367158 /nfs/dbraw/zinc/36/71/58/388367158.db2.gz QAMQUJPRECWMAT-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN CCCCCNC(=O)[C@@H](C)N(C)CCC(C)C ZINC000193568959 388377044 /nfs/dbraw/zinc/37/70/44/388377044.db2.gz SITUGXZPXMEYNB-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1nc(CNC[C@@H]2CCCSC2)cs1 ZINC000288387826 388379407 /nfs/dbraw/zinc/37/94/07/388379407.db2.gz GTVRSRDDBISMBZ-JTQLQIEISA-N 0 3 242.413 2.684 20 0 BFADHN CO[C@H](CNCc1cc(C)ccc1F)C1CC1 ZINC000293021313 388386218 /nfs/dbraw/zinc/38/62/18/388386218.db2.gz OWZJITSAJPOLOH-CQSZACIVSA-N 0 3 237.318 2.649 20 0 BFADHN C[C@H]1CC[C@H](CC(=O)Nc2cccc(CN)c2)C1 ZINC000646578248 388387394 /nfs/dbraw/zinc/38/73/94/388387394.db2.gz UNDWFAHPBCEHNQ-RYUDHWBXSA-N 0 3 246.354 2.910 20 0 BFADHN Cc1nocc1CNCC(C)(C)C(C)C ZINC000293346943 388388518 /nfs/dbraw/zinc/38/85/18/388388518.db2.gz SRNODHARFPBVCS-UHFFFAOYSA-N 0 3 210.321 2.755 20 0 BFADHN C[C@@H]1CCCC[C@H]1C(=O)Nc1cccc(CN)c1 ZINC000646578910 388388722 /nfs/dbraw/zinc/38/87/22/388388722.db2.gz JFXJSOPQHPLZTL-BXUZGUMPSA-N 0 3 246.354 2.910 20 0 BFADHN CC[C@H](C)[C@H](C)C(=O)Nc1cccc(CN)c1 ZINC000646579440 388388984 /nfs/dbraw/zinc/38/89/84/388388984.db2.gz GXOOBGJDUGLAFR-QWRGUYRKSA-N 0 3 234.343 2.766 20 0 BFADHN Cc1nocc1CNCC1(C)CCCC1 ZINC000293496020 388389434 /nfs/dbraw/zinc/38/94/34/388389434.db2.gz GVUNRZZEFDHICR-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN Cc1sccc1CNC[C@@H]1CCCCO1 ZINC000293626023 388390736 /nfs/dbraw/zinc/39/07/36/388390736.db2.gz QRJKEJTWKVGQIK-LBPRGKRZSA-N 0 3 225.357 2.715 20 0 BFADHN CC/C=C/CNCc1c(C)nn(CC)c1C ZINC000294933880 388406450 /nfs/dbraw/zinc/40/64/50/388406450.db2.gz BUHNBDNBBKDXIT-BQYQJAHWSA-N 0 3 221.348 2.576 20 0 BFADHN C[C@H](CC(C)(C)O)NCc1cccc(F)c1F ZINC000294949579 388406694 /nfs/dbraw/zinc/40/66/94/388406694.db2.gz CXWGEADIJPZCRE-SECBINFHSA-N 0 3 243.297 2.604 20 0 BFADHN Cc1nc(CNC[C@@H]2CCC[C@H](C)C2)[nH]c1C ZINC000520430053 256673179 /nfs/dbraw/zinc/67/31/79/256673179.db2.gz YLKROIIUFNECOB-GXFFZTMASA-N 0 3 235.375 2.942 20 0 BFADHN O[C@H](CN[C@@H]1CCc2c1cccc2F)C1CCC1 ZINC000316188444 388406712 /nfs/dbraw/zinc/40/67/12/388406712.db2.gz NXEQAJUDJQAESX-HUUCEWRRSA-N 0 3 249.329 2.564 20 0 BFADHN CC(C)N1CC[C@]2(C1)CC(=O)c1ccccc1O2 ZINC000164758881 388398601 /nfs/dbraw/zinc/39/86/01/388398601.db2.gz RDDSQQQELGNUCQ-OAHLLOKOSA-N 0 3 245.322 2.505 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1cnccc1C)OC ZINC000294353942 388399096 /nfs/dbraw/zinc/39/90/96/388399096.db2.gz ZLAHBFNMLASYSQ-RISCZKNCSA-N 0 3 236.359 2.541 20 0 BFADHN CCOCCN[C@H](C)c1csc(C)c1 ZINC000294312689 388399261 /nfs/dbraw/zinc/39/92/61/388399261.db2.gz WBJZPVPSKKECPX-SNVBAGLBSA-N 0 3 213.346 2.744 20 0 BFADHN COc1cc(C)nc(CN[C@H](C)C(C)(C)C)c1 ZINC000165382342 388399874 /nfs/dbraw/zinc/39/98/74/388399874.db2.gz RWZRGEMCPSWPFN-LLVKDONJSA-N 0 3 236.359 2.923 20 0 BFADHN CC1(C)OCC[C@H]1NCc1cccc(F)c1F ZINC000294930315 388406771 /nfs/dbraw/zinc/40/67/71/388406771.db2.gz ZLMFTBFBBGKBRK-LLVKDONJSA-N 0 3 241.281 2.622 20 0 BFADHN CC[C@H](N[C@@H](C)C[S@@](C)=O)c1ccsc1 ZINC000294446610 388400286 /nfs/dbraw/zinc/40/02/86/388400286.db2.gz RMELTHPFDKFOGJ-CCUNJIBTSA-N 0 3 245.413 2.556 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](O)C2CCC2)c(C)o1 ZINC000315708502 388401761 /nfs/dbraw/zinc/40/17/61/388401761.db2.gz HALNZETXRWGWAY-YGRLFVJLSA-N 0 3 237.343 2.708 20 0 BFADHN CCCCC[C@@H](C)NCc1ccnc(OC)n1 ZINC000294637688 388402184 /nfs/dbraw/zinc/40/21/84/388402184.db2.gz AUWJCCWNZPMMKE-LLVKDONJSA-N 0 3 237.347 2.544 20 0 BFADHN COC1(CCN[C@@H](C)c2nccs2)CCC1 ZINC000294648003 388402341 /nfs/dbraw/zinc/40/23/41/388402341.db2.gz QKPFEEUQXYGTHM-JTQLQIEISA-N 0 3 240.372 2.753 20 0 BFADHN C[C@@H]1C[C@@H](Nc2cccc(CN(C)C)c2)[C@H](C)O1 ZINC000294730593 388403173 /nfs/dbraw/zinc/40/31/73/388403173.db2.gz GSCLCCQTEPQVJJ-TYNCELHUSA-N 0 3 248.370 2.726 20 0 BFADHN C[C@H](NC[C@H](O)C1CCC1)c1ccc(F)cc1 ZINC000315812801 388403237 /nfs/dbraw/zinc/40/32/37/388403237.db2.gz XUZMBDJKACYYAI-HZMBPMFUSA-N 0 3 237.318 2.637 20 0 BFADHN CCC[C@H](C)NCc1ccc(C)c(C)n1 ZINC000314752747 256680119 /nfs/dbraw/zinc/68/01/19/256680119.db2.gz IZEMQUXYRDOGML-NSHDSACASA-N 0 3 206.333 2.977 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1cc(C)cc(Cl)c1 ZINC000378665241 388480389 /nfs/dbraw/zinc/48/03/89/388480389.db2.gz AHPURNQLCMIFNO-OLZOCXBDSA-N 0 3 239.746 2.915 20 0 BFADHN Cc1cc(CN)cc(NC(=O)C[C@@H]2C=CCC2)c1 ZINC000322705983 388443894 /nfs/dbraw/zinc/44/38/94/388443894.db2.gz NBWMRHOCUICACI-GFCCVEGCSA-N 0 3 244.338 2.749 20 0 BFADHN CCN1CCN(C2CCC(C(C)C)CC2)CC1 ZINC000175927409 388448002 /nfs/dbraw/zinc/44/80/02/388448002.db2.gz JYBHEYZAZYCQLM-UHFFFAOYSA-N 0 3 238.419 2.839 20 0 BFADHN COc1cccc2c1CCN(CC1(F)CC1)CC2 ZINC000525995485 388452370 /nfs/dbraw/zinc/45/23/70/388452370.db2.gz ZJWSTJAGJMVAMZ-UHFFFAOYSA-N 0 3 249.329 2.598 20 0 BFADHN COc1cc(CCNCC2(F)CC2)ccc1C ZINC000525988830 388452497 /nfs/dbraw/zinc/45/24/97/388452497.db2.gz XQGAULWTXGYATL-UHFFFAOYSA-N 0 3 237.318 2.638 20 0 BFADHN Cn1cc(CNC2(c3ccccc3)CCC2)cn1 ZINC000195146299 388461022 /nfs/dbraw/zinc/46/10/22/388461022.db2.gz LFQDUKHWDDVYNX-UHFFFAOYSA-N 0 3 241.338 2.589 20 0 BFADHN CSC1(CN[C@@H](C)c2cnc(C)s2)CC1 ZINC000193831657 388465753 /nfs/dbraw/zinc/46/57/53/388465753.db2.gz FWPNVURXFUVKIX-QMMMGPOBSA-N 0 3 242.413 2.998 20 0 BFADHN O[C@@]1(C(F)F)CCN([C@@H]2C=CCCCCC2)C1 ZINC000515595946 388467202 /nfs/dbraw/zinc/46/72/02/388467202.db2.gz SXNUSYCWBFBXLD-YPMHNXCESA-N 0 3 245.313 2.577 20 0 BFADHN CC(C)n1cc(CN[C@H]2CCC[C@@H](C)C2)nn1 ZINC000336718715 388467451 /nfs/dbraw/zinc/46/74/51/388467451.db2.gz VCDZDLJUQMKHHO-NEPJUHHUSA-N 0 3 236.363 2.527 20 0 BFADHN COc1cccnc1CN[C@H](C)CC1CCC1 ZINC000648847358 388468490 /nfs/dbraw/zinc/46/84/90/388468490.db2.gz RGUVIJKMNKRIHP-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cnc(C(C)C)s1 ZINC000336723165 388469179 /nfs/dbraw/zinc/46/91/79/388469179.db2.gz DWSWUMYUOLUFLF-NXEZZACHSA-N 0 3 242.388 2.780 20 0 BFADHN CC[C@H](C)N(C)Cc1ccc(C(=O)OC)s1 ZINC000176982186 388469856 /nfs/dbraw/zinc/46/98/56/388469856.db2.gz WHEKLPSYTHGMOM-VIFPVBQESA-N 0 3 241.356 2.765 20 0 BFADHN Cc1ccc(CNCC2(C(F)F)CC2)o1 ZINC000336724610 388469968 /nfs/dbraw/zinc/46/99/68/388469968.db2.gz FZQIHZQSADIMCA-UHFFFAOYSA-N 0 3 215.243 2.723 20 0 BFADHN Cc1ccoc1CN[C@@H]1[C@@H]2CCCO[C@H]2C1(C)C ZINC000336793178 388471174 /nfs/dbraw/zinc/47/11/74/388471174.db2.gz VSQYVVIKEMMYMW-IACUBPJLSA-N 0 3 249.354 2.881 20 0 BFADHN Cc1nnsc1CN[C@@H](C)CC1CCCC1 ZINC000336732499 388471555 /nfs/dbraw/zinc/47/15/55/388471555.db2.gz FWZZWRYRCGWWTH-VIFPVBQESA-N 0 3 239.388 2.905 20 0 BFADHN Cc1cc(Cl)cc(CNC[C@H](O)C2CC2)c1 ZINC000339252225 388481611 /nfs/dbraw/zinc/48/16/11/388481611.db2.gz AVDRHRHPHAQWRV-ZDUSSCGKSA-N 0 3 239.746 2.509 20 0 BFADHN Cc1n[nH]cc1CNC[C@H]1CCCCC1(F)F ZINC000295067064 388407711 /nfs/dbraw/zinc/40/77/11/388407711.db2.gz GZPHWNXGCNMJAD-LLVKDONJSA-N 0 3 243.301 2.633 20 0 BFADHN COC[C@H](N[C@H](C)c1cccc(O)c1)C(C)C ZINC000086697306 388409717 /nfs/dbraw/zinc/40/97/17/388409717.db2.gz SCBBPHAADILIHO-RISCZKNCSA-N 0 3 237.343 2.714 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc(F)ccc2F)[C@@H](C)O1 ZINC000295228545 388409996 /nfs/dbraw/zinc/40/99/96/388409996.db2.gz RQJNPSTVKFOFGR-KKFJDGPESA-N 0 3 241.281 2.620 20 0 BFADHN Cc1ccc(F)c(CNCC[C@H](C)CCO)c1 ZINC000295183620 388410232 /nfs/dbraw/zinc/41/02/32/388410232.db2.gz WFQXKSDYKPQTNM-NSHDSACASA-N 0 3 239.334 2.632 20 0 BFADHN CCC[C@](C)(O)CNCc1cccc(C)c1F ZINC000295318221 388411176 /nfs/dbraw/zinc/41/11/76/388411176.db2.gz YVABXIGHVNYBLN-AWEZNQCLSA-N 0 3 239.334 2.775 20 0 BFADHN N=C(N)Nc1nc(C2CCCCCC2)cs1 ZINC000573051323 388412632 /nfs/dbraw/zinc/41/26/32/388412632.db2.gz OOUVMRZTFAHDNM-UHFFFAOYSA-N 0 3 238.360 2.886 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CSC[C@@H]2C)s1 ZINC000295763512 388413004 /nfs/dbraw/zinc/41/30/04/388413004.db2.gz QJKMWILRXWRERF-HGNGGELXSA-N 0 3 242.413 2.854 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CSC[C@H]2C)s1 ZINC000295763513 388413504 /nfs/dbraw/zinc/41/35/04/388413504.db2.gz QJKMWILRXWRERF-JEZHCXPESA-N 0 3 242.413 2.854 20 0 BFADHN C[C@@H](NCc1cn2ccccc2n1)[C@@H]1CC1(C)C ZINC000397568918 388479051 /nfs/dbraw/zinc/47/90/51/388479051.db2.gz CXPRWKPWPUTNON-YPMHNXCESA-N 0 3 243.354 2.859 20 0 BFADHN C[C@@]1(O)CCN([C@H]2CCCc3ccc(F)cc32)C1 ZINC000245536278 388422214 /nfs/dbraw/zinc/42/22/14/388422214.db2.gz ZJKFZSQDKIBUMG-LSDHHAIUSA-N 0 3 249.329 2.660 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](O)C2CCC2)cs1 ZINC000316847216 388422322 /nfs/dbraw/zinc/42/23/22/388422322.db2.gz XJCMXHPLYZCWHI-GXFFZTMASA-N 0 3 239.384 2.868 20 0 BFADHN Cc1cnccc1CNCCOC1CCCCC1 ZINC000296444188 388424409 /nfs/dbraw/zinc/42/44/09/388424409.db2.gz NYWHATFYASIBBG-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN COc1nccc(CN[C@H]2CCC[C@@H](C)CC2)n1 ZINC000296654037 388425305 /nfs/dbraw/zinc/42/53/05/388425305.db2.gz DNGANIYYNQOQLX-NEPJUHHUSA-N 0 3 249.358 2.544 20 0 BFADHN CN(Cc1ccc2c(c1)OCCO2)CC1(C)CC1 ZINC000573156249 388426910 /nfs/dbraw/zinc/42/69/10/388426910.db2.gz UAEZZFDVFKHJQM-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN COc1nccc(CN[C@@H]2CCCC(C)(C)C2)n1 ZINC000297071650 388427222 /nfs/dbraw/zinc/42/72/22/388427222.db2.gz ZONHFZCHBPHHAR-LLVKDONJSA-N 0 3 249.358 2.544 20 0 BFADHN CCN(CC)C(=O)C[C@@H](c1ccccc1)N(C)C ZINC000001588312 388430748 /nfs/dbraw/zinc/43/07/48/388430748.db2.gz ZVDBOOBNPMGMJL-AWEZNQCLSA-N 0 3 248.370 2.548 20 0 BFADHN COc1ncccc1CN1CC[C@@H]2CCCC[C@@H]21 ZINC000573202984 388431434 /nfs/dbraw/zinc/43/14/34/388431434.db2.gz RMRSLXNTIXDLCN-JSGCOSHPSA-N 0 3 246.354 2.855 20 0 BFADHN CC[C@@H]1C[C@H](CN2CCC[C@@H](F)C2)CCO1 ZINC000573212761 388432555 /nfs/dbraw/zinc/43/25/55/388432555.db2.gz HPFKVEYBUABNJY-JHJVBQTASA-N 0 3 229.339 2.626 20 0 BFADHN Cc1noc(C)c1CN1CC[C@H](C)[C@@H](C)C1 ZINC000245894193 388433409 /nfs/dbraw/zinc/43/34/09/388433409.db2.gz KDUAHWUNNSCQKK-UWVGGRQHSA-N 0 3 222.332 2.769 20 0 BFADHN C[C@H](NCC(C)(C)C(C)(C)O)c1ccco1 ZINC000393587087 388434286 /nfs/dbraw/zinc/43/42/86/388434286.db2.gz BDTNRZYPKNEGLV-JTQLQIEISA-N 0 3 225.332 2.727 20 0 BFADHN C[C@@]1(O)CCCN([C@H]2CCc3ccc(F)cc32)C1 ZINC000245914925 388434379 /nfs/dbraw/zinc/43/43/79/388434379.db2.gz MZZYETWYCJTMKL-LSDHHAIUSA-N 0 3 249.329 2.660 20 0 BFADHN CC[C@H](NCc1nccn1CC)C1CCC1 ZINC000322494479 388435865 /nfs/dbraw/zinc/43/58/65/388435865.db2.gz ZPNMJIUVCWGYMX-LBPRGKRZSA-N 0 3 221.348 2.571 20 0 BFADHN CC[C@](C)(CNCc1ccc(F)c(F)c1)OC ZINC000322523828 388436769 /nfs/dbraw/zinc/43/67/69/388436769.db2.gz MXFQYQYALVPZJN-CYBMUJFWSA-N 0 3 243.297 2.870 20 0 BFADHN CSCc1cnc(CN[C@@H](C)C2CC2)s1 ZINC000339168002 388480190 /nfs/dbraw/zinc/48/01/90/388480190.db2.gz IXAPCLOLMJRQBI-QMMMGPOBSA-N 0 3 242.413 2.894 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2ccncc2)C12CCC2 ZINC000308382440 388437696 /nfs/dbraw/zinc/43/76/96/388437696.db2.gz XMZQLNVHCUFPHE-IACUBPJLSA-N 0 3 246.354 2.690 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2ccncc2)C12CCC2 ZINC000308382444 388438132 /nfs/dbraw/zinc/43/81/32/388438132.db2.gz XMZQLNVHCUFPHE-MRVWCRGKSA-N 0 3 246.354 2.690 20 0 BFADHN C/C(Cl)=C/CN1CCC[C@@](CO)(C(C)C)C1 ZINC000528512518 388537879 /nfs/dbraw/zinc/53/78/79/388537879.db2.gz LYRKYKVRTHECDA-QWGHSUKYSA-N 0 3 245.794 2.860 20 0 BFADHN CC(C)CN1CCc2nc(C(C)(C)C)ncc2C1 ZINC000528592361 388550442 /nfs/dbraw/zinc/55/04/42/388550442.db2.gz HWYQPTJCLWNGGS-UHFFFAOYSA-N 0 3 247.386 2.788 20 0 BFADHN C/C(Cl)=C/CN1CC[C@@H](O)CC(C)(C)C1 ZINC000528517824 388540610 /nfs/dbraw/zinc/54/06/10/388540610.db2.gz IOUXVPXHNUFJRJ-NEOSZVFXSA-N 0 3 231.767 2.612 20 0 BFADHN CN(Cc1cccc(O)c1)C[C@H]1CCC(C)(C)O1 ZINC000548485012 388543082 /nfs/dbraw/zinc/54/30/82/388543082.db2.gz ZZCGFSRPYXFYAJ-CQSZACIVSA-N 0 3 249.354 2.782 20 0 BFADHN CC[C@H]1C[C@@H](C)C[N@@H+]1Cc1nc(C)ccc1[O-] ZINC000353919847 388543922 /nfs/dbraw/zinc/54/39/22/388543922.db2.gz KIZZLSGAICSKNU-PWSUYJOCSA-N 0 3 234.343 2.716 20 0 BFADHN CC[C@H]1C[C@@H](C)C[N@H+]1Cc1nc(C)ccc1[O-] ZINC000353919847 388543927 /nfs/dbraw/zinc/54/39/27/388543927.db2.gz KIZZLSGAICSKNU-PWSUYJOCSA-N 0 3 234.343 2.716 20 0 BFADHN C[C@H](NCc1ccccc1F)[C@@H]1CCCOC1 ZINC000355777613 388547138 /nfs/dbraw/zinc/54/71/38/388547138.db2.gz SIPNMCXTSYYRCH-WCQYABFASA-N 0 3 237.318 2.730 20 0 BFADHN Cc1ccsc1CCNCc1conc1C ZINC000339336936 388482130 /nfs/dbraw/zinc/48/21/30/388482130.db2.gz SDKZCASQLIRLNU-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN Cc1nocc1CN[C@@H]1CC[C@H](C)[C@@H](C)C1 ZINC000339346388 388482366 /nfs/dbraw/zinc/48/23/66/388482366.db2.gz AYKPKAULMRMVCF-OUJBWJOFSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1nocc1CN[C@@H]1CC[C@@H](C)[C@@H](C)C1 ZINC000339346380 388482435 /nfs/dbraw/zinc/48/24/35/388482435.db2.gz AYKPKAULMRMVCF-GBIKHYSHSA-N 0 3 222.332 2.897 20 0 BFADHN CC(C)C1(NCc2cnn(C(C)(C)C)c2)CC1 ZINC000339509617 388483438 /nfs/dbraw/zinc/48/34/38/388483438.db2.gz YZBWHCUOHKTVLN-UHFFFAOYSA-N 0 3 235.375 2.916 20 0 BFADHN Cc1ccc(F)c(CNCC[C@@H](C)F)c1 ZINC000339497235 388483998 /nfs/dbraw/zinc/48/39/98/388483998.db2.gz UQTNPCJPIZFMOA-SNVBAGLBSA-N 0 3 213.271 2.972 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccccc1F)C1CC1 ZINC000339531169 388485073 /nfs/dbraw/zinc/48/50/73/388485073.db2.gz SOGNYIASMTYBHU-YGRLFVJLSA-N 0 3 237.318 2.901 20 0 BFADHN COC(C)(C)CNCc1cc(F)c(F)c(F)c1 ZINC000135232440 388485837 /nfs/dbraw/zinc/48/58/37/388485837.db2.gz ICFBVGJAXDERKF-UHFFFAOYSA-N 0 3 247.260 2.619 20 0 BFADHN COC(C)(C)CNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000135233525 388486226 /nfs/dbraw/zinc/48/62/26/388486226.db2.gz VZIOLLASKYXTLY-JQWIXIFHSA-N 0 3 237.343 2.918 20 0 BFADHN Cc1ccoc1CN[C@H](C)[C@@]1(C)CCCO1 ZINC000340387432 388489658 /nfs/dbraw/zinc/48/96/58/388489658.db2.gz DXRKKEXFLZLEII-DGCLKSJQSA-N 0 3 223.316 2.635 20 0 BFADHN C[C@H](N[C@@H]1CSC[C@@H]1C)c1ccncc1F ZINC000340391103 388490127 /nfs/dbraw/zinc/49/01/27/388490127.db2.gz LFJGKQDHLLJMOS-HOTUBEGUSA-N 0 3 240.347 2.623 20 0 BFADHN Fc1cccc(F)c1CN[C@@H]1C[C@H]2C[C@H]2C1 ZINC000397691559 388490476 /nfs/dbraw/zinc/49/04/76/388490476.db2.gz AVYGLVRKCUGHMV-ILWJIGKKSA-N 0 3 223.266 2.853 20 0 BFADHN CC(C)C1(N[C@@H](C)c2nccs2)CC1 ZINC000340476233 388490739 /nfs/dbraw/zinc/49/07/39/388490739.db2.gz GZDNONJHPDMHBB-VIFPVBQESA-N 0 3 210.346 2.982 20 0 BFADHN CC[C@H](C)CN(CC)CC(=O)NC(C)(C)CC ZINC000177858735 388492216 /nfs/dbraw/zinc/49/22/16/388492216.db2.gz BIAWALSGVCLVKK-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN COc1cccc([C@@H](C)NCC2=CCOCC2)c1 ZINC000397709488 388493208 /nfs/dbraw/zinc/49/32/08/388493208.db2.gz ONJRRVYDQWEFEJ-GFCCVEGCSA-N 0 3 247.338 2.693 20 0 BFADHN CC[C@@H](NCc1ccc(C)nn1)[C@@H]1CC1(C)C ZINC000397786944 388499009 /nfs/dbraw/zinc/49/90/09/388499009.db2.gz PKEOCXDZUIKICQ-QWHCGFSZSA-N 0 3 233.359 2.699 20 0 BFADHN CCc1ncc(CN[C@H]2CS[C@H](C)C2)s1 ZINC000397794835 388499341 /nfs/dbraw/zinc/49/93/41/388499341.db2.gz JKPPBRBIYJQQHA-RKDXNWHRSA-N 0 3 242.413 2.689 20 0 BFADHN CCc1ccc(CN[C@@H]2CS[C@@H](C)C2)o1 ZINC000397801548 388500106 /nfs/dbraw/zinc/50/01/06/388500106.db2.gz LTAKZOKYOZKAHB-UWVGGRQHSA-N 0 3 225.357 2.826 20 0 BFADHN COCCC1CCN(Cc2cccnc2C)CC1 ZINC000528578707 388549101 /nfs/dbraw/zinc/54/91/01/388549101.db2.gz CPUGFAWWEOIYBH-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCC2(N(C)C)CC2)o1 ZINC000397824312 388502591 /nfs/dbraw/zinc/50/25/91/388502591.db2.gz ANZHYRSCZVJYNX-YPMHNXCESA-N 0 3 248.370 2.587 20 0 BFADHN C[C@@H]1CSC[C@@H]1N[C@@H]1COCc2ccccc21 ZINC000397826165 388502611 /nfs/dbraw/zinc/50/26/11/388502611.db2.gz LKZIFENJBJTKJO-HONMWMINSA-N 0 3 249.379 2.599 20 0 BFADHN CC(C)[C@@H]1CN(Cc2ccc(O)cc2)CCCO1 ZINC000378806743 388507312 /nfs/dbraw/zinc/50/73/12/388507312.db2.gz YNORAQBYROKBSQ-HNNXBMFYSA-N 0 3 249.354 2.639 20 0 BFADHN CO[C@H](CNCc1cc(C)ccc1F)C(C)C ZINC000344710560 388509418 /nfs/dbraw/zinc/50/94/18/388509418.db2.gz FVOOAVZTHGHWHK-CQSZACIVSA-N 0 3 239.334 2.895 20 0 BFADHN C[C@@H]1CCC[C@@H](N[C@@H]2CCn3ccnc32)CC1 ZINC000345345527 388512116 /nfs/dbraw/zinc/51/21/16/388512116.db2.gz JIKZIOZDFWWVLU-JHJVBQTASA-N 0 3 233.359 2.886 20 0 BFADHN COCCC1CCN(Cc2ccncc2C)CC1 ZINC000528584068 388549503 /nfs/dbraw/zinc/54/95/03/388549503.db2.gz SONIXDIYZULVLA-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN CCC1(CN[C@@H]2C[C@H](C)n3ccnc32)CC1 ZINC000345357654 388514028 /nfs/dbraw/zinc/51/40/28/388514028.db2.gz PPWCLJYBOMXBLL-WDEREUQCSA-N 0 3 219.332 2.669 20 0 BFADHN CC1(CN2CC[C@]3(C2)OCc2ccccc23)CC1 ZINC000516700932 388514423 /nfs/dbraw/zinc/51/44/23/388514423.db2.gz ZBWFXURYZRBKAN-MRXNPFEDSA-N 0 3 243.350 2.918 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@@H](C)O2)ccc1F ZINC000398030540 388515286 /nfs/dbraw/zinc/51/52/86/388515286.db2.gz JNGRFWGUBCWDOX-YPMHNXCESA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ccc(F)cc1CNC[C@@H]1CC[C@@H](C)O1 ZINC000398041566 388515921 /nfs/dbraw/zinc/51/59/21/388515921.db2.gz QOABFQIKWGLIBG-RISCZKNCSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2cc(F)cc(F)c2)O1 ZINC000398065218 388516654 /nfs/dbraw/zinc/51/66/54/388516654.db2.gz DCQJCGYZKYKJHJ-RNCFNFMXSA-N 0 3 241.281 2.622 20 0 BFADHN CC[C@H](O)[C@H]1CCCCN1C/C=C(\C)Cl ZINC000528386812 388518937 /nfs/dbraw/zinc/51/89/37/388518937.db2.gz JRJBVAOGNRTMFK-XJUJAYADSA-N 0 3 231.767 2.754 20 0 BFADHN C/C(Cl)=C\CN1CCC[C@@H]2COCC[C@@H]21 ZINC000528404290 388520721 /nfs/dbraw/zinc/52/07/21/388520721.db2.gz NXCSHAXIUZGHQK-KAZJJLNOSA-N 0 3 229.751 2.630 20 0 BFADHN C/C(Cl)=C/CN1CCC[C@H]([C@H]2CCOC2)C1 ZINC000528420178 388521681 /nfs/dbraw/zinc/52/16/81/388521681.db2.gz IZGDIAPAUKSQME-WIGWWYOCSA-N 0 3 243.778 2.878 20 0 BFADHN CC1(C)CC(CN2CCOCC23CCC3)C1 ZINC000528420928 388522255 /nfs/dbraw/zinc/52/22/55/388522255.db2.gz MHHAIIVRNNXYKB-UHFFFAOYSA-N 0 3 223.360 2.678 20 0 BFADHN CC1(C)CC(CN2CCOC[C@@H]2C2CC2)C1 ZINC000528426902 388523115 /nfs/dbraw/zinc/52/31/15/388523115.db2.gz IEJJCYIABAQSNO-CYBMUJFWSA-N 0 3 223.360 2.533 20 0 BFADHN OCc1ccc(F)c(CN2CCC3(CC3)CC2)c1 ZINC000528432591 388525344 /nfs/dbraw/zinc/52/53/44/388525344.db2.gz MDHIWBLEUYRBOB-UHFFFAOYSA-N 0 3 249.329 2.694 20 0 BFADHN C/C(Cl)=C/CN1CC[C@H](C(C)(C)C)[C@@H](O)C1 ZINC000528437463 388526259 /nfs/dbraw/zinc/52/62/59/388526259.db2.gz HJVFNTTXQWIFRQ-OUONCDGISA-N 0 3 245.794 2.858 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1C[C@@H]1C(F)F ZINC000348164243 388526640 /nfs/dbraw/zinc/52/66/40/388526640.db2.gz WVUYANAYKUTWEE-QXEWZRGKSA-N 0 3 226.270 2.694 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](CO)C(C)C)c(C)o1 ZINC000348255895 388528133 /nfs/dbraw/zinc/52/81/33/388528133.db2.gz LESKLHRSNFFGGE-DGCLKSJQSA-N 0 3 239.359 2.812 20 0 BFADHN CC1(C)CC(CN[C@@H](CO)c2ccsc2)C1 ZINC000528451739 388529132 /nfs/dbraw/zinc/52/91/32/388529132.db2.gz MCIVZQQPGHRHTO-LBPRGKRZSA-N 0 3 239.384 2.807 20 0 BFADHN C/C(Cl)=C\CN1CCCC[C@@H]1C[C@H](C)O ZINC000528483912 388529990 /nfs/dbraw/zinc/52/99/90/388529990.db2.gz IHSOQRIOPOZXDA-RBWIOGKGSA-N 0 3 231.767 2.754 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1cscn1 ZINC000517017490 388531797 /nfs/dbraw/zinc/53/17/97/388531797.db2.gz RMSYKGBEGKWLHR-VLEAKVRGSA-N 0 3 222.357 2.982 20 0 BFADHN CC(C)SCCN1CCOC[C@@H]1C1CCC1 ZINC000517028736 388533466 /nfs/dbraw/zinc/53/34/66/388533466.db2.gz MLXCCRRFIZATJS-CYBMUJFWSA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@@H]1CN(C/C=C(/C)Cl)CCCO1 ZINC000528495433 388534982 /nfs/dbraw/zinc/53/49/82/388534982.db2.gz UTIDBDBOSRTHNQ-GULOHRGCSA-N 0 3 217.740 2.630 20 0 BFADHN COc1ccc(CN2[C@H](C)C[C@@H]2C)cc1 ZINC000548425062 388536495 /nfs/dbraw/zinc/53/64/95/388536495.db2.gz OTBBCQVJEZQHGJ-PHIMTYICSA-N 0 3 205.301 2.678 20 0 BFADHN CCCC[C@H](CC)CN(C)[C@@H](C)C[S@](C)=O ZINC000185410427 388587880 /nfs/dbraw/zinc/58/78/80/388587880.db2.gz HBCMCPSWYIBFTP-XEZPLFJOSA-N 0 3 247.448 2.902 20 0 BFADHN CCC(=O)CCCN1CC[C@H](c2ccco2)C1 ZINC000649307559 388593875 /nfs/dbraw/zinc/59/38/75/388593875.db2.gz AVHBLTJHTAILGO-LBPRGKRZSA-N 0 3 235.327 2.828 20 0 BFADHN CCN(C/C=C\c1ccccc1)CCC(=O)OC ZINC000219547246 388594508 /nfs/dbraw/zinc/59/45/08/388594508.db2.gz QBCGIEQQIOQMSQ-YFHOEESVSA-N 0 3 247.338 2.585 20 0 BFADHN C[C@H]1CCC[C@@H](CCN2CCO[C@@H](C)C2)C1 ZINC000549936430 388595879 /nfs/dbraw/zinc/59/58/79/388595879.db2.gz VQTZONGJDCOZBS-IHRRRGAJSA-N 0 3 225.376 2.924 20 0 BFADHN CC1(C)CCC[C@](CO)(NCc2ccco2)C1 ZINC000623669105 388598822 /nfs/dbraw/zinc/59/88/22/388598822.db2.gz CWWOAPJYNFFURY-AWEZNQCLSA-N 0 3 237.343 2.701 20 0 BFADHN CCCCN(CC)[C@@H](C)C(=O)N[C@H](C)CCC ZINC000245756903 388603550 /nfs/dbraw/zinc/60/35/50/388603550.db2.gz HSHHGKQUCVTYEU-OLZOCXBDSA-N 0 3 242.407 2.802 20 0 BFADHN CC[C@H](C)CN(CC)[C@H](C)C(=O)NCC(C)C ZINC000245810776 388606332 /nfs/dbraw/zinc/60/63/32/388606332.db2.gz QUHSGHCWYMEADY-QWHCGFSZSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@H](CN1CCOC[C@H]1C1CC1)c1ccccc1 ZINC000245839595 388606720 /nfs/dbraw/zinc/60/67/20/388606720.db2.gz HQEBADSFRXIVGV-CJNGLKHVSA-N 0 3 245.366 2.901 20 0 BFADHN C[C@@H]1CN([C@@H]2C=CCCCCC2)C[C@H](C)O1 ZINC000550671565 388613544 /nfs/dbraw/zinc/61/35/44/388613544.db2.gz IDOBYXYFQBSVQG-HZSPNIEDSA-N 0 3 223.360 2.985 20 0 BFADHN C[C@@H](O)CCN1CCc2cccc(F)c2[C@H]1C ZINC000517503748 388552293 /nfs/dbraw/zinc/55/22/93/388552293.db2.gz VKTNJLPILUFTNN-GHMZBOCLSA-N 0 3 237.318 2.516 20 0 BFADHN CSC[C@H](C)N[C@@H](C)c1cn2ccccc2n1 ZINC000548713480 388553851 /nfs/dbraw/zinc/55/38/51/388553851.db2.gz LUQFQMYXIHVOIP-QWRGUYRKSA-N 0 3 249.383 2.736 20 0 BFADHN C[C@@H](NCCCc1nccs1)c1ccccn1 ZINC000358044013 388553912 /nfs/dbraw/zinc/55/39/12/388553912.db2.gz HHGREGRXUYQAKB-LLVKDONJSA-N 0 3 247.367 2.822 20 0 BFADHN COC[C@@H](N[C@H]1CSc2ccccc21)C1CC1 ZINC000358343782 388554867 /nfs/dbraw/zinc/55/48/67/388554867.db2.gz UQEZECKNNCDQLX-OLZOCXBDSA-N 0 3 249.379 2.848 20 0 BFADHN COc1cccc(CN2[C@H](C)C[C@@H]2C)c1 ZINC000548754662 388557473 /nfs/dbraw/zinc/55/74/73/388557473.db2.gz FNGBPZNIUUPZIY-PHIMTYICSA-N 0 3 205.301 2.678 20 0 BFADHN CCCn1ccc(CN2CCC3(CCO3)CC2)c1 ZINC000548807042 388563232 /nfs/dbraw/zinc/56/32/32/388563232.db2.gz UUHNFSVKXLYQMI-UHFFFAOYSA-N 0 3 248.370 2.653 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+][C@H]2CCC[C@H]3C[C@H]32)[n-]1 ZINC000548885361 388567729 /nfs/dbraw/zinc/56/77/29/388567729.db2.gz YZGGXVBUKBEYFD-KXNHARMFSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@@H](C)N[C@H]2CCC[C@H]3C[C@H]32)[nH]1 ZINC000548885361 388567731 /nfs/dbraw/zinc/56/77/31/388567731.db2.gz YZGGXVBUKBEYFD-KXNHARMFSA-N 0 3 248.374 2.767 20 0 BFADHN CCc1nnc([C@H](C)N[C@H]2CC[C@@H]2C2CCC2)[nH]1 ZINC000548884596 388568106 /nfs/dbraw/zinc/56/81/06/388568106.db2.gz DHVIDBPABFVZAW-WCQGTBRESA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H]2CC[C@@H]2C2CCC2)[n-]1 ZINC000548884596 388568110 /nfs/dbraw/zinc/56/81/10/388568110.db2.gz DHVIDBPABFVZAW-WCQGTBRESA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1CCOC(C)(C)C ZINC000528763649 388568999 /nfs/dbraw/zinc/56/89/99/388568999.db2.gz AGQASIIHHVHNFX-SNVBAGLBSA-N 0 3 235.318 2.921 20 0 BFADHN CC(C)OCCCN1CCC(F)(F)C[C@@H]1C ZINC000528769119 388570095 /nfs/dbraw/zinc/57/00/95/388570095.db2.gz QRMWOWGOHMJEBS-NSHDSACASA-N 0 3 235.318 2.921 20 0 BFADHN C[C@H]1CC(F)(F)CCN1CCCCF ZINC000528774131 388571265 /nfs/dbraw/zinc/57/12/65/388571265.db2.gz UCIHTDWYFQXMKF-VIFPVBQESA-N 0 3 209.255 2.856 20 0 BFADHN CCC(=O)CCCN1CCC(F)(F)C[C@H]1C ZINC000528773775 388571285 /nfs/dbraw/zinc/57/12/85/388571285.db2.gz REQRVRLEYZIJNR-SNVBAGLBSA-N 0 3 233.302 2.865 20 0 BFADHN CCCCCN1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000528788940 388573799 /nfs/dbraw/zinc/57/37/99/388573799.db2.gz OJEVUHJCMUUUJI-LBPRGKRZSA-N 0 3 235.331 2.509 20 0 BFADHN C[C@H](NCCc1ccncc1)c1ncccc1F ZINC000528823279 388576346 /nfs/dbraw/zinc/57/63/46/388576346.db2.gz FIFJKDOWNRIOCC-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN CC/C=C/CCN1C[C@H](C)OC(C)(C)C1 ZINC000184473748 388578053 /nfs/dbraw/zinc/57/80/53/388578053.db2.gz OXWQJGAINBYRLN-SYTKJHMZSA-N 0 3 211.349 2.842 20 0 BFADHN CC/C=C/CCN1CCO[C@H](CCC)C1 ZINC000184694838 388581904 /nfs/dbraw/zinc/58/19/04/388581904.db2.gz HGCPNLMVVFAJCG-URWSZGRFSA-N 0 3 211.349 2.844 20 0 BFADHN CC/C=C/CCN1CCO[C@@H](CCC)C1 ZINC000184694859 388582419 /nfs/dbraw/zinc/58/24/19/388582419.db2.gz HGCPNLMVVFAJCG-GFUIURDCSA-N 0 3 211.349 2.844 20 0 BFADHN CCn1cc(CN(C)[C@H]2CCC[C@@H](C)C2)cn1 ZINC000245508513 388585638 /nfs/dbraw/zinc/58/56/38/388585638.db2.gz SBMPFAOMCTWXAF-OCCSQVGLSA-N 0 3 235.375 2.914 20 0 BFADHN Oc1cccc2c1CCC[C@@H]2NCc1cc[nH]c1 ZINC000191660391 388667043 /nfs/dbraw/zinc/66/70/43/388667043.db2.gz LVYDAOYNNOQDSR-AWEZNQCLSA-N 0 3 242.322 2.888 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H]1CCc2ccc(F)cc21 ZINC000191715602 388668829 /nfs/dbraw/zinc/66/88/29/388668829.db2.gz UKXRKXNAEKKIPK-PKFCDNJMSA-N 0 3 237.318 2.826 20 0 BFADHN C[C@H]1CN(C[C@@H]2CCCC[C@@H]2C)CCO1 ZINC000552119966 388670521 /nfs/dbraw/zinc/67/05/21/388670521.db2.gz KTYUSGSMKCYBHX-AVGNSLFASA-N 0 3 211.349 2.533 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CN2CCO[C@@H](C)C2)C1 ZINC000552119870 388670932 /nfs/dbraw/zinc/67/09/32/388670932.db2.gz GVWKDLLEATYQIS-KWCYVHTRSA-N 0 3 223.360 2.700 20 0 BFADHN CCCC[C@@H]1CCC[C@@H]1NCc1ncccn1 ZINC000191834705 388671606 /nfs/dbraw/zinc/67/16/06/388671606.db2.gz ZKZZMWUUNAEOCQ-OLZOCXBDSA-N 0 3 233.359 2.925 20 0 BFADHN Cc1cccc(C)c1CN1CCO[C@@H](C)[C@H]1C ZINC000247976733 388671635 /nfs/dbraw/zinc/67/16/35/388671635.db2.gz HXFFGMCTSDHSEF-KGLIPLIRSA-N 0 3 233.355 2.913 20 0 BFADHN Cc1cc(CN[C@@H](C(C)C)C2CC2)on1 ZINC000125688957 388674860 /nfs/dbraw/zinc/67/48/60/388674860.db2.gz FXEXXYJNZMVSLV-LBPRGKRZSA-N 0 3 208.305 2.507 20 0 BFADHN CO[C@@H]1[C@H](C)[C@@H](N[C@@H](C)c2ccoc2)C1(C)C ZINC000538408884 388686384 /nfs/dbraw/zinc/68/63/84/388686384.db2.gz WOZMGNODZJZQMY-LYIQGSDWSA-N 0 3 237.343 2.990 20 0 BFADHN CCC[C@H](C)CN1CCc2nc(C)ncc2C1 ZINC000538306830 388683261 /nfs/dbraw/zinc/68/32/61/388683261.db2.gz ASYOZVVYSHQHRB-NSHDSACASA-N 0 3 233.359 2.579 20 0 BFADHN CCC[C@H](C)CN1CCO[C@@H](CCC)C1 ZINC000538305346 388683348 /nfs/dbraw/zinc/68/33/48/388683348.db2.gz RRRXVIOLZDJRDD-STQMWFEESA-N 0 3 213.365 2.924 20 0 BFADHN COc1ccccc1/C=C/CN[C@@H](C)[C@H](C)OC ZINC000189103630 388621100 /nfs/dbraw/zinc/62/11/00/388621100.db2.gz BBTVLLYTWCGZON-VOWYIILJSA-N 0 3 249.354 2.721 20 0 BFADHN CCCN(C)[C@H](C)C(=O)N1[C@H](C)CCC[C@H]1C ZINC000246201623 388622753 /nfs/dbraw/zinc/62/27/53/388622753.db2.gz MHVXFGLAOPWCTL-JHJVBQTASA-N 0 3 240.391 2.506 20 0 BFADHN C[C@H](CF)NCc1cccc2[nH]ccc21 ZINC000288463001 388626551 /nfs/dbraw/zinc/62/65/51/388626551.db2.gz OFWDXTAGICUQIQ-SECBINFHSA-N 0 3 206.264 2.616 20 0 BFADHN C[C@@H](CF)NCc1ccc2ncccc2c1 ZINC000288474865 388626624 /nfs/dbraw/zinc/62/66/24/388626624.db2.gz PEZWURYJIYDZTO-JTQLQIEISA-N 0 3 218.275 2.682 20 0 BFADHN C[C@@H](CF)NCc1cccc2[nH]ccc21 ZINC000288463006 388627106 /nfs/dbraw/zinc/62/71/06/388627106.db2.gz OFWDXTAGICUQIQ-VIFPVBQESA-N 0 3 206.264 2.616 20 0 BFADHN COC[C@@H](N[C@H](C)c1ccco1)C(C)C ZINC000083398184 388639062 /nfs/dbraw/zinc/63/90/62/388639062.db2.gz JIYZTWDYZGKIEN-GHMZBOCLSA-N 0 3 211.305 2.601 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ccc(CO)o2)C[C@H]1C ZINC000246894746 388655545 /nfs/dbraw/zinc/65/55/45/388655545.db2.gz LDQUTMDDUMVZBV-IJLUTSLNSA-N 0 3 237.343 2.686 20 0 BFADHN C[C@H](NC[C@H]1CCSC1)c1ccco1 ZINC000224316815 388663118 /nfs/dbraw/zinc/66/31/18/388663118.db2.gz RHOWCCQBKLRUMW-VHSXEESVSA-N 0 3 211.330 2.683 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H](C)c1cccc(O)c1 ZINC000224424849 388664326 /nfs/dbraw/zinc/66/43/26/388664326.db2.gz WHLABWKFVXALEV-ZLKJLUDKSA-N 0 3 235.327 2.610 20 0 BFADHN Cc1cnc([C@@H](C)NC[C@@H]2CCSC2)s1 ZINC000224459921 388664981 /nfs/dbraw/zinc/66/49/81/388664981.db2.gz KRNHDNNROWZFOA-ZJUUUORDSA-N 0 3 242.413 2.855 20 0 BFADHN O[C@@H]1CCCC[C@@H]1CCCNCc1ccco1 ZINC000128128563 388738426 /nfs/dbraw/zinc/73/84/26/388738426.db2.gz QRMBTDQYNQVHRD-TZMCWYRMSA-N 0 3 237.343 2.701 20 0 BFADHN COc1ccc([C@@H](C)CN[C@H](C)CF)cc1 ZINC000288494988 388738910 /nfs/dbraw/zinc/73/89/10/388738910.db2.gz RJHLRSACLNGSNQ-WDEREUQCSA-N 0 3 225.307 2.746 20 0 BFADHN Clc1ccsc1CNCC1=CCCOC1 ZINC000288497003 388739776 /nfs/dbraw/zinc/73/97/76/388739776.db2.gz RLHJGNHYIKXGFT-UHFFFAOYSA-N 0 3 243.759 2.838 20 0 BFADHN CO[C@@H](C)CNCc1ccc(Cl)cc1C ZINC000227310506 388746847 /nfs/dbraw/zinc/74/68/47/388746847.db2.gz SWIULAWFUDDKAY-JTQLQIEISA-N 0 3 227.735 2.773 20 0 BFADHN Cc1cccnc1CCCNCc1nccs1 ZINC000648732451 388751971 /nfs/dbraw/zinc/75/19/71/388751971.db2.gz GHRBQCOPZMMBJW-UHFFFAOYSA-N 0 3 247.367 2.569 20 0 BFADHN C[C@@H]1CN(Cc2ccccc2)CC(C)(C)O1 ZINC000065428168 388692962 /nfs/dbraw/zinc/69/29/62/388692962.db2.gz VHMSFUOTVVEWGL-GFCCVEGCSA-N 0 3 219.328 2.686 20 0 BFADHN CC[C@H](C)N(C)Cc1cc(OC)ccc1OC ZINC000195004256 388693393 /nfs/dbraw/zinc/69/33/93/388693393.db2.gz COYKQJOOHZGLOM-NSHDSACASA-N 0 3 237.343 2.934 20 0 BFADHN CCCCCN(Cc1cnn(C)c1)C(C)C ZINC000195067502 388695077 /nfs/dbraw/zinc/69/50/77/388695077.db2.gz PVEBKQPOMSGIBA-UHFFFAOYSA-N 0 3 223.364 2.821 20 0 BFADHN CCN(Cc1c(C)nn(CC)c1C)C(C)C ZINC000126360676 388695316 /nfs/dbraw/zinc/69/53/16/388695316.db2.gz AQKNIDHQAZSESM-UHFFFAOYSA-N 0 3 223.364 2.750 20 0 BFADHN c1[nH]c(-c2ccccc2)nc1CN[C@H]1CC12CC2 ZINC000424188149 388695371 /nfs/dbraw/zinc/69/53/71/388695371.db2.gz WZWSLFJRCARRBF-ZDUSSCGKSA-N 0 3 239.322 2.719 20 0 BFADHN c1nc(-c2ccccc2)[nH]c1CN[C@H]1CC12CC2 ZINC000424188149 388695376 /nfs/dbraw/zinc/69/53/76/388695376.db2.gz WZWSLFJRCARRBF-ZDUSSCGKSA-N 0 3 239.322 2.719 20 0 BFADHN CN(CCc1ccccc1)Cc1cncs1 ZINC000126448153 388696553 /nfs/dbraw/zinc/69/65/53/388696553.db2.gz UOVHHKYRQSNEEV-UHFFFAOYSA-N 0 3 232.352 2.818 20 0 BFADHN COCC1(N[C@@H]2CCc3ccc(C)cc32)CC1 ZINC000538550590 388701471 /nfs/dbraw/zinc/70/14/71/388701471.db2.gz AQGVDPNJBUOTSD-CQSZACIVSA-N 0 3 231.339 2.751 20 0 BFADHN COCC1(N[C@@H](C)c2cccc(OC)c2)CC1 ZINC000538552674 388701590 /nfs/dbraw/zinc/70/15/90/388701590.db2.gz WGEJGIRPHWURSH-NSHDSACASA-N 0 3 235.327 2.525 20 0 BFADHN CC[C@H](C)CN1CC[S@](=O)C(CC)(CC)C1 ZINC000248985046 388702226 /nfs/dbraw/zinc/70/22/26/388702226.db2.gz JTWIPZMWNNBYNG-LRDDRELGSA-N 0 3 245.432 2.656 20 0 BFADHN CCOCc1cc(CN2CCCC2)ccc1OC ZINC000195264416 388703349 /nfs/dbraw/zinc/70/33/49/388703349.db2.gz CELZMHSWCLKKAI-UHFFFAOYSA-N 0 3 249.354 2.828 20 0 BFADHN CCc1ccc(CCN2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000538627281 388703848 /nfs/dbraw/zinc/70/38/48/388703848.db2.gz FTZSXNJRGWPSCQ-OKILXGFUSA-N 0 3 247.382 2.901 20 0 BFADHN COC[C@@H](NCc1nc(C)c(C)s1)C(C)C ZINC000087376212 388704458 /nfs/dbraw/zinc/70/44/58/388704458.db2.gz SNQAKALSNXLXRC-LLVKDONJSA-N 0 3 242.388 2.521 20 0 BFADHN CCn1nccc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC000126862561 388706636 /nfs/dbraw/zinc/70/66/36/388706636.db2.gz HOFVJODTSRWDQJ-CHWSQXEVSA-N 0 3 233.359 2.525 20 0 BFADHN C[C@@H](NCCOC(F)(F)F)c1cccc(O)c1 ZINC000193487538 388706958 /nfs/dbraw/zinc/70/69/58/388706958.db2.gz IMYBMJOEQHWSPO-MRVPVSSYSA-N 0 3 249.232 2.579 20 0 BFADHN CC[C@H](NCc1ccnc(N)c1)c1cccs1 ZINC000193547249 388708365 /nfs/dbraw/zinc/70/83/65/388708365.db2.gz IFNQKUBRDYSORU-NSHDSACASA-N 0 3 247.367 2.966 20 0 BFADHN Cc1oncc1CN(C)[C@H]1CCCC[C@H]1C ZINC000249095067 388708570 /nfs/dbraw/zinc/70/85/70/388708570.db2.gz FMOLPMXWCRJVES-MFKMUULPSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cccnc1OC ZINC000193563697 388709089 /nfs/dbraw/zinc/70/90/89/388709089.db2.gz LSPCPBVMDAGKEP-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ccc(CNCCc2ccccc2F)nc1 ZINC000126948314 388709168 /nfs/dbraw/zinc/70/91/68/388709168.db2.gz FESSVHRYUZIMPN-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN O[C@@H]1CCC[C@H]1N[C@@H]1CCSc2ccccc21 ZINC000249116502 388709890 /nfs/dbraw/zinc/70/98/90/388709890.db2.gz RUQMMJDSAYKJBS-JHJVBQTASA-N 0 3 249.379 2.727 20 0 BFADHN COc1ccc(CN2C[C@@H](C)C[C@H]2C)c(OC)c1 ZINC000538720240 388710432 /nfs/dbraw/zinc/71/04/32/388710432.db2.gz ORFSFKOANPBKDZ-NWDGAFQWSA-N 0 3 249.354 2.934 20 0 BFADHN CCCN(CCC)CC(=O)NC1CCCCC1 ZINC000066216330 388711968 /nfs/dbraw/zinc/71/19/68/388711968.db2.gz CISGWANEUMDOSE-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN CC[C@H](CNCc1ccno1)c1ccccc1 ZINC000552474607 388713057 /nfs/dbraw/zinc/71/30/57/388713057.db2.gz SMHCGQDSAVCCPA-GFCCVEGCSA-N 0 3 230.311 2.958 20 0 BFADHN C=Cn1cc(CN2CCC[C@@H]3CCC[C@@H]32)cn1 ZINC000193800135 388715604 /nfs/dbraw/zinc/71/56/04/388715604.db2.gz GWYBLIJLNFOFJI-KBPBESRZSA-N 0 3 231.343 2.748 20 0 BFADHN CC(C)CN(Cc1ccco1)C[C@H]1CCCO1 ZINC000066435766 388717933 /nfs/dbraw/zinc/71/79/33/388717933.db2.gz DTVBUZWQCCJMMP-CQSZACIVSA-N 0 3 237.343 2.917 20 0 BFADHN CCCN(C)Cc1ncccc1C(F)(F)F ZINC000127523768 388725313 /nfs/dbraw/zinc/72/53/13/388725313.db2.gz TUTVGMXJFRHLOW-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN CCC[C@H](NCCOCC)c1ccccn1 ZINC000127522925 388726002 /nfs/dbraw/zinc/72/60/02/388726002.db2.gz GZAIFMVKGUSWKB-LBPRGKRZSA-N 0 3 222.332 2.549 20 0 BFADHN CCC[C@H](NCCCOC)c1ccccn1 ZINC000127518141 388726205 /nfs/dbraw/zinc/72/62/05/388726205.db2.gz XDOIDGBIEZYDFA-LBPRGKRZSA-N 0 3 222.332 2.549 20 0 BFADHN CCCc1ccc(CN2CC[C@@H](O)[C@@H](C)C2)cc1 ZINC000249549791 388726632 /nfs/dbraw/zinc/72/66/32/388726632.db2.gz HMLQPSDLIIBJDP-XJKSGUPXSA-N 0 3 247.382 2.842 20 0 BFADHN CC[C@]1(CO)CCCN([C@H](C)c2ccccn2)C1 ZINC000249562064 388728447 /nfs/dbraw/zinc/72/84/47/388728447.db2.gz LVSWGXDRWDSFIQ-HIFRSBDPSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1ccc(CN2CCCC[C@H]2C)nc1 ZINC000127720207 388730223 /nfs/dbraw/zinc/73/02/23/388730223.db2.gz DEXPMLGZFCBMAM-GFCCVEGCSA-N 0 3 204.317 2.764 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2CO)c(C)s1 ZINC000194381696 388730526 /nfs/dbraw/zinc/73/05/26/388730526.db2.gz MEGOZSBFXJIMOX-CYBMUJFWSA-N 0 3 239.384 2.712 20 0 BFADHN CCn1cc(CN(C)CCC(C)(C)C)cn1 ZINC000522245647 388732957 /nfs/dbraw/zinc/73/29/57/388732957.db2.gz DIKGQCSFOQJSPL-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN CCc1cnc(CN2[C@H](C)CC[C@H]2CC)o1 ZINC000540054988 388792806 /nfs/dbraw/zinc/79/28/06/388792806.db2.gz FMFQAXIDKVSDDD-GHMZBOCLSA-N 0 3 222.332 3.000 20 0 BFADHN Cc1oncc1CN(C)[C@H](C)C1(C)CC1 ZINC000128869871 388799624 /nfs/dbraw/zinc/79/96/24/388799624.db2.gz GTYPIYADHFUTKF-SNVBAGLBSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCCCC[C@H]2O)o1 ZINC000554114940 388802153 /nfs/dbraw/zinc/80/21/53/388802153.db2.gz HKDLXLWVVLAKLI-JHJVBQTASA-N 0 3 237.343 2.932 20 0 BFADHN CC[C@@H](O)CNCc1cc(Cl)cc(Cl)c1 ZINC000229962792 388806580 /nfs/dbraw/zinc/80/65/80/388806580.db2.gz GGSZTBKSPDLSBC-LLVKDONJSA-N 0 3 248.153 2.854 20 0 BFADHN CCC[C@@H](C)N1CC[S@](=O)C(CC)(CC)C1 ZINC000253158470 388807096 /nfs/dbraw/zinc/80/70/96/388807096.db2.gz FXKJQLGHOLDBAH-WBMJQRKESA-N 0 3 245.432 2.798 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@H]1CCC[C@@H]1O ZINC000193206379 388808227 /nfs/dbraw/zinc/80/82/27/388808227.db2.gz URPBMBAOSCNPFY-UBHSHLNASA-N 0 3 249.354 2.568 20 0 BFADHN CCCC[C@H](C(=O)OC)N(C)C[C@H](C)CC ZINC000253185276 388808976 /nfs/dbraw/zinc/80/89/76/388808976.db2.gz HXWRNGBVWSOJCL-VXGBXAGGSA-N 0 3 229.364 2.696 20 0 BFADHN C[C@@H](N[C@@H]1CCO[C@@H]1C)c1ccc(F)cc1F ZINC000227822104 388759681 /nfs/dbraw/zinc/75/96/81/388759681.db2.gz IRIMYZWKJRWFNN-JRKPZEMJSA-N 0 3 241.281 2.793 20 0 BFADHN CCn1cc(CN2CCC[C@@H](C)CC2)cn1 ZINC000092586157 388812000 /nfs/dbraw/zinc/81/20/00/388812000.db2.gz WBGOSIJXIYXUKZ-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN CSC1(CN[C@@H](C)c2cncs2)CC1 ZINC000228023574 388764219 /nfs/dbraw/zinc/76/42/19/388764219.db2.gz PPDRJPCQWJEZNX-QMMMGPOBSA-N 0 3 228.386 2.689 20 0 BFADHN COCC1(N[C@H](C)c2cc(F)cc(F)c2)CC1 ZINC000539650398 388768377 /nfs/dbraw/zinc/76/83/77/388768377.db2.gz KXCFCQRLHKAPJY-SECBINFHSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@@H]1CN(C[C@H]2C[C@@H]2c2ccccc2)C[C@H](C)O1 ZINC000539712832 388771767 /nfs/dbraw/zinc/77/17/67/388771767.db2.gz OXVDCGAJCUPCOA-OCVGTWLNSA-N 0 3 245.366 2.899 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccncc2C)C[C@H]1C ZINC000648741410 388773261 /nfs/dbraw/zinc/77/32/61/388773261.db2.gz AWBRSXKMXVJFAP-UKRRQHHQSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C1)c1cscn1 ZINC000228907677 388775905 /nfs/dbraw/zinc/77/59/05/388775905.db2.gz OMAMHGMKEADDKA-BBBLOLIVSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@@H](C)C1)c1cscn1 ZINC000228907652 388776268 /nfs/dbraw/zinc/77/62/68/388776268.db2.gz OMAMHGMKEADDKA-OPRDCNLKSA-N 0 3 210.346 2.982 20 0 BFADHN Cc1ccc2ncc(CN3C[C@H](C)C[C@@H]3C)n2c1 ZINC000539817300 388778810 /nfs/dbraw/zinc/77/88/10/388778810.db2.gz VPULJZVCQXRXBA-OLZOCXBDSA-N 0 3 243.354 2.873 20 0 BFADHN CCc1ccc(CN2CCCO[C@@H](C)C2)s1 ZINC000539839722 388783878 /nfs/dbraw/zinc/78/38/78/388783878.db2.gz AKWQITUFVSMTMC-NSHDSACASA-N 0 3 239.384 2.921 20 0 BFADHN CCc1nc2ccccc2c(N(C)CCO)c1C ZINC000554488196 388817567 /nfs/dbraw/zinc/81/75/67/388817567.db2.gz NAWVFDAIBOLLKM-UHFFFAOYSA-N 0 3 244.338 2.534 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN[C@@H]2CCC[C@@H]2CO)o1 ZINC000230682234 388828708 /nfs/dbraw/zinc/82/87/08/388828708.db2.gz YSEQOPWPZAAZEE-UZGDPCLZSA-N 0 3 249.354 2.654 20 0 BFADHN OC[C@@H]1CCC[C@@H]1NCc1cc2ccccc2o1 ZINC000230680493 388829666 /nfs/dbraw/zinc/82/96/66/388829666.db2.gz HBLJKRJEUIFHRT-JSGCOSHPSA-N 0 3 245.322 2.683 20 0 BFADHN CC(C)(C)n1cc(CN2C[C@@H]3CCC[C@@H]3C2)cn1 ZINC000093443565 388837932 /nfs/dbraw/zinc/83/79/32/388837932.db2.gz VKXNZADGTCDRTG-OKILXGFUSA-N 0 3 247.386 2.870 20 0 BFADHN CC1(C)[C@H](NCc2coc3ccccc23)C[C@@H]1O ZINC000129640098 388861217 /nfs/dbraw/zinc/86/12/17/388861217.db2.gz RUGFKOZNBWTCRA-KGLIPLIRSA-N 0 3 245.322 2.682 20 0 BFADHN FC(F)(F)C[C@@H]1CCN(CC2=CCCOC2)C1 ZINC000556044913 388865646 /nfs/dbraw/zinc/86/56/46/388865646.db2.gz AFCSQJXKUZUGPD-JTQLQIEISA-N 0 3 249.276 2.607 20 0 BFADHN Cc1cnccc1CN1C2CCC1CC2 ZINC000556139022 388868484 /nfs/dbraw/zinc/86/84/84/388868484.db2.gz FENHVZXXIPTMHE-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN C[C@@H]1C[C@H](C)N1CCN(C)Cc1ccccc1 ZINC000556271398 388872878 /nfs/dbraw/zinc/87/28/78/388872878.db2.gz KGANNRZAFDKTKO-OKILXGFUSA-N 0 3 232.371 2.601 20 0 BFADHN Cc1cccc(NC(=O)CN[C@@H]2CCC2(C)C)c1 ZINC000310915567 388850397 /nfs/dbraw/zinc/85/03/97/388850397.db2.gz IKWBKFPESHPPQX-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1nc(CN[C@H](C)Cc2ccsc2)[nH]c1C ZINC000565239691 388931315 /nfs/dbraw/zinc/93/13/15/388931315.db2.gz YZNVBSKXBJNFKO-SECBINFHSA-N 0 3 249.383 2.809 20 0 BFADHN CCC(C)(C)[C@H](C)[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000565288395 388935310 /nfs/dbraw/zinc/93/53/10/388935310.db2.gz OBMHTTZTPYGEDM-JTQLQIEISA-N 0 3 238.379 2.842 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1nnc(C(C)C)[nH]1 ZINC000565288395 388935313 /nfs/dbraw/zinc/93/53/13/388935313.db2.gz OBMHTTZTPYGEDM-JTQLQIEISA-N 0 3 238.379 2.842 20 0 BFADHN CC(C)n1nccc1CN(C)C(C)(C)C ZINC000565715303 388964494 /nfs/dbraw/zinc/96/44/94/388964494.db2.gz UPXJCTVJDHRBOA-UHFFFAOYSA-N 0 3 209.337 2.694 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC000153161995 388946025 /nfs/dbraw/zinc/94/60/25/388946025.db2.gz MHVXFGLAOPWCTL-XQQFMLRXSA-N 0 3 240.391 2.506 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc3c(c2)CCCC3)CO1 ZINC000566068002 388985649 /nfs/dbraw/zinc/98/56/49/388985649.db2.gz MNGLWMFFKUWHFL-LRDDRELGSA-N 0 3 245.366 2.832 20 0 BFADHN Clc1nc2ccccn2c1CNC1CCC1 ZINC000264516261 388991693 /nfs/dbraw/zinc/99/16/93/388991693.db2.gz LCNQDPKITGAVCK-UHFFFAOYSA-N 0 3 235.718 2.630 20 0 BFADHN CCOCCN[C@H]1C[C@H](C)c2ccccc21 ZINC000289136761 388999561 /nfs/dbraw/zinc/99/95/61/388999561.db2.gz FIRFRJKKWKVLSO-FZMZJTMJSA-N 0 3 219.328 2.861 20 0 BFADHN CCCC[C@@H](CC)CCN(C)CCNC(C)=O ZINC000565833953 388970775 /nfs/dbraw/zinc/97/07/75/388970775.db2.gz NJJCGWKICLPTGJ-CQSZACIVSA-N 0 3 242.407 2.661 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccco1)[C@@H]1CCCCO1 ZINC000271932458 389028662 /nfs/dbraw/zinc/02/86/62/389028662.db2.gz KOEXKOMGEPOBDU-WOPDTQHZSA-N 0 3 223.316 2.888 20 0 BFADHN c1c2ccccc2sc1CN[C@H]1CCOC1 ZINC000268818233 389004262 /nfs/dbraw/zinc/00/42/62/389004262.db2.gz QQRYDXGQSMOJBX-NSHDSACASA-N 0 3 233.336 2.780 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1ncc(Cl)n1C ZINC000268865219 389006146 /nfs/dbraw/zinc/00/61/46/389006146.db2.gz SPNVPBODUSELET-UWVGGRQHSA-N 0 3 241.766 2.742 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccco1)C(C)C ZINC000268935124 389006244 /nfs/dbraw/zinc/00/62/44/389006244.db2.gz MVZZBQZDSGVQCZ-JQWIXIFHSA-N 0 3 211.305 2.601 20 0 BFADHN C[C@@H](CN[C@H](C)c1ccccc1F)C[C@H](C)O ZINC000268951383 389006366 /nfs/dbraw/zinc/00/63/66/389006366.db2.gz CHNQOMQWXHOQCI-GRYCIOLGSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1ccoc1CN[C@H]1CCOC2(CCC2)C1 ZINC000268997290 389007030 /nfs/dbraw/zinc/00/70/30/389007030.db2.gz YAFMRCJCMVBXFA-LBPRGKRZSA-N 0 3 235.327 2.779 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cncc(F)c1)C(C)C ZINC000268987892 389007592 /nfs/dbraw/zinc/00/75/92/389007592.db2.gz QQMNJERHVVEPLX-GWCFXTLKSA-N 0 3 240.322 2.542 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CCSC2)o1 ZINC000271531753 389021741 /nfs/dbraw/zinc/02/17/41/389021741.db2.gz RZUOKZWMXXRQSL-UWVGGRQHSA-N 0 3 225.357 2.998 20 0 BFADHN c1nc(CNC2CCCCCCC2)co1 ZINC000271839389 389026579 /nfs/dbraw/zinc/02/65/79/389026579.db2.gz QMFVWBUFEKWONL-UHFFFAOYSA-N 0 3 208.305 2.877 20 0 BFADHN C[C@H](NCCC(C)(C)O)c1cccnc1Cl ZINC000274813422 389049481 /nfs/dbraw/zinc/04/94/81/389049481.db2.gz VTTPWISFQIZPJF-VIFPVBQESA-N 0 3 242.750 2.547 20 0 BFADHN COCC[C@H](N[C@H](C)C1CC1)c1ccco1 ZINC000272623133 389033629 /nfs/dbraw/zinc/03/36/29/389033629.db2.gz YNGIDCXOSDCGDN-PWSUYJOCSA-N 0 3 223.316 2.745 20 0 BFADHN COCC[C@H](NCCCSC)c1ccco1 ZINC000273325090 389038971 /nfs/dbraw/zinc/03/89/71/389038971.db2.gz XPQZJRRXUYSPCY-NSHDSACASA-N 0 3 243.372 2.700 20 0 BFADHN FCCN[C@@H](Cc1ccccc1)C1CC1 ZINC000273404997 389040653 /nfs/dbraw/zinc/04/06/53/389040653.db2.gz PPWWUOCDIVQRET-ZDUSSCGKSA-N 0 3 207.292 2.567 20 0 BFADHN FCCN[C@H](Cc1ccccc1)C1CC1 ZINC000273404993 389041170 /nfs/dbraw/zinc/04/11/70/389041170.db2.gz PPWWUOCDIVQRET-CYBMUJFWSA-N 0 3 207.292 2.567 20 0 BFADHN CCCN(CC)Cc1ccc(CC)nc1 ZINC000347646300 136000002 /nfs/dbraw/zinc/00/00/02/136000002.db2.gz FDFDUVXETSPFPG-UHFFFAOYSA-N 0 3 206.333 2.876 20 0 BFADHN CO[C@H]1C[C@@H](N(C)C/C=C/c2ccccc2)C1 ZINC000510133733 533289442 /nfs/dbraw/zinc/28/94/42/533289442.db2.gz OLODHUBVOFUJRP-QHLGLPELSA-N 0 3 231.339 2.809 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2cccc(Cl)c2)C1 ZINC000510111799 533291970 /nfs/dbraw/zinc/29/19/70/533291970.db2.gz GMRYGPVVLBVXHP-BETUJISGSA-N 0 3 239.746 2.949 20 0 BFADHN COCC[C@H](N[C@@H]1C[C@@H](C)[C@@H]1C)c1ccco1 ZINC000494963258 533314628 /nfs/dbraw/zinc/31/46/28/533314628.db2.gz XWCCLDLJCYTIDE-MROQNXINSA-N 0 3 237.343 2.991 20 0 BFADHN C/C(=C/c1ccccc1)CN[C@@H]1C=C[C@@H](CO)C1 ZINC000347779829 533392508 /nfs/dbraw/zinc/39/25/08/533392508.db2.gz QALVNEXWDGUKHP-WTEYMAAXSA-N 0 3 243.350 2.617 20 0 BFADHN C/C(=C\c1ccccc1)CN1C[C@@H](O)C[C@H]1C ZINC000335667789 533411034 /nfs/dbraw/zinc/41/10/34/533411034.db2.gz SFEVYWOYZBWCLW-CSPHYMHISA-N 0 3 231.339 2.545 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@H]1O)c1csc(Cl)c1 ZINC000307583397 533526055 /nfs/dbraw/zinc/52/60/55/533526055.db2.gz YJZHZFCUTRCBEU-FTLITQJKSA-N 0 3 231.748 2.575 20 0 BFADHN C1=C/[C@H](N2CCN(C3CC3)CC2)CCCCC/1 ZINC000336023259 533480202 /nfs/dbraw/zinc/48/02/02/533480202.db2.gz JSMHBLKTJUYIHQ-YVZNUBBCSA-N 0 3 234.387 2.655 20 0 BFADHN Cc1cc(CN2CCCC(C)(C)CC2)nn1C ZINC000487375510 533598786 /nfs/dbraw/zinc/59/87/86/533598786.db2.gz LBKRYFATPQOXAE-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN COc1ccc(CN(C)C[C@H]2C[C@@H]2C)c(OC)c1 ZINC000488308495 533542572 /nfs/dbraw/zinc/54/25/72/533542572.db2.gz NARFCWXXPKIXIF-WCQYABFASA-N 0 3 249.354 2.792 20 0 BFADHN Cc1cc(CN2CCC[C@H](C(C)C)CC2)nn1C ZINC000487425152 533605616 /nfs/dbraw/zinc/60/56/16/533605616.db2.gz FEQWLFAPHLLULJ-AWEZNQCLSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1ccc2nccc(N3CCO[C@H](C)C3)c2c1 ZINC000302167513 132261097 /nfs/dbraw/zinc/26/10/97/132261097.db2.gz SCTWTKFJSGWJGJ-GFCCVEGCSA-N 0 3 242.322 2.768 20 0 BFADHN CCCN(C)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000115538156 132282170 /nfs/dbraw/zinc/28/21/70/132282170.db2.gz YLOQGHKLKKSMKJ-CQSZACIVSA-N 0 3 246.354 2.524 20 0 BFADHN Fc1ccc2oc(CNC3CC3)cc2c1 ZINC000083820197 133561148 /nfs/dbraw/zinc/56/11/48/133561148.db2.gz RBGRHHOOZBJZFB-UHFFFAOYSA-N 0 3 205.232 2.824 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](C(C)C)C2)cn1 ZINC000334205823 133585584 /nfs/dbraw/zinc/58/55/84/133585584.db2.gz VGGSBOXNPIHMRH-CQSZACIVSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1ccnc(CN2CCC[C@H]3CCCC[C@H]32)n1 ZINC000334248675 133594295 /nfs/dbraw/zinc/59/42/95/133594295.db2.gz QRZRKQIJLVFOAH-ZIAGYGMSSA-N 0 3 245.370 2.940 20 0 BFADHN Cc1noc(C)c1CNCC1(C(C)C)CC1 ZINC000165599380 133664417 /nfs/dbraw/zinc/66/44/17/133664417.db2.gz PFHHERSYLINGIX-UHFFFAOYSA-N 0 3 222.332 2.817 20 0 BFADHN C[C@H](C[C@@H]1CCCO1)N[C@H](C)c1ccccn1 ZINC000346976638 133799572 /nfs/dbraw/zinc/79/95/72/133799572.db2.gz GGIHSUQKWYZRSC-UPJWGTAASA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@]1(NCc2cc3ccc(F)cc3[nH]2)CCOC1 ZINC000335784737 133906369 /nfs/dbraw/zinc/90/63/69/133906369.db2.gz KDNIWNGHPIETCT-CQSZACIVSA-N 0 3 248.301 2.576 20 0 BFADHN CCc1nocc1CN1CC[C@@H](C(C)C)C1 ZINC000351773938 133985296 /nfs/dbraw/zinc/98/52/96/133985296.db2.gz JAFSMRAAXMAICD-LLVKDONJSA-N 0 3 222.332 2.715 20 0 BFADHN OC[C@@H](NCCc1ccccc1)c1ccsc1 ZINC000351890615 133992870 /nfs/dbraw/zinc/99/28/70/133992870.db2.gz ZGTFHQDVQHVLED-CQSZACIVSA-N 0 3 247.363 2.614 20 0 BFADHN Cn1cnc2cc(CN[C@@H]3CCC[C@@H]3F)ccc21 ZINC000335930612 134010241 /nfs/dbraw/zinc/01/02/41/134010241.db2.gz CQTWACUFBMPLEN-NWDGAFQWSA-N 0 3 247.317 2.554 20 0 BFADHN Cc1ccccc1CN1C[C@H](C)N(C)C[C@H]1C ZINC000351937431 134014980 /nfs/dbraw/zinc/01/49/80/134014980.db2.gz UIUHTZNPAPQERW-UONOGXRCSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1cc(C)cc(CN2C[C@@H](C)N(C)C[C@@H]2C)c1 ZINC000351943872 134015364 /nfs/dbraw/zinc/01/53/64/134015364.db2.gz IKOXYGQZQZKQGH-CABCVRRESA-N 0 3 246.398 2.828 20 0 BFADHN Cc1ncc(CN2CCCCC(C)(C)C2)cn1 ZINC000335941647 134017503 /nfs/dbraw/zinc/01/75/03/134017503.db2.gz XQDUOCJCYFGNMV-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CCc1nocc1CN1C[C@H](C)C[C@H](C)C1 ZINC000335941888 134017643 /nfs/dbraw/zinc/01/76/43/134017643.db2.gz VUELMLJNITWFNW-PHIMTYICSA-N 0 3 222.332 2.715 20 0 BFADHN C[C@H]1C[C@H](C(C)(C)C)CCN1CC(N)=O ZINC000335958642 134024032 /nfs/dbraw/zinc/02/40/32/134024032.db2.gz SCXVYKVPWNDHRN-VHSXEESVSA-N 0 3 212.337 2.668 20 0 BFADHN C[C@@H]1CC[C@@H](N(C)Cc2cncc(F)c2)C1 ZINC000335958941 134024189 /nfs/dbraw/zinc/02/41/89/134024189.db2.gz HFMFXOUNPXTUNC-ZWNOBZJWSA-N 0 3 222.307 2.841 20 0 BFADHN CCSCCN1CCC(F)(F)[C@H](C)C1 ZINC000351983031 134025767 /nfs/dbraw/zinc/02/57/67/134025767.db2.gz QNHHBCOUGOIPBE-SECBINFHSA-N 0 3 223.332 2.717 20 0 BFADHN C[C@H]1CN(CCOC(C)(C)C)CCC1(F)F ZINC000351982640 134025906 /nfs/dbraw/zinc/02/59/06/134025906.db2.gz OIZRTBSQVJSVMH-JTQLQIEISA-N 0 3 235.318 2.779 20 0 BFADHN C[C@@H]1c2ccccc2CN1CCC(C)(C)O ZINC000335989870 134039897 /nfs/dbraw/zinc/03/98/97/134039897.db2.gz UBHYMDFYTMEROW-LLVKDONJSA-N 0 3 219.328 2.724 20 0 BFADHN Cc1ccc(N(C)C(=O)C(C)C(F)(F)F)nc1 ZINC000335991148 134040452 /nfs/dbraw/zinc/04/04/52/134040452.db2.gz RQPBAMMRFJKGEU-QMMMGPOBSA-N 0 3 246.232 2.551 20 0 BFADHN CC[C@@H](C)N1CCC2(C1)Oc1ccccc1O2 ZINC000335993831 134041428 /nfs/dbraw/zinc/04/14/28/134041428.db2.gz QXPXZLNSNTVMIR-LLVKDONJSA-N 0 3 233.311 2.658 20 0 BFADHN Cc1nc2n(n1)CCC[C@@H]2N[C@@H]1CCC(C)(C)C1 ZINC000335996270 134042250 /nfs/dbraw/zinc/04/22/50/134042250.db2.gz MMUUKMRVELAQNL-NEPJUHHUSA-N 0 3 248.374 2.590 20 0 BFADHN CC(C)OCCCN1CCC(F)(F)[C@@H](C)C1 ZINC000352028277 134044146 /nfs/dbraw/zinc/04/41/46/134044146.db2.gz PGXUUTAHQBYCFO-NSHDSACASA-N 0 3 235.318 2.779 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@H](C)C2(CCC2)C1 ZINC000336011839 134051842 /nfs/dbraw/zinc/05/18/42/134051842.db2.gz FIFJKRXTENNUIU-LBPRGKRZSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)[C@H]1CC[C@@H](C)C1 ZINC000336021983 134056006 /nfs/dbraw/zinc/05/60/06/134056006.db2.gz OKXTXKGXNIZBEN-MFKMUULPSA-N 0 3 235.375 2.657 20 0 BFADHN Cc1nccc(CN(C)[C@@H]2CCC(C)(C)C2)n1 ZINC000336032500 134058676 /nfs/dbraw/zinc/05/86/76/134058676.db2.gz IQLRBYHFLBRAIQ-CYBMUJFWSA-N 0 3 233.359 2.796 20 0 BFADHN CC1CN(Cc2ccccc2-c2ccnn2C)C1 ZINC000336033572 134059241 /nfs/dbraw/zinc/05/92/41/134059241.db2.gz CKONUXNADGYNRH-UHFFFAOYSA-N 0 3 241.338 2.539 20 0 BFADHN COCCN(CC[C@@H](C)F)Cc1cccs1 ZINC000352097556 134064265 /nfs/dbraw/zinc/06/42/65/134064265.db2.gz TXLDXVKQAPQUKY-LLVKDONJSA-N 0 3 245.363 2.945 20 0 BFADHN C[C@H](F)CCN(C)CCOc1ccc(F)cc1 ZINC000352114076 134068599 /nfs/dbraw/zinc/06/85/99/134068599.db2.gz SJMNTBJYMJLCOT-NSHDSACASA-N 0 3 243.297 2.884 20 0 BFADHN Cc1c(CN(C)[C@@H]2CCC(C)(C)C2)cnn1C ZINC000336074497 134072769 /nfs/dbraw/zinc/07/27/69/134072769.db2.gz IQZDETAVGGBCPZ-CYBMUJFWSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@H]1CCCOC1)C2 ZINC000336085836 134076725 /nfs/dbraw/zinc/07/67/25/134076725.db2.gz GLICAVHHQYPRBV-CYBMUJFWSA-N 0 3 231.339 2.737 20 0 BFADHN Cc1ccc2c(c1)CCN(CC1=CCCOC1)C2 ZINC000336089835 134077730 /nfs/dbraw/zinc/07/77/30/134077730.db2.gz RKIWOVWAMJUQAW-UHFFFAOYSA-N 0 3 243.350 2.700 20 0 BFADHN Cc1noc(C)c1CN1CCCC12CCC2 ZINC000336090182 134078137 /nfs/dbraw/zinc/07/81/37/134078137.db2.gz VQTIWHBXVWIRDS-UHFFFAOYSA-N 0 3 220.316 2.810 20 0 BFADHN CCC[C@@H](NC(C)C)C(=O)Nc1ccccc1 ZINC000352142966 134081891 /nfs/dbraw/zinc/08/18/91/134081891.db2.gz WSMICYAGWRPCNW-CYBMUJFWSA-N 0 3 234.343 2.792 20 0 BFADHN Cc1cc(CN2CC[C@H](C(C)(C)C)C2)ncn1 ZINC000336111160 134086948 /nfs/dbraw/zinc/08/69/48/134086948.db2.gz FGUGTMLVHYHQFE-LBPRGKRZSA-N 0 3 233.359 2.653 20 0 BFADHN c1ncc(CN2CC[C@H]3CCC[C@H]32)s1 ZINC000336116877 134088494 /nfs/dbraw/zinc/08/84/94/134088494.db2.gz XMOOMHWHJUPZII-MWLCHTKSSA-N 0 3 208.330 2.518 20 0 BFADHN Cc1cc(C)cc(CN(C)[C@H]2CCCOC2)c1 ZINC000352200436 134100156 /nfs/dbraw/zinc/10/01/56/134100156.db2.gz ARIKXHFZKYYQJU-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1n[nH]c(C)c1CN1CC(C)(C)[C@@H]1C1CC1 ZINC000336184449 134120628 /nfs/dbraw/zinc/12/06/28/134120628.db2.gz HHHAJWKKLSXVJE-ZDUSSCGKSA-N 0 3 233.359 2.647 20 0 BFADHN C[C@@H]1N(CC2CC(F)(F)C2)CCOC1(C)C ZINC000336186639 134121697 /nfs/dbraw/zinc/12/16/97/134121697.db2.gz NPJBMJNJFKNDLK-VIFPVBQESA-N 0 3 233.302 2.531 20 0 BFADHN F[C@H]1CCN(c2ccnc3ccccc32)C1 ZINC000336189457 134122889 /nfs/dbraw/zinc/12/28/89/134122889.db2.gz VBGMOPSMKWLXFI-JTQLQIEISA-N 0 3 216.259 2.783 20 0 BFADHN NC(=O)[C@H]1CCCCN1C[C@H]1CCC(F)(F)C1 ZINC000336196497 134126222 /nfs/dbraw/zinc/12/62/22/134126222.db2.gz OSSBQCSRWSWFSV-VHSXEESVSA-N 0 3 246.301 2.812 20 0 BFADHN Cn1ccc(CN2CC(C)(C)O[C@@H]3CCC[C@H]32)c1 ZINC000336201661 134130778 /nfs/dbraw/zinc/13/07/78/134130778.db2.gz WNVZATXLZYXCOR-ZIAGYGMSSA-N 0 3 248.370 2.557 20 0 BFADHN C[C@H]1CN(CC2CC(F)(F)C2)C[C@@H](C2CC2)O1 ZINC000336204221 134131736 /nfs/dbraw/zinc/13/17/36/134131736.db2.gz SWUCBJWGKXVAIE-CABZTGNLSA-N 0 3 245.313 2.531 20 0 BFADHN Fc1cnccc1CN1CC[C@H]2CCC[C@H]21 ZINC000336205286 134131745 /nfs/dbraw/zinc/13/17/45/134131745.db2.gz QIKLSMBWXJRXOE-ZWNOBZJWSA-N 0 3 220.291 2.595 20 0 BFADHN Fc1cccc2c1CCC[C@H]2N[C@@H]1CCOC1 ZINC000336213087 134135028 /nfs/dbraw/zinc/13/50/28/134135028.db2.gz AICZFNMQYJSDJA-QMTHXVAHSA-N 0 3 235.302 2.582 20 0 BFADHN C[C@]1(NCc2cccc3ccoc32)CCOC1 ZINC000336215865 134136987 /nfs/dbraw/zinc/13/69/87/134136987.db2.gz OYBFWJOCPUZXNO-AWEZNQCLSA-N 0 3 231.295 2.701 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1cccc2ccoc21 ZINC000336217715 134137942 /nfs/dbraw/zinc/13/79/42/134137942.db2.gz JOKYHJWBIIYPMP-GWCFXTLKSA-N 0 3 231.295 2.700 20 0 BFADHN c1cc2cccc(CN3CC4(C3)CCOC4)c2o1 ZINC000336219776 134138767 /nfs/dbraw/zinc/13/87/67/134138767.db2.gz ZNSKDYPVTIPXIK-UHFFFAOYSA-N 0 3 243.306 2.655 20 0 BFADHN CCC[C@H](O)CNCc1cc(F)cc(Cl)c1 ZINC000168276515 134163699 /nfs/dbraw/zinc/16/36/99/134163699.db2.gz HNSITNWPEIMRKA-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN C[C@H](CN(C)C)N[C@@H](C)c1cc(F)cc(F)c1 ZINC000168685327 134241962 /nfs/dbraw/zinc/24/19/62/134241962.db2.gz IDKBNRPBNMIJEW-ZJUUUORDSA-N 0 3 242.313 2.566 20 0 BFADHN CC(C)n1cncc1CNCCC(F)(F)F ZINC000085188289 134248558 /nfs/dbraw/zinc/24/85/58/134248558.db2.gz MQOIZYLBOGTWJT-UHFFFAOYSA-N 0 3 235.253 2.506 20 0 BFADHN Cn1cccc1[C@H]1CCCN1CCOCC1CC1 ZINC000172735653 134269438 /nfs/dbraw/zinc/26/94/38/134269438.db2.gz TXFOLWSPLGOUKO-OAHLLOKOSA-N 0 3 248.370 2.589 20 0 BFADHN C[C@@H]1Cc2ccccc2CN1CCOCC1CC1 ZINC000172905820 134270791 /nfs/dbraw/zinc/27/07/91/134270791.db2.gz NGJFWWJAIAUVCX-CYBMUJFWSA-N 0 3 245.366 2.860 20 0 BFADHN C[C@@H]1Cc2ccccc2CN1C[C@@H]1CCCO1 ZINC000172909853 134270949 /nfs/dbraw/zinc/27/09/49/134270949.db2.gz SRODUYXXEUBXPK-DOMZBBRYSA-N 0 3 231.339 2.612 20 0 BFADHN COc1ncccc1CN(C)C1CCCCC1 ZINC000176452026 134291591 /nfs/dbraw/zinc/29/15/91/134291591.db2.gz LTBLVCMEHVDNRG-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN COCCCCN1CCS[C@@H]2CCCC[C@@H]21 ZINC000177199533 134295991 /nfs/dbraw/zinc/29/59/91/134295991.db2.gz GMRRNZIRQSRMEZ-QWHCGFSZSA-N 0 3 243.416 2.773 20 0 BFADHN Cc1cccc2nc(CN3CC[C@@H](C)[C@@H]3C)cn21 ZINC000177879139 134304141 /nfs/dbraw/zinc/30/41/41/134304141.db2.gz KVCVAHRDNPHKJZ-YPMHNXCESA-N 0 3 243.354 2.873 20 0 BFADHN FCCCN1CCC[C@@H](C(F)(F)F)C1 ZINC000178254677 134305373 /nfs/dbraw/zinc/30/53/73/134305373.db2.gz ZGHJHDNTAYIEKQ-MRVPVSSYSA-N 0 3 213.218 2.620 20 0 BFADHN FCCCN1CCC(C(F)(F)F)CC1 ZINC000178480918 134307377 /nfs/dbraw/zinc/30/73/77/134307377.db2.gz WCIWDHXHRLWRFC-UHFFFAOYSA-N 0 3 213.218 2.620 20 0 BFADHN CSCCN(C)Cc1ccc2c(c1)CCC2 ZINC000178512970 134307526 /nfs/dbraw/zinc/30/75/26/134307526.db2.gz MHVHGBBEVWHKIO-UHFFFAOYSA-N 0 3 235.396 2.970 20 0 BFADHN C(=C\c1ccccc1)\CNC[C@@H]1CCCCO1 ZINC000220553683 134309845 /nfs/dbraw/zinc/30/98/45/134309845.db2.gz UPZDOXLILSZPBZ-AJHXJQRKSA-N 0 3 231.339 2.859 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)[C@@H](C)C2)on1 ZINC000220670348 134311778 /nfs/dbraw/zinc/31/17/78/134311778.db2.gz PCEGXEWXPVPPGH-JFGNBEQYSA-N 0 3 222.332 2.897 20 0 BFADHN CCC(C)(C)[C@@H]1CCCN1Cc1nccn1C ZINC000178906287 134313039 /nfs/dbraw/zinc/31/30/39/134313039.db2.gz WIVQGAXZEQRFQQ-LBPRGKRZSA-N 0 3 235.375 2.821 20 0 BFADHN COC1CC(N[C@H](C)c2cc(C)oc2C)C1 ZINC000220903730 134314888 /nfs/dbraw/zinc/31/48/88/134314888.db2.gz TXTDPGDCEPCDSC-OIKLOGQESA-N 0 3 223.316 2.724 20 0 BFADHN CCC[C@H]1CCCCN1C[C@H](O)C(F)(F)F ZINC000179514247 134321291 /nfs/dbraw/zinc/32/12/91/134321291.db2.gz MWTFLZOGNGGJOV-UWVGGRQHSA-N 0 3 239.281 2.564 20 0 BFADHN CCc1nn(C)c(CC)c1CN(C(C)C)C1CC1 ZINC000179485709 134321458 /nfs/dbraw/zinc/32/14/58/134321458.db2.gz UXCGDVHVHOMNPO-UHFFFAOYSA-N 0 3 249.402 2.918 20 0 BFADHN CC[C@H]1CCCN(Cc2cnn3ccccc23)C1 ZINC000179771244 134323372 /nfs/dbraw/zinc/32/33/72/134323372.db2.gz JVFYBPYKFLWQKB-ZDUSSCGKSA-N 0 3 243.354 2.956 20 0 BFADHN CC(C)[C@@H]1CCC[C@H](NCc2nccn2C)C1 ZINC000221390520 134323596 /nfs/dbraw/zinc/32/35/96/134323596.db2.gz DYKZZBGOIGPZCH-OLZOCXBDSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1cnn2ccccc12 ZINC000179799192 134323628 /nfs/dbraw/zinc/32/36/28/134323628.db2.gz YLWCZSXNFHEURX-QWHCGFSZSA-N 0 3 243.354 2.955 20 0 BFADHN CC1(C)CCCN(Cc2cnn3ccccc23)C1 ZINC000179850988 134324581 /nfs/dbraw/zinc/32/45/81/134324581.db2.gz DZSFVPGLNSYMMY-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@H]1CCC[C@@H](CC)C1 ZINC000221570628 134325098 /nfs/dbraw/zinc/32/50/98/134325098.db2.gz RGTJIYBQXKIFDN-AGIUHOORSA-N 0 3 240.391 2.589 20 0 BFADHN C[C@H](N[C@H]1CSc2ccccc21)[C@@H]1CCCO1 ZINC000221990630 134327141 /nfs/dbraw/zinc/32/71/41/134327141.db2.gz FOFRFIDEXLCCLK-DRZSPHRISA-N 0 3 249.379 2.991 20 0 BFADHN CCC[C@H](N[C@H]1C[C@H](O)C1(C)C)c1ccccn1 ZINC000182631107 134346494 /nfs/dbraw/zinc/34/64/94/134346494.db2.gz OOQMOYSEVDPIMN-IHRRRGAJSA-N 0 3 248.370 2.672 20 0 BFADHN CC[C@H](NC[C@@H](O)C(F)F)c1ccc(C)cc1 ZINC000224443046 134347218 /nfs/dbraw/zinc/34/72/18/134347218.db2.gz FZKNTFRTXRUPOG-NWDGAFQWSA-N 0 3 243.297 2.662 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](O)C(F)F)s1 ZINC000224483161 134347974 /nfs/dbraw/zinc/34/79/74/134347974.db2.gz NKVFHYIMNSCPJL-IONNQARKSA-N 0 3 249.326 2.587 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2ccco2)C1(C)C ZINC000224528016 134348492 /nfs/dbraw/zinc/34/84/92/134348492.db2.gz MWVRDOQYFSUDCI-MVWJERBFSA-N 0 3 223.316 2.744 20 0 BFADHN CC[C@@H](C)N[C@@H]1COCc2ccccc21 ZINC000225974021 134357996 /nfs/dbraw/zinc/35/79/96/134357996.db2.gz CTEBTIADAICJBA-ZWNOBZJWSA-N 0 3 205.301 2.646 20 0 BFADHN c1ccc2c(c1)COC[C@@H]2N[C@H]1CCCSC1 ZINC000226011126 134358095 /nfs/dbraw/zinc/35/80/95/134358095.db2.gz SOBGFGMLALDLOE-JSGCOSHPSA-N 0 3 249.379 2.743 20 0 BFADHN COc1cc(C)nc(CNCCC2=CCCC2)c1 ZINC000225912081 134359736 /nfs/dbraw/zinc/35/97/36/134359736.db2.gz MZVRHLDCJNBSJL-UHFFFAOYSA-N 0 3 246.354 2.989 20 0 BFADHN Clc1ccc(CNC[C@@H]2CCSC2)o1 ZINC000226372838 134364886 /nfs/dbraw/zinc/36/48/86/134364886.db2.gz OTTBKIMQWZTULX-QMMMGPOBSA-N 0 3 231.748 2.776 20 0 BFADHN Cn1c2ccccc2nc1CNCC1(C)CCC1 ZINC000226787267 134368965 /nfs/dbraw/zinc/36/89/65/134368965.db2.gz NCDRMHKHXRMJPF-UHFFFAOYSA-N 0 3 243.354 2.853 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H](C)CC[C@H]2C)on1 ZINC000227034000 134373669 /nfs/dbraw/zinc/37/36/69/134373669.db2.gz NSNMHNFLMNUCIX-OPQQBVKSSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1nccn1CC ZINC000185631962 134387173 /nfs/dbraw/zinc/38/71/73/134387173.db2.gz MSIRVXZCENOHQB-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2ccsc2)C1(C)C ZINC000227827936 134389840 /nfs/dbraw/zinc/38/98/40/134389840.db2.gz LBVAEZUUQRRHAH-GHMZBOCLSA-N 0 3 225.357 2.651 20 0 BFADHN COCC1=CCN(Cc2ccccc2C)CC1 ZINC000185814239 134391299 /nfs/dbraw/zinc/39/12/99/134391299.db2.gz UJOHQXUOJHVKSG-UHFFFAOYSA-N 0 3 231.339 2.774 20 0 BFADHN C[C@@H]1CC(N[C@H]2COc3ccccc32)C[C@@H](C)O1 ZINC000227784571 134394573 /nfs/dbraw/zinc/39/45/73/134394573.db2.gz JQVAQJKEEGHPGC-GYSYKLTISA-N 0 3 247.338 2.666 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@H](OC)C2(C)C)o1 ZINC000230198422 134488028 /nfs/dbraw/zinc/48/80/28/134488028.db2.gz NNWKINGLMYHKQH-STQMWFEESA-N 0 3 237.343 2.745 20 0 BFADHN COc1cccc(CNC[C@@H]2CC[C@@H](C)C2)n1 ZINC000230414027 134502252 /nfs/dbraw/zinc/50/22/52/134502252.db2.gz ZPCDQLOAMBZZBE-VXGBXAGGSA-N 0 3 234.343 2.616 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1ccc(C)c(F)c1 ZINC000131049739 134625855 /nfs/dbraw/zinc/62/58/55/134625855.db2.gz RTAAKAAKCRUDOS-DGCLKSJQSA-N 0 3 239.334 2.946 20 0 BFADHN Cc1cc(OCCN2CCCCC2)ccc1F ZINC000135405105 134745659 /nfs/dbraw/zinc/74/56/59/134745659.db2.gz IKMDXVLFZQKWEZ-UHFFFAOYSA-N 0 3 237.318 2.999 20 0 BFADHN C[C@H](N[C@@H]1CCc2ccccc2C1)c1ncc[nH]1 ZINC000139053681 134787572 /nfs/dbraw/zinc/78/75/72/134787572.db2.gz MVFAHOXNLFWVDD-SMDDNHRTSA-N 0 3 241.338 2.618 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cccc(Cl)c2)CO1 ZINC000335426043 134848535 /nfs/dbraw/zinc/84/85/35/134848535.db2.gz PQYWUYYNHJYQLR-BXKDBHETSA-N 0 3 225.719 2.607 20 0 BFADHN CC[C@H](N[C@@H](C)C1CC1)c1nccs1 ZINC000070633873 135000085 /nfs/dbraw/zinc/00/00/85/135000085.db2.gz UQBZRQGITWULDV-WPRPVWTQSA-N 0 3 210.346 2.982 20 0 BFADHN Cc1nc(CN[C@H]2CC[C@H](C)C2)cs1 ZINC000070643588 135001405 /nfs/dbraw/zinc/00/14/05/135001405.db2.gz ZWQIXYAQVIKGPW-WPRPVWTQSA-N 0 3 210.346 2.730 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2cocn2)[C@H]1C ZINC000248402776 135006537 /nfs/dbraw/zinc/00/65/37/135006537.db2.gz NSQDWSBFENMCTL-JFGNBEQYSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1CCCN1Cc1nc2ccccc2o1 ZINC000076115308 135010621 /nfs/dbraw/zinc/01/06/21/135010621.db2.gz HBILSDRSGXUJCC-JTQLQIEISA-N 0 3 216.284 2.812 20 0 BFADHN CO[C@]1(C)C[C@@H](N(C)Cc2ccccn2)C1(C)C ZINC000076150910 135014997 /nfs/dbraw/zinc/01/49/97/135014997.db2.gz WITKEJGOSCLSOR-UKRRQHHQSA-N 0 3 248.370 2.717 20 0 BFADHN COc1ccc([C@@H](C)N(C)C[C@H]2CCCO2)cc1 ZINC000248499233 135015125 /nfs/dbraw/zinc/01/51/25/135015125.db2.gz PTRSATDPKRMKSI-IUODEOHRSA-N 0 3 249.354 2.867 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@@H](C)[C@@H](C)C1 ZINC000248628944 135017548 /nfs/dbraw/zinc/01/75/48/135017548.db2.gz QWYVLBKDCXANRM-GRYCIOLGSA-N 0 3 219.332 2.516 20 0 BFADHN CC[C@@H](C(=O)OC(C)(C)C)N1CCC[C@H]1C ZINC000248831664 135022618 /nfs/dbraw/zinc/02/26/18/135022618.db2.gz IKHWXFLGKITHQR-MNOVXSKESA-N 0 3 227.348 2.591 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1C[C@@H](O)CC(F)(F)F ZINC000248943685 135027527 /nfs/dbraw/zinc/02/75/27/135027527.db2.gz DCPVZGKWECGIIK-BBBLOLIVSA-N 0 3 239.281 2.563 20 0 BFADHN C[C@H](c1ccccn1)N1CCSC[C@@H](C)C1 ZINC000248985221 135029627 /nfs/dbraw/zinc/02/96/27/135029627.db2.gz GFMVJLFVLSZUMI-NWDGAFQWSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@H]2CC[C@H](O)C2)o1 ZINC000249098996 135033233 /nfs/dbraw/zinc/03/32/33/135033233.db2.gz PDPDPGSJRAADSX-UHXUPSOCSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@@H]1CN([C@H]2CCCc3ccccc32)CCO1 ZINC000249712824 135043827 /nfs/dbraw/zinc/04/38/27/135043827.db2.gz GDAOCWIYQJQJDV-DOMZBBRYSA-N 0 3 231.339 2.785 20 0 BFADHN c1c[nH]c(CN[C@@H]2CC[C@@H]3CCCC[C@H]3C2)n1 ZINC000250231683 135050573 /nfs/dbraw/zinc/05/05/73/135050573.db2.gz AZAVVXSEOGXLBH-RWMBFGLXSA-N 0 3 233.359 2.858 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCCCC[C@H]2O)o1 ZINC000251219897 135066109 /nfs/dbraw/zinc/06/61/09/135066109.db2.gz HKDLXLWVVLAKLI-RWMBFGLXSA-N 0 3 237.343 2.932 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](C)[C@H](C)C1)c1cnccn1 ZINC000251601809 135072160 /nfs/dbraw/zinc/07/21/60/135072160.db2.gz CRSNOSBWJHNJKY-QNWHQSFQSA-N 0 3 233.359 2.952 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCCC1(C)C ZINC000086831090 135097760 /nfs/dbraw/zinc/09/77/60/135097760.db2.gz WPUUANAHXBVTIV-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN CC1(C)[C@H](O)CCN1Cc1ccccc1Cl ZINC000258675346 135102595 /nfs/dbraw/zinc/10/25/95/135102595.db2.gz FRXRKFBNSUWBJI-GFCCVEGCSA-N 0 3 239.746 2.685 20 0 BFADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1ccco1 ZINC000086934832 135102660 /nfs/dbraw/zinc/10/26/60/135102660.db2.gz BKKRXYJHQBRXRM-KXUCPTDWSA-N 0 3 211.330 2.824 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(F)cc2F)CS1 ZINC000086935793 135103186 /nfs/dbraw/zinc/10/31/86/135103186.db2.gz YYXXQQNOZPPKDH-GZMMTYOYSA-N 0 3 243.322 2.948 20 0 BFADHN C[C@H]1CCN(Cc2cccc3c2OCO3)[C@H]1C ZINC000252802849 135110886 /nfs/dbraw/zinc/11/08/86/135110886.db2.gz VRHACVHIFCRGFJ-QWRGUYRKSA-N 0 3 233.311 2.646 20 0 BFADHN C[C@@H](NC[C@]1(C)CCCO1)c1ccsc1 ZINC000087234474 135115707 /nfs/dbraw/zinc/11/57/07/135115707.db2.gz FSGOAXLXZYBUQV-PWSUYJOCSA-N 0 3 225.357 2.968 20 0 BFADHN C[C@H](N[C@H]1[C@H](C)CCC[C@@H]1C)c1ncc[nH]1 ZINC000252979143 135118348 /nfs/dbraw/zinc/11/83/48/135118348.db2.gz GJJGJNAKTWWGEC-WHOHXGKFSA-N 0 3 221.348 2.885 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCOC2)cc1F ZINC000087363968 135126827 /nfs/dbraw/zinc/12/68/27/135126827.db2.gz XHTUZXHJVXACDR-PWSUYJOCSA-N 0 3 223.291 2.574 20 0 BFADHN COC[C@H](C)N(C)C/C=C\c1ccc(F)cc1 ZINC000255101496 135128147 /nfs/dbraw/zinc/12/81/47/135128147.db2.gz IGWVTOOOWZPROA-RXNFCKPNSA-N 0 3 237.318 2.806 20 0 BFADHN COC[C@@H](C)N(C)C/C=C\c1ccccc1OC ZINC000255227987 135128377 /nfs/dbraw/zinc/12/83/77/135128377.db2.gz NPHRXVYKWMEXGK-FNOHHLHBSA-N 0 3 249.354 2.675 20 0 BFADHN Cc1ncsc1[C@H](C)NC[C@@H]1CCCS1 ZINC000087667627 135162337 /nfs/dbraw/zinc/16/23/37/135162337.db2.gz BSIPPLOBPVYISX-WPRPVWTQSA-N 0 3 242.413 2.998 20 0 BFADHN Clc1cc(Cl)cc(CN[C@@H]2CCOC2)c1 ZINC000087784027 135169343 /nfs/dbraw/zinc/16/93/43/135169343.db2.gz YKSFTVOFRMGGCL-LLVKDONJSA-N 0 3 246.137 2.872 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2CCOC2)s1 ZINC000087784173 135169645 /nfs/dbraw/zinc/16/96/45/135169645.db2.gz BXYMIQFGKIEBSB-VHSXEESVSA-N 0 3 225.357 2.750 20 0 BFADHN C[C@H](NCCOC(F)(F)F)c1cccs1 ZINC000084177777 135189510 /nfs/dbraw/zinc/18/95/10/135189510.db2.gz CCRIRFAWHVAXLD-ZETCQYMHSA-N 0 3 239.262 2.935 20 0 BFADHN Cc1ccccc1CNCCOCC1CC1 ZINC000163942474 135211178 /nfs/dbraw/zinc/21/11/78/135211178.db2.gz JIZVYITUGGOBBZ-UHFFFAOYSA-N 0 3 219.328 2.511 20 0 BFADHN CCC(CC)[C@H](O)CNCc1ccc(Cl)o1 ZINC000163964150 135212439 /nfs/dbraw/zinc/21/24/39/135212439.db2.gz GTQRURGIMPTFTP-LLVKDONJSA-N 0 3 245.750 2.820 20 0 BFADHN CC/C=C\CCN(CCC)CC(=O)NCCC ZINC000342232238 135254511 /nfs/dbraw/zinc/25/45/11/135254511.db2.gz IKSYNOCADCIGFP-FPLPWBNLSA-N 0 3 240.391 2.581 20 0 BFADHN CC(C)Cn1cc(CN[C@@H]2CC[C@H](F)C2)cn1 ZINC000342322964 135263982 /nfs/dbraw/zinc/26/39/82/135263982.db2.gz UGZPOKQUMJKPSX-QWHCGFSZSA-N 0 3 239.338 2.519 20 0 BFADHN CCC[C@@](C)(N)C(=O)NCC(CC)(CC)CC ZINC000262122657 135280656 /nfs/dbraw/zinc/28/06/56/135280656.db2.gz KLTPUYCUCFTGOA-CYBMUJFWSA-N 0 3 242.407 2.837 20 0 BFADHN CC/C=C\CCN[C@@H](C)c1nnc2ccccn21 ZINC000342629373 135297296 /nfs/dbraw/zinc/29/72/96/135297296.db2.gz GZVAUHPPKPOSAV-RXNFCKPNSA-N 0 3 244.342 2.736 20 0 BFADHN CN(CCc1cccc(F)c1F)C1CC1 ZINC000342701389 135307025 /nfs/dbraw/zinc/30/70/25/135307025.db2.gz YJJCCALLUKQKHL-UHFFFAOYSA-N 0 3 211.255 2.602 20 0 BFADHN C[C@@H](C[S@](C)=O)N(C)CCC1CCCCC1 ZINC000342665410 135308609 /nfs/dbraw/zinc/30/86/09/135308609.db2.gz SVOMMFUGEUVBGD-LRDDRELGSA-N 0 3 245.432 2.656 20 0 BFADHN Cc1cnc(CN(CC(C)C)C(C)C)n1C ZINC000342791519 135319287 /nfs/dbraw/zinc/31/92/87/135319287.db2.gz NZCPTUFTYCXXJT-UHFFFAOYSA-N 0 3 223.364 2.595 20 0 BFADHN Cc1cnc(CN(CC(C)C)CC(C)C)n1C ZINC000342797650 135320654 /nfs/dbraw/zinc/32/06/54/135320654.db2.gz HCFPTMQHUBUHSL-UHFFFAOYSA-N 0 3 237.391 2.843 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C)C[C@@H](C)C2)n1C ZINC000342799833 135320922 /nfs/dbraw/zinc/32/09/22/135320922.db2.gz ORBWIQVRLBSPJD-VXGBXAGGSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)n1C ZINC000342797198 135321411 /nfs/dbraw/zinc/32/14/11/135321411.db2.gz NGYAHHIKUWRZMP-UBHSHLNASA-N 0 3 247.386 2.739 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@@H]3CCCC[C@H]32)n1C ZINC000342797196 135321475 /nfs/dbraw/zinc/32/14/75/135321475.db2.gz NGYAHHIKUWRZMP-FPMFFAJLSA-N 0 3 247.386 2.739 20 0 BFADHN C[C@H](N[C@H]1CCCC(F)(F)C1)c1cnccn1 ZINC000334277748 135333822 /nfs/dbraw/zinc/33/38/22/135333822.db2.gz OOUVHZJTLNOWEP-UWVGGRQHSA-N 0 3 241.285 2.705 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1cnc(C)cn1 ZINC000334310955 135350971 /nfs/dbraw/zinc/35/09/71/135350971.db2.gz PYJRSTULSIIUGC-CQSZACIVSA-N 0 3 233.359 2.940 20 0 BFADHN C[C@H]1CN([C@@H]2CCCc3ccccc32)CCO1 ZINC000079934723 135364682 /nfs/dbraw/zinc/36/46/82/135364682.db2.gz GDAOCWIYQJQJDV-SWLSCSKDSA-N 0 3 231.339 2.785 20 0 BFADHN C[C@@H]1CN([C@@H]2CCCc3ccccc32)CCO1 ZINC000079934717 135364937 /nfs/dbraw/zinc/36/49/37/135364937.db2.gz GDAOCWIYQJQJDV-IUODEOHRSA-N 0 3 231.339 2.785 20 0 BFADHN C[C@H](c1ccccn1)N(C)C[C@H]1CCCC[C@H]1O ZINC000272486242 135365719 /nfs/dbraw/zinc/36/57/19/135365719.db2.gz HCZKXHBLKDCURW-UMVBOHGHSA-N 0 3 248.370 2.626 20 0 BFADHN Cc1csc([C@@H](C)NCC2CCOCC2)n1 ZINC000080271215 135367915 /nfs/dbraw/zinc/36/79/15/135367915.db2.gz RQQLDRMGECBVAM-SNVBAGLBSA-N 0 3 240.372 2.529 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cncs1 ZINC000334352978 135369382 /nfs/dbraw/zinc/36/93/82/135369382.db2.gz IETASDYBVWQMHV-UWVGGRQHSA-N 0 3 210.346 2.764 20 0 BFADHN CCN1CCN([C@H]2/C=C/CCCCC2)CC1 ZINC000334356906 135371606 /nfs/dbraw/zinc/37/16/06/135371606.db2.gz OKMBDHCVPMWPAB-OWNNVSBGSA-N 0 3 222.376 2.513 20 0 BFADHN CCN1CCN([C@@H]2/C=C/CCCCC2)CC1 ZINC000334356905 135372117 /nfs/dbraw/zinc/37/21/17/135372117.db2.gz OKMBDHCVPMWPAB-IZPAUZPXSA-N 0 3 222.376 2.513 20 0 BFADHN Cc1ncc(CNC2CC(C)(C)C2)s1 ZINC000306470311 135373005 /nfs/dbraw/zinc/37/30/05/135373005.db2.gz QELFCHBWMQBPQH-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN CC(C)n1nccc1CN1CCCC[C@H]1C ZINC000334377380 135377578 /nfs/dbraw/zinc/37/75/78/135377578.db2.gz WOJSTWLAFZXJSJ-GFCCVEGCSA-N 0 3 221.348 2.838 20 0 BFADHN CO[C@H]1CCN(Cc2cccc(F)c2)[C@H](C)C1 ZINC000334381083 135379533 /nfs/dbraw/zinc/37/95/33/135379533.db2.gz JBBRJNFSHCGVOA-RISCZKNCSA-N 0 3 237.318 2.825 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2C[C@H]2CCCCO2)c1 ZINC000298738350 135380138 /nfs/dbraw/zinc/38/01/38/135380138.db2.gz ADRDUFVHMANQGA-OCCSQVGLSA-N 0 3 234.343 2.721 20 0 BFADHN OC1(CNc2ccnc3ccccc32)CCC1 ZINC000080601332 135382672 /nfs/dbraw/zinc/38/26/72/135382672.db2.gz AIRIZDJDZFXMKL-UHFFFAOYSA-N 0 3 228.295 2.562 20 0 BFADHN C[C@@H](O)C[C@@H](C)NCc1ccc(Cl)s1 ZINC000164521671 135389006 /nfs/dbraw/zinc/38/90/06/135389006.db2.gz SAABDDOMQFLBDH-HTQZYQBOSA-N 0 3 233.764 2.651 20 0 BFADHN CSC[C@H](C)NCc1sc(C)nc1C ZINC000076892114 135391045 /nfs/dbraw/zinc/39/10/45/135391045.db2.gz HESMRTZAMBXXJX-ZETCQYMHSA-N 0 3 230.402 2.601 20 0 BFADHN CC[C@@H](F)CN1CCSC(C)(C)C1 ZINC000307582358 135392632 /nfs/dbraw/zinc/39/26/32/135392632.db2.gz UYUCDSFTKOYYIQ-SECBINFHSA-N 0 3 205.342 2.562 20 0 BFADHN c1cc(CN2CCC[C@]3(CC=CCC3)C2)on1 ZINC000334406255 135393891 /nfs/dbraw/zinc/39/38/91/135393891.db2.gz QOTWZJWOIRQALX-CQSZACIVSA-N 0 3 232.327 2.997 20 0 BFADHN Cc1nocc1CN[C@@H]1CC2CCC1CC2 ZINC000334340574 135399696 /nfs/dbraw/zinc/39/96/96/135399696.db2.gz HKPSXRJKBMUIKJ-GCZXYKMCSA-N 0 3 220.316 2.651 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](C)[C@@H](C)C1)c1nccn1C ZINC000085040449 135477903 /nfs/dbraw/zinc/47/79/03/135477903.db2.gz XADXIQRPNFTBHZ-ZDEQEGDKSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2ccco2)CCO1 ZINC000088169638 135517198 /nfs/dbraw/zinc/51/71/98/135517198.db2.gz MDIRWLWNBFZMSX-GRYCIOLGSA-N 0 3 223.316 2.888 20 0 BFADHN Cc1cnn(C)c1CN(C)[C@H]1CCCC[C@@H]1C ZINC000334515522 135605627 /nfs/dbraw/zinc/60/56/27/135605627.db2.gz YUNDEUSILBVBLW-AAEUAGOBSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@@H](N[C@@H]1CCC(C)(C)C1)c1ccn(C)n1 ZINC000334791773 135814613 /nfs/dbraw/zinc/81/46/13/135814613.db2.gz HZUUZYLHHQRQOE-GHMZBOCLSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@H](C(=O)OC)N1CCC[C@H](CC(C)C)C1 ZINC000345953008 135905001 /nfs/dbraw/zinc/90/50/01/135905001.db2.gz MJXWGKJOUOETAN-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H](NCc1ccc(Cl)o1)C1CCOCC1 ZINC000166050823 135930647 /nfs/dbraw/zinc/93/06/47/135930647.db2.gz PJVYHXHEOHEWPO-SECBINFHSA-N 0 3 243.734 2.838 20 0 BFADHN CO[C@@H]1CCCN(CCCCC(F)(F)F)C1 ZINC000347692873 136005794 /nfs/dbraw/zinc/00/57/94/136005794.db2.gz JTTHEJZPKJFSKD-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN CCc1ccc(CN2CCC(COC)CC2)cn1 ZINC000347770297 136008774 /nfs/dbraw/zinc/00/87/74/136008774.db2.gz ASQBCRLPOVRPRE-UHFFFAOYSA-N 0 3 248.370 2.502 20 0 BFADHN CSC1(CN2CCOC[C@H]2CC(C)C)CC1 ZINC000347820138 136013775 /nfs/dbraw/zinc/01/37/75/136013775.db2.gz QMFLXPPQQBPBCC-GFCCVEGCSA-N 0 3 243.416 2.629 20 0 BFADHN CSC1(CN(C)Cc2cccc(C)n2)CC1 ZINC000347879187 136017207 /nfs/dbraw/zinc/01/72/07/136017207.db2.gz MXNOLLYCDHRRFC-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1cnn(C)c1CN1CCC(C(C)(C)C)CC1 ZINC000347871619 136017234 /nfs/dbraw/zinc/01/72/34/136017234.db2.gz SEHMUMBDIPSPGP-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN COc1c(O)cccc1CN1C[C@@H]2CCC[C@H]2C1 ZINC000335315966 136024703 /nfs/dbraw/zinc/02/47/03/136024703.db2.gz MRANUQFHLFMWOY-RYUDHWBXSA-N 0 3 247.338 2.633 20 0 BFADHN CC1(C)CN(Cc2ccno2)[C@H]2CCC[C@@H]21 ZINC000335309848 136028653 /nfs/dbraw/zinc/02/86/53/136028653.db2.gz UWVJBUZNCFOJBB-RYUDHWBXSA-N 0 3 220.316 2.685 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1cn2c(cccc2C)n1 ZINC000348111297 136037361 /nfs/dbraw/zinc/03/73/61/136037361.db2.gz AJMFXTOWECLHDK-TZMCWYRMSA-N 0 3 243.354 2.921 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1cn2ccc(C)cc2n1 ZINC000348115682 136037670 /nfs/dbraw/zinc/03/76/70/136037670.db2.gz UTORJFUFJWGKHY-JSGCOSHPSA-N 0 3 243.354 2.921 20 0 BFADHN c1cc([C@H]2CCCN2CC[C@@H]2CCCCO2)n[nH]1 ZINC000348160400 136041200 /nfs/dbraw/zinc/04/12/00/136041200.db2.gz PBHPDGUCAJNJNW-GXTWGEPZSA-N 0 3 249.358 2.506 20 0 BFADHN Cc1ccsc1CN[C@H]1C[C@H]1C(F)F ZINC000348176799 136042060 /nfs/dbraw/zinc/04/20/60/136042060.db2.gz DNVPQELABZLVEX-SFYZADRCSA-N 0 3 217.284 2.800 20 0 BFADHN Cc1nccc(CN(C)[C@H]2CCCC[C@H]2C)n1 ZINC000335339677 136045620 /nfs/dbraw/zinc/04/56/20/136045620.db2.gz SJWXLWVCWPATAH-RISCZKNCSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1nccc(CN(C)[C@@H]2CCCC[C@H]2C)n1 ZINC000335339674 136045761 /nfs/dbraw/zinc/04/57/61/136045761.db2.gz SJWXLWVCWPATAH-BXUZGUMPSA-N 0 3 233.359 2.796 20 0 BFADHN Fc1cccc(CN[C@@H]2C[C@@H]2C(F)F)c1 ZINC000348215211 136046260 /nfs/dbraw/zinc/04/62/60/136046260.db2.gz FBCDUZQJTNIVEO-VHSXEESVSA-N 0 3 215.218 2.569 20 0 BFADHN FC(F)[C@@H]1C[C@@H]1N[C@@H]1CCc2ccccc21 ZINC000348220068 136047285 /nfs/dbraw/zinc/04/72/85/136047285.db2.gz HRDDZELSGSCFIQ-UTUOFQBUSA-N 0 3 223.266 2.917 20 0 BFADHN C(=C/c1ccncc1)\CN1C[C@@H]2CCC[C@@H]21 ZINC000335334914 136055483 /nfs/dbraw/zinc/05/54/83/136055483.db2.gz FSCKXFYZJBUWKJ-SZZVUECUSA-N 0 3 214.312 2.579 20 0 BFADHN Cc1cc(CNC[C@H]2C[C@@H]2c2ccccc2)on1 ZINC000348247261 136056959 /nfs/dbraw/zinc/05/69/59/136056959.db2.gz QPNBBFBBFGYTKI-UKRRQHHQSA-N 0 3 242.322 2.876 20 0 BFADHN CCc1ccc(CN(C)CCCSC)cn1 ZINC000348284369 136059785 /nfs/dbraw/zinc/05/97/85/136059785.db2.gz HXILJGRFTBUHKD-UHFFFAOYSA-N 0 3 238.400 2.829 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2)C[C@H](C2CC2)O1 ZINC000348234123 136060680 /nfs/dbraw/zinc/06/06/80/136060680.db2.gz SICYJIWARRGTNJ-CZUORRHYSA-N 0 3 245.366 2.728 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCc1ccccn1 ZINC000348293299 136062148 /nfs/dbraw/zinc/06/21/48/136062148.db2.gz PDEQZOBKRCDWLN-CYBMUJFWSA-N 0 3 241.338 2.712 20 0 BFADHN Cn1ccnc1[C@H](N[C@H]1CC[C@H]1C1CC1)C1CC1 ZINC000348289706 136062568 /nfs/dbraw/zinc/06/25/68/136062568.db2.gz LCXYHPJILDDZHX-MELADBBJSA-N 0 3 245.370 2.649 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1C[C@@H]1C(F)F ZINC000348300877 136063597 /nfs/dbraw/zinc/06/35/97/136063597.db2.gz IBKZUYMFFZVWPK-LSJOCFKGSA-N 0 3 241.281 2.999 20 0 BFADHN Fc1ccc(OCCN2CCC23CCC3)cc1 ZINC000348328852 136071033 /nfs/dbraw/zinc/07/10/33/136071033.db2.gz VDPDSNVFPFDITI-UHFFFAOYSA-N 0 3 235.302 2.833 20 0 BFADHN CCc1ccc(CN(C)CC[C@@H]2CCCO2)cn1 ZINC000348417054 136077917 /nfs/dbraw/zinc/07/79/17/136077917.db2.gz XZNYPTONEFKXAT-HNNXBMFYSA-N 0 3 248.370 2.645 20 0 BFADHN Cc1cnc(CN2CC(C)(C)[C@H]3CCC[C@H]32)o1 ZINC000335367930 136081851 /nfs/dbraw/zinc/08/18/51/136081851.db2.gz CEURAOZXECMVBA-NWDGAFQWSA-N 0 3 234.343 2.994 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@@H](F)C2)nc1 ZINC000335374718 136084951 /nfs/dbraw/zinc/08/49/51/136084951.db2.gz ZNCUEKIPHISRGU-VXGBXAGGSA-N 0 3 222.307 2.760 20 0 BFADHN CC1(F)CC(NCc2ccc(F)cc2)C1 ZINC000335376800 136085813 /nfs/dbraw/zinc/08/58/13/136085813.db2.gz VJLMDWWYXBLHLP-UHFFFAOYSA-N 0 3 211.255 2.806 20 0 BFADHN CC1(F)CC(NCc2ccccc2F)C1 ZINC000335376750 136085905 /nfs/dbraw/zinc/08/59/05/136085905.db2.gz UOXXDSLVBDXUQQ-UHFFFAOYSA-N 0 3 211.255 2.806 20 0 BFADHN C[C@H]1C[C@H](O)CN1Cc1cccc(C(F)F)c1 ZINC000335383478 136088135 /nfs/dbraw/zinc/08/81/35/136088135.db2.gz QHFKNUHRJHMSTM-CABZTGNLSA-N 0 3 241.281 2.579 20 0 BFADHN C[C@@H]1C[C@@H](O)CN1Cc1cccc(C(F)F)c1 ZINC000335383477 136088316 /nfs/dbraw/zinc/08/83/16/136088316.db2.gz QHFKNUHRJHMSTM-BXKDBHETSA-N 0 3 241.281 2.579 20 0 BFADHN Cc1cc(CN2CC[C@@H]3CCCC[C@@H]32)ncn1 ZINC000335389980 136090334 /nfs/dbraw/zinc/09/03/34/136090334.db2.gz QRBFUQWCPMHOPC-JSGCOSHPSA-N 0 3 231.343 2.550 20 0 BFADHN Fc1cncc(CN2CC[C@@H]3CCCC[C@@H]32)c1 ZINC000335390431 136090656 /nfs/dbraw/zinc/09/06/56/136090656.db2.gz SQLKQFWSHHXNHZ-JSGCOSHPSA-N 0 3 234.318 2.985 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc3cc(F)ccc3o2)CO1 ZINC000335393698 136091810 /nfs/dbraw/zinc/09/18/10/136091810.db2.gz ULDVMRCYWKDMLQ-BXKDBHETSA-N 0 3 249.285 2.839 20 0 BFADHN CC1(C(N)=O)CN([C@@H]2/C=C/CCCCC2)C1 ZINC000335396077 136092422 /nfs/dbraw/zinc/09/24/22/136092422.db2.gz PBHDZIHESGLWNI-OKPNEXGHSA-N 0 3 222.332 2.732 20 0 BFADHN Cc1ccccc1[C@@H](C)N1CC(C)(C(N)=O)C1 ZINC000335398803 136093599 /nfs/dbraw/zinc/09/35/99/136093599.db2.gz YMIFAHWBJSANDZ-LLVKDONJSA-N 0 3 232.327 2.913 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(C)[C@H]2CCC[C@H]2O)o1 ZINC000348542543 136095503 /nfs/dbraw/zinc/09/55/03/136095503.db2.gz CZDDAANQRJVWBX-VZZFWQQMSA-N 0 3 249.354 2.748 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(C)[C@H]2CCC[C@@H]2O)o1 ZINC000348542542 136095724 /nfs/dbraw/zinc/09/57/24/136095724.db2.gz CZDDAANQRJVWBX-SAXRGWBVSA-N 0 3 249.354 2.748 20 0 BFADHN C[C@H]1CCN(CC2CC(F)(F)C2)[C@H](C(N)=O)C1 ZINC000335586159 136101663 /nfs/dbraw/zinc/10/16/63/136101663.db2.gz MCDQEEMCBBTSDG-WPRPVWTQSA-N 0 3 246.301 2.667 20 0 BFADHN C1=C(CN2CC3(C2)CCCCC3)COCC1 ZINC000335573137 136110515 /nfs/dbraw/zinc/11/05/15/136110515.db2.gz ZHCUGKBOFDTOCB-UHFFFAOYSA-N 0 3 221.344 2.599 20 0 BFADHN c1coc(CN2CCC[C@]3(CCSC3)C2)c1 ZINC000335582295 136113035 /nfs/dbraw/zinc/11/30/35/136113035.db2.gz UQLDZEVBIBOFII-ZDUSSCGKSA-N 0 3 237.368 2.999 20 0 BFADHN COc1ccccc1CN1CC(C2CCC2)C1 ZINC000348711026 136117099 /nfs/dbraw/zinc/11/70/99/136117099.db2.gz PVHSCWQACIMURV-UHFFFAOYSA-N 0 3 231.339 2.927 20 0 BFADHN Cc1ccc(CNc2nccn2C)c(C)c1 ZINC000334856261 397777580 /nfs/dbraw/zinc/77/75/80/397777580.db2.gz OLPIRSOTRTZOCX-UHFFFAOYSA-N 0 3 215.300 2.649 20 0 BFADHN CC[C@H](N[C@H]1CCC[C@@H]1O)c1ccccc1F ZINC000180910490 397806021 /nfs/dbraw/zinc/80/60/21/397806021.db2.gz DCRSCPVKRJZSML-IHRRRGAJSA-N 0 3 237.318 2.780 20 0 BFADHN CCC1CC(N[C@@H](C)c2ccccn2)C1 ZINC000308952347 397843441 /nfs/dbraw/zinc/84/34/41/397843441.db2.gz PMIBCEWRFBCDLP-UNXYVOJBSA-N 0 3 204.317 2.921 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1cccc(F)c1 ZINC000308951745 397843451 /nfs/dbraw/zinc/84/34/51/397843451.db2.gz PISSNNJEWFMBMP-NWDGAFQWSA-N 0 3 225.332 2.809 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1cccc(F)c1 ZINC000308951747 397843615 /nfs/dbraw/zinc/84/36/15/397843615.db2.gz PISSNNJEWFMBMP-VXGBXAGGSA-N 0 3 225.332 2.809 20 0 BFADHN CCOCCNC(C)(C)c1ccc(F)c(F)c1 ZINC000180859959 397858243 /nfs/dbraw/zinc/85/82/43/397858243.db2.gz XPFQSRRPNTYJCU-UHFFFAOYSA-N 0 3 243.297 2.826 20 0 BFADHN CCN(CC)C[C@H](O)c1ccc(F)cc1Cl ZINC000184907432 397870075 /nfs/dbraw/zinc/87/00/75/397870075.db2.gz QGXFXJRZJCRLMH-LBPRGKRZSA-N 0 3 245.725 2.854 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@H](CC(C)C)C1 ZINC000356110182 397927167 /nfs/dbraw/zinc/92/71/67/397927167.db2.gz LUBXCCLTCFMEGD-CYBMUJFWSA-N 0 3 235.375 2.976 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CC1(SC)CCC1 ZINC000356105523 397927555 /nfs/dbraw/zinc/92/75/55/397927555.db2.gz XJSFKNAZLSHSNU-NEPJUHHUSA-N 0 3 243.416 2.771 20 0 BFADHN c1ccc2c(c1)[nH]nc2CN1CC[C@@H]1C1CC1 ZINC000648698762 397928035 /nfs/dbraw/zinc/92/80/35/397928035.db2.gz GCAWOZKTKIIQHP-CQSZACIVSA-N 0 3 227.311 2.547 20 0 BFADHN c1ccc2c(c1)n[nH]c2CN1CC[C@@H]1C1CC1 ZINC000648698762 397928037 /nfs/dbraw/zinc/92/80/37/397928037.db2.gz GCAWOZKTKIIQHP-CQSZACIVSA-N 0 3 227.311 2.547 20 0 BFADHN CC[C@H](F)CN1CCC[C@@](C)(OC)CC1 ZINC000440526555 397929443 /nfs/dbraw/zinc/92/94/43/397929443.db2.gz QLKSWEIDJNZSLK-NWDGAFQWSA-N 0 3 217.328 2.626 20 0 BFADHN CCC[C@H](NC[C@H](C)OC)c1cc(C)ccn1 ZINC000631623230 397929847 /nfs/dbraw/zinc/92/98/47/397929847.db2.gz XSYKEAHHQYYOCT-STQMWFEESA-N 0 3 236.359 2.856 20 0 BFADHN CC1(C)CCCN(Cc2cc3n(n2)CCC3)CC1 ZINC000649541181 397934400 /nfs/dbraw/zinc/93/44/00/397934400.db2.gz UBFWSXUYFGSMHB-UHFFFAOYSA-N 0 3 247.386 2.841 20 0 BFADHN C[C@H](NCCOCC1CCC1)c1cccnc1 ZINC000360505853 397965763 /nfs/dbraw/zinc/96/57/63/397965763.db2.gz WKIZRBDELZVIQN-LBPRGKRZSA-N 0 3 234.343 2.549 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1CCOCC(F)(F)F ZINC000357526673 397937202 /nfs/dbraw/zinc/93/72/02/397937202.db2.gz GUCWWBVHIHVJDB-ZJUUUORDSA-N 0 3 239.281 2.828 20 0 BFADHN Cc1nc(C)c(CN[C@@H](C)CC(C)C)o1 ZINC000382371342 397939931 /nfs/dbraw/zinc/93/99/31/397939931.db2.gz MNUXBGUAIBVRIT-VIFPVBQESA-N 0 3 210.321 2.816 20 0 BFADHN C[C@H](F)CCN[C@@H]1CCCc2cccnc21 ZINC000382381055 397943883 /nfs/dbraw/zinc/94/38/83/397943883.db2.gz JLQRLBLNBLGMHL-CMPLNLGQSA-N 0 3 222.307 2.797 20 0 BFADHN CCCCN(CC(N)=O)[C@H](CC)c1ccccc1 ZINC000358966487 397946275 /nfs/dbraw/zinc/94/62/75/397946275.db2.gz NAWFXHQVTMDQEH-CQSZACIVSA-N 0 3 248.370 2.725 20 0 BFADHN C[C@H](NCc1nccn1C)C1C(C)(C)C1(C)C ZINC000446959001 397949636 /nfs/dbraw/zinc/94/96/36/397949636.db2.gz HZYMOPAALDZDPP-JTQLQIEISA-N 0 3 235.375 2.580 20 0 BFADHN Cc1n[nH]cc1CN1C[C@@H](C(C)C)[C@H]1C(C)C ZINC000449556595 397956344 /nfs/dbraw/zinc/95/63/44/397956344.db2.gz SJONUAZHCMFAMD-UONOGXRCSA-N 0 3 235.375 2.831 20 0 BFADHN C[C@H]1CCCC[C@H]1NCc1cc2n(n1)CCCC2 ZINC000649583747 397967967 /nfs/dbraw/zinc/96/79/67/397967967.db2.gz YAGIYRAGAJYEOC-SWLSCSKDSA-N 0 3 247.386 2.888 20 0 BFADHN C[C@@H]1CCN(Cc2cc3n(n2)CCC3)C[C@@H](C)C1 ZINC000649567589 397957931 /nfs/dbraw/zinc/95/79/31/397957931.db2.gz XCNVCAGCIDOSGA-OLZOCXBDSA-N 0 3 247.386 2.697 20 0 BFADHN CC(C)C(NCc1cc2n(n1)CCC2)C(C)C ZINC000649577313 397968087 /nfs/dbraw/zinc/96/80/87/397968087.db2.gz DTSLULVHLTUQRQ-UHFFFAOYSA-N 0 3 235.375 2.600 20 0 BFADHN COc1ccc(OCCN2CCCCC2)cc1C ZINC000147041946 397968335 /nfs/dbraw/zinc/96/83/35/397968335.db2.gz NLJOIOMHXMYMME-UHFFFAOYSA-N 0 3 249.354 2.868 20 0 BFADHN C[C@@H](N[C@H](C)c1ccccc1)c1ccnn1C ZINC000192244689 397892295 /nfs/dbraw/zinc/89/22/95/397892295.db2.gz XYTAFNDZSRYDTF-VXGBXAGGSA-N 0 3 229.327 2.832 20 0 BFADHN CCc1ccc(CN[C@H](C)c2ccnn2C)s1 ZINC000192672528 397894824 /nfs/dbraw/zinc/89/48/24/397894824.db2.gz DFTYEDLGFMTIJB-SNVBAGLBSA-N 0 3 249.383 2.895 20 0 BFADHN CCOCCN[C@@H]1CCCNc2ccccc21 ZINC000192687444 397895488 /nfs/dbraw/zinc/89/54/88/397895488.db2.gz HOFOEAYCEIPXFK-CQSZACIVSA-N 0 3 234.343 2.560 20 0 BFADHN COc1ccc(F)cc1CNC1CC=CC1 ZINC000390644541 397898155 /nfs/dbraw/zinc/89/81/55/397898155.db2.gz LXRPWDOEWFEMGM-UHFFFAOYSA-N 0 3 221.275 2.643 20 0 BFADHN C[C@H](NC[C@@H]1CC=CCC1)c1ccon1 ZINC000336765770 397898316 /nfs/dbraw/zinc/89/83/16/397898316.db2.gz RMPQTEQZIJVBEK-WDEREUQCSA-N 0 3 206.289 2.682 20 0 BFADHN Cc1nccn1CCN(C)Cc1ccc(C)cc1 ZINC000270664097 397962192 /nfs/dbraw/zinc/96/21/92/397962192.db2.gz PNNRZUPZGSKOQI-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cc2n(n1)CCCC2 ZINC000649573022 397964048 /nfs/dbraw/zinc/96/40/48/397964048.db2.gz QDSIHSSWHQFRAX-JSGCOSHPSA-N 0 3 247.386 2.982 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cc2n(n1)CCCC2 ZINC000649573024 397964483 /nfs/dbraw/zinc/96/44/83/397964483.db2.gz QDSIHSSWHQFRAX-TZMCWYRMSA-N 0 3 247.386 2.982 20 0 BFADHN CO[C@H]1CCN(C/C=C/c2ccc(F)cc2)C1 ZINC000271163754 397964520 /nfs/dbraw/zinc/96/45/20/397964520.db2.gz FFJITEQPIOHBOH-HSWBROFVSA-N 0 3 235.302 2.560 20 0 BFADHN CSC[C@@H]1CCCN1Cc1ccoc1C ZINC000414392636 397925923 /nfs/dbraw/zinc/92/59/23/397925923.db2.gz BTNOQBAUBZUNCA-LBPRGKRZSA-N 0 3 225.357 2.915 20 0 BFADHN Cc1ccncc1CN1CCC[C@H](OC(C)C)C1 ZINC000649702686 398050188 /nfs/dbraw/zinc/05/01/88/398050188.db2.gz KWNBFGZASQBDLV-HNNXBMFYSA-N 0 3 248.370 2.779 20 0 BFADHN CCN(CC)[C@H](C)C(=O)Nc1ccc(C)c(C)c1 ZINC000120524755 397996681 /nfs/dbraw/zinc/99/66/81/397996681.db2.gz GVBHDAHMONHHPF-CYBMUJFWSA-N 0 3 248.370 2.972 20 0 BFADHN C[C@@H](CF)NCc1cc2ccccc2[nH]1 ZINC000389901988 397997856 /nfs/dbraw/zinc/99/78/56/397997856.db2.gz DRSAIDVRAPMMRI-VIFPVBQESA-N 0 3 206.264 2.616 20 0 BFADHN CCC[C@@H](NCCOCC1CC1)c1cccnc1 ZINC000273601760 397998146 /nfs/dbraw/zinc/99/81/46/397998146.db2.gz SBSLQXRDXJQMMC-OAHLLOKOSA-N 0 3 248.370 2.939 20 0 BFADHN c1coc(-c2noc([C@H]3CC[C@H](C4CC4)N3)n2)c1 ZINC000650229208 397999249 /nfs/dbraw/zinc/99/92/49/397999249.db2.gz SBXZPOCZEJTZIS-NXEZZACHSA-N 0 3 245.282 2.533 20 0 BFADHN c1coc(-c2noc([C@@H]3CC[C@@H](C4CC4)N3)n2)c1 ZINC000650229209 397999434 /nfs/dbraw/zinc/99/94/34/397999434.db2.gz SBXZPOCZEJTZIS-UWVGGRQHSA-N 0 3 245.282 2.533 20 0 BFADHN COc1cc(C)cc(NC2CCN(C)CC2)c1C ZINC000279423441 398045816 /nfs/dbraw/zinc/04/58/16/398045816.db2.gz GCCAECDVWHXGSE-UHFFFAOYSA-N 0 3 248.370 2.818 20 0 BFADHN CC(C)O[C@@H]1CCCN(CCc2ccncc2)C1 ZINC000649697408 398045872 /nfs/dbraw/zinc/04/58/72/398045872.db2.gz HLNAMTCSLXQDAZ-OAHLLOKOSA-N 0 3 248.370 2.514 20 0 BFADHN C[C@@H]1CCC(C)(C)N1Cc1cc2n(n1)CCC2 ZINC000649624226 398005688 /nfs/dbraw/zinc/00/56/88/398005688.db2.gz VZWGBCOITMZLRS-LLVKDONJSA-N 0 3 233.359 2.592 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1ccccc1F ZINC000336663237 398006463 /nfs/dbraw/zinc/00/64/63/398006463.db2.gz CMXQCFBZCBCXIT-NEPJUHHUSA-N 0 3 225.332 2.809 20 0 BFADHN CC(C)O[C@H]1CCCN(CCOC(C)(C)C)C1 ZINC000649699418 398047855 /nfs/dbraw/zinc/04/78/55/398047855.db2.gz MMRTWTAVMNVZGM-ZDUSSCGKSA-N 0 3 243.391 2.691 20 0 BFADHN COc1ccc(OCCN(C)[C@@H]2CC2(C)C)cc1 ZINC000489424840 398023930 /nfs/dbraw/zinc/02/39/30/398023930.db2.gz KTOOLHGLWZMYJD-CQSZACIVSA-N 0 3 249.354 2.804 20 0 BFADHN CC(=O)Nc1cccc(CN(C)[C@H]2CC2(C)C)c1 ZINC000489420195 398024061 /nfs/dbraw/zinc/02/40/61/398024061.db2.gz DOGXFNADUIRDCW-AWEZNQCLSA-N 0 3 246.354 2.875 20 0 BFADHN CC1(C)CCC[C@@](O)(CNCc2cccnc2)C1 ZINC000438375958 398048054 /nfs/dbraw/zinc/04/80/54/398048054.db2.gz KMLPZRKTOAJYNB-HNNXBMFYSA-N 0 3 248.370 2.503 20 0 BFADHN C[C@@H](F)CCN1CCC=C(c2cccnc2)C1 ZINC000451023975 397969976 /nfs/dbraw/zinc/96/99/76/397969976.db2.gz GTYIQYPXNULHIE-GFCCVEGCSA-N 0 3 234.318 2.919 20 0 BFADHN CCO[C@@H]1CCN([C@H](C)c2ccccn2)C[C@@H]1C ZINC000451550001 397976079 /nfs/dbraw/zinc/97/60/79/397976079.db2.gz WIMHGNZFVPKRJG-GZBFAFLISA-N 0 3 248.370 2.890 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cc2n(n1)CCC2 ZINC000649586166 397976370 /nfs/dbraw/zinc/97/63/70/397976370.db2.gz UKFVEPMSXCTTKG-AWEZNQCLSA-N 0 3 247.386 2.984 20 0 BFADHN C[C@@H]1C[C@H](C)[C@@H](C)N(Cc2cc3n(n2)CCC3)C1 ZINC000649591630 397978780 /nfs/dbraw/zinc/97/87/80/397978780.db2.gz CRLDBMXCZZORAM-FRRDWIJNSA-N 0 3 247.386 2.696 20 0 BFADHN C[C@H]1CCCC[C@H]1N(C)Cc1cc2n(n1)CCC2 ZINC000649591452 397978861 /nfs/dbraw/zinc/97/88/61/397978861.db2.gz XPHPPPOECIDMJF-SWLSCSKDSA-N 0 3 247.386 2.840 20 0 BFADHN C[C@@H]1C[C@H](C)[C@H](C)N(Cc2cc3n(n2)CCC3)C1 ZINC000649591629 397978872 /nfs/dbraw/zinc/97/88/72/397978872.db2.gz CRLDBMXCZZORAM-AGIUHOORSA-N 0 3 247.386 2.696 20 0 BFADHN C(=C\c1ccncc1)\CN[C@@H]1C[C@H]1c1ccco1 ZINC000414597766 397978929 /nfs/dbraw/zinc/97/89/29/397978929.db2.gz WYYRHQAEQOKZHG-DJQANFQHSA-N 0 3 240.306 2.834 20 0 BFADHN CSCCCNCc1ccc(F)c(F)c1F ZINC000594925880 397979097 /nfs/dbraw/zinc/97/90/97/397979097.db2.gz QUOAYBKBHKCJBM-UHFFFAOYSA-N 0 3 249.301 2.947 20 0 BFADHN CC[C@@H]1CN(CCCCCOC)[C@@H](CC)CO1 ZINC000649720760 398063572 /nfs/dbraw/zinc/06/35/72/398063572.db2.gz NXHXTSGYFUCRPB-UONOGXRCSA-N 0 3 243.391 2.693 20 0 BFADHN CC[C@@H]1CN(CCCOC(C)C)[C@@H](CC)CO1 ZINC000649721480 398064963 /nfs/dbraw/zinc/06/49/63/398064963.db2.gz YRYPHBXAPRQPSQ-UONOGXRCSA-N 0 3 243.391 2.691 20 0 BFADHN CC[C@](C)(N)c1cn(CCCC(C)(C)C)nn1 ZINC000384189979 398072336 /nfs/dbraw/zinc/07/23/36/398072336.db2.gz GPDXUCREVVQFOM-ZDUSSCGKSA-N 0 3 238.379 2.688 20 0 BFADHN CC[C@H]1CN(CCC(C)(C)C)[C@H](C)CO1 ZINC000649734024 398074366 /nfs/dbraw/zinc/07/43/66/398074366.db2.gz REZKPYXLXYAQRF-NEPJUHHUSA-N 0 3 213.365 2.922 20 0 BFADHN CC[C@@H]1CN(CC2(C)CCC2)[C@H](C)CO1 ZINC000649736699 398079063 /nfs/dbraw/zinc/07/90/63/398079063.db2.gz HGSVSXZTJMINAN-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN CN(Cc1ccc2occc2c1)[C@H]1CCOC1 ZINC000289028182 398085146 /nfs/dbraw/zinc/08/51/46/398085146.db2.gz ATYVYBZSGVIASX-ZDUSSCGKSA-N 0 3 231.295 2.654 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1cc(C)ccn1 ZINC000650306773 398088541 /nfs/dbraw/zinc/08/85/41/398088541.db2.gz XEORIOOXTQFNIH-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN CC(C)[C@H](N)c1cn([C@@H](C)c2ccccc2)nn1 ZINC000384447522 398089053 /nfs/dbraw/zinc/08/90/53/398089053.db2.gz LASNVPGQYIDOGC-FZMZJTMJSA-N 0 3 244.342 2.543 20 0 BFADHN CC(C)O[C@@H]1CCCN(CCc2cccnc2)C1 ZINC000649703171 398050937 /nfs/dbraw/zinc/05/09/37/398050937.db2.gz WFAOJDDELURIQE-OAHLLOKOSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@H]1COC(C)(C)CN1CCCOC(C)C ZINC000649715630 398056889 /nfs/dbraw/zinc/05/68/89/398056889.db2.gz HLTFRKXZYSZFDI-ZDUSSCGKSA-N 0 3 243.391 2.691 20 0 BFADHN CCc1ccc(CNC[C@H]2CCCCO2)o1 ZINC000051724906 398058429 /nfs/dbraw/zinc/05/84/29/398058429.db2.gz VPRBQHNEDTYDFX-GFCCVEGCSA-N 0 3 223.316 2.501 20 0 BFADHN CCc1ccc(CNCCCc2c[nH]nc2C)o1 ZINC000051725068 398058857 /nfs/dbraw/zinc/05/88/57/398058857.db2.gz PPSMNUDAOBIJMY-UHFFFAOYSA-N 0 3 247.342 2.596 20 0 BFADHN CC[C@@H]1CN(CC2=CCCC2)[C@@H](CC)CO1 ZINC000649717924 398059290 /nfs/dbraw/zinc/05/92/90/398059290.db2.gz PBMKHYHASYFZNT-UONOGXRCSA-N 0 3 223.360 2.986 20 0 BFADHN CC(C)OCCCN1CC(C)(C)OCC1(C)C ZINC000604608203 398108745 /nfs/dbraw/zinc/10/87/45/398108745.db2.gz YVZKFLRRRMVXDX-UHFFFAOYSA-N 0 3 243.391 2.691 20 0 BFADHN C/C=C\CN[C@H](C)c1cc(OC)ccc1OC ZINC000384737855 398104149 /nfs/dbraw/zinc/10/41/49/398104149.db2.gz LDXZFACRBXCLIJ-ISALQUGTSA-N 0 3 235.327 2.931 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc2c(c1)OCCO2 ZINC000384793021 398109042 /nfs/dbraw/zinc/10/90/42/398109042.db2.gz VXRHHUZFUOWHSP-UFFNRZRYSA-N 0 3 233.311 2.685 20 0 BFADHN CC(C)OCCN1CCC2(CC2(F)F)CC1 ZINC000649774228 398097245 /nfs/dbraw/zinc/09/72/45/398097245.db2.gz YRCWRQBHBZPCBR-UHFFFAOYSA-N 0 3 233.302 2.533 20 0 BFADHN Cn1cccc1[C@H]1CCCCN1C[C@H]1CCCO1 ZINC000245411772 398115800 /nfs/dbraw/zinc/11/58/00/398115800.db2.gz YKCHPALSMBUPNP-UKRRQHHQSA-N 0 3 248.370 2.731 20 0 BFADHN CC(C)n1nccc1CNC1(C2CCC2)CC1 ZINC000649811180 398117749 /nfs/dbraw/zinc/11/77/49/398117749.db2.gz ILLGPEBDYVGZJP-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN COc1ncccc1CN1CCC(C(C)C)CC1 ZINC000193360400 398110524 /nfs/dbraw/zinc/11/05/24/398110524.db2.gz XVRGDANJUMMRHX-UHFFFAOYSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cn[nH]c1CNc1ccc(C2CCC2)cn1 ZINC000293188377 398114316 /nfs/dbraw/zinc/11/43/16/398114316.db2.gz WZIXQEWRQUYCTA-UHFFFAOYSA-N 0 3 242.326 2.993 20 0 BFADHN C[C@@H]1CN(CCc2ccc3c(c2)CCO3)[C@H]1C ZINC000293188537 398114449 /nfs/dbraw/zinc/11/44/49/398114449.db2.gz XBDFYXHZURQMAU-NEPJUHHUSA-N 0 3 231.339 2.504 20 0 BFADHN c1c(CNC2(C3CCC3)CC2)nc2ccccn12 ZINC000649807629 398114501 /nfs/dbraw/zinc/11/45/01/398114501.db2.gz ZZDKKZPNTYEARZ-UHFFFAOYSA-N 0 3 241.338 2.757 20 0 BFADHN CC[C@](C)(O)CN1CC(C)(C)[C@@H]1c1ccncc1 ZINC000644855249 398124658 /nfs/dbraw/zinc/12/46/58/398124658.db2.gz ZJBBDPUIIKBYSS-ZFWWWQNUSA-N 0 3 248.370 2.626 20 0 BFADHN CC[C@](C)(O)CN1CC(C)(C)[C@H]1c1ccncc1 ZINC000644855247 398124780 /nfs/dbraw/zinc/12/47/80/398124780.db2.gz ZJBBDPUIIKBYSS-HIFRSBDPSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@H]1CN(CC2=CCCC2)C2(CCC2)CO1 ZINC000649820953 398125582 /nfs/dbraw/zinc/12/55/82/398125582.db2.gz STTUHBPGIDUSHQ-GFCCVEGCSA-N 0 3 221.344 2.740 20 0 BFADHN CO[C@H](C)CCNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000263846565 398126552 /nfs/dbraw/zinc/12/65/52/398126552.db2.gz ZQFWVMRWJOGUEK-NQBHXWOUSA-N 0 3 237.343 2.918 20 0 BFADHN CO[C@H](C)CCNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000263846563 398126659 /nfs/dbraw/zinc/12/66/59/398126659.db2.gz ZQFWVMRWJOGUEK-DMDPSCGWSA-N 0 3 237.343 2.918 20 0 BFADHN CS[C@H]1CCCCN(Cc2conc2C)C1 ZINC000294464364 398126830 /nfs/dbraw/zinc/12/68/30/398126830.db2.gz ZBFCHZFNRANGOP-LBPRGKRZSA-N 0 3 240.372 2.701 20 0 BFADHN C[C@@H]1CN([C@H]2C=CCCC2)C2(CCC2)CO1 ZINC000649824556 398126920 /nfs/dbraw/zinc/12/69/20/398126920.db2.gz GCMLIVMLZUNFAG-OLZOCXBDSA-N 0 3 221.344 2.739 20 0 BFADHN C[C@H]1CN(CCc2ccccc2)C2(CCC2)CO1 ZINC000649823121 398126986 /nfs/dbraw/zinc/12/69/86/398126986.db2.gz YXIHDJFVICVDLT-AWEZNQCLSA-N 0 3 245.366 2.873 20 0 BFADHN CCN(Cc1ccnc(OC)n1)C1CCCCC1 ZINC000294596109 398128374 /nfs/dbraw/zinc/12/83/74/398128374.db2.gz SYJVHQOOYDXIKL-UHFFFAOYSA-N 0 3 249.358 2.640 20 0 BFADHN Cc1cc(CN2CCC[C@H](O)[C@@H]2C)c(C)s1 ZINC000295049307 398131561 /nfs/dbraw/zinc/13/15/61/398131561.db2.gz PHEDAHFULUXKNB-GWCFXTLKSA-N 0 3 239.384 2.710 20 0 BFADHN CC(C)CC[C@@H](C)N[C@@H](C)c1cnccn1 ZINC000070024691 398123242 /nfs/dbraw/zinc/12/32/42/398123242.db2.gz VRILGQPHEIPMAB-NEPJUHHUSA-N 0 3 221.348 2.952 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@H]1C)c1cnccn1 ZINC000070024554 398123247 /nfs/dbraw/zinc/12/32/47/398123247.db2.gz AJGLTDJGHLPWMX-GRYCIOLGSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@@H]1C)c1cnccn1 ZINC000070024557 398123298 /nfs/dbraw/zinc/12/32/98/398123298.db2.gz AJGLTDJGHLPWMX-SDDRHHMPSA-N 0 3 219.332 2.706 20 0 BFADHN CCOCCN1CCc2ccccc2[C@H](C)C1 ZINC000649832962 398132098 /nfs/dbraw/zinc/13/20/98/398132098.db2.gz AMLJFBZQIGKQGZ-CYBMUJFWSA-N 0 3 233.355 2.685 20 0 BFADHN Cc1cccc(CN[C@H](C)[C@@H]2C[C@@H]2C)n1 ZINC000321019471 398132252 /nfs/dbraw/zinc/13/22/52/398132252.db2.gz BEAFMWRGBZBXGS-UFGOTCBOSA-N 0 3 204.317 2.524 20 0 BFADHN C[C@@H]1CN(Cc2ccno2)CCc2ccccc21 ZINC000649833004 398132266 /nfs/dbraw/zinc/13/22/66/398132266.db2.gz BIVDOVPPXHFOIM-GFCCVEGCSA-N 0 3 242.322 2.836 20 0 BFADHN CO[C@@H](C)CN1CCc2ccccc2[C@H](C)C1 ZINC000649835307 398133886 /nfs/dbraw/zinc/13/38/86/398133886.db2.gz FXDXIOHNEKALIW-OLZOCXBDSA-N 0 3 233.355 2.683 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)o1 ZINC000398110548 322864417 /nfs/dbraw/zinc/86/44/17/322864417.db2.gz RCGNHOLRKOFIFI-OUJBWJOFSA-N 0 3 222.332 2.897 20 0 BFADHN COCC(C)(C)N(C)Cc1ccc(C)s1 ZINC000292726737 174078079 /nfs/dbraw/zinc/07/80/79/174078079.db2.gz FWFTYWMXPNPJHQ-UHFFFAOYSA-N 0 3 227.373 2.913 20 0 BFADHN CC(C)OCCCN[C@H](C)c1ccoc1 ZINC000087289641 322876091 /nfs/dbraw/zinc/87/60/91/322876091.db2.gz IRKYADGUUIKCSZ-LLVKDONJSA-N 0 3 211.305 2.745 20 0 BFADHN C[C@H](CO)CN1CCC[C@@H]1c1cccc(F)c1 ZINC000120676295 490067409 /nfs/dbraw/zinc/06/74/09/490067409.db2.gz NNZYHNNCLWYGKG-SMDDNHRTSA-N 0 3 237.318 2.591 20 0 BFADHN Cc1nc(C)c(CN2CC(C)=C[C@H](C)C2)o1 ZINC000685580626 487558253 /nfs/dbraw/zinc/55/82/53/487558253.db2.gz FVVFSXZFGPEYRB-VIFPVBQESA-N 0 3 220.316 2.689 20 0 BFADHN C[C@@]1(O)C[C@H](NCc2ccccc2C2CC2)C1 ZINC000669574080 487559810 /nfs/dbraw/zinc/55/98/10/487559810.db2.gz SEQHVNBWPUQJRJ-OTVXOJSOSA-N 0 3 231.339 2.567 20 0 BFADHN Cc1c[nH]nc1CNCCCCc1ccccc1 ZINC000685612630 487563590 /nfs/dbraw/zinc/56/35/90/487563590.db2.gz IPBZEVJCTJKJJS-UHFFFAOYSA-N 0 3 243.354 2.831 20 0 BFADHN Cc1cn[nH]c1CN[C@H]1CCc2c1cccc2C ZINC000685615221 487564552 /nfs/dbraw/zinc/56/45/52/487564552.db2.gz DHHYGCBSLUVJSW-AWEZNQCLSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1c[nH]nc1CNC1(C)CCC(F)(F)CC1 ZINC000685620277 487565723 /nfs/dbraw/zinc/56/57/23/487565723.db2.gz UNHZTJOWWZLLIJ-UHFFFAOYSA-N 0 3 243.301 2.776 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccc(C)c(C)n2)CCO1 ZINC000313780073 487566841 /nfs/dbraw/zinc/56/68/41/487566841.db2.gz AARCYMBMPTVTAS-ZFWWWQNUSA-N 0 3 248.370 2.746 20 0 BFADHN c1cn(-c2csc(CN3CC=CCC3)c2)cn1 ZINC000680335172 487567279 /nfs/dbraw/zinc/56/72/79/487567279.db2.gz SAWTYAGXSUCQGO-UHFFFAOYSA-N 0 3 245.351 2.696 20 0 BFADHN c1cc(CN2CC(C3CCOCC3)C2)cs1 ZINC000669715310 487567630 /nfs/dbraw/zinc/56/76/30/487567630.db2.gz CUZXKFPEZLXAKV-UHFFFAOYSA-N 0 3 237.368 2.607 20 0 BFADHN C[C@@H](c1ccncc1)N1CCSC(C)(C)C1 ZINC000674376064 487568080 /nfs/dbraw/zinc/56/80/80/487568080.db2.gz WFVBRNJUIQPFPP-NSHDSACASA-N 0 3 236.384 2.970 20 0 BFADHN CC[C@H](CN[C@H](C)c1ccc(C)nc1C)OC ZINC000685664572 487571789 /nfs/dbraw/zinc/57/17/89/487571789.db2.gz PMUMVJGDSIZYKI-DGCLKSJQSA-N 0 3 236.359 2.774 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1ncccc1N(C)C ZINC000685660649 487571825 /nfs/dbraw/zinc/57/18/25/487571825.db2.gz BWQHULVNXYZGTP-QWHCGFSZSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@H](N[C@H]1[C@@H]2CCC[C@@H]21)c1ccccn1 ZINC000308888226 487571950 /nfs/dbraw/zinc/57/19/50/487571950.db2.gz WOXFAWOJHKWONG-MEWQQHAOSA-N 0 3 202.301 2.531 20 0 BFADHN CC(C)OCCCCN[C@H](C)c1cscn1 ZINC000134826698 167207403 /nfs/dbraw/zinc/20/74/03/167207403.db2.gz NUFHAZKUSUONRE-LLVKDONJSA-N 0 3 242.388 2.999 20 0 BFADHN C[C@@H](O)[C@H](NCc1ccccc1)c1ccccc1 ZINC000169528435 487576709 /nfs/dbraw/zinc/57/67/09/487576709.db2.gz QXHBVBACWVEXFG-CJNGLKHVSA-N 0 3 241.334 2.898 20 0 BFADHN C[C@H]1CN(Cc2noc3c2CCCC3)C[C@@H]1C ZINC000674458481 487579682 /nfs/dbraw/zinc/57/96/82/487579682.db2.gz GOVJBGZTZDYRBQ-QWRGUYRKSA-N 0 3 234.343 2.641 20 0 BFADHN CC(C)OCCN1CCC[C@H]1c1ccc[nH]1 ZINC000125366558 167231392 /nfs/dbraw/zinc/23/13/92/167231392.db2.gz BPHXGGMIMUXULK-ZDUSSCGKSA-N 0 3 222.332 2.577 20 0 BFADHN C[C@@H]1CCN(CC[C@H]2CCCCO2)C[C@H]1F ZINC000680387876 487582787 /nfs/dbraw/zinc/58/27/87/487582787.db2.gz GCBISNCVRXSLCO-JHJVBQTASA-N 0 3 229.339 2.626 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cccnc1)C1CCCC1 ZINC000658313738 487583893 /nfs/dbraw/zinc/58/38/93/487583893.db2.gz FLKOTARSOMEVLH-DOMZBBRYSA-N 0 3 248.370 2.937 20 0 BFADHN CN(Cc1cccn1C)C[C@H]1CC1(C)C ZINC000668815385 487584202 /nfs/dbraw/zinc/58/42/02/487584202.db2.gz MDGPFJADHGOVHD-LLVKDONJSA-N 0 3 206.333 2.503 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cccnc1)C1CCCC1 ZINC000658313742 487584089 /nfs/dbraw/zinc/58/40/89/487584089.db2.gz FLKOTARSOMEVLH-SWLSCSKDSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1coc(CN2CCCSCC2)c1 ZINC000894423047 583455995 /nfs/dbraw/zinc/45/59/95/583455995.db2.gz NNIIAPCZEFJCFC-UHFFFAOYSA-N 0 3 211.330 2.527 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2CC2(C)C)cc1O ZINC000668815892 487584939 /nfs/dbraw/zinc/58/49/39/487584939.db2.gz XZIFTFYMFROHJD-LBPRGKRZSA-N 0 3 249.354 2.879 20 0 BFADHN Cc1cccn2cc(CN3CC[C@@H](C)[C@@H]3C)nc12 ZINC000674499749 487585505 /nfs/dbraw/zinc/58/55/05/487585505.db2.gz ZBHRVBTXUWAQTD-YPMHNXCESA-N 0 3 243.354 2.873 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H]1CS[C@@H](C)C1 ZINC000086936412 487587422 /nfs/dbraw/zinc/58/74/22/487587422.db2.gz SQRZYMBTUHYNHA-DKCNOQQISA-N 0 3 240.372 2.836 20 0 BFADHN CC(C)OC[C@@H](C)NCc1cccc(F)c1 ZINC000309322763 167257488 /nfs/dbraw/zinc/25/74/88/167257488.db2.gz FNEIHQLGFHCVJR-LLVKDONJSA-N 0 3 225.307 2.729 20 0 BFADHN Cc1cccn2cc(CN3CC[C@@H](C)[C@H]3C)nc12 ZINC000674499747 487588825 /nfs/dbraw/zinc/58/88/25/487588825.db2.gz ZBHRVBTXUWAQTD-DGCLKSJQSA-N 0 3 243.354 2.873 20 0 BFADHN CN(CCCCCO)Cc1cc2ccccc2[nH]1 ZINC000674505583 487589433 /nfs/dbraw/zinc/58/94/33/487589433.db2.gz OEDPXLBXDFUCQZ-UHFFFAOYSA-N 0 3 246.354 2.762 20 0 BFADHN CN(Cc1cc2ccccc2[nH]1)[C@@H]1CCCOC1 ZINC000674505432 487590062 /nfs/dbraw/zinc/59/00/62/487590062.db2.gz HALVBLVVFCRNJP-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN CN(Cc1cc2ccccc2[nH]1)[C@H]1CCCOC1 ZINC000674505431 487590126 /nfs/dbraw/zinc/59/01/26/487590126.db2.gz HALVBLVVFCRNJP-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cc(C)nc(C)n1 ZINC000671302939 487596675 /nfs/dbraw/zinc/59/66/75/487596675.db2.gz KUOPGBALGWXDRG-JSGCOSHPSA-N 0 3 233.359 2.762 20 0 BFADHN Cc1ccc([C@H](N[C@H](C)CCO)C2CCC2)o1 ZINC000680463862 487599782 /nfs/dbraw/zinc/59/97/82/487599782.db2.gz IBZKJTMJVWHYIL-QMTHXVAHSA-N 0 3 237.343 2.790 20 0 BFADHN CC[C@@H](NCc1cccc(C)n1)[C@@H]1CCCCO1 ZINC000653873724 487600460 /nfs/dbraw/zinc/60/04/60/487600460.db2.gz VXVDZRJFCMWMHE-CABCVRRESA-N 0 3 248.370 2.827 20 0 BFADHN C[C@]1(C(F)F)CN(C[C@H]2CC=CCC2)CCO1 ZINC000668823653 487604814 /nfs/dbraw/zinc/60/48/14/487604814.db2.gz AUZSWYBNZQGSQQ-WCQYABFASA-N 0 3 245.313 2.699 20 0 BFADHN CC(C)SCCN1CCS[C@H](C)C1 ZINC000336644746 167325026 /nfs/dbraw/zinc/32/50/26/167325026.db2.gz RLEVDWDAAGARPR-SNVBAGLBSA-N 0 3 219.419 2.565 20 0 BFADHN CCC[C@H](NC(=O)[C@@H](C)NCC)c1ccccc1 ZINC000674715436 487608266 /nfs/dbraw/zinc/60/82/66/487608266.db2.gz YLCRYWNXDIBRMI-OCCSQVGLSA-N 0 3 248.370 2.642 20 0 BFADHN CC(C)SCCN1CCSC[C@H]1C ZINC000336645074 167327005 /nfs/dbraw/zinc/32/70/05/167327005.db2.gz UPBCUGZHVBIVMD-SNVBAGLBSA-N 0 3 219.419 2.565 20 0 BFADHN CCC[C@H](NCc1cc(OC)no1)C(C)(C)C ZINC000660692615 409555164 /nfs/dbraw/zinc/55/51/64/409555164.db2.gz QXDMRZQACCTVCB-NSHDSACASA-N 0 3 240.347 2.988 20 0 BFADHN CC(C)n1cncc1CNC1(C2CCC2)CC1 ZINC000651958920 409699475 /nfs/dbraw/zinc/69/94/75/409699475.db2.gz XRRKPNXIATXTQF-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cc(CN2[C@@H](C)CCC2(C)C)nc(C)n1 ZINC000660753589 409709665 /nfs/dbraw/zinc/70/96/65/409709665.db2.gz ANTOFCOBYLZVFZ-NSHDSACASA-N 0 3 233.359 2.856 20 0 BFADHN COCCN[C@]1(c2ccccc2)CC1(C)C ZINC000662340147 409650113 /nfs/dbraw/zinc/65/01/13/409650113.db2.gz IJXJQATVYPGVRQ-AWEZNQCLSA-N 0 3 219.328 2.548 20 0 BFADHN C[C@@H](O)CCN[C@]1(c2ccccc2)CC1(C)C ZINC000662340127 409651473 /nfs/dbraw/zinc/65/14/73/409651473.db2.gz IHILHPTUQIWPHV-DOMZBBRYSA-N 0 3 233.355 2.672 20 0 BFADHN CN(Cc1cnc2ccccn12)C[C@@H]1CC1(C)C ZINC000662346500 409660318 /nfs/dbraw/zinc/66/03/18/409660318.db2.gz WUGBQNBVRYQAPA-LBPRGKRZSA-N 0 3 243.354 2.812 20 0 BFADHN COc1cncc(CN(C)C[C@@H]2CC2(C)C)c1 ZINC000662345468 409660609 /nfs/dbraw/zinc/66/06/09/409660609.db2.gz YWZHCEGXAYTRAV-LBPRGKRZSA-N 0 3 234.343 2.568 20 0 BFADHN CN(Cc1cn2ccccc2n1)C[C@H]1CC1(C)C ZINC000662347535 409663913 /nfs/dbraw/zinc/66/39/13/409663913.db2.gz LNKOMHCETWCWMF-GFCCVEGCSA-N 0 3 243.354 2.812 20 0 BFADHN CC[C@H](NC)C(=O)Nc1ccccc1C(C)C ZINC000662367313 409674878 /nfs/dbraw/zinc/67/48/78/409674878.db2.gz HCBOWYURQSUBJN-LBPRGKRZSA-N 0 3 234.343 2.747 20 0 BFADHN CCC(CC)CN1CCO[C@](C)(C(F)F)C1 ZINC000662368074 409675754 /nfs/dbraw/zinc/67/57/54/409675754.db2.gz WAUHZZQXTNVMGP-LBPRGKRZSA-N 0 3 235.318 2.779 20 0 BFADHN c1ncc(CNC2(C3CCC3)CC2)s1 ZINC000651959254 409730103 /nfs/dbraw/zinc/73/01/03/409730103.db2.gz FUYIDZIHYYVELV-UHFFFAOYSA-N 0 3 208.330 2.565 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1ccn(C)n1)CC(F)F ZINC000660780687 409738888 /nfs/dbraw/zinc/73/88/88/409738888.db2.gz ONXROSNNFVOYHA-VHSXEESVSA-N 0 3 245.317 2.752 20 0 BFADHN CC[C@@H](C)N(CC)C(=O)c1cccc2c1CNC2 ZINC000662585599 409772342 /nfs/dbraw/zinc/77/23/42/409772342.db2.gz AIWOWEWOQAOKSG-LLVKDONJSA-N 0 3 246.354 2.550 20 0 BFADHN CC(C)N[C@H](Cc1ccccc1)c1nccn1C ZINC000651961719 409776705 /nfs/dbraw/zinc/77/67/05/409776705.db2.gz JWQIKUSTRASACI-CQSZACIVSA-N 0 3 243.354 2.702 20 0 BFADHN CC(C)N[C@@H](Cc1ccccc1)c1nccn1C ZINC000651961718 409776910 /nfs/dbraw/zinc/77/69/10/409776910.db2.gz JWQIKUSTRASACI-AWEZNQCLSA-N 0 3 243.354 2.702 20 0 BFADHN Cc1nc(C)c([C@@H](C)NC[C@H]2CCCO2)s1 ZINC000040518782 409825252 /nfs/dbraw/zinc/82/52/52/409825252.db2.gz BHUYUZUTMNEISO-LDYMZIIASA-N 0 3 240.372 2.590 20 0 BFADHN Cc1cccc(CCN2C[C@@H]3CCCC[C@@H]32)n1 ZINC000660933812 409978521 /nfs/dbraw/zinc/97/85/21/409978521.db2.gz FYWWHVZZGXQRGT-ZFWWWQNUSA-N 0 3 230.355 2.807 20 0 BFADHN CN(C)c1ccc(CN2C[C@@H]3CCCC[C@@H]32)cn1 ZINC000660934152 409978928 /nfs/dbraw/zinc/97/89/28/409978928.db2.gz LUVKLUBGTMACBE-KBPBESRZSA-N 0 3 245.370 2.522 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1ccc(F)cn1 ZINC000090725942 410008557 /nfs/dbraw/zinc/00/85/57/410008557.db2.gz GEMHJXOXJGXBKC-RKDXNWHRSA-N 0 3 228.336 2.623 20 0 BFADHN Cc1nnsc1CNCC(C)(C)C(C)C ZINC000389581643 410010069 /nfs/dbraw/zinc/01/00/69/410010069.db2.gz HGZILHCYUVTTBD-UHFFFAOYSA-N 0 3 227.377 2.618 20 0 BFADHN Cc1cc(Cl)ccc1CNCCOCCF ZINC000663340601 410147154 /nfs/dbraw/zinc/14/71/54/410147154.db2.gz HAMDWSCHUHEOKU-UHFFFAOYSA-N 0 3 245.725 2.724 20 0 BFADHN COc1ccc(CN[C@@H](C)COCC2CC2)cc1 ZINC000651981217 410119563 /nfs/dbraw/zinc/11/95/63/410119563.db2.gz CFIJBWNQVMMULI-LBPRGKRZSA-N 0 3 249.354 2.600 20 0 BFADHN COc1cccc(CN[C@H](C)COCC2CC2)c1 ZINC000651981381 410120535 /nfs/dbraw/zinc/12/05/35/410120535.db2.gz KIFQEJPSMNJQDK-GFCCVEGCSA-N 0 3 249.354 2.600 20 0 BFADHN CC[C@@H](C)N(CC)Cc1cnccc1OC ZINC000661103156 410215246 /nfs/dbraw/zinc/21/52/46/410215246.db2.gz DYXLSBYWQGFBFB-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN CC[C@H](C)N(CC)CC(=O)NC1CCCCC1 ZINC000661105150 410241523 /nfs/dbraw/zinc/24/15/23/410241523.db2.gz GAYHYWSBSIKHMJ-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CC[C@@H](C)N(CC)Cc1n[nH]c(C(C)(C)C)n1 ZINC000661105411 410243641 /nfs/dbraw/zinc/24/36/41/410243641.db2.gz XCXCRAWTWMPYAB-SNVBAGLBSA-N 0 3 238.379 2.723 20 0 BFADHN CC[C@H](C)N(CC)Cc1cccc(OC)n1 ZINC000661105566 410245125 /nfs/dbraw/zinc/24/51/25/410245125.db2.gz FIFQXAXVDZMVOJ-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1COC(C)(C)C1 ZINC000396571448 487614602 /nfs/dbraw/zinc/61/46/02/487614602.db2.gz CXVPNSRTAINUCI-ZDUSSCGKSA-N 0 3 237.318 2.791 20 0 BFADHN CCCCN1CCC[C@@H]1c1cc(C)on1 ZINC000078449512 410280167 /nfs/dbraw/zinc/28/01/67/410280167.db2.gz ZWWBHDWKBKMMLE-GFCCVEGCSA-N 0 3 208.305 2.920 20 0 BFADHN c1coc(CN2CCN(CC3CCCC3)CC2)c1 ZINC000078450263 410280232 /nfs/dbraw/zinc/28/02/32/410280232.db2.gz SAIGJQJNDFTVPC-UHFFFAOYSA-N 0 3 248.370 2.587 20 0 BFADHN CC1(CN2CCO[C@@](C)(C3CC3)C2)CCC1 ZINC000661173638 410320508 /nfs/dbraw/zinc/32/05/08/410320508.db2.gz ZNUOFOBQRXRODT-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN CCN(CCC(F)(F)F)C[C@H]1C[C@H]1C ZINC000683111108 487615387 /nfs/dbraw/zinc/61/53/87/487615387.db2.gz QKEUXSNEIVOLLR-RKDXNWHRSA-N 0 3 209.255 2.917 20 0 BFADHN CCN(CCC(F)(F)F)C[C@@H]1C[C@@H]1C ZINC000683111107 487615513 /nfs/dbraw/zinc/61/55/13/487615513.db2.gz QKEUXSNEIVOLLR-IUCAKERBSA-N 0 3 209.255 2.917 20 0 BFADHN Cc1ccoc1CNCCN1CCCC1(C)C ZINC000449782629 410394673 /nfs/dbraw/zinc/39/46/73/410394673.db2.gz LFUSTOUGRTYZQW-UHFFFAOYSA-N 0 3 236.359 2.552 20 0 BFADHN C[C@H](N[C@H]1CNc2ccccc2C1)c1ccco1 ZINC000650751911 410394927 /nfs/dbraw/zinc/39/49/27/410394927.db2.gz FCPQJXNTNJIPJA-WCQYABFASA-N 0 3 242.322 2.967 20 0 BFADHN C(=C\c1ccccc1)\CN1CCOCC2(CC2)C1 ZINC000360097416 166227906 /nfs/dbraw/zinc/22/79/06/166227906.db2.gz JOWXFFRHYUAWCR-DAXSKMNVSA-N 0 3 243.350 2.812 20 0 BFADHN CCc1ccc(CN[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)o1 ZINC000150825395 410571608 /nfs/dbraw/zinc/57/16/08/410571608.db2.gz VATFYVOPTBSIFW-MJBXVCDLSA-N 0 3 249.354 2.745 20 0 BFADHN CC[C@@H](O)CN1CCc2ccc(C(C)C)cc2C1 ZINC000661375346 410590179 /nfs/dbraw/zinc/59/01/79/410590179.db2.gz XYGXZBVSAMVXCR-MRXNPFEDSA-N 0 3 247.382 2.939 20 0 BFADHN CCc1cc(N2C[C@]3(C)COC[C@]3(C)C2)ccn1 ZINC000664289893 410551881 /nfs/dbraw/zinc/55/18/81/410551881.db2.gz SGTDWOFTARXQPZ-GASCZTMLSA-N 0 3 246.354 2.507 20 0 BFADHN CC(C)C(NCc1nn(C)cc1Cl)C(C)C ZINC000393374892 410679417 /nfs/dbraw/zinc/67/94/17/410679417.db2.gz RJLUSOKMWYPUOD-UHFFFAOYSA-N 0 3 243.782 2.844 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H](C3CCC3)C2)o1 ZINC000665290107 410776131 /nfs/dbraw/zinc/77/61/31/410776131.db2.gz JNKQFBVXYOMLED-ZDUSSCGKSA-N 0 3 234.343 2.913 20 0 BFADHN C[C@H](NCc1ccon1)[C@H]1CC2CCC1CC2 ZINC000394247095 410781176 /nfs/dbraw/zinc/78/11/76/410781176.db2.gz XLCQUZIFWLVOFD-DLOFLVKXSA-N 0 3 234.343 2.979 20 0 BFADHN C[C@H](CF)NC/C=C\c1ccc(F)cc1 ZINC000308880009 410785373 /nfs/dbraw/zinc/78/53/73/410785373.db2.gz UBUSHLCKDCRUMR-MXQLGCADSA-N 0 3 211.255 2.787 20 0 BFADHN CC(C)CC[C@@H](C)NCc1ccccn1 ZINC000019957956 166894846 /nfs/dbraw/zinc/89/48/46/166894846.db2.gz KZCQCZVBAOGZTF-GFCCVEGCSA-N 0 3 206.333 2.996 20 0 BFADHN c1coc([C@@H]2N(CC3CC3)CC23CCOCC3)c1 ZINC000661560605 410821644 /nfs/dbraw/zinc/82/16/44/410821644.db2.gz HOWNRQSWTWUESG-AWEZNQCLSA-N 0 3 247.338 2.843 20 0 BFADHN CC(C)CNCc1ccc(Br)cn1 ZINC000061862816 167008151 /nfs/dbraw/zinc/00/81/51/167008151.db2.gz XDWXRKOHCCQUJF-UHFFFAOYSA-N 0 3 243.148 2.590 20 0 BFADHN CC(C)CNCc1nccn1-c1ccccc1 ZINC000190670687 167010145 /nfs/dbraw/zinc/01/01/45/167010145.db2.gz ZLZKPLQOSGMLOZ-UHFFFAOYSA-N 0 3 229.327 2.618 20 0 BFADHN CCCNCc1cnn(-c2ccccc2C)c1 ZINC000040932314 410898385 /nfs/dbraw/zinc/89/83/85/410898385.db2.gz MHJDTOMLMRMCCC-UHFFFAOYSA-N 0 3 229.327 2.680 20 0 BFADHN CC(C)N1Cc2ccccc2O[C@@H](C)C1 ZINC000367772977 167035588 /nfs/dbraw/zinc/03/55/88/167035588.db2.gz RJCIMXLMXIETHI-NSHDSACASA-N 0 3 205.301 2.678 20 0 BFADHN CC(C)NCc1cnc(-c2ccco2)s1 ZINC000040997152 167120864 /nfs/dbraw/zinc/12/08/64/167120864.db2.gz FGIGNMMCCZOWLO-UHFFFAOYSA-N 0 3 222.313 2.901 20 0 BFADHN CC(C)NCc1nc(-c2ccccc2)c[nH]1 ZINC000037477457 167121088 /nfs/dbraw/zinc/12/10/88/167121088.db2.gz DVQUQVYDETZNJX-UHFFFAOYSA-N 0 3 215.300 2.575 20 0 BFADHN CC(C)NCc1cnn(-c2ccc(F)cc2)c1 ZINC000040932305 167121154 /nfs/dbraw/zinc/12/11/54/167121154.db2.gz YKXKKNGKKMUFGO-UHFFFAOYSA-N 0 3 233.290 2.509 20 0 BFADHN CC(C)N[C@@H]1COc2c1cccc2Cl ZINC000035652830 167136576 /nfs/dbraw/zinc/13/65/76/167136576.db2.gz PUECKDCYRMDCPB-SNVBAGLBSA-N 0 3 211.692 2.772 20 0 BFADHN CC(C)N[C@@H]1c2ccc(F)cc2O[C@H]1C ZINC000334461780 167137082 /nfs/dbraw/zinc/13/70/82/167137082.db2.gz PKGAYOKHHOKPFA-UFBFGSQYSA-N 0 3 209.264 2.646 20 0 BFADHN CC(C)N[C@H](C)c1nc2c(s1)CCC2 ZINC000041061731 167138164 /nfs/dbraw/zinc/13/81/64/167138164.db2.gz GVAZMXYNXIKFBA-MRVPVSSYSA-N 0 3 210.346 2.691 20 0 BFADHN CC(C)N[C@H]1CCOc2c(F)cccc21 ZINC000035652593 167141071 /nfs/dbraw/zinc/14/10/71/167141071.db2.gz WIUCQENCJHEFMA-NSHDSACASA-N 0 3 209.264 2.647 20 0 BFADHN Cc1cc(C)nc(N[C@H](C)Cc2cnccn2)c1 ZINC000651300927 410907699 /nfs/dbraw/zinc/90/76/99/410907699.db2.gz NBOABZILVQOXRE-GFCCVEGCSA-N 0 3 242.326 2.532 20 0 BFADHN CC(C)OCCN1C[C@H](C)C[C@@H]1c1cccnc1 ZINC000368418839 167237411 /nfs/dbraw/zinc/23/74/11/167237411.db2.gz GZXYMAYUGAGOND-UKRRQHHQSA-N 0 3 248.370 2.890 20 0 BFADHN CC(C)OCCNCc1cccc(Cl)c1 ZINC000049773030 167240972 /nfs/dbraw/zinc/24/09/72/167240972.db2.gz XMDVRIMPKXAGFB-UHFFFAOYSA-N 0 3 227.735 2.855 20 0 BFADHN CC(C)OCCN[C@H](C)c1ccc2c(c1)COC2 ZINC000273368052 167244255 /nfs/dbraw/zinc/24/42/55/167244255.db2.gz AFMGUQZALABELH-GFCCVEGCSA-N 0 3 249.354 2.792 20 0 BFADHN CC(C)O[C@H]1C[C@@H](NCc2ccoc2)C1(C)C ZINC000185203777 167282262 /nfs/dbraw/zinc/28/22/62/167282262.db2.gz VQYMORSNUROAGN-OLZOCXBDSA-N 0 3 237.343 2.961 20 0 BFADHN CC(C)O[C@H]1C[C@H](NCc2ccco2)C1(C)C ZINC000185186734 167282997 /nfs/dbraw/zinc/28/29/97/167282997.db2.gz VQJSRAGKHVZPIV-STQMWFEESA-N 0 3 237.343 2.961 20 0 BFADHN CC(C)Oc1ccc(CN[C@@H]2CCO[C@@H]2C)cc1 ZINC000120078526 167293935 /nfs/dbraw/zinc/29/39/35/167293935.db2.gz SEIJMCOPBAWGTR-IUODEOHRSA-N 0 3 249.354 2.741 20 0 BFADHN CC(C)Oc1cccc(CN[C@@H]2CCO[C@H]2C)c1 ZINC000135303732 167307052 /nfs/dbraw/zinc/30/70/52/167307052.db2.gz OQKMROKGQZLCKV-SWLSCSKDSA-N 0 3 249.354 2.741 20 0 BFADHN CC(C)SCCNCc1cnn(C(C)C)c1 ZINC000290238553 167332853 /nfs/dbraw/zinc/33/28/53/167332853.db2.gz ZFKXHXXYKPYKOQ-UHFFFAOYSA-N 0 3 241.404 2.695 20 0 BFADHN CC(C)[C@@H](C)N[C@H](c1nccn1C)C1CC1 ZINC000336699613 167386020 /nfs/dbraw/zinc/38/60/20/167386020.db2.gz ZVACWGCQQWFISR-PWSUYJOCSA-N 0 3 221.348 2.505 20 0 BFADHN CC(C)[C@@H](CCO)NCc1ccsc1Cl ZINC000309071643 167393820 /nfs/dbraw/zinc/39/38/20/167393820.db2.gz DVBJTPLGXNECTM-SNVBAGLBSA-N 0 3 247.791 2.898 20 0 BFADHN NCc1ccccc1OCC1CCCC1 ZINC000042010971 410955388 /nfs/dbraw/zinc/95/53/88/410955388.db2.gz INDDXIZNCSUBJJ-UHFFFAOYSA-N 0 3 205.301 2.714 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1cnc(C)s1)OC ZINC000651373049 410957788 /nfs/dbraw/zinc/95/77/88/410957788.db2.gz RSIHBMXDRPGKCW-KOLCDFICSA-N 0 3 242.388 2.917 20 0 BFADHN CC(C)[C@H]1C[C@H](CNCc2ccco2)CCO1 ZINC000352024975 167502845 /nfs/dbraw/zinc/50/28/45/167502845.db2.gz BBCFQOODXXWMQC-TZMCWYRMSA-N 0 3 237.343 2.820 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1cnc(C)s1)OC ZINC000651420167 411001121 /nfs/dbraw/zinc/00/11/21/411001121.db2.gz BALLKYGRBAZGEI-JOYOIKCWSA-N 0 3 242.388 2.917 20 0 BFADHN Cc1ccc2nccc(N(C)[C@@H](C)CCO)c2c1 ZINC000651471722 411053692 /nfs/dbraw/zinc/05/36/92/411053692.db2.gz BSRNGUCLKSWZEV-LBPRGKRZSA-N 0 3 244.338 2.750 20 0 BFADHN CC(=O)c1ccccc1OCCN(C)C(C)(C)C ZINC000382119286 411058316 /nfs/dbraw/zinc/05/83/16/411058316.db2.gz LWUGJHHAWZNAMN-UHFFFAOYSA-N 0 3 249.354 2.998 20 0 BFADHN CCc1ccc(CN2C[C@@H](O)CC[C@@H]2C)s1 ZINC000399258322 411028666 /nfs/dbraw/zinc/02/86/66/411028666.db2.gz RYZOHTOCLAGKDS-QWRGUYRKSA-N 0 3 239.384 2.656 20 0 BFADHN CN(C)CC(C)(C)NCc1cscc1Cl ZINC000651605295 411129698 /nfs/dbraw/zinc/12/96/98/411129698.db2.gz HOUVGMJZIFTRPW-UHFFFAOYSA-N 0 3 246.807 2.831 20 0 BFADHN CCc1nc([C@@H](C)N2CC[C@](C)(CC)C2)n[nH]1 ZINC000659999541 411163454 /nfs/dbraw/zinc/16/34/54/411163454.db2.gz CFDOQTBKSDBBJP-MFKMUULPSA-N 0 3 236.363 2.550 20 0 BFADHN Cn1ccc(CNCc2cccc3c2CCC3)c1 ZINC000651729708 411139088 /nfs/dbraw/zinc/13/90/88/411139088.db2.gz OVGZMTOCFXWROU-UHFFFAOYSA-N 0 3 240.350 2.804 20 0 BFADHN C1=CCC(NCc2cc3cnccc3o2)C1 ZINC000651736319 411140210 /nfs/dbraw/zinc/14/02/10/411140210.db2.gz VKJWXNOOFBAJCB-UHFFFAOYSA-N 0 3 214.268 2.636 20 0 BFADHN COC[C@@H](CC(C)(C)C)NCc1ccccn1 ZINC000651749022 411140644 /nfs/dbraw/zinc/14/06/44/411140644.db2.gz IIIWDZPPZVPBCM-CYBMUJFWSA-N 0 3 236.359 2.622 20 0 BFADHN COC[C@H](CC(C)(C)C)N[C@@H](C)c1cn[nH]c1 ZINC000651746118 411141020 /nfs/dbraw/zinc/14/10/20/411141020.db2.gz HAUQVCYGDMHVBR-JQWIXIFHSA-N 0 3 239.363 2.512 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1cc2cnccc2o1 ZINC000651789881 411143995 /nfs/dbraw/zinc/14/39/95/411143995.db2.gz CBEJOJXBAUPSTA-NXEZZACHSA-N 0 3 216.284 2.811 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1cc2cnccc2o1 ZINC000651789882 411144110 /nfs/dbraw/zinc/14/41/10/411144110.db2.gz CBEJOJXBAUPSTA-UWVGGRQHSA-N 0 3 216.284 2.811 20 0 BFADHN COC[C@H](CC(C)(C)C)NCc1cnc(C)o1 ZINC000651829529 411146926 /nfs/dbraw/zinc/14/69/26/411146926.db2.gz FNHAQJOBRYSPAA-NSHDSACASA-N 0 3 240.347 2.524 20 0 BFADHN Cc1nocc1CN1CCC12CCCC2 ZINC000651872881 411148400 /nfs/dbraw/zinc/14/84/00/411148400.db2.gz GQPAJYBJTYTIKQ-UHFFFAOYSA-N 0 3 206.289 2.502 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC23CCCC3)n1 ZINC000651873836 411148561 /nfs/dbraw/zinc/14/85/61/411148561.db2.gz YHIQXZLFYSXOQC-UHFFFAOYSA-N 0 3 232.327 2.614 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC23CCCC3)n1 ZINC000651873836 411148562 /nfs/dbraw/zinc/14/85/62/411148562.db2.gz YHIQXZLFYSXOQC-UHFFFAOYSA-N 0 3 232.327 2.614 20 0 BFADHN Cc1ccoc1CN1CCC[C@H](OC(C)C)C1 ZINC000651898220 411149600 /nfs/dbraw/zinc/14/96/00/411149600.db2.gz XWJBGENVDIYGQC-ZDUSSCGKSA-N 0 3 237.343 2.977 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(CCO[C@@H]2CC2(F)F)C1 ZINC000659969390 411151151 /nfs/dbraw/zinc/15/11/51/411151151.db2.gz BGDMAQRRMZTDQJ-GMTAPVOTSA-N 0 3 233.302 2.531 20 0 BFADHN Fc1ccc(CN[C@@H]2CCCC23CC3)nc1 ZINC000657911473 411164548 /nfs/dbraw/zinc/16/45/48/411164548.db2.gz ZYJZANMILLYTAY-GFCCVEGCSA-N 0 3 220.291 2.643 20 0 BFADHN CCN(Cc1ccccc1OC)[C@H]1CCCOC1 ZINC000651948855 411159977 /nfs/dbraw/zinc/15/99/77/411159977.db2.gz YIYNWDGNGFEMMK-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CC(C)C[C@@H](C)NCc1cc2n(n1)CCCC2 ZINC000655751328 411160104 /nfs/dbraw/zinc/16/01/04/411160104.db2.gz AAFUSYXGUGDADW-GFCCVEGCSA-N 0 3 235.375 2.744 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C2CC3(CCC3)C2)n1 ZINC000652041584 411090382 /nfs/dbraw/zinc/09/03/82/411090382.db2.gz IUBDUFHEUOOGGI-UHFFFAOYSA-N 0 3 232.327 2.518 20 0 BFADHN C[C@@H](NCc1cn2cccc(F)c2n1)C(C)(C)C ZINC000652038708 411090608 /nfs/dbraw/zinc/09/06/08/411090608.db2.gz AFVNLEVQIWTQJE-SNVBAGLBSA-N 0 3 249.333 2.998 20 0 BFADHN CCc1cccc(F)c1CN(C)[C@@H](C)CCO ZINC000652049278 411096568 /nfs/dbraw/zinc/09/65/68/411096568.db2.gz DBKWIHQLHUIVKP-NSHDSACASA-N 0 3 239.334 2.591 20 0 BFADHN CCn1ccnc1CN[C@H]1CCC[C@]1(C)CC ZINC000652204284 411168771 /nfs/dbraw/zinc/16/87/71/411168771.db2.gz MNKSFILHCSPITJ-JSGCOSHPSA-N 0 3 235.375 2.961 20 0 BFADHN Fc1ccc(-c2nc3c(s2)CNCC3)cc1 ZINC000053335603 411172798 /nfs/dbraw/zinc/17/27/98/411172798.db2.gz STJMGDRLXLMREW-UHFFFAOYSA-N 0 3 234.299 2.595 20 0 BFADHN Cc1cnc([C@H](C)N[C@H](C)C2CCC2)cn1 ZINC000309566053 411175515 /nfs/dbraw/zinc/17/55/15/411175515.db2.gz MDQHIUMUATZUMM-MNOVXSKESA-N 0 3 219.332 2.624 20 0 BFADHN CC(C)=CCCN1CCS[C@@H]2COCC[C@H]21 ZINC000660016538 411176880 /nfs/dbraw/zinc/17/68/80/411176880.db2.gz GRXCRENRIOXIAN-CHWSQXEVSA-N 0 3 241.400 2.549 20 0 BFADHN CC(C)c1cc(CN[C@@H]2C[C@@H](C)[C@@H]2C)on1 ZINC000309597266 411179276 /nfs/dbraw/zinc/17/92/76/411179276.db2.gz WMMAKEIOMSMBBM-GBIKHYSHSA-N 0 3 222.332 2.932 20 0 BFADHN CC[C@@H](C)[C@@H](C)N(Cc1cn(C)cn1)C1CC1 ZINC000659880870 411180849 /nfs/dbraw/zinc/18/08/49/411180849.db2.gz IZHOWDDYUORWNM-VXGBXAGGSA-N 0 3 235.375 2.819 20 0 BFADHN C[C@H](Cc1ccc(Cl)cc1)NCc1ncc[nH]1 ZINC000049714933 411243347 /nfs/dbraw/zinc/24/33/47/411243347.db2.gz HYBAKEVHWFRLRA-SNVBAGLBSA-N 0 3 249.745 2.784 20 0 BFADHN C[C@H]1C[C@@H](NC2(C3CCC3)CC2)c2nccn21 ZINC000652273753 411185488 /nfs/dbraw/zinc/18/54/88/411185488.db2.gz WSDBXRTTXSHUBP-CMPLNLGQSA-N 0 3 231.343 2.811 20 0 BFADHN CCCc1ccc(CN[C@@H]2CCOC2)cc1 ZINC000309613332 411185628 /nfs/dbraw/zinc/18/56/28/411185628.db2.gz CAZQVHOJSFNDFW-CQSZACIVSA-N 0 3 219.328 2.518 20 0 BFADHN CC[C@@H](N[C@H](C)COCC1CC1)c1ccncc1 ZINC000652287034 411187539 /nfs/dbraw/zinc/18/75/39/411187539.db2.gz LZGJFPNVZBOQGK-IUODEOHRSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H](N[C@@H](C)COCC1CC1)c1ccco1 ZINC000652286074 411187590 /nfs/dbraw/zinc/18/75/90/411187590.db2.gz LWGSAHVMNKGOER-WDEREUQCSA-N 0 3 223.316 2.745 20 0 BFADHN C[C@H](COCC1CC1)N[C@H](C)c1cncs1 ZINC000652285832 411187910 /nfs/dbraw/zinc/18/79/10/411187910.db2.gz GTBOLXOHLLLTOB-NXEZZACHSA-N 0 3 240.372 2.609 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H](C)COCC2CC2)c1 ZINC000652287103 411188183 /nfs/dbraw/zinc/18/81/83/411188183.db2.gz NMRUZGOTYAJJKL-STQMWFEESA-N 0 3 248.370 2.856 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1cc2cnccc2o1 ZINC000657986315 411190599 /nfs/dbraw/zinc/19/05/99/411190599.db2.gz VKDRHHMXZCMYOW-NXEZZACHSA-N 0 3 234.299 2.513 20 0 BFADHN CC(C)=CCN1CCC[C@@H](OC2CCC2)C1 ZINC000653812382 411190665 /nfs/dbraw/zinc/19/06/65/411190665.db2.gz KFZNFMCOZMPVEY-CQSZACIVSA-N 0 3 223.360 2.986 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H]1CC13CCCC3)CCC2 ZINC000655760048 411191015 /nfs/dbraw/zinc/19/10/15/411191015.db2.gz VOMYHCCQSMKONE-VXGBXAGGSA-N 0 3 231.343 2.640 20 0 BFADHN c1cc(CN2CCC[C@@H](OC3CCC3)C2)ccn1 ZINC000653813615 411191577 /nfs/dbraw/zinc/19/15/77/411191577.db2.gz CLXPMAACMKVWCM-OAHLLOKOSA-N 0 3 246.354 2.615 20 0 BFADHN CCCC[C@H](CC)N[C@H]1C[C@@H](C)n2ncnc21 ZINC000658099229 411244587 /nfs/dbraw/zinc/24/45/87/411244587.db2.gz VRHHLQPKRRCOCE-WOPDTQHZSA-N 0 3 236.363 2.842 20 0 BFADHN C[C@H](N[C@H](CO)CCF)c1cccc(Cl)c1 ZINC000652305359 411194825 /nfs/dbraw/zinc/19/48/25/411194825.db2.gz AFJBDTSXLMGEEY-CABZTGNLSA-N 0 3 245.725 2.711 20 0 BFADHN Cc1cc(C)cc([C@H](C)N[C@H](CO)CCF)c1 ZINC000652306154 411196268 /nfs/dbraw/zinc/19/62/68/411196268.db2.gz PKHJPAIBWCLUDI-JSGCOSHPSA-N 0 3 239.334 2.675 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)n2ncnc21)C1CCCCC1 ZINC000658097827 411244954 /nfs/dbraw/zinc/24/49/54/411244954.db2.gz JOVZNFGSBRCSIP-MDZLAQPJSA-N 0 3 248.374 2.842 20 0 BFADHN CC[C@@H](NCc1ccc(C)cn1)[C@@H]1CCCCO1 ZINC000653874069 411203799 /nfs/dbraw/zinc/20/37/99/411203799.db2.gz ANDULTZRRGEHGW-CABCVRRESA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@@H](NCc1ccc(C)cn1)[C@H]1CCCCO1 ZINC000653874071 411204028 /nfs/dbraw/zinc/20/40/28/411204028.db2.gz ANDULTZRRGEHGW-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@@H](NCc1cc(C)ccn1)[C@H]1CCCCO1 ZINC000653874770 411204809 /nfs/dbraw/zinc/20/48/09/411204809.db2.gz KYUSYVRZXYTLNW-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@@H](NCc1cccnc1)[C@@H]1CCCCO1 ZINC000653876822 411206334 /nfs/dbraw/zinc/20/63/34/411206334.db2.gz INAXTLGSHFKFOB-KGLIPLIRSA-N 0 3 234.343 2.519 20 0 BFADHN CC[C@@H](NCc1cccnc1)[C@H]1CCCCO1 ZINC000653876824 411206446 /nfs/dbraw/zinc/20/64/46/411206446.db2.gz INAXTLGSHFKFOB-ZIAGYGMSSA-N 0 3 234.343 2.519 20 0 BFADHN CC[C@H](NCc1cccnc1)[C@H]1CCCCO1 ZINC000653876823 411206461 /nfs/dbraw/zinc/20/64/61/411206461.db2.gz INAXTLGSHFKFOB-UONOGXRCSA-N 0 3 234.343 2.519 20 0 BFADHN C[C@@H]1CCC[C@H]1CN[C@H]1CCCn2ccnc21 ZINC000655762697 411206537 /nfs/dbraw/zinc/20/65/37/411206537.db2.gz IAFNVNXIUGQGEM-AGIUHOORSA-N 0 3 233.359 2.744 20 0 BFADHN CC(C)C(C)(C)CNCc1cc2n(n1)CCCC2 ZINC000655763570 411207662 /nfs/dbraw/zinc/20/76/62/411207662.db2.gz QMGNWJFSCRJKIB-UHFFFAOYSA-N 0 3 249.402 2.991 20 0 BFADHN Cn1ccnc1CN1CCC[C@@H]1CC1CCCC1 ZINC000653892227 411210992 /nfs/dbraw/zinc/21/09/92/411210992.db2.gz CYFPWQZRKRYZJE-CQSZACIVSA-N 0 3 247.386 2.965 20 0 BFADHN C[C@H](O)CN(C/C=C/c1ccccc1)C1CC1 ZINC000653895905 411212792 /nfs/dbraw/zinc/21/27/92/411212792.db2.gz HIDZBLBFCHPIKI-LJLILKBBSA-N 0 3 231.339 2.545 20 0 BFADHN c1ccc(N[C@H]2CCCN3CCCC[C@@H]23)nc1 ZINC000656226475 411215171 /nfs/dbraw/zinc/21/51/71/411215171.db2.gz MUVWEIRRTYSUFT-STQMWFEESA-N 0 3 231.343 2.510 20 0 BFADHN c1ccc(N[C@H]2CCCN3CCCC[C@H]23)nc1 ZINC000656226473 411215852 /nfs/dbraw/zinc/21/58/52/411215852.db2.gz MUVWEIRRTYSUFT-QWHCGFSZSA-N 0 3 231.343 2.510 20 0 BFADHN C[C@H](O)CN(Cc1cc2ccccc2o1)C1CC1 ZINC000653899759 411215999 /nfs/dbraw/zinc/21/59/99/411215999.db2.gz HNGZCIGIOUQVLR-NSHDSACASA-N 0 3 245.322 2.778 20 0 BFADHN CC(C)n1nccc1CN[C@@H]1C=CCCC1 ZINC000309349048 167794574 /nfs/dbraw/zinc/79/45/74/167794574.db2.gz MJEZAQOLTABIMA-GFCCVEGCSA-N 0 3 219.332 2.662 20 0 BFADHN COC1(CN2CC3(CC3(F)F)C2)CCCCC1 ZINC000656265237 411220617 /nfs/dbraw/zinc/22/06/17/411220617.db2.gz FGDIBHRSISNGDQ-UHFFFAOYSA-N 0 3 245.313 2.677 20 0 BFADHN C[C@H](NC[C@@H]1CCN1C(C)(C)C)c1ccoc1 ZINC000658053922 411221766 /nfs/dbraw/zinc/22/17/66/411221766.db2.gz BYVXNWMSUZAZLY-AAEUAGOBSA-N 0 3 236.359 2.803 20 0 BFADHN C[C@H](NC[C@H]1CCN1C(C)(C)C)c1ccccn1 ZINC000658059199 411224888 /nfs/dbraw/zinc/22/48/88/411224888.db2.gz VXRYLIMNLQUJFW-QWHCGFSZSA-N 0 3 247.386 2.605 20 0 BFADHN c1cn2c(n1)[C@@H](NCCc1ccccc1)CCC2 ZINC000655683934 411224892 /nfs/dbraw/zinc/22/48/92/411224892.db2.gz JEZKZBSZAQOUKP-AWEZNQCLSA-N 0 3 241.338 2.550 20 0 BFADHN C[C@@H](NC[C@H]1CCN1C(C)(C)C)c1ccccn1 ZINC000658059197 411225482 /nfs/dbraw/zinc/22/54/82/411225482.db2.gz VXRYLIMNLQUJFW-CHWSQXEVSA-N 0 3 247.386 2.605 20 0 BFADHN C[C@@H](O)CN(C/C=C\c1ccc(F)cc1)C1CC1 ZINC000656277536 411228126 /nfs/dbraw/zinc/22/81/26/411228126.db2.gz CCMAUJLXAMCMEY-ZZKXABKFSA-N 0 3 249.329 2.684 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H]2COC(C)(C)C2)s1 ZINC000658057585 411228253 /nfs/dbraw/zinc/22/82/53/411228253.db2.gz IRCBXSJWFNYCBK-PSASIEDQSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1csc(CN[C@@H]2CC[C@@H](C)SC2)n1 ZINC000655686231 411228661 /nfs/dbraw/zinc/22/86/61/411228661.db2.gz ZROKLNPCUFEYFZ-NXEZZACHSA-N 0 3 242.413 2.825 20 0 BFADHN C[C@H]1COCCN1CCC(C)(C)C1CC1 ZINC000653712971 411229057 /nfs/dbraw/zinc/22/90/57/411229057.db2.gz YJGDPFZOLSBPKW-NSHDSACASA-N 0 3 211.349 2.533 20 0 BFADHN Cc1cnccc1CN[C@@H]1C=CCCC1 ZINC000235811674 411230618 /nfs/dbraw/zinc/23/06/18/411230618.db2.gz YPSRJEGNVRQEAC-CYBMUJFWSA-N 0 3 202.301 2.588 20 0 BFADHN CC(C)=CCCN1CCOCC12CCC2 ZINC000660115981 411236192 /nfs/dbraw/zinc/23/61/92/411236192.db2.gz FOWKFDYCOFVJOY-UHFFFAOYSA-N 0 3 209.333 2.598 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](CO)C2CCC2)oc1C ZINC000658087866 411238626 /nfs/dbraw/zinc/23/86/26/411238626.db2.gz WUECGCHVZXSJKO-GWCFXTLKSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](CO)C2CCC2)oc1C ZINC000658087873 411238925 /nfs/dbraw/zinc/23/89/25/411238925.db2.gz WUECGCHVZXSJKO-ZWNOBZJWSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@H](C)SC2)cn1 ZINC000655695096 411239558 /nfs/dbraw/zinc/23/95/58/411239558.db2.gz QIZWDBOETKEXPE-WCQYABFASA-N 0 3 236.384 2.764 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](CO)C2CCC2)c(C)o1 ZINC000658088199 411240121 /nfs/dbraw/zinc/24/01/21/411240121.db2.gz PBWVOSAVQHXQIV-HZMBPMFUSA-N 0 3 237.343 2.708 20 0 BFADHN C[C@H](N[C@H]1CCN(C(C)(C)C)C1)c1ccoc1 ZINC000658089585 411240373 /nfs/dbraw/zinc/24/03/73/411240373.db2.gz AAOVCLBKSQKBIC-AAEUAGOBSA-N 0 3 236.359 2.803 20 0 BFADHN C[C@@H](N[C@H]1CCN(C(C)(C)C)C1)c1ccoc1 ZINC000658089588 411241284 /nfs/dbraw/zinc/24/12/84/411241284.db2.gz AAOVCLBKSQKBIC-YPMHNXCESA-N 0 3 236.359 2.803 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@H]2CCCn3ccnc32)C1 ZINC000655765156 411248047 /nfs/dbraw/zinc/24/80/47/411248047.db2.gz ZPEHRWVIKWFKMI-UPJWGTAASA-N 0 3 233.359 2.744 20 0 BFADHN CCn1cc(CN[C@H](C)C(C)(C)C(F)F)cn1 ZINC000657917856 411166078 /nfs/dbraw/zinc/16/60/78/411166078.db2.gz FLWRYEJAKRXWDA-SECBINFHSA-N 0 3 245.317 2.672 20 0 BFADHN CC(C)CC[C@@H](NC/C=C/CO)c1ccoc1 ZINC000658138120 411261843 /nfs/dbraw/zinc/26/18/43/411261843.db2.gz KOLYUVZOZFQNLM-RDFMZFSFSA-N 0 3 237.343 2.895 20 0 BFADHN Cc1cc([C@@H](NC/C=C\CO)C2CC2)ccc1F ZINC000658137868 411262421 /nfs/dbraw/zinc/26/24/21/411262421.db2.gz JRVAWVPWASRQBB-GWQWAINWSA-N 0 3 249.329 2.723 20 0 BFADHN COc1ccc([C@H](NC/C=C\CO)C(C)C)cc1 ZINC000658139383 411262771 /nfs/dbraw/zinc/26/27/71/411262771.db2.gz PFEJRFCSFLQGJF-FOSCPCJNSA-N 0 3 249.354 2.530 20 0 BFADHN Cc1ccc([C@@H](NC/C=C/CO)C2CC2)cc1 ZINC000658140082 411264920 /nfs/dbraw/zinc/26/49/20/411264920.db2.gz RGNLHMJIQOKMBU-XYBNCVKDSA-N 0 3 231.339 2.584 20 0 BFADHN CC[C@H](CC(F)F)CN1CCOC2(CCC2)C1 ZINC000660154559 411267698 /nfs/dbraw/zinc/26/76/98/411267698.db2.gz IUCONPAFZSNBBI-LLVKDONJSA-N 0 3 247.329 2.923 20 0 BFADHN CCc1cc(CN[C@@H]2CC[C@H](C)SC2)on1 ZINC000655715475 411269788 /nfs/dbraw/zinc/26/97/88/411269788.db2.gz LASMHEWFYJGWCA-GXSJLCMTSA-N 0 3 240.372 2.611 20 0 BFADHN CCC[C@H](CC)N[C@H]1CCCn2ccnc21 ZINC000655725726 411327508 /nfs/dbraw/zinc/32/75/08/411327508.db2.gz XULZWZAHIJLSDM-RYUDHWBXSA-N 0 3 221.348 2.886 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1ncc[nH]1 ZINC000070646355 411271303 /nfs/dbraw/zinc/27/13/03/411271303.db2.gz PITHOYSFBNYOGO-WDEREUQCSA-N 0 3 209.337 2.714 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H]2CCCc3cccnc32)C1 ZINC000658161284 411274175 /nfs/dbraw/zinc/27/41/75/411274175.db2.gz LCDUNBMXYVYYGS-MGPQQGTHSA-N 0 3 246.354 2.616 20 0 BFADHN CC(C)c1cccc(CN2C[C@@H](C)[C@@H](O)C2)c1 ZINC000660166535 411274223 /nfs/dbraw/zinc/27/42/23/411274223.db2.gz SXWZYBHGCLWLRF-DOMZBBRYSA-N 0 3 233.355 2.623 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](C)c2ccccc2OC)C1 ZINC000658161668 411275133 /nfs/dbraw/zinc/27/51/33/411275133.db2.gz LZQFELUNWZFTOW-AVGNSLFASA-N 0 3 249.354 2.913 20 0 BFADHN CC/C=C/CNCc1ccc(OC)c(OC)c1 ZINC000295062860 167856522 /nfs/dbraw/zinc/85/65/22/167856522.db2.gz ONPQMGIGVPJDEJ-AATRIKPKSA-N 0 3 235.327 2.760 20 0 BFADHN Cc1ccc([C@@H](C)NCCO[C@@H]2CC2(F)F)o1 ZINC000658167049 411277991 /nfs/dbraw/zinc/27/79/91/411277991.db2.gz RQSHVSVWBCZHLU-MWLCHTKSSA-N 0 3 245.269 2.663 20 0 BFADHN CCC[C@H](C)[C@H](CC)NCc1cn(CC)nn1 ZINC000658176183 411282369 /nfs/dbraw/zinc/28/23/69/411282369.db2.gz MKGDQAAMNDRHDR-AAEUAGOBSA-N 0 3 238.379 2.602 20 0 BFADHN CCC[C@@H](C)[C@H](CC)NCc1cn(CC)nn1 ZINC000658176186 411282718 /nfs/dbraw/zinc/28/27/18/411282718.db2.gz MKGDQAAMNDRHDR-YPMHNXCESA-N 0 3 238.379 2.602 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@]1(C)CC1(C)C ZINC000658305778 411328810 /nfs/dbraw/zinc/32/88/10/411328810.db2.gz AVXMYODINSOPRI-NOZJJQNGSA-N 0 3 221.348 2.568 20 0 BFADHN CCCC[C@H]1CCC[C@H]1NCc1cnccn1 ZINC000656496443 411286914 /nfs/dbraw/zinc/28/69/14/411286914.db2.gz MJDWLXBITUJKEG-GXTWGEPZSA-N 0 3 233.359 2.925 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCC(C)(C)F ZINC000658191388 411286974 /nfs/dbraw/zinc/28/69/74/411286974.db2.gz RDOMRRCQPKRZMV-SECBINFHSA-N 0 3 225.311 2.574 20 0 BFADHN CCn1cc([C@@H](C)NCCC(C)(C)F)cn1 ZINC000658192204 411287537 /nfs/dbraw/zinc/28/75/37/411287537.db2.gz BMOVRNJEWZLWOO-SNVBAGLBSA-N 0 3 227.327 2.692 20 0 BFADHN CC1(C)CCC[C@@H]1N[C@@H]1CCCn2ccnc21 ZINC000655720624 411289345 /nfs/dbraw/zinc/28/93/45/411289345.db2.gz BBHPWSCMOGHPJD-NEPJUHHUSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H](NCCc1ccoc1)c1ccoc1 ZINC000658201812 411290826 /nfs/dbraw/zinc/29/08/26/411290826.db2.gz UKUNKJXIGVKACI-SNVBAGLBSA-N 0 3 205.257 2.766 20 0 BFADHN c1c(CN(C2CCC2)C2CCC2)nn2c1CCC2 ZINC000649617584 411291204 /nfs/dbraw/zinc/29/12/04/411291204.db2.gz PLLCLNOHHOUIRG-UHFFFAOYSA-N 0 3 245.370 2.736 20 0 BFADHN Cn1cc2c(n1)CCC[C@@H]2N[C@@]1(C)CC1(C)C ZINC000658306404 411329656 /nfs/dbraw/zinc/32/96/56/411329656.db2.gz XNHAKGCCUIJBOY-FZMZJTMJSA-N 0 3 233.359 2.576 20 0 BFADHN C[C@@H](N[C@]1(C)CC1(C)C)c1cncs1 ZINC000658306204 411329687 /nfs/dbraw/zinc/32/96/87/411329687.db2.gz DEQYCPGAYGOIEN-LDYMZIIASA-N 0 3 210.346 2.982 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@H]1CCCn2ccnc21 ZINC000655722426 411295424 /nfs/dbraw/zinc/29/54/24/411295424.db2.gz AOXIRJAKHJZOJU-UPJWGTAASA-N 0 3 233.359 2.886 20 0 BFADHN CC(C)[C@H](C)CN[C@@H]1CCCn2ccnc21 ZINC000655723096 411296258 /nfs/dbraw/zinc/29/62/58/411296258.db2.gz GXCGBXLFDSFRDT-VXGBXAGGSA-N 0 3 221.348 2.600 20 0 BFADHN C[C@H]1CC[C@@H](NCc2ccc(F)cn2)CS1 ZINC000655723588 411296912 /nfs/dbraw/zinc/29/69/12/411296912.db2.gz LXGYUUMNKWEOKY-JOYOIKCWSA-N 0 3 240.347 2.594 20 0 BFADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)O1)c1ccco1 ZINC000658218016 411297823 /nfs/dbraw/zinc/29/78/23/411297823.db2.gz GNTIPXDITXWXEJ-UTUOFQBUSA-N 0 3 223.316 2.888 20 0 BFADHN Cc1cncc([C@H](C)NC[C@H]2CCC[C@H](C)O2)c1 ZINC000658220198 411299723 /nfs/dbraw/zinc/29/97/23/411299723.db2.gz OZONXXCSMOADBU-KCQAQPDRSA-N 0 3 248.370 2.998 20 0 BFADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1ccco1 ZINC000658220519 411300478 /nfs/dbraw/zinc/30/04/78/411300478.db2.gz PVLJGGYPEQEEBS-PSASIEDQSA-N 0 3 215.243 2.976 20 0 BFADHN CC[C@H](NCc1nccc(OC)c1F)C(C)C ZINC000658225740 411302431 /nfs/dbraw/zinc/30/24/31/411302431.db2.gz KAHHRDDOVALBSZ-JTQLQIEISA-N 0 3 240.322 2.754 20 0 BFADHN CCC[C@H](CC)NCc1nccc(OC)c1F ZINC000658224611 411303511 /nfs/dbraw/zinc/30/35/11/411303511.db2.gz PONWDRMNCNRGQA-JTQLQIEISA-N 0 3 240.322 2.898 20 0 BFADHN CC1(C)CCCC[C@H]1N[C@H]1CCn2ccnc21 ZINC000335954377 167895680 /nfs/dbraw/zinc/89/56/80/167895680.db2.gz LGOCQUCVDVLKMF-NWDGAFQWSA-N 0 3 233.359 2.886 20 0 BFADHN NCc1cccc(NC(=O)C(C2CC2)C2CC2)c1 ZINC000302584224 411330690 /nfs/dbraw/zinc/33/06/90/411330690.db2.gz DTYLMDOAFJXQNO-UHFFFAOYSA-N 0 3 244.338 2.520 20 0 BFADHN CCC[C@@H](CC)NCc1nccc(OC)c1F ZINC000658224613 411303904 /nfs/dbraw/zinc/30/39/04/411303904.db2.gz PONWDRMNCNRGQA-SNVBAGLBSA-N 0 3 240.322 2.898 20 0 BFADHN C[C@@H](N[C@]1(C)CC1(C)C)c1ccccn1 ZINC000658309004 411330787 /nfs/dbraw/zinc/33/07/87/411330787.db2.gz RVQCIIWKDOVACG-ZWNOBZJWSA-N 0 3 204.317 2.921 20 0 BFADHN CC[C@H](NCc1nccc(OC)c1F)C1CC1 ZINC000658228643 411304866 /nfs/dbraw/zinc/30/48/66/411304866.db2.gz VDXTWWMSCHSUCO-JTQLQIEISA-N 0 3 238.306 2.508 20 0 BFADHN C[C@H](N[C@H]1CCc2ccc(O)cc21)[C@@H]1CCCO1 ZINC000658235397 411305343 /nfs/dbraw/zinc/30/53/43/411305343.db2.gz DBQGHHKISRGHMX-LKTVYLICSA-N 0 3 247.338 2.537 20 0 BFADHN C[C@@H](N[C@@]1(C)CC1(C)C)c1cccnc1 ZINC000658309537 411330993 /nfs/dbraw/zinc/33/09/93/411330993.db2.gz WZXJGJAEPHWRJU-MFKMUULPSA-N 0 3 204.317 2.921 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CCC1CCC1 ZINC000659841945 411331311 /nfs/dbraw/zinc/33/13/11/411331311.db2.gz VNXHRZAXKGKMEA-YPMHNXCESA-N 0 3 211.349 2.676 20 0 BFADHN CO[C@H](CN(C)Cc1ccc(C)o1)C1CCC1 ZINC000659826390 411309140 /nfs/dbraw/zinc/30/91/40/411309140.db2.gz GYHQTRYSMJWCLS-CQSZACIVSA-N 0 3 237.343 2.835 20 0 BFADHN COc1cc(CN(C)C2CCCCC2)sn1 ZINC000659828642 411311149 /nfs/dbraw/zinc/31/11/49/411311149.db2.gz IAEAJVKGMRBVMF-UHFFFAOYSA-N 0 3 240.372 2.916 20 0 BFADHN Cc1cc(CN2C[C@@H](C)C[C@H](C)C2)nc(C)n1 ZINC000659829467 411312201 /nfs/dbraw/zinc/31/22/01/411312201.db2.gz QUVFFVNVKJHFKL-QWRGUYRKSA-N 0 3 233.359 2.571 20 0 BFADHN COc1ccc(CN(C)[C@@H]2COC(C)(C)C2)cc1 ZINC000659829237 411312237 /nfs/dbraw/zinc/31/22/37/411312237.db2.gz NQVDMNPZBQOSJW-ZDUSSCGKSA-N 0 3 249.354 2.695 20 0 BFADHN O[C@@H]1C[C@H](N[C@@H]2CCCc3occc32)C12CCC2 ZINC000658248057 411312512 /nfs/dbraw/zinc/31/25/12/411312512.db2.gz BNSDCSXYBKUMHE-KWCYVHTRSA-N 0 3 247.338 2.550 20 0 BFADHN Cc1ccc(CN2CC3(CC(F)C3)C2)cc1 ZINC000656694012 411331699 /nfs/dbraw/zinc/33/16/99/411331699.db2.gz WENUFGNMQLPAPP-UHFFFAOYSA-N 0 3 219.303 2.929 20 0 BFADHN OC[C@@H](CN[C@H]1CCCc2occc21)CC1CC1 ZINC000658251892 411314375 /nfs/dbraw/zinc/31/43/75/411314375.db2.gz KTSKSBYPTQDZNK-OCCSQVGLSA-N 0 3 249.354 2.655 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@@H](O)C23CCC3)o1 ZINC000658251223 411314395 /nfs/dbraw/zinc/31/43/95/411314395.db2.gz DXTAAXFEYLATMW-CYZMBNFOSA-N 0 3 235.327 2.542 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C[C@H](O)C23CCC3)o1 ZINC000658251224 411314546 /nfs/dbraw/zinc/31/45/46/411314546.db2.gz DXTAAXFEYLATMW-DRZSPHRISA-N 0 3 235.327 2.542 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](O)C12CCC2)c1ccsc1 ZINC000658251444 411314636 /nfs/dbraw/zinc/31/46/36/411314636.db2.gz HHGIXPXCKILUDF-WCQGTBRESA-N 0 3 237.368 2.702 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@H](O)C23CCC3)c(C)o1 ZINC000658252111 411314749 /nfs/dbraw/zinc/31/47/49/411314749.db2.gz IUKYSJMRLPFHNJ-GDLCADMTSA-N 0 3 249.354 2.851 20 0 BFADHN CC(C)CC1(N[C@@H]2CCCn3nccc32)CC1 ZINC000658320062 411331933 /nfs/dbraw/zinc/33/19/33/411331933.db2.gz VUZIDLWPVAIRTM-GFCCVEGCSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](O)C12CCC2)c1ccc(F)cc1 ZINC000658255772 411316070 /nfs/dbraw/zinc/31/60/70/411316070.db2.gz VTGWVSXSJOCSHT-ZLKJLUDKSA-N 0 3 249.329 2.780 20 0 BFADHN CCc1ccccc1CN[C@H]1C[C@@H](O)C12CCC2 ZINC000661832120 411317634 /nfs/dbraw/zinc/31/76/34/411317634.db2.gz XHFPWURKLAHIJX-LSDHHAIUSA-N 0 3 245.366 2.642 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccncc1)C1CCCC1 ZINC000658316452 411332327 /nfs/dbraw/zinc/33/23/27/411332327.db2.gz QIERKXQRTKJKOI-IUODEOHRSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1nsc(C)c1CN1CC[C@@H]2C[C@@H]2C1 ZINC000661965951 411332484 /nfs/dbraw/zinc/33/24/84/411332484.db2.gz CMIAWOIZBYXIND-GHMZBOCLSA-N 0 3 222.357 2.602 20 0 BFADHN C[C@H](NCC1=CCCC1)c1cncs1 ZINC000658292676 411325769 /nfs/dbraw/zinc/32/57/69/411325769.db2.gz IXBVHPCWNLVRED-VIFPVBQESA-N 0 3 208.330 2.904 20 0 BFADHN Cc1ncc([C@H](C)NCC2=CCCC2)c(C)n1 ZINC000658292938 411326216 /nfs/dbraw/zinc/32/62/16/411326216.db2.gz QUDFRBKSPJBBSE-JTQLQIEISA-N 0 3 231.343 2.854 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1C[C@H](C)[C@H]1C ZINC000658119888 411249941 /nfs/dbraw/zinc/24/99/41/411249941.db2.gz QSYBRACDMQPFDP-DMDPSCGWSA-N 0 3 249.354 2.838 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1C[C@H](C)[C@@H]1C ZINC000658119890 411250407 /nfs/dbraw/zinc/25/04/07/411250407.db2.gz QSYBRACDMQPFDP-GMXVVIOVSA-N 0 3 249.354 2.838 20 0 BFADHN CC[C@@H](C)CCN[C@@H](C)c1cc(C)n(C)n1 ZINC000658122885 411251345 /nfs/dbraw/zinc/25/13/45/411251345.db2.gz WHKKXDRLCNGPCM-PWSUYJOCSA-N 0 3 223.364 2.815 20 0 BFADHN CC[C@H](C)CCN[C@H]1CCCn2ccnc21 ZINC000658125970 411253408 /nfs/dbraw/zinc/25/34/08/411253408.db2.gz WLEGZYSVZIFJMW-RYUDHWBXSA-N 0 3 221.348 2.744 20 0 BFADHN Cc1ccncc1CCN[C@@H](C)c1ccco1 ZINC000658126046 411253485 /nfs/dbraw/zinc/25/34/85/411253485.db2.gz ONGWHOQABLCIMF-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1c2ccccc2oc1[C@H](C)NC/C=C/CO ZINC000658132530 411256891 /nfs/dbraw/zinc/25/68/91/411256891.db2.gz IFOGXKHVJOWKSW-FYJFLYSWSA-N 0 3 245.322 2.940 20 0 BFADHN CCC[C@@H](NC/C=C/CO)c1ccccc1 ZINC000658132162 411256925 /nfs/dbraw/zinc/25/69/25/411256925.db2.gz JELCXHVCPFVNMW-PSKZRQQASA-N 0 3 219.328 2.666 20 0 BFADHN C[C@@H](NC/C=C\CO)c1ccc2c(c1)CCCC2 ZINC000658133803 411257544 /nfs/dbraw/zinc/25/75/44/411257544.db2.gz OBKLTNBYLUALLS-DSYXLKISSA-N 0 3 245.366 2.765 20 0 BFADHN CCC[C@H](NC/C=C\CO)c1ccc(OC)cc1 ZINC000658136016 411260488 /nfs/dbraw/zinc/26/04/88/411260488.db2.gz VTFJWWMCLCNKNH-XVWMLYKFSA-N 0 3 249.354 2.675 20 0 BFADHN CCC[C@H](NC/C=C/CO)c1ccc(OC)cc1 ZINC000658136015 411260866 /nfs/dbraw/zinc/26/08/66/411260866.db2.gz VTFJWWMCLCNKNH-RGDDUWESSA-N 0 3 249.354 2.675 20 0 BFADHN OC/C=C\CN[C@H]1CCCCc2ccccc21 ZINC000658135244 411261186 /nfs/dbraw/zinc/26/11/86/411261186.db2.gz TZDXEIRDEXGPPT-YVACAVLKSA-N 0 3 231.339 2.592 20 0 BFADHN COc1cc(CN2CCC[C@@H]2C(C)C)sn1 ZINC000659858387 411350181 /nfs/dbraw/zinc/35/01/81/411350181.db2.gz SDKLLAFIZFWXCL-LLVKDONJSA-N 0 3 240.372 2.772 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](O)C1)c1ccc(F)c(Cl)c1 ZINC000658349278 411350343 /nfs/dbraw/zinc/35/03/43/411350343.db2.gz ILRQQGXRARMZIW-SZEHBUNVSA-N 0 3 243.709 2.653 20 0 BFADHN CCCc1ccc([C@H](C)N[C@H]2C[C@H](O)C2)cc1 ZINC000658350536 411352286 /nfs/dbraw/zinc/35/22/86/411352286.db2.gz FZZGOXZGSXIGSU-CQDKDKBSSA-N 0 3 233.355 2.813 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1)c1cc2ccccc2o1 ZINC000658351055 411353278 /nfs/dbraw/zinc/35/32/78/411353278.db2.gz KLUYPZABQWXPMC-DLOVCJGASA-N 0 3 231.295 2.607 20 0 BFADHN CCN(CCCC1CCC1)Cc1cnn(C)c1 ZINC000659865243 411353816 /nfs/dbraw/zinc/35/38/16/411353816.db2.gz AAGRYXORMWUFFK-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN CCN(Cc1ccoc1)[C@@H]1CCO[C@H](C)C1 ZINC000659870213 411356203 /nfs/dbraw/zinc/35/62/03/411356203.db2.gz FLHYQBNCSXNZDZ-DGCLKSJQSA-N 0 3 223.316 2.669 20 0 BFADHN CC[C@@H]1CN(Cc2cccc(N)c2)[C@@H](CC)CO1 ZINC000403737019 411357209 /nfs/dbraw/zinc/35/72/09/411357209.db2.gz QZXZBLUGCCYIIZ-LSDHHAIUSA-N 0 3 248.370 2.658 20 0 BFADHN Cc1nc(CN[C@@H]2CC3CCC2CC3)co1 ZINC000660625926 411359866 /nfs/dbraw/zinc/35/98/66/411359866.db2.gz SQVZHIGEZJBVPX-GCZXYKMCSA-N 0 3 220.316 2.651 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CC3CCC2CC3)[n-]1 ZINC000660626831 411360151 /nfs/dbraw/zinc/36/01/51/411360151.db2.gz ZPFDKODOQKJDDY-WNYYMSAVSA-N 0 3 248.374 2.596 20 0 BFADHN Cn1ccc(CN2CCCC[C@@H]2CC2CCC2)n1 ZINC000662156981 411368509 /nfs/dbraw/zinc/36/85/09/411368509.db2.gz NVLAEFQTAGGPDW-OAHLLOKOSA-N 0 3 247.386 2.965 20 0 BFADHN CC[C@@H](C)NC(=O)CN1[C@H](CC)CCC[C@@H]1C ZINC000662158054 411369769 /nfs/dbraw/zinc/36/97/69/411369769.db2.gz OSJMDRQUZIWDLT-FRRDWIJNSA-N 0 3 240.391 2.554 20 0 BFADHN CC(=O)c1cccc(CN2CC[C@@H]3C[C@@H]3C2)c1 ZINC000661966765 411333865 /nfs/dbraw/zinc/33/38/65/411333865.db2.gz MBPWTXYWZOHGNX-HUUCEWRRSA-N 0 3 229.323 2.731 20 0 BFADHN CC(C)CC1(N[C@@H](C)c2cnn(C)c2)CC1 ZINC000658320975 411333968 /nfs/dbraw/zinc/33/39/68/411333968.db2.gz KWKKIYLCAKCSDG-NSHDSACASA-N 0 3 221.348 2.649 20 0 BFADHN CCCc1nc(C)c(CN2CC[C@H]3C[C@H]3C2)o1 ZINC000661965973 411334003 /nfs/dbraw/zinc/33/40/03/411334003.db2.gz CPPUQPYRIXYRQT-RYUDHWBXSA-N 0 3 234.343 2.777 20 0 BFADHN CCn1ccnc1[C@H](C)NCCCc1ccco1 ZINC000658324902 411334257 /nfs/dbraw/zinc/33/42/57/411334257.db2.gz GHNKFYJRYGCYAU-LBPRGKRZSA-N 0 3 247.342 2.779 20 0 BFADHN c1coc(CCCN[C@H]2CCCn3ccnc32)c1 ZINC000658325858 411334320 /nfs/dbraw/zinc/33/43/20/411334320.db2.gz UIBBKWCHSFDCQV-ZDUSSCGKSA-N 0 3 245.326 2.533 20 0 BFADHN CC[C@@H](NCCCc1ccco1)c1nccn1C ZINC000658325960 411334730 /nfs/dbraw/zinc/33/47/30/411334730.db2.gz WKHMNKQJUPRWRX-CYBMUJFWSA-N 0 3 247.342 2.687 20 0 BFADHN Fc1ccc(CN2CC[C@H]3C[C@H]3C2)cc1 ZINC000661968812 411337895 /nfs/dbraw/zinc/33/78/95/411337895.db2.gz HRPUUGYDKVRPRR-RYUDHWBXSA-N 0 3 205.276 2.668 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](CC(C)C)c1ccccn1 ZINC000658330921 411338166 /nfs/dbraw/zinc/33/81/66/411338166.db2.gz GZIAOOCGBYDAMF-KFWWJZLASA-N 0 3 248.370 2.936 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCCc2c(O)cccc21 ZINC000658330662 411338740 /nfs/dbraw/zinc/33/87/40/411338740.db2.gz FTIMAUUVRSVQLD-YUELXQCFSA-N 0 3 247.338 2.537 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CC[C@H]3C[C@H]3C2)c2nccn21 ZINC000403234185 411340253 /nfs/dbraw/zinc/34/02/53/411340253.db2.gz PHXAZEVHJZNYSY-SJHCENCUSA-N 0 3 231.343 2.667 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCCc2cc(F)ccc21 ZINC000658333346 411340539 /nfs/dbraw/zinc/34/05/39/411340539.db2.gz WJZCBAXLYMZCAI-ZNMIVQPWSA-N 0 3 249.329 2.970 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1c2ccccc2C[C@H]1C ZINC000658332554 411341444 /nfs/dbraw/zinc/34/14/44/411341444.db2.gz RWXUUGRCSWOUFI-RABLLNBGSA-N 0 3 231.339 2.687 20 0 BFADHN CCOc1ccccc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000661975706 411341693 /nfs/dbraw/zinc/34/16/93/411341693.db2.gz KYOLLLISWXEYQZ-TZMCWYRMSA-N 0 3 231.339 2.927 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCc2c1cccc2C ZINC000658334299 411342538 /nfs/dbraw/zinc/34/25/38/411342538.db2.gz IDAWBIQIEWOPKV-KFWWJZLASA-N 0 3 231.339 2.749 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1C[C@]1(C)OC ZINC000658334213 411342615 /nfs/dbraw/zinc/34/26/15/411342615.db2.gz FHKKJCSBHAEYEF-HONMWMINSA-N 0 3 235.327 2.523 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000658334919 411343498 /nfs/dbraw/zinc/34/34/98/411343498.db2.gz XVBJNYHSKQHDRG-MCIONIFRSA-N 0 3 235.302 2.580 20 0 BFADHN CC(C)=CCCN1CCC[C@@H](c2nc(C)no2)C1 ZINC000659853733 411344478 /nfs/dbraw/zinc/34/44/78/411344478.db2.gz SUIIKKSIEIGSDI-CYBMUJFWSA-N 0 3 249.358 2.914 20 0 BFADHN CC1(C)[C@H](O)CCN1C/C=C/c1ccccc1 ZINC000335629999 168007462 /nfs/dbraw/zinc/00/74/62/168007462.db2.gz OYMCKGRQIGGGLC-VIIAAUOXSA-N 0 3 231.339 2.545 20 0 BFADHN CC1(C)[C@H](O)CCN1C/C=C\c1ccc(F)cc1 ZINC000361062046 168007763 /nfs/dbraw/zinc/00/77/63/168007763.db2.gz SCPDOSCQTBPJBO-VQTKUKTRSA-N 0 3 249.329 2.684 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)CC[C@@H]1C)c1nccn1C ZINC000658340203 411347394 /nfs/dbraw/zinc/34/73/94/411347394.db2.gz ZJYPYOBZQBPRTD-MROQNXINSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ccc([C@H](N[C@H]2C[C@H](O)C2)C2CCC2)o1 ZINC000658347826 411347796 /nfs/dbraw/zinc/34/77/96/411347796.db2.gz HSEIGBPOYQNANP-YRGRVCCFSA-N 0 3 235.327 2.542 20 0 BFADHN CC1(CNCc2cccc3c2OCCO3)CCC1 ZINC000226787108 168043836 /nfs/dbraw/zinc/04/38/36/168043836.db2.gz VHDGCAWJAGKZLJ-UHFFFAOYSA-N 0 3 247.338 2.738 20 0 BFADHN CC1(CNCc2ccc(F)cc2Cl)COC1 ZINC000087197635 168043883 /nfs/dbraw/zinc/04/38/83/168043883.db2.gz KZXCFWZQNGEURV-UHFFFAOYSA-N 0 3 243.709 2.605 20 0 BFADHN CC(C)CC[C@@H](N[C@H]1C[C@H](O)C1)c1ccoc1 ZINC000658348214 411348321 /nfs/dbraw/zinc/34/83/21/411348321.db2.gz NBAHGYLCTQJNDH-MGPQQGTHSA-N 0 3 237.343 2.870 20 0 BFADHN CCN(Cc1cc(OC)no1)[C@@H](C)C(C)C ZINC000659858240 411348393 /nfs/dbraw/zinc/34/83/93/411348393.db2.gz WBTNNKZZZQSKFN-JTQLQIEISA-N 0 3 226.320 2.550 20 0 BFADHN CC1(F)CC(NCc2cccc(F)c2)C1 ZINC000335377056 168053996 /nfs/dbraw/zinc/05/39/96/168053996.db2.gz ZJUPNBTUSPWRSD-UHFFFAOYSA-N 0 3 211.255 2.806 20 0 BFADHN CC1(NCc2ccccn2)Cc2ccccc2C1 ZINC000092853897 168064867 /nfs/dbraw/zinc/06/48/67/168064867.db2.gz JWRQNAKVLRPZRV-UHFFFAOYSA-N 0 3 238.334 2.729 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC(C)=C(C)C2)n1 ZINC000281016921 168084118 /nfs/dbraw/zinc/08/41/18/168084118.db2.gz YYXUWPPIAPSIGP-UHFFFAOYSA-N 0 3 232.327 2.638 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC(C)=C(C)C2)n1 ZINC000281016921 168084121 /nfs/dbraw/zinc/08/41/21/168084121.db2.gz YYXUWPPIAPSIGP-UHFFFAOYSA-N 0 3 232.327 2.638 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC(C)=C(C)C1 ZINC000281004817 168085324 /nfs/dbraw/zinc/08/53/24/168085324.db2.gz YAYOKHZVPVLCJN-UHFFFAOYSA-N 0 3 219.332 2.569 20 0 BFADHN CC1=C(C)CN(C[C@@H](O)c2ccc(F)cc2)CC1 ZINC000280808014 168085395 /nfs/dbraw/zinc/08/53/95/168085395.db2.gz SWGIHURTZTZPKN-OAHLLOKOSA-N 0 3 249.329 2.901 20 0 BFADHN CC1=C(c2ccco2)CN(CCC(C)(C)O)CC1 ZINC000374528477 168087603 /nfs/dbraw/zinc/08/76/03/168087603.db2.gz FKMZZSFJJUVQOW-UHFFFAOYSA-N 0 3 249.354 2.920 20 0 BFADHN CC1=C(c2ccco2)CN(CCCCCO)CC1 ZINC000374619806 168088218 /nfs/dbraw/zinc/08/82/18/168088218.db2.gz PPKLRQKQEUKOTC-UHFFFAOYSA-N 0 3 249.354 2.921 20 0 BFADHN CC1=CCCN(CC[C@@H](O)c2ccccc2)C1 ZINC000285396916 168097819 /nfs/dbraw/zinc/09/78/19/168097819.db2.gz TTWVUICXOPCGOC-OAHLLOKOSA-N 0 3 231.339 2.762 20 0 BFADHN Cc1ccc([C@@H](O)CN2CCC=C(C)C2)cc1 ZINC000280710181 168100836 /nfs/dbraw/zinc/10/08/36/168100836.db2.gz DLEOPXRKFRCEDR-HNNXBMFYSA-N 0 3 231.339 2.680 20 0 BFADHN CC1=CCCN([C@@H](C)c2ccccn2)C1 ZINC000280926634 168100867 /nfs/dbraw/zinc/10/08/67/168100867.db2.gz UTUQMTNNLBTSRQ-LBPRGKRZSA-N 0 3 202.301 2.795 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CN(C)Cc1cnn(C)c1 ZINC000191041445 168101421 /nfs/dbraw/zinc/10/14/21/168101421.db2.gz QPEVQCTWAMBDBR-UKRRQHHQSA-N 0 3 247.386 2.844 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN(C)Cc1ccnn1C ZINC000355290377 168103685 /nfs/dbraw/zinc/10/36/85/168103685.db2.gz LSXUPNYHBLLAJG-ZFWWWQNUSA-N 0 3 247.386 2.844 20 0 BFADHN CC1=CCN(CCC(=O)Nc2ccccc2)CC1 ZINC000270395690 168111220 /nfs/dbraw/zinc/11/12/20/168111220.db2.gz YXGCPRDPQXCZGB-UHFFFAOYSA-N 0 3 244.338 2.667 20 0 BFADHN CC1=CC[C@@H](N[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000285314843 168119386 /nfs/dbraw/zinc/11/93/86/168119386.db2.gz SUGXPJDFDWLNFR-CHWSQXEVSA-N 0 3 231.343 2.876 20 0 BFADHN C[C@]1(NCc2cc3n(n2)CCCC3)CC=CCC1 ZINC000655779923 411380545 /nfs/dbraw/zinc/38/05/45/411380545.db2.gz BGGOMWHQXPHCHZ-HNNXBMFYSA-N 0 3 245.370 2.808 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2cccc(C(N)=O)c2)C1 ZINC000336600139 168124224 /nfs/dbraw/zinc/12/42/24/168124224.db2.gz ICAYIGJJWYADCK-LLVKDONJSA-N 0 3 244.338 2.968 20 0 BFADHN Cc1noc(C)c1CCN1CC(C)=C[C@H](C)C1 ZINC000336549164 168128103 /nfs/dbraw/zinc/12/81/03/168128103.db2.gz IWSBCPPJMFWERR-JTQLQIEISA-N 0 3 234.343 2.732 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN2CCOCC23CC3)C1 ZINC000356340854 168129252 /nfs/dbraw/zinc/12/92/52/168129252.db2.gz PFOJMJJEUGJORV-JSGCOSHPSA-N 0 3 235.371 2.844 20 0 BFADHN CC1CC(NCc2cnn(-c3ccccc3)c2)C1 ZINC000080249466 168146206 /nfs/dbraw/zinc/14/62/06/168146206.db2.gz TYGSKJAOSUWORW-UHFFFAOYSA-N 0 3 241.338 2.760 20 0 BFADHN CC1CC(NCc2cccc3c2OCCCO3)C1 ZINC000080249729 168146330 /nfs/dbraw/zinc/14/63/30/168146330.db2.gz UFFKBOYHXATLKH-UHFFFAOYSA-N 0 3 247.338 2.736 20 0 BFADHN CC1CC(NCc2ccnn2-c2ccccc2)C1 ZINC000279111337 168147003 /nfs/dbraw/zinc/14/70/03/168147003.db2.gz KKCBULWOHXSBKK-UHFFFAOYSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@@H](NC1CC(C)C1)c1ccc(F)cn1 ZINC000161796856 168148247 /nfs/dbraw/zinc/14/82/47/168148247.db2.gz AAXHTIUOCQVYMB-INWMGODYSA-N 0 3 208.280 2.670 20 0 BFADHN CC1CCC(C)(CNCc2nccn2C)CC1 ZINC000355424426 168150874 /nfs/dbraw/zinc/15/08/74/168150874.db2.gz UBXJKQCNAIHEPJ-UHFFFAOYSA-N 0 3 235.375 2.726 20 0 BFADHN C[C@H](NCCN1CCC(C)CC1)c1ccco1 ZINC000040771375 168180771 /nfs/dbraw/zinc/18/07/71/168180771.db2.gz MHOGQBPEPROIKO-ZDUSSCGKSA-N 0 3 236.359 2.662 20 0 BFADHN C[C@H](CN1CCC(C)CC1)C(F)(F)F ZINC000336353070 168183255 /nfs/dbraw/zinc/18/32/55/168183255.db2.gz QGMDZRDCZLRYIU-SECBINFHSA-N 0 3 209.255 2.917 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cnc3ccccc3n2)C1 ZINC000659945824 411389319 /nfs/dbraw/zinc/38/93/19/411389319.db2.gz CRRHAJKDQLOGCK-NEPJUHHUSA-N 0 3 241.338 2.860 20 0 BFADHN CCC(=O)CCN(C)[C@H](C)c1cccc(O)c1 ZINC000190295730 168199909 /nfs/dbraw/zinc/19/99/09/168199909.db2.gz FIBZIEAWAUCVAJ-LLVKDONJSA-N 0 3 235.327 2.754 20 0 BFADHN CCC(=O)CCN(C)CCOc1cccc(C)c1 ZINC000190175204 168200943 /nfs/dbraw/zinc/20/09/43/168200943.db2.gz MSFORPKDEDRQFN-UHFFFAOYSA-N 0 3 249.354 2.675 20 0 BFADHN CCC(=O)CCN1CCC(c2ccccn2)CC1 ZINC000365197134 168201067 /nfs/dbraw/zinc/20/10/67/168201067.db2.gz LBGNCTPYAIGSSY-UHFFFAOYSA-N 0 3 246.354 2.630 20 0 BFADHN CCC(=O)CCN(C)CCc1ccccc1C ZINC000295716284 168201132 /nfs/dbraw/zinc/20/11/32/168201132.db2.gz NQKCTUNITNTLAN-UHFFFAOYSA-N 0 3 233.355 2.839 20 0 BFADHN CCC(=O)CCN(C)CCCc1ccccc1 ZINC000190187401 168201147 /nfs/dbraw/zinc/20/11/47/168201147.db2.gz LNJJUNZZTXVLND-UHFFFAOYSA-N 0 3 233.355 2.920 20 0 BFADHN CC[C@@H]1CN(CCC2CCC2)C[C@H](C)O1 ZINC000659919476 411382214 /nfs/dbraw/zinc/38/22/14/411382214.db2.gz RELRGAFGIGHKDM-WCQYABFASA-N 0 3 211.349 2.676 20 0 BFADHN CCC(=O)NC[C@@H](c1ccccc1)N(CC)CC ZINC000040615097 168231803 /nfs/dbraw/zinc/23/18/03/168231803.db2.gz HJLZWSNGIHPWBE-AWEZNQCLSA-N 0 3 248.370 2.596 20 0 BFADHN CCC(=O)N[C@H](C)[C@H](c1ccccc1C)N(C)C ZINC000357846290 168239397 /nfs/dbraw/zinc/23/93/97/168239397.db2.gz FVFCDZQXALBXEF-IUODEOHRSA-N 0 3 248.370 2.512 20 0 BFADHN CCC(C)(C)C(=O)Nc1ccc2c(c1)CNCC2 ZINC000069052722 168269375 /nfs/dbraw/zinc/26/93/75/168269375.db2.gz UXKYCJRQBCESNU-UHFFFAOYSA-N 0 3 246.354 2.707 20 0 BFADHN CCC(C)(C)CCN1CCOCC1(C)C ZINC000361372768 168276621 /nfs/dbraw/zinc/27/66/21/168276621.db2.gz QHAACWSFYWLBRA-UHFFFAOYSA-N 0 3 213.365 2.924 20 0 BFADHN CCC(C)(C)CCN1CCO[C@H](C2CC2)C1 ZINC000362555329 168277060 /nfs/dbraw/zinc/27/70/60/168277060.db2.gz IDMPINHDGHXPLH-ZDUSSCGKSA-N 0 3 225.376 2.924 20 0 BFADHN CCC(C)(C)N(C)Cc1ccc(C(=O)OC)o1 ZINC000265218598 168285529 /nfs/dbraw/zinc/28/55/29/168285529.db2.gz NCUPDKBEFGVWGM-UHFFFAOYSA-N 0 3 239.315 2.687 20 0 BFADHN CCC(C)(C)N(C)Cc1cnc([C@H](C)O)s1 ZINC000290094196 168288049 /nfs/dbraw/zinc/28/80/49/168288049.db2.gz RNNSOHXFHVGGTH-VIFPVBQESA-N 0 3 242.388 2.817 20 0 BFADHN CCC(C)(C)NCc1cc(C(F)(F)F)n[nH]1 ZINC000309828947 168299475 /nfs/dbraw/zinc/29/94/75/168299475.db2.gz XZIDPVXNYXAUPB-UHFFFAOYSA-N 0 3 235.253 2.707 20 0 BFADHN CC[C@H]1C[C@H](NCc2cc(C)ccc2OC)CO1 ZINC000657298544 411455768 /nfs/dbraw/zinc/45/57/68/411455768.db2.gz SFOQMOWZRUUGOS-KBPBESRZSA-N 0 3 249.354 2.661 20 0 BFADHN CC[C@@H]1C[C@H](NCc2cccc(C)c2)CO1 ZINC000657298725 411455972 /nfs/dbraw/zinc/45/59/72/411455972.db2.gz PTCGJYUDHYUJHB-UONOGXRCSA-N 0 3 219.328 2.652 20 0 BFADHN Fc1ccc(OC(F)F)c(CNCC2CC2)c1 ZINC000657305115 411456769 /nfs/dbraw/zinc/45/67/69/411456769.db2.gz WNWDXCXLSGFBLS-UHFFFAOYSA-N 0 3 245.244 2.927 20 0 BFADHN CC(C)CCN1CC=C(c2ccnn2C)CC1 ZINC000285783545 411457226 /nfs/dbraw/zinc/45/72/26/411457226.db2.gz YXFIVUPSUKTBGJ-UHFFFAOYSA-N 0 3 233.359 2.555 20 0 BFADHN Cc1c[nH]c(CN[C@@H](C)Cc2ccsc2)n1 ZINC000657324141 411464398 /nfs/dbraw/zinc/46/43/98/411464398.db2.gz UUWBBUPXGZFNJE-VIFPVBQESA-N 0 3 235.356 2.500 20 0 BFADHN CC[C@@H](C)[C@H]1CCCCN1Cc1cn(C)cn1 ZINC000659950001 411392040 /nfs/dbraw/zinc/39/20/40/411392040.db2.gz BZTRZEDXSRAMDN-TZMCWYRMSA-N 0 3 235.375 2.821 20 0 BFADHN CCc1nocc1CN1CCC[C@@H](C)[C@@H]1C ZINC000659950475 411392998 /nfs/dbraw/zinc/39/29/98/411392998.db2.gz NZLNIXLNXVKSSS-MNOVXSKESA-N 0 3 222.332 2.857 20 0 BFADHN CCCn1nccc1CNCCc1ccc(C)o1 ZINC000657307703 411460877 /nfs/dbraw/zinc/46/08/77/411460877.db2.gz HDPBABCFYFXVFI-UHFFFAOYSA-N 0 3 247.342 2.527 20 0 BFADHN COc1cc(CN2C[C@@H](C)CCC[C@@H]2C)on1 ZINC000659952313 411396322 /nfs/dbraw/zinc/39/63/22/411396322.db2.gz LQVDNSVIAQCAPP-QWRGUYRKSA-N 0 3 238.331 2.694 20 0 BFADHN CC(C)c1cccc(CN(C)C[C@H](C)O)c1 ZINC000659953637 411397389 /nfs/dbraw/zinc/39/73/89/411397389.db2.gz RDFXNKUPIOLJFN-LBPRGKRZSA-N 0 3 221.344 2.623 20 0 BFADHN CN(Cc1ccc(F)cc1)[C@H]1COC(C)(C)C1 ZINC000659816018 411461095 /nfs/dbraw/zinc/46/10/95/411461095.db2.gz MWRQYJLAOAUMRJ-CYBMUJFWSA-N 0 3 237.318 2.825 20 0 BFADHN COc1cc(CN2CCCC(C)(C)CC2)on1 ZINC000659955394 411398407 /nfs/dbraw/zinc/39/84/07/411398407.db2.gz SCIVVQQYTLPUFM-UHFFFAOYSA-N 0 3 238.331 2.695 20 0 BFADHN CC[C@H](C)CCNC(=O)C[C@@H](N)c1ccccc1 ZINC000659341501 411400108 /nfs/dbraw/zinc/40/01/08/411400108.db2.gz XAKANEAIDDIHPP-GXTWGEPZSA-N 0 3 248.370 2.629 20 0 BFADHN C[C@@H]1CN(CCCC2CCC2)[C@H](C)CO1 ZINC000659820762 411461362 /nfs/dbraw/zinc/46/13/62/411461362.db2.gz BTDUPNLROGTQIU-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN CCOc1cccc(CN[C@@H]2CO[C@H](CC)C2)c1 ZINC000657314479 411461455 /nfs/dbraw/zinc/46/14/55/411461455.db2.gz WDLRVTNVMQZPDQ-UONOGXRCSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H]1CCCN1Cc1cc(C2CC2)no1 ZINC000659969265 411403370 /nfs/dbraw/zinc/40/33/70/411403370.db2.gz FOGXVWLJDLZORB-SECBINFHSA-N 0 3 206.289 2.536 20 0 BFADHN Cc1ccc(CNCCOCC2CCCC2)cn1 ZINC000313309639 411409601 /nfs/dbraw/zinc/40/96/01/411409601.db2.gz VOISTUAZGDWCBR-UHFFFAOYSA-N 0 3 248.370 2.686 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1cc(C)ccn1 ZINC000657144083 411409765 /nfs/dbraw/zinc/40/97/65/411409765.db2.gz SUTPDCYFFZHCBT-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1cc(C)ccn1 ZINC000657144082 411410203 /nfs/dbraw/zinc/41/02/03/411410203.db2.gz SUTPDCYFFZHCBT-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN CCOc1cccc(CN[C@H]2CO[C@H](CC)C2)c1 ZINC000657314480 411462031 /nfs/dbraw/zinc/46/20/31/411462031.db2.gz WDLRVTNVMQZPDQ-ZIAGYGMSSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@H]1CC[C@H](NCc2cc3n(n2)CCCC3)CC1 ZINC000655732582 411413316 /nfs/dbraw/zinc/41/33/16/411413316.db2.gz DHVLJSQIRLPTOK-JOCQHMNTSA-N 0 3 247.386 2.888 20 0 BFADHN CCc1ncc(CN2CCC[C@@H](C(C)C)C2)cn1 ZINC000414441301 411418198 /nfs/dbraw/zinc/41/81/98/411418198.db2.gz WEKNXHVARZKUKJ-CQSZACIVSA-N 0 3 247.386 2.907 20 0 BFADHN CC[C@H](CC(F)F)CN1C[C@@H](C)OC[C@@H]1C ZINC000659821404 411462493 /nfs/dbraw/zinc/46/24/93/411462493.db2.gz NHNACXMYDQKFSY-HBNTYKKESA-N 0 3 235.318 2.777 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@H]2c2ccco2)s1 ZINC000414598483 411433345 /nfs/dbraw/zinc/43/33/45/411433345.db2.gz YJGKIESDMXYGTF-GHMZBOCLSA-N 0 3 234.324 2.690 20 0 BFADHN CO[C@H](C)CN1CC[C@@H](c2cccc(F)c2)C1 ZINC000272796757 411435272 /nfs/dbraw/zinc/43/52/72/411435272.db2.gz KGISFVFDSFASAO-DGCLKSJQSA-N 0 3 237.318 2.650 20 0 BFADHN CC[C@@H]1C[C@H](NCc2cccc(Cl)c2)CO1 ZINC000657285286 411443926 /nfs/dbraw/zinc/44/39/26/411443926.db2.gz BDMNMBFKWDLDOP-QWHCGFSZSA-N 0 3 239.746 2.997 20 0 BFADHN CC(C)C[C@@H](C)N[C@H]1CCCn2ccnc21 ZINC000655738494 411444151 /nfs/dbraw/zinc/44/41/51/411444151.db2.gz VYMFPZULHCRAIL-NEPJUHHUSA-N 0 3 221.348 2.742 20 0 BFADHN CC1(C)CC[C@@H](N[C@@H]2CCCn3ccnc32)C1 ZINC000655737446 411444325 /nfs/dbraw/zinc/44/43/25/411444325.db2.gz XITDTHXKVIQQDW-VXGBXAGGSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@H]1C[C@H](NCc2cccc(Cl)c2)CO1 ZINC000657285287 411444468 /nfs/dbraw/zinc/44/44/68/411444468.db2.gz BDMNMBFKWDLDOP-STQMWFEESA-N 0 3 239.746 2.997 20 0 BFADHN CC(C)C[C@H](C)N[C@@H]1CCCn2ccnc21 ZINC000655738495 411444482 /nfs/dbraw/zinc/44/44/82/411444482.db2.gz VYMFPZULHCRAIL-NWDGAFQWSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cncc(CN[C@@H]2CC[C@H](C)SC2)c1 ZINC000655738591 411444643 /nfs/dbraw/zinc/44/46/43/411444643.db2.gz YIDQTSFCVXPLPY-WCQYABFASA-N 0 3 236.384 2.764 20 0 BFADHN Cc1nc(CNCC2CCCCC2)[nH]c1C ZINC000657287826 411446633 /nfs/dbraw/zinc/44/66/33/411446633.db2.gz YFKBIMSKEWNMRE-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CC[C@H]1C[C@H](NCCOc2ccc(C)cc2)CO1 ZINC000657288890 411450715 /nfs/dbraw/zinc/45/07/15/411450715.db2.gz LHOJJOYCOOWACQ-KBPBESRZSA-N 0 3 249.354 2.531 20 0 BFADHN CCc1nc([C@H](C)N2CCC[C@H](CC)C2)n[nH]1 ZINC000659824515 411464217 /nfs/dbraw/zinc/46/42/17/411464217.db2.gz DFKJFECFGUEZDK-QWRGUYRKSA-N 0 3 236.363 2.550 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2ccccc2)CO1 ZINC000657290733 411452345 /nfs/dbraw/zinc/45/23/45/411452345.db2.gz PVRXENAYTLZKCF-IACUBPJLSA-N 0 3 219.328 2.905 20 0 BFADHN Cc1ncc(CN[C@@H]2CCc3ccccc32)n1C ZINC000657296656 411454311 /nfs/dbraw/zinc/45/43/11/411454311.db2.gz JLUNNAVPBNZOQC-OAHLLOKOSA-N 0 3 241.338 2.506 20 0 BFADHN CC(C)CCCNCc1nccn1C(C)C ZINC000657297525 411454502 /nfs/dbraw/zinc/45/45/02/411454502.db2.gz FOLRARGEKIZJDG-UHFFFAOYSA-N 0 3 223.364 2.990 20 0 BFADHN c1c2ccncc2sc1CN1CCCC1 ZINC000657295726 411454704 /nfs/dbraw/zinc/45/47/04/411454704.db2.gz WHQSCTGSZJJHIZ-UHFFFAOYSA-N 0 3 218.325 2.892 20 0 BFADHN CCc1cccc2cc(CNC[C@H](C)CO)oc21 ZINC000657328564 411467047 /nfs/dbraw/zinc/46/70/47/411467047.db2.gz ZXCONWCRXSMTQD-NSHDSACASA-N 0 3 247.338 2.713 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccc(F)c(F)c2)CO1 ZINC000657328737 411467275 /nfs/dbraw/zinc/46/72/75/411467275.db2.gz DNEMUNWLUJYHSE-QWRGUYRKSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1cc(CN(C)[C@H](C)C(C)(C)C)nc(C)n1 ZINC000659835297 411470028 /nfs/dbraw/zinc/47/00/28/411470028.db2.gz BUROICKJBIEUHP-LLVKDONJSA-N 0 3 235.375 2.960 20 0 BFADHN CC[C@@H]1CN(CCCC2CCC2)CCO1 ZINC000659838620 411470467 /nfs/dbraw/zinc/47/04/67/411470467.db2.gz QJSRVGYURVTEGT-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CC[C@@H](Cc1ccccc1)NCc1nc(C)c[nH]1 ZINC000657344934 411470837 /nfs/dbraw/zinc/47/08/37/411470837.db2.gz DPZCNZLBBJYPPQ-AWEZNQCLSA-N 0 3 243.354 2.829 20 0 BFADHN Cc1c[nH]c(CNC2(C)CCCCC2)n1 ZINC000657346060 411471087 /nfs/dbraw/zinc/47/10/87/411471087.db2.gz WGUHSQVDFCRIRT-UHFFFAOYSA-N 0 3 207.321 2.531 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2ccc(OC)cc2)CO1 ZINC000657335854 411471529 /nfs/dbraw/zinc/47/15/29/411471529.db2.gz JTTGSUKBHYPYDC-FPMFFAJLSA-N 0 3 249.354 2.913 20 0 BFADHN CCc1nocc1CN[C@H]1CCC[C@@H]1C ZINC000660409589 411472936 /nfs/dbraw/zinc/47/29/36/411472936.db2.gz GWDKFBVXBUQCPN-CABZTGNLSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1nocc1CN[C@H]1CCC[C@H]1C ZINC000660409591 411473055 /nfs/dbraw/zinc/47/30/55/411473055.db2.gz GWDKFBVXBUQCPN-SKDRFNHKSA-N 0 3 208.305 2.515 20 0 BFADHN CCC(CC)CNCc1cn(C2CCC2)nn1 ZINC000657353712 411474401 /nfs/dbraw/zinc/47/44/01/411474401.db2.gz JLLLMLHSVRMIJR-UHFFFAOYSA-N 0 3 236.363 2.529 20 0 BFADHN CCC1CCN(CCO[C@H]2CC2(F)F)CC1 ZINC000659848571 411476551 /nfs/dbraw/zinc/47/65/51/411476551.db2.gz KELFACZNOLXXQR-NSHDSACASA-N 0 3 233.302 2.533 20 0 BFADHN CC(C)=CCCN1CCC(c2nc(C)no2)CC1 ZINC000659851982 411477307 /nfs/dbraw/zinc/47/73/07/411477307.db2.gz JJZKERWQCKWGSC-UHFFFAOYSA-N 0 3 249.358 2.914 20 0 BFADHN CC(C)=CCCN1C[C@@H](C)OC(C)(C)C1 ZINC000659855685 411478148 /nfs/dbraw/zinc/47/81/48/411478148.db2.gz JJFBKZVCFHETRZ-GFCCVEGCSA-N 0 3 211.349 2.842 20 0 BFADHN CCc1nc([C@H](C)N2CCC[C@H](C)CC2)n[nH]1 ZINC000659858034 411480135 /nfs/dbraw/zinc/48/01/35/411480135.db2.gz RWFLELUDLBYOJT-QWRGUYRKSA-N 0 3 236.363 2.550 20 0 BFADHN CCN(Cc1coc(C)n1)[C@H](C)C(C)C ZINC000659857818 411480422 /nfs/dbraw/zinc/48/04/22/411480422.db2.gz SCJCRCCBVYZBAW-SNVBAGLBSA-N 0 3 210.321 2.849 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H]2CSc3ccccc32)CO1 ZINC000657365635 411481260 /nfs/dbraw/zinc/48/12/60/411481260.db2.gz DEGRYOWIWNFBOJ-NQBHXWOUSA-N 0 3 249.379 2.991 20 0 BFADHN CC[C@@H](N[C@@H]1CO[C@H](CC)C1)c1ccncc1 ZINC000657374583 411482213 /nfs/dbraw/zinc/48/22/13/411482213.db2.gz OSWZDJLJWWFRJQ-BFHYXJOUSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1c[nH]c(CNC2CCC(C)(C)CC2)n1 ZINC000657374656 411482693 /nfs/dbraw/zinc/48/26/93/411482693.db2.gz PFBIWSFHUCXDLM-UHFFFAOYSA-N 0 3 221.348 2.777 20 0 BFADHN C[C@H]1CC(NCc2cc3n(n2)CCC3)C[C@H](C)C1 ZINC000657371702 411484762 /nfs/dbraw/zinc/48/47/62/411484762.db2.gz LTGZZTNUYYXQSJ-VXGBXAGGSA-N 0 3 247.386 2.744 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CC[C@H]3CCC[C@@H]3C2)n1 ZINC000657377047 411485806 /nfs/dbraw/zinc/48/58/06/411485806.db2.gz QXUCOOAYRQKXFG-JHJVBQTASA-N 0 3 233.359 2.777 20 0 BFADHN C[C@@H](CO)NCc1cccc(C2CCCC2)c1 ZINC000657379528 411487109 /nfs/dbraw/zinc/48/71/09/411487109.db2.gz ZIBZTANYEOWULQ-LBPRGKRZSA-N 0 3 233.355 2.815 20 0 BFADHN COc1cc(CN2CCC[C@H]2C2CC2)sn1 ZINC000659877271 411487225 /nfs/dbraw/zinc/48/72/25/411487225.db2.gz IFOVWUMHVJYWMC-NSHDSACASA-N 0 3 238.356 2.526 20 0 BFADHN Cc1ncc(CN[C@H]2C[C@@H]2C2CCCCC2)n1C ZINC000657379656 411487492 /nfs/dbraw/zinc/48/74/92/411487492.db2.gz CMIQMMKEUUTIMW-CABCVRRESA-N 0 3 247.386 2.787 20 0 BFADHN COc1cc(CN2CCCC2(C)C)sn1 ZINC000659876571 411487717 /nfs/dbraw/zinc/48/77/17/411487717.db2.gz KUUUEGIWVMSZMK-UHFFFAOYSA-N 0 3 226.345 2.526 20 0 BFADHN C[C@@H](Cc1ccccn1)N[C@@H](C)c1ccco1 ZINC000655839729 411533774 /nfs/dbraw/zinc/53/37/74/411533774.db2.gz YYRWRBJEBWXZLZ-RYUDHWBXSA-N 0 3 230.311 2.956 20 0 BFADHN Cc1cc(CN2[C@@H](C)CCC[C@@H]2C)nc(C)n1 ZINC000659909980 411494912 /nfs/dbraw/zinc/49/49/12/411494912.db2.gz HNRVQIJXPXEWML-RYUDHWBXSA-N 0 3 233.359 2.856 20 0 BFADHN CC[C@H](NCc1nc(C)c[nH]1)[C@H]1CC1(C)C ZINC000657494060 411533906 /nfs/dbraw/zinc/53/39/06/411533906.db2.gz KQLOMQFNDVMAIT-MNOVXSKESA-N 0 3 221.348 2.632 20 0 BFADHN CC[C@H]1C[C@H](Nc2cc(C)cc(C)n2)CO1 ZINC000657414298 411498624 /nfs/dbraw/zinc/49/86/24/411498624.db2.gz VTKROODBUWZZFR-RYUDHWBXSA-N 0 3 220.316 2.678 20 0 BFADHN Cc1cccc2[nH]c(CN[C@@]3(C)CCOC3)cc21 ZINC000657417789 411501205 /nfs/dbraw/zinc/50/12/05/411501205.db2.gz XOPBNIVGHJQCTH-HNNXBMFYSA-N 0 3 244.338 2.745 20 0 BFADHN Cc1c[nH]c(CNCCCc2cccs2)n1 ZINC000657423863 411504524 /nfs/dbraw/zinc/50/45/24/411504524.db2.gz NQLNKEXIZUQEKO-UHFFFAOYSA-N 0 3 235.356 2.502 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@H]1CO[C@@H](CC)C1 ZINC000657425762 411505295 /nfs/dbraw/zinc/50/52/95/411505295.db2.gz ONSIQUAXXBLKTC-YUTCNCBUSA-N 0 3 248.370 2.862 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cc3n(n2)CCC3)C[C@H]1C ZINC000657434222 411505760 /nfs/dbraw/zinc/50/57/60/411505760.db2.gz ARAJSHFQYFGRPR-UPJWGTAASA-N 0 3 247.386 2.744 20 0 BFADHN Cc1cccc2[nH]c(CNCCOC(C)C)cc21 ZINC000657436456 411508990 /nfs/dbraw/zinc/50/89/90/411508990.db2.gz UXMIOSQWZFTTRG-UHFFFAOYSA-N 0 3 246.354 2.991 20 0 BFADHN Cc1c[nH]c(CNC2CCC(C(F)F)CC2)n1 ZINC000657492560 411534674 /nfs/dbraw/zinc/53/46/74/411534674.db2.gz XNRJOEHHSWWYHX-UHFFFAOYSA-N 0 3 243.301 2.632 20 0 BFADHN CC(C)C(CNCc1cc2n(n1)CCC2)C(C)C ZINC000657444803 411512149 /nfs/dbraw/zinc/51/21/49/411512149.db2.gz OFSIZUXWLSDOOS-UHFFFAOYSA-N 0 3 249.402 2.847 20 0 BFADHN CCOc1ccc(CNC[C@@H](C)OC)c(C)c1 ZINC000657446043 411512546 /nfs/dbraw/zinc/51/25/46/411512546.db2.gz KFRCEMFDYXDIEB-GFCCVEGCSA-N 0 3 237.343 2.518 20 0 BFADHN CCc1nocc1CN1C[C@H](C)CC[C@H]1C ZINC000659971251 411513395 /nfs/dbraw/zinc/51/33/95/411513395.db2.gz OCMGPLFHSXYUCB-GHMZBOCLSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1nocc1CN1C[C@H](C)CC[C@@H]1C ZINC000659971253 411513569 /nfs/dbraw/zinc/51/35/69/411513569.db2.gz OCMGPLFHSXYUCB-MNOVXSKESA-N 0 3 222.332 2.857 20 0 BFADHN CC(C)=CCCNCc1c(C2CC2)cnn1C ZINC000657447920 411513996 /nfs/dbraw/zinc/51/39/96/411513996.db2.gz MBSOBPNFILYSFW-UHFFFAOYSA-N 0 3 233.359 2.743 20 0 BFADHN CC[C@@]1(C)CCCN(CCO[C@@H]2CC2(F)F)C1 ZINC000659974298 411514423 /nfs/dbraw/zinc/51/44/23/411514423.db2.gz HGMUZJDFFCXKRS-NEPJUHHUSA-N 0 3 247.329 2.923 20 0 BFADHN Cc1cccc(CN(C)CC2CC=CC2)n1 ZINC000660081287 411534898 /nfs/dbraw/zinc/53/48/98/411534898.db2.gz OZRFHNHENBZFOG-UHFFFAOYSA-N 0 3 216.328 2.788 20 0 BFADHN CCC1CCC(N(C)Cc2cn(C)cn2)CC1 ZINC000659983113 411518012 /nfs/dbraw/zinc/51/80/12/411518012.db2.gz DRQHWYFJSBXQSH-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1nc(CN2CC[C@H](C)[C@@H]2C)c(C)o1 ZINC000659984661 411519015 /nfs/dbraw/zinc/51/90/15/411519015.db2.gz QLFHBTCBBYNRSK-IUCAKERBSA-N 0 3 208.305 2.522 20 0 BFADHN COc1c(C)cccc1CN[C@H](C)[C@H]1CCCO1 ZINC000655811155 411519628 /nfs/dbraw/zinc/51/96/28/411519628.db2.gz IQVUGWNEDIUBMA-TZMCWYRMSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1c[nH]c(CNC(C2CCC2)C2CCC2)n1 ZINC000657465849 411519756 /nfs/dbraw/zinc/51/97/56/411519756.db2.gz JYGWTPTVHZUOLQ-UHFFFAOYSA-N 0 3 233.359 2.777 20 0 BFADHN C[C@H](CF)NCc1cc2ccncc2s1 ZINC000657466110 411520055 /nfs/dbraw/zinc/52/00/55/411520055.db2.gz ASOYWDGXASKNOS-MRVPVSSYSA-N 0 3 224.304 2.744 20 0 BFADHN CC[C@@H](CNCc1cc2ccc(C)cc2[nH]1)OC ZINC000657469030 411522059 /nfs/dbraw/zinc/52/20/59/411522059.db2.gz DBBOBBDDQPFJPB-AWEZNQCLSA-N 0 3 246.354 2.991 20 0 BFADHN Cc1cc(CN(C)[C@H](C)C2(C)CC2)nc(C)n1 ZINC000659992096 411522935 /nfs/dbraw/zinc/52/29/35/411522935.db2.gz BFYNSLISBDKEDF-LLVKDONJSA-N 0 3 233.359 2.714 20 0 BFADHN CN(Cc1cn(C)cn1)C1CCC(C)(C)CC1 ZINC000659996265 411523679 /nfs/dbraw/zinc/52/36/79/411523679.db2.gz KQQGEWBDAQHWBX-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN Cn1nc(CNC2(C)CC=CC2)c2ccccc21 ZINC000655815193 411523834 /nfs/dbraw/zinc/52/38/34/411523834.db2.gz YHLVREQCIHGNNF-UHFFFAOYSA-N 0 3 241.338 2.772 20 0 BFADHN C[C@H](F)CCNCc1c(C2CCC2)cnn1C ZINC000657475091 411523997 /nfs/dbraw/zinc/52/39/97/411523997.db2.gz IZMMRNBUMTXQSY-JTQLQIEISA-N 0 3 239.338 2.525 20 0 BFADHN Cc1ccc2nc(CNC3(C)CC=CC3)cn2c1 ZINC000655815948 411524542 /nfs/dbraw/zinc/52/45/42/411524542.db2.gz LKXSOGIVZMVCCP-UHFFFAOYSA-N 0 3 241.338 2.841 20 0 BFADHN c1c(CN2CCC3(CCC3)C2)onc1C1CC1 ZINC000660000424 411524872 /nfs/dbraw/zinc/52/48/72/411524872.db2.gz WSSNZRDQTGDFRJ-UHFFFAOYSA-N 0 3 232.327 2.928 20 0 BFADHN c1csc(N2CCN(CC3CC=CC3)CC2)c1 ZINC000659999817 411525144 /nfs/dbraw/zinc/52/51/44/411525144.db2.gz IDZSKTXMCGXWPP-UHFFFAOYSA-N 0 3 248.395 2.836 20 0 BFADHN Cc1nc(CNCCC(C)(C)C2CC2)[nH]c1C ZINC000657476075 411525190 /nfs/dbraw/zinc/52/51/90/411525190.db2.gz LCDHFTCYBJADNF-UHFFFAOYSA-N 0 3 235.375 2.942 20 0 BFADHN CC/C=C/CNCc1c(C2CCC2)cnn1C ZINC000657480023 411528005 /nfs/dbraw/zinc/52/80/05/411528005.db2.gz PPBLJHKMJCZBFX-SNAWJCMRSA-N 0 3 233.359 2.743 20 0 BFADHN CCC1(NCc2nc(C)c[nH]2)CCCCC1 ZINC000657483225 411528858 /nfs/dbraw/zinc/52/88/58/411528858.db2.gz MXPFAIIWIWETCQ-UHFFFAOYSA-N 0 3 221.348 2.921 20 0 BFADHN C[C@@H](Cc1ccccn1)N[C@@H](C)c1ccoc1 ZINC000655835987 411532029 /nfs/dbraw/zinc/53/20/29/411532029.db2.gz AATCRXFQICLNME-RYUDHWBXSA-N 0 3 230.311 2.956 20 0 BFADHN CC[C@H](CC(F)F)CN1CCN(C(C)C)CC1 ZINC000659825467 411464932 /nfs/dbraw/zinc/46/49/32/411464932.db2.gz AZIRIGQLNMFETL-GFCCVEGCSA-N 0 3 248.361 2.694 20 0 BFADHN CC[C@H]1CCCCN1CCO[C@@H]1CC1(F)F ZINC000659826975 411465182 /nfs/dbraw/zinc/46/51/82/411465182.db2.gz MHRGNICQGYMQHD-WDEREUQCSA-N 0 3 233.302 2.675 20 0 BFADHN CC[C@H]1C[C@H](NCCOc2ccccc2C)CO1 ZINC000657323924 411465370 /nfs/dbraw/zinc/46/53/70/411465370.db2.gz IZBJOPHOLBSBAK-KBPBESRZSA-N 0 3 249.354 2.531 20 0 BFADHN C[C@H](CO)NCc1ccc(-c2cccs2)cc1 ZINC000657325830 411465405 /nfs/dbraw/zinc/46/54/05/411465405.db2.gz LTXYLVZRAVYJDW-LLVKDONJSA-N 0 3 247.363 2.886 20 0 BFADHN Cn1ncc(C2CCC2)c1CN[C@H]1CC1(C)C ZINC000657327439 411465920 /nfs/dbraw/zinc/46/59/20/411465920.db2.gz WJYUNQPCNNZPKH-ZDUSSCGKSA-N 0 3 233.359 2.576 20 0 BFADHN CN(CCC1CC1)Cc1cnc2ccccc2n1 ZINC000660115518 411538648 /nfs/dbraw/zinc/53/86/48/411538648.db2.gz AYHQOYYQEGYJMV-UHFFFAOYSA-N 0 3 241.338 2.862 20 0 BFADHN CC(C)NCc1ccc(F)c(F)c1F ZINC000069049568 411538961 /nfs/dbraw/zinc/53/89/61/411538961.db2.gz CIGJQOHJGUEQSE-UHFFFAOYSA-N 0 3 203.207 2.602 20 0 BFADHN CN(CCC1CCC1)[C@@H]1CCCc2c1cnn2C ZINC000660119233 411539053 /nfs/dbraw/zinc/53/90/53/411539053.db2.gz WZLPPZMUGFYDNI-CQSZACIVSA-N 0 3 247.386 2.920 20 0 BFADHN Cc1occc1CN[C@@H]1CCCN2CCCC[C@@H]12 ZINC000655858922 411540128 /nfs/dbraw/zinc/54/01/28/411540128.db2.gz BNOODZBWPVDMAI-CABCVRRESA-N 0 3 248.370 2.695 20 0 BFADHN Cn1ccc(CNC[C@H]2CCC3(CCCC3)O2)c1 ZINC000655863317 411540156 /nfs/dbraw/zinc/54/01/56/411540156.db2.gz JUOIKMGNRMJCSR-CQSZACIVSA-N 0 3 248.370 2.607 20 0 BFADHN CCN1C[C@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000306305005 170033093 /nfs/dbraw/zinc/03/30/93/170033093.db2.gz RNALYXYCHYFGGG-KBPBESRZSA-N 0 3 232.371 2.601 20 0 BFADHN CC[C@H](CC(F)F)CN1CCN(CC)[C@@H](C)C1 ZINC000660126402 411541717 /nfs/dbraw/zinc/54/17/17/411541717.db2.gz PHIKHGKRGHBGPV-NWDGAFQWSA-N 0 3 248.361 2.694 20 0 BFADHN CO[C@@H](CN(C)[C@@H](C)c1ccncc1)C1CCC1 ZINC000660139749 411544126 /nfs/dbraw/zinc/54/41/26/411544126.db2.gz FOINVHSORHQCRA-WFASDCNBSA-N 0 3 248.370 2.890 20 0 BFADHN CCc1cccc(CN[C@@H]2CO[C@H](CC)C2)c1 ZINC000657502140 411537675 /nfs/dbraw/zinc/53/76/75/411537675.db2.gz FSSHRXYUBIWSPV-LSDHHAIUSA-N 0 3 233.355 2.906 20 0 BFADHN C[C@H]1C[C@@H](NCc2cc3ccncc3s2)CO1 ZINC000657501315 411537867 /nfs/dbraw/zinc/53/78/67/411537867.db2.gz XBCVSJWWPRCHMK-GXSJLCMTSA-N 0 3 248.351 2.563 20 0 BFADHN Cc1cc(F)ccc1C[C@H](C)NCc1ncc[nH]1 ZINC000657559837 411550795 /nfs/dbraw/zinc/55/07/95/411550795.db2.gz SGLDHXOUYQQLTI-NSHDSACASA-N 0 3 247.317 2.578 20 0 BFADHN Cc1cc(F)ccc1C[C@@H](C)NCc1ncc[nH]1 ZINC000657559836 411550817 /nfs/dbraw/zinc/55/08/17/411550817.db2.gz SGLDHXOUYQQLTI-LLVKDONJSA-N 0 3 247.317 2.578 20 0 BFADHN OC[C@H](NCc1ccsc1Cl)C1CCC1 ZINC000657559104 411550988 /nfs/dbraw/zinc/55/09/88/411550988.db2.gz VDABBNDYSFYKQU-JTQLQIEISA-N 0 3 245.775 2.652 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cc(C)nc(C)n1 ZINC000660169346 411551149 /nfs/dbraw/zinc/55/11/49/411551149.db2.gz MAWGOQIIENQQIB-FZMZJTMJSA-N 0 3 233.359 2.856 20 0 BFADHN CCc1nocc1CN1[C@H](CC)CC[C@@H]1C ZINC000660169223 411551179 /nfs/dbraw/zinc/55/11/79/411551179.db2.gz KCFPQDYPVHBZAY-CMPLNLGQSA-N 0 3 222.332 3.000 20 0 BFADHN Fc1cccn2cc(CN[C@]34C[C@H]3CCC4)nc12 ZINC000657550317 411551356 /nfs/dbraw/zinc/55/13/56/411551356.db2.gz ICGAGBGQLNBFBQ-QMTHXVAHSA-N 0 3 245.301 2.506 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cc(C)nc(C)n1 ZINC000660169348 411551422 /nfs/dbraw/zinc/55/14/22/411551422.db2.gz MAWGOQIIENQQIB-SMDDNHRTSA-N 0 3 233.359 2.856 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cc(OC)ns1 ZINC000660169627 411551565 /nfs/dbraw/zinc/55/15/65/411551565.db2.gz PMFSDPSAUMQKDS-VHSXEESVSA-N 0 3 240.372 2.915 20 0 BFADHN CCc1ccc(CN[C@@]23C[C@@H]2CCC3)o1 ZINC000657550807 411551857 /nfs/dbraw/zinc/55/18/57/411551857.db2.gz KOEPEODUVHYZFV-GWCFXTLKSA-N 0 3 205.301 2.874 20 0 BFADHN CCNCc1csc(-c2ccccc2)n1 ZINC000040414569 170130978 /nfs/dbraw/zinc/13/09/78/170130978.db2.gz LJGRDYIWYGGEAR-UHFFFAOYSA-N 0 3 218.325 2.920 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1nc(C)c[nH]1 ZINC000657580609 411554673 /nfs/dbraw/zinc/55/46/73/411554673.db2.gz YBFKGGVGNHMBRN-SNVBAGLBSA-N 0 3 209.337 2.632 20 0 BFADHN CC1(CNCc2cccnc2N2CCCC2)CC1 ZINC000657572358 411555098 /nfs/dbraw/zinc/55/50/98/411555098.db2.gz RCOXZAGJICNNJZ-UHFFFAOYSA-N 0 3 245.370 2.572 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1C[C@H](C)C[C@H](C)C1 ZINC000657589339 411555863 /nfs/dbraw/zinc/55/58/63/411555863.db2.gz JCBGVRWTXXHUMA-PJXYFTJBSA-N 0 3 235.375 2.880 20 0 BFADHN CCN[C@H](C)c1nc2c(s1)CCCC2 ZINC000041061280 170160266 /nfs/dbraw/zinc/16/02/66/170160266.db2.gz SUNWSVNLXHANIQ-MRVPVSSYSA-N 0 3 210.346 2.692 20 0 BFADHN CCN[C@H](C)c1ccnn1-c1ccccc1 ZINC000229442168 170160422 /nfs/dbraw/zinc/16/04/22/170160422.db2.gz PRKDDNBPPGHWBI-LLVKDONJSA-N 0 3 215.300 2.543 20 0 BFADHN C[C@@H](CNCc1ccncc1F)c1ccccn1 ZINC000657536618 411545663 /nfs/dbraw/zinc/54/56/63/411545663.db2.gz YNDKYMJOFHBCQY-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN Fc1cc(F)cc(CN[C@]23C[C@H]2CCC3)c1 ZINC000657549426 411546669 /nfs/dbraw/zinc/54/66/69/411546669.db2.gz DFJBZEOEVYNUCC-ZWNOBZJWSA-N 0 3 223.266 2.997 20 0 BFADHN CCOc1cccc(CN[C@]23C[C@H]2CCC3)n1 ZINC000657551477 411547743 /nfs/dbraw/zinc/54/77/43/411547743.db2.gz NWEMOCUGRHMMDQ-BXUZGUMPSA-N 0 3 232.327 2.513 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@@]12C[C@@H]1CCC2 ZINC000657551648 411547842 /nfs/dbraw/zinc/54/78/42/411547842.db2.gz KYZUBUHLUHIOEZ-KBPBESRZSA-N 0 3 233.359 2.805 20 0 BFADHN CC(C)(C)c1ncc(CN[C@]23C[C@H]2CCC3)cn1 ZINC000657550936 411547911 /nfs/dbraw/zinc/54/79/11/411547911.db2.gz FXYTVYJPWWAEIQ-IUODEOHRSA-N 0 3 245.370 2.806 20 0 BFADHN COc1cccc(CN[C@]23C[C@H]2CCC3)c1F ZINC000657552530 411548097 /nfs/dbraw/zinc/54/80/97/411548097.db2.gz PXHGMKUHELXSFI-BXUZGUMPSA-N 0 3 235.302 2.867 20 0 BFADHN COc1cccc(CN[C@@]23C[C@@H]2CCC3)c1F ZINC000657552533 411548213 /nfs/dbraw/zinc/54/82/13/411548213.db2.gz PXHGMKUHELXSFI-FZMZJTMJSA-N 0 3 235.302 2.867 20 0 BFADHN Clc1ccc(CN[C@]23C[C@H]2CCC3)o1 ZINC000657552662 411548496 /nfs/dbraw/zinc/54/84/96/411548496.db2.gz SKHXSGFXOLCGHD-LDYMZIIASA-N 0 3 211.692 2.965 20 0 BFADHN Fc1ccc(F)c(CN[C@@]23C[C@@H]2CCC3)c1 ZINC000657554204 411549235 /nfs/dbraw/zinc/54/92/35/411549235.db2.gz XXOUOYHFLARNSG-GWCFXTLKSA-N 0 3 223.266 2.997 20 0 BFADHN Cc1c[nH]c(CNCCc2ccc(C)c(C)c2)n1 ZINC000657626572 411565884 /nfs/dbraw/zinc/56/58/84/411565884.db2.gz MMWUWFBZXYDARP-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN CCOC(=O)CCNC(C)(C)c1cccs1 ZINC000309984056 170208773 /nfs/dbraw/zinc/20/87/73/170208773.db2.gz XLUWDIRUJMVNSS-UHFFFAOYSA-N 0 3 241.356 2.526 20 0 BFADHN CCOC(=O)CCN[C@@H](CC)c1ccccc1 ZINC000045686269 170209970 /nfs/dbraw/zinc/20/99/70/170209970.db2.gz XUQYMHZCYDTBLF-ZDUSSCGKSA-N 0 3 235.327 2.681 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)co1 ZINC000660305699 411566827 /nfs/dbraw/zinc/56/68/27/411566827.db2.gz MKNBXWGOZFSRST-GIPNMCIBSA-N 0 3 222.332 2.897 20 0 BFADHN CCOC(=O)CN(CC(C)C)CC1CCCC1 ZINC000340633817 170215492 /nfs/dbraw/zinc/21/54/92/170215492.db2.gz UHEKAGBZIRTOSA-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN CCOC(=O)CN1CCC[C@@H]1C1CCCCC1 ZINC000339004665 170218732 /nfs/dbraw/zinc/21/87/32/170218732.db2.gz BWCNHEAXOQGLGS-CYBMUJFWSA-N 0 3 239.359 2.594 20 0 BFADHN CCOC(=O)C[C@@](C)(NC/C=C/Cl)C(C)C ZINC000186677230 170232613 /nfs/dbraw/zinc/23/26/13/170232613.db2.gz RVMDNQYGWDWCJI-NNNHXZLVSA-N 0 3 247.766 2.696 20 0 BFADHN CC[C@H](C)CCNCc1cc2n(n1)CCCC2 ZINC000657585525 411557147 /nfs/dbraw/zinc/55/71/47/411557147.db2.gz BZAPTKFIYAZBCO-LBPRGKRZSA-N 0 3 235.375 2.745 20 0 BFADHN CC[C@@H](C)CCNCc1cn(C(C)(C)C)nn1 ZINC000657586483 411557285 /nfs/dbraw/zinc/55/72/85/411557285.db2.gz ITVJJJTYAZLCKN-LLVKDONJSA-N 0 3 238.379 2.559 20 0 BFADHN CC[C@@H](C)CCNCc1cnc(C2CC2)nc1 ZINC000657586077 411557294 /nfs/dbraw/zinc/55/72/94/411557294.db2.gz GSPXOERRXBDRKU-LLVKDONJSA-N 0 3 233.359 2.880 20 0 BFADHN CC[C@@H](C)CCNCc1ccc(COC)o1 ZINC000657586091 411557303 /nfs/dbraw/zinc/55/73/03/411557303.db2.gz HFYJIXVOFAWNQM-LLVKDONJSA-N 0 3 225.332 2.952 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1CCC[C@@H]1C ZINC000657585342 411557316 /nfs/dbraw/zinc/55/73/16/411557316.db2.gz JDTMXCZGAFZEDI-WCQYABFASA-N 0 3 249.354 2.982 20 0 BFADHN OC[C@@H](NCCC1CCC1)c1cccc(F)c1 ZINC000660236594 411557700 /nfs/dbraw/zinc/55/77/00/411557700.db2.gz PGLOAMAXFJTURA-CQSZACIVSA-N 0 3 237.318 2.639 20 0 BFADHN CC[C@@H](C)CCNCc1cc(OC)cc(C)n1 ZINC000657587884 411557954 /nfs/dbraw/zinc/55/79/54/411557954.db2.gz ZMRGPJZXNRTTCA-LLVKDONJSA-N 0 3 236.359 2.924 20 0 BFADHN CC[C@H](C)CCNCc1cccc(OC)n1 ZINC000657587003 411558016 /nfs/dbraw/zinc/55/80/16/411558016.db2.gz OHQZXISFQPCZOE-NSHDSACASA-N 0 3 222.332 2.616 20 0 BFADHN CC[C@@H](C)CCNCc1cccc(OC)n1 ZINC000657587002 411558090 /nfs/dbraw/zinc/55/80/90/411558090.db2.gz OHQZXISFQPCZOE-LLVKDONJSA-N 0 3 222.332 2.616 20 0 BFADHN CC[C@@H](C)CC[NH2+]Cc1nc(C)ccc1[O-] ZINC000657587482 411558178 /nfs/dbraw/zinc/55/81/78/411558178.db2.gz WBMVNJMTIJGBDD-SNVBAGLBSA-N 0 3 222.332 2.621 20 0 BFADHN CCOC(=O)[C@@H](C)N(CC)CC(CC)CC ZINC000043638737 170246927 /nfs/dbraw/zinc/24/69/27/170246927.db2.gz KFFJZLIHWCTZKR-LLVKDONJSA-N 0 3 229.364 2.696 20 0 BFADHN Cc1nc(CN[C@@H]2CCCC[C@@H]2C)c(C)o1 ZINC000660256308 411559405 /nfs/dbraw/zinc/55/94/05/411559405.db2.gz HMVXMOWNTLWTBF-JOYOIKCWSA-N 0 3 222.332 2.960 20 0 BFADHN Cc1cc(CN2CC[C@H](C(C)(C)C)C2)nc(C)n1 ZINC000660255667 411560761 /nfs/dbraw/zinc/56/07/61/411560761.db2.gz XLBUQEDNEQMYJL-ZDUSSCGKSA-N 0 3 247.386 2.961 20 0 BFADHN CCO[C@H]1C[C@H](NCc2cc(C)ccc2OC)C1 ZINC000657610262 411562564 /nfs/dbraw/zinc/56/25/64/411562564.db2.gz MRDSWJKZNCXLKA-HDJSIYSDSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@H](NCc1cc2ccccc2o1)C1(CO)CC1 ZINC000657604825 411563561 /nfs/dbraw/zinc/56/35/61/411563561.db2.gz XTFHJBKSSJNWDU-NSHDSACASA-N 0 3 245.322 2.683 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cc(OC)no1 ZINC000660276651 411564158 /nfs/dbraw/zinc/56/41/58/411564158.db2.gz RLFCXCTZNCEBTJ-NSHDSACASA-N 0 3 238.331 2.838 20 0 BFADHN Cc1ccc(CNCCO[C@H]2CC2(F)F)cc1 ZINC000657618477 411564526 /nfs/dbraw/zinc/56/45/26/411564526.db2.gz VGOIXDBQRKMBGM-LBPRGKRZSA-N 0 3 241.281 2.509 20 0 BFADHN Cc1nocc1CNCCc1ccc(C)c(C)c1 ZINC000657626271 411565670 /nfs/dbraw/zinc/56/56/70/411565670.db2.gz HVZOKDCLAPUKDM-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN CC(C)(C)n1cc(CNCCc2ccoc2)cn1 ZINC000657652779 411570523 /nfs/dbraw/zinc/57/05/23/411570523.db2.gz PGWCEKPTWFMYER-UHFFFAOYSA-N 0 3 247.342 2.563 20 0 BFADHN COc1cc(CN2CC[C@@H]3CCC[C@H]32)sn1 ZINC000660332309 411570745 /nfs/dbraw/zinc/57/07/45/411570745.db2.gz KRPHNGYNSFSVEO-GXSJLCMTSA-N 0 3 238.356 2.526 20 0 BFADHN c1cc(CCNCc2nc3c(s2)CCC3)co1 ZINC000657654545 411570966 /nfs/dbraw/zinc/57/09/66/411570966.db2.gz WDSSBNHDCQIXGP-UHFFFAOYSA-N 0 3 248.351 2.557 20 0 BFADHN c1cc(CCNCc2scnc2C2CC2)co1 ZINC000657654439 411571159 /nfs/dbraw/zinc/57/11/59/411571159.db2.gz UHWZWDJOPBJWOM-UHFFFAOYSA-N 0 3 248.351 2.946 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000657655349 411571180 /nfs/dbraw/zinc/57/11/80/411571180.db2.gz DGAJIVDSFUWRPF-MDZLAQPJSA-N 0 3 220.316 2.509 20 0 BFADHN c1csc(CNC[C@@H]2CCC[C@H]3C[C@H]32)n1 ZINC000657656510 411571390 /nfs/dbraw/zinc/57/13/90/411571390.db2.gz IYMLSLDTYOZVKU-GARJFASQSA-N 0 3 222.357 2.669 20 0 BFADHN c1coc(CNC[C@@H]2CCC[C@H]3C[C@H]32)c1 ZINC000657656138 411571492 /nfs/dbraw/zinc/57/14/92/411571492.db2.gz LZSRUDADQNMHDG-GMXVVIOVSA-N 0 3 205.301 2.805 20 0 BFADHN COCc1csc(CNCc2ccncc2)c1 ZINC000657658980 411572364 /nfs/dbraw/zinc/57/23/64/411572364.db2.gz MOHFBTQBDTXKMT-UHFFFAOYSA-N 0 3 248.351 2.579 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1ccc(F)cn1 ZINC000159227294 170313325 /nfs/dbraw/zinc/31/33/25/170313325.db2.gz MQPOOCNYALMRFP-SNVBAGLBSA-N 0 3 240.322 2.686 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1ccccn1 ZINC000166963858 170313932 /nfs/dbraw/zinc/31/39/32/170313932.db2.gz JHPKCEPCTFMOFV-NSHDSACASA-N 0 3 222.332 2.547 20 0 BFADHN CCOC(CN1CCC(C2CC2)CC1)OCC ZINC000366417405 170314989 /nfs/dbraw/zinc/31/49/89/170314989.db2.gz LDULWZCCOSLUBW-UHFFFAOYSA-N 0 3 241.375 2.508 20 0 BFADHN CCc1nocc1CNCCCC1CCC1 ZINC000657667545 411573161 /nfs/dbraw/zinc/57/31/61/411573161.db2.gz SADVHMFWDOREMB-UHFFFAOYSA-N 0 3 222.332 2.907 20 0 BFADHN CCOC1(C)CCN(Cc2occc2C)CC1 ZINC000292831764 170318682 /nfs/dbraw/zinc/31/86/82/170318682.db2.gz RGUAPNHEPMSOFC-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN COc1cc(CN2CCC[C@@H](C(C)C)C2)on1 ZINC000660370049 411573537 /nfs/dbraw/zinc/57/35/37/411573537.db2.gz GOASBTNCGBWNIQ-LLVKDONJSA-N 0 3 238.331 2.551 20 0 BFADHN CCOC1CC(N(C)Cc2cccs2)C1 ZINC000286995540 170328158 /nfs/dbraw/zinc/32/81/58/170328158.db2.gz PTJRAZRTRFRYPL-UHFFFAOYSA-N 0 3 225.357 2.747 20 0 BFADHN COc1ccccc1CNC[C@@]1(C)CC1(F)F ZINC000657668320 411574001 /nfs/dbraw/zinc/57/40/01/411574001.db2.gz FILCDTZOQLQPKB-GFCCVEGCSA-N 0 3 241.281 2.830 20 0 BFADHN CCc1nocc1CNCC[C@@]1(C)CC1(F)F ZINC000657670147 411574290 /nfs/dbraw/zinc/57/42/90/411574290.db2.gz KMCUSSDHAUAFOJ-NSHDSACASA-N 0 3 244.285 2.762 20 0 BFADHN CCOC1CC2(C1)CCN(Cc1ccco1)C2 ZINC000289623532 170332610 /nfs/dbraw/zinc/33/26/10/170332610.db2.gz LPWMSKLQGYTZAK-UHFFFAOYSA-N 0 3 235.327 2.671 20 0 BFADHN CCOC1CC2(C1)CCN(Cc1occc1C)C2 ZINC000289193657 170332797 /nfs/dbraw/zinc/33/27/97/170332797.db2.gz AZHRLEUIYZQXEE-UHFFFAOYSA-N 0 3 249.354 2.979 20 0 BFADHN C[C@@H]1CN(CCC2CCC2)[C@H](C)[C@H](C)O1 ZINC000660373465 411574607 /nfs/dbraw/zinc/57/46/07/411574607.db2.gz AJUZWTIDLVOMGA-UTUOFQBUSA-N 0 3 211.349 2.674 20 0 BFADHN C[C@@]1(CCNCc2ccncc2F)CC1(F)F ZINC000657671531 411575130 /nfs/dbraw/zinc/57/51/30/411575130.db2.gz VRYHMNCRSUCQCZ-LLVKDONJSA-N 0 3 244.260 2.746 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1cccs1 ZINC000071011358 411575410 /nfs/dbraw/zinc/57/54/10/411575410.db2.gz JFAYRBJWYVUUEW-NXEZZACHSA-N 0 3 211.330 2.578 20 0 BFADHN CCOCC(C)(C)NCc1ccc(C)nc1C ZINC000339370843 170355067 /nfs/dbraw/zinc/35/50/67/170355067.db2.gz FZICXBVSAOEHQH-UHFFFAOYSA-N 0 3 236.359 2.603 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2cccc(F)c2)O1 ZINC000657662707 411575745 /nfs/dbraw/zinc/57/57/45/411575745.db2.gz FRNULBPPGITHBJ-BXUZGUMPSA-N 0 3 237.318 2.873 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1coc(C)n1 ZINC000660317174 411568307 /nfs/dbraw/zinc/56/83/07/411568307.db2.gz NTPHWXWVYDEXPN-BXKDBHETSA-N 0 3 208.305 2.603 20 0 BFADHN CCOCCCCN[C@H](C)c1cc(C)ccn1 ZINC000285528369 170369165 /nfs/dbraw/zinc/36/91/65/170369165.db2.gz FGSVAUKWMJJVAV-CYBMUJFWSA-N 0 3 236.359 2.857 20 0 BFADHN C(CC1CCC1)CN1CCO[C@H](C2CC2)C1 ZINC000660323004 411568923 /nfs/dbraw/zinc/56/89/23/411568923.db2.gz PPBCUUUBLSGQSH-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN CCOCCCN1CCC[C@H]1c1cccnc1 ZINC000336682178 170373622 /nfs/dbraw/zinc/37/36/22/170373622.db2.gz CYUDETXAWCKKAQ-AWEZNQCLSA-N 0 3 234.343 2.645 20 0 BFADHN Cn1c(CNCCC(C)(C)F)nc2ccccc21 ZINC000657644975 411569059 /nfs/dbraw/zinc/56/90/59/411569059.db2.gz WXZRZUMGYQHALT-UHFFFAOYSA-N 0 3 249.333 2.801 20 0 BFADHN Cn1ncc(C2CC2)c1CNCCC(C)(C)F ZINC000657646182 411569405 /nfs/dbraw/zinc/56/94/05/411569405.db2.gz XHUNHLMBRRPAKW-UHFFFAOYSA-N 0 3 239.338 2.525 20 0 BFADHN CCOCCCN[C@H](C)c1ccc(CC)o1 ZINC000131606618 170383019 /nfs/dbraw/zinc/38/30/19/170383019.db2.gz VFWNUAJTIMIYAQ-LLVKDONJSA-N 0 3 225.332 2.919 20 0 BFADHN CCOCCN(C)C/C=C\c1ccc(F)cc1 ZINC000354770731 170388622 /nfs/dbraw/zinc/38/86/22/170388622.db2.gz SOTCVIROOWPEPJ-PLNGDYQASA-N 0 3 237.318 2.807 20 0 BFADHN COc1cc(CN[C@]2(C)CCCC[C@@H]2C)on1 ZINC000660766933 411623089 /nfs/dbraw/zinc/62/30/89/411623089.db2.gz JOSOXKJDYIHWFZ-GXFFZTMASA-N 0 3 238.331 2.742 20 0 BFADHN CCOCCN(C)[C@@H](C)c1cccc(OC)c1 ZINC000128220396 170391967 /nfs/dbraw/zinc/39/19/67/170391967.db2.gz JDZRSEVLVJJGOB-LBPRGKRZSA-N 0 3 237.343 2.725 20 0 BFADHN CCOCCN1CCC(c2ccsc2)CC1 ZINC000186910418 170398351 /nfs/dbraw/zinc/39/83/51/170398351.db2.gz OJTOWLPOKSVSCV-UHFFFAOYSA-N 0 3 239.384 2.964 20 0 BFADHN CCOCCN1CCC[C@@H](Oc2ccccc2)C1 ZINC000369317371 170398719 /nfs/dbraw/zinc/39/87/19/170398719.db2.gz WTKDMCDEHSJIBA-OAHLLOKOSA-N 0 3 249.354 2.566 20 0 BFADHN COCC1(NCc2cccc(O)c2)CCCCC1 ZINC000663337026 411627787 /nfs/dbraw/zinc/62/77/87/411627787.db2.gz IEQABTOXKIQADI-UHFFFAOYSA-N 0 3 249.354 2.831 20 0 BFADHN FCCOCCNCc1cc2ccccc2o1 ZINC000663340209 411629477 /nfs/dbraw/zinc/62/94/77/411629477.db2.gz LKLQQSACGSRATG-UHFFFAOYSA-N 0 3 237.274 2.509 20 0 BFADHN CC(C)=CCCN1CCO[C@@H](C2CCC2)C1 ZINC000660798855 411638255 /nfs/dbraw/zinc/63/82/55/411638255.db2.gz QRSFQJUXEDBPSX-CQSZACIVSA-N 0 3 223.360 2.844 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@H](C)[C@H]2C)c(C)o1 ZINC000660824930 411647193 /nfs/dbraw/zinc/64/71/93/411647193.db2.gz RTNOZWXBHGRXFG-LPEHRKFASA-N 0 3 222.332 2.768 20 0 BFADHN CCN(Cc1occc1C)[C@H]1COC(C)(C)C1 ZINC000660808785 411643222 /nfs/dbraw/zinc/64/32/22/411643222.db2.gz RFVMVKHOZQOWHO-GFCCVEGCSA-N 0 3 237.343 2.977 20 0 BFADHN CCc1nc([C@H](C)N2CC[C@H](C3CCC3)C2)n[nH]1 ZINC000660813356 411645288 /nfs/dbraw/zinc/64/52/88/411645288.db2.gz IJSUXACLADCLOU-JQWIXIFHSA-N 0 3 248.374 2.550 20 0 BFADHN COc1ccnc(CN[C@H]2CCC[C@@H]2C)c1F ZINC000657672848 411575995 /nfs/dbraw/zinc/57/59/95/411575995.db2.gz JVFOUSIUCDDGEO-UWVGGRQHSA-N 0 3 238.306 2.508 20 0 BFADHN Cc1ccoc1CNC[C@]1(C)CC1(F)F ZINC000657664090 411576975 /nfs/dbraw/zinc/57/69/75/411576975.db2.gz HUSCQPRHVLHUFF-JTQLQIEISA-N 0 3 215.243 2.723 20 0 BFADHN C[C@H]1CCC[C@H](CNCc2ccsc2)O1 ZINC000657665136 411577422 /nfs/dbraw/zinc/57/74/22/411577422.db2.gz NWQCGAFWAWDABQ-CMPLNLGQSA-N 0 3 225.357 2.795 20 0 BFADHN COc1ccc(CNC[C@@H]2CCC[C@@H](C)O2)cc1 ZINC000657665727 411577840 /nfs/dbraw/zinc/57/78/40/411577840.db2.gz QBYKTSSSCOKLBS-DOMZBBRYSA-N 0 3 249.354 2.742 20 0 BFADHN CCc1ccc(CNC[C@@]2(C)CC2(F)F)o1 ZINC000657665944 411578001 /nfs/dbraw/zinc/57/80/01/411578001.db2.gz QEDDTKGVDWHPAH-LLVKDONJSA-N 0 3 229.270 2.977 20 0 BFADHN COc1ccc(CNC[C@H]2CCC[C@@H](C)O2)cc1 ZINC000657665728 411578162 /nfs/dbraw/zinc/57/81/62/411578162.db2.gz QBYKTSSSCOKLBS-IUODEOHRSA-N 0 3 249.354 2.742 20 0 BFADHN CC[C@](C)(NCc1ccncc1F)C1CC1 ZINC000657687484 411578598 /nfs/dbraw/zinc/57/85/98/411578598.db2.gz GZNLQKCKCNUPAR-ZDUSSCGKSA-N 0 3 222.307 2.889 20 0 BFADHN Oc1ccc2c(c1)[C@H](N[C@@H]1CCCSC1)CC2 ZINC000657694161 411578761 /nfs/dbraw/zinc/57/87/61/411578761.db2.gz SNTOLLLGDPUATO-BXUZGUMPSA-N 0 3 249.379 2.865 20 0 BFADHN CC[C@@](C)(NCc1nc(C)c(C)[nH]1)C1CC1 ZINC000657689013 411579123 /nfs/dbraw/zinc/57/91/23/411579123.db2.gz MOVQAGZGAHOAGA-CYBMUJFWSA-N 0 3 221.348 2.695 20 0 BFADHN Cn1cccc1CN[C@@H]1CCc2ccc(O)cc21 ZINC000657692287 411579452 /nfs/dbraw/zinc/57/94/52/411579452.db2.gz QMPYQZWFAHZISB-OAHLLOKOSA-N 0 3 242.322 2.508 20 0 BFADHN CCc1nocc1CN[C@@H]1CCC[C@@H]1CC ZINC000660409100 411580792 /nfs/dbraw/zinc/58/07/92/411580792.db2.gz BDECESUXTQLXCM-GXFFZTMASA-N 0 3 222.332 2.905 20 0 BFADHN CCOC[C@@H](NCc1cccc(O)c1)C(C)C ZINC000188865018 170434469 /nfs/dbraw/zinc/43/44/69/170434469.db2.gz KJADWJRYHQSABL-CQSZACIVSA-N 0 3 237.343 2.543 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@@H]2C)c(C)o1 ZINC000660411491 411581400 /nfs/dbraw/zinc/58/14/00/411581400.db2.gz YHMCEIOGYDQVOW-GZMMTYOYSA-N 0 3 208.305 2.570 20 0 BFADHN COc1cc(CN(CC2CCC2)C(C)C)on1 ZINC000660423309 411582790 /nfs/dbraw/zinc/58/27/90/411582790.db2.gz PKHMSXYTNFAOEN-UHFFFAOYSA-N 0 3 238.331 2.694 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@@H](O)C23CCC3)cc1 ZINC000657704843 411584126 /nfs/dbraw/zinc/58/41/26/411584126.db2.gz VQCJWJWPFNZLHY-HUUCEWRRSA-N 0 3 245.366 2.642 20 0 BFADHN CCc1cccc(CN[C@@H]2C[C@@H](O)C23CCC3)c1 ZINC000657709033 411585020 /nfs/dbraw/zinc/58/50/20/411585020.db2.gz OCOYCDMBZYPZIQ-HUUCEWRRSA-N 0 3 245.366 2.642 20 0 BFADHN CCc1cccc(CN[C@H]2C[C@H](O)C23CCC3)c1 ZINC000657709032 411585353 /nfs/dbraw/zinc/58/53/53/411585353.db2.gz OCOYCDMBZYPZIQ-GJZGRUSLSA-N 0 3 245.366 2.642 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C12CCC2)c1ccccc1F ZINC000657708575 411585389 /nfs/dbraw/zinc/58/53/89/411585389.db2.gz LURXERPGNFJJKD-BPNCWPANSA-N 0 3 249.329 2.780 20 0 BFADHN C[C@@H]1CN(CCC2CCC2)C[C@H](C2CC2)O1 ZINC000660445773 411585550 /nfs/dbraw/zinc/58/55/50/411585550.db2.gz NWPXNHLTMDTLMC-BXUZGUMPSA-N 0 3 223.360 2.676 20 0 BFADHN Fc1cc(F)c(CN[C@@H]2[C@@H]3CCC[C@@H]32)cc1F ZINC000657733819 411587262 /nfs/dbraw/zinc/58/72/62/411587262.db2.gz QGIKVRUQNRAZJN-YFKFIEJBSA-N 0 3 241.256 2.992 20 0 BFADHN Cc1ccc(CNC[C@H]2C(C)(C)C2(F)F)nc1 ZINC000657737960 411588232 /nfs/dbraw/zinc/58/82/32/411588232.db2.gz NXYOHYYOABLYPS-NSHDSACASA-N 0 3 240.297 2.771 20 0 BFADHN Cc1ccoc1CNC[C@H]1C(C)(C)C1(F)F ZINC000657739375 411588643 /nfs/dbraw/zinc/58/86/43/411588643.db2.gz YNSQFCNTQHBRBE-JTQLQIEISA-N 0 3 229.270 2.969 20 0 BFADHN COc1cccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)c1F ZINC000657734525 411589437 /nfs/dbraw/zinc/58/94/37/411589437.db2.gz PBHZSOZEEZOIEJ-GNXNZQSNSA-N 0 3 235.302 2.722 20 0 BFADHN Cc1nocc1CN[C@H](C)C1CCC(F)CC1 ZINC000657743008 411589644 /nfs/dbraw/zinc/58/96/44/411589644.db2.gz DJSPXDSYYBIFNX-CBZQXFMTSA-N 0 3 240.322 2.989 20 0 BFADHN CC1(C)C[C@]1(C)NCc1cccc2c1OCCO2 ZINC000657760845 411590799 /nfs/dbraw/zinc/59/07/99/411590799.db2.gz AHXDELJIQBBPJT-HNNXBMFYSA-N 0 3 247.338 2.736 20 0 BFADHN CC(C)n1cncc1CN[C@]1(C)CC1(C)C ZINC000657761226 411590883 /nfs/dbraw/zinc/59/08/83/411590883.db2.gz GMVAHLYXOPIBNL-CYBMUJFWSA-N 0 3 221.348 2.742 20 0 BFADHN COc1cc(CN[C@]2(C)CC2(C)C)cc(OC)c1 ZINC000657760654 411590961 /nfs/dbraw/zinc/59/09/61/411590961.db2.gz OCAKOUHFJPXTJQ-OAHLLOKOSA-N 0 3 249.354 2.982 20 0 BFADHN CC1(C)C[C@]1(C)NCc1ccc(-c2cc[nH]n2)o1 ZINC000657760875 411590986 /nfs/dbraw/zinc/59/09/86/411590986.db2.gz AXMWKWDTYLACQL-AWEZNQCLSA-N 0 3 245.326 2.948 20 0 BFADHN COc1ccc(CN[C@@]2(C)CC2(C)C)c(OC)c1 ZINC000657760300 411591000 /nfs/dbraw/zinc/59/10/00/411591000.db2.gz FWWKFYWBFDBRDA-HNNXBMFYSA-N 0 3 249.354 2.982 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1cccc2c1OCO2 ZINC000657760858 411591013 /nfs/dbraw/zinc/59/10/13/411591013.db2.gz ANKRIOVZRNXLOR-CQSZACIVSA-N 0 3 233.311 2.694 20 0 BFADHN Cc1ccc2ncc(CN[C@]3(C)CC3(C)C)n2c1 ZINC000657761858 411591421 /nfs/dbraw/zinc/59/14/21/411591421.db2.gz SYAUFXYHUORUQI-OAHLLOKOSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1ncccc1CN[C@@]1(C)CC1(C)C ZINC000657761382 411591607 /nfs/dbraw/zinc/59/16/07/411591607.db2.gz JQENVKICVOFNLM-ZDUSSCGKSA-N 0 3 204.317 2.668 20 0 BFADHN CCOc1cccc(CN[C@]2(C)CC2(C)C)n1 ZINC000657761877 411591698 /nfs/dbraw/zinc/59/16/98/411591698.db2.gz UGGRXOQDOAKZCA-CQSZACIVSA-N 0 3 234.343 2.759 20 0 BFADHN COc1cc(C)nc(CN[C@]2(C)CC2(C)C)c1 ZINC000657761405 411591739 /nfs/dbraw/zinc/59/17/39/411591739.db2.gz KDCHCPUTWMCGSZ-CQSZACIVSA-N 0 3 234.343 2.677 20 0 BFADHN Cc1ncsc1CN[C@]1(C)CC1(C)C ZINC000657761493 411591748 /nfs/dbraw/zinc/59/17/48/411591748.db2.gz MEXMJOBMRFESBX-LLVKDONJSA-N 0 3 210.346 2.730 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1cccs1 ZINC000044688748 170447632 /nfs/dbraw/zinc/44/76/32/170447632.db2.gz XVTMEVYTOZIFAL-VHSXEESVSA-N 0 3 213.346 2.824 20 0 BFADHN Cc1c[nH]c(CN[C@@H](C)C2CCC(F)CC2)n1 ZINC000657748477 411592543 /nfs/dbraw/zinc/59/25/43/411592543.db2.gz UYZQOKDXCGRXHD-UNXYVOJBSA-N 0 3 239.338 2.725 20 0 BFADHN C[C@H](C1CCCCC1)N(C)Cc1cn(C)cn1 ZINC000660486028 411592793 /nfs/dbraw/zinc/59/27/93/411592793.db2.gz IKVAROZILHTKNT-GFCCVEGCSA-N 0 3 235.375 2.821 20 0 BFADHN CO[C@@H](CNCc1cnccc1C)C1CCCC1 ZINC000657766456 411592946 /nfs/dbraw/zinc/59/29/46/411592946.db2.gz BQKADOVNPFHWCD-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN c1c[nH]c(CNC2CC3(C2)CCCCC3)n1 ZINC000657752549 411593244 /nfs/dbraw/zinc/59/32/44/411593244.db2.gz RWHWPQXYHYKPEV-UHFFFAOYSA-N 0 3 219.332 2.612 20 0 BFADHN CO[C@@H](CNCc1occc1C)C1CCCC1 ZINC000657768021 411593450 /nfs/dbraw/zinc/59/34/50/411593450.db2.gz SXVSBASRIBVSPP-AWEZNQCLSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1nocc1CNC1(CC(C)C)CC1 ZINC000657775580 411594282 /nfs/dbraw/zinc/59/42/82/411594282.db2.gz RXXLGFGBDKRRIO-UHFFFAOYSA-N 0 3 208.305 2.651 20 0 BFADHN c1c[nH]c(CNC2CCC(C3CCC3)CC2)n1 ZINC000657784161 411594798 /nfs/dbraw/zinc/59/47/98/411594798.db2.gz NRCRFSULZOJRTD-UHFFFAOYSA-N 0 3 233.359 2.858 20 0 BFADHN CCc1ccc(CNC[C@@H]2CCOC2(C)C)o1 ZINC000657785142 411595194 /nfs/dbraw/zinc/59/51/94/411595194.db2.gz IKJNGKQHLAWYLZ-NSHDSACASA-N 0 3 237.343 2.747 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc(OC(C)C)cc1 ZINC000657788090 411596074 /nfs/dbraw/zinc/59/60/74/411596074.db2.gz FJROMQMIFKXDRH-CABCVRRESA-N 0 3 249.354 2.741 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cc(F)cc(Cl)c1 ZINC000657789753 411596432 /nfs/dbraw/zinc/59/64/32/411596432.db2.gz IOFJFIUEDBFOSP-NEPJUHHUSA-N 0 3 243.709 2.746 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc(F)c(Cl)c1 ZINC000657788754 411596557 /nfs/dbraw/zinc/59/65/57/411596557.db2.gz VKAGXHLIMASXPV-NEPJUHHUSA-N 0 3 243.709 2.746 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc(C2CC2)cc1 ZINC000657789241 411596800 /nfs/dbraw/zinc/59/68/00/411596800.db2.gz DDFJEXCCLWAXEE-CABCVRRESA-N 0 3 231.339 2.831 20 0 BFADHN CO[C@H](CNCC1(F)CC1)C1CCCCC1 ZINC000657781799 411597597 /nfs/dbraw/zinc/59/75/97/411597597.db2.gz IQRYEPHDJQVVLE-GFCCVEGCSA-N 0 3 229.339 2.673 20 0 BFADHN CC[C@H](C)CN[C@H](CO)c1ccc(F)cc1F ZINC000657792110 411598413 /nfs/dbraw/zinc/59/84/13/411598413.db2.gz LFRMVMZJAXHDSU-TVQRCGJNSA-N 0 3 243.297 2.634 20 0 BFADHN CC(C)=CCCN[C@@](C)(CO)c1cccc(O)c1 ZINC000660556009 411599603 /nfs/dbraw/zinc/59/96/03/411599603.db2.gz DANWMUUKVCUYSV-HNNXBMFYSA-N 0 3 249.354 2.546 20 0 BFADHN C[C@H]1CN([C@H]2COC(C)(C)C2)CCC1(F)F ZINC000660565679 411600946 /nfs/dbraw/zinc/60/09/46/411600946.db2.gz GXSMJZIQQNPOAW-VHSXEESVSA-N 0 3 233.302 2.531 20 0 BFADHN O[C@H]1C[C@H](NCc2ccc(-c3ccccc3)o2)C1 ZINC000657809515 411601797 /nfs/dbraw/zinc/60/17/97/411601797.db2.gz IWYPGFTXFQSMCS-JOCQHMNTSA-N 0 3 243.306 2.560 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1cc2cnccc2o1 ZINC000657818476 411605904 /nfs/dbraw/zinc/60/59/04/411605904.db2.gz TZLMGSYLJNFJMD-ZYHUDNBSSA-N 0 3 246.310 2.657 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCn3nccc32)cc1 ZINC000657822496 411607261 /nfs/dbraw/zinc/60/72/61/411607261.db2.gz TZNVTNUACGAYPJ-CQSZACIVSA-N 0 3 241.338 2.816 20 0 BFADHN FC1(F)C[C@@H]1OCCN1CCC(C2CC2)CC1 ZINC000660611023 411607473 /nfs/dbraw/zinc/60/74/73/411607473.db2.gz NTFMMALBEHNIMV-LBPRGKRZSA-N 0 3 245.313 2.533 20 0 BFADHN CCOC1CCC(NCc2nccs2)CC1 ZINC000657843996 411610231 /nfs/dbraw/zinc/61/02/31/411610231.db2.gz UIABIZXZQRSVFO-UHFFFAOYSA-N 0 3 240.372 2.580 20 0 BFADHN CCc1nocc1CN1[C@H](C)CCC1(C)C ZINC000660753891 411620979 /nfs/dbraw/zinc/62/09/79/411620979.db2.gz DPDFQZMJSLODGH-SNVBAGLBSA-N 0 3 222.332 3.000 20 0 BFADHN CCOCCNCc1cccc(C(C)C)c1 ZINC000663314320 411622087 /nfs/dbraw/zinc/62/20/87/411622087.db2.gz FFZJYIZLJSCEOD-UHFFFAOYSA-N 0 3 221.344 2.936 20 0 BFADHN CC[C@@H](C)N(CC)Cc1cncc(OC)c1 ZINC000661103070 411706703 /nfs/dbraw/zinc/70/67/03/411706703.db2.gz CWCZASPOCRJKDM-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@H]2C)nc(C)n1 ZINC000660860658 411659550 /nfs/dbraw/zinc/65/95/50/411659550.db2.gz CCMZSRAATSKPBS-ZYHUDNBSSA-N 0 3 233.359 2.714 20 0 BFADHN Cc1cc([C@@H](C)NCCOCCF)c(C)o1 ZINC000663462130 411663338 /nfs/dbraw/zinc/66/33/38/411663338.db2.gz LRNPVWVJRZMIBK-SNVBAGLBSA-N 0 3 229.295 2.533 20 0 BFADHN CC[C@H](NCCOCCF)c1cccs1 ZINC000663465135 411665341 /nfs/dbraw/zinc/66/53/41/411665341.db2.gz VBFXFTFIEHTVKZ-JTQLQIEISA-N 0 3 231.336 2.775 20 0 BFADHN C[C@H](NCCOCCF)c1cc(F)cc(F)c1 ZINC000663462812 411665740 /nfs/dbraw/zinc/66/57/40/411665740.db2.gz HXYPVGZFCLPDCX-VIFPVBQESA-N 0 3 247.260 2.602 20 0 BFADHN Cc1ccc([C@H](C)NCCOCCF)cc1 ZINC000663465641 411667390 /nfs/dbraw/zinc/66/73/90/411667390.db2.gz ZDQIVFVFJLUSEQ-LBPRGKRZSA-N 0 3 225.307 2.632 20 0 BFADHN Cc1cncc(CN2C[C@@H]3CCCC[C@@H]32)c1 ZINC000660931515 411681438 /nfs/dbraw/zinc/68/14/38/411681438.db2.gz ONPHDXIDHCLMLO-KBPBESRZSA-N 0 3 216.328 2.764 20 0 BFADHN FC(F)(F)CCN1C[C@@H]2CCCC[C@@H]21 ZINC000660930562 411681567 /nfs/dbraw/zinc/68/15/67/411681567.db2.gz MXUHTCKDSFRCOR-IUCAKERBSA-N 0 3 207.239 2.813 20 0 BFADHN Cc1ccnc(CN2C[C@@H]3CCCC[C@@H]32)c1 ZINC000660932412 411682464 /nfs/dbraw/zinc/68/24/64/411682464.db2.gz WOWYPOKBQJPTSL-JSGCOSHPSA-N 0 3 216.328 2.764 20 0 BFADHN C[C@H]1CCC[C@@H](CN(C)Cc2c[nH]cn2)C1 ZINC000661089088 411700554 /nfs/dbraw/zinc/70/05/54/411700554.db2.gz XCEILYYOIGWLFV-NWDGAFQWSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@H]1CCC[C@@H](CN(C)Cc2cnc[nH]2)C1 ZINC000661089088 411700556 /nfs/dbraw/zinc/70/05/56/411700556.db2.gz XCEILYYOIGWLFV-NWDGAFQWSA-N 0 3 221.348 2.668 20 0 BFADHN CCOc1cccc(CNC[C@H](CC)OC)c1 ZINC000289897757 170578460 /nfs/dbraw/zinc/57/84/60/170578460.db2.gz TUQQRNGEGXLPQB-ZDUSSCGKSA-N 0 3 237.343 2.600 20 0 BFADHN Cc1ccc2c(c1)CN(CCOC(C)C)CC2 ZINC000661371526 411757819 /nfs/dbraw/zinc/75/78/19/411757819.db2.gz LUXLBJXKFYVDQR-UHFFFAOYSA-N 0 3 233.355 2.778 20 0 BFADHN CCC[C@H](O)CN1CCc2ccc(C)cc2C1 ZINC000661373101 411758385 /nfs/dbraw/zinc/75/83/85/411758385.db2.gz RRTDLMJIZCQXJF-HNNXBMFYSA-N 0 3 233.355 2.514 20 0 BFADHN CC(C)c1ccc2c(c1)CN(CCCCO)CC2 ZINC000661372804 411758413 /nfs/dbraw/zinc/75/84/13/411758413.db2.gz BGFWJGFPXZVKRX-UHFFFAOYSA-N 0 3 247.382 2.941 20 0 BFADHN Cc1nn(C)cc1CN1CCC(C2CCC2)CC1 ZINC000661375047 411758585 /nfs/dbraw/zinc/75/85/85/411758585.db2.gz SRWHUHATBIQFOI-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN COCCN1CCc2ccc(C(C)C)cc2C1 ZINC000661373418 411758746 /nfs/dbraw/zinc/75/87/46/411758746.db2.gz JLMPMQFCEHVIJP-UHFFFAOYSA-N 0 3 233.355 2.815 20 0 BFADHN C[C@@H](NCCCCCF)c1cscn1 ZINC000661416229 411772118 /nfs/dbraw/zinc/77/21/18/411772118.db2.gz XBPVSWWTOKFNOL-SECBINFHSA-N 0 3 216.325 2.934 20 0 BFADHN C[C@H](NCCCc1ccncc1)c1cscn1 ZINC000661419159 411772486 /nfs/dbraw/zinc/77/24/86/411772486.db2.gz NJGOFVBETKFBPA-NSHDSACASA-N 0 3 247.367 2.822 20 0 BFADHN CC[C@H](O)CN1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661434235 411773638 /nfs/dbraw/zinc/77/36/38/411773638.db2.gz KKOJYNJEGJXSBS-GXTWGEPZSA-N 0 3 249.354 2.968 20 0 BFADHN C[C@H](O)CCN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661433514 411774067 /nfs/dbraw/zinc/77/40/67/411774067.db2.gz GWHMHNRIQPLHKE-JSGCOSHPSA-N 0 3 249.354 2.968 20 0 BFADHN C[C@H]1COC2(CCC2)CN1[C@@H]1C=CCCC1 ZINC000661467502 411783840 /nfs/dbraw/zinc/78/38/40/411783840.db2.gz SABIWEVAQNJJAF-QWHCGFSZSA-N 0 3 221.344 2.739 20 0 BFADHN CC(C)[C@H](NCCCCO)c1ccccc1F ZINC000661487768 411785128 /nfs/dbraw/zinc/78/51/28/411785128.db2.gz PWZAYTJBNUQBIY-AWEZNQCLSA-N 0 3 239.334 2.885 20 0 BFADHN CC1(CN2CC[C@H]2c2ccc(F)cc2)COC1 ZINC000661507089 411791197 /nfs/dbraw/zinc/79/11/97/411791197.db2.gz IEOYZENELDKZLR-ZDUSSCGKSA-N 0 3 235.302 2.609 20 0 BFADHN COCCCN1CC[C@@H]1c1ccc(F)cc1 ZINC000661507621 411791230 /nfs/dbraw/zinc/79/12/30/411791230.db2.gz ACNSVNZAYIJPER-CYBMUJFWSA-N 0 3 223.291 2.609 20 0 BFADHN CCC[C@@H](O)CN1CCC(c2ccco2)CC1 ZINC000661161156 411723505 /nfs/dbraw/zinc/72/35/05/411723505.db2.gz LTIBBBKJAKCYTE-CYBMUJFWSA-N 0 3 237.343 2.620 20 0 BFADHN CCC[C@H](O)CN1CCC(c2ccco2)CC1 ZINC000661161157 411724565 /nfs/dbraw/zinc/72/45/65/411724565.db2.gz LTIBBBKJAKCYTE-ZDUSSCGKSA-N 0 3 237.343 2.620 20 0 BFADHN C[C@@]1(C2CC2)CN(CCc2ccccc2)CCO1 ZINC000661165856 411725627 /nfs/dbraw/zinc/72/56/27/411725627.db2.gz CYORYOTWVKZTPM-INIZCTEOSA-N 0 3 245.366 2.730 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CC[C@H]3C[C@H]3C2)C[C@@H](C)C1=O ZINC000661537518 411798226 /nfs/dbraw/zinc/79/82/26/411798226.db2.gz BTZPIERRZPJLEP-XGFWRYKXSA-N 0 3 235.371 2.580 20 0 BFADHN Cc1nocc1CN1CCC[C@@H](CCF)C1 ZINC000661687472 411837284 /nfs/dbraw/zinc/83/72/84/411837284.db2.gz BXDVVYTTZILCBV-NSHDSACASA-N 0 3 226.295 2.555 20 0 BFADHN FCC[C@@H]1CCCN(Cc2cncs2)C1 ZINC000661689437 411838758 /nfs/dbraw/zinc/83/87/58/411838758.db2.gz PLKCXZWUEAOMPW-JTQLQIEISA-N 0 3 228.336 2.715 20 0 BFADHN Cc1cc(F)ccc1CN1C[C@@H]2COC[C@@]2(C)C1 ZINC000661702240 411848156 /nfs/dbraw/zinc/84/81/56/411848156.db2.gz VCECCLOTNGGNDY-UKRRQHHQSA-N 0 3 249.329 2.602 20 0 BFADHN CC[C@@H](C)N1CCc2cc(OC)ccc2C1 ZINC000661741432 411861923 /nfs/dbraw/zinc/86/19/23/411861923.db2.gz ZINRJWAPHWRFGF-LLVKDONJSA-N 0 3 219.328 2.852 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1ccc(F)cc1)OC ZINC000362976501 170776568 /nfs/dbraw/zinc/77/65/68/170776568.db2.gz KRLWXTKGASTQFP-BXUZGUMPSA-N 0 3 239.334 2.976 20 0 BFADHN CC(C)CCOCCN1CC[C@@H](C)O[C@@H](C)C1 ZINC000661857989 411901420 /nfs/dbraw/zinc/90/14/20/411901420.db2.gz UCJFMVUANWMBOZ-KGLIPLIRSA-N 0 3 243.391 2.548 20 0 BFADHN CCc1cc(N[C@@H](C)c2cscn2)ccn1 ZINC000664261432 411902419 /nfs/dbraw/zinc/90/24/19/411902419.db2.gz AHMCALAAJYMCLG-VIFPVBQESA-N 0 3 233.340 2.696 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1ccc(Cl)cn1 ZINC000309937042 170821247 /nfs/dbraw/zinc/82/12/47/170821247.db2.gz AHCSXFZPLJXOMN-KOLCDFICSA-N 0 3 242.750 2.547 20 0 BFADHN Fc1ccc(OCCN2CC[C@H]3C[C@H]3C2)cc1 ZINC000661978678 411934709 /nfs/dbraw/zinc/93/47/09/411934709.db2.gz QJWMMHMQILQAML-RYUDHWBXSA-N 0 3 235.302 2.546 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1ccccc1F)OC ZINC000336657415 170830404 /nfs/dbraw/zinc/83/04/04/170830404.db2.gz IIQJSKKFKLPSAH-QWRGUYRKSA-N 0 3 225.307 2.901 20 0 BFADHN C[C@H](NCc1ccno1)C1CCC(F)CC1 ZINC000662001111 411939336 /nfs/dbraw/zinc/93/93/36/411939336.db2.gz KRPZBCMPBHOPIH-WHXUTIOJSA-N 0 3 226.295 2.681 20 0 BFADHN CC(C)(C)N1CC[C@H]1CNc1ccc(F)cn1 ZINC000664142023 411870294 /nfs/dbraw/zinc/87/02/94/411870294.db2.gz FVSLKIWGCXCFIC-NSHDSACASA-N 0 3 237.322 2.505 20 0 BFADHN CC[C@@H](NCc1nnc(-c2ccco2)o1)C1CC1 ZINC000661758857 411871057 /nfs/dbraw/zinc/87/10/57/411871057.db2.gz WYYUQTAUDCJTMW-SNVBAGLBSA-N 0 3 247.298 2.608 20 0 BFADHN CCc1nocc1CN[C@H](CC)C1CC1 ZINC000661762631 411873216 /nfs/dbraw/zinc/87/32/16/411873216.db2.gz ROSPIUMEDQHGDR-LLVKDONJSA-N 0 3 208.305 2.515 20 0 BFADHN Oc1ccc2c(c1)CN(C1CCCC1)CCO2 ZINC000662096422 411984508 /nfs/dbraw/zinc/98/45/08/411984508.db2.gz LGDWGGIGEFWPIP-UHFFFAOYSA-N 0 3 233.311 2.529 20 0 BFADHN CC[C@@H](c1ccccc1)N(CC(=O)NC)C(C)C ZINC000662106015 411987343 /nfs/dbraw/zinc/98/73/43/411987343.db2.gz YQZVQUWWTZNROZ-AWEZNQCLSA-N 0 3 248.370 2.594 20 0 BFADHN C[C@H](c1cnccn1)N1[C@@H]2CC[C@H]1CC(C)C2 ZINC000662129340 411996724 /nfs/dbraw/zinc/99/67/24/411996724.db2.gz CHWMCHLLJMYYSB-HYWTVENDSA-N 0 3 231.343 2.801 20 0 BFADHN CC[C@@H](NCc1ccc(C)o1)[C@H]1CCCO1 ZINC000119780160 170902138 /nfs/dbraw/zinc/90/21/38/170902138.db2.gz ASCVWEVLXWMGCK-CHWSQXEVSA-N 0 3 223.316 2.635 20 0 BFADHN CCCCC[C@H]1CCCCN1CC(=O)NCC ZINC000662157061 412011094 /nfs/dbraw/zinc/01/10/94/412011094.db2.gz SQVLKBTVNQWBLU-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN CC[C@@H](O)CCCN[C@H](C)c1cc(C)ccn1 ZINC000353634710 170921081 /nfs/dbraw/zinc/92/10/81/170921081.db2.gz WLBNSBHEERBPNP-CHWSQXEVSA-N 0 3 236.359 2.592 20 0 BFADHN c1cc(CN(CCC2CC2)CC2CC2)no1 ZINC000662178295 412021167 /nfs/dbraw/zinc/02/11/67/412021167.db2.gz VDLJJUNGLRHFHE-UHFFFAOYSA-N 0 3 220.316 2.687 20 0 BFADHN CC[C@@H](O)CCN[C@H]1CCCOc2ccccc21 ZINC000268988741 170926171 /nfs/dbraw/zinc/92/61/71/170926171.db2.gz FOOWQEKWMYLYHC-OCCSQVGLSA-N 0 3 249.354 2.651 20 0 BFADHN c1cc(CN2CCC[C@@H](C3CCC3)C2)on1 ZINC000662039564 411956103 /nfs/dbraw/zinc/95/61/03/411956103.db2.gz PPTRQYUYMNLPBL-GFCCVEGCSA-N 0 3 220.316 2.687 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@H]2COC[C@@H]2C1 ZINC000662039618 411956243 /nfs/dbraw/zinc/95/62/43/411956243.db2.gz YSHFZUWMGYTXEW-KBPBESRZSA-N 0 3 249.329 2.602 20 0 BFADHN COCCCN1CC(C)(C)[C@@H]1c1ccncc1 ZINC000664834411 412028597 /nfs/dbraw/zinc/02/85/97/412028597.db2.gz XALAYQNRSNMCCY-ZDUSSCGKSA-N 0 3 234.343 2.501 20 0 BFADHN CC(C)(O)CCN1CC(C)(C)[C@H]1c1ccncc1 ZINC000664831064 412028634 /nfs/dbraw/zinc/02/86/34/412028634.db2.gz ZOMXUYIENJAPDY-CYBMUJFWSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCCc3n[nH]cc3C2)C1 ZINC000664862143 412033620 /nfs/dbraw/zinc/03/36/20/412033620.db2.gz LKGOTPSRFACUBE-CHWSQXEVSA-N 0 3 247.386 2.984 20 0 BFADHN CC(C)C[C@@H](C)CN1CCCc2n[nH]cc2C1 ZINC000664861266 412035212 /nfs/dbraw/zinc/03/52/12/412035212.db2.gz PWIWTBSCTKCMEU-GFCCVEGCSA-N 0 3 235.375 2.840 20 0 BFADHN CC1(C)Cc2n[nH]cc2CN([C@@H]2C=CCCC2)C1 ZINC000664868782 412036691 /nfs/dbraw/zinc/03/66/91/412036691.db2.gz QAZGHJAEBXBSAC-CYBMUJFWSA-N 0 3 245.370 2.903 20 0 BFADHN CCC[C@H](C)NC(=O)c1ccc(CN(C)C)cc1 ZINC000073525483 412037407 /nfs/dbraw/zinc/03/74/07/412037407.db2.gz CIOZHPVMPDNUGG-LBPRGKRZSA-N 0 3 248.370 2.667 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1cnccn1 ZINC000252714713 171003381 /nfs/dbraw/zinc/00/33/81/171003381.db2.gz SSDZWZCUJZKBRB-IJLUTSLNSA-N 0 3 219.332 2.706 20 0 BFADHN CC[C@@H]1CCN1CC1=Cc2ccccc2OC1 ZINC000292820648 171022358 /nfs/dbraw/zinc/02/23/58/171022358.db2.gz PXNOHQCAQJTICR-CQSZACIVSA-N 0 3 229.323 2.947 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc2c(c1)CCO2 ZINC000292702732 171026040 /nfs/dbraw/zinc/02/60/40/171026040.db2.gz CLGLEQPLIVODIS-CYBMUJFWSA-N 0 3 217.312 2.606 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1CC(=O)NC(C)(C)CC ZINC000357552409 171036489 /nfs/dbraw/zinc/03/64/89/171036489.db2.gz MKRMARCWOIESCX-VXGBXAGGSA-N 0 3 240.391 2.554 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cscn1 ZINC000271503498 171038663 /nfs/dbraw/zinc/03/86/63/171038663.db2.gz JIVBYTPHCAIKTA-MWLCHTKSSA-N 0 3 210.346 2.906 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1c(C)noc1C ZINC000336726039 171043651 /nfs/dbraw/zinc/04/36/51/171043651.db2.gz GRHBIQPIUABXMC-PWSUYJOCSA-N 0 3 208.305 2.570 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](COC)c1ccco1 ZINC000310011560 171044737 /nfs/dbraw/zinc/04/47/37/171044737.db2.gz ISZYMEWTKRIOOO-GRYCIOLGSA-N 0 3 223.316 2.745 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2conc2C)C1 ZINC000335590668 171049928 /nfs/dbraw/zinc/04/99/28/171049928.db2.gz GPGHAHIAFAKZDU-CMPLNLGQSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cc(C)ncn1 ZINC000293148950 171054407 /nfs/dbraw/zinc/05/44/07/171054407.db2.gz MIUWOMUNJYJQCY-WCQYABFASA-N 0 3 219.332 2.548 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1nc(C)cs1 ZINC000336664148 171058801 /nfs/dbraw/zinc/05/88/01/171058801.db2.gz UPUOCCNNFPQEQL-NXEZZACHSA-N 0 3 210.346 2.730 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](COC)c1ccco1 ZINC000310011561 171060855 /nfs/dbraw/zinc/06/08/55/171060855.db2.gz ISZYMEWTKRIOOO-IJLUTSLNSA-N 0 3 223.316 2.745 20 0 BFADHN CC[C@@H]1CN(C(C)C)CCN1Cc1ccccc1 ZINC000353579395 171074274 /nfs/dbraw/zinc/07/42/74/171074274.db2.gz FUVBVOJHQLHINR-MRXNPFEDSA-N 0 3 246.398 2.991 20 0 BFADHN CC[C@@H]1CN([C@@H](C)c2cccc(F)c2)C[C@@H]1O ZINC000270822778 171094027 /nfs/dbraw/zinc/09/40/27/171094027.db2.gz BLAAIOYELORBPL-WDMOLILDSA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@@H]1CN([C@@H](C)c2cccc(F)c2)C[C@H]1O ZINC000270822777 171094940 /nfs/dbraw/zinc/09/49/40/171094940.db2.gz BLAAIOYELORBPL-MISXGVKJSA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@@H]1CN([C@H](C)c2ccc(F)cc2)C[C@H]1O ZINC000341023695 171095271 /nfs/dbraw/zinc/09/52/71/171095271.db2.gz JTWFMJMIHKJBBU-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cc(OC)ccn1 ZINC000274943277 171156078 /nfs/dbraw/zinc/15/60/78/171156078.db2.gz FPOPIFDGIHDOEV-SMDDNHRTSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cncc(OC)c1 ZINC000290117052 171157096 /nfs/dbraw/zinc/15/70/96/171157096.db2.gz YSNVCGWHAVPZRC-SMDDNHRTSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cscn1 ZINC000185648144 171160881 /nfs/dbraw/zinc/16/08/81/171160881.db2.gz FQHOFKGEJPFSGE-MWLCHTKSSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@@](C)(CCO)NCc1cccc(C)c1F ZINC000295693303 171164641 /nfs/dbraw/zinc/16/46/41/171164641.db2.gz LZYQFSGHHASCAL-AWEZNQCLSA-N 0 3 239.334 2.775 20 0 BFADHN CC[C@@H](NCC(=O)Nc1cccc(C)c1)C1CC1 ZINC000324473289 487621832 /nfs/dbraw/zinc/62/18/32/487621832.db2.gz NOZLVETXZUJIAP-CQSZACIVSA-N 0 3 246.354 2.712 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1cc(F)cc(F)c1 ZINC000094827713 171184101 /nfs/dbraw/zinc/18/41/01/171184101.db2.gz JRGCQERPAALSMK-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1cc(F)ccc1F ZINC000087011346 171184678 /nfs/dbraw/zinc/18/46/78/171184678.db2.gz NZGMMJRREKQULV-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@](C)(O)CNCc1cc2ccccc2o1 ZINC000096478537 171184778 /nfs/dbraw/zinc/18/47/78/171184778.db2.gz ROXAXKUUPMGIIG-CQSZACIVSA-N 0 3 233.311 2.683 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1cccnc1Cl ZINC000167440263 171184984 /nfs/dbraw/zinc/18/49/84/171184984.db2.gz URTCHDMKTIWQKF-JOYOIKCWSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@@]1(C)CCN([C@@H](C)c2cnccn2)C1 ZINC000336458260 171203303 /nfs/dbraw/zinc/20/33/03/171203303.db2.gz XDZHMSJXGHDLEO-AAEUAGOBSA-N 0 3 219.332 2.660 20 0 BFADHN CC[C@H](C(=O)N(C)C1CCCCCC1)N(C)C ZINC000359211006 171221416 /nfs/dbraw/zinc/22/14/16/171221416.db2.gz FEUFOZSMUNCFOV-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H](C(=O)NC(C)(CC)CC)N(CC)CC ZINC000359811993 171225708 /nfs/dbraw/zinc/22/57/08/171225708.db2.gz VVPPCJOMKFTKQB-GFCCVEGCSA-N 0 3 242.407 2.802 20 0 BFADHN CC[C@H](C(=O)OC(C)(C)C)N1CC[C@@H](C)[C@H]1C ZINC000361826128 171239384 /nfs/dbraw/zinc/23/93/84/171239384.db2.gz SJKRJEPELYHFET-IJLUTSLNSA-N 0 3 241.375 2.837 20 0 BFADHN CC[C@H](C)CC(=O)Nc1cccc(CNC)c1 ZINC000167558857 171262992 /nfs/dbraw/zinc/26/29/92/171262992.db2.gz HYBYCMRMXGDCDV-NSHDSACASA-N 0 3 234.343 2.781 20 0 BFADHN CC[C@H](C(=O)N(C)C[C@@H](C)CC)N(CC)CC ZINC000340670541 171270906 /nfs/dbraw/zinc/27/09/06/171270906.db2.gz ZYCHZINXOOAPHM-QWHCGFSZSA-N 0 3 242.407 2.611 20 0 BFADHN CC[C@H](C)CN(CC)Cc1conc1C ZINC000293814720 171278048 /nfs/dbraw/zinc/27/80/48/171278048.db2.gz CUVPQOAQOKZQCK-JTQLQIEISA-N 0 3 210.321 2.851 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cn2cccnc2n1 ZINC000177848962 171277966 /nfs/dbraw/zinc/27/79/66/171277966.db2.gz YWUDZRMXOMQGKU-LBPRGKRZSA-N 0 3 246.358 2.597 20 0 BFADHN CC[C@H](C)CN(CC)Cc1c[nH]nc1C ZINC000093845018 171278154 /nfs/dbraw/zinc/27/81/54/171278154.db2.gz XPCQEDFJGPOTTC-JTQLQIEISA-N 0 3 209.337 2.586 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cccnc1N ZINC000086438741 171278347 /nfs/dbraw/zinc/27/83/47/171278347.db2.gz QVPBUVYPSVMJLJ-NSHDSACASA-N 0 3 221.348 2.532 20 0 BFADHN CC[C@H](C)CNCc1ccc(OC)cc1F ZINC000129070010 171285511 /nfs/dbraw/zinc/28/55/11/171285511.db2.gz ROJVHOKPVLEGGV-JTQLQIEISA-N 0 3 225.307 2.970 20 0 BFADHN CC[C@H](C)CNCc1cn2c(cccc2C)n1 ZINC000093074857 171286244 /nfs/dbraw/zinc/28/62/44/171286244.db2.gz XIDQNMQDTDLTBO-NSHDSACASA-N 0 3 231.343 2.778 20 0 BFADHN CC[C@H](C)CNCc1cn2ccc(C)cc2n1 ZINC000271444696 171286257 /nfs/dbraw/zinc/28/62/57/171286257.db2.gz DBGVQMDBJKFEPW-NSHDSACASA-N 0 3 231.343 2.778 20 0 BFADHN CC[C@H](C)C[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000364837696 171286572 /nfs/dbraw/zinc/28/65/72/171286572.db2.gz HGHSWCMSAICPNO-AAEUAGOBSA-N 0 3 244.342 2.530 20 0 BFADHN CC[C@H](C)CN[C@H](c1nnc[nH]1)c1ccccc1 ZINC000364837696 171286573 /nfs/dbraw/zinc/28/65/73/171286573.db2.gz HGHSWCMSAICPNO-AAEUAGOBSA-N 0 3 244.342 2.530 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@@H](C)c1cnccn1 ZINC000083863762 171298522 /nfs/dbraw/zinc/29/85/22/171298522.db2.gz ICXQBWLSIRVLTK-SRVKXCTJSA-N 0 3 221.348 2.952 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1ccn(C)n1 ZINC000124699467 171299439 /nfs/dbraw/zinc/29/94/39/171299439.db2.gz ASAYDCFMJNICBW-RYUDHWBXSA-N 0 3 223.364 2.725 20 0 BFADHN CC[C@H](C)N(C)Cc1cc(F)ccc1N ZINC000035308525 171308417 /nfs/dbraw/zinc/30/84/17/171308417.db2.gz KQVSWBSSTGFZKI-VIFPVBQESA-N 0 3 210.296 2.638 20 0 BFADHN CC[C@H](C)N(CC)C(=O)C[C@@H](N)c1ccccc1 ZINC000037113254 171312397 /nfs/dbraw/zinc/31/23/97/171312397.db2.gz AVGMAZZGEJYCPF-GXTWGEPZSA-N 0 3 248.370 2.724 20 0 BFADHN CC[C@H](C)N1CCN([C@H](C)c2ccccn2)CC1 ZINC000187725608 171318491 /nfs/dbraw/zinc/31/84/91/171318491.db2.gz WDEQCIFUUNGPQX-UONOGXRCSA-N 0 3 247.386 2.559 20 0 BFADHN CC[C@H](C)N1CCOc2ccc(C)cc2C1 ZINC000352821722 171319434 /nfs/dbraw/zinc/31/94/34/171319434.db2.gz CMWURXIVJWODAU-LBPRGKRZSA-N 0 3 219.328 2.988 20 0 BFADHN CC[C@H](C)N1CCN(Cc2ccsc2)CC1 ZINC000275065221 171319687 /nfs/dbraw/zinc/31/96/87/171319687.db2.gz JLZBNVSEZMKHMM-LBPRGKRZSA-N 0 3 238.400 2.664 20 0 BFADHN CC[C@H](C)NC(=O)[C@H](C)N[C@@H](C)c1ccccc1 ZINC000020367951 171334555 /nfs/dbraw/zinc/33/45/55/171334555.db2.gz MSSIIAVFAOYPGJ-AVGNSLFASA-N 0 3 248.370 2.640 20 0 BFADHN CC[C@H](C)N[C@@H](COC)c1ccc(F)cc1 ZINC000336739797 171346182 /nfs/dbraw/zinc/34/61/82/171346182.db2.gz PLRCBVFMKVUVFG-GWCFXTLKSA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@H](C)N[C@H](COC)c1ccc(C)o1 ZINC000122296222 171348749 /nfs/dbraw/zinc/34/87/49/171348749.db2.gz KNATZPFBZFBUTL-GXSJLCMTSA-N 0 3 211.305 2.664 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1nc(C)cs1 ZINC000038090864 171365562 /nfs/dbraw/zinc/36/55/62/171365562.db2.gz LOUXLNSBLWYBKY-WCBMZHEXSA-N 0 3 212.362 2.976 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ccc(COC)o1 ZINC000126107951 171365566 /nfs/dbraw/zinc/36/55/66/171365566.db2.gz AGJZLMOBDFYPCD-WDEREUQCSA-N 0 3 225.332 2.950 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1nccnc1C ZINC000187831750 171366809 /nfs/dbraw/zinc/36/68/09/171366809.db2.gz MXWDDJRVLRZCJP-UMNHJUIQSA-N 0 3 221.348 2.870 20 0 BFADHN CC[C@H](C)[C@@H](O)CNCc1ccsc1Cl ZINC000309248358 171378098 /nfs/dbraw/zinc/37/80/98/171378098.db2.gz ZQLFGZQGYLONOW-WPRPVWTQSA-N 0 3 247.791 2.898 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@@H](C)c1ccc(F)cc1 ZINC000163984583 171378845 /nfs/dbraw/zinc/37/88/45/171378845.db2.gz UBCWPCUCYVVYKU-MJVIPROJSA-N 0 3 239.334 2.883 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1csc(C)n1 ZINC000041010463 171389537 /nfs/dbraw/zinc/38/95/37/171389537.db2.gz ZCPNVFHEDXBWKO-IUCAKERBSA-N 0 3 212.362 2.976 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cnccc1OC ZINC000287590712 171389597 /nfs/dbraw/zinc/38/95/97/171389597.db2.gz CTVMLUTUKJKULF-QWRGUYRKSA-N 0 3 222.332 2.614 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ncnn1C(C)(C)C ZINC000337413709 171389946 /nfs/dbraw/zinc/38/99/46/171389946.db2.gz RZOOGGHPIJZRJN-QWRGUYRKSA-N 0 3 238.379 2.557 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000345346014 171390267 /nfs/dbraw/zinc/39/02/67/171390267.db2.gz CAJYNKGDPCEDMK-FIQHERPVSA-N 0 3 221.348 2.913 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1cnccn1 ZINC000070025556 171390447 /nfs/dbraw/zinc/39/04/47/171390447.db2.gz QQKOKZHHPMWAMO-DCAQKATOSA-N 0 3 207.321 2.562 20 0 BFADHN CC[C@H](C)[C@H](CN[C@H](C)c1ccncc1)OC ZINC000294352443 171393614 /nfs/dbraw/zinc/39/36/14/171393614.db2.gz ZJJPXKFTEMALJJ-SCRDCRAPSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)N[C@H](C)c1ccc(C)cc1 ZINC000040464195 171396244 /nfs/dbraw/zinc/39/62/44/171396244.db2.gz OLJFGWIDIULQMV-SCRDCRAPSA-N 0 3 248.370 2.546 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)N[C@H](C)c1ccccc1C ZINC000040854838 171396677 /nfs/dbraw/zinc/39/66/77/171396677.db2.gz WDVRWUAUJILCPA-SUHUHFCYSA-N 0 3 248.370 2.546 20 0 BFADHN COCC1(NCc2cncs2)CCCCC1 ZINC000662339852 412107963 /nfs/dbraw/zinc/10/79/63/412107963.db2.gz DRYDCFXLJAKTQP-UHFFFAOYSA-N 0 3 240.372 2.582 20 0 BFADHN CC[C@H](O)CN[C@]1(c2ccccc2)CC1(C)C ZINC000662340936 412109539 /nfs/dbraw/zinc/10/95/39/412109539.db2.gz QDYRESJIODMXHJ-ZFWWWQNUSA-N 0 3 233.355 2.672 20 0 BFADHN CN(CCc1cncs1)Cc1ccsc1 ZINC000662344086 412114322 /nfs/dbraw/zinc/11/43/22/412114322.db2.gz MJUZQLMHPIYUIW-UHFFFAOYSA-N 0 3 238.381 2.879 20 0 BFADHN CC[C@H](CN[C@@H](C)c1cccc(F)c1)OC ZINC000290055057 171439825 /nfs/dbraw/zinc/43/98/25/171439825.db2.gz FHFFYJZXPPEIJF-GXFFZTMASA-N 0 3 225.307 2.901 20 0 BFADHN Cc1ncc(CN(C)C[C@@H]2CC2(C)C)s1 ZINC000662346617 412116566 /nfs/dbraw/zinc/11/65/66/412116566.db2.gz XPBCIRPWYAYPOS-JTQLQIEISA-N 0 3 224.373 2.929 20 0 BFADHN CCCCc1ccc(NC(=O)[C@@H](CC)NC)cc1 ZINC000662349352 412119986 /nfs/dbraw/zinc/11/99/86/412119986.db2.gz WAPCJQFIGLXMBH-CQSZACIVSA-N 0 3 248.370 2.966 20 0 BFADHN Cc1oncc1-c1cc(CN(C)C)ccc1F ZINC000665195942 412130137 /nfs/dbraw/zinc/13/01/37/412130137.db2.gz WKRDMGFATVCVBW-UHFFFAOYSA-N 0 3 234.274 2.851 20 0 BFADHN COc1ccc(CN2CC3CC2(C)C3)cc1F ZINC000662380293 412131368 /nfs/dbraw/zinc/13/13/68/412131368.db2.gz GTCZHMRMIFYSIW-UHFFFAOYSA-N 0 3 235.302 2.819 20 0 BFADHN CCc1noc(C)c1CN1CC2CC1(C)C2 ZINC000662382923 412135698 /nfs/dbraw/zinc/13/56/98/412135698.db2.gz FNJGDBIDAVTMAJ-UHFFFAOYSA-N 0 3 220.316 2.530 20 0 BFADHN CC[C@@H](NC)C(=O)N(CC)c1cccc(C)c1C ZINC000662499528 412196459 /nfs/dbraw/zinc/19/64/59/412196459.db2.gz UPAXXJGKRURMLK-CYBMUJFWSA-N 0 3 248.370 2.654 20 0 BFADHN CC[C@H](NC)C(=O)N1CC[C@H](C)c2ccccc21 ZINC000662504540 412197413 /nfs/dbraw/zinc/19/74/13/412197413.db2.gz JIOHAGXSBREFDT-AAEUAGOBSA-N 0 3 246.354 2.525 20 0 BFADHN CC[C@H](NC)C(=O)N1CC[C@@H](C)c2ccccc21 ZINC000662504545 412197603 /nfs/dbraw/zinc/19/76/03/412197603.db2.gz JIOHAGXSBREFDT-YPMHNXCESA-N 0 3 246.354 2.525 20 0 BFADHN CC[C@H](NC)C(=O)N(CC)c1cc(C)cc(C)c1 ZINC000662524161 412203985 /nfs/dbraw/zinc/20/39/85/412203985.db2.gz UZYHYCOWPVTIIX-AWEZNQCLSA-N 0 3 248.370 2.654 20 0 BFADHN CC[C@@H](NC)C(=O)N(CC)c1cc(C)cc(C)c1 ZINC000662524162 412204089 /nfs/dbraw/zinc/20/40/89/412204089.db2.gz UZYHYCOWPVTIIX-CQSZACIVSA-N 0 3 248.370 2.654 20 0 BFADHN CC[C@H](NC1CCCCC1)c1ccn(C)n1 ZINC000309425555 171515758 /nfs/dbraw/zinc/51/57/58/171515758.db2.gz FGJWWHKBOSXXGY-LBPRGKRZSA-N 0 3 221.348 2.794 20 0 BFADHN CCc1ccc(CNCC2(COC)CCC2)o1 ZINC000281935993 171804884 /nfs/dbraw/zinc/80/48/84/171804884.db2.gz HSKMTJDQNIKDFZ-UHFFFAOYSA-N 0 3 237.343 2.748 20 0 BFADHN CCc1ccc(CNCC2CC(F)(F)C2)o1 ZINC000291664724 171805052 /nfs/dbraw/zinc/80/50/52/171805052.db2.gz FMXPFVRXEKNJHJ-UHFFFAOYSA-N 0 3 229.270 2.977 20 0 BFADHN C[C@H](NCCOCCF)c1ccccc1Cl ZINC000663309651 412414011 /nfs/dbraw/zinc/41/40/11/412414011.db2.gz DVMWXCMJPJZSDY-JTQLQIEISA-N 0 3 245.725 2.977 20 0 BFADHN CCc1cccc(F)c1CN[C@@H](C)C[C@H](C)O ZINC000354622828 171858712 /nfs/dbraw/zinc/85/87/12/171858712.db2.gz BPRMXLCHYCRJQK-QWRGUYRKSA-N 0 3 239.334 2.637 20 0 BFADHN CCc1cccnc1[C@@H](C)NCC[C@H](C)OC ZINC000356850304 171900169 /nfs/dbraw/zinc/90/01/69/171900169.db2.gz QDPATAYJANQPKQ-NWDGAFQWSA-N 0 3 236.359 2.720 20 0 BFADHN CCc1cnc(CN[C@@H]2C[C@@H](C)[C@@H]2C)s1 ZINC000336715297 171915273 /nfs/dbraw/zinc/91/52/73/171915273.db2.gz WNBZECGTZWDGQO-WCABBAIRSA-N 0 3 224.373 2.840 20 0 BFADHN CCc1cnc(CN[C@@H]2C[C@H](C)[C@@H]2C)s1 ZINC000336715293 171916585 /nfs/dbraw/zinc/91/65/85/171916585.db2.gz WNBZECGTZWDGQO-ATZCPNFKSA-N 0 3 224.373 2.840 20 0 BFADHN CCc1cnccc1[C@H](C)NCc1ccn(C)c1 ZINC000358513901 171927939 /nfs/dbraw/zinc/92/79/39/171927939.db2.gz WSGLRLKDLAPLLR-LBPRGKRZSA-N 0 3 243.354 2.833 20 0 BFADHN CCc1nc(C)c(CNC[C@@H]2CC=CCC2)o1 ZINC000291703698 171954678 /nfs/dbraw/zinc/95/46/78/171954678.db2.gz GTLGDTJOSMTGDD-GFCCVEGCSA-N 0 3 234.343 2.991 20 0 BFADHN CCc1nc([C@H](C)N[C@@H](C)CCOC)cs1 ZINC000124508522 172006255 /nfs/dbraw/zinc/00/62/55/172006255.db2.gz MIZOJCXUIOVCQL-UWVGGRQHSA-N 0 3 242.388 2.781 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H]2CCCC[C@@H]2C)[n-]1 ZINC000358240407 172007195 /nfs/dbraw/zinc/00/71/95/172007195.db2.gz RATQETNJGLXZLB-DCAQKATOSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1ncc(CN2CCC[C@H]2C)s1 ZINC000271182304 172017324 /nfs/dbraw/zinc/01/73/24/172017324.db2.gz MPAVOWJKMTZOTK-SECBINFHSA-N 0 3 210.346 2.690 20 0 BFADHN CCc1ncc(CN2CC[C@H](C)[C@H]2C)s1 ZINC000271264739 172017787 /nfs/dbraw/zinc/01/77/87/172017787.db2.gz LODHLKGRADDESQ-VHSXEESVSA-N 0 3 224.373 2.936 20 0 BFADHN CCc1ncc(CNC[C@@H](C)SC)s1 ZINC000159311963 172019624 /nfs/dbraw/zinc/01/96/24/172019624.db2.gz IDDZXHVRXNNSPB-MRVPVSSYSA-N 0 3 230.402 2.547 20 0 BFADHN CCc1nnc(CN[C@H](C)C2CCCC2)s1 ZINC000336765017 172053225 /nfs/dbraw/zinc/05/32/25/172053225.db2.gz NUCRCZQNOAJVQY-SECBINFHSA-N 0 3 239.388 2.769 20 0 BFADHN CCc1nnc(CN[C@H]2CCC[C@H](C)C2)s1 ZINC000309970571 172053483 /nfs/dbraw/zinc/05/34/83/172053483.db2.gz PHEFDLDNSWAOFU-UWVGGRQHSA-N 0 3 239.388 2.769 20 0 BFADHN CCc1noc(C)c1CN1CCC2(CC2)CC1 ZINC000363478752 172075796 /nfs/dbraw/zinc/07/57/96/172075796.db2.gz CMMNCXQAAVNVMN-UHFFFAOYSA-N 0 3 234.343 2.921 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CC[C@H]1CC ZINC000340440930 172079401 /nfs/dbraw/zinc/07/94/01/172079401.db2.gz UZQIBDQUSMIMGR-ZWNOBZJWSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1C[C@H](C)[C@@H]1C ZINC000340440105 172079739 /nfs/dbraw/zinc/07/97/39/172079739.db2.gz ODSCREYBWIOVRW-MWODSPESSA-N 0 3 222.332 2.680 20 0 BFADHN CCc1noc(CC)c1CNC[C@H]1C[C@@H]1C ZINC000309654210 172082999 /nfs/dbraw/zinc/08/29/99/172082999.db2.gz PCXATZAYPJFBMT-VHSXEESVSA-N 0 3 222.332 2.545 20 0 BFADHN CCc1noc(CN2CCC[C@@H]2C2CCCC2)n1 ZINC000122662425 172085234 /nfs/dbraw/zinc/08/52/34/172085234.db2.gz HDYOVGPEZNRMGS-GFCCVEGCSA-N 0 3 249.358 2.787 20 0 BFADHN CCc1nocc1CN(C)C[C@@H](C)CC ZINC000293369363 172095426 /nfs/dbraw/zinc/09/54/26/172095426.db2.gz JCLGZFBXJPAQJB-JTQLQIEISA-N 0 3 210.321 2.715 20 0 BFADHN CCc1nocc1CN1CC[C@@H]2CCC[C@@H]21 ZINC000336315627 172097759 /nfs/dbraw/zinc/09/77/59/172097759.db2.gz FZULVCCYUISHBQ-GWCFXTLKSA-N 0 3 220.316 2.611 20 0 BFADHN CCc1nocc1CNCCC(C)(C)C ZINC000339180819 172098506 /nfs/dbraw/zinc/09/85/06/172098506.db2.gz WQWPVNKQBINXPC-UHFFFAOYSA-N 0 3 210.321 2.763 20 0 BFADHN CCc1nocc1CNC1C(C)(C)C1(C)C ZINC000353522927 172098694 /nfs/dbraw/zinc/09/86/94/172098694.db2.gz SUNOBPQYUAASQA-UHFFFAOYSA-N 0 3 222.332 2.761 20 0 BFADHN CCc1nocc1CNC[C@H](C)C(C)C ZINC000339270648 172099114 /nfs/dbraw/zinc/09/91/14/172099114.db2.gz ISKXGYXERYYFBE-JTQLQIEISA-N 0 3 210.321 2.619 20 0 BFADHN CCc1nocc1CNC[C@@]1(C)CCCS1 ZINC000339296598 172099817 /nfs/dbraw/zinc/09/98/17/172099817.db2.gz CFXGWFLOOLXQFK-GFCCVEGCSA-N 0 3 240.372 2.612 20 0 BFADHN CCc1nocc1CNC[C@@H](C)C(C)C ZINC000339270651 172100385 /nfs/dbraw/zinc/10/03/85/172100385.db2.gz ISKXGYXERYYFBE-SNVBAGLBSA-N 0 3 210.321 2.619 20 0 BFADHN CCc1nocc1CNC[C@@H]1CCC[C@H]1C ZINC000339385935 172100645 /nfs/dbraw/zinc/10/06/45/172100645.db2.gz BNZXMEWWHVSZCB-MNOVXSKESA-N 0 3 222.332 2.763 20 0 BFADHN CCc1oc2ccccc2c1CN1CC[C@H](O)C1 ZINC000271104294 172112386 /nfs/dbraw/zinc/11/23/86/172112386.db2.gz QWAHOMOKUOYZIT-NSHDSACASA-N 0 3 245.322 2.562 20 0 BFADHN CCn1cc(CN2CCCC3(CCC3)C2)cn1 ZINC000373495062 172161980 /nfs/dbraw/zinc/16/19/80/172161980.db2.gz LXVLYVIYQMPNJW-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN CCn1cc(CN2CC3(CCC3)[C@H]2C2CC2)cn1 ZINC000378143241 172162826 /nfs/dbraw/zinc/16/28/26/172162826.db2.gz VVDKHIFKFJCUHD-CQSZACIVSA-N 0 3 245.370 2.668 20 0 BFADHN CCn1cc([C@@H](C)NCC(C)(C)SC)cn1 ZINC000154273819 172192169 /nfs/dbraw/zinc/19/21/69/172192169.db2.gz GIEWGQZZIWQCOU-SNVBAGLBSA-N 0 3 241.404 2.695 20 0 BFADHN CCn1cc([C@@H](C)N[C@@H](C)Cc2ccoc2)cn1 ZINC000361928602 172193838 /nfs/dbraw/zinc/19/38/38/172193838.db2.gz WVTFPFYZVXHHEE-NWDGAFQWSA-N 0 3 247.342 2.778 20 0 BFADHN CCn1ccnc1CN1CCCCC[C@@H]1C ZINC000334148315 172219967 /nfs/dbraw/zinc/21/99/67/172219967.db2.gz AIHYLBRDPXYRSW-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN CCn1ccnc1CN1CCC[C@H]1CC(C)C ZINC000341812773 172221215 /nfs/dbraw/zinc/22/12/15/172221215.db2.gz ZNGHJBIFSQIXIX-ZDUSSCGKSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1ccnc1CN[C@H](C)CCc1ccco1 ZINC000051921199 172223538 /nfs/dbraw/zinc/22/35/38/172223538.db2.gz PSNBCEHVQKNXEV-GFCCVEGCSA-N 0 3 247.342 2.607 20 0 BFADHN CCn1ccnc1CNC1CCCCCC1 ZINC000051921020 172224262 /nfs/dbraw/zinc/22/42/62/172224262.db2.gz LYFULCPIHTWMON-UHFFFAOYSA-N 0 3 221.348 2.715 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@H]1CC=CCC1 ZINC000295200002 172227849 /nfs/dbraw/zinc/22/78/49/172227849.db2.gz KCBRGWZMTGIURL-OLZOCXBDSA-N 0 3 233.359 2.910 20 0 BFADHN CCn1cncc1CN1C[C@H](C)CC[C@H]1C ZINC000334611529 172232709 /nfs/dbraw/zinc/23/27/09/172232709.db2.gz SWUDSHJVMMRHEV-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1nc(C)c(CNCC=C(C)C)c1C ZINC000309630951 172246370 /nfs/dbraw/zinc/24/63/70/172246370.db2.gz HTHLPYJSPVJFMG-UHFFFAOYSA-N 0 3 221.348 2.576 20 0 BFADHN CCn1nc(C)c([C@H](C)N[C@H]2CC2(C)C)c1C ZINC000045172922 172248823 /nfs/dbraw/zinc/24/88/23/172248823.db2.gz NQJYKQRDHMMTGF-CABZTGNLSA-N 0 3 235.375 2.969 20 0 BFADHN CCn1nc(CNC[C@H]2C[C@H]2C)c2ccccc21 ZINC000159186600 172250047 /nfs/dbraw/zinc/25/00/47/172250047.db2.gz RKNQMSGPPWEWFP-VXGBXAGGSA-N 0 3 243.354 2.802 20 0 BFADHN CCn1nc(CNCC2CCC2)c2ccccc21 ZINC000089174074 172250198 /nfs/dbraw/zinc/25/01/98/172250198.db2.gz RPWZWJBOYDVWDL-UHFFFAOYSA-N 0 3 243.354 2.946 20 0 BFADHN CCn1nc(CN[C@H]2CC[C@H]2C)c2ccccc21 ZINC000336786809 172250727 /nfs/dbraw/zinc/25/07/27/172250727.db2.gz COGIFRQQFBQYFW-YPMHNXCESA-N 0 3 243.354 2.944 20 0 BFADHN CCn1nccc1CN1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000359314776 172269786 /nfs/dbraw/zinc/26/97/86/172269786.db2.gz XIGXYTAZMNGAHD-UKRRQHHQSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1nccc1CNCCC1=CCCCC1 ZINC000125068655 172270431 /nfs/dbraw/zinc/27/04/31/172270431.db2.gz HXMUUEUJVHRENZ-UHFFFAOYSA-N 0 3 233.359 2.883 20 0 BFADHN [O-]c1ccc(C[N@H+]2CCCCC[C@@H]2CCO)cc1 ZINC000671309275 487625377 /nfs/dbraw/zinc/62/53/77/487625377.db2.gz AMEHWYBUAVFSES-CQSZACIVSA-N 0 3 249.354 2.519 20 0 BFADHN [O-]c1ccc(C[N@@H+]2CCCCC[C@@H]2CCO)cc1 ZINC000671309275 487625382 /nfs/dbraw/zinc/62/53/82/487625382.db2.gz AMEHWYBUAVFSES-CQSZACIVSA-N 0 3 249.354 2.519 20 0 BFADHN CN1CCCN(Cc2ccc3occc3c2)CC1 ZINC000289149179 173018009 /nfs/dbraw/zinc/01/80/09/173018009.db2.gz QCAIQJWOUYVDLO-UHFFFAOYSA-N 0 3 244.338 2.570 20 0 BFADHN CN1CCC[C@@H]1CNCc1sccc1Cl ZINC000193047661 173032796 /nfs/dbraw/zinc/03/27/96/173032796.db2.gz HDJACBYCIPKDRM-SECBINFHSA-N 0 3 244.791 2.585 20 0 BFADHN CNCc1cccc(NC(=O)C2CCCC2)c1 ZINC000036282407 173389540 /nfs/dbraw/zinc/38/95/40/173389540.db2.gz OFYDLXABJZLSJX-UHFFFAOYSA-N 0 3 232.327 2.535 20 0 BFADHN CNCc1nc(-c2ccc(F)cc2)c(C)s1 ZINC000003710567 173395014 /nfs/dbraw/zinc/39/50/14/173395014.db2.gz HCECOMTVPSZNSL-UHFFFAOYSA-N 0 3 236.315 2.977 20 0 BFADHN COC1(C[C@@H](C)NCc2ccoc2)CCC1 ZINC000277110483 174007565 /nfs/dbraw/zinc/00/75/65/174007565.db2.gz MKBXUQZBQXAQQW-LLVKDONJSA-N 0 3 223.316 2.717 20 0 BFADHN COC1(C[C@H](C)NCc2nccs2)CCC1 ZINC000277174581 174009322 /nfs/dbraw/zinc/00/93/22/174009322.db2.gz SGYRSISWDJCZBK-JTQLQIEISA-N 0 3 240.372 2.580 20 0 BFADHN COC1CCN(Cc2ccc(F)c(C)c2)CC1 ZINC000271046548 174031088 /nfs/dbraw/zinc/03/10/88/174031088.db2.gz RFQIHMGOYWBWPB-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN COCC(C)(C)CNCc1cc(C)ccc1F ZINC000291778532 174072472 /nfs/dbraw/zinc/07/24/72/174072472.db2.gz UPJTUASLYRCCJS-UHFFFAOYSA-N 0 3 239.334 2.896 20 0 BFADHN COCC(C)(C)CN[C@@H](C)c1cncc(F)c1 ZINC000291710770 174074624 /nfs/dbraw/zinc/07/46/24/174074624.db2.gz LJQSXSUCFADWHX-JTQLQIEISA-N 0 3 240.322 2.544 20 0 BFADHN COCC(C)(C)CN[C@H](C)c1ccc(F)cn1 ZINC000293785421 174075868 /nfs/dbraw/zinc/07/58/68/174075868.db2.gz UEEWILDWCFWPPR-SNVBAGLBSA-N 0 3 240.322 2.544 20 0 BFADHN COCC(C)(C)N(C)Cc1ccccc1OC ZINC000292704497 174079584 /nfs/dbraw/zinc/07/95/84/174079584.db2.gz CSHRWUJTKHITQM-UHFFFAOYSA-N 0 3 237.343 2.552 20 0 BFADHN COCC(C)(C)NC/C=C\c1ccccc1OC ZINC000340245138 174081758 /nfs/dbraw/zinc/08/17/58/174081758.db2.gz OLUJDTUYGNSLAY-CLFYSBASSA-N 0 3 249.354 2.723 20 0 BFADHN COCC(C)(C)NCc1cnc2ccccc2c1 ZINC000310575344 174082733 /nfs/dbraw/zinc/08/27/33/174082733.db2.gz KYAMGJBXAODBNL-UHFFFAOYSA-N 0 3 244.338 2.749 20 0 BFADHN COCC(C)(C)NCc1coc2ccccc12 ZINC000121248130 174083067 /nfs/dbraw/zinc/08/30/67/174083067.db2.gz UEWRWBPRPXZKIY-UHFFFAOYSA-N 0 3 233.311 2.947 20 0 BFADHN COCC1(CN(C)[C@H](C)c2ccncc2)CCC1 ZINC000361327888 174096279 /nfs/dbraw/zinc/09/62/79/174096279.db2.gz DETOMGRPTKCOFS-CYBMUJFWSA-N 0 3 248.370 2.891 20 0 BFADHN COCC1(NCc2ccc(C)s2)CCC1 ZINC000284045071 174109421 /nfs/dbraw/zinc/10/94/21/174109421.db2.gz OZIUMSVRNTUGGF-UHFFFAOYSA-N 0 3 225.357 2.715 20 0 BFADHN COCC1(NCc2cc(C)ccc2F)CCC1 ZINC000283818094 174109908 /nfs/dbraw/zinc/10/99/08/174109908.db2.gz CLPCAYYGTPOPDE-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN COCC1=CCN(Cc2ccc(F)cc2C)CC1 ZINC000360765881 174118035 /nfs/dbraw/zinc/11/80/35/174118035.db2.gz QVCXVUAWIZFGOB-UHFFFAOYSA-N 0 3 249.329 2.913 20 0 BFADHN COCC1CCN(Cc2cccc(F)c2)CC1 ZINC000112354486 174119979 /nfs/dbraw/zinc/11/99/79/174119979.db2.gz XSVAEJWRVCSWNC-UHFFFAOYSA-N 0 3 237.318 2.684 20 0 BFADHN COCC1CCN(Cc2ccc(OC)cc2)CC1 ZINC000093103747 174120481 /nfs/dbraw/zinc/12/04/81/174120481.db2.gz HHSICHIDUWGHTJ-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN COCC1CCN(Cc2ccc(F)cc2)CC1 ZINC000112352442 174120698 /nfs/dbraw/zinc/12/06/98/174120698.db2.gz PFLQPJWLPBTWBQ-UHFFFAOYSA-N 0 3 237.318 2.684 20 0 BFADHN COCCC(C)(C)CN[C@H](C)c1ccccn1 ZINC000183929919 174143290 /nfs/dbraw/zinc/14/32/90/174143290.db2.gz JADRNKBTAMHAHY-GFCCVEGCSA-N 0 3 236.359 2.795 20 0 BFADHN COCCC(C)(C)CN[C@H](C)c1cscn1 ZINC000135015157 174143876 /nfs/dbraw/zinc/14/38/76/174143876.db2.gz ABVLUBCDXXZMLK-SNVBAGLBSA-N 0 3 242.388 2.856 20 0 BFADHN COCCC(C)(C)CNCc1ncc(C)s1 ZINC000295492964 174144533 /nfs/dbraw/zinc/14/45/33/174144533.db2.gz SVWUMQSYGGBNRT-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN COCCC1(NCc2ccc(Cl)o2)CCC1 ZINC000336770910 174159327 /nfs/dbraw/zinc/15/93/27/174159327.db2.gz TZXLBHFLEHASQU-UHFFFAOYSA-N 0 3 243.734 2.982 20 0 BFADHN COCCCCCN(C)Cc1scnc1C ZINC000066666695 174175549 /nfs/dbraw/zinc/17/55/49/174175549.db2.gz IHWSZDHONDWAFL-UHFFFAOYSA-N 0 3 242.388 2.700 20 0 BFADHN COCCCCCNCc1cc(F)ccc1F ZINC000119765981 174183102 /nfs/dbraw/zinc/18/31/02/174183102.db2.gz GIRZASAUVSAPOP-UHFFFAOYSA-N 0 3 243.297 2.871 20 0 BFADHN COCCCCCN[C@H](C)c1ccncc1F ZINC000338359949 174184677 /nfs/dbraw/zinc/18/46/77/174184677.db2.gz QTEBYTYGEKVUNH-LLVKDONJSA-N 0 3 240.322 2.688 20 0 BFADHN COCCCCN(C)Cc1cccc(F)c1 ZINC000117870745 174187699 /nfs/dbraw/zinc/18/76/99/174187699.db2.gz BHWRKDMZUDRNSB-UHFFFAOYSA-N 0 3 225.307 2.684 20 0 BFADHN COCCCCN1Cc2ccccc2[C@H]1C ZINC000336660724 174196028 /nfs/dbraw/zinc/19/60/28/174196028.db2.gz BEALAQGCMNOSCJ-GFCCVEGCSA-N 0 3 219.328 2.990 20 0 BFADHN COCCCCN[C@@H](C)c1ccccc1F ZINC000044655537 174202194 /nfs/dbraw/zinc/20/21/94/174202194.db2.gz DZYDYSCIPOEGAZ-NSHDSACASA-N 0 3 225.307 2.903 20 0 BFADHN COCCCCN[C@@H](C)c1ccc(C)o1 ZINC000044655619 174202237 /nfs/dbraw/zinc/20/22/37/174202237.db2.gz MNKMMILBGXIDMM-NSHDSACASA-N 0 3 211.305 2.665 20 0 BFADHN COCCCN(C)Cc1cc(F)c(F)c(F)c1 ZINC000120451980 174218306 /nfs/dbraw/zinc/21/83/06/174218306.db2.gz IQCJRCRKLRGSLY-UHFFFAOYSA-N 0 3 247.260 2.572 20 0 BFADHN COCCCN1CCC(CC(F)(F)F)CC1 ZINC000341992177 174227980 /nfs/dbraw/zinc/22/79/80/174227980.db2.gz OPZHWOSUPZPUPD-UHFFFAOYSA-N 0 3 239.281 2.687 20 0 BFADHN COCCCN1Cc2ccccc2[C@H]1C ZINC000336644579 174234390 /nfs/dbraw/zinc/23/43/90/174234390.db2.gz GSDWQYPNFOFYQN-LLVKDONJSA-N 0 3 205.301 2.600 20 0 BFADHN COCCCN[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000151662262 174249285 /nfs/dbraw/zinc/24/92/85/174249285.db2.gz WUSRHMJACXBDRP-QMMMGPOBSA-N 0 3 247.260 2.791 20 0 BFADHN COCCCN[C@@H](C)c1cc(C)ccc1OC ZINC000020142659 174249439 /nfs/dbraw/zinc/24/94/39/174249439.db2.gz KKSZNQPKLBAKSA-LBPRGKRZSA-N 0 3 237.343 2.691 20 0 BFADHN COCCCN[C@H](C)c1cc(F)cc(F)c1 ZINC000087282617 174250518 /nfs/dbraw/zinc/25/05/18/174250518.db2.gz UNWDVCUAXCGPPG-SECBINFHSA-N 0 3 229.270 2.652 20 0 BFADHN COCCN1CC=C(c2cccc(C)c2)CC1 ZINC000092821947 174304176 /nfs/dbraw/zinc/30/41/76/174304176.db2.gz LTRFUHVIAUZMPI-UHFFFAOYSA-N 0 3 231.339 2.731 20 0 BFADHN COCCN1CCC[C@@H]1c1ccsc1 ZINC000046088220 174307533 /nfs/dbraw/zinc/30/75/33/174307533.db2.gz ZAJKWWRGKJRXTA-LLVKDONJSA-N 0 3 211.330 2.531 20 0 BFADHN COCCN1CC[C@@H](C(F)(F)F)C(C)(C)C1 ZINC000361936753 174312115 /nfs/dbraw/zinc/31/21/15/174312115.db2.gz LNCIUULHNTZHEM-SECBINFHSA-N 0 3 239.281 2.543 20 0 BFADHN COCCN1CC[C@@H](C)[C@H]1c1cccc(F)c1 ZINC000175146319 174312508 /nfs/dbraw/zinc/31/25/08/174312508.db2.gz JZHPOTHQXJPMES-RISCZKNCSA-N 0 3 237.318 2.855 20 0 BFADHN COCCN1C[C@H](C)C[C@H]1c1ccccc1F ZINC000075900410 174318476 /nfs/dbraw/zinc/31/84/76/174318476.db2.gz AJKPVQJOYZIDLI-RISCZKNCSA-N 0 3 237.318 2.855 20 0 BFADHN COCCN1C[C@H](C)C[C@@H]1c1ccccc1F ZINC000075900407 174318745 /nfs/dbraw/zinc/31/87/45/174318745.db2.gz AJKPVQJOYZIDLI-BXUZGUMPSA-N 0 3 237.318 2.855 20 0 BFADHN COCCNCc1cc2c(cc(C)cc2C)[nH]1 ZINC000359095903 174336304 /nfs/dbraw/zinc/33/63/04/174336304.db2.gz ZZUADMZNRRQWLH-UHFFFAOYSA-N 0 3 232.327 2.521 20 0 BFADHN COCCN[C@@H](CC(F)(F)F)c1ccccc1 ZINC000037186443 174338179 /nfs/dbraw/zinc/33/81/79/174338179.db2.gz BLMWYCNOEZPYGI-NSHDSACASA-N 0 3 247.260 2.916 20 0 BFADHN COCCN[C@H](C)c1nccc2ccccc21 ZINC000353414110 174340166 /nfs/dbraw/zinc/34/01/66/174340166.db2.gz RPTQKXVMOCQJIL-LLVKDONJSA-N 0 3 230.311 2.532 20 0 BFADHN COCCOc1ccccc1CN1CCCCC1 ZINC000092107317 174370129 /nfs/dbraw/zinc/37/01/29/174370129.db2.gz QKHLOTCLIRUCML-UHFFFAOYSA-N 0 3 249.354 2.698 20 0 BFADHN COCCOc1ccccc1CN(C)C(C)C ZINC000158732646 174370280 /nfs/dbraw/zinc/37/02/80/174370280.db2.gz QXLMOACGVNARCO-UHFFFAOYSA-N 0 3 237.343 2.552 20 0 BFADHN COCC[C@@H](C)N[C@H](C)c1ccccc1F ZINC000045036148 174385053 /nfs/dbraw/zinc/38/50/53/174385053.db2.gz CUOAFYSKGAFKOF-GHMZBOCLSA-N 0 3 225.307 2.901 20 0 BFADHN COCC[C@@H](C)N[C@@H](C)c1ccccc1F ZINC000045036143 174385317 /nfs/dbraw/zinc/38/53/17/174385317.db2.gz CUOAFYSKGAFKOF-MNOVXSKESA-N 0 3 225.307 2.901 20 0 BFADHN COCC[C@@H](NCc1cnoc1C)C(C)(C)C ZINC000338349313 174393788 /nfs/dbraw/zinc/39/37/88/174393788.db2.gz BUKNOJKBMLZVRZ-GFCCVEGCSA-N 0 3 240.347 2.524 20 0 BFADHN COCC[C@@H]1CCCCN(C/C=C/Cl)C1 ZINC000373359103 174395432 /nfs/dbraw/zinc/39/54/32/174395432.db2.gz BWTNJWOKWLNQAO-NTYUQYKDSA-N 0 3 231.767 2.878 20 0 BFADHN COCC[C@H](C)N[C@H](C)c1ncc(C)s1 ZINC000124711296 174408320 /nfs/dbraw/zinc/40/83/20/174408320.db2.gz GNLVGDVMQQBSBO-WCBMZHEXSA-N 0 3 228.361 2.527 20 0 BFADHN COC[C@H](C)N[C@H](C)c1ccc2ccccc2n1 ZINC000358307514 174551359 /nfs/dbraw/zinc/55/13/59/174551359.db2.gz RFJBHGNDPNOMCF-NWDGAFQWSA-N 0 3 244.338 2.920 20 0 BFADHN CCc1cccc(CN[C@@H]2CO[C@@H](C3CC3)C2)c1 ZINC000668290619 487628982 /nfs/dbraw/zinc/62/89/82/487628982.db2.gz UODZTZFSQQTAQT-JKSUJKDBSA-N 0 3 245.366 2.906 20 0 BFADHN CO[C@H](C)CN(C)c1ccnc2ccccc21 ZINC000286272462 174825354 /nfs/dbraw/zinc/82/53/54/174825354.db2.gz FTIXATYFABROBW-LLVKDONJSA-N 0 3 230.311 2.706 20 0 BFADHN CO[C@H](C)CNCc1sccc1Cl ZINC000278682072 174835250 /nfs/dbraw/zinc/83/52/50/174835250.db2.gz IBTDZURTKZXVPL-SSDOTTSWSA-N 0 3 219.737 2.526 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1ccc(F)c(F)c1 ZINC000182419162 174835336 /nfs/dbraw/zinc/83/53/36/174835336.db2.gz YYPJAPZSAGQKLP-BDAKNGLRSA-N 0 3 229.270 2.650 20 0 BFADHN COc1c(O)cccc1CN1C[C@H](C)C[C@@H](C)C1 ZINC000272318326 174935446 /nfs/dbraw/zinc/93/54/46/174935446.db2.gz TVCVPJOZIXYIKN-VXGBXAGGSA-N 0 3 249.354 2.879 20 0 BFADHN COc1cc([C@@H](C)NC2CCCC2)c(F)cn1 ZINC000287116099 175013424 /nfs/dbraw/zinc/01/34/24/175013424.db2.gz XTCUNJLVXADSRC-SECBINFHSA-N 0 3 238.306 2.823 20 0 BFADHN COc1cc([C@@H](C)NCC[C@H](C)F)c(F)cn1 ZINC000340466593 175013995 /nfs/dbraw/zinc/01/39/95/175013995.db2.gz DOOBVJJWJKCFLK-DTWKUNHWSA-N 0 3 244.285 2.628 20 0 BFADHN COc1cc([C@H](C)NCC2CCC2)c(F)cn1 ZINC000287594206 175015724 /nfs/dbraw/zinc/01/57/24/175015724.db2.gz IGLCEILJUNRINU-VIFPVBQESA-N 0 3 238.306 2.680 20 0 BFADHN COc1cc([C@H](C)NC[C@H]2C[C@H]2C)c(F)cn1 ZINC000287572342 175016010 /nfs/dbraw/zinc/01/60/10/175016010.db2.gz GWGYLQTZLXGONC-KXUCPTDWSA-N 0 3 238.306 2.536 20 0 BFADHN COc1ccc(C(=O)CCN(C)C2CCC2)cc1 ZINC000286590070 175053837 /nfs/dbraw/zinc/05/38/37/175053837.db2.gz XDOCFUVANPPDCG-UHFFFAOYSA-N 0 3 247.338 2.752 20 0 BFADHN COc1ccc(C(=O)CCN2CCC[C@H]2C)cc1 ZINC000286461990 175054299 /nfs/dbraw/zinc/05/42/99/175054299.db2.gz ORYIHKFKVOWVAN-GFCCVEGCSA-N 0 3 247.338 2.752 20 0 BFADHN COc1ccc(C)cc1CN[C@@H](C)[C@@H]1CCCO1 ZINC000035250295 175076297 /nfs/dbraw/zinc/07/62/97/175076297.db2.gz XIYJLHHMMADQEA-JSGCOSHPSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1C[C@H]1C(F)F ZINC000342245846 175076360 /nfs/dbraw/zinc/07/63/60/175076360.db2.gz ZJSXDIBVXXNXLV-MNOVXSKESA-N 0 3 241.281 2.747 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)NCc1cnc[nH]1 ZINC000054764371 175079031 /nfs/dbraw/zinc/07/90/31/175079031.db2.gz MIRFTLIQCXXQSN-LLVKDONJSA-N 0 3 245.326 2.578 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@@H]1CCO[C@H]1C ZINC000252681495 175081125 /nfs/dbraw/zinc/08/11/25/175081125.db2.gz AHYAKYJCRDITKC-SGMGOOAPSA-N 0 3 249.354 2.832 20 0 BFADHN COc1ccc(C)cc1[C@H](C)NC[C@@H]1CCCO1 ZINC000019963819 175081386 /nfs/dbraw/zinc/08/13/86/175081386.db2.gz UNZGLACJKACLDU-STQMWFEESA-N 0 3 249.354 2.833 20 0 BFADHN COc1ccc(CC[C@@H](C)NCCF)cc1 ZINC000281030503 175092289 /nfs/dbraw/zinc/09/22/89/175092289.db2.gz MZCSTSQJHYNHMS-LLVKDONJSA-N 0 3 225.307 2.575 20 0 BFADHN COc1ccc(CN(C)C[C@H]2CC[C@H](C)O2)cc1 ZINC000188535400 175095182 /nfs/dbraw/zinc/09/51/82/175095182.db2.gz BINGJCDJAQAMQT-SWLSCSKDSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccc(CN2CC3(CCC3)C[C@H]2C)cn1 ZINC000365445032 175098441 /nfs/dbraw/zinc/09/84/41/175098441.db2.gz UWBSGIFUXJXTOI-GFCCVEGCSA-N 0 3 246.354 2.855 20 0 BFADHN COc1ccc(CN2CCCC[C@@H](C)C2)nc1 ZINC000274920760 175098945 /nfs/dbraw/zinc/09/89/45/175098945.db2.gz YYVDEMUKDKNMGZ-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccc(CN2CCC[C@H]2C)c(OC)c1 ZINC000124280890 175100011 /nfs/dbraw/zinc/10/00/11/175100011.db2.gz NBMPXJMZJFGGBX-LLVKDONJSA-N 0 3 235.327 2.688 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)[C@H]2C)cc1O ZINC000091859733 175102343 /nfs/dbraw/zinc/10/23/43/175102343.db2.gz NZZAUSVGFSFOAQ-GHMZBOCLSA-N 0 3 235.327 2.631 20 0 BFADHN COc1ccc(CNCc2sccc2C)cn1 ZINC000020186075 175108205 /nfs/dbraw/zinc/10/82/05/175108205.db2.gz OULZLDWVXDOETD-UHFFFAOYSA-N 0 3 248.351 2.750 20 0 BFADHN COc1ccc(CNCc2cccc(C)c2)cn1 ZINC000020186001 175108449 /nfs/dbraw/zinc/10/84/49/175108449.db2.gz QYSYVENUDJNWPR-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN COc1ccc(F)c(CNCCC2CC2)c1 ZINC000293682979 175124008 /nfs/dbraw/zinc/12/40/08/175124008.db2.gz VSTBTBXJCKRXPG-UHFFFAOYSA-N 0 3 223.291 2.724 20 0 BFADHN COc1ccc(F)c(CNC2CC(C)C2)c1 ZINC000293654706 175124923 /nfs/dbraw/zinc/12/49/23/175124923.db2.gz SRRPQZNCSFIXJF-UHFFFAOYSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)cc1CN[C@@H]1CC[C@H]1C ZINC000336651042 175129624 /nfs/dbraw/zinc/12/96/24/175129624.db2.gz HUVDPRKYFXHNNS-BXKDBHETSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)cc1CNC[C@@H]1CC1(C)C ZINC000310541891 175129950 /nfs/dbraw/zinc/12/99/50/175129950.db2.gz RDGKCXMDTOYCGL-NSHDSACASA-N 0 3 237.318 2.970 20 0 BFADHN COc1ccc(F)cc1[C@H](C)NCC1CC1 ZINC000037089989 175133659 /nfs/dbraw/zinc/13/36/59/175133659.db2.gz ORQKAUYNVFKQGJ-VIFPVBQESA-N 0 3 223.291 2.895 20 0 BFADHN COc1ccc(OC)c(CNCCC2CC2)c1 ZINC000088454741 175165042 /nfs/dbraw/zinc/16/50/42/175165042.db2.gz MGPBMLMOEHBFLD-UHFFFAOYSA-N 0 3 235.327 2.594 20 0 BFADHN COc1ccc(OC)c(CN(C)[C@H](C)C2CC2)c1 ZINC000121047868 175165106 /nfs/dbraw/zinc/16/51/06/175165106.db2.gz JRLVKZJYZGWJNC-LLVKDONJSA-N 0 3 249.354 2.934 20 0 BFADHN COc1ccc(OC)c(CNCC2CCC2)c1 ZINC000037179720 175165786 /nfs/dbraw/zinc/16/57/86/175165786.db2.gz WWBSTPOUGGNRBW-UHFFFAOYSA-N 0 3 235.327 2.594 20 0 BFADHN COc1ccc(OC)c(CN2C[C@H](C)[C@@H](C)C2)c1 ZINC000353883207 175165800 /nfs/dbraw/zinc/16/58/00/175165800.db2.gz SHZJJUCCKFNHKB-RYUDHWBXSA-N 0 3 249.354 2.792 20 0 BFADHN COc1ccc(OC)c(CN[C@H]2CC=CCC2)c1 ZINC000080123470 175165964 /nfs/dbraw/zinc/16/59/64/175165964.db2.gz BKQDOGQJJIRYON-ZDUSSCGKSA-N 0 3 247.338 2.902 20 0 BFADHN COc1ccc(OC)c([C@@H](C)NC2CCC2)c1 ZINC000044481348 175167315 /nfs/dbraw/zinc/16/73/15/175167315.db2.gz SJYRGXBJVXAXIJ-SNVBAGLBSA-N 0 3 235.327 2.907 20 0 BFADHN COc1ccc([C@@H](C)NC[C@@H](C)OC)cc1F ZINC000182421266 175176979 /nfs/dbraw/zinc/17/69/79/175176979.db2.gz QZFJCYGAPCXZMT-NXEZZACHSA-N 0 3 241.306 2.520 20 0 BFADHN COc1ccc([C@@H](C)NCc2ccn(C)c2)cc1 ZINC000091008923 175176989 /nfs/dbraw/zinc/17/69/89/175176989.db2.gz VEYYQQQVUDRNIB-GFCCVEGCSA-N 0 3 244.338 2.885 20 0 BFADHN COc1ccc([C@H](C)NC(C)C)c(OC)c1 ZINC000019880939 175188099 /nfs/dbraw/zinc/18/80/99/175188099.db2.gz ZIDZYFLQUJJRFK-JTQLQIEISA-N 0 3 223.316 2.763 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2C[C@H](O)C2(C)C)cc1 ZINC000129369801 175189714 /nfs/dbraw/zinc/18/97/14/175189714.db2.gz NQXXJJOTQXHGLP-GDLCADMTSA-N 0 3 249.354 2.505 20 0 BFADHN COc1ccc2c(c1)OCCN(C1CCCC1)C2 ZINC000367252806 175212802 /nfs/dbraw/zinc/21/28/02/175212802.db2.gz OJYVVTQYHTUTIK-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN COc1ccc2c(c1)[C@H](NC(C)C)CCO2 ZINC000035652790 175216726 /nfs/dbraw/zinc/21/67/26/175216726.db2.gz ZBWVKFIRWPTMJU-GFCCVEGCSA-N 0 3 221.300 2.517 20 0 BFADHN COc1cccc(CCN[C@H](C)c2ccco2)n1 ZINC000361926159 175253150 /nfs/dbraw/zinc/25/31/50/175253150.db2.gz QFOXINYPLJRZBP-LLVKDONJSA-N 0 3 246.310 2.577 20 0 BFADHN COc1cccc(CN(C)C[C@H]2CCC=CO2)c1 ZINC000278261284 175254466 /nfs/dbraw/zinc/25/44/66/175254466.db2.gz QMVNKOYOQHDNNV-OAHLLOKOSA-N 0 3 247.338 2.820 20 0 BFADHN COc1cccc(CN(C)CCOCC2CC2)c1 ZINC000158305182 175255329 /nfs/dbraw/zinc/25/53/29/175255329.db2.gz WAEWWXGEEQLHKF-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN COc1cccc(CN(C)[C@@H]2CCSC2)c1 ZINC000119930302 175255353 /nfs/dbraw/zinc/25/53/53/175255353.db2.gz NYNZHDSYAHQHGK-GFCCVEGCSA-N 0 3 237.368 2.633 20 0 BFADHN COc1cccc(CN(C)C[C@@H]2CC[C@@H](C)O2)c1 ZINC000276480309 175255391 /nfs/dbraw/zinc/25/53/91/175255391.db2.gz OOMNYCNNZDESSI-DOMZBBRYSA-N 0 3 249.354 2.695 20 0 BFADHN COc1cccc(CN2CCC3(CCC3)CC2)n1 ZINC000367593988 175257108 /nfs/dbraw/zinc/25/71/08/175257108.db2.gz JCTHRUGVLGEFQZ-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN COc1cccc(CN2CC=C(C)CC2)c1 ZINC000270104874 175257797 /nfs/dbraw/zinc/25/77/97/175257797.db2.gz CHOSHGQPYGJWJL-UHFFFAOYSA-N 0 3 217.312 2.847 20 0 BFADHN COc1cccc(CN2CCCC[C@H](C)C2)n1 ZINC000360612726 175258090 /nfs/dbraw/zinc/25/80/90/175258090.db2.gz LGNZOEFRDVYIQM-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cccc(CN2CCSC[C@H]2C)c1 ZINC000172435665 175260103 /nfs/dbraw/zinc/26/01/03/175260103.db2.gz MEQMBFOKCTXQJQ-LLVKDONJSA-N 0 3 237.368 2.633 20 0 BFADHN COc1cccc(CN2CC[C@H](C)[C@@H](OC)C2)c1 ZINC000189266774 175260305 /nfs/dbraw/zinc/26/03/05/175260305.db2.gz NIOGZUOCXZMNTK-WFASDCNBSA-N 0 3 249.354 2.552 20 0 BFADHN COc1cccc(CN2CCSC[C@@H]2C)c1 ZINC000172435668 175260572 /nfs/dbraw/zinc/26/05/72/175260572.db2.gz MEQMBFOKCTXQJQ-NSHDSACASA-N 0 3 237.368 2.633 20 0 BFADHN COc1cccc(CNCC2(C)CCCC2)n1 ZINC000070093618 175263353 /nfs/dbraw/zinc/26/33/53/175263353.db2.gz FANOWJLTDLQANW-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN COc1cccc(CNC2(C(C)C)CC2)c1OC ZINC000292999866 175263411 /nfs/dbraw/zinc/26/34/11/175263411.db2.gz MXNMDOOBTVZELO-UHFFFAOYSA-N 0 3 249.354 2.982 20 0 BFADHN COc1cccc(CNC2(C)CCCC2)n1 ZINC000069637591 175263870 /nfs/dbraw/zinc/26/38/70/175263870.db2.gz VGRPAZILBNLGCE-UHFFFAOYSA-N 0 3 220.316 2.513 20 0 BFADHN COc1cccc(CNC[C@H]2CC=CCC2)n1 ZINC000070093515 175265351 /nfs/dbraw/zinc/26/53/51/175265351.db2.gz NACXNSWKQGONFW-LBPRGKRZSA-N 0 3 232.327 2.536 20 0 BFADHN COc1cccc(CN[C@@H]2CCC[C@H]2F)c1 ZINC000339584831 175266609 /nfs/dbraw/zinc/26/66/09/175266609.db2.gz QKLJKSKMIOSHTG-CHWSQXEVSA-N 0 3 223.291 2.675 20 0 BFADHN COc1cccc(CN[C@@H]2CC[C@H]2SC)c1 ZINC000309892615 175267043 /nfs/dbraw/zinc/26/70/43/175267043.db2.gz DIKCXKZRJVKBLT-CHWSQXEVSA-N 0 3 237.368 2.679 20 0 BFADHN COc1cccc(OC)c1CN[C@H]1CC[C@H](C)C1 ZINC000352735084 175281565 /nfs/dbraw/zinc/28/15/65/175281565.db2.gz ANQLPGIXZZWBFG-RYUDHWBXSA-N 0 3 249.354 2.982 20 0 BFADHN COc1cccc(OC)c1CNCC[C@H](C)F ZINC000339491831 175282041 /nfs/dbraw/zinc/28/20/41/175282041.db2.gz OFVSDRKJBBSNDA-JTQLQIEISA-N 0 3 241.306 2.542 20 0 BFADHN COc1cccc(OCCN2CC=C(C)CC2)c1 ZINC000339626427 175283308 /nfs/dbraw/zinc/28/33/08/175283308.db2.gz BJYDVHZGUAIWFC-UHFFFAOYSA-N 0 3 247.338 2.726 20 0 BFADHN COc1cccc([C@@H](C)N2CCC[C@@](C)(O)C2)c1 ZINC000124744976 175286418 /nfs/dbraw/zinc/28/64/18/175286418.db2.gz ZXMVEENJYHKQCF-IUODEOHRSA-N 0 3 249.354 2.603 20 0 BFADHN COc1cccc([C@@H](C)NC[C@@H](OC)C2CC2)c1 ZINC000295092749 175286443 /nfs/dbraw/zinc/28/64/43/175286443.db2.gz UZLPPEHSGWBEII-IAQYHMDHSA-N 0 3 249.354 2.771 20 0 BFADHN COc1cccc([C@@H](O)CN2CCCC[C@@H]2C)c1 ZINC000044116309 175289186 /nfs/dbraw/zinc/28/91/86/175289186.db2.gz MXKTXMAHRIYAHS-WFASDCNBSA-N 0 3 249.354 2.603 20 0 BFADHN COc1cccc([C@H](C)N(C)C[C@H](C)OC)c1 ZINC000353208089 175292341 /nfs/dbraw/zinc/29/23/41/175292341.db2.gz WLQBXZUKSVDYBH-RYUDHWBXSA-N 0 3 237.343 2.723 20 0 BFADHN COc1cccc([C@H](C)N(C)C[C@@H](C)OC)c1 ZINC000353208087 175292475 /nfs/dbraw/zinc/29/24/75/175292475.db2.gz WLQBXZUKSVDYBH-NEPJUHHUSA-N 0 3 237.343 2.723 20 0 BFADHN COc1cccc([C@H](C)NC[C@@H]2CC[C@@H](C)O2)c1 ZINC000128311487 175293089 /nfs/dbraw/zinc/29/30/89/175293089.db2.gz SNKFZSPEVXICEB-XUJVJEKNSA-N 0 3 249.354 2.913 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2C[C@@H](C)O[C@H]2C)c1 ZINC000296673789 175293201 /nfs/dbraw/zinc/29/32/01/175293201.db2.gz HGUVNRIQMGEIRX-OXJKWZBOSA-N 0 3 249.354 2.912 20 0 BFADHN COc1cccc([C@H](C)NCCOC2CCC2)c1 ZINC000267179550 175293653 /nfs/dbraw/zinc/29/36/53/175293653.db2.gz BIUPOEBXEXONDO-LBPRGKRZSA-N 0 3 249.354 2.915 20 0 BFADHN COc1cccc2c1CC[C@@H](N[C@@H](C)CF)C2 ZINC000290275272 175302388 /nfs/dbraw/zinc/30/23/88/175302388.db2.gz YLCIDJNWSJDYQC-CMPLNLGQSA-N 0 3 237.318 2.500 20 0 BFADHN COc1ccccc1C(C)(C)NCCSC ZINC000119925532 175319676 /nfs/dbraw/zinc/31/96/76/175319676.db2.gz HTJMDPZUGYYTLB-UHFFFAOYSA-N 0 3 239.384 2.883 20 0 BFADHN COc1ccccc1CN1CC[C@@H](OC)C[C@@H]1C ZINC000282484106 175326969 /nfs/dbraw/zinc/32/69/69/175326969.db2.gz XCRNBBRTEFCDSN-GXTWGEPZSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccccc1CN[C@H]1CCCSC1 ZINC000061570756 175331376 /nfs/dbraw/zinc/33/13/76/175331376.db2.gz FLLDOGPPDMSBHG-LBPRGKRZSA-N 0 3 237.368 2.680 20 0 BFADHN COc1ccccc1[C@@H](C)NCC1=CCCOC1 ZINC000269273562 175345202 /nfs/dbraw/zinc/34/52/02/175345202.db2.gz HIRVJYOCRUUNEN-GFCCVEGCSA-N 0 3 247.338 2.693 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1CCCC[C@@H]1O ZINC000051769834 175345800 /nfs/dbraw/zinc/34/58/00/175345800.db2.gz UITBIJHZHDGPMY-XBFCOCLRSA-N 0 3 249.354 2.649 20 0 BFADHN COc1ccccc1[C@H](C)NCCC[C@H](C)O ZINC000228043909 175351299 /nfs/dbraw/zinc/35/12/99/175351299.db2.gz CFCNVQACHPEFSV-RYUDHWBXSA-N 0 3 237.343 2.507 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1CCCC[C@H]1O ZINC000149214339 175352211 /nfs/dbraw/zinc/35/22/11/175352211.db2.gz UITBIJHZHDGPMY-IACUBPJLSA-N 0 3 249.354 2.649 20 0 BFADHN COc1ccnc(CN2CCC[C@@H](C)CC2)c1 ZINC000106860182 175358160 /nfs/dbraw/zinc/35/81/60/175358160.db2.gz WWMNCFGXCFPOIJ-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccnc(CN(C)[C@@H](C)C2CCC2)c1 ZINC000357018553 175359424 /nfs/dbraw/zinc/35/94/24/175359424.db2.gz ACHJOHYBASUKEZ-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN2C[C@H](C)C[C@@H](C)C2)c1 ZINC000106859331 175359914 /nfs/dbraw/zinc/35/99/14/175359914.db2.gz YBHOODQURPTJIV-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccnc(CN[C@@H](C)C2CCCC2)c1 ZINC000273410640 175359942 /nfs/dbraw/zinc/35/99/42/175359942.db2.gz SUKCANXIQXDAGT-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccnc(CN2C[C@@H](C)CC[C@@H]2C)c1 ZINC000266532490 175360124 /nfs/dbraw/zinc/36/01/24/175360124.db2.gz GBQNSIIBQNWDBF-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN2[C@H](C)CCC[C@@H]2C)c1 ZINC000108918348 175360558 /nfs/dbraw/zinc/36/05/58/175360558.db2.gz UPLDEVUTRROVGW-TXEJJXNPSA-N 0 3 234.343 2.853 20 0 BFADHN COc1ccnc(CN2C[C@@H](C)CC2(C)C)c1 ZINC000267059730 175360653 /nfs/dbraw/zinc/36/06/53/175360653.db2.gz SWSTZHIMIIBITO-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CN1CCC[C@H]1C1CCC1 ZINC000286733918 175369465 /nfs/dbraw/zinc/36/94/65/175369465.db2.gz FDUSGDDXEXFBLZ-AWEZNQCLSA-N 0 3 246.354 2.855 20 0 BFADHN COc1ccncc1CN1C[C@H](C)C[C@H](C)C1 ZINC000286756015 175370344 /nfs/dbraw/zinc/37/03/44/175370344.db2.gz GOYDYIYVIWZTOZ-TXEJJXNPSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccncc1CNCCCC(C)C ZINC000292615515 175371662 /nfs/dbraw/zinc/37/16/62/175371662.db2.gz IJGUEIAJYUTEOA-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN COc1ccncc1CN[C@@H]1CC[C@@H]1C(C)C ZINC000340403516 175371739 /nfs/dbraw/zinc/37/17/39/175371739.db2.gz NCHHKHLIRLDLGF-CHWSQXEVSA-N 0 3 234.343 2.614 20 0 BFADHN COc1ccncc1CN[C@@H]1CCC[C@@H]2C[C@@]21C ZINC000294127378 175372227 /nfs/dbraw/zinc/37/22/27/175372227.db2.gz LCMLGDBGTDQYCL-YUELXQCFSA-N 0 3 246.354 2.759 20 0 BFADHN COc1ccncc1CN[C@@H]1CCC[C@@H]1C1CC1 ZINC000340402050 175372547 /nfs/dbraw/zinc/37/25/47/175372547.db2.gz BEGBMZSVMOUKME-ZIAGYGMSSA-N 0 3 246.354 2.759 20 0 BFADHN COc1ccncc1CN[C@H](C)CC(C)C ZINC000292812694 175373286 /nfs/dbraw/zinc/37/32/86/175373286.db2.gz WMVDDIPJGXONHH-LLVKDONJSA-N 0 3 222.332 2.614 20 0 BFADHN COc1ccsc1[C@@H](C)NC1CCC1 ZINC000274604656 175379578 /nfs/dbraw/zinc/37/95/78/175379578.db2.gz RFTCAUCWQBPKEF-MRVPVSSYSA-N 0 3 211.330 2.960 20 0 BFADHN COc1ccsc1[C@H](C)NCC(C)(C)OC ZINC000309932407 175380278 /nfs/dbraw/zinc/38/02/78/175380278.db2.gz YOHKPBJBUQIMNM-VIFPVBQESA-N 0 3 243.372 2.832 20 0 BFADHN COc1ccsc1[C@H](C)NCCC[C@H](C)O ZINC000278957244 175380304 /nfs/dbraw/zinc/38/03/04/175380304.db2.gz ZVSZTRVKOJNXKB-UWVGGRQHSA-N 0 3 243.372 2.568 20 0 BFADHN COc1ccsc1[C@H](C)N[C@H](C)C[C@H](C)O ZINC000336657513 175380518 /nfs/dbraw/zinc/38/05/18/175380518.db2.gz BBDNBUSBXGNVST-UTLUCORTSA-N 0 3 243.372 2.567 20 0 BFADHN COc1cncc(CN2CCC[C@@H](C)CC2)c1 ZINC000290259722 175385072 /nfs/dbraw/zinc/38/50/72/175385072.db2.gz KLQKPQWHOAOUPM-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cncc(CN2C[C@H](C)C[C@@H](C)C2)c1 ZINC000290459217 175385101 /nfs/dbraw/zinc/38/51/01/175385101.db2.gz WJORMFVSNNMDTK-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN COc1cncc(CN2CC[C@H](C)[C@H](C)C2)c1 ZINC000290075694 175385490 /nfs/dbraw/zinc/38/54/90/175385490.db2.gz WIJBAGQFEDVEFE-NWDGAFQWSA-N 0 3 234.343 2.568 20 0 BFADHN COc1cncc(CN2CC[C@@H](C)[C@@H](C)C2)c1 ZINC000290075690 175385935 /nfs/dbraw/zinc/38/59/35/175385935.db2.gz WIJBAGQFEDVEFE-NEPJUHHUSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ncc(CN2CCCCC[C@H]2C)c(C)n1 ZINC000337200097 175398923 /nfs/dbraw/zinc/39/89/23/175398923.db2.gz ZJEYOOCCIVZFSZ-LLVKDONJSA-N 0 3 249.358 2.558 20 0 BFADHN CSCCCCCN[C@@H](C)c1cnccn1 ZINC000186785222 175474112 /nfs/dbraw/zinc/47/41/12/175474112.db2.gz WYEVEPBMWUFPHI-NSHDSACASA-N 0 3 239.388 2.661 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1ccc(F)cc1F ZINC000309905743 175550878 /nfs/dbraw/zinc/55/08/78/175550878.db2.gz KDSXLFZDHUAGEH-NWDGAFQWSA-N 0 3 243.322 2.948 20 0 BFADHN C[C@@H](CSc1nc2ccccc2o1)N(C)C ZINC000358854575 175764840 /nfs/dbraw/zinc/76/48/40/175764840.db2.gz PERPZIJJIXZUDM-VIFPVBQESA-N 0 3 236.340 2.870 20 0 BFADHN C[C@@H](NCCO)c1ccc(-c2ccccc2)cc1 ZINC000020597071 175881013 /nfs/dbraw/zinc/88/10/13/175881013.db2.gz OIEVKGKRNCMJJQ-CYBMUJFWSA-N 0 3 241.334 2.997 20 0 BFADHN C[C@@H](NCc1cccs1)[C@@H](O)c1ccccc1 ZINC000038392859 175902204 /nfs/dbraw/zinc/90/22/04/175902204.db2.gz VUBUIPNYRJGLNP-BXUZGUMPSA-N 0 3 247.363 2.960 20 0 BFADHN C[C@@H](N[C@H]1CCCSC1)c1ccncc1F ZINC000309582813 175925415 /nfs/dbraw/zinc/92/54/15/175925415.db2.gz QWWRDFHAKCOZRC-ZJUUUORDSA-N 0 3 240.347 2.767 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1cc(Cl)cs1 ZINC000128154939 175945512 /nfs/dbraw/zinc/94/55/12/175945512.db2.gz QZWKPZDLWFHGLR-SSDOTTSWSA-N 0 3 233.764 2.651 20 0 BFADHN C[C@@H](O)CCCNc1ccnc2ccccc21 ZINC000227998027 175950508 /nfs/dbraw/zinc/95/05/08/175950508.db2.gz MBUCUAXUUQNZKU-LLVKDONJSA-N 0 3 230.311 2.808 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCC[C@@](C)(O)C1 ZINC000124361760 176015207 /nfs/dbraw/zinc/01/52/07/176015207.db2.gz QXKHWNQFQVYAIY-SMDDNHRTSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N(C)C[C@@H]1CCCO1 ZINC000187834442 176015217 /nfs/dbraw/zinc/01/52/17/176015217.db2.gz IDQCOEJBRFKVKZ-FZMZJTMJSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H](c1cccc(Cl)c1)N1CC[C@H](CO)C1 ZINC000082946416 176017971 /nfs/dbraw/zinc/01/79/71/176017971.db2.gz QESCUFHEWUGLRS-QWRGUYRKSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@@H](c1ccccc1)N(C)CCOCC(F)F ZINC000188626435 176019917 /nfs/dbraw/zinc/01/99/17/176019917.db2.gz PZMWNMGPGODUMO-NSHDSACASA-N 0 3 243.297 2.961 20 0 BFADHN C[C@@H](c1ccccc1)N1CCN(C2CCC2)CC1 ZINC000264952048 176022001 /nfs/dbraw/zinc/02/20/01/176022001.db2.gz CAEISTJBZHGWQO-AWEZNQCLSA-N 0 3 244.382 2.918 20 0 BFADHN C[C@@H](c1ccccc1F)N1CCCN(C)CC1 ZINC000297718967 176025671 /nfs/dbraw/zinc/02/56/71/176025671.db2.gz BRMHBKKJSBLBEO-LBPRGKRZSA-N 0 3 236.334 2.524 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CC[C@@H]1CCCO1 ZINC000191048163 176026269 /nfs/dbraw/zinc/02/62/69/176026269.db2.gz DYVBIPJJQOCTPK-STQMWFEESA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H](c1ccccn1)N1CC[C@@H](O)CC(C)(C)C1 ZINC000295920970 176028088 /nfs/dbraw/zinc/02/80/88/176028088.db2.gz FIAVNPJTXQPZAL-QWHCGFSZSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@H](c1cccnc1)N(C)C[C@H]1CCSC1 ZINC000278538780 176029450 /nfs/dbraw/zinc/02/94/50/176029450.db2.gz CKALFJXTKWWGLX-NWDGAFQWSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@@H](c1cccnc1)N(C)C[C@H]1CCCOC1 ZINC000266704562 176029536 /nfs/dbraw/zinc/02/95/36/176029536.db2.gz DMPBXZCFXZEEOL-QWHCGFSZSA-N 0 3 234.343 2.501 20 0 BFADHN C[C@@H](c1ccco1)N(C)CCCCCCO ZINC000175752825 176031542 /nfs/dbraw/zinc/03/15/42/176031542.db2.gz RMVVAHUMIDEPLF-LBPRGKRZSA-N 0 3 225.332 2.825 20 0 BFADHN C[C@@H](c1cccs1)N(C)CCC1OCCO1 ZINC000123828893 176032563 /nfs/dbraw/zinc/03/25/63/176032563.db2.gz WSCIMXDWHOXRFC-JTQLQIEISA-N 0 3 241.356 2.504 20 0 BFADHN C[C@@H](c1ccco1)N(C[C@@H]1CCOC1)C1CC1 ZINC000336681220 176033599 /nfs/dbraw/zinc/03/35/99/176033599.db2.gz CMNONRCYBXQLEJ-RYUDHWBXSA-N 0 3 235.327 2.842 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCc1cccnc1 ZINC000179660991 176033886 /nfs/dbraw/zinc/03/38/86/176033886.db2.gz BQNUEDPXCKGBMG-ZDUSSCGKSA-N 0 3 241.338 2.712 20 0 BFADHN C[C@@H](c1ccncc1)N(C)C[C@@H]1CC[C@@H](C)O1 ZINC000188565623 176035773 /nfs/dbraw/zinc/03/57/73/176035773.db2.gz VZGPFFKYALUGAI-DYEKYZERSA-N 0 3 234.343 2.642 20 0 BFADHN C[C@@H](c1cnccn1)N1CCC(C2CC2)CC1 ZINC000366331241 176036055 /nfs/dbraw/zinc/03/60/55/176036055.db2.gz OGPRPSDDPCYCKM-NSHDSACASA-N 0 3 231.343 2.660 20 0 BFADHN C[C@@H]1CCCCN1C/C=C/c1ccncc1 ZINC000336303844 176069231 /nfs/dbraw/zinc/06/92/31/176069231.db2.gz IZMNTYAUFNSHMX-DIECRNLCSA-N 0 3 216.328 2.969 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CNCc1cocn1 ZINC000183739413 176077480 /nfs/dbraw/zinc/07/74/80/176077480.db2.gz DYXZKVFSOVLANS-GHMZBOCLSA-N 0 3 208.305 2.591 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN(C)Cc1ccnn1C ZINC000355285096 176084548 /nfs/dbraw/zinc/08/45/48/176084548.db2.gz SOVPAZMSRITPOR-OLZOCXBDSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N(Cc2ccon2)C1 ZINC000125266039 176114788 /nfs/dbraw/zinc/11/47/88/176114788.db2.gz BWPJHYRVXPFCFD-GHMZBOCLSA-N 0 3 208.305 2.685 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2cocn2)C1 ZINC000179547762 176119004 /nfs/dbraw/zinc/11/90/04/176119004.db2.gz RXQACIBJVSTGFN-GHMZBOCLSA-N 0 3 208.305 2.591 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2ccc(CO)o2)CC1 ZINC000227309916 176123708 /nfs/dbraw/zinc/12/37/08/176123708.db2.gz WMXFREHTBZHGKY-VXGBXAGGSA-N 0 3 237.343 2.830 20 0 BFADHN C[C@@H]1CCC[C@@H](N[C@H]2CCCc3c[nH]nc32)C1 ZINC000358312652 176124085 /nfs/dbraw/zinc/12/40/85/176124085.db2.gz BWAGAYLTOIGOBM-RTXFEEFZSA-N 0 3 233.359 2.955 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@@H](C)C1)c1cnccn1 ZINC000226964798 176124616 /nfs/dbraw/zinc/12/46/16/176124616.db2.gz OZTUQZKMHQXHCU-IJLUTSLNSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1ccn(C(F)F)n1 ZINC000341468979 176128363 /nfs/dbraw/zinc/12/83/63/176128363.db2.gz AXVNZYHDAOWFRU-NXEZZACHSA-N 0 3 243.301 2.804 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCC(=O)[C@H](C)C2)C1 ZINC000300363269 176137108 /nfs/dbraw/zinc/13/71/08/176137108.db2.gz UQMDSMVEYLKJMZ-UPJWGTAASA-N 0 3 223.360 2.724 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCOCC2(C)C)C1 ZINC000357004132 176137408 /nfs/dbraw/zinc/13/74/08/176137408.db2.gz PEFDMYRVVFXJIY-OLZOCXBDSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1CCC[C@H](CO)N1Cc1cccc(F)c1 ZINC000269735641 176139735 /nfs/dbraw/zinc/13/97/35/176139735.db2.gz ZITQTDGFWHBTPI-BXUZGUMPSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@@H]1CCN(CCCCO)[C@H](c2ccco2)C1 ZINC000174511402 176168935 /nfs/dbraw/zinc/16/89/35/176168935.db2.gz YDQVWEBSLWGTRG-OLZOCXBDSA-N 0 3 237.343 2.825 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(Cl)cc2)[C@H]1CO ZINC000248883020 176174772 /nfs/dbraw/zinc/17/47/72/176174772.db2.gz DJSROQQSBJHYHM-MFKMUULPSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@@H]1CCN(Cc2ccco2)C[C@@H]1n1ccnc1 ZINC000091960186 176175544 /nfs/dbraw/zinc/17/55/44/176175544.db2.gz YBAFJDQYUXMOON-OCCSQVGLSA-N 0 3 245.326 2.559 20 0 BFADHN C[C@@H]1CCN(Cc2cccnc2N)CC12CCC2 ZINC000296192599 176175719 /nfs/dbraw/zinc/17/57/19/176175719.db2.gz DSEIOGDHKJTANS-GFCCVEGCSA-N 0 3 245.370 2.676 20 0 BFADHN C[C@@H]1CCN(Cc2ccccn2)C[C@@H]1C ZINC000122572932 176176699 /nfs/dbraw/zinc/17/66/99/176176699.db2.gz YIMYJQVUTZJJKP-NEPJUHHUSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@@H]1CCN(Cc2ccncc2)CC1(C)C ZINC000273686891 176177496 /nfs/dbraw/zinc/17/74/96/176177496.db2.gz LDOKGLYYXPUGOW-GFCCVEGCSA-N 0 3 218.344 2.950 20 0 BFADHN C[C@@H]1CCN(Cc2cn3ccccc3n2)[C@H](C)C1 ZINC000077319128 176178148 /nfs/dbraw/zinc/17/81/48/176178148.db2.gz BUKCOJMYHAPCNM-CHWSQXEVSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2cccc3nccn32)C1 ZINC000180310503 176197264 /nfs/dbraw/zinc/19/72/64/176197264.db2.gz GLAWIGCDIUYETO-CHWSQXEVSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1CC[C@@H](N(C)Cc2ccccn2)C1 ZINC000275745446 176206821 /nfs/dbraw/zinc/20/68/21/176206821.db2.gz WCDODWGLGUKHBW-DGCLKSJQSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)CC1)c1cnccn1 ZINC000252731451 176213437 /nfs/dbraw/zinc/21/34/37/176213437.db2.gz PYOGDKUTTGFZCK-SRVKXCTJSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@@H]1CC[C@@]2(CCN(Cc3ccno3)C2)C1 ZINC000336592893 176219014 /nfs/dbraw/zinc/21/90/14/176219014.db2.gz VTUOSLQDYSTGMA-DGCLKSJQSA-N 0 3 220.316 2.687 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC[C@@H]2c2cccnc2)O1 ZINC000336784774 176224150 /nfs/dbraw/zinc/22/41/50/176224150.db2.gz XEWOULUMFPVRQH-BPLDGKMQSA-N 0 3 246.354 2.786 20 0 BFADHN C[C@@H]1CC[C@H](CN(C)CCc2ccccc2)O1 ZINC000188540443 176224382 /nfs/dbraw/zinc/22/43/82/176224382.db2.gz NTSVDUXTGCWAHV-UKRRQHHQSA-N 0 3 233.355 2.728 20 0 BFADHN C[C@@H]1CC[C@H](CNC2(c3cccc(F)c3)CC2)O1 ZINC000191055779 176226994 /nfs/dbraw/zinc/22/69/94/176226994.db2.gz FKWRZEXGGYZJOM-BXUZGUMPSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@H](c2cccnc2)C2CC2)O1 ZINC000355281039 176227393 /nfs/dbraw/zinc/22/73/93/176227393.db2.gz WKTCTCNPKZPAJL-DFBGVHRSSA-N 0 3 246.354 2.690 20 0 BFADHN C[C@@H]1CC[C@H](N[C@@H](CO)c2ccsc2)C1 ZINC000336746938 176233439 /nfs/dbraw/zinc/23/34/39/176233439.db2.gz CBJUWTMCKCOYJY-USWWRNFRSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1nc(-c2ccccc2)c[nH]1 ZINC000353108589 176237638 /nfs/dbraw/zinc/23/76/38/176237638.db2.gz HOPCTEPHCDCFRZ-DGCLKSJQSA-N 0 3 241.338 2.965 20 0 BFADHN C[C@@H]1CN(CC2CCC(C)(C)CC2)CCO1 ZINC000339588826 176286288 /nfs/dbraw/zinc/28/62/88/176286288.db2.gz DWAGFUJCYHHZOD-GFCCVEGCSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1CN(CCCCOC(C)(C)C)C[C@@H](C)O1 ZINC000354866629 176288496 /nfs/dbraw/zinc/28/84/96/176288496.db2.gz SFMQDXGYTDSHHJ-CHWSQXEVSA-N 0 3 243.391 2.691 20 0 BFADHN C[C@@H]1CN(C[C@H]2C[C@@H]2c2ccccc2)CCO1 ZINC000339584294 176300043 /nfs/dbraw/zinc/30/00/43/176300043.db2.gz GDZCKQKLVOSWJM-BPLDGKMQSA-N 0 3 231.339 2.511 20 0 BFADHN C[C@@H]1CN(Cc2cccs2)CCS1 ZINC000131337015 176307166 /nfs/dbraw/zinc/30/71/66/176307166.db2.gz QQJHMCOTWWVLLS-SECBINFHSA-N 0 3 213.371 2.685 20 0 BFADHN C[C@@H]1CN(Cc2cccnc2Cl)C[C@H]1C ZINC000072870116 176307963 /nfs/dbraw/zinc/30/79/63/176307963.db2.gz HUSQEEGKNYBZFA-NXEZZACHSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@@H]1CN(Cc2nccs2)C(C)(C)C1 ZINC000269732992 176311803 /nfs/dbraw/zinc/31/18/03/176311803.db2.gz YIWUDZIMXYMSIO-VIFPVBQESA-N 0 3 210.346 2.764 20 0 BFADHN C[C@@H]1COCC[C@H]1NCc1ccsc1Cl ZINC000335607915 176345110 /nfs/dbraw/zinc/34/51/10/176345110.db2.gz QMOIYACPUWQYFV-PSASIEDQSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@@H]1CSCCN1C[C@H]1CCC(F)(F)C1 ZINC000334776121 176351383 /nfs/dbraw/zinc/35/13/83/176351383.db2.gz GINIUNBKDBCPCR-ZJUUUORDSA-N 0 3 235.343 2.859 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1ccc(Cl)nc1 ZINC000286213056 176352926 /nfs/dbraw/zinc/35/29/26/176352926.db2.gz YJGCXKVTVHTBBR-SCZZXKLOSA-N 0 3 242.775 2.576 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1cc(F)ccc1F ZINC000285392939 176353617 /nfs/dbraw/zinc/35/36/17/176353617.db2.gz AMRZEKNYSSHXOP-PELKAZGASA-N 0 3 243.322 2.806 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1ccncc1Cl ZINC000309141193 176355807 /nfs/dbraw/zinc/35/58/07/176355807.db2.gz TWPGPWVBJHCHCQ-LDYMZIIASA-N 0 3 242.775 2.576 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1cccc(F)c1F ZINC000335605739 176356168 /nfs/dbraw/zinc/35/61/68/176356168.db2.gz HUPYPJFJLFPPRA-LDYMZIIASA-N 0 3 243.322 2.806 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cccc3nccn32)C1 ZINC000183462494 176361774 /nfs/dbraw/zinc/36/17/74/176361774.db2.gz AJBJBYOIRKDUDL-CHWSQXEVSA-N 0 3 243.354 2.812 20 0 BFADHN C[C@@H]1C[C@@H](NCC2(C)OCCO2)c2ccccc21 ZINC000290358880 176375293 /nfs/dbraw/zinc/37/52/93/176375293.db2.gz QQTHHQAXGQKTAN-BXUZGUMPSA-N 0 3 247.338 2.588 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(F)c(F)c2)[C@@H](C)O1 ZINC000296301688 176377969 /nfs/dbraw/zinc/37/79/69/176377969.db2.gz GDIYXXXBZRFYPU-JRKPZEMJSA-N 0 3 241.281 2.620 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc(F)ccc2F)CCO1 ZINC000070362200 176378345 /nfs/dbraw/zinc/37/83/45/176378345.db2.gz ZWXKHUKEKOMEKM-SKDRFNHKSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@H]1CCOc2ccc(F)cc21 ZINC000358011292 176397610 /nfs/dbraw/zinc/39/76/10/176397610.db2.gz ZFMLOGPAKGAPEZ-BREBYQMCSA-N 0 3 235.302 2.895 20 0 BFADHN CC(C)n1cc(CN2C[C@@H]3CCCC[C@@H]32)cn1 ZINC000668299522 487634597 /nfs/dbraw/zinc/63/45/97/487634597.db2.gz IZVXANJYHOWHBJ-KBPBESRZSA-N 0 3 233.359 2.838 20 0 BFADHN C[C@H](CO)CN[C@@H]1CC(C)(C)Cc2occc21 ZINC000268834082 176694080 /nfs/dbraw/zinc/69/40/80/176694080.db2.gz LHCULVBKIRRUDH-CMPLNLGQSA-N 0 3 237.343 2.511 20 0 BFADHN Cc1cc(CNCC2(C(F)F)CC2)ccn1 ZINC000648660316 487635656 /nfs/dbraw/zinc/63/56/56/487635656.db2.gz QEUSYOMAJXQHQD-UHFFFAOYSA-N 0 3 226.270 2.525 20 0 BFADHN C[C@H](NCC(C)(C)O)c1ccc(F)c(Cl)c1 ZINC000078515018 176834438 /nfs/dbraw/zinc/83/44/38/176834438.db2.gz BFIUWHPZNMMUKC-QMMMGPOBSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H](NCC1CC(F)(F)C1)c1cscn1 ZINC000293879666 176837806 /nfs/dbraw/zinc/83/78/06/176837806.db2.gz YQDCEWAEBHZNIL-ZETCQYMHSA-N 0 3 232.299 2.839 20 0 BFADHN C[C@H](NCC1CC1)c1ccc(Cl)cn1 ZINC000274947626 176838636 /nfs/dbraw/zinc/83/86/36/176838636.db2.gz UPUVGEIKKUDTAT-QMMMGPOBSA-N 0 3 210.708 2.796 20 0 BFADHN C[C@H](NCc1cccc(F)c1)[C@]1(C)CCCO1 ZINC000340173082 176876286 /nfs/dbraw/zinc/87/62/86/176876286.db2.gz WPMXNZSNSAVCHN-FZMZJTMJSA-N 0 3 237.318 2.873 20 0 BFADHN CC(C)N(Cc1ccccc1F)C[C@H](C)CO ZINC000678043570 487636351 /nfs/dbraw/zinc/63/63/51/487636351.db2.gz BKICMOSHRMASKT-LBPRGKRZSA-N 0 3 239.334 2.665 20 0 BFADHN C[C@H](O)CCCNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000278699658 176955351 /nfs/dbraw/zinc/95/53/51/176955351.db2.gz QRWJWZRXBMKYRC-NTZNESFSSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@H](O)C[C@H](C)N[C@H]1CCCOc2ccccc21 ZINC000341324988 177001402 /nfs/dbraw/zinc/00/14/02/177001402.db2.gz DPSGFVHMMAJLMI-OBJOEFQTSA-N 0 3 249.354 2.649 20 0 BFADHN C[C@H](O)[C@H]1CCN(C/C=C/c2ccc(F)cc2)C1 ZINC000272568333 177014722 /nfs/dbraw/zinc/01/47/22/177014722.db2.gz VJDGFILGPDPDQQ-HAXFYCRJSA-N 0 3 249.329 2.542 20 0 BFADHN C[C@H](O)[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC000272620840 177014791 /nfs/dbraw/zinc/01/47/91/177014791.db2.gz USAPSYQDWMOSCO-AAEUAGOBSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@H](O)[C@H]1CCN(Cc2cc(Cl)cs2)C1 ZINC000194476336 177014945 /nfs/dbraw/zinc/01/49/45/177014945.db2.gz XCVMNPSNDQADHW-IUCAKERBSA-N 0 3 245.775 2.604 20 0 BFADHN C[C@H](c1cccc(F)c1)N1C[C@H](O)C(C)(C)C1 ZINC000279669072 177037043 /nfs/dbraw/zinc/03/70/43/177037043.db2.gz DGTLXPDWLCUVFE-MFKMUULPSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](c1ccccc1)N(C)Cc1ccnc(N)c1 ZINC000297873386 177038874 /nfs/dbraw/zinc/03/88/74/177038874.db2.gz MWDNLIXMMQHRPM-GFCCVEGCSA-N 0 3 241.338 2.857 20 0 BFADHN C[C@H](c1ccccc1)N1C[C@@H](C)N(C)[C@H](C)C1 ZINC000339619891 177040062 /nfs/dbraw/zinc/04/00/62/177040062.db2.gz ZQSZMDHUYPBBMM-MGPQQGTHSA-N 0 3 232.371 2.772 20 0 BFADHN C[C@H](c1ccccc1F)N(C)CCCCCO ZINC000061804131 177041442 /nfs/dbraw/zinc/04/14/42/177041442.db2.gz ZCGAYTSXUJPLBI-GFCCVEGCSA-N 0 3 239.334 2.981 20 0 BFADHN C[C@H](c1ccccc1F)N(C)CC1(O)CCC1 ZINC000336688120 177041576 /nfs/dbraw/zinc/04/15/76/177041576.db2.gz GWCQHSZLCMCRTA-LLVKDONJSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCCCF ZINC000285674585 177042841 /nfs/dbraw/zinc/04/28/41/177042841.db2.gz QAWOLHCLXOLZHB-LLVKDONJSA-N 0 3 210.296 2.824 20 0 BFADHN C[C@H](c1ccccn1)N1CC[C@@]2(C1)CCCOC2 ZINC000341994770 177043489 /nfs/dbraw/zinc/04/34/89/177043489.db2.gz RNEXCSVVCNQJLX-UKRRQHHQSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@H](c1ccccn1)N1CCSC(C)(C)C1 ZINC000267566302 177043495 /nfs/dbraw/zinc/04/34/95/177043495.db2.gz CBXKGORUUZDDBV-LLVKDONJSA-N 0 3 236.384 2.970 20 0 BFADHN C[C@H](c1cccnc1)N1CCCSCC1 ZINC000270518954 177044491 /nfs/dbraw/zinc/04/44/91/177044491.db2.gz DQOSICINCULDIY-LLVKDONJSA-N 0 3 222.357 2.582 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCC1CCOCC1 ZINC000268126135 177044648 /nfs/dbraw/zinc/04/46/48/177044648.db2.gz BJBVSUITFDPAEX-CYBMUJFWSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@@H]2OCCC[C@@H]2C1 ZINC000372042525 177046256 /nfs/dbraw/zinc/04/62/56/177046256.db2.gz NEZVHHYVJQGSRD-YUELXQCFSA-N 0 3 246.354 2.644 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@@H]2OCCC[C@H]2C1 ZINC000372042520 177046531 /nfs/dbraw/zinc/04/65/31/177046531.db2.gz NEZVHHYVJQGSRD-SNPRPXQTSA-N 0 3 246.354 2.644 20 0 BFADHN C[C@H](c1ccco1)N(CCc1cn[nH]c1)C1CC1 ZINC000298360251 177047808 /nfs/dbraw/zinc/04/78/08/177047808.db2.gz KDXKUEAEZVNBKB-LLVKDONJSA-N 0 3 245.326 2.771 20 0 BFADHN C[C@H](c1ccco1)N(CCC(C)(C)O)C1CC1 ZINC000267925055 177047832 /nfs/dbraw/zinc/04/78/32/177047832.db2.gz KDVRWUFMZBDICU-LLVKDONJSA-N 0 3 237.343 2.966 20 0 BFADHN C[C@H](c1ccco1)N(C)CC[C@@H]1CCCO1 ZINC000188918211 177048021 /nfs/dbraw/zinc/04/80/21/177048021.db2.gz MOSUPDFVHSIFER-NEPJUHHUSA-N 0 3 223.316 2.842 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCc1cccnc1 ZINC000179661006 177050334 /nfs/dbraw/zinc/05/03/34/177050334.db2.gz BQNUEDPXCKGBMG-CYBMUJFWSA-N 0 3 241.338 2.712 20 0 BFADHN C[C@H](c1cnccn1)N(C)CCc1ccccc1 ZINC000184452609 177051216 /nfs/dbraw/zinc/05/12/16/177051216.db2.gz CNRTYYWUGVZDDQ-CYBMUJFWSA-N 0 3 241.338 2.712 20 0 BFADHN C[C@H]1CCCC[C@@H]1CCNCc1cc[nH]n1 ZINC000336742827 177079587 /nfs/dbraw/zinc/07/95/87/177079587.db2.gz OPLIUWJFSKBTGL-NWDGAFQWSA-N 0 3 221.348 2.716 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN1CCOCC12CC2 ZINC000338995677 177080055 /nfs/dbraw/zinc/08/00/55/177080055.db2.gz FBSAZMJCQFAQQO-QWHCGFSZSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@H]1CCCC[C@@H]1NCc1nccs1 ZINC000040444053 177083785 /nfs/dbraw/zinc/08/37/85/177083785.db2.gz DMKBZJLXUDLGDN-UWVGGRQHSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@H]1CCCC[C@H]1N(C)Cc1ccon1 ZINC000157532707 177086986 /nfs/dbraw/zinc/08/69/86/177086986.db2.gz NMDMMMWZSLYNED-CMPLNLGQSA-N 0 3 208.305 2.685 20 0 BFADHN C[C@H]1CCCN(Cc2cncc(F)c2)[C@H]1C ZINC000275139994 177101702 /nfs/dbraw/zinc/10/17/02/177101702.db2.gz OOBAOOODAFOWMY-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CCCN1CC1=Cc2ccccc2OC1 ZINC000124346597 177108904 /nfs/dbraw/zinc/10/89/04/177108904.db2.gz JBDUSRHXCMXKEH-LBPRGKRZSA-N 0 3 229.323 2.947 20 0 BFADHN C[C@@H]1CCC[C@H](C)[C@H]1NCc1ccno1 ZINC000273317755 177118624 /nfs/dbraw/zinc/11/86/24/177118624.db2.gz OPPXQWOHRQWUKD-IAZYJMLFSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1CCC[C@@H](CN2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000357011223 177119119 /nfs/dbraw/zinc/11/91/19/177119119.db2.gz UKUWAJJMTVLYQM-RFQIPJPRSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@H]1CCC[C@@H](N(C)Cc2ccno2)C1 ZINC000264684748 177121068 /nfs/dbraw/zinc/12/10/68/177121068.db2.gz DWNDJIVCKUOEFW-WDEREUQCSA-N 0 3 208.305 2.685 20 0 BFADHN C[C@H]1CCC[C@@]1(O)CNCc1ccc(Cl)o1 ZINC000328262121 177128693 /nfs/dbraw/zinc/12/86/93/177128693.db2.gz LNLYGISVQNLOFF-JOYOIKCWSA-N 0 3 243.734 2.574 20 0 BFADHN C[C@H]1CCC[C@H](C)N(CC(=O)c2cccn2C)C1 ZINC000125385455 177130025 /nfs/dbraw/zinc/13/00/25/177130025.db2.gz UOWPZJFRIGRYHS-STQMWFEESA-N 0 3 248.370 2.718 20 0 BFADHN C[C@H]1CCC[C@H](C)N(CC(=O)OC(C)(C)C)C1 ZINC000357718289 177130039 /nfs/dbraw/zinc/13/00/39/177130039.db2.gz VLINEGQSDIMSNU-RYUDHWBXSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@H]1CCC[C@H](C)N1CCNCc1ccco1 ZINC000341224485 177131071 /nfs/dbraw/zinc/13/10/71/177131071.db2.gz BRNHPSJLFLNJDZ-STQMWFEESA-N 0 3 236.359 2.632 20 0 BFADHN C[C@H]1CCC[C@H](CN2CCOCC23CC3)C1 ZINC000338597507 177133158 /nfs/dbraw/zinc/13/31/58/177133158.db2.gz LIPDRXFSPULDSQ-STQMWFEESA-N 0 3 223.360 2.678 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1nc(-c2ccoc2)no1 ZINC000331371916 177142958 /nfs/dbraw/zinc/14/29/58/177142958.db2.gz BLZBOCZXKPWRCM-GXSJLCMTSA-N 0 3 247.298 2.608 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1nc2ccccc2n1C ZINC000105504671 177143074 /nfs/dbraw/zinc/14/30/74/177143074.db2.gz BARJPFOOFKOLMH-NWDGAFQWSA-N 0 3 243.354 2.852 20 0 BFADHN C[C@H]1CN(Cc2ccc(F)cn2)CC[C@@H]1C ZINC000276292108 177163051 /nfs/dbraw/zinc/16/30/51/177163051.db2.gz VVPFBLGFDQPAIP-QWRGUYRKSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@H]1CCN(Cc2ccc(F)cn2)C[C@H]1C ZINC000276292114 177163619 /nfs/dbraw/zinc/16/36/19/177163619.db2.gz VVPFBLGFDQPAIP-WDEREUQCSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@H]1CCN(Cc2cccc(Cl)c2)[C@@H]1CO ZINC000189725681 177164504 /nfs/dbraw/zinc/16/45/04/177164504.db2.gz FTFTTXHMURVLMG-GXFFZTMASA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H]1CCN(Cc2ccco2)CCS1 ZINC000190687845 177164519 /nfs/dbraw/zinc/16/45/19/177164519.db2.gz CEWHDAKSDPFSIR-JTQLQIEISA-N 0 3 211.330 2.607 20 0 BFADHN C[C@H]1CCN(Cc2ccccc2Cl)[C@H]1CO ZINC000248895195 177164977 /nfs/dbraw/zinc/16/49/77/177164977.db2.gz GKCDJUCQZFITPO-GWCFXTLKSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H]1CN(Cc2cccc3nccn32)CC[C@@H]1C ZINC000180307129 177165029 /nfs/dbraw/zinc/16/50/29/177165029.db2.gz NTVKFDGBEMXBMQ-STQMWFEESA-N 0 3 243.354 2.812 20 0 BFADHN C[C@H]1CCN(Cc2cncc(F)c2)C[C@H]1C ZINC000275174983 177166544 /nfs/dbraw/zinc/16/65/44/177166544.db2.gz YSJCCWTYJGVBHZ-WDEREUQCSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@H]1CCN(Cc2ccnc(N)c2)CC12CCC2 ZINC000278596771 177167495 /nfs/dbraw/zinc/16/74/95/177167495.db2.gz GRRAMOXYDFYODW-LBPRGKRZSA-N 0 3 245.370 2.676 20 0 BFADHN CCCN(Cc1ccc(OCC)cn1)C1CC1 ZINC000674864088 487637380 /nfs/dbraw/zinc/63/73/80/487637380.db2.gz ZFJNKZMGFPKGSN-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2cncs2)C1 ZINC000093498233 177184083 /nfs/dbraw/zinc/18/40/83/177184083.db2.gz WTLOTTNRSYCWEN-ZJUUUORDSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC000331551581 177184126 /nfs/dbraw/zinc/18/41/26/177184126.db2.gz RTUDZDLAANSUFU-SCVCMEIPSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1ccccn1 ZINC000076149081 177185309 /nfs/dbraw/zinc/18/53/09/177185309.db2.gz QMNANPCPNJDXKS-NWDGAFQWSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@H](C)O1)c1cccc(O)c1 ZINC000128263512 177190417 /nfs/dbraw/zinc/19/04/17/177190417.db2.gz KOUDVUKSRCUYNW-MJVIPROJSA-N 0 3 235.327 2.610 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1cc(F)ccc1F ZINC000336708910 177195203 /nfs/dbraw/zinc/19/52/03/177195203.db2.gz SMKOLONPOUDPGJ-UFBFGSQYSA-N 0 3 211.255 2.853 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000353127399 177195407 /nfs/dbraw/zinc/19/54/07/177195407.db2.gz PGSURBLSNQEGJW-ONGXEEELSA-N 0 3 231.299 2.558 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1c[nH]c(-c2ccccc2)n1 ZINC000353144768 177195579 /nfs/dbraw/zinc/19/55/79/177195579.db2.gz VKBYWGNUIFPRBR-FZMZJTMJSA-N 0 3 241.338 2.965 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2cncc(F)c2)C1 ZINC000275123375 177199066 /nfs/dbraw/zinc/19/90/66/177199066.db2.gz JSWSLLIVKLPCFR-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cccc2c1OCCO2 ZINC000123415909 177200068 /nfs/dbraw/zinc/20/00/68/177200068.db2.gz JJHGMRQVJGGSSL-RYUDHWBXSA-N 0 3 247.338 2.831 20 0 BFADHN C[C@H]1CC[C@H](CN(C)CCc2ccccc2)O1 ZINC000188540469 177201425 /nfs/dbraw/zinc/20/14/25/177201425.db2.gz NTSVDUXTGCWAHV-DZGCQCFKSA-N 0 3 233.355 2.728 20 0 BFADHN C[C@H]1CC[C@H](CNC2(c3ccccc3)CC2)O1 ZINC000276361154 177202548 /nfs/dbraw/zinc/20/25/48/177202548.db2.gz APHXYGGRSWQOBD-GXTWGEPZSA-N 0 3 231.339 2.833 20 0 BFADHN C[C@@H](NC[C@H]1CC[C@H](C)O1)c1cccc(O)c1 ZINC000191427116 177202840 /nfs/dbraw/zinc/20/28/40/177202840.db2.gz KOUDVUKSRCUYNW-MISXGVKJSA-N 0 3 235.327 2.610 20 0 BFADHN C[C@H]1CC[C@H]1NCc1cccc2c1OCCCO2 ZINC000310841376 177207208 /nfs/dbraw/zinc/20/72/08/177207208.db2.gz BEHBDEBRMFHYDK-WCQYABFASA-N 0 3 247.338 2.736 20 0 BFADHN C[C@H]1CC[C@H]1NCc1cc(F)c(F)c(F)c1 ZINC000309468165 177207247 /nfs/dbraw/zinc/20/72/47/177207247.db2.gz WGCLWHOAWRRZAO-WRWORJQWSA-N 0 3 229.245 2.992 20 0 BFADHN C[C@H]1CC[C@H]1NCc1cnn(-c2ccccc2)c1 ZINC000310951374 177207323 /nfs/dbraw/zinc/20/73/23/177207323.db2.gz RGEHVVCUCSWLKJ-SWLSCSKDSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@H]1CC[C@H]1NCc1csc(C2CC2)n1 ZINC000336761926 177207361 /nfs/dbraw/zinc/20/73/61/177207361.db2.gz NVBZWGPVNSWBIW-GZMMTYOYSA-N 0 3 222.357 2.909 20 0 BFADHN C[C@H]1CN(C/C=C/c2ccc(F)cc2)CCCO1 ZINC000179399247 177241093 /nfs/dbraw/zinc/24/10/93/177241093.db2.gz NZOKUOKMYZWVCX-OHVSUOQFSA-N 0 3 249.329 2.950 20 0 BFADHN C[C@H]1CN(CC2(C)CCC2)C[C@@H](C2CC2)O1 ZINC000355219304 177244820 /nfs/dbraw/zinc/24/48/20/177244820.db2.gz MASZZQMKQPENPX-AAEUAGOBSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CCc1ccc(F)c(F)c1 ZINC000339567168 177253888 /nfs/dbraw/zinc/25/38/88/177253888.db2.gz MTZIUHQGYVVQOZ-VHSXEESVSA-N 0 3 225.282 2.848 20 0 BFADHN C[C@@H]1CCC[C@H](CCN2CCO[C@@H](C)C2)C1 ZINC000339588173 177255035 /nfs/dbraw/zinc/25/50/35/177255035.db2.gz VQTZONGJDCOZBS-HZSPNIEDSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H]1CN(C[C@@H]2CCc3ccccc3C2)CCO1 ZINC000339591741 177258333 /nfs/dbraw/zinc/25/83/33/177258333.db2.gz XFEHWGDIDPBFOV-UONOGXRCSA-N 0 3 245.366 2.512 20 0 BFADHN C[C@@H]1CN(Cc2cccc3cc[nH]c32)C[C@H](C)O1 ZINC000269396876 177265541 /nfs/dbraw/zinc/26/55/41/177265541.db2.gz BZWYAUVUQGZEOY-TXEJJXNPSA-N 0 3 244.338 2.777 20 0 BFADHN C[C@H]1CN(Cc2ccccc2N(C)C)[C@H]1C ZINC000339572644 177267105 /nfs/dbraw/zinc/26/71/05/177267105.db2.gz BEWCUARFSNVXEA-RYUDHWBXSA-N 0 3 218.344 2.593 20 0 BFADHN C[C@H]1COCCN1CCCCc1ccccc1 ZINC000359843455 177291273 /nfs/dbraw/zinc/29/12/73/177291273.db2.gz XSTWHEZXOKVQBO-AWEZNQCLSA-N 0 3 233.355 2.730 20 0 BFADHN C[C@H](Cc1ccccc1)CN1CCOC[C@@H]1C ZINC000360822685 177291866 /nfs/dbraw/zinc/29/18/66/177291866.db2.gz RRFXEEBYGTYDRK-KGLIPLIRSA-N 0 3 233.355 2.586 20 0 BFADHN C[C@H]1CSCCN(Cc2cccc(O)c2)C1 ZINC000190845250 177304201 /nfs/dbraw/zinc/30/42/01/177304201.db2.gz ZBWDYIVYWLGGFL-LLVKDONJSA-N 0 3 237.368 2.577 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1cc(F)cc(F)c1 ZINC000309784890 177306185 /nfs/dbraw/zinc/30/61/85/177306185.db2.gz NUELIBZMXLHSRW-UFBFGSQYSA-N 0 3 243.322 2.806 20 0 BFADHN C[C@@H](c1cnccn1)N1C[C@H](C)C[C@H](C)C1 ZINC000184421469 177315042 /nfs/dbraw/zinc/31/50/42/177315042.db2.gz ZLSGTRPPYPZMRL-TUAOUCFPSA-N 0 3 219.332 2.516 20 0 BFADHN C[C@H]1C[C@@H](NCCCC(C)(F)F)c2nccn21 ZINC000296634948 177331235 /nfs/dbraw/zinc/33/12/35/177331235.db2.gz BQCWBYJHUCYWKR-VHSXEESVSA-N 0 3 243.301 2.914 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(Cl)o2)CS1 ZINC000307437582 177332376 /nfs/dbraw/zinc/33/23/76/177332376.db2.gz UREXGAUSLMIMNF-JGVFFNPUSA-N 0 3 231.748 2.917 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccn(C)n2)CC(C)(C)C1 ZINC000112344538 177333316 /nfs/dbraw/zinc/33/33/16/177333316.db2.gz QWXYVESMQOPYSQ-WCQYABFASA-N 0 3 235.375 2.725 20 0 BFADHN C[C@H]1C[C@@H](O)CCN1C/C=C/c1ccc(F)cc1 ZINC000292900413 177336432 /nfs/dbraw/zinc/33/64/32/177336432.db2.gz ZYVNGASEMQDMSK-IXMWWTPESA-N 0 3 249.329 2.684 20 0 BFADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1c[nH]cn1 ZINC000179753310 177339454 /nfs/dbraw/zinc/33/94/54/177339454.db2.gz KYLDPPWHFADVDC-GXTWGEPZSA-N 0 3 241.338 2.788 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN[C@@H]2C[C@H](O)C2(C)C)o1 ZINC000129424075 177351464 /nfs/dbraw/zinc/35/14/64/177351464.db2.gz ADJPOEUFSRUSGT-VMXABPDPSA-N 0 3 249.354 2.652 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCC2(O)CCCC2)o1 ZINC000096478896 177352143 /nfs/dbraw/zinc/35/21/43/177352143.db2.gz NMLYOTGQVAHBSL-AAEUAGOBSA-N 0 3 249.354 2.798 20 0 BFADHN C[C@@H]1CC[C@@H]([NH2+]Cc2nnc(C3CC3)[n-]2)[C@@H](C)C1 ZINC000360331179 177356786 /nfs/dbraw/zinc/35/67/86/177356786.db2.gz YYVSVKHMWJUOOI-JFGNBEQYSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2nnc(C3CC3)[nH]2)[C@@H](C)C1 ZINC000360331179 177356787 /nfs/dbraw/zinc/35/67/87/177356787.db2.gz YYVSVKHMWJUOOI-JFGNBEQYSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H]1C[C@H](NC2CC(C)(C)C2)c2nccn21 ZINC000334623273 177368344 /nfs/dbraw/zinc/36/83/44/177368344.db2.gz RACSXFGCOQBDSQ-ONGXEEELSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@H]1C[C@H](NCCc2cccs2)c2nccn21 ZINC000294078746 177370089 /nfs/dbraw/zinc/37/00/89/177370089.db2.gz LLEUEWMJXKGQLF-JQWIXIFHSA-N 0 3 247.367 2.783 20 0 BFADHN C[C@H]1C[C@H]1CC(=O)Nc1cccc(CN(C)C)c1 ZINC000352496946 177378809 /nfs/dbraw/zinc/37/88/09/177378809.db2.gz BOZUATSVFORRMN-AAEUAGOBSA-N 0 3 246.354 2.733 20 0 BFADHN C[C@H]1C[C@H]1CNCc1cnc(C(C)(C)C)nc1 ZINC000284396046 177381515 /nfs/dbraw/zinc/38/15/15/177381515.db2.gz PLQFXIUESSYKHX-JQWIXIFHSA-N 0 3 233.359 2.520 20 0 BFADHN C[C@H]1C[C@H]1NCc1ccc(Cl)s1 ZINC000052272384 177385501 /nfs/dbraw/zinc/38/55/01/177385501.db2.gz OQRFZOXYVMWQRH-POYBYMJQSA-N 0 3 201.722 2.900 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1ccc(F)c(Cl)c1 ZINC000120831266 177407473 /nfs/dbraw/zinc/40/74/73/177407473.db2.gz OHRBLYXDNKRZBX-PRHODGIISA-N 0 3 243.709 2.746 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](C)[C@H]2C)nc1 ZINC000674866625 487637956 /nfs/dbraw/zinc/63/79/56/487637956.db2.gz CYLQSTZJBBFATH-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@]1(NCc2cc3ccccc3o2)CCOC1 ZINC000120136301 177470107 /nfs/dbraw/zinc/47/01/07/177470107.db2.gz MKFAFRNYZNGHTO-AWEZNQCLSA-N 0 3 231.295 2.701 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](C(C)C)C2)nc1 ZINC000674868394 487639015 /nfs/dbraw/zinc/63/90/15/487639015.db2.gz RINVWHJNDASQJE-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN CC(C)(C)Oc1ccc(CN[C@H]2CCOC2)cc1 ZINC000674880624 487642145 /nfs/dbraw/zinc/64/21/45/487642145.db2.gz RJJZKYYCTKKRML-ZDUSSCGKSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ncsc1CNC/C=C/c1ccncc1 ZINC000487267267 225294166 /nfs/dbraw/zinc/29/41/66/225294166.db2.gz BLTCLHINNVLWDM-NSCUHMNNSA-N 0 3 245.351 2.650 20 0 BFADHN Cc1cc(CN([C@H](C)C(C)C)C2CC2)nn1C ZINC000487385949 225303214 /nfs/dbraw/zinc/30/32/14/225303214.db2.gz AVZDUEOFPQAEMC-GFCCVEGCSA-N 0 3 235.375 2.737 20 0 BFADHN C[C@H](CNC/C=C/c1ccncc1)C(F)(F)F ZINC000487572025 225316511 /nfs/dbraw/zinc/31/65/11/225316511.db2.gz NKMXDXBNJWYLHE-VMZHVLLKSA-N 0 3 244.260 2.883 20 0 BFADHN C[C@H]1C[C@@H]1CNc1cccc(OCCN(C)C)c1 ZINC000488359164 225387177 /nfs/dbraw/zinc/38/71/77/225387177.db2.gz SPIZTXMTBUMDHO-QWHCGFSZSA-N 0 3 248.370 2.695 20 0 BFADHN COCCN(Cc1cccnc1)[C@@H]1CCC[C@H]1C ZINC000488002282 225340943 /nfs/dbraw/zinc/34/09/43/225340943.db2.gz XHGBWRFDXUPZMA-UKRRQHHQSA-N 0 3 248.370 2.719 20 0 BFADHN COc1ncccc1CN(C)[C@H](C)C1CCC1 ZINC000488141157 225351755 /nfs/dbraw/zinc/35/17/55/225351755.db2.gz AUFCZHBTLFIWGV-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H](C)COC(C)C ZINC000669682262 487644867 /nfs/dbraw/zinc/64/48/67/487644867.db2.gz YZIKMTWJKOAOHR-UWVGGRQHSA-N 0 3 240.347 2.756 20 0 BFADHN CCOc1ncccc1CN[C@@H]1C[C@@H](C)[C@H]1C ZINC000494916969 226271263 /nfs/dbraw/zinc/27/12/63/226271263.db2.gz VUJJJMGFKMKXFE-NQBHXWOUSA-N 0 3 234.343 2.614 20 0 BFADHN COC[C@@H]1CCN(Cc2cc(Cl)cs2)C1 ZINC000494932847 226271914 /nfs/dbraw/zinc/27/19/14/226271914.db2.gz DESLYEOAUQTRCF-SECBINFHSA-N 0 3 245.775 2.870 20 0 BFADHN Cc1cccc(CN(C)C[C@H]2CCSC2)n1 ZINC000494965482 226273131 /nfs/dbraw/zinc/27/31/31/226273131.db2.gz XVFBJUYZGWOGAK-GFCCVEGCSA-N 0 3 236.384 2.575 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cccc3c2OCO3)C1 ZINC000505342865 226354264 /nfs/dbraw/zinc/35/42/64/226354264.db2.gz IINJWPFUOQAZFC-VXGBXAGGSA-N 0 3 247.338 2.893 20 0 BFADHN Cc1cnc(CN(C)CC2CCCCC2)cn1 ZINC000505560021 226356834 /nfs/dbraw/zinc/35/68/34/226356834.db2.gz OVELHLKYCZQSEP-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN C[C@@H]1C[C@H](O)CN(Cc2cccc(Cl)c2)C1 ZINC000401631531 226360445 /nfs/dbraw/zinc/36/04/45/226360445.db2.gz YTHDGILJMREFMS-MFKMUULPSA-N 0 3 239.746 2.543 20 0 BFADHN Cc1cc(Cl)cc(CN(CCO)C2CC2)c1 ZINC000507229023 226368079 /nfs/dbraw/zinc/36/80/79/226368079.db2.gz LKMJZLHTAKMVSR-UHFFFAOYSA-N 0 3 239.746 2.605 20 0 BFADHN Cc1nccc(CN([C@@H](C)C2CC2)C2CC2)n1 ZINC000507355806 226368559 /nfs/dbraw/zinc/36/85/59/226368559.db2.gz GAPMTRJJROTFPD-JTQLQIEISA-N 0 3 231.343 2.548 20 0 BFADHN Cc1nocc1CN([C@@H](C)C1CC1)C1CC1 ZINC000507357345 226368564 /nfs/dbraw/zinc/36/85/64/226368564.db2.gz JOJHAIJNQJHPGQ-JTQLQIEISA-N 0 3 220.316 2.746 20 0 BFADHN Cc1nccc(CN(CC(C)C)C(C)C)n1 ZINC000507506098 226369348 /nfs/dbraw/zinc/36/93/48/226369348.db2.gz MPOGANPSNIRVLO-UHFFFAOYSA-N 0 3 221.348 2.651 20 0 BFADHN CC[C@H]1CN(CCCOC(C)(C)C)C[C@@H](C)O1 ZINC000674907578 487650766 /nfs/dbraw/zinc/65/07/66/487650766.db2.gz CNMKNLQXIOCDKL-OLZOCXBDSA-N 0 3 243.391 2.691 20 0 BFADHN CCn1cc([C@H](C)N[C@H](C)[C@H]2CC2(F)F)cn1 ZINC000666228061 487656048 /nfs/dbraw/zinc/65/60/48/487656048.db2.gz LUELLQRGMNHPQU-IQJOONFLSA-N 0 3 243.301 2.597 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1ccsc1 ZINC000401893413 262723743 /nfs/dbraw/zinc/72/37/43/262723743.db2.gz UQDWYXUPTRHPBZ-FXPVBKGRSA-N 0 3 211.330 2.576 20 0 BFADHN CCO[C@@H]1CCCN(Cc2ccoc2C)C1 ZINC000666292574 487662240 /nfs/dbraw/zinc/66/22/40/487662240.db2.gz RGCFWYWXXJHCIP-CYBMUJFWSA-N 0 3 223.316 2.589 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2occc2C)C12CCC2 ZINC000308340439 229227775 /nfs/dbraw/zinc/22/77/75/229227775.db2.gz BGKRRLBFWIFYMB-CHWSQXEVSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@H]1CCCC[C@]1(C)NCc1cocn1 ZINC000308715620 229244332 /nfs/dbraw/zinc/24/43/32/229244332.db2.gz PMFZFQYASABAFO-JQWIXIFHSA-N 0 3 208.305 2.733 20 0 BFADHN OC[C@@H]1CCC[C@@H]1NCc1sccc1Cl ZINC000308871562 229254769 /nfs/dbraw/zinc/25/47/69/229254769.db2.gz MWFJBAXZPTZCLD-WPRPVWTQSA-N 0 3 245.775 2.652 20 0 BFADHN OC[C@@H]1CCC[C@@H]1NCc1ccsc1Cl ZINC000308906064 229257038 /nfs/dbraw/zinc/25/70/38/229257038.db2.gz NHBIZZDKDSCCKT-UWVGGRQHSA-N 0 3 245.775 2.652 20 0 BFADHN Cc1cc(CNC[C@@H](C)CC(F)(F)F)no1 ZINC000402777478 229265094 /nfs/dbraw/zinc/26/50/94/229265094.db2.gz NWSIBRHBERFJSU-ZETCQYMHSA-N 0 3 236.237 2.661 20 0 BFADHN CC[C@H](O)[C@@H]1CCCCN1Cc1ccc(C)nc1 ZINC000680933980 487674386 /nfs/dbraw/zinc/67/43/86/487674386.db2.gz IHBGUMAGBCEORN-GJZGRUSLSA-N 0 3 248.370 2.515 20 0 BFADHN CCCn1nccc1CNCCC1=CCCC1 ZINC000228586605 487682315 /nfs/dbraw/zinc/68/23/15/487682315.db2.gz LQDBDAHAEIMHGA-UHFFFAOYSA-N 0 3 233.359 2.883 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@@H]1C[C@H]1CC(C)C ZINC000655777256 487685425 /nfs/dbraw/zinc/68/54/25/487685425.db2.gz QYDAZVPXBMBVCT-YRGRVCCFSA-N 0 3 233.359 2.870 20 0 BFADHN CCN(Cc1cc(OC)ccc1OC)C1CC1 ZINC000075634343 487685046 /nfs/dbraw/zinc/68/50/46/487685046.db2.gz QDCTXSQJLCBPKG-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN FCCCCN1CCOc2ccccc2C1 ZINC000671678142 487685581 /nfs/dbraw/zinc/68/55/81/487685581.db2.gz ABZJJQMGUNWWHU-UHFFFAOYSA-N 0 3 223.291 2.631 20 0 BFADHN Fc1cnccc1CN[C@@H]1CCCC(F)(F)C1 ZINC000336217549 487691832 /nfs/dbraw/zinc/69/18/32/487691832.db2.gz HQLKAKHYCHDKPE-SNVBAGLBSA-N 0 3 244.260 2.888 20 0 BFADHN C[C@H]1CN(CCC(C)(C)C2CC2)CCC1=O ZINC000328468343 487693836 /nfs/dbraw/zinc/69/38/36/487693836.db2.gz YIVOCNFFJZGCIF-NSHDSACASA-N 0 3 223.360 2.724 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2ccon2)CC1 ZINC000088052622 487696236 /nfs/dbraw/zinc/69/62/36/487696236.db2.gz QHGOVJBTJOYYJZ-MNOVXSKESA-N 0 3 208.305 2.733 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2ccon2)CC1 ZINC000088052625 487696468 /nfs/dbraw/zinc/69/64/68/487696468.db2.gz QHGOVJBTJOYYJZ-WDEREUQCSA-N 0 3 208.305 2.733 20 0 BFADHN CC(C)C[C@H](C)N[C@@H](C)c1ccncn1 ZINC000395889740 262794181 /nfs/dbraw/zinc/79/41/81/262794181.db2.gz KKVYPUWFRNZFBD-QWRGUYRKSA-N 0 3 207.321 2.562 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCC[C@H]2C)nn1 ZINC000396537315 262805127 /nfs/dbraw/zinc/80/51/27/262805127.db2.gz JNJHIYPRXJYHNU-DGCLKSJQSA-N 0 3 233.359 2.701 20 0 BFADHN CCOC[C@@H]1CCC[C@@H]1NCc1cccc(C)n1 ZINC000527223525 262834392 /nfs/dbraw/zinc/83/43/92/262834392.db2.gz ATLFMOHJDBKXAM-ZFWWWQNUSA-N 0 3 248.370 2.685 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2C)ccn1 ZINC000527535724 262863107 /nfs/dbraw/zinc/86/31/07/262863107.db2.gz VNRZEIQASDYBHZ-GFCCVEGCSA-N 0 3 204.317 2.764 20 0 BFADHN C[C@H](NCc1ccc(F)cn1)[C@@H]1C[C@H]1C1CC1 ZINC000527673340 262870325 /nfs/dbraw/zinc/87/03/25/262870325.db2.gz DUVFUAAVUJYKEP-HERUPUMHSA-N 0 3 234.318 2.745 20 0 BFADHN Cc1cccc(CN[C@]23C[C@H]2COC3(C)C)c1C ZINC000527757359 262880009 /nfs/dbraw/zinc/88/00/09/262880009.db2.gz DPLODDJWSKNPRC-GOEBONIOSA-N 0 3 245.366 2.961 20 0 BFADHN Cc1cccc(CN[C@@]23C[C@@H]2COC3(C)C)c1F ZINC000527764906 262880730 /nfs/dbraw/zinc/88/07/30/262880730.db2.gz OJMMFPAIDYXSAD-DOMZBBRYSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@H](C)O2)ccc1F ZINC000398030534 262942390 /nfs/dbraw/zinc/94/23/90/262942390.db2.gz JNGRFWGUBCWDOX-AAEUAGOBSA-N 0 3 237.318 2.791 20 0 BFADHN CCCN1CCc2nc(C(C)(C)C)ncc2C1 ZINC000528592902 262961238 /nfs/dbraw/zinc/96/12/38/262961238.db2.gz LMVDOTXCPKFMNZ-UHFFFAOYSA-N 0 3 233.359 2.542 20 0 BFADHN C[C@H](NCCC1CC1)c1ncccc1F ZINC000528833865 262977070 /nfs/dbraw/zinc/97/70/70/262977070.db2.gz YXBLFMWPZRRJNF-VIFPVBQESA-N 0 3 208.280 2.671 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2ccnn2CC2CC2)C1 ZINC000667664842 487706918 /nfs/dbraw/zinc/70/69/18/487706918.db2.gz UGLFKEGTNXXKIG-VXGBXAGGSA-N 0 3 233.359 2.523 20 0 BFADHN F[C@H]1CCCN(C[C@@H]2CCC(F)(F)C2)C1 ZINC000902993305 584711516 /nfs/dbraw/zinc/71/15/16/584711516.db2.gz SLQMVWCBHURUKU-ZJUUUORDSA-N 0 3 221.266 2.856 20 0 BFADHN CC[C@@H](N[C@H](C)C(C)(F)F)C1CCOCC1 ZINC000651395782 487723803 /nfs/dbraw/zinc/72/38/03/487723803.db2.gz FCNMYPPYYCKVCX-MWLCHTKSSA-N 0 3 235.318 2.825 20 0 BFADHN CN(Cc1c[nH]cn1)C[C@H]1C[C@@H]1c1ccccc1 ZINC000668421694 487724619 /nfs/dbraw/zinc/72/46/19/487724619.db2.gz BODKDBVCOPIJDX-UKRRQHHQSA-N 0 3 241.338 2.645 20 0 BFADHN CN(Cc1cnc[nH]1)C[C@H]1C[C@@H]1c1ccccc1 ZINC000668421694 487724623 /nfs/dbraw/zinc/72/46/23/487724623.db2.gz BODKDBVCOPIJDX-UKRRQHHQSA-N 0 3 241.338 2.645 20 0 BFADHN C[C@H]1OCC[C@@H]1NC1(c2ccc(F)cc2)CCC1 ZINC000679017027 487724729 /nfs/dbraw/zinc/72/47/29/487724729.db2.gz SOSFCJGNDNUZFA-RISCZKNCSA-N 0 3 249.329 2.972 20 0 BFADHN CC(C)C(CN(C)Cc1c[nH]cn1)C(C)C ZINC000668421849 487726103 /nfs/dbraw/zinc/72/61/03/487726103.db2.gz IIUVCFJYUQAECU-UHFFFAOYSA-N 0 3 223.364 2.770 20 0 BFADHN CC(C)C(CN(C)Cc1cnc[nH]1)C(C)C ZINC000668421849 487726108 /nfs/dbraw/zinc/72/61/08/487726108.db2.gz IIUVCFJYUQAECU-UHFFFAOYSA-N 0 3 223.364 2.770 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H]2CCCO2)c(C)n1 ZINC000651404670 487727086 /nfs/dbraw/zinc/72/70/86/487727086.db2.gz SQAWWTCXUWOLJG-DGCLKSJQSA-N 0 3 234.343 2.528 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN(C)Cc1c[nH]cn1 ZINC000668422176 487727153 /nfs/dbraw/zinc/72/71/53/487727153.db2.gz SHSRYNOEXCMMTD-GXTWGEPZSA-N 0 3 233.359 2.834 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN(C)Cc1cnc[nH]1 ZINC000668422176 487727159 /nfs/dbraw/zinc/72/71/59/487727159.db2.gz SHSRYNOEXCMMTD-GXTWGEPZSA-N 0 3 233.359 2.834 20 0 BFADHN CCOCCN(CC)C[C@H]1CC1(Cl)Cl ZINC000730893532 584919550 /nfs/dbraw/zinc/91/95/50/584919550.db2.gz WDKYVINDFJDJCY-SECBINFHSA-N 0 3 240.174 2.539 20 0 BFADHN CC[C@@H](N[C@H](CO)CCF)c1ccccc1F ZINC000652018466 487730189 /nfs/dbraw/zinc/73/01/89/487730189.db2.gz UFXHJMTZQLHUBI-GXFFZTMASA-N 0 3 243.297 2.587 20 0 BFADHN CC(C)n1ncnc1CN[C@@H]1CCC[C@@H](C)C1 ZINC000088589177 487738524 /nfs/dbraw/zinc/73/85/24/487738524.db2.gz LOCGJFGKYHZFFR-VXGBXAGGSA-N 0 3 236.363 2.527 20 0 BFADHN C[C@]1(CO)CCCN1Cc1cc2ccccc2[nH]1 ZINC000684024300 487742723 /nfs/dbraw/zinc/74/27/23/487742723.db2.gz RPMOALCIFBAMJX-OAHLLOKOSA-N 0 3 244.338 2.515 20 0 BFADHN CC(C)CNCc1nc2cc(F)ccc2o1 ZINC000082878960 487743466 /nfs/dbraw/zinc/74/34/66/487743466.db2.gz VMQQHBYOLOVDRE-UHFFFAOYSA-N 0 3 222.263 2.713 20 0 BFADHN COc1c(C)cccc1CN[C@@H]1CCSC1 ZINC000076684101 487744783 /nfs/dbraw/zinc/74/47/83/487744783.db2.gz VZUHQKBUHCXBCM-GFCCVEGCSA-N 0 3 237.368 2.599 20 0 BFADHN CC[C@H](C)[C@H](CNCc1cccc(C)n1)OC ZINC000381308055 487746708 /nfs/dbraw/zinc/74/67/08/487746708.db2.gz SKQJNJNKSBWUJH-FZMZJTMJSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@@H](N[C@H]1CC1(C)C)c1ccc2[nH]c(=O)oc2c1 ZINC000666201868 487754946 /nfs/dbraw/zinc/75/49/46/487754946.db2.gz WFPUTAIWMVJICU-PELKAZGASA-N 0 3 246.310 2.983 20 0 BFADHN C[C@H]1c2ccccc2OCCN1CC1CC1 ZINC000675645268 487759497 /nfs/dbraw/zinc/75/94/97/487759497.db2.gz HNTPYIMBERDUGE-NSHDSACASA-N 0 3 217.312 2.852 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2C(C)C)ncn1 ZINC000292563368 487763354 /nfs/dbraw/zinc/76/33/54/487763354.db2.gz RWNFHXPZKMPUFV-AWEZNQCLSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1coc(SCCCN2CCCC2)n1 ZINC000195087302 487764365 /nfs/dbraw/zinc/76/43/65/487764365.db2.gz DMBCFJBLIMTDPF-UHFFFAOYSA-N 0 3 226.345 2.561 20 0 BFADHN Cc1noc(C)c1CNC1(C(C)C)CC1 ZINC000293143524 487764432 /nfs/dbraw/zinc/76/44/32/487764432.db2.gz UKJMYQVXCCBNRS-UHFFFAOYSA-N 0 3 208.305 2.570 20 0 BFADHN CCc1nn(C)c(CC)c1CN[C@@]12C[C@@H]1CCC2 ZINC000668447376 487769679 /nfs/dbraw/zinc/76/96/79/487769679.db2.gz OSBSVGPEPBFGTJ-NHYWBVRUSA-N 0 3 247.386 2.577 20 0 BFADHN c1cc(CN[C@]23C[C@H]2CCC3)nc2c1CCC2 ZINC000668448940 487771072 /nfs/dbraw/zinc/77/10/72/487771072.db2.gz XJBNRFVECCOKOO-IUODEOHRSA-N 0 3 228.339 2.603 20 0 BFADHN Cc1ncccc1CN1CCS[C@H](C)[C@@H]1C ZINC000093501539 487771825 /nfs/dbraw/zinc/77/18/25/487771825.db2.gz MAMHCBUDNWVBMI-NWDGAFQWSA-N 0 3 236.384 2.716 20 0 BFADHN CC[C@H]1CN(Cc2cccnc2C)CCS1 ZINC000093502379 487772056 /nfs/dbraw/zinc/77/20/56/487772056.db2.gz AMUURBUIVONMTH-ZDUSSCGKSA-N 0 3 236.384 2.717 20 0 BFADHN CN(C)Cc1cccc(NC[C@@H]2CCCOC2)c1 ZINC000111318915 487772628 /nfs/dbraw/zinc/77/26/28/487772628.db2.gz FETCIHSOCQPJSH-AWEZNQCLSA-N 0 3 248.370 2.587 20 0 BFADHN Cc1occc1CN1CCC[C@@H](n2ccnc2)C1 ZINC000666304715 487782434 /nfs/dbraw/zinc/78/24/34/487782434.db2.gz VGXHLQIFMZMIND-CQSZACIVSA-N 0 3 245.326 2.622 20 0 BFADHN COc1cccc(CN[C@H](C)CC2CCC2)n1 ZINC000675822097 487783353 /nfs/dbraw/zinc/78/33/53/487783353.db2.gz WGHHGIHZVGJRAP-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@@H](C)c1ccn(C)n1 ZINC000459745140 487793364 /nfs/dbraw/zinc/79/33/64/487793364.db2.gz IERKTKXFVCVDDF-GVXVVHGQSA-N 0 3 221.348 2.505 20 0 BFADHN C[C@H](CN[C@@H](C)c1cncs1)c1ccncc1 ZINC000675928456 487793435 /nfs/dbraw/zinc/79/34/35/487793435.db2.gz UGRGWNHNKDYBOT-MNOVXSKESA-N 0 3 247.367 2.992 20 0 BFADHN CCN(CCOC)Cc1cccc2c1CCC2 ZINC000651612145 487798667 /nfs/dbraw/zinc/79/86/67/487798667.db2.gz BSKAFKKNLQNJNK-UHFFFAOYSA-N 0 3 233.355 2.644 20 0 BFADHN CC[C@H](C)CC(=O)Nc1cccc(CN)c1 ZINC000164176267 487802190 /nfs/dbraw/zinc/80/21/90/487802190.db2.gz IMDASZBXYOLSSN-JTQLQIEISA-N 0 3 220.316 2.520 20 0 BFADHN CC(C)(C)c1ccncc1CNC1CSC1 ZINC000666417227 487802921 /nfs/dbraw/zinc/80/29/21/487802921.db2.gz XITHAFQWNJLVHE-UHFFFAOYSA-N 0 3 236.384 2.584 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000385139202 487805571 /nfs/dbraw/zinc/80/55/71/487805571.db2.gz LUYLIHCYNAKUOZ-ZOWUKKRWSA-N 0 3 238.331 2.508 20 0 BFADHN COc1ccccc1CNC1(C2CC2)CC1 ZINC000309898138 487805829 /nfs/dbraw/zinc/80/58/29/487805829.db2.gz GQQQGXWMVKSIEH-UHFFFAOYSA-N 0 3 217.312 2.727 20 0 BFADHN COc1ccc(CN[C@@H](C)[C@@H]2CC2(F)F)cc1 ZINC000666444945 487810707 /nfs/dbraw/zinc/81/07/07/487810707.db2.gz MMZNOIYKYZZMPA-CABZTGNLSA-N 0 3 241.281 2.829 20 0 BFADHN CCOC[C@@H](C)NCc1cccc(CC)c1 ZINC000651705274 487820390 /nfs/dbraw/zinc/82/03/90/487820390.db2.gz NFPOWKBEYTVIOP-GFCCVEGCSA-N 0 3 221.344 2.764 20 0 BFADHN C[C@@H]1CC2(CCC2)CN1Cc1cnc2n1CCC2 ZINC000668064419 487824250 /nfs/dbraw/zinc/82/42/50/487824250.db2.gz LNYUIXQWWMZGOA-GFCCVEGCSA-N 0 3 245.370 2.594 20 0 BFADHN C[C@@H]1CSCCN1CCSC(C)(C)C ZINC000801922583 586277836 /nfs/dbraw/zinc/27/78/36/586277836.db2.gz MBUQXOIWNLVRSW-SNVBAGLBSA-N 0 3 233.446 2.955 20 0 BFADHN c1ccc(CNCCOCC2CCC2)cc1 ZINC000306283366 322946187 /nfs/dbraw/zinc/94/61/87/322946187.db2.gz IHJKVOKCWOVKBY-UHFFFAOYSA-N 0 3 219.328 2.593 20 0 BFADHN CC[C@H]1CN([C@@H]2CCc3ccccc32)CCO1 ZINC000681657380 487832181 /nfs/dbraw/zinc/83/21/81/487832181.db2.gz DBCNEEYAOYFKNB-DZGCQCFKSA-N 0 3 231.339 2.785 20 0 BFADHN CCC(=O)CCCN1C[C@H](C)C(F)(F)[C@@H](C)C1 ZINC000625652855 487835768 /nfs/dbraw/zinc/83/57/68/487835768.db2.gz MYXDQMYFXKATKW-QWRGUYRKSA-N 0 3 247.329 2.969 20 0 BFADHN CCCCN(CCC)[C@H](CC)C(=O)OCC ZINC000484543025 528397091 /nfs/dbraw/zinc/39/70/91/528397091.db2.gz RICWUKBJAFBLDX-GFCCVEGCSA-N 0 3 229.364 2.840 20 0 BFADHN CCn1ccc(CN[C@H]2CCC[C@@]2(C)CC)n1 ZINC000651830542 487838846 /nfs/dbraw/zinc/83/88/46/487838846.db2.gz XGDOVEYJLNHXRN-UONOGXRCSA-N 0 3 235.375 2.961 20 0 BFADHN CC1(C)CC[C@@H]1C(=O)Nc1ccc2c(c1)CNC2 ZINC000659312542 487840303 /nfs/dbraw/zinc/84/03/03/487840303.db2.gz GXDSHNZVJATCMV-CYBMUJFWSA-N 0 3 244.338 2.665 20 0 BFADHN C[C@@H]1CN(CCOC(F)F)CC(C)(C)C1 ZINC000851921870 588643786 /nfs/dbraw/zinc/64/37/86/588643786.db2.gz DNYMTCLWJSKZFC-VIFPVBQESA-N 0 3 221.291 2.594 20 0 BFADHN CCCN(Cc1cccc2c1OCCO2)C1CC1 ZINC000171678549 487845653 /nfs/dbraw/zinc/84/56/53/487845653.db2.gz QZBCBHHKBYDSPD-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN Cc1occc1CNC[C@@H]1CC1(Cl)Cl ZINC000876961035 590261546 /nfs/dbraw/zinc/26/15/46/590261546.db2.gz BABJPNSKVNYXED-VIFPVBQESA-N 0 3 234.126 2.871 20 0 BFADHN C[C@H](SCCN(C)C)c1ccc(F)nc1 ZINC000882138357 591304605 /nfs/dbraw/zinc/30/46/05/591304605.db2.gz FSKPNUUODNCKFV-VIFPVBQESA-N 0 3 228.336 2.577 20 0 BFADHN OC1(CCN2CCC[C@H]2c2ccccn2)CCC1 ZINC000663076591 487853916 /nfs/dbraw/zinc/85/39/16/487853916.db2.gz GXFYPCXAMXNWRS-AWEZNQCLSA-N 0 3 246.354 2.524 20 0 BFADHN C[C@@H](CN(C)Cc1cnn(C)c1)C(C)(C)C ZINC000670261729 487855722 /nfs/dbraw/zinc/85/57/22/487855722.db2.gz FKGIWLAFTYIIJL-NSHDSACASA-N 0 3 223.364 2.534 20 0 BFADHN CCN(Cc1cn[nH]c1)[C@@H](C)Cc1ccsc1 ZINC000681755110 487856306 /nfs/dbraw/zinc/85/63/06/487856306.db2.gz NRMLBKMQLICZLW-NSHDSACASA-N 0 3 249.383 2.924 20 0 BFADHN CCCn1nccc1CN[C@@H]1C[C@H]1CC(C)C ZINC000395412148 487858363 /nfs/dbraw/zinc/85/83/63/487858363.db2.gz WIYQREUSJJNLLA-TZMCWYRMSA-N 0 3 235.375 2.817 20 0 BFADHN C[C@@H](CN(C)Cc1cnccn1)C(C)(C)C ZINC000670263027 487861591 /nfs/dbraw/zinc/86/15/91/487861591.db2.gz VOAGXSSSEHQVCH-NSHDSACASA-N 0 3 221.348 2.591 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H](C)c1cnc(C)s1 ZINC000666178402 487862286 /nfs/dbraw/zinc/86/22/86/487862286.db2.gz MWIYXDOFSNVMMG-GDPRMGEGSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1cccc(CN2CCC[C@@H](CCF)C2)n1 ZINC000661688341 487867558 /nfs/dbraw/zinc/86/75/58/487867558.db2.gz FYFFVFITCSFBDU-ZDUSSCGKSA-N 0 3 236.334 2.962 20 0 BFADHN COCC1(C2CCC2)CN(Cc2ccccc2)C1 ZINC000668510987 487870079 /nfs/dbraw/zinc/87/00/79/487870079.db2.gz BWPVZBMNYPLUSI-UHFFFAOYSA-N 0 3 245.366 2.935 20 0 BFADHN FCCCCCN1CC2(C1)CC(F)(F)C2 ZINC000671242630 487877621 /nfs/dbraw/zinc/87/76/21/487877621.db2.gz YFMPJROIRGBPCO-UHFFFAOYSA-N 0 3 221.266 2.857 20 0 BFADHN CCCCCN(CC(N)=O)C[C@H](C)C(C)(C)C ZINC000670268757 487879540 /nfs/dbraw/zinc/87/95/40/487879540.db2.gz QLBMTFXRLNINOH-LBPRGKRZSA-N 0 3 242.407 2.646 20 0 BFADHN COc1ccccc1C1(NCC2CC2)CC1 ZINC000646001109 487880627 /nfs/dbraw/zinc/88/06/27/487880627.db2.gz ZXTMXXRGJGDBQP-UHFFFAOYSA-N 0 3 217.312 2.684 20 0 BFADHN CCC(CC)CN[C@@H](C)c1ccncn1 ZINC000395892118 487881335 /nfs/dbraw/zinc/88/13/35/487881335.db2.gz LHHDMDGCULWXIR-JTQLQIEISA-N 0 3 207.321 2.563 20 0 BFADHN CC(C)(C)CCCN(CC(N)=O)C1CCCC1 ZINC000682028187 487882268 /nfs/dbraw/zinc/88/22/68/487882268.db2.gz XMQXWZQSUDBWCK-UHFFFAOYSA-N 0 3 240.391 2.543 20 0 BFADHN C[C@@H](CN(C)Cc1ccnn1C)C(C)(C)C ZINC000670270481 487886071 /nfs/dbraw/zinc/88/60/71/487886071.db2.gz JIJGLCOPXQSUAX-NSHDSACASA-N 0 3 223.364 2.534 20 0 BFADHN CCCCN1CCC[C@](OC)(C(F)(F)F)C1 ZINC000682040142 487887454 /nfs/dbraw/zinc/88/74/54/487887454.db2.gz SRJVFRJJRXLXRW-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN CO[C@@]1(C(F)(F)F)CCCN(CC(C)C)C1 ZINC000682041636 487889663 /nfs/dbraw/zinc/88/96/63/487889663.db2.gz QPZNQQPNVVSRKK-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H](CN1CCOCC12CC2)C(C)(C)C ZINC000670273145 487895875 /nfs/dbraw/zinc/89/58/75/487895875.db2.gz QISKRXNXLOKWJB-NSHDSACASA-N 0 3 211.349 2.533 20 0 BFADHN CCn1ccnc1[C@H](C)NC1(C2CCC2)CC1 ZINC000652273831 487897401 /nfs/dbraw/zinc/89/74/01/487897401.db2.gz YXARSBAMXUMKDH-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN CC1(C)CCC[C@H](CN2CC[C@@](F)(CO)C2)C1 ZINC000670273725 487897920 /nfs/dbraw/zinc/89/79/20/487897920.db2.gz UWZGZZCGURGAAZ-JSGCOSHPSA-N 0 3 243.366 2.609 20 0 BFADHN Cc1ncc([C@H](C)N(C)CCC2CC2)c(C)n1 ZINC000682076819 487901219 /nfs/dbraw/zinc/90/12/19/487901219.db2.gz MOJFQRLPLDWFNK-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H]1COCCCN1Cc1ccc2c(c1)CCC2 ZINC000676713659 487902843 /nfs/dbraw/zinc/90/28/43/487902843.db2.gz RLKFNLKDCVHMCF-ZDUSSCGKSA-N 0 3 245.366 2.786 20 0 BFADHN Cc1cccn2cc(CN(C)CCC3CC3)nc12 ZINC000676716220 487904271 /nfs/dbraw/zinc/90/42/71/487904271.db2.gz RWUIZKFKFHOVDA-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN C[C@@H](N[C@H](CO)CCF)c1ccccc1Cl ZINC000652306907 487907527 /nfs/dbraw/zinc/90/75/27/487907527.db2.gz IKYOSQKUYGWLOB-ZJUUUORDSA-N 0 3 245.725 2.711 20 0 BFADHN C[C@@H]1CN(CCCOC(C)(C)C)[C@@H](C)[C@@H](C)O1 ZINC000682091124 487908705 /nfs/dbraw/zinc/90/87/05/487908705.db2.gz IEIFUQDXISBZOD-FRRDWIJNSA-N 0 3 243.391 2.689 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2cc[nH]n2)CC1 ZINC000083435641 487912867 /nfs/dbraw/zinc/91/28/67/487912867.db2.gz JKYLOYPUBZRUEQ-NWDGAFQWSA-N 0 3 221.348 2.858 20 0 BFADHN CCc1nc(CN2CCC[C@@H]3CCCC[C@@H]32)n[nH]1 ZINC000157513437 487913447 /nfs/dbraw/zinc/91/34/47/487913447.db2.gz XKGOHXWBCIWSFL-RYUDHWBXSA-N 0 3 248.374 2.522 20 0 BFADHN Fc1cccc(F)c1CCCN1CCCC1 ZINC000653488280 487914736 /nfs/dbraw/zinc/91/47/36/487914736.db2.gz LHPIHVZEZNYGAB-UHFFFAOYSA-N 0 3 225.282 2.993 20 0 BFADHN CCN(CC[C@@H]1CCOC1)CCC(F)(F)F ZINC000682153642 487915782 /nfs/dbraw/zinc/91/57/82/487915782.db2.gz NYMUYFWULKAODX-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN C[C@H](CN(C)Cc1cn[nH]c1)c1ccc(F)cc1 ZINC000682155377 487916579 /nfs/dbraw/zinc/91/65/79/487916579.db2.gz VUBCACICHHCBFT-LLVKDONJSA-N 0 3 247.317 2.784 20 0 BFADHN CCc1ccc(CN2CCC[C@](O)(C3CC3)C2)o1 ZINC000668556135 487928844 /nfs/dbraw/zinc/92/88/44/487928844.db2.gz NJPOAGXKUINUSB-OAHLLOKOSA-N 0 3 249.354 2.579 20 0 BFADHN Cc1cccc(CN[C@H]2COC(C)(C)C2)c1F ZINC000396504781 487937317 /nfs/dbraw/zinc/93/73/17/487937317.db2.gz LRJAIZXFNGERIO-GFCCVEGCSA-N 0 3 237.318 2.791 20 0 BFADHN CCOc1cccc(CN2CC=CCC2)c1 ZINC000679360047 487939260 /nfs/dbraw/zinc/93/92/60/487939260.db2.gz QMAXNGHNLUTCSM-UHFFFAOYSA-N 0 3 217.312 2.847 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1ccc(F)cc1F ZINC000220642632 490073689 /nfs/dbraw/zinc/07/36/89/490073689.db2.gz NWCZJRCECNRBSB-QPUJVOFHSA-N 0 3 243.322 2.948 20 0 BFADHN COc1cc(CN2CC[C@@H]3CCC[C@@H]32)sn1 ZINC000660332312 487941458 /nfs/dbraw/zinc/94/14/58/487941458.db2.gz KRPHNGYNSFSVEO-ONGXEEELSA-N 0 3 238.356 2.526 20 0 BFADHN Fc1cccc(CNC2CC2)c1OC(F)F ZINC000165249547 487943528 /nfs/dbraw/zinc/94/35/28/487943528.db2.gz BHLCIHFXRPQQPZ-UHFFFAOYSA-N 0 3 231.217 2.679 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CN(C)Cc1cn[nH]c1 ZINC000682404677 487948268 /nfs/dbraw/zinc/94/82/68/487948268.db2.gz HYDMWNJITOTGIA-TZMCWYRMSA-N 0 3 233.359 2.834 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1COC(C)(C)C1 ZINC000396542651 487953594 /nfs/dbraw/zinc/95/35/94/487953594.db2.gz OTZUEZULDIHRHZ-CYBMUJFWSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1ccc(C2CC(NCc3ncc[nH]3)C2)cc1 ZINC000080584640 487956302 /nfs/dbraw/zinc/95/63/02/487956302.db2.gz MIURDHMVWCUCPY-UHFFFAOYSA-N 0 3 241.338 2.754 20 0 BFADHN COCc1ccc(CNC2(C3(C)CC3)CC2)o1 ZINC000398265080 322868037 /nfs/dbraw/zinc/86/80/37/322868037.db2.gz VRBPJZBGQNUPAD-UHFFFAOYSA-N 0 3 235.327 2.848 20 0 BFADHN C[C@@H]1CCC[C@H](CCNCc2ccn(C)n2)C1 ZINC000096610409 322963289 /nfs/dbraw/zinc/96/32/89/322963289.db2.gz DOYHWCUDEHVXEX-CHWSQXEVSA-N 0 3 235.375 2.726 20 0 BFADHN C[C@@H](NC[C@@H]1CC1(C)C)c1cscn1 ZINC000222051048 322978313 /nfs/dbraw/zinc/97/83/13/322978313.db2.gz FLGOQLAXGVIHOP-BDAKNGLRSA-N 0 3 210.346 2.840 20 0 BFADHN CCO[C@@H]1C[C@@H]1NC/C(C)=C/c1ccccc1 ZINC000559817029 322979979 /nfs/dbraw/zinc/97/99/79/322979979.db2.gz OBWGOWQFRIBUAE-XMSFRJSPSA-N 0 3 231.339 2.857 20 0 BFADHN Cc1cnc(COc2cc(C)nc(C)c2C)o1 ZINC000566476628 323000495 /nfs/dbraw/zinc/00/04/95/323000495.db2.gz DGUUZKKAKIEYEG-UHFFFAOYSA-N 0 3 232.283 2.882 20 0 BFADHN COc1ccc(CN2CCC2(C)C)c(C)c1OC ZINC000561393827 323001113 /nfs/dbraw/zinc/00/11/13/323001113.db2.gz ZTVZGUAHQJVQAV-UHFFFAOYSA-N 0 3 249.354 2.997 20 0 BFADHN COC(=O)c1ccc(CNC(C)(C)C(C)C)o1 ZINC000561475966 323002562 /nfs/dbraw/zinc/00/25/62/323002562.db2.gz GLNCDMIBYLAWPA-UHFFFAOYSA-N 0 3 239.315 2.590 20 0 BFADHN CS[C@H](C)CNCc1ccc(F)cc1F ZINC000128124005 323006310 /nfs/dbraw/zinc/00/63/10/323006310.db2.gz UIIBRZSWNIZKLS-MRVPVSSYSA-N 0 3 231.311 2.806 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1O)c1cc2ccccc2o1 ZINC000246371398 323008637 /nfs/dbraw/zinc/00/86/37/323008637.db2.gz JHTBOYXGYLTJML-CYZMBNFOSA-N 0 3 245.322 2.997 20 0 BFADHN Cc1ccsc1CN1CCN(C(C)C)CC1 ZINC000131134626 323012564 /nfs/dbraw/zinc/01/25/64/323012564.db2.gz QUXOOQBZGYMSCC-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN CCN(Cc1c(C)nn(CC)c1C)C1CC1 ZINC000133308273 323018447 /nfs/dbraw/zinc/01/84/47/323018447.db2.gz CHLUZEPYWAZIAJ-UHFFFAOYSA-N 0 3 221.348 2.504 20 0 BFADHN Fc1ccc2c(c1)CN(CC1CC1)CC2 ZINC000133861405 323020432 /nfs/dbraw/zinc/02/04/32/323020432.db2.gz XVGJTFXQQKQPOB-UHFFFAOYSA-N 0 3 205.276 2.594 20 0 BFADHN CCCOc1ccc(CN[C@H]2C[C@@H]2OCC)cc1 ZINC000562467981 323020861 /nfs/dbraw/zinc/02/08/61/323020861.db2.gz XVVVGSYSCKPGRC-GJZGRUSLSA-N 0 3 249.354 2.742 20 0 BFADHN CCc1cc(CN[C@@H](CC)C2CCC2)on1 ZINC000562515676 323022562 /nfs/dbraw/zinc/02/25/62/323022562.db2.gz OQFOVHJXZLPUMW-ZDUSSCGKSA-N 0 3 222.332 2.905 20 0 BFADHN C[C@@H](c1ccoc1)N1CCC[C@]2(CCOC2)C1 ZINC000562569127 323024592 /nfs/dbraw/zinc/02/45/92/323024592.db2.gz OHPLEPPUGVAUQW-JSGCOSHPSA-N 0 3 235.327 2.843 20 0 BFADHN CCc1cc(CN[C@@H](C2CC2)C2CCC2)on1 ZINC000562778767 323030391 /nfs/dbraw/zinc/03/03/91/323030391.db2.gz DSMIIRJEFTXYOB-CQSZACIVSA-N 0 3 234.343 2.905 20 0 BFADHN Fc1ccc(CCN2CCCCC2)cc1F ZINC000151919382 323033597 /nfs/dbraw/zinc/03/35/97/323033597.db2.gz KBXNDPOOMRQZCK-UHFFFAOYSA-N 0 3 225.282 2.993 20 0 BFADHN N[C@H]1CCCc2cn(CC3CCCCC3)nc21 ZINC000569960764 323045818 /nfs/dbraw/zinc/04/58/18/323045818.db2.gz NWULTZDNYUFFSJ-ZDUSSCGKSA-N 0 3 233.359 2.800 20 0 BFADHN c1cnc(CNCCC2=CCCCCC2)cn1 ZINC000572604899 323046768 /nfs/dbraw/zinc/04/67/68/323046768.db2.gz IZGABFPKFKFFTN-UHFFFAOYSA-N 0 3 231.343 2.847 20 0 BFADHN CC1(CN2CCc3cc(F)ccc3C2)CC1 ZINC000570151222 323050927 /nfs/dbraw/zinc/05/09/27/323050927.db2.gz HRFNZGJMQXKGSB-UHFFFAOYSA-N 0 3 219.303 2.984 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1cnn(C(C)C)c1 ZINC000570208528 323052879 /nfs/dbraw/zinc/05/28/79/323052879.db2.gz FENDZZGXOZDVOW-UTUOFQBUSA-N 0 3 239.363 2.538 20 0 BFADHN c1cc(CNC[C@@H]2C[C@H]2c2ccccc2)on1 ZINC000570333176 323056240 /nfs/dbraw/zinc/05/62/40/323056240.db2.gz WCSQRWDDKHHIIZ-JSGCOSHPSA-N 0 3 228.295 2.568 20 0 BFADHN CCC[C@H](C)[C@H](CO)N[C@H](C)c1cncs1 ZINC000570521555 323061963 /nfs/dbraw/zinc/06/19/63/323061963.db2.gz VNIXZHLTWRTELI-AXFHLTTASA-N 0 3 242.388 2.591 20 0 BFADHN C[C@@H](O)CN1CCC(=Cc2cccc(F)c2)CC1 ZINC000570525419 323062094 /nfs/dbraw/zinc/06/20/94/323062094.db2.gz LRFQGGRPJMDBOP-GFCCVEGCSA-N 0 3 249.329 2.686 20 0 BFADHN COc1ccc(CN[C@H]2[C@@H]3CCC[C@@H]32)c(F)c1 ZINC000570644871 323065841 /nfs/dbraw/zinc/06/58/41/323065841.db2.gz CVXMRDIZJNXMQD-IMRBUKKESA-N 0 3 235.302 2.722 20 0 BFADHN COc1ccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)c(F)c1 ZINC000570644823 323065913 /nfs/dbraw/zinc/06/59/13/323065913.db2.gz CVXMRDIZJNXMQD-DABQJJPHSA-N 0 3 235.302 2.722 20 0 BFADHN CC[C@@H](N[C@H](CC)C1CCOCC1)C(F)F ZINC000570773624 323069803 /nfs/dbraw/zinc/06/98/03/323069803.db2.gz FGIRHGCWYGXKGR-GHMZBOCLSA-N 0 3 235.318 2.825 20 0 BFADHN CCn1cncc1CN(C1CC1)C1CCCC1 ZINC000570911232 323073951 /nfs/dbraw/zinc/07/39/51/323073951.db2.gz RDJQHAZYRXHILF-UHFFFAOYSA-N 0 3 233.359 2.810 20 0 BFADHN CC[C@H](O)CNCc1oc2ccccc2c1C ZINC000562994310 323078746 /nfs/dbraw/zinc/07/87/46/323078746.db2.gz XFZZXYQACYUWTA-NSHDSACASA-N 0 3 233.311 2.602 20 0 BFADHN C[C@@H](NCc1cc(C2CC2)ccc1F)[C@H](C)O ZINC000562998410 323078973 /nfs/dbraw/zinc/07/89/73/323078973.db2.gz HVGSNKSPPRPUTE-ZJUUUORDSA-N 0 3 237.318 2.562 20 0 BFADHN c1ccc([C@@H]2CCCN2C[C@@H]2CCCCO2)nc1 ZINC000531201432 323085201 /nfs/dbraw/zinc/08/52/01/323085201.db2.gz OQHAXYJFRDIKCO-ZFWWWQNUSA-N 0 3 246.354 2.788 20 0 BFADHN CCc1cnc(CN2C[C@@H]3CCCC[C@H]3C2)o1 ZINC000531416860 323089054 /nfs/dbraw/zinc/08/90/54/323089054.db2.gz UGINXEIWMBGTTK-RYUDHWBXSA-N 0 3 234.343 2.859 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCC2=CCOCC2)o1 ZINC000397722100 323090587 /nfs/dbraw/zinc/09/05/87/323090587.db2.gz WUNAWGJPOCZNHM-SMDDNHRTSA-N 0 3 247.338 2.839 20 0 BFADHN CC(C)n1nccc1CN[C@H]1CCC[C@H](F)C1 ZINC000563121825 323093584 /nfs/dbraw/zinc/09/35/84/323093584.db2.gz GRBGWSUAOMGZPX-RYUDHWBXSA-N 0 3 239.338 2.834 20 0 BFADHN CC(C)n1nccc1CN[C@@H]1CCC[C@H](F)C1 ZINC000563121824 323093611 /nfs/dbraw/zinc/09/36/11/323093611.db2.gz GRBGWSUAOMGZPX-NWDGAFQWSA-N 0 3 239.338 2.834 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C/c2ccc(F)cc2)CO1 ZINC000563121593 323093675 /nfs/dbraw/zinc/09/36/75/323093675.db2.gz RAEULJZDIIWACA-XXMQVSLVSA-N 0 3 235.302 2.606 20 0 BFADHN Cc1ncsc1CNC[C@@H]1CCCC1(F)F ZINC000390866811 323096318 /nfs/dbraw/zinc/09/63/18/323096318.db2.gz NIRIRDBTIKRFMQ-VIFPVBQESA-N 0 3 246.326 2.977 20 0 BFADHN Cc1cnc(CNC[C@H]2CCCCS2)s1 ZINC000394736050 323096588 /nfs/dbraw/zinc/09/65/88/323096588.db2.gz SBRAHIFKDXETQO-SNVBAGLBSA-N 0 3 242.413 2.827 20 0 BFADHN C[C@@H]1[C@H](NCc2ccno2)C[C@@H]1c1ccccc1 ZINC000567888530 323096698 /nfs/dbraw/zinc/09/66/98/323096698.db2.gz IPOPODGTNLRQEP-TUKIKUTGSA-N 0 3 242.322 2.956 20 0 BFADHN CN(Cc1cc(F)ccc1F)C1CCOCC1 ZINC000531472236 323097917 /nfs/dbraw/zinc/09/79/17/323097917.db2.gz BHFTYUYIOVGOAI-UHFFFAOYSA-N 0 3 241.281 2.576 20 0 BFADHN C[C@@H](NCc1nccn1C(F)F)[C@H]1CC1(C)C ZINC000397855414 323098464 /nfs/dbraw/zinc/09/84/64/323098464.db2.gz TUIYZIPDRLXJMW-RKDXNWHRSA-N 0 3 243.301 2.802 20 0 BFADHN COCC1(NCc2ccc(OC(C)C)cc2)CC1 ZINC000531701350 323111882 /nfs/dbraw/zinc/11/18/82/323111882.db2.gz DAOTUKKVHQNCAP-UHFFFAOYSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1c(CN(C)C[C@@H](C)CC(C)C)cnn1C ZINC000531790514 323113443 /nfs/dbraw/zinc/11/34/43/323113443.db2.gz BLAVHGKXIVALAE-LBPRGKRZSA-N 0 3 237.391 2.843 20 0 BFADHN CCc1noc(C)c1CN1C2CCC1CC2 ZINC000531815820 323114443 /nfs/dbraw/zinc/11/44/43/323114443.db2.gz HMPHTAJENXSUBP-UHFFFAOYSA-N 0 3 220.316 2.672 20 0 BFADHN Cc1cccc2ncc(CN3C4CCC3CC4)n21 ZINC000534331674 323117154 /nfs/dbraw/zinc/11/71/54/323117154.db2.gz LUIPHMCVYFNYFF-UHFFFAOYSA-N 0 3 241.338 2.770 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1cc(C)sc1C ZINC000309940441 323122285 /nfs/dbraw/zinc/12/22/85/323122285.db2.gz BLYMMTPEZOSBTH-IHVVCDCBSA-N 0 3 239.384 2.782 20 0 BFADHN CC(C)(C)CNCc1noc2ccccc12 ZINC000563418270 323123590 /nfs/dbraw/zinc/12/35/90/323123590.db2.gz NTDGNACCZHGZOW-UHFFFAOYSA-N 0 3 218.300 2.964 20 0 BFADHN CCCN(Cc1ccnn1CC)C(C)C ZINC000563428937 323125034 /nfs/dbraw/zinc/12/50/34/323125034.db2.gz MEBSMLZNQJXYHZ-UHFFFAOYSA-N 0 3 209.337 2.523 20 0 BFADHN CC1(C)C[C@H](N[C@@H]2CCc3ccc(F)cc32)CO1 ZINC000563585811 323130874 /nfs/dbraw/zinc/13/08/74/323130874.db2.gz NKJPGZFHCPCKSX-GXTWGEPZSA-N 0 3 249.329 2.970 20 0 BFADHN COC1(CCN[C@@H](C)c2ccoc2)CCC1 ZINC000310567298 323133415 /nfs/dbraw/zinc/13/34/15/323133415.db2.gz MMVKQXFSCGWMJZ-NSHDSACASA-N 0 3 223.316 2.889 20 0 BFADHN Cc1ccc2oc(CNCC(C)(C)O)cc2c1 ZINC000152217686 323133449 /nfs/dbraw/zinc/13/34/49/323133449.db2.gz LUCQQVKIRLZUKX-UHFFFAOYSA-N 0 3 233.311 2.602 20 0 BFADHN C[C@H](NCC1CC(C)(C)C1)c1ccn(C)n1 ZINC000571177646 323137102 /nfs/dbraw/zinc/13/71/02/323137102.db2.gz DYKSMSDIVPLYMW-JTQLQIEISA-N 0 3 221.348 2.507 20 0 BFADHN CC(C)C[C@H](C)Cn1cc2c(n1)[C@H](N)CCC2 ZINC000571396903 323143608 /nfs/dbraw/zinc/14/36/08/323143608.db2.gz QYWMADXDXJBLKV-WCQYABFASA-N 0 3 235.375 2.901 20 0 BFADHN CC(C)[C@H](NCc1cn2ccccc2n1)C1CC1 ZINC000324289628 323145635 /nfs/dbraw/zinc/14/56/35/323145635.db2.gz RRFXQMAPLBCPTE-HNNXBMFYSA-N 0 3 243.354 2.859 20 0 BFADHN CCc1cnc(CNC[C@@H]2C[C@H]2CC)s1 ZINC000394908513 323155217 /nfs/dbraw/zinc/15/52/17/323155217.db2.gz CTQPJFBEQFVBMO-ZJUUUORDSA-N 0 3 224.373 2.841 20 0 BFADHN Cc1ccccc1NC(=O)[C@@H](C)N(C)CC1CC1 ZINC000049238194 323159372 /nfs/dbraw/zinc/15/93/72/323159372.db2.gz ZYXQKWKUXXUGAZ-GFCCVEGCSA-N 0 3 246.354 2.664 20 0 BFADHN C[C@@H](c1ccccc1)N(C)CCn1cccn1 ZINC000064138476 323160218 /nfs/dbraw/zinc/16/02/18/323160218.db2.gz NAGHVZAHJPLMPO-ZDUSSCGKSA-N 0 3 229.327 2.576 20 0 BFADHN CCc1ccc(CN2CCC(CO)CC2)s1 ZINC000050104693 323164813 /nfs/dbraw/zinc/16/48/13/323164813.db2.gz NHDSHEQQGZMSKF-UHFFFAOYSA-N 0 3 239.384 2.515 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2ccncc2)o1 ZINC000535071647 323165320 /nfs/dbraw/zinc/16/53/20/323165320.db2.gz QXUFQJVSPPZODZ-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN C[C@H](Cc1ccncc1)N[C@@H](C)c1ccoc1 ZINC000531993212 323166086 /nfs/dbraw/zinc/16/60/86/323166086.db2.gz IAKFUPTZAARKJE-NEPJUHHUSA-N 0 3 230.311 2.956 20 0 BFADHN CC/C=C\CNCc1ccccc1NC(C)=O ZINC000395034574 323185359 /nfs/dbraw/zinc/18/53/59/323185359.db2.gz QYISUPGICVISED-DAXSKMNVSA-N 0 3 232.327 2.701 20 0 BFADHN COc1nccc(CNCC2CCCCCC2)n1 ZINC000395124591 323188178 /nfs/dbraw/zinc/18/81/78/323188178.db2.gz BFGKGLFFBSYPJB-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN C[C@@H]1CCN(CCn2ccnc2)[C@H]2CCCC[C@H]12 ZINC000535745382 323190308 /nfs/dbraw/zinc/19/03/08/323190308.db2.gz NJCKZAPBCUTMIC-KFWWJZLASA-N 0 3 247.386 2.784 20 0 BFADHN CCOc1cccc(CN2CC[C@@H](C(C)C)C2)n1 ZINC000535826290 323192680 /nfs/dbraw/zinc/19/26/80/323192680.db2.gz SOPSHCDXHXNEQZ-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN CCc1ccc(CN(C)CCCOC)s1 ZINC000535827254 323192686 /nfs/dbraw/zinc/19/26/86/323192686.db2.gz PMJKCGGKFLMMSX-UHFFFAOYSA-N 0 3 227.373 2.779 20 0 BFADHN CCc1cccc(CN[C@@H]2CO[C@H](C)C2)c1 ZINC000563891317 323193151 /nfs/dbraw/zinc/19/31/51/323193151.db2.gz IKOSYFSBEWXNGJ-RISCZKNCSA-N 0 3 219.328 2.516 20 0 BFADHN CCN(CCOC)[C@H](C)c1cccc(OC)c1 ZINC000052706095 323194876 /nfs/dbraw/zinc/19/48/76/323194876.db2.gz SXGORRJDKWXTFO-GFCCVEGCSA-N 0 3 237.343 2.725 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1C2CCC1CC2 ZINC000536628234 323198894 /nfs/dbraw/zinc/19/88/94/323198894.db2.gz XJNSEQXXVRZHHQ-UHFFFAOYSA-N 0 3 233.359 2.834 20 0 BFADHN CN(Cc1ccc(Cl)o1)C1CCOCC1 ZINC000536650470 323199690 /nfs/dbraw/zinc/19/96/90/323199690.db2.gz DFLSRYJBLVBFRM-UHFFFAOYSA-N 0 3 229.707 2.544 20 0 BFADHN CCC[C@H]1CN(CC(CC)CC)CCO1 ZINC000536670734 323200259 /nfs/dbraw/zinc/20/02/59/323200259.db2.gz BZPPGCORPMFCQQ-ZDUSSCGKSA-N 0 3 213.365 2.924 20 0 BFADHN CC[C@H](C)NCc1nc(C)c2ccccc2n1 ZINC000532218570 323208919 /nfs/dbraw/zinc/20/89/19/323208919.db2.gz GUEIXNPAPOYSDX-JTQLQIEISA-N 0 3 229.327 2.826 20 0 BFADHN COCC1(NCc2cc3cc(F)ccc3o2)CC1 ZINC000532212808 323210142 /nfs/dbraw/zinc/21/01/42/323210142.db2.gz CPILVAKTXASAQH-UHFFFAOYSA-N 0 3 249.285 2.841 20 0 BFADHN COc1ccc(CNC2(C3CC3)CC2)c(OC)c1 ZINC000532373822 323256293 /nfs/dbraw/zinc/25/62/93/323256293.db2.gz JYMRIVFGCDBBJS-UHFFFAOYSA-N 0 3 247.338 2.736 20 0 BFADHN CC(C)C(C)(C)CN[C@H](C)c1ccncn1 ZINC000395911513 323262953 /nfs/dbraw/zinc/26/29/53/323262953.db2.gz PBKLEEDSQPKQJR-LLVKDONJSA-N 0 3 221.348 2.809 20 0 BFADHN C[C@@H](c1ccccn1)N1C2CCC1CC2 ZINC000532466975 323266961 /nfs/dbraw/zinc/26/69/61/323266961.db2.gz ZTGNLBVUYVPSRK-UNXYVOJBSA-N 0 3 202.301 2.769 20 0 BFADHN CCC(C)(C)NC(=O)CN(C)[C@@H](C)C(C)(C)C ZINC000532491301 323269338 /nfs/dbraw/zinc/26/93/38/323269338.db2.gz VNBIHVAIKPOAJY-NSHDSACASA-N 0 3 242.407 2.658 20 0 BFADHN Cc1ccncc1CN1C2CCC1CC2 ZINC000532595081 323278343 /nfs/dbraw/zinc/27/83/43/323278343.db2.gz KWXYHDYVKHSDAY-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN CC(C)OCC(C)(C)NCc1ccco1 ZINC000396344834 323310386 /nfs/dbraw/zinc/31/03/86/323310386.db2.gz CKKMELQUKBQDEA-UHFFFAOYSA-N 0 3 211.305 2.573 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@@H]2C2CC2)s1 ZINC000309207058 323328695 /nfs/dbraw/zinc/32/86/95/323328695.db2.gz SFKWEYUSNIYAFQ-GHMZBOCLSA-N 0 3 222.357 2.730 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2)CC2(CCC2)O1 ZINC000563955511 323334250 /nfs/dbraw/zinc/33/42/50/323334250.db2.gz IVHADPKKDIEKJD-CQSZACIVSA-N 0 3 245.366 2.873 20 0 BFADHN C[C@@H](CCC1CC1)NCc1ncccc1F ZINC000309333001 323343177 /nfs/dbraw/zinc/34/31/77/323343177.db2.gz IHCVVLJGYPZQST-JTQLQIEISA-N 0 3 222.307 2.889 20 0 BFADHN CO[C@@H](CNCc1ccc(C)o1)CC(C)C ZINC000418104941 323349965 /nfs/dbraw/zinc/34/99/65/323349965.db2.gz VMWQGUOWGOUASP-CYBMUJFWSA-N 0 3 225.332 2.739 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CC[C@@H](C(C)C)C1 ZINC000228671667 323365955 /nfs/dbraw/zinc/36/59/55/323365955.db2.gz ZJKLLIFUVLSUSJ-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1csc(CNC(C)(C)C2CC2)n1 ZINC000230674524 323398801 /nfs/dbraw/zinc/39/88/01/323398801.db2.gz NZFMRYKTKPNBJG-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN Cn1c2ccccc2nc1CNC(C)(C)C1CC1 ZINC000230674461 323399251 /nfs/dbraw/zinc/39/92/51/323399251.db2.gz JTSUXQAHLRSBFN-UHFFFAOYSA-N 0 3 243.354 2.852 20 0 BFADHN CCCCCN(C)Cc1ccc(OC)nc1 ZINC000677046364 487957916 /nfs/dbraw/zinc/95/79/16/487957916.db2.gz MGJYVCBUOBKYMN-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN F[C@]1(c2ccccc2)C[C@H]1NCC[C@@H]1CCOC1 ZINC000571791587 323480998 /nfs/dbraw/zinc/48/09/98/323480998.db2.gz FBIBTQIFJAZPKW-YUELXQCFSA-N 0 3 249.329 2.640 20 0 BFADHN C[C@@H](NCCCC1CC1)c1ccccn1 ZINC000161708391 323560653 /nfs/dbraw/zinc/56/06/53/323560653.db2.gz XITJBQFUCIFANM-LLVKDONJSA-N 0 3 204.317 2.922 20 0 BFADHN Cc1csc(CN[C@H](C)[C@@H]2CC23CC3)n1 ZINC000527844665 323703237 /nfs/dbraw/zinc/70/32/37/323703237.db2.gz HLZYOGWMIPOXGW-ZJUUUORDSA-N 0 3 222.357 2.730 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H]1Cc2ccccc2NC1=O ZINC000527997010 323733290 /nfs/dbraw/zinc/73/32/90/323733290.db2.gz SSTVLMOTBIVCAZ-MISXGVKJSA-N 0 3 246.354 2.574 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H]1Cc2ccccc2NC1=O ZINC000527997008 323733753 /nfs/dbraw/zinc/73/37/53/323733753.db2.gz SSTVLMOTBIVCAZ-COPLHBTASA-N 0 3 246.354 2.574 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1C[C@]1(C)OC(C)C ZINC000527997754 323734625 /nfs/dbraw/zinc/73/46/25/323734625.db2.gz KCHHARUVSHRIGR-CFVMTHIKSA-N 0 3 248.370 2.997 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@H](C)[C@H]1C)c1ccoc1 ZINC000527999192 323735056 /nfs/dbraw/zinc/73/50/56/323735056.db2.gz CRVJQQPQIXAERL-OQEOFVATSA-N 0 3 223.316 2.742 20 0 BFADHN C/C(Cl)=C\CN1CCN(C2CCCC2)CC1 ZINC000528350480 323801745 /nfs/dbraw/zinc/80/17/45/323801745.db2.gz RLBRHDNJFPVWSX-WUXMJOGZSA-N 0 3 242.794 2.689 20 0 BFADHN Cc1cc(CN)cc(NC(=O)NCC(C)(C)C)c1 ZINC000424433812 323858934 /nfs/dbraw/zinc/85/89/34/323858934.db2.gz FGYQYDJWIUUACZ-UHFFFAOYSA-N 0 3 249.358 2.621 20 0 BFADHN CCCCCC(=O)N[C@@H](CC(C)C)CN(C)C ZINC000120213117 323980437 /nfs/dbraw/zinc/98/04/37/323980437.db2.gz IAANLBAOLNTASK-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN CC1(C)C[C@H]1CNCc1ccncc1Cl ZINC000232886871 324008451 /nfs/dbraw/zinc/00/84/51/324008451.db2.gz PKRYTDHBUONWSH-JTQLQIEISA-N 0 3 224.735 2.871 20 0 BFADHN CCC[C@H](CCO)CN[C@@H](C)c1ccoc1 ZINC000122059326 324029016 /nfs/dbraw/zinc/02/90/16/324029016.db2.gz OULXCTQULGNCQX-NWDGAFQWSA-N 0 3 225.332 2.729 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccoc1)C(C)(C)C ZINC000122222751 324031781 /nfs/dbraw/zinc/03/17/81/324031781.db2.gz QQUNFMHOESVYSW-CMPLNLGQSA-N 0 3 225.332 2.991 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccoc1)C(C)(C)C ZINC000122222949 324032139 /nfs/dbraw/zinc/03/21/39/324032139.db2.gz QQUNFMHOESVYSW-ZYHUDNBSSA-N 0 3 225.332 2.991 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2ccc(C)o2)C1(C)C ZINC000072926311 324060930 /nfs/dbraw/zinc/06/09/30/324060930.db2.gz ODTNXFIGWIDBSW-GXTWGEPZSA-N 0 3 237.343 2.881 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2ccc(C)o2)C1(C)C ZINC000072926310 324061008 /nfs/dbraw/zinc/06/10/08/324061008.db2.gz ODTNXFIGWIDBSW-TZMCWYRMSA-N 0 3 237.343 2.881 20 0 BFADHN CC[C@@H](NCc1ncc(C)s1)C1CC1 ZINC000123022956 324075214 /nfs/dbraw/zinc/07/52/14/324075214.db2.gz AWRPVUNNWLENPQ-SNVBAGLBSA-N 0 3 210.346 2.730 20 0 BFADHN CC[C@H](NCc1ncc(C)s1)C1CC1 ZINC000123022849 324075376 /nfs/dbraw/zinc/07/53/76/324075376.db2.gz AWRPVUNNWLENPQ-JTQLQIEISA-N 0 3 210.346 2.730 20 0 BFADHN CCC1(CNCc2cnc(C3CC3)nc2)CC1 ZINC000459420866 324146962 /nfs/dbraw/zinc/14/69/62/324146962.db2.gz GRASPIXAHAUADG-UHFFFAOYSA-N 0 3 231.343 2.634 20 0 BFADHN COC[C@@H]1CCCN(C/C=C(/C)Cl)CC1 ZINC000528519994 324215911 /nfs/dbraw/zinc/21/59/11/324215911.db2.gz UVVPYSSVBDIFJL-DKRCXCIFSA-N 0 3 231.767 2.878 20 0 BFADHN CC[C@H](C)Nc1cccc(OCCN(C)C)c1 ZINC000037068947 324243802 /nfs/dbraw/zinc/24/38/02/324243802.db2.gz QITKCDDXTVUDJO-LBPRGKRZSA-N 0 3 236.359 2.837 20 0 BFADHN Cc1scnc1NC(=O)C(C)C(F)(F)F ZINC000529549728 324337660 /nfs/dbraw/zinc/33/76/60/324337660.db2.gz RXNVKLZVYIBABJ-BYPYZUCNSA-N 0 3 238.234 2.588 20 0 BFADHN CC1(CCNCc2ccc(F)cn2)CC1 ZINC000529556550 324341122 /nfs/dbraw/zinc/34/11/22/324341122.db2.gz JZTWIQUDNVQSOM-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN COCCC1CN(Cc2ccc3cc[nH]c3c2)C1 ZINC000529619636 324366157 /nfs/dbraw/zinc/36/61/57/324366157.db2.gz DSHCHVTYZWYKDU-UHFFFAOYSA-N 0 3 244.338 2.636 20 0 BFADHN COCCC1CCN(Cc2cccc(O)c2)CC1 ZINC000529637179 324368182 /nfs/dbraw/zinc/36/81/82/324368182.db2.gz OUQUQAJLLNBGDE-UHFFFAOYSA-N 0 3 249.354 2.641 20 0 BFADHN COCCC1CCN(Cc2ccc(C)o2)CC1 ZINC000529637745 324369036 /nfs/dbraw/zinc/36/90/36/324369036.db2.gz WYCFDFKRGYNQBB-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN CC[C@H](C)CNc1ccc2c(c1)OCCNC2 ZINC000530246276 324555808 /nfs/dbraw/zinc/55/58/08/324555808.db2.gz DUEJCXGGFSJUMU-NSHDSACASA-N 0 3 234.343 2.627 20 0 BFADHN c1nc(C2CC2)oc1-c1ccc2c(c1)CCNC2 ZINC000530252106 324561102 /nfs/dbraw/zinc/56/11/02/324561102.db2.gz ZSJGQOXUKPVNKE-UHFFFAOYSA-N 0 3 240.306 2.865 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1ccc(C)nc1C ZINC000682437047 487963630 /nfs/dbraw/zinc/96/36/30/487963630.db2.gz YHQCZMIOJTXYBV-WCFLWFBJSA-N 0 3 236.359 2.772 20 0 BFADHN CCn1ccnc1CNCC(C)(C)C(C)C ZINC000218978992 324567337 /nfs/dbraw/zinc/56/73/37/324567337.db2.gz HUCDJCGISQWVGN-UHFFFAOYSA-N 0 3 223.364 2.675 20 0 BFADHN COCC1(N[C@H](C)c2cccc(OC)c2)CC1 ZINC000538552673 324715805 /nfs/dbraw/zinc/71/58/05/324715805.db2.gz WGEJGIRPHWURSH-LLVKDONJSA-N 0 3 235.327 2.525 20 0 BFADHN Cc1cc(C)nc(NCc2ccn(C)c2)c1 ZINC000127295952 324759210 /nfs/dbraw/zinc/75/92/10/324759210.db2.gz VOILXAWRYFZIHV-UHFFFAOYSA-N 0 3 215.300 2.649 20 0 BFADHN C[C@@H](NC[C@@H]1CC12CC2)c1cn2ccccc2n1 ZINC000564225565 324876453 /nfs/dbraw/zinc/87/64/53/324876453.db2.gz IGUARFSWRQKYBI-NEPJUHHUSA-N 0 3 241.338 2.785 20 0 BFADHN C[C@H](NC1C[C@@H](C)O[C@H](C)C1)c1nccs1 ZINC000130087850 325011657 /nfs/dbraw/zinc/01/16/57/325011657.db2.gz QGUFOJBKFSYNFT-BBBLOLIVSA-N 0 3 240.372 2.750 20 0 BFADHN C[C@@H](Cc1ccsc1)N[C@@H](C)c1cnccn1 ZINC000070479472 325012603 /nfs/dbraw/zinc/01/26/03/325012603.db2.gz DPDDGBCTLVXKIC-QWRGUYRKSA-N 0 3 247.367 2.820 20 0 BFADHN CN(CCc1ccccc1O)[C@@H]1C=CCCC1 ZINC000542320947 325021355 /nfs/dbraw/zinc/02/13/55/325021355.db2.gz DIUJNKIUAQJDPK-CQSZACIVSA-N 0 3 231.339 2.975 20 0 BFADHN CN(Cc1ccc2c(c1)CCO2)[C@@H]1CCSC1 ZINC000130572750 325068228 /nfs/dbraw/zinc/06/82/28/325068228.db2.gz CLQAKNNVNGHRDG-CYBMUJFWSA-N 0 3 249.379 2.559 20 0 BFADHN CCc1cnc(CN[C@@H](C)C2(C)CC2)s1 ZINC000130607480 325071744 /nfs/dbraw/zinc/07/17/44/325071744.db2.gz NOMGKNXDXLRLAN-VIFPVBQESA-N 0 3 224.373 2.984 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@H](C)[C@H]1CCCO1)CO2 ZINC000543423323 325087408 /nfs/dbraw/zinc/08/74/08/325087408.db2.gz WPZUDHJKRFYUOK-MRVWCRGKSA-N 0 3 247.338 2.586 20 0 BFADHN CO[C@H]1CC[C@@H](NCc2cccc(F)c2F)C1 ZINC000231680817 325101691 /nfs/dbraw/zinc/10/16/91/325101691.db2.gz AVDZUEKPPYFBQI-MNOVXSKESA-N 0 3 241.281 2.622 20 0 BFADHN COCCC1(CN[C@@H](C)c2ccoc2)CC1 ZINC000131011616 325107692 /nfs/dbraw/zinc/10/76/92/325107692.db2.gz PLQZINQASDSATR-NSHDSACASA-N 0 3 223.316 2.747 20 0 BFADHN Cc1ccccc1[C@H](CO)N[C@@H]1C=CCCC1 ZINC000543839413 325116770 /nfs/dbraw/zinc/11/67/70/325116770.db2.gz KHRYVEADUVPWQE-HIFRSBDPSA-N 0 3 231.339 2.727 20 0 BFADHN CC(C)Cn1cc(CN2C3CCC2CC3)cn1 ZINC000543987128 325125140 /nfs/dbraw/zinc/12/51/40/325125140.db2.gz VBVSZVQWGMUHDB-UHFFFAOYSA-N 0 3 233.359 2.666 20 0 BFADHN CCC[C@H](O)CNCc1cc(C)sc1C ZINC000131256135 325130406 /nfs/dbraw/zinc/13/04/06/325130406.db2.gz BYRLJCOUCLRXJS-LBPRGKRZSA-N 0 3 227.373 2.616 20 0 BFADHN CN(Cc1ccc(CO)o1)C[C@H]1CC=CCC1 ZINC000544365944 325139124 /nfs/dbraw/zinc/13/91/24/325139124.db2.gz OFZVNOGJLMHPCQ-LBPRGKRZSA-N 0 3 235.327 2.560 20 0 BFADHN CCC1(CC)[C@H](NCc2ccco2)C[C@H]1OC ZINC000131388359 325144141 /nfs/dbraw/zinc/14/41/41/325144141.db2.gz BPTLNUQGZNJRBX-CHWSQXEVSA-N 0 3 237.343 2.963 20 0 BFADHN CCc1ccc(CN(C)C[C@H]2CCCO2)s1 ZINC000544940640 325168516 /nfs/dbraw/zinc/16/85/16/325168516.db2.gz HGYJCUJNPJTKLC-LLVKDONJSA-N 0 3 239.384 2.921 20 0 BFADHN CCCOc1cccc(CN[C@@H]2C[C@@H]2OCC)c1 ZINC000564283392 325171559 /nfs/dbraw/zinc/17/15/59/325171559.db2.gz GKEJNAKTAFNLAD-CABCVRRESA-N 0 3 249.354 2.742 20 0 BFADHN CCCCN(CC)[C@H](C)C(=O)N1CCCCC1 ZINC000131820271 325186119 /nfs/dbraw/zinc/18/61/19/325186119.db2.gz IXAHNRSJQHNGHA-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CCc1ccc(CN[C@H](C)C[C@@H]2CCCO2)o1 ZINC000132149368 325202166 /nfs/dbraw/zinc/20/21/66/325202166.db2.gz OLNNSEGGMSLKBT-YPMHNXCESA-N 0 3 237.343 2.889 20 0 BFADHN COc1ccc(CN2C[C@@H]3CCCC[C@H]3C2)cn1 ZINC000132351450 325212232 /nfs/dbraw/zinc/21/22/32/325212232.db2.gz VGUKWARMBOOWKY-KBPBESRZSA-N 0 3 246.354 2.712 20 0 BFADHN CCCCN(C)CC(=O)N(CC(C)C)C(C)C ZINC000132345317 325212248 /nfs/dbraw/zinc/21/22/48/325212248.db2.gz ZVXKCJYWCLTHOM-UHFFFAOYSA-N 0 3 242.407 2.611 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)[C@@H](C)C(C)(C)C)n1 ZINC000132559138 325225308 /nfs/dbraw/zinc/22/53/08/325225308.db2.gz NODCELDFRVDMAB-NSHDSACASA-N 0 3 236.359 2.962 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)[C@@H](C)C(C)(C)C)n1 ZINC000132559138 325225312 /nfs/dbraw/zinc/22/53/12/325225312.db2.gz NODCELDFRVDMAB-NSHDSACASA-N 0 3 236.359 2.962 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)[C@H](C)C(C)(C)C)n1 ZINC000132559347 325225675 /nfs/dbraw/zinc/22/56/75/325225675.db2.gz NODCELDFRVDMAB-LLVKDONJSA-N 0 3 236.359 2.962 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)[C@H](C)C(C)(C)C)n1 ZINC000132559347 325225677 /nfs/dbraw/zinc/22/56/77/325225677.db2.gz NODCELDFRVDMAB-LLVKDONJSA-N 0 3 236.359 2.962 20 0 BFADHN CCCCN(CC)[C@@H](C)C(=O)NC(C)(C)CC ZINC000132662627 325234031 /nfs/dbraw/zinc/23/40/31/325234031.db2.gz AJXOSMQNRKGUOK-LBPRGKRZSA-N 0 3 242.407 2.802 20 0 BFADHN C[C@H](N[C@@H]1CCS[C@@H]1C)c1cncs1 ZINC000306447665 487967305 /nfs/dbraw/zinc/96/73/05/487967305.db2.gz VXIKCBVSNXZOMP-DJLDLDEBSA-N 0 3 228.386 2.688 20 0 BFADHN CC1=CCN(CCN[C@@H](C)c2ccoc2)CC1 ZINC000545865066 325241100 /nfs/dbraw/zinc/24/11/00/325241100.db2.gz AVBKCMPMPBVGHF-ZDUSSCGKSA-N 0 3 234.343 2.582 20 0 BFADHN CCC(CC)N(C[C@H](O)C(F)(F)F)C1CC1 ZINC000545894813 325243636 /nfs/dbraw/zinc/24/36/36/325243636.db2.gz FRGFDUFLQGVMQT-JTQLQIEISA-N 0 3 239.281 2.563 20 0 BFADHN Cc1ccsc1CNC(C)(C)c1cnn(C)c1 ZINC000545996689 325256023 /nfs/dbraw/zinc/25/60/23/325256023.db2.gz SFAIGXKXSOCZRP-UHFFFAOYSA-N 0 3 249.383 2.815 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccs1)C1CCC1 ZINC000133356623 325289597 /nfs/dbraw/zinc/28/95/97/325289597.db2.gz VVKAJVVCYQTNGP-BDAKNGLRSA-N 0 3 210.346 2.982 20 0 BFADHN COC[C@@H](N[C@H](C)c1ccc(F)cn1)C(C)C ZINC000134068513 325340691 /nfs/dbraw/zinc/34/06/91/325340691.db2.gz UZKVMXNOAMWXPI-ZWNOBZJWSA-N 0 3 240.322 2.542 20 0 BFADHN c1cc(CNCCCC2CC2)c2c(c1)OCCO2 ZINC000076767926 325355156 /nfs/dbraw/zinc/35/51/56/325355156.db2.gz UHILYIXEHAYIEY-UHFFFAOYSA-N 0 3 247.338 2.738 20 0 BFADHN CC(C)[C@@H](NCCCF)c1ccc(F)cn1 ZINC000134638345 325369449 /nfs/dbraw/zinc/36/94/49/325369449.db2.gz FCNPGSRDNORAAF-GFCCVEGCSA-N 0 3 228.286 2.867 20 0 BFADHN Cc1nnc(CNC[C@H]2CCC[C@H](C)C2)s1 ZINC000134663614 325370839 /nfs/dbraw/zinc/37/08/39/325370839.db2.gz FWCYZHXRNYJKKO-ONGXEEELSA-N 0 3 239.388 2.762 20 0 BFADHN CC[C@H]1CCCCCN1CC(=O)c1cccn1C ZINC000134726297 325373583 /nfs/dbraw/zinc/37/35/83/325373583.db2.gz PCKIKBVOBIBGPY-ZDUSSCGKSA-N 0 3 248.370 2.862 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CC[C@H](C(C)C)C1 ZINC000134980680 325386304 /nfs/dbraw/zinc/38/63/04/325386304.db2.gz ZJKLLIFUVLSUSJ-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN COCc1cccc(CN2[C@@H](C)C[C@@H]2C)c1 ZINC000548098055 325429991 /nfs/dbraw/zinc/42/99/91/325429991.db2.gz IJVIRGVFCUFFLY-RYUDHWBXSA-N 0 3 219.328 2.816 20 0 BFADHN C[C@H](N[C@H]1CCS[C@H]1C)c1cncs1 ZINC000306447659 487967599 /nfs/dbraw/zinc/96/75/99/487967599.db2.gz VXIKCBVSNXZOMP-CIUDSAMLSA-N 0 3 228.386 2.688 20 0 BFADHN COc1cccc(OCCN2[C@H](C)C[C@H]2C)c1 ZINC000548753479 325477932 /nfs/dbraw/zinc/47/79/32/325477932.db2.gz ZZOBWOCCHVJGSI-VXGBXAGGSA-N 0 3 235.327 2.557 20 0 BFADHN CCn1c(CNCC[C@@H](C)F)nc2ccccc21 ZINC000550271685 325527705 /nfs/dbraw/zinc/52/77/05/325527705.db2.gz UDLXBJDCXBWNBL-LLVKDONJSA-N 0 3 249.333 2.894 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1CCC(C)(C)C1CC1 ZINC000653570395 487968160 /nfs/dbraw/zinc/96/81/60/487968160.db2.gz MURCQZGFSGMFFH-NEPJUHHUSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CCOc1cccc(F)c1 ZINC000555328532 325753210 /nfs/dbraw/zinc/75/32/10/325753210.db2.gz KPKQLSFJFJNQJT-GHMZBOCLSA-N 0 3 223.291 2.687 20 0 BFADHN Cc1cccn2cc(CNCC3(C)CC3)nc12 ZINC000555529095 325760471 /nfs/dbraw/zinc/76/04/71/325760471.db2.gz XEJQIRNLHDXJBD-UHFFFAOYSA-N 0 3 229.327 2.532 20 0 BFADHN Cc1cc(OCCOCC2CC2)c(C)c(C)n1 ZINC000565491080 325918709 /nfs/dbraw/zinc/91/87/09/325918709.db2.gz JYMRFFCHKPEXHZ-UHFFFAOYSA-N 0 3 235.327 2.812 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc3c(c2)CCCC3)CO1 ZINC000566068003 325990146 /nfs/dbraw/zinc/99/01/46/325990146.db2.gz MNGLWMFFKUWHFL-MLGOLLRUSA-N 0 3 245.366 2.832 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2ccoc2)C12CCC2 ZINC000572574517 325991283 /nfs/dbraw/zinc/99/12/83/325991283.db2.gz ADQBMOFYSKLHAU-RAIGVLPGSA-N 0 3 235.327 2.888 20 0 BFADHN Cc1ncncc1[C@H](C)NCCc1ccsc1 ZINC000566473750 326053540 /nfs/dbraw/zinc/05/35/40/326053540.db2.gz CZMJJNDUVMOBPS-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1cn2ccccc2n1 ZINC000566475493 326054043 /nfs/dbraw/zinc/05/40/43/326054043.db2.gz MENXFSYFEOZLBP-FVCCEPFGSA-N 0 3 241.338 2.783 20 0 BFADHN Cc1ccccc1[C@@H](C)N(C)C(=O)CNC(C)C ZINC000566507977 326060110 /nfs/dbraw/zinc/06/01/10/326060110.db2.gz PAVMROIQKKTRTC-CYBMUJFWSA-N 0 3 248.370 2.512 20 0 BFADHN C[C@H](Cc1cccc(F)c1)N(C)Cc1ccno1 ZINC000566724202 326077042 /nfs/dbraw/zinc/07/70/42/326077042.db2.gz NZEITEJDBANROE-LLVKDONJSA-N 0 3 248.301 2.877 20 0 BFADHN Cc1cnn(C)c1CN1CCC[C@H](C(C)C)CC1 ZINC000566764265 326080992 /nfs/dbraw/zinc/08/09/92/326080992.db2.gz GRWLRHNTNYWCOO-AWEZNQCLSA-N 0 3 249.402 2.987 20 0 BFADHN CCc1cc(OC)ccc1CN[C@H]1CO[C@@H](C)C1 ZINC000566796302 326084025 /nfs/dbraw/zinc/08/40/25/326084025.db2.gz WLZONCQYXGJJPX-SMDDNHRTSA-N 0 3 249.354 2.525 20 0 BFADHN Cc1nc(CNC[C@@H]2CCC[C@H]2C)[nH]c1C ZINC000566865927 326089934 /nfs/dbraw/zinc/08/99/34/326089934.db2.gz HKHKJMKLNZTLBF-SKDRFNHKSA-N 0 3 221.348 2.552 20 0 BFADHN CN(C)c1cccnc1CN[C@H]1CCCC12CC2 ZINC000566984414 326100000 /nfs/dbraw/zinc/10/00/00/326100000.db2.gz JBAZBRCWQBTGFD-AWEZNQCLSA-N 0 3 245.370 2.570 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@@H]1CC12CCCC2 ZINC000567061236 326109147 /nfs/dbraw/zinc/10/91/47/326109147.db2.gz QQZXLOQOTKAOFD-DGCLKSJQSA-N 0 3 231.343 2.768 20 0 BFADHN CCCNC(=O)[C@H](C)N(CC)CC(CC)CC ZINC000153855378 326116189 /nfs/dbraw/zinc/11/61/89/326116189.db2.gz UNJSBTRPWVOMSH-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN CCC(C)(C)OCCNCc1ccncc1C ZINC000308756936 326137188 /nfs/dbraw/zinc/13/71/88/326137188.db2.gz WTMAXEDAMIUWPM-UHFFFAOYSA-N 0 3 236.359 2.685 20 0 BFADHN CCOc1cccc(CN(C)[C@@H](C)CC)n1 ZINC000154564514 326151149 /nfs/dbraw/zinc/15/11/49/326151149.db2.gz IBCVWTCEUDVYTA-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN CC(C)n1ccc(CN[C@@H]2C[C@@H](C)[C@H]2C)n1 ZINC000309583513 326161525 /nfs/dbraw/zinc/16/15/25/326161525.db2.gz RBPZBXBCBSKTDX-NQBHXWOUSA-N 0 3 221.348 2.598 20 0 BFADHN COc1ccc(CN2CCC[C@H]3CCC[C@H]32)cn1 ZINC000267901786 326161938 /nfs/dbraw/zinc/16/19/38/326161938.db2.gz BPJWSRLXKOOVEB-ZIAGYGMSSA-N 0 3 246.354 2.855 20 0 BFADHN CCC[C@H]1[C@H](C)CCCN1Cc1cnccn1 ZINC000567448301 326193534 /nfs/dbraw/zinc/19/35/34/326193534.db2.gz XSSYMCIWGRKEEV-OCCSQVGLSA-N 0 3 233.359 2.877 20 0 BFADHN CCC[C@H]1[C@@H](C)CCCN1Cc1cnccn1 ZINC000567448300 326193879 /nfs/dbraw/zinc/19/38/79/326193879.db2.gz XSSYMCIWGRKEEV-JSGCOSHPSA-N 0 3 233.359 2.877 20 0 BFADHN COC[C@@H](C)CN[C@H]1CSc2ccccc21 ZINC000156095655 326218741 /nfs/dbraw/zinc/21/87/41/326218741.db2.gz IPNOOFALTBHIGA-JQWIXIFHSA-N 0 3 237.368 2.706 20 0 BFADHN Cc1cccc(C)c1CN1CCO[C@@H](C)[C@@H]1C ZINC000156123048 326219554 /nfs/dbraw/zinc/21/95/54/326219554.db2.gz HXFFGMCTSDHSEF-KBPBESRZSA-N 0 3 233.355 2.913 20 0 BFADHN c1nc2cc(CN3CC[C@H]3C3CC3)ccc2[nH]1 ZINC000567571078 326220039 /nfs/dbraw/zinc/22/00/39/326220039.db2.gz MMQLPQNGJAOGTK-AWEZNQCLSA-N 0 3 227.311 2.547 20 0 BFADHN OC[C@@H]1CCCN(Cc2coc3ccccc23)C1 ZINC000156404150 326231562 /nfs/dbraw/zinc/23/15/62/326231562.db2.gz HYPHWDCOOBOUIB-GFCCVEGCSA-N 0 3 245.322 2.637 20 0 BFADHN CC[C@H]1CCCCN1Cc1cncs1 ZINC000157446450 326275792 /nfs/dbraw/zinc/27/57/92/326275792.db2.gz UUNIQRATDJBOKG-JTQLQIEISA-N 0 3 210.346 2.908 20 0 BFADHN CC(C)O[C@@H]1CCN(Cc2ccsc2)C1 ZINC000567889125 326277099 /nfs/dbraw/zinc/27/70/99/326277099.db2.gz YPXATWBQRUPOLO-GFCCVEGCSA-N 0 3 225.357 2.747 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CNCc1ccno1 ZINC000567899707 326279791 /nfs/dbraw/zinc/27/97/91/326279791.db2.gz PPOIFRAAZZEMTN-DGCLKSJQSA-N 0 3 220.316 2.757 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CCC[C@@H](C)C1 ZINC000157721904 326292664 /nfs/dbraw/zinc/29/26/64/326292664.db2.gz WMQXWBWQXFPRIY-GFCCVEGCSA-N 0 3 249.402 2.777 20 0 BFADHN CN(C)CCSCc1ccc(F)c(F)c1 ZINC000157846929 326298096 /nfs/dbraw/zinc/29/80/96/326298096.db2.gz ACGCOWQOOJMOOA-UHFFFAOYSA-N 0 3 231.311 2.760 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1ccn(C)n1 ZINC000568140744 326312052 /nfs/dbraw/zinc/31/20/52/326312052.db2.gz VWPTXLSPIPRDNZ-OCCSQVGLSA-N 0 3 235.375 2.821 20 0 BFADHN Cn1cc(CN2CCC3(CCCC3)CC2)cn1 ZINC000158364275 326316001 /nfs/dbraw/zinc/31/60/01/326316001.db2.gz VHOAEPWOEURSAS-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1ccc(C#N)cn1 ZINC000568166345 326317516 /nfs/dbraw/zinc/31/75/16/326317516.db2.gz IVZLWVFLLQFBPP-BXUZGUMPSA-N 0 3 229.327 2.574 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1ccc(C#N)cn1 ZINC000568166347 326317777 /nfs/dbraw/zinc/31/77/77/326317777.db2.gz IVZLWVFLLQFBPP-RISCZKNCSA-N 0 3 229.327 2.574 20 0 BFADHN CCO[C@@H]1C[C@H]1NC/C=C/c1ccc(F)cc1 ZINC000568171092 326319680 /nfs/dbraw/zinc/31/96/80/326319680.db2.gz XNGLMSDGUAUKCI-LSMGEYOZSA-N 0 3 235.302 2.606 20 0 BFADHN CCC[C@@H](NCCCOCC)c1ccccn1 ZINC000158441568 326322046 /nfs/dbraw/zinc/32/20/46/326322046.db2.gz IXOIORTVKWPMOD-CYBMUJFWSA-N 0 3 236.359 2.939 20 0 BFADHN CCC[C@H](NC[C@@H]1CCCO1)c1ccccn1 ZINC000158459139 326323176 /nfs/dbraw/zinc/32/31/76/326323176.db2.gz BYYGSASQWJJIDO-STQMWFEESA-N 0 3 234.343 2.691 20 0 BFADHN CCCN(C(=O)CN1[C@H](C)CC[C@@H]1C)C(C)C ZINC000568227752 326329205 /nfs/dbraw/zinc/32/92/05/326329205.db2.gz BLRFMIVIFLUFIE-BETUJISGSA-N 0 3 240.391 2.506 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2cncnc2C)o1 ZINC000568238394 326332486 /nfs/dbraw/zinc/33/24/86/326332486.db2.gz UGQISOZBDIRCKB-NSHDSACASA-N 0 3 245.326 2.580 20 0 BFADHN CCc1ncc(CN[C@@H]2CCCC23CC3)o1 ZINC000568277626 326340173 /nfs/dbraw/zinc/34/01/73/326340173.db2.gz ZRIKWEDBUSXNBX-LLVKDONJSA-N 0 3 220.316 2.659 20 0 BFADHN CC1CCN(Cc2cnn(C(C)(C)C)c2)CC1 ZINC000158870073 326344869 /nfs/dbraw/zinc/34/48/69/326344869.db2.gz OVMYEPCGRMUXJO-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN Cc1ncccc1CN1CCCC[C@H]1C ZINC000158947647 326346609 /nfs/dbraw/zinc/34/66/09/326346609.db2.gz LJECZRQJKRDQKG-LLVKDONJSA-N 0 3 204.317 2.764 20 0 BFADHN CCC[C@H](NCCN(C)C(C)C)c1ccccn1 ZINC000158944053 326346745 /nfs/dbraw/zinc/34/67/45/326346745.db2.gz MYHWNEFOLHCNSF-AWEZNQCLSA-N 0 3 249.402 2.853 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CCCC[C@@H]1C ZINC000158955644 326346801 /nfs/dbraw/zinc/34/68/01/326346801.db2.gz CPDZSHWTWMWBNK-LBPRGKRZSA-N 0 3 249.402 2.919 20 0 BFADHN CC1(C)CCC[C@H](NCc2ncccn2)CC1 ZINC000568415822 326356145 /nfs/dbraw/zinc/35/61/45/326356145.db2.gz FFXCCUYDFVZAKD-LBPRGKRZSA-N 0 3 233.359 2.925 20 0 BFADHN CC(C)CCc1ccccc1NC(=O)[C@H]1CCN1 ZINC000568620285 326367920 /nfs/dbraw/zinc/36/79/20/326367920.db2.gz YEJVGWUGCHNLBD-CQSZACIVSA-N 0 3 246.354 2.576 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CCC[C@H](F)C1 ZINC000568627414 326368622 /nfs/dbraw/zinc/36/86/22/326368622.db2.gz XMTOAWGEWPDOJI-SRVKXCTJSA-N 0 3 237.322 2.716 20 0 BFADHN C[C@@H](c1ccccc1)[C@H](O)CNCc1ccco1 ZINC000569198138 326394519 /nfs/dbraw/zinc/39/45/19/326394519.db2.gz QMQDKGYMKZZZOL-SWLSCSKDSA-N 0 3 245.322 2.534 20 0 BFADHN CC[C@@H](C(=O)NCCCCC(C)(C)C)N(C)C ZINC000163477955 326402564 /nfs/dbraw/zinc/40/25/64/326402564.db2.gz ASAVEKXEZXMQIW-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1ccccc1CN1CC2(CC(F)C2)C1 ZINC000677132872 487979026 /nfs/dbraw/zinc/97/90/26/487979026.db2.gz WXANGUZCFOMMSZ-UHFFFAOYSA-N 0 3 219.303 2.929 20 0 BFADHN CCn1ccnc1CNC[C@H]1CC2CCC1CC2 ZINC000400027677 487983177 /nfs/dbraw/zinc/98/31/77/487983177.db2.gz PKIRXUBTOHDFCC-JXQTWKCFSA-N 0 3 247.386 2.819 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H](C)c2ccc(C)nc2C)C1 ZINC000666149206 487983631 /nfs/dbraw/zinc/98/36/31/487983631.db2.gz OFMDLTKOWVXEGP-MCIONIFRSA-N 0 3 248.370 2.917 20 0 BFADHN CC(C)C[C@H](C)N1CCO[C@@H](COC(C)C)C1 ZINC000682072148 487987095 /nfs/dbraw/zinc/98/70/95/487987095.db2.gz HPQFXZRYBGCMME-UONOGXRCSA-N 0 3 243.391 2.547 20 0 BFADHN OCCCCCN1CC[C@@H]1c1cccc(F)c1 ZINC000674010113 487987342 /nfs/dbraw/zinc/98/73/42/487987342.db2.gz PPMOAEREFZJTRQ-CQSZACIVSA-N 0 3 237.318 2.735 20 0 BFADHN CCN1CCN(CCc2ccc(C)cc2)C[C@H]1C ZINC000677191795 487994280 /nfs/dbraw/zinc/99/42/80/487994280.db2.gz LHJCXUWFUIPPPU-OAHLLOKOSA-N 0 3 246.398 2.564 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)C2CCC2)nn1C ZINC000309609869 487995233 /nfs/dbraw/zinc/99/52/33/487995233.db2.gz ZRRPTUZOWJKRSP-WDEREUQCSA-N 0 3 221.348 2.568 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)C(C)(C)O)oc1C ZINC000309606827 487995313 /nfs/dbraw/zinc/99/53/13/487995313.db2.gz YWAQLEWYAQNCGW-ONGXEEELSA-N 0 3 225.332 2.706 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)C(C)(C)O)oc1C ZINC000309606823 487995417 /nfs/dbraw/zinc/99/54/17/487995417.db2.gz YWAQLEWYAQNCGW-KOLCDFICSA-N 0 3 225.332 2.706 20 0 BFADHN CCN1CCN(C/C=C/c2ccccc2)C[C@@H]1C ZINC000677191844 487995827 /nfs/dbraw/zinc/99/58/27/487995827.db2.gz GGVCENVFONLPHH-VSGCLNPGSA-N 0 3 244.382 2.726 20 0 BFADHN CCN1CCN(C/C=C\c2ccccc2)C[C@H]1C ZINC000677191846 487996373 /nfs/dbraw/zinc/99/63/73/487996373.db2.gz GGVCENVFONLPHH-YYRKOSNBSA-N 0 3 244.382 2.726 20 0 BFADHN COc1ccc2c(c1)CCN(C[C@H]1C[C@@H]1C)C2 ZINC000668604841 487998630 /nfs/dbraw/zinc/99/86/30/487998630.db2.gz USIFUNXQJSMFCP-SMDDNHRTSA-N 0 3 231.339 2.709 20 0 BFADHN OC[C@H](CC1CC1)NCc1coc2ccccc12 ZINC000677245465 488005891 /nfs/dbraw/zinc/00/58/91/488005891.db2.gz PKWUQBYLRGGGBF-ZDUSSCGKSA-N 0 3 245.322 2.683 20 0 BFADHN COc1ccccc1CNCC1=CCCC1 ZINC000401076702 488006934 /nfs/dbraw/zinc/00/69/34/488006934.db2.gz KYXKAKMXFDTZGJ-UHFFFAOYSA-N 0 3 217.312 2.895 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H]2C(C)(C)C)ncn1 ZINC000672605535 488008959 /nfs/dbraw/zinc/00/89/59/488008959.db2.gz NHNJNDOFMCWYKJ-CHWSQXEVSA-N 0 3 233.359 2.699 20 0 BFADHN COc1ccccc1CNC1(C)CC=CC1 ZINC000655813230 488009549 /nfs/dbraw/zinc/00/95/49/488009549.db2.gz AUXJWHGUDZWPQG-UHFFFAOYSA-N 0 3 217.312 2.894 20 0 BFADHN CCN(CCC[C@@H]1CCOC1)Cc1ccccn1 ZINC000682674031 488010460 /nfs/dbraw/zinc/01/04/60/488010460.db2.gz YNLGFUYORHQVRL-CQSZACIVSA-N 0 3 248.370 2.720 20 0 BFADHN Cc1ncccc1CN[C@H](C)[C@@H]1CC1(F)F ZINC000666445415 488011601 /nfs/dbraw/zinc/01/16/01/488011601.db2.gz TWZUOPNMYCUJED-KOLCDFICSA-N 0 3 226.270 2.523 20 0 BFADHN Cc1ccoc1CN[C@@H](C)[C@H]1CC1(F)F ZINC000666445017 488011954 /nfs/dbraw/zinc/01/19/54/488011954.db2.gz NXUURJBXTZCTIA-DTWKUNHWSA-N 0 3 215.243 2.721 20 0 BFADHN COc1ccccc1CN[C@@H](C)[C@H]1CC1(F)F ZINC000666445068 488012071 /nfs/dbraw/zinc/01/20/71/488012071.db2.gz ORDZWALSDFIJSZ-GXSJLCMTSA-N 0 3 241.281 2.829 20 0 BFADHN COc1ccccc1CN[C@@H](C)[C@@H]1CC1(F)F ZINC000666445071 488011394 /nfs/dbraw/zinc/01/13/94/488011394.db2.gz ORDZWALSDFIJSZ-ONGXEEELSA-N 0 3 241.281 2.829 20 0 BFADHN Cc1occc1CN[C@H](C)[C@@H]1CC1(F)F ZINC000666445562 488012639 /nfs/dbraw/zinc/01/26/39/488012639.db2.gz VMKYLGLLUSYUNL-XCBNKYQSSA-N 0 3 215.243 2.721 20 0 BFADHN Cc1occc1CN[C@@H](C)[C@@H]1CC1(F)F ZINC000666445564 488012883 /nfs/dbraw/zinc/01/28/83/488012883.db2.gz VMKYLGLLUSYUNL-XVKPBYJWSA-N 0 3 215.243 2.721 20 0 BFADHN Cc1cccnc1CN[C@@H](C)[C@H]1CC1(F)F ZINC000666445400 488013000 /nfs/dbraw/zinc/01/30/00/488013000.db2.gz SXICCSXIXRDEGW-VHSXEESVSA-N 0 3 226.270 2.523 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cc2ccccn2)o1 ZINC000655842977 488012818 /nfs/dbraw/zinc/01/28/18/488012818.db2.gz GOYGHHXAMRWKGW-NSHDSACASA-N 0 3 230.311 2.704 20 0 BFADHN CCC1(NCc2cn3cccc(F)c3n2)CCC1 ZINC000677321682 488016936 /nfs/dbraw/zinc/01/69/36/488016936.db2.gz ISIJDMZZFHOSFU-UHFFFAOYSA-N 0 3 247.317 2.896 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2c(C3CC3)cnn2C)C1 ZINC000667472288 488017259 /nfs/dbraw/zinc/01/72/59/488017259.db2.gz BLSZGKDWEWPWPH-TXEJJXNPSA-N 0 3 247.386 2.775 20 0 BFADHN c1cc(CN2CCCCCC2)n(CC2CC2)n1 ZINC000667471016 488017411 /nfs/dbraw/zinc/01/74/11/488017411.db2.gz UFNDMUWMHVAQQZ-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN CC1CCC(N(C)Cc2cnc3n2CCC3)CC1 ZINC000667484593 488023876 /nfs/dbraw/zinc/02/38/76/488023876.db2.gz RBUYGPABNCXTTR-UHFFFAOYSA-N 0 3 247.386 2.840 20 0 BFADHN Cn1ncc(C2CCC2)c1CN1C2CCC1CC2 ZINC000667493602 488025310 /nfs/dbraw/zinc/02/53/10/488025310.db2.gz YCCJWCDRIIXRMS-UHFFFAOYSA-N 0 3 245.370 2.814 20 0 BFADHN Cc1cc(CN2CC=CCC2)cc(C)c1O ZINC000685426500 488027151 /nfs/dbraw/zinc/02/71/51/488027151.db2.gz PGJXYKPPAPAGLB-UHFFFAOYSA-N 0 3 217.312 2.771 20 0 BFADHN CCN(C)Cc1cncc(Br)c1C ZINC000667495800 488027480 /nfs/dbraw/zinc/02/74/80/488027480.db2.gz ZUIGLDRVCZFUGM-UHFFFAOYSA-N 0 3 243.148 2.604 20 0 BFADHN O[C@H]1CCCC[C@H]1CN1Cc2ccccc2C1 ZINC000053884317 488028543 /nfs/dbraw/zinc/02/85/43/488028543.db2.gz VOSKDBQROFTNHR-GJZGRUSLSA-N 0 3 231.339 2.553 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCC[C@@H](C)C2)n1C ZINC000667499805 488030162 /nfs/dbraw/zinc/03/01/62/488030162.db2.gz VQKIELACPCDVOR-DGCLKSJQSA-N 0 3 235.375 2.739 20 0 BFADHN CCC[C@H](C)N(C)Cc1c(C2CC2)cnn1C ZINC000667506634 488033665 /nfs/dbraw/zinc/03/36/65/488033665.db2.gz KHIIWJOFFIOHRW-NSHDSACASA-N 0 3 235.375 2.918 20 0 BFADHN CN(C)CCN(C)Cc1ccccc1C1CC1 ZINC000685452091 488035359 /nfs/dbraw/zinc/03/53/59/488035359.db2.gz XRTJZMMVIQPCDM-UHFFFAOYSA-N 0 3 232.371 2.557 20 0 BFADHN CC(C)CN(Cc1ccnn1CC1CC1)C1CC1 ZINC000667518240 488035854 /nfs/dbraw/zinc/03/58/54/488035854.db2.gz UQKDEGDKVDXDIQ-UHFFFAOYSA-N 0 3 247.386 2.914 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN(C)C[C@@H](O)C2CC2)o1 ZINC000685460575 488037588 /nfs/dbraw/zinc/03/75/88/488037588.db2.gz BJAZHHVEKBBJBW-LERXQTSPSA-N 0 3 249.354 2.606 20 0 BFADHN C[C@@H]1CCN(C[C@@H](O)C2CCCCC2)C[C@@H]1F ZINC000682772893 488037659 /nfs/dbraw/zinc/03/76/59/488037659.db2.gz UDHCPRIWCBHJPZ-KWCYVHTRSA-N 0 3 243.366 2.608 20 0 BFADHN CCn1ccc(CN(C)CCOC(C)(C)C)c1 ZINC000682778885 488037195 /nfs/dbraw/zinc/03/71/95/488037195.db2.gz RTCFQKOHPYMWJS-UHFFFAOYSA-N 0 3 238.375 2.755 20 0 BFADHN CCCn1nccc1CN1CCC[C@@H]1CC ZINC000667544949 488040106 /nfs/dbraw/zinc/04/01/06/488040106.db2.gz RFYGASHATOBUIO-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN CCCn1nccc1CN(C)CC(C)(C)C ZINC000667534592 488039828 /nfs/dbraw/zinc/03/98/28/488039828.db2.gz FZQBCKHHQOQLPD-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2C[C@H]3CC[C@@H]2O3)o1 ZINC000268923125 488042060 /nfs/dbraw/zinc/04/20/60/488042060.db2.gz PJELNENGXUGQOA-RSXIPKFTSA-N 0 3 235.327 2.813 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H]1c1ccccc1)c1cnn(C)c1 ZINC000044676447 488046186 /nfs/dbraw/zinc/04/61/86/488046186.db2.gz UVVFLFJJLJWBNS-KCPJHIHWSA-N 0 3 241.338 2.627 20 0 BFADHN C[C@@H]1CCCN(Cc2ccnn2CC2CC2)[C@@H]1C ZINC000667568270 488050264 /nfs/dbraw/zinc/05/02/64/488050264.db2.gz ZGCCXZNXXDIWRX-CHWSQXEVSA-N 0 3 247.386 2.914 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@H]1CO[C@H](C)C1 ZINC000402319118 488052948 /nfs/dbraw/zinc/05/29/48/488052948.db2.gz MAKQDJPXEQBXKA-FRRDWIJNSA-N 0 3 249.354 2.913 20 0 BFADHN CCCn1nccc1CN1CCC(C)(C)CC1 ZINC000667588944 488053562 /nfs/dbraw/zinc/05/35/62/488053562.db2.gz KMGQJZXCTMUMJV-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCCn1nccc1CN1CCC[C@H]1C1CC1 ZINC000667596291 488055002 /nfs/dbraw/zinc/05/50/02/488055002.db2.gz CNYASRSDARODME-AWEZNQCLSA-N 0 3 233.359 2.668 20 0 BFADHN CCn1cncc1CN(CC1CC1)C(C)C ZINC000669493118 488056829 /nfs/dbraw/zinc/05/68/29/488056829.db2.gz LITGFTUTEUSHJF-UHFFFAOYSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@]1(c2ccccc2)CCN(Cc2cn[nH]c2)C1 ZINC000678281191 488063932 /nfs/dbraw/zinc/06/39/32/488063932.db2.gz SQFVFBBUZIRIDJ-HNNXBMFYSA-N 0 3 241.338 2.573 20 0 BFADHN C[C@H]1CCCCCN1Cc1cnc2n1CCC2 ZINC000667631625 488064350 /nfs/dbraw/zinc/06/43/50/488064350.db2.gz HQMVVBWCAAOBLH-LBPRGKRZSA-N 0 3 233.359 2.594 20 0 BFADHN CCN(C)Cc1cn(-c2ccc(C)cc2)nc1C ZINC000656247663 488072102 /nfs/dbraw/zinc/07/21/02/488072102.db2.gz AUWAJPFEJPIBEM-UHFFFAOYSA-N 0 3 243.354 2.941 20 0 BFADHN CCN(CCC1CC1)Cc1oc(C)nc1C ZINC000685572927 488078734 /nfs/dbraw/zinc/07/87/34/488078734.db2.gz CQBIQOKGSJCGFC-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN CCCn1nccc1CN1C[C@H](C)C[C@H]1C ZINC000667664253 488079428 /nfs/dbraw/zinc/07/94/28/488079428.db2.gz GXGLIZWGXHMCIE-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN CCCn1nccc1CN1C[C@H](C)C[C@@H]1C ZINC000667664250 488080044 /nfs/dbraw/zinc/08/00/44/488080044.db2.gz GXGLIZWGXHMCIE-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cnn3c2CCC3)C1 ZINC000667673533 488083284 /nfs/dbraw/zinc/08/32/84/488083284.db2.gz DEAGTKOXZQCWSQ-CYBMUJFWSA-N 0 3 247.386 2.841 20 0 BFADHN Cc1ccnc(CN2C[C@@H](C)C[C@H](C)C2)c1 ZINC000683003191 488084794 /nfs/dbraw/zinc/08/47/94/488084794.db2.gz NGTKYHDPAFDOHD-STQMWFEESA-N 0 3 218.344 2.868 20 0 BFADHN Cc1ccnc(CN(C)CCC(C)C)c1 ZINC000683004834 488086041 /nfs/dbraw/zinc/08/60/41/488086041.db2.gz LBLWCUMXDAQPKQ-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN CO[C@@H](C)CN(Cc1cc(C)ccn1)C(C)C ZINC000683010312 488090177 /nfs/dbraw/zinc/09/01/77/488090177.db2.gz DWGLEEAPTWGUIN-ZDUSSCGKSA-N 0 3 236.359 2.635 20 0 BFADHN C[C@H]1CCN(Cc2c(C3CC3)cnn2C)[C@H]1C ZINC000667693660 488090455 /nfs/dbraw/zinc/09/04/55/488090455.db2.gz RKGCCSRCNHFMIX-QWRGUYRKSA-N 0 3 233.359 2.528 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCCc1ccccn1 ZINC000092339378 488090803 /nfs/dbraw/zinc/09/08/03/488090803.db2.gz NVLFUEMYNZSXOM-SNVBAGLBSA-N 0 3 245.326 2.580 20 0 BFADHN CC[C@H](O)[C@H]1CCCCN1Cc1cc(C)ccn1 ZINC000683011965 488092441 /nfs/dbraw/zinc/09/24/41/488092441.db2.gz WFIIUVNUUUUYSB-CABCVRRESA-N 0 3 248.370 2.515 20 0 BFADHN Fc1ccccc1CN1CC[C@H]2C[C@H]2C1 ZINC000661970982 488093375 /nfs/dbraw/zinc/09/33/75/488093375.db2.gz GQNPPAOKKDFGPB-JQWIXIFHSA-N 0 3 205.276 2.668 20 0 BFADHN Cc1ccnc(CN2CCC(C)(C)C2)c1 ZINC000683013986 488093514 /nfs/dbraw/zinc/09/35/14/488093514.db2.gz YZDCTQUZBJYFPY-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CCOc1cccc(CN2CC[C@@H]3C[C@@H]3C2)c1 ZINC000668675741 488094659 /nfs/dbraw/zinc/09/46/59/488094659.db2.gz HMMAQMGFYQIADX-ZIAGYGMSSA-N 0 3 231.339 2.927 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2C[C@@H]2C(F)F)c1 ZINC000348154481 488095470 /nfs/dbraw/zinc/09/54/70/488095470.db2.gz WDZNBROCEXRWGY-INTQDDNPSA-N 0 3 226.270 2.694 20 0 BFADHN CN(CCC1CC1)Cc1c(C2CCC2)cnn1C ZINC000667708838 488096435 /nfs/dbraw/zinc/09/64/35/488096435.db2.gz MHNFQATVFZZZEJ-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN CCOc1ccc(CN2CCC3(CC3)CC2)nc1 ZINC000677717316 488098648 /nfs/dbraw/zinc/09/86/48/488098648.db2.gz IBLFAFRBFQLHEQ-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1c(C2CCC2)cnn1C ZINC000667758693 488108370 /nfs/dbraw/zinc/10/83/70/488108370.db2.gz LWAQALYDJYCYBU-AWEZNQCLSA-N 0 3 247.386 2.918 20 0 BFADHN C[C@@H](c1ccccn1)N1CC[C@H](C(F)F)C1 ZINC000677731527 488109686 /nfs/dbraw/zinc/10/96/86/488109686.db2.gz SRRVYIGNTZAWGE-UWVGGRQHSA-N 0 3 226.270 2.730 20 0 BFADHN Cc1ccccc1CN[C@@H]1CO[C@H](C2CC2)C1 ZINC000667760154 488109400 /nfs/dbraw/zinc/10/94/00/488109400.db2.gz HAIFZPMLDVFEBF-GJZGRUSLSA-N 0 3 231.339 2.652 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CO[C@@H](C3CC3)C2)o1 ZINC000667761517 488110100 /nfs/dbraw/zinc/11/01/00/488110100.db2.gz VQNINUJBWZEZIR-ZKYQVNSYSA-N 0 3 235.327 2.806 20 0 BFADHN COc1cccc(CN2CC[C@H](C(F)F)C2)c1 ZINC000677732610 488110338 /nfs/dbraw/zinc/11/03/38/488110338.db2.gz IRPKXXCWKFWKLZ-NSHDSACASA-N 0 3 241.281 2.782 20 0 BFADHN CCCn1nccc1CNCc1sccc1C ZINC000160177962 488112771 /nfs/dbraw/zinc/11/27/71/488112771.db2.gz ZXDTYFPIWGBFPJ-UHFFFAOYSA-N 0 3 249.383 2.953 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@@H](C(F)F)C1 ZINC000677734890 488113250 /nfs/dbraw/zinc/11/32/50/488113250.db2.gz XMRWFSNWPLTZML-MWLCHTKSSA-N 0 3 226.270 2.730 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2N[C@H]1CO[C@@H](C2CC2)C1 ZINC000667769998 488115369 /nfs/dbraw/zinc/11/53/69/488115369.db2.gz LXBAFVGPQWRGDD-VNQPRFMTSA-N 0 3 243.350 2.831 20 0 BFADHN C[C@@H](c1ccncc1)N1CCS[C@H](C)CC1 ZINC000683145524 488119980 /nfs/dbraw/zinc/11/99/80/488119980.db2.gz PFIMMOYQPGFBPP-NEPJUHHUSA-N 0 3 236.384 2.970 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@@H](C3CC3)C2)c(C)c1 ZINC000667792912 488121775 /nfs/dbraw/zinc/12/17/75/488121775.db2.gz MUCSTRWFLMSVCD-HZPDHXFCSA-N 0 3 245.366 2.961 20 0 BFADHN COc1ccncc1CN1[C@H](C)CCC1(C)C ZINC000451999615 488122374 /nfs/dbraw/zinc/12/23/74/488122374.db2.gz AVESFDYAIUQHFR-LLVKDONJSA-N 0 3 234.343 2.853 20 0 BFADHN COc1cccc(CNC[C@@H]2C[C@H]2C)c1F ZINC000166961393 488126104 /nfs/dbraw/zinc/12/61/04/488126104.db2.gz UFLCKWFDRDDRBS-KOLCDFICSA-N 0 3 223.291 2.580 20 0 BFADHN C[C@H](O)C1(NCc2ccc3ccccc3c2)CC1 ZINC000668696653 488126877 /nfs/dbraw/zinc/12/68/77/488126877.db2.gz SIOPEFIPSPUZHV-LBPRGKRZSA-N 0 3 241.334 2.843 20 0 BFADHN Cc1oc2ccccc2c1CNC1([C@@H](C)O)CC1 ZINC000668697914 488127096 /nfs/dbraw/zinc/12/70/96/488127096.db2.gz ORVZDVXIGOBHLG-LLVKDONJSA-N 0 3 245.322 2.744 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CC1(C)C)c1nccn1C ZINC000397658773 488128971 /nfs/dbraw/zinc/12/89/71/488128971.db2.gz KQFGOLUCOXOMMM-DCAQKATOSA-N 0 3 221.348 2.505 20 0 BFADHN Cc1cc(CNC2([C@H](C)O)CC2)ccc1Cl ZINC000668698762 488131431 /nfs/dbraw/zinc/13/14/31/488131431.db2.gz GYJOCJFAIGBUOP-JTQLQIEISA-N 0 3 239.746 2.651 20 0 BFADHN CC[C@@H](C)CCCCC(=O)NC[C@@H](C)N(C)C ZINC000680182133 487542241 /nfs/dbraw/zinc/54/22/41/487542241.db2.gz ARHZLLIIYBIUHB-CHWSQXEVSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@H]1CCC[C@H](N[C@@H]2CCCn3ccnc32)C1 ZINC000655701726 487543006 /nfs/dbraw/zinc/54/30/06/487543006.db2.gz YTUQTEZRKKGNRI-FRRDWIJNSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@H](C)CN(C)Cc1oc(C)nc1C ZINC000685564577 487547464 /nfs/dbraw/zinc/54/74/64/487547464.db2.gz ZAIDLGOPNIWGBL-VIFPVBQESA-N 0 3 210.321 2.769 20 0 BFADHN CCC(C)(C)N(C)Cc1oc(C)nc1C ZINC000685568310 487550321 /nfs/dbraw/zinc/55/03/21/487550321.db2.gz NRSBPSYMJRBJJK-UHFFFAOYSA-N 0 3 210.321 2.912 20 0 BFADHN Cc1oc2ccccc2c1CNCC1(CO)CC1 ZINC000121296830 490081367 /nfs/dbraw/zinc/08/13/67/490081367.db2.gz AXYKBTRWLWDKMC-UHFFFAOYSA-N 0 3 245.322 2.603 20 0 BFADHN Cc1ncsc1CN1CC[C@H](C(F)F)C1 ZINC000685570155 487551665 /nfs/dbraw/zinc/55/16/65/487551665.db2.gz VXNJSTZDMQODSF-QMMMGPOBSA-N 0 3 232.299 2.539 20 0 BFADHN Cc1nc(C)c(CN2CCS[C@@H](C)CC2)o1 ZINC000685575854 487555747 /nfs/dbraw/zinc/55/57/47/487555747.db2.gz GZHBWPJRZPJNBP-VIFPVBQESA-N 0 3 240.372 2.619 20 0 BFADHN c1coc(CN2C[C@]34COC[C@@]3(C2)CCCC4)c1 ZINC000668783555 487530796 /nfs/dbraw/zinc/53/07/96/487530796.db2.gz QVZLMUAEWDNDSN-GASCZTMLSA-N 0 3 247.338 2.672 20 0 BFADHN FC1(CN2CCC[C@@H](C[C@@H]3CCOC3)C2)CC1 ZINC000685464313 487531772 /nfs/dbraw/zinc/53/17/72/487531772.db2.gz VHHGXJBOOHIERJ-STQMWFEESA-N 0 3 241.350 2.627 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1c(C2CC2)cnn1C ZINC000668240635 487531982 /nfs/dbraw/zinc/53/19/82/487531982.db2.gz NSEWOXVDYGCGEL-LLVKDONJSA-N 0 3 247.386 2.918 20 0 BFADHN CC[C@@H](C(N)=O)N1CCC[C@]2(CCSC2)C1 ZINC000334657405 487532939 /nfs/dbraw/zinc/53/29/39/487532939.db2.gz WCIWGDRJXAONRJ-JQWIXIFHSA-N 0 3 242.388 2.519 20 0 BFADHN CC(C)[C@H](N[C@@H](C)c1cnccn1)C1CC1 ZINC000324122385 487533019 /nfs/dbraw/zinc/53/30/19/487533019.db2.gz NMJILVXEXXQOOE-GWCFXTLKSA-N 0 3 219.332 2.562 20 0 BFADHN CC(C)C[C@@H](C)CN[C@@H](C)c1nccn1C ZINC000668746974 487470930 /nfs/dbraw/zinc/47/09/30/487470930.db2.gz ACWZWJGIPIVILJ-NEPJUHHUSA-N 0 3 223.364 2.753 20 0 BFADHN CO[C@@H](C)CN1CCCc2sccc2C1 ZINC000679853223 487472504 /nfs/dbraw/zinc/47/25/04/487472504.db2.gz KWYSKSQHHJMYOM-JTQLQIEISA-N 0 3 225.357 2.531 20 0 BFADHN CCOC[C@@H]1CCN([C@@H](C)c2ccncc2)C1 ZINC000673915384 487477592 /nfs/dbraw/zinc/47/75/92/487477592.db2.gz UWVVDWLXLUNEAR-QWHCGFSZSA-N 0 3 234.343 2.501 20 0 BFADHN CO[C@H](C)CN1C[C@H](C(F)(F)F)CC[C@@H]1C ZINC000679863057 487477890 /nfs/dbraw/zinc/47/78/90/487477890.db2.gz MHGPZUQHYRJMRE-IVZWLZJFSA-N 0 3 239.281 2.684 20 0 BFADHN CCCc1ncc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000668224079 487480478 /nfs/dbraw/zinc/48/04/78/487480478.db2.gz BULJZAVPZXQNEZ-KGYLQXTDSA-N 0 3 234.343 2.905 20 0 BFADHN NCc1cccc(NC(=O)NC2CCCCC2)c1 ZINC000022150576 487480897 /nfs/dbraw/zinc/48/08/97/487480897.db2.gz WJZKVCSJBZXBQC-UHFFFAOYSA-N 0 3 247.342 2.600 20 0 BFADHN CC(C)CCCN[C@@H]1CCCn2ccnc21 ZINC000655696449 487484882 /nfs/dbraw/zinc/48/48/82/487484882.db2.gz PQTVSKXJOIDRES-GFCCVEGCSA-N 0 3 221.348 2.744 20 0 BFADHN FC(F)(F)[C@@H]1CCC[C@H](NCc2ccno2)C1 ZINC000231882264 487486262 /nfs/dbraw/zinc/48/62/62/487486262.db2.gz JKGAFFBSPMEMFD-BDAKNGLRSA-N 0 3 248.248 2.885 20 0 BFADHN Cc1ncc(CN2CC[C@@H](CC(C)(C)C)C2)n1C ZINC000668228899 487489975 /nfs/dbraw/zinc/48/99/75/487489975.db2.gz UDUSZRSZEJJNTA-ZDUSSCGKSA-N 0 3 249.402 2.987 20 0 BFADHN COCCCCN1CC[C@H]1c1cccc(F)c1 ZINC000674013110 487499511 /nfs/dbraw/zinc/49/95/11/487499511.db2.gz KOEGHHDPWPBPNN-AWEZNQCLSA-N 0 3 237.318 2.999 20 0 BFADHN Cc1csc(CCN[C@@H](C)c2ccco2)n1 ZINC000063132503 487514221 /nfs/dbraw/zinc/51/42/21/487514221.db2.gz CQGNCKQJFROIQC-JTQLQIEISA-N 0 3 236.340 2.938 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1cc2cnccc2o1 ZINC000657980772 487508455 /nfs/dbraw/zinc/50/84/55/487508455.db2.gz ORSGAYXDVBQQNV-QWRGUYRKSA-N 0 3 248.326 2.903 20 0 BFADHN Cc1ccc(CNC[C@@H](C)c2ccncc2)o1 ZINC000674069570 487508882 /nfs/dbraw/zinc/50/88/82/487508882.db2.gz HEVXKIIJAQVCIX-LLVKDONJSA-N 0 3 230.311 2.876 20 0 BFADHN C[C@H](Cc1ccsc1)N1CCCOC[C@@H]1C ZINC000679988736 487510443 /nfs/dbraw/zinc/51/04/43/487510443.db2.gz BTELPIPHAZOYMK-NEPJUHHUSA-N 0 3 239.384 2.790 20 0 BFADHN Cc1csc(CCN[C@@H](C)c2cccnc2)n1 ZINC000063132475 487514648 /nfs/dbraw/zinc/51/46/48/487514648.db2.gz NZXKNXAWHALVMX-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1csc(CCN[C@H](C)c2cccnc2)n1 ZINC000063132476 487514687 /nfs/dbraw/zinc/51/46/87/487514687.db2.gz NZXKNXAWHALVMX-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN c1c2ccccc2oc1CNC[C@@H]1CCCO1 ZINC000020092392 487520573 /nfs/dbraw/zinc/52/05/73/487520573.db2.gz OEYZZSDVYRKYNB-LBPRGKRZSA-N 0 3 231.295 2.701 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2cc3ccccc3[nH]2)C1 ZINC000685438471 487523057 /nfs/dbraw/zinc/52/30/57/487523057.db2.gz JWOBBRYWAGXCNC-OKILXGFUSA-N 0 3 244.338 2.777 20 0 BFADHN C[C@H](c1cccc(F)c1)N(C)C[C@H](O)C1CC1 ZINC000677891716 488147348 /nfs/dbraw/zinc/14/73/48/488147348.db2.gz DUUJESARKVLPIK-YGRLFVJLSA-N 0 3 237.318 2.589 20 0 BFADHN CCn1cc(CNCC(CC)(CC)CC)nn1 ZINC000404426455 488158351 /nfs/dbraw/zinc/15/83/51/488158351.db2.gz DKQORNCHAQTUPD-UHFFFAOYSA-N 0 3 238.379 2.604 20 0 BFADHN c1cc(CN2C[C@@H]3CCCC[C@@H]32)nc2c1CCC2 ZINC000668298652 487634638 /nfs/dbraw/zinc/63/46/38/487634638.db2.gz GETAAMVJBHYRQS-BBRMVZONSA-N 0 3 242.366 2.945 20 0 BFADHN CC[C@H](N[C@@H](CO)CCF)c1ccccc1F ZINC000652018467 487730498 /nfs/dbraw/zinc/73/04/98/487730498.db2.gz UFXHJMTZQLHUBI-MFKMUULPSA-N 0 3 243.297 2.587 20 0 BFADHN CCc1ccc(CNCC[C@H]2CCCO2)o1 ZINC000051724644 487783900 /nfs/dbraw/zinc/78/39/00/487783900.db2.gz QTVFGUHOBHZUPT-GFCCVEGCSA-N 0 3 223.316 2.501 20 0 BFADHN Fc1cncc(CN2CCC[C@@H]2C2CC2)c1 ZINC000681751619 487854222 /nfs/dbraw/zinc/85/42/22/487854222.db2.gz JPMTVBMXRQZOLG-CYBMUJFWSA-N 0 3 220.291 2.595 20 0 BFADHN CC(C)N[C@H](Cn1cccn1)c1ccccc1 ZINC000676703608 487895651 /nfs/dbraw/zinc/89/56/51/487895651.db2.gz QOBGKMPBXWIFAW-CQSZACIVSA-N 0 3 229.327 2.622 20 0 BFADHN Cc1noc([C@H](C)N2CC[C@@H](C)C[C@H](C)C2)n1 ZINC000676904571 487945470 /nfs/dbraw/zinc/94/54/70/487945470.db2.gz JVELMHWNYVYDQQ-VWYCJHECSA-N 0 3 237.347 2.807 20 0 BFADHN c1ccc2c(c1)C=C(CN1CC[C@H]3C[C@H]3C1)CO2 ZINC000668676579 488098285 /nfs/dbraw/zinc/09/82/85/488098285.db2.gz SLXPXFZWHAKGSY-ZFWWWQNUSA-N 0 3 241.334 2.804 20 0 BFADHN c1cc(-c2ccc(CN3CC[C@@H]4C[C@@H]4C3)o2)n[nH]1 ZINC000668676927 488099591 /nfs/dbraw/zinc/09/95/91/488099591.db2.gz HAXSUOUOAMCNMA-GHMZBOCLSA-N 0 3 243.310 2.512 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCOc2ccccc2C1 ZINC000683108377 488110723 /nfs/dbraw/zinc/11/07/23/488110723.db2.gz AUOLRZDXYSRJDH-WCQYABFASA-N 0 3 217.312 2.537 20 0 BFADHN CCN(CCC(F)(F)F)CC(C)(C)COC ZINC000683160082 488122635 /nfs/dbraw/zinc/12/26/35/488122635.db2.gz DPRZSTIWJSVENS-UHFFFAOYSA-N 0 3 241.297 2.933 20 0 BFADHN C[C@H](O)C1(NCc2ccc(C(F)F)cc2)CC1 ZINC000668702358 488138162 /nfs/dbraw/zinc/13/81/62/488138162.db2.gz IJJVNRRZXBPKEQ-VIFPVBQESA-N 0 3 241.281 2.627 20 0 BFADHN Cc1cc(Cl)ccc1CNC1([C@H](C)O)CC1 ZINC000668702694 488138981 /nfs/dbraw/zinc/13/89/81/488138981.db2.gz OOOQMYMFYHGWNZ-JTQLQIEISA-N 0 3 239.746 2.651 20 0 BFADHN Cc1cccc(CN2CC[C@H]3COC[C@@H]3C2)c1F ZINC000668705866 488143839 /nfs/dbraw/zinc/14/38/39/488143839.db2.gz NUVVFAHRSZIVQK-KBPBESRZSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1cccc(CN2CC[C@@H]3COC[C@H]3C2)c1F ZINC000668705869 488143962 /nfs/dbraw/zinc/14/39/62/488143962.db2.gz NUVVFAHRSZIVQK-ZIAGYGMSSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1cnc(CN(CCC2CC2)CC2CC2)o1 ZINC000662178376 488143979 /nfs/dbraw/zinc/14/39/79/488143979.db2.gz BXYPSOAHUTXXBJ-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1cc(CN2CC[C@@H]3COC[C@@H]3C2)ccc1F ZINC000668707326 488147808 /nfs/dbraw/zinc/14/78/08/488147808.db2.gz ZFOMAYCZNQBHLT-KGLIPLIRSA-N 0 3 249.329 2.602 20 0 BFADHN CC(C)C1(NCc2cnc3ccccc3n2)CC1 ZINC000379748339 488149078 /nfs/dbraw/zinc/14/90/78/488149078.db2.gz ZXVDJFUSARRVQN-UHFFFAOYSA-N 0 3 241.338 2.908 20 0 BFADHN CCC[C@H](NCc1cocn1)[C@@H]1CC1(C)C ZINC000397793839 488149782 /nfs/dbraw/zinc/14/97/82/488149782.db2.gz JDDVFEHKURRJOY-RYUDHWBXSA-N 0 3 222.332 2.979 20 0 BFADHN FC(F)n1ccnc1CN[C@H]1CCCC12CC2 ZINC000657856167 488151388 /nfs/dbraw/zinc/15/13/88/488151388.db2.gz QYNJGMINGLUOTE-VIFPVBQESA-N 0 3 241.285 2.701 20 0 BFADHN C[C@H](CCC(C)(C)C)NC(=O)C1(N)CCCC1 ZINC000237375196 488151630 /nfs/dbraw/zinc/15/16/30/488151630.db2.gz KNOOMABCKUNETE-LLVKDONJSA-N 0 3 240.391 2.589 20 0 BFADHN COc1ccc(CN(C)[C@@H]2C=CCCC2)cn1 ZINC000677899238 488152121 /nfs/dbraw/zinc/15/21/21/488152121.db2.gz YNLWJGFCQGBKNT-CYBMUJFWSA-N 0 3 232.327 2.631 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCc3cccnc32)CS1 ZINC000397858976 488159460 /nfs/dbraw/zinc/15/94/60/488159460.db2.gz NOLNDNIYQPHYCF-RAIGVLPGSA-N 0 3 248.395 2.943 20 0 BFADHN C[C@H](N[C@H]1CC[C@H]1C1CC1)c1nccs1 ZINC000379944244 488161949 /nfs/dbraw/zinc/16/19/49/488161949.db2.gz CWKMHWHDCDTCFS-LSJOCFKGSA-N 0 3 222.357 2.982 20 0 BFADHN CC(C)c1cc(CN[C@H](C)[C@@H]2C[C@@H]2C)on1 ZINC000666091109 488164746 /nfs/dbraw/zinc/16/47/46/488164746.db2.gz ZKRUWNAAZSPHPW-HOSYDEDBSA-N 0 3 222.332 2.932 20 0 BFADHN C[C@H](O)[C@H](NCc1ccccc1)c1ccccc1 ZINC000137382603 488167333 /nfs/dbraw/zinc/16/73/33/488167333.db2.gz QXHBVBACWVEXFG-BBRMVZONSA-N 0 3 241.334 2.898 20 0 BFADHN C[C@@H](Nc1ccnc2ccccc21)[C@H](C)CO ZINC000134960635 488170060 /nfs/dbraw/zinc/17/00/60/488170060.db2.gz XYSVOGWBJWRPFV-GHMZBOCLSA-N 0 3 230.311 2.664 20 0 BFADHN Cc1cc([C@H](C)NCC(C)(C)CO)oc1C ZINC000390654087 488171572 /nfs/dbraw/zinc/17/15/72/488171572.db2.gz QCVQJNARBSYLPI-JTQLQIEISA-N 0 3 225.332 2.566 20 0 BFADHN Cc1cc([C@@H](C)NCC(C)(C)CO)oc1C ZINC000390654088 488171936 /nfs/dbraw/zinc/17/19/36/488171936.db2.gz QCVQJNARBSYLPI-SNVBAGLBSA-N 0 3 225.332 2.566 20 0 BFADHN C[C@@H](CN[C@H](C)c1ccccn1)c1ccncc1 ZINC000678029869 488176063 /nfs/dbraw/zinc/17/60/63/488176063.db2.gz FAYLHKYDDHZVPQ-QWHCGFSZSA-N 0 3 241.338 2.931 20 0 BFADHN CCc1ccccc1CCN(C)C1(COC)CC1 ZINC000683523252 488185101 /nfs/dbraw/zinc/18/51/01/488185101.db2.gz JPAPVQHQPQUNGG-UHFFFAOYSA-N 0 3 247.382 2.902 20 0 BFADHN O[C@@H](CN[C@@H]1CCc2ccc(F)cc21)C1CCC1 ZINC000453389548 488191234 /nfs/dbraw/zinc/19/12/34/488191234.db2.gz RRVAMYHKDNNXIA-CABCVRRESA-N 0 3 249.329 2.564 20 0 BFADHN CC1CCN(Cc2nn(C)c3ccccc23)CC1 ZINC000678120879 488199293 /nfs/dbraw/zinc/19/92/93/488199293.db2.gz JNDVSWMLOWESFU-UHFFFAOYSA-N 0 3 243.354 2.805 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1Cc1cncs1 ZINC000678120155 488199894 /nfs/dbraw/zinc/19/98/94/488199894.db2.gz AQIBVPDVVYCBPQ-NXEZZACHSA-N 0 3 210.346 2.906 20 0 BFADHN c1n[nH]cc1CN1CCC[C@H]1c1ccccc1 ZINC000678130080 488201410 /nfs/dbraw/zinc/20/14/10/488201410.db2.gz MQCLPBHXDQTMFR-AWEZNQCLSA-N 0 3 227.311 2.747 20 0 BFADHN Cc1ncc(CN2CCC[C@H](C(C)(C)C)C2)n1C ZINC000668097033 488201657 /nfs/dbraw/zinc/20/16/57/488201657.db2.gz WTMUERMDGKCJQR-ZDUSSCGKSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](C(C)(C)C)C2)n1C ZINC000668097032 488202301 /nfs/dbraw/zinc/20/23/01/488202301.db2.gz WTMUERMDGKCJQR-CYBMUJFWSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1COC(C)(C)C1 ZINC000669548836 488205610 /nfs/dbraw/zinc/20/56/10/488205610.db2.gz HZNALGZTTWIDHO-RYUDHWBXSA-N 0 3 234.343 2.608 20 0 BFADHN CN(Cc1nccc2ccccc21)C1CC1 ZINC000678141517 488206369 /nfs/dbraw/zinc/20/63/69/488206369.db2.gz UFKBRRVQXLGNLP-UHFFFAOYSA-N 0 3 212.296 2.829 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1CCCOc2ccccc21 ZINC000683693559 488207886 /nfs/dbraw/zinc/20/78/86/488207886.db2.gz FFNWNKRKNQFQEV-KCQAQPDRSA-N 0 3 247.338 2.667 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H]1CCSc2ccccc21 ZINC000683693945 488208662 /nfs/dbraw/zinc/20/86/62/488208662.db2.gz NQTGXAKRHBZAHS-JHJVBQTASA-N 0 3 249.379 2.991 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@H](C)CC(C)C ZINC000678153956 488209646 /nfs/dbraw/zinc/20/96/46/488209646.db2.gz VZWZYRUCYCSESL-SNVBAGLBSA-N 0 3 209.337 2.585 20 0 BFADHN C=Cn1cc(CN2C3CCCC2CCC3)cn1 ZINC000683699122 488209616 /nfs/dbraw/zinc/20/96/16/488209616.db2.gz KIQVBZRHNFELCG-UHFFFAOYSA-N 0 3 231.343 2.891 20 0 BFADHN CC[C@H]1CCN1Cc1cc(COC)cs1 ZINC000668189359 488217368 /nfs/dbraw/zinc/21/73/68/488217368.db2.gz BOHCZACPXSYSMR-NSHDSACASA-N 0 3 225.357 2.879 20 0 BFADHN CC[C@@H](CO)N(C)Cc1cc2c(cccc2C)[nH]1 ZINC000668201884 488222685 /nfs/dbraw/zinc/22/26/85/488222685.db2.gz LITULPWBNKBWHF-ZDUSSCGKSA-N 0 3 246.354 2.679 20 0 BFADHN CC[C@@H](CO)N(C)Cc1cc2ccc(C)cc2[nH]1 ZINC000668202113 488222975 /nfs/dbraw/zinc/22/29/75/488222975.db2.gz SNMHKGOADRPZKX-AWEZNQCLSA-N 0 3 246.354 2.679 20 0 BFADHN Fc1cccc([C@H]2CCN(Cc3cn[nH]c3)C2)c1 ZINC000678242581 488225333 /nfs/dbraw/zinc/22/53/33/488225333.db2.gz NQOFRVJPBRYWSU-ZDUSSCGKSA-N 0 3 245.301 2.538 20 0 BFADHN CN(Cc1ccsc1)C[C@H]1CCSC1 ZINC000683825522 488227125 /nfs/dbraw/zinc/22/71/25/488227125.db2.gz GOPSRBOJPNWITB-LLVKDONJSA-N 0 3 227.398 2.933 20 0 BFADHN Fc1ccccc1[C@H]1CCN(Cc2cn[nH]c2)C1 ZINC000678259178 488227343 /nfs/dbraw/zinc/22/73/43/488227343.db2.gz FDVPTMCFVGXIFM-LBPRGKRZSA-N 0 3 245.301 2.538 20 0 BFADHN Cn1nc(CN2CCC(C)(C)C2)c2ccccc21 ZINC000678260643 488228390 /nfs/dbraw/zinc/22/83/90/488228390.db2.gz JVNZVFJUEPKQLU-UHFFFAOYSA-N 0 3 243.354 2.805 20 0 BFADHN CCN(Cc1nn(C)c2ccccc12)CC1CC1 ZINC000678263555 488229581 /nfs/dbraw/zinc/22/95/81/488229581.db2.gz KTMJMLJWVHKDPB-UHFFFAOYSA-N 0 3 243.354 2.805 20 0 BFADHN CN(CC1(C)CC1)[C@H]1CCCc2c1cnn2C ZINC000683832199 488229801 /nfs/dbraw/zinc/22/98/01/488229801.db2.gz LXLCWELHHCKLGG-LBPRGKRZSA-N 0 3 233.359 2.529 20 0 BFADHN CCC[C@H](C)N(C)C(=O)Nc1cccc(CN)c1 ZINC000036791683 488245412 /nfs/dbraw/zinc/24/54/12/488245412.db2.gz YWBFDAKYACIHIY-NSHDSACASA-N 0 3 249.358 2.798 20 0 BFADHN CC(C)C(NCc1ncnn1C(C)C)C(C)C ZINC000683996487 488254046 /nfs/dbraw/zinc/25/40/46/488254046.db2.gz XTKZBBYJOQPISY-UHFFFAOYSA-N 0 3 238.379 2.629 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1ccc(C)nc1C ZINC000678426387 488255072 /nfs/dbraw/zinc/25/50/72/488255072.db2.gz GLILFRGXUSWCER-AAEUAGOBSA-N 0 3 236.359 2.510 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1ccc(C)nc1C ZINC000678426392 488255337 /nfs/dbraw/zinc/25/53/37/488255337.db2.gz GLILFRGXUSWCER-YPMHNXCESA-N 0 3 236.359 2.510 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1c(C)cc(C)nc1OC ZINC000672603135 488261464 /nfs/dbraw/zinc/26/14/64/488261464.db2.gz AULLUNKXKQNWSS-OCCSQVGLSA-N 0 3 248.370 2.985 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1nn(C)c2ccccc12 ZINC000672608444 488261686 /nfs/dbraw/zinc/26/16/86/488261686.db2.gz ZUKJWAXZDVGRGM-DGCLKSJQSA-N 0 3 243.354 2.852 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1nn(C)c2ccccc12 ZINC000672608443 488261825 /nfs/dbraw/zinc/26/18/25/488261825.db2.gz ZUKJWAXZDVGRGM-AAEUAGOBSA-N 0 3 243.354 2.852 20 0 BFADHN Cc1ccncc1[C@H](C)NCc1ccc(O)cc1 ZINC000678541468 488265466 /nfs/dbraw/zinc/26/54/66/488265466.db2.gz CYABFHCFDPFGRO-LBPRGKRZSA-N 0 3 242.322 2.946 20 0 BFADHN CC[C@@H](NCc1cn2cccc(C)c2n1)C1CC1 ZINC000678591336 488269424 /nfs/dbraw/zinc/26/94/24/488269424.db2.gz MEYRBMJXRPYFJQ-CQSZACIVSA-N 0 3 243.354 2.921 20 0 BFADHN COc1cccc2c1OCC[C@H]2NCC1(C)CC1 ZINC000678595156 488269987 /nfs/dbraw/zinc/26/99/87/488269987.db2.gz SBVBZVMNPGAYDD-GFCCVEGCSA-N 0 3 247.338 2.909 20 0 BFADHN COC[C@@H](N[C@@H](C)c1ccc(C)nc1C)C1CC1 ZINC000678603853 488271125 /nfs/dbraw/zinc/27/11/25/488271125.db2.gz MTPARAFVIRPSPS-SWLSCSKDSA-N 0 3 248.370 2.774 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H](CO)CC1CC1 ZINC000678606850 488271927 /nfs/dbraw/zinc/27/19/27/488271927.db2.gz LPGJZONBZKGVKQ-DGCLKSJQSA-N 0 3 249.354 2.507 20 0 BFADHN CO[C@@]1(C)CCCN([C@@H](C)c2ccncc2)C1 ZINC000684208694 488276884 /nfs/dbraw/zinc/27/68/84/488276884.db2.gz KKGIPSXHGRJTQP-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@H]1CCN(Cc2nc3c(s2)CCC3)C1 ZINC000678841401 488290140 /nfs/dbraw/zinc/29/01/40/488290140.db2.gz UIXAVWZKXNBERD-SNVBAGLBSA-N 0 3 236.384 2.864 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1cn(C)cn1 ZINC000673603471 488296784 /nfs/dbraw/zinc/29/67/84/488296784.db2.gz ZSVPFFOPMRJVDU-WCQYABFASA-N 0 3 235.375 2.725 20 0 BFADHN CC(C)C1CN(Cc2cccc(F)c2)C1 ZINC000684408184 488306382 /nfs/dbraw/zinc/30/63/82/488306382.db2.gz MXPVJSHBBIRIRO-UHFFFAOYSA-N 0 3 207.292 2.914 20 0 BFADHN CC(C)C1CN(Cc2n[nH]c3ccccc32)C1 ZINC000684409008 488308377 /nfs/dbraw/zinc/30/83/77/488308377.db2.gz XWFWDFZJSNAVCF-UHFFFAOYSA-N 0 3 229.327 2.651 20 0 BFADHN CC(C)C1CN(Cc2[nH]nc3ccccc32)C1 ZINC000684409008 488308380 /nfs/dbraw/zinc/30/83/80/488308380.db2.gz XWFWDFZJSNAVCF-UHFFFAOYSA-N 0 3 229.327 2.651 20 0 BFADHN CC(C)C1CN(Cc2ccc(-c3cc[nH]n3)o2)C1 ZINC000684409517 488308573 /nfs/dbraw/zinc/30/85/73/488308573.db2.gz WYFRFSYNRPVQHQ-UHFFFAOYSA-N 0 3 245.326 2.758 20 0 BFADHN CC[C@H](c1ccccc1)N(C)Cc1cnc[nH]1 ZINC000684617400 488332428 /nfs/dbraw/zinc/33/24/28/488332428.db2.gz SALCMGKDAZZXDJ-CQSZACIVSA-N 0 3 229.327 2.993 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2c[nH]nc2C)o1 ZINC000679332385 488340521 /nfs/dbraw/zinc/34/05/21/488340521.db2.gz HOPXMSWPKYJRSE-SNVBAGLBSA-N 0 3 233.315 2.513 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@H](C)CCCCO)CO2 ZINC000679354944 488343763 /nfs/dbraw/zinc/34/37/63/488343763.db2.gz OIHGHQBCTCFWDM-TZMCWYRMSA-N 0 3 249.354 2.569 20 0 BFADHN CC[C@@H]1CN(Cc2ccc(O)cc2)CCS1 ZINC000679392994 488350393 /nfs/dbraw/zinc/35/03/93/488350393.db2.gz RBXYFMVJYXPEDL-CYBMUJFWSA-N 0 3 237.368 2.720 20 0 BFADHN CSc1ccccc1CN(C)C(C)(C)CO ZINC000679672074 488363547 /nfs/dbraw/zinc/36/35/47/488363547.db2.gz LJTZVHPAZCCZTP-UHFFFAOYSA-N 0 3 239.384 2.611 20 0 BFADHN Cc1ccccc1NC(=O)CCN1CC=CCC1 ZINC000679322002 490111483 /nfs/dbraw/zinc/11/14/83/490111483.db2.gz RGBRWSGQBGQJFC-UHFFFAOYSA-N 0 3 244.338 2.586 20 0 BFADHN C[C@@H](NCc1csc(N(C)C)n1)C(C)(C)C ZINC000122333681 490133458 /nfs/dbraw/zinc/13/34/58/490133458.db2.gz YMHQREDUVDHYTM-SECBINFHSA-N 0 3 241.404 2.733 20 0 BFADHN C[C@@H](NCCN(C)C)c1ccc(Cl)c(F)c1 ZINC000215049781 490181237 /nfs/dbraw/zinc/18/12/37/490181237.db2.gz QTSHDFZMMKTPIP-SECBINFHSA-N 0 3 244.741 2.691 20 0 BFADHN CCc1cccc(F)c1CN[C@@H](C)C[C@@H](C)O ZINC000354622832 490193027 /nfs/dbraw/zinc/19/30/27/490193027.db2.gz BPRMXLCHYCRJQK-WDEREUQCSA-N 0 3 239.334 2.637 20 0 BFADHN Cc1nc(C)c(CNC[C@H]2CCC(F)(F)C2)o1 ZINC000311878382 490275949 /nfs/dbraw/zinc/27/59/49/490275949.db2.gz VXGBGBUETAHWMJ-JTQLQIEISA-N 0 3 244.285 2.816 20 0 BFADHN CC[C@@H](C)[C@@H](CN(C)Cc1cccn1C)OC ZINC000638105454 490301208 /nfs/dbraw/zinc/30/12/08/490301208.db2.gz IMQVGYFMXYJWIZ-TZMCWYRMSA-N 0 3 238.375 2.518 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cc(C)nc(C)n1 ZINC000336748527 490337162 /nfs/dbraw/zinc/33/71/62/490337162.db2.gz YANXVISRIADZTP-MWLCHTKSSA-N 0 3 221.348 2.618 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCC(C)(C)CO)o1 ZINC000124320430 490349713 /nfs/dbraw/zinc/34/97/13/490349713.db2.gz KFJVDIDPZUWMQW-PWSUYJOCSA-N 0 3 237.343 2.511 20 0 BFADHN Fc1cc(F)c(CNC2CC=CC2)cc1F ZINC000124378764 490355950 /nfs/dbraw/zinc/35/59/50/490355950.db2.gz QHHIBINLLALEND-UHFFFAOYSA-N 0 3 227.229 2.912 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC[C@@H](CCF)C1 ZINC000668592582 490393630 /nfs/dbraw/zinc/39/36/30/490393630.db2.gz NHERYZGYWLALGN-LBPRGKRZSA-N 0 3 239.338 2.598 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)[C@@H](C)C1)c1ncc[nH]1 ZINC000124817468 490405099 /nfs/dbraw/zinc/40/50/99/490405099.db2.gz WIACHKBOMQFABE-NOOOWODRSA-N 0 3 221.348 2.885 20 0 BFADHN COc1cccc(CNC2CC(C)C2)c1F ZINC000123432322 490487532 /nfs/dbraw/zinc/48/75/32/490487532.db2.gz SIJSTJJQCOYGRA-UHFFFAOYSA-N 0 3 223.291 2.722 20 0 BFADHN COCc1ccc(CN[C@@H](C)C2CCC2)o1 ZINC000126304154 490488253 /nfs/dbraw/zinc/48/82/53/490488253.db2.gz URHUXJBOXXGSCL-JTQLQIEISA-N 0 3 223.316 2.704 20 0 BFADHN C[C@H](NCC(C)(C)CO)c1ccc(F)cc1F ZINC000126355821 490491836 /nfs/dbraw/zinc/49/18/36/490491836.db2.gz QSUVGCOBTPLBFB-VIFPVBQESA-N 0 3 243.297 2.634 20 0 BFADHN COCc1ccc(CN[C@H](C)C2CCC2)o1 ZINC000126304384 490505961 /nfs/dbraw/zinc/50/59/61/490505961.db2.gz URHUXJBOXXGSCL-SNVBAGLBSA-N 0 3 223.316 2.704 20 0 BFADHN COc1ccsc1CN1CC[C@H]2C[C@H]2C1 ZINC000668677600 490516077 /nfs/dbraw/zinc/51/60/77/490516077.db2.gz TYUHFEJYLAWVTF-UWVGGRQHSA-N 0 3 223.341 2.599 20 0 BFADHN CC1(O)CCN(C/C=C/c2ccccc2)CC1 ZINC000126772395 490517461 /nfs/dbraw/zinc/51/74/61/490517461.db2.gz YARHSXPDTLMWRC-VMPITWQZSA-N 0 3 231.339 2.547 20 0 BFADHN Cc1ncc([C@H](C)NCC[C@@H]2CCCO2)s1 ZINC000126951701 490526829 /nfs/dbraw/zinc/52/68/29/490526829.db2.gz COCNJMLUMZJVFE-ONGXEEELSA-N 0 3 240.372 2.671 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(N(C)C)nc2)C[C@@H]1C ZINC000127653833 490551440 /nfs/dbraw/zinc/55/14/40/490551440.db2.gz ZFVPNKMOWBGBTQ-OLZOCXBDSA-N 0 3 247.386 2.626 20 0 BFADHN Cc1csc([C@H](C)N[C@H]2CCS[C@H]2C)n1 ZINC000300333132 490556433 /nfs/dbraw/zinc/55/64/33/490556433.db2.gz GNMMNOMSCZITDL-GUBZILKMSA-N 0 3 242.413 2.996 20 0 BFADHN Clc1cccc(CNC2CSC2)c1 ZINC000076644463 490569447 /nfs/dbraw/zinc/56/94/47/490569447.db2.gz JMXOTIRDYGBCHZ-UHFFFAOYSA-N 0 3 213.733 2.545 20 0 BFADHN CC[C@@H](Cc1ccccc1)NCc1ccon1 ZINC000129031508 490597848 /nfs/dbraw/zinc/59/78/48/490597848.db2.gz VJNGCGIILFLCFA-ZDUSSCGKSA-N 0 3 230.311 2.786 20 0 BFADHN FC(F)(F)C1CCC(NCc2ccon2)CC1 ZINC000129031985 490597928 /nfs/dbraw/zinc/59/79/28/490597928.db2.gz YXYGRAMIJFLEJO-UHFFFAOYSA-N 0 3 248.248 2.885 20 0 BFADHN CCc1ncc(CNC2CC=CC2)s1 ZINC000131190360 490623644 /nfs/dbraw/zinc/62/36/44/490623644.db2.gz MHQSBSMTZJJSGD-UHFFFAOYSA-N 0 3 208.330 2.514 20 0 BFADHN CCC(CC)CNCc1c(Cl)cnn1C ZINC000131312478 490628380 /nfs/dbraw/zinc/62/83/80/490628380.db2.gz ARSBODSNWJTSRV-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN CCc1oc2ccccc2c1CN[C@H]1CCOC1 ZINC000131355982 490633465 /nfs/dbraw/zinc/63/34/65/490633465.db2.gz CIKAFBJPXXJPBF-NSHDSACASA-N 0 3 245.322 2.874 20 0 BFADHN CN(CCc1ccccc1Cl)Cc1cn[nH]c1 ZINC000085825452 491053626 /nfs/dbraw/zinc/05/36/26/491053626.db2.gz IJIZCHSPXOIYRD-UHFFFAOYSA-N 0 3 249.745 2.738 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nnc2ccccn21)C1CC1 ZINC000086117087 491057907 /nfs/dbraw/zinc/05/79/07/491057907.db2.gz FNYNWUAMVKUSCA-CMPLNLGQSA-N 0 3 244.342 2.569 20 0 BFADHN C[C@H](NCC1(O)CCCC1)c1ccccc1F ZINC000087016129 491065351 /nfs/dbraw/zinc/06/53/51/491065351.db2.gz WGZDWUIFQDNSHT-NSHDSACASA-N 0 3 237.318 2.781 20 0 BFADHN C[C@@H](NCC1(O)CCCC1)c1ccccc1F ZINC000087016131 491065358 /nfs/dbraw/zinc/06/53/58/491065358.db2.gz WGZDWUIFQDNSHT-LLVKDONJSA-N 0 3 237.318 2.781 20 0 BFADHN CC[C@@H](C)N(CC)C(=O)C[C@H](N)c1ccccc1 ZINC000037113251 491078603 /nfs/dbraw/zinc/07/86/03/491078603.db2.gz AVGMAZZGEJYCPF-OCCSQVGLSA-N 0 3 248.370 2.724 20 0 BFADHN C[C@H](CCc1ccccc1)N(C)Cc1cn[nH]c1 ZINC000085824978 491053501 /nfs/dbraw/zinc/05/35/01/491053501.db2.gz YCJFEASQTWEYGB-CYBMUJFWSA-N 0 3 243.354 2.863 20 0 BFADHN C[C@H]1CC[C@H](N[C@@H]2CCCn3ccnc32)CC1 ZINC000655728135 491065579 /nfs/dbraw/zinc/06/55/79/491065579.db2.gz VIVFUUHOAYDTBG-JHJVBQTASA-N 0 3 233.359 2.886 20 0 BFADHN Cc1nn(C)c(C)c1CN[C@@H]1C[C@H]1CC(C)(C)C ZINC000651912000 491078527 /nfs/dbraw/zinc/07/85/27/491078527.db2.gz HIJKYCHADHWVJJ-GXTWGEPZSA-N 0 3 249.402 2.951 20 0 BFADHN CCN(Cc1nc2ccccc2nc1C)C1CC1 ZINC000046058688 491079482 /nfs/dbraw/zinc/07/94/82/491079482.db2.gz RABOIOQPZNWCDY-UHFFFAOYSA-N 0 3 241.338 2.923 20 0 BFADHN C[C@@H](N[C@@H](C)C(C)(C)O)c1ccc(F)cc1F ZINC000087817646 491092605 /nfs/dbraw/zinc/09/26/05/491092605.db2.gz KJYXOHMSGDFROG-BDAKNGLRSA-N 0 3 243.297 2.775 20 0 BFADHN Fc1cccc2c1CC[C@H]2N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000268894924 491100060 /nfs/dbraw/zinc/10/00/60/491100060.db2.gz KGBLUHNAQNJQEU-QUAZDUCUSA-N 0 3 247.313 2.723 20 0 BFADHN Cc1ccc(CN[C@H](C)Cc2ccoc2)o1 ZINC000084960981 491102331 /nfs/dbraw/zinc/10/23/31/491102331.db2.gz JUWSIDFGDGPKQS-SNVBAGLBSA-N 0 3 219.284 2.902 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1cnc(C2CC2)nc1 ZINC000657762139 491102940 /nfs/dbraw/zinc/10/29/40/491102940.db2.gz WIRYFRLLPRMECU-CQSZACIVSA-N 0 3 231.343 2.632 20 0 BFADHN CCC[C@H](N)c1cn(-c2cc(C)cc(F)c2)nn1 ZINC000229930222 491121302 /nfs/dbraw/zinc/12/13/02/491121302.db2.gz OTTZCSKIEXNKOW-LBPRGKRZSA-N 0 3 248.305 2.515 20 0 BFADHN C[C@@H]1CC2(CCC2)CN1Cc1ccns1 ZINC000639122218 491121635 /nfs/dbraw/zinc/12/16/35/491121635.db2.gz RUNZRGAURMRKNT-SNVBAGLBSA-N 0 3 222.357 2.908 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cnc(C3CC3)nc2)C1 ZINC000335146628 491122310 /nfs/dbraw/zinc/12/23/10/491122310.db2.gz MYHAARDRDOGZFJ-GHMZBOCLSA-N 0 3 231.343 2.584 20 0 BFADHN Fc1ccc(CN2C[C@H]3CCCC[C@@H]3C2)nc1 ZINC000335904944 491123631 /nfs/dbraw/zinc/12/36/31/491123631.db2.gz PFUPSHUOZMRMFK-VXGBXAGGSA-N 0 3 234.318 2.843 20 0 BFADHN Cc1ncc([C@@H](C)NCCc2cccnc2)s1 ZINC000090402231 491125643 /nfs/dbraw/zinc/12/56/43/491125643.db2.gz IJQGDXLYFRACBK-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1ncc([C@@H](C)NCCOCC2CC2)s1 ZINC000090408960 491126353 /nfs/dbraw/zinc/12/63/53/491126353.db2.gz BXHSXQGSGGBTCK-SECBINFHSA-N 0 3 240.372 2.529 20 0 BFADHN C=Cn1cc(CN2CCC[C@H](CCF)C2)cn1 ZINC000668591677 491128277 /nfs/dbraw/zinc/12/82/77/491128277.db2.gz GHEJVCQSIPYPSU-GFCCVEGCSA-N 0 3 237.322 2.555 20 0 BFADHN C1=CCC(NCc2ccn(-c3ccccc3)n2)C1 ZINC000091062913 491130307 /nfs/dbraw/zinc/13/03/07/491130307.db2.gz NISSDIQKADCGIZ-UHFFFAOYSA-N 0 3 239.322 2.681 20 0 BFADHN COC1CC(N[C@@H](C)c2ccsc2)C1 ZINC000230674894 491130503 /nfs/dbraw/zinc/13/05/03/491130503.db2.gz RAXMPZATEIURNU-PUSIOWJLSA-N 0 3 211.330 2.576 20 0 BFADHN c1cnc2c(c1)CCC[C@@H]2NC[C@@H]1CCCCO1 ZINC000091661383 491131307 /nfs/dbraw/zinc/13/13/07/491131307.db2.gz IPZKXSAGQGCJLP-KBPBESRZSA-N 0 3 246.354 2.618 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](C3CCC3)C2)n1C ZINC000668719603 491132468 /nfs/dbraw/zinc/13/24/68/491132468.db2.gz ZXJBMVKTJKBCQZ-CQSZACIVSA-N 0 3 247.386 2.741 20 0 BFADHN CC[C@H](C)C[C@H](CO)N[C@H](C)c1ccco1 ZINC000647336010 491133233 /nfs/dbraw/zinc/13/32/33/491133233.db2.gz HAAVONJXAKRZLV-QJPTWQEYSA-N 0 3 225.332 2.727 20 0 BFADHN CCc1cnc(CNC2CC=CC2)s1 ZINC000308589369 491137257 /nfs/dbraw/zinc/13/72/57/491137257.db2.gz JQQWHTAQPSKQDJ-UHFFFAOYSA-N 0 3 208.330 2.514 20 0 BFADHN C[C@@H](CNCc1ccco1)c1ccncc1 ZINC000309356135 491147431 /nfs/dbraw/zinc/14/74/31/491147431.db2.gz NYTXBNGMOLWPJZ-NSHDSACASA-N 0 3 216.284 2.568 20 0 BFADHN CC[C@H](N[C@H](C)C1CCC1)c1ccn(C)n1 ZINC000309420808 491148953 /nfs/dbraw/zinc/14/89/53/491148953.db2.gz DOTDSLCNZTYMHY-PWSUYJOCSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@@H]1CCC(F)(F)C1 ZINC000310548322 491161962 /nfs/dbraw/zinc/16/19/62/491161962.db2.gz FOBJELXIVYIPMA-PSASIEDQSA-N 0 3 243.301 2.567 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@H]1CCC(F)(F)C1 ZINC000310548323 491161970 /nfs/dbraw/zinc/16/19/70/491161970.db2.gz FOBJELXIVYIPMA-SCZZXKLOSA-N 0 3 243.301 2.567 20 0 BFADHN CC[C@H](CC(F)(F)F)Nc1ccnc(CO)c1 ZINC000858483765 582461566 /nfs/dbraw/zinc/46/15/66/582461566.db2.gz ZIXRTAZBTFJXHT-MRVPVSSYSA-N 0 3 248.248 2.717 20 0 BFADHN CC[C@@H](C)CN1CCC[C@@H]1C(=O)OC(C)(C)C ZINC000167639784 535514157 /nfs/dbraw/zinc/51/41/57/535514157.db2.gz LZNDXCPZGJAYPB-VXGBXAGGSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@H]1COC(C)(C)CN1Cc1ccccc1 ZINC000488230541 534020089 /nfs/dbraw/zinc/02/00/89/534020089.db2.gz KDXDRIQVCGLRHL-LBPRGKRZSA-N 0 3 219.328 2.686 20 0 BFADHN COc1ccccc1CN(C)C[C@H]1C[C@H]1C ZINC000488289261 534060794 /nfs/dbraw/zinc/06/07/94/534060794.db2.gz VCNPIBLMRSZBGC-DGCLKSJQSA-N 0 3 219.328 2.783 20 0 BFADHN CCCN(C)Cc1cn(C)nc1C1CCCC1 ZINC000891577957 582697947 /nfs/dbraw/zinc/69/79/47/582697947.db2.gz HYYMQUFFTLSHHZ-UHFFFAOYSA-N 0 3 235.375 2.920 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cnn(C)c1C1CC1 ZINC000891604750 582700952 /nfs/dbraw/zinc/70/09/52/582700952.db2.gz NZZNPTJTFLYDNS-NSHDSACASA-N 0 3 235.375 2.774 20 0 BFADHN CC[C@@H]1CC[C@@H]1[NH2+][C@@H](C)c1nnc(C(C)C)[n-]1 ZINC000348416985 535823723 /nfs/dbraw/zinc/82/37/23/535823723.db2.gz RNJNDPRGJBBHPM-AXFHLTTASA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](C)c1nnc(C(C)C)[nH]1 ZINC000348416985 535823724 /nfs/dbraw/zinc/82/37/24/535823724.db2.gz RNJNDPRGJBBHPM-AXFHLTTASA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@H](C)CNCc1nc(C)c(C)s1 ZINC000087375960 536129893 /nfs/dbraw/zinc/12/98/93/536129893.db2.gz WLTJRBZTCSSECT-QMMMGPOBSA-N 0 3 212.362 2.896 20 0 BFADHN C[C@H](N[C@H]1CCC(F)(F)C1)c1cncs1 ZINC000393611018 582820399 /nfs/dbraw/zinc/82/03/99/582820399.db2.gz GUMWLHKNBZCGNU-YUMQZZPRSA-N 0 3 232.299 2.982 20 0 BFADHN C[C@H](C1CC1)N1CCc2c(F)cccc2C1 ZINC000782182091 582870095 /nfs/dbraw/zinc/87/00/95/582870095.db2.gz OLROXQRZYYVTEQ-SNVBAGLBSA-N 0 3 219.303 2.982 20 0 BFADHN CC(=O)OC[C@@H](C)N[C@H](C)c1ccccc1C ZINC000892431713 582886199 /nfs/dbraw/zinc/88/61/99/582886199.db2.gz BLSSJTXDEKLXEP-VXGBXAGGSA-N 0 3 235.327 2.597 20 0 BFADHN CC(=O)OC[C@H](C)N[C@H](C)c1ccccc1C ZINC000892431711 582886258 /nfs/dbraw/zinc/88/62/58/582886258.db2.gz BLSSJTXDEKLXEP-NWDGAFQWSA-N 0 3 235.327 2.597 20 0 BFADHN CCCN1CCC[C@H]1C(=O)Nc1ccc(C)cc1 ZINC000342552202 534902417 /nfs/dbraw/zinc/90/24/17/534902417.db2.gz RMUZCDRLBFHKPD-AWEZNQCLSA-N 0 3 246.354 2.808 20 0 BFADHN CCCC1CCC(CN2CCOCC2)CC1 ZINC000342685990 534917492 /nfs/dbraw/zinc/91/74/92/534917492.db2.gz XQYRMAKHXOJAIM-UHFFFAOYSA-N 0 3 225.376 2.925 20 0 BFADHN C/C=C/c1ccc(NC(=O)/C=C\CN(C)C)cc1 ZINC000493727987 526299337 /nfs/dbraw/zinc/29/93/37/526299337.db2.gz RDFXDELFDRLZQS-GUBXDBFYSA-N 0 3 244.338 2.776 20 0 BFADHN CN1CC[C@@H](c2nc(CC3CCCCC3)no2)C1 ZINC000335991024 536459219 /nfs/dbraw/zinc/45/92/19/536459219.db2.gz FHJZYLHNFNVMCM-GFCCVEGCSA-N 0 3 249.358 2.612 20 0 BFADHN CN1CC[C@@H](c2nc(C3CCCCCC3)no2)C1 ZINC000335356399 536459783 /nfs/dbraw/zinc/45/97/83/536459783.db2.gz BOLMLUQQOFGASQ-GFCCVEGCSA-N 0 3 249.358 2.927 20 0 BFADHN CN(Cc1cccc2ccoc21)[C@@H]1CCOC1 ZINC000335586055 536477569 /nfs/dbraw/zinc/47/75/69/536477569.db2.gz GGNCCOSVGYATCD-CYBMUJFWSA-N 0 3 231.295 2.654 20 0 BFADHN CCC[C@@H]1C[C@H]1NCc1c(OC)cccc1OC ZINC000511766934 527866038 /nfs/dbraw/zinc/86/60/38/527866038.db2.gz AYFCJYSPXJGOLN-DGCLKSJQSA-N 0 3 249.354 2.982 20 0 BFADHN CCCN(Cc1cnc2n[nH]c(C)c2c1)C1CC1 ZINC000507250480 528151360 /nfs/dbraw/zinc/15/13/60/528151360.db2.gz ARBZPGLHZKLXCZ-UHFFFAOYSA-N 0 3 244.342 2.641 20 0 BFADHN CCCCCNC(=O)CN1CCCCC[C@H]1C ZINC000512736413 528193828 /nfs/dbraw/zinc/19/38/28/528193828.db2.gz UYTJGHZOABGORW-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN CCCN1CCN(CC2CCC(C)CC2)CC1 ZINC000505284732 528245253 /nfs/dbraw/zinc/24/52/53/528245253.db2.gz YOTJNNNTDUCAJG-UHFFFAOYSA-N 0 3 238.419 2.840 20 0 BFADHN CCCN1CCN(Cc2ccc(C)cc2C)CC1 ZINC000505276177 528246451 /nfs/dbraw/zinc/24/64/51/528246451.db2.gz BDMORAOIFHHBQY-UHFFFAOYSA-N 0 3 246.398 2.831 20 0 BFADHN CCCCN(C/C=C\c1ccncc1)CCOC ZINC000494957324 528374912 /nfs/dbraw/zinc/37/49/12/528374912.db2.gz DWPKWHLDYHQAIO-WAYWQWQTSA-N 0 3 248.370 2.843 20 0 BFADHN CC(C)C[C@@H]1COCCN1CCc1ccccc1 ZINC000506213746 528391286 /nfs/dbraw/zinc/39/12/86/528391286.db2.gz FIBIBAVHIHTKCK-MRXNPFEDSA-N 0 3 247.382 2.976 20 0 BFADHN CCCCN(Cc1c(C)n[nH]c1C)C1CC1 ZINC000507221760 528441784 /nfs/dbraw/zinc/44/17/84/528441784.db2.gz NYHQIIXEEUNUBX-UHFFFAOYSA-N 0 3 221.348 2.791 20 0 BFADHN CCCCN(Cc1ccnc(C)n1)C1CC1 ZINC000507216632 528445703 /nfs/dbraw/zinc/44/57/03/528445703.db2.gz YSMYOHRLSGPPSY-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN CCC(C)(C)N(C)CC(=O)NC1CCCCC1 ZINC000512257650 528538056 /nfs/dbraw/zinc/53/80/56/528538056.db2.gz BLRPPGORNTYSRG-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN CCC(C)(C)OCCN[C@H](C)c1ccncc1 ZINC000308550104 528592332 /nfs/dbraw/zinc/59/23/32/528592332.db2.gz VPFFHOIQRUWYJO-GFCCVEGCSA-N 0 3 236.359 2.937 20 0 BFADHN CC(C)[C@@H]1CC[C@@H](NCc2ccn(C)n2)C[C@@H]1C ZINC000498349467 528707766 /nfs/dbraw/zinc/70/77/66/528707766.db2.gz CLDMNTZPWWSMHC-GUTXKFCHSA-N 0 3 249.402 2.971 20 0 BFADHN CCOC(=O)CN(CCC(C)(C)C)CC(C)C ZINC000496917187 528799097 /nfs/dbraw/zinc/79/90/97/528799097.db2.gz YBNDWIJUAAFOQA-UHFFFAOYSA-N 0 3 243.391 2.944 20 0 BFADHN CCCC[C@H](CCC)NC(=O)CN(C)CCC ZINC000482631062 528921211 /nfs/dbraw/zinc/92/12/11/528921211.db2.gz BZIMWCRCULPJEV-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN CCOC[C@H](C)NC/C=C/c1ccccc1OC ZINC000494213605 528976554 /nfs/dbraw/zinc/97/65/54/528976554.db2.gz UWLWWVNQYBMBPR-XOVSCCBYSA-N 0 3 249.354 2.723 20 0 BFADHN CCN1CCN(Cc2cc(C)c(C)cc2C)CC1 ZINC000505429568 529148884 /nfs/dbraw/zinc/14/88/84/529148884.db2.gz YSPPVGBJLDZWFR-UHFFFAOYSA-N 0 3 246.398 2.749 20 0 BFADHN CC[C@H]1C[C@]1(NCCOC)c1cccc(C)c1 ZINC000502533018 529329533 /nfs/dbraw/zinc/32/95/33/529329533.db2.gz XLCCWCXCQPQUOI-DZGCQCFKSA-N 0 3 233.355 2.856 20 0 BFADHN CC[C@H](CN1CCN(CC)CC1)c1ccccc1 ZINC000505422592 529335817 /nfs/dbraw/zinc/33/58/17/529335817.db2.gz QSPRRLWMVXMTFQ-OAHLLOKOSA-N 0 3 246.398 2.818 20 0 BFADHN CC[C@@H](C)N1CCN(c2ccccc2C)CC1 ZINC000501100384 529356645 /nfs/dbraw/zinc/35/66/45/529356645.db2.gz ICVNUHOXYOJAKU-CQSZACIVSA-N 0 3 232.371 2.916 20 0 BFADHN CC1(C)C[C@H]1NCc1cc(F)c(F)cc1F ZINC000225261326 534932831 /nfs/dbraw/zinc/93/28/31/534932831.db2.gz IGRAVUWMNXEFCK-LLVKDONJSA-N 0 3 229.245 2.992 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3ccccc3F)C[C@@H]21 ZINC000172964655 535043551 /nfs/dbraw/zinc/04/35/51/535043551.db2.gz ZZALLWRISRQJIJ-TXEJJXNPSA-N 0 3 219.303 2.914 20 0 BFADHN CC(C)N(Cc1cnn(C(C)C)c1)C1CC1 ZINC000179485264 535117741 /nfs/dbraw/zinc/11/77/41/535117741.db2.gz OMAPHUYGFBINEE-UHFFFAOYSA-N 0 3 221.348 2.837 20 0 BFADHN CC(C)n1nccc1CN1CC[C@@H](C)[C@@H]1C ZINC000334393123 535146268 /nfs/dbraw/zinc/14/62/68/535146268.db2.gz ZHOPOBZHJGFGLY-NEPJUHHUSA-N 0 3 221.348 2.694 20 0 BFADHN CC(C)N1CCN(CCC2CCCC2)CC1 ZINC000159020578 535199502 /nfs/dbraw/zinc/19/95/02/535199502.db2.gz ZBUZKXQWMUZREY-UHFFFAOYSA-N 0 3 224.392 2.593 20 0 BFADHN CC(C)[C@H]1CC[C@@H](C)C[C@@H]1NCc1ncc[nH]1 ZINC000219869161 535205278 /nfs/dbraw/zinc/20/52/78/535205278.db2.gz IXTSRQMDXODXGL-UPJWGTAASA-N 0 3 235.375 2.960 20 0 BFADHN CCCCN1CCc2cc(OC)c(F)cc2C1 ZINC000348790623 535275757 /nfs/dbraw/zinc/27/57/57/535275757.db2.gz GPNUTNWAYAYNPH-UHFFFAOYSA-N 0 3 237.318 2.993 20 0 BFADHN CC(C)C[C@H](C)N[C@H](c1nncn1C)C(C)C ZINC000348714327 535301451 /nfs/dbraw/zinc/30/14/51/535301451.db2.gz YJWPFILPJQRSSU-RYUDHWBXSA-N 0 3 238.379 2.536 20 0 BFADHN CC(C)=CCN(C)CCc1ccncc1 ZINC000181493885 535368855 /nfs/dbraw/zinc/36/88/55/535368855.db2.gz IRPXZTXHGLZGQM-UHFFFAOYSA-N 0 3 204.317 2.522 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1Cc1nc(C)no1 ZINC000135347163 535656824 /nfs/dbraw/zinc/65/68/24/535656824.db2.gz JNLGEOZKMYQTFI-JQWIXIFHSA-N 0 3 237.347 2.779 20 0 BFADHN CC[C@@H](NCCCF)c1nccs1 ZINC000088025009 535803732 /nfs/dbraw/zinc/80/37/32/535803732.db2.gz XOQPALNSSOCBFY-MRVPVSSYSA-N 0 3 202.298 2.543 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1cn2ccccc2n1 ZINC000348088204 535823697 /nfs/dbraw/zinc/82/36/97/535823697.db2.gz QTMHTPVLHXNJFE-YPMHNXCESA-N 0 3 229.327 2.613 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](CO)c1cccc(F)c1 ZINC000348375556 535824900 /nfs/dbraw/zinc/82/49/00/535824900.db2.gz KTXGODWEOVFDOH-LERXQTSPSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2nccs2)CCO1 ZINC000070732290 535902335 /nfs/dbraw/zinc/90/23/35/535902335.db2.gz SFGUQUNNZDRHFZ-HBNTYKKESA-N 0 3 240.372 2.751 20 0 BFADHN CN(C)Cc1cccc(NC(=O)CC2CCC2)c1 ZINC000173436859 536037379 /nfs/dbraw/zinc/03/73/79/536037379.db2.gz JLZVVVPADQGVFX-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1ccc(OC)cc1OC ZINC000348103074 536100156 /nfs/dbraw/zinc/10/01/56/536100156.db2.gz LPOCUNOPRGOTRF-SMDDNHRTSA-N 0 3 249.354 2.982 20 0 BFADHN CCc1cc(N2CCC(CF)CC2)ccn1 ZINC000336268097 536144086 /nfs/dbraw/zinc/14/40/86/536144086.db2.gz UQDZRCFELHIKCX-UHFFFAOYSA-N 0 3 222.307 2.830 20 0 BFADHN CC[C@H](C)N1CCc2ccc(O)cc2C1 ZINC000336036995 536148350 /nfs/dbraw/zinc/14/83/50/536148350.db2.gz ASRCGPOJTMCSOE-JTQLQIEISA-N 0 3 205.301 2.549 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1cncs1 ZINC000224190526 536592706 /nfs/dbraw/zinc/59/27/06/536592706.db2.gz KGKJDJORZANRGY-GARJFASQSA-N 0 3 240.372 2.751 20 0 BFADHN CO[C@@H]1CCN(Cc2cccnc2C)CC1(C)C ZINC000336207261 536687492 /nfs/dbraw/zinc/68/74/92/536687492.db2.gz UPNQJISWHBXXQI-CQSZACIVSA-N 0 3 248.370 2.637 20 0 BFADHN COCC(C)(C)NCc1cc(C)ccc1F ZINC000164113209 536796680 /nfs/dbraw/zinc/79/66/80/536796680.db2.gz NJIAXCXDVADYSK-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2cncs2)C1(C)C ZINC000224519517 536808776 /nfs/dbraw/zinc/80/87/76/536808776.db2.gz BSCCVDNRELTWCU-INTQDDNPSA-N 0 3 240.372 2.607 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2ccco2)C1(C)C ZINC000224528100 536809783 /nfs/dbraw/zinc/80/97/83/536809783.db2.gz MWVRDOQYFSUDCI-ZMLRMANQSA-N 0 3 223.316 2.744 20 0 BFADHN COc1ccc2nc(CNC3CC3)sc2c1 ZINC000084786605 537054041 /nfs/dbraw/zinc/05/40/41/537054041.db2.gz LAVCOYNQHRMJPD-UHFFFAOYSA-N 0 3 234.324 2.557 20 0 BFADHN Cc1cccc(CN2CCCOCC2)c1C ZINC000334232069 538060655 /nfs/dbraw/zinc/06/06/55/538060655.db2.gz VMGTZAMPMOBXTF-UHFFFAOYSA-N 0 3 219.328 2.526 20 0 BFADHN CC(C)(CO)CNCc1sccc1Cl ZINC000379529636 538107092 /nfs/dbraw/zinc/10/70/92/538107092.db2.gz VPTTWAPGKAWCSL-UHFFFAOYSA-N 0 3 233.764 2.510 20 0 BFADHN c1cncc(CNCc2ccc3cnccc3c2)c1 ZINC000232451874 538109765 /nfs/dbraw/zinc/10/97/65/538109765.db2.gz BBACOGCUVCQIAD-UHFFFAOYSA-N 0 3 249.317 2.920 20 0 BFADHN Cc1nnc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)s1 ZINC000252812334 566442605 /nfs/dbraw/zinc/44/26/05/566442605.db2.gz PWSCRAKJUTYLIM-FXPVBKGRSA-N 0 3 239.388 2.761 20 0 BFADHN COCC[C@H](C)N1Cc2ccc(C)cc2C1 ZINC000361594552 566481881 /nfs/dbraw/zinc/48/18/81/566481881.db2.gz ORJSCVNXIBBQTA-LBPRGKRZSA-N 0 3 219.328 2.736 20 0 BFADHN COc1ccc(CN[C@H](C)CC(C)(C)OC)o1 ZINC000893068739 583041450 /nfs/dbraw/zinc/04/14/50/583041450.db2.gz NXMXYQJWYFBIFH-SNVBAGLBSA-N 0 3 241.331 2.581 20 0 BFADHN CC(C)C(NCc1nccn1C1CC1)C(C)C ZINC000893147248 583057119 /nfs/dbraw/zinc/05/71/19/583057119.db2.gz VRXCPFGDORMIOI-UHFFFAOYSA-N 0 3 235.375 2.988 20 0 BFADHN CC1=C(C)CN(c2cc(N)ncc2Cl)CC1 ZINC000893642414 583184164 /nfs/dbraw/zinc/18/41/64/583184164.db2.gz OUHHSWVFEBLYIA-UHFFFAOYSA-N 0 3 237.734 2.864 20 0 BFADHN COc1cc(C)cc(CN(C)C(C)C)c1OC ZINC000893871192 583237713 /nfs/dbraw/zinc/23/77/13/583237713.db2.gz HTQVHLXRYDFAQK-UHFFFAOYSA-N 0 3 237.343 2.852 20 0 BFADHN CCCCN(CC)Cc1[nH]nc(C)c1C ZINC000893878107 583240139 /nfs/dbraw/zinc/24/01/39/583240139.db2.gz QVPOSPAOCZLCAM-UHFFFAOYSA-N 0 3 209.337 2.649 20 0 BFADHN CCCCN(CC)Cc1n[nH]c(C)c1C ZINC000893878107 583240141 /nfs/dbraw/zinc/24/01/41/583240141.db2.gz QVPOSPAOCZLCAM-UHFFFAOYSA-N 0 3 209.337 2.649 20 0 BFADHN CCN(Cc1[nH]nc(C)c1C)[C@@H](C)C(C)C ZINC000893977860 583266458 /nfs/dbraw/zinc/26/64/58/583266458.db2.gz KVRSHUBPUKANDA-LBPRGKRZSA-N 0 3 223.364 2.893 20 0 BFADHN CC[C@]1(C)CCN(Cc2ocnc2C)C1 ZINC000894086751 583297334 /nfs/dbraw/zinc/29/73/34/583297334.db2.gz LYRVQSVUXKEVGX-GFCCVEGCSA-N 0 3 208.305 2.605 20 0 BFADHN Fc1cc(CN2CCC[C@H]2C2CCC2)ccn1 ZINC000859118460 582484098 /nfs/dbraw/zinc/48/40/98/582484098.db2.gz NABCQUKAPHJRAL-ZDUSSCGKSA-N 0 3 234.318 2.985 20 0 BFADHN CCCCCCC(=O)N(CC)[C@H]1CCN(C)C1 ZINC000855363691 588829468 /nfs/dbraw/zinc/82/94/68/588829468.db2.gz VBUNZZHFTLAADZ-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccns1)C1CCC1 ZINC000860059774 582499994 /nfs/dbraw/zinc/49/99/94/582499994.db2.gz OYDMPLGPPKBCLG-KOLCDFICSA-N 0 3 240.372 2.609 20 0 BFADHN CSCC[C@H](C)N(C)C/C=C/Cl ZINC000135240331 582678163 /nfs/dbraw/zinc/67/81/63/582678163.db2.gz CORXVUGTJIDRHA-DNQSNQRASA-N 0 3 207.770 2.812 20 0 BFADHN Cc1cc(N)nc(NC[C@@H](C)c2ccccc2)n1 ZINC000891510601 582694415 /nfs/dbraw/zinc/69/44/15/582694415.db2.gz HEBWHVDKLBKSDK-SNVBAGLBSA-N 0 3 242.326 2.583 20 0 BFADHN CCC[C@H](C)N(C)Cc1cn(CC)nc1C ZINC000891626228 582703320 /nfs/dbraw/zinc/70/33/20/582703320.db2.gz VZMWHQPFSCXUCF-NSHDSACASA-N 0 3 223.364 2.832 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cn(C)nc1C1CC1 ZINC000891642671 582704617 /nfs/dbraw/zinc/70/46/17/582704617.db2.gz BLXDMJVIEOYSAA-SNVBAGLBSA-N 0 3 233.359 2.528 20 0 BFADHN CC(C)N(Cc1cccc2c1OCC2)C1CC1 ZINC000891663242 582707396 /nfs/dbraw/zinc/70/73/96/582707396.db2.gz XDWJUGYPBMVJMT-UHFFFAOYSA-N 0 3 231.339 2.994 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnn(C)c2C2CC2)C1 ZINC000891708328 582711894 /nfs/dbraw/zinc/71/18/94/582711894.db2.gz WWTKTVNBYRKUNN-GFCCVEGCSA-N 0 3 247.386 2.920 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccc(OC)o1 ZINC000891779765 582719392 /nfs/dbraw/zinc/71/93/92/582719392.db2.gz OAVZCQMSZISEDZ-SNVBAGLBSA-N 0 3 209.289 2.663 20 0 BFADHN CC[C@H]1CCCN1Cc1cn(CC)nc1C ZINC000891779776 582720598 /nfs/dbraw/zinc/72/05/98/582720598.db2.gz OGGWUEXQMANBSZ-ZDUSSCGKSA-N 0 3 221.348 2.586 20 0 BFADHN C[C@H]1CCN(Cc2cnn(CC3CCC3)c2)C1 ZINC000891796086 582723214 /nfs/dbraw/zinc/72/32/14/582723214.db2.gz BODDGSLBAFDCQR-LBPRGKRZSA-N 0 3 233.359 2.525 20 0 BFADHN CCOc1ccc(CN2CC[C@H](C)C2)o1 ZINC000891797493 582723834 /nfs/dbraw/zinc/72/38/34/582723834.db2.gz KUKYQXUFOMDBII-JTQLQIEISA-N 0 3 209.289 2.520 20 0 BFADHN C[C@@H]1CCCN(Cc2cn(C)nc2C2CC2)CC1 ZINC000891821125 582726006 /nfs/dbraw/zinc/72/60/06/582726006.db2.gz DPAIPEQIFKYBSF-GFCCVEGCSA-N 0 3 247.386 2.920 20 0 BFADHN C[C@@H]1CCCN(Cc2cnn(C)c2C2CC2)[C@H]1C ZINC000891863384 582731075 /nfs/dbraw/zinc/73/10/75/582731075.db2.gz WGPBXLOXATYIIF-NEPJUHHUSA-N 0 3 247.386 2.918 20 0 BFADHN C[C@@H]1CCCN(Cc2c3c(nn2C)CCC3)[C@H]1C ZINC000891863051 582731477 /nfs/dbraw/zinc/73/14/77/582731477.db2.gz SXAKRFGGRQMBCF-NEPJUHHUSA-N 0 3 247.386 2.529 20 0 BFADHN Cn1ncc(CN2CCC(C)(C)CC2)c1C1CC1 ZINC000891909989 582736196 /nfs/dbraw/zinc/73/61/96/582736196.db2.gz BVILMYLCJYJTLM-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN COc1ccc(CN2CCC(C)(C)CC2)o1 ZINC000891910767 582737276 /nfs/dbraw/zinc/73/72/76/582737276.db2.gz JUYYJRFLHOPAKC-UHFFFAOYSA-N 0 3 223.316 2.910 20 0 BFADHN c1cc(CN2CCC[C@@H]2C2CC2)n(C2CCC2)n1 ZINC000891933880 582740580 /nfs/dbraw/zinc/74/05/80/582740580.db2.gz WJIZZAXKLAPLEC-OAHLLOKOSA-N 0 3 245.370 2.983 20 0 BFADHN CC1(C)CCN(Cc2cccc3[nH]c(=O)oc32)C1 ZINC000892021813 582763788 /nfs/dbraw/zinc/76/37/88/582763788.db2.gz NSYDELHOAAXXEU-UHFFFAOYSA-N 0 3 246.310 2.765 20 0 BFADHN CCN(Cc1ccnn1C1CCC1)CC1CC1 ZINC000892036624 582769178 /nfs/dbraw/zinc/76/91/78/582769178.db2.gz XFBCDJJJUXQFPK-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN c1cn(C2CC2)c(CN(CC2CC2)CC2CC2)n1 ZINC000892061973 582780405 /nfs/dbraw/zinc/78/04/05/582780405.db2.gz WZTKKHLDRQLLTN-UHFFFAOYSA-N 0 3 245.370 2.840 20 0 BFADHN C[C@H]1CN(Cc2cnn(C)c2C2CC2)CC[C@@H]1C ZINC000892065264 582782039 /nfs/dbraw/zinc/78/20/39/582782039.db2.gz KSFJHYRBSIGEBH-RYUDHWBXSA-N 0 3 247.386 2.775 20 0 BFADHN C[C@H]1CN(Cc2cn3ccnc3s2)CC[C@@H]1C ZINC000892064921 582782255 /nfs/dbraw/zinc/78/22/55/582782255.db2.gz GVDAFUJQZSPSNO-QWRGUYRKSA-N 0 3 249.383 2.874 20 0 BFADHN C[C@@H]1CCN(Cc2cn(C)nc2C2CC2)C[C@H]1C ZINC000892066583 582782864 /nfs/dbraw/zinc/78/28/64/582782864.db2.gz WOURFOGGGHINJZ-VXGBXAGGSA-N 0 3 247.386 2.775 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2cnn(C)c2C2CC2)C1 ZINC000892067415 582782941 /nfs/dbraw/zinc/78/29/41/582782941.db2.gz QEFPEABTRZGRBG-RYUDHWBXSA-N 0 3 247.386 2.918 20 0 BFADHN CCN(Cc1cn2ccnc2s1)C1CCC1 ZINC000892085923 582788237 /nfs/dbraw/zinc/78/82/37/582788237.db2.gz LGJMCHOJHYAWSV-UHFFFAOYSA-N 0 3 235.356 2.770 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cn3ccnc3s2)C1 ZINC000892103148 582791869 /nfs/dbraw/zinc/79/18/69/582791869.db2.gz IXRYZODBGJVBAV-UWVGGRQHSA-N 0 3 235.356 2.626 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cn3ccnc3s2)C1 ZINC000892103147 582791965 /nfs/dbraw/zinc/79/19/65/582791965.db2.gz IXRYZODBGJVBAV-NXEZZACHSA-N 0 3 235.356 2.626 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cn(C)nc2C2CC2)C1 ZINC000892146144 582800529 /nfs/dbraw/zinc/80/05/29/582800529.db2.gz MTHFSTLHHDDPBH-HNNXBMFYSA-N 0 3 247.386 2.920 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](C)[C@H]2C)o1 ZINC000892215171 582808489 /nfs/dbraw/zinc/80/84/89/582808489.db2.gz SNDPRLPEKNWIDR-GHMZBOCLSA-N 0 3 223.316 2.909 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1ccnn1C1CCC1 ZINC000892224090 582809777 /nfs/dbraw/zinc/80/97/77/582809777.db2.gz QJNJPOCLTZMDRA-VXGBXAGGSA-N 0 3 233.359 2.981 20 0 BFADHN C[C@@H](N[C@H]1CCC(F)(F)C1)c1cncs1 ZINC000393611015 582820234 /nfs/dbraw/zinc/82/02/34/582820234.db2.gz GUMWLHKNBZCGNU-SFYZADRCSA-N 0 3 232.299 2.982 20 0 BFADHN COc1ccc(CN2CC[C@@H]2C(C)C)o1 ZINC000892403930 582877571 /nfs/dbraw/zinc/87/75/71/582877571.db2.gz ULNYSBDBVSNWPJ-LLVKDONJSA-N 0 3 209.289 2.519 20 0 BFADHN COc1ccc(CNCCc2ccccc2C)o1 ZINC000892413522 582881920 /nfs/dbraw/zinc/88/19/20/582881920.db2.gz RUDOUSDDBOTJOV-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN CCC[C@@H](C)NCc1ccc(OCCOC)o1 ZINC000892441061 582887443 /nfs/dbraw/zinc/88/74/43/582887443.db2.gz IVFJULMAKUQTGP-LLVKDONJSA-N 0 3 241.331 2.583 20 0 BFADHN COc1ccc(CNC2(C)CCCC2)o1 ZINC000892459993 582892060 /nfs/dbraw/zinc/89/20/60/582892060.db2.gz KWSVERNAGOUQHN-UHFFFAOYSA-N 0 3 209.289 2.711 20 0 BFADHN [O-]c1cc(Cl)cc(C[NH2+]CC2CC2)c1 ZINC000892532099 582907017 /nfs/dbraw/zinc/90/70/17/582907017.db2.gz ILJQXVBQXCWIAP-UHFFFAOYSA-N 0 3 211.692 2.545 20 0 BFADHN Cc1cc(CNC2CC2)c2c(n1)CCCC2 ZINC000892480654 582896501 /nfs/dbraw/zinc/89/65/01/582896501.db2.gz FPVQDSDSLAFYCP-UHFFFAOYSA-N 0 3 216.328 2.521 20 0 BFADHN COc1ccc(CNCC2CCCC2)o1 ZINC000892510672 582902302 /nfs/dbraw/zinc/90/23/02/582902302.db2.gz QPHJDGMXMGHJKF-UHFFFAOYSA-N 0 3 209.289 2.568 20 0 BFADHN COc1ccc(CNCCCC(C)C)nc1 ZINC000892515682 582904790 /nfs/dbraw/zinc/90/47/90/582904790.db2.gz XJVKCLVRZTYMIY-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN C[C@H](N[C@@H]1CCC(F)(F)C1)c1ccncc1 ZINC000393739362 582920575 /nfs/dbraw/zinc/92/05/75/582920575.db2.gz ABDZGGWUVMIXDJ-GXSJLCMTSA-N 0 3 226.270 2.920 20 0 BFADHN CON(C)CCN[C@H](C)c1cc(C)sc1C ZINC000850480301 582921140 /nfs/dbraw/zinc/92/11/40/582921140.db2.gz OYCSICFKBYCYQH-SNVBAGLBSA-N 0 3 242.388 2.509 20 0 BFADHN CCn1cc(CNCc2ccc(C)s2)c(C)n1 ZINC000892604708 582926758 /nfs/dbraw/zinc/92/67/58/582926758.db2.gz GMQKOGXVONNSAS-UHFFFAOYSA-N 0 3 249.383 2.871 20 0 BFADHN CCCSC[C@@H](C)NCc1c(C)noc1C ZINC000892674143 582948384 /nfs/dbraw/zinc/94/83/84/582948384.db2.gz LZWOQTDDGOXZHP-SECBINFHSA-N 0 3 242.388 2.913 20 0 BFADHN COC(CCN1C[C@H](C)[C@H]1c1ccccc1)OC ZINC000851800773 582967593 /nfs/dbraw/zinc/96/75/93/582967593.db2.gz QQDZWUJHLWXMEE-WFASDCNBSA-N 0 3 249.354 2.688 20 0 BFADHN CC[C@@H](N[C@@H](C)COC(C)=O)c1ccccc1 ZINC000892738957 582973050 /nfs/dbraw/zinc/97/30/50/582973050.db2.gz CEJSCVNJHIEROH-SMDDNHRTSA-N 0 3 235.327 2.679 20 0 BFADHN COc1ccc(CN[C@@H]2CCC[C@@H]2C)o1 ZINC000892945539 583014348 /nfs/dbraw/zinc/01/43/48/583014348.db2.gz BBEIIIOSGLKTED-GXSJLCMTSA-N 0 3 209.289 2.566 20 0 BFADHN Cc1oc(C(C)(C)C)cc1CNCC[C@@H](C)O ZINC000892967392 583022304 /nfs/dbraw/zinc/02/23/04/583022304.db2.gz CSENATBKEFMELH-SNVBAGLBSA-N 0 3 239.359 2.746 20 0 BFADHN COc1ccc(CNCCCC(F)(F)F)o1 ZINC000893057668 583038400 /nfs/dbraw/zinc/03/84/00/583038400.db2.gz XCALLCUZTZZWJZ-UHFFFAOYSA-N 0 3 237.221 2.720 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CNCCCF ZINC000893124448 583052758 /nfs/dbraw/zinc/05/27/58/583052758.db2.gz XRSOUYOGXYYDDD-UHFFFAOYSA-N 0 3 227.327 2.530 20 0 BFADHN COCC1(NCc2ccc(CC(C)C)nc2)CC1 ZINC000893167019 583062497 /nfs/dbraw/zinc/06/24/97/583062497.db2.gz FJXDZUXHXSMPJU-UHFFFAOYSA-N 0 3 248.370 2.549 20 0 BFADHN Fc1cc(CN[C@@H]2CCOC2)ccc1C(F)F ZINC000893180169 583065345 /nfs/dbraw/zinc/06/53/45/583065345.db2.gz CRFABCOYZMYHBO-SECBINFHSA-N 0 3 245.244 2.642 20 0 BFADHN COc1ccc(CN[C@H](C)CC(C)C)nc1 ZINC000893180657 583065970 /nfs/dbraw/zinc/06/59/70/583065970.db2.gz GYCBNDDBDYKSEM-LLVKDONJSA-N 0 3 222.332 2.614 20 0 BFADHN Cc1oc(C(C)(C)C)cc1CN[C@@H]1CCOC1 ZINC000893183253 583066485 /nfs/dbraw/zinc/06/64/85/583066485.db2.gz VGWZXBYHVWFJKX-GFCCVEGCSA-N 0 3 237.343 2.764 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cn(C)nc1Cl ZINC000856767246 588876199 /nfs/dbraw/zinc/87/61/99/588876199.db2.gz KCILNNAHKWSXKM-BDAKNGLRSA-N 0 3 229.755 2.598 20 0 BFADHN C[C@H]1CCCC[C@H]1NCc1cn(C)nc1Cl ZINC000856764268 588876247 /nfs/dbraw/zinc/87/62/47/588876247.db2.gz UFLPSODWUNJCNP-GXSJLCMTSA-N 0 3 241.766 2.742 20 0 BFADHN CC(C)C1(C)CCN(c2ccncc2CO)CC1 ZINC000893264048 583080401 /nfs/dbraw/zinc/08/04/01/583080401.db2.gz BIDQUBMWULTGGA-UHFFFAOYSA-N 0 3 248.370 2.836 20 0 BFADHN CC[C@H](CSC)NCc1cnc(F)cc1C ZINC000893280144 583083228 /nfs/dbraw/zinc/08/32/28/583083228.db2.gz WHYKFMACQUJBBN-LLVKDONJSA-N 0 3 242.363 2.760 20 0 BFADHN CC1(C)C(CNCc2nccs2)C1(C)C ZINC000086239352 583085229 /nfs/dbraw/zinc/08/52/29/583085229.db2.gz PSXSZUGQOBEADK-UHFFFAOYSA-N 0 3 224.373 2.915 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2cn(C)nc2Cl)C1 ZINC000856783793 588877402 /nfs/dbraw/zinc/87/74/02/588877402.db2.gz HECSYRALVLBGQH-NXEZZACHSA-N 0 3 241.766 2.599 20 0 BFADHN Cn1cc(CNCC2CC(C)(C)C2)c(Cl)n1 ZINC000856781094 588877429 /nfs/dbraw/zinc/87/74/29/588877429.db2.gz OQHQWVOOCCIIIE-UHFFFAOYSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@@H](NCc1cn(C)nc1Cl)C(C)(C)C ZINC000856793641 588878348 /nfs/dbraw/zinc/87/83/48/588878348.db2.gz NNRKEXSEEXQTFM-MRVPVSSYSA-N 0 3 229.755 2.598 20 0 BFADHN CCCCNC(=O)[C@H](C)N1CCCCCCC1 ZINC000856881097 588879447 /nfs/dbraw/zinc/87/94/47/588879447.db2.gz AMPPKSYYOBHTEI-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN COc1ccc(CNC[C@H]2CCCCS2)o1 ZINC000893513484 583155401 /nfs/dbraw/zinc/15/54/01/583155401.db2.gz SXPVACVPMUDSBT-LLVKDONJSA-N 0 3 241.356 2.664 20 0 BFADHN CC[C@H]1CCCN1C[C@@H](O)c1ccccc1F ZINC000219451400 583175112 /nfs/dbraw/zinc/17/51/12/583175112.db2.gz OOHLDJHDDFFYBC-SMDDNHRTSA-N 0 3 237.318 2.734 20 0 BFADHN c1cnc2c(c1)CC[C@H]2NCC[C@@H]1CCCCO1 ZINC000223768529 583181114 /nfs/dbraw/zinc/18/11/14/583181114.db2.gz ARCYVBVCTNULRR-UONOGXRCSA-N 0 3 246.354 2.618 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cn(C)nc1Cl ZINC000857040460 588883565 /nfs/dbraw/zinc/88/35/65/588883565.db2.gz ZFNRDWKSYQTXDI-GXSJLCMTSA-N 0 3 241.766 2.694 20 0 BFADHN Cc1csc(CNC[C@H](C)C2CC2)n1 ZINC000124320359 583207350 /nfs/dbraw/zinc/20/73/50/583207350.db2.gz JAXBUBLPIFRGLO-QMMMGPOBSA-N 0 3 210.346 2.587 20 0 BFADHN C=C/C=C\CCOC(=O)C12CCCN(CCC1)C2 ZINC000893781801 583223864 /nfs/dbraw/zinc/22/38/64/583223864.db2.gz RODALLUFIDPZPU-ARJAWSKDSA-N 0 3 249.354 2.538 20 0 BFADHN Cc1coc(CN(CCO)C2CCCCC2)c1 ZINC000893864260 583235250 /nfs/dbraw/zinc/23/52/50/583235250.db2.gz VXBFBLYAKFBWGT-UHFFFAOYSA-N 0 3 237.343 2.715 20 0 BFADHN Cc1noc2ncc(CN3CCCCCC3)cc12 ZINC000893871367 583237519 /nfs/dbraw/zinc/23/75/19/583237519.db2.gz MDODCBVMZNIUJM-UHFFFAOYSA-N 0 3 245.326 2.907 20 0 BFADHN CCCN(C)Cc1cc(C)cc(OC)c1OC ZINC000893923542 583248645 /nfs/dbraw/zinc/24/86/45/583248645.db2.gz MEKDVEFBRNBMQQ-UHFFFAOYSA-N 0 3 237.343 2.854 20 0 BFADHN Cc1ncoc1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000893929610 583250673 /nfs/dbraw/zinc/25/06/73/583250673.db2.gz VDYOXSMJNJKJEA-PWSUYJOCSA-N 0 3 222.332 2.994 20 0 BFADHN CSc1ccc(CN(C)CC2CC2)o1 ZINC000893967205 583264115 /nfs/dbraw/zinc/26/41/15/583264115.db2.gz XDPPYZFHIQIOPB-UHFFFAOYSA-N 0 3 211.330 2.843 20 0 BFADHN CC[C@H]1CCCN(Cc2c(C)ccnc2N)C1 ZINC000893961313 583255832 /nfs/dbraw/zinc/25/58/32/583255832.db2.gz FHBMFMJQZPZBMN-LBPRGKRZSA-N 0 3 233.359 2.594 20 0 BFADHN Cc1noc2ncc(CN(C)[C@H](C)C3CC3)cc12 ZINC000893947669 583258960 /nfs/dbraw/zinc/25/89/60/583258960.db2.gz ZAGVJTSXLFMXFG-SNVBAGLBSA-N 0 3 245.326 2.762 20 0 BFADHN Cc1ncoc1CN1CC[C@@H](c2ccccc2)C1 ZINC000893948388 583259035 /nfs/dbraw/zinc/25/90/35/583259035.db2.gz VTMHOUQJOSZELH-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN Cc1n[nH]c(CN(C)[C@H](C)C(C)(C)C)c1C ZINC000893952995 583261825 /nfs/dbraw/zinc/26/18/25/583261825.db2.gz OYJARGMSZFHJIB-LLVKDONJSA-N 0 3 223.364 2.893 20 0 BFADHN Cc1[nH]nc(CN(C)[C@H](C)C(C)(C)C)c1C ZINC000893952995 583261826 /nfs/dbraw/zinc/26/18/26/583261826.db2.gz OYJARGMSZFHJIB-LLVKDONJSA-N 0 3 223.364 2.893 20 0 BFADHN Cc1ncoc1CN(C)C1Cc2ccccc2C1 ZINC000893974986 583265089 /nfs/dbraw/zinc/26/50/89/583265089.db2.gz ZUWPEEYSDMFHKB-UHFFFAOYSA-N 0 3 242.322 2.582 20 0 BFADHN CCN(Cc1ocnc1C)[C@@H](C)C(C)C ZINC000893977980 583266365 /nfs/dbraw/zinc/26/63/65/583266365.db2.gz MFPXLWBDDLWNKC-NSHDSACASA-N 0 3 210.321 2.849 20 0 BFADHN Cc1n[nH]c(CN2CCC[C@H](C)[C@H]2C)c1C ZINC000893988052 583270061 /nfs/dbraw/zinc/27/00/61/583270061.db2.gz YBCLDGLONMZAQU-JOYOIKCWSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1coc(CN2CC[C@H](N3CCCCC3)C2)c1 ZINC000894002340 583272371 /nfs/dbraw/zinc/27/23/71/583272371.db2.gz QCOZHVVVZOBABY-AWEZNQCLSA-N 0 3 248.370 2.648 20 0 BFADHN CCC(C)(C)N(C)Cc1[nH]nc(C)c1C ZINC000894018847 583273456 /nfs/dbraw/zinc/27/34/56/583273456.db2.gz IAQFNPVBNLHCJX-UHFFFAOYSA-N 0 3 209.337 2.647 20 0 BFADHN COC[C@@H]1CCN(C/C=C/c2cccc(F)c2)C1 ZINC000894020816 583274550 /nfs/dbraw/zinc/27/45/50/583274550.db2.gz RFHURTGXKYGIFL-LYKUJDHUSA-N 0 3 249.329 2.807 20 0 BFADHN Cc1noc2ncc(CN3CCC[C@@H]3C)cc12 ZINC000894021312 583274827 /nfs/dbraw/zinc/27/48/27/583274827.db2.gz MFXITNJLASHBJT-VIFPVBQESA-N 0 3 231.299 2.516 20 0 BFADHN Cc1noc2ncc(CN3CCC[C@H]3C)cc12 ZINC000894021311 583274977 /nfs/dbraw/zinc/27/49/77/583274977.db2.gz MFXITNJLASHBJT-SECBINFHSA-N 0 3 231.299 2.516 20 0 BFADHN Cn1ncc(CN[C@@H]2CC23CCCC3)c1C1CC1 ZINC000894033387 583277389 /nfs/dbraw/zinc/27/73/89/583277389.db2.gz FXDLTGCPDHDWCK-CYBMUJFWSA-N 0 3 245.370 2.720 20 0 BFADHN Cc1cc(F)ncc1CN[C@H]1CC12CCCC2 ZINC000894034916 583278847 /nfs/dbraw/zinc/27/88/47/583278847.db2.gz QFBDSASTXOJQSW-LBPRGKRZSA-N 0 3 234.318 2.951 20 0 BFADHN CC[C@](C)(CNc1cc(N)ncc1Cl)OC ZINC000894054156 583289769 /nfs/dbraw/zinc/28/97/69/583289769.db2.gz SXIXZXVRFMINOM-LLVKDONJSA-N 0 3 243.738 2.544 20 0 BFADHN Cc1coc(CN2C[C@@H]3[C@H](C2)C3(C)C)c1 ZINC000894053126 583290211 /nfs/dbraw/zinc/29/02/11/583290211.db2.gz LZFYLWYBOZIATD-TXEJJXNPSA-N 0 3 205.301 2.676 20 0 BFADHN CCC[C@@H]1CCCN(Cc2c(C)ccnc2N)C1 ZINC000894064882 583293427 /nfs/dbraw/zinc/29/34/27/583293427.db2.gz MZQBPGCCZNPMRL-CYBMUJFWSA-N 0 3 247.386 2.984 20 0 BFADHN CC[C@@H]1CN(C/C=C/c2cccc(F)c2)C[C@H]1O ZINC000894096500 583299405 /nfs/dbraw/zinc/29/94/05/583299405.db2.gz XGHCVBRBRCIKFS-ZZEYUXRUSA-N 0 3 249.329 2.542 20 0 BFADHN Cc1noc2ncc(CN3[C@H](C)CC[C@H]3C)cc12 ZINC000894096990 583301846 /nfs/dbraw/zinc/30/18/46/583301846.db2.gz YNZYGUXZNRVZKZ-NXEZZACHSA-N 0 3 245.326 2.904 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1cccc2c1OCC2 ZINC000894138502 583314921 /nfs/dbraw/zinc/31/49/21/583314921.db2.gz FEYSDNHTTNTTFJ-QWRGUYRKSA-N 0 3 217.312 2.604 20 0 BFADHN Cc1ncoc1CN[C@@H]1CCC[C@@H](C)C1 ZINC000894166615 583323684 /nfs/dbraw/zinc/32/36/84/583323684.db2.gz YRKBAGIWTYUCES-MWLCHTKSSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1ncoc1CN[C@H]1CCC[C@H](C)C1 ZINC000894166617 583323832 /nfs/dbraw/zinc/32/38/32/583323832.db2.gz YRKBAGIWTYUCES-ONGXEEELSA-N 0 3 208.305 2.651 20 0 BFADHN COc1ccc(CNC[C@H]2CC[C@@H](C)C2)nc1 ZINC000894174285 583326786 /nfs/dbraw/zinc/32/67/86/583326786.db2.gz JYEHCAZAEVWYON-NEPJUHHUSA-N 0 3 234.343 2.616 20 0 BFADHN Cc1ncoc1CNC[C@H]1CCC[C@H](C)C1 ZINC000894201330 583337335 /nfs/dbraw/zinc/33/73/35/583337335.db2.gz ZAAFJAMKRUEHOB-JQWIXIFHSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCCC[C@H]1C ZINC000894204083 583338765 /nfs/dbraw/zinc/33/87/65/583338765.db2.gz IGXHHYIVTDUHEU-PWSUYJOCSA-N 0 3 222.332 2.899 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCC[C@@H]2F)CS1 ZINC000877681697 583343905 /nfs/dbraw/zinc/34/39/05/583343905.db2.gz AAXKGIFVAORRHD-CHWFTXMASA-N 0 3 217.353 2.751 20 0 BFADHN C[C@@]1(C(F)(F)F)CCN(C[C@H]2CCC=CO2)C1 ZINC000877812618 583348857 /nfs/dbraw/zinc/34/88/57/583348857.db2.gz RQGQFQSCOFPLTK-GHMZBOCLSA-N 0 3 249.276 2.953 20 0 BFADHN Cl/C=C/CN1CCC[C@]2(CCCOC2)C1 ZINC000747531628 583363338 /nfs/dbraw/zinc/36/33/38/583363338.db2.gz DDILRQCDXGCBRU-UXONFWTHSA-N 0 3 229.751 2.632 20 0 BFADHN FC(F)[C@@H]1CCN(C[C@@H]2C[C@@H]3CCC[C@@H]3O2)C1 ZINC000878319836 583369766 /nfs/dbraw/zinc/36/97/66/583369766.db2.gz BURUZKCWEKOSEZ-USZNOCQGSA-N 0 3 245.313 2.531 20 0 BFADHN C[C@H](CN1CC[S@](=O)CC1(C)C)C(C)(C)C ZINC000878476453 583375661 /nfs/dbraw/zinc/37/56/61/583375661.db2.gz KFZLUCLOUOJINC-BZNIZROVSA-N 0 3 245.432 2.512 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](C)C2CC2)no1 ZINC000389856735 583405454 /nfs/dbraw/zinc/40/54/54/583405454.db2.gz AGWXIZNUIAGGCS-PSASIEDQSA-N 0 3 208.305 2.680 20 0 BFADHN CC(C)CCN(CCC(C)C)CN1CCC1=O ZINC000857229609 588896812 /nfs/dbraw/zinc/89/68/12/588896812.db2.gz WYENVJLPVGKQNB-UHFFFAOYSA-N 0 3 240.391 2.570 20 0 BFADHN C[C@@H](CNCc1c[nH]cn1)Sc1ccccc1 ZINC000894312572 583419832 /nfs/dbraw/zinc/41/98/32/583419832.db2.gz LCRKNGMOTRPZBC-NSHDSACASA-N 0 3 247.367 2.680 20 0 BFADHN C[C@@H](CNCc1cnc[nH]1)Sc1ccccc1 ZINC000894312572 583419835 /nfs/dbraw/zinc/41/98/35/583419835.db2.gz LCRKNGMOTRPZBC-NSHDSACASA-N 0 3 247.367 2.680 20 0 BFADHN Cc1ncoc1CNCC1(C(C)C)CC1 ZINC000894317711 583421074 /nfs/dbraw/zinc/42/10/74/583421074.db2.gz PQLYISDMBUDZFW-UHFFFAOYSA-N 0 3 208.305 2.509 20 0 BFADHN Cc1ccnc(N)c1CNCC1(CC(C)C)CC1 ZINC000894331126 583425343 /nfs/dbraw/zinc/42/53/43/583425343.db2.gz BUAGQFUWPYAEKZ-UHFFFAOYSA-N 0 3 247.386 2.888 20 0 BFADHN CC1(F)CCN(C[C@@H]2C[C@@H]3CCC[C@@H]3O2)CC1 ZINC000879383271 583428090 /nfs/dbraw/zinc/42/80/90/583428090.db2.gz GPVWMLFXYNHLKU-AVGNSLFASA-N 0 3 241.350 2.768 20 0 BFADHN COc1ccc(CN[C@H]2COCC2(C)C)c(C)c1 ZINC000894352229 583433320 /nfs/dbraw/zinc/43/33/20/583433320.db2.gz QVDMYUBAEFXOSX-AWEZNQCLSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1ncoc1CNCC12CCC(CC1)C2 ZINC000894366907 583438914 /nfs/dbraw/zinc/43/89/14/583438914.db2.gz OJLREBRPPTUWSX-UHFFFAOYSA-N 0 3 220.316 2.653 20 0 BFADHN CC[C@H](CN1CC[C@](C)(C(F)(F)F)C1)OC ZINC000880004026 583453497 /nfs/dbraw/zinc/45/34/97/583453497.db2.gz PSNHVMWLBUKYJM-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CC[C@@H](CN1CC[C@](C)(C(F)(F)F)C1)OC ZINC000880004023 583453535 /nfs/dbraw/zinc/45/35/35/583453535.db2.gz PSNHVMWLBUKYJM-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1coc(CN(C)C[C@H]2CCCC[C@@H]2O)c1 ZINC000894420530 583455136 /nfs/dbraw/zinc/45/51/36/583455136.db2.gz OHXDLMKGVMBOCI-OCCSQVGLSA-N 0 3 237.343 2.571 20 0 BFADHN C[C@H](O)[C@@H]1CCN(C/C=C\c2cccc(F)c2)C1 ZINC000894430436 583457558 /nfs/dbraw/zinc/45/75/58/583457558.db2.gz FOPTZNLNKQGNCC-LPQXIQMLSA-N 0 3 249.329 2.542 20 0 BFADHN CS[C@@H](CNCc1ocnc1C)C(C)(C)C ZINC000894446304 583461325 /nfs/dbraw/zinc/46/13/25/583461325.db2.gz YXJOKUDTAHGLJT-NSHDSACASA-N 0 3 242.388 2.850 20 0 BFADHN Cc1n[nH]c(CN2CC[C@H](C)C(C)(C)C2)c1C ZINC000894464559 583466597 /nfs/dbraw/zinc/46/65/97/583466597.db2.gz RRSYGBVBPVHSAQ-JTQLQIEISA-N 0 3 235.375 2.895 20 0 BFADHN Cc1[nH]nc(CN2CC[C@H](C)C(C)(C)C2)c1C ZINC000894464559 583466598 /nfs/dbraw/zinc/46/65/98/583466598.db2.gz RRSYGBVBPVHSAQ-JTQLQIEISA-N 0 3 235.375 2.895 20 0 BFADHN Cc1coc(CN2CCCC3(CC3)C2)c1 ZINC000894483761 583469830 /nfs/dbraw/zinc/46/98/30/583469830.db2.gz OTOBOQGMZXGDCP-UHFFFAOYSA-N 0 3 205.301 2.964 20 0 BFADHN CC[C@H](CSC)N(C)Cc1ccc(OC)o1 ZINC000894497306 583473074 /nfs/dbraw/zinc/47/30/74/583473074.db2.gz WWFAXSJDIVTPEK-SNVBAGLBSA-N 0 3 243.372 2.862 20 0 BFADHN CCc1onc(C)c1CNCCC1(F)CCC1 ZINC000894505406 583474336 /nfs/dbraw/zinc/47/43/36/583474336.db2.gz AYFNHKUJMBXJGJ-UHFFFAOYSA-N 0 3 240.322 2.917 20 0 BFADHN Cc1cc(C)cc(CNc2nc(C)cc(N)n2)c1 ZINC000894535212 583478497 /nfs/dbraw/zinc/47/84/97/583478497.db2.gz AVFRVZMOTJHBPP-UHFFFAOYSA-N 0 3 242.326 2.596 20 0 BFADHN C[C@@H]1CCN(C/C=C/c2cccc(F)c2)[C@@H]1CO ZINC000894535101 583479167 /nfs/dbraw/zinc/47/91/67/583479167.db2.gz WTYCQHZLBPWDQD-BYSCNOHMSA-N 0 3 249.329 2.542 20 0 BFADHN Cc1n[nH]c(CN2C[C@H](C)C[C@H](C)[C@H]2C)c1C ZINC000894540711 583480957 /nfs/dbraw/zinc/48/09/57/583480957.db2.gz LWSPXHVOOLKYLL-GBIKHYSHSA-N 0 3 235.375 2.893 20 0 BFADHN Cc1n[nH]c(CN2C[C@H](C)C[C@H](C)[C@@H]2C)c1C ZINC000894540713 583481043 /nfs/dbraw/zinc/48/10/43/583481043.db2.gz LWSPXHVOOLKYLL-NRUUGDAUSA-N 0 3 235.375 2.893 20 0 BFADHN CC(C)=CCNCc1cnc2onc(C)c2c1 ZINC000894543887 583481701 /nfs/dbraw/zinc/48/17/01/583481701.db2.gz XZXAUBPIIYQIED-UHFFFAOYSA-N 0 3 231.299 2.587 20 0 BFADHN Cc1n[nH]c(CN2CC3(CCC3)C[C@H]2C)c1C ZINC000894567104 583485034 /nfs/dbraw/zinc/48/50/34/583485034.db2.gz QHDKPYFZNWGYBW-SNVBAGLBSA-N 0 3 233.359 2.791 20 0 BFADHN Cc1ncoc1CN1CC2(CCC2)C[C@H]1C ZINC000894567892 583485093 /nfs/dbraw/zinc/48/50/93/583485093.db2.gz XZAVINDKILVWKQ-SNVBAGLBSA-N 0 3 220.316 2.748 20 0 BFADHN CC(C)O[C@@H]1C[C@H](N[C@@H](C)CF)C1(C)C ZINC000894601141 583490905 /nfs/dbraw/zinc/49/09/05/583490905.db2.gz QYOZXSNLRWUIMY-GARJFASQSA-N 0 3 217.328 2.526 20 0 BFADHN CC(C)O[C@H]1C[C@H](N[C@@H](C)CF)C1(C)C ZINC000894601139 583491154 /nfs/dbraw/zinc/49/11/54/583491154.db2.gz QYOZXSNLRWUIMY-DCAQKATOSA-N 0 3 217.328 2.526 20 0 BFADHN C[C@@H](CF)NC[C@H]1CCc2ccccc21 ZINC000894601175 583491427 /nfs/dbraw/zinc/49/14/27/583491427.db2.gz RITGMAQIGWERLC-CMPLNLGQSA-N 0 3 207.292 2.664 20 0 BFADHN C[C@@H]1CN(C[C@@H]2C[C@]2(C)Br)[C@H]1C ZINC000894627308 583495470 /nfs/dbraw/zinc/49/54/70/583495470.db2.gz FORARMGXJRVMEK-KATARQTJSA-N 0 3 232.165 2.500 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CNCC[C@@H](C)F ZINC000894622781 583496469 /nfs/dbraw/zinc/49/64/69/583496469.db2.gz CPOPPHIYVOQBJW-SNVBAGLBSA-N 0 3 241.354 2.919 20 0 BFADHN COc1ccc(CN2C[C@@H](C)[C@@H]2C)c(C)c1 ZINC000894628619 583497330 /nfs/dbraw/zinc/49/73/30/583497330.db2.gz PQRVFDVOEHVFOY-NEPJUHHUSA-N 0 3 219.328 2.844 20 0 BFADHN CC(F)(F)CCNCc1cn2ccnc2s1 ZINC000894657873 583501442 /nfs/dbraw/zinc/50/14/42/583501442.db2.gz APYNJSOYQPXBDY-UHFFFAOYSA-N 0 3 245.298 2.531 20 0 BFADHN CO[C@@H]1CCN(Cc2cc(C)co2)[C@H](C)C1 ZINC000894660808 583504659 /nfs/dbraw/zinc/50/46/59/583504659.db2.gz STPRAVGRUNRCDV-VXGBXAGGSA-N 0 3 223.316 2.587 20 0 BFADHN C[C@H]1Cc2cc(CN3CC[C@@H]3C3CC3)ccc2O1 ZINC000894687331 583506681 /nfs/dbraw/zinc/50/66/81/583506681.db2.gz CEEQNAZFKRGDDZ-XHDPSFHLSA-N 0 3 243.350 2.994 20 0 BFADHN Cc1ncsc1CN1CCC[C@@H](CF)C1 ZINC000880237945 583507258 /nfs/dbraw/zinc/50/72/58/583507258.db2.gz LDXLWBXIRMROLM-JTQLQIEISA-N 0 3 228.336 2.633 20 0 BFADHN COc1ccc(CN2CC[C@H]2C2CC2)c(C)c1 ZINC000894690052 583508513 /nfs/dbraw/zinc/50/85/13/583508513.db2.gz PXLFRHBFDQTKGP-HNNXBMFYSA-N 0 3 231.339 2.988 20 0 BFADHN C[C@]1(Br)C[C@@H]1CN1CC[C@H]1C1CC1 ZINC000894690971 583508531 /nfs/dbraw/zinc/50/85/31/583508531.db2.gz WCVLDPISIJOINT-VWYCJHECSA-N 0 3 244.176 2.644 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1nnc(C)s1 ZINC000894690745 583508949 /nfs/dbraw/zinc/50/89/49/583508949.db2.gz SPRPLNBXFPMCDF-QWRGUYRKSA-N 0 3 239.388 2.762 20 0 BFADHN CC/C=C/CNCc1cnc(F)cc1C ZINC000894701539 583510246 /nfs/dbraw/zinc/51/02/46/583510246.db2.gz BHXNUOBRJPCXEJ-SNAWJCMRSA-N 0 3 208.280 2.585 20 0 BFADHN CCn1cc(CNCc2cc(C)cs2)c(C)n1 ZINC000894710624 583512092 /nfs/dbraw/zinc/51/20/92/583512092.db2.gz ARSILXXVBOIOTO-UHFFFAOYSA-N 0 3 249.383 2.871 20 0 BFADHN c1cn(C2CC2)c(CN2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC000894722785 583514600 /nfs/dbraw/zinc/51/46/00/583514600.db2.gz LNESRFRZJZQTFS-BETUJISGSA-N 0 3 245.370 2.840 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCC[C@@H]2C(C)C)[n-]1 ZINC000894774729 583517335 /nfs/dbraw/zinc/51/73/35/583517335.db2.gz WJXQIJSLDRVEEV-MVWJERBFSA-N 0 3 236.363 2.588 20 0 BFADHN COc1ccc(CNCCC2CC(F)(F)C2)o1 ZINC000894803932 583522131 /nfs/dbraw/zinc/52/21/31/583522131.db2.gz DQRGDTCTKGUWIK-UHFFFAOYSA-N 0 3 245.269 2.813 20 0 BFADHN CCC1CN(Cc2ccc(OC)cc2C)C1 ZINC000894814243 583524358 /nfs/dbraw/zinc/52/43/58/583524358.db2.gz ZVGGKPLIOGDEEK-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN CN(Cc1cnn2c1CCC2)[C@H]1CCC(C)(C)C1 ZINC000668095269 583526345 /nfs/dbraw/zinc/52/63/45/583526345.db2.gz FIUMCWHEBACGGL-ZDUSSCGKSA-N 0 3 247.386 2.840 20 0 BFADHN CCC1(C)CN(C[C@H]2C[C@]2(C)Br)C1 ZINC000894840916 583529931 /nfs/dbraw/zinc/52/99/31/583529931.db2.gz SHGJHFWQRWHSDM-KOLCDFICSA-N 0 3 246.192 2.892 20 0 BFADHN Cn1ncc(CN2CC(C(C)(C)C)C2)c1C1CC1 ZINC000894842989 583530595 /nfs/dbraw/zinc/53/05/95/583530595.db2.gz FWWFHTDSHVJYBU-UHFFFAOYSA-N 0 3 247.386 2.775 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCc1c[nH]cn1)CCC2 ZINC000894846158 583531497 /nfs/dbraw/zinc/53/14/97/583531497.db2.gz GGJZZIJIVGDRSM-OAHLLOKOSA-N 0 3 241.338 2.885 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCc1cnc[nH]1)CCC2 ZINC000894846158 583531500 /nfs/dbraw/zinc/53/15/00/583531500.db2.gz GGJZZIJIVGDRSM-OAHLLOKOSA-N 0 3 241.338 2.885 20 0 BFADHN CSC[C@@H]1CCCN(Cc2ocnc2C)C1 ZINC000894850974 583531759 /nfs/dbraw/zinc/53/17/59/583531759.db2.gz KUDAJTIILDGXRI-LLVKDONJSA-N 0 3 240.372 2.558 20 0 BFADHN CCOc1cc(CN2C[C@@H](C)[C@@H]2C)ccc1O ZINC000894860683 583534203 /nfs/dbraw/zinc/53/42/03/583534203.db2.gz VEZUWACCTWPBLI-MNOVXSKESA-N 0 3 235.327 2.631 20 0 BFADHN CCN(C/C=C/c1cccc(F)c1)[C@@H](C)CO ZINC000894863286 583536340 /nfs/dbraw/zinc/53/63/40/583536340.db2.gz WFXDLJGQACWQFL-PZBABLGHSA-N 0 3 237.318 2.542 20 0 BFADHN O[C@@H]1CCCN(C/C=C\c2cccc(F)c2)CC1 ZINC000894866553 583537800 /nfs/dbraw/zinc/53/78/00/583537800.db2.gz WDYJERCPLALVNU-ZSQCLPQSSA-N 0 3 249.329 2.686 20 0 BFADHN c1nc(CNCC[C@H]2CCc3ccccc32)c[nH]1 ZINC000894872022 583540158 /nfs/dbraw/zinc/54/01/58/583540158.db2.gz DOFOJVRTDQTIIE-CYBMUJFWSA-N 0 3 241.338 2.619 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCCCC1(F)F ZINC000894874584 583540862 /nfs/dbraw/zinc/54/08/62/583540862.db2.gz UQEAGJCICVIOCM-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN Cc1ncoc1CNC[C@H]1CCCC1(F)F ZINC000894897852 583550814 /nfs/dbraw/zinc/55/08/14/583550814.db2.gz AWYRNZAHBWZSGL-SECBINFHSA-N 0 3 230.258 2.508 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN[C@H]1CC1(C)C ZINC000894901604 583552099 /nfs/dbraw/zinc/55/20/99/583552099.db2.gz FJVZEFYXGYLLSN-ZDUSSCGKSA-N 0 3 235.375 2.969 20 0 BFADHN Cc1cc(CN[C@H]2CS[C@@H](C)C2)cnc1F ZINC000894903468 583552582 /nfs/dbraw/zinc/55/25/82/583552582.db2.gz LJROUSDYWIMUOG-GXSJLCMTSA-N 0 3 240.347 2.513 20 0 BFADHN c1csc(CCN[C@@H]2CCCc3c[nH]nc32)c1 ZINC000857845131 588911807 /nfs/dbraw/zinc/91/18/07/588911807.db2.gz BNTZOHLNMLAOHR-GFCCVEGCSA-N 0 3 247.367 2.681 20 0 BFADHN C[C@@H]1C[C@H](O)CN(C/C=C/c2cccc(F)c2)C1 ZINC000894949809 583559388 /nfs/dbraw/zinc/55/93/88/583559388.db2.gz ORGWHODQRIFMHZ-MOEUOMFESA-N 0 3 249.329 2.542 20 0 BFADHN Cc1[nH]nc(CN2CC3(C2)CCCCC3)c1C ZINC000894970707 583561346 /nfs/dbraw/zinc/56/13/46/583561346.db2.gz LFRNFPMBBSNSIH-UHFFFAOYSA-N 0 3 233.359 2.793 20 0 BFADHN c1cc(CCN[C@@H]2CCCc3c[nH]nc32)cs1 ZINC000857846664 588912212 /nfs/dbraw/zinc/91/22/12/588912212.db2.gz OJPJTXBMFBMKDY-GFCCVEGCSA-N 0 3 247.367 2.681 20 0 BFADHN Cn1ncc(CN[C@@H]2C[C@H]2C2CCC2)c1C1CC1 ZINC000895009001 583567115 /nfs/dbraw/zinc/56/71/15/583567115.db2.gz MQBAQKFVGWRMRN-UONOGXRCSA-N 0 3 245.370 2.576 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]2C2CCC2)cnc1F ZINC000895010500 583567648 /nfs/dbraw/zinc/56/76/48/583567648.db2.gz RPLRZAJPCFIPSX-QWHCGFSZSA-N 0 3 234.318 2.807 20 0 BFADHN Cc1ncoc1CN1CC[C@@H](C2CCC2)C1 ZINC000895017071 583568820 /nfs/dbraw/zinc/56/88/20/583568820.db2.gz UGGLUYDUHLVBQE-GFCCVEGCSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1n[nH]c(CN2CC[C@H](C3CCC3)C2)c1C ZINC000895016695 583569028 /nfs/dbraw/zinc/56/90/28/583569028.db2.gz PYKHNRGTISKUBQ-ZDUSSCGKSA-N 0 3 233.359 2.649 20 0 BFADHN CSC(C)(C)CN[C@H]1CCCc2c[nH]nc21 ZINC000857855914 588913051 /nfs/dbraw/zinc/91/30/51/588913051.db2.gz AWBQOMQRJRJBKG-JTQLQIEISA-N 0 3 239.388 2.518 20 0 BFADHN CSCC[C@H](C)N[C@H]1CCCc2c[nH]nc21 ZINC000857853852 588913141 /nfs/dbraw/zinc/91/31/41/588913141.db2.gz AEIPLAUKMFDRFL-ONGXEEELSA-N 0 3 239.388 2.518 20 0 BFADHN CCC1(CN[C@H]2CCCc3c[nH]nc32)CCC1 ZINC000857855924 588913320 /nfs/dbraw/zinc/91/33/20/588913320.db2.gz BBVPKPMIDGHSII-LBPRGKRZSA-N 0 3 233.359 2.957 20 0 BFADHN Cc1ncoc1CNC[C@H]1C[C@@H]1c1ccccc1 ZINC000895076325 583580717 /nfs/dbraw/zinc/58/07/17/583580717.db2.gz UKTBPNIOZPMCGB-ZIAGYGMSSA-N 0 3 242.322 2.876 20 0 BFADHN CSCC[C@@H](C)N[C@H]1CCCc2c[nH]nc21 ZINC000857853850 588913698 /nfs/dbraw/zinc/91/36/98/588913698.db2.gz AEIPLAUKMFDRFL-KOLCDFICSA-N 0 3 239.388 2.518 20 0 BFADHN CC(C)SCCN[C@@H]1CCCc2c[nH]nc21 ZINC000857865681 588914012 /nfs/dbraw/zinc/91/40/12/588914012.db2.gz NYAOPDPADJLBQG-LLVKDONJSA-N 0 3 239.388 2.518 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCCCC1CC1 ZINC000857860666 588914112 /nfs/dbraw/zinc/91/41/12/588914112.db2.gz VLNAABSHXNPAGJ-LBPRGKRZSA-N 0 3 219.332 2.567 20 0 BFADHN Cc1cc(F)ncc1CN[C@H]1CCS[C@H]1C ZINC000895121915 583596358 /nfs/dbraw/zinc/59/63/58/583596358.db2.gz BIAOPUKMMHZHNH-ONGXEEELSA-N 0 3 240.347 2.513 20 0 BFADHN CCOc1ccc(CN[C@@H]2CC[C@@H]3C[C@@H]3C2)o1 ZINC000895122781 583596948 /nfs/dbraw/zinc/59/69/48/583596948.db2.gz AKTMLQFSIFWOTC-IJLUTSLNSA-N 0 3 235.327 2.957 20 0 BFADHN C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1nnc(C2CC2)s1 ZINC000895123203 583597082 /nfs/dbraw/zinc/59/70/82/583597082.db2.gz CGIYZMGQOALCCA-GMTAPVOTSA-N 0 3 249.383 2.694 20 0 BFADHN CCN(CCSC)Cc1cnc(F)c(C)c1 ZINC000895124362 583597244 /nfs/dbraw/zinc/59/72/44/583597244.db2.gz JTMMJXBYGBAVMV-UHFFFAOYSA-N 0 3 242.363 2.714 20 0 BFADHN CCN(CCSC)Cc1cnc(F)cc1C ZINC000895126503 583598288 /nfs/dbraw/zinc/59/82/88/583598288.db2.gz XRDFAPHWWPUFPX-UHFFFAOYSA-N 0 3 242.363 2.714 20 0 BFADHN CC[C@H](CSC)N[C@H]1CCCc2c[nH]nc21 ZINC000857860992 588915099 /nfs/dbraw/zinc/91/50/99/588915099.db2.gz OSZGUVLFRWTPNU-MNOVXSKESA-N 0 3 239.388 2.518 20 0 BFADHN Cc1ncoc1CNCC[C@]1(C)C[C@@]1(F)Cl ZINC000895135263 583601920 /nfs/dbraw/zinc/60/19/20/583601920.db2.gz UKKLYNASSXEMPJ-MNOVXSKESA-N 0 3 246.713 2.777 20 0 BFADHN Cc1ccnc(N)c1CN[C@@H]1C[C@H]1CC(C)(C)C ZINC000895135099 583602041 /nfs/dbraw/zinc/60/20/41/583602041.db2.gz RDXPKVPZBJQGRT-WCQYABFASA-N 0 3 247.386 2.887 20 0 BFADHN FC1(CCN[C@H]2CCCc3c[nH]nc32)CCC1 ZINC000857866549 588915510 /nfs/dbraw/zinc/91/55/10/588915510.db2.gz UBLOXHIFJIUBNP-NSHDSACASA-N 0 3 237.322 2.659 20 0 BFADHN CC(C)=CCCN[C@@H]1CCCc2c[nH]nc21 ZINC000857866325 588915613 /nfs/dbraw/zinc/91/56/13/588915613.db2.gz VHUZKXBNTDSGJH-GFCCVEGCSA-N 0 3 219.332 2.733 20 0 BFADHN CC(C)C1(N[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000857866705 588915747 /nfs/dbraw/zinc/91/57/47/588915747.db2.gz DUHWPMVEQYHWIO-LLVKDONJSA-N 0 3 219.332 2.565 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2N[C@@H]1C[C@H]1C1CCC1 ZINC000857869798 588916326 /nfs/dbraw/zinc/91/63/26/588916326.db2.gz RMNVKCCDWQCDOO-YNEHKIRRSA-N 0 3 231.343 2.565 20 0 BFADHN COc1c(C)cnc(CNCCC(C)C)c1C ZINC000020047237 583612281 /nfs/dbraw/zinc/61/22/81/583612281.db2.gz IVYSCJIWEQXRSZ-UHFFFAOYSA-N 0 3 236.359 2.843 20 0 BFADHN Cn1ncc(CNC2CC3(CCC3)C2)c1C1CC1 ZINC000895185515 583618943 /nfs/dbraw/zinc/61/89/43/583618943.db2.gz OAJMAGSQDORXMY-UHFFFAOYSA-N 0 3 245.370 2.720 20 0 BFADHN Cc1cc(CNC2CC3(CCC3)C2)cnc1F ZINC000895185173 583619117 /nfs/dbraw/zinc/61/91/17/583619117.db2.gz IKEFZVDFSGHERA-UHFFFAOYSA-N 0 3 234.318 2.951 20 0 BFADHN C[C@@H](O)CN(C/C=C/c1cccc(F)c1)C1CC1 ZINC000895192210 583621824 /nfs/dbraw/zinc/62/18/24/583621824.db2.gz DIOQDRZQRMBPTE-OGOUPESXSA-N 0 3 249.329 2.684 20 0 BFADHN C[C@H](O)CN(C/C=C\c1cccc(F)c1)C1CC1 ZINC000895192206 583621999 /nfs/dbraw/zinc/62/19/99/583621999.db2.gz DIOQDRZQRMBPTE-FHMRSRPSSA-N 0 3 249.329 2.684 20 0 BFADHN C[C@]1(CN[C@@H]2CCCc3c[nH]nc32)C[C@H]2C[C@H]2C1 ZINC000857871113 588917825 /nfs/dbraw/zinc/91/78/25/588917825.db2.gz LYZZIMUVGUVUTL-COMQUAJESA-N 0 3 245.370 2.813 20 0 BFADHN CC1=NO[C@@H](CN[C@H](C)Cc2ccccc2C)C1 ZINC000895304668 583642616 /nfs/dbraw/zinc/64/26/16/583642616.db2.gz PEKONEKTISOGIZ-IUODEOHRSA-N 0 3 246.354 2.680 20 0 BFADHN CC1=NO[C@H](CN[C@H](C)Cc2cccc(C)c2)C1 ZINC000895301412 583642713 /nfs/dbraw/zinc/64/27/13/583642713.db2.gz IELPDAUUKHNMLB-DOMZBBRYSA-N 0 3 246.354 2.680 20 0 BFADHN C/C(=C\c1ccccc1)CNC[C@H]1CC(C)=NO1 ZINC000895309624 583646523 /nfs/dbraw/zinc/64/65/23/583646523.db2.gz DEIZBEHFOMGTDH-INDWDQIESA-N 0 3 244.338 2.844 20 0 BFADHN Cc1ncoc1CN1CCC[C@@H](C2CCC2)C1 ZINC000895335410 583652765 /nfs/dbraw/zinc/65/27/65/583652765.db2.gz NPMVBWOZKVZEKY-CYBMUJFWSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1cccc2c1CCC[C@H]2NCc1c[nH]cn1 ZINC000895340196 583654735 /nfs/dbraw/zinc/65/47/35/583654735.db2.gz LIFIRLDBGPKCGQ-OAHLLOKOSA-N 0 3 241.338 2.885 20 0 BFADHN Cc1cccc2c1CCC[C@H]2NCc1cnc[nH]1 ZINC000895340196 583654737 /nfs/dbraw/zinc/65/47/37/583654737.db2.gz LIFIRLDBGPKCGQ-OAHLLOKOSA-N 0 3 241.338 2.885 20 0 BFADHN CCc1onc(C)c1CNC[C@@]1(C)CC1(F)F ZINC000895377851 583661494 /nfs/dbraw/zinc/66/14/94/583661494.db2.gz NPYNPIUXHBNPQN-LLVKDONJSA-N 0 3 244.285 2.680 20 0 BFADHN COc1ccc(CNCCCC2CCC2)nc1 ZINC000895380838 583662416 /nfs/dbraw/zinc/66/24/16/583662416.db2.gz PGWYSGHNYZPMIT-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN CCOc1ccc(CNC[C@]2(C)CC2(F)F)o1 ZINC000895379915 583662478 /nfs/dbraw/zinc/66/24/78/583662478.db2.gz GMSMXYSTYVOYQU-NSHDSACASA-N 0 3 245.269 2.813 20 0 BFADHN CC(C)Cc1ccccc1CNCc1cnc[nH]1 ZINC000895390328 583664107 /nfs/dbraw/zinc/66/41/07/583664107.db2.gz WUNIHYDNMTWRBS-UHFFFAOYSA-N 0 3 243.354 2.898 20 0 BFADHN Cc1n[nH]c(CN2CCCC3(CC3)CC2)c1C ZINC000895413452 583666874 /nfs/dbraw/zinc/66/68/74/583666874.db2.gz DOBIZOCNMIVTHR-UHFFFAOYSA-N 0 3 233.359 2.793 20 0 BFADHN Cc1[nH]nc(CN2CCCC3(CC3)CC2)c1C ZINC000895413452 583666876 /nfs/dbraw/zinc/66/68/76/583666876.db2.gz DOBIZOCNMIVTHR-UHFFFAOYSA-N 0 3 233.359 2.793 20 0 BFADHN c1cc2c(cc1CN1CC[C@@H]3C[C@@H]3C1)CCCO2 ZINC000895432967 583671251 /nfs/dbraw/zinc/67/12/51/583671251.db2.gz HFLOTRHBFFDNGA-UKRRQHHQSA-N 0 3 243.350 2.853 20 0 BFADHN Cc1nc(CN[C@H]2[C@@H]3CCC[C@@H]32)sc1C ZINC000895434299 583672386 /nfs/dbraw/zinc/67/23/86/583672386.db2.gz SGPOMBXDIWTYJV-IAZYJMLFSA-N 0 3 222.357 2.648 20 0 BFADHN c1nn(CC2CCC2)cc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000895434502 583672468 /nfs/dbraw/zinc/67/24/68/583672468.db2.gz WFENIOKIQAXAMN-HUUCEWRRSA-N 0 3 245.370 2.525 20 0 BFADHN CCc1onc(C)c1CNCC1=CCCC1 ZINC000895436339 583674562 /nfs/dbraw/zinc/67/45/62/583674562.db2.gz POCRZQMOPDHGDG-UHFFFAOYSA-N 0 3 220.316 2.745 20 0 BFADHN CCC(C)(C)CCNCc1ocnc1C ZINC000895440728 583675214 /nfs/dbraw/zinc/67/52/14/583675214.db2.gz XDNLCOMGVFWGMM-UHFFFAOYSA-N 0 3 210.321 2.899 20 0 BFADHN Cc1cc(F)ncc1CN[C@]1(C)CC1(C)C ZINC000895441512 583675347 /nfs/dbraw/zinc/67/53/47/583675347.db2.gz AEBKOGPEIAYEAN-CYBMUJFWSA-N 0 3 222.307 2.807 20 0 BFADHN Cn1ncc(CNC2CC(C)(C)C2)c1C1CC1 ZINC000895468998 583679027 /nfs/dbraw/zinc/67/90/27/583679027.db2.gz XPTVJKUINFWLJR-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN Cn1cc(CN2CC(CC3CC3)C2)c(C2CC2)n1 ZINC000895515539 583683001 /nfs/dbraw/zinc/68/30/01/583683001.db2.gz IAWPMMAUIVWEAP-UHFFFAOYSA-N 0 3 245.370 2.529 20 0 BFADHN Cc1ncoc1CNC[C@]1(C)CC1(C)C ZINC000895535519 583686742 /nfs/dbraw/zinc/68/67/42/583686742.db2.gz ULIOZEVMEVUWPD-LBPRGKRZSA-N 0 3 208.305 2.509 20 0 BFADHN FC[C@@H]1CCCN(Cc2ccc3c(n2)CCC3)C1 ZINC000895545313 583689088 /nfs/dbraw/zinc/68/90/88/583689088.db2.gz RZWCKAUCGAWOQZ-LBPRGKRZSA-N 0 3 248.345 2.752 20 0 BFADHN Cc1occc1CN1CCC[C@H](CF)C1 ZINC000895544721 583689401 /nfs/dbraw/zinc/68/94/01/583689401.db2.gz OUPFCTRJRADGBH-LLVKDONJSA-N 0 3 211.280 2.770 20 0 BFADHN FC[C@H]1CCCN(Cc2cccc3nccn32)C1 ZINC000895544434 583689592 /nfs/dbraw/zinc/68/95/92/583689592.db2.gz MMMUBOXGKNUCLW-GFCCVEGCSA-N 0 3 247.317 2.516 20 0 BFADHN COc1cccc(C)c1CN1CC[C@H](CF)C1 ZINC000895571976 583691927 /nfs/dbraw/zinc/69/19/27/583691927.db2.gz DGJGIOOTNZDPPA-GFCCVEGCSA-N 0 3 237.318 2.795 20 0 BFADHN COc1cc(F)cc(CN2CC[C@@H](CF)C2)c1 ZINC000895572224 583692231 /nfs/dbraw/zinc/69/22/31/583692231.db2.gz FGBYATSMYKGBMK-JTQLQIEISA-N 0 3 241.281 2.626 20 0 BFADHN Cn1cccc1CN1CCC2(CCCCO2)CC1 ZINC000895659370 583701582 /nfs/dbraw/zinc/70/15/82/583701582.db2.gz WIJRDFQUGZLRGL-UHFFFAOYSA-N 0 3 248.370 2.560 20 0 BFADHN CCCSC[C@@H](C)NCC(C)(C)F ZINC000895692513 583707898 /nfs/dbraw/zinc/70/78/98/583707898.db2.gz XJDRXIUQFQHBRZ-SECBINFHSA-N 0 3 207.358 2.856 20 0 BFADHN Cc1ccc2oc(N[C@@H]3CCN(C)[C@H]3C)nc2c1 ZINC000895741048 583714603 /nfs/dbraw/zinc/71/46/03/583714603.db2.gz XJAIMDNDRAPJHB-WDEREUQCSA-N 0 3 245.326 2.641 20 0 BFADHN CC(C)c1cnc(N[C@H]2CCN(C)[C@H]2C)s1 ZINC000895736912 583718320 /nfs/dbraw/zinc/71/83/20/583718320.db2.gz PUXHNGZCLCEJIJ-UWVGGRQHSA-N 0 3 239.388 2.771 20 0 BFADHN CCn1cc(CN[C@@]23C[C@@H]2CCCC3)c(C)n1 ZINC000895753921 583719766 /nfs/dbraw/zinc/71/97/66/583719766.db2.gz SGHORXBMLZAGJS-KBPBESRZSA-N 0 3 233.359 2.634 20 0 BFADHN COc1ccc(CN[C@H](C)CC2CCC2)nc1 ZINC000895777814 583726634 /nfs/dbraw/zinc/72/66/34/583726634.db2.gz OANQHNGBEBNOLY-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccc(CN2CC(C)(C)C2)c(C)c1 ZINC000895825299 583734905 /nfs/dbraw/zinc/73/49/05/583734905.db2.gz HPGKOZUVCSMUAW-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN Cc1ccc(CN2CC[C@H]([C@@H]3CCCO3)C2)o1 ZINC000895843431 583734958 /nfs/dbraw/zinc/73/49/58/583734958.db2.gz IFOZLIKIMYZHMU-JSGCOSHPSA-N 0 3 235.327 2.589 20 0 BFADHN COc1ccc(CN2C[C@@H]3CC=CC[C@@H]3C2)o1 ZINC000895830284 583735444 /nfs/dbraw/zinc/73/54/44/583735444.db2.gz XRWJGKSTXGRGJF-TXEJJXNPSA-N 0 3 233.311 2.686 20 0 BFADHN CCc1ccc(CN2CC[C@H]([C@H]3CCCO3)C2)o1 ZINC000895848850 583736766 /nfs/dbraw/zinc/73/67/66/583736766.db2.gz USOJSGGDIMIEFB-SWLSCSKDSA-N 0 3 249.354 2.843 20 0 BFADHN CO[C@H]1CC[C@H](C)N(Cc2ccoc2C)C1 ZINC000895929341 583748748 /nfs/dbraw/zinc/74/87/48/583748748.db2.gz YJVPCIIIEBYVME-GWCFXTLKSA-N 0 3 223.316 2.587 20 0 BFADHN COCC1CN(CCc2ccc(C(C)C)cc2)C1 ZINC000895970780 583755996 /nfs/dbraw/zinc/75/59/96/583755996.db2.gz IQTMLADSOYGIQL-UHFFFAOYSA-N 0 3 247.382 2.931 20 0 BFADHN COCC1CN(Cc2csc3ccccc23)C1 ZINC000895970862 583756053 /nfs/dbraw/zinc/75/60/53/583756053.db2.gz HWJVOCSPOPPDTA-UHFFFAOYSA-N 0 3 247.363 2.980 20 0 BFADHN COc1ccc(CNC[C@@]2(C)CC2(C)C)nc1 ZINC000895997089 583759528 /nfs/dbraw/zinc/75/95/28/583759528.db2.gz FDMILJKYUWYNKO-CQSZACIVSA-N 0 3 234.343 2.616 20 0 BFADHN COc1ccc(CNC[C@]2(C)CC2(C)C)nc1 ZINC000895997088 583759559 /nfs/dbraw/zinc/75/95/59/583759559.db2.gz FDMILJKYUWYNKO-AWEZNQCLSA-N 0 3 234.343 2.616 20 0 BFADHN FC1CCN(Cc2nccc3c2CCCC3)CC1 ZINC000896000016 583760421 /nfs/dbraw/zinc/76/04/21/583760421.db2.gz NLAIGMNTXUTMHI-UHFFFAOYSA-N 0 3 248.345 2.894 20 0 BFADHN CCC[C@@H](C)CCNCc1n[nH]cc1C ZINC000883251260 583765059 /nfs/dbraw/zinc/76/50/59/583765059.db2.gz IVOHFFRPPOZEDY-SNVBAGLBSA-N 0 3 209.337 2.634 20 0 BFADHN CN(CCCF)Cc1cc(O)cc(Cl)c1 ZINC000896069609 583768191 /nfs/dbraw/zinc/76/81/91/583768191.db2.gz DVLSUQMIEFTXGL-UHFFFAOYSA-N 0 3 231.698 2.837 20 0 BFADHN C=C/C=C\CCNCc1c(OC)cccc1OC ZINC000883260228 583768832 /nfs/dbraw/zinc/76/88/32/583768832.db2.gz OFERYSQFNOLADJ-WAYWQWQTSA-N 0 3 247.338 2.926 20 0 BFADHN CC[C@H]1CCCN1C[C@@H](O)c1cccc(F)c1 ZINC000727832436 583781692 /nfs/dbraw/zinc/78/16/92/583781692.db2.gz BLVWQVMFOXZYNI-UONOGXRCSA-N 0 3 237.318 2.734 20 0 BFADHN COc1ccc(CNCC[C@@H]2C[C@H]2C2CC2)o1 ZINC000896262383 583787622 /nfs/dbraw/zinc/78/76/22/583787622.db2.gz HJHVSXOLWDJDDR-YPMHNXCESA-N 0 3 235.327 2.814 20 0 BFADHN C[C@@H]1CCN(Cc2ccc3[nH]ccc3c2)CCO1 ZINC000896576701 583813541 /nfs/dbraw/zinc/81/35/41/583813541.db2.gz CRSJZLZTKUBBCE-GFCCVEGCSA-N 0 3 244.338 2.779 20 0 BFADHN C[C@H](Cc1ccsc1)N1CCO[C@@H](C)CC1 ZINC000896583037 583815383 /nfs/dbraw/zinc/81/53/83/583815383.db2.gz CNGBRDAISYGDNG-NEPJUHHUSA-N 0 3 239.384 2.790 20 0 BFADHN Cc1ccc(CN2CCO[C@@H](C)CC2)s1 ZINC000896584662 583815813 /nfs/dbraw/zinc/81/58/13/583815813.db2.gz QIVJMTWHXXGLIH-JTQLQIEISA-N 0 3 225.357 2.667 20 0 BFADHN Cc1ccsc1CN1CCO[C@@H](C)CC1 ZINC000896583845 583815835 /nfs/dbraw/zinc/81/58/35/583815835.db2.gz IIWVLKUVHWWFHM-NSHDSACASA-N 0 3 225.357 2.667 20 0 BFADHN C[C@@H]1CSC[C@@H]1N[C@H](c1ccccn1)C1CC1 ZINC000896608944 583817178 /nfs/dbraw/zinc/81/71/78/583817178.db2.gz KCKAYFRHTWLIHC-SWHYSGLUSA-N 0 3 248.395 2.874 20 0 BFADHN C[C@@H]1CSC[C@H]1N[C@H](c1ccccn1)C1CC1 ZINC000896608942 583817370 /nfs/dbraw/zinc/81/73/70/583817370.db2.gz KCKAYFRHTWLIHC-HONMWMINSA-N 0 3 248.395 2.874 20 0 BFADHN CCC(CC)N[C@@H](c1ncccn1)C1CC1 ZINC000896600354 583817534 /nfs/dbraw/zinc/81/75/34/583817534.db2.gz WASHFZZPMDDYNO-GFCCVEGCSA-N 0 3 219.332 2.706 20 0 BFADHN CCSCCNC(C)(C)/C=C/Cl ZINC000896746969 583830093 /nfs/dbraw/zinc/83/00/93/583830093.db2.gz HHWSKDOKOONUDN-AATRIKPKSA-N 0 3 207.770 2.860 20 0 BFADHN C[C@H](Cc1ccoc1)NCc1ccnc(F)c1 ZINC000858149673 588935714 /nfs/dbraw/zinc/93/57/14/588935714.db2.gz RAOARUAGNQRPRA-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN CCc1onc(C)c1CNCCC1CC=CC1 ZINC000896864599 583842492 /nfs/dbraw/zinc/84/24/92/583842492.db2.gz KHBSWTTYYQAZCV-UHFFFAOYSA-N 0 3 234.343 2.991 20 0 BFADHN Fc1cc(CNC[C@H]2CCCC2(F)F)ccn1 ZINC000858173245 588937357 /nfs/dbraw/zinc/93/73/57/588937357.db2.gz XDHYSLCAUVJRSU-SNVBAGLBSA-N 0 3 244.260 2.746 20 0 BFADHN Cc1coc(CN2CCC(O)(C3CCC3)CC2)c1 ZINC000897036596 583872513 /nfs/dbraw/zinc/87/25/13/583872513.db2.gz YGAVPEXIKQXVCE-UHFFFAOYSA-N 0 3 249.354 2.715 20 0 BFADHN CS[C@H]1CC[C@@H]1N[C@@H]1CCCc2cccnc21 ZINC000897070755 583877492 /nfs/dbraw/zinc/87/74/92/583877492.db2.gz ODKNMUXLYSYKOG-XQQFMLRXSA-N 0 3 248.395 2.943 20 0 BFADHN CO[C@@H]1CC[C@@H]1N(C)c1ccnc2ccccc21 ZINC000897149217 583884706 /nfs/dbraw/zinc/88/47/06/583884706.db2.gz QJYMVHQUCFYVTP-LSDHHAIUSA-N 0 3 242.322 2.848 20 0 BFADHN CC[C@H]([NH2+]Cc1cncc([O-])c1)C1CCCC1 ZINC000897315965 583918315 /nfs/dbraw/zinc/91/83/15/583918315.db2.gz UXVGDWPLLAZXIQ-AWEZNQCLSA-N 0 3 234.343 2.846 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H]1[NH2+]Cc1cncc([O-])c1 ZINC000897315313 583918840 /nfs/dbraw/zinc/91/88/40/583918840.db2.gz JLZCYVJSMFONGP-KBPBESRZSA-N 0 3 234.343 2.702 20 0 BFADHN CC(C)[C@H]1CCC[C@H]1[NH2+]Cc1cncc([O-])c1 ZINC000897315316 583919068 /nfs/dbraw/zinc/91/90/68/583919068.db2.gz JLZCYVJSMFONGP-ZIAGYGMSSA-N 0 3 234.343 2.702 20 0 BFADHN CC1(C)CCC(C)(NCc2cc[nH]n2)CC1 ZINC000858274814 588942108 /nfs/dbraw/zinc/94/21/08/588942108.db2.gz FCEIOTNAHWEWCP-UHFFFAOYSA-N 0 3 221.348 2.858 20 0 BFADHN CC(C)OC[C@H](C)N[C@H](C)c1ccns1 ZINC000858316965 588942715 /nfs/dbraw/zinc/94/27/15/588942715.db2.gz PHKHKCSJJREDST-VHSXEESVSA-N 0 3 228.361 2.607 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1cccc(O)c1F ZINC000872064912 583944914 /nfs/dbraw/zinc/94/49/14/583944914.db2.gz PCUXKQSGZOKGHG-AEJSXWLSSA-N 0 3 241.306 2.605 20 0 BFADHN Cc1cc(NCCCCC(C)(C)C)nc(N)n1 ZINC000729184598 583963036 /nfs/dbraw/zinc/96/30/36/583963036.db2.gz FKLCTVSQGQFWKW-UHFFFAOYSA-N 0 3 236.363 2.996 20 0 BFADHN C[C@@H]1C[C@@H](C)N(C[C@H](O)c2cccc(F)c2)C1 ZINC000740884256 583978545 /nfs/dbraw/zinc/97/85/45/583978545.db2.gz SRDJHPVYDAVSDY-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN OCc1cc(NCCC2CCCCC2)ccn1 ZINC000858344562 588947634 /nfs/dbraw/zinc/94/76/34/588947634.db2.gz QLXHPXGKAYCRDN-UHFFFAOYSA-N 0 3 234.343 2.956 20 0 BFADHN Cc1occc1CNCC[C@@H]1CCCC[C@@H]1O ZINC000897536320 584009774 /nfs/dbraw/zinc/00/97/74/584009774.db2.gz HHYSXBCOWJNBPQ-JSGCOSHPSA-N 0 3 237.343 2.619 20 0 BFADHN C[C@@H]1CCC[C@@H](CNc2ccnc(CO)c2)C1 ZINC000858361304 588948982 /nfs/dbraw/zinc/94/89/82/588948982.db2.gz MSSFDGAXSVOVSS-VXGBXAGGSA-N 0 3 234.343 2.812 20 0 BFADHN C[C@@H](NCC[C@@H]1CCCC[C@@H]1O)c1ccccn1 ZINC000897536955 584010376 /nfs/dbraw/zinc/01/03/76/584010376.db2.gz ZAYAWZLZLZTXEY-IPYPFGDCSA-N 0 3 248.370 2.673 20 0 BFADHN CC1(CNc2ccnc(CO)c2)CCCC1 ZINC000858404558 588951552 /nfs/dbraw/zinc/95/15/52/588951552.db2.gz UQASFGSLRMDHMF-UHFFFAOYSA-N 0 3 220.316 2.566 20 0 BFADHN Cc1coc(CNC2CC3(C2)CO[C@@H](C)C3)c1 ZINC000897772696 584045619 /nfs/dbraw/zinc/04/56/19/584045619.db2.gz BIORHNXVOLLTLI-DCBWTQNWSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1cc(CN[C@@H]2CCSC(C)(C)C2)no1 ZINC000897792675 584048811 /nfs/dbraw/zinc/04/88/11/584048811.db2.gz FIEXYVGOCCFJGL-SNVBAGLBSA-N 0 3 240.372 2.747 20 0 BFADHN Cc1ncc(CN[C@@H]2CCSC(C)(C)C2)o1 ZINC000897793023 584048897 /nfs/dbraw/zinc/04/88/97/584048897.db2.gz IHARPGRKAARPKF-SNVBAGLBSA-N 0 3 240.372 2.747 20 0 BFADHN CCCCC1(NCc2cn(C)nc2Cl)CC1 ZINC000897934641 584059028 /nfs/dbraw/zinc/05/90/28/584059028.db2.gz MMJJRMFSKVVLQZ-UHFFFAOYSA-N 0 3 241.766 2.886 20 0 BFADHN C[C@H](Nc1ccnc(CO)c1)C1CCCCC1 ZINC000858422760 588953165 /nfs/dbraw/zinc/95/31/65/588953165.db2.gz YYOVEAFPUNJVSO-NSHDSACASA-N 0 3 234.343 2.955 20 0 BFADHN C[C@@H](Nc1ccnc(CO)c1)C1CCCCC1 ZINC000858422759 588953180 /nfs/dbraw/zinc/95/31/80/588953180.db2.gz YYOVEAFPUNJVSO-LLVKDONJSA-N 0 3 234.343 2.955 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]3CCC[C@@H]32)sn1 ZINC000897954119 584064222 /nfs/dbraw/zinc/06/42/22/584064222.db2.gz AKKGEHVCHFYSMH-ADEWGFFLSA-N 0 3 222.357 2.730 20 0 BFADHN CC(C)n1nccc1CN[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897954168 584064754 /nfs/dbraw/zinc/06/47/54/584064754.db2.gz BJKWOCIXVWLEHJ-FPMFFAJLSA-N 0 3 233.359 2.742 20 0 BFADHN OCc1cc(N[C@@H]2CC[C@H]3CCC[C@@H]3C2)ccn1 ZINC000858425350 588953909 /nfs/dbraw/zinc/95/39/09/588953909.db2.gz GTUGDNPBIDHANR-JHJVBQTASA-N 0 3 246.354 2.955 20 0 BFADHN C(N[C@@H]1C[C@H]2CCC[C@H]21)c1nc2c(s1)CCC2 ZINC000897955289 584065360 /nfs/dbraw/zinc/06/53/60/584065360.db2.gz SCKDUBITLZMGSP-CKYFFXLPSA-N 0 3 248.395 2.910 20 0 BFADHN OCc1cc(N[C@H]2C[C@@H]2C2CCCCC2)ccn1 ZINC000858424200 588953489 /nfs/dbraw/zinc/95/34/89/588953489.db2.gz VTVXKNUORFZGLK-CABCVRRESA-N 0 3 246.354 2.955 20 0 BFADHN c1cc(CN[C@@H]2C[C@@H]3CCC[C@H]23)n(CC2CC2)n1 ZINC000897957121 584065948 /nfs/dbraw/zinc/06/59/48/584065948.db2.gz BNMTUBMANMLVBG-AEGPPILISA-N 0 3 245.370 2.571 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2C[C@@H]3CCC[C@@H]23)o1 ZINC000897959243 584066861 /nfs/dbraw/zinc/06/68/61/584066861.db2.gz QYFYWLWIAGCCTL-QJPTWQEYSA-N 0 3 220.316 2.570 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2C[C@@H]3CCC[C@H]23)o1 ZINC000897959244 584066872 /nfs/dbraw/zinc/06/68/72/584066872.db2.gz QYFYWLWIAGCCTL-SDDRHHMPSA-N 0 3 220.316 2.570 20 0 BFADHN CCc1nocc1CN[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897958921 584067130 /nfs/dbraw/zinc/06/71/30/584067130.db2.gz PEKKGXYYFWAPOM-SUZMYJTESA-N 0 3 220.316 2.515 20 0 BFADHN CC(C)Cn1nccc1CN[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897959058 584067199 /nfs/dbraw/zinc/06/71/99/584067199.db2.gz POYAXYKFGGBVJT-NWANDNLSSA-N 0 3 247.386 2.817 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H]3CCC[C@@H]32)o1 ZINC000897959371 584067563 /nfs/dbraw/zinc/06/75/63/584067563.db2.gz GLXRUPDGZUKTGP-ADEWGFFLSA-N 0 3 221.300 2.566 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1C[C@H]2CCC[C@H]21)C1CC1 ZINC000897960367 584067839 /nfs/dbraw/zinc/06/78/39/584067839.db2.gz RMPKGYUQCWSSJT-AAVRWANBSA-N 0 3 245.370 2.649 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897960423 584067859 /nfs/dbraw/zinc/06/78/59/584067859.db2.gz SFVWRONALQXCPD-RAIGVLPGSA-N 0 3 232.327 2.830 20 0 BFADHN Cc1coc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)c1 ZINC000897960354 584067886 /nfs/dbraw/zinc/06/78/86/584067886.db2.gz RDGWXYWLQQRZOH-CYZMBNFOSA-N 0 3 205.301 2.866 20 0 BFADHN Cc1coc(CN[C@@H]2C[C@H]3CCC[C@@H]32)c1 ZINC000897960355 584067972 /nfs/dbraw/zinc/06/79/72/584067972.db2.gz RDGWXYWLQQRZOH-KGYLQXTDSA-N 0 3 205.301 2.866 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2C[C@@H]3CCC[C@H]23)n1 ZINC000897960366 584068030 /nfs/dbraw/zinc/06/80/30/584068030.db2.gz RJGHHLYWOLYRAJ-SDDRHHMPSA-N 0 3 233.359 2.811 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1C[C@@H]2CCC[C@H]12)C1CC1 ZINC000897960368 584068134 /nfs/dbraw/zinc/06/81/34/584068134.db2.gz RMPKGYUQCWSSJT-IGQOVBAYSA-N 0 3 245.370 2.649 20 0 BFADHN OCCC1CC(NCc2cc3ccccc3o2)C1 ZINC000898008768 584071084 /nfs/dbraw/zinc/07/10/84/584071084.db2.gz ZLTHAXSPDOJTFF-UHFFFAOYSA-N 0 3 245.322 2.683 20 0 BFADHN CC[C@H]1CCC[C@H](CNCc2cn(C)cn2)C1 ZINC000898045446 584073888 /nfs/dbraw/zinc/07/38/88/584073888.db2.gz NPAFEFSPHFDLQG-STQMWFEESA-N 0 3 235.375 2.726 20 0 BFADHN Cc1ncc(CNCC[C@@H]2CCC(F)(F)C2)o1 ZINC000898014294 584074105 /nfs/dbraw/zinc/07/41/05/584074105.db2.gz PTQXCJJTWQSJFP-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN CCC[C@@H](C)NCc1cc(C(F)(F)F)n(C)n1 ZINC000898021552 584075682 /nfs/dbraw/zinc/07/56/82/584075682.db2.gz KZTCRJUUCBRHMM-MRVPVSSYSA-N 0 3 249.280 2.717 20 0 BFADHN Cc1cnc([C@@H](C)Nc2ccnc(CO)c2)s1 ZINC000858438066 588954995 /nfs/dbraw/zinc/95/49/95/588954995.db2.gz QXCLUZWRRXLMGT-SECBINFHSA-N 0 3 249.339 2.512 20 0 BFADHN CC1(C)CCC[C@@H]1Nc1ccnc(CO)c1 ZINC000858438014 588955037 /nfs/dbraw/zinc/95/50/37/588955037.db2.gz QEAZGLDFFLMUJR-LBPRGKRZSA-N 0 3 220.316 2.565 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CNCc2cn(C)cn2)C1 ZINC000898043296 584080215 /nfs/dbraw/zinc/08/02/15/584080215.db2.gz LBORJQXODYKWQL-DGCLKSJQSA-N 0 3 233.359 2.502 20 0 BFADHN CCCC[C@@H](C)[C@H](C)NCc1cn(C)cn1 ZINC000898043399 584080282 /nfs/dbraw/zinc/08/02/82/584080282.db2.gz NXEXQLMOLFUSAV-NEPJUHHUSA-N 0 3 223.364 2.725 20 0 BFADHN Cn1cnc(CNC2CCC(=C3CCC3)CC2)c1 ZINC000898042274 584080654 /nfs/dbraw/zinc/08/06/54/584080654.db2.gz NPTXKXZKNKNNQJ-UHFFFAOYSA-N 0 3 245.370 2.933 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2cn(C)cn2)CC1 ZINC000898042583 584080718 /nfs/dbraw/zinc/08/07/18/584080718.db2.gz SLROLWZSEMQPFM-CHWSQXEVSA-N 0 3 235.375 2.869 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CNCc1cn(C)cn1 ZINC000898043714 584081190 /nfs/dbraw/zinc/08/11/90/584081190.db2.gz YPVGDJYZHPDCAK-TZMCWYRMSA-N 0 3 233.359 2.502 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)NCc1cn(C)cn1 ZINC000898043550 584081493 /nfs/dbraw/zinc/08/14/93/584081493.db2.gz TWUGVZFPGCIWKS-CHWSQXEVSA-N 0 3 237.391 2.971 20 0 BFADHN c1cc(CN2C[C@@H]3CCC[C@@H]3C2)n(C2CCC2)n1 ZINC000898071170 584082484 /nfs/dbraw/zinc/08/24/84/584082484.db2.gz GPZXZTMKEXMWQX-BETUJISGSA-N 0 3 245.370 2.840 20 0 BFADHN Cc1cc(CN2C[C@@H]3CCC[C@@H]3C2)cnc1F ZINC000898072128 584082621 /nfs/dbraw/zinc/08/26/21/584082621.db2.gz YFSMENVDMXNHPS-BETUJISGSA-N 0 3 234.318 2.761 20 0 BFADHN CC(C)C[C@@H]1CCCN(c2ccnc(CO)c2)C1 ZINC000858444039 588955325 /nfs/dbraw/zinc/95/53/25/588955325.db2.gz IBTXYVFHPQVXGC-ZDUSSCGKSA-N 0 3 248.370 2.836 20 0 BFADHN C[C@H](NCc1ccc([C@@H]2C[C@@H]2C)o1)C(C)(C)O ZINC000898127970 584084835 /nfs/dbraw/zinc/08/48/35/584084835.db2.gz AGIQAYOXOKKCTP-JBLDHEPKSA-N 0 3 237.343 2.652 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1cnn(CC)n1 ZINC000858444548 588955658 /nfs/dbraw/zinc/95/56/58/588955658.db2.gz YVVYDMXKDGZUQI-NEPJUHHUSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1cccc(C)c1CNc1ccnc(CO)c1 ZINC000858449364 588955980 /nfs/dbraw/zinc/95/59/80/588955980.db2.gz LBSSHCAGBRXOSS-UHFFFAOYSA-N 0 3 242.322 2.803 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1c1ccnc(CO)c1 ZINC000858450979 588955995 /nfs/dbraw/zinc/95/59/95/588955995.db2.gz PNHBETFFZBADQC-SWLSCSKDSA-N 0 3 248.370 2.979 20 0 BFADHN O[C@H](CCNCc1cccc(Cl)c1)C1CC1 ZINC000898206604 584091769 /nfs/dbraw/zinc/09/17/69/584091769.db2.gz QYCUGLCECAFCOU-CYBMUJFWSA-N 0 3 239.746 2.591 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CC[C@@H](O)[C@H](F)C2)C1 ZINC000898188274 584094019 /nfs/dbraw/zinc/09/40/19/584094019.db2.gz PSWIJPKRCMFSBV-XJFOESAGSA-N 0 3 243.366 2.608 20 0 BFADHN COC1(CNCc2ccncc2)CCCCCC1 ZINC000898264385 584103845 /nfs/dbraw/zinc/10/38/45/584103845.db2.gz ZAGDSXASIUSLSE-UHFFFAOYSA-N 0 3 248.370 2.911 20 0 BFADHN CC1(C)COC[C@@H]1N[C@@H](c1ccncc1)C1CC1 ZINC000898321874 584107131 /nfs/dbraw/zinc/10/71/31/584107131.db2.gz XCDFBXXHOYJFFZ-UONOGXRCSA-N 0 3 246.354 2.547 20 0 BFADHN CCCn1nccc1CNC(C)(C)CSC ZINC000898305214 584109278 /nfs/dbraw/zinc/10/92/78/584109278.db2.gz UAZVMVIJHSVVNZ-UHFFFAOYSA-N 0 3 241.404 2.524 20 0 BFADHN Cc1ccsc1CCNc1ccnc(CO)c1 ZINC000858467253 588957357 /nfs/dbraw/zinc/95/73/57/588957357.db2.gz MERPGNXNXIUIFM-UHFFFAOYSA-N 0 3 248.351 2.598 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H](c1ccncc1)C1CC1 ZINC000898319241 584110703 /nfs/dbraw/zinc/11/07/03/584110703.db2.gz BALRHGPFPOPWIB-IUODEOHRSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@@H]1CN(c2ccnc(CO)c2)[C@@H]2CCCC[C@@H]21 ZINC000858477739 588958766 /nfs/dbraw/zinc/95/87/66/588958766.db2.gz LGOZXQPHKAUZTQ-KCPJHIHWSA-N 0 3 246.354 2.589 20 0 BFADHN CCc1cccc(F)c1CN[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000474812705 584128353 /nfs/dbraw/zinc/12/83/53/584128353.db2.gz ZVJDMLHDCNAUJY-DFBGVHRSSA-N 0 3 249.329 2.798 20 0 BFADHN C[C@@H]1CC[C@H](Nc2ccnc(CO)c2)C[C@H]1C ZINC000858481392 588959118 /nfs/dbraw/zinc/95/91/18/588959118.db2.gz KAWWPBCATVYBGA-UTUOFQBUSA-N 0 3 234.343 2.811 20 0 BFADHN Cc1cc(CNC2(C)C(C)(C)C2(C)C)n(C)n1 ZINC000898414091 584131350 /nfs/dbraw/zinc/13/13/50/584131350.db2.gz HPRXIJRNRBPEPJ-UHFFFAOYSA-N 0 3 235.375 2.643 20 0 BFADHN CC1(C)C(C)(C)C1(C)NCc1ccc(CO)o1 ZINC000898413902 584131427 /nfs/dbraw/zinc/13/14/27/584131427.db2.gz DPDRIQLVZIPYHH-UHFFFAOYSA-N 0 3 237.343 2.686 20 0 BFADHN Cc1cnn(C)c1CNC1(C)C(C)(C)C1(C)C ZINC000898413859 584131487 /nfs/dbraw/zinc/13/14/87/584131487.db2.gz CBLLYOALOXRYHW-UHFFFAOYSA-N 0 3 235.375 2.643 20 0 BFADHN C[C@@H](Cc1ccc(O)cc1)NC/C=C\Cl ZINC000898427692 584132901 /nfs/dbraw/zinc/13/29/01/584132901.db2.gz DNFYREIRKFHSER-ATRZURONSA-N 0 3 225.719 2.665 20 0 BFADHN COc1ccccc1C[C@H](C)NC/C=C\Cl ZINC000898431931 584135012 /nfs/dbraw/zinc/13/50/12/584135012.db2.gz DEJWKVYWQXTTIT-OFSNVTPPSA-N 0 3 239.746 2.968 20 0 BFADHN Cl/C=C\CN[C@@H]1CCN(c2ccccc2)C1 ZINC000898434304 584135795 /nfs/dbraw/zinc/13/57/95/584135795.db2.gz VFCJXTPJZAUPMW-HXUAIIEXSA-N 0 3 236.746 2.607 20 0 BFADHN Cl/C=C\CN[C@H]1CCN(c2ccccc2)C1 ZINC000898434305 584135994 /nfs/dbraw/zinc/13/59/94/584135994.db2.gz VFCJXTPJZAUPMW-LDJOOVGUSA-N 0 3 236.746 2.607 20 0 BFADHN CCCC[C@@H](COC)N[C@H](C)c1ccns1 ZINC000858577878 588965994 /nfs/dbraw/zinc/96/59/94/588965994.db2.gz RYAZFYKESGYIOP-MNOVXSKESA-N 0 3 242.388 2.999 20 0 BFADHN OCc1cc(NCC2CCCCCC2)ccn1 ZINC000858560064 588966324 /nfs/dbraw/zinc/96/63/24/588966324.db2.gz RKLHHLJTCIYIQF-UHFFFAOYSA-N 0 3 234.343 2.956 20 0 BFADHN OCc1cc(NC[C@H]2CCC[C@@H]2C2CC2)ccn1 ZINC000858568169 588967216 /nfs/dbraw/zinc/96/72/16/588967216.db2.gz ONUQEFCAIWXBOE-IUODEOHRSA-N 0 3 246.354 2.812 20 0 BFADHN CC[C@@](C)(N)c1cn(CC2CCC=CCC2)nn1 ZINC000899742623 584235981 /nfs/dbraw/zinc/23/59/81/584235981.db2.gz ATRRVVQQYMLINW-CQSZACIVSA-N 0 3 248.374 2.608 20 0 BFADHN C[C@H](NC1CC(CF)(CF)C1)c1ccns1 ZINC000858578383 588968109 /nfs/dbraw/zinc/96/81/09/588968109.db2.gz ZFJJGTDZGOMCCI-QMMMGPOBSA-N 0 3 246.326 2.882 20 0 BFADHN O=C(Nc1ccccc1)[C@@H]1CC[C@@H]2CCCCN21 ZINC000899911217 584252979 /nfs/dbraw/zinc/25/29/79/584252979.db2.gz PAQUJACDRTZUGK-KBPBESRZSA-N 0 3 244.338 2.642 20 0 BFADHN CC(C)(C)C(F)(F)CNc1ccnc(CO)c1 ZINC000858634450 588972497 /nfs/dbraw/zinc/97/24/97/588972497.db2.gz DJVJJQLIVUMDBY-UHFFFAOYSA-N 0 3 244.285 2.667 20 0 BFADHN OCc1cc(NC[C@H]2CCCC23CC3)ccn1 ZINC000858856139 588979394 /nfs/dbraw/zinc/97/93/94/588979394.db2.gz WQUSESLQJQGBGD-LLVKDONJSA-N 0 3 232.327 2.566 20 0 BFADHN CC[C@@](C)(N)c1cc(-c2ccccc2OC)no1 ZINC000901384894 584436152 /nfs/dbraw/zinc/43/61/52/584436152.db2.gz HZHTXCWRUDUMIN-CQSZACIVSA-N 0 3 246.310 2.934 20 0 BFADHN CNC(C)(C)c1cc(-c2cccs2)no1 ZINC000901386486 584436171 /nfs/dbraw/zinc/43/61/71/584436171.db2.gz BMMILVZRWCDZGO-UHFFFAOYSA-N 0 3 222.313 2.858 20 0 BFADHN CN[C@H](C)c1cc(-c2ccccc2C)no1 ZINC000901386953 584436471 /nfs/dbraw/zinc/43/64/71/584436471.db2.gz HUGCWHLDMOHBSF-SNVBAGLBSA-N 0 3 216.284 2.930 20 0 BFADHN CC[C@](C)(N)c1cc(-c2cccs2)no1 ZINC000901388685 584436621 /nfs/dbraw/zinc/43/66/21/584436621.db2.gz XNMWSEUKMCEGMV-NSHDSACASA-N 0 3 222.313 2.987 20 0 BFADHN CN[C@H](C)c1cc(-c2cccs2)no1 ZINC000901388024 584436898 /nfs/dbraw/zinc/43/68/98/584436898.db2.gz QEPFOBINTBUJTA-SSDOTTSWSA-N 0 3 208.286 2.684 20 0 BFADHN CN(C)CCc1cc(-c2ccc(F)cc2)no1 ZINC000901388503 584436905 /nfs/dbraw/zinc/43/69/05/584436905.db2.gz YPIRBMZEAZJTQB-UHFFFAOYSA-N 0 3 234.274 2.585 20 0 BFADHN CNCc1cc(-c2ccccc2OC(C)C)no1 ZINC000901397687 584438905 /nfs/dbraw/zinc/43/89/05/584438905.db2.gz DDQLNFMGFKHBQH-UHFFFAOYSA-N 0 3 246.310 2.848 20 0 BFADHN COC/C(C)=C/CNc1cccc(CN(C)C)c1 ZINC000901432072 584444980 /nfs/dbraw/zinc/44/49/80/584444980.db2.gz FCDPBFPNZUNOEJ-MDWZMJQESA-N 0 3 248.370 2.753 20 0 BFADHN CCn1cnc(CN[C@H]2CCCC[C@H]2C)c1 ZINC000902025599 584512522 /nfs/dbraw/zinc/51/25/22/584512522.db2.gz HIFCAYALFFEVPF-YPMHNXCESA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cnc(CN[C@@H]2CCCC[C@H]2C)c1 ZINC000902025594 584512758 /nfs/dbraw/zinc/51/27/58/584512758.db2.gz HIFCAYALFFEVPF-DGCLKSJQSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cnc(CNC[C@@H]2CCC[C@H](C)C2)c1 ZINC000902096526 584525411 /nfs/dbraw/zinc/52/54/11/584525411.db2.gz JNZWOGMKGKHOOY-QWHCGFSZSA-N 0 3 235.375 2.819 20 0 BFADHN CNc1ccccc1CNCCc1cscn1 ZINC000902256111 584544336 /nfs/dbraw/zinc/54/43/36/584544336.db2.gz RNCIPOWOLJMFGM-UHFFFAOYSA-N 0 3 247.367 2.517 20 0 BFADHN CCn1cnc(CNC[C@H](C)C(C)(C)C)c1 ZINC000902245032 584546175 /nfs/dbraw/zinc/54/61/75/584546175.db2.gz NIEUMYPPEYAZKX-NSHDSACASA-N 0 3 223.364 2.675 20 0 BFADHN CCn1cnc(CNC[C@@H](C)C(C)(C)C)c1 ZINC000902245031 584546444 /nfs/dbraw/zinc/54/64/44/584546444.db2.gz NIEUMYPPEYAZKX-LLVKDONJSA-N 0 3 223.364 2.675 20 0 BFADHN CCNc1ccccc1CN[C@@H]1CCSC1 ZINC000902281063 584547405 /nfs/dbraw/zinc/54/74/05/584547405.db2.gz WNRSOWRIODRZKK-GFCCVEGCSA-N 0 3 236.384 2.714 20 0 BFADHN CCn1cnc(CNC(CC)(CC)CC)c1 ZINC000902266169 584549182 /nfs/dbraw/zinc/54/91/82/584549182.db2.gz NDYSYWKGJCJCIJ-UHFFFAOYSA-N 0 3 223.364 2.961 20 0 BFADHN CCn1cnc(CN[C@@H](C)C2CCCCC2)c1 ZINC000902265864 584549300 /nfs/dbraw/zinc/54/93/00/584549300.db2.gz GFRMQOZINGVCHP-LBPRGKRZSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1cnc(CNCC2(CC)CCC2)c1 ZINC000902301343 584554031 /nfs/dbraw/zinc/55/40/31/584554031.db2.gz XCOQSPCHLDEWNK-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN C[C@H](N[C@@H]1Cc2ccccc2[C@H]1N)c1ccoc1 ZINC000902439256 584597195 /nfs/dbraw/zinc/59/71/95/584597195.db2.gz QOMBLPMGWNKVFN-COLVAYQJSA-N 0 3 242.322 2.555 20 0 BFADHN CNc1ccc(C)cc1CN[C@@]1(C)CCO[C@H]1C ZINC000902477157 584603270 /nfs/dbraw/zinc/60/32/70/584603270.db2.gz PUIDDHOCIBEYBD-WFASDCNBSA-N 0 3 248.370 2.694 20 0 BFADHN CC(C)[C@H]1CCC[C@H]1NCc1csc(N)n1 ZINC000902491997 584618180 /nfs/dbraw/zinc/61/81/80/584618180.db2.gz VAMJJUQCKAVWPJ-GHMZBOCLSA-N 0 3 239.388 2.640 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1csc(N)n1 ZINC000902498952 584619993 /nfs/dbraw/zinc/61/99/93/584619993.db2.gz JKVOFXSGFBUHDC-KOLCDFICSA-N 0 3 239.388 2.784 20 0 BFADHN C[C@@H]1CC[C@H](NCc2csc(N)n2)C[C@H]1C ZINC000902498689 584620073 /nfs/dbraw/zinc/62/00/73/584620073.db2.gz INWFDQVLUYXZNB-BBBLOLIVSA-N 0 3 239.388 2.640 20 0 BFADHN Nc1nc(CN[C@H]2CCCC23CCC3)cs1 ZINC000902498890 584620160 /nfs/dbraw/zinc/62/01/60/584620160.db2.gz HJAOZGMDIBSPKQ-JTQLQIEISA-N 0 3 237.372 2.538 20 0 BFADHN CCCC[C@@H](CC)NCc1csc(N)n1 ZINC000902487755 584626804 /nfs/dbraw/zinc/62/68/04/584626804.db2.gz DODGPVQWLXUFFS-SECBINFHSA-N 0 3 227.377 2.784 20 0 BFADHN CCN(Cc1cc(Cl)n(C)n1)[C@@H](C)C(C)C ZINC000859093516 589000701 /nfs/dbraw/zinc/00/07/01/589000701.db2.gz INMVYBYLRLWAFO-JTQLQIEISA-N 0 3 243.782 2.940 20 0 BFADHN CCn1cnc(CN[C@@H]2CCCC(C)(C)C2)c1 ZINC000902521922 584631510 /nfs/dbraw/zinc/63/15/10/584631510.db2.gz UBMIZAUWHLPTLI-GFCCVEGCSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1cnc(CNCC(C)(C)C(C)C)c1 ZINC000902522149 584632216 /nfs/dbraw/zinc/63/22/16/584632216.db2.gz XSKOIHNSRLHFEE-UHFFFAOYSA-N 0 3 223.364 2.675 20 0 BFADHN CNc1ccccc1CN[C@H]1CC1(C)C ZINC000902595210 584635874 /nfs/dbraw/zinc/63/58/74/584635874.db2.gz GSTNHAKONWVMQW-LBPRGKRZSA-N 0 3 204.317 2.616 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1cn(CC)cn1 ZINC000902577828 584637743 /nfs/dbraw/zinc/63/77/43/584637743.db2.gz JATKOVZIYZTOSD-CHWSQXEVSA-N 0 3 235.375 2.819 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1cn(CC)cn1 ZINC000902577831 584638044 /nfs/dbraw/zinc/63/80/44/584638044.db2.gz JATKOVZIYZTOSD-STQMWFEESA-N 0 3 235.375 2.819 20 0 BFADHN CCNc1ccccc1CNC[C@@H]1CC[C@H](C)O1 ZINC000902585266 584638984 /nfs/dbraw/zinc/63/89/84/584638984.db2.gz DAVKMRFYBNIKLB-JSGCOSHPSA-N 0 3 248.370 2.776 20 0 BFADHN CNc1ccc(C)cc1CNC[C@@H]1CC[C@H](C)O1 ZINC000902584952 584639222 /nfs/dbraw/zinc/63/92/22/584639222.db2.gz AMHVVMMSJCZLKT-JSGCOSHPSA-N 0 3 248.370 2.694 20 0 BFADHN CNc1ccccc1CN[C@H](C)[C@@H](OC)C1CC1 ZINC000902583923 584639271 /nfs/dbraw/zinc/63/92/71/584639271.db2.gz ISIRRSRUQWCNRB-IAQYHMDHSA-N 0 3 248.370 2.631 20 0 BFADHN CCn1cnc(CNCC2CCC(F)CC2)c1 ZINC000902605633 584642416 /nfs/dbraw/zinc/64/24/16/584642416.db2.gz SPOCBZRZPXSPIF-UHFFFAOYSA-N 0 3 239.338 2.521 20 0 BFADHN CCn1cnc(CNC[C@@H](C)CC(F)(F)F)c1 ZINC000902609569 584643123 /nfs/dbraw/zinc/64/31/23/584643123.db2.gz QQBQIEUIWMPXIU-VIFPVBQESA-N 0 3 249.280 2.581 20 0 BFADHN CNc1ccccc1CN[C@@H]1COC2(CCC2)C1 ZINC000902617993 584644243 /nfs/dbraw/zinc/64/42/43/584644243.db2.gz XURVPDRMPUZNHB-ZDUSSCGKSA-N 0 3 246.354 2.530 20 0 BFADHN CCn1cnc(CNCC[C@@H]2CC=CCC2)c1 ZINC000902626097 584645760 /nfs/dbraw/zinc/64/57/60/584645760.db2.gz MGMRLMVVHXWRET-CYBMUJFWSA-N 0 3 233.359 2.739 20 0 BFADHN CNc1ccccc1CN[C@H]1[C@@H](C)[C@H](C)O[C@H]1C ZINC000902626384 584645783 /nfs/dbraw/zinc/64/57/83/584645783.db2.gz XOBXVRNVJDZEHQ-ASHKBJFXSA-N 0 3 248.370 2.630 20 0 BFADHN CNc1ccccc1CN[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C ZINC000902626386 584646016 /nfs/dbraw/zinc/64/60/16/584646016.db2.gz XOBXVRNVJDZEHQ-OXIQGZBJSA-N 0 3 248.370 2.630 20 0 BFADHN CCn1cnc(CNCC2CCC3(CC3)CC2)c1 ZINC000902632821 584647927 /nfs/dbraw/zinc/64/79/27/584647927.db2.gz PJZILJHJTHXQSM-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN CCn1cnc(CNCCC[C@@H]2C=CCC2)c1 ZINC000902637952 584649157 /nfs/dbraw/zinc/64/91/57/584649157.db2.gz LTHUZXCWIOSIKH-CYBMUJFWSA-N 0 3 233.359 2.739 20 0 BFADHN Cn1cnc(CNC[C@H]2CCC=CCCC2)c1 ZINC000902695226 584657045 /nfs/dbraw/zinc/65/70/45/584657045.db2.gz ALPIYQNEQLDRDW-ZDUSSCGKSA-N 0 3 233.359 2.646 20 0 BFADHN COC/C(C)=C/COc1cc(C)nc(C)c1C ZINC000902748580 584664066 /nfs/dbraw/zinc/66/40/66/584664066.db2.gz KPRPBLSRYHWBLW-UXBLZVDNSA-N 0 3 235.327 2.978 20 0 BFADHN c1nc(CNC2C[C@H]3CCC[C@@H]3C2)cs1 ZINC000902782288 584670628 /nfs/dbraw/zinc/67/06/28/584670628.db2.gz CIDPKBIUSOXIEY-NXEZZACHSA-N 0 3 222.357 2.811 20 0 BFADHN CC(C)CN(Cc1cc(Cl)n(C)n1)C(C)C ZINC000859077947 588998237 /nfs/dbraw/zinc/99/82/37/588998237.db2.gz YXHKXIZYFXAHHC-UHFFFAOYSA-N 0 3 243.782 2.940 20 0 BFADHN Fc1ccc(CNC2C[C@H]3CCC[C@@H]3C2)nc1 ZINC000902844071 584680740 /nfs/dbraw/zinc/68/07/40/584680740.db2.gz FHXDDRHWABVUOG-GHMZBOCLSA-N 0 3 234.318 2.889 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NC1C[C@H]2CCC[C@@H]2C1 ZINC000902882423 584688421 /nfs/dbraw/zinc/68/84/21/584688421.db2.gz VDNGBDUNYRSTQD-GYSYKLTISA-N 0 3 245.370 2.955 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1C[C@H]2CCC[C@H]2C1 ZINC000902882427 584688480 /nfs/dbraw/zinc/68/84/80/584688480.db2.gz VDNGBDUNYRSTQD-XCCSTKFXSA-N 0 3 245.370 2.955 20 0 BFADHN Fc1cccnc1CN[C@@H]1C[C@H]2CCC[C@H]2C1 ZINC000902885648 584688937 /nfs/dbraw/zinc/68/89/37/584688937.db2.gz CXHLRLCEGGGNCC-ZSBIGDGJSA-N 0 3 234.318 2.889 20 0 BFADHN CCCN(Cc1ccnc(F)c1)C1CC1 ZINC000859119494 589004896 /nfs/dbraw/zinc/00/48/96/589004896.db2.gz QXAKJFFBBGSQER-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN C[C@@H](N[C@@H]1Cc2ccccc2[C@H]1O)c1ccoc1 ZINC000902967515 584702539 /nfs/dbraw/zinc/70/25/39/584702539.db2.gz JQDANCSLGLYOAH-VCTAVGKDSA-N 0 3 243.306 2.589 20 0 BFADHN CCn1ccc(CNC2C[C@@H]3CCC[C@H]3C2)n1 ZINC000903019805 584713744 /nfs/dbraw/zinc/71/37/44/584713744.db2.gz RNENIYJRRHVFES-RYUDHWBXSA-N 0 3 233.359 2.571 20 0 BFADHN CCc1ncc(CNC2C[C@@H]3CCC[C@H]3C2)o1 ZINC000903013134 584717773 /nfs/dbraw/zinc/71/77/73/584717773.db2.gz XIGYECBNJQTLEX-QWRGUYRKSA-N 0 3 234.343 2.905 20 0 BFADHN COc1cccnc1CNC1C[C@@H]2CCC[C@H]2C1 ZINC000903013814 584718083 /nfs/dbraw/zinc/71/80/83/584718083.db2.gz JHTUNIQGSJZICU-RYUDHWBXSA-N 0 3 246.354 2.759 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NCCCCC1CC1 ZINC000903083059 584725019 /nfs/dbraw/zinc/72/50/19/584725019.db2.gz ZJNXSGAJLOXWPN-CYBMUJFWSA-N 0 3 233.359 2.957 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2nccn2C)[C@H]1C ZINC000903088912 584725426 /nfs/dbraw/zinc/72/54/26/584725426.db2.gz MVUNMMWGOITTPB-OWTLIXCDSA-N 0 3 235.375 2.580 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2N[C@@H]1CCCC12CC2 ZINC000903071533 584726378 /nfs/dbraw/zinc/72/63/78/584726378.db2.gz HKTNMYIQGVOXBE-VXGBXAGGSA-N 0 3 231.343 2.709 20 0 BFADHN COc1cc(C)cc(CN[C@H]2CCC[C@H]2OC)c1 ZINC000903127948 584729639 /nfs/dbraw/zinc/72/96/39/584729639.db2.gz OYNPTJNXMLOMTC-LSDHHAIUSA-N 0 3 249.354 2.661 20 0 BFADHN COc1cc(C)cc(CN[C@@H]2CCC[C@H]2OC)c1 ZINC000903127947 584729898 /nfs/dbraw/zinc/72/98/98/584729898.db2.gz OYNPTJNXMLOMTC-HUUCEWRRSA-N 0 3 249.354 2.661 20 0 BFADHN CO[C@H]1CCC[C@H]1NC1(c2ccccc2F)CC1 ZINC000903137482 584730299 /nfs/dbraw/zinc/73/02/99/584730299.db2.gz MPXQZMBWVIERBR-KGLIPLIRSA-N 0 3 249.329 2.972 20 0 BFADHN c1cn2c(n1)[C@H](NCC[C@@H]1C[C@H]1C1CC1)CCC2 ZINC000903162027 584731549 /nfs/dbraw/zinc/73/15/49/584731549.db2.gz UEOPEVLUARWVST-HZSPNIEDSA-N 0 3 245.370 2.744 20 0 BFADHN Cc1cc([C@@H](C)NCCOC2CC2)ccc1F ZINC000903171584 584732660 /nfs/dbraw/zinc/73/26/60/584732660.db2.gz XKUCGDALWFZAIW-LLVKDONJSA-N 0 3 237.318 2.964 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](N[C@@H]2CCn3ccnc32)[C@@H]1C ZINC000903178651 584732970 /nfs/dbraw/zinc/73/29/70/584732970.db2.gz XZBLKCXGPKBAGF-ITGHMWBKSA-N 0 3 247.386 2.988 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1cnn(CC2CCC2)c1 ZINC000903192571 584733872 /nfs/dbraw/zinc/73/38/72/584733872.db2.gz OGSRSUZMHAHSIL-SMDDNHRTSA-N 0 3 245.370 2.886 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1cnn(CC2CCC2)c1 ZINC000903192569 584734157 /nfs/dbraw/zinc/73/41/57/584734157.db2.gz OGSRSUZMHAHSIL-FZMZJTMJSA-N 0 3 245.370 2.886 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2ncccn2)[C@H]1C ZINC000903204977 584734337 /nfs/dbraw/zinc/73/43/37/584734337.db2.gz ZVTCLBJBHFVSSP-UHXUPSOCSA-N 0 3 233.359 2.637 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1nc2c(s1)CCC2 ZINC000903191363 584736021 /nfs/dbraw/zinc/73/60/21/584736021.db2.gz UZSREBYXWYYAKM-VHSXEESVSA-N 0 3 236.384 2.910 20 0 BFADHN CC[C@H](C[C@H](C)O)N[C@@H](c1ccccn1)C1CC1 ZINC000903211786 584736981 /nfs/dbraw/zinc/73/69/81/584736981.db2.gz FGTHKYALRXSXLW-NJZAAPMLSA-N 0 3 248.370 2.672 20 0 BFADHN FC(F)(F)[C@@H]1C[C@H]1N[C@@H]1CCOC12CCCC2 ZINC000903212160 584737086 /nfs/dbraw/zinc/73/70/86/584737086.db2.gz KPJXUUVZNVWBDD-OPRDCNLKSA-N 0 3 249.276 2.629 20 0 BFADHN c1cnc([C@@H](N[C@@H]2CCC[C@@H]3C[C@@H]32)C2CC2)nc1 ZINC000903223613 584737645 /nfs/dbraw/zinc/73/76/45/584737645.db2.gz IADQVXDQJKUOHB-RQJABVFESA-N 0 3 243.354 2.706 20 0 BFADHN CO[C@H]1CCC[C@H]1N[C@H]1CCc2c1cccc2F ZINC000903219182 584737885 /nfs/dbraw/zinc/73/78/85/584737885.db2.gz GTEUCTBSZPANAW-ZNMIVQPWSA-N 0 3 249.329 2.970 20 0 BFADHN CC[C@H](NCC(C)(C)C1CC1)c1nccn1C ZINC000903243796 584738210 /nfs/dbraw/zinc/73/82/10/584738210.db2.gz ITHGQSZNMSCCKZ-LBPRGKRZSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1cc([C@H](C)NCC(C)(C)C2CC2)nn1C ZINC000903244310 584738694 /nfs/dbraw/zinc/73/86/94/584738694.db2.gz QWYFLZQSSNWPOP-NSHDSACASA-N 0 3 235.375 2.815 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1N[C@@H]1C[C@H](C)n2ccnc21 ZINC000903252138 584738751 /nfs/dbraw/zinc/73/87/51/584738751.db2.gz AVKCCWGZKPHXGH-HDLKOFKZSA-N 0 3 231.343 2.523 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1N[C@H]1C[C@H](C)n2ccnc21 ZINC000903252141 584738913 /nfs/dbraw/zinc/73/89/13/584738913.db2.gz AVKCCWGZKPHXGH-PDWLFKFUSA-N 0 3 231.343 2.523 20 0 BFADHN CCn1cc([C@H](C)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)cn1 ZINC000903253622 584739399 /nfs/dbraw/zinc/73/93/99/584739399.db2.gz KYYRTGYLVGLOAA-GPXIKIIXSA-N 0 3 233.359 2.598 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1N[C@@H](c1nccn1C)C1CC1 ZINC000903254456 584739703 /nfs/dbraw/zinc/73/97/03/584739703.db2.gz RJZDDGMVLGLZEI-RGCYKPLRSA-N 0 3 245.370 2.505 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000903320104 584746589 /nfs/dbraw/zinc/74/65/89/584746589.db2.gz ZNTULNQHTYUSGW-QNWHQSFQSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CCC[C@H]21)c1nccs1 ZINC000903314568 584748406 /nfs/dbraw/zinc/74/84/06/584748406.db2.gz APUPKIUNKYRFQH-LNFKQOIKSA-N 0 3 222.357 2.982 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CCC[C@H]21)c1cc2n(n1)CCC2 ZINC000903316898 584750108 /nfs/dbraw/zinc/75/01/08/584750108.db2.gz KWQXZJQUWIAIRV-FMVNMVDZSA-N 0 3 245.370 2.669 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000903317282 584750336 /nfs/dbraw/zinc/75/03/36/584750336.db2.gz LYDNHTCJSMNISA-WUHRBBMRSA-N 0 3 231.343 2.624 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H]2C[C@H]3CCC[C@@H]32)nn1 ZINC000903318253 584750703 /nfs/dbraw/zinc/75/07/03/584750703.db2.gz PRFRDBASLGQKID-QNWHQSFQSA-N 0 3 248.374 2.527 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@H]3CCC[C@H]32)c2nccn21 ZINC000903318582 584750807 /nfs/dbraw/zinc/75/08/07/584750807.db2.gz QJNJWIJFEYROBU-VEGXAWMVSA-N 0 3 231.343 2.667 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](c1ccccn1)C1CC1 ZINC000903339813 584752118 /nfs/dbraw/zinc/75/21/18/584752118.db2.gz NFWFXGUSTOUHFD-JTNHKYCSSA-N 0 3 234.343 2.546 20 0 BFADHN COC[C@H](N[C@H](c1ccccn1)C1CC1)C1CC1 ZINC000903340675 584752396 /nfs/dbraw/zinc/75/23/96/584752396.db2.gz RUGDJCNXZMWHHK-GJZGRUSLSA-N 0 3 246.354 2.547 20 0 BFADHN C[C@@H](NC[C@@H]1CCC=CCCC1)c1cnn(C)n1 ZINC000903345043 584753038 /nfs/dbraw/zinc/75/30/38/584753038.db2.gz KXKDVWPIKZYFFN-CHWSQXEVSA-N 0 3 248.374 2.602 20 0 BFADHN Cc1cc([C@H](C)NC2CC(CCO)C2)oc1C ZINC000903332302 584754905 /nfs/dbraw/zinc/75/49/05/584754905.db2.gz HVTRZCZIQUIZRZ-PKSQDBQZSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2COC[C@@H]2C)oc1C ZINC000903393732 584756712 /nfs/dbraw/zinc/75/67/12/584756712.db2.gz SEAPBBVNDMDKQY-JBLDHEPKSA-N 0 3 223.316 2.582 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2COC[C@@H]2C)o1 ZINC000903395027 584756937 /nfs/dbraw/zinc/75/69/37/584756937.db2.gz JEGNBVDKBMVKQK-NHCYSSNCSA-N 0 3 223.316 2.528 20 0 BFADHN C[C@@H]1COC[C@H]1N[C@H]1CC(C)(C)Cc2occc21 ZINC000903394596 584757104 /nfs/dbraw/zinc/75/71/04/584757104.db2.gz FFMDYUVMPQTLGK-KGYLQXTDSA-N 0 3 249.354 2.918 20 0 BFADHN C[C@H](NCC[C@H](O)C1CC1)c1ccsc1 ZINC000903405740 584758223 /nfs/dbraw/zinc/75/82/23/584758223.db2.gz RAPKVDLCQYPMHZ-CABZTGNLSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@H]1C[C@@H](N[C@@H]2CC=CC[C@H]2C)c2nccn21 ZINC000903414033 584759807 /nfs/dbraw/zinc/75/98/07/584759807.db2.gz UGPKVWPRMNSTCW-YVECIDJPSA-N 0 3 231.343 2.833 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC=CC[C@H]2C)no1 ZINC000903412919 584759819 /nfs/dbraw/zinc/75/98/19/584759819.db2.gz HKHGLOGBYFWPTO-ADEWGFFLSA-N 0 3 220.316 2.988 20 0 BFADHN C[C@@H]1CC=CC[C@H]1N[C@H]1CCCn2ccnc21 ZINC000903415179 584760267 /nfs/dbraw/zinc/76/02/67/584760267.db2.gz YYBJGTASEQAAEJ-UPJWGTAASA-N 0 3 231.343 2.662 20 0 BFADHN Cc1ncccc1CCN[C@H](C)c1ccns1 ZINC000903419085 584760271 /nfs/dbraw/zinc/76/02/71/584760271.db2.gz SCGQZPMDMKAHGP-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN C[C@@H](NC1(C)C(C)(C)C1(C)C)c1nccn1C ZINC000903441635 584760910 /nfs/dbraw/zinc/76/09/10/584760910.db2.gz BDWSMKBTWRLOGD-SNVBAGLBSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2COC[C@@H]2C2CC2)oc1C ZINC000903435585 584762160 /nfs/dbraw/zinc/76/21/60/584762160.db2.gz DOBXQHRWYNYLLM-ZLKJLUDKSA-N 0 3 249.354 2.972 20 0 BFADHN C[C@H](NC1(C)C(C)(C)C1(C)C)c1cnccn1 ZINC000903442380 584767139 /nfs/dbraw/zinc/76/71/39/584767139.db2.gz OISCLUQXPAUREG-JTQLQIEISA-N 0 3 233.359 2.952 20 0 BFADHN C[C@@H](NC1(C)C(C)(C)C1(C)C)c1ccnnc1 ZINC000903442741 584768099 /nfs/dbraw/zinc/76/80/99/584768099.db2.gz SOPLERRLLDMWQB-SNVBAGLBSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@@H](NC1(C)C(C)(C)C1(C)C)c1ccc(=O)[nH]n1 ZINC000903442762 584768149 /nfs/dbraw/zinc/76/81/49/584768149.db2.gz TXCDXLVXTSORFZ-SECBINFHSA-N 0 3 249.358 2.658 20 0 BFADHN C[C@@H]([NH2+]C/C=C\Cl)c1cc(F)ccc1[O-] ZINC000903443094 584768164 /nfs/dbraw/zinc/76/81/64/584768164.db2.gz BHONDIDEMSSCIP-ZTHSNPKKSA-N 0 3 229.682 2.934 20 0 BFADHN [O-]c1cccc2c1[C@@H]([NH2+]C/C=C\Cl)CC2 ZINC000903443966 584768715 /nfs/dbraw/zinc/76/87/15/584768715.db2.gz MJSGDQSKZRAYRB-ATRZURONSA-N 0 3 223.703 2.722 20 0 BFADHN C[C@H](NC/C=C\Cl)c1cccc(CO)c1 ZINC000903445192 584769474 /nfs/dbraw/zinc/76/94/74/584769474.db2.gz SEVWPXPCTYTICN-NWPZZWLHSA-N 0 3 225.719 2.582 20 0 BFADHN COc1cccc([C@H](C)NC/C=C\Cl)c1O ZINC000903445505 584770047 /nfs/dbraw/zinc/77/00/47/584770047.db2.gz URLPLBAKQUMOSZ-WYDVEAGSSA-N 0 3 241.718 2.804 20 0 BFADHN CC[C@H](NC/C=C\Cl)c1ccncc1 ZINC000903445763 584770126 /nfs/dbraw/zinc/77/01/26/584770126.db2.gz VMJBCPQNFFFZJT-UUSYTGMJSA-N 0 3 210.708 2.875 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1ccnc(F)c1 ZINC000859153652 589010070 /nfs/dbraw/zinc/01/00/70/589010070.db2.gz VSBHSNXJGRARNL-ZYHUDNBSSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1ccnc(F)c1 ZINC000859153649 589010303 /nfs/dbraw/zinc/01/03/03/589010303.db2.gz VSBHSNXJGRARNL-CMPLNLGQSA-N 0 3 222.307 2.841 20 0 BFADHN CCC[C@@H](C)CN1CCOc2ccc(N)cc2C1 ZINC000903944349 584829522 /nfs/dbraw/zinc/82/95/22/584829522.db2.gz IPUJENFDBMAQGJ-GFCCVEGCSA-N 0 3 248.370 2.899 20 0 BFADHN CC(C)CCC[C@@H](C)NCc1cc(N)ccn1 ZINC000903949650 584829855 /nfs/dbraw/zinc/82/98/55/584829855.db2.gz CTXFSDSIHSEXGP-GFCCVEGCSA-N 0 3 235.375 2.968 20 0 BFADHN Cc1cc(CNCc2cc(N)ccn2)c(C)s1 ZINC000903949832 584830090 /nfs/dbraw/zinc/83/00/90/584830090.db2.gz DVDRIRGYBJLPRL-UHFFFAOYSA-N 0 3 247.367 2.632 20 0 BFADHN CCCC[C@H](CCC)NCc1ccc(N)nn1 ZINC000904363546 584874290 /nfs/dbraw/zinc/87/42/90/584874290.db2.gz IGHXSIKSQGJXDC-NSHDSACASA-N 0 3 236.363 2.507 20 0 BFADHN FC(F)Oc1cccc(CN2CC[C@@H]3C[C@@H]32)c1 ZINC000761081023 584908998 /nfs/dbraw/zinc/90/89/98/584908998.db2.gz FFGHVOMLSNGEFD-PWSUYJOCSA-N 0 3 239.265 2.882 20 0 BFADHN C[C@H]1c2cccn2CCN1C[Si](C)(C)C ZINC000731210576 584923542 /nfs/dbraw/zinc/92/35/42/584923542.db2.gz SJIPPSPNOYEYQJ-NSHDSACASA-N 0 3 222.408 2.742 20 0 BFADHN CCc1cc(CN[C@@H]2CCc3ccccc32)n[nH]1 ZINC000765994747 584939233 /nfs/dbraw/zinc/93/92/33/584939233.db2.gz RNVZNMJWOSFHTE-OAHLLOKOSA-N 0 3 241.338 2.749 20 0 BFADHN CCc1nc(CN[C@H](CC)[C@@H]2C[C@H]2C)co1 ZINC000840759322 584945489 /nfs/dbraw/zinc/94/54/89/584945489.db2.gz HOXADQMWRNAUGR-YUSALJHKSA-N 0 3 222.332 2.761 20 0 BFADHN CCCCN(CN1C[C@@H](C)CC1=O)[C@H](C)CC ZINC000733291101 584946015 /nfs/dbraw/zinc/94/60/15/584946015.db2.gz CIVDPODRWYKTRU-QWHCGFSZSA-N 0 3 240.391 2.713 20 0 BFADHN C[C@@H](NCc1ccoc1)[C@H](O)c1ccc(F)cc1 ZINC000734846119 584968726 /nfs/dbraw/zinc/96/87/26/584968726.db2.gz BQTLWDXTQYWGMB-YGRLFVJLSA-N 0 3 249.285 2.630 20 0 BFADHN C[C@@H]1CN(Cc2ccc3c(c2)COC3)[C@H]1C ZINC000815163857 584973368 /nfs/dbraw/zinc/97/33/68/584973368.db2.gz RPGXAWYXJYPTKH-MNOVXSKESA-N 0 3 217.312 2.557 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1cn(C)nc1C(C)(C)C ZINC000816648965 584981338 /nfs/dbraw/zinc/98/13/38/584981338.db2.gz RDNSQOPPJALGSW-WDEREUQCSA-N 0 3 235.375 2.558 20 0 BFADHN C=COc1ccc(NC2CCN(C)CC2)cc1 ZINC000840777170 585000626 /nfs/dbraw/zinc/00/06/26/585000626.db2.gz XCLJEYBBCWFYMR-UHFFFAOYSA-N 0 3 232.327 2.715 20 0 BFADHN C[C@@H](COc1ccccc1)NCc1cccn1C ZINC000738429745 585045879 /nfs/dbraw/zinc/04/58/79/585045879.db2.gz GDIVGKBDKMOWSI-ZDUSSCGKSA-N 0 3 244.338 2.582 20 0 BFADHN Cc1ccc([C@H](C)CNCc2ccn(C)n2)cc1 ZINC000738435665 585045911 /nfs/dbraw/zinc/04/59/11/585045911.db2.gz INWZXPCMUCLGTE-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN CCN(CC)CCOC(=O)C(C)(C)C1CCC1 ZINC000838158648 585046746 /nfs/dbraw/zinc/04/67/46/585046746.db2.gz YNQRYYBMPGCPLA-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN C/C=C(\C)COC(=O)c1cccc(CN(C)C)c1 ZINC000842846256 585063935 /nfs/dbraw/zinc/06/39/35/585063935.db2.gz NILLSAXRFYDJAH-LFYBBSHMSA-N 0 3 247.338 2.871 20 0 BFADHN C[C@@H](c1cccs1)N(C)C[C@H](O)C1CC1 ZINC000838792345 585068612 /nfs/dbraw/zinc/06/86/12/585068612.db2.gz JLXRIGBSUMFEDJ-ONGXEEELSA-N 0 3 225.357 2.512 20 0 BFADHN c1cc(CNCCC2CCC2)nc2c1CCC2 ZINC000838979368 585083913 /nfs/dbraw/zinc/08/39/13/585083913.db2.gz HCEQUKDDRQIGPT-UHFFFAOYSA-N 0 3 230.355 2.850 20 0 BFADHN CC1=C(c2ccco2)CN(C[C@H](O)C2CC2)CC1 ZINC000838983095 585084360 /nfs/dbraw/zinc/08/43/60/585084360.db2.gz LVGIOCZAIQKHEY-AWEZNQCLSA-N 0 3 247.338 2.530 20 0 BFADHN c1ccc(CC2CN(C[C@@H]3CCC=CO3)C2)cc1 ZINC000839127808 585093526 /nfs/dbraw/zinc/09/35/26/585093526.db2.gz IIPCZQLPRGSIMV-INIZCTEOSA-N 0 3 243.350 2.854 20 0 BFADHN c1oc(C2CC2)nc1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000839190595 585097487 /nfs/dbraw/zinc/09/74/87/585097487.db2.gz IIWJFXNCWXZWTL-WXHSDQCUSA-N 0 3 232.327 2.830 20 0 BFADHN FCc1ccc(CN2C[C@@H]3C[C@H]2CS3)cc1 ZINC000839201708 585099526 /nfs/dbraw/zinc/09/95/26/585099526.db2.gz QCRASYJVMSVCRP-STQMWFEESA-N 0 3 237.343 2.846 20 0 BFADHN CCCOC(=O)[C@H](C)N1CCC[C@@H]1CC(C)C ZINC000741777544 585118321 /nfs/dbraw/zinc/11/83/21/585118321.db2.gz JKOQUJKFLQMDOA-QWHCGFSZSA-N 0 3 241.375 2.839 20 0 BFADHN CCCCOC(=O)[C@H](C)N1CCC[C@H]1C(C)C ZINC000741784817 585118692 /nfs/dbraw/zinc/11/86/92/585118692.db2.gz VIZGUAYPOKUOJN-STQMWFEESA-N 0 3 241.375 2.839 20 0 BFADHN CCCOC(=O)[C@H](C)N1CCCCC[C@H]1CC ZINC000741794167 585119331 /nfs/dbraw/zinc/11/93/31/585119331.db2.gz GMEFXHBAHQQGNJ-QWHCGFSZSA-N 0 3 241.375 2.983 20 0 BFADHN CCCOC(=O)[C@@H](C)N1CCCCC[C@@H]1CC ZINC000741794166 585119589 /nfs/dbraw/zinc/11/95/89/585119589.db2.gz GMEFXHBAHQQGNJ-OLZOCXBDSA-N 0 3 241.375 2.983 20 0 BFADHN C[C@@H](CNCc1ccoc1)Oc1ccc(F)cc1 ZINC000742380473 585125283 /nfs/dbraw/zinc/12/52/83/585125283.db2.gz FYKUYILCUDMTOF-NSHDSACASA-N 0 3 249.285 2.976 20 0 BFADHN CCCCCCC(=O)NCCN1CCC[C@H]1C ZINC000744348107 585149191 /nfs/dbraw/zinc/14/91/91/585149191.db2.gz DROZYLOSEJWICU-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN CCC[C@H]1[C@H](C)CCCN1Cc1nonc1C ZINC000744413998 585149867 /nfs/dbraw/zinc/14/98/67/585149867.db2.gz CEDSQJQOVOKUFA-MFKMUULPSA-N 0 3 237.347 2.779 20 0 BFADHN CCCCOC(=O)[C@H](C)N1C[C@H](C)C[C@@H]1CC ZINC000745990896 585162216 /nfs/dbraw/zinc/16/22/16/585162216.db2.gz KFYCOGVLOSAEQL-AGIUHOORSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@H](NCCOc1cccnc1)c1ccccc1 ZINC000746047419 585162700 /nfs/dbraw/zinc/16/27/00/585162700.db2.gz MSNZNGLIPYYHCC-ZDUSSCGKSA-N 0 3 242.322 2.811 20 0 BFADHN C[C@@H](NCCOc1cccnc1)c1ccccc1 ZINC000746047417 585162726 /nfs/dbraw/zinc/16/27/26/585162726.db2.gz MSNZNGLIPYYHCC-CYBMUJFWSA-N 0 3 242.322 2.811 20 0 BFADHN CCN1CCN(c2ccc(C)c(C)c2)C[C@H]1C ZINC000747968123 585186295 /nfs/dbraw/zinc/18/62/95/585186295.db2.gz KDCSPFKUGCSCOL-CQSZACIVSA-N 0 3 232.371 2.834 20 0 BFADHN C/C=C/C(=O)Nc1cc(CN(C)C)ccc1C ZINC000748760267 585195211 /nfs/dbraw/zinc/19/52/11/585195211.db2.gz SQXVIIYCZZKNCJ-AATRIKPKSA-N 0 3 232.327 2.571 20 0 BFADHN COC(=O)/C(C)=C/CN1CCC[C@H]1C(C)(C)C ZINC000748818458 585196571 /nfs/dbraw/zinc/19/65/71/585196571.db2.gz XTCVXFKVCQLWAL-OBIHZWKSSA-N 0 3 239.359 2.616 20 0 BFADHN COC(=O)/C(C)=C\CN1CCC[C@H]1C(C)(C)C ZINC000748818455 585196718 /nfs/dbraw/zinc/19/67/18/585196718.db2.gz XTCVXFKVCQLWAL-KGTBHZDVSA-N 0 3 239.359 2.616 20 0 BFADHN Cc1csc(CNC[C@@H](C)c2ccncc2)n1 ZINC000749295046 585201199 /nfs/dbraw/zinc/20/11/99/585201199.db2.gz IIJFZWAZYXUUFZ-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN CCC(CC)N(Cc1cnc(C)cn1)C1CC1 ZINC000749440616 585202359 /nfs/dbraw/zinc/20/23/59/585202359.db2.gz HXMXFWDZKSGLTD-UHFFFAOYSA-N 0 3 233.359 2.938 20 0 BFADHN CN(C)Cc1ccccc1NC(=O)[C@@H]1CC1(C)C ZINC000751600683 585234542 /nfs/dbraw/zinc/23/45/42/585234542.db2.gz DSOPGEBSIVUVSU-LBPRGKRZSA-N 0 3 246.354 2.733 20 0 BFADHN COc1ccc(CN2CCSCC2)c(C)c1 ZINC000752855390 585250926 /nfs/dbraw/zinc/25/09/26/585250926.db2.gz MOXBHOIAOIXINY-UHFFFAOYSA-N 0 3 237.368 2.552 20 0 BFADHN Cc1cccc(F)c1CN[C@@H](C)[C@H]1CCCO1 ZINC000753663766 585265752 /nfs/dbraw/zinc/26/57/52/585265752.db2.gz NCAOOGXPEFWEPL-SMDDNHRTSA-N 0 3 237.318 2.791 20 0 BFADHN CCC(=O)CCN(C)CCc1ccccc1F ZINC000753723178 585266928 /nfs/dbraw/zinc/26/69/28/585266928.db2.gz YZKUWZGHANDMGD-UHFFFAOYSA-N 0 3 237.318 2.669 20 0 BFADHN C[C@@H]1CCCN(Cc2ccnc(N(C)C)c2)[C@@H]1C ZINC000754043517 585276203 /nfs/dbraw/zinc/27/62/03/585276203.db2.gz SGGFPCWZWONQNF-CHWSQXEVSA-N 0 3 247.386 2.768 20 0 BFADHN C[C@H]1CN(Cc2cc3ccccc3s2)C[C@H]1O ZINC000754097462 585278410 /nfs/dbraw/zinc/27/84/10/585278410.db2.gz NHCFMSMZCUAKQB-GXFFZTMASA-N 0 3 247.363 2.714 20 0 BFADHN c1ccc([C@H]2CCN2CCC2OCCCO2)cc1 ZINC000754649905 585288590 /nfs/dbraw/zinc/28/85/90/585288590.db2.gz NCXFFOYSDFDCGE-CQSZACIVSA-N 0 3 247.338 2.587 20 0 BFADHN CC(C)c1cnc(CSCCN(C)C)o1 ZINC000756922013 585325397 /nfs/dbraw/zinc/32/53/97/585325397.db2.gz NGANGFRTFITCAO-UHFFFAOYSA-N 0 3 228.361 2.593 20 0 BFADHN Cc1cccc(C)c1OCCN1CC[C@@H]2C[C@@H]21 ZINC000839533614 585329053 /nfs/dbraw/zinc/32/90/53/585329053.db2.gz LQEWRIXJPNQTJQ-KGLIPLIRSA-N 0 3 231.339 2.776 20 0 BFADHN Cc1oncc1CN1CC[C@H](C2CCC2)C1 ZINC000839681541 585337082 /nfs/dbraw/zinc/33/70/82/585337082.db2.gz SYJLJFHEFHOKPV-LBPRGKRZSA-N 0 3 220.316 2.605 20 0 BFADHN COc1cc(CN2CCCCCCC2)ccn1 ZINC000757647664 585343685 /nfs/dbraw/zinc/34/36/85/585343685.db2.gz PIUUSPVIZOCNTB-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN CC(C)(C)[C@@H]1CCN(Cc2ccoc2)C[C@H]1O ZINC000757867813 585346023 /nfs/dbraw/zinc/34/60/23/585346023.db2.gz WGDFLVGALGBKKG-CHWSQXEVSA-N 0 3 237.343 2.509 20 0 BFADHN CC(C)[C@@H]1CCN1C[C@@H](O)c1ccccc1F ZINC000759071389 585363881 /nfs/dbraw/zinc/36/38/81/585363881.db2.gz QAUPKXOMLRKPBC-UONOGXRCSA-N 0 3 237.318 2.589 20 0 BFADHN CC(C)[C@@H]1CCN1C[C@H](O)c1ccccc1F ZINC000759071382 585363966 /nfs/dbraw/zinc/36/39/66/585363966.db2.gz QAUPKXOMLRKPBC-KBPBESRZSA-N 0 3 237.318 2.589 20 0 BFADHN CCO[C@@H]1C[C@H](N(C)CCF)C1(CC)CC ZINC000759347459 585370439 /nfs/dbraw/zinc/37/04/39/585370439.db2.gz WKVOSRURYNPZNL-NWDGAFQWSA-N 0 3 231.355 2.872 20 0 BFADHN C[C@H](NCc1ccc2[nH]cnc2c1)c1ccc[nH]1 ZINC000760446880 585392533 /nfs/dbraw/zinc/39/25/33/585392533.db2.gz ZDIOFDGHVPVERF-JTQLQIEISA-N 0 3 240.310 2.742 20 0 BFADHN C[C@H](NCc1ccc2nc[nH]c2c1)c1ccc[nH]1 ZINC000760446880 585392534 /nfs/dbraw/zinc/39/25/34/585392534.db2.gz ZDIOFDGHVPVERF-JTQLQIEISA-N 0 3 240.310 2.742 20 0 BFADHN CCCC[C@H](CC)CN1CCOC[C@@H]1C ZINC000760852204 585400180 /nfs/dbraw/zinc/40/01/80/585400180.db2.gz ICAUTCGBNLVACI-STQMWFEESA-N 0 3 213.365 2.924 20 0 BFADHN CC(=O)CCN1CCC(CC(C)C)CC1 ZINC000760921074 585401732 /nfs/dbraw/zinc/40/17/32/585401732.db2.gz NWXDHMMWPDRPBO-UHFFFAOYSA-N 0 3 211.349 2.724 20 0 BFADHN CCSCCN1CC[C@@H](C(F)(F)F)C1 ZINC000761051131 585404165 /nfs/dbraw/zinc/40/41/65/585404165.db2.gz UILMNAGXOWLLPW-MRVPVSSYSA-N 0 3 227.295 2.624 20 0 BFADHN C[C@@H]1CN(CCCC2CCCC2)CCN1C ZINC000761077978 585405786 /nfs/dbraw/zinc/40/57/86/585405786.db2.gz PZZHPIXOUPLQHA-CYBMUJFWSA-N 0 3 224.392 2.593 20 0 BFADHN C[C@@H]1CN(Cc2cc3ccccc3o2)CCN1C ZINC000761078201 585406035 /nfs/dbraw/zinc/40/60/35/585406035.db2.gz ALWGJWOJZQUVHE-GFCCVEGCSA-N 0 3 244.338 2.569 20 0 BFADHN Cc1cccc([C@H](C)N2CCN(C)[C@@H](C)C2)c1 ZINC000761078007 585406040 /nfs/dbraw/zinc/40/60/40/585406040.db2.gz WJHXQCDFTHGIAE-KBPBESRZSA-N 0 3 232.371 2.692 20 0 BFADHN O=c1[nH]c2ccccc2cc1CN1CC[C@@H]2C[C@@H]21 ZINC000761089002 585407580 /nfs/dbraw/zinc/40/75/80/585407580.db2.gz FSEIAXSBXQDIJP-RISCZKNCSA-N 0 3 240.306 2.535 20 0 BFADHN CCOc1ccccc1OCCN1CC[C@H]2C[C@H]21 ZINC000761097051 585408804 /nfs/dbraw/zinc/40/88/04/585408804.db2.gz VAOPKKOXQJCOEG-QWHCGFSZSA-N 0 3 247.338 2.558 20 0 BFADHN C[C@H]1CCN(Cc2ccnc(F)c2)C[C@H]1C ZINC000859222990 589021708 /nfs/dbraw/zinc/02/17/08/589021708.db2.gz ZAETUEKHFMXKQN-WDEREUQCSA-N 0 3 222.307 2.699 20 0 BFADHN Cc1cc(NC2CCC(C(C)C)CC2)nc(N)n1 ZINC000763387714 585447564 /nfs/dbraw/zinc/44/75/64/585447564.db2.gz XXCVOZNUFZIISE-UHFFFAOYSA-N 0 3 248.374 2.994 20 0 BFADHN CC(C)(C)c1nnc(C[NH2+]C2(C3CC3)CCC2)[n-]1 ZINC000887148666 585448016 /nfs/dbraw/zinc/44/80/16/585448016.db2.gz OORNHPJVTFANBY-UHFFFAOYSA-N 0 3 248.374 2.525 20 0 BFADHN CC(C)(C)c1nnc(CNC2(C3CC3)CCC2)[nH]1 ZINC000887148666 585448017 /nfs/dbraw/zinc/44/80/17/585448017.db2.gz OORNHPJVTFANBY-UHFFFAOYSA-N 0 3 248.374 2.525 20 0 BFADHN C[C@@H](C[C@H](O)c1ccccc1)NCc1ccoc1 ZINC000763517600 585449246 /nfs/dbraw/zinc/44/92/46/585449246.db2.gz QIANEAABSNAPOD-WFASDCNBSA-N 0 3 245.322 2.881 20 0 BFADHN COC[C@H](NCc1c(C)cccc1F)C1CC1 ZINC000766213368 585498968 /nfs/dbraw/zinc/49/89/68/585498968.db2.gz OOROQZVCCNGRPL-AWEZNQCLSA-N 0 3 237.318 2.649 20 0 BFADHN COC[C@H](NCc1c(C)cccc1F)C(C)C ZINC000766213733 585499050 /nfs/dbraw/zinc/49/90/50/585499050.db2.gz QORKNUCEFSEWIN-AWEZNQCLSA-N 0 3 239.334 2.895 20 0 BFADHN Cc1cccc(CN(C)CCC(=O)C2CC2)c1 ZINC000767349724 585516952 /nfs/dbraw/zinc/51/69/52/585516952.db2.gz WXNNBAOOYXDZHV-UHFFFAOYSA-N 0 3 231.339 2.796 20 0 BFADHN CCN(CCC(=O)C1CC1)CCc1ccccc1 ZINC000767349670 585517248 /nfs/dbraw/zinc/51/72/48/585517248.db2.gz VRMNRMHVHJUYHC-UHFFFAOYSA-N 0 3 245.366 2.920 20 0 BFADHN Cc1ccc([C@@H](O)CNCc2cc(C)oc2C)o1 ZINC000767381020 585518701 /nfs/dbraw/zinc/51/87/01/585518701.db2.gz VOPHCANFUGSFEG-ZDUSSCGKSA-N 0 3 249.310 2.621 20 0 BFADHN Cc1cc(CN[C@@H]2CCCc3cn[nH]c32)c(C)o1 ZINC000767381465 585518902 /nfs/dbraw/zinc/51/89/02/585518902.db2.gz AKCMCDYDIHPFJM-CYBMUJFWSA-N 0 3 245.326 2.787 20 0 BFADHN Cc1cccc2c1CCN(CC1=CCCOC1)C2 ZINC000769337630 585554386 /nfs/dbraw/zinc/55/43/86/585554386.db2.gz GPLMOEPJYCBYTD-UHFFFAOYSA-N 0 3 243.350 2.700 20 0 BFADHN CCc1noc(C)c1[C@H](C)NCC[C@H](C)OC ZINC000769870114 585565521 /nfs/dbraw/zinc/56/55/21/585565521.db2.gz CWZUAJMUBMZFMU-UWVGGRQHSA-N 0 3 240.347 2.621 20 0 BFADHN CC(C)[C@@H](NCC1=CCCOC1)c1ccccn1 ZINC000769928385 585566476 /nfs/dbraw/zinc/56/64/76/585566476.db2.gz NZOFJYIAARERAY-OAHLLOKOSA-N 0 3 246.354 2.715 20 0 BFADHN CC(C)CC1CCN(Cc2cn[nH]c2)CC1 ZINC000770356541 585573119 /nfs/dbraw/zinc/57/31/19/585573119.db2.gz XLYLQUFQEWITTM-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CCC(=CC(=O)OCCN1CCCCC1)CC ZINC000770396092 585573661 /nfs/dbraw/zinc/57/36/61/585573661.db2.gz JRBCIGNERYYBDE-UHFFFAOYSA-N 0 3 239.359 2.762 20 0 BFADHN O[C@@H](CN1CCCC2(CC2)C1)c1cccc(F)c1 ZINC000770701864 585580276 /nfs/dbraw/zinc/58/02/76/585580276.db2.gz UVMLCRHOEZQSLM-AWEZNQCLSA-N 0 3 249.329 2.735 20 0 BFADHN CCN(CC)CCOC(=O)C[C@@H]1CC[C@H](C)C1 ZINC000772185386 585609488 /nfs/dbraw/zinc/60/94/88/585609488.db2.gz GUSVNLANQAGCOV-QWHCGFSZSA-N 0 3 241.375 2.698 20 0 BFADHN CCN(CC)CCOC(=O)/C=C1/CC[C@H](C)C1 ZINC000772184979 585609844 /nfs/dbraw/zinc/60/98/44/585609844.db2.gz QZIIZWGXRDJJNL-KLDSGFLGSA-N 0 3 239.359 2.618 20 0 BFADHN CCC(CC)[C@H](C)C(=O)OCCN(CC)CC ZINC000772232653 585610639 /nfs/dbraw/zinc/61/06/39/585610639.db2.gz RSHHTKXRKUIHBB-LBPRGKRZSA-N 0 3 243.391 2.944 20 0 BFADHN CCN(CC)CCOC(=O)[C@H]1CCC(C)(C)C1 ZINC000772256993 585611195 /nfs/dbraw/zinc/61/11/95/585611195.db2.gz JTXBAXKCOLVLBN-LBPRGKRZSA-N 0 3 241.375 2.698 20 0 BFADHN CC[C@H](C)[C@H](C)C(=O)OCCN(CC)CC ZINC000772256573 585611325 /nfs/dbraw/zinc/61/13/25/585611325.db2.gz NNRZXMITATYSTM-RYUDHWBXSA-N 0 3 229.364 2.554 20 0 BFADHN CCN(CC)CCOC(=O)[C@H]1CCCC1(C)C ZINC000772599241 585618537 /nfs/dbraw/zinc/61/85/37/585618537.db2.gz DLVHSPGNOMPGOK-GFCCVEGCSA-N 0 3 241.375 2.698 20 0 BFADHN CCN(CC)CCOC(=O)[C@@H](C)CC(C)(C)C ZINC000772613541 585619217 /nfs/dbraw/zinc/61/92/17/585619217.db2.gz COOISRSDGCBMJS-LBPRGKRZSA-N 0 3 243.391 2.944 20 0 BFADHN C[C@H]1CCCN1CCN[C@@H]1CCCc2occc21 ZINC000774206429 585653868 /nfs/dbraw/zinc/65/38/68/585653868.db2.gz CEERIZIZMRXFNA-GXTWGEPZSA-N 0 3 248.370 2.731 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1CCO[C@@H](C)C1 ZINC000774437036 585659006 /nfs/dbraw/zinc/65/90/06/585659006.db2.gz DFZQRLLXZMYYEY-NWDGAFQWSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1noc(C)c1CN(C)CC1(C)CCC1 ZINC000774838798 585666898 /nfs/dbraw/zinc/66/68/98/585666898.db2.gz LKMSPGGMUCPFQN-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN c1cncc([C@H](NC[C@@H]2CCC=CO2)C2CC2)c1 ZINC000775040021 585670892 /nfs/dbraw/zinc/67/08/92/585670892.db2.gz ORDHRQSFIYQWAW-LSDHHAIUSA-N 0 3 244.338 2.815 20 0 BFADHN CCN(CC)CCOC(=O)[C@H]1C[C@@]1(C)C(C)C ZINC000775071598 585671071 /nfs/dbraw/zinc/67/10/71/585671071.db2.gz IQHFQTCGKFGINA-OCCSQVGLSA-N 0 3 241.375 2.554 20 0 BFADHN CCn1ccc(CN(C)C[C@H]2CCC=CO2)c1 ZINC000775113343 585672576 /nfs/dbraw/zinc/67/25/76/585672576.db2.gz WVAKQEQIJAKIIF-CQSZACIVSA-N 0 3 234.343 2.633 20 0 BFADHN COc1ccc(C)cc1CN1CCOCC[C@H]1C ZINC000775570651 585680198 /nfs/dbraw/zinc/68/01/98/585680198.db2.gz RCNNXJPPISABAC-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1cc2ccccc2[nH]1 ZINC000775624078 585681610 /nfs/dbraw/zinc/68/16/10/585681610.db2.gz XKDSOMHDOWGGHB-MNOVXSKESA-N 0 3 232.327 2.853 20 0 BFADHN CCC[C@H]1CCCC[C@H]1NCc1nonc1C ZINC000775681188 585683145 /nfs/dbraw/zinc/68/31/45/585683145.db2.gz IKFBDJQFOJQKNH-NWDGAFQWSA-N 0 3 237.347 2.827 20 0 BFADHN Cc1cc(CNC2(CO)CCCCC2)c(C)o1 ZINC000776498592 585699389 /nfs/dbraw/zinc/69/93/89/585699389.db2.gz OSUCQBTWDGHNGI-UHFFFAOYSA-N 0 3 237.343 2.681 20 0 BFADHN CC(C)CCN(CCC(C)C)Cn1cnnc1 ZINC000776756293 585703827 /nfs/dbraw/zinc/70/38/27/585703827.db2.gz NAAXLGJHDSRZDF-UHFFFAOYSA-N 0 3 238.379 2.630 20 0 BFADHN C[C@@H](C1CC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000777401843 585711202 /nfs/dbraw/zinc/71/12/02/585711202.db2.gz RUSZEUPCOYWBRY-LBPRGKRZSA-N 0 3 248.345 2.746 20 0 BFADHN C[C@H]1CN(Cc2ccc(CF)cc2)CCCO1 ZINC000777573736 585715448 /nfs/dbraw/zinc/71/54/48/585715448.db2.gz WLDWIPQOKLFJFB-LBPRGKRZSA-N 0 3 237.318 2.767 20 0 BFADHN COCCN(C)[C@@H]1CCc2cc(Cl)ccc21 ZINC000777632530 585717614 /nfs/dbraw/zinc/71/76/14/585717614.db2.gz MSXYNMVQMGFWEN-CYBMUJFWSA-N 0 3 239.746 2.906 20 0 BFADHN C[C@]1(O)CCCN(Cc2ccc(CF)cc2)C1 ZINC000777715382 585718784 /nfs/dbraw/zinc/71/87/84/585718784.db2.gz ANSHDMZIIWQNQU-AWEZNQCLSA-N 0 3 237.318 2.503 20 0 BFADHN C[C@@H](NCc1ccc(CF)cc1)c1cn[nH]c1 ZINC000778140662 585726103 /nfs/dbraw/zinc/72/61/03/585726103.db2.gz GNBKSIZKFYFMEV-SNVBAGLBSA-N 0 3 233.290 2.730 20 0 BFADHN C[C@H](Cc1ccco1)NCc1ccc(F)nc1 ZINC000778156141 585726405 /nfs/dbraw/zinc/72/64/05/585726405.db2.gz NUCATRPMMIUYHJ-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN C[C@@](O)(CCNCc1ccoc1)c1ccccc1 ZINC000778213480 585727768 /nfs/dbraw/zinc/72/77/68/585727768.db2.gz LEQAJOOZVHVMDG-OAHLLOKOSA-N 0 3 245.322 2.667 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@@H](C)Cc1ccco1 ZINC000779006295 585744039 /nfs/dbraw/zinc/74/40/39/585744039.db2.gz LNZVRKQOZRECIK-UWVGGRQHSA-N 0 3 233.315 2.593 20 0 BFADHN CC(C)[C@H](Cc1ccccc1)NCc1cc[nH]n1 ZINC000779024500 585744192 /nfs/dbraw/zinc/74/41/92/585744192.db2.gz OASPOCWLQKRQNL-HNNXBMFYSA-N 0 3 243.354 2.767 20 0 BFADHN C[C@@H]1OCCN(C[C@H]2CCc3ccccc32)[C@H]1C ZINC000780297623 585777308 /nfs/dbraw/zinc/77/73/08/585777308.db2.gz DRIKTNWVXYHSAX-KCQAQPDRSA-N 0 3 245.366 2.826 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2ccnc(N(C)C)c2)C1 ZINC000782175728 585809957 /nfs/dbraw/zinc/80/99/57/585809957.db2.gz RQPLTWICNCBNGW-BETUJISGSA-N 0 3 247.386 2.626 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@H]2C[C@H]21 ZINC000782524266 585819497 /nfs/dbraw/zinc/81/94/97/585819497.db2.gz JKWRUKAVJCFZRV-GXFFZTMASA-N 0 3 205.276 2.728 20 0 BFADHN C[C@H](Cc1ccsc1)N1CCOC[C@@H](C)C1 ZINC000783474028 585835677 /nfs/dbraw/zinc/83/56/77/585835677.db2.gz PVMIEWBMAMXEBT-NWDGAFQWSA-N 0 3 239.384 2.647 20 0 BFADHN CC(C)[C@@H](NCCOC1CCC1)c1ccccn1 ZINC000805748018 585837683 /nfs/dbraw/zinc/83/76/83/585837683.db2.gz KDFHWQKAAAHZTF-OAHLLOKOSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ccc2c(c1)nc(CN[C@@H]1CC[C@H]1C)n2C ZINC000783677137 585839879 /nfs/dbraw/zinc/83/98/79/585839879.db2.gz UFJRFHCALIJMRV-VXGBXAGGSA-N 0 3 243.354 2.770 20 0 BFADHN Cc1ccc2c(c1)nc(CN[C@H]1CC[C@H]1C)n2C ZINC000783677124 585839992 /nfs/dbraw/zinc/83/99/92/585839992.db2.gz UFJRFHCALIJMRV-NEPJUHHUSA-N 0 3 243.354 2.770 20 0 BFADHN CCCOC(=O)[C@H](C)N1CC[C@@H](C(C)(C)C)C1 ZINC000784517148 585862101 /nfs/dbraw/zinc/86/21/01/585862101.db2.gz QZATVHDJGOWWNG-NWDGAFQWSA-N 0 3 241.375 2.696 20 0 BFADHN CO[C@H]1CN(Cc2cc(C)oc2C)CC[C@@H]1C ZINC000784667093 585865206 /nfs/dbraw/zinc/86/52/06/585865206.db2.gz DOCRZJUKRROMGK-HZMBPMFUSA-N 0 3 237.343 2.753 20 0 BFADHN CCCCOC(=O)[C@H]1CCCN1C1CCCC1 ZINC000786879934 585898339 /nfs/dbraw/zinc/89/83/39/585898339.db2.gz GMPNVBVSSNMMLU-CYBMUJFWSA-N 0 3 239.359 2.737 20 0 BFADHN Cc1ccc(N2CCN(CC3(C)CC3)CC2)cc1 ZINC000787273559 585904688 /nfs/dbraw/zinc/90/46/88/585904688.db2.gz WOWUVUUTPFDMPX-UHFFFAOYSA-N 0 3 244.382 2.917 20 0 BFADHN CC[C@@H](Cc1ccccc1)NCc1cn(C)cn1 ZINC000808384867 585914077 /nfs/dbraw/zinc/91/40/77/585914077.db2.gz LYMGCXHSDATYKF-AWEZNQCLSA-N 0 3 243.354 2.531 20 0 BFADHN CCc1cc(CN[C@@H]2CCC23CCCC3)[nH]n1 ZINC000788988153 585932948 /nfs/dbraw/zinc/93/29/48/585932948.db2.gz QBUSLJKWHLZTBR-CYBMUJFWSA-N 0 3 233.359 2.785 20 0 BFADHN CC(C)[C@@H](NC[C@@H]1CC[C@@H](C)O1)c1ccccn1 ZINC000789361313 585941431 /nfs/dbraw/zinc/94/14/31/585941431.db2.gz GNJKYOSDTDCENV-VNHYZAJKSA-N 0 3 248.370 2.936 20 0 BFADHN O=C(OCC1=CCCC1)C1CCN(C2CC2)CC1 ZINC000805940113 585971552 /nfs/dbraw/zinc/97/15/52/585971552.db2.gz SZKVTQZXQPYYNE-UHFFFAOYSA-N 0 3 249.354 2.514 20 0 BFADHN Cc1ccc([C@@H](O)CN2[C@H](C)C[C@@H]2C)cc1 ZINC000791568322 585984476 /nfs/dbraw/zinc/98/44/76/585984476.db2.gz NTRRQXRBAZXIOC-SCRDCRAPSA-N 0 3 219.328 2.511 20 0 BFADHN Cc1ccncc1CNCCNc1ccccc1 ZINC000793004986 586008346 /nfs/dbraw/zinc/00/83/46/586008346.db2.gz QLIZNKNHAHISDT-UHFFFAOYSA-N 0 3 241.338 2.592 20 0 BFADHN C[C@H](C[C@@H](O)c1ccccc1)NCC1(F)CC1 ZINC000793032243 586008671 /nfs/dbraw/zinc/00/86/71/586008671.db2.gz KSMDVEQHPXJNTD-DGCLKSJQSA-N 0 3 237.318 2.590 20 0 BFADHN CCCC[C@H](COC)NCc1cccnc1F ZINC000793285546 586016014 /nfs/dbraw/zinc/01/60/14/586016014.db2.gz PYLOROXADPUHJY-GFCCVEGCSA-N 0 3 240.322 2.516 20 0 BFADHN Cc1cc(CN[C@H](CO)CC2CCC2)c(C)o1 ZINC000793321209 586016840 /nfs/dbraw/zinc/01/68/40/586016840.db2.gz HZENAKQWSDZNRZ-AWEZNQCLSA-N 0 3 237.343 2.537 20 0 BFADHN COC(=O)/C(C)=C/CN(CCC(C)C)C1CC1 ZINC000116668056 589028887 /nfs/dbraw/zinc/02/88/87/589028887.db2.gz NCZZAIQMGLZCIQ-XYOKQWHBSA-N 0 3 239.359 2.616 20 0 BFADHN COCC(C)(C)N(C)C[C@H]1CC1(Cl)Cl ZINC000795192723 586071266 /nfs/dbraw/zinc/07/12/66/586071266.db2.gz NCWDWVIOLDZSQE-MRVPVSSYSA-N 0 3 240.174 2.537 20 0 BFADHN CC[C@H]1CCN1C[C@H](O)c1ccc(F)cc1C ZINC000795651654 586089921 /nfs/dbraw/zinc/08/99/21/586089921.db2.gz ZGQAGARRCGJXPL-JSGCOSHPSA-N 0 3 237.318 2.652 20 0 BFADHN FCCCCN1CC[C@H](C(F)(F)F)C1 ZINC000795974675 586095325 /nfs/dbraw/zinc/09/53/25/586095325.db2.gz GPXVSXMQTJVGNW-QMMMGPOBSA-N 0 3 213.218 2.620 20 0 BFADHN C[C@@H]1C[C@H](C)N1CC[C@@H](O)c1ccccc1 ZINC000796050203 586096893 /nfs/dbraw/zinc/09/68/93/586096893.db2.gz HYFYIQQJPOTVRB-MBNYWOFBSA-N 0 3 219.328 2.593 20 0 BFADHN CCC[C@]1(NCc2cc(C)oc2C)CCOC1 ZINC000796491559 586105259 /nfs/dbraw/zinc/10/52/59/586105259.db2.gz JFPOZSREYXROAQ-AWEZNQCLSA-N 0 3 237.343 2.945 20 0 BFADHN OCCCCCCCN1CCC(F)(F)CC1 ZINC000796538373 586106373 /nfs/dbraw/zinc/10/63/73/586106373.db2.gz GWMIXWLKLVNJCG-UHFFFAOYSA-N 0 3 235.318 2.660 20 0 BFADHN C(N[C@H]1CC[C@H]1C1CC1)c1nc2c(s1)CCC2 ZINC000797904210 586133882 /nfs/dbraw/zinc/13/38/82/586133882.db2.gz MOPBSYVIURJBMG-QWRGUYRKSA-N 0 3 248.395 2.910 20 0 BFADHN CC(=O)Oc1ccc(CN2CCC=C(C)C2)cc1 ZINC000797998274 586135459 /nfs/dbraw/zinc/13/54/59/586135459.db2.gz DVQASBOSGIYYSX-UHFFFAOYSA-N 0 3 245.322 2.764 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CC[C@@H]1C1CC1 ZINC000798005545 586135778 /nfs/dbraw/zinc/13/57/78/586135778.db2.gz WUWZHYNUGYDCAV-CYZMBNFOSA-N 0 3 231.343 2.624 20 0 BFADHN c1coc(CN2CC[C@H](c3ccccn3)C2)c1 ZINC000798964997 586154327 /nfs/dbraw/zinc/15/43/27/586154327.db2.gz OMFMMNKLFNOGMX-LBPRGKRZSA-N 0 3 228.295 2.664 20 0 BFADHN c1coc(CN2CC[C@@H](c3ccccn3)C2)c1 ZINC000798964995 586154383 /nfs/dbraw/zinc/15/43/83/586154383.db2.gz OMFMMNKLFNOGMX-GFCCVEGCSA-N 0 3 228.295 2.664 20 0 BFADHN Cc1ccoc1CN1CC[C@H](c2ccccn2)C1 ZINC000798964010 586154628 /nfs/dbraw/zinc/15/46/28/586154628.db2.gz LQCSTJYZJDKMPR-ZDUSSCGKSA-N 0 3 242.322 2.973 20 0 BFADHN CC[C@H](C)N(CC)Cc1ccnc(F)c1 ZINC000859341988 589032655 /nfs/dbraw/zinc/03/26/55/589032655.db2.gz DCZOKQTUUIOBME-JTQLQIEISA-N 0 3 210.296 2.841 20 0 BFADHN CN(Cc1ccnc(F)c1)C[C@@H]1CC1(C)C ZINC000859361563 589034450 /nfs/dbraw/zinc/03/44/50/589034450.db2.gz ZRBWHJZWBDKCTJ-NSHDSACASA-N 0 3 222.307 2.699 20 0 BFADHN C[C@]12C[C@H]1CCC[C@@H]2NCc1cccnc1F ZINC000800490094 586222876 /nfs/dbraw/zinc/22/28/76/586222876.db2.gz AJRALPWRUGSYNE-DYEKYZERSA-N 0 3 234.318 2.889 20 0 BFADHN CCCC[C@H](C)C(=O)N[C@@H](CN(C)C)C(C)C ZINC000800493611 586223918 /nfs/dbraw/zinc/22/39/18/586223918.db2.gz OJHJPGUIRMRDAC-STQMWFEESA-N 0 3 242.407 2.515 20 0 BFADHN Cc1cc(C)cc([C@@H](O)CNCc2ccoc2)c1 ZINC000800896512 586236085 /nfs/dbraw/zinc/23/60/85/586236085.db2.gz LRVYPSCZGFGFSY-HNNXBMFYSA-N 0 3 245.322 2.720 20 0 BFADHN CC(C)SCCN1CCC(F)(F)CC1 ZINC000801555781 586261640 /nfs/dbraw/zinc/26/16/40/586261640.db2.gz SLNPVKXWAGBKPT-UHFFFAOYSA-N 0 3 223.332 2.859 20 0 BFADHN CCC[C@@H](C)OC(=O)C1CCN(C2CC2)CC1 ZINC000801874606 586275774 /nfs/dbraw/zinc/27/57/74/586275774.db2.gz HORKQMFIAVBBJZ-LLVKDONJSA-N 0 3 239.359 2.593 20 0 BFADHN CCOCCN(CC)CCSC(C)(C)C ZINC000801919032 586276214 /nfs/dbraw/zinc/27/62/14/586276214.db2.gz GDJOMIOAGPCBOK-UHFFFAOYSA-N 0 3 233.421 2.877 20 0 BFADHN C[C@@H]1COCCCN1CCSC(C)(C)C ZINC000801934950 586278676 /nfs/dbraw/zinc/27/86/76/586278676.db2.gz UFGUJBCSGFAGGJ-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN CCCCN(CCCC)CN1CC[C@@H](C)C1=O ZINC000839962288 586303509 /nfs/dbraw/zinc/30/35/09/586303509.db2.gz SQFWEUHDONVQJI-CYBMUJFWSA-N 0 3 240.391 2.715 20 0 BFADHN CCC(CC)CN(CC)CN1CC[C@H](C)C1=O ZINC000839965043 586304439 /nfs/dbraw/zinc/30/44/39/586304439.db2.gz VCAXUGOJHCRCJO-LBPRGKRZSA-N 0 3 240.391 2.570 20 0 BFADHN CCN(CN1CCC(C)(C)C1=O)[C@H](C)C(C)C ZINC000839974394 586309099 /nfs/dbraw/zinc/30/90/99/586309099.db2.gz BNMQTMMGPDUYQI-GFCCVEGCSA-N 0 3 240.391 2.569 20 0 BFADHN CN1CCCC[C@@H]1CCC(=O)OC/C=C/Cl ZINC000803854977 586377116 /nfs/dbraw/zinc/37/71/16/586377116.db2.gz BIAXHAIGAUYDNF-SOZJPDODSA-N 0 3 245.750 2.547 20 0 BFADHN CN1CCCC[C@@H]1CCC(=O)OCC1CCC1 ZINC000803854664 586378072 /nfs/dbraw/zinc/37/80/72/586378072.db2.gz WSUUPZKBSJUQSL-CYBMUJFWSA-N 0 3 239.359 2.594 20 0 BFADHN CN1CCC=C(c2ccc(C(F)(F)F)nc2)C1 ZINC000757572203 586382891 /nfs/dbraw/zinc/38/28/91/586382891.db2.gz PJXNJPHEWWZTEZ-UHFFFAOYSA-N 0 3 242.244 2.819 20 0 BFADHN Cc1ccc(N)cc1-c1ccc2c(c1)CCNC2 ZINC000757233003 586443035 /nfs/dbraw/zinc/44/30/35/586443035.db2.gz JLSCIYQNNFTZIZ-UHFFFAOYSA-N 0 3 238.334 2.890 20 0 BFADHN CCN(CCC(=O)C(C)C)CCc1ccccn1 ZINC000808735033 586486141 /nfs/dbraw/zinc/48/61/41/586486141.db2.gz MMWFEGJHDQECPD-UHFFFAOYSA-N 0 3 248.370 2.561 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CC[C@H](O)c1ccccc1 ZINC000808754050 586487902 /nfs/dbraw/zinc/48/79/02/586487902.db2.gz HYFYIQQJPOTVRB-BZPMIXESSA-N 0 3 219.328 2.593 20 0 BFADHN c1cncc([C@H]2CCCN2C[C@H]2CCC=CO2)c1 ZINC000809728753 586515310 /nfs/dbraw/zinc/51/53/10/586515310.db2.gz GVCABDBPRVYGJA-HUUCEWRRSA-N 0 3 244.338 2.911 20 0 BFADHN CN(Cc1cc(Cl)cs1)C[C@@H](O)C1CC1 ZINC000809752718 586517546 /nfs/dbraw/zinc/51/75/46/586517546.db2.gz VSUVVXZXZDPJCO-LLVKDONJSA-N 0 3 245.775 2.604 20 0 BFADHN Cc1cn[nH]c1CNc1cc(C2CC2)ccn1 ZINC000810176179 586535860 /nfs/dbraw/zinc/53/58/60/586535860.db2.gz VRBRZZHMBKLFMI-UHFFFAOYSA-N 0 3 228.299 2.603 20 0 BFADHN Cc1cc(CN[C@H](CO)C2CCCC2)c(C)o1 ZINC000810178214 586535948 /nfs/dbraw/zinc/53/59/48/586535948.db2.gz LNBCIVRMSSKRKH-CQSZACIVSA-N 0 3 237.343 2.537 20 0 BFADHN Cc1cn[nH]c1CN(C)CCc1ccccc1F ZINC000811458841 586559113 /nfs/dbraw/zinc/55/91/13/586559113.db2.gz YLMNQKJJDIOREE-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN Cc1cn[nH]c1CN1Cc2ccccc2[C@@H](C)C1 ZINC000811454814 586559468 /nfs/dbraw/zinc/55/94/68/586559468.db2.gz SNPFVJFBQROHPB-LBPRGKRZSA-N 0 3 241.338 2.837 20 0 BFADHN C[C@H]1CN(C[C@H]2CCC=CO2)CCC1(F)F ZINC000811512012 586560254 /nfs/dbraw/zinc/56/02/54/586560254.db2.gz BLGSWIHZLJAFQR-WDEREUQCSA-N 0 3 231.286 2.656 20 0 BFADHN Cc1cc(CN2CC[C@@H](n3cccn3)C2)c(C)o1 ZINC000812780745 586584227 /nfs/dbraw/zinc/58/42/27/586584227.db2.gz QKJPLERWIQYGKO-CQSZACIVSA-N 0 3 245.326 2.540 20 0 BFADHN CCN(Cc1ccc2c(c1)COC2)C[C@H](C)OC ZINC000812809293 586587306 /nfs/dbraw/zinc/58/73/06/586587306.db2.gz URXQSHWQYLSYPJ-LBPRGKRZSA-N 0 3 249.354 2.574 20 0 BFADHN CCN(C[C@@H](C)OC)C[C@H]1CC1(Cl)Cl ZINC000812908491 586588646 /nfs/dbraw/zinc/58/86/46/586588646.db2.gz LTGNQFGYLFKPPU-RKDXNWHRSA-N 0 3 240.174 2.537 20 0 BFADHN Cc1ccc(CNCCO[C@@H]2CCCCO2)cc1 ZINC000813333796 586600306 /nfs/dbraw/zinc/60/03/06/586600306.db2.gz RACIGFCOYNWTFV-OAHLLOKOSA-N 0 3 249.354 2.628 20 0 BFADHN CCN(CC)CCOC(=O)C(C)(C)C(C)(C)C ZINC000814488072 586622762 /nfs/dbraw/zinc/62/27/62/586622762.db2.gz UDKVJMLBQYWOBW-UHFFFAOYSA-N 0 3 243.391 2.944 20 0 BFADHN C[C@H]1CN([C@@H]2C=CCCCCC2)CCN1C ZINC000815079248 586666201 /nfs/dbraw/zinc/66/62/01/586666201.db2.gz NXEXPFDHLGOAAA-UONOGXRCSA-N 0 3 222.376 2.511 20 0 BFADHN C[C@@H]1CN(C[C@H](O)c2ccc(Cl)cc2)[C@H]1C ZINC000815161717 586670893 /nfs/dbraw/zinc/67/08/93/586670893.db2.gz AYZVLCDYUJSEKY-NRUUGDAUSA-N 0 3 239.746 2.714 20 0 BFADHN Cc1cc(CNC[C@]2(O)CCC[C@@H]2C)c(C)o1 ZINC000815159156 586670895 /nfs/dbraw/zinc/67/08/95/586670895.db2.gz KGEOEENADPFBFM-IINYFYTJSA-N 0 3 237.343 2.537 20 0 BFADHN CCOc1ccccc1CN1C[C@@H](C)[C@H]1C ZINC000815164675 586672341 /nfs/dbraw/zinc/67/23/41/586672341.db2.gz ZEZIDEIXTAIXOT-VXGBXAGGSA-N 0 3 219.328 2.926 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)NCCn1ccnc1 ZINC000815553750 586687767 /nfs/dbraw/zinc/68/77/67/586687767.db2.gz JDSICGCGBWBNDF-LBPRGKRZSA-N 0 3 247.317 2.681 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)NC[C@H]1CCCO1 ZINC000815553658 586687961 /nfs/dbraw/zinc/68/79/61/586687961.db2.gz GRZDUQUQKOMYJB-WCQYABFASA-N 0 3 237.318 2.964 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@@H]2C)cc1C ZINC000816646260 586748020 /nfs/dbraw/zinc/74/80/20/586748020.db2.gz ARRBXBMGAJRIAL-RYUDHWBXSA-N 0 3 219.328 2.844 20 0 BFADHN COc1ccc(CN2C[C@@H](C)[C@@H]2C)cc1C ZINC000816646258 586748101 /nfs/dbraw/zinc/74/81/01/586748101.db2.gz ARRBXBMGAJRIAL-NEPJUHHUSA-N 0 3 219.328 2.844 20 0 BFADHN COc1ccc(CN2C[C@@H](C)[C@H]2C)cc1C ZINC000816646261 586748232 /nfs/dbraw/zinc/74/82/32/586748232.db2.gz ARRBXBMGAJRIAL-VXGBXAGGSA-N 0 3 219.328 2.844 20 0 BFADHN COc1cc(C)c(CN2C[C@H](C)[C@@H]2C)cc1OC ZINC000816647467 586748531 /nfs/dbraw/zinc/74/85/31/586748531.db2.gz SOROPPLHJIKGMM-RYUDHWBXSA-N 0 3 249.354 2.852 20 0 BFADHN c1ccc(C2CC2)c(CN2CC3(C2)CCOC3)c1 ZINC000816672029 586753920 /nfs/dbraw/zinc/75/39/20/586753920.db2.gz NSHNNWBHRUIHRX-UHFFFAOYSA-N 0 3 243.350 2.786 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCC=CO2)C[C@@H](CC)O1 ZINC000817412498 586801665 /nfs/dbraw/zinc/80/16/65/586801665.db2.gz LXCXEGLBRPRCLX-MCIONIFRSA-N 0 3 239.359 2.569 20 0 BFADHN C[C@@H](CN(C)CC[S@](C)=O)C1CCCCC1 ZINC000859865742 589067998 /nfs/dbraw/zinc/06/79/98/589067998.db2.gz BYYBITKDLMZHAB-LRDDRELGSA-N 0 3 245.432 2.513 20 0 BFADHN CCCCCCN1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000859889693 589069106 /nfs/dbraw/zinc/06/91/06/589069106.db2.gz BWYSKDXUBJGCNB-CYBMUJFWSA-N 0 3 249.358 2.899 20 0 BFADHN CCOCOc1ccc(CN[C@H]2CC23CC3)cc1 ZINC000818230155 586880849 /nfs/dbraw/zinc/88/08/49/586880849.db2.gz NHQZDLYDXUQMQY-AWEZNQCLSA-N 0 3 247.338 2.702 20 0 BFADHN Cc1cc(NC[C@@H]2CCC[C@H]2C2CC2)nc(N)n1 ZINC000840356630 586883168 /nfs/dbraw/zinc/88/31/68/586883168.db2.gz JTNIPHWXKKGRKF-RYUDHWBXSA-N 0 3 246.358 2.605 20 0 BFADHN CCc1noc(C)c1[C@@H](C)N[C@H]1CC12CC2 ZINC000818355111 586888113 /nfs/dbraw/zinc/88/81/13/586888113.db2.gz CFNZAMNZTQEXJJ-KCJUWKMLSA-N 0 3 220.316 2.749 20 0 BFADHN CCCCC[C@@H](NC(=O)CNCC)C(C)(C)C ZINC000818499621 586895376 /nfs/dbraw/zinc/89/53/76/586895376.db2.gz WNLIWIUCTXOIJS-GFCCVEGCSA-N 0 3 242.407 2.707 20 0 BFADHN C[C@@H](CC(=O)Nc1ccc2c(c1)CNC2)C1CC1 ZINC000818596468 586904837 /nfs/dbraw/zinc/90/48/37/586904837.db2.gz HPYVEHVSPYQGKJ-JTQLQIEISA-N 0 3 244.338 2.665 20 0 BFADHN C[C@H](NC[C@@H](O)CC1CCCC1)c1ccc[nH]1 ZINC000819194433 586937304 /nfs/dbraw/zinc/93/73/04/586937304.db2.gz RHJMKEFPSVTHIY-AAEUAGOBSA-N 0 3 236.359 2.607 20 0 BFADHN CCCCCC[C@H](O)CN[C@H](C)c1ccc[nH]1 ZINC000819194974 586937898 /nfs/dbraw/zinc/93/78/98/586937898.db2.gz WAUBTSMYJLJHRK-OLZOCXBDSA-N 0 3 238.375 2.997 20 0 BFADHN CC/C=C/CNC[C@H](O)c1ccccc1C ZINC000819345147 586955044 /nfs/dbraw/zinc/95/50/44/586955044.db2.gz WYAFCSLENHQQRP-GBXSZLQWSA-N 0 3 219.328 2.584 20 0 BFADHN CC/C=C\CNC[C@@H](O)c1cc(C)cc(C)c1 ZINC000819344950 586955233 /nfs/dbraw/zinc/95/52/33/586955233.db2.gz RLZHLORHTYYCHN-IYKSTZQJSA-N 0 3 233.355 2.893 20 0 BFADHN CC(C)OC1CC(N[C@H](C)c2ccns2)C1 ZINC000860062535 589078451 /nfs/dbraw/zinc/07/84/51/589078451.db2.gz XXADJGRZWVDRGU-KPPDAEKUSA-N 0 3 240.372 2.750 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@H](C)c1ccc[nH]1 ZINC000822464077 587168478 /nfs/dbraw/zinc/16/84/78/587168478.db2.gz KRNAWZCSNGWPOU-FBIMIBRVSA-N 0 3 210.346 2.559 20 0 BFADHN Fc1c(CN[C@@H]2C[C@H]3C[C@H]3C2)ccnc1Cl ZINC000822539193 587174007 /nfs/dbraw/zinc/17/40/07/587174007.db2.gz FYXRBLSWDHOIFL-ILWJIGKKSA-N 0 3 240.709 2.762 20 0 BFADHN C[C@@H]1CCN(Cc2ccnc(N(C)C)c2)[C@H](C)C1 ZINC000823509824 587228572 /nfs/dbraw/zinc/22/85/72/587228572.db2.gz RTWZQIBEQUTEQP-CHWSQXEVSA-N 0 3 247.386 2.768 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1nn(CC)nc1C ZINC000823949597 587246793 /nfs/dbraw/zinc/24/67/93/587246793.db2.gz NSANJFVBAAFDTM-WDEREUQCSA-N 0 3 238.379 2.521 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1C[C@@H](C)O[C@H]1C ZINC000823949954 587246842 /nfs/dbraw/zinc/24/68/42/587246842.db2.gz ZQSYMJNCEPRACU-UHIISALHSA-N 0 3 237.318 2.790 20 0 BFADHN c1c2cccnc2oc1CNC[C@@H]1CCC=CO1 ZINC000824231999 587261649 /nfs/dbraw/zinc/26/16/49/587261649.db2.gz UWCWBVWRUKTAOQ-LBPRGKRZSA-N 0 3 244.294 2.610 20 0 BFADHN C[C@H]1CC[C@H]1NCc1ccnc(Cl)c1F ZINC000824376967 587268277 /nfs/dbraw/zinc/26/82/77/587268277.db2.gz QIYFZLHTKPYILW-IONNQARKSA-N 0 3 228.698 2.762 20 0 BFADHN Cc1nonc1CN[C@H](C)[C@@H]1CCCC[C@H]1C ZINC000824388694 587268779 /nfs/dbraw/zinc/26/87/79/587268779.db2.gz FTAUVASLUUMOFR-CKYFFXLPSA-N 0 3 237.347 2.682 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H]1CCCC13CCC3)CC2 ZINC000824533707 587274375 /nfs/dbraw/zinc/27/43/75/587274375.db2.gz KOYWRRNZEQTVFD-VXGBXAGGSA-N 0 3 231.343 2.640 20 0 BFADHN C[C@H]1CC[C@H]1NCc1cc(F)cnc1Cl ZINC000824543213 587274927 /nfs/dbraw/zinc/27/49/27/587274927.db2.gz GYKLMZZGYNGLRH-OIBJUYFYSA-N 0 3 228.698 2.762 20 0 BFADHN CC[C@@](C)(CNCc1cccnc1Cl)OC ZINC000824743972 587285156 /nfs/dbraw/zinc/28/51/56/587285156.db2.gz UALQPURZDJPVAD-LBPRGKRZSA-N 0 3 242.750 2.640 20 0 BFADHN CC[C@](C)(CNCc1ccc(Cl)nc1)OC ZINC000824743829 587285445 /nfs/dbraw/zinc/28/54/45/587285445.db2.gz ONXMPPSVDULKFF-GFCCVEGCSA-N 0 3 242.750 2.640 20 0 BFADHN C[C@@]1(CNCc2ccccn2)CC1(Cl)Cl ZINC000824764610 587286341 /nfs/dbraw/zinc/28/63/41/587286341.db2.gz CDJCERIIGSSEFL-JTQLQIEISA-N 0 3 245.153 2.755 20 0 BFADHN C[C@]1(CNCc2cc[nH]c2)CC1(Cl)Cl ZINC000824765732 587286345 /nfs/dbraw/zinc/28/63/45/587286345.db2.gz PEROKVSCTLINST-SECBINFHSA-N 0 3 233.142 2.688 20 0 BFADHN CO[C@@H]1CCN(Cc2ccoc2)CC1(C)C ZINC000824820191 587288543 /nfs/dbraw/zinc/28/85/43/587288543.db2.gz BDRMEIZMOMFSDH-GFCCVEGCSA-N 0 3 223.316 2.527 20 0 BFADHN CCc1nc(CN[C@H](C)[C@@H](C)CC)co1 ZINC000825057881 587301296 /nfs/dbraw/zinc/30/12/96/587301296.db2.gz RCGNTJADNSYWHK-VHSXEESVSA-N 0 3 210.321 2.761 20 0 BFADHN CCc1nc(CN[C@H](C)[C@H](C)CC)co1 ZINC000825057878 587300871 /nfs/dbraw/zinc/30/08/71/587300871.db2.gz RCGNTJADNSYWHK-NXEZZACHSA-N 0 3 210.321 2.761 20 0 BFADHN CCc1nc(CN[C@H]2CCC[C@@H]2C)co1 ZINC000825057890 587301141 /nfs/dbraw/zinc/30/11/41/587301141.db2.gz RENCOVWOZDAOSM-ONGXEEELSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1nc(CN[C@@H]2CCC[C@H]3C[C@H]32)co1 ZINC000825061920 587301389 /nfs/dbraw/zinc/30/13/89/587301389.db2.gz LZSNDCVNRGSHMV-MVWJERBFSA-N 0 3 220.316 2.515 20 0 BFADHN CO[C@@H]([C@@H](C)NCc1cc(C)oc1C)C1CC1 ZINC000825098468 587303813 /nfs/dbraw/zinc/30/38/13/587303813.db2.gz JGZGRRLIKQAGBW-YGRLFVJLSA-N 0 3 237.343 2.800 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1CO[C@@H](C2CC2)C1 ZINC000825319799 587314728 /nfs/dbraw/zinc/31/47/28/587314728.db2.gz CAVIGPKXQQDHEF-SWLSCSKDSA-N 0 3 249.329 2.791 20 0 BFADHN Cn1ncc(C2CC2)c1CNC1C(C)(C)C1(C)C ZINC000825928418 587345898 /nfs/dbraw/zinc/34/58/98/587345898.db2.gz UUGPACAQVCKNLG-UHFFFAOYSA-N 0 3 247.386 2.822 20 0 BFADHN COc1c(C)cc(CN[C@@H]2CO[C@H](C)C2)cc1C ZINC000842773593 587352973 /nfs/dbraw/zinc/35/29/73/587352973.db2.gz BNHGWOXPTSNXDR-OCCSQVGLSA-N 0 3 249.354 2.579 20 0 BFADHN COCCN(CCF)C[C@H]1C(C)=CCC[C@H]1C ZINC000827053883 587369214 /nfs/dbraw/zinc/36/92/14/587369214.db2.gz ILGKQSYOKQKWSP-KGLIPLIRSA-N 0 3 243.366 2.897 20 0 BFADHN Cc1cc(CN2CCC[C@@](O)(C3CC3)C2)c(C)o1 ZINC000827152077 587371329 /nfs/dbraw/zinc/37/13/29/587371329.db2.gz QFRFAHGNOBHTSP-HNNXBMFYSA-N 0 3 249.354 2.633 20 0 BFADHN CC[C@@H](NCc1cc(F)ncc1F)C1CC1 ZINC000827320161 587376822 /nfs/dbraw/zinc/37/68/22/587376822.db2.gz HVEPPWXZCQRRKY-LLVKDONJSA-N 0 3 226.270 2.638 20 0 BFADHN c1cc(CN2C[C@]34COC[C@@]3(C2)CCCC4)co1 ZINC000827849193 587405953 /nfs/dbraw/zinc/40/59/53/587405953.db2.gz CUEIEOMIDBNWGC-GASCZTMLSA-N 0 3 247.338 2.672 20 0 BFADHN CN(Cc1cc(Cl)cs1)C1(CO)CCC1 ZINC000827903985 587408738 /nfs/dbraw/zinc/40/87/38/587408738.db2.gz RYWKUMNXZPGOFZ-UHFFFAOYSA-N 0 3 245.775 2.748 20 0 BFADHN CC[C@H]1CN(CCC(=O)CC(C)C)CCS1 ZINC000827959019 587413305 /nfs/dbraw/zinc/41/33/05/587413305.db2.gz IVKGEMTZLIAAIM-ZDUSSCGKSA-N 0 3 243.416 2.819 20 0 BFADHN OCC[C@@H](NCC1=CCCC1)c1cccs1 ZINC000828227950 587425990 /nfs/dbraw/zinc/42/59/90/587425990.db2.gz ZHYQTLKPEQGVNP-GFCCVEGCSA-N 0 3 237.368 2.872 20 0 BFADHN COc1ccc2c(c1)nccc2N1CC[C@@H](F)C1 ZINC000828449456 587443073 /nfs/dbraw/zinc/44/30/73/587443073.db2.gz SNULXSDECWZLED-SNVBAGLBSA-N 0 3 246.285 2.792 20 0 BFADHN C[C@@H]1CN(CCC2=CCCCC2)CCN1C ZINC000828529654 587447878 /nfs/dbraw/zinc/44/78/78/587447878.db2.gz RNDKAOBSQICDNP-CYBMUJFWSA-N 0 3 222.376 2.513 20 0 BFADHN COC1(CN[C@@H](c2ccccn2)C(C)C)CCC1 ZINC000828693865 587457508 /nfs/dbraw/zinc/45/75/08/587457508.db2.gz XQRBWEOBVXUJNK-CQSZACIVSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@H](NC[C@]1(C)CC1(Cl)Cl)c1cn[nH]c1 ZINC000828857739 587466848 /nfs/dbraw/zinc/46/68/48/587466848.db2.gz GSZDNUVQHQHDKZ-CBAPKCEASA-N 0 3 248.157 2.644 20 0 BFADHN C[C@@H](NC[C@]1(C)CC1(Cl)Cl)c1cn[nH]c1 ZINC000828857735 587467017 /nfs/dbraw/zinc/46/70/17/587467017.db2.gz GSZDNUVQHQHDKZ-APPZFPTMSA-N 0 3 248.157 2.644 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccccc1OC1CC1 ZINC000829767723 587514668 /nfs/dbraw/zinc/51/46/68/587514668.db2.gz DFPNEIIKGZSFNC-LBPRGKRZSA-N 0 3 249.354 2.695 20 0 BFADHN CC(C)[C@H](N[C@H]1C[C@@H](C)O[C@H]1C)c1ccccn1 ZINC000830274912 587544894 /nfs/dbraw/zinc/54/48/94/587544894.db2.gz VOHKUBYAOCTWKF-DHMWGJHJSA-N 0 3 248.370 2.934 20 0 BFADHN CCCCC[C@H](C)NC(=O)CN(C)C(C)(C)C ZINC000830536245 587556685 /nfs/dbraw/zinc/55/66/85/587556685.db2.gz LVPSAZQNWBZCLB-LBPRGKRZSA-N 0 3 242.407 2.802 20 0 BFADHN Cc1nonc1CN1CCC[C@@]1(C)CC(C)C ZINC000830802997 587571599 /nfs/dbraw/zinc/57/15/99/587571599.db2.gz FGWLFZLJSQJQJH-ZDUSSCGKSA-N 0 3 237.347 2.779 20 0 BFADHN CCCOC(=O)[C@@H](C)N1[C@H](C)CCC1(C)C ZINC000832564542 587666481 /nfs/dbraw/zinc/66/64/81/587666481.db2.gz HFMSKYSBQBISIL-GHMZBOCLSA-N 0 3 227.348 2.591 20 0 BFADHN CCc1noc(C)c1[C@@H](C)N[C@@H](C)CC ZINC000834487575 587756740 /nfs/dbraw/zinc/75/67/40/587756740.db2.gz HQVGAALZYDWHED-DTWKUNHWSA-N 0 3 210.321 2.995 20 0 BFADHN Cc1cc(F)ccc1CNC[C@@H](O)CC(C)C ZINC000101596277 587762068 /nfs/dbraw/zinc/76/20/68/587762068.db2.gz PJKKUFYONOQAMR-AWEZNQCLSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1csc(CN[C@H](C)c2cc(C)nn2C)c1 ZINC000834882470 587769289 /nfs/dbraw/zinc/76/92/89/587769289.db2.gz KPTBZZHLOOWLCI-LLVKDONJSA-N 0 3 249.383 2.949 20 0 BFADHN CC[C@H](N[C@H](C)c1cccnc1Cl)[C@H](C)O ZINC000834887672 587769542 /nfs/dbraw/zinc/76/95/42/587769542.db2.gz LAAZTGIWQFGURG-YWVKMMECSA-N 0 3 242.750 2.545 20 0 BFADHN CCc1nc(CN[C@H]2CCC[C@@H]2CC)co1 ZINC000834901448 587770059 /nfs/dbraw/zinc/77/00/59/587770059.db2.gz VWDHXHKIGDBKOB-JQWIXIFHSA-N 0 3 222.332 2.905 20 0 BFADHN CCOCOc1ccc(CN2CC(CC)C2)cc1 ZINC000835074146 587780337 /nfs/dbraw/zinc/78/03/37/587780337.db2.gz HXAGVDCNICVFFG-UHFFFAOYSA-N 0 3 249.354 2.901 20 0 BFADHN CC(C)[C@@H](C)OC(=O)c1cccc(CN(C)C)c1 ZINC000835227015 587790769 /nfs/dbraw/zinc/79/07/69/587790769.db2.gz HCYCRPKRUQSESM-GFCCVEGCSA-N 0 3 249.354 2.950 20 0 BFADHN CNCc1cccc(NC(=O)C[C@H](C)C2CC2)c1 ZINC000837302880 587864580 /nfs/dbraw/zinc/86/45/80/587864580.db2.gz KTCNSDHDSFQVHN-NSHDSACASA-N 0 3 246.354 2.781 20 0 BFADHN CC[C@H](NCc1cccc(F)n1)[C@H]1C[C@@H]1C ZINC000840732525 587918651 /nfs/dbraw/zinc/91/86/51/587918651.db2.gz ZMVVJNBIHLFWGA-DLOVCJGASA-N 0 3 222.307 2.745 20 0 BFADHN Cc1occc1CNC[C@@](C)(O)c1ccccc1 ZINC000840896818 587928445 /nfs/dbraw/zinc/92/84/45/587928445.db2.gz HHKYRNSOSBXBFL-OAHLLOKOSA-N 0 3 245.322 2.585 20 0 BFADHN CCc1ncc(CN2CCCCCCC2)cn1 ZINC000840908767 587929549 /nfs/dbraw/zinc/92/95/49/587929549.db2.gz XKOBVAJPICIFCE-UHFFFAOYSA-N 0 3 233.359 2.805 20 0 BFADHN Cc1occc1CN[C@@H](C)[C@@H](O)c1ccccc1 ZINC000840946391 587931444 /nfs/dbraw/zinc/93/14/44/587931444.db2.gz IFJCSEJRMIJICV-XHDPSFHLSA-N 0 3 245.322 2.800 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(Cl)nc2)CS1 ZINC000840957384 587931993 /nfs/dbraw/zinc/93/19/93/587931993.db2.gz AHRZYCCPAVMQOZ-PSASIEDQSA-N 0 3 242.775 2.719 20 0 BFADHN CCCCCCNC(=O)[C@H]1CCCCN1CC ZINC000104140338 587938894 /nfs/dbraw/zinc/93/88/94/587938894.db2.gz FXVRRHZRHDLSSQ-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN CCCCC[C@H](C)N[C@@H]1CNCCC1(F)F ZINC000841078708 587945033 /nfs/dbraw/zinc/94/50/33/587945033.db2.gz HIWMULUDKCCNSE-WDEREUQCSA-N 0 3 234.334 2.542 20 0 BFADHN CC(C)(C)CNc1ccc2c(c1)CNCCO2 ZINC000841083632 587946023 /nfs/dbraw/zinc/94/60/23/587946023.db2.gz VZPQTNWIBDPKMS-UHFFFAOYSA-N 0 3 234.343 2.627 20 0 BFADHN CC[C@@H](CN1CCc2c(C)cccc2C1)OC ZINC000842151703 588003173 /nfs/dbraw/zinc/00/31/73/588003173.db2.gz YAKKBWKHDJMRLN-AWEZNQCLSA-N 0 3 233.355 2.778 20 0 BFADHN Cl/C=C\CN1CCSC[C@H]1C1CCC1 ZINC000842171459 588004989 /nfs/dbraw/zinc/00/49/89/588004989.db2.gz RIFKTOQBIMLJCW-SROOVFNMSA-N 0 3 231.792 2.956 20 0 BFADHN CCOc1cccc([C@H](C)N[C@H]2CO[C@H](C)C2)c1 ZINC000842674050 588051484 /nfs/dbraw/zinc/05/14/84/588051484.db2.gz UICIOFCOPHJMIK-MBNYWOFBSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1ccnc(Cl)c1 ZINC000842702318 588054467 /nfs/dbraw/zinc/05/44/67/588054467.db2.gz FWEWBQPPZGWLJI-FXPVBKGRSA-N 0 3 240.734 2.563 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@H](OC(C)(C)C)C2)cn1 ZINC000842761298 588060650 /nfs/dbraw/zinc/06/06/50/588060650.db2.gz WNKJEBWUCOZXJZ-OKILXGFUSA-N 0 3 248.370 2.826 20 0 BFADHN c1cc(CN2CC[C@H](c3cccnc3)C2)co1 ZINC000843419616 588107003 /nfs/dbraw/zinc/10/70/03/588107003.db2.gz MHTOXWGYKRFAPO-AWEZNQCLSA-N 0 3 228.295 2.664 20 0 BFADHN CC(C)[C@H]1N(Cc2cnccn2)CC12CC=CC2 ZINC000843432076 588109157 /nfs/dbraw/zinc/10/91/57/588109157.db2.gz PVTPNMKIXXZRCP-CQSZACIVSA-N 0 3 243.354 2.653 20 0 BFADHN CCn1ccc(CN[C@@H]2CC3CCC2CC3)n1 ZINC000844332619 588186022 /nfs/dbraw/zinc/18/60/22/588186022.db2.gz VEVDGWXCSGEKHF-ORHYLEIMSA-N 0 3 233.359 2.571 20 0 BFADHN CCCCOCCN1CCC[C@@]2(CC2(F)F)C1 ZINC000844436410 588191825 /nfs/dbraw/zinc/19/18/25/588191825.db2.gz HMVFCNWKMMMSDK-GFCCVEGCSA-N 0 3 247.329 2.924 20 0 BFADHN c1ccc2[nH]c(CNC3(C4CCC4)CC3)nc2c1 ZINC000844420004 588191908 /nfs/dbraw/zinc/19/19/08/588191908.db2.gz SOMNXIJXRGORLP-UHFFFAOYSA-N 0 3 241.338 2.985 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC[C@]3(CC3(F)F)C2)O1 ZINC000844438827 588194008 /nfs/dbraw/zinc/19/40/08/588194008.db2.gz FQFLEDXJWIYMGB-UTUOFQBUSA-N 0 3 245.313 2.675 20 0 BFADHN CCc1ccc(CN2C[C@H]3[C@@H](C2)C3(F)F)cc1 ZINC000844450348 588194954 /nfs/dbraw/zinc/19/49/54/588194954.db2.gz KXEGFLFNCGCGEF-BETUJISGSA-N 0 3 237.293 2.946 20 0 BFADHN FC1(F)[C@H]2CN(C[C@H]3CCC(F)(F)C3)C[C@H]21 ZINC000844459403 588196612 /nfs/dbraw/zinc/19/66/12/588196612.db2.gz ACQXFCCCHAYRSB-XHNCKOQMSA-N 0 3 237.240 2.619 20 0 BFADHN FC1(F)[C@H]2CN(C[C@@H]3CCC(F)(F)C3)C[C@H]21 ZINC000844459402 588196636 /nfs/dbraw/zinc/19/66/36/588196636.db2.gz ACQXFCCCHAYRSB-HLTSFMKQSA-N 0 3 237.240 2.619 20 0 BFADHN C[C@H](c1noc([C@@H]2CC[C@H](C3CC3)N2)n1)C1CC1 ZINC000844913807 588219220 /nfs/dbraw/zinc/21/92/20/588219220.db2.gz VQAKRWPDRWIBCG-AXTRIDKLSA-N 0 3 247.342 2.786 20 0 BFADHN CC[C@@H](C)[C@@H](C)C(=O)O[C@@H](C)CN1CCCC1 ZINC000845662490 588239476 /nfs/dbraw/zinc/23/94/76/588239476.db2.gz GYJMSQZPSMHKHM-FRRDWIJNSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@@H](C)[C@H](C)C(=O)O[C@@H](C)CN1CCCC1 ZINC000845662487 588239483 /nfs/dbraw/zinc/23/94/83/588239483.db2.gz GYJMSQZPSMHKHM-AGIUHOORSA-N 0 3 241.375 2.696 20 0 BFADHN CCCC[C@@H](C)C(=O)O[C@@H](C)CN1CCCC1 ZINC000845663429 588239967 /nfs/dbraw/zinc/23/99/67/588239967.db2.gz JOERBFHBBHJYQC-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN CCC(CC)CC(=O)O[C@@H](C)CN1CCCC1 ZINC000845664189 588240004 /nfs/dbraw/zinc/24/00/04/588240004.db2.gz JKZVFIHWIIIEKF-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CCCCCC(=O)O[C@@H](C)CN1CCCC1 ZINC000845675800 588240678 /nfs/dbraw/zinc/24/06/78/588240678.db2.gz YALVOZPGRJAYSA-LBPRGKRZSA-N 0 3 227.348 2.594 20 0 BFADHN CCc1cccc(CNc2cc(C)nc(N)n2)c1 ZINC000845732072 588242815 /nfs/dbraw/zinc/24/28/15/588242815.db2.gz MTZBQNVWVUBGGZ-UHFFFAOYSA-N 0 3 242.326 2.542 20 0 BFADHN C/C=C(\CC)C(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845734950 588242985 /nfs/dbraw/zinc/24/29/85/588242985.db2.gz IHBSOKSWWBXGHL-BCMYLCSRSA-N 0 3 239.359 2.759 20 0 BFADHN CC(C)(C)N1CC[C@H]1COC(=O)C1=CCCC1 ZINC000845735573 588243025 /nfs/dbraw/zinc/24/30/25/588243025.db2.gz YAPSXVIHVSCMGL-LBPRGKRZSA-N 0 3 237.343 2.513 20 0 BFADHN CC(C)(C)N1CC[C@@H]1COC(=O)CC1(C)CC1 ZINC000845734956 588243041 /nfs/dbraw/zinc/24/30/41/588243041.db2.gz IKIDMGKRRJQFQG-LLVKDONJSA-N 0 3 239.359 2.593 20 0 BFADHN CC[C@@H](C)CC(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845734945 588243134 /nfs/dbraw/zinc/24/31/34/588243134.db2.gz ICOPLEXZWGNGER-NEPJUHHUSA-N 0 3 241.375 2.839 20 0 BFADHN CC(C)(C)N1CC[C@@H]1COC(=O)CCC1CC1 ZINC000845739543 588243209 /nfs/dbraw/zinc/24/32/09/588243209.db2.gz JRXIYVHGXSNPOL-GFCCVEGCSA-N 0 3 239.359 2.593 20 0 BFADHN CC(C)(C)N1CC[C@H]1COC(=O)CCC1CC1 ZINC000845739544 588243214 /nfs/dbraw/zinc/24/32/14/588243214.db2.gz JRXIYVHGXSNPOL-LBPRGKRZSA-N 0 3 239.359 2.593 20 0 BFADHN CC[C@]1(C)CCC[C@H]1NCc1ccon1 ZINC000845942198 588250971 /nfs/dbraw/zinc/25/09/71/588250971.db2.gz MPAUFHPCFOZXNA-VXGBXAGGSA-N 0 3 208.305 2.733 20 0 BFADHN CC(C)O[C@H]1CCCN(C[C@H]2CCC=CO2)C1 ZINC000846016487 588253680 /nfs/dbraw/zinc/25/36/80/588253680.db2.gz UJUZOIHHTNGVMV-KGLIPLIRSA-N 0 3 239.359 2.569 20 0 BFADHN Cc1nocc1CNCC[C@]1(C)C[C@]1(F)Cl ZINC000846032944 588254163 /nfs/dbraw/zinc/25/41/63/588254163.db2.gz FWOXKQASZQJHRV-GHMZBOCLSA-N 0 3 246.713 2.777 20 0 BFADHN Cc1cc([C@H](C)NC2(C3CCC3)CC2)n(C)n1 ZINC000846207582 588260126 /nfs/dbraw/zinc/26/01/26/588260126.db2.gz PVAKXCITYMFABC-NSHDSACASA-N 0 3 233.359 2.712 20 0 BFADHN CCCN(C)Cc1cnc(Cl)c(F)c1 ZINC000846788495 588288360 /nfs/dbraw/zinc/28/83/60/588288360.db2.gz LTGUBDWAZSZAJX-UHFFFAOYSA-N 0 3 216.687 2.716 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2Cc1ccc2nonc2c1 ZINC000846833906 588291966 /nfs/dbraw/zinc/29/19/66/588291966.db2.gz HTDABFDQKALVGM-NWDGAFQWSA-N 0 3 241.294 2.516 20 0 BFADHN Fc1cccc(F)c1CCCN1CC[C@H]2C[C@H]21 ZINC000846838172 588292049 /nfs/dbraw/zinc/29/20/49/588292049.db2.gz WMILOXMVGCVOSL-IINYFYTJSA-N 0 3 237.293 2.992 20 0 BFADHN CC1=CCCN(CC2(Br)CC2)C1 ZINC000846889353 588295577 /nfs/dbraw/zinc/29/55/77/588295577.db2.gz BUXXVLUEBCLAPX-UHFFFAOYSA-N 0 3 230.149 2.566 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2ccc3nonc3c2)C1 ZINC000846891465 588295905 /nfs/dbraw/zinc/29/59/05/588295905.db2.gz MYOKHMRPDKJRAF-SNVBAGLBSA-N 0 3 243.310 2.621 20 0 BFADHN CC1=C[C@H](C)CN(CC2(Br)CC2)C1 ZINC000846892325 588296011 /nfs/dbraw/zinc/29/60/11/588296011.db2.gz SYWFDNUZGUMZLE-VIFPVBQESA-N 0 3 244.176 2.812 20 0 BFADHN CC(=O)C1CCN(CCc2ccccc2F)CC1 ZINC000846945130 588298082 /nfs/dbraw/zinc/29/80/82/588298082.db2.gz XZOMPGKANPVHFM-UHFFFAOYSA-N 0 3 249.329 2.669 20 0 BFADHN C[C@@H](c1nccc(N)n1)N1CCCCCCCC1 ZINC000846973119 588299543 /nfs/dbraw/zinc/29/95/43/588299543.db2.gz IICYGDZRDLSNCJ-LBPRGKRZSA-N 0 3 248.374 2.776 20 0 BFADHN CC(C)OC(=O)C[C@@H](NC/C=C/Cl)C(C)C ZINC000847073580 588305379 /nfs/dbraw/zinc/30/53/79/588305379.db2.gz GWHNVYRPEDQBJG-MVIFTORASA-N 0 3 247.766 2.695 20 0 BFADHN C[C@@H](COC(=O)CCC1CC1)N1CCCCC1 ZINC000847959673 588345459 /nfs/dbraw/zinc/34/54/59/588345459.db2.gz IMTJSYWVYQGTOF-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN C[C@@H](COC(=O)CC1(C)CC1)N1CCCCC1 ZINC000847960715 588345519 /nfs/dbraw/zinc/34/55/19/588345519.db2.gz APXRIFBQDDSABG-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN C[C@@H](Cc1ccco1)N[C@@H]1CCCn2ccnc21 ZINC000848521279 588390937 /nfs/dbraw/zinc/39/09/37/588390937.db2.gz RUCARCZGWIFLNS-WCQYABFASA-N 0 3 245.326 2.532 20 0 BFADHN COc1cc([C@@H](C)N[C@H](C)c2ccc[nH]2)ccn1 ZINC000848535004 588394136 /nfs/dbraw/zinc/39/41/36/588394136.db2.gz ANFXORKGXOFTAQ-GHMZBOCLSA-N 0 3 245.326 2.830 20 0 BFADHN C[C@H]1CC[C@H](NCc2cccc(F)n2)CS1 ZINC000848536993 588394487 /nfs/dbraw/zinc/39/44/87/588394487.db2.gz ZBEIJYVRDCTTSC-ONGXEEELSA-N 0 3 240.347 2.594 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1noc2ccccc12 ZINC000848575586 588398952 /nfs/dbraw/zinc/39/89/52/588398952.db2.gz SRNMVZXRCBCXML-SNVBAGLBSA-N 0 3 248.326 2.731 20 0 BFADHN Fc1cccc(N[C@@H]2CCCN3CCCC[C@@H]23)n1 ZINC000848784234 588413437 /nfs/dbraw/zinc/41/34/37/588413437.db2.gz VLMVBGYMWZZJFY-NEPJUHHUSA-N 0 3 249.333 2.650 20 0 BFADHN CC(C)c1ccccc1CN(C)C1CC(O)C1 ZINC000848903544 588418554 /nfs/dbraw/zinc/41/85/54/588418554.db2.gz WBLRQQVWRHIQOF-UHFFFAOYSA-N 0 3 233.355 2.765 20 0 BFADHN CC(C)c1ccccc1CN1C[C@@H]2C[C@]2(CO)C1 ZINC000848906147 588418908 /nfs/dbraw/zinc/41/89/08/588418908.db2.gz SDPIKQPUMCKKKK-GOEBONIOSA-N 0 3 245.366 2.624 20 0 BFADHN CC[C@H]1C[C@H](CN2CC3(CC3(F)F)C2)CCO1 ZINC000848922850 588420932 /nfs/dbraw/zinc/42/09/32/588420932.db2.gz ZVEBEVFWOUYKBJ-MNOVXSKESA-N 0 3 245.313 2.533 20 0 BFADHN C[C@@H](NCc1ccnn1CC1CC1)C1(C)CC1 ZINC000850093396 588519431 /nfs/dbraw/zinc/51/94/31/588519431.db2.gz BOPHQQQBHNAVRL-LLVKDONJSA-N 0 3 233.359 2.571 20 0 BFADHN Cc1c[nH]c(CNCC[C@@]2(C)C[C@@]2(F)Cl)n1 ZINC000850340292 588537655 /nfs/dbraw/zinc/53/76/55/588537655.db2.gz VOMKYTDMBDKWBM-QWRGUYRKSA-N 0 3 245.729 2.512 20 0 BFADHN c1cc(CN[C@@H]2C=CCC2)n(-c2ccccc2)n1 ZINC000850358558 588539485 /nfs/dbraw/zinc/53/94/85/588539485.db2.gz GFGZPROCVDTHDZ-CYBMUJFWSA-N 0 3 239.322 2.681 20 0 BFADHN Cc1nc(F)ccc1CNCCC(C)(C)F ZINC000850373644 588541444 /nfs/dbraw/zinc/54/14/44/588541444.db2.gz BSHJRXYXXMGIGI-UHFFFAOYSA-N 0 3 228.286 2.757 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H]1C[C@H](O)c2ccccc21 ZINC000850375211 588541977 /nfs/dbraw/zinc/54/19/77/588541977.db2.gz QBMSERSNLPPVKN-DFBGVHRSSA-N 0 3 249.354 2.568 20 0 BFADHN Cc1cc(CNCCc2ccoc2)c(C)o1 ZINC000850376961 588542287 /nfs/dbraw/zinc/54/22/87/588542287.db2.gz PYDGFBDOUBGXHM-UHFFFAOYSA-N 0 3 219.284 2.822 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H]1C[C@H](O)c2ccccc21 ZINC000850375214 588542316 /nfs/dbraw/zinc/54/23/16/588542316.db2.gz QBMSERSNLPPVKN-UGFHNGPFSA-N 0 3 249.354 2.568 20 0 BFADHN CC[C@@H](NCc1coc(C2CC2)n1)C1CC1 ZINC000850382617 588542830 /nfs/dbraw/zinc/54/28/30/588542830.db2.gz YYZWTSXRYDKNHN-GFCCVEGCSA-N 0 3 220.316 2.830 20 0 BFADHN Cc1cc(CN[C@@H]2[C@@H]3CCC[C@@H]32)c(C)o1 ZINC000850393646 588543953 /nfs/dbraw/zinc/54/39/53/588543953.db2.gz VSNBLILKWRIKGS-CLLJXQQHSA-N 0 3 205.301 2.785 20 0 BFADHN Clc1ccc(CNCC2=CCCC2)cn1 ZINC000850396321 588544005 /nfs/dbraw/zinc/54/40/05/588544005.db2.gz UGOIOKLCXOKSEJ-UHFFFAOYSA-N 0 3 222.719 2.935 20 0 BFADHN COc1c(C)cc(CN[C@@H]2C[C@]2(C)OC)cc1C ZINC000850408873 588544432 /nfs/dbraw/zinc/54/44/32/588544432.db2.gz HNWXHUUXPKRDAB-HIFRSBDPSA-N 0 3 249.354 2.579 20 0 BFADHN C[C@@H](Cc1ccco1)N[C@@H]1CCCn2nccc21 ZINC000850426309 588545447 /nfs/dbraw/zinc/54/54/47/588545447.db2.gz YCVAIOFNYXKMSN-WCQYABFASA-N 0 3 245.326 2.532 20 0 BFADHN Fc1ncccc1CN[C@@H]1CCCC12CC2 ZINC000850467624 588548621 /nfs/dbraw/zinc/54/86/21/588548621.db2.gz YFHBJMJJOGLYNB-LLVKDONJSA-N 0 3 220.291 2.643 20 0 BFADHN CCC[C@H](NCCN(C)OC)c1ccsc1 ZINC000850479762 588551162 /nfs/dbraw/zinc/55/11/62/588551162.db2.gz YTVNZVHXPUXGBH-LBPRGKRZSA-N 0 3 242.388 2.672 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@H]1C[C@@H](C)n2ncnc21 ZINC000850499842 588552215 /nfs/dbraw/zinc/55/22/15/588552215.db2.gz YVIKNOWJGUMDEU-MXWKQRLJSA-N 0 3 236.363 2.698 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2C=CCC2)c1 ZINC000850507270 588553540 /nfs/dbraw/zinc/55/35/40/588553540.db2.gz DWFSFLQHXAPKQA-AAEUAGOBSA-N 0 3 202.301 2.759 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2C=CCC2)cc1O ZINC000850510489 588555200 /nfs/dbraw/zinc/55/52/00/588555200.db2.gz XDHMNNMWQZUUSG-JQWIXIFHSA-N 0 3 233.311 2.770 20 0 BFADHN CC(C)[C@H](N[C@H](C)CCO)c1ccccc1F ZINC000850516618 588555812 /nfs/dbraw/zinc/55/58/12/588555812.db2.gz GAPDZPYIJIYROG-RISCZKNCSA-N 0 3 239.334 2.883 20 0 BFADHN CCC[C@@H](NCc1cn(CC)nn1)C(C)(C)C ZINC000850522247 588556405 /nfs/dbraw/zinc/55/64/05/588556405.db2.gz JTEQLHQXOJEXMJ-GFCCVEGCSA-N 0 3 238.379 2.602 20 0 BFADHN COC(C)(C)[C@H](C)N[C@@H]1C[C@@H](O)c2ccccc21 ZINC000850524835 588556799 /nfs/dbraw/zinc/55/67/99/588556799.db2.gz DSAPWIRLIPBLLJ-ZLKJLUDKSA-N 0 3 249.354 2.568 20 0 BFADHN CC[C@H](N[C@@H]1COC[C@@H]1CC)c1ccncc1 ZINC000850539187 588558825 /nfs/dbraw/zinc/55/88/25/588558825.db2.gz BLSOCMDEHSGPOE-FPMFFAJLSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1cc([C@H](C)N[C@]2(C)CC2(C)C)n(C)n1 ZINC000850554181 588560099 /nfs/dbraw/zinc/56/00/99/588560099.db2.gz CQQDPHZIXMDVQQ-GXFFZTMASA-N 0 3 221.348 2.568 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccnc(Cl)c1 ZINC000850571103 588561204 /nfs/dbraw/zinc/56/12/04/588561204.db2.gz MQMAFNLOFVTYQG-XRNSZHNASA-N 0 3 240.734 2.563 20 0 BFADHN CCCCCC[C@@H](CC)CN(C)C(=O)[C@@H](C)N ZINC000851647326 588613134 /nfs/dbraw/zinc/61/31/34/588613134.db2.gz JMWYURISXNEHGT-CHWSQXEVSA-N 0 3 242.407 2.789 20 0 BFADHN CCN(Cc1ccccc1)C[C@H]1COC(C)(C)O1 ZINC000851713645 588615801 /nfs/dbraw/zinc/61/58/01/588615801.db2.gz IMODOUAWUXDPJG-AWEZNQCLSA-N 0 3 249.354 2.660 20 0 BFADHN C[C@@H](c1ccccc1)N(C)CCOC(F)F ZINC000851717684 588616191 /nfs/dbraw/zinc/61/61/91/588616191.db2.gz UWFMUUIVXKNJOT-JTQLQIEISA-N 0 3 229.270 2.919 20 0 BFADHN CC[C@H]1CCCCN1Cc1cccc(F)n1 ZINC000851718564 588616920 /nfs/dbraw/zinc/61/69/20/588616920.db2.gz LCJPOURUVZFYBD-LBPRGKRZSA-N 0 3 222.307 2.985 20 0 BFADHN CC(C)CCN(C[C@@H](O)c1ccccn1)C1CC1 ZINC000851727670 588618329 /nfs/dbraw/zinc/61/83/29/588618329.db2.gz UWFSRBNPRZYXRA-OAHLLOKOSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@H](C1CC1)N(Cc1cccc(F)n1)C1CC1 ZINC000851727347 588618660 /nfs/dbraw/zinc/61/86/60/588618660.db2.gz LAAZRSKUVDPULO-SNVBAGLBSA-N 0 3 234.318 2.984 20 0 BFADHN CC(C)N(Cc1cccc(F)n1)C1CC1 ZINC000851727452 588618736 /nfs/dbraw/zinc/61/87/36/588618736.db2.gz NYBPLDVTYKYLSD-UHFFFAOYSA-N 0 3 208.280 2.594 20 0 BFADHN CC(C)N(CCOC(F)F)Cc1ccccn1 ZINC000851730813 588618971 /nfs/dbraw/zinc/61/89/71/588618971.db2.gz GXRDVIFAEWBVRB-UHFFFAOYSA-N 0 3 244.285 2.531 20 0 BFADHN CN(CCOC(F)F)CCc1ccccc1F ZINC000851767060 588624918 /nfs/dbraw/zinc/62/49/18/588624918.db2.gz VTQZHIKFMOSEHB-UHFFFAOYSA-N 0 3 247.260 2.539 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cccc(F)n1 ZINC000851766632 588625051 /nfs/dbraw/zinc/62/50/51/588625051.db2.gz IPDMSQKMFWHDPQ-SNVBAGLBSA-N 0 3 210.296 2.699 20 0 BFADHN Fc1cccc(CN2CCC[C@@H]3CCC[C@@H]32)n1 ZINC000851785105 588628347 /nfs/dbraw/zinc/62/83/47/588628347.db2.gz OUHWPKMEQPPEEP-AAEUAGOBSA-N 0 3 234.318 2.985 20 0 BFADHN Cc1cc(CN2CCC3(CCCC3)C2)nc(C)n1 ZINC000851789839 588628925 /nfs/dbraw/zinc/62/89/25/588628925.db2.gz DYOMDTNKQXHVRK-UHFFFAOYSA-N 0 3 245.370 2.860 20 0 BFADHN CCC[C@@H]1CCCN(C[C@H](O)c2ccccn2)C1 ZINC000851808504 588631563 /nfs/dbraw/zinc/63/15/63/588631563.db2.gz OBDRUUNTHLMBMP-HIFRSBDPSA-N 0 3 248.370 2.627 20 0 BFADHN CC(C)[C@H]1CCN(Cc2cccc(F)n2)C1 ZINC000851807060 588631771 /nfs/dbraw/zinc/63/17/71/588631771.db2.gz COZDWZARPAXUEV-NSHDSACASA-N 0 3 222.307 2.699 20 0 BFADHN FC(F)[C@H]1CCN(Cc2ccsc2)C1 ZINC000851881131 588639600 /nfs/dbraw/zinc/63/96/00/588639600.db2.gz JEGCKTLYQHLELR-VIFPVBQESA-N 0 3 217.284 2.835 20 0 BFADHN CC(C)N(Cc1cccc(F)n1)C(C)C ZINC000851889434 588640271 /nfs/dbraw/zinc/64/02/71/588640271.db2.gz IYUNXOXLLZFNEH-UHFFFAOYSA-N 0 3 210.296 2.840 20 0 BFADHN CO[C@@H]1CC[C@@H](N[C@H](C)c2c(C)noc2C)C1 ZINC000851902848 588641943 /nfs/dbraw/zinc/64/19/43/588641943.db2.gz OPVDTQRXARVYLM-GGZOMVNGSA-N 0 3 238.331 2.510 20 0 BFADHN CO[C@@H]1CC[C@H](N[C@H](C)c2c(C)noc2C)C1 ZINC000851902849 588642125 /nfs/dbraw/zinc/64/21/25/588642125.db2.gz OPVDTQRXARVYLM-JFUSQASVSA-N 0 3 238.331 2.510 20 0 BFADHN CCC[C@H]1CCCC[C@@H]1NCc1cnon1 ZINC000851946721 588646598 /nfs/dbraw/zinc/64/65/98/588646598.db2.gz LDFBEDLYCBJHCX-JQWIXIFHSA-N 0 3 223.320 2.518 20 0 BFADHN CCN(Cc1cccc(F)n1)CC1CCC1 ZINC000852001812 588653751 /nfs/dbraw/zinc/65/37/51/588653751.db2.gz NWAPVCBCFDHCHK-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@]1(F)CCCN(Cc2cccc(N)c2)C1 ZINC000852095553 588661933 /nfs/dbraw/zinc/66/19/33/588661933.db2.gz APOWUYFXZKRLBR-ZDUSSCGKSA-N 0 3 222.307 2.593 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1cccc(F)n1 ZINC000852177084 588669716 /nfs/dbraw/zinc/66/97/16/588669716.db2.gz YIYUYUYLMJQFEA-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H](C)N(CC)Cc1oc(C)nc1C ZINC000852290115 588676457 /nfs/dbraw/zinc/67/64/57/588676457.db2.gz DWYHPNDWDRPOFG-SECBINFHSA-N 0 3 210.321 2.912 20 0 BFADHN CC[C@H](C)N(CC)Cc1oc(C)nc1C ZINC000852290116 588676502 /nfs/dbraw/zinc/67/65/02/588676502.db2.gz DWYHPNDWDRPOFG-VIFPVBQESA-N 0 3 210.321 2.912 20 0 BFADHN CN(CCSC(C)(C)C)CC1=CCCOC1 ZINC000852516062 588687634 /nfs/dbraw/zinc/68/76/34/588687634.db2.gz HRGTZXARVJCXKV-UHFFFAOYSA-N 0 3 243.416 2.797 20 0 BFADHN c1cc(CN2CC[C@@H]3C[C@@H]3C2)cc2c1COC2 ZINC000852636634 588693701 /nfs/dbraw/zinc/69/37/01/588693701.db2.gz YUVUPYDAGGBJMH-TZMCWYRMSA-N 0 3 229.323 2.559 20 0 BFADHN Cc1cnc(CN2CCC[C@H](C3CCC3)C2)cn1 ZINC000852673920 588695128 /nfs/dbraw/zinc/69/51/28/588695128.db2.gz OWEJLGCXJUBECB-AWEZNQCLSA-N 0 3 245.370 2.797 20 0 BFADHN CN(C[C@H]1CC1(C)C)CC1(Br)CC1 ZINC000852773288 588701500 /nfs/dbraw/zinc/70/15/00/588701500.db2.gz XGEARVGZRUCERL-SECBINFHSA-N 0 3 246.192 2.892 20 0 BFADHN CCC/C=C\C(=O)Nc1ccccc1CNC ZINC000852793263 588702951 /nfs/dbraw/zinc/70/29/51/588702951.db2.gz VVODRHRNUNKSML-YHYXMXQVSA-N 0 3 232.327 2.701 20 0 BFADHN CCC/C=C\C(=O)Nc1cc(C)cc(CN)c1 ZINC000852894069 588711877 /nfs/dbraw/zinc/71/18/77/588711877.db2.gz TVYXGGCUSRXCQH-WAYWQWQTSA-N 0 3 232.327 2.749 20 0 BFADHN Cc1c(CN2CCCC[C@H]2C)ccnc1F ZINC000862211516 589194317 /nfs/dbraw/zinc/19/43/17/589194317.db2.gz JICLHYWDVLKFRX-SNVBAGLBSA-N 0 3 222.307 2.904 20 0 BFADHN Cc1ncc(CN2CCCCCCC2)o1 ZINC000862212652 589194726 /nfs/dbraw/zinc/19/47/26/589194726.db2.gz UPFMGWFHYBESIL-UHFFFAOYSA-N 0 3 208.305 2.749 20 0 BFADHN CSCCN(C)Cc1ccc2cc[nH]c2c1 ZINC000862247396 589196811 /nfs/dbraw/zinc/19/68/11/589196811.db2.gz UMJBHHKPQZLIQU-UHFFFAOYSA-N 0 3 234.368 2.963 20 0 BFADHN C[C@@H]1COCCN(Cc2ccc3[nH]ccc3c2)C1 ZINC000862247427 589197128 /nfs/dbraw/zinc/19/71/28/589197128.db2.gz VYEHFFQMCRJGOZ-LBPRGKRZSA-N 0 3 244.338 2.636 20 0 BFADHN Cc1c(CN2C[C@H](C)C[C@H]2C)ccnc1F ZINC000862248649 589197417 /nfs/dbraw/zinc/19/74/17/589197417.db2.gz OUBWHNSEGUXATP-NXEZZACHSA-N 0 3 222.307 2.759 20 0 BFADHN CSC(C)(C)CNCc1ccnc(F)c1C ZINC000862282954 589199551 /nfs/dbraw/zinc/19/95/51/589199551.db2.gz YFGLGZSIWSCUFK-UHFFFAOYSA-N 0 3 242.363 2.760 20 0 BFADHN Cc1ncc(CN2CCCC23CCC3)o1 ZINC000862348678 589202021 /nfs/dbraw/zinc/20/20/21/589202021.db2.gz DGMISICXYIMIAT-UHFFFAOYSA-N 0 3 206.289 2.502 20 0 BFADHN CC[C@H]1CCCC[C@H]1CNCc1nonc1C ZINC000862367486 589204781 /nfs/dbraw/zinc/20/47/81/589204781.db2.gz KHDYXCXTACQDCS-RYUDHWBXSA-N 0 3 237.347 2.684 20 0 BFADHN Fc1cc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)ccn1 ZINC000862387502 589207597 /nfs/dbraw/zinc/20/75/97/589207597.db2.gz LBCPXCQALUKBQU-HIFRSBDPSA-N 0 3 246.329 2.841 20 0 BFADHN COc1cccc2cc(CN3C[C@@H]4C[C@@H]4C3)oc21 ZINC000862441505 589213179 /nfs/dbraw/zinc/21/31/79/589213179.db2.gz SDEPJTRPVZLODC-TXEJJXNPSA-N 0 3 243.306 2.893 20 0 BFADHN CNc1ccc(-c2ccc(CN(C)C)cc2)cn1 ZINC000863691930 589310848 /nfs/dbraw/zinc/31/08/48/589310848.db2.gz NRVCASPVOCPQAA-UHFFFAOYSA-N 0 3 241.338 2.852 20 0 BFADHN Cc1cc(-c2ccccn2)cc2c1CNCC2 ZINC000863695933 589311242 /nfs/dbraw/zinc/31/12/42/589311242.db2.gz MFQXZGNMTRMUJP-UHFFFAOYSA-N 0 3 224.307 2.703 20 0 BFADHN CN[C@H](CO)c1cccc(-c2coc(C)c2)c1 ZINC000863722750 589313197 /nfs/dbraw/zinc/31/31/97/589313197.db2.gz LSUIIPSNAUPREM-CQSZACIVSA-N 0 3 231.295 2.508 20 0 BFADHN Cc1cc(-c2ccc([C@H]3CNCCO3)cc2)co1 ZINC000863722746 589313258 /nfs/dbraw/zinc/31/32/58/589313258.db2.gz LPCYIWZZVFQIFW-OAHLLOKOSA-N 0 3 243.306 2.916 20 0 BFADHN CC[C@H](NCc1ccsc1)[C@H]1CCCO1 ZINC000119815664 589334438 /nfs/dbraw/zinc/33/44/38/589334438.db2.gz SSLKVOPBYBMDEC-NWDGAFQWSA-N 0 3 225.357 2.795 20 0 BFADHN Cc1cc(CNCC[C@]2(C)C[C@@]2(F)Cl)on1 ZINC000864051215 589334777 /nfs/dbraw/zinc/33/47/77/589334777.db2.gz DCQXFOXFHBYNMP-MNOVXSKESA-N 0 3 246.713 2.777 20 0 BFADHN C[C@H]1CCC[C@H](C2CN(Cc3cnc[nH]3)C2)C1 ZINC000864055129 589335825 /nfs/dbraw/zinc/33/58/25/589335825.db2.gz IPUWKAGTSRJPGC-RYUDHWBXSA-N 0 3 233.359 2.668 20 0 BFADHN C[C@@H]1CCC[C@H](C2CN(Cc3cnc[nH]3)C2)C1 ZINC000864055127 589336196 /nfs/dbraw/zinc/33/61/96/589336196.db2.gz IPUWKAGTSRJPGC-NEPJUHHUSA-N 0 3 233.359 2.668 20 0 BFADHN O=c1[nH]ccc2oc(CNC3CCCCC3)cc21 ZINC000865400696 589432313 /nfs/dbraw/zinc/43/23/13/589432313.db2.gz NQUFCYSKADFBGP-UHFFFAOYSA-N 0 3 246.310 2.956 20 0 BFADHN Cc1c(Cl)cccc1CNCCn1ccnc1 ZINC000865402051 589432841 /nfs/dbraw/zinc/43/28/41/589432841.db2.gz FWQMDUFEJWGDPE-UHFFFAOYSA-N 0 3 249.745 2.635 20 0 BFADHN C[C@@H](CNCc1cc2c(cc[nH]c2=O)o1)C1CC1 ZINC000865429734 589435253 /nfs/dbraw/zinc/43/52/53/589435253.db2.gz DKXGOUPISHAYKK-VIFPVBQESA-N 0 3 246.310 2.669 20 0 BFADHN CCCC(C)(C)NCc1cn(C2CC2)cn1 ZINC000865457504 589437042 /nfs/dbraw/zinc/43/70/42/589437042.db2.gz MKUHQFUUZWRTBS-UHFFFAOYSA-N 0 3 221.348 2.886 20 0 BFADHN Cc1c(Cl)cccc1CNCC1(CO)CC1 ZINC000865480686 589439850 /nfs/dbraw/zinc/43/98/50/589439850.db2.gz PETNIIFXOWIBAW-UHFFFAOYSA-N 0 3 239.746 2.511 20 0 BFADHN CCC1(NCc2cc3c(cc[nH]c3=O)o2)CCC1 ZINC000865481197 589440366 /nfs/dbraw/zinc/44/03/66/589440366.db2.gz NDECWJGCZOSIQG-UHFFFAOYSA-N 0 3 246.310 2.956 20 0 BFADHN CC1CC(NCc2cnc(Cl)c(F)c2)C1 ZINC000865481592 589440576 /nfs/dbraw/zinc/44/05/76/589440576.db2.gz RIJNTSHKBJRTSC-UHFFFAOYSA-N 0 3 228.698 2.762 20 0 BFADHN C[C@H](CCC1CC1)NCc1cn(C2CC2)cn1 ZINC000865531184 589443711 /nfs/dbraw/zinc/44/37/11/589443711.db2.gz UCANDNSMBMFHCO-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN c1nc(CN[C@@H](C2CC2)C2CCC2)cn1C1CC1 ZINC000865595695 589449909 /nfs/dbraw/zinc/44/99/09/589449909.db2.gz OEEJHXMEDSVONI-OAHLLOKOSA-N 0 3 245.370 2.886 20 0 BFADHN Fc1cc(CN[C@H]2C=CCC2)cnc1Cl ZINC000865624261 589451982 /nfs/dbraw/zinc/45/19/82/589451982.db2.gz WXKQPZFWIIOLSI-VIFPVBQESA-N 0 3 226.682 2.682 20 0 BFADHN CC(C)(C)Oc1cccc(CNC/C=C\CO)c1 ZINC000865623626 589452010 /nfs/dbraw/zinc/45/20/10/589452010.db2.gz QIVPJZLUQNARJL-PLNGDYQASA-N 0 3 249.354 2.502 20 0 BFADHN Fc1cc(CN[C@H]2[C@@H]3CCC[C@@H]32)cnc1Cl ZINC000865632538 589452475 /nfs/dbraw/zinc/45/24/75/589452475.db2.gz XHWHTUITWWRRPX-JZYVYDRUSA-N 0 3 240.709 2.762 20 0 BFADHN CC[C@@H](O)CNCc1cccc(Cl)c1C ZINC000865626689 589452868 /nfs/dbraw/zinc/45/28/68/589452868.db2.gz VYRZCPNDYCPVHV-LLVKDONJSA-N 0 3 227.735 2.509 20 0 BFADHN C/C=C\CNCc1cnc(Cl)c(F)c1 ZINC000865642646 589454244 /nfs/dbraw/zinc/45/42/44/589454244.db2.gz KNFWFZJIORCLGV-IHWYPQMZSA-N 0 3 214.671 2.540 20 0 BFADHN CC1(C)CC(NCc2cc3c(cc[nH]c3=O)o2)C1 ZINC000865633445 589453323 /nfs/dbraw/zinc/45/33/23/589453323.db2.gz FBGUOVIAWPERNN-UHFFFAOYSA-N 0 3 246.310 2.812 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H]1CCCC[C@@H]1F ZINC000865697128 589456785 /nfs/dbraw/zinc/45/67/85/589456785.db2.gz SYWLWBHLFLCEGA-GRYCIOLGSA-N 0 3 217.328 2.670 20 0 BFADHN F[C@@H]1CCCC[C@@H]1NCc1cccc2nccn21 ZINC000865699468 589457272 /nfs/dbraw/zinc/45/72/72/589457272.db2.gz RWESXJWEHBZITQ-OLZOCXBDSA-N 0 3 247.317 2.705 20 0 BFADHN c1nc(CN[C@@]23C[C@@H]2CCCC3)cn1C1CC1 ZINC000865704834 589457595 /nfs/dbraw/zinc/45/75/95/589457595.db2.gz ZOIZMARUFVZTEE-FZMZJTMJSA-N 0 3 231.343 2.640 20 0 BFADHN CC[C@@H]1C[C@@H](CNCc2ccc(C)o2)CCO1 ZINC000865724365 589458551 /nfs/dbraw/zinc/45/85/51/589458551.db2.gz RCXNOWVYOSIAKT-QWHCGFSZSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1ccc(CNCC2CCC3(CC3)CC2)nn1 ZINC000865722296 589458714 /nfs/dbraw/zinc/45/87/14/589458714.db2.gz TWHDWMDNYVTUAB-UHFFFAOYSA-N 0 3 245.370 2.845 20 0 BFADHN C[C@@H](CC1CCC1)NCc1cn(C2CC2)cn1 ZINC000865732832 589458881 /nfs/dbraw/zinc/45/88/81/589458881.db2.gz LKPNBSPMBFAHTG-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN c1cc(CN[C@@H](C2CCC2)[C@@H]2CCCO2)co1 ZINC000865737080 589459319 /nfs/dbraw/zinc/45/93/19/589459319.db2.gz PJKYONVYVDFGPR-KBPBESRZSA-N 0 3 235.327 2.717 20 0 BFADHN CS[C@H]1C[C@H](NCc2ccc(Cl)o2)C1 ZINC000865734927 589459398 /nfs/dbraw/zinc/45/93/98/589459398.db2.gz VHZGIBLCFREWKW-XWEPSHTISA-N 0 3 231.748 2.917 20 0 BFADHN C[C@H](NCc1noc2ccc(F)cc12)C1CC1 ZINC000865761723 589461270 /nfs/dbraw/zinc/46/12/70/589461270.db2.gz OXMAUOAQRZHCNR-QMMMGPOBSA-N 0 3 234.274 2.855 20 0 BFADHN Fc1ccc2onc(CNC3CCCC3)c2c1 ZINC000865761625 589461334 /nfs/dbraw/zinc/46/13/34/589461334.db2.gz YMXHEOPUHRDJGG-UHFFFAOYSA-N 0 3 234.274 2.999 20 0 BFADHN CC(F)(F)C(C)(C)CNCC1(F)CC1 ZINC000865789546 589462005 /nfs/dbraw/zinc/46/20/05/589462005.db2.gz HJFPEVGGLVVQJL-UHFFFAOYSA-N 0 3 209.255 2.760 20 0 BFADHN Fc1ccc2c(c1)onc2CNC1CCCC1 ZINC000865835910 589463931 /nfs/dbraw/zinc/46/39/31/589463931.db2.gz JKUQJOMEVOKVFG-UHFFFAOYSA-N 0 3 234.274 2.999 20 0 BFADHN c1cncc(CNC2([C@H]3CCCCO3)CCC2)c1 ZINC000865858006 589465234 /nfs/dbraw/zinc/46/52/34/589465234.db2.gz YCHIYXLHSYEADF-CQSZACIVSA-N 0 3 246.354 2.663 20 0 BFADHN c1cncc(CNC2([C@@H]3CCCCO3)CCC2)c1 ZINC000865858005 589465250 /nfs/dbraw/zinc/46/52/50/589465250.db2.gz YCHIYXLHSYEADF-AWEZNQCLSA-N 0 3 246.354 2.663 20 0 BFADHN c1cc(CN[C@]23CCC[C@H]2OCC3)cs1 ZINC000865859398 589465619 /nfs/dbraw/zinc/46/56/19/589465619.db2.gz MZMXANOPVNHIJX-NEPJUHHUSA-N 0 3 223.341 2.549 20 0 BFADHN Cc1cc(CNCCc2cscn2)c(C)o1 ZINC000120784190 589465921 /nfs/dbraw/zinc/46/59/21/589465921.db2.gz BOTPSDOPVHOJMM-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN CSCCCNCc1cc(C)oc1C ZINC000120788175 589466169 /nfs/dbraw/zinc/46/61/69/589466169.db2.gz HYIBUMXVYAIQIE-UHFFFAOYSA-N 0 3 213.346 2.739 20 0 BFADHN O[C@@H](CCNCc1ccsc1)C1CCCC1 ZINC000865875639 589466765 /nfs/dbraw/zinc/46/67/65/589466765.db2.gz KQHSSWCGGOGJEK-ZDUSSCGKSA-N 0 3 239.384 2.779 20 0 BFADHN CCc1cc(N2C[C@@H](OC)CC[C@H]2C)ccn1 ZINC000866071576 589474990 /nfs/dbraw/zinc/47/49/90/589474990.db2.gz HWOOUHCFDBCQNJ-RISCZKNCSA-N 0 3 234.343 2.648 20 0 BFADHN CCOCCN[C@H]1CCCc2c(F)cccc21 ZINC000866236258 589481981 /nfs/dbraw/zinc/48/19/81/589481981.db2.gz BISFZTHNHOQXNB-AWEZNQCLSA-N 0 3 237.318 2.829 20 0 BFADHN COC[C@H](NCc1cc(C)oc1C)C(C)C ZINC000120970976 589482761 /nfs/dbraw/zinc/48/27/61/589482761.db2.gz CTGLYXNNZOQYMQ-ZDUSSCGKSA-N 0 3 225.332 2.657 20 0 BFADHN C[C@H](CCc1cccnc1)NCc1cscn1 ZINC000866251482 589482868 /nfs/dbraw/zinc/48/28/68/589482868.db2.gz UOORRKYIQLCWRE-LLVKDONJSA-N 0 3 247.367 2.649 20 0 BFADHN CC(C)[C@H](NC[C@@](C)(O)C1CC1)c1ccccn1 ZINC000866266072 589483646 /nfs/dbraw/zinc/48/36/46/589483646.db2.gz WPXAEMKPMHDUTH-LSDHHAIUSA-N 0 3 248.370 2.529 20 0 BFADHN CC(C)[C@@H](NC[C@]1(C)CCCO1)c1ccccn1 ZINC000866282035 589484088 /nfs/dbraw/zinc/48/40/88/589484088.db2.gz WHMCWTPDAOAILZ-CABCVRRESA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H]1CCc2ccc(F)cc2[C@H]1N[C@@H]1CCOC1 ZINC000866311373 589485902 /nfs/dbraw/zinc/48/59/02/589485902.db2.gz ZMQJJMMTRLSNCS-YVLXSGLVSA-N 0 3 249.329 2.828 20 0 BFADHN CC(C)[C@H](NCC1(CCO)CC1)c1ccccn1 ZINC000866342033 589487026 /nfs/dbraw/zinc/48/70/26/589487026.db2.gz APVVQIKWZAFCBU-AWEZNQCLSA-N 0 3 248.370 2.531 20 0 BFADHN CC(C)[C@@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccccn1 ZINC000866383180 589489090 /nfs/dbraw/zinc/48/90/90/589489090.db2.gz GXNJPEKCGFFVSC-REBRKWNGSA-N 0 3 246.354 2.688 20 0 BFADHN C[C@H](CSCCF)N[C@@H]1CCCC[C@@H]1F ZINC000866451471 589494122 /nfs/dbraw/zinc/49/41/22/589494122.db2.gz KWORZFAUDDPFTO-OUAUKWLOSA-N 0 3 237.359 2.948 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2ccc(F)cn2)C1 ZINC000866487061 589496387 /nfs/dbraw/zinc/49/63/87/589496387.db2.gz UFDDYCBLDOPXFL-LSJOCFKGSA-N 0 3 240.347 2.765 20 0 BFADHN CC[C@H](C)NCc1noc2ccc(F)cc12 ZINC000866514438 589498666 /nfs/dbraw/zinc/49/86/66/589498666.db2.gz YZBCZQWFUCMKKH-QMMMGPOBSA-N 0 3 222.263 2.855 20 0 BFADHN C[C@@H](NC[C@@H]1C[C@H]1C1CCOCC1)c1ccco1 ZINC000866536660 589499403 /nfs/dbraw/zinc/49/94/03/589499403.db2.gz GIZOGHJLLZBWTL-XBFCOCLRSA-N 0 3 249.354 2.993 20 0 BFADHN C[C@H](NCc1nn(C)cc1C(F)F)C1CCC1 ZINC000866564311 589500483 /nfs/dbraw/zinc/50/04/83/589500483.db2.gz ZCRKOPPWIZJSJI-QMMMGPOBSA-N 0 3 243.301 2.636 20 0 BFADHN Cc1cc(CNC[C@H]2CCCS2)c(C)o1 ZINC000121680670 589526396 /nfs/dbraw/zinc/52/63/96/589526396.db2.gz YGQATRLHRGBKRG-GFCCVEGCSA-N 0 3 225.357 2.882 20 0 BFADHN CCCC(=O)N1c2ccccc2[C@@H](N)C1(C)C ZINC000867990706 589542623 /nfs/dbraw/zinc/54/26/23/589542623.db2.gz FVSLFAYSTNNLNR-CYBMUJFWSA-N 0 3 232.327 2.612 20 0 BFADHN C/C(=C/C(=O)Nc1cc(F)cc(CN)c1)C1CC1 ZINC000868068639 589547613 /nfs/dbraw/zinc/54/76/13/589547613.db2.gz YEZNQDZKISFLEF-WTKPLQERSA-N 0 3 248.301 2.579 20 0 BFADHN CCCC[C@@](C)(F)C(=O)O[C@@H](CC)CN(C)C ZINC000870548992 589673517 /nfs/dbraw/zinc/67/35/17/589673517.db2.gz SQDONCDASRBVEW-WCQYABFASA-N 0 3 247.354 2.788 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)C1(F)CCCCC1 ZINC000870549052 589673665 /nfs/dbraw/zinc/67/36/65/589673665.db2.gz WYIODUBSRKHTFF-LLVKDONJSA-N 0 3 245.338 2.542 20 0 BFADHN COCC[C@H](C)[NH2+][C@H](C)c1cccc([O-])c1F ZINC000872031636 589857519 /nfs/dbraw/zinc/85/75/19/589857519.db2.gz RRUNWFCSXWHZIY-VHSXEESVSA-N 0 3 241.306 2.607 20 0 BFADHN CC[C@@H](CCC(F)(F)F)NCc1nccn1C ZINC000872032762 589857585 /nfs/dbraw/zinc/85/75/85/589857585.db2.gz ZASPXWXLCYDKGH-VIFPVBQESA-N 0 3 249.280 2.631 20 0 BFADHN CS[C@H](C)C[NH2+][C@@H](C)c1cccc([O-])c1F ZINC000872054122 589862026 /nfs/dbraw/zinc/86/20/26/589862026.db2.gz ZQRGISHTJHIOMA-BDAKNGLRSA-N 0 3 243.347 2.933 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1cccc(O)c1F ZINC000872082609 589866462 /nfs/dbraw/zinc/86/64/62/589866462.db2.gz YIIXWOSYWWHETR-KCJUWKMLSA-N 0 3 221.275 2.734 20 0 BFADHN CCC[C@H](NC[C@H]1C[C@H](O)C1)c1cc(C)ccn1 ZINC000872125423 589876090 /nfs/dbraw/zinc/87/60/90/589876090.db2.gz BOTADOPEEJRRIE-IHRRRGAJSA-N 0 3 248.370 2.592 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](C)c1nccnc1C ZINC000872131917 589877395 /nfs/dbraw/zinc/87/73/95/589877395.db2.gz AULWFYQNDMJOCL-TUAOUCFPSA-N 0 3 219.332 2.624 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@@H]1NCc1nonc1C ZINC000872135258 589878224 /nfs/dbraw/zinc/87/82/24/589878224.db2.gz CXGFOZLWTUPZGF-JLLWLGSASA-N 0 3 237.347 2.682 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@H]1NCc1nonc1C ZINC000872135965 589878957 /nfs/dbraw/zinc/87/89/57/589878957.db2.gz JSRLLGCTTFMWGD-YUSALJHKSA-N 0 3 237.347 2.682 20 0 BFADHN CCC[C@H](NCc1nonc1C)[C@@H]1CC1(C)C ZINC000872136691 589878989 /nfs/dbraw/zinc/87/89/89/589878989.db2.gz MSVXRUXXVWLGND-QWRGUYRKSA-N 0 3 237.347 2.682 20 0 BFADHN CC[C@@H](NCc1nonc1C)C(C)(C)CC ZINC000872137541 589879920 /nfs/dbraw/zinc/87/99/20/589879920.db2.gz QEWXIELXEWFAPN-LLVKDONJSA-N 0 3 225.336 2.682 20 0 BFADHN O[C@H](CN[C@@H]1CCCC[C@@H]1F)c1ccccc1 ZINC000872218404 589895629 /nfs/dbraw/zinc/89/56/29/589895629.db2.gz JDUXMPGTNAMQQD-BFHYXJOUSA-N 0 3 237.318 2.590 20 0 BFADHN CCCCCN1CCN(C)c2ncccc2C1 ZINC000872317833 589910935 /nfs/dbraw/zinc/91/09/35/589910935.db2.gz CNXJSBADBSNKTG-UHFFFAOYSA-N 0 3 233.359 2.524 20 0 BFADHN CCOc1cccc(F)c1CNCCCF ZINC000873079726 589983613 /nfs/dbraw/zinc/98/36/13/589983613.db2.gz GYVKGRPECJMPOQ-UHFFFAOYSA-N 0 3 229.270 2.674 20 0 BFADHN Cc1c[nH]nc1CNCCC[C@H]1C=CCC1 ZINC000873153785 589987335 /nfs/dbraw/zinc/98/73/35/589987335.db2.gz HOYFMYISKUIJKH-LBPRGKRZSA-N 0 3 219.332 2.554 20 0 BFADHN Cc1c[nH]c(CNCCC[C@@H]2C=CCC2)n1 ZINC000873153862 589987400 /nfs/dbraw/zinc/98/74/00/589987400.db2.gz JUYNJQVHBMDUAL-GFCCVEGCSA-N 0 3 219.332 2.554 20 0 BFADHN OCc1ccc(CNCCC[C@@H]2C=CCC2)o1 ZINC000873153686 589987613 /nfs/dbraw/zinc/98/76/13/589987613.db2.gz DEUNWERUGGALKN-GFCCVEGCSA-N 0 3 235.327 2.608 20 0 BFADHN Cc1cc(CNCCC[C@@H]2C=CCC2)on1 ZINC000873154075 589987823 /nfs/dbraw/zinc/98/78/23/589987823.db2.gz QNDZTKIRAUYBGX-GFCCVEGCSA-N 0 3 220.316 2.819 20 0 BFADHN C1=C[C@@H](CCCNCc2cc3n(n2)CCC3)CC1 ZINC000873153696 589987873 /nfs/dbraw/zinc/98/78/73/589987873.db2.gz DKNUYRHINMCLCQ-CYBMUJFWSA-N 0 3 245.370 2.665 20 0 BFADHN Cc1cc(CNC[C@@H]2C[C@H]3CCC[C@H]3O2)c(C)o1 ZINC000873155151 589988889 /nfs/dbraw/zinc/98/88/89/589988889.db2.gz DCZWXIVMDOPECW-VHDGCEQUSA-N 0 3 249.354 2.944 20 0 BFADHN CCOC(CN1CCC(C(C)C)CC1)OCC ZINC000124435079 589990886 /nfs/dbraw/zinc/99/08/86/589990886.db2.gz QADLRSHZXPTLCY-UHFFFAOYSA-N 0 3 243.391 2.754 20 0 BFADHN CC[C@H](NCc1ccoc1)[C@H](O)c1ccccc1 ZINC000873194924 589991479 /nfs/dbraw/zinc/99/14/79/589991479.db2.gz WPVDLAKUSHGUSR-LSDHHAIUSA-N 0 3 245.322 2.881 20 0 BFADHN CC[C@H](C(=O)NC1CCCCCCC1)N(C)C ZINC000124460416 590014968 /nfs/dbraw/zinc/01/49/68/590014968.db2.gz JRZXBTOIBMSSAC-CYBMUJFWSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1ccsc1CN[C@H](C)c1cn(C)nc1C ZINC000020351372 590101895 /nfs/dbraw/zinc/10/18/95/590101895.db2.gz YCRGLAQWNYOXNI-SNVBAGLBSA-N 0 3 249.383 2.949 20 0 BFADHN CCc1nc(CN[C@@H](C)CCSC)cs1 ZINC000125037386 590115013 /nfs/dbraw/zinc/11/50/13/590115013.db2.gz APWIDEIDNCAKKP-VIFPVBQESA-N 0 3 244.429 2.937 20 0 BFADHN CCCN1CCN(CCC(C)(C)SC)CC1 ZINC000876523105 590207859 /nfs/dbraw/zinc/20/78/59/590207859.db2.gz LDXNCNUTSZDYBU-UHFFFAOYSA-N 0 3 244.448 2.546 20 0 BFADHN C[C@H]1CCCCN1Cc1cc2c(cc[nH]c2=O)o1 ZINC000876527509 590208910 /nfs/dbraw/zinc/20/89/10/590208910.db2.gz IDFBMNRIDJTKND-JTQLQIEISA-N 0 3 246.310 2.908 20 0 BFADHN CCN(Cc1c(OC)cccc1OC)C(C)C ZINC000876560734 590215529 /nfs/dbraw/zinc/21/55/29/590215529.db2.gz KQQZKRMGISPONS-UHFFFAOYSA-N 0 3 237.343 2.934 20 0 BFADHN CCCN(Cc1cn(CCC)nn1)CC(C)C ZINC000876591646 590219053 /nfs/dbraw/zinc/21/90/53/590219053.db2.gz AVAYLHSUPFSWEF-UHFFFAOYSA-N 0 3 238.379 2.556 20 0 BFADHN Cc1cc(C)cc(CCN(C)[C@H]2CCOC2)c1 ZINC000876690818 590231266 /nfs/dbraw/zinc/23/12/66/590231266.db2.gz SBWKXGDNALILNH-HNNXBMFYSA-N 0 3 233.355 2.567 20 0 BFADHN Cc1c(Cl)cccc1CN(C)[C@H]1CCOC1 ZINC000876690573 590231379 /nfs/dbraw/zinc/23/13/79/590231379.db2.gz NILGEBQDFSDYPH-LBPRGKRZSA-N 0 3 239.746 2.869 20 0 BFADHN Cc1cc(C)cc(CCN(C)Cc2cn[nH]c2)c1 ZINC000876741105 590235239 /nfs/dbraw/zinc/23/52/39/590235239.db2.gz LQVUVQARMXBRKB-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN Cc1scc(CN2CCOC[C@@H](C)C2)c1C ZINC000876752060 590238104 /nfs/dbraw/zinc/23/81/04/590238104.db2.gz FSGYYIHPLUTJHM-JTQLQIEISA-N 0 3 239.384 2.833 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cc3c(cc[nH]c3=O)o2)C1 ZINC000876761165 590239148 /nfs/dbraw/zinc/23/91/48/590239148.db2.gz ZLROIIOQCFBVLI-UWVGGRQHSA-N 0 3 246.310 2.764 20 0 BFADHN CSC(C)(C)CCN1CCOC2(CCC2)C1 ZINC000876764192 590239651 /nfs/dbraw/zinc/23/96/51/590239651.db2.gz LBBMLKZNJCHEOX-UHFFFAOYSA-N 0 3 243.416 2.773 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cc2c(cc[nH]c2=O)o1 ZINC000876807390 590244748 /nfs/dbraw/zinc/24/47/48/590244748.db2.gz CMXZJOFJRNCJLJ-AOOOYVTPSA-N 0 3 246.310 2.906 20 0 BFADHN CCc1cc(CNCC2(C)CCCC2)on1 ZINC000876874849 590252491 /nfs/dbraw/zinc/25/24/91/590252491.db2.gz LHPMQFMTNHHBHF-UHFFFAOYSA-N 0 3 222.332 2.907 20 0 BFADHN C[C@@H]1CCCC[C@@H]1NCc1ccc(Cl)nn1 ZINC000876895188 590254956 /nfs/dbraw/zinc/25/49/56/590254956.db2.gz WNQNHORLVSLPRA-KOLCDFICSA-N 0 3 239.750 2.798 20 0 BFADHN Cc1ccc(CNC[C@@H]2CC2(Cl)Cl)cn1 ZINC000876939560 590259362 /nfs/dbraw/zinc/25/93/62/590259362.db2.gz HREUTJVKUWHOCC-JTQLQIEISA-N 0 3 245.153 2.673 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ccc(Cl)nn1 ZINC000876970077 590262481 /nfs/dbraw/zinc/26/24/81/590262481.db2.gz ILRWHAFZNRVFIN-IUCAKERBSA-N 0 3 227.739 2.654 20 0 BFADHN COc1cnc(F)c(CN[C@@H](C)C2(C)CC2)c1 ZINC000876975846 590263427 /nfs/dbraw/zinc/26/34/27/590263427.db2.gz DYUDISQHYHMHSV-VIFPVBQESA-N 0 3 238.306 2.508 20 0 BFADHN C[C@H](NCc1cc(C2CC2)no1)C1(C)CC1 ZINC000876976688 590263453 /nfs/dbraw/zinc/26/34/53/590263453.db2.gz OZONEUHQFUSFHF-VIFPVBQESA-N 0 3 220.316 2.830 20 0 BFADHN Clc1ccc(CNC[C@H]2CC=CCC2)nn1 ZINC000876987697 590265207 /nfs/dbraw/zinc/26/52/07/590265207.db2.gz FKARKWPCDDYUCS-JTQLQIEISA-N 0 3 237.734 2.576 20 0 BFADHN C[C@H](CC1CC1)NCc1cc(C2CC2)no1 ZINC000877040877 590273330 /nfs/dbraw/zinc/27/33/30/590273330.db2.gz SUCREFHGAUWYQM-SECBINFHSA-N 0 3 220.316 2.830 20 0 BFADHN Clc1csc(CN[C@H]2CC=CCC2)n1 ZINC000877056496 590275596 /nfs/dbraw/zinc/27/55/96/590275596.db2.gz SQVAYXDYROOYOL-QMMMGPOBSA-N 0 3 228.748 2.995 20 0 BFADHN CSC(C)(C)CNCc1cccc(F)c1N ZINC000877064638 590276679 /nfs/dbraw/zinc/27/66/79/590276679.db2.gz CUISPJJZALYVBL-UHFFFAOYSA-N 0 3 242.363 2.639 20 0 BFADHN CN(C)c1cccc(CNCC2(C)CCCC2)n1 ZINC000877117772 590288328 /nfs/dbraw/zinc/28/83/28/590288328.db2.gz VDGQVJBZTYJWFD-UHFFFAOYSA-N 0 3 247.386 2.818 20 0 BFADHN CC1(C)CC[C@H](NCc2ccc(Cl)nn2)C1 ZINC000877119013 590288477 /nfs/dbraw/zinc/28/84/77/590288477.db2.gz RPMQHIXDXCGNPX-VIFPVBQESA-N 0 3 239.750 2.798 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccc[nH]1)CC(C)C ZINC000877123758 590289639 /nfs/dbraw/zinc/28/96/39/590289639.db2.gz CXWFWMSBUAZADM-VXGBXAGGSA-N 0 3 224.348 2.726 20 0 BFADHN COc1cc(CNC23CCC(CC2)C3)sn1 ZINC000877308864 590311565 /nfs/dbraw/zinc/31/15/65/590311565.db2.gz CWHBUSPFZYNKBZ-UHFFFAOYSA-N 0 3 238.356 2.574 20 0 BFADHN c1cc(CNC23CCC(CC2)C3)sn1 ZINC000877309878 590312582 /nfs/dbraw/zinc/31/25/82/590312582.db2.gz UKRHNENONQINNW-UHFFFAOYSA-N 0 3 208.330 2.565 20 0 BFADHN Cc1cccc(C[C@H](C)NCc2ccno2)c1 ZINC000877321618 590315891 /nfs/dbraw/zinc/31/58/91/590315891.db2.gz NGHDQRVBMKCSCH-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN C[C@H](CCC1CC1)NCc1ccc(Cl)nn1 ZINC000877398483 590322761 /nfs/dbraw/zinc/32/27/61/590322761.db2.gz FYMYDJQCLSMVJT-SECBINFHSA-N 0 3 239.750 2.798 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2ccc(Cl)nn2)C1 ZINC000877491572 590339498 /nfs/dbraw/zinc/33/94/98/590339498.db2.gz MNOLLMKHPWPXTR-ZJUUUORDSA-N 0 3 239.750 2.656 20 0 BFADHN Cc1cc(C)cc(CCN[C@@H](C)CF)c1 ZINC000877548361 590354155 /nfs/dbraw/zinc/35/41/55/590354155.db2.gz JDFAOQNLODHNLM-LBPRGKRZSA-N 0 3 209.308 2.794 20 0 BFADHN CC(F)(F)CCCNCc1ccc(Cl)nn1 ZINC000877557123 590357699 /nfs/dbraw/zinc/35/76/99/590357699.db2.gz GCISEJSWCOIBSJ-UHFFFAOYSA-N 0 3 249.692 2.655 20 0 BFADHN CC[C@@](C)(CN1CCC[C@](C)(F)C1)OC ZINC000877557365 590358074 /nfs/dbraw/zinc/35/80/74/590358074.db2.gz KYTDKIAZWGLSMW-RYUDHWBXSA-N 0 3 217.328 2.626 20 0 BFADHN C[C@]1(F)CCCN(CC2CCSCC2)C1 ZINC000877557734 590358950 /nfs/dbraw/zinc/35/89/50/590358950.db2.gz PGTXOJUXDHOSIK-LBPRGKRZSA-N 0 3 231.380 2.954 20 0 BFADHN CCc1nc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)co1 ZINC000877570809 590361771 /nfs/dbraw/zinc/36/17/71/590361771.db2.gz NLFDNPGNBJAFGT-GRYCIOLGSA-N 0 3 234.343 2.763 20 0 BFADHN C[C@]1(NCc2ccc(Cl)nn2)CC=CCC1 ZINC000877586160 590367656 /nfs/dbraw/zinc/36/76/56/590367656.db2.gz VFQJCWAOTRKAQF-LBPRGKRZSA-N 0 3 237.734 2.718 20 0 BFADHN CC[C@H](C)CNCc1cc(C2CC2)no1 ZINC000877595181 590369900 /nfs/dbraw/zinc/36/99/00/590369900.db2.gz UMYLGJYVLODOIE-VIFPVBQESA-N 0 3 208.305 2.688 20 0 BFADHN CCC[C@H](CN[C@H]1C[C@H](O)c2ccccc21)OC ZINC000877630116 590377830 /nfs/dbraw/zinc/37/78/30/590377830.db2.gz YUQOQZAXKMQGPF-UGFHNGPFSA-N 0 3 249.354 2.570 20 0 BFADHN CC[C@@](C)(NCc1ccc(Cl)nn1)C1CC1 ZINC000877630533 590377991 /nfs/dbraw/zinc/37/79/91/590377991.db2.gz GQEOTLIWYSULOL-GFCCVEGCSA-N 0 3 239.750 2.798 20 0 BFADHN CCCc1ccc(CNC2CSC2)s1 ZINC000877633599 590379136 /nfs/dbraw/zinc/37/91/36/590379136.db2.gz DHXVWSSPLHMIDI-UHFFFAOYSA-N 0 3 227.398 2.906 20 0 BFADHN COc1c(C)cnc(CN[C@@H]2[C@@H]3CCC[C@@H]32)c1C ZINC000877634002 590379304 /nfs/dbraw/zinc/37/93/04/590379304.db2.gz JMVZKHSJCJFNTM-DABQJJPHSA-N 0 3 246.354 2.595 20 0 BFADHN Nc1c(Cl)cccc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000877634869 590379962 /nfs/dbraw/zinc/37/99/62/590379962.db2.gz YHDJICBTOZCPSS-IWIIMEHWSA-N 0 3 236.746 2.810 20 0 BFADHN CSC(C)(C)CCN1CCO[C@@H](CCF)C1 ZINC000877657618 590386061 /nfs/dbraw/zinc/38/60/61/590386061.db2.gz LKRRDOUIBAOHEW-NSHDSACASA-N 0 3 249.395 2.579 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@H](C)c1ccns1)OC ZINC000877659174 590386127 /nfs/dbraw/zinc/38/61/27/590386127.db2.gz KVBBBWOAHFFIPA-HBNTYKKESA-N 0 3 242.388 2.855 20 0 BFADHN CC[C@H](C)[C@H](CN[C@H](C)c1ccns1)OC ZINC000877659171 590386192 /nfs/dbraw/zinc/38/61/92/590386192.db2.gz KVBBBWOAHFFIPA-AXFHLTTASA-N 0 3 242.388 2.855 20 0 BFADHN CC(=O)Nc1cccc2c1CN(CC(C)C)CC2 ZINC000877680992 590390119 /nfs/dbraw/zinc/39/01/19/590390119.db2.gz DSYZXYCTGQKAMQ-UHFFFAOYSA-N 0 3 246.354 2.659 20 0 BFADHN CCC[C@@H](CN[C@@H]1CCCC[C@@H]1F)OC ZINC000877682097 590391341 /nfs/dbraw/zinc/39/13/41/590391341.db2.gz GKYGHLJLILBSDK-SDDRHHMPSA-N 0 3 217.328 2.672 20 0 BFADHN Cc1ccoc1CN[C@H](C)Cc1ccco1 ZINC000126649603 590391838 /nfs/dbraw/zinc/39/18/38/590391838.db2.gz NWELJDYEEDRWHZ-LLVKDONJSA-N 0 3 219.284 2.902 20 0 BFADHN COC(=O)c1coc(CN[C@H]2CC=C(C)CC2)c1 ZINC000877699406 590393683 /nfs/dbraw/zinc/39/36/83/590393683.db2.gz JLOGGQTYSSXPRQ-LBPRGKRZSA-N 0 3 249.310 2.655 20 0 BFADHN COC(C)(C)CCNCc1nc(Cl)cs1 ZINC000877707640 590397682 /nfs/dbraw/zinc/39/76/82/590397682.db2.gz ANYCSYQGHYCAQW-UHFFFAOYSA-N 0 3 248.779 2.701 20 0 BFADHN Cc1cnccc1CN1CC[C@@H]2CSC[C@@H]2C1 ZINC000877738443 590404483 /nfs/dbraw/zinc/40/44/83/590404483.db2.gz NYIZILJQOMRWEX-KGLIPLIRSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1occc1CN1CC[C@@H]2CSC[C@H]2C1 ZINC000877738041 590405068 /nfs/dbraw/zinc/40/50/68/590405068.db2.gz MWAQKHFVEMPKSD-CHWSQXEVSA-N 0 3 237.368 2.773 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3CSC[C@H]3C2)cn1 ZINC000877737424 590405426 /nfs/dbraw/zinc/40/54/26/590405426.db2.gz IXQUHGFNQHMUBV-ZIAGYGMSSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1ccoc1CN1CC[C@@H]2CSC[C@@H]2C1 ZINC000877736662 590405732 /nfs/dbraw/zinc/40/57/32/590405732.db2.gz AKWMLITXPBMGML-NEPJUHHUSA-N 0 3 237.368 2.773 20 0 BFADHN Cc1cc(CN2CC[C@@H]3CSC[C@H]3C2)ccn1 ZINC000877740152 590406106 /nfs/dbraw/zinc/40/61/06/590406106.db2.gz VLFUKNJUXDLWKE-ZIAGYGMSSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1cc(CN2CC[C@H]3CSC[C@H]3C2)ccn1 ZINC000877740151 590407450 /nfs/dbraw/zinc/40/74/50/590407450.db2.gz VLFUKNJUXDLWKE-UONOGXRCSA-N 0 3 248.395 2.575 20 0 BFADHN c1cc(CN2CC[C@H]3CSC[C@@H]3C2)cs1 ZINC000877742193 590408517 /nfs/dbraw/zinc/40/85/17/590408517.db2.gz XXAUIDLRKKSNQP-RYUDHWBXSA-N 0 3 239.409 2.933 20 0 BFADHN Cc1ccc(CN2C[C@@H](O)CC(C)(C)C2)cc1 ZINC000877752423 590415369 /nfs/dbraw/zinc/41/53/69/590415369.db2.gz FZGCIVDQFLUGMK-AWEZNQCLSA-N 0 3 233.355 2.588 20 0 BFADHN Cc1ccc(CN2C[C@H](O)CC(C)(C)C2)s1 ZINC000877753936 590417249 /nfs/dbraw/zinc/41/72/49/590417249.db2.gz VFZHCTRIQDPELS-LLVKDONJSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1noc2c1CC(C)(C)CC2 ZINC000877809932 590426458 /nfs/dbraw/zinc/42/64/58/590426458.db2.gz KAYOSUDCYQGYET-GHMZBOCLSA-N 0 3 248.370 2.935 20 0 BFADHN CC(C)CN1CC[C@H](C(F)(F)F)O[C@H](C)C1 ZINC000877823575 590427940 /nfs/dbraw/zinc/42/79/40/590427940.db2.gz MKFZZFMMXLXAFH-NXEZZACHSA-N 0 3 239.281 2.684 20 0 BFADHN Cc1cc(CN(C)C[C@H](C)C(C)(C)C)n(C)n1 ZINC000877824755 590428502 /nfs/dbraw/zinc/42/85/02/590428502.db2.gz LQPSKEDVCVNXBV-NSHDSACASA-N 0 3 237.391 2.843 20 0 BFADHN Cc1ccc(CN(C)CC2CC2)nc1Cl ZINC000877972231 590461852 /nfs/dbraw/zinc/46/18/52/590461852.db2.gz GDHZYCRFQGHDID-UHFFFAOYSA-N 0 3 224.735 2.885 20 0 BFADHN CC(C)[C@H](O)CCN(C)[C@H](C)c1ccco1 ZINC000878003166 590470638 /nfs/dbraw/zinc/47/06/38/590470638.db2.gz WPFMQLLKARLSTC-VXGBXAGGSA-N 0 3 225.332 2.679 20 0 BFADHN C=C/C=C\CCN1CCC[C@@H]1[C@@H](O)C(F)(F)F ZINC000878087140 590489964 /nfs/dbraw/zinc/48/99/64/590489964.db2.gz HNZPDCQVQAPREA-ANDSXOESSA-N 0 3 249.276 2.506 20 0 BFADHN C[C@H]1CSCCN1C[C@H]1CCCC(C)(C)O1 ZINC000878122293 590498719 /nfs/dbraw/zinc/49/87/19/590498719.db2.gz YZKFKHAAFMJTSN-NWDGAFQWSA-N 0 3 243.416 2.771 20 0 BFADHN FC(F)(F)OCCCN1CCC2(CCC2)C1 ZINC000878187761 590519960 /nfs/dbraw/zinc/51/99/60/590519960.db2.gz HAYAFARTDCWIGC-UHFFFAOYSA-N 0 3 237.265 2.789 20 0 BFADHN CN(CC[C@@H]1CCCC1(F)F)Cc1cn[nH]c1 ZINC000878263824 590531644 /nfs/dbraw/zinc/53/16/44/590531644.db2.gz WPYXHCGAOPJKPJ-NSHDSACASA-N 0 3 243.301 2.667 20 0 BFADHN CO[C@H]1C[C@@H](CN2CCc3ccc(F)cc3C2)C1 ZINC000878273711 590532631 /nfs/dbraw/zinc/53/26/31/590532631.db2.gz BNPGUMVMOAJMLI-WGRBQBNCSA-N 0 3 249.329 2.609 20 0 BFADHN C[C@H]1COCCCN1CC[C@H]1CCCC1(F)F ZINC000878286723 590533921 /nfs/dbraw/zinc/53/39/21/590533921.db2.gz RZTLLTWBETXSCQ-NWDGAFQWSA-N 0 3 247.329 2.923 20 0 BFADHN C=C/C=C/CCN(C)[C@H]1CCCc2c1cnn2C ZINC000878289583 590535425 /nfs/dbraw/zinc/53/54/25/590535425.db2.gz BSAWYZOABYKTGY-GJBLVYBDSA-N 0 3 245.370 2.862 20 0 BFADHN CC1=CCN(C[C@@H]2CCC(C)(C)CO2)CC1 ZINC000878301425 590539087 /nfs/dbraw/zinc/53/90/87/590539087.db2.gz WXIUXWKWYYBEDD-ZDUSSCGKSA-N 0 3 223.360 2.844 20 0 BFADHN Cc1cc(C)c(CN2CC[C@H]3C[C@H]32)c(C)n1 ZINC000878316430 590543069 /nfs/dbraw/zinc/54/30/69/590543069.db2.gz IZXQPDQTMFBHES-GXTWGEPZSA-N 0 3 216.328 2.601 20 0 BFADHN FC(F)[C@H]1CCN(C[C@H]2CC3(CCC3)CO2)C1 ZINC000878321989 590544751 /nfs/dbraw/zinc/54/47/51/590544751.db2.gz YPCKOLKHORQMOD-WDEREUQCSA-N 0 3 245.313 2.533 20 0 BFADHN COC(C)(C)C[C@H](C)NCc1ccoc1 ZINC000127061800 590554060 /nfs/dbraw/zinc/55/40/60/590554060.db2.gz APYGFBRXPWGOAN-JTQLQIEISA-N 0 3 211.305 2.573 20 0 BFADHN C=C/C=C\CCN1CCC[C@@H](OC(F)F)C1 ZINC000878393760 590558892 /nfs/dbraw/zinc/55/88/92/590558892.db2.gz HODSKKDFXIEQGC-DLRQAJBASA-N 0 3 231.286 2.822 20 0 BFADHN C=C/C=C\CCN[C@H](COC)c1ccco1 ZINC000878409958 590562069 /nfs/dbraw/zinc/56/20/69/590562069.db2.gz PTAJCAMNEATBCF-QMAVJUDZSA-N 0 3 221.300 2.689 20 0 BFADHN C=C/C=C/CCN[C@H](C)c1nnc2ccccn21 ZINC000878413718 590562979 /nfs/dbraw/zinc/56/29/79/590562979.db2.gz FTFIGRCHGSXZSB-ZYOFXKKJSA-N 0 3 242.326 2.512 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@H]1CCC2(CCC2)O1 ZINC000878532195 590587374 /nfs/dbraw/zinc/58/73/74/590587374.db2.gz BAWMDDBANGBSHK-GRYCIOLGSA-N 0 3 209.333 2.571 20 0 BFADHN CC1(C)CO[C@@H](CN2CCC(F)(F)CC2)C1 ZINC000878541698 590589338 /nfs/dbraw/zinc/58/93/38/590589338.db2.gz FHBRIPNVRIGITB-SNVBAGLBSA-N 0 3 233.302 2.533 20 0 BFADHN C=C/C=C\CCN(CCO)Cc1ccc(C)o1 ZINC000878544679 590590176 /nfs/dbraw/zinc/59/01/76/590590176.db2.gz LCSNPAGOPBPNNS-PLNGDYQASA-N 0 3 235.327 2.515 20 0 BFADHN COCCN(CCCC1CC1)Cc1ccccn1 ZINC000878617396 590596871 /nfs/dbraw/zinc/59/68/71/590596871.db2.gz NGQXOUJUZUBMJK-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCC(C)(C)O2)[C@H]1C ZINC000878652807 590599099 /nfs/dbraw/zinc/59/90/99/590599099.db2.gz WNBDYFNOXZKSTC-GRYCIOLGSA-N 0 3 211.349 2.674 20 0 BFADHN CCN(CC[C@@H](O)C(C)C)Cc1occc1C ZINC000878710417 590613747 /nfs/dbraw/zinc/61/37/47/590613747.db2.gz CWTAPZJDCXPRCJ-CYBMUJFWSA-N 0 3 239.359 2.817 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@H]1CCC[C@H](C)C1 ZINC000879188992 590711600 /nfs/dbraw/zinc/71/16/00/590711600.db2.gz VYXZVUQNOSPPKQ-AAEUAGOBSA-N 0 3 235.375 2.976 20 0 BFADHN Cc1cc(CNCCc2ccco2)c(C)o1 ZINC000127574688 590713138 /nfs/dbraw/zinc/71/31/38/590713138.db2.gz HVKLBLNADVYPSB-UHFFFAOYSA-N 0 3 219.284 2.822 20 0 BFADHN Cc1cc(CN[C@H](C)[C@@]23C[C@@H]2CCCC3)ncn1 ZINC000879266782 590725731 /nfs/dbraw/zinc/72/57/31/590725731.db2.gz ZDMDNJGJUGQPEK-VNHYZAJKSA-N 0 3 245.370 2.843 20 0 BFADHN CCC[C@](C)(O)CNCc1cc(C)oc1C ZINC000127638206 590726484 /nfs/dbraw/zinc/72/64/84/590726484.db2.gz OFIXWRXTFHVPIY-ZDUSSCGKSA-N 0 3 225.332 2.537 20 0 BFADHN COc1cccc(CN2C[C@@H](OC)CC[C@H]2C)c1 ZINC000879318334 590739012 /nfs/dbraw/zinc/73/90/12/590739012.db2.gz ULTAVYOLOIUQTK-DOMZBBRYSA-N 0 3 249.354 2.695 20 0 BFADHN CO[C@@H]1CC[C@H](C)N(CCOCCC(C)C)C1 ZINC000879322367 590742927 /nfs/dbraw/zinc/74/29/27/590742927.db2.gz VQXVXOUUUVDAOC-UONOGXRCSA-N 0 3 243.391 2.548 20 0 BFADHN CO[C@@H]1CC[C@H](C)N(Cc2ccsc2)C1 ZINC000879324260 590743866 /nfs/dbraw/zinc/74/38/66/590743866.db2.gz GORFFPUYHIBMEN-CMPLNLGQSA-N 0 3 225.357 2.747 20 0 BFADHN COc1ccc(CN2C[C@H](OC)CC[C@@H]2C)cc1 ZINC000879324030 590744324 /nfs/dbraw/zinc/74/43/24/590744324.db2.gz BBOZHCZGEYEZNE-SWLSCSKDSA-N 0 3 249.354 2.695 20 0 BFADHN CCc1ccc(CN2C[C@@H](OC)CC[C@H]2C)nc1 ZINC000879325429 590745490 /nfs/dbraw/zinc/74/54/90/590745490.db2.gz NJFOVHODDOGWLI-DOMZBBRYSA-N 0 3 248.370 2.643 20 0 BFADHN CC1(F)CCN(C[C@@H]2C[C@H]3CCC[C@@H]3O2)CC1 ZINC000879383270 590758807 /nfs/dbraw/zinc/75/88/07/590758807.db2.gz GPVWMLFXYNHLKU-AGIUHOORSA-N 0 3 241.350 2.768 20 0 BFADHN CC(C)n1ccc(CN2C[C@@H]3CC=CC[C@@H]3C2)n1 ZINC000879529280 590790753 /nfs/dbraw/zinc/79/07/53/590790753.db2.gz VCYPNPRAAUWEQM-OKILXGFUSA-N 0 3 245.370 2.862 20 0 BFADHN C[C@@H](c1cccnc1)N1CCC(C(C)(C)O)CC1 ZINC000879626160 590839523 /nfs/dbraw/zinc/83/95/23/590839523.db2.gz QGTPRFFQQQZEIE-LBPRGKRZSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@H](c1cccnc1)N1CCC(C(C)(C)O)CC1 ZINC000879626158 590839679 /nfs/dbraw/zinc/83/96/79/590839679.db2.gz QGTPRFFQQQZEIE-GFCCVEGCSA-N 0 3 248.370 2.626 20 0 BFADHN CC[C@H](CN1CCC[C@@H]1c1cncc(C)c1)OC ZINC000879752148 590862831 /nfs/dbraw/zinc/86/28/31/590862831.db2.gz PYDAPDQOULNDHY-HUUCEWRRSA-N 0 3 248.370 2.952 20 0 BFADHN FCCCCN1C[C@@H]2C[C@H]1CN2c1ccccc1 ZINC000880188662 590955511 /nfs/dbraw/zinc/95/55/11/590955511.db2.gz NRFXQMMBHJPQRD-GJZGRUSLSA-N 0 3 248.345 2.699 20 0 BFADHN FC[C@@H]1CCCN(C[C@@H]2CC2(Cl)Cl)C1 ZINC000880230514 590961510 /nfs/dbraw/zinc/96/15/10/590961510.db2.gz LTAVINNFNLLCRR-IUCAKERBSA-N 0 3 240.149 2.862 20 0 BFADHN Cc1cccc(CN2CCC[C@H](CF)C2)n1 ZINC000880233096 590965643 /nfs/dbraw/zinc/96/56/43/590965643.db2.gz UXCMTIVYZWJPSZ-GFCCVEGCSA-N 0 3 222.307 2.572 20 0 BFADHN FC[C@@H]1CCCN(CCOc2ccccc2)C1 ZINC000880234310 590965646 /nfs/dbraw/zinc/96/56/46/590965646.db2.gz IAQCSSHYSZCNGN-ZDUSSCGKSA-N 0 3 237.318 2.747 20 0 BFADHN Cc1ccc(CN2CCC[C@H](CF)C2)cn1 ZINC000880238285 590969873 /nfs/dbraw/zinc/96/98/73/590969873.db2.gz QTYHFZNTTOZHTL-GFCCVEGCSA-N 0 3 222.307 2.572 20 0 BFADHN COc1cc(CN2CCC(F)CC2)ccc1C ZINC000880239779 590972357 /nfs/dbraw/zinc/97/23/57/590972357.db2.gz QHHMKWSYSCFILM-UHFFFAOYSA-N 0 3 237.318 2.938 20 0 BFADHN C[C@@H](c1ccncc1)N1CCC(F)CC1 ZINC000880241348 590975390 /nfs/dbraw/zinc/97/53/90/590975390.db2.gz ABTIOMBMBPXAPD-JTQLQIEISA-N 0 3 208.280 2.577 20 0 BFADHN FC[C@@H]1CCN(Cc2ccccc2F)C1 ZINC000880291047 590986105 /nfs/dbraw/zinc/98/61/05/590986105.db2.gz IERNGXAGMUHRPN-JTQLQIEISA-N 0 3 211.255 2.617 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](CF)C2)cc1 ZINC000880292781 590987153 /nfs/dbraw/zinc/98/71/53/590987153.db2.gz VOJIVEXHTMMXDG-ZDUSSCGKSA-N 0 3 237.318 2.877 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@@H](CF)C1 ZINC000880298823 590992566 /nfs/dbraw/zinc/99/25/66/590992566.db2.gz KJYXBSWCPVFFCE-NSHDSACASA-N 0 3 225.282 2.926 20 0 BFADHN Cc1nsc(C)c1CN1CC[C@@H](CF)C1 ZINC000880300860 590997576 /nfs/dbraw/zinc/99/75/76/590997576.db2.gz XUDRDEJIZAVUSH-JTQLQIEISA-N 0 3 228.336 2.551 20 0 BFADHN CC[C@@H](C)CN1CC[C@](OC)(C(F)(F)F)C1 ZINC000880303444 590999187 /nfs/dbraw/zinc/99/91/87/590999187.db2.gz NXNBUJLGEXSWOB-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN CCC[C@H](C)N1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880307658 590999642 /nfs/dbraw/zinc/99/96/42/590999642.db2.gz SCRJHSYSIJGFFV-UWVGGRQHSA-N 0 3 239.281 2.828 20 0 BFADHN CO[C@@]1(C(F)(F)F)CCN(C2CCCC2)C1 ZINC000880306876 590999712 /nfs/dbraw/zinc/99/97/12/590999712.db2.gz ZVDOGXTXZKINMS-JTQLQIEISA-N 0 3 237.265 2.582 20 0 BFADHN C=C/C=C\CCN1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880309990 591000790 /nfs/dbraw/zinc/00/07/90/591000790.db2.gz VIWMZHVOHMJPFM-WYGGZMRJSA-N 0 3 249.276 2.772 20 0 BFADHN c1csc([C@H]2CCN(Cc3ccncc3)C2)n1 ZINC000880316910 591004663 /nfs/dbraw/zinc/00/46/63/591004663.db2.gz MLQHCZSBFMJJDK-LBPRGKRZSA-N 0 3 245.351 2.528 20 0 BFADHN O[C@@]12C[C@@H]1CN(Cc1ccc(C3CCC3)cc1)C2 ZINC000880420082 591028813 /nfs/dbraw/zinc/02/88/13/591028813.db2.gz XHKZLWAZTBIUAY-HZPDHXFCSA-N 0 3 243.350 2.521 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@]12CCCO2)c1ccccn1 ZINC000880515613 591082784 /nfs/dbraw/zinc/08/27/84/591082784.db2.gz RGJALRMPYWAWPI-YUELXQCFSA-N 0 3 246.354 2.834 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@@H]1CC[C@H](C)C1 ZINC000128922633 591187262 /nfs/dbraw/zinc/18/72/62/591187262.db2.gz YDKLSBLONATLNH-WCBMZHEXSA-N 0 3 241.766 2.660 20 0 BFADHN C[C@H](N)c1cn(C[C@H]2CCCCC2(C)C)nn1 ZINC000881366995 591197023 /nfs/dbraw/zinc/19/70/23/591197023.db2.gz PHWWOUNYGWQLLM-WDEREUQCSA-N 0 3 236.363 2.514 20 0 BFADHN CCC[C@@H](N)c1cn(CC2CC3(CCC3)C2)nn1 ZINC000881426393 591202966 /nfs/dbraw/zinc/20/29/66/591202966.db2.gz FIYTXKGIYIYABE-GFCCVEGCSA-N 0 3 248.374 2.658 20 0 BFADHN CCCCC[C@H](NC(=O)[C@H](N)CC)C(C)(C)C ZINC000129869661 591415132 /nfs/dbraw/zinc/41/51/32/591415132.db2.gz CPSSUSHWODUQHK-NEPJUHHUSA-N 0 3 242.407 2.835 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2ccn(C)n2)CC1 ZINC000213150929 591449726 /nfs/dbraw/zinc/44/97/26/591449726.db2.gz HTZXASOORJVZSD-CHWSQXEVSA-N 0 3 235.375 2.869 20 0 BFADHN CCC(CC)NCc1nc(C(C)C)c[nH]1 ZINC000882878472 591460767 /nfs/dbraw/zinc/46/07/67/591460767.db2.gz FPOMKOMPLCYCGG-UHFFFAOYSA-N 0 3 209.337 2.811 20 0 BFADHN CSCCNCc1cnc(C(C)C)s1 ZINC000130664633 591496113 /nfs/dbraw/zinc/49/61/13/591496113.db2.gz MYASRWAOSNRRBZ-UHFFFAOYSA-N 0 3 230.402 2.719 20 0 BFADHN CC(C)c1c[nH]c(CNCCCC2CC2)n1 ZINC000883014600 591514749 /nfs/dbraw/zinc/51/47/49/591514749.db2.gz VUYGGBYCLVUSPS-UHFFFAOYSA-N 0 3 221.348 2.813 20 0 BFADHN CC(C)c1c[nH]c(CNC(C)(C)C2CC2)n1 ZINC000883017982 591515790 /nfs/dbraw/zinc/51/57/90/591515790.db2.gz JPMGCBQQUBYBLE-UHFFFAOYSA-N 0 3 221.348 2.811 20 0 BFADHN Fc1cccc(CN[C@H]2C[C@@H]3CC[C@@H](C3)C2)n1 ZINC000883073515 591536234 /nfs/dbraw/zinc/53/62/34/591536234.db2.gz SCTSIEJAXHSKLB-PJXYFTJBSA-N 0 3 234.318 2.889 20 0 BFADHN CC[C@@H](CSC)NCc1nc(C(C)C)c[nH]1 ZINC000883082363 591537972 /nfs/dbraw/zinc/53/79/72/591537972.db2.gz DCRSIEHXVCGBOJ-JTQLQIEISA-N 0 3 241.404 2.764 20 0 BFADHN CC(C)c1c[nH]c(CNC2CC(C)(C)C2)n1 ZINC000883182265 591565765 /nfs/dbraw/zinc/56/57/65/591565765.db2.gz XZGFDUPASKLCSA-UHFFFAOYSA-N 0 3 221.348 2.811 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H]2C[C@@H]3CC[C@@H](C3)C2)[n-]1 ZINC000883208393 591573831 /nfs/dbraw/zinc/57/38/31/591573831.db2.gz FHXWABBBIKQREW-YFKTTZPYSA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nnc([C@H](C)N[C@@H]2C[C@@H]3CC[C@@H](C3)C2)[nH]1 ZINC000883208393 591573834 /nfs/dbraw/zinc/57/38/34/591573834.db2.gz FHXWABBBIKQREW-YFKTTZPYSA-N 0 3 248.374 2.596 20 0 BFADHN Cc1cc(CN[C@H]2CCCC3(CC3)C2)ncn1 ZINC000883208210 591574477 /nfs/dbraw/zinc/57/44/77/591574477.db2.gz BBPXHYGQWMKTMH-LBPRGKRZSA-N 0 3 231.343 2.597 20 0 BFADHN CC(C)Cc1noc(-c2ccc3c(c2)CNC3)n1 ZINC000131232875 591574712 /nfs/dbraw/zinc/57/47/12/591574712.db2.gz QOSRVCVFGHXMDV-UHFFFAOYSA-N 0 3 243.310 2.538 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CCCC3(CC3)C2)[n-]1 ZINC000883225450 591577548 /nfs/dbraw/zinc/57/75/48/591577548.db2.gz HLVINPHANUJCNT-NSHDSACASA-N 0 3 248.374 2.741 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CCCC3(CC3)C2)[nH]1 ZINC000883225450 591577551 /nfs/dbraw/zinc/57/75/51/591577551.db2.gz HLVINPHANUJCNT-NSHDSACASA-N 0 3 248.374 2.741 20 0 BFADHN CC[C@@H](N[C@@H]1CC[C@H]1O)c1sccc1Cl ZINC000883230366 591579909 /nfs/dbraw/zinc/57/99/09/591579909.db2.gz FAWLGLHMCAXTMQ-OPRDCNLKSA-N 0 3 245.775 2.966 20 0 BFADHN C[C@@H](N[C@H]1CCCC2(CC2)C1)c1ccn(C)n1 ZINC000883228735 591580606 /nfs/dbraw/zinc/58/06/06/591580606.db2.gz SPPVZGBBTVSAAY-NEPJUHHUSA-N 0 3 233.359 2.794 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@H]2C=CCC2)n1 ZINC000883236612 591582778 /nfs/dbraw/zinc/58/27/78/591582778.db2.gz GMQREFHBMBZETH-NSHDSACASA-N 0 3 219.332 2.589 20 0 BFADHN C[C@@H](NC[C@H]1C=CCC1)c1cc2n(n1)CCCC2 ZINC000883246083 591587134 /nfs/dbraw/zinc/58/71/34/591587134.db2.gz ZWEUNNSKINAUMP-OLZOCXBDSA-N 0 3 245.370 2.836 20 0 BFADHN c1cc(CNCC2(CC3CC3)CC2)sn1 ZINC000883248096 591589669 /nfs/dbraw/zinc/58/96/69/591589669.db2.gz HLBBJEZVETVLOQ-UHFFFAOYSA-N 0 3 222.357 2.813 20 0 BFADHN c1ccc(CNCC2(CC3CC3)CC2)nc1 ZINC000883250010 591590682 /nfs/dbraw/zinc/59/06/82/591590682.db2.gz XKRUZLRJNARSBL-UHFFFAOYSA-N 0 3 216.328 2.752 20 0 BFADHN CCc1nocc1CNCC1(C)CC(F)(F)C1 ZINC000883250563 591591244 /nfs/dbraw/zinc/59/12/44/591591244.db2.gz DLFZKZCLXRINNQ-UHFFFAOYSA-N 0 3 244.285 2.762 20 0 BFADHN CCC[C@H](C)CCNCc1cnc(C)o1 ZINC000883250694 591591368 /nfs/dbraw/zinc/59/13/68/591591368.db2.gz JXWCNZOVSKUJPU-JTQLQIEISA-N 0 3 210.321 2.899 20 0 BFADHN CCC[C@H](C)CCNCc1cnc(CC)nc1 ZINC000883250948 591591845 /nfs/dbraw/zinc/59/18/45/591591845.db2.gz WEINDBAYJWQLQT-LBPRGKRZSA-N 0 3 235.375 2.955 20 0 BFADHN CCC[C@H](C)CCNCc1cnn(C)c1Cl ZINC000883251722 591592607 /nfs/dbraw/zinc/59/26/07/591592607.db2.gz ZLLHWLWKVBLAOH-JTQLQIEISA-N 0 3 243.782 2.989 20 0 BFADHN c1cn2c(n1)[C@@H](NCC1(CC3CC3)CC1)CCC2 ZINC000883253959 591593713 /nfs/dbraw/zinc/59/37/13/591593713.db2.gz GQFLEFYTKQJOCP-ZDUSSCGKSA-N 0 3 245.370 2.888 20 0 BFADHN CCc1ncc(CNC[C@@]2(C)CC2(C)C)cn1 ZINC000883252737 591593946 /nfs/dbraw/zinc/59/39/46/591593946.db2.gz WBWDDXMQFFXPJI-CQSZACIVSA-N 0 3 233.359 2.565 20 0 BFADHN CCC[C@H](C)CCN[C@@H](C)c1ncc[nH]1 ZINC000883258232 591596433 /nfs/dbraw/zinc/59/64/33/591596433.db2.gz VCODUURHYGXWLW-QWRGUYRKSA-N 0 3 209.337 2.887 20 0 BFADHN CCC[C@@H](C)CCN[C@H](C)c1ncc[nH]1 ZINC000883258230 591596452 /nfs/dbraw/zinc/59/64/52/591596452.db2.gz VCODUURHYGXWLW-GHMZBOCLSA-N 0 3 209.337 2.887 20 0 BFADHN CCC1(CC)[C@@H](NCc2cccnc2)C[C@@H]1OC ZINC000131337347 591597844 /nfs/dbraw/zinc/59/78/44/591597844.db2.gz RSMYUGXZGIMERH-KBPBESRZSA-N 0 3 248.370 2.765 20 0 BFADHN Cc1ncc(CNCC[C@H]2CCCC2(F)F)o1 ZINC000883264613 591599666 /nfs/dbraw/zinc/59/96/66/591599666.db2.gz GHEVJJULSDZXMT-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN C=C/C=C/CCN[C@H](c1nccn1C)C1CC1 ZINC000883267634 591601268 /nfs/dbraw/zinc/60/12/68/591601268.db2.gz LWIDEYBGMRLVGF-IHVVCDCBSA-N 0 3 231.343 2.593 20 0 BFADHN C=C/C=C\CCN[C@@H](C)c1cc(C(=O)OC)co1 ZINC000883268786 591602010 /nfs/dbraw/zinc/60/20/10/591602010.db2.gz SIHSHPPOLPVJHG-GZTOBOFZSA-N 0 3 249.310 2.849 20 0 BFADHN C=C/C=C/CCN[C@@H](C)c1cc(C)n(C)n1 ZINC000883269126 591602062 /nfs/dbraw/zinc/60/20/62/591602062.db2.gz ZYGYZZMEAWPPDZ-SYTKJHMZSA-N 0 3 219.332 2.511 20 0 BFADHN C=C/C=C/CCN[C@H](C)c1cc(C(=O)OC)co1 ZINC000883268788 591602136 /nfs/dbraw/zinc/60/21/36/591602136.db2.gz SIHSHPPOLPVJHG-MVIFTORASA-N 0 3 249.310 2.849 20 0 BFADHN C=C/C=C\CCN[C@@H](C)c1nccn1CC ZINC000883268909 591602186 /nfs/dbraw/zinc/60/21/86/591602186.db2.gz VXXOZAIQMZOBGA-DGMVEKRQSA-N 0 3 219.332 2.686 20 0 BFADHN C=C/C=C\CCN[C@@H](C)c1cc(C)n(C)n1 ZINC000883269124 591602307 /nfs/dbraw/zinc/60/23/07/591602307.db2.gz ZYGYZZMEAWPPDZ-DGMVEKRQSA-N 0 3 219.332 2.511 20 0 BFADHN CC[C@H](C)[C@H](C)NC1(c2ncccn2)CCC1 ZINC000883313674 591612115 /nfs/dbraw/zinc/61/21/15/591612115.db2.gz JURQNMNSNUYVLG-RYUDHWBXSA-N 0 3 233.359 2.880 20 0 BFADHN CC[C@@H](C)[C@@H](C)NC1(c2ncccn2)CCC1 ZINC000883313675 591612327 /nfs/dbraw/zinc/61/23/27/591612327.db2.gz JURQNMNSNUYVLG-VXGBXAGGSA-N 0 3 233.359 2.880 20 0 BFADHN COC[C@H]1C[C@@H](N[C@@H](C)c2cc(C)c(C)o2)C1 ZINC000883319815 591614546 /nfs/dbraw/zinc/61/45/46/591614546.db2.gz QLEUXDQKTMQGJS-WCFLWFBJSA-N 0 3 237.343 2.972 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H]2CCN2CC)o1 ZINC000883355092 591625988 /nfs/dbraw/zinc/62/59/88/591625988.db2.gz JGNLTFNLFMQCND-NEPJUHHUSA-N 0 3 236.359 2.587 20 0 BFADHN CCc1ccc(CNC2CCC3(COC3)CC2)o1 ZINC000883390171 591641811 /nfs/dbraw/zinc/64/18/11/591641811.db2.gz HHCVDUGHEFFXSY-UHFFFAOYSA-N 0 3 249.354 2.891 20 0 BFADHN C=C/C=C/CCN1CCOc2cc(N)ccc2C1 ZINC000883439661 591669136 /nfs/dbraw/zinc/66/91/36/591669136.db2.gz XFRGNPLZLQQYGD-ONEGZZNKSA-N 0 3 244.338 2.596 20 0 BFADHN CCc1ccc(NC(=O)[C@H](CC(C)C)NC)cc1 ZINC000884047441 591826364 /nfs/dbraw/zinc/82/63/64/591826364.db2.gz UNTBLRVKTQBUPX-AWEZNQCLSA-N 0 3 248.370 2.822 20 0 BFADHN CS[C@@H]1CC[C@H](NCc2c(C)noc2C)C1 ZINC000133948401 591870214 /nfs/dbraw/zinc/87/02/14/591870214.db2.gz YATHYFKMVDMBGY-WDEREUQCSA-N 0 3 240.372 2.665 20 0 BFADHN Cc1nnc(CN[C@H]2CCCC(C)(C)C2)s1 ZINC000134663071 591945970 /nfs/dbraw/zinc/94/59/70/591945970.db2.gz TXROGFVHWLKACX-JTQLQIEISA-N 0 3 239.388 2.905 20 0 BFADHN Clc1ccc(CN[C@@H]2CCCSC2)nc1 ZINC000134820442 591951798 /nfs/dbraw/zinc/95/17/98/591951798.db2.gz HBFNPVLOLFSORV-LLVKDONJSA-N 0 3 242.775 2.720 20 0 BFADHN Cc1cnc(NC[C@H]2N(C)CCCC2(C)C)o1 ZINC000884491520 591955063 /nfs/dbraw/zinc/95/50/63/591955063.db2.gz XGLOCEQZZYIIGC-LLVKDONJSA-N 0 3 237.347 2.515 20 0 BFADHN Cc1cnc(NCCN2CCCC(C)(C)C2)o1 ZINC000884488291 591955135 /nfs/dbraw/zinc/95/51/35/591955135.db2.gz RYFDNZXQEAFAMC-UHFFFAOYSA-N 0 3 237.347 2.517 20 0 BFADHN Cc1cc(N2C[C@@H]3C[C@@H]3C2)c2ccccc2n1 ZINC000884587924 591988174 /nfs/dbraw/zinc/98/81/74/591988174.db2.gz UNFHYNVIKGEEQG-TXEJJXNPSA-N 0 3 224.307 2.999 20 0 BFADHN CCC[C@H](C)NCc1c(C)nn(C)c1Cl ZINC000135882994 592075971 /nfs/dbraw/zinc/07/59/71/592075971.db2.gz NOOFZQMWZBGYJG-QMMMGPOBSA-N 0 3 229.755 2.660 20 0 BFADHN Cc1coc(CNCCOc2ccc(F)cc2)c1 ZINC000885915624 592108068 /nfs/dbraw/zinc/10/80/68/592108068.db2.gz BOKAKSPJDSQXNV-UHFFFAOYSA-N 0 3 249.285 2.896 20 0 BFADHN Cc1coc(CNCCN2CCCC[C@@H]2C)c1 ZINC000885923200 592108638 /nfs/dbraw/zinc/10/86/38/592108638.db2.gz SVCXUWGMOJROPA-ZDUSSCGKSA-N 0 3 236.359 2.552 20 0 BFADHN CN(Cc1ccccc1F)C[C@@H]1C[C@]12CCOC2 ZINC000885930951 592110045 /nfs/dbraw/zinc/11/00/45/592110045.db2.gz MQENKPKLKALBGC-ZFWWWQNUSA-N 0 3 249.329 2.684 20 0 BFADHN Fc1cccc(/C=C/CNC[C@@H]2CCCCO2)c1 ZINC000885941715 592111913 /nfs/dbraw/zinc/11/19/13/592111913.db2.gz FANUYADHQJSQCH-DRDHIDPGSA-N 0 3 249.329 2.998 20 0 BFADHN Fc1cccc(/C=C\CN[C@H]2CCCOC2)c1 ZINC000885973195 592119322 /nfs/dbraw/zinc/11/93/22/592119322.db2.gz QBONVRRRAQDXGG-DQWBNOTDSA-N 0 3 235.302 2.608 20 0 BFADHN Cc1coc(CNCCc2ccc(C)nc2)c1 ZINC000885977346 592119338 /nfs/dbraw/zinc/11/93/38/592119338.db2.gz HKWJRIOCUUNWGX-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CC[C@H](NCc1n[nH]c(C)c1C)c1ccncc1 ZINC000885997788 592125578 /nfs/dbraw/zinc/12/55/78/592125578.db2.gz XBGSKZLAWVNDNZ-ZDUSSCGKSA-N 0 3 244.342 2.662 20 0 BFADHN COc1cc(C)cc(CNCC2(C)CC2)c1OC ZINC000886008723 592130263 /nfs/dbraw/zinc/13/02/63/592130263.db2.gz TWIGVJFCXXVTSI-UHFFFAOYSA-N 0 3 249.354 2.902 20 0 BFADHN COc1cc(C)cc(CNC2CC=CC2)c1OC ZINC000886018578 592131643 /nfs/dbraw/zinc/13/16/43/592131643.db2.gz CYUIDBYFWPFHHL-UHFFFAOYSA-N 0 3 247.338 2.820 20 0 BFADHN C[C@@H]1CSCCN1CCOC1CCCCC1 ZINC000886016640 592132354 /nfs/dbraw/zinc/13/23/54/592132354.db2.gz IOGOJNZAOPZIOM-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1CC[C@@H]1C[C@H]1C1CC1 ZINC000886040890 592139250 /nfs/dbraw/zinc/13/92/50/592139250.db2.gz GJALNGLXADSKIO-WVWOOGAGSA-N 0 3 223.360 2.532 20 0 BFADHN CCC1(O)CCC(NCc2cc(C)co2)CC1 ZINC000886054446 592141309 /nfs/dbraw/zinc/14/13/09/592141309.db2.gz CZGVIBHOHVMREX-UHFFFAOYSA-N 0 3 237.343 2.761 20 0 BFADHN Cc1coc(CN[C@@]2(C)CCO[C@@H]2C2CC2)c1 ZINC000886062321 592141991 /nfs/dbraw/zinc/14/19/91/592141991.db2.gz MOVUQIISAVEHRV-KGLIPLIRSA-N 0 3 235.327 2.635 20 0 BFADHN CC1=CCN(C[C@H]2CCC3(CCC3)CO2)CC1 ZINC000886087290 592145349 /nfs/dbraw/zinc/14/53/49/592145349.db2.gz RRMVYLLGRKBEBA-CQSZACIVSA-N 0 3 235.371 2.988 20 0 BFADHN FC(F)[C@@H]1CCN(C[C@H]2CC[C@H](C3CC3)O2)C1 ZINC000886094028 592147512 /nfs/dbraw/zinc/14/75/12/592147512.db2.gz LKVKHXQYNSFPHP-IJLUTSLNSA-N 0 3 245.313 2.531 20 0 BFADHN Cc1n[nH]c(CNCC(C)(C)C(F)(F)F)c1C ZINC000886107302 592156633 /nfs/dbraw/zinc/15/66/33/592156633.db2.gz ORNLDWSZDPQGMS-UHFFFAOYSA-N 0 3 249.280 2.705 20 0 BFADHN Cc1[nH]nc(CNCC(C)(C)C(F)(F)F)c1C ZINC000886107302 592156635 /nfs/dbraw/zinc/15/66/35/592156635.db2.gz ORNLDWSZDPQGMS-UHFFFAOYSA-N 0 3 249.280 2.705 20 0 BFADHN COCC1(CNCc2cc(C)co2)CCCC1 ZINC000886138409 592161551 /nfs/dbraw/zinc/16/15/51/592161551.db2.gz JQMKCMDMKZJOJB-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN CC[C@H]1C[C@H](NCc2cc(C)co2)CCO1 ZINC000886149312 592163862 /nfs/dbraw/zinc/16/38/62/592163862.db2.gz LGXBVUXOGPJWPY-NEPJUHHUSA-N 0 3 223.316 2.635 20 0 BFADHN CC1(C)C[C@@H](NC/C=C\c2cccc(F)c2)CO1 ZINC000886155919 592164629 /nfs/dbraw/zinc/16/46/29/592164629.db2.gz QZASOVVXJRUVAN-KXQLTZBTSA-N 0 3 249.329 2.996 20 0 BFADHN CC1(C)C[C@H](NC/C=C/c2cccc(F)c2)CO1 ZINC000886155922 592165905 /nfs/dbraw/zinc/16/59/05/592165905.db2.gz QZASOVVXJRUVAN-YVZNUBBCSA-N 0 3 249.329 2.996 20 0 BFADHN Cc1n[nH]c(CNC2(CC(C)C)CC2)c1C ZINC000886164545 592166992 /nfs/dbraw/zinc/16/69/92/592166992.db2.gz NEKICGLKWGFXQW-UHFFFAOYSA-N 0 3 221.348 2.695 20 0 BFADHN Cc1coc(CNCCCc2ccco2)c1 ZINC000886164644 592167895 /nfs/dbraw/zinc/16/78/95/592167895.db2.gz QIZCQCCGCOQMFP-UHFFFAOYSA-N 0 3 219.284 2.903 20 0 BFADHN CS[C@H]1C[C@H](NCc2ccc(Cl)cn2)C1 ZINC000886176833 592170218 /nfs/dbraw/zinc/17/02/18/592170218.db2.gz MACJCAFJOTYJMK-XYPYZODXSA-N 0 3 242.775 2.719 20 0 BFADHN Cc1n[nH]c(CNCC(C)(C)C(C)(F)F)c1C ZINC000886179103 592170760 /nfs/dbraw/zinc/17/07/60/592170760.db2.gz NAPFSPINYYAZAE-UHFFFAOYSA-N 0 3 245.317 2.798 20 0 BFADHN Cc1cc(CN[C@]23C[C@H]2COC3)ccc1Cl ZINC000886200751 592175288 /nfs/dbraw/zinc/17/52/88/592175288.db2.gz ZYRJPKIMQPAGHV-AAEUAGOBSA-N 0 3 237.730 2.527 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2n[nH]cc2C)C1 ZINC000886281244 592199336 /nfs/dbraw/zinc/19/93/36/592199336.db2.gz VAMDUODYLNFJTL-VXGBXAGGSA-N 0 3 221.348 2.729 20 0 BFADHN C[C@@H]1CCC[C@@H](c2noc(C(C)(C)CN)n2)C1 ZINC000137523518 592199351 /nfs/dbraw/zinc/19/93/51/592199351.db2.gz SHATYBDRYJWCMD-NXEZZACHSA-N 0 3 237.347 2.600 20 0 BFADHN C[C@H]1c2ccccc2CN1C[C@H]1C[C@@]12CCOC2 ZINC000886295926 592201678 /nfs/dbraw/zinc/20/16/78/592201678.db2.gz SEEMJYPLZQCSND-JGGQBBKZSA-N 0 3 243.350 2.990 20 0 BFADHN c1ccc(CNC[C@@H]2CC3(CCC3)CO2)cc1 ZINC000886290655 592201918 /nfs/dbraw/zinc/20/19/18/592201918.db2.gz KPJIPBNKSGKXJP-AWEZNQCLSA-N 0 3 231.339 2.735 20 0 BFADHN c1csc(CNC[C@H]2CC3(CCC3)CO2)c1 ZINC000886291198 592202199 /nfs/dbraw/zinc/20/21/99/592202199.db2.gz ZOFSJCYDKOINLL-LLVKDONJSA-N 0 3 237.368 2.797 20 0 BFADHN Cc1cc(CNC[C@@H]2CC3(CCC3)CO2)c(C)o1 ZINC000886289395 592202210 /nfs/dbraw/zinc/20/22/10/592202210.db2.gz UVZYMUUEVWTHMY-AWEZNQCLSA-N 0 3 249.354 2.945 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H](C)Cc1ccco1 ZINC000219090428 592208981 /nfs/dbraw/zinc/20/89/81/592208981.db2.gz ZIEKFADFYQTENY-PWSUYJOCSA-N 0 3 245.326 2.660 20 0 BFADHN Fc1ccc(SCCN2C[C@@H]3C[C@@H]3C2)cc1 ZINC000886330537 592210514 /nfs/dbraw/zinc/21/05/14/592210514.db2.gz UAONHDFVFWDOQA-PHIMTYICSA-N 0 3 237.343 2.870 20 0 BFADHN CSCC[C@H](C)N[C@H](C)c1nccnc1C ZINC000219150106 592211779 /nfs/dbraw/zinc/21/17/79/592211779.db2.gz ARJNZLNDUADDSP-GXSJLCMTSA-N 0 3 239.388 2.577 20 0 BFADHN CC[C@H]1C[C@@H](N[C@H]2CCc3c2cccc3F)CO1 ZINC000886361798 592217566 /nfs/dbraw/zinc/21/75/66/592217566.db2.gz WBLLOJPDQNTRBV-ZETOZRRWSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@H]1C[C@@H](N[C@H]2CCc3c2cccc3F)CO1 ZINC000886361540 592217818 /nfs/dbraw/zinc/21/78/18/592217818.db2.gz LJDFCRVMPDMEHU-RBZYPMLTSA-N 0 3 235.302 2.580 20 0 BFADHN Cn1cc(CNC2CCC=CCC2)c(Cl)n1 ZINC000886376642 592221697 /nfs/dbraw/zinc/22/16/97/592221697.db2.gz NCJMNYDUNSLWNW-UHFFFAOYSA-N 0 3 239.750 2.662 20 0 BFADHN c1nc(CNC2(C3CC3)CCC2)cn1C1CC1 ZINC000886389554 592222445 /nfs/dbraw/zinc/22/24/45/592222445.db2.gz OFFFNYYTHPIBGG-UHFFFAOYSA-N 0 3 231.343 2.640 20 0 BFADHN CC(C)[C@@H](NCCC(F)(F)F)c1cnn(C)c1 ZINC000886536638 592250966 /nfs/dbraw/zinc/25/09/66/592250966.db2.gz MFKSMCKIPLPSGD-SNVBAGLBSA-N 0 3 249.280 2.659 20 0 BFADHN CC(=O)CN1CC[C@H](C)[C@H]1c1ccccc1 ZINC000886541455 592254658 /nfs/dbraw/zinc/25/46/58/592254658.db2.gz WQGYLRHMDALTLJ-FZMZJTMJSA-N 0 3 217.312 2.659 20 0 BFADHN OC[C@]12CCC[C@H]1N(Cc1cccc(F)c1)CC2 ZINC000886620532 592277516 /nfs/dbraw/zinc/27/75/16/592277516.db2.gz MLRKRGIWBVIGNF-HUUCEWRRSA-N 0 3 249.329 2.563 20 0 BFADHN c1cnc2c(c1)CC[C@@H]2N[C@@H]1C[C@H]1C1CCC1 ZINC000886629486 592281145 /nfs/dbraw/zinc/28/11/45/592281145.db2.gz GDPBHUQJDSWVJP-MELADBBJSA-N 0 3 228.339 2.847 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H]2CCc3cccnc32)CCO1 ZINC000886628303 592281458 /nfs/dbraw/zinc/28/14/58/592281458.db2.gz METKIFVXMNAEOO-BFHYXJOUSA-N 0 3 246.354 2.616 20 0 BFADHN c1cnc([C@@H]2CCCN([C@@H]3C=CCCC3)C2)nc1 ZINC000886681127 592301487 /nfs/dbraw/zinc/30/14/87/592301487.db2.gz SLTRTLIUMHMQHU-ZIAGYGMSSA-N 0 3 243.354 2.765 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CN[C@@H]1CCCn2ccnc21 ZINC000886694579 592305461 /nfs/dbraw/zinc/30/54/61/592305461.db2.gz YQNAJRGHINHFHU-RKQHYHRCSA-N 0 3 245.370 2.600 20 0 BFADHN NCC1(c2nc(C3CCCCCCC3)no2)CC1 ZINC000139026436 592335335 /nfs/dbraw/zinc/33/53/35/592335335.db2.gz UKTNTZMMBYMLNK-UHFFFAOYSA-N 0 3 249.358 2.888 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H]1CCS[C@@H]1C ZINC000220735196 592336102 /nfs/dbraw/zinc/33/61/02/592336102.db2.gz CKGOXEGJTIHUJH-XROYCOCOSA-N 0 3 240.372 2.836 20 0 BFADHN C[C@H]1C[C@H](NC2(C3CC3)CCC2)c2nccn21 ZINC000886825616 592348292 /nfs/dbraw/zinc/34/82/92/592348292.db2.gz YKKCCFIWYYCABK-JQWIXIFHSA-N 0 3 231.343 2.811 20 0 BFADHN C[C@H]1C[C@@H](NC2(C3CC3)CCC2)c2nccn21 ZINC000886825615 592348503 /nfs/dbraw/zinc/34/85/03/592348503.db2.gz YKKCCFIWYYCABK-CMPLNLGQSA-N 0 3 231.343 2.811 20 0 BFADHN CO[C@H](C)CNC(C)(C)c1ccc(F)cc1F ZINC000886898321 592392937 /nfs/dbraw/zinc/39/29/37/592392937.db2.gz YAVLCSXHHHCDES-SECBINFHSA-N 0 3 243.297 2.824 20 0 BFADHN C[C@H]1CC[C@@H](CN[C@H]2CCc3c2cccc3F)O1 ZINC000886923275 592397728 /nfs/dbraw/zinc/39/77/28/592397728.db2.gz UGZCCTIHCJNADH-PGUXBMHVSA-N 0 3 249.329 2.970 20 0 BFADHN CN(CCCF)Cc1ccc2c(c1)CCC2 ZINC000887138687 592452603 /nfs/dbraw/zinc/45/26/03/592452603.db2.gz HQHFHHLIFRSDOJ-UHFFFAOYSA-N 0 3 221.319 2.967 20 0 BFADHN CN(CCCF)C[C@@H]1CCCC(C)(C)O1 ZINC000887143509 592459114 /nfs/dbraw/zinc/45/91/14/592459114.db2.gz CLQZIYDEGGDAOF-NSHDSACASA-N 0 3 217.328 2.626 20 0 BFADHN CCCCCCn1cc([C@H](N)C(C)C)nn1 ZINC000300871869 592523646 /nfs/dbraw/zinc/52/36/46/592523646.db2.gz PUQTTXKWXGKPEI-GFCCVEGCSA-N 0 3 224.352 2.514 20 0 BFADHN COC(C)(C)CNCc1cnc2ccccc2c1 ZINC000301479763 592535020 /nfs/dbraw/zinc/53/50/20/592535020.db2.gz IAEBGCZEDDVIPC-UHFFFAOYSA-N 0 3 244.338 2.749 20 0 BFADHN CCCCCC[C@@H](CC)N1CC[C@H]1NC ZINC001246897588 988669430 /nfs/dbraw/zinc/66/94/30/988669430.db2.gz KAHCBGFWYRJTFA-OLZOCXBDSA-N 0 3 212.381 2.987 20 0 BFADHN CCOc1ccccc1CN[C@H]1COCC1(C)C ZINC000313042406 634444647 /nfs/dbraw/zinc/44/46/47/634444647.db2.gz SLYUTFBDWFIHHF-AWEZNQCLSA-N 0 3 249.354 2.600 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CC[C@H]3C[C@H]3C2)Cc2c[nH]nc21 ZINC000931468048 634466077 /nfs/dbraw/zinc/46/60/77/634466077.db2.gz UOXIWCIUEVNHNF-FVCCEPFGSA-N 0 3 245.370 2.765 20 0 BFADHN [O-]c1ccc(C[NH2+][C@H]2CCC(F)(F)C2)cc1F ZINC000310212146 635398364 /nfs/dbraw/zinc/39/83/64/635398364.db2.gz CSBZXDKHOMCZQL-VIFPVBQESA-N 0 3 245.244 2.809 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CNCc2ccon2)C1 ZINC000235393196 635577535 /nfs/dbraw/zinc/57/75/35/635577535.db2.gz FMVZURMCFLHSGD-CMPLNLGQSA-N 0 3 220.316 2.757 20 0 BFADHN Cc1nnc([C@@H](C)N[C@@H]2C[C@H]3C=C[C@@H]2CC3)s1 ZINC000926162241 631302975 /nfs/dbraw/zinc/30/29/75/631302975.db2.gz AKXMHJXJZUNYOP-SASUGWTJSA-N 0 3 249.383 2.852 20 0 BFADHN C[C@H]1CCCN(Cc2ccnn2C2CCC2)C1 ZINC000891446140 631318397 /nfs/dbraw/zinc/31/83/97/631318397.db2.gz FISIHSHGGRLSOT-LBPRGKRZSA-N 0 3 233.359 2.840 20 0 BFADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1ccnnc1 ZINC000926174100 631375930 /nfs/dbraw/zinc/37/59/30/631375930.db2.gz IBGKSBHSODAMMI-WPRPVWTQSA-N 0 3 247.264 2.858 20 0 BFADHN COc1cc([C@H](C)N[C@H](C)CCC2CC2)on1 ZINC000926196739 631398251 /nfs/dbraw/zinc/39/82/51/631398251.db2.gz RHDBCFPDHOVEKQ-ZJUUUORDSA-N 0 3 238.331 2.913 20 0 BFADHN CCS[C@@H]1CCCCN(CCF)C1 ZINC000308507327 631414432 /nfs/dbraw/zinc/41/44/32/631414432.db2.gz ZXMFONGXGJEOPP-SNVBAGLBSA-N 0 3 205.342 2.564 20 0 BFADHN OCC[C@@H](NC/C=C/Cl)c1cccs1 ZINC000308535787 631421644 /nfs/dbraw/zinc/42/16/44/631421644.db2.gz DRJIPRDOVNCHCB-OSOUNJMWSA-N 0 3 231.748 2.514 20 0 BFADHN C[C@@H](N[C@@H](C)c1cscn1)C1(C)CC1 ZINC000308666018 631475996 /nfs/dbraw/zinc/47/59/96/631475996.db2.gz SNJUVTQNRJIVCI-DTWKUNHWSA-N 0 3 210.346 2.982 20 0 BFADHN CCCCCCC(=O)NCCN1CCCCC1 ZINC000121593514 631510427 /nfs/dbraw/zinc/51/04/27/631510427.db2.gz PNTJOEWFULJPHE-UHFFFAOYSA-N 0 3 240.391 2.559 20 0 BFADHN CC(C)[C@@H](O)CCN(Cc1ccoc1)C1CC1 ZINC000934002618 631581544 /nfs/dbraw/zinc/58/15/44/631581544.db2.gz AEJBZVZQDBJLCW-AWEZNQCLSA-N 0 3 237.343 2.651 20 0 BFADHN S=c1[nH]ccn1CN1C[C@H]2CCCC[C@@H]2C1 ZINC000176194402 631623435 /nfs/dbraw/zinc/62/34/35/631623435.db2.gz FOLZXVOCBVCXQZ-GHMZBOCLSA-N 0 3 237.372 2.625 20 0 BFADHN Cc1ccc([C@@H](C)Nc2ccncc2CO)s1 ZINC000891963409 631643899 /nfs/dbraw/zinc/64/38/99/631643899.db2.gz HPEPEGBFGAJONB-SNVBAGLBSA-N 0 3 248.351 2.539 20 0 BFADHN C[C@@H](c1cccnc1)N(C)C[C@H]1CCC=CO1 ZINC000248997059 635684379 /nfs/dbraw/zinc/68/43/79/635684379.db2.gz PHFNWSQPXITXDV-GXTWGEPZSA-N 0 3 232.327 2.767 20 0 BFADHN CCCCC1(N[C@H](C)c2cnccn2)CC1 ZINC000934204285 631733078 /nfs/dbraw/zinc/73/30/78/631733078.db2.gz AXBHVJIMOXXKBX-LLVKDONJSA-N 0 3 219.332 2.850 20 0 BFADHN C[C@@H](NCC(C)(C)C(F)(F)F)c1ccnnc1 ZINC000926367560 631752799 /nfs/dbraw/zinc/75/27/99/631752799.db2.gz NSUIZIKIKPQQDP-MRVPVSSYSA-N 0 3 247.264 2.716 20 0 BFADHN C[C@@H](NC[C@@H]1CC1(Cl)Cl)c1ccccn1 ZINC000309022277 631802782 /nfs/dbraw/zinc/80/27/82/631802782.db2.gz XWHIQZHFRHQVFU-BDAKNGLRSA-N 0 3 245.153 2.926 20 0 BFADHN CCCC[C@H](CC)CN1CC[C@H](O)[C@@H](F)C1 ZINC000934565500 631931052 /nfs/dbraw/zinc/93/10/52/631931052.db2.gz COLZYIWAGRLMMJ-AVGNSLFASA-N 0 3 231.355 2.608 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@H]2C(C)C)cs1 ZINC000308858211 631965246 /nfs/dbraw/zinc/96/52/46/631965246.db2.gz QPRZRIFSJOQZNF-NWDGAFQWSA-N 0 3 224.373 2.976 20 0 BFADHN Cc1ccc(F)cc1-c1noc([C@H]2CCCN2)n1 ZINC000052137933 632083601 /nfs/dbraw/zinc/08/36/01/632083601.db2.gz SZWUUJSVCVDRSW-LLVKDONJSA-N 0 3 247.273 2.609 20 0 BFADHN CCc1nnc(CN[C@@H]2C[C@H]3C=C[C@@H]2CC3)s1 ZINC000926462173 632087256 /nfs/dbraw/zinc/08/72/56/632087256.db2.gz WEMOATOAJWIULJ-HBNTYKKESA-N 0 3 249.383 2.545 20 0 BFADHN CSCC[C@@H](C)N[C@@H](C)c1nccs1 ZINC000091726636 632410328 /nfs/dbraw/zinc/41/03/28/632410328.db2.gz RENSSMPYRIGZJU-BDAKNGLRSA-N 0 3 230.402 2.935 20 0 BFADHN Cc1nn(C)c(CN[C@@H]2CC[C@H](C)C2)c1Cl ZINC000128957002 632416958 /nfs/dbraw/zinc/41/69/58/632416958.db2.gz UECSWEGKUIAKMF-WCBMZHEXSA-N 0 3 241.766 2.660 20 0 BFADHN CCc1nc(CN[C@H]2C[C@H]3C=C[C@@H]2CC3)co1 ZINC000926536047 632455900 /nfs/dbraw/zinc/45/59/00/632455900.db2.gz IRXJFIXBIQOCPO-LOWVWBTDSA-N 0 3 232.327 2.681 20 0 BFADHN CO[C@@H]([C@H](C)N[C@@H](C)c1ccns1)C1CC1 ZINC000926539892 632462484 /nfs/dbraw/zinc/46/24/84/632462484.db2.gz LENWXUOEOLNMBJ-AUTRQRHGSA-N 0 3 240.372 2.607 20 0 BFADHN C[C@H](CC1CCC1)NCc1ncc(Cl)n1C ZINC000309565039 632535100 /nfs/dbraw/zinc/53/51/00/632535100.db2.gz MAHQYNOKDOUGNN-SECBINFHSA-N 0 3 241.766 2.742 20 0 BFADHN CO[C@]1(C)C[C@@H](N(C)C/C=C\Cl)C1(C)C ZINC000255632664 632669659 /nfs/dbraw/zinc/66/96/59/632669659.db2.gz CFNULHSKSDBUDW-PCQKXGFJSA-N 0 3 231.767 2.874 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000924525769 632671326 /nfs/dbraw/zinc/67/13/26/632671326.db2.gz GPIUORQPVPAMGD-QFOLPQNPSA-N 0 3 237.347 2.853 20 0 BFADHN CCCCCCN[C@@H](C)c1cc(C)n(C)n1 ZINC000309999466 632689682 /nfs/dbraw/zinc/68/96/82/632689682.db2.gz DGPRMBMDGWKIGO-LBPRGKRZSA-N 0 3 223.364 2.959 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CCCC[C@H]1C ZINC000924527657 632690884 /nfs/dbraw/zinc/69/08/84/632690884.db2.gz VASVHUSLSYQKMT-WCABBAIRSA-N 0 3 223.320 2.607 20 0 BFADHN CC[C@H](C)[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000924537782 632775332 /nfs/dbraw/zinc/77/53/32/632775332.db2.gz NRHMKWWDPJXCGY-DTWKUNHWSA-N 0 3 211.280 2.980 20 0 BFADHN CCCC[C@@H](CC)CN[C@@H](C)c1cnn(C)n1 ZINC000924537857 632776207 /nfs/dbraw/zinc/77/62/07/632776207.db2.gz PGUYXQIHFRHLIC-NWDGAFQWSA-N 0 3 238.379 2.682 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCO[C@@H]2C2CC2)c1 ZINC000375895622 632786467 /nfs/dbraw/zinc/78/64/67/632786467.db2.gz ONVYVPYNAVVUCS-NJZAAPMLSA-N 0 3 246.354 2.608 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@H]1C[C@@H](C)n2ncnc21 ZINC000926684535 632819035 /nfs/dbraw/zinc/81/90/35/632819035.db2.gz CRKMDQWHYSJVNB-VWYCJHECSA-N 0 3 236.363 2.698 20 0 BFADHN Cc1ccccc1[C@@H](O)CN[C@H](C)c1ccoc1 ZINC000178126094 632948671 /nfs/dbraw/zinc/94/86/71/632948671.db2.gz QPMKZRJHQCJUON-DOMZBBRYSA-N 0 3 245.322 2.972 20 0 BFADHN Cc1ccccc1[C@H](O)CN[C@@H](C)c1ccoc1 ZINC000178126055 632949076 /nfs/dbraw/zinc/94/90/76/632949076.db2.gz QPMKZRJHQCJUON-SWLSCSKDSA-N 0 3 245.322 2.972 20 0 BFADHN Cc1ccccc1[C@H](O)CN[C@H](C)c1ccoc1 ZINC000178126079 632949279 /nfs/dbraw/zinc/94/92/79/632949279.db2.gz QPMKZRJHQCJUON-IUODEOHRSA-N 0 3 245.322 2.972 20 0 BFADHN CCO[C@@H]1C[C@@H](N(C)C/C=C\Cl)C1(C)C ZINC000255895084 632987394 /nfs/dbraw/zinc/98/73/94/632987394.db2.gz TULJKHBKGBDRFJ-GYHQZXBOSA-N 0 3 231.767 2.874 20 0 BFADHN C/C=C/CN[C@H](C)c1ccnn1C1CCC1 ZINC000926770601 633015958 /nfs/dbraw/zinc/01/59/58/633015958.db2.gz SAUATUFTJQMCLD-PGLGOXFNSA-N 0 3 219.332 2.835 20 0 BFADHN C/C=C\CN[C@H](C)c1ccnn1CC1CCC1 ZINC000926770951 633017926 /nfs/dbraw/zinc/01/79/26/633017926.db2.gz WWSGSCCUWMOAFX-VSQXVHSFSA-N 0 3 233.359 2.910 20 0 BFADHN Cc1cc(N2CCC[C@H]2C2CCCC2)nc(N)n1 ZINC000048626106 635879103 /nfs/dbraw/zinc/87/91/03/635879103.db2.gz RNHFDGSRWGWQHZ-LBPRGKRZSA-N 0 3 246.358 2.526 20 0 BFADHN C[C@H](N[C@@H](C)C(C)(C)O)c1cccc(F)c1F ZINC000393971705 633044016 /nfs/dbraw/zinc/04/40/16/633044016.db2.gz IPJOGBQKRMWKIG-IUCAKERBSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H](NCC(C)(C)F)C1(Br)CC1 ZINC000926778155 633046690 /nfs/dbraw/zinc/04/66/90/633046690.db2.gz CEQKIBCSIHDXDH-SSDOTTSWSA-N 0 3 238.144 2.640 20 0 BFADHN OCC[C@H]1CCCN1c1ccnc2ccccc21 ZINC000305725554 635954924 /nfs/dbraw/zinc/95/49/24/635954924.db2.gz NUEAJAGLKGHVTA-GFCCVEGCSA-N 0 3 242.322 2.586 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CC[C@@H]2C)s1 ZINC000307000289 635978936 /nfs/dbraw/zinc/97/89/36/635978936.db2.gz DJZNOFFXFLZLEF-UJNFCWOMSA-N 0 3 210.346 2.901 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@H](N)CC1CC1 ZINC000310164067 636005260 /nfs/dbraw/zinc/00/52/60/636005260.db2.gz MKRZQHQWOKFUBS-CHWSQXEVSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1cc(CN[C@H]2CCc3cccc(C)c32)[nH]n1 ZINC000925102347 636016103 /nfs/dbraw/zinc/01/61/03/636016103.db2.gz JHXVKOLEVLXUOT-AWEZNQCLSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1cc(CN[C@H]2CCc3cccc(C)c32)n[nH]1 ZINC000925102347 636016107 /nfs/dbraw/zinc/01/61/07/636016107.db2.gz JHXVKOLEVLXUOT-AWEZNQCLSA-N 0 3 241.338 2.804 20 0 BFADHN CC(=O)CCN(Cc1ccccc1)C1CC1 ZINC000125007144 636024779 /nfs/dbraw/zinc/02/47/79/636024779.db2.gz LKFDGXDXGMMYQB-UHFFFAOYSA-N 0 3 217.312 2.630 20 0 BFADHN COc1ccc(CNCCSC(C)(C)C)o1 ZINC000892925363 633729091 /nfs/dbraw/zinc/72/90/91/633729091.db2.gz CKXXSBSTSFAGKR-UHFFFAOYSA-N 0 3 243.372 2.910 20 0 BFADHN Cc1cc(CN[C@]2(C)CCO[C@@H]2C)c(C)o1 ZINC000309791635 633745893 /nfs/dbraw/zinc/74/58/93/633745893.db2.gz PINCSGIKPOLUAU-DGCLKSJQSA-N 0 3 223.316 2.554 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1nc2c(s1)CCCC2 ZINC000309805227 633759654 /nfs/dbraw/zinc/75/96/54/633759654.db2.gz RZNDJWUVMSPVDL-VHSXEESVSA-N 0 3 236.384 2.768 20 0 BFADHN C[C@@H]1CC[C@@]2(CCN(c3ccncc3CO)C2)C1 ZINC000893122218 633843227 /nfs/dbraw/zinc/84/32/27/633843227.db2.gz VWWXGTFEAXRHCI-IUODEOHRSA-N 0 3 246.354 2.590 20 0 BFADHN COc1cc([C@H](C)NCCC2CCC2)on1 ZINC000925515984 633867525 /nfs/dbraw/zinc/86/75/25/633867525.db2.gz KDTBEHCTABBSFM-VIFPVBQESA-N 0 3 224.304 2.524 20 0 BFADHN CC[C@@H](COC)NCc1c(C)cccc1F ZINC000309566617 633955800 /nfs/dbraw/zinc/95/58/00/633955800.db2.gz MIPSUPRJKZFHMM-NSHDSACASA-N 0 3 225.307 2.649 20 0 BFADHN COc1ccc(CNCCCc2ccco2)o1 ZINC000895453786 636145913 /nfs/dbraw/zinc/14/59/13/636145913.db2.gz ROFFGCKQYYDLSI-UHFFFAOYSA-N 0 3 235.283 2.604 20 0 BFADHN CCOc1ccc(CN[C@]2(C)CC2(C)C)o1 ZINC000895442362 636144828 /nfs/dbraw/zinc/14/48/28/636144828.db2.gz NPFRJCWJIDPSJZ-CYBMUJFWSA-N 0 3 223.316 2.957 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2ccc(F)nc2)C1 ZINC000189371476 634180645 /nfs/dbraw/zinc/18/06/45/634180645.db2.gz KDJWAGUUWHSEGQ-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H]1C[C@H]1CN1CCC[C@@H]1c1ncccn1 ZINC000931054241 634203308 /nfs/dbraw/zinc/20/33/08/634203308.db2.gz BPIWCUWQCNTUCB-FRRDWIJNSA-N 0 3 231.343 2.660 20 0 BFADHN C[C@@H](N)c1cn(C[C@H](C)C2CCCCC2)nn1 ZINC000310131221 635172674 /nfs/dbraw/zinc/17/26/74/635172674.db2.gz ACKYUKQBSZKCFA-WDEREUQCSA-N 0 3 236.363 2.514 20 0 BFADHN Cc1cc(CN2CCCOC[C@@H]2C)cc(C)c1O ZINC000398903839 635192994 /nfs/dbraw/zinc/19/29/94/635192994.db2.gz FGLSIQYLOXMWKI-ZDUSSCGKSA-N 0 3 249.354 2.620 20 0 BFADHN C[C@H](NCc1cc(N)ccn1)c1ccsc1 ZINC000925770403 635201519 /nfs/dbraw/zinc/20/15/19/635201519.db2.gz HNNHYUPNAJCXHT-VIFPVBQESA-N 0 3 233.340 2.576 20 0 BFADHN CC1(C)CC([NH2+]Cc2ccc([O-])c(F)c2)C1 ZINC000336745042 635254651 /nfs/dbraw/zinc/25/46/51/635254651.db2.gz QMACPMJMWBFJFQ-UHFFFAOYSA-N 0 3 223.291 2.810 20 0 BFADHN Clc1cccc2c1CC[C@@H]2NCc1cnc[nH]1 ZINC000894203779 635749510 /nfs/dbraw/zinc/74/95/10/635749510.db2.gz FPFKFZKGIJBUGX-ZDUSSCGKSA-N 0 3 247.729 2.840 20 0 BFADHN CCCc1ccc(NC(=O)[C@@H](N)CC2CC2)cc1 ZINC000310758869 636008088 /nfs/dbraw/zinc/00/80/88/636008088.db2.gz OQVNAUYDQKQIDI-AWEZNQCLSA-N 0 3 246.354 2.705 20 0 BFADHN COc1ccncc1CN1CCCC12CCC2 ZINC000335963322 636199830 /nfs/dbraw/zinc/19/98/30/636199830.db2.gz LKDCSTHYBBESLE-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN CC[C@H](N[C@@H](C)c1ncco1)C1CCOCC1 ZINC000925199737 636379125 /nfs/dbraw/zinc/37/91/25/636379125.db2.gz VRUFKKVNHOLQEZ-JQWIXIFHSA-N 0 3 238.331 2.530 20 0 BFADHN C[C@@H](N[C@H]1CCCc2[nH]ccc21)c1ccnnc1 ZINC000925223770 636415907 /nfs/dbraw/zinc/41/59/07/636415907.db2.gz CNISAHXLRILKCG-YGRLFVJLSA-N 0 3 242.326 2.533 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H](C)c1cncs1 ZINC000897932804 636847239 /nfs/dbraw/zinc/84/72/39/636847239.db2.gz QBXYWABBJXZQIH-NXEZZACHSA-N 0 3 228.361 2.607 20 0 BFADHN CCn1cnc(CN[C@@H]2C[C@@H]3CCCC[C@H]23)c1 ZINC000902645057 637318902 /nfs/dbraw/zinc/31/89/02/637318902.db2.gz RNILQLAISWYKTD-FPMFFAJLSA-N 0 3 233.359 2.571 20 0 BFADHN CCn1cnc(CNCC(C)(C)CC(F)F)c1 ZINC000902645834 637319200 /nfs/dbraw/zinc/31/92/00/637319200.db2.gz ZRMCZZYMYLSISL-UHFFFAOYSA-N 0 3 245.317 2.674 20 0 BFADHN C[C@@H](NCCOC1CC1)c1cc2cnccc2o1 ZINC000903170177 637353862 /nfs/dbraw/zinc/35/38/62/637353862.db2.gz XOKNDQMYAJACJV-SNVBAGLBSA-N 0 3 246.310 2.657 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2COC[C@H]2C2CC2)o1 ZINC000903437694 637361722 /nfs/dbraw/zinc/36/17/22/637361722.db2.gz PXJHZWCEJUBHLJ-BPNCWPANSA-N 0 3 249.354 2.918 20 0 BFADHN O[C@@H](CNC/C=C/Cl)c1ccc(Cl)cc1 ZINC000905709001 637891867 /nfs/dbraw/zinc/89/18/67/637891867.db2.gz UQDJOHHXPOTKOW-VJLMDBHNSA-N 0 3 246.137 2.716 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1cn(C2CC2)nn1 ZINC000906296338 638136976 /nfs/dbraw/zinc/13/69/76/638136976.db2.gz LBRCAHXBZJACTK-BXUZGUMPSA-N 0 3 248.374 2.671 20 0 BFADHN C[C@H]1CCCC[C@]1(C)NCc1cn(C2CC2)nn1 ZINC000906308629 638138486 /nfs/dbraw/zinc/13/84/86/638138486.db2.gz XVFGXJZXBPRWIC-FZMZJTMJSA-N 0 3 248.374 2.671 20 0 BFADHN C[C@H]1CCCC[C@@]1(C)NCc1cn(C2CC2)nn1 ZINC000906308631 638139216 /nfs/dbraw/zinc/13/92/16/638139216.db2.gz XVFGXJZXBPRWIC-SMDDNHRTSA-N 0 3 248.374 2.671 20 0 BFADHN CCn1nc(C)c([C@H](C)NC[C@H]2CC=CCC2)n1 ZINC000924807446 638205077 /nfs/dbraw/zinc/20/50/77/638205077.db2.gz VKFSWWUKRRVJQL-AAEUAGOBSA-N 0 3 248.374 2.613 20 0 BFADHN CCn1nc(C)c([C@@H](C)NC[C@@H]2CC=CCC2)n1 ZINC000924807450 638206400 /nfs/dbraw/zinc/20/64/00/638206400.db2.gz VKFSWWUKRRVJQL-DGCLKSJQSA-N 0 3 248.374 2.613 20 0 BFADHN C[C@@H]1COCCN([C@H]2C[C@@H]2c2cccc(F)c2)C1 ZINC000906586699 638212810 /nfs/dbraw/zinc/21/28/10/638212810.db2.gz IXLPGDVUYOQCCI-GLQYFDAESA-N 0 3 249.329 2.650 20 0 BFADHN CN(Cc1cnc[nH]1)[C@@H]1C[C@H]1c1cccc(F)c1 ZINC000906590062 638212897 /nfs/dbraw/zinc/21/28/97/638212897.db2.gz MYFQCEOHELIKJI-UONOGXRCSA-N 0 3 245.301 2.537 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1ncccn1 ZINC000930229089 639642875 /nfs/dbraw/zinc/64/28/75/639642875.db2.gz YOZFAVGSUHZWKO-DCAQKATOSA-N 0 3 207.321 2.562 20 0 BFADHN C[C@H]1CCOCCN1Cc1ccccc1CF ZINC000930236111 639647221 /nfs/dbraw/zinc/64/72/21/639647221.db2.gz VACARSZAHTVCIC-LBPRGKRZSA-N 0 3 237.318 2.767 20 0 BFADHN C[C@H]1CN(CCCC(C)(F)F)C[C@@H](C2CC2)O1 ZINC000930268470 639673797 /nfs/dbraw/zinc/67/37/97/639673797.db2.gz BKLHPKGQRPZTLR-JQWIXIFHSA-N 0 3 247.329 2.921 20 0 BFADHN Cc1nc(C)c(CN2CCC(C)=C(C)C2)[nH]1 ZINC000930298341 639686488 /nfs/dbraw/zinc/68/64/88/639686488.db2.gz KTCYWKLJXRASJW-UHFFFAOYSA-N 0 3 219.332 2.569 20 0 BFADHN Cc1cn(C)nc1CN1CCC[C@@H](C(C)(C)C)C1 ZINC000930296524 639687656 /nfs/dbraw/zinc/68/76/56/639687656.db2.gz PUCHKPKRJFLVRO-CYBMUJFWSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1cc(C)nc(CN2CCC=C(C)C2)c1 ZINC000930306941 639692334 /nfs/dbraw/zinc/69/23/34/639692334.db2.gz RPNZBXAWUNWVKM-UHFFFAOYSA-N 0 3 216.328 2.850 20 0 BFADHN CC1=CCCN(CCSc2ccncc2)C1 ZINC000930305056 639692570 /nfs/dbraw/zinc/69/25/70/639692570.db2.gz GWPCQKPJVCZSSD-UHFFFAOYSA-N 0 3 234.368 2.826 20 0 BFADHN CC[C@@H]1C[C@H]1CN(CCOC)Cc1ccccn1 ZINC000930485700 639775889 /nfs/dbraw/zinc/77/58/89/639775889.db2.gz WGYCYUJOJGMACT-KGLIPLIRSA-N 0 3 248.370 2.576 20 0 BFADHN COC/C(C)=C/CN1CCC(F)(F)[C@@H](C)C1 ZINC000930511665 639792010 /nfs/dbraw/zinc/79/20/10/639792010.db2.gz GRASNQUHPFLTSR-INJWIWPUSA-N 0 3 233.302 2.556 20 0 BFADHN COCC(C)(C)N(C)Cc1cc(C)cc(C)n1 ZINC000930555018 639810409 /nfs/dbraw/zinc/81/04/09/639810409.db2.gz ROJHYKNSDAOKAH-UHFFFAOYSA-N 0 3 236.359 2.555 20 0 BFADHN C[C@@H](N[C@H]1CC2CCC1CC2)c1ncccn1 ZINC000930565751 639811975 /nfs/dbraw/zinc/81/19/75/639811975.db2.gz BDMOWLKXPRZXCD-XVSSEFHLSA-N 0 3 231.343 2.706 20 0 BFADHN Cc1cc(C)nc(CN2C[C@H](C)[C@@H]2C)c1 ZINC000930583175 639814233 /nfs/dbraw/zinc/81/42/33/639814233.db2.gz VMNFIBLJQWBTSV-JQWIXIFHSA-N 0 3 204.317 2.539 20 0 BFADHN CN(CCc1cccc(F)c1)Cc1ccccn1 ZINC000930614747 639822362 /nfs/dbraw/zinc/82/23/62/639822362.db2.gz HJFHSMXDQKHKKW-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN CCC[C@H](NCc1nncs1)C(C)(C)C ZINC000930622271 639827001 /nfs/dbraw/zinc/82/70/01/639827001.db2.gz BOOPHZREQZFVRN-VIFPVBQESA-N 0 3 227.377 2.843 20 0 BFADHN CC(=O)C1CCN(CCc2cccc(F)c2)CC1 ZINC000930627677 639830978 /nfs/dbraw/zinc/83/09/78/639830978.db2.gz UZSVMKJWIVCUPA-UHFFFAOYSA-N 0 3 249.329 2.669 20 0 BFADHN Cc1nnsc1[C@@H](C)N[C@@H]1CCC1(C)C ZINC000930631564 639832717 /nfs/dbraw/zinc/83/27/17/639832717.db2.gz RCHBQWFGJAISKP-VXNVDRBHSA-N 0 3 225.361 2.686 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1cc(C)cc(C)n1 ZINC000930665045 639852109 /nfs/dbraw/zinc/85/21/09/639852109.db2.gz DDFFJFSJJWKBQX-HUUCEWRRSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1cc(CN(C)C2CC(C)(C)C2)c(C)nn1 ZINC000930700492 639867820 /nfs/dbraw/zinc/86/78/20/639867820.db2.gz GTGJMADGALVDBT-UHFFFAOYSA-N 0 3 233.359 2.714 20 0 BFADHN CCC[C@H](NCc1nncs1)C1CCC1 ZINC000930702320 639869453 /nfs/dbraw/zinc/86/94/53/639869453.db2.gz CRSKJWLEHGSBEZ-JTQLQIEISA-N 0 3 225.361 2.597 20 0 BFADHN Fc1cccc(CCN2C[C@@H]3C[C@H]2CS3)c1 ZINC000930705282 639870977 /nfs/dbraw/zinc/87/09/77/639870977.db2.gz HRGZRWRBZYLSRK-STQMWFEESA-N 0 3 237.343 2.558 20 0 BFADHN Fc1cncc(F)c1CN[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000930704417 639871040 /nfs/dbraw/zinc/87/10/40/639871040.db2.gz NEKXRFYWJINGDD-VYUIOLGVSA-N 0 3 238.281 2.638 20 0 BFADHN C[C@H](c1ncccn1)N1C[C@@H](C)[C@H](C)[C@H]1C ZINC000930741995 639889962 /nfs/dbraw/zinc/88/99/62/639889962.db2.gz ACXXPPSZHHTXAR-WRWGMCAJSA-N 0 3 219.332 2.514 20 0 BFADHN C[C@H](c1ncccn1)N1C[C@@H](C)[C@@H](C)[C@H]1C ZINC000930741992 639889996 /nfs/dbraw/zinc/88/99/96/639889996.db2.gz ACXXPPSZHHTXAR-DDHJBXDOSA-N 0 3 219.332 2.514 20 0 BFADHN Fc1ccccc1C1(NCCOC2CC2)CCC1 ZINC000930819699 639922102 /nfs/dbraw/zinc/92/21/02/639922102.db2.gz ZMWHPXABKAOOTA-UHFFFAOYSA-N 0 3 249.329 2.974 20 0 BFADHN Cc1nc(C)c(CN2CCC23CCCC3)[nH]1 ZINC000930846392 639943498 /nfs/dbraw/zinc/94/34/98/639943498.db2.gz SVABHUFNUYMHLS-UHFFFAOYSA-N 0 3 219.332 2.545 20 0 BFADHN Cc1cc(CN2CCC23CCCC3)c(C)nn1 ZINC000930846426 639943779 /nfs/dbraw/zinc/94/37/79/639943779.db2.gz TZIHZYUSDHTEIE-UHFFFAOYSA-N 0 3 231.343 2.612 20 0 BFADHN CCN(Cc1cc(C)cc(C)n1)[C@@H]1CCCOC1 ZINC000930853660 639949752 /nfs/dbraw/zinc/94/97/52/639949752.db2.gz WRFUVODOGKDGDP-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CO[C@@H]1CCCC[C@H]1NCc1cc(C)cc(C)n1 ZINC000930853344 639949888 /nfs/dbraw/zinc/94/98/88/639949888.db2.gz SPYVFDCBMMBHTC-HUUCEWRRSA-N 0 3 248.370 2.746 20 0 BFADHN CC[C@@H](NCc1c(F)cncc1F)C1CC1 ZINC000931020496 640024465 /nfs/dbraw/zinc/02/44/65/640024465.db2.gz AKWKPXXSCMIPEL-GFCCVEGCSA-N 0 3 226.270 2.638 20 0 BFADHN C[C@H]1CN(CCC2CCC2)Cc2c[nH]nc21 ZINC000931465546 640223052 /nfs/dbraw/zinc/22/30/52/640223052.db2.gz HQWBGZCHGIACBA-JTQLQIEISA-N 0 3 219.332 2.519 20 0 BFADHN Cc1cn(C)nc1CN(C)C[C@@H](C)C(C)(C)C ZINC000931617211 640286121 /nfs/dbraw/zinc/28/61/21/640286121.db2.gz YMNSPVNJZCMBGI-GFCCVEGCSA-N 0 3 237.391 2.843 20 0 BFADHN Cc1cc(C)nc(CN2CCC[C@@H](CF)C2)c1 ZINC000931682171 640313090 /nfs/dbraw/zinc/31/30/90/640313090.db2.gz IIMWGVYMBCYBEJ-ZDUSSCGKSA-N 0 3 236.334 2.880 20 0 BFADHN CCc1onc(C)c1CN1CCC[C@H](CF)C1 ZINC000931683630 640314690 /nfs/dbraw/zinc/31/46/90/640314690.db2.gz XIJMFDZFXRDSEO-LLVKDONJSA-N 0 3 240.322 2.727 20 0 BFADHN Fc1cncc(F)c1CNC1(C2CC2)CCC1 ZINC000931764154 640356595 /nfs/dbraw/zinc/35/65/95/640356595.db2.gz NEBISBGBOLKHKN-UHFFFAOYSA-N 0 3 238.281 2.782 20 0 BFADHN Cc1cc(NC[C@H]2CCC[C@H](C)C2)nc(N)n1 ZINC000054982358 640425244 /nfs/dbraw/zinc/42/52/44/640425244.db2.gz DWHXVJFTKUGXIW-ONGXEEELSA-N 0 3 234.347 2.605 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cc(C)oc2C)C1 ZINC000156523461 640465382 /nfs/dbraw/zinc/46/53/82/640465382.db2.gz AXKBBXWHGYIUMX-CQSZACIVSA-N 0 3 237.343 2.897 20 0 BFADHN CC(=O)CN1CCC[C@@H]1[C@@H](C)c1ccccc1 ZINC000932275885 640469728 /nfs/dbraw/zinc/46/97/28/640469728.db2.gz QTUARSJVRVUJNF-DZGCQCFKSA-N 0 3 231.339 2.844 20 0 BFADHN CCCCCN[C@@H](c1ncccn1)C1CC1 ZINC000932352033 640494221 /nfs/dbraw/zinc/49/42/21/640494221.db2.gz DDSNGKULHFHXAL-GFCCVEGCSA-N 0 3 219.332 2.708 20 0 BFADHN c1cnc([C@@H](NC[C@@H]2C[C@H]3C[C@H]3C2)C2CC2)nc1 ZINC000932354683 640497285 /nfs/dbraw/zinc/49/72/85/640497285.db2.gz XAVNUJVASAFQGE-GHYVTOPFSA-N 0 3 243.354 2.563 20 0 BFADHN CC(C)OCCN[C@@H](c1ccccn1)C1CC1 ZINC000932374675 640503239 /nfs/dbraw/zinc/50/32/39/640503239.db2.gz CVRUCIRTNGUSOH-CQSZACIVSA-N 0 3 234.343 2.547 20 0 BFADHN COC/C(C)=C/CN[C@H](c1ccccn1)C1CC1 ZINC000932387108 640515186 /nfs/dbraw/zinc/51/51/86/640515186.db2.gz WVOFKYBENBJZBX-JQVXPOPVSA-N 0 3 246.354 2.715 20 0 BFADHN CC(=O)CCN(Cc1ccccn1)CC(C)C ZINC000932443969 640529326 /nfs/dbraw/zinc/52/93/26/640529326.db2.gz VVIGRSYSATYCQM-UHFFFAOYSA-N 0 3 234.343 2.519 20 0 BFADHN CC(C)CN(CCOC1CC1)Cc1ccccn1 ZINC000932445961 640530726 /nfs/dbraw/zinc/53/07/26/640530726.db2.gz DQTVULJTXUFJPS-UHFFFAOYSA-N 0 3 248.370 2.719 20 0 BFADHN CC[C@@H](NCCOC)c1cc(F)ccc1OC ZINC000932468487 640540811 /nfs/dbraw/zinc/54/08/11/640540811.db2.gz JMEAOABFDQWUPV-GFCCVEGCSA-N 0 3 241.306 2.521 20 0 BFADHN CC(C)C[C@H](C)N1CCn2cccc2C1 ZINC000932525240 640563654 /nfs/dbraw/zinc/56/36/54/640563654.db2.gz VLQCKQHNSKLXRO-LBPRGKRZSA-N 0 3 206.333 2.738 20 0 BFADHN FC1(C2CC2)CCN(Cc2ccccn2)CC1 ZINC000932631264 640589803 /nfs/dbraw/zinc/58/98/03/640589803.db2.gz ZZQOEHASOFROGG-UHFFFAOYSA-N 0 3 234.318 2.796 20 0 BFADHN CCO[C@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC000932978352 640660827 /nfs/dbraw/zinc/66/08/27/640660827.db2.gz WQINHXKXHWKWPK-NEPJUHHUSA-N 0 3 237.318 2.998 20 0 BFADHN CCOc1ccccc1CN1CC[C@H](OCC)C1 ZINC000932978825 640661048 /nfs/dbraw/zinc/66/10/48/640661048.db2.gz INOVTLBFZYDQIF-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CCO[C@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC000932977629 640661360 /nfs/dbraw/zinc/66/13/60/640661360.db2.gz NJIZAFIAYKBWJS-RISCZKNCSA-N 0 3 237.318 2.998 20 0 BFADHN CCO[C@H]1CCN(Cc2cc(C)ccc2OC)C1 ZINC000932980384 640661952 /nfs/dbraw/zinc/66/19/52/640661952.db2.gz WREYIJAXMZEPEK-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN C1=C[C@H](N2CCOC[C@H]2CC2CC2)CCC1 ZINC000933210053 640680850 /nfs/dbraw/zinc/68/08/50/640680850.db2.gz LFORGHBMGGAOLK-UONOGXRCSA-N 0 3 221.344 2.596 20 0 BFADHN CC(C)OCCN[C@@]1(C)CCOc2ccccc21 ZINC000933365275 640709201 /nfs/dbraw/zinc/70/92/01/640709201.db2.gz VIHCIMFVXQKTQL-HNNXBMFYSA-N 0 3 249.354 2.699 20 0 BFADHN C[C@@H](c1ccccc1)N(C)Cc1cccc(=O)[nH]1 ZINC000933395600 640714257 /nfs/dbraw/zinc/71/42/57/640714257.db2.gz JXFIKLIIHMIUBB-LBPRGKRZSA-N 0 3 242.322 2.980 20 0 BFADHN CCN(CC)CC(=O)NC1CCCCCCC1 ZINC000046438256 640765774 /nfs/dbraw/zinc/76/57/74/640765774.db2.gz OTRJWNZMTGPPQR-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN CC(=O)CCN(C)Cc1c(C)cccc1C ZINC000933762706 640765846 /nfs/dbraw/zinc/76/58/46/640765846.db2.gz GBIZQVLXWBSDEP-UHFFFAOYSA-N 0 3 219.328 2.714 20 0 BFADHN C[C@@H](O)[C@H](C)N[C@@H](C)c1ccccc1Cl ZINC000087128914 640851493 /nfs/dbraw/zinc/85/14/93/640851493.db2.gz TZFFNJJMAMXJGO-LPEHRKFASA-N 0 3 227.735 2.760 20 0 BFADHN CSCC[C@@H](C)NCc1cn2ccccc2n1 ZINC000229308878 640946952 /nfs/dbraw/zinc/94/69/52/640946952.db2.gz QGFHIJBKFKJXPB-LLVKDONJSA-N 0 3 249.383 2.566 20 0 BFADHN CO[C@H]1CC[C@@H]1N(C)Cc1c(C)cc(C)nc1C ZINC000934104645 641068942 /nfs/dbraw/zinc/06/89/42/641068942.db2.gz KKUDFDAXZYMMQH-GJZGRUSLSA-N 0 3 248.370 2.616 20 0 BFADHN Brc1ccoc1CNCCC1CC1 ZINC000230704007 641085506 /nfs/dbraw/zinc/08/55/06/641085506.db2.gz CIAZVJAGTSYTEK-UHFFFAOYSA-N 0 3 244.132 2.932 20 0 BFADHN CO[C@@H]1CC[C@@H]1N(C)Cc1ccc(F)c(F)c1 ZINC000934129981 641088318 /nfs/dbraw/zinc/08/83/18/641088318.db2.gz JSFXRMNCNBLYBM-QWHCGFSZSA-N 0 3 241.281 2.574 20 0 BFADHN Cc1ccccc1OCCN1CC=CCC1 ZINC000039109865 641114876 /nfs/dbraw/zinc/11/48/76/641114876.db2.gz IIQMVHAPSBCTFQ-UHFFFAOYSA-N 0 3 217.312 2.636 20 0 BFADHN CC[C@H](C)N(CC)Cc1cnc(Cl)cn1 ZINC000231276399 641151717 /nfs/dbraw/zinc/15/17/17/641151717.db2.gz FJNNPEWGGHVJMM-VIFPVBQESA-N 0 3 227.739 2.750 20 0 BFADHN Cc1cccc([C@@H](C)N2CCC3(C2)OCCO3)c1 ZINC000173326977 641169272 /nfs/dbraw/zinc/16/92/72/641169272.db2.gz HHWCEHQJUVZWOD-CYBMUJFWSA-N 0 3 247.338 2.505 20 0 BFADHN CCO[C@H]1CCN(Cc2cc(C)ccc2F)C1 ZINC000932974199 641171029 /nfs/dbraw/zinc/17/10/29/641171029.db2.gz OPSLKRGPXDFQPZ-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN C[C@H](CN1CC[C@@H](O)[C@H](F)C1)C1CCCCC1 ZINC000934565823 641197825 /nfs/dbraw/zinc/19/78/25/641197825.db2.gz NNXBOARPKSQRML-MRVWCRGKSA-N 0 3 243.366 2.608 20 0 BFADHN CCc1onc(C)c1CNC(C)(C)CSC ZINC000934664051 641237732 /nfs/dbraw/zinc/23/77/32/641237732.db2.gz QBNLHRNARVVBFD-UHFFFAOYSA-N 0 3 242.388 2.777 20 0 BFADHN CCn1cc(CNC2(C3CCCCC3)CC2)nn1 ZINC000934789547 641272258 /nfs/dbraw/zinc/27/22/58/641272258.db2.gz YTDROZAKFPIIQZ-UHFFFAOYSA-N 0 3 248.374 2.501 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1ccon1 ZINC000088052784 641280485 /nfs/dbraw/zinc/28/04/85/641280485.db2.gz QVOXCXQOGVSINI-WDEREUQCSA-N 0 3 210.321 2.979 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2ccc(F)nc2)C1 ZINC000189279979 641800117 /nfs/dbraw/zinc/80/01/17/641800117.db2.gz PJXLLPULBYAVTD-QWRGUYRKSA-N 0 3 222.307 2.699 20 0 BFADHN CC(C)CCN(C)Cc1ccc(F)nc1 ZINC000189295854 641800365 /nfs/dbraw/zinc/80/03/65/641800365.db2.gz OQARNGDXCJRNGL-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN CSCC[C@H](C)N(C)Cc1ccc(F)nc1 ZINC000189377069 641805486 /nfs/dbraw/zinc/80/54/86/641805486.db2.gz KEROYCZYZNIWDP-JTQLQIEISA-N 0 3 242.363 2.794 20 0 BFADHN CC[C@H](C)C[C@@H](C)N[C@H](C)c1cc(CO)on1 ZINC000925471707 642386751 /nfs/dbraw/zinc/38/67/51/642386751.db2.gz AJMZLFSEOCUWPG-HBNTYKKESA-N 0 3 240.347 2.642 20 0 BFADHN C[C@H]([NH2+][C@H]1CCSC1)c1cc([O-])cc(F)c1 ZINC000925480641 642518030 /nfs/dbraw/zinc/51/80/30/642518030.db2.gz MVCRICDCPGTRJV-KWQFWETISA-N 0 3 241.331 2.687 20 0 BFADHN C[C@@H](NCc1cnn(CCF)c1)c1ccccc1 ZINC000921477039 642635342 /nfs/dbraw/zinc/63/53/42/642635342.db2.gz VRCMSEQAJTVUNZ-GFCCVEGCSA-N 0 3 247.317 2.703 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](O)CC(C)C)o1 ZINC000305111799 642642865 /nfs/dbraw/zinc/64/28/65/642642865.db2.gz GGLKYSYEZCNDQI-NEPJUHHUSA-N 0 3 239.359 2.900 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1cnc(Cl)s1 ZINC000306199641 642731743 /nfs/dbraw/zinc/73/17/43/642731743.db2.gz RPXYQHIBYKRCSJ-HTRCEHHLSA-N 0 3 248.804 2.638 20 0 BFADHN CCCCC[C@@H](C)NC(=O)CN(C)CC(C)C ZINC000068334867 642762684 /nfs/dbraw/zinc/76/26/84/642762684.db2.gz CIDQKOYHRMZBNJ-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN CC(C)COC(=O)CN(C(C)C)C1CCCC1 ZINC000181483600 642877983 /nfs/dbraw/zinc/87/79/83/642877983.db2.gz WMXIGTMCNFGDIR-UHFFFAOYSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H](CC(F)(F)F)N[C@H]1CCCc2n[nH]cc21 ZINC000353684970 642925057 /nfs/dbraw/zinc/92/50/57/642925057.db2.gz HZCIVYMSHZYAED-CBAPKCEASA-N 0 3 247.264 2.718 20 0 BFADHN CCCCCCN[C@@H](C)c1nccn1C ZINC000070007426 642951737 /nfs/dbraw/zinc/95/17/37/642951737.db2.gz XTPFQUAQJXFZRJ-NSHDSACASA-N 0 3 209.337 2.651 20 0 BFADHN C[C@@H](NCc1ccc(F)cc1F)[C@H]1CCCO1 ZINC000020205356 643059025 /nfs/dbraw/zinc/05/90/25/643059025.db2.gz IPGXLDPHCPMMHO-NOZJJQNGSA-N 0 3 241.281 2.622 20 0 BFADHN CCC(CC)(CN[C@@H](C)c1ncc[nH]1)SC ZINC000285982878 643089587 /nfs/dbraw/zinc/08/95/87/643089587.db2.gz HTFACBLLGPERFX-JTQLQIEISA-N 0 3 241.404 2.982 20 0 BFADHN CO[C@]1(C)C[C@H](N(C)C/C=C/Cl)C1(C)C ZINC000076151582 643112120 /nfs/dbraw/zinc/11/21/20/643112120.db2.gz CFNULHSKSDBUDW-SKBQGCEYSA-N 0 3 231.767 2.874 20 0 BFADHN C[C@@H]1CCN(CCCCC(F)(F)F)CCO1 ZINC000932348923 643163202 /nfs/dbraw/zinc/16/32/02/643163202.db2.gz VGSKYYVKTBTZKT-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN Cc1ccc([C@H](O)[C@H](C)NCc2ccco2)cc1 ZINC000071687520 643168240 /nfs/dbraw/zinc/16/82/40/643168240.db2.gz GSFWNFYIUAFRNZ-SWLSCSKDSA-N 0 3 245.322 2.800 20 0 BFADHN CC[C@H](NCCCCCCO)c1nccs1 ZINC000185087611 643261279 /nfs/dbraw/zinc/26/12/79/643261279.db2.gz WMUAVOKNUNFXQE-NSHDSACASA-N 0 3 242.388 2.737 20 0 BFADHN CC(C)(C)c1ccc(CNCc2cnc[nH]2)cc1 ZINC000112344523 643333143 /nfs/dbraw/zinc/33/31/43/643333143.db2.gz VPIYXAFHYSLGDO-UHFFFAOYSA-N 0 3 243.354 2.997 20 0 BFADHN CC(=O)[C@@H]1CCCN(Cc2ccsc2)C1 ZINC000082644642 643359332 /nfs/dbraw/zinc/35/93/32/643359332.db2.gz LWJSDXAFVXSTEK-GFCCVEGCSA-N 0 3 223.341 2.549 20 0 BFADHN C=CCCCN1CCC(C(=O)C(F)(F)F)CC1 ZINC000186027252 643428013 /nfs/dbraw/zinc/42/80/13/643428013.db2.gz GIEMWTOZSLSZMZ-UHFFFAOYSA-N 0 3 249.276 2.796 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1cccnc1Cl ZINC000307528323 643497251 /nfs/dbraw/zinc/49/72/51/643497251.db2.gz GLRDXJLYISGSQC-SCZZXKLOSA-N 0 3 210.708 2.623 20 0 BFADHN COCCOc1ccc(CN2C[C@@H](C)[C@H]2C)cc1 ZINC000816648465 643558647 /nfs/dbraw/zinc/55/86/47/643558647.db2.gz LADJRAXQLZXNGB-CHWSQXEVSA-N 0 3 249.354 2.552 20 0 BFADHN C[C@H]1C[C@H]1CNCc1c(Cl)n[nH]c1C1CC1 ZINC000921528599 643587407 /nfs/dbraw/zinc/58/74/07/643587407.db2.gz GQSJMPDALJJVNO-CBAPKCEASA-N 0 3 239.750 2.686 20 0 BFADHN Cc1c(Cl)ccc(CN[C@H](C)CO)c1Cl ZINC000921585149 643615192 /nfs/dbraw/zinc/61/51/92/643615192.db2.gz BTRRTTHULKRKNB-SSDOTTSWSA-N 0 3 248.153 2.772 20 0 BFADHN O[C@H]1C[C@@H](NCc2ccccc2)c2ccccc21 ZINC000197176536 643653936 /nfs/dbraw/zinc/65/39/36/643653936.db2.gz CFUXHFRAIHQGQH-CVEARBPZSA-N 0 3 239.318 2.955 20 0 BFADHN Cc1cnc(Cl)c(CN[C@H]2C[C@@H]2C)c1 ZINC000921686259 643739763 /nfs/dbraw/zinc/73/97/63/643739763.db2.gz PSYUKTFVQSSVHH-WPRPVWTQSA-N 0 3 210.708 2.541 20 0 BFADHN COCc1ccc(CNCc2ccncc2)cc1 ZINC000073651163 643772008 /nfs/dbraw/zinc/77/20/08/643772008.db2.gz LIHFQEKOFAPXBC-UHFFFAOYSA-N 0 3 242.322 2.518 20 0 BFADHN CSC[C@H](C)NCc1cc(C)cnc1Cl ZINC000921756181 643773689 /nfs/dbraw/zinc/77/36/89/643773689.db2.gz GSLWQKIIAXRUPV-VIFPVBQESA-N 0 3 244.791 2.885 20 0 BFADHN COC(C)(C)CNCc1cc(C)cnc1Cl ZINC000921790333 643790079 /nfs/dbraw/zinc/79/00/79/643790079.db2.gz GSPLLYHZYCBLLS-UHFFFAOYSA-N 0 3 242.750 2.558 20 0 BFADHN CCc1[nH]nc(Cl)c1CNCC1(C)CC1 ZINC000921813902 643800273 /nfs/dbraw/zinc/80/02/73/643800273.db2.gz LORGJIYPFLPWJD-UHFFFAOYSA-N 0 3 227.739 2.515 20 0 BFADHN FCCCCNCc1c(Cl)n[nH]c1C1CC1 ZINC000922143328 643871701 /nfs/dbraw/zinc/87/17/01/643871701.db2.gz WIKPOZUDDABAAN-UHFFFAOYSA-N 0 3 245.729 2.780 20 0 BFADHN Cc1cnc(Cl)c(CNCC[C@H](C)F)c1 ZINC000922148875 643873150 /nfs/dbraw/zinc/87/31/50/643873150.db2.gz MJWLIDUODPGTAC-VIFPVBQESA-N 0 3 230.714 2.881 20 0 BFADHN CC/C=C\CNCc1cnn(C2CCC2)c1 ZINC000922155486 643876628 /nfs/dbraw/zinc/87/66/28/643876628.db2.gz AAUQDYHJILLICD-ARJAWSKDSA-N 0 3 219.332 2.664 20 0 BFADHN CC/C=C\CNCc1ccnn1C1CCC1 ZINC000922156638 643876903 /nfs/dbraw/zinc/87/69/03/643876903.db2.gz QPMOZNJOACLAST-ARJAWSKDSA-N 0 3 219.332 2.664 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NCc1ccc(Cl)cn1 ZINC000922509349 644008487 /nfs/dbraw/zinc/00/84/87/644008487.db2.gz NFGVOMKGPSYCFZ-WRSRJMLGSA-N 0 3 236.746 2.869 20 0 BFADHN CC(C)CCCN[C@H](c1ncccn1)C1CC1 ZINC000922577903 644030897 /nfs/dbraw/zinc/03/08/97/644030897.db2.gz DSBSOVCKXFUOKA-ZDUSSCGKSA-N 0 3 233.359 2.954 20 0 BFADHN COC(C)(C)[C@H](C)NCc1ccc(SC)o1 ZINC000922581196 644032587 /nfs/dbraw/zinc/03/25/87/644032587.db2.gz MCAMDDAARYZJOF-VIFPVBQESA-N 0 3 243.372 2.905 20 0 BFADHN CC[C@@H](C)CN[C@@H](c1ncccn1)C1CC1 ZINC000922578657 644033184 /nfs/dbraw/zinc/03/31/84/644033184.db2.gz DAZNPCZPBKJZAV-ZYHUDNBSSA-N 0 3 219.332 2.563 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H](c1ccccn1)C1CC1 ZINC000922584467 644038091 /nfs/dbraw/zinc/03/80/91/644038091.db2.gz LEWDGVAMPCXERL-DOMZBBRYSA-N 0 3 248.370 2.936 20 0 BFADHN CC(C)(/C=C\Cl)NCc1ccc(CO)cc1 ZINC000922644601 644049599 /nfs/dbraw/zinc/04/95/99/644049599.db2.gz JMAMJFQHISYRCI-FPLPWBNLSA-N 0 3 239.746 2.800 20 0 BFADHN C[C@H]1COC[C@@H]1NCc1cc2cc(F)ccc2o1 ZINC000922642199 644056295 /nfs/dbraw/zinc/05/62/95/644056295.db2.gz SXFQERWJIZRCSV-ZANVPECISA-N 0 3 249.285 2.696 20 0 BFADHN c1ccc(CNC[C@@H]2CCCC3(CCC3)O2)nc1 ZINC000922805797 644099038 /nfs/dbraw/zinc/09/90/38/644099038.db2.gz PYSPKIZTVSQSCY-AWEZNQCLSA-N 0 3 246.354 2.663 20 0 BFADHN C[C@@]1(CNCc2ccc(Cl)o2)CCCCO1 ZINC000922817986 644106866 /nfs/dbraw/zinc/10/68/66/644106866.db2.gz SBVPUHIKQJAUJA-LBPRGKRZSA-N 0 3 243.734 2.982 20 0 BFADHN Cc1ccoc1CNC[C@]1(C)CCCCO1 ZINC000922821071 644110174 /nfs/dbraw/zinc/11/01/74/644110174.db2.gz UOOKYVOVESBKMZ-ZDUSSCGKSA-N 0 3 223.316 2.637 20 0 BFADHN Cc1csc(CN[C@@H]2CCS[C@H](C)C2)n1 ZINC000922836924 644113940 /nfs/dbraw/zinc/11/39/40/644113940.db2.gz PLPDFDRBKNHXRV-NXEZZACHSA-N 0 3 242.413 2.825 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCc3cccnc32)[C@@H](C)O1 ZINC000922874961 644122853 /nfs/dbraw/zinc/12/28/53/644122853.db2.gz FFNFKAGIOWCGOR-HBJVGIJOSA-N 0 3 246.354 2.615 20 0 BFADHN Cn1nc(CNCC2(C)CCC2)cc1C1CC1 ZINC000922952482 644198068 /nfs/dbraw/zinc/19/80/68/644198068.db2.gz DDXRYCONVJHOAW-UHFFFAOYSA-N 0 3 233.359 2.577 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cc(C3CC3)n(C)n2)C1 ZINC000922957360 644200493 /nfs/dbraw/zinc/20/04/93/644200493.db2.gz UAUKDOWUXLGICU-PWSUYJOCSA-N 0 3 233.359 2.576 20 0 BFADHN c1c(CNCC2CCC=CCC2)nn2c1CCC2 ZINC000922966239 644201685 /nfs/dbraw/zinc/20/16/85/644201685.db2.gz NJVTUHLHPRGPOQ-UHFFFAOYSA-N 0 3 245.370 2.665 20 0 BFADHN c1nc(CNCC2CCC=CCC2)cs1 ZINC000922966148 644202910 /nfs/dbraw/zinc/20/29/10/644202910.db2.gz HSZGIYOROVCRNC-UHFFFAOYSA-N 0 3 222.357 2.979 20 0 BFADHN C[C@@H]1CC([NH2+]Cc2cncc([O-])c2)C[C@@H](C)C1 ZINC000922975168 644206495 /nfs/dbraw/zinc/20/64/95/644206495.db2.gz AFBMWRZFYAZPRL-QWRGUYRKSA-N 0 3 234.343 2.702 20 0 BFADHN C[C@@H]1CC[C@H]([NH2+]Cc2cncc([O-])c2)C[C@@H]1C ZINC000922975902 644206503 /nfs/dbraw/zinc/20/65/03/644206503.db2.gz NQHBQKCLJXNRSA-MDZLAQPJSA-N 0 3 234.343 2.702 20 0 BFADHN CC[C@H]1CCC[C@@H]([NH2+]Cc2cncc([O-])c2)C1 ZINC000922975898 644206735 /nfs/dbraw/zinc/20/67/35/644206735.db2.gz NLHDNBXCRRRUDL-WCQYABFASA-N 0 3 234.343 2.846 20 0 BFADHN C[C@@H]1CCC[C@H]([NH2+]Cc2cncc([O-])c2)CC1 ZINC000922976560 644211133 /nfs/dbraw/zinc/21/11/33/644211133.db2.gz ZXHBMWIZKLJMOA-YPMHNXCESA-N 0 3 234.343 2.846 20 0 BFADHN [O-]c1cncc(C[NH2+]C2CCCCCCC2)c1 ZINC000922977630 644212370 /nfs/dbraw/zinc/21/23/70/644212370.db2.gz XQOYLGQVEVRATA-UHFFFAOYSA-N 0 3 234.343 2.990 20 0 BFADHN C[C@]1(NCc2cc[nH]c2)CCOc2ccccc21 ZINC000923023525 644235780 /nfs/dbraw/zinc/23/57/80/644235780.db2.gz BYIMUISNEMBTKF-HNNXBMFYSA-N 0 3 242.322 2.802 20 0 BFADHN Cc1cc(F)ccc1CNC[C@@H](O)c1ccco1 ZINC000080189081 644237948 /nfs/dbraw/zinc/23/79/48/644237948.db2.gz YAJIORBLEMEQNG-CYBMUJFWSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1cc(CNC2([C@@H]3CCCCO3)CC2)ccn1 ZINC000923027534 644238316 /nfs/dbraw/zinc/23/83/16/644238316.db2.gz FKTIHEUHCDSWNL-AWEZNQCLSA-N 0 3 246.354 2.581 20 0 BFADHN c1cc(CNC2([C@H]3CCCCO3)CC2)cs1 ZINC000923027280 644238434 /nfs/dbraw/zinc/23/84/34/644238434.db2.gz JVHGUTINFQSANG-GFCCVEGCSA-N 0 3 237.368 2.939 20 0 BFADHN Cc1ccc(CNC2([C@H]3CCCCO3)CC2)nc1 ZINC000923031881 644239699 /nfs/dbraw/zinc/23/96/99/644239699.db2.gz ZDNKRNAFAIXJHW-CQSZACIVSA-N 0 3 246.354 2.581 20 0 BFADHN Cc1occc1CNC1([C@H]2CCCCO2)CC1 ZINC000923029316 644241662 /nfs/dbraw/zinc/24/16/62/644241662.db2.gz ZGWKHXWONULUJT-CYBMUJFWSA-N 0 3 235.327 2.779 20 0 BFADHN CC[C@@H](C)CN(CC)CN1C[C@@H](CC)CC1=O ZINC000245867605 644548860 /nfs/dbraw/zinc/54/88/60/644548860.db2.gz MHCJHGWNPUIMRU-OLZOCXBDSA-N 0 3 240.391 2.570 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NC[C@H]1CCC=CO1 ZINC000092327309 644654039 /nfs/dbraw/zinc/65/40/39/644654039.db2.gz RABZOAPBHRHNSD-BXKDBHETSA-N 0 3 236.315 2.635 20 0 BFADHN CN(Cc1cc[nH]n1)C1CCCCCCC1 ZINC000092737058 644666119 /nfs/dbraw/zinc/66/61/19/644666119.db2.gz NDOGCFYGQJXZCT-UHFFFAOYSA-N 0 3 221.348 2.954 20 0 BFADHN COC1CC(N[C@H]2COc3c2ccc(C)c3C)C1 ZINC000769485497 644681673 /nfs/dbraw/zinc/68/16/73/644681673.db2.gz WYGLGAZNSYWFLO-YIZWMMSDSA-N 0 3 247.338 2.504 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2ccoc2)C1 ZINC000093419427 644688223 /nfs/dbraw/zinc/68/82/23/644688223.db2.gz FUZSGKCFFJBNTD-GFCCVEGCSA-N 0 3 223.316 2.528 20 0 BFADHN Cc1ccccc1-c1cnc([C@@H]2CCCN2)nc1 ZINC000094576918 644720263 /nfs/dbraw/zinc/72/02/63/644720263.db2.gz WQPVIOKSRGDYBN-AWEZNQCLSA-N 0 3 239.322 2.877 20 0 BFADHN Cc1ccc(-c2cnc([C@@H]3CCCN3)nc2)cc1 ZINC000094576916 644720676 /nfs/dbraw/zinc/72/06/76/644720676.db2.gz ASYDKLQLOZPJNG-AWEZNQCLSA-N 0 3 239.322 2.877 20 0 BFADHN Fc1ccc(CN2CCC[C@@H]3CCC[C@H]32)cn1 ZINC000250112844 644747640 /nfs/dbraw/zinc/74/76/40/644747640.db2.gz TYHSKQRPVBNDCF-QWHCGFSZSA-N 0 3 234.318 2.985 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](O)CC(C)C)oc1C ZINC000800474854 644835096 /nfs/dbraw/zinc/83/50/96/644835096.db2.gz PCSYVBAHSLFVEM-WCQYABFASA-N 0 3 239.359 2.954 20 0 BFADHN c1cnc(CN2CC[C@H](C3CCCCC3)C2)cn1 ZINC000827125702 645074466 /nfs/dbraw/zinc/07/44/66/645074466.db2.gz PBOSPIAYOXHKHJ-AWEZNQCLSA-N 0 3 245.370 2.879 20 0 BFADHN Fc1c(CN[C@@H]2[C@@H]3CCC[C@@H]32)ccnc1Cl ZINC000827481173 645079444 /nfs/dbraw/zinc/07/94/44/645079444.db2.gz MHDNOIGFDIKXGE-DOFRTFSJSA-N 0 3 240.709 2.762 20 0 BFADHN CC1(C)CN(CC2CC(F)(F)C2)C(C)(C)CO1 ZINC000827828605 645086723 /nfs/dbraw/zinc/08/67/23/645086723.db2.gz CVYQFVCJGPBJLZ-UHFFFAOYSA-N 0 3 247.329 2.921 20 0 BFADHN CC[C@H](C)COC(=O)c1cccc(CN(C)C)c1 ZINC000835268610 645166452 /nfs/dbraw/zinc/16/64/52/645166452.db2.gz ALJFYVLQZNNLAA-LBPRGKRZSA-N 0 3 249.354 2.951 20 0 BFADHN CCC(C)(C)OCCN[C@H](C)c1ccns1 ZINC000926560769 645265442 /nfs/dbraw/zinc/26/54/42/645265442.db2.gz WOCALUIYAWDGGY-SNVBAGLBSA-N 0 3 242.388 2.999 20 0 BFADHN CCC(C)(C)OCCN[C@@H](C)c1ccns1 ZINC000926560768 645281366 /nfs/dbraw/zinc/28/13/66/645281366.db2.gz WOCALUIYAWDGGY-JTQLQIEISA-N 0 3 242.388 2.999 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)nn1 ZINC000926575716 645294949 /nfs/dbraw/zinc/29/49/49/645294949.db2.gz IGHAMEDYXLFEDO-QNWHQSFQSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@H](N[C@H]1CC1(C)C)c1cn2c(n1)CCCC2 ZINC000926580810 645299366 /nfs/dbraw/zinc/29/93/66/645299366.db2.gz YNCUOUBMCKAEHX-JQWIXIFHSA-N 0 3 233.359 2.669 20 0 BFADHN CC[C@H](N[C@@H](C)c1nonc1C)[C@H]1CC1(C)C ZINC000926600955 645308470 /nfs/dbraw/zinc/30/84/70/645308470.db2.gz NKNFPYKPDPNMIS-GDPRMGEGSA-N 0 3 237.347 2.853 20 0 BFADHN CC[C@H](C)C[C@@H](CO)N[C@@H](C)c1ccns1 ZINC000926635228 645324888 /nfs/dbraw/zinc/32/48/88/645324888.db2.gz OKIMCUAASXNHSF-DCAQKATOSA-N 0 3 242.388 2.591 20 0 BFADHN CC[C@H]1CN(CC2CC(F)(F)C2)[C@@H](CC)CO1 ZINC000844385374 645326419 /nfs/dbraw/zinc/32/64/19/645326419.db2.gz JODIOMDHTLIXDD-RYUDHWBXSA-N 0 3 247.329 2.921 20 0 BFADHN COc1cc([C@@H](C)NC2(C3CCC3)CC2)on1 ZINC000926650280 645336189 /nfs/dbraw/zinc/33/61/89/645336189.db2.gz UOWVJPCPQHSXAB-SECBINFHSA-N 0 3 236.315 2.667 20 0 BFADHN COc1c(C)cccc1CN[C@@H]1CO[C@@H](C)[C@@H]1C ZINC000926664568 645345338 /nfs/dbraw/zinc/34/53/38/645345338.db2.gz RDLXBHKSWZFCTQ-SGMGOOAPSA-N 0 3 249.354 2.517 20 0 BFADHN COc1c(C)cccc1CN[C@@H]1CO[C@H](C)[C@@H]1C ZINC000926664566 645345369 /nfs/dbraw/zinc/34/53/69/645345369.db2.gz RDLXBHKSWZFCTQ-OUCADQQQSA-N 0 3 249.354 2.517 20 0 BFADHN CC[C@@H](C)CCN[C@H](C)c1cc(OC)no1 ZINC000926685324 645352759 /nfs/dbraw/zinc/35/27/59/645352759.db2.gz MQEOTNAGJQPZAB-NXEZZACHSA-N 0 3 226.320 2.770 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@@H]1C[C@H](C)n2ncnc21 ZINC000926684533 645354753 /nfs/dbraw/zinc/35/47/53/645354753.db2.gz CRKMDQWHYSJVNB-GARJFASQSA-N 0 3 236.363 2.698 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](C)c2c(C)noc2C)C1 ZINC000926695187 645361722 /nfs/dbraw/zinc/36/17/22/645361722.db2.gz VKXWELGVMJODRA-UWJYBYFXSA-N 0 3 238.331 2.510 20 0 BFADHN C[C@@H](N[C@H]1C=CCC1)c1cc(O)cc(F)c1 ZINC000926693006 645363394 /nfs/dbraw/zinc/36/33/94/645363394.db2.gz ZWHQBMMAUHTLKE-SKDRFNHKSA-N 0 3 221.275 2.901 20 0 BFADHN C[C@@H]([NH2+][C@H]1C=CCC1)c1cc([O-])cc(F)c1 ZINC000926693006 645363397 /nfs/dbraw/zinc/36/33/97/645363397.db2.gz ZWHQBMMAUHTLKE-SKDRFNHKSA-N 0 3 221.275 2.901 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H](C)O1)c1ccns1 ZINC000926703466 645370721 /nfs/dbraw/zinc/37/07/21/645370721.db2.gz UIWAVENVSHCLBU-OUAUKWLOSA-N 0 3 240.372 2.751 20 0 BFADHN CC(C)(C)[C@H]1CCC[C@H]1NCc1ncccn1 ZINC000926709760 645373485 /nfs/dbraw/zinc/37/34/85/645373485.db2.gz KVJNZJRDUVRQCN-NWDGAFQWSA-N 0 3 233.359 2.781 20 0 BFADHN CC(C)CC1(N[C@@H](C)c2cn(C)cn2)CC1 ZINC000926726591 645386640 /nfs/dbraw/zinc/38/66/40/645386640.db2.gz URGLANKRTLMRAX-NSHDSACASA-N 0 3 221.348 2.649 20 0 BFADHN CC(C)CC1(N[C@H](C)c2cn(C)cn2)CC1 ZINC000926726590 645387545 /nfs/dbraw/zinc/38/75/45/645387545.db2.gz URGLANKRTLMRAX-LLVKDONJSA-N 0 3 221.348 2.649 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1ccns1)OCC ZINC000926743641 645398331 /nfs/dbraw/zinc/39/83/31/645398331.db2.gz YAZISQWBMGBLCZ-QWRGUYRKSA-N 0 3 242.388 2.999 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@H](C)O[C@H]1C)c1ccns1 ZINC000926764369 645412568 /nfs/dbraw/zinc/41/25/68/645412568.db2.gz UZWMFAGMVGJCCA-NDCWEZFPSA-N 0 3 240.372 2.606 20 0 BFADHN C[C@@H]1COC[C@H]1NCc1ccc(C(C)(C)C)o1 ZINC000926804307 645440458 /nfs/dbraw/zinc/44/04/58/645440458.db2.gz XFZZWGZEZPCWFS-ZYHUDNBSSA-N 0 3 237.343 2.702 20 0 BFADHN C[C@@H](NCCC[C@H]1C=CCC1)c1cn(C)cn1 ZINC000926824918 645443280 /nfs/dbraw/zinc/44/32/80/645443280.db2.gz LKRCZQFSBXKEEL-OLZOCXBDSA-N 0 3 233.359 2.817 20 0 BFADHN COc1cc([C@H](C)NC[C@@]2(C)CC2(C)C)on1 ZINC000926843320 645455734 /nfs/dbraw/zinc/45/57/34/645455734.db2.gz VCUWTJASLGKOMP-TVQRCGJNSA-N 0 3 238.331 2.770 20 0 BFADHN c1ccc([C@H](NCCOC2CC2)C2CCC2)nc1 ZINC000926883286 645473973 /nfs/dbraw/zinc/47/39/73/645473973.db2.gz NFSIJIRYKSQBBS-OAHLLOKOSA-N 0 3 246.354 2.691 20 0 BFADHN COc1cc([C@H](C)NCCC2CC=CC2)on1 ZINC000926949572 645497218 /nfs/dbraw/zinc/49/72/18/645497218.db2.gz KIMVLFOTDPKXCL-JTQLQIEISA-N 0 3 236.315 2.690 20 0 BFADHN COC[C@H](CN[C@H](C)c1cscn1)C(C)C ZINC000927097716 645548938 /nfs/dbraw/zinc/54/89/38/645548938.db2.gz SGZDLEGARDYDQX-MNOVXSKESA-N 0 3 242.388 2.712 20 0 BFADHN CC(C)[C@H]1CC[C@@H]1NCc1cc(C2CC2)n(C)n1 ZINC000927107606 645548957 /nfs/dbraw/zinc/54/89/57/645548957.db2.gz LANUFHIONNLEGP-KGLIPLIRSA-N 0 3 247.386 2.822 20 0 BFADHN C[C@H]1C[C@H](NCC2CCC=CCC2)c2ncnn21 ZINC000927120511 645555618 /nfs/dbraw/zinc/55/56/18/645555618.db2.gz GHYJILMBXILJHU-AAEUAGOBSA-N 0 3 246.358 2.620 20 0 BFADHN C[C@H](NCc1nn(C)cc1C(F)F)C(C)(C)C ZINC000866566978 645730452 /nfs/dbraw/zinc/73/04/52/645730452.db2.gz MAMFULMUTYYDGK-QMMMGPOBSA-N 0 3 245.317 2.882 20 0 BFADHN Cc1cn(C)nc1CN(C)[C@@H]1CCC(C)(C)C1 ZINC000930291277 645783052 /nfs/dbraw/zinc/78/30/52/645783052.db2.gz VBEJMOJTRNJTSD-GFCCVEGCSA-N 0 3 235.375 2.739 20 0 BFADHN Clc1csc(CNCC2CC=CC2)n1 ZINC000877650027 645804428 /nfs/dbraw/zinc/80/44/28/645804428.db2.gz DBXLUNOIBWHWMN-UHFFFAOYSA-N 0 3 228.748 2.852 20 0 BFADHN COC/C(C)=C/CN1CCc2ccccc2C1 ZINC000929125186 645958353 /nfs/dbraw/zinc/95/83/53/645958353.db2.gz NDFSWJQEOABBQR-NTUHNPAUSA-N 0 3 231.339 2.637 20 0 BFADHN CCc1ncc(CN2C[C@H](C)C[C@H](C)C2)o1 ZINC000929214522 646012635 /nfs/dbraw/zinc/01/26/35/646012635.db2.gz MUMZITBIMRDZGY-PHIMTYICSA-N 0 3 222.332 2.715 20 0 BFADHN CCc1ncc(CN2C[C@H](C)C[C@@H](C)C2)o1 ZINC000929214521 646013103 /nfs/dbraw/zinc/01/31/03/646013103.db2.gz MUMZITBIMRDZGY-GHMZBOCLSA-N 0 3 222.332 2.715 20 0 BFADHN CCN(CC(C)C)[C@@H](C)c1snnc1C ZINC000929259436 646042411 /nfs/dbraw/zinc/04/24/11/646042411.db2.gz YLHIZBLYJXFVPA-JTQLQIEISA-N 0 3 227.377 2.885 20 0 BFADHN CCC(CC)CN(CC)Cc1nn(C)cc1C ZINC000929261881 646042914 /nfs/dbraw/zinc/04/29/14/646042914.db2.gz QCMJFCSHVQFIIR-UHFFFAOYSA-N 0 3 237.391 2.987 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CCCc1cccnc1 ZINC000929269664 646047683 /nfs/dbraw/zinc/04/76/83/646047683.db2.gz LGIXAIULOLMPPM-HIFRSBDPSA-N 0 3 248.370 2.514 20 0 BFADHN CCCCN[C@H]1CCCc2oc(C)nc21 ZINC000924531670 646050147 /nfs/dbraw/zinc/05/01/47/646050147.db2.gz MVCDBPXUCBJPFO-JTQLQIEISA-N 0 3 208.305 2.750 20 0 BFADHN CC[C@@H](C)N[C@@H]1CCCc2oc(C)nc21 ZINC000924536759 646054701 /nfs/dbraw/zinc/05/47/01/646054701.db2.gz AQZPBNZZXQJJME-PSASIEDQSA-N 0 3 208.305 2.749 20 0 BFADHN C[C@@H](NC1CCCCCC1)c1cc(CO)on1 ZINC000924535742 646055135 /nfs/dbraw/zinc/05/51/35/646055135.db2.gz OAVWKJCXDOYGMU-SNVBAGLBSA-N 0 3 238.331 2.540 20 0 BFADHN C[C@@H](c1cccs1)N(C)CCOC1CC1 ZINC000929285238 646062024 /nfs/dbraw/zinc/06/20/24/646062024.db2.gz ZOQDUYMLSVKONT-JTQLQIEISA-N 0 3 225.357 2.920 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCCc1ccco1 ZINC000924552281 646066774 /nfs/dbraw/zinc/06/67/74/646066774.db2.gz BXYMKGABDRCWJA-SECBINFHSA-N 0 3 234.299 2.778 20 0 BFADHN COc1ccnc([C@H](C)NCCc2ccco2)c1 ZINC000924556161 646067990 /nfs/dbraw/zinc/06/79/90/646067990.db2.gz QCEAUHGLANMJBH-NSHDSACASA-N 0 3 246.310 2.577 20 0 BFADHN COc1cc([C@H](C)NCCC2CCCC2)on1 ZINC000924597976 646075692 /nfs/dbraw/zinc/07/56/92/646075692.db2.gz ASSHTQZWVSKGAL-JTQLQIEISA-N 0 3 238.331 2.914 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N[C@H]1C[C@@H](C)n2ncnc21 ZINC000924621616 646078886 /nfs/dbraw/zinc/07/88/86/646078886.db2.gz YOPLFAOVUOAWRJ-NDBYEHHHSA-N 0 3 248.374 2.842 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2ccns2)o1 ZINC000924654182 646086669 /nfs/dbraw/zinc/08/66/69/646086669.db2.gz GGGBIPLCIAWRHQ-JTQLQIEISA-N 0 3 236.340 2.938 20 0 BFADHN CCc1ncc(CN2CCC[C@H](C)CC2)o1 ZINC000929365053 646090917 /nfs/dbraw/zinc/09/09/17/646090917.db2.gz VJDKAQKJKCESRN-NSHDSACASA-N 0 3 222.332 2.859 20 0 BFADHN Cc1ccc(CN[C@H](C)c2ccnnc2)c(C)c1 ZINC000924708777 646094286 /nfs/dbraw/zinc/09/42/86/646094286.db2.gz OUMATMMLHWVQEO-CYBMUJFWSA-N 0 3 241.338 2.944 20 0 BFADHN Cc1occc1CN[C@@H](C)C1(Cl)CC1 ZINC000924823359 646111925 /nfs/dbraw/zinc/11/19/25/646111925.db2.gz AILBHYOXJUQUDG-VIFPVBQESA-N 0 3 213.708 2.838 20 0 BFADHN CCN(Cc1nn(C)cc1C)[C@H](C)C(C)C ZINC000929362227 646096094 /nfs/dbraw/zinc/09/60/94/646096094.db2.gz PVPPKJNIOOIEFY-GFCCVEGCSA-N 0 3 223.364 2.595 20 0 BFADHN CCn1nc(C)c([C@@H](C)NCCC(C)(C)C)n1 ZINC000924793036 646107026 /nfs/dbraw/zinc/10/70/26/646107026.db2.gz PJGVQLDYQOPDKW-SNVBAGLBSA-N 0 3 238.379 2.693 20 0 BFADHN Cc1nonc1[C@H](C)NC1[C@H](C)CCC[C@H]1C ZINC000924801624 646110050 /nfs/dbraw/zinc/11/00/50/646110050.db2.gz GTTAXWYZJJAIBL-BBBLOLIVSA-N 0 3 237.347 2.853 20 0 BFADHN CCN(CCc1ccns1)Cc1ccoc1 ZINC000929477949 646134808 /nfs/dbraw/zinc/13/48/08/646134808.db2.gz MIACYNKBIWYJNO-UHFFFAOYSA-N 0 3 236.340 2.801 20 0 BFADHN CCc1ncc(CN(C)CC(C)(C)C)o1 ZINC000929482408 646136072 /nfs/dbraw/zinc/13/60/72/646136072.db2.gz NWPHDCPJQQIDGK-UHFFFAOYSA-N 0 3 210.321 2.715 20 0 BFADHN Cc1cc(CN(C)CC(C)(C)C)c(C)nn1 ZINC000929484759 646140738 /nfs/dbraw/zinc/14/07/38/646140738.db2.gz VTZRRANENGUSOQ-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN CCc1ncc(CN2CCC(C)(C)CC2)o1 ZINC000929483293 646141111 /nfs/dbraw/zinc/14/11/11/646141111.db2.gz CWBDLOOLEPUGMD-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN Cc1ccc(CN(C)CCOC2CC2)s1 ZINC000929500136 646154723 /nfs/dbraw/zinc/15/47/23/646154723.db2.gz COMYLCRPOSPFMK-UHFFFAOYSA-N 0 3 225.357 2.667 20 0 BFADHN CCOCCN(CC)[C@H](C)c1ccc(F)nc1 ZINC000929601958 646192552 /nfs/dbraw/zinc/19/25/52/646192552.db2.gz WYTHWDOLOBUADS-LLVKDONJSA-N 0 3 240.322 2.640 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1CO[C@H](C)[C@@H]1C ZINC000925163332 646196958 /nfs/dbraw/zinc/19/69/58/646196958.db2.gz CGNXAQSHRMZLKN-MISXGVKJSA-N 0 3 237.318 2.647 20 0 BFADHN CC[C@H](C)CN(C)[C@@H](C)c1snnc1C ZINC000929618806 646197141 /nfs/dbraw/zinc/19/71/41/646197141.db2.gz JGGHNJPRUIPHPZ-WPRPVWTQSA-N 0 3 227.377 2.885 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccns1)C(C)(C)C ZINC000925188539 646204779 /nfs/dbraw/zinc/20/47/79/646204779.db2.gz LESPNNJDCVQZPF-KOLCDFICSA-N 0 3 242.388 2.855 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCCC2(C)C)c2ncnn21 ZINC000925260758 646222590 /nfs/dbraw/zinc/22/25/90/646222590.db2.gz QVGGLKPHODRVNZ-IJLUTSLNSA-N 0 3 248.374 2.842 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1ncco1 ZINC000925258958 646223834 /nfs/dbraw/zinc/22/38/34/646223834.db2.gz ZIGHTWNDACHJRD-MXWKQRLJSA-N 0 3 208.305 2.904 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCCC2(C)C)c2ncnn21 ZINC000925260757 646224023 /nfs/dbraw/zinc/22/40/23/646224023.db2.gz QVGGLKPHODRVNZ-GRYCIOLGSA-N 0 3 248.374 2.842 20 0 BFADHN C[C@@H]1CN(CCc2ccns2)C[C@H](C)S1 ZINC000929725473 646273174 /nfs/dbraw/zinc/27/31/74/646273174.db2.gz IQERDOPWJPKLLB-AOOOYVTPSA-N 0 3 242.413 2.511 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2CC=CCC2)nn1 ZINC000925437935 646284663 /nfs/dbraw/zinc/28/46/63/646284663.db2.gz RZXGHOGTRJYXIL-NWDGAFQWSA-N 0 3 234.347 2.618 20 0 BFADHN CCC1(CN[C@@H](C)c2cc(OC)no2)CC1 ZINC000925497764 646302526 /nfs/dbraw/zinc/30/25/26/646302526.db2.gz JEHGDYLPRQDTMG-VIFPVBQESA-N 0 3 224.304 2.524 20 0 BFADHN C[C@H]([NH2+]CCCF)c1cc([O-])cc(F)c1 ZINC000925557424 646332079 /nfs/dbraw/zinc/33/20/79/646332079.db2.gz PPMWPZFBTRAWEU-QMMMGPOBSA-N 0 3 215.243 2.542 20 0 BFADHN COC/C(C)=C/CN1CCC[C@H]1c1ccccn1 ZINC000929813601 646332779 /nfs/dbraw/zinc/33/27/79/646332779.db2.gz ZTXBXLOVDLCLCV-NRUITVPNSA-N 0 3 246.354 2.811 20 0 BFADHN CC(C)[C@H]1CCN([C@@H](C)c2ncccn2)C1 ZINC000929820297 646336332 /nfs/dbraw/zinc/33/63/32/646336332.db2.gz HKARTTVWVPUSCV-RYUDHWBXSA-N 0 3 219.332 2.516 20 0 BFADHN CC[C@@H]1CCN([C@@H](C)c2snnc2C)C1 ZINC000929824418 646340957 /nfs/dbraw/zinc/34/09/57/646340957.db2.gz WPJJPHIJQWOXTQ-VHSXEESVSA-N 0 3 225.361 2.639 20 0 BFADHN CCc1ncc(CN2CC[C@](C)(CC)C2)o1 ZINC000929828109 646343403 /nfs/dbraw/zinc/34/34/03/646343403.db2.gz HJLRYNIRYTXBLD-ZDUSSCGKSA-N 0 3 222.332 2.859 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1c(C)noc1C ZINC000925602953 646347726 /nfs/dbraw/zinc/34/77/26/646347726.db2.gz ZOYPUPFBXOJCCU-JGVFFNPUSA-N 0 3 228.361 2.694 20 0 BFADHN C[C@@H](N[C@H](CO)CC(C)(C)C)c1ccns1 ZINC000925587792 646348837 /nfs/dbraw/zinc/34/88/37/646348837.db2.gz JWBKEJSRLSHTTD-ZJUUUORDSA-N 0 3 242.388 2.591 20 0 BFADHN Fc1cccnc1CN[C@@H]1C[C@H]2C=C[C@@H]1CC2 ZINC000925597550 646351161 /nfs/dbraw/zinc/35/11/61/646351161.db2.gz HGVKLUJAVCJWIU-DMDPSCGWSA-N 0 3 232.302 2.665 20 0 BFADHN Fc1cccnc1CN[C@H]1CC2CCC1CC2 ZINC000925596991 646351381 /nfs/dbraw/zinc/35/13/81/646351381.db2.gz FJOLUVHEYTXUOR-XIVSLSHWSA-N 0 3 234.318 2.889 20 0 BFADHN CCn1nc(C)c([C@@H](C)N[C@H](C)CC(C)C)n1 ZINC000925602501 646355337 /nfs/dbraw/zinc/35/53/37/646355337.db2.gz UQOWIBDOLDVKSS-GHMZBOCLSA-N 0 3 238.379 2.692 20 0 BFADHN COc1cc([C@H](C)N[C@@H](C)C2CCC2)on1 ZINC000925634971 646378400 /nfs/dbraw/zinc/37/84/00/646378400.db2.gz LDVTZVGDHHBUOY-IUCAKERBSA-N 0 3 224.304 2.522 20 0 BFADHN Cc1cncc(CN[C@H](C)C2(Cl)CC2)c1 ZINC000925644060 646384078 /nfs/dbraw/zinc/38/40/78/646384078.db2.gz IDLKFLMLMGMIQB-SNVBAGLBSA-N 0 3 224.735 2.640 20 0 BFADHN CCc1ncc(CN(C)[C@@H](C)CCSC)o1 ZINC000929985755 646384343 /nfs/dbraw/zinc/38/43/43/646384343.db2.gz FUUYKGMVDMZLPJ-JTQLQIEISA-N 0 3 242.388 2.810 20 0 BFADHN C[C@@H]1COCCCN1Cc1ccccc1CF ZINC000930067289 646417015 /nfs/dbraw/zinc/41/70/15/646417015.db2.gz LBNZCQUCDRAQSE-GFCCVEGCSA-N 0 3 237.318 2.767 20 0 BFADHN COC/C(C)=C\CN1CCC[C@H]1c1cccnc1 ZINC000930115306 646440853 /nfs/dbraw/zinc/44/08/53/646440853.db2.gz MKXRNKXWDBCKJE-QLBUUCPGSA-N 0 3 246.354 2.811 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCc1ccns1 ZINC000930119944 646442536 /nfs/dbraw/zinc/44/25/36/646442536.db2.gz SRHCIZNOLHYJLJ-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN CCN(CCc1ccns1)Cc1ccncc1 ZINC000930153616 646462825 /nfs/dbraw/zinc/46/28/25/646462825.db2.gz SJDOZRPBERZGEM-UHFFFAOYSA-N 0 3 247.367 2.603 20 0 BFADHN CC1CC(CN2CCC[C@@H]2c2ncc[nH]2)C1 ZINC000930163748 646467318 /nfs/dbraw/zinc/46/73/18/646467318.db2.gz UDOJKYREKOKSEF-HTAVTVPLSA-N 0 3 219.332 2.593 20 0 BFADHN COC/C(C)=C\CN[C@@H](C)c1ccccc1OC ZINC000930179870 646481772 /nfs/dbraw/zinc/48/17/72/646481772.db2.gz IZSLOLFAHYSLQQ-SUIFULHWSA-N 0 3 249.354 2.939 20 0 BFADHN C[C@H](NC1(C2CC2)CC1)c1cn2c(n1)CCCC2 ZINC000925896029 646535593 /nfs/dbraw/zinc/53/55/93/646535593.db2.gz HVMMKJJMMYUDMH-NSHDSACASA-N 0 3 245.370 2.813 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CC[C@@H](C)[C@@H](C)C1 ZINC000926151852 646584162 /nfs/dbraw/zinc/58/41/62/646584162.db2.gz CISOYWZFXFECOD-FYLLDIAZSA-N 0 3 237.347 2.853 20 0 BFADHN CCOC[C@@H](N[C@H](C)c1ccns1)C(C)C ZINC000926257932 646606019 /nfs/dbraw/zinc/60/60/19/646606019.db2.gz HMQJYQUUKRQUHT-GHMZBOCLSA-N 0 3 242.388 2.855 20 0 BFADHN COc1cc([C@H](C)NC[C@H]2CC[C@@H](C)C2)on1 ZINC000926339144 646620661 /nfs/dbraw/zinc/62/06/61/646620661.db2.gz KBHPUJJTEJDWJT-VWYCJHECSA-N 0 3 238.331 2.770 20 0 BFADHN COc1ccncc1CNC1CC2(C1)CCCC2 ZINC000926478401 646655164 /nfs/dbraw/zinc/65/51/64/646655164.db2.gz AXJGUYJDELVFDR-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN CCc1noc(C)c1CNC1CC(SC)C1 ZINC000926483583 646656310 /nfs/dbraw/zinc/65/63/10/646656310.db2.gz YVHWUCVHIZAOPJ-UHFFFAOYSA-N 0 3 240.372 2.529 20 0 BFADHN CC[C@H]1CC[C@H](N[C@H]2C[C@@H](C)n3ncnc32)CC1 ZINC000926485957 646657509 /nfs/dbraw/zinc/65/75/09/646657509.db2.gz MRTFVJPCGPBBNT-LPWJVIDDSA-N 0 3 248.374 2.842 20 0 BFADHN C[C@@H]1C[C@H](NC[C@H]2CCCC2(C)C)c2ncnn21 ZINC000926491920 646660892 /nfs/dbraw/zinc/66/08/92/646660892.db2.gz VQDJQHGNDIDUGP-UTUOFQBUSA-N 0 3 248.374 2.700 20 0 BFADHN C[C@@H]1COC[C@@H]1NCc1cc(C2CC2)ccc1F ZINC000926502464 646663900 /nfs/dbraw/zinc/66/39/00/646663900.db2.gz PCWGBGPYDZPSQW-BMIGLBTASA-N 0 3 249.329 2.828 20 0 BFADHN CCc1[nH]nc(Cl)c1CNCCC1CCC1 ZINC000921830986 711943757 /nfs/dbraw/zinc/94/37/57/711943757.db2.gz OQTONTCWLRBLSB-UHFFFAOYSA-N 0 3 241.766 2.905 20 0 BFADHN COC1CC(CN[C@H](C)c2ncc(C)s2)C1 ZINC000703854726 712090782 /nfs/dbraw/zinc/09/07/82/712090782.db2.gz SNHSUZFQDGECRG-KPPDAEKUSA-N 0 3 240.372 2.527 20 0 BFADHN C[C@@H](NCC[C@H]1CCSC1)c1nccs1 ZINC000704869091 712119633 /nfs/dbraw/zinc/11/96/33/712119633.db2.gz UBHBDHSHVGYALG-ZJUUUORDSA-N 0 3 242.413 2.937 20 0 BFADHN C[C@H](NC[C@@H]1CC(C)(C)CO1)c1ccco1 ZINC000708327188 712187122 /nfs/dbraw/zinc/18/71/22/712187122.db2.gz UNLDJRZXJFYRRZ-QWRGUYRKSA-N 0 3 223.316 2.745 20 0 BFADHN Clc1ccc(CNCCc2ccns2)o1 ZINC000709790014 712226676 /nfs/dbraw/zinc/22/66/76/712226676.db2.gz GBZAZSJVCCZGFZ-UHFFFAOYSA-N 0 3 242.731 2.722 20 0 BFADHN CCC[C@H](N)c1cn(C[C@H](C)C(C)(C)C)nn1 ZINC000710830112 712257193 /nfs/dbraw/zinc/25/71/93/712257193.db2.gz RIGQBURUUFLKIL-QWRGUYRKSA-N 0 3 238.379 2.760 20 0 BFADHN Cc1csc(CN[C@]23C[C@H]2CCCC3)n1 ZINC000710588971 712263115 /nfs/dbraw/zinc/26/31/15/712263115.db2.gz CZPNMIATIXJQME-ZYHUDNBSSA-N 0 3 222.357 2.874 20 0 BFADHN C[C@@H](NC[C@@H]1C=CCC1)c1nccs1 ZINC000711787762 712330453 /nfs/dbraw/zinc/33/04/53/712330453.db2.gz OJLJGDXVTNVCFV-NXEZZACHSA-N 0 3 208.330 2.760 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1nc(C)c(C)s1 ZINC000378687429 712357483 /nfs/dbraw/zinc/35/74/83/712357483.db2.gz FZBIXCXCJZEYOG-SNVBAGLBSA-N 0 3 242.388 2.663 20 0 BFADHN CC1(C)CCC[C@H](CNCc2ccns2)O1 ZINC000714372726 712484710 /nfs/dbraw/zinc/48/47/10/712484710.db2.gz OVKGEEPTBDRSLO-SNVBAGLBSA-N 0 3 240.372 2.580 20 0 BFADHN CCCn1cc([C@H](C)NCC[C@@H](C)CC)nn1 ZINC000715947042 712544924 /nfs/dbraw/zinc/54/49/24/712544924.db2.gz UMSISKJXRDXSPA-RYUDHWBXSA-N 0 3 238.379 2.775 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNCc1cscn1 ZINC000716530191 712567265 /nfs/dbraw/zinc/56/72/65/712567265.db2.gz JBIUWXKJGCQRRK-LLHIFLOGSA-N 0 3 222.357 2.525 20 0 BFADHN CCCCN(CCCC)C(=O)[C@@H](N)CC1CC1 ZINC000379976464 712643339 /nfs/dbraw/zinc/64/33/39/712643339.db2.gz OIDTXZDYTJNINQ-ZDUSSCGKSA-N 0 3 240.391 2.543 20 0 BFADHN C[C@@H]1CCC(C)(C)C[C@@H]1NCc1cocn1 ZINC000717437635 712657715 /nfs/dbraw/zinc/65/77/15/712657715.db2.gz JNABOSHRVDUPMT-PWSUYJOCSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1cc(Cl)ccc1CN[C@]12C[C@H]1COC2 ZINC000718091078 712742259 /nfs/dbraw/zinc/74/22/59/712742259.db2.gz GFLQKFMDRUTBQO-AAEUAGOBSA-N 0 3 237.730 2.527 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@H](C)c1ccc(F)cn1 ZINC000720159750 712828129 /nfs/dbraw/zinc/82/81/29/712828129.db2.gz SFLHHCBESPHJBM-NXEZZACHSA-N 0 3 240.322 2.685 20 0 BFADHN Cc1cc(CNCC2(C)CC(F)(F)C2)on1 ZINC000720898759 712874837 /nfs/dbraw/zinc/87/48/37/712874837.db2.gz DLFBFLQOYLMOJK-UHFFFAOYSA-N 0 3 230.258 2.508 20 0 BFADHN C[C@H](NCC1(C)CC(F)(F)C1)c1nccn1C ZINC000720927152 712879327 /nfs/dbraw/zinc/87/93/27/712879327.db2.gz HXKOIIAMFWGTOY-VIFPVBQESA-N 0 3 243.301 2.506 20 0 BFADHN Cc1ncc(CNC2(C)CC(F)(F)C2)s1 ZINC000720937961 712880527 /nfs/dbraw/zinc/88/05/27/712880527.db2.gz RYFSVYJWDYPLSK-UHFFFAOYSA-N 0 3 232.299 2.729 20 0 BFADHN CCc1noc(C)c1[C@H](C)NC[C@H](C)SC ZINC000381709855 710682429 /nfs/dbraw/zinc/68/24/29/710682429.db2.gz KATDTOMARMJYMI-IUCAKERBSA-N 0 3 242.388 2.948 20 0 BFADHN CCCc1nc(C)c(CN[C@@H]2CC[C@@H]2C)o1 ZINC000393810431 710762712 /nfs/dbraw/zinc/76/27/12/710762712.db2.gz UIYXRGIBJPBBOG-GXSJLCMTSA-N 0 3 222.332 2.824 20 0 BFADHN Cc1cc(C)cc(-n2cc([C@H](N)C(C)C)nn2)c1 ZINC000384464601 710915732 /nfs/dbraw/zinc/91/57/32/710915732.db2.gz ZFTXRECEPKTVRR-CQSZACIVSA-N 0 3 244.342 2.540 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1ccn(C2CCCC2)n1 ZINC000383511176 710982418 /nfs/dbraw/zinc/98/24/18/710982418.db2.gz LHDZLJVHWOUJJI-RISCZKNCSA-N 0 3 233.359 2.886 20 0 BFADHN CCCCC[C@H](C)NCc1cn(C(C)C)nn1 ZINC000383940698 710986894 /nfs/dbraw/zinc/98/68/94/710986894.db2.gz HAAWTURGCQESLN-LBPRGKRZSA-N 0 3 238.379 2.917 20 0 BFADHN C[C@H]1CC[N@H+](Cc2ccc([O-])c(F)c2)[C@H]1C ZINC000380742579 712902733 /nfs/dbraw/zinc/90/27/33/712902733.db2.gz TYQCIOHDAUPXII-UWVGGRQHSA-N 0 3 223.291 2.762 20 0 BFADHN C[C@H]1CC[N@@H+](Cc2ccc([O-])c(F)c2)[C@H]1C ZINC000380742579 712902734 /nfs/dbraw/zinc/90/27/34/712902734.db2.gz TYQCIOHDAUPXII-UWVGGRQHSA-N 0 3 223.291 2.762 20 0 BFADHN C[C@@H]1CC[N@H+](Cc2ccc([O-])c(F)c2)[C@H]1C ZINC000380742581 712902844 /nfs/dbraw/zinc/90/28/44/712902844.db2.gz TYQCIOHDAUPXII-ZJUUUORDSA-N 0 3 223.291 2.762 20 0 BFADHN C[C@@H]1CC[N@@H+](Cc2ccc([O-])c(F)c2)[C@H]1C ZINC000380742581 712902845 /nfs/dbraw/zinc/90/28/45/712902845.db2.gz TYQCIOHDAUPXII-ZJUUUORDSA-N 0 3 223.291 2.762 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1c(Cl)c(C)nn1C ZINC000387975708 711049752 /nfs/dbraw/zinc/04/97/52/711049752.db2.gz HCXRUCUXWXZJDV-ZJUUUORDSA-N 0 3 241.766 2.518 20 0 BFADHN OCC[C@H](NCc1ccsc1Cl)C1CC1 ZINC000389879952 711095440 /nfs/dbraw/zinc/09/54/40/711095440.db2.gz NPPXEESFWVOIRK-JTQLQIEISA-N 0 3 245.775 2.652 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CC(C)(C)C[C@H]2C)[n-]1 ZINC000392348392 711144312 /nfs/dbraw/zinc/14/43/12/711144312.db2.gz HDENBCAYHJHCJU-WCABBAIRSA-N 0 3 236.363 2.588 20 0 BFADHN CC1(C)SC[C@@H]1N[C@H]1CCc2cccnc21 ZINC000393838295 711176938 /nfs/dbraw/zinc/17/69/38/711176938.db2.gz DYHJGRJTDKEFOP-QWRGUYRKSA-N 0 3 234.368 2.553 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@H]1CCc2cccnc21)OC ZINC000394431797 711191392 /nfs/dbraw/zinc/19/13/92/711191392.db2.gz CAMPLMLFZNCZKJ-FPMFFAJLSA-N 0 3 248.370 2.720 20 0 BFADHN CS[C@@H]1CC[C@H](NCc2ncc(C)s2)C1 ZINC000394734321 711196362 /nfs/dbraw/zinc/19/63/62/711196362.db2.gz QLJYIALAFMSLHP-VHSXEESVSA-N 0 3 242.413 2.825 20 0 BFADHN CCC(CC)c1noc([C@@]2(C)CCCN2)n1 ZINC000395146195 711204078 /nfs/dbraw/zinc/20/40/78/711204078.db2.gz LNBBZJKBRRGYIX-GFCCVEGCSA-N 0 3 223.320 2.572 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CC(C)(C)C[C@@H]2C)[n-]1 ZINC000392348389 711144607 /nfs/dbraw/zinc/14/46/07/711144607.db2.gz HDENBCAYHJHCJU-ATZCPNFKSA-N 0 3 236.363 2.588 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+]C[C@@H]2CC(C)=C[C@H](C)C2)[n-]1 ZINC000392390225 711147061 /nfs/dbraw/zinc/14/70/61/711147061.db2.gz UBAPDUADLWINRW-NDMJEZRESA-N 0 3 248.374 2.756 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+]CC(C(C)C)C(C)C)[n-]1 ZINC000392469911 711147960 /nfs/dbraw/zinc/14/79/60/711147960.db2.gz SSIMRVAMGQNOHZ-SNVBAGLBSA-N 0 3 238.379 2.692 20 0 BFADHN COCCCCN(C)Cc1ccnc(Cl)c1 ZINC000397149253 711377881 /nfs/dbraw/zinc/37/78/81/711377881.db2.gz GONGSPBZASYXEP-UHFFFAOYSA-N 0 3 242.750 2.593 20 0 BFADHN Cc1cn(C)nc1CN[C@H](C)[C@]12C[C@H]1CCCC2 ZINC000723502015 711513458 /nfs/dbraw/zinc/51/34/58/711513458.db2.gz XZWVQALIZLJPDB-NFAWXSAZSA-N 0 3 247.386 2.787 20 0 BFADHN CO[C@@H]1C[C@H](NCc2cc(C)cc(C)n2)C1(C)C ZINC000723605585 711525083 /nfs/dbraw/zinc/52/50/83/711525083.db2.gz BYGSEWHRSBUGRY-UONOGXRCSA-N 0 3 248.370 2.602 20 0 BFADHN CCCCN(C)Cc1cnn(C2CCC2)c1 ZINC000891450108 711528648 /nfs/dbraw/zinc/52/86/48/711528648.db2.gz ITOTUKTVTIXTBB-UHFFFAOYSA-N 0 3 221.348 2.840 20 0 BFADHN C[C@H]1C[C@H](NCCC2CC=CC2)c2nccn21 ZINC000724187205 711567322 /nfs/dbraw/zinc/56/73/22/711567322.db2.gz SDIFBABRFPIHKS-AAEUAGOBSA-N 0 3 231.343 2.835 20 0 BFADHN CCCCC1(NCc2cc(C)no2)CC1 ZINC000934203591 711575252 /nfs/dbraw/zinc/57/52/52/711575252.db2.gz SACVPEPFMAXHEV-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN CCc1[nH]nc(Cl)c1CN1CC[C@@H](C)[C@@H]1C ZINC000892213914 711582787 /nfs/dbraw/zinc/58/27/87/711582787.db2.gz AOONOFGEOAPJKV-BDAKNGLRSA-N 0 3 241.766 2.856 20 0 BFADHN CCn1cc([C@H](C)N[C@H](C)C2(Cl)CC2)cn1 ZINC000926541417 711633338 /nfs/dbraw/zinc/63/33/38/711633338.db2.gz JENXTVJULCOKPJ-VHSXEESVSA-N 0 3 241.766 2.714 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NC[C@@H]1C[C@H]1C1CC1 ZINC000926549469 711637110 /nfs/dbraw/zinc/63/71/10/711637110.db2.gz JCBVRFNNDUTOEU-RWMBFGLXSA-N 0 3 246.354 2.996 20 0 BFADHN C[C@@H]1C[C@@H](NCCC2CCC2)c2nccn21 ZINC000382236231 711665115 /nfs/dbraw/zinc/66/51/15/711665115.db2.gz FMDOTJLFTRNHDJ-ZYHUDNBSSA-N 0 3 219.332 2.669 20 0 BFADHN C[C@H](CCC1CC1)NCc1cnn(C)c1Cl ZINC000382325202 711666087 /nfs/dbraw/zinc/66/60/87/711666087.db2.gz UXMZGUAMQGXOJS-SECBINFHSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@H](C)c1cccnc1Cl ZINC000393796945 711678541 /nfs/dbraw/zinc/67/85/41/711678541.db2.gz KFMHRDSDIYVKJW-KXUCPTDWSA-N 0 3 242.750 2.545 20 0 BFADHN Cc1nn(C)c(Cl)c1CNCC(C)(C)C ZINC000136208798 711690564 /nfs/dbraw/zinc/69/05/64/711690564.db2.gz SNHVQWHGOMVIRD-UHFFFAOYSA-N 0 3 229.755 2.518 20 0 BFADHN Cc1ccccc1CCCN(C)Cc1cn[nH]c1 ZINC000085826092 711695832 /nfs/dbraw/zinc/69/58/32/711695832.db2.gz KTIAIVZJHWDUAD-UHFFFAOYSA-N 0 3 243.354 2.783 20 0 BFADHN Cn1nccc1[C@H]1CCCN1[C@@H]1C=CCCC1 ZINC000932267951 711762842 /nfs/dbraw/zinc/76/28/42/711762842.db2.gz HYBSTGAYRGICCA-TZMCWYRMSA-N 0 3 231.343 2.666 20 0 BFADHN Cn1nccc1[C@@H]1CCCN1[C@H]1C=CCCC1 ZINC000932267949 711762849 /nfs/dbraw/zinc/76/28/49/711762849.db2.gz HYBSTGAYRGICCA-JSGCOSHPSA-N 0 3 231.343 2.666 20 0 BFADHN Cn1nccc1[C@@H]1CCCN1[C@@H]1C=CCCC1 ZINC000932267950 711762860 /nfs/dbraw/zinc/76/28/60/711762860.db2.gz HYBSTGAYRGICCA-OCCSQVGLSA-N 0 3 231.343 2.666 20 0 BFADHN Cc1coc(CN2CCC([C@@H]3CCCO3)CC2)c1 ZINC000894532298 711771707 /nfs/dbraw/zinc/77/17/07/711771707.db2.gz FHQGHWAZRYSBEF-HNNXBMFYSA-N 0 3 249.354 2.979 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@H](C)C(C)(C)C ZINC000925076535 711771971 /nfs/dbraw/zinc/77/19/71/711771971.db2.gz OWKYTWFMEDXNGT-IUCAKERBSA-N 0 3 225.336 2.711 20 0 BFADHN CCCCC[C@H](C)NCc1csc(N)n1 ZINC000721321474 711799807 /nfs/dbraw/zinc/79/98/07/711799807.db2.gz ZJTCDUYJAUUXMT-VIFPVBQESA-N 0 3 227.377 2.784 20 0 BFADHN C[C@@H](NC[C@@]1(C)CCCCO1)c1ccns1 ZINC000927010821 711800317 /nfs/dbraw/zinc/80/03/17/711800317.db2.gz BUJQRDFLSQKJHN-ZYHUDNBSSA-N 0 3 240.372 2.753 20 0 BFADHN C#CCN(C)Cc1ccc(C(C)C)cc1 ZINC000061779891 711839877 /nfs/dbraw/zinc/83/98/77/711839877.db2.gz DZVBNQDUFCSDCH-UHFFFAOYSA-N 0 3 201.313 2.875 20 0 BFADHN Cn1cncc1CNCc1ccccc1C1CC1 ZINC000388377889 711872714 /nfs/dbraw/zinc/87/27/14/711872714.db2.gz FQYDSJFRBBQAKC-UHFFFAOYSA-N 0 3 241.338 2.587 20 0 BFADHN Cc1csc(CNC[C@H](C)CC(F)F)n1 ZINC000700079200 711985411 /nfs/dbraw/zinc/98/54/11/711985411.db2.gz IWYLAMCKZORJPP-SSDOTTSWSA-N 0 3 234.315 2.832 20 0 BFADHN Cc1ccc2cc(CNC3CSC3)ccc2n1 ZINC000702031526 712036750 /nfs/dbraw/zinc/03/67/50/712036750.db2.gz WRSCVQFTYIKQNL-UHFFFAOYSA-N 0 3 244.363 2.748 20 0 BFADHN CC1([NH2+]Cc2ccc([O-])c(F)c2)CC=CC1 ZINC000702188461 712040705 /nfs/dbraw/zinc/04/07/05/712040705.db2.gz ANFQWBGSUGVFLM-UHFFFAOYSA-N 0 3 221.275 2.730 20 0 BFADHN Cc1nn(C)c(Cl)c1CNC1(C)CC=CC1 ZINC000702184425 712040979 /nfs/dbraw/zinc/04/09/79/712040979.db2.gz DKTDUSQLNNZIFQ-UHFFFAOYSA-N 0 3 239.750 2.580 20 0 BFADHN CCCCCCn1cc([C@@H](N)C(C)(C)C)nn1 ZINC000702250395 712041840 /nfs/dbraw/zinc/04/18/40/712041840.db2.gz HOVAMWQNYDBPEM-GFCCVEGCSA-N 0 3 238.379 2.904 20 0 BFADHN COC1CC(CN[C@@H](C)c2ncc(C)s2)C1 ZINC000703854728 712091157 /nfs/dbraw/zinc/09/11/57/712091157.db2.gz SNHSUZFQDGECRG-WHXUTIOJSA-N 0 3 240.372 2.527 20 0 BFADHN CCC[C@H](CN[C@@H]1CCc2cccnc21)OCC ZINC000705351446 712130173 /nfs/dbraw/zinc/13/01/73/712130173.db2.gz MGCXERIJIYUWFK-ZIAGYGMSSA-N 0 3 248.370 2.864 20 0 BFADHN CCC[C@@H](CN[C@H]1CCc2cccnc21)OCC ZINC000705351439 712130311 /nfs/dbraw/zinc/13/03/11/712130311.db2.gz MGCXERIJIYUWFK-KBPBESRZSA-N 0 3 248.370 2.864 20 0 BFADHN C[C@@H]1CCCC[C@H]1NCc1cc(Cl)n(C)n1 ZINC000706167861 712141332 /nfs/dbraw/zinc/14/13/32/712141332.db2.gz LSWLWEQBCPMIGE-MWLCHTKSSA-N 0 3 241.766 2.742 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1cc(Cl)n(C)n1 ZINC000706164322 712141516 /nfs/dbraw/zinc/14/15/16/712141516.db2.gz DGWFSCZRYGXCEE-VIFPVBQESA-N 0 3 243.782 2.988 20 0 BFADHN Cn1c(Cl)cnc1CNC[C@@]1(C)CC1(C)C ZINC000706419779 712145874 /nfs/dbraw/zinc/14/58/74/712145874.db2.gz PTKIWKBORZOQHH-GFCCVEGCSA-N 0 3 241.766 2.599 20 0 BFADHN Cc1conc1CNC(C(C)C)C(C)C ZINC000708234552 712184022 /nfs/dbraw/zinc/18/40/22/712184022.db2.gz GKMLEPAIAHARRI-UHFFFAOYSA-N 0 3 210.321 2.753 20 0 BFADHN Cc1conc1CN[C@@H]1Cc2ccccc2[C@@H]1C ZINC000708290907 712185321 /nfs/dbraw/zinc/18/53/21/712185321.db2.gz ZDAUVUQRXYVCOZ-SMDDNHRTSA-N 0 3 242.322 2.801 20 0 BFADHN CC[C@@H](NCc1nocc1C)[C@@H]1CC1(C)C ZINC000708290459 712185326 /nfs/dbraw/zinc/18/53/26/712185326.db2.gz UCZVCPDWNUFQSL-WDEREUQCSA-N 0 3 222.332 2.897 20 0 BFADHN CCCCCN[C@H](C)c1cn(C(C)C)nn1 ZINC000708296875 712185894 /nfs/dbraw/zinc/18/58/94/712185894.db2.gz ZMXDDYJWYSYITN-LLVKDONJSA-N 0 3 224.352 2.700 20 0 BFADHN COc1ccccc1CNC[C@H]1CC(C)(C)CO1 ZINC000708351193 712189838 /nfs/dbraw/zinc/18/98/38/712189838.db2.gz VFMQWPRSYOMFEX-CYBMUJFWSA-N 0 3 249.354 2.600 20 0 BFADHN CC1(C)CO[C@@H](CNCc2ccccc2F)C1 ZINC000708362922 712190982 /nfs/dbraw/zinc/19/09/82/712190982.db2.gz VKDHTUZDPKWAHL-GFCCVEGCSA-N 0 3 237.318 2.730 20 0 BFADHN C[C@H](NCc1ccno1)[C@]12C[C@H]1CCCC2 ZINC000709088913 712208286 /nfs/dbraw/zinc/20/82/86/712208286.db2.gz NCOOUJXBVXTKHL-LOWVWBTDSA-N 0 3 220.316 2.733 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccsc1)c1cn(C)cn1 ZINC000709922712 712233403 /nfs/dbraw/zinc/23/34/03/712233403.db2.gz XEDKCLBZIDRSOU-WDEREUQCSA-N 0 3 249.383 2.763 20 0 BFADHN C[C@H](NCCCC1CCC1)c1cn(C)cn1 ZINC000709922944 712233535 /nfs/dbraw/zinc/23/35/35/712233535.db2.gz YEKJPIZWTKAVPO-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H](C)c1cn(C)cn1 ZINC000709939914 712234396 /nfs/dbraw/zinc/23/43/96/712234396.db2.gz QEIOTTINZQKYCL-QJPTWQEYSA-N 0 3 221.348 2.505 20 0 BFADHN C[C@H](NCCCC(C)(C)C)c1cn(C)cn1 ZINC000709977430 712236609 /nfs/dbraw/zinc/23/66/09/712236609.db2.gz VAQKUHSSVGIRHD-NSHDSACASA-N 0 3 223.364 2.897 20 0 BFADHN Cc1csc(CNC[C@H](C)c2ccccn2)n1 ZINC000710277859 712250017 /nfs/dbraw/zinc/25/00/17/712250017.db2.gz HYWLZGUHBYZJTN-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN CCC[C@@H](N)c1cn(C[C@H](C)C(C)(C)C)nn1 ZINC000710830113 712257526 /nfs/dbraw/zinc/25/75/26/712257526.db2.gz RIGQBURUUFLKIL-WDEREUQCSA-N 0 3 238.379 2.760 20 0 BFADHN C[C@H]1COC[C@H]1NCc1cccc(Cl)c1F ZINC000711099490 712280338 /nfs/dbraw/zinc/28/03/38/712280338.db2.gz XIRRSNXRSQCUIO-GZMMTYOYSA-N 0 3 243.709 2.604 20 0 BFADHN CC[C@H]1C[C@H](N[C@H](C)c2ccccc2OC)CO1 ZINC000711143880 712285048 /nfs/dbraw/zinc/28/50/48/712285048.db2.gz FBVVHUIITWEHEH-AGIUHOORSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H](CC1CCC1)NCc1nccn1C1CC1 ZINC000711801737 712331965 /nfs/dbraw/zinc/33/19/65/712331965.db2.gz RBAKYUXGVSMDJH-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN c1cn(C2CC2)c(CNC[C@@H]2CC[C@H]3C[C@H]3C2)n1 ZINC000711800847 712332014 /nfs/dbraw/zinc/33/20/14/712332014.db2.gz DRQGEISTOSKDEM-FRRDWIJNSA-N 0 3 245.370 2.744 20 0 BFADHN CCC(C)(C)CNCc1nccn1C1CC1 ZINC000711817844 712332415 /nfs/dbraw/zinc/33/24/15/712332415.db2.gz OWXGNNMLKQQCMY-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN c1cnc(CN[C@H](C2CCC2)C2CCCC2)nc1 ZINC000711893347 712338210 /nfs/dbraw/zinc/33/82/10/712338210.db2.gz LBRIXLACYFBCPB-HNNXBMFYSA-N 0 3 245.370 2.925 20 0 BFADHN COC(C)(C)[C@H](C)NCc1nc(C)c(C)s1 ZINC000378687428 712357615 /nfs/dbraw/zinc/35/76/15/712357615.db2.gz FZBIXCXCJZEYOG-JTQLQIEISA-N 0 3 242.388 2.663 20 0 BFADHN CCOCC(C)(C)NCc1cc(C)oc1C ZINC000378716636 712358178 /nfs/dbraw/zinc/35/81/78/712358178.db2.gz NFJOXASDIUJGSZ-UHFFFAOYSA-N 0 3 225.332 2.801 20 0 BFADHN CC(F)(F)CCN[C@@H]1CCc2cccnc21 ZINC000379279704 712372593 /nfs/dbraw/zinc/37/25/93/712372593.db2.gz CCBBPFMLGYGICP-SNVBAGLBSA-N 0 3 226.270 2.704 20 0 BFADHN Cc1nn(C)c(CNC2(C(C)C)CC2)c1Cl ZINC000379453981 712385139 /nfs/dbraw/zinc/38/51/39/712385139.db2.gz PHZATQARHPHRLO-UHFFFAOYSA-N 0 3 241.766 2.660 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1cncs1 ZINC000379657227 712391670 /nfs/dbraw/zinc/39/16/70/712391670.db2.gz MEYJDXCUIOKUMG-HRDYMLBCSA-N 0 3 228.386 2.688 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCCC[C@H]12)c1cn(C)cn1 ZINC000712421439 712429261 /nfs/dbraw/zinc/42/92/61/712429261.db2.gz GQXSMUBDFXGAHZ-ZDEQEGDKSA-N 0 3 233.359 2.649 20 0 BFADHN C=C/C=C\CCN[C@@H]1C[C@H](C)n2ccnc21 ZINC000713495959 712463100 /nfs/dbraw/zinc/46/31/00/712463100.db2.gz MBMHVJKQMVNUAI-KBIXYVOKSA-N 0 3 217.316 2.611 20 0 BFADHN C[C@H](NC[C@H]1CCC(C)(C)CO1)c1ccccn1 ZINC000713596729 712466081 /nfs/dbraw/zinc/46/60/81/712466081.db2.gz NFELLSJQOBISBI-QWHCGFSZSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H](NC[C@@H]1CCC(C)(C)CO1)c1ccccn1 ZINC000713596727 712466098 /nfs/dbraw/zinc/46/60/98/712466098.db2.gz NFELLSJQOBISBI-OLZOCXBDSA-N 0 3 248.370 2.937 20 0 BFADHN CCCn1cc(CN[C@H](C)C[C@H](C)CC)nn1 ZINC000714461223 712490624 /nfs/dbraw/zinc/49/06/24/712490624.db2.gz UFGBLVFPEWWZPP-VXGBXAGGSA-N 0 3 238.379 2.602 20 0 BFADHN C[C@H](NC[C@H]1CC[C@H](C2CC2)O1)c1ccccn1 ZINC000715474138 712520854 /nfs/dbraw/zinc/52/08/54/712520854.db2.gz UCUKATNLTWCUDR-NJZAAPMLSA-N 0 3 246.354 2.690 20 0 BFADHN CCCCCC[C@@H](C)NC(=O)[C@@H](N)CC(C)C ZINC000037041890 712530888 /nfs/dbraw/zinc/53/08/88/712530888.db2.gz IMBYFPKKTZQDCP-OLZOCXBDSA-N 0 3 242.407 2.835 20 0 BFADHN C[C@H](NCC(C)(C)C(C)(C)C)c1cn(C)nn1 ZINC000715899832 712541052 /nfs/dbraw/zinc/54/10/52/712541052.db2.gz CLKLSAPSVNRYNG-JTQLQIEISA-N 0 3 238.379 2.538 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H]2CC[C@@H](C)C2)nn1 ZINC000715919356 712542091 /nfs/dbraw/zinc/54/20/91/712542091.db2.gz FRZPGZAQVRPDIX-GRYCIOLGSA-N 0 3 236.363 2.527 20 0 BFADHN CCCn1cc([C@H](C)N[C@H]2CCC[C@@H]2C)nn1 ZINC000715924563 712542747 /nfs/dbraw/zinc/54/27/47/712542747.db2.gz WLGNSDSGRUUVDU-SRVKXCTJSA-N 0 3 236.363 2.527 20 0 BFADHN CCCn1cc([C@@H](C)NCCCC(C)C)nn1 ZINC000715932146 712543678 /nfs/dbraw/zinc/54/36/78/712543678.db2.gz CJEARCQZNNWULK-GFCCVEGCSA-N 0 3 238.379 2.775 20 0 BFADHN CCC[C@@H](CC)N[C@H](C)c1cn(CCC)nn1 ZINC000715935449 712544355 /nfs/dbraw/zinc/54/43/55/712544355.db2.gz OPPSSKRGZSRDLO-VXGBXAGGSA-N 0 3 238.379 2.917 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1ccns1 ZINC000716022080 712547016 /nfs/dbraw/zinc/54/70/16/712547016.db2.gz MEQGIGVBWLFOAY-SECBINFHSA-N 0 3 228.361 2.609 20 0 BFADHN CCSCCN[C@H](C)c1ccns1 ZINC000716055771 712548945 /nfs/dbraw/zinc/54/89/45/712548945.db2.gz POAOEVGCXUUNIB-MRVPVSSYSA-N 0 3 216.375 2.547 20 0 BFADHN c1ccc(CNC[C@H]2CCC3(CCC3)CO2)nc1 ZINC000716326241 712554676 /nfs/dbraw/zinc/55/46/76/712554676.db2.gz HRJPLAJVPUXPQA-CQSZACIVSA-N 0 3 246.354 2.521 20 0 BFADHN Cc1ncc(CNCCCCC2CC2)o1 ZINC000716385960 712557682 /nfs/dbraw/zinc/55/76/82/712557682.db2.gz QAXCFYAVUKZXFA-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN c1csc(CNCCCCC2CC2)n1 ZINC000716402296 712558912 /nfs/dbraw/zinc/55/89/12/712558912.db2.gz VMSCCFVDTGFZOM-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN Cc1csc(CNC[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)n1 ZINC000716563001 712563852 /nfs/dbraw/zinc/56/38/52/712563852.db2.gz LCOMFVANAXELQJ-QTKMDUPCSA-N 0 3 236.384 2.833 20 0 BFADHN Cc1cc(CN(C)CCCF)cc(Cl)n1 ZINC000716639932 712568672 /nfs/dbraw/zinc/56/86/72/712568672.db2.gz NZLIBVOBKCJWFM-UHFFFAOYSA-N 0 3 230.714 2.835 20 0 BFADHN CC[C@H](C[C@@H](C)O)NCc1cccc(F)c1F ZINC000716853592 712578518 /nfs/dbraw/zinc/57/85/18/712578518.db2.gz PUDFNODHXMFJEG-MWLCHTKSSA-N 0 3 243.297 2.604 20 0 BFADHN CC[C@H](C[C@@H](C)O)N[C@H](C)c1nc(C)cs1 ZINC000716895892 712581028 /nfs/dbraw/zinc/58/10/28/712581028.db2.gz UOEUWDJMUXXKIO-GMTAPVOTSA-N 0 3 242.388 2.652 20 0 BFADHN CC[C@H](C[C@H](C)O)Nc1ccnc2ccccc21 ZINC000716908139 712581747 /nfs/dbraw/zinc/58/17/47/712581747.db2.gz OYGBNZXIXJDGBU-NWDGAFQWSA-N 0 3 244.338 2.618 20 0 BFADHN CC[C@H](C[C@@H](C)O)Nc1ccnc2ccccc21 ZINC000716908146 712581759 /nfs/dbraw/zinc/58/17/59/712581759.db2.gz OYGBNZXIXJDGBU-VXGBXAGGSA-N 0 3 244.338 2.618 20 0 BFADHN COC(CCN1Cc2ccccc2[C@H]1C)OC ZINC000851795529 712612289 /nfs/dbraw/zinc/61/22/89/712612289.db2.gz DOKGMQASEPSIJN-LLVKDONJSA-N 0 3 235.327 2.572 20 0 BFADHN Cc1nc2c(s1)[C@H](NC1CSC1)CCC2 ZINC000379956926 712636502 /nfs/dbraw/zinc/63/65/02/712636502.db2.gz VRPTWIFBVKLQNQ-SNVBAGLBSA-N 0 3 240.397 2.534 20 0 BFADHN C[C@H](NC[C@H]1CCC=CO1)c1ccc(F)cn1 ZINC000379971664 712642604 /nfs/dbraw/zinc/64/26/04/712642604.db2.gz NBQFKONKZHPVEH-CMPLNLGQSA-N 0 3 236.290 2.564 20 0 BFADHN CCc1noc(C)c1[C@H](C)NCCCF ZINC000380195122 712710593 /nfs/dbraw/zinc/71/05/93/712710593.db2.gz QRHORKPQTZFLFF-QMMMGPOBSA-N 0 3 214.284 2.556 20 0 BFADHN c1coc(C2=CCCN(CCOC3CC3)C2)c1 ZINC000930443459 712712553 /nfs/dbraw/zinc/71/25/53/712712553.db2.gz WUOQPWRLKUQZDI-UHFFFAOYSA-N 0 3 233.311 2.548 20 0 BFADHN Cc1coc(CN[C@H]2CCCSC2)c1 ZINC000718144656 712749361 /nfs/dbraw/zinc/74/93/61/712749361.db2.gz LACLIPNQJDPBAM-JTQLQIEISA-N 0 3 211.330 2.573 20 0 BFADHN CO[C@H]1CC[C@@H](C)N(Cc2cc(C)cc(C)n2)C1 ZINC000931473637 712714186 /nfs/dbraw/zinc/71/41/86/712714186.db2.gz BNLKJZBAJXAMIU-HIFRSBDPSA-N 0 3 248.370 2.698 20 0 BFADHN CCC[C@@H](CNCc1cnc(Cl)s1)OC ZINC000718190495 712752883 /nfs/dbraw/zinc/75/28/83/712752883.db2.gz TWNUWMMGTUYGEK-QMMMGPOBSA-N 0 3 248.779 2.701 20 0 BFADHN Cc1coc(CNCCC(C)(F)F)c1 ZINC000718030353 712736921 /nfs/dbraw/zinc/73/69/21/712736921.db2.gz OCUKAMONKYRADX-UHFFFAOYSA-N 0 3 203.232 2.723 20 0 BFADHN Cc1coc(CNCCc2ncc(C)cc2C)c1 ZINC000718036632 712737710 /nfs/dbraw/zinc/73/77/10/712737710.db2.gz FKTMRJCDRYLRMU-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN COC1(CNCc2cc(C)co2)CCCC1 ZINC000718044250 712738358 /nfs/dbraw/zinc/73/83/58/712738358.db2.gz MOQLKHOHAPSXGO-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN Cc1coc(CNC[C@@H]2CCC(C)(C)O2)c1 ZINC000718045423 712738778 /nfs/dbraw/zinc/73/87/78/712738778.db2.gz RYMDMKCUCFXMJE-NSHDSACASA-N 0 3 223.316 2.635 20 0 BFADHN Cc1coc(CNC[C@@H](C)c2nccs2)c1 ZINC000718059315 712739927 /nfs/dbraw/zinc/73/99/27/712739927.db2.gz SROVNWCNFJBURT-SNVBAGLBSA-N 0 3 236.340 2.938 20 0 BFADHN Cc1coc(CNCCc2ccoc2)c1 ZINC000718070228 712740824 /nfs/dbraw/zinc/74/08/24/712740824.db2.gz KOBCEIMIKBLQNL-UHFFFAOYSA-N 0 3 205.257 2.513 20 0 BFADHN Cc1coc(CNC[C@H](c2ccco2)N(C)C)c1 ZINC000718132910 712747232 /nfs/dbraw/zinc/74/72/32/712747232.db2.gz RUHDKOKLNQCSGF-CYBMUJFWSA-N 0 3 248.326 2.574 20 0 BFADHN CCC[C@H](CNCc1cnc(Cl)s1)OC ZINC000718190493 712752867 /nfs/dbraw/zinc/75/28/67/712752867.db2.gz TWNUWMMGTUYGEK-MRVPVSSYSA-N 0 3 248.779 2.701 20 0 BFADHN CO[C@@H]1CCC[C@@H](NCc2cscn2)CC1 ZINC000718761044 712781531 /nfs/dbraw/zinc/78/15/31/712781531.db2.gz SGANPUPWSCVOAC-ZYHUDNBSSA-N 0 3 240.372 2.580 20 0 BFADHN Cc1cc(CN[C@H]2CCCC3(CC3)C2)no1 ZINC000720355619 712833753 /nfs/dbraw/zinc/83/37/53/712833753.db2.gz KJQQIEQSZLPOHU-NSHDSACASA-N 0 3 220.316 2.795 20 0 BFADHN c1ccc2c(c1)nccc2NC[C@@H]1CCC=CO1 ZINC000380238956 712843036 /nfs/dbraw/zinc/84/30/36/712843036.db2.gz UTAYIWTXDNSOMN-LBPRGKRZSA-N 0 3 240.306 2.761 20 0 BFADHN Clc1cnccc1CNC[C@H]1CCC=CO1 ZINC000380243061 712843130 /nfs/dbraw/zinc/84/31/30/712843130.db2.gz WLYZMJZVGQNSKF-LLVKDONJSA-N 0 3 238.718 2.517 20 0 BFADHN C[C@H](NC[C@@H]1C[C@@H]2CCC[C@H]2O1)c1ccccn1 ZINC000720862813 712871228 /nfs/dbraw/zinc/87/12/28/712871228.db2.gz UYITVPBOOKSDEQ-PWNZVWSESA-N 0 3 246.354 2.690 20 0 BFADHN COc1ccccc1CNC1(C)CC(F)(F)C1 ZINC000720964155 712883915 /nfs/dbraw/zinc/88/39/15/712883915.db2.gz DTBJKPQHPNXYBK-UHFFFAOYSA-N 0 3 241.281 2.973 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1cccnc1Cl ZINC000380351002 712892166 /nfs/dbraw/zinc/89/21/66/712892166.db2.gz VDRCGFHKXBDYHC-SCZZXKLOSA-N 0 3 242.775 2.576 20 0 BFADHN CC1(CN2CCC(=O)[C@@H](F)CC2)CCCCC1 ZINC001208897756 957220548 /nfs/dbraw/zinc/22/05/48/957220548.db2.gz HZYPQZBCMKRBTM-LBPRGKRZSA-N 0 3 241.350 2.960 20 0 BFADHN Cc1ccnc(CN2CC[C@](C)(CF)C2)c1 ZINC001206846753 957460610 /nfs/dbraw/zinc/46/06/10/957460610.db2.gz BFGPCONNYJDBKS-CYBMUJFWSA-N 0 3 222.307 2.572 20 0 BFADHN CCOC(=O)CN(CC(C)C)CC(CC)CC ZINC001167199216 957540037 /nfs/dbraw/zinc/54/00/37/957540037.db2.gz HFPPLUOWMKFFLS-UHFFFAOYSA-N 0 3 243.391 2.944 20 0 BFADHN CCN(Cc1cc(Cl)ccn1)C(C)C ZINC000086129528 957560727 /nfs/dbraw/zinc/56/07/27/957560727.db2.gz FQXRIKKEDBVQIT-UHFFFAOYSA-N 0 3 212.724 2.965 20 0 BFADHN COC[C@@H]1CCCCN1Cc1cc(C)c(C)o1 ZINC001204536429 958005562 /nfs/dbraw/zinc/00/55/62/958005562.db2.gz IBBZAAFZNBVHJD-ZDUSSCGKSA-N 0 3 237.343 2.897 20 0 BFADHN CCCCCN(C)Cc1ccc(Cl)nn1 ZINC000167393060 958081178 /nfs/dbraw/zinc/08/11/78/958081178.db2.gz REKOBOQKLQYBNY-UHFFFAOYSA-N 0 3 227.739 2.752 20 0 BFADHN C[C@@H]1CCCN(c2cc(CN(C)C)ccn2)[C@@H]1C ZINC001165051641 721998577 /nfs/dbraw/zinc/99/85/77/721998577.db2.gz INFWLKFFCWUXCT-CHWSQXEVSA-N 0 3 247.386 2.768 20 0 BFADHN C[C@H]1CN(Cc2cocn2)CCC[C@@H]1C ZINC001141126225 960347683 /nfs/dbraw/zinc/34/76/83/960347683.db2.gz FFXBVWGZBPBBKY-QWRGUYRKSA-N 0 3 208.305 2.543 20 0 BFADHN C[C@@H]1CCCN(Cc2ncco2)C[C@@H]1C ZINC001141004753 960805382 /nfs/dbraw/zinc/80/53/82/960805382.db2.gz LTVLFKIXQDLQMF-MNOVXSKESA-N 0 3 208.305 2.543 20 0 BFADHN CC(C)(C)c1nc[nH]c1CN1CCCCC1 ZINC001142209246 961350955 /nfs/dbraw/zinc/35/09/55/961350955.db2.gz JZNDHIXUWTVPDJ-UHFFFAOYSA-N 0 3 221.348 2.693 20 0 BFADHN Cc1ccc(F)c(CNCCOC2CCC2)c1 ZINC000690690978 961553500 /nfs/dbraw/zinc/55/35/00/961553500.db2.gz AVWYLFWRGZQQCB-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN CCN1CC[C@@H](Oc2ccc(Cl)nc2C)C1 ZINC001228702280 963887387 /nfs/dbraw/zinc/88/73/87/963887387.db2.gz OGZPSSNWXJFPCF-SNVBAGLBSA-N 0 3 240.734 2.516 20 0 BFADHN C[C@@H](N[C@H]1C=CCC1)c1cncc(F)c1 ZINC000698206781 965105422 /nfs/dbraw/zinc/10/54/22/965105422.db2.gz SFSVOVDOSOUPPS-SKDRFNHKSA-N 0 3 206.264 2.590 20 0 BFADHN CC[C@@H]1COC[C@H]1NCc1ccccc1Cl ZINC000699558349 965972072 /nfs/dbraw/zinc/97/20/72/965972072.db2.gz JIODOSUQYXFFGE-ZWNOBZJWSA-N 0 3 239.746 2.855 20 0 BFADHN C[C@@]1(CF)CCN(Cc2cccc(O)c2)C1 ZINC001203859512 966800282 /nfs/dbraw/zinc/80/02/82/966800282.db2.gz TZUKOACJCHSXRB-ZDUSSCGKSA-N 0 3 223.291 2.574 20 0 BFADHN c1cc(CN2CC[C@@H]3CCCO[C@H]3C2)cs1 ZINC001204034724 966859724 /nfs/dbraw/zinc/85/97/24/966859724.db2.gz KXJRNFHRQYFHPQ-STQMWFEESA-N 0 3 237.368 2.749 20 0 BFADHN C[C@@H](CN(C)CCc1ccccn1)C(F)(F)F ZINC000348304561 968345515 /nfs/dbraw/zinc/34/55/15/968345515.db2.gz UGALUDNFXPJSBD-JTQLQIEISA-N 0 3 246.276 2.754 20 0 BFADHN CCCN(Cc1ncccc1OC)CC1CC1 ZINC001206611417 968420683 /nfs/dbraw/zinc/42/06/83/968420683.db2.gz VNLQCPMZIQENTN-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cc(C)ccc1CN1CC[C@@H]1C ZINC001206741385 968451006 /nfs/dbraw/zinc/45/10/06/968451006.db2.gz REQLNXXZNVNBON-NSHDSACASA-N 0 3 205.301 2.598 20 0 BFADHN CCCC[C@H](O)CNCc1ccc(C)cc1F ZINC001252110142 968591260 /nfs/dbraw/zinc/59/12/60/968591260.db2.gz FUUNFNGASKXCQG-ZDUSSCGKSA-N 0 3 239.334 2.775 20 0 BFADHN CCCC[C@H](O)CN[C@@H](C)c1ccc(C)cn1 ZINC001252111279 968595263 /nfs/dbraw/zinc/59/52/63/968595263.db2.gz RCTSFWCXQHOXQY-STQMWFEESA-N 0 3 236.359 2.592 20 0 BFADHN CCCCNc1cc(N2CCCC2)nc(C)n1 ZINC000244186256 968764358 /nfs/dbraw/zinc/76/43/58/968764358.db2.gz VMAAXNZKXABHLN-UHFFFAOYSA-N 0 3 234.347 2.597 20 0 BFADHN Fc1ccccc1CCCN1CC=CC1 ZINC001207490632 968801413 /nfs/dbraw/zinc/80/14/13/968801413.db2.gz VRXFRQVGNIZQNM-UHFFFAOYSA-N 0 3 205.276 2.630 20 0 BFADHN CN(C)C1CN(CCCC2CCCCC2)C1 ZINC001207606903 968831410 /nfs/dbraw/zinc/83/14/10/968831410.db2.gz XOBNVYNASZGOIC-UHFFFAOYSA-N 0 3 224.392 2.593 20 0 BFADHN CN1CC=C(c2cncc(C3CC3)c2)CC1 ZINC001241330663 968978040 /nfs/dbraw/zinc/97/80/40/968978040.db2.gz XHGJHAMUQRNTQM-UHFFFAOYSA-N 0 3 214.312 2.678 20 0 BFADHN C[C@H](CCN1C[C@H](F)C[C@H]1CO)CC(C)(C)C ZINC001208656380 969184851 /nfs/dbraw/zinc/18/48/51/969184851.db2.gz VTCYHTPBIKASOQ-UPJWGTAASA-N 0 3 245.382 2.854 20 0 BFADHN CCCCC[C@H](O)CN1CC[C@@H](C)[C@H](F)C1 ZINC001253525269 969202793 /nfs/dbraw/zinc/20/27/93/969202793.db2.gz LQODVESOLNDIRX-FRRDWIJNSA-N 0 3 231.355 2.608 20 0 BFADHN CC/C=C\CCN1CCC(=O)[C@@H](C)CC1 ZINC001208725820 969213945 /nfs/dbraw/zinc/21/39/45/969213945.db2.gz QFZQRFJZEXJXAF-RXNFCKPNSA-N 0 3 209.333 2.644 20 0 BFADHN Fc1ccc(CCCN2CC[C@H](F)C2)cc1 ZINC001208769375 969235554 /nfs/dbraw/zinc/23/55/54/969235554.db2.gz WLGLKPWRXAQDIN-ZDUSSCGKSA-N 0 3 225.282 2.802 20 0 BFADHN Cc1cc(F)ccc1NC1=CCN(C)CC1 ZINC001208838119 969248036 /nfs/dbraw/zinc/24/80/36/969248036.db2.gz OYQKMZUFWWVTEA-UHFFFAOYSA-N 0 3 220.291 2.765 20 0 BFADHN Cc1cccc(C)c1NC1=CCN(C)CC1 ZINC001208838530 969248416 /nfs/dbraw/zinc/24/84/16/969248416.db2.gz QAMFWIOKZZQYFY-UHFFFAOYSA-N 0 3 216.328 2.935 20 0 BFADHN CN1CC=C(Nc2c(O)cccc2Cl)CC1 ZINC001208859714 969252166 /nfs/dbraw/zinc/25/21/66/969252166.db2.gz YFPRKMUFJYTVDL-UHFFFAOYSA-N 0 3 238.718 2.677 20 0 BFADHN F[C@H]1CCCCN(C[C@@H]2CCC=CO2)C1 ZINC001208878212 969264534 /nfs/dbraw/zinc/26/45/34/969264534.db2.gz WWBJRDYBNDLDHB-RYUDHWBXSA-N 0 3 213.296 2.503 20 0 BFADHN CCCO[C@@H]1CCN(C[C@@H](C)C(F)(F)F)C1 ZINC001208893445 969279995 /nfs/dbraw/zinc/27/99/95/969279995.db2.gz JQGCEUSUAQUTDP-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN COC(=O)[C@@H]1CCCN1CC1(C)CCCCC1 ZINC001208898165 969286375 /nfs/dbraw/zinc/28/63/75/969286375.db2.gz NSHPTHKUJJVFGZ-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN C[C@@H]1CN(C2CCC(F)(F)CC2)C[C@H](C)O1 ZINC001254216470 969542715 /nfs/dbraw/zinc/54/27/15/969542715.db2.gz JPPICQCOFJTFMI-AOOOYVTPSA-N 0 3 233.302 2.674 20 0 BFADHN C[C@@H]1CN(C2CCC(F)(F)CC2)CCCC1=O ZINC001254218710 969546681 /nfs/dbraw/zinc/54/66/81/969546681.db2.gz QUCBMVFLEFPLAB-SNVBAGLBSA-N 0 3 245.313 2.865 20 0 BFADHN C[C@H]1CN(C2CCC(F)(F)CC2)CCCC1=O ZINC001254218709 969546964 /nfs/dbraw/zinc/54/69/64/969546964.db2.gz QUCBMVFLEFPLAB-JTQLQIEISA-N 0 3 245.313 2.865 20 0 BFADHN CCN(C)Cc1n[nH]c2ccc(Cl)cc21 ZINC001209751699 969606079 /nfs/dbraw/zinc/60/60/79/969606079.db2.gz VXBHSSDBMVKPKR-UHFFFAOYSA-N 0 3 223.707 2.668 20 0 BFADHN CCN(C)Cc1[nH]nc2ccc(Cl)cc21 ZINC001209751699 969606085 /nfs/dbraw/zinc/60/60/85/969606085.db2.gz VXBHSSDBMVKPKR-UHFFFAOYSA-N 0 3 223.707 2.668 20 0 BFADHN CCCc1cc(CN)nn1[C@@H](C)CC(F)(F)F ZINC001255639436 970411540 /nfs/dbraw/zinc/41/15/40/970411540.db2.gz MXBPSKHEEQIRES-QMMMGPOBSA-N 0 3 249.280 2.808 20 0 BFADHN CCCc1cc(CN)nn1[C@H](C)CC(F)(F)F ZINC001255639435 970412159 /nfs/dbraw/zinc/41/21/59/970412159.db2.gz MXBPSKHEEQIRES-MRVPVSSYSA-N 0 3 249.280 2.808 20 0 BFADHN Cc1ccc(CN[C@@H](C)CC(F)(F)F)n1C ZINC001255634793 970408798 /nfs/dbraw/zinc/40/87/98/970408798.db2.gz FNIXKOKCVOOOQM-QMMMGPOBSA-N 0 3 234.265 2.764 20 0 BFADHN NCc1ccn([C@@H]2CCC[C@H](C(F)(F)F)C2)n1 ZINC001255690009 970458845 /nfs/dbraw/zinc/45/88/45/970458845.db2.gz QDTGJEGFPWOPFH-WCBMZHEXSA-N 0 3 247.264 2.635 20 0 BFADHN CCCOC1CCC(NCc2ccon2)CC1 ZINC001173091666 975017281 /nfs/dbraw/zinc/01/72/81/975017281.db2.gz YKDZRRPVOHDQCQ-UHFFFAOYSA-N 0 3 238.331 2.502 20 0 BFADHN CCCC[C@@H](CC(C)C)N1CCN(C#N)CC1 ZINC001173262332 975117825 /nfs/dbraw/zinc/11/78/25/975117825.db2.gz XXVPFIJBFRZWJK-AWEZNQCLSA-N 0 3 237.391 2.690 20 0 BFADHN CC[C@@H]1CC[C@H](Nc2ccc(CN)nc2)C1 ZINC001173274893 975157534 /nfs/dbraw/zinc/15/75/34/975157534.db2.gz QQYADQRUQZBGOE-MNOVXSKESA-N 0 3 219.332 2.531 20 0 BFADHN Cc1cc(F)cc(O[C@@H]2CCCN(C)C2)c1 ZINC001227849324 975737109 /nfs/dbraw/zinc/73/71/09/975737109.db2.gz ZBHUITAUVPXJDP-GFCCVEGCSA-N 0 3 223.291 2.607 20 0 BFADHN Cc1cn(C)nc1CN(C)[C@@H]1CCCC[C@@H]1C ZINC001333430733 975772844 /nfs/dbraw/zinc/77/28/44/975772844.db2.gz XHNZDJDWJLHZFW-SMDDNHRTSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NCCCC(F)F ZINC001333629717 975906274 /nfs/dbraw/zinc/90/62/74/975906274.db2.gz GPRCZIYNJQQIFL-SECBINFHSA-N 0 3 244.285 2.995 20 0 BFADHN CCN1CC[C@@H](Oc2c(C)cccc2F)C1 ZINC001231034467 977438275 /nfs/dbraw/zinc/43/82/75/977438275.db2.gz MRRJJYURGZJMKJ-LLVKDONJSA-N 0 3 223.291 2.607 20 0 BFADHN CCOc1ccc(CN2CCC(C)(C)C2)cn1 ZINC001231653869 977663586 /nfs/dbraw/zinc/66/35/86/977663586.db2.gz ANGUETQTDMMCNC-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CN(Cc1cc(Cl)cs1)[C@H]1CCN(C)C1 ZINC001232280134 977987755 /nfs/dbraw/zinc/98/77/55/977987755.db2.gz CDMGFQMYDVGGCX-JTQLQIEISA-N 0 3 244.791 2.537 20 0 BFADHN Nc1ccc(F)cc1CN1CCC[C@H](F)CC1 ZINC001232508038 978156680 /nfs/dbraw/zinc/15/66/80/978156680.db2.gz VKHNZRDRGDHUHP-NSHDSACASA-N 0 3 240.297 2.732 20 0 BFADHN COC/C(C)=C\CN[C@@H](C)c1ncccc1C ZINC001176896524 978253677 /nfs/dbraw/zinc/25/36/77/978253677.db2.gz HOLIHDJWNDEKHL-YLBKJLTLSA-N 0 3 234.343 2.633 20 0 BFADHN C[C@@H]1CCCC[N@@H+]1Cc1cc(Cl)ncc1[O-] ZINC001233033882 978422325 /nfs/dbraw/zinc/42/23/25/978422325.db2.gz VXYFUKCWYJVVAY-SECBINFHSA-N 0 3 240.734 2.815 20 0 BFADHN C[C@@H]1CCCC[N@H+]1Cc1cc(Cl)ncc1[O-] ZINC001233033882 978422332 /nfs/dbraw/zinc/42/23/32/978422332.db2.gz VXYFUKCWYJVVAY-SECBINFHSA-N 0 3 240.734 2.815 20 0 BFADHN CC1(C)CN(CCO[C@@H]2CCCCO2)C1(C)C ZINC001337727760 978474167 /nfs/dbraw/zinc/47/41/67/978474167.db2.gz GWDIKAJEBMAYFL-GFCCVEGCSA-N 0 3 241.375 2.650 20 0 BFADHN Cc1cnsc1CN1CCC2(CCC2)C1 ZINC001233321342 978557728 /nfs/dbraw/zinc/55/77/28/978557728.db2.gz KJEGLCXTDBMCCG-UHFFFAOYSA-N 0 3 222.357 2.828 20 0 BFADHN CC(C)N(Cc1cncc(Cl)n1)CC1CC1 ZINC001233449153 978608286 /nfs/dbraw/zinc/60/82/86/978608286.db2.gz SBYYGPMAXNWCAB-UHFFFAOYSA-N 0 3 239.750 2.750 20 0 BFADHN COC[C@H]1CCN1Cc1cc(C)c(C)s1 ZINC001233710401 978677928 /nfs/dbraw/zinc/67/79/28/978677928.db2.gz SOZYKZZAXWCVNU-LLVKDONJSA-N 0 3 225.357 2.586 20 0 BFADHN CCN(Cc1cc(F)ccc1N(C)C)C1CC1 ZINC001180271778 978853212 /nfs/dbraw/zinc/85/32/12/978853212.db2.gz SAYKJMGAEACVQR-UHFFFAOYSA-N 0 3 236.334 2.876 20 0 BFADHN COc1cc(CN(C)C(C)C)cc(F)c1F ZINC001235434325 979044856 /nfs/dbraw/zinc/04/48/56/979044856.db2.gz NPMJWAZPTYUTDW-UHFFFAOYSA-N 0 3 229.270 2.814 20 0 BFADHN Cc1cccc(CN2C[C@@H](O)C[C@H]2C)c1Cl ZINC001236852327 979757300 /nfs/dbraw/zinc/75/73/00/979757300.db2.gz UPMVPJXXBYCZIZ-PWSUYJOCSA-N 0 3 239.746 2.604 20 0 BFADHN CC[N@H+](Cc1sc(=O)[n-]c1Cl)C(C)C ZINC001237162439 979824049 /nfs/dbraw/zinc/82/40/49/979824049.db2.gz UQRWMLQUSWJJJX-UHFFFAOYSA-N 0 3 234.752 2.732 20 0 BFADHN CC[N@@H+](Cc1sc(=O)[n-]c1Cl)C(C)C ZINC001237162439 979824052 /nfs/dbraw/zinc/82/40/52/979824052.db2.gz UQRWMLQUSWJJJX-UHFFFAOYSA-N 0 3 234.752 2.732 20 0 BFADHN CC1(F)CC[NH+](Cc2occc2[S-])CC1 ZINC001237180399 979829670 /nfs/dbraw/zinc/82/96/70/979829670.db2.gz JLVZHFYXBTUAII-UHFFFAOYSA-N 0 3 229.320 2.892 20 0 BFADHN CC[C@@H]1CCC[N@@H+]1Cc1occc1[S-] ZINC001237181179 979833680 /nfs/dbraw/zinc/83/36/80/979833680.db2.gz FPERIZFXYQSEPP-SECBINFHSA-N 0 3 211.330 2.943 20 0 BFADHN CC[C@@H]1CCC[N@H+]1Cc1occc1[S-] ZINC001237181179 979833687 /nfs/dbraw/zinc/83/36/87/979833687.db2.gz FPERIZFXYQSEPP-SECBINFHSA-N 0 3 211.330 2.943 20 0 BFADHN [S-]c1ccoc1C[N@H+](CC1CC1)C1CC1 ZINC001237186896 979835166 /nfs/dbraw/zinc/83/51/66/979835166.db2.gz VDKBLNCEJYYIFY-UHFFFAOYSA-N 0 3 223.341 2.943 20 0 BFADHN [S-]c1ccoc1C[N@@H+](CC1CC1)C1CC1 ZINC001237186896 979835172 /nfs/dbraw/zinc/83/51/72/979835172.db2.gz VDKBLNCEJYYIFY-UHFFFAOYSA-N 0 3 223.341 2.943 20 0 BFADHN COc1ccoc1CN1CC[C@H](C)C[C@@H]1C ZINC001237875268 980107624 /nfs/dbraw/zinc/10/76/24/980107624.db2.gz UFSDKOYJQHEKSW-QWRGUYRKSA-N 0 3 223.316 2.909 20 0 BFADHN CCCN(C)Cc1ccccc1C(=O)OCC ZINC001237879985 980110628 /nfs/dbraw/zinc/11/06/28/980110628.db2.gz RNYVBADVXDXLGO-UHFFFAOYSA-N 0 3 235.327 2.705 20 0 BFADHN COc1cc(C)c(C)cc1CN1CC[C@@H]1C ZINC001238759318 980319196 /nfs/dbraw/zinc/31/91/96/980319196.db2.gz IXFFBWPVMIHONG-LBPRGKRZSA-N 0 3 219.328 2.906 20 0 BFADHN COc1ccc(C2=CCN(C)CC2)c(C)c1 ZINC001241317252 982472329 /nfs/dbraw/zinc/47/23/29/982472329.db2.gz LOUSGBLDPJMPBN-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN CCCCN1CCCC(F)(F)[C@H](F)C1 ZINC001201704204 983415537 /nfs/dbraw/zinc/41/55/37/983415537.db2.gz LXGYIWQPEXNQFZ-SECBINFHSA-N 0 3 209.255 2.856 20 0 BFADHN CCOCC[C@H](C)N1Cc2ccccc2C1 ZINC001172422630 974697488 /nfs/dbraw/zinc/69/74/88/974697488.db2.gz GUVGZEURPVEDOT-LBPRGKRZSA-N 0 3 219.328 2.817 20 0 BFADHN CN1CCC[C@@H](Oc2cc(F)c(F)c(F)c2)C1 ZINC001225910790 974724688 /nfs/dbraw/zinc/72/46/88/974724688.db2.gz GCQYAUWQALYHEM-MRVPVSSYSA-N 0 3 245.244 2.577 20 0 BFADHN CC[C@H](CC(C)C)NCc1nccc(C)n1 ZINC001172382853 974724923 /nfs/dbraw/zinc/72/49/23/974724923.db2.gz HTLFVSZUMOBALX-GFCCVEGCSA-N 0 3 221.348 2.699 20 0 BFADHN CC[C@H](CC(C)C)N1CCc2c(cnn2C)C1 ZINC001172400278 974762474 /nfs/dbraw/zinc/76/24/74/974762474.db2.gz FTRJSXAJHIKROU-CYBMUJFWSA-N 0 3 235.375 2.603 20 0 BFADHN CC(C)COCC[C@H](C)N1CCOCC1(C)C ZINC001172468499 974764519 /nfs/dbraw/zinc/76/45/19/974764519.db2.gz LHXHREUMKDCWEJ-ZDUSSCGKSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)COCC[C@H](C)N1CCOC(C)(C)C1 ZINC001172469406 974767653 /nfs/dbraw/zinc/76/76/53/974767653.db2.gz SGYUOPASCAXCJG-ZDUSSCGKSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)OCC[C@H](C)N(C)Cc1ccccn1 ZINC001172440050 974795803 /nfs/dbraw/zinc/79/58/03/974795803.db2.gz IPBKKHPDOLXSTO-ZDUSSCGKSA-N 0 3 236.359 2.717 20 0 BFADHN CC(C)OCC[C@H](C)N[C@@H](C)c1ccno1 ZINC001172441436 974798217 /nfs/dbraw/zinc/79/82/17/974798217.db2.gz WJDMAXVXDXDHSK-QWRGUYRKSA-N 0 3 226.320 2.529 20 0 BFADHN CC(C)OCC[C@@H](C)N1CCc2occc2C1 ZINC001172453148 974814949 /nfs/dbraw/zinc/81/49/49/974814949.db2.gz DVPLFQRUNBFYTC-GFCCVEGCSA-N 0 3 237.343 2.841 20 0 BFADHN CC(C)OCC[C@H](C)N1CCO[C@H](C(C)C)C1 ZINC001172456791 974821043 /nfs/dbraw/zinc/82/10/43/974821043.db2.gz OZSHENZHGKSADP-KBPBESRZSA-N 0 3 243.391 2.547 20 0 BFADHN CCC(CC)[N@@H+](CC)Cc1ccc([O-])cn1 ZINC001144265025 972724563 /nfs/dbraw/zinc/72/45/63/972724563.db2.gz IBQVGPIFJBYIMG-UHFFFAOYSA-N 0 3 222.332 2.798 20 0 BFADHN CC(C)CC[C@H](C)n1ncc2c1CCNC2 ZINC001256364742 970766968 /nfs/dbraw/zinc/76/69/68/970766968.db2.gz VUCBASZGWXPOFT-NSHDSACASA-N 0 3 221.348 2.526 20 0 BFADHN CC(C)CC[C@H](C)N(C)Cc1cncnc1 ZINC001256374600 970793408 /nfs/dbraw/zinc/79/34/08/970793408.db2.gz MIIGIZYKYBXLBU-LBPRGKRZSA-N 0 3 221.348 2.733 20 0 BFADHN C[C@H](Cc1ccccn1)N(C)C1CC(F)(F)C1 ZINC001256409918 970815577 /nfs/dbraw/zinc/81/55/77/970815577.db2.gz IDUAYGMIQSIKMA-SNVBAGLBSA-N 0 3 240.297 2.742 20 0 BFADHN C[C@@H](Cc1ccccn1)N(C)C1CC(F)(F)C1 ZINC001256409916 970816989 /nfs/dbraw/zinc/81/69/89/970816989.db2.gz IDUAYGMIQSIKMA-JTQLQIEISA-N 0 3 240.297 2.742 20 0 BFADHN Cc1ccc(Nc2ccncc2C)c2c[nH]nc21 ZINC001215754229 970829117 /nfs/dbraw/zinc/82/91/17/970829117.db2.gz OUQJFTHEZYEXDQ-UHFFFAOYSA-N 0 3 238.294 2.740 20 0 BFADHN COc1ccc(-c2conc2C)cc1CN(C)C ZINC001243677463 970837333 /nfs/dbraw/zinc/83/73/33/970837333.db2.gz GPIYWZJNJUTYGB-UHFFFAOYSA-N 0 3 246.310 2.720 20 0 BFADHN COc1cccc2c1CC[C@@H](N1CC[C@@H](F)C1)C2 ZINC001256646545 970901158 /nfs/dbraw/zinc/90/11/58/970901158.db2.gz OEUOCTFMBLGIAG-CHWSQXEVSA-N 0 3 249.329 2.596 20 0 BFADHN CCc1cc(Nc2cnc(C)n2C)ccc1N ZINC001216037640 970911378 /nfs/dbraw/zinc/91/13/78/970911378.db2.gz PJHKRUAWRIBCMN-UHFFFAOYSA-N 0 3 230.315 2.617 20 0 BFADHN CO[C@@H]1C[C@H](NCC=C(Cl)Cl)C1(C)C ZINC000403337571 970918754 /nfs/dbraw/zinc/91/87/54/970918754.db2.gz BTALZNXCQVTDME-JGVFFNPUSA-N 0 3 238.158 2.709 20 0 BFADHN Cc1cnccc1Nc1cc(F)cc(N(C)C)c1 ZINC001216157437 970960084 /nfs/dbraw/zinc/96/00/84/970960084.db2.gz MSAFOHWDHPEXSY-UHFFFAOYSA-N 0 3 245.301 2.761 20 0 BFADHN c1csc(CNC2C[C@H]3CC[C@@H](C2)S3)n1 ZINC000403573572 970982925 /nfs/dbraw/zinc/98/29/25/970982925.db2.gz PNYXTABIHHSDRR-PBINXNQUSA-N 0 3 240.397 2.659 20 0 BFADHN CCOC1CN(C2CCC(CC)CC2)C1 ZINC001256870668 971001275 /nfs/dbraw/zinc/00/12/75/971001275.db2.gz PAZHEOMLDDJTQN-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN CCC1CCC(N2CC[C@@](C)(O)[C@@H](F)C2)CC1 ZINC001256870826 971005092 /nfs/dbraw/zinc/00/50/92/971005092.db2.gz WQTJZSKYYVGUAZ-CLRIEMFWSA-N 0 3 243.366 2.750 20 0 BFADHN CCC1CCC(N2C[C@H](F)[C@@H](OC)C2)CC1 ZINC001256870703 971006760 /nfs/dbraw/zinc/00/67/60/971006760.db2.gz QOGXRQGLUWCPOF-TYUFSLCMSA-N 0 3 229.339 2.624 20 0 BFADHN COC(=O)c1cc(C)cc(C2=CNCCC2)c1 ZINC001243950071 971040936 /nfs/dbraw/zinc/04/09/36/971040936.db2.gz MGCGEKKSUIZZDD-UHFFFAOYSA-N 0 3 231.295 2.506 20 0 BFADHN COc1cc(C2=CNCCC2)cc2[nH]ccc21 ZINC001243950655 971047424 /nfs/dbraw/zinc/04/74/24/971047424.db2.gz KEJSSCMRNPSWNM-UHFFFAOYSA-N 0 3 228.295 2.901 20 0 BFADHN C[C@H]1CSC[C@@H]1NCC=C(Cl)Cl ZINC000403793636 971067465 /nfs/dbraw/zinc/06/74/65/971067465.db2.gz CCCRCHFTSVAOPV-BQBZGAKWSA-N 0 3 226.172 2.647 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc(O)cc2F)C1 ZINC000404045751 971120463 /nfs/dbraw/zinc/12/04/63/971120463.db2.gz ITBPVTQNSLUENH-SNVBAGLBSA-N 0 3 223.291 2.763 20 0 BFADHN CC1(C)C(NCc2ccns2)C1(C)C ZINC000404404670 971156919 /nfs/dbraw/zinc/15/69/19/971156919.db2.gz CEATZMICVHJBFT-UHFFFAOYSA-N 0 3 210.346 2.667 20 0 BFADHN CCC[C@@H](C)NCc1ccn(CC(F)(F)F)n1 ZINC001257313758 971209332 /nfs/dbraw/zinc/20/93/32/971209332.db2.gz PFFYGAPLVVDAFT-SECBINFHSA-N 0 3 249.280 2.724 20 0 BFADHN CCC[C@H](C)N1CC[C@@](C)(F)[C@@H](F)C1 ZINC001257317717 971217673 /nfs/dbraw/zinc/21/76/73/971217673.db2.gz SROVGZDOELWTNS-GARJFASQSA-N 0 3 205.292 2.947 20 0 BFADHN CCCCN(CC(=O)OCC)[C@@H](C)CCC ZINC001257323785 971224418 /nfs/dbraw/zinc/22/44/18/971224418.db2.gz GHKFJKFQMXYSEP-LBPRGKRZSA-N 0 3 229.364 2.840 20 0 BFADHN CCCC(CCC)N1CC[C@](O)(CF)[C@@H](F)C1 ZINC001257334859 971244769 /nfs/dbraw/zinc/24/47/69/971244769.db2.gz FJXWCKXRQBLZKR-STQMWFEESA-N 0 3 249.345 2.700 20 0 BFADHN CCOC(=O)[C@H]1C[C@H](C)CCN1C1CCCC1 ZINC001257355742 971271711 /nfs/dbraw/zinc/27/17/11/971271711.db2.gz HQJVOTQKBVBWHW-DGCLKSJQSA-N 0 3 239.359 2.593 20 0 BFADHN CCCCCC[C@@H](C)N[C@H]1COC[C@@H]1F ZINC001257359900 971273338 /nfs/dbraw/zinc/27/33/38/971273338.db2.gz ZBOJSQZLYZZIBL-WOPDTQHZSA-N 0 3 217.328 2.672 20 0 BFADHN CCCCCC[C@H](C)N1CCN(CCF)CC1 ZINC001257368269 971281605 /nfs/dbraw/zinc/28/16/05/971281605.db2.gz KKYGMGUYQNTRFJ-AWEZNQCLSA-N 0 3 244.398 2.932 20 0 BFADHN CCCCCC[C@@H](C)N1CCN(C(C)=O)CC1 ZINC001257366651 971282890 /nfs/dbraw/zinc/28/28/90/971282890.db2.gz WZSBLUZLQQDUQM-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CCCCCC1CCC(N2CC(O)C2)CC1 ZINC001257372002 971293065 /nfs/dbraw/zinc/29/30/65/971293065.db2.gz AYZONQKREUYFRF-UHFFFAOYSA-N 0 3 225.376 2.802 20 0 BFADHN NCc1ccc(-c2ccc(Cl)cc2O)cn1 ZINC001244469666 971288148 /nfs/dbraw/zinc/28/81/48/971288148.db2.gz PFVBBHTYOAGBED-UHFFFAOYSA-N 0 3 234.686 2.566 20 0 BFADHN [NH3+]Cc1ccc(-c2ccc(Cl)cc2[O-])cn1 ZINC001244469666 971288154 /nfs/dbraw/zinc/28/81/54/971288154.db2.gz PFVBBHTYOAGBED-UHFFFAOYSA-N 0 3 234.686 2.566 20 0 BFADHN CCCCOC(=O)CC[C@@H](C)NC1(CF)CC1 ZINC001257376521 971294150 /nfs/dbraw/zinc/29/41/50/971294150.db2.gz LLPRDEAMGYLVJI-LLVKDONJSA-N 0 3 245.338 2.590 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CO[C@@H](C)[C@@H]2C)o1 ZINC000405710841 971297159 /nfs/dbraw/zinc/29/71/59/971297159.db2.gz BKXVNZZAGNUINI-VSEIDBEKSA-N 0 3 249.354 2.916 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CO[C@@H](C)[C@H]2C)o1 ZINC000405710839 971297774 /nfs/dbraw/zinc/29/77/74/971297774.db2.gz BKXVNZZAGNUINI-SSYGJKRZSA-N 0 3 249.354 2.916 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1CO[C@H](C)[C@@H]1C ZINC000405793900 971306672 /nfs/dbraw/zinc/30/66/72/971306672.db2.gz WKVRROKDWXKNPF-OUCADQQQSA-N 0 3 249.354 2.517 20 0 BFADHN CCCC[C@H](CC)NCC(=O)c1cccnc1 ZINC001257415948 971313451 /nfs/dbraw/zinc/31/34/51/971313451.db2.gz ZPZUSNYXIGREDL-ZDUSSCGKSA-N 0 3 234.343 2.823 20 0 BFADHN CCCC[C@H](CC)n1cnc2c1CNCC2 ZINC001257417713 971316434 /nfs/dbraw/zinc/31/64/34/971316434.db2.gz GXZQGLQPHQZTTH-NSHDSACASA-N 0 3 221.348 2.670 20 0 BFADHN CCCC[C@H](CC)N1CC2(C1)CCCO2 ZINC001257420087 971318751 /nfs/dbraw/zinc/31/87/51/971318751.db2.gz IMIIFGDUFMXMDT-LBPRGKRZSA-N 0 3 211.349 2.820 20 0 BFADHN CCCC[C@H](CC)N1CCC(=O)[C@@H](F)CC1 ZINC001257419728 971321977 /nfs/dbraw/zinc/32/19/77/971321977.db2.gz ZIEYFCYJDVRIRX-RYUDHWBXSA-N 0 3 229.339 2.958 20 0 BFADHN C(c1nnc([C@@H]2CCCN2)o1)C1CCCCC1 ZINC001217695506 971336627 /nfs/dbraw/zinc/33/66/27/971336627.db2.gz SZUBKKPEZVNSME-NSHDSACASA-N 0 3 235.331 2.617 20 0 BFADHN CC[C@H](C)N[C@H](c1ccccc1)c1cccnn1 ZINC001257436978 971347633 /nfs/dbraw/zinc/34/76/33/971347633.db2.gz ZMKBSIWKTBWGFQ-SWLSCSKDSA-N 0 3 241.338 2.954 20 0 BFADHN CC[C@@H](C)N1CCOC[C@H]1Cc1ccccc1 ZINC001257445730 971360980 /nfs/dbraw/zinc/36/09/80/971360980.db2.gz DGNINYPYJWESOZ-UKRRQHHQSA-N 0 3 233.355 2.728 20 0 BFADHN CCCCOC1CN(C2CCCCC2)C1 ZINC001257459170 971384642 /nfs/dbraw/zinc/38/46/42/971384642.db2.gz AYRSMVVXGSOYOV-UHFFFAOYSA-N 0 3 211.349 2.820 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N1C[C@@H](F)C[C@H]1CO ZINC001257477902 971402303 /nfs/dbraw/zinc/40/23/03/971402303.db2.gz PKLTYLHUGSCDRG-MQYQWHSLSA-N 0 3 245.382 2.996 20 0 BFADHN CC1(C)N[C@@H](COC2CCC(C)(C)CC2)CO1 ZINC001217831752 971427080 /nfs/dbraw/zinc/42/70/80/971427080.db2.gz JIBLCEOZRYKCEA-NSHDSACASA-N 0 3 241.375 2.696 20 0 BFADHN CCCCC[C@H](C)OC[C@H]1COC(C)(C)N1 ZINC001217838133 971434124 /nfs/dbraw/zinc/43/41/24/971434124.db2.gz VUIMMIFGFRFGLJ-RYUDHWBXSA-N 0 3 229.364 2.696 20 0 BFADHN CCC(CC)[C@H](CC)OC[C@@H]1COC(C)(C)N1 ZINC001217865247 971459631 /nfs/dbraw/zinc/45/96/31/971459631.db2.gz GWYGWNOGJJLVSG-OLZOCXBDSA-N 0 3 243.391 2.942 20 0 BFADHN NCc1c(F)cccc1N[C@H]1CCCSC1 ZINC001257657759 971456667 /nfs/dbraw/zinc/45/66/67/971456667.db2.gz MVCMMPCDFKJNSY-VIFPVBQESA-N 0 3 240.347 2.592 20 0 BFADHN CCC[C@H](OC[C@H]1COC(C)(C)N1)C(C)C ZINC001217865280 971457050 /nfs/dbraw/zinc/45/70/50/971457050.db2.gz JXMBCJXQORJOGQ-RYUDHWBXSA-N 0 3 229.364 2.552 20 0 BFADHN CC(C)CC[C@@H](C)OC[C@H]1COC(C)(C)N1 ZINC001217869257 971464180 /nfs/dbraw/zinc/46/41/80/971464180.db2.gz BMUPNBAEOIOMHY-NEPJUHHUSA-N 0 3 229.364 2.552 20 0 BFADHN CC1(C)N[C@@H](CO[C@H]2CCC=CCCC2)CO1 ZINC001217871999 971467271 /nfs/dbraw/zinc/46/72/71/971467271.db2.gz PQBZXFSUDUMCOR-STQMWFEESA-N 0 3 239.359 2.616 20 0 BFADHN CC(C)C[C@@H](OC[C@@H]1COC(C)(C)N1)C(C)C ZINC001217872126 971467518 /nfs/dbraw/zinc/46/75/18/971467518.db2.gz YJZXODSHLHNYJY-CHWSQXEVSA-N 0 3 243.391 2.798 20 0 BFADHN c1ccc2c(c1)CN([C@@H]1CCCSC1)CCO2 ZINC001257676550 971477524 /nfs/dbraw/zinc/47/75/24/971477524.db2.gz CZZNPDXQAFMWPD-CYBMUJFWSA-N 0 3 249.379 2.777 20 0 BFADHN c1[nH]c2ccccc2c1CN1CC[C@]12CCOC2 ZINC001204046091 971475674 /nfs/dbraw/zinc/47/56/74/971475674.db2.gz MPBZBMVBTZDFQM-OAHLLOKOSA-N 0 3 242.322 2.533 20 0 BFADHN CC[C@H](C)CC[C@@H](C)OC[C@H]1COC(C)(C)N1 ZINC001217891545 971490949 /nfs/dbraw/zinc/49/09/49/971490949.db2.gz KZBANCINFLAVGB-XQQFMLRXSA-N 0 3 243.391 2.942 20 0 BFADHN C[C@H]1CCN1[C@@H]1CCc2cc(F)cc(F)c2C1 ZINC001170689796 971549926 /nfs/dbraw/zinc/54/99/26/971549926.db2.gz NAUFGRFJXDBSFS-JOYOIKCWSA-N 0 3 237.293 2.916 20 0 BFADHN CC(C)C[C@H](C)C[C@@H](C)n1cnc(CN)c1 ZINC001258051268 971575582 /nfs/dbraw/zinc/57/55/82/971575582.db2.gz DLEQHIZGCHYRKL-NWDGAFQWSA-N 0 3 223.364 2.975 20 0 BFADHN Cc1c(F)ccc(O[C@@H]2CCNC[C@@H]2F)c1C ZINC001218068792 971594409 /nfs/dbraw/zinc/59/44/09/971594409.db2.gz FZPSZFFASKABRE-WCQYABFASA-N 0 3 241.281 2.521 20 0 BFADHN Cc1ccc(O[C@@H]2CCNC[C@H]2F)c2c1CCC2 ZINC001218064655 971595359 /nfs/dbraw/zinc/59/53/59/971595359.db2.gz AHXOUJJHLGKZAG-UKRRQHHQSA-N 0 3 249.329 2.563 20 0 BFADHN CCC[C@@H](C)Oc1cccc2c1CNC2 ZINC001218212895 971631984 /nfs/dbraw/zinc/63/19/84/971631984.db2.gz ASUBXWXGBWQVGQ-SNVBAGLBSA-N 0 3 205.301 2.857 20 0 BFADHN CCC(CC)Oc1cccc2c1CNC2 ZINC001218213245 971630402 /nfs/dbraw/zinc/63/04/02/971630402.db2.gz CPMIIEUXDKCDIU-UHFFFAOYSA-N 0 3 205.301 2.857 20 0 BFADHN Cc1cc(Cl)ccc1-c1cc(CN)ncn1 ZINC001245085359 971633057 /nfs/dbraw/zinc/63/30/57/971633057.db2.gz UGORDXSMBJUMTQ-UHFFFAOYSA-N 0 3 233.702 2.564 20 0 BFADHN CN1CCC[C@@H](Oc2ccc(Cl)cc2)C1 ZINC001225253500 974311798 /nfs/dbraw/zinc/31/17/98/974311798.db2.gz QFYSCIYGBYKNKM-GFCCVEGCSA-N 0 3 225.719 2.813 20 0 BFADHN CC1(C)[C@@H]2C[C@H](N3Cc4cccnc4C3)C[C@@H]21 ZINC001170740522 971687807 /nfs/dbraw/zinc/68/78/07/971687807.db2.gz RTVHEJPLWHHPII-CLLJXQQHSA-N 0 3 228.339 2.832 20 0 BFADHN Cc1cc(O[C@@H]2CCCN(C)C2)ccc1F ZINC001225322146 974399513 /nfs/dbraw/zinc/39/95/13/974399513.db2.gz UBBCUZNEFPVOFC-GFCCVEGCSA-N 0 3 223.291 2.607 20 0 BFADHN CC1(C)[C@@H]2C[C@H](N3CCc4ncccc4C3)C[C@@H]21 ZINC001170758369 971733031 /nfs/dbraw/zinc/73/30/31/971733031.db2.gz PLYMBUMXNNENLY-BTTYYORXSA-N 0 3 242.366 2.874 20 0 BFADHN CC1(C)[C@@H]2C[C@H](N3CCC(=O)C(C)(C)C3)C[C@@H]21 ZINC001170761379 971742979 /nfs/dbraw/zinc/74/29/79/971742979.db2.gz MHDBKPMDVULLPY-ZSBIGDGJSA-N 0 3 235.371 2.722 20 0 BFADHN Fc1ccc(-c2cc[nH]n2)cc1CN1CCCC1 ZINC001245314917 971778900 /nfs/dbraw/zinc/77/89/00/971778900.db2.gz QKAZVAFNNKWHFI-UHFFFAOYSA-N 0 3 245.301 2.812 20 0 BFADHN Cc1cccc(F)c1O[C@H]1CNCCC1(F)F ZINC001218453077 971821868 /nfs/dbraw/zinc/82/18/68/971821868.db2.gz QGBSDCZVLCMNGC-JTQLQIEISA-N 0 3 245.244 2.510 20 0 BFADHN Cc1cccc(F)c1O[C@@H]1CNCCC1(F)F ZINC001218453078 971822453 /nfs/dbraw/zinc/82/24/53/971822453.db2.gz QGBSDCZVLCMNGC-SNVBAGLBSA-N 0 3 245.244 2.510 20 0 BFADHN Cc1csc(NC(=O)[C@@H](N)CC2CCC2)c1 ZINC001218620746 971885151 /nfs/dbraw/zinc/88/51/51/971885151.db2.gz MBNLQQBUWQZUDO-JTQLQIEISA-N 0 3 238.356 2.513 20 0 BFADHN Cc1c2[nH]cnc2ccc1N[C@H]1CCOC[C@@H]1C ZINC001170817170 971900470 /nfs/dbraw/zinc/90/04/70/971900470.db2.gz FOKTYGIGDGPOCI-ONGXEEELSA-N 0 3 245.326 2.708 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001172331112 974592448 /nfs/dbraw/zinc/59/24/48/974592448.db2.gz PBQXTHCLNNJXTH-QMVSFRDZSA-N 0 3 243.366 2.870 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)N1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001172331108 974594063 /nfs/dbraw/zinc/59/40/63/974594063.db2.gz PBQXTHCLNNJXTH-NDKCEZKHSA-N 0 3 243.366 2.870 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)N(C)Cc1ccno1 ZINC001172344892 974613855 /nfs/dbraw/zinc/61/38/55/974613855.db2.gz BNKFSASUIUBOIX-VXGBXAGGSA-N 0 3 240.347 2.700 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N1C[C@@H](C)OC[C@H]1C ZINC001172348107 974618376 /nfs/dbraw/zinc/61/83/76/974618376.db2.gz OAXLZJHJEUEYJH-YIYPIFLZSA-N 0 3 243.391 2.689 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1CCOC[C@H]1CC ZINC001172355714 974624825 /nfs/dbraw/zinc/62/48/25/974624825.db2.gz VTEZNRAJDXLRNT-MELADBBJSA-N 0 3 243.391 2.691 20 0 BFADHN Cc1cc(CN)nn1[C@@H]1CC[C@@H](C)[C@@H](C)C1 ZINC001172360676 974631351 /nfs/dbraw/zinc/63/13/51/974631351.db2.gz QLLVAHWANNRUBF-GBIKHYSHSA-N 0 3 221.348 2.647 20 0 BFADHN C[C@@H](CN1C[C@H](C)O[C@@H](C)C1)c1ccccc1 ZINC001207910539 956886404 /nfs/dbraw/zinc/88/64/04/956886404.db2.gz SYSQNTNRXYFFFA-IHRRRGAJSA-N 0 3 233.355 2.899 20 0 BFADHN CC/C=C\CCCN1CCc2nn(C)cc2C1 ZINC001208136042 956987375 /nfs/dbraw/zinc/98/73/75/956987375.db2.gz PGTUNUSSTMBPFX-PLNGDYQASA-N 0 3 233.359 2.525 20 0 BFADHN CC[C@@H]1CC[C@@H](NCc2ccn(CC)n2)C1 ZINC000414214034 972234546 /nfs/dbraw/zinc/23/45/46/972234546.db2.gz VLUCUBPFXDMALI-VXGBXAGGSA-N 0 3 221.348 2.571 20 0 BFADHN CO[C@H]1CCN(Cc2cc(C)c(F)c(C)c2)C1 ZINC001143374475 957064653 /nfs/dbraw/zinc/06/46/53/957064653.db2.gz ZBULMTMFZUXUHT-ZDUSSCGKSA-N 0 3 237.318 2.663 20 0 BFADHN Clc1cccc2c1CC[C@H](N1CCC1)C2 ZINC001171033232 972255315 /nfs/dbraw/zinc/25/53/15/972255315.db2.gz LOGJKHWJDPTLLD-NSHDSACASA-N 0 3 221.731 2.903 20 0 BFADHN CCc1cncc(Nc2ccncc2C)c1 ZINC001209165873 957344986 /nfs/dbraw/zinc/34/49/86/957344986.db2.gz STGWGTLTMVLOSD-UHFFFAOYSA-N 0 3 213.284 2.513 20 0 BFADHN Cc1ccn2cc(CN(C)C[C@@H]3C[C@@H]3C)nc2c1 ZINC001209426419 957449373 /nfs/dbraw/zinc/44/93/73/957449373.db2.gz KRDFGPUGVBQIKS-STQMWFEESA-N 0 3 243.354 2.731 20 0 BFADHN C[C@@H]1CCCN(Cc2ccon2)C[C@@H]1C ZINC001209561418 957503626 /nfs/dbraw/zinc/50/36/26/957503626.db2.gz QETQSZSZMDEJMS-MNOVXSKESA-N 0 3 208.305 2.543 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3CCC[C@@H]3C2)[nH]1 ZINC001209570602 957510308 /nfs/dbraw/zinc/51/03/08/957510308.db2.gz QHADVKVGIRYBBV-QWHCGFSZSA-N 0 3 218.344 2.945 20 0 BFADHN CN(Cc1cc(Cl)ccn1)CC1CCC1 ZINC000086130040 957563581 /nfs/dbraw/zinc/56/35/81/957563581.db2.gz AVPFHWMBUNHRRQ-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN Cc1cc2[nH]c(-c3cnccc3N)nc2c(C)c1 ZINC000397390035 957617170 /nfs/dbraw/zinc/61/71/70/957617170.db2.gz XWUXYFXBLGTNPZ-UHFFFAOYSA-N 0 3 238.294 2.654 20 0 BFADHN Cc1cc2nc(-c3cnccc3N)[nH]c2c(C)c1 ZINC000397390035 957617175 /nfs/dbraw/zinc/61/71/75/957617175.db2.gz XWUXYFXBLGTNPZ-UHFFFAOYSA-N 0 3 238.294 2.654 20 0 BFADHN CCCC1CCN(Cc2cnc(N)s2)CC1 ZINC000086766143 957677523 /nfs/dbraw/zinc/67/75/23/957677523.db2.gz PSWKCIMNHIVPBL-UHFFFAOYSA-N 0 3 239.388 2.737 20 0 BFADHN C[C@H]1C[C@H](NCc2nccs2)CCS1 ZINC000888077970 957754238 /nfs/dbraw/zinc/75/42/38/957754238.db2.gz SEKHUCAXBKPOJJ-DTWKUNHWSA-N 0 3 228.386 2.517 20 0 BFADHN CCCCNCc1c(F)cccc1OC ZINC000083826109 957842507 /nfs/dbraw/zinc/84/25/07/957842507.db2.gz QIRGFNLWMVLPTL-UHFFFAOYSA-N 0 3 211.280 2.724 20 0 BFADHN Cc1cc(C)cc(CN2CC(N3CCCC3)C2)c1 ZINC001204523637 957857508 /nfs/dbraw/zinc/85/75/08/957857508.db2.gz AOPFIEYJEPDVLN-UHFFFAOYSA-N 0 3 244.382 2.583 20 0 BFADHN COc1ncc(CN2CCCC[C@H]2C)cc1C ZINC001136998669 972312226 /nfs/dbraw/zinc/31/22/26/972312226.db2.gz CTIHQLNAVZQBLH-GFCCVEGCSA-N 0 3 234.343 2.773 20 0 BFADHN C[C@H]1CCN1Cc1c[nH]c2cccc(F)c12 ZINC001136979483 972309424 /nfs/dbraw/zinc/30/94/24/972309424.db2.gz KQIHQTYTPACLIY-VIFPVBQESA-N 0 3 218.275 2.901 20 0 BFADHN COC[C@@H]1CCN1Cc1c[nH]c2cc(C)ccc12 ZINC001136977259 972310259 /nfs/dbraw/zinc/31/02/59/972310259.db2.gz VQWSIPGMUIYCSA-ZDUSSCGKSA-N 0 3 244.338 2.697 20 0 BFADHN CC[C@@H](O)CCCNCc1cc(F)ccc1F ZINC000398487974 958285466 /nfs/dbraw/zinc/28/54/66/958285466.db2.gz QWQCHXQYONFRAR-GFCCVEGCSA-N 0 3 243.297 2.606 20 0 BFADHN Fc1ccc(CNCC2CSC2)c(F)c1F ZINC000693396142 958361668 /nfs/dbraw/zinc/36/16/68/958361668.db2.gz HRCVEQBWZHTVGR-UHFFFAOYSA-N 0 3 247.285 2.557 20 0 BFADHN CCC(CC)(CNCc1nc(C)c[nH]1)SC ZINC000693495525 958568064 /nfs/dbraw/zinc/56/80/64/958568064.db2.gz MZFGAYKLNINXGN-UHFFFAOYSA-N 0 3 241.404 2.730 20 0 BFADHN Cc1noc(C)c1CNc1cc(C)cc(C)n1 ZINC000707940252 958847066 /nfs/dbraw/zinc/84/70/66/958847066.db2.gz JHSMMRKUZKQOFK-UHFFFAOYSA-N 0 3 231.299 2.915 20 0 BFADHN Cc1nc[nH]c1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001204588656 958912737 /nfs/dbraw/zinc/91/27/37/958912737.db2.gz BHVOQBYKHYMNGS-OCCSQVGLSA-N 0 3 233.359 2.873 20 0 BFADHN Cc1nc[nH]c1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001204588649 958916759 /nfs/dbraw/zinc/91/67/59/958916759.db2.gz BHVOQBYKHYMNGS-GXTWGEPZSA-N 0 3 233.359 2.873 20 0 BFADHN CC(C)n1ccc(Nc2nccn2C)c1 ZINC001203157193 959062631 /nfs/dbraw/zinc/06/26/31/959062631.db2.gz HBSYPSVZLOZQTQ-UHFFFAOYSA-N 0 3 204.277 2.546 20 0 BFADHN Cl/C=C\CN1CCC([C@@H]2CCCO2)CC1 ZINC000588551552 959107773 /nfs/dbraw/zinc/10/77/73/959107773.db2.gz MVAAFAHGBVEKSL-DWMUBGRBSA-N 0 3 229.751 2.630 20 0 BFADHN Cc1nc(CN2CC[C@H]3CCCC[C@H]3C2)c[nH]1 ZINC000724775896 959443533 /nfs/dbraw/zinc/44/35/33/959443533.db2.gz MMKGONNYUYIAJQ-OLZOCXBDSA-N 0 3 233.359 2.730 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@H](C)c1ccco1 ZINC000301039381 959684371 /nfs/dbraw/zinc/68/43/71/959684371.db2.gz YOKJHJNCOWVKQI-NXEZZACHSA-N 0 3 211.305 2.744 20 0 BFADHN COC(C)(C)[C@H](C)N[C@H](C)c1ccco1 ZINC000301039384 959685899 /nfs/dbraw/zinc/68/58/99/959685899.db2.gz YOKJHJNCOWVKQI-ZJUUUORDSA-N 0 3 211.305 2.744 20 0 BFADHN F[C@@H]1CNCC[C@@H]1Oc1cccc2c1CCCC2 ZINC001218066302 959854014 /nfs/dbraw/zinc/85/40/14/959854014.db2.gz KNUFUWBGNCANQL-HIFRSBDPSA-N 0 3 249.329 2.644 20 0 BFADHN CCOCC[C@H](C)NCc1ncccc1Cl ZINC001172410617 974672595 /nfs/dbraw/zinc/67/25/95/974672595.db2.gz IOBKKZLVYUFVJU-JTQLQIEISA-N 0 3 242.750 2.640 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1ncc(Cl)n1C ZINC000695135685 960113543 /nfs/dbraw/zinc/11/35/43/960113543.db2.gz MSJIYXBJCJKEKA-VIFPVBQESA-N 0 3 243.782 2.988 20 0 BFADHN Cc1ccncc1CN1CCCCC12CC2 ZINC001141035833 960222616 /nfs/dbraw/zinc/22/26/16/960222616.db2.gz DZYQMQGRLSBZSB-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN Cc1ccc(CN[C@@H](C)C2(Cl)CC2)cn1 ZINC000695649100 960318726 /nfs/dbraw/zinc/31/87/26/960318726.db2.gz PIAMMQCERJACHJ-JTQLQIEISA-N 0 3 224.735 2.640 20 0 BFADHN C[C@@H](NCc1ccsc1)C1(Cl)CC1 ZINC000695669959 960343713 /nfs/dbraw/zinc/34/37/13/960343713.db2.gz ZFUUJCIQAZCAFQ-MRVPVSSYSA-N 0 3 215.749 2.998 20 0 BFADHN c1csc(CN[C@H]2CCCC3(CC3)C2)n1 ZINC000695762474 960430910 /nfs/dbraw/zinc/43/09/10/960430910.db2.gz YUCZZTLRKYBDGL-JTQLQIEISA-N 0 3 222.357 2.956 20 0 BFADHN CC(=O)[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001140592473 960448065 /nfs/dbraw/zinc/44/80/65/960448065.db2.gz NGMKHIOPWLGYIQ-LBPRGKRZSA-N 0 3 235.302 2.545 20 0 BFADHN COC[C@@H]1CCCN1Cc1ccc(C)cc1F ZINC001141191118 960449780 /nfs/dbraw/zinc/44/97/80/960449780.db2.gz ABWBSYDCJUMITI-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN COC(=O)[C@@H]1CCCN1C[C@@H](C)CC(C)(C)C ZINC000709261400 960463874 /nfs/dbraw/zinc/46/38/74/960463874.db2.gz MDVPCACODJYEGA-RYUDHWBXSA-N 0 3 241.375 2.696 20 0 BFADHN OCc1ccc(CN2CCC23CCCCC3)o1 ZINC001140679333 960493811 /nfs/dbraw/zinc/49/38/11/960493811.db2.gz XCSMVFFJMVKTHT-UHFFFAOYSA-N 0 3 235.327 2.681 20 0 BFADHN OCc1ccc(CN2CCCC3(CCC3)C2)o1 ZINC001140684768 960496418 /nfs/dbraw/zinc/49/64/18/960496418.db2.gz POBMPORDBBVSNX-UHFFFAOYSA-N 0 3 235.327 2.538 20 0 BFADHN Cc1cccc2c1CCN(Cc1cc[nH]c1)C2 ZINC001140705138 960513402 /nfs/dbraw/zinc/51/34/02/960513402.db2.gz ZZSFTYDXEYEDSQ-UHFFFAOYSA-N 0 3 226.323 2.881 20 0 BFADHN CSC(C)(C)CCNCc1cnc(C)o1 ZINC000397865939 960531815 /nfs/dbraw/zinc/53/18/15/960531815.db2.gz GFVCPYHOAKWFDL-UHFFFAOYSA-N 0 3 228.361 2.604 20 0 BFADHN CO[C@@H](C)C1CN(Cc2ccc(F)cc2C)C1 ZINC001140781401 960563902 /nfs/dbraw/zinc/56/39/02/960563902.db2.gz SFKVYAVZQJLOOQ-NSHDSACASA-N 0 3 237.318 2.601 20 0 BFADHN CSCCN(C)Cc1cc(O)cc(Cl)c1 ZINC001140790929 960581977 /nfs/dbraw/zinc/58/19/77/960581977.db2.gz RLDDIUBUQWNVFL-UHFFFAOYSA-N 0 3 245.775 2.840 20 0 BFADHN CN(C)Cc1ccc(OC(F)(F)F)c(F)c1 ZINC001140882057 960668513 /nfs/dbraw/zinc/66/85/13/960668513.db2.gz OGQTYFIPQXKFNI-UHFFFAOYSA-N 0 3 237.196 2.786 20 0 BFADHN Cc1cc(CN(C)CCc2cccc(C)c2)n[nH]1 ZINC001203077064 960684963 /nfs/dbraw/zinc/68/49/63/960684963.db2.gz QKIJMXJZQVXJEB-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN Cc1cc(CN2C3CCCC2CCC3)n[nH]1 ZINC001203080839 960734708 /nfs/dbraw/zinc/73/47/08/960734708.db2.gz DPHJKIZOTNFWLZ-UHFFFAOYSA-N 0 3 219.332 2.625 20 0 BFADHN C1=CCN(Cc2cccc(-c3ncccn3)c2)C1 ZINC001140975480 960767158 /nfs/dbraw/zinc/76/71/58/960767158.db2.gz KFWVSAJKOZUAGS-UHFFFAOYSA-N 0 3 237.306 2.515 20 0 BFADHN Cc1cc(CN2CCC(C(C)C)CC2)n[nH]1 ZINC001203082563 960775345 /nfs/dbraw/zinc/77/53/45/960775345.db2.gz UUVXEXHIHWSDGM-UHFFFAOYSA-N 0 3 221.348 2.586 20 0 BFADHN c1coc(CN2CCC[C@@H]3CCCC[C@@H]32)n1 ZINC001141007672 960808877 /nfs/dbraw/zinc/80/88/77/960808877.db2.gz WBVHXSTUQOSJEU-RYUDHWBXSA-N 0 3 220.316 2.829 20 0 BFADHN CCCCc1nc(CN(C)C(C)C)c[nH]1 ZINC001141067000 960851170 /nfs/dbraw/zinc/85/11/70/960851170.db2.gz NXDRGPYWQUFVQV-UHFFFAOYSA-N 0 3 209.337 2.593 20 0 BFADHN CCCCc1nc(CN2C[C@@H]3[C@H](C2)C3(C)C)c[nH]1 ZINC001141071714 960857323 /nfs/dbraw/zinc/85/73/23/960857323.db2.gz BFHCMIJNKBVZFZ-BETUJISGSA-N 0 3 247.386 2.840 20 0 BFADHN CCCCc1nc(CN(C)[C@H](C)CC)c[nH]1 ZINC001141068709 960863299 /nfs/dbraw/zinc/86/32/99/960863299.db2.gz MIBFCSHSOQTAHC-LLVKDONJSA-N 0 3 223.364 2.983 20 0 BFADHN Cc1ccc(CN2CC3CC(C3)C2)c(F)c1 ZINC001141195370 960930066 /nfs/dbraw/zinc/93/00/66/960930066.db2.gz LMRCHIHAAFUVNN-UHFFFAOYSA-N 0 3 219.303 2.976 20 0 BFADHN C[C@H](O)CN(C)Cc1ccc(C(F)F)c(F)c1 ZINC000893547021 960931187 /nfs/dbraw/zinc/93/11/87/960931187.db2.gz VCEGVSFXXMZWIQ-QMMMGPOBSA-N 0 3 247.260 2.576 20 0 BFADHN CCCN1CCN(Cc2ccccc2CC)CC1 ZINC001141227664 960995237 /nfs/dbraw/zinc/99/52/37/960995237.db2.gz CVUWAJFVRAIXEE-UHFFFAOYSA-N 0 3 246.398 2.777 20 0 BFADHN COc1c(F)cccc1CN1CCC[C@H]1C ZINC001143525234 961039854 /nfs/dbraw/zinc/03/98/54/961039854.db2.gz PNFLEIURUAAANM-SNVBAGLBSA-N 0 3 223.291 2.819 20 0 BFADHN c1cc2c(c(CN3CC4CCC3CC4)c1)OCO2 ZINC001141958585 961185141 /nfs/dbraw/zinc/18/51/41/961185141.db2.gz NHZTZHKJGHDDDT-UHFFFAOYSA-N 0 3 245.322 2.790 20 0 BFADHN COc1ccc2nc(Cl)cc(NC=N)c2c1 ZINC001167864977 961337545 /nfs/dbraw/zinc/33/75/45/961337545.db2.gz QOJGYZPDSRGKCP-UHFFFAOYSA-N 0 3 235.674 2.916 20 0 BFADHN CN(Cc1[nH]cnc1C(C)(C)C)C(C)(C)C ZINC001142227045 961356963 /nfs/dbraw/zinc/35/69/63/961356963.db2.gz ZEXFXBXKZCNPFN-UHFFFAOYSA-N 0 3 223.364 2.938 20 0 BFADHN CC(C)(C)c1nc[nH]c1CN1CCC2(CC2)C1 ZINC001142213016 961359031 /nfs/dbraw/zinc/35/90/31/961359031.db2.gz KYZUSEFQVYYWFB-UHFFFAOYSA-N 0 3 233.359 2.693 20 0 BFADHN CCCOCCNc1ccc2nc(C)[nH]c2c1 ZINC001167873337 961392104 /nfs/dbraw/zinc/39/21/04/961392104.db2.gz ODRICEZFXHOQNJ-UHFFFAOYSA-N 0 3 233.315 2.710 20 0 BFADHN C[C@@H]1C[C@H](F)CN1Cc1cccc2nn(C)cc21 ZINC001142445230 961441404 /nfs/dbraw/zinc/44/14/04/961441404.db2.gz QUAVQHSLHNLIDT-PWSUYJOCSA-N 0 3 247.317 2.506 20 0 BFADHN c1ccc2oc(CN[C@H]3C[C@H]4C[C@H]4C3)nc2c1 ZINC001167891673 961490922 /nfs/dbraw/zinc/49/09/22/961490922.db2.gz NMPUMMKRSDVRHG-URLYPYJESA-N 0 3 228.295 2.716 20 0 BFADHN Fc1cc(F)c(F)c(CN[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC001167894110 961512763 /nfs/dbraw/zinc/51/27/63/961512763.db2.gz NFLUEDNYSFNOMK-AKZRSSKFSA-N 0 3 241.256 2.992 20 0 BFADHN F[C@@H]1CCCN(Cc2cnc3ccccn23)CC1 ZINC001203144383 961744344 /nfs/dbraw/zinc/74/43/44/961744344.db2.gz JCBNEYSJBFJOKY-GFCCVEGCSA-N 0 3 247.317 2.658 20 0 BFADHN COC[C@H]1CCN1Cc1c(C)cc(OC)cc1C ZINC001142949587 961848811 /nfs/dbraw/zinc/84/88/11/961848811.db2.gz LFNABZAUAXSZHR-CYBMUJFWSA-N 0 3 249.354 2.533 20 0 BFADHN CCN1CCC[C@H](Oc2cc(F)cc(F)c2)C1 ZINC001225226041 961887444 /nfs/dbraw/zinc/88/74/44/961887444.db2.gz FXXVUSXURKRJPR-LBPRGKRZSA-N 0 3 241.281 2.828 20 0 BFADHN O=C1c2ccccc2CC[C@@H]1N[C@@H]1C[C@H]2C[C@H]2C1 ZINC001167918486 961908721 /nfs/dbraw/zinc/90/87/21/961908721.db2.gz JIAFKHLBBZVPCW-XFMPKHEZSA-N 0 3 241.334 2.572 20 0 BFADHN Cc1cc(C)n(CCNc2cc(C)cc(C)n2)n1 ZINC001154780909 962848126 /nfs/dbraw/zinc/84/81/26/962848126.db2.gz ZLUADVBNLQVTSF-UHFFFAOYSA-N 0 3 244.342 2.624 20 0 BFADHN COc1ccnc(NC[C@@H]2CCC(F)(F)C2)c1 ZINC001155165962 962996628 /nfs/dbraw/zinc/99/66/28/962996628.db2.gz CGVMDWWEEUDQQK-SECBINFHSA-N 0 3 242.269 2.938 20 0 BFADHN Cc1ccnc(N[C@@H]2CNCc3ccsc32)c1 ZINC001155560000 963107922 /nfs/dbraw/zinc/10/79/22/963107922.db2.gz URRURFLBDNSFHF-LLVKDONJSA-N 0 3 245.351 2.708 20 0 BFADHN COc1ccc([C@H](C)Oc2ccnc(N)c2)cc1 ZINC001226657848 963276884 /nfs/dbraw/zinc/27/68/84/963276884.db2.gz SEIWGJNRPMEOQC-JTQLQIEISA-N 0 3 244.294 2.812 20 0 BFADHN C[C@@H](Oc1ccnc(N)c1)c1ccc(F)cc1 ZINC001226658127 963278388 /nfs/dbraw/zinc/27/83/88/963278388.db2.gz XDDGDIWONUVHGS-SECBINFHSA-N 0 3 232.258 2.943 20 0 BFADHN CC[C@H]1C[C@@H](N[C@@H](C)c2ccccc2OC)CO1 ZINC000688534770 963400242 /nfs/dbraw/zinc/40/02/42/963400242.db2.gz FBVVHUIITWEHEH-XQQFMLRXSA-N 0 3 249.354 2.913 20 0 BFADHN CN(Cc1coc2ccc(F)cc12)[C@@H]1CCOC1 ZINC001141854780 963448989 /nfs/dbraw/zinc/44/89/89/963448989.db2.gz PLQKLFXGMDWFOA-GFCCVEGCSA-N 0 3 249.285 2.793 20 0 BFADHN CCN1CCC[C@@H](Oc2ccc(C(C)=O)cc2)C1 ZINC001227465510 963529021 /nfs/dbraw/zinc/52/90/21/963529021.db2.gz XPFVLRMDAXSRNN-OAHLLOKOSA-N 0 3 247.338 2.752 20 0 BFADHN Cc1ccc(CNCC(C)(C)C(C)(F)F)nn1 ZINC000697124473 963730946 /nfs/dbraw/zinc/73/09/46/963730946.db2.gz QOVMLUQVQFQHSJ-UHFFFAOYSA-N 0 3 243.301 2.556 20 0 BFADHN CC(=O)c1ccc(C)c(O[C@@H](C)CN(C)C)c1 ZINC001228353228 963764001 /nfs/dbraw/zinc/76/40/01/963764001.db2.gz WRYFUPWMQRHKNZ-NSHDSACASA-N 0 3 235.327 2.527 20 0 BFADHN Cc1nnsc1CNCC(C)(C)C(C)(F)F ZINC000697183217 963800032 /nfs/dbraw/zinc/80/00/32/963800032.db2.gz LILPWFLEIYHVMD-UHFFFAOYSA-N 0 3 249.330 2.618 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1CO[C@@H](C)[C@@H]1C ZINC000925261176 963876706 /nfs/dbraw/zinc/87/67/06/963876706.db2.gz SZVUQIVEGXSNBQ-SGMGOOAPSA-N 0 3 249.354 2.517 20 0 BFADHN CC1CCC(NC2(c3cccnn3)CC2)CC1 ZINC001168200390 963966164 /nfs/dbraw/zinc/96/61/64/963966164.db2.gz BDYCGNVHGKWNTR-UHFFFAOYSA-N 0 3 231.343 2.634 20 0 BFADHN CC1CCC(N2CCc3c(cc[nH]c3=O)C2)CC1 ZINC001168221672 963996233 /nfs/dbraw/zinc/99/62/33/963996233.db2.gz KLWQPCZMPVFOTR-UHFFFAOYSA-N 0 3 246.354 2.724 20 0 BFADHN c1csc(-c2cc(N=C3CCCNC3)on2)c1 ZINC001159254292 964029575 /nfs/dbraw/zinc/02/95/75/964029575.db2.gz FSMBTGHSOGXCHK-UHFFFAOYSA-N 0 3 247.323 2.692 20 0 BFADHN CCCCC=Nc1cc([C@H]2CCCN2)no1 ZINC001159304803 964058744 /nfs/dbraw/zinc/05/87/44/964058744.db2.gz CUMXECNUSVPQOX-LJJSCBMDSA-N 0 3 221.304 2.825 20 0 BFADHN Fc1cccc(F)c1NC1=CNCCC1 ZINC001159421686 964082441 /nfs/dbraw/zinc/08/24/41/964082441.db2.gz VRZNGNUAYDEKAZ-UHFFFAOYSA-N 0 3 210.227 2.602 20 0 BFADHN COc1ccc2c(c1)C[C@H](N1C[C@H](F)C[C@H]1C)C2 ZINC001168263211 964097684 /nfs/dbraw/zinc/09/76/84/964097684.db2.gz NDLFTUNCVTZVHR-LERXQTSPSA-N 0 3 249.329 2.595 20 0 BFADHN COc1ccc(F)c(F)c1NC1=CNCCC1 ZINC001159671270 964142378 /nfs/dbraw/zinc/14/23/78/964142378.db2.gz UBELJDRAXCGTEA-UHFFFAOYSA-N 0 3 240.253 2.610 20 0 BFADHN CCc1cccc(C)c1Nc1ccncc1CN ZINC001159704290 964158304 /nfs/dbraw/zinc/15/83/04/964158304.db2.gz WEKNGDUGYUMBRJ-UHFFFAOYSA-N 0 3 241.338 2.577 20 0 BFADHN Cc1nc(CN2CCC(C(C)(C)C)CC2)c[nH]1 ZINC000200982542 964753323 /nfs/dbraw/zinc/75/33/23/964753323.db2.gz XTOKWBLMDVMLRF-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN c1sc(CN2CCCCC2)c2c1OCCO2 ZINC000139217093 964753906 /nfs/dbraw/zinc/75/39/06/964753906.db2.gz XMXFRUUAWIDQES-UHFFFAOYSA-N 0 3 239.340 2.505 20 0 BFADHN Cn1cncc1N[C@H](c1ccccc1)C1CC1 ZINC001161958358 964839174 /nfs/dbraw/zinc/83/91/74/964839174.db2.gz NVQICCBHRONQOC-CQSZACIVSA-N 0 3 227.311 2.983 20 0 BFADHN Cc1cc(Cl)cc(C)c1-n1cnc(CN)c1 ZINC001162302425 964917897 /nfs/dbraw/zinc/91/78/97/964917897.db2.gz RAMLPIONYLONEB-UHFFFAOYSA-N 0 3 235.718 2.601 20 0 BFADHN NCc1cn(-c2cc(F)c3ccccc3c2)cn1 ZINC001162304942 964921544 /nfs/dbraw/zinc/92/15/44/964921544.db2.gz ZTWBYKGEHISJAP-UHFFFAOYSA-N 0 3 241.269 2.623 20 0 BFADHN Cc1cc(-n2cnc(CN)c2)cc(C(C)(C)C)c1 ZINC001162304855 964921979 /nfs/dbraw/zinc/92/19/79/964921979.db2.gz VVXBPJZOMJKWLG-UHFFFAOYSA-N 0 3 243.354 2.937 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2C[C@]23CCCOC3)c1 ZINC001162367737 964942860 /nfs/dbraw/zinc/94/28/60/964942860.db2.gz GSSOTEQYBGSSQZ-OCCSQVGLSA-N 0 3 232.327 2.679 20 0 BFADHN Cc1cc(C)nc(N[C@H]2C[C@]23CCCOC3)c1 ZINC001162367736 964943727 /nfs/dbraw/zinc/94/37/27/964943727.db2.gz GSSOTEQYBGSSQZ-JSGCOSHPSA-N 0 3 232.327 2.679 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2C=CCC2)c1 ZINC000698174855 965075380 /nfs/dbraw/zinc/07/53/80/965075380.db2.gz ISOSZIYWIRCBTK-LBPRGKRZSA-N 0 3 205.276 2.942 20 0 BFADHN CC(C)(C)C(=N)Nc1ccn2ccnc2c1 ZINC001162660342 965094436 /nfs/dbraw/zinc/09/44/36/965094436.db2.gz JIGYIIRNSFZFSZ-UHFFFAOYSA-N 0 3 216.288 2.770 20 0 BFADHN Cc1cc(CN[C@H]2C=CCC2)cc(Cl)n1 ZINC000698221983 965113744 /nfs/dbraw/zinc/11/37/44/965113744.db2.gz RCRKFDMNALACSS-NSHDSACASA-N 0 3 222.719 2.852 20 0 BFADHN C1=C[C@H](NCc2cccc3c2OCCCO3)CC1 ZINC000698241210 965124310 /nfs/dbraw/zinc/12/43/10/965124310.db2.gz OZGMXQKLFXQZBC-ZDUSSCGKSA-N 0 3 245.322 2.656 20 0 BFADHN Cc1nn(C)c(CNCCC(C)(C)F)c1Cl ZINC000698261165 965154245 /nfs/dbraw/zinc/15/42/45/965154245.db2.gz JIULLYSKORAQII-UHFFFAOYSA-N 0 3 247.745 2.610 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCCC[C@H]2C2CC2)[n-]1 ZINC000696061838 965229589 /nfs/dbraw/zinc/22/95/89/965229589.db2.gz OWIOYMQKAFCNTJ-TVYUQYBPSA-N 0 3 248.374 2.733 20 0 BFADHN CC(C)Oc1ccc(CN2CCC[C@H]3C[C@H]32)cn1 ZINC001231536844 965268073 /nfs/dbraw/zinc/26/80/73/965268073.db2.gz FFEGFJNDQGXNHA-UONOGXRCSA-N 0 3 246.354 2.853 20 0 BFADHN COCc1ccc(CN2CC3CCC2CC3)o1 ZINC001231692518 965281796 /nfs/dbraw/zinc/28/17/96/965281796.db2.gz AFBXRTREVNXEGG-UHFFFAOYSA-N 0 3 235.327 2.800 20 0 BFADHN Fc1ccc(N[C@H]2CNCc3ccsc32)nc1 ZINC001163227426 965306913 /nfs/dbraw/zinc/30/69/13/965306913.db2.gz UVZQYEZYOWZIJA-JTQLQIEISA-N 0 3 249.314 2.539 20 0 BFADHN Oc1cccc(N[C@H]2CNCc3ccsc32)c1 ZINC001163238688 965312407 /nfs/dbraw/zinc/31/24/07/965312407.db2.gz MBRDXKUVJSEAKF-LBPRGKRZSA-N 0 3 246.335 2.710 20 0 BFADHN Oc1ccc(N[C@@H]2CNCc3ccsc32)cc1 ZINC001163229029 965313279 /nfs/dbraw/zinc/31/32/79/965313279.db2.gz OKWBDGXBNRFNCP-GFCCVEGCSA-N 0 3 246.335 2.710 20 0 BFADHN C[C@H]1CCN(Cc2[nH]nc3c2CCC3)[C@@H](C)C1 ZINC001232429173 965351947 /nfs/dbraw/zinc/35/19/47/965351947.db2.gz GVPVFVGIKVBQCO-QWRGUYRKSA-N 0 3 233.359 2.519 20 0 BFADHN CCOc1ccnc(CN2CCC3(CCC3)C2)c1 ZINC001232585051 965384027 /nfs/dbraw/zinc/38/40/27/965384027.db2.gz XNICBCOCIOJIPV-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN Cc1nc(N(C)C)cc(N2[C@H](C)CCC[C@@H]2C)n1 ZINC001163540922 965440959 /nfs/dbraw/zinc/44/09/59/965440959.db2.gz PFFGMKOSLXFHLQ-PHIMTYICSA-N 0 3 248.374 2.618 20 0 BFADHN CC1(C)CN(c2ccc(CN3CCCC3)cn2)C1 ZINC001163727519 965543944 /nfs/dbraw/zinc/54/39/44/965543944.db2.gz WUOUNMAFTSTLGD-UHFFFAOYSA-N 0 3 245.370 2.524 20 0 BFADHN Cc1c2[nH]cnc2ccc1NCC(=O)C(C)(C)C ZINC001164005666 965691111 /nfs/dbraw/zinc/69/11/11/965691111.db2.gz SINZRKDKZFVAND-UHFFFAOYSA-N 0 3 245.326 2.898 20 0 BFADHN Cc1cc(CN)nn1[C@@H](C)Cc1cccc(F)c1 ZINC001168456073 965767757 /nfs/dbraw/zinc/76/77/57/965767757.db2.gz NNGVFLSCQVNTSW-JTQLQIEISA-N 0 3 247.317 2.593 20 0 BFADHN Cc1cc(CN)nn1[C@H](C)Cc1cccc(F)c1 ZINC001168456074 965768440 /nfs/dbraw/zinc/76/84/40/965768440.db2.gz NNGVFLSCQVNTSW-SNVBAGLBSA-N 0 3 247.317 2.593 20 0 BFADHN CCC1CCN(c2cc(CN(C)C)ccn2)CC1 ZINC001164456457 965890274 /nfs/dbraw/zinc/89/02/74/965890274.db2.gz NYJGUPOPVJGLDM-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN Clc1cc(CN2CC[C@H](C3CC3)C2)ccn1 ZINC000400376454 965947905 /nfs/dbraw/zinc/94/79/05/965947905.db2.gz IGCANCQZSCUZQA-LBPRGKRZSA-N 0 3 236.746 2.967 20 0 BFADHN Cc1ccc(N2CCN(C(C)C)[C@H](C)C2)nc1C ZINC001165199317 965985580 /nfs/dbraw/zinc/98/55/80/965985580.db2.gz PPKVTGQGANYXEI-CYBMUJFWSA-N 0 3 247.386 2.617 20 0 BFADHN Cc1ccc(CN[C@@H]2COC[C@@H]2C)c(Cl)c1 ZINC000699875945 966157259 /nfs/dbraw/zinc/15/72/59/966157259.db2.gz HWOFDOUTLYFLEF-GXFFZTMASA-N 0 3 239.746 2.773 20 0 BFADHN Cc1ccc(CN[C@H]2COC[C@@H]2C)c(Cl)c1 ZINC000699875942 966157668 /nfs/dbraw/zinc/15/76/68/966157668.db2.gz HWOFDOUTLYFLEF-GWCFXTLKSA-N 0 3 239.746 2.773 20 0 BFADHN Cn1cncc1NC/C=C/c1ccccc1 ZINC001166096692 966243135 /nfs/dbraw/zinc/24/31/35/966243135.db2.gz GBAKPULSHCLQNS-VMPITWQZSA-N 0 3 213.284 2.545 20 0 BFADHN C/C(=C\C=C\N)NC/C=C/c1ccccc1 ZINC001166108659 966246104 /nfs/dbraw/zinc/24/61/04/966246104.db2.gz ATXZKKZHZHZAFP-YNJGJKQZSA-N 0 3 214.312 2.666 20 0 BFADHN CN[C@@H]1CCN1CCCc1ccc(Cl)cc1 ZINC001236166910 966280530 /nfs/dbraw/zinc/28/05/30/966280530.db2.gz NBQUQIRAVIBXJD-ZDUSSCGKSA-N 0 3 238.762 2.524 20 0 BFADHN CCCCCN(CCCCC)Cc1cn[nH]n1 ZINC001203207174 966554817 /nfs/dbraw/zinc/55/48/17/966554817.db2.gz JMSHSUGPPQEKSK-UHFFFAOYSA-N 0 3 238.379 2.987 20 0 BFADHN CCc1nc(C)c(CN2CCC23CCCC3)[nH]1 ZINC001203236196 966576448 /nfs/dbraw/zinc/57/64/48/966576448.db2.gz FSCNMGKEVLZYBR-UHFFFAOYSA-N 0 3 233.359 2.799 20 0 BFADHN C[C@@H](NCC[C@H]1CCSC1)c1cscn1 ZINC000704867875 966612802 /nfs/dbraw/zinc/61/28/02/966612802.db2.gz PMNWFFOZOJFCGH-ZJUUUORDSA-N 0 3 242.413 2.937 20 0 BFADHN COc1cccc(CN2CCC[C@H]3C[C@H]32)c1OC ZINC001203452689 966653479 /nfs/dbraw/zinc/65/34/79/966653479.db2.gz PFIDTLMDBQJVOZ-WCQYABFASA-N 0 3 247.338 2.688 20 0 BFADHN COc1ccc(OC)c(CN(C)C2CC(C)C2)c1 ZINC001203520894 966673342 /nfs/dbraw/zinc/67/33/42/966673342.db2.gz QZDYVMYYMOHXCQ-UHFFFAOYSA-N 0 3 249.354 2.934 20 0 BFADHN CCc1ncc(CN(C)C2CC(C)C2)s1 ZINC001237821831 966697781 /nfs/dbraw/zinc/69/77/81/966697781.db2.gz QRXWNKQPVPPEKT-UHFFFAOYSA-N 0 3 224.373 2.936 20 0 BFADHN Cc1ccccc1CN1C[C@H](F)C[C@H]1C ZINC001203700343 966738788 /nfs/dbraw/zinc/73/87/88/966738788.db2.gz UQAYIQAROHMBJU-DGCLKSJQSA-N 0 3 207.292 2.927 20 0 BFADHN COc1ccc(CN2CCCOCC2)c(C)c1C ZINC001203712065 966745303 /nfs/dbraw/zinc/74/53/03/966745303.db2.gz FLEVXZPVECBHET-UHFFFAOYSA-N 0 3 249.354 2.534 20 0 BFADHN COc1cc(C)c(CN2CCC2)cc1C ZINC001203752611 966770133 /nfs/dbraw/zinc/77/01/33/966770133.db2.gz NVFPWIWMGLNNKV-UHFFFAOYSA-N 0 3 205.301 2.518 20 0 BFADHN COc1ccc(CN2CCC3(CC3)C2)cc1F ZINC001203788311 966780631 /nfs/dbraw/zinc/78/06/31/966780631.db2.gz FKPXEDQJEILOHF-UHFFFAOYSA-N 0 3 235.302 2.820 20 0 BFADHN CCCO[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001203787370 966781584 /nfs/dbraw/zinc/78/15/84/966781584.db2.gz YUARUJVOWYKHIZ-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN COc1ccc(CN2CCC3(CC3)C2)cc1OC ZINC001203832927 966792336 /nfs/dbraw/zinc/79/23/36/966792336.db2.gz IPZWLGWUFYBVTM-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN Cc1cccc(CN2C[C@H](F)C[C@H]2C)c1 ZINC001203888691 966812988 /nfs/dbraw/zinc/81/29/88/966812988.db2.gz XDAAVAGFOAWYOQ-DGCLKSJQSA-N 0 3 207.292 2.927 20 0 BFADHN C[C@H](NCC[C@@H]1CC=CCC1)c1ncc[nH]1 ZINC000527980988 966839511 /nfs/dbraw/zinc/83/95/11/966839511.db2.gz QIVIVZNIIRRLCU-NWDGAFQWSA-N 0 3 219.332 2.807 20 0 BFADHN c1cc(CN2CCC23CCCC3)cc2c1OCO2 ZINC001204051273 966871812 /nfs/dbraw/zinc/87/18/12/966871812.db2.gz NLQYQHFWLQTANE-UHFFFAOYSA-N 0 3 245.322 2.934 20 0 BFADHN C[C@@H]1CCN(Cc2ccncc2)CCC1(F)F ZINC001204080466 966885789 /nfs/dbraw/zinc/88/57/89/966885789.db2.gz MWRSTRIGRYTYID-LLVKDONJSA-N 0 3 240.297 2.949 20 0 BFADHN CSc1ccc(CN2CC[C@H](C(C)=O)C2)cc1 ZINC001204141506 966902100 /nfs/dbraw/zinc/90/21/00/966902100.db2.gz UYEBDWZJLBCJBY-ZDUSSCGKSA-N 0 3 249.379 2.819 20 0 BFADHN C=Cc1ccc(CNc2cccc(CN)n2)cc1 ZINC001168578451 966903825 /nfs/dbraw/zinc/90/38/25/966903825.db2.gz QLQBGXGFHDNUMZ-UHFFFAOYSA-N 0 3 239.322 2.795 20 0 BFADHN CCc1ccc(CN2C[C@H]3CC[C@@H](C2)C3=O)cc1 ZINC001204178768 966917612 /nfs/dbraw/zinc/91/76/12/966917612.db2.gz YCEMDUTWZLKMTE-GASCZTMLSA-N 0 3 243.350 2.660 20 0 BFADHN CSc1ccc(-c2ccc(CN)nc2)cc1 ZINC001239497806 966933450 /nfs/dbraw/zinc/93/34/50/966933450.db2.gz LKJRYRSCDSAYSB-UHFFFAOYSA-N 0 3 230.336 2.929 20 0 BFADHN COc1cccc(OC)c1CN1CCC[C@H](C)C1 ZINC001204247916 966945864 /nfs/dbraw/zinc/94/58/64/966945864.db2.gz QAPMBOSCWRHZGD-LBPRGKRZSA-N 0 3 249.354 2.936 20 0 BFADHN CC[C@@H]1CCN([C@@H](C)c2ncccn2)[C@H]1C ZINC001307702535 966960028 /nfs/dbraw/zinc/96/00/28/966960028.db2.gz JRQTVYFKLKFUQN-SDDRHHMPSA-N 0 3 219.332 2.658 20 0 BFADHN COc1cccc(-c2cncc(CN(C)C)c2)c1 ZINC001239521650 966965373 /nfs/dbraw/zinc/96/53/73/966965373.db2.gz JVEAGSLHAXSNGH-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN Cc1nc[nH]c1CN(C)CCCc1ccccc1 ZINC001204588086 967081978 /nfs/dbraw/zinc/08/19/78/967081978.db2.gz AFRTYPNNRGDEMN-UHFFFAOYSA-N 0 3 243.354 2.783 20 0 BFADHN Cc1nc[nH]c1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001204594913 967090281 /nfs/dbraw/zinc/09/02/81/967090281.db2.gz RQMHIDWJBRJTRH-CHWSQXEVSA-N 0 3 233.359 2.730 20 0 BFADHN COc1ccc(CN2CCC3(CC3)CC2)nc1C ZINC001249898080 967137992 /nfs/dbraw/zinc/13/79/92/967137992.db2.gz YMIKAMXSKXGVIE-UHFFFAOYSA-N 0 3 246.354 2.775 20 0 BFADHN CN(C)Cc1ccc(CC(=O)C(C)(C)C)cc1 ZINC001249918818 967158723 /nfs/dbraw/zinc/15/87/23/967158723.db2.gz JBQGEWAPKXXTDU-UHFFFAOYSA-N 0 3 233.355 2.906 20 0 BFADHN C/C(=C\C=C\N)N[C@@H]1CCNc2ccccc21 ZINC001168644026 967195377 /nfs/dbraw/zinc/19/53/77/967195377.db2.gz HACAARIJRJSHAP-ZEBNVIEYSA-N 0 3 229.327 2.509 20 0 BFADHN Cc1cc2cc(Nc3ccncc3C)cnc2[nH]1 ZINC001204889740 967219552 /nfs/dbraw/zinc/21/95/52/967219552.db2.gz CWSMVXNVQCJALM-UHFFFAOYSA-N 0 3 238.294 2.740 20 0 BFADHN CCOc1cc(CN2CCCC23CC3)ccn1 ZINC001249997935 967250102 /nfs/dbraw/zinc/25/01/02/967250102.db2.gz FTUIGJUNOKJMEV-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN CCCCN(CCO)Cc1ccc(C)cc1F ZINC001205109120 967351604 /nfs/dbraw/zinc/35/16/04/967351604.db2.gz WZSULKKQGKFGOM-UHFFFAOYSA-N 0 3 239.334 2.729 20 0 BFADHN COc1cc(F)c(C)cc1CN1CC[C@@H](C)C1 ZINC001250093905 967359950 /nfs/dbraw/zinc/35/99/50/967359950.db2.gz NOHZSMVFMBASEV-SNVBAGLBSA-N 0 3 237.318 2.985 20 0 BFADHN C1=C(CC2CCC2)CC[C@@H](N2CCOCC2)C1 ZINC001250141241 967429787 /nfs/dbraw/zinc/42/97/87/967429787.db2.gz BLYMDWJPBRBKKL-HNNXBMFYSA-N 0 3 235.371 2.988 20 0 BFADHN C[NH+](C)CCc1ccc([O-])c(C(F)(F)F)c1 ZINC001250157787 967449245 /nfs/dbraw/zinc/44/92/45/967449245.db2.gz NKSHISARDZPISE-UHFFFAOYSA-N 0 3 233.233 2.515 20 0 BFADHN CN(C)CCc1c(Cl)ccc(F)c1F ZINC001250159493 967453473 /nfs/dbraw/zinc/45/34/73/967453473.db2.gz AVFXLSJKTHWPQX-UHFFFAOYSA-N 0 3 219.662 2.722 20 0 BFADHN c1coc(CNCCC2SCCS2)c1 ZINC000693837853 967518380 /nfs/dbraw/zinc/51/83/80/967518380.db2.gz GIJLGKNXKSVXQT-UHFFFAOYSA-N 0 3 229.370 2.565 20 0 BFADHN COc1ccc(F)c(CCN2CCCCC2)c1 ZINC001250240616 967550564 /nfs/dbraw/zinc/55/05/64/967550564.db2.gz OEYNRCDQSVMCRP-UHFFFAOYSA-N 0 3 237.318 2.863 20 0 BFADHN COc1cccc(CCN2CCCCC2)c1F ZINC001250240646 967551947 /nfs/dbraw/zinc/55/19/47/967551947.db2.gz QKNAOGZSKSPUAT-UHFFFAOYSA-N 0 3 237.318 2.863 20 0 BFADHN [O-]c1cc(CC[NH+]2CCCCC2)ccc1F ZINC001250242169 967553346 /nfs/dbraw/zinc/55/33/46/967553346.db2.gz RHQYKUIFUIBUAS-UHFFFAOYSA-N 0 3 223.291 2.560 20 0 BFADHN COc1cc(C)cc(CN2CCC[C@@H](OC)C2)c1 ZINC001143149855 967637887 /nfs/dbraw/zinc/63/78/87/967637887.db2.gz JCGPPBGVKBIOTE-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1ccc(F)c(CN2CCC3(COC3)CC2)c1 ZINC001205617415 967731603 /nfs/dbraw/zinc/73/16/03/967731603.db2.gz XIWDUPIDUYXUBY-UHFFFAOYSA-N 0 3 249.329 2.747 20 0 BFADHN Cc1ccc(F)c(CN(CCCO)C(C)C)c1 ZINC001205649008 967741962 /nfs/dbraw/zinc/74/19/62/967741962.db2.gz XVVSVPPYBGRVPM-UHFFFAOYSA-N 0 3 239.334 2.727 20 0 BFADHN c1nocc1CN1CCC12CCCCC2 ZINC001205677909 967773034 /nfs/dbraw/zinc/77/30/34/967773034.db2.gz QHRRFKHCNZKNQD-UHFFFAOYSA-N 0 3 206.289 2.583 20 0 BFADHN Cc1cccnc1CN1CC[C@@]2(C1)CCCCO2 ZINC001205769748 967816687 /nfs/dbraw/zinc/81/66/87/967816687.db2.gz GQYFWIYVZMPVTC-OAHLLOKOSA-N 0 3 246.354 2.535 20 0 BFADHN CCCOC1CCN(Cc2ncccc2C)CC1 ZINC001205793658 967824660 /nfs/dbraw/zinc/82/46/60/967824660.db2.gz XERBPXFVFDBRSV-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN F[C@@H]1CCCN(Cc2cc3cccnc3[nH]2)CC1 ZINC001143217540 967861332 /nfs/dbraw/zinc/86/13/32/967861332.db2.gz WRIYMYPWUGNLKF-GFCCVEGCSA-N 0 3 247.317 2.887 20 0 BFADHN Cc1ncc(-c2ccc3c(N)ccnc3c2)cn1 ZINC001205864586 967863051 /nfs/dbraw/zinc/86/30/51/967863051.db2.gz RHIGOYCLKRAIIY-UHFFFAOYSA-N 0 3 236.278 2.582 20 0 BFADHN C[C@@H](COc1ccccc1)NCc1ccccn1 ZINC000711000692 967963630 /nfs/dbraw/zinc/96/36/30/967963630.db2.gz NOLIHSOFIQGECR-ZDUSSCGKSA-N 0 3 242.322 2.639 20 0 BFADHN COCCN(Cc1sc(C)nc1C)C(C)C ZINC001206171090 968111372 /nfs/dbraw/zinc/11/13/72/968111372.db2.gz ALINECJTSLAKPI-UHFFFAOYSA-N 0 3 242.388 2.617 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cnc(OC)nc1 ZINC001206409246 968285989 /nfs/dbraw/zinc/28/59/89/968285989.db2.gz GQMNVKWIQBDPJG-CYBMUJFWSA-N 0 3 249.358 2.640 20 0 BFADHN COc1cnccc1CN(C(C)C)C(C)C ZINC001206438367 968295141 /nfs/dbraw/zinc/29/51/41/968295141.db2.gz REHBCNIELVRXAE-UHFFFAOYSA-N 0 3 222.332 2.709 20 0 BFADHN COc1cnccc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001206446618 968310154 /nfs/dbraw/zinc/31/01/54/968310154.db2.gz HWWHJCFJEPCLPY-QWHCGFSZSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1cc(C)cc(CCN2CC[C@@]23CCOC3)c1 ZINC001119302816 968316403 /nfs/dbraw/zinc/31/64/03/968316403.db2.gz UIBYBHXIXCINFA-INIZCTEOSA-N 0 3 245.366 2.711 20 0 BFADHN COc1cnccc1CN1CCC[C@@H](C)[C@H]1C ZINC001206473353 968328596 /nfs/dbraw/zinc/32/85/96/968328596.db2.gz KWSZDBTUSBBILT-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cnccc1CN(C)CC(C)(C)C ZINC001206473270 968330325 /nfs/dbraw/zinc/33/03/25/968330325.db2.gz HDMDPRALGMPHLV-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN COc1cnccc1CN1C[C@H](C)C[C@H](C)C1 ZINC001206480231 968334143 /nfs/dbraw/zinc/33/41/43/968334143.db2.gz OQHOEUQPOPHMHQ-TXEJJXNPSA-N 0 3 234.343 2.568 20 0 BFADHN Cc1cn(C)nc1CN1C[C@H](C)CC(C)(C)C1 ZINC001119807956 968468386 /nfs/dbraw/zinc/46/83/86/968468386.db2.gz HSCUHKPNEYSYTE-LLVKDONJSA-N 0 3 235.375 2.597 20 0 BFADHN CCCC[C@H](O)CNc1cc(C)cc(C)n1 ZINC001252078640 968497036 /nfs/dbraw/zinc/49/70/36/968497036.db2.gz WTLMGCDQEYDKFZ-LBPRGKRZSA-N 0 3 222.332 2.661 20 0 BFADHN CCCC[C@H](O)CN1CCc2ccsc2C1 ZINC001252090882 968512733 /nfs/dbraw/zinc/51/27/33/968512733.db2.gz ZMLWKGZIFZNZRB-LBPRGKRZSA-N 0 3 239.384 2.657 20 0 BFADHN Fc1cncc(CN(CC2CC2)C2CC2)c1 ZINC001207024666 968557698 /nfs/dbraw/zinc/55/76/98/968557698.db2.gz DGCGXBSQFHHKDL-UHFFFAOYSA-N 0 3 220.291 2.595 20 0 BFADHN Fc1cncc(CN2CC3CCC(CC3)C2)c1 ZINC001207025266 968557912 /nfs/dbraw/zinc/55/79/12/968557912.db2.gz XUCNSRZNJVAYNU-UHFFFAOYSA-N 0 3 234.318 2.843 20 0 BFADHN c1nc(CN2C[C@@H]3CCC[C@@H]3C2)n2ccccc12 ZINC001207049129 968578187 /nfs/dbraw/zinc/57/81/87/968578187.db2.gz PCVGYRKQGKUXCM-BETUJISGSA-N 0 3 241.338 2.566 20 0 BFADHN C[C@@H](CCN1CC2(CCN2C)C1)CC(C)(C)C ZINC001276504628 968586844 /nfs/dbraw/zinc/58/68/44/968586844.db2.gz WWNWVWJEUZQAMC-ZDUSSCGKSA-N 0 3 238.419 2.839 20 0 BFADHN CCc1nccc(CN2C[C@H](C)C[C@@H](C)C2)n1 ZINC001207088957 968623173 /nfs/dbraw/zinc/62/31/73/968623173.db2.gz ZCHUJJJPYQGJNC-VXGBXAGGSA-N 0 3 233.359 2.517 20 0 BFADHN CCc1nccc(CN(C)C[C@H]2CC2(C)C)n1 ZINC001207092729 968626778 /nfs/dbraw/zinc/62/67/78/968626778.db2.gz OXMWARPELGIEGY-LLVKDONJSA-N 0 3 233.359 2.517 20 0 BFADHN CCc1nccc(CN(C)C[C@@H]2CC2(C)C)n1 ZINC001207092730 968626928 /nfs/dbraw/zinc/62/69/28/968626928.db2.gz OXMWARPELGIEGY-NSHDSACASA-N 0 3 233.359 2.517 20 0 BFADHN Cc1ccc(Cl)c(CNCC(C)(C)O)c1 ZINC001252368976 968648295 /nfs/dbraw/zinc/64/82/95/968648295.db2.gz RRKQJFFYURSPNX-UHFFFAOYSA-N 0 3 227.735 2.509 20 0 BFADHN Cc1ncccc1CN1CC[C@@]2(C1)CCCCO2 ZINC001207148182 968656553 /nfs/dbraw/zinc/65/65/53/968656553.db2.gz SFZGKBCEBOWKMU-OAHLLOKOSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1ncc(CNc2cccc(N(C)C)n2)s1 ZINC001154792196 968667984 /nfs/dbraw/zinc/66/79/84/968667984.db2.gz BODFHCLYEMTFFT-UHFFFAOYSA-N 0 3 248.355 2.525 20 0 BFADHN [O-]c1ccc(-c2ccc3c(c2)CC[NH2+]C3)cc1F ZINC001240785907 968686875 /nfs/dbraw/zinc/68/68/75/968686875.db2.gz SXZBIGJATKJJEP-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN NCc1cccc(NCCC2=CCCCC2)n1 ZINC001168771613 968854593 /nfs/dbraw/zinc/85/45/93/968854593.db2.gz DNYJDTGKYJDPBR-UHFFFAOYSA-N 0 3 231.343 2.843 20 0 BFADHN CCS[C@H]1CCC[C@H](NCc2cc[nH]n2)C1 ZINC000165238904 968858147 /nfs/dbraw/zinc/85/81/47/968858147.db2.gz PMLVCWHKRIQERJ-JQWIXIFHSA-N 0 3 239.388 2.564 20 0 BFADHN c1cnc2c(c1)CCN(CC1CCSCC1)C2 ZINC001207755251 968875288 /nfs/dbraw/zinc/87/52/88/968875288.db2.gz DCXCGYSZTIYSNF-UHFFFAOYSA-N 0 3 248.395 2.583 20 0 BFADHN Cc1ccc([C@H]2CCCN2C[C@@H]2CCCO2)cn1 ZINC001207887390 968913545 /nfs/dbraw/zinc/91/35/45/968913545.db2.gz HUVWZICAJHWEGB-LSDHHAIUSA-N 0 3 246.354 2.706 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@@H]2CCCC[C@H]2C1 ZINC001324199873 968942888 /nfs/dbraw/zinc/94/28/88/968942888.db2.gz XTDUWKJQFARKID-STQMWFEESA-N 0 3 233.359 2.730 20 0 BFADHN Cc1ccc(CCCN2[C@@H]3CC[C@H]2CC(=O)C3)o1 ZINC001207944293 968943393 /nfs/dbraw/zinc/94/33/93/968943393.db2.gz PJHXICVSTRFFDL-BETUJISGSA-N 0 3 247.338 2.717 20 0 BFADHN CN1CC=C(c2cc(OCC3CC3)ccn2)CC1 ZINC001241316553 968974373 /nfs/dbraw/zinc/97/43/73/968974373.db2.gz ABWAWWTYGBNRAM-UHFFFAOYSA-N 0 3 244.338 2.589 20 0 BFADHN Cc1[nH]c2ncc(C3=CCN(C)CC3)cc2c1C ZINC001241327217 968981052 /nfs/dbraw/zinc/98/10/52/968981052.db2.gz JIMYAXYQZWBFNB-UHFFFAOYSA-N 0 3 241.338 2.899 20 0 BFADHN Cc1noc2ccc(C3=CCN(C)CC3)cc12 ZINC001241326921 968982562 /nfs/dbraw/zinc/98/25/62/968982562.db2.gz BJDWPVFGEMNFLX-UHFFFAOYSA-N 0 3 228.295 2.855 20 0 BFADHN CCOc1cc(C2=CCN(C)CC2)ccc1F ZINC001241330716 968982790 /nfs/dbraw/zinc/98/27/90/968982790.db2.gz XQGDBCZWJXYQEA-UHFFFAOYSA-N 0 3 235.302 2.943 20 0 BFADHN CCC[C@H](O)CNCc1cc(C)ccc1Cl ZINC001252806396 968995245 /nfs/dbraw/zinc/99/52/45/968995245.db2.gz UQMIDBXWPDCYBZ-LBPRGKRZSA-N 0 3 241.762 2.899 20 0 BFADHN Cn1ncc2c1CN(CCCC1CCCC1)CC2 ZINC001208094346 968996137 /nfs/dbraw/zinc/99/61/37/968996137.db2.gz JQGAVFQDDRZKES-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN Cn1cc2c(n1)CCN(CCCC1CCCC1)C2 ZINC001208091391 968997438 /nfs/dbraw/zinc/99/74/38/968997438.db2.gz DECLKSSEYFSNIW-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN CCN(CCCC1CCCC1)C1COC1 ZINC001208091587 968998246 /nfs/dbraw/zinc/99/82/46/968998246.db2.gz MBBGIUCJZZUVCI-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN CC/C=C\CCCN1CCn2c(C)ncc2C1 ZINC001208134988 969034370 /nfs/dbraw/zinc/03/43/70/969034370.db2.gz VUPGQNUKRQKXCO-PLNGDYQASA-N 0 3 233.359 2.754 20 0 BFADHN CC/C=C\CCCN(C1CC1)C1COC1 ZINC001208136291 969043698 /nfs/dbraw/zinc/04/36/98/969043698.db2.gz TZVXEAHFVHMLBZ-ARJAWSKDSA-N 0 3 209.333 2.596 20 0 BFADHN CC/C=C\CCCN1CCc2c(cnn2C)C1 ZINC001208137256 969049049 /nfs/dbraw/zinc/04/90/49/969049049.db2.gz GCHSXTKYABPXLB-PLNGDYQASA-N 0 3 233.359 2.525 20 0 BFADHN CC/C=C\CCCN(C)[C@H](C(=O)OC)C(C)C ZINC001208139196 969056834 /nfs/dbraw/zinc/05/68/34/969056834.db2.gz VNYADMSTJRCBDQ-WSROAFLRSA-N 0 3 241.375 2.862 20 0 BFADHN CS[C@H](C)CCN(C)Cc1cccnc1 ZINC001208141142 969060556 /nfs/dbraw/zinc/06/05/56/969060556.db2.gz ZTXFIJZALZGSFJ-LLVKDONJSA-N 0 3 224.373 2.655 20 0 BFADHN CN1CCC=C(c2cccc3cnoc32)C1 ZINC001241570438 969064072 /nfs/dbraw/zinc/06/40/72/969064072.db2.gz IXQUYVYJBNKASS-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN CN1CCC=C(c2ccc3c(c2)OCCCO3)C1 ZINC001241571219 969069063 /nfs/dbraw/zinc/06/90/63/969069063.db2.gz WSSBRHUEOFKDIR-UHFFFAOYSA-N 0 3 245.322 2.567 20 0 BFADHN CS[C@@H](C)CCN1CCc2occc2C1 ZINC001208152472 969080001 /nfs/dbraw/zinc/08/00/01/969080001.db2.gz HMFBESAHIYAHGW-JTQLQIEISA-N 0 3 225.357 2.779 20 0 BFADHN CC1=C[C@H](C)[C@H](CN2CCC(=O)[C@@H](C)C2)CC1 ZINC001208159347 969082598 /nfs/dbraw/zinc/08/25/98/969082598.db2.gz FOGYHXCWVOIZBN-IHRRRGAJSA-N 0 3 235.371 2.890 20 0 BFADHN Cc1ccc([C@H](C)CCN2CC[C@]23CCOC3)o1 ZINC001208166086 969098453 /nfs/dbraw/zinc/09/84/53/969098453.db2.gz VIOJHGACRADNEZ-IUODEOHRSA-N 0 3 249.354 2.946 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@@H]2OCCC[C@H]2C1 ZINC001253182525 969102101 /nfs/dbraw/zinc/10/21/01/969102101.db2.gz QIFSNJFKBMAAFR-SNPRPXQTSA-N 0 3 246.354 2.644 20 0 BFADHN CC1=C[C@@H](C)[C@H](CN(C)Cc2cnccn2)CC1 ZINC001208181353 969111778 /nfs/dbraw/zinc/11/17/78/969111778.db2.gz LOWASXDYWTTXFX-KGLIPLIRSA-N 0 3 245.370 2.901 20 0 BFADHN CC(C)c1cccc(-c2cc(CN)ncn2)c1 ZINC001241737368 969112210 /nfs/dbraw/zinc/11/22/10/969112210.db2.gz VIIBAJXFKJFCEX-UHFFFAOYSA-N 0 3 227.311 2.726 20 0 BFADHN Fc1cccc(CCN2CC3(CCC3)C2)c1 ZINC001208195302 969122588 /nfs/dbraw/zinc/12/25/88/969122588.db2.gz FKQFMMGOAJFNTG-UHFFFAOYSA-N 0 3 219.303 2.854 20 0 BFADHN CC[C@H](C)NCc1c(F)ccc(F)c1F ZINC000716396000 969123860 /nfs/dbraw/zinc/12/38/60/969123860.db2.gz ZORNBVYGHMBPCU-ZETCQYMHSA-N 0 3 217.234 2.992 20 0 BFADHN CC/C=C\CCCCCN1CC(C(=O)OC)C1 ZINC001208191533 969123837 /nfs/dbraw/zinc/12/38/37/969123837.db2.gz SOLIBIKZTKKJMK-PLNGDYQASA-N 0 3 239.359 2.618 20 0 BFADHN CCNC(=O)CN(C)CC[C@H](C)CC(C)(C)C ZINC001208653305 969180213 /nfs/dbraw/zinc/18/02/13/969180213.db2.gz GXPLAGJEJPDMEN-LBPRGKRZSA-N 0 3 242.407 2.517 20 0 BFADHN CNc1ccc(-c2cncc3cc[nH]c32)cn1 ZINC001242069948 969195578 /nfs/dbraw/zinc/19/55/78/969195578.db2.gz RKXAPTAUXXORHS-UHFFFAOYSA-N 0 3 224.267 2.667 20 0 BFADHN Cc1ccnc(Cl)c1NC1=CCN(C)CC1 ZINC001208717978 969204541 /nfs/dbraw/zinc/20/45/41/969204541.db2.gz ICDDSGNBSFEVNA-UHFFFAOYSA-N 0 3 237.734 2.675 20 0 BFADHN CCCCC[C@@H](O)CN[C@@H](C)C(=O)CCCC ZINC001253554615 969226991 /nfs/dbraw/zinc/22/69/91/969226991.db2.gz LUSLSPVNKOMRON-QWHCGFSZSA-N 0 3 243.391 2.665 20 0 BFADHN Fc1ccc(CCCN2CC[C@@H](F)C2)cc1 ZINC001208769374 969235669 /nfs/dbraw/zinc/23/56/69/969235669.db2.gz WLGLKPWRXAQDIN-CYBMUJFWSA-N 0 3 225.282 2.802 20 0 BFADHN CN1CC=C(Nc2cccnc2C2CCC2)CC1 ZINC001208801918 969241481 /nfs/dbraw/zinc/24/14/81/969241481.db2.gz CVVWJXZPYPVIDR-UHFFFAOYSA-N 0 3 243.354 2.980 20 0 BFADHN CN1CC=C(Nc2cc(F)c(F)c(F)c2)CC1 ZINC001208837957 969248502 /nfs/dbraw/zinc/24/85/02/969248502.db2.gz LKTJGFTZPJWGRM-UHFFFAOYSA-N 0 3 242.244 2.735 20 0 BFADHN Cc1cc(NC2=CCN(C)CC2)ccc1F ZINC001208837445 969248613 /nfs/dbraw/zinc/24/86/13/969248613.db2.gz ISDQVUIBASWLTG-UHFFFAOYSA-N 0 3 220.291 2.765 20 0 BFADHN CN1CC=C(Nc2ccc3cnccc3c2)CC1 ZINC001208838802 969250822 /nfs/dbraw/zinc/25/08/22/969250822.db2.gz SPWICFGFKZFWOR-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN C[C@@H](CN1CCC(F)CC1)C(F)(F)F ZINC001208887348 969278992 /nfs/dbraw/zinc/27/89/92/969278992.db2.gz KDCICHQRLHSORM-ZETCQYMHSA-N 0 3 213.218 2.619 20 0 BFADHN CN(Cc1cnccn1)CC1(C)CCCCC1 ZINC001208899072 969290494 /nfs/dbraw/zinc/29/04/94/969290494.db2.gz MLEVENGMZSZDLY-UHFFFAOYSA-N 0 3 233.359 2.879 20 0 BFADHN CCC(=O)C(C)(C)CN(C)Cc1ccncc1 ZINC001208918557 969306776 /nfs/dbraw/zinc/30/67/76/969306776.db2.gz PPNROSZWPKCXOX-UHFFFAOYSA-N 0 3 234.343 2.519 20 0 BFADHN CCC(=O)C(C)(C)CN(C)Cc1ccc(C)nc1 ZINC001208922402 969308184 /nfs/dbraw/zinc/30/81/84/969308184.db2.gz ZRSBPPUZCXLZBS-UHFFFAOYSA-N 0 3 248.370 2.827 20 0 BFADHN CCN(C)c1ccc(NC2=CCN(C)CC2)cc1 ZINC001208980228 969316729 /nfs/dbraw/zinc/31/67/29/969316729.db2.gz REGYXJCNYGVKRN-UHFFFAOYSA-N 0 3 245.370 2.774 20 0 BFADHN FC(F)(F)c1cccc(CCN2CCC2)c1 ZINC001209115499 969352681 /nfs/dbraw/zinc/35/26/81/969352681.db2.gz RIXDNQNJHGUHPY-UHFFFAOYSA-N 0 3 229.245 2.954 20 0 BFADHN c1cc(CCN2CC3(CCC3)C2)cc2c1OCC2 ZINC001209143436 969356578 /nfs/dbraw/zinc/35/65/78/969356578.db2.gz YCTSJEAHBGQGAA-UHFFFAOYSA-N 0 3 243.350 2.650 20 0 BFADHN F[C@H]1CCCCN(CCCc2cccnc2)C1 ZINC001209172267 969372963 /nfs/dbraw/zinc/37/29/63/969372963.db2.gz OQLVRWSYQIKGSA-AWEZNQCLSA-N 0 3 236.334 2.838 20 0 BFADHN CC(C)C[C@@H](Cc1ccccc1)N1CC(C)(O)C1 ZINC001169069995 969381042 /nfs/dbraw/zinc/38/10/42/969381042.db2.gz MMXWPEYGBQALCE-HNNXBMFYSA-N 0 3 247.382 2.710 20 0 BFADHN FC[C@H]1CCCN1CCc1cccs1 ZINC001209228754 969410954 /nfs/dbraw/zinc/41/09/54/969410954.db2.gz OWNZESOQTKIBNW-SNVBAGLBSA-N 0 3 213.321 2.725 20 0 BFADHN CC(C)[C@H]1COCCN1CCc1cccs1 ZINC001209225517 969411247 /nfs/dbraw/zinc/41/12/47/969411247.db2.gz FEJLFODSLSNBQY-CYBMUJFWSA-N 0 3 239.384 2.647 20 0 BFADHN CCOc1ccc(-n2ccc([C@H](C)N)n2)cc1C ZINC001169232247 969507141 /nfs/dbraw/zinc/50/71/41/969507141.db2.gz BUZIKPFQGIIKGY-NSHDSACASA-N 0 3 245.326 2.599 20 0 BFADHN C[C@@H](N)c1ccn(-c2cccc(C(F)F)c2)n1 ZINC001169231447 969509284 /nfs/dbraw/zinc/50/92/84/969509284.db2.gz JSPPRYOQQCKBCD-MRVPVSSYSA-N 0 3 237.253 2.830 20 0 BFADHN FC(F)C1CN(C2CCC(F)(F)CC2)C1 ZINC001254231924 969548935 /nfs/dbraw/zinc/54/89/35/969548935.db2.gz PMFYCPFSJLWSKR-UHFFFAOYSA-N 0 3 225.229 2.761 20 0 BFADHN C[C@@H](N)c1ccn(-c2ccc3[nH]ccc3c2F)n1 ZINC001169234983 969517694 /nfs/dbraw/zinc/51/76/94/969517694.db2.gz NDSDYBHUHUWLFA-MRVPVSSYSA-N 0 3 244.273 2.512 20 0 BFADHN c1nc(CN2C3CCC2CC3)cc2c1OCCC2 ZINC001209507599 969526486 /nfs/dbraw/zinc/52/64/86/969526486.db2.gz OQEDNONHZSQPCJ-UHFFFAOYSA-N 0 3 244.338 2.533 20 0 BFADHN CN(CCC1CC1)Cc1cc2c(cn1)OCCC2 ZINC001209510237 969530166 /nfs/dbraw/zinc/53/01/66/969530166.db2.gz IJLOJHWZZAIXRP-UHFFFAOYSA-N 0 3 246.354 2.639 20 0 BFADHN F[C@@H]1CN(C2CCC(F)(F)CC2)C[C@@H]2C[C@@H]21 ZINC001254218653 969535700 /nfs/dbraw/zinc/53/57/00/969535700.db2.gz OPVUQBBBCRONIZ-INTQDDNPSA-N 0 3 233.277 2.854 20 0 BFADHN C[C@@H]1CN(C2CCC(F)(F)CC2)C[C@@H]1F ZINC001254218293 969542579 /nfs/dbraw/zinc/54/25/79/969542579.db2.gz CZSRPYAHYYCABT-SCZZXKLOSA-N 0 3 221.266 2.854 20 0 BFADHN F[C@H]1CCN(C2CCC(F)(F)CC2)C1 ZINC001254218738 969546099 /nfs/dbraw/zinc/54/60/99/969546099.db2.gz SBSBVRRSKACXCG-QMMMGPOBSA-N 0 3 207.239 2.608 20 0 BFADHN Cc1[nH]c2ncccc2c1CN1CCC[C@H]2C[C@H]21 ZINC001209592575 969565209 /nfs/dbraw/zinc/56/52/09/969565209.db2.gz HUPAPGJWQSLXOO-SMDDNHRTSA-N 0 3 241.338 2.856 20 0 BFADHN CC1CN(Cc2c[nH]c3cc(F)ccc23)C1 ZINC001209688393 969574826 /nfs/dbraw/zinc/57/48/26/969574826.db2.gz FGUHJAUBILKDFA-UHFFFAOYSA-N 0 3 218.275 2.759 20 0 BFADHN CC(C)(N[C@H]1CCCOC1)c1ccccc1F ZINC001254370906 969585315 /nfs/dbraw/zinc/58/53/15/969585315.db2.gz YBNKMBHJWVVBEW-NSHDSACASA-N 0 3 237.318 2.829 20 0 BFADHN COc1ccccc1[C@H](C)N(C)[C@H]1CCCOC1 ZINC001254386418 969603045 /nfs/dbraw/zinc/60/30/45/969603045.db2.gz QMEDADDFKZHMJJ-STQMWFEESA-N 0 3 249.354 2.867 20 0 BFADHN C[C@H]1CCN1Cc1c[nH]nc1-c1ccccc1 ZINC001209758292 969603292 /nfs/dbraw/zinc/60/32/92/969603292.db2.gz HYJPDUNQVCCFRL-NSHDSACASA-N 0 3 227.311 2.671 20 0 BFADHN COC1(C)CN(C2CCc3ccccc3CC2)C1 ZINC001254455516 969644752 /nfs/dbraw/zinc/64/47/52/969644752.db2.gz DFDPESCBWMKHQW-UHFFFAOYSA-N 0 3 245.366 2.655 20 0 BFADHN Cn1ccnc1Nc1ccc2cnccc2c1 ZINC001210043556 969773190 /nfs/dbraw/zinc/77/31/90/969773190.db2.gz GUWDLXQBBVXGLX-UHFFFAOYSA-N 0 3 224.267 2.712 20 0 BFADHN c1c2c(nnc1C1=CCCCCCC1)CCNC2 ZINC001242502078 969980462 /nfs/dbraw/zinc/98/04/62/969980462.db2.gz KWBQOCZMXGGUEE-UHFFFAOYSA-N 0 3 243.354 2.860 20 0 BFADHN Cc1cccc([C@@H](C)N[C@@H]2CCSC2)n1 ZINC001255271001 970022993 /nfs/dbraw/zinc/02/29/93/970022993.db2.gz RGTMZJSGAUARNM-GHMZBOCLSA-N 0 3 222.357 2.546 20 0 BFADHN Cc1c(F)ccc(CN[C@H]2CCSC2)c1F ZINC001255272470 970025002 /nfs/dbraw/zinc/02/50/02/970025002.db2.gz YNEFFNATGVEOHC-JTQLQIEISA-N 0 3 243.322 2.868 20 0 BFADHN CCCC[C@H](C)N(C)c1nc(CN)ccc1C ZINC001255310315 970076331 /nfs/dbraw/zinc/07/63/31/970076331.db2.gz UMNGWJDXJCJIGN-LBPRGKRZSA-N 0 3 235.375 2.864 20 0 BFADHN CCCC[C@@H](C)NCc1cc(OCC)ncn1 ZINC001255318887 970093555 /nfs/dbraw/zinc/09/35/55/970093555.db2.gz CNVZCAPONATUCE-LLVKDONJSA-N 0 3 237.347 2.544 20 0 BFADHN CC(C)CCC[C@H](C)N1C[C@@H](F)C[C@H]1CO ZINC001170077326 970177292 /nfs/dbraw/zinc/17/72/92/970177292.db2.gz IYDDOLBUTQKUMO-AVGNSLFASA-N 0 3 231.355 2.606 20 0 BFADHN CC(C)CCC[C@@H](C)N1CC[C@@](C)(O)[C@@H](F)C1 ZINC001170079202 970185998 /nfs/dbraw/zinc/18/59/98/970185998.db2.gz YVWYFOWSIZWLMQ-HZSPNIEDSA-N 0 3 245.382 2.996 20 0 BFADHN COC1CCC(N2CC[C@@](C)(F)[C@H](F)C2)CC1 ZINC001255457560 970187117 /nfs/dbraw/zinc/18/71/17/970187117.db2.gz WWFVZOMPNPSTQT-FIYWTHMPSA-N 0 3 247.329 2.716 20 0 BFADHN Cc1occc1-c1ccc2[nH]c(N)nc2c1 ZINC001243062699 970241652 /nfs/dbraw/zinc/24/16/52/970241652.db2.gz PRCRUTHRGOJTLL-UHFFFAOYSA-N 0 3 213.240 2.714 20 0 BFADHN Cc1occc1-c1ccc2nc(N)[nH]c2c1 ZINC001243062699 970241665 /nfs/dbraw/zinc/24/16/65/970241665.db2.gz PRCRUTHRGOJTLL-UHFFFAOYSA-N 0 3 213.240 2.714 20 0 BFADHN Cc1occc1-c1cc(CN(C)C)ccn1 ZINC001243066302 970256210 /nfs/dbraw/zinc/25/62/10/970256210.db2.gz QLDSEUUEPMDNGX-UHFFFAOYSA-N 0 3 216.284 2.712 20 0 BFADHN CC(C)(C)c1cc(Nc2ccn[nH]c2=O)ccn1 ZINC001213164616 970285031 /nfs/dbraw/zinc/28/50/31/970285031.db2.gz VYUSPXZEZZDXHW-UHFFFAOYSA-N 0 3 244.298 2.618 20 0 BFADHN CC1(C)C[C@@H](NCOc2ccccc2)CCO1 ZINC001255529049 970291466 /nfs/dbraw/zinc/29/14/66/970291466.db2.gz GLIJLPXSSMNTIX-LBPRGKRZSA-N 0 3 235.327 2.570 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CC[C@H](C)C2)n1 ZINC001170102489 970300136 /nfs/dbraw/zinc/30/01/36/970300136.db2.gz UKBKBMCMZJRPJO-ONGXEEELSA-N 0 3 222.307 2.807 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CC[C@H](C)C2)n1 ZINC001170102484 970300868 /nfs/dbraw/zinc/30/08/68/970300868.db2.gz UKBKBMCMZJRPJO-GXSJLCMTSA-N 0 3 222.307 2.807 20 0 BFADHN CCCc1cc(CN)nn1[C@H]1CC[C@@H](C)C1 ZINC001170111526 970321721 /nfs/dbraw/zinc/32/17/21/970321721.db2.gz DDPUNJBHNANJOZ-MFKMUULPSA-N 0 3 221.348 2.655 20 0 BFADHN COC[C@@H](C)NC(C)(C)c1ccccc1OC ZINC001255562647 970351235 /nfs/dbraw/zinc/35/12/35/970351235.db2.gz MJLHQAVMIGCZAX-LLVKDONJSA-N 0 3 237.343 2.555 20 0 BFADHN C[C@@H]1CC[C@H](N[C@H]2CCc3ccccc3C2=O)C1 ZINC001170121569 970359153 /nfs/dbraw/zinc/35/91/53/970359153.db2.gz NLKKOBQZYLPXPS-ZLDLUXBVSA-N 0 3 243.350 2.962 20 0 BFADHN Cc1cc2cc(Nc3nccn3C)ccc2[nH]1 ZINC001213785267 970379695 /nfs/dbraw/zinc/37/96/95/970379695.db2.gz ZNKWYKLBUZHATR-UHFFFAOYSA-N 0 3 226.283 2.953 20 0 BFADHN CCO[C@H]1CCCN([C@@H](C)CC(F)(F)F)C1 ZINC001255657009 970436771 /nfs/dbraw/zinc/43/67/71/970436771.db2.gz DONRYPOJLXHDLA-UWVGGRQHSA-N 0 3 239.281 2.828 20 0 BFADHN CC[C@H](C)[C@@H](C(=O)OC)N(C)[C@@H]1CC[C@@H](C)C1 ZINC001170147569 970443222 /nfs/dbraw/zinc/44/32/22/970443222.db2.gz CKGLFELOBPGADM-XQHKEYJVSA-N 0 3 241.375 2.695 20 0 BFADHN CCCCOC1CN([C@H]2CC[C@H](C)C2)C1 ZINC001170153445 970463255 /nfs/dbraw/zinc/46/32/55/970463255.db2.gz PYLYIGMBIHCSDL-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN CC(=O)CN(C)[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC001255705245 970463132 /nfs/dbraw/zinc/46/31/32/970463132.db2.gz IWCLGEIVKRYHQT-ZJUUUORDSA-N 0 3 237.265 2.628 20 0 BFADHN CCc1cc(Nc2cc(F)ccc2N)ccn1 ZINC001214200119 970466166 /nfs/dbraw/zinc/46/61/66/970466166.db2.gz MOULLBJHUKYHJT-UHFFFAOYSA-N 0 3 231.274 2.531 20 0 BFADHN Cc1ccc(C[C@H](C)NCc2cnco2)cc1 ZINC001255775241 970477725 /nfs/dbraw/zinc/47/77/25/970477725.db2.gz SYQFCCNLEXTFQZ-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN Cc1ccc(O)cc1Nc1ccncc1C ZINC001214337015 970483691 /nfs/dbraw/zinc/48/36/91/970483691.db2.gz NLZMYXOJXTVTLS-UHFFFAOYSA-N 0 3 214.268 2.570 20 0 BFADHN Cc1ccc(C[C@@H](C)N2CC[C@@H](F)C2)cc1 ZINC001255786898 970491742 /nfs/dbraw/zinc/49/17/42/970491742.db2.gz DBVXBMARMBDIKJ-TZMCWYRMSA-N 0 3 221.319 2.970 20 0 BFADHN C[C@@H]1c2cccn2CCN1CC(C)(C)C ZINC000179569300 970510703 /nfs/dbraw/zinc/51/07/03/970510703.db2.gz MEOVXBRQGKJDJS-LLVKDONJSA-N 0 3 206.333 2.911 20 0 BFADHN CN(Cc1cc[nH]c1)Cc1ccc(F)cc1 ZINC000179646730 970512797 /nfs/dbraw/zinc/51/27/97/970512797.db2.gz LGOFCONKPQTSDQ-UHFFFAOYSA-N 0 3 218.275 2.786 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCC[C@H]2c2ncccn2)C1 ZINC001170163014 970513164 /nfs/dbraw/zinc/51/31/64/970513164.db2.gz WRIMGZVRLSWVHG-UPJWGTAASA-N 0 3 231.343 2.802 20 0 BFADHN C[C@@H](Cc1ccccc1F)N1CCSCC1 ZINC001255845697 970515448 /nfs/dbraw/zinc/51/54/48/970515448.db2.gz KESMQHKVHZAZHE-NSHDSACASA-N 0 3 239.359 2.806 20 0 BFADHN CC(=O)c1cc(Nc2cnc(C)n2C)cs1 ZINC001214778283 970540228 /nfs/dbraw/zinc/54/02/28/970540228.db2.gz KYJOOPFEQYYECK-UHFFFAOYSA-N 0 3 235.312 2.736 20 0 BFADHN C[C@@H](N)c1ccn(C2CCCCCC2)n1 ZINC001255883913 970543474 /nfs/dbraw/zinc/54/34/74/970543474.db2.gz JAHKIVLABBTBEV-SNVBAGLBSA-N 0 3 207.321 2.798 20 0 BFADHN FC(F)CN1CCN(C2CCCCCC2)CC1 ZINC001255893052 970559304 /nfs/dbraw/zinc/55/93/04/970559304.db2.gz ARMYOSIHSXBFMJ-UHFFFAOYSA-N 0 3 246.345 2.592 20 0 BFADHN Cc1ncc(Nc2c(C)cc(CO)cc2C)n1C ZINC001214952396 970581415 /nfs/dbraw/zinc/58/14/15/970581415.db2.gz VNQZYPBIAXDRCI-UHFFFAOYSA-N 0 3 245.326 2.581 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)Nc1cccc(C)c1 ZINC000400761703 970623860 /nfs/dbraw/zinc/62/38/60/970623860.db2.gz INWDUWZNYRCHMX-GXFFZTMASA-N 0 3 234.343 2.697 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N[C@H](C)c1ccccc1 ZINC000400785582 970629815 /nfs/dbraw/zinc/62/98/15/970629815.db2.gz RDEGDOANGDFRIH-OUCADQQQSA-N 0 3 248.370 2.627 20 0 BFADHN CN1CC(CNc2cc(F)c3ccccc3c2)C1 ZINC001170256317 970637584 /nfs/dbraw/zinc/63/75/84/970637584.db2.gz GCHNEKPXAAYAKU-UHFFFAOYSA-N 0 3 244.313 2.952 20 0 BFADHN CCCCCc1nnc([C@@H]2CCCN(C)C2)o1 ZINC001256093185 970652357 /nfs/dbraw/zinc/65/23/57/970652357.db2.gz ILCDSSYQMHLGFV-LLVKDONJSA-N 0 3 237.347 2.612 20 0 BFADHN CC1(O)CN([C@@H]2CCC[C@H](c3ccccc3)C2)C1 ZINC001256113513 970662070 /nfs/dbraw/zinc/66/20/70/970662070.db2.gz MMFIFQYAZKKUNJ-LSDHHAIUSA-N 0 3 245.366 2.779 20 0 BFADHN COC(=O)[C@@H]1CCCCN1[C@@H](C)CCC(C)C ZINC001256362687 970762346 /nfs/dbraw/zinc/76/23/46/970762346.db2.gz BKERZKFAVBUIIW-STQMWFEESA-N 0 3 241.375 2.839 20 0 BFADHN CCO[C@H]1CCCN(Cc2cccc(O)c2C)C1 ZINC001144119220 972594389 /nfs/dbraw/zinc/59/43/89/972594389.db2.gz XUDKNRJBHPLFRQ-AWEZNQCLSA-N 0 3 249.354 2.702 20 0 BFADHN CCc1cc(CN2CC=CC2)cc(CC)c1O ZINC001144138341 972608254 /nfs/dbraw/zinc/60/82/54/972608254.db2.gz UGHLWJGJWZLTAW-UHFFFAOYSA-N 0 3 231.339 2.889 20 0 BFADHN c1nnc(CN2CCC[C@H]2CCC2CCCC2)[nH]1 ZINC001327544979 972624924 /nfs/dbraw/zinc/62/49/24/972624924.db2.gz CKJMDMOWOTZRIP-ZDUSSCGKSA-N 0 3 248.374 2.740 20 0 BFADHN CC[C@@H]1CCN(Cc2[nH]nc3ccc(F)cc32)C1 ZINC001144206069 972692552 /nfs/dbraw/zinc/69/25/52/972692552.db2.gz XZUVMPWUZBRDLA-SNVBAGLBSA-N 0 3 247.317 2.934 20 0 BFADHN CCC[N@H+](Cc1ccc([O-])cn1)[C@H](C)CC ZINC001144253459 972713917 /nfs/dbraw/zinc/71/39/17/972713917.db2.gz YOVRBCWNFFMDTM-LLVKDONJSA-N 0 3 222.332 2.798 20 0 BFADHN CCC[N@@H+](Cc1ccc([O-])cn1)[C@H](C)CC ZINC001144253459 972713927 /nfs/dbraw/zinc/71/39/27/972713927.db2.gz YOVRBCWNFFMDTM-LLVKDONJSA-N 0 3 222.332 2.798 20 0 BFADHN C[C@]1(F)CCC[N@H+](Cc2ccc([O-])cn2)CC1 ZINC001144253441 972716729 /nfs/dbraw/zinc/71/67/29/972716729.db2.gz YAIBMDQQPPPORL-ZDUSSCGKSA-N 0 3 238.306 2.501 20 0 BFADHN C[C@]1(F)CCC[N@@H+](Cc2ccc([O-])cn2)CC1 ZINC001144253441 972716747 /nfs/dbraw/zinc/71/67/47/972716747.db2.gz YAIBMDQQPPPORL-ZDUSSCGKSA-N 0 3 238.306 2.501 20 0 BFADHN C[C@]1(F)CCCN(Cc2ccc(O)cn2)CC1 ZINC001144253441 972716758 /nfs/dbraw/zinc/71/67/58/972716758.db2.gz YAIBMDQQPPPORL-ZDUSSCGKSA-N 0 3 238.306 2.501 20 0 BFADHN CCC(CC)[N@H+](CC)Cc1ccc([O-])cn1 ZINC001144265025 972724552 /nfs/dbraw/zinc/72/45/52/972724552.db2.gz IBQVGPIFJBYIMG-UHFFFAOYSA-N 0 3 222.332 2.798 20 0 BFADHN CC[C@H](C)N(C)Cc1c(F)cc(O)cc1F ZINC001144545130 972857554 /nfs/dbraw/zinc/85/75/54/972857554.db2.gz SSQWIBXLXSNPCY-QMMMGPOBSA-N 0 3 229.270 2.901 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2ncn3ccccc23)C1 ZINC001144624243 972886812 /nfs/dbraw/zinc/88/68/12/972886812.db2.gz ASQNJBPPKZWLMZ-CHWSQXEVSA-N 0 3 243.354 2.812 20 0 BFADHN CN(Cc1ncn2ccccc12)C[C@@H]1CC1(C)C ZINC001144624265 972887202 /nfs/dbraw/zinc/88/72/02/972887202.db2.gz BUWLFNPCFUILJI-LBPRGKRZSA-N 0 3 243.354 2.812 20 0 BFADHN C[C@H]1CCN(Cc2ncn3ccccc23)[C@@H](C)C1 ZINC001144624946 972888915 /nfs/dbraw/zinc/88/89/15/972888915.db2.gz WQMZNMLTNMYUDE-STQMWFEESA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@@H]1CCN(Cc2ncn3ccccc23)C1 ZINC001144626907 972890046 /nfs/dbraw/zinc/89/00/46/972890046.db2.gz GMJGBLRLEKHIJQ-GFCCVEGCSA-N 0 3 229.327 2.566 20 0 BFADHN CCC1CCN(Cc2ncn3ccccc23)CC1 ZINC001144615597 972893727 /nfs/dbraw/zinc/89/37/27/972893727.db2.gz KDBQJZMTLCSTLW-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN CN(C)c1cccc(NC2(C(F)(F)F)CC2)n1 ZINC001160820950 973067474 /nfs/dbraw/zinc/06/74/74/973067474.db2.gz AFXHKKRMWIHYNM-UHFFFAOYSA-N 0 3 245.248 2.654 20 0 BFADHN CN[C@H]1CCN1[C@H](C)CCc1ccc(C)cc1 ZINC001246898456 973372483 /nfs/dbraw/zinc/37/24/83/973372483.db2.gz MQHIVJDRMMXMGZ-UKRRQHHQSA-N 0 3 232.371 2.567 20 0 BFADHN CCN1CCC[C@@H](O[C@H](C)c2nccs2)C1 ZINC001224007443 973399110 /nfs/dbraw/zinc/39/91/10/973399110.db2.gz POGLEBCKCAKZMC-GHMZBOCLSA-N 0 3 240.372 2.705 20 0 BFADHN CCN1CCC[C@H](O[C@@H](C)c2nccs2)C1 ZINC001224007445 973400612 /nfs/dbraw/zinc/40/06/12/973400612.db2.gz POGLEBCKCAKZMC-QWRGUYRKSA-N 0 3 240.372 2.705 20 0 BFADHN C[C@H](N)c1nc2c(ccc(Cl)c2Cl)[nH]1 ZINC001247012667 973794003 /nfs/dbraw/zinc/79/40/03/973794003.db2.gz AEECAIGVKSYTGG-BYPYZUCNSA-N 0 3 230.098 2.889 20 0 BFADHN Cc1cccc2c1CC[C@H](N[C@@H]1C[C@H]1F)C2 ZINC001171553005 974097579 /nfs/dbraw/zinc/09/75/79/974097579.db2.gz JWCKSBDRAVXWBV-IACUBPJLSA-N 0 3 219.303 2.552 20 0 BFADHN C[C@H](N[C@@H]1Cc2cccc(F)c2C1)c1ccno1 ZINC001171790554 974176739 /nfs/dbraw/zinc/17/67/39/974176739.db2.gz NSZAGMKMVHHLRF-GXSJLCMTSA-N 0 3 246.285 2.632 20 0 BFADHN CC(C)Oc1ccccc1O[C@@H]1CCN(C)C1 ZINC001225209775 974237455 /nfs/dbraw/zinc/23/74/55/974237455.db2.gz PVPNIVJUSLZEEH-GFCCVEGCSA-N 0 3 235.327 2.557 20 0 BFADHN COc1cc(CN(C)C)ccc1Br ZINC000258207307 974333003 /nfs/dbraw/zinc/33/30/03/974333003.db2.gz WBFIDZGJGZTLCI-UHFFFAOYSA-N 0 3 244.132 2.519 20 0 BFADHN COC1(CN2CC(C)(CC(F)F)C2)CCC1 ZINC001330640048 974382455 /nfs/dbraw/zinc/38/24/55/974382455.db2.gz XKTFWSYJXDMBBE-UHFFFAOYSA-N 0 3 233.302 2.533 20 0 BFADHN CC/C=C\CNC(=O)CN(C)CCCCCC ZINC001330676809 974403355 /nfs/dbraw/zinc/40/33/55/974403355.db2.gz LHBBIFWRTODDOM-CLFYSBASSA-N 0 3 240.391 2.581 20 0 BFADHN CC[C@@H](N[C@H]1COC(C)(C)C1)c1ccccn1 ZINC001172275071 974490798 /nfs/dbraw/zinc/49/07/98/974490798.db2.gz ITTUUESDDSCVLQ-VXGBXAGGSA-N 0 3 234.343 2.690 20 0 BFADHN CC(C)(CF)NCc1cccc2c1CCOC2 ZINC001330831599 974495593 /nfs/dbraw/zinc/49/55/93/974495593.db2.gz WGKZBYURLVQAAN-UHFFFAOYSA-N 0 3 237.318 2.597 20 0 BFADHN CC[C@H](C)OCC[C@H](C)NCc1nccs1 ZINC001172317090 974524114 /nfs/dbraw/zinc/52/41/14/974524114.db2.gz PIMQIOOVIOPQFP-QWRGUYRKSA-N 0 3 242.388 2.826 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)NCc1nccs1 ZINC001172317092 974525240 /nfs/dbraw/zinc/52/52/40/974525240.db2.gz PIMQIOOVIOPQFP-WDEREUQCSA-N 0 3 242.388 2.826 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)NCc1cc(C)no1 ZINC001172321377 974529575 /nfs/dbraw/zinc/52/95/75/974529575.db2.gz JZCPRZLXHDRDHS-ZYHUDNBSSA-N 0 3 240.347 2.666 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)N(C)Cc1ccno1 ZINC001172344888 974614443 /nfs/dbraw/zinc/61/44/43/974614443.db2.gz BNKFSASUIUBOIX-NWDGAFQWSA-N 0 3 240.347 2.700 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N[C@@H](C)c1ccno1 ZINC001172352241 974619917 /nfs/dbraw/zinc/61/99/17/974619917.db2.gz QXFFPCGBMAIYDX-WOPDTQHZSA-N 0 3 240.347 2.919 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N1CC(C(F)F)C1 ZINC001172362221 974632651 /nfs/dbraw/zinc/63/26/51/974632651.db2.gz RPTPZSPSSJIFRC-ZJUUUORDSA-N 0 3 235.318 2.777 20 0 BFADHN C[C@@H]1CC[C@@H](N2Cc3cncnc3C2)C[C@@H]1C ZINC001172369037 974648410 /nfs/dbraw/zinc/64/84/10/974648410.db2.gz YPCICMSGVKORBZ-NTZNESFSSA-N 0 3 231.343 2.617 20 0 BFADHN CC[C@H](CC(C)C)N1CCc2ncn(C)c2C1 ZINC001172405082 974658739 /nfs/dbraw/zinc/65/87/39/974658739.db2.gz RIGBCBMGYJFVSU-GFCCVEGCSA-N 0 3 235.375 2.603 20 0 BFADHN CN1CCC[C@@H](Oc2ccc(Cl)c(F)c2)C1 ZINC001225782325 974683345 /nfs/dbraw/zinc/68/33/45/974683345.db2.gz RLPTYJVEAZZFHS-SNVBAGLBSA-N 0 3 243.709 2.952 20 0 BFADHN CCOCC[C@@H](C)N1CCc2ncc(C)cc2C1 ZINC001172426868 974702254 /nfs/dbraw/zinc/70/22/54/974702254.db2.gz INYQMHDAZWDOEV-CYBMUJFWSA-N 0 3 248.370 2.563 20 0 BFADHN CN1CCC(Oc2ccc(F)cc2Cl)CC1 ZINC001225888302 974717728 /nfs/dbraw/zinc/71/77/28/974717728.db2.gz KZBHQQCPGFTMSB-UHFFFAOYSA-N 0 3 243.709 2.952 20 0 BFADHN CC[C@H](CC(C)C)N1CC(OC(C)C)C1 ZINC001172400395 974762143 /nfs/dbraw/zinc/76/21/43/974762143.db2.gz FXXGFLVHBKSZBE-GFCCVEGCSA-N 0 3 213.365 2.920 20 0 BFADHN CC(C)OCC[C@H](C)N1CC[C@@H](C)[C@H](F)C1 ZINC001172441652 974802355 /nfs/dbraw/zinc/80/23/55/974802355.db2.gz CEKVCZVVHFCCJB-FRRDWIJNSA-N 0 3 231.355 2.870 20 0 BFADHN CC(C)OCC[C@H](C)N1CCC[C@H](F)C1 ZINC001172448437 974810525 /nfs/dbraw/zinc/81/05/25/974810525.db2.gz LUYDBZSDAIAQQM-RYUDHWBXSA-N 0 3 217.328 2.624 20 0 BFADHN CC1CN([C@@H]2Cc3ccc(Cl)cc3C2)C1 ZINC001172719252 974903898 /nfs/dbraw/zinc/90/38/98/974903898.db2.gz NRJJOFMKQMZYEJ-CYBMUJFWSA-N 0 3 221.731 2.759 20 0 BFADHN Cc1ccc2c(c1)C[C@@H](N(C)C1(C)COC1)CC2 ZINC001172845314 974958846 /nfs/dbraw/zinc/95/88/46/974958846.db2.gz XACRMPAGIKNAKI-HNNXBMFYSA-N 0 3 245.366 2.573 20 0 BFADHN C[C@@H](Oc1ccnc(N)c1)c1cccc(F)c1 ZINC001226653697 974998004 /nfs/dbraw/zinc/99/80/04/974998004.db2.gz NUSYEHYWEGPEJL-SECBINFHSA-N 0 3 232.258 2.943 20 0 BFADHN C[C@H](Oc1ccnc(N)c1)c1cccnc1Cl ZINC001226654892 975001354 /nfs/dbraw/zinc/00/13/54/975001354.db2.gz DIVOBNDKUPFEGM-QMMMGPOBSA-N 0 3 249.701 2.852 20 0 BFADHN CCC[C@@H](Oc1ccnc(N)c1)C(C)C ZINC001226656604 975001683 /nfs/dbraw/zinc/00/16/83/975001683.db2.gz MCQNDEXOYWPXMM-LLVKDONJSA-N 0 3 208.305 2.867 20 0 BFADHN C[C@H](COc1ccccc1)Oc1ccnc(N)c1 ZINC001226655486 975003478 /nfs/dbraw/zinc/00/34/78/975003478.db2.gz HKBGDJRAZWIIJE-LLVKDONJSA-N 0 3 244.294 2.510 20 0 BFADHN CCCOC1CCC(NCc2cocn2)CC1 ZINC001173092079 975017221 /nfs/dbraw/zinc/01/72/21/975017221.db2.gz IISPIZGXRJQWLB-UHFFFAOYSA-N 0 3 238.331 2.502 20 0 BFADHN C[C@H]1CCN(Cc2cccn2C)CCC1(F)F ZINC001203254145 975056330 /nfs/dbraw/zinc/05/63/30/975056330.db2.gz GURDWKQTYUKALH-NSHDSACASA-N 0 3 242.313 2.892 20 0 BFADHN COc1ccc(CNC(C)(C)CF)c(C)c1 ZINC001332334903 975060499 /nfs/dbraw/zinc/06/04/99/975060499.db2.gz HQUQMOMZIMASHA-UHFFFAOYSA-N 0 3 225.307 2.841 20 0 BFADHN CCc1ccc(C[C@H](C)N2CC3(COC3)C2)cc1 ZINC001173252671 975090668 /nfs/dbraw/zinc/09/06/68/975090668.db2.gz NITYTGHMSBVMSW-ZDUSSCGKSA-N 0 3 245.366 2.512 20 0 BFADHN CC[C@@H]1CC[C@H](NC2(c3cccnn3)CC2)C1 ZINC001173263357 975115897 /nfs/dbraw/zinc/11/58/97/975115897.db2.gz KBJQTUGXVHCODW-NEPJUHHUSA-N 0 3 231.343 2.634 20 0 BFADHN CC[C@H]1CC[C@H](N(C)c2nc(CN)ccc2C)C1 ZINC001173262411 975118721 /nfs/dbraw/zinc/11/87/21/975118721.db2.gz ZBYFTPBIDZAOLU-JSGCOSHPSA-N 0 3 247.386 2.864 20 0 BFADHN Cc1ncc(CNC2CC3(C2)CCCC3)o1 ZINC001332447940 975127995 /nfs/dbraw/zinc/12/79/95/975127995.db2.gz ZNICIVCWQZRYOH-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN CCSC[C@@H](C)NCOc1ccccc1 ZINC001173297867 975187185 /nfs/dbraw/zinc/18/71/85/975187185.db2.gz XRNVPRRQMXRYFR-LLVKDONJSA-N 0 3 225.357 2.754 20 0 BFADHN CC[C@@H]1CC[C@@H](N2CC3(C2)CCCCO3)C1 ZINC001173283293 975240317 /nfs/dbraw/zinc/24/03/17/975240317.db2.gz URYGQFKNNQSTSN-CHWSQXEVSA-N 0 3 223.360 2.820 20 0 BFADHN CCSC[C@@H](C)NCc1cc(Cl)ccn1 ZINC001173285337 975243369 /nfs/dbraw/zinc/24/33/69/975243369.db2.gz RLVBBBARVPZUFH-SECBINFHSA-N 0 3 244.791 2.966 20 0 BFADHN CCC1(CO)CCN(Cc2cc(C)co2)CC1 ZINC001332691776 975252126 /nfs/dbraw/zinc/25/21/26/975252126.db2.gz OLNBLAVQYGIFFC-UHFFFAOYSA-N 0 3 237.343 2.573 20 0 BFADHN OC1CN([C@H]2CCCC3(CCCCC3)C2)C1 ZINC001173347215 975286944 /nfs/dbraw/zinc/28/69/44/975286944.db2.gz YMQONZHYXRXRKN-LBPRGKRZSA-N 0 3 223.360 2.556 20 0 BFADHN CCN1CCC[C@H](Oc2c(C)cccc2OC)C1 ZINC001227164553 975298935 /nfs/dbraw/zinc/29/89/35/975298935.db2.gz JRTIYECMWGNNEE-ZDUSSCGKSA-N 0 3 249.354 2.867 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1nncs1 ZINC001332921858 975323912 /nfs/dbraw/zinc/32/39/12/975323912.db2.gz IJYWOPUACGNAFC-NXEZZACHSA-N 0 3 239.388 2.843 20 0 BFADHN C[C@H](NC1(C)CC1)c1cn2ccccc2n1 ZINC001332946431 975336890 /nfs/dbraw/zinc/33/68/90/975336890.db2.gz MKEQKGLRFVBWDY-JTQLQIEISA-N 0 3 215.300 2.537 20 0 BFADHN Fc1cc2c(cc1F)CC(N1CCCC1)C2 ZINC001173479437 975386945 /nfs/dbraw/zinc/38/69/45/975386945.db2.gz GCXCHESZDCWJFN-UHFFFAOYSA-N 0 3 223.266 2.528 20 0 BFADHN CN1CC[C@@H](Oc2cc(C(C)(C)C)ccc2O)C1 ZINC001227424672 975395403 /nfs/dbraw/zinc/39/54/03/975395403.db2.gz PVJHPHQCZNJDQF-GFCCVEGCSA-N 0 3 249.354 2.773 20 0 BFADHN Cc1cn(C)nc1CN(C)C[C@@H]1CC=CCC1 ZINC001333308007 975637574 /nfs/dbraw/zinc/63/75/74/975637574.db2.gz JXOMVDWJHBOQJA-CYBMUJFWSA-N 0 3 233.359 2.517 20 0 BFADHN CN1CCC[C@H](Oc2cc(F)cc(Cl)c2)C1 ZINC001227854600 975741020 /nfs/dbraw/zinc/74/10/20/975741020.db2.gz CSWAMOWEZNLUFD-NSHDSACASA-N 0 3 243.709 2.952 20 0 BFADHN CCOc1ccccc1Nc1cnc(C)n1C ZINC001173849517 975753354 /nfs/dbraw/zinc/75/33/54/975753354.db2.gz DYXQLEPQSYBCIU-UHFFFAOYSA-N 0 3 231.299 2.871 20 0 BFADHN CN1CCc2ccc(Nc3cccnc3)cc2C1 ZINC001173858540 975796342 /nfs/dbraw/zinc/79/63/42/975796342.db2.gz KDZNIBIIFDJDRV-UHFFFAOYSA-N 0 3 239.322 2.813 20 0 BFADHN Cc1cnccc1Nc1c(F)cccc1F ZINC001173858520 975797145 /nfs/dbraw/zinc/79/71/45/975797145.db2.gz JDYOBCGGSJDBNE-UHFFFAOYSA-N 0 3 220.222 2.834 20 0 BFADHN Cc1ncc(Nc2ccc(F)c(F)c2)n1C ZINC001173866465 975810113 /nfs/dbraw/zinc/81/01/13/975810113.db2.gz JNXNDSGJDJNLQV-UHFFFAOYSA-N 0 3 223.226 2.750 20 0 BFADHN Cc1ncc(NC=Cc2ccccc2)n1C ZINC001173872633 975830278 /nfs/dbraw/zinc/83/02/78/975830278.db2.gz RZMXSRNWYOWNBQ-CMDGGOBGSA-N 0 3 213.284 2.811 20 0 BFADHN CCCC(=O)c1ccccc1O[C@@H](C)CN(C)C ZINC001228024551 975854007 /nfs/dbraw/zinc/85/40/07/975854007.db2.gz LDGABISQSVEVET-LBPRGKRZSA-N 0 3 249.354 2.998 20 0 BFADHN c1cc(CN[C@H]2C=CCCC2)n(C2CCC2)n1 ZINC001333616119 975892413 /nfs/dbraw/zinc/89/24/13/975892413.db2.gz LEINJKWFVPRDGY-LBPRGKRZSA-N 0 3 231.343 2.806 20 0 BFADHN CCC(=O)c1cccc(O[C@H]2CCN(CC)C2)c1 ZINC001228115535 975911781 /nfs/dbraw/zinc/91/17/81/975911781.db2.gz RSQWZYBAQLNJLM-AWEZNQCLSA-N 0 3 247.338 2.752 20 0 BFADHN Nc1ccc2ccn(-c3ccncc3)c2c1 ZINC001173882153 975932727 /nfs/dbraw/zinc/93/27/27/975932727.db2.gz AWTJAVSMZJQMBD-UHFFFAOYSA-N 0 3 209.252 2.608 20 0 BFADHN CSc1ccc(O[C@H]2CN3CCC2CC3)cc1 ZINC001228156909 975957844 /nfs/dbraw/zinc/95/78/44/975957844.db2.gz ARQNJOGEXOZRCH-AWEZNQCLSA-N 0 3 249.379 2.882 20 0 BFADHN Cc1cn(-c2ccncc2)c2cccc(N)c12 ZINC001173884728 975972264 /nfs/dbraw/zinc/97/22/64/975972264.db2.gz XZHCZXZYLUXHOW-UHFFFAOYSA-N 0 3 223.279 2.916 20 0 BFADHN CCCOc1ccccc1O[C@@H]1CCCN(C)C1 ZINC001228183837 975980494 /nfs/dbraw/zinc/98/04/94/975980494.db2.gz YHHHKFBJPJSRGX-CYBMUJFWSA-N 0 3 249.354 2.948 20 0 BFADHN CCCOc1ccccc1O[C@@H]1CCN(C)C1 ZINC001228183368 975981774 /nfs/dbraw/zinc/98/17/74/975981774.db2.gz WIZMOHNMZSQRDC-GFCCVEGCSA-N 0 3 235.327 2.558 20 0 BFADHN CN(C)Cc1ccccc1Nc1ccc(=O)[nH]c1 ZINC001173927525 976037859 /nfs/dbraw/zinc/03/78/59/976037859.db2.gz FTPBLTJUQUQYGS-UHFFFAOYSA-N 0 3 243.310 2.592 20 0 BFADHN Cc1c(Nc2ccccc2CN(C)C)cnn1C ZINC001173927880 976040053 /nfs/dbraw/zinc/04/00/53/976040053.db2.gz ROVQRMMWEFNDTO-UHFFFAOYSA-N 0 3 244.342 2.534 20 0 BFADHN Cc1c(Nc2ccccc2CN(C)C)ncn1C ZINC001173930297 976040334 /nfs/dbraw/zinc/04/03/34/976040334.db2.gz SWEUJPSMXWODMD-UHFFFAOYSA-N 0 3 244.342 2.534 20 0 BFADHN CN(C)Cc1ccccc1Nc1nccs1 ZINC001173927997 976041395 /nfs/dbraw/zinc/04/13/95/976041395.db2.gz UIKZQJPAGAJISX-UHFFFAOYSA-N 0 3 233.340 2.948 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2CCC[C@@H](F)C2)cc1F ZINC001333835778 976113875 /nfs/dbraw/zinc/11/38/75/976113875.db2.gz XCYUICBNZLMLNA-GHMZBOCLSA-N 0 3 241.281 2.902 20 0 BFADHN CCN1CC[C@H](Oc2ccc(C)cc2F)C1 ZINC001228380876 976174018 /nfs/dbraw/zinc/17/40/18/976174018.db2.gz KEIZNXKHLBVDQU-NSHDSACASA-N 0 3 223.291 2.607 20 0 BFADHN COCc1ccccc1Nc1ccncc1C ZINC001174103356 976306898 /nfs/dbraw/zinc/30/68/98/976306898.db2.gz HYIAXRMYIDCZHJ-UHFFFAOYSA-N 0 3 228.295 2.702 20 0 BFADHN Cc1cc(F)ncc1CN[C@@H]1C=CCCC1 ZINC001334199585 976368464 /nfs/dbraw/zinc/36/84/64/976368464.db2.gz HAXNKUDXTJZMTM-GFCCVEGCSA-N 0 3 220.291 2.727 20 0 BFADHN COc1cccc(F)c1CN[C@H]1CC[C@@H]1C ZINC001334234217 976396659 /nfs/dbraw/zinc/39/66/59/976396659.db2.gz NTAJBNCFBFLQRK-CABZTGNLSA-N 0 3 223.291 2.722 20 0 BFADHN Cc1ccc(OC(C)C)cc1O[C@H]1CCN(C)C1 ZINC001228729176 976461003 /nfs/dbraw/zinc/46/10/03/976461003.db2.gz ZBUHUDCPDDAPQY-AWEZNQCLSA-N 0 3 249.354 2.865 20 0 BFADHN CN(CCCF)Cc1cccn1C1CC1 ZINC001334403856 976495525 /nfs/dbraw/zinc/49/55/25/976495525.db2.gz VJVBZDSRWUKKRW-UHFFFAOYSA-N 0 3 210.296 2.614 20 0 BFADHN COc1cc([C@H](C)N[C@H]2CCC[C@H](F)C2)on1 ZINC001334404220 976496167 /nfs/dbraw/zinc/49/61/67/976496167.db2.gz VMDITJKELLCIMK-GUBZILKMSA-N 0 3 242.294 2.615 20 0 BFADHN CCN1CC[C@@H](Oc2cc3cc[nH]c3cc2F)C1 ZINC001228889420 976550855 /nfs/dbraw/zinc/55/08/55/976550855.db2.gz VROZZXHLVLMKST-LLVKDONJSA-N 0 3 248.301 2.780 20 0 BFADHN C/C=C/c1ccc(OC)c(O[C@H]2CCN(C)C2)c1 ZINC001229247804 976806682 /nfs/dbraw/zinc/80/66/82/976806682.db2.gz FTFBXTJRILMLKF-IHVVCDCBSA-N 0 3 247.338 2.811 20 0 BFADHN Cc1cnccc1Nc1cccc(Cl)n1 ZINC001174536039 976812976 /nfs/dbraw/zinc/81/29/76/976812976.db2.gz IIBFCSYVEKHZIF-UHFFFAOYSA-N 0 3 219.675 2.604 20 0 BFADHN COc1cc(Cl)cnc1Nc1ccncc1C ZINC001174536621 976815284 /nfs/dbraw/zinc/81/52/84/976815284.db2.gz QJNSGGVNXNAMOS-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN CNc1ccnc(Cl)c1Nc1ccncc1C ZINC001174536683 976816472 /nfs/dbraw/zinc/81/64/72/976816472.db2.gz JDVXYDVGZLTKID-UHFFFAOYSA-N 0 3 248.717 2.646 20 0 BFADHN Cc1cnccc1Nc1cc(F)c(F)c(F)c1 ZINC001174537409 976820522 /nfs/dbraw/zinc/82/05/22/976820522.db2.gz FKRKYOPMJGHLJG-UHFFFAOYSA-N 0 3 238.212 2.973 20 0 BFADHN Cc1cnccc1Nc1ncccc1C1CC1 ZINC001174537576 976821449 /nfs/dbraw/zinc/82/14/49/976821449.db2.gz LRHAXXHOPZBHFJ-UHFFFAOYSA-N 0 3 225.295 2.828 20 0 BFADHN Cc1cnccc1Nc1c(N)ccc(F)c1C ZINC001174538700 976825426 /nfs/dbraw/zinc/82/54/26/976825426.db2.gz LXRZNFLLKROLFE-UHFFFAOYSA-N 0 3 231.274 2.585 20 0 BFADHN Cc1cnccc1Nc1cccc([C@@H](C)O)c1 ZINC001174538039 976825467 /nfs/dbraw/zinc/82/54/67/976825467.db2.gz ZOEBPVKQNYALCN-LLVKDONJSA-N 0 3 228.295 2.609 20 0 BFADHN CC(=O)c1cc(F)ccc1Nc1ccncc1C ZINC001174539529 976828921 /nfs/dbraw/zinc/82/89/21/976828921.db2.gz KJEDXHWXTUBZFO-UHFFFAOYSA-N 0 3 244.269 2.897 20 0 BFADHN Cc1cnccc1Nc1cc(O)cc(Cl)c1 ZINC001174539447 976829488 /nfs/dbraw/zinc/82/94/88/976829488.db2.gz FUBCPBSLDJGQSL-UHFFFAOYSA-N 0 3 234.686 2.915 20 0 BFADHN COc1cc(C)ccc1Nc1ccncc1C ZINC001174540389 976831905 /nfs/dbraw/zinc/83/19/05/976831905.db2.gz YNDVZVKESRETPF-UHFFFAOYSA-N 0 3 228.295 2.873 20 0 BFADHN Cc1cnccc1Nc1ccc(O)c(C)c1C ZINC001174540171 976832953 /nfs/dbraw/zinc/83/29/53/976832953.db2.gz WRGDIBQAFXXQEG-UHFFFAOYSA-N 0 3 228.295 2.878 20 0 BFADHN Cc1cc(Nc2scnc2C2CC2)ccn1 ZINC001174655218 976945243 /nfs/dbraw/zinc/94/52/43/976945243.db2.gz YRQAHEJUGBFNHZ-UHFFFAOYSA-N 0 3 231.324 2.889 20 0 BFADHN C[C@H](Oc1ccccc1CN(C)C)C1CC1 ZINC001229699715 976949772 /nfs/dbraw/zinc/94/97/72/976949772.db2.gz QEWXLZZZDHKPFM-NSHDSACASA-N 0 3 219.328 2.926 20 0 BFADHN Cc1c2ccc(N)cc2nn1-c1ccnc(C)c1 ZINC001174657392 976953453 /nfs/dbraw/zinc/95/34/53/976953453.db2.gz UVJZCKTZQMMWLI-UHFFFAOYSA-N 0 3 238.294 2.620 20 0 BFADHN Cc1ncc(Nc2cccc(F)c2C)n1C ZINC001174667546 976961271 /nfs/dbraw/zinc/96/12/71/976961271.db2.gz POVRNUMMDQYPSY-UHFFFAOYSA-N 0 3 219.263 2.920 20 0 BFADHN Cc1cnccc1Nc1ccc2c(c1)CCCO2 ZINC001174720121 976969580 /nfs/dbraw/zinc/96/95/80/976969580.db2.gz SQZXHJJVTQAFOH-UHFFFAOYSA-N 0 3 240.306 2.881 20 0 BFADHN Cc1ncc(Nc2ccc(OC(C)C)nc2)n1C ZINC001174762537 976986372 /nfs/dbraw/zinc/98/63/72/976986372.db2.gz OSZVJJNTKKFAJF-UHFFFAOYSA-N 0 3 246.314 2.654 20 0 BFADHN CC(C)Cc1cc(O)ccc1O[C@H]1CCN(C)C1 ZINC001230209866 977128823 /nfs/dbraw/zinc/12/88/23/977128823.db2.gz BMOXAXBCFNYGLZ-AWEZNQCLSA-N 0 3 249.354 2.674 20 0 BFADHN CCN1CC[C@@H](Oc2cc(F)c(F)cc2C)C1 ZINC001230443317 977210421 /nfs/dbraw/zinc/21/04/21/977210421.db2.gz DJQMTISZAGHGJG-SNVBAGLBSA-N 0 3 241.281 2.746 20 0 BFADHN CN1CCC(Oc2ccc3[nH]ccc3c2F)CC1 ZINC001230766502 977331020 /nfs/dbraw/zinc/33/10/20/977331020.db2.gz OBVFCNJLIGCNDN-UHFFFAOYSA-N 0 3 248.301 2.780 20 0 BFADHN CCCCCC(=O)N(C)C[C@H]1CCN1CCC ZINC001230781686 977332001 /nfs/dbraw/zinc/33/20/01/977332001.db2.gz MPWRKLLPTAJQEK-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@@H]1CCC[C@@H](Oc2nncc3n[nH]cc32)[C@H]1C ZINC001230900458 977368075 /nfs/dbraw/zinc/36/80/75/977368075.db2.gz INKZVNXLJKUJIL-VDDIYKPWSA-N 0 3 246.314 2.556 20 0 BFADHN CCC[C@@H](Oc1nncc2n[nH]cc21)[C@H](C)CC ZINC001230899805 977369517 /nfs/dbraw/zinc/36/95/17/977369517.db2.gz AFRNOHMWZSPTQH-BXKDBHETSA-N 0 3 248.330 2.947 20 0 BFADHN CC[C@@H](C)C[C@H](CC)Oc1nncc2n[nH]cc21 ZINC001230901284 977373986 /nfs/dbraw/zinc/37/39/86/977373986.db2.gz PDYBCVZAAAGOIW-ZJUUUORDSA-N 0 3 248.330 2.947 20 0 BFADHN Cc1cc(NC2=CCCN(C)C2)ccc1F ZINC001175577130 977588827 /nfs/dbraw/zinc/58/88/27/977588827.db2.gz XESDQTIGXOTTQQ-UHFFFAOYSA-N 0 3 220.291 2.765 20 0 BFADHN CCOc1ccc(NC2=CCCN(C)C2)cc1 ZINC001175580645 977596720 /nfs/dbraw/zinc/59/67/20/977596720.db2.gz OMQLYGFRNDRBMI-UHFFFAOYSA-N 0 3 232.327 2.717 20 0 BFADHN F[C@@H]1CCCCN(Cc2c[nH]c3cnccc23)C1 ZINC001231513857 977620066 /nfs/dbraw/zinc/62/00/66/977620066.db2.gz CGPKLWOHOAQUIL-GFCCVEGCSA-N 0 3 247.317 2.887 20 0 BFADHN c1[nH]c2cnccc2c1CN1CC2(C1)CCCC2 ZINC001231515343 977623330 /nfs/dbraw/zinc/62/33/30/977623330.db2.gz HNJZMTMSLAHOLP-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN CC(C)Oc1ccc(CN2CCC3(CC3)C2)cn1 ZINC001231533561 977631131 /nfs/dbraw/zinc/63/11/31/977631131.db2.gz GZYUXLPRDSHSGK-UHFFFAOYSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cc(F)ccc1CN(C)C(C)C ZINC001231600468 977646511 /nfs/dbraw/zinc/64/65/11/977646511.db2.gz APSINBKQLPFBRK-UHFFFAOYSA-N 0 3 211.280 2.675 20 0 BFADHN CCOc1ccc(CN2CCC[C@@H](C)C2)cn1 ZINC001231648632 977658092 /nfs/dbraw/zinc/65/80/92/977658092.db2.gz CLBYPWDEIRZFKB-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CCOc1ccc(CN2CCCC23CC3)cn1 ZINC001231649248 977666483 /nfs/dbraw/zinc/66/64/83/977666483.db2.gz JMTIZSFOZAUFLS-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN CSc1ccccc1CN1CC[C@H](C(C)=O)C1 ZINC001231786553 977751948 /nfs/dbraw/zinc/75/19/48/977751948.db2.gz FFKCQCHQVNLNIS-LBPRGKRZSA-N 0 3 249.379 2.819 20 0 BFADHN Cc1cc(CN2CC3CCC2CC3)cnc1F ZINC001231927136 977800847 /nfs/dbraw/zinc/80/08/47/977800847.db2.gz KIHDEMASYBTOMW-UHFFFAOYSA-N 0 3 234.318 2.904 20 0 BFADHN COc1ccnc(CN2CC[C@H]3CCC[C@@H]3C2)c1 ZINC001231965629 977814963 /nfs/dbraw/zinc/81/49/63/977814963.db2.gz KGJFMGJDMHUXRH-CHWSQXEVSA-N 0 3 246.354 2.712 20 0 BFADHN c1[nH]nc2c1cccc2CN1CC2(CCC2)C1 ZINC001231973102 977819294 /nfs/dbraw/zinc/81/92/94/977819294.db2.gz NBXACVMECTZNTI-UHFFFAOYSA-N 0 3 227.311 2.549 20 0 BFADHN Cc1cc(F)ncc1CN1C[C@H](C)CC12CC2 ZINC001231984738 977824058 /nfs/dbraw/zinc/82/40/58/977824058.db2.gz AXNGLHKUXNJNTQ-SNVBAGLBSA-N 0 3 234.318 2.904 20 0 BFADHN CCCO[C@@H]1CCN(Cc2cc(C)nc(C)c2)C1 ZINC001232000693 977833371 /nfs/dbraw/zinc/83/33/71/977833371.db2.gz SDNRXDKCYOLMQI-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CCC(CC)[N@H+](CC)Cc1cncc([O-])c1 ZINC001232045669 977847154 /nfs/dbraw/zinc/84/71/54/977847154.db2.gz YPOLKHDYKGIQPE-UHFFFAOYSA-N 0 3 222.332 2.798 20 0 BFADHN CCC(CC)[N@@H+](CC)Cc1cncc([O-])c1 ZINC001232045669 977847157 /nfs/dbraw/zinc/84/71/57/977847157.db2.gz YPOLKHDYKGIQPE-UHFFFAOYSA-N 0 3 222.332 2.798 20 0 BFADHN C[C@H]1C[N@@H+](Cc2cncc([O-])c2)CCC[C@@H]1C ZINC001232038543 977864016 /nfs/dbraw/zinc/86/40/16/977864016.db2.gz GLNBFMOYUSTHQS-RYUDHWBXSA-N 0 3 234.343 2.655 20 0 BFADHN C[C@H]1C[N@H+](Cc2cncc([O-])c2)CCC[C@@H]1C ZINC001232038543 977864019 /nfs/dbraw/zinc/86/40/19/977864019.db2.gz GLNBFMOYUSTHQS-RYUDHWBXSA-N 0 3 234.343 2.655 20 0 BFADHN CN(CCc1cccs1)Cc1cncc(O)c1 ZINC001232041895 977867871 /nfs/dbraw/zinc/86/78/71/977867871.db2.gz MNZSNLBYGGLQDN-UHFFFAOYSA-N 0 3 248.351 2.523 20 0 BFADHN C[N@H+](CCc1cccs1)Cc1cncc([O-])c1 ZINC001232041895 977867874 /nfs/dbraw/zinc/86/78/74/977867874.db2.gz MNZSNLBYGGLQDN-UHFFFAOYSA-N 0 3 248.351 2.523 20 0 BFADHN C[N@@H+](CCc1cccs1)Cc1cncc([O-])c1 ZINC001232041895 977867877 /nfs/dbraw/zinc/86/78/77/977867877.db2.gz MNZSNLBYGGLQDN-UHFFFAOYSA-N 0 3 248.351 2.523 20 0 BFADHN c1c[nH]c(Nc2cncc3ccccc32)n1 ZINC001176079279 977874023 /nfs/dbraw/zinc/87/40/23/977874023.db2.gz ICHXSQHVPYIEIG-UHFFFAOYSA-N 0 3 210.240 2.702 20 0 BFADHN c1c(CN2CCC3(CC3)CC2)[nH]c2cccnc12 ZINC001232061501 977875379 /nfs/dbraw/zinc/87/53/79/977875379.db2.gz HTUJNJQGDDNVHP-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CC[C@H]3CCCC[C@@H]3C2)c1 ZINC001232047211 977875470 /nfs/dbraw/zinc/87/54/70/977875470.db2.gz UNYMUVHLJBKPDC-ZIAGYGMSSA-N 0 3 246.354 2.799 20 0 BFADHN [O-]c1cncc(C[N@H+]2CC[C@H]3CCCC[C@@H]3C2)c1 ZINC001232047211 977875471 /nfs/dbraw/zinc/87/54/71/977875471.db2.gz UNYMUVHLJBKPDC-ZIAGYGMSSA-N 0 3 246.354 2.799 20 0 BFADHN Cn1nc(-c2ccccc2)cc1Nc1ncc[nH]1 ZINC001176080580 977876220 /nfs/dbraw/zinc/87/62/20/977876220.db2.gz XZHDTRLKMDSWQZ-UHFFFAOYSA-N 0 3 239.282 2.554 20 0 BFADHN c1c[nH]c(Nc2cncc(N3CCCCC3)c2)n1 ZINC001176082364 977879114 /nfs/dbraw/zinc/87/91/14/977879114.db2.gz HIIMDCLMSCAVET-UHFFFAOYSA-N 0 3 243.314 2.539 20 0 BFADHN COc1cccc(Nc2ncc[nH]2)c1Cl ZINC001176083991 977883545 /nfs/dbraw/zinc/88/35/45/977883545.db2.gz BWEGTWLOVQKWEX-UHFFFAOYSA-N 0 3 223.663 2.815 20 0 BFADHN c1c[nH]c(Nc2cccc3ncsc32)n1 ZINC001176085231 977886479 /nfs/dbraw/zinc/88/64/79/977886479.db2.gz YWMNLDHXJRRQTP-UHFFFAOYSA-N 0 3 216.269 2.763 20 0 BFADHN CC(=O)c1ccc(C)cc1Nc1ncc[nH]1 ZINC001176085515 977886824 /nfs/dbraw/zinc/88/68/24/977886824.db2.gz KSSYIIKPHSOPHM-UHFFFAOYSA-N 0 3 215.256 2.664 20 0 BFADHN Fc1cnc2cccc(Nc3ncc[nH]3)c2c1 ZINC001176085680 977891516 /nfs/dbraw/zinc/89/15/16/977891516.db2.gz NVGGBKJRYYCGOM-UHFFFAOYSA-N 0 3 228.230 2.841 20 0 BFADHN COc1ccc(Nc2ncc[nH]2)c2ncccc12 ZINC001176085738 977891861 /nfs/dbraw/zinc/89/18/61/977891861.db2.gz WQFNPSFMIJPDGG-UHFFFAOYSA-N 0 3 240.266 2.710 20 0 BFADHN CCOc1ccc(CNC2(C3CC3)CC2)o1 ZINC001336735157 977894653 /nfs/dbraw/zinc/89/46/53/977894653.db2.gz KHHTWDXTAIGTMN-UHFFFAOYSA-N 0 3 221.300 2.711 20 0 BFADHN COc1cccc2[nH]c(Nc3nccn3C)cc21 ZINC001176060366 977909611 /nfs/dbraw/zinc/90/96/11/977909611.db2.gz WCXMVNXEPUETAR-UHFFFAOYSA-N 0 3 242.282 2.654 20 0 BFADHN c1cc(NC2=CCOCC2)cc(C2CC2)n1 ZINC001176143624 977929999 /nfs/dbraw/zinc/92/99/99/977929999.db2.gz CRSFVDOWSGJWMK-UHFFFAOYSA-N 0 3 216.284 2.675 20 0 BFADHN C[C@H]1CCCCN(Cc2cnsc2)C1 ZINC001232224732 977951335 /nfs/dbraw/zinc/95/13/35/977951335.db2.gz RCWZJPQEFDBOBT-JTQLQIEISA-N 0 3 210.346 2.765 20 0 BFADHN C[C@@H]1CCN1Cc1nccc2ccccc21 ZINC001232247899 977973379 /nfs/dbraw/zinc/97/33/79/977973379.db2.gz HEXQRSJASFITGT-LLVKDONJSA-N 0 3 212.296 2.829 20 0 BFADHN COCc1cccc(CN2CC[C@H](C)C[C@@H]2C)n1 ZINC001232255581 977984034 /nfs/dbraw/zinc/98/40/34/977984034.db2.gz RETBNSJASKTKEH-STQMWFEESA-N 0 3 248.370 2.848 20 0 BFADHN COCc1cccc(CN2CCC3(CCC3)C2)n1 ZINC001232256270 977984097 /nfs/dbraw/zinc/98/40/97/977984097.db2.gz YNEQIMSVCHBJJD-UHFFFAOYSA-N 0 3 246.354 2.604 20 0 BFADHN CC1(C)CN(Cc2ccc(O)c3ncccc23)C1 ZINC001232275590 977987520 /nfs/dbraw/zinc/98/75/20/977987520.db2.gz WAPLEOCXKGJIBY-UHFFFAOYSA-N 0 3 242.322 2.782 20 0 BFADHN C[C@@H]1CCC[N@@H+]1Cc1ccc([O-])c2ncccc12 ZINC001232275524 977990426 /nfs/dbraw/zinc/99/04/26/977990426.db2.gz SWIWKXVSZHEQTD-LLVKDONJSA-N 0 3 242.322 2.925 20 0 BFADHN C[C@@H]1CCC[N@H+]1Cc1ccc([O-])c2ncccc12 ZINC001232275524 977990433 /nfs/dbraw/zinc/99/04/33/977990433.db2.gz SWIWKXVSZHEQTD-LLVKDONJSA-N 0 3 242.322 2.925 20 0 BFADHN CCOc1ccc(CN2CCC3(CC3)C2)c(C)n1 ZINC001232310144 977998068 /nfs/dbraw/zinc/99/80/68/977998068.db2.gz PQRIAGQOFSIMAH-UHFFFAOYSA-N 0 3 246.354 2.775 20 0 BFADHN CCN(Cc1ccc(SC)nc1)C1CC1 ZINC001232325242 978006536 /nfs/dbraw/zinc/00/65/36/978006536.db2.gz XBTUHKIGRQMPCV-UHFFFAOYSA-N 0 3 222.357 2.788 20 0 BFADHN COc1ccc(CN2CCCC[C@H]2C)c(C)n1 ZINC001232331728 978008863 /nfs/dbraw/zinc/00/88/63/978008863.db2.gz WBRVZIZWIDSQFE-LLVKDONJSA-N 0 3 234.343 2.773 20 0 BFADHN Cc1nc(N)ccc1CN(C)Cc1ccccc1 ZINC001232338898 978011810 /nfs/dbraw/zinc/01/18/10/978011810.db2.gz ZPEDYEFQMWOIQP-UHFFFAOYSA-N 0 3 241.338 2.604 20 0 BFADHN Cc1nc(N)ccc1CN1CCC[C@@H]2CCC[C@H]21 ZINC001232341198 978018370 /nfs/dbraw/zinc/01/83/70/978018370.db2.gz OHMGIQWJVYEVDN-GXTWGEPZSA-N 0 3 245.370 2.737 20 0 BFADHN CCC[C@H](C)CCNC(=O)[C@H](C(C)C)N(C)C ZINC001176312602 978038163 /nfs/dbraw/zinc/03/81/63/978038163.db2.gz MVKJEXLCKIBDSJ-STQMWFEESA-N 0 3 242.407 2.515 20 0 BFADHN CCOc1ccc(OC)cc1CN1CC[C@H](C)C1 ZINC001232381045 978043042 /nfs/dbraw/zinc/04/30/42/978043042.db2.gz RBJLFXPIZMQNNN-LBPRGKRZSA-N 0 3 249.354 2.936 20 0 BFADHN CN(Cc1cn2cc(F)ccc2n1)CC1CCC1 ZINC001232412621 978050181 /nfs/dbraw/zinc/05/01/81/978050181.db2.gz MFYDCCNMEIVTPQ-UHFFFAOYSA-N 0 3 247.317 2.705 20 0 BFADHN C(c1[nH]nc2c1CCC2)N1CC[C@@H]2CCC[C@@H]2C1 ZINC001232425090 978064542 /nfs/dbraw/zinc/06/45/42/978064542.db2.gz SJHPOVFSKOEHHB-NWDGAFQWSA-N 0 3 245.370 2.520 20 0 BFADHN CN(Cc1cc(F)ccn1)C1CCCC1 ZINC001232496752 978084923 /nfs/dbraw/zinc/08/49/23/978084923.db2.gz WFDWUBGIHZWRSK-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN Cc1ncc(CN2CCc3ccc(C)cc3C2)[nH]1 ZINC001232458611 978092266 /nfs/dbraw/zinc/09/22/66/978092266.db2.gz NUPVUBNNMXYVQC-UHFFFAOYSA-N 0 3 241.338 2.585 20 0 BFADHN Cc1cccc(F)c1CN1CCC[C@]12CCOC2 ZINC001232483183 978136100 /nfs/dbraw/zinc/13/61/00/978136100.db2.gz CSWUPNLMSREFAC-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN C[C@@H]1CCCCN(Cc2cc(F)ccn2)C1 ZINC001232491125 978143571 /nfs/dbraw/zinc/14/35/71/978143571.db2.gz UVWYYAAVXQNANX-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN CCOc1ccnc(CN2C[C@H](C)CC23CC3)c1 ZINC001232586240 978189415 /nfs/dbraw/zinc/18/94/15/978189415.db2.gz AVDYXLFECCYHCT-GFCCVEGCSA-N 0 3 246.354 2.855 20 0 BFADHN CCOc1ccnc(CN(CC2CC2)C2CC2)c1 ZINC001232586379 978191336 /nfs/dbraw/zinc/19/13/36/978191336.db2.gz DBZMECFOAZSLAM-UHFFFAOYSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cccc(C)c1CN1CCC[C@@H]2C[C@@H]21 ZINC001232623263 978201220 /nfs/dbraw/zinc/20/12/20/978201220.db2.gz MACSJDAFLULGLA-OCCSQVGLSA-N 0 3 231.339 2.988 20 0 BFADHN CNc1ccccc1CN1CCC[C@@H](C(C)=O)C1 ZINC001232672967 978232068 /nfs/dbraw/zinc/23/20/68/978232068.db2.gz NTTDURWNERXBNP-CYBMUJFWSA-N 0 3 246.354 2.529 20 0 BFADHN COC/C(C)=C/CN[C@H](C)c1ncccc1C ZINC001176896523 978254064 /nfs/dbraw/zinc/25/40/64/978254064.db2.gz HOLIHDJWNDEKHL-SVTZGKHISA-N 0 3 234.343 2.633 20 0 BFADHN Cc1cccnc1[C@H](C)NCCc1ccns1 ZINC001176896747 978254260 /nfs/dbraw/zinc/25/42/60/978254260.db2.gz IFLYDGLDUBTDQJ-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cccnc1[C@H](C)NC[C@@H]1CC[C@@H](C)O1 ZINC001176898032 978257590 /nfs/dbraw/zinc/25/75/90/978257590.db2.gz OVLIHKKLXQXGAP-AGIUHOORSA-N 0 3 234.343 2.608 20 0 BFADHN CCCn1cnc(CN2C[C@H]3CCCC[C@@H]3C2)c1 ZINC001232793845 978275413 /nfs/dbraw/zinc/27/54/13/978275413.db2.gz DSQMJKNQRKLVAW-ZIAGYGMSSA-N 0 3 247.386 2.915 20 0 BFADHN COc1cc(O)cc(CN2CCC[C@H](C)C2)c1 ZINC001232802047 978290350 /nfs/dbraw/zinc/29/03/50/978290350.db2.gz RMLFSVNXTYMXJH-NSHDSACASA-N 0 3 235.327 2.633 20 0 BFADHN CCCn1cnc(CN2C[C@H](C)C[C@@H](C)C2)c1 ZINC001232804489 978297377 /nfs/dbraw/zinc/29/73/77/978297377.db2.gz ZYMCNTXBRXETJR-CHWSQXEVSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@H]1CCCCN1Cc1ccc(C(C)(C)O)nc1 ZINC001232822405 978304746 /nfs/dbraw/zinc/30/47/46/978304746.db2.gz BBJLLZSBIRNFKW-LBPRGKRZSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1n[nH]cc1CNC/C=C\c1ccc(F)cc1 ZINC001177225469 978312294 /nfs/dbraw/zinc/31/22/94/978312294.db2.gz BMOBKHDIIGROHF-IHWYPQMZSA-N 0 3 245.301 2.660 20 0 BFADHN Cc1cc(O)cc(CN2CCC[C@H]3C[C@H]32)c1 ZINC001232882594 978319235 /nfs/dbraw/zinc/31/92/35/978319235.db2.gz XQTOAPVTOGHUDA-GXTWGEPZSA-N 0 3 217.312 2.685 20 0 BFADHN Cc1cc(O)cc(CN(C)C[C@@H]2CCCCO2)c1 ZINC001232884093 978325937 /nfs/dbraw/zinc/32/59/37/978325937.db2.gz IMKVQCDOSBRTHG-HNNXBMFYSA-N 0 3 249.354 2.702 20 0 BFADHN CCCCN(C)Cc1cc(F)cnc1C ZINC001232987355 978386163 /nfs/dbraw/zinc/38/61/63/978386163.db2.gz UDVSVHCENUXMLV-UHFFFAOYSA-N 0 3 210.296 2.761 20 0 BFADHN CC[C@H]1CCCN1Cc1cc(F)cnc1C ZINC001232990347 978389634 /nfs/dbraw/zinc/38/96/34/978389634.db2.gz VQVIUNWIXRTRLN-ZDUSSCGKSA-N 0 3 222.307 2.904 20 0 BFADHN CCOC1CCN(Cc2cnc(C)cc2C)CC1 ZINC001233006298 978392115 /nfs/dbraw/zinc/39/21/15/978392115.db2.gz ALVMUMGNQYPDPN-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1ncc(F)cc1CN1CCC2(CCC2)C1 ZINC001232990801 978392640 /nfs/dbraw/zinc/39/26/40/978392640.db2.gz RQEURDOEHOUSKO-UHFFFAOYSA-N 0 3 234.318 2.905 20 0 BFADHN Cc1cc(C)c(CN2CC[C@@](C)(CF)C2)cn1 ZINC001233007781 978400782 /nfs/dbraw/zinc/40/07/82/978400782.db2.gz HKAVTVZDQZCIPB-AWEZNQCLSA-N 0 3 236.334 2.880 20 0 BFADHN CCCN(CCOC)Cc1cnc(C)cc1C ZINC001233007783 978401147 /nfs/dbraw/zinc/40/11/47/978401147.db2.gz HKCRCOCGHVQQBV-UHFFFAOYSA-N 0 3 236.359 2.557 20 0 BFADHN Cc1cc(C)c(CN2CC[C@@H](OC(C)C)C2)cn1 ZINC001233009480 978404659 /nfs/dbraw/zinc/40/46/59/978404659.db2.gz XEZNHVSJOKQGST-OAHLLOKOSA-N 0 3 248.370 2.698 20 0 BFADHN Clc1ccc(CN[C@H]2C=CCCC2)nc1 ZINC001337585110 978420644 /nfs/dbraw/zinc/42/06/44/978420644.db2.gz GLLMYBOVBJXRNR-NSHDSACASA-N 0 3 222.719 2.933 20 0 BFADHN Cc1cc(CN2CCCOC(C)(C)C2)cnc1C ZINC001233161679 978481584 /nfs/dbraw/zinc/48/15/84/978481584.db2.gz HQXVNVXXQQTYDN-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN Cn1cccc1CN1CCC(F)(F)C(C)(C)C1 ZINC001203257886 978483865 /nfs/dbraw/zinc/48/38/65/978483865.db2.gz PQWPGGYYKFYESI-UHFFFAOYSA-N 0 3 242.313 2.892 20 0 BFADHN Cc1c[nH]c(=O)c(CN(C)Cc2cccs2)c1 ZINC001233193389 978494899 /nfs/dbraw/zinc/49/48/99/978494899.db2.gz ZRVMUNJCVAEQAE-UHFFFAOYSA-N 0 3 248.351 2.789 20 0 BFADHN CCO[C@H]1CCCN(Cc2ccc(C)c(O)c2)C1 ZINC001233233309 978502821 /nfs/dbraw/zinc/50/28/21/978502821.db2.gz DUCZCQKSGBOZCQ-AWEZNQCLSA-N 0 3 249.354 2.702 20 0 BFADHN CCOC1CCN(Cc2ccc(C)c(O)c2)CC1 ZINC001233234008 978505459 /nfs/dbraw/zinc/50/54/59/978505459.db2.gz YXADFIJKILCGJY-UHFFFAOYSA-N 0 3 249.354 2.702 20 0 BFADHN CO[C@H]1CCN(Cc2cc(Cl)ccc2C)C1 ZINC001233248582 978510342 /nfs/dbraw/zinc/51/03/42/978510342.db2.gz QTBYPMQFHTXHPJ-ZDUSSCGKSA-N 0 3 239.746 2.869 20 0 BFADHN COCOc1cccc(CN2CCC[C@@H]3C[C@@H]32)c1 ZINC001233283091 978528150 /nfs/dbraw/zinc/52/81/50/978528150.db2.gz QFPMMSXRJPMOPE-HIFRSBDPSA-N 0 3 247.338 2.654 20 0 BFADHN Cc1cc(CN2CCC[C@H](F)CC2)sn1 ZINC001233309993 978534786 /nfs/dbraw/zinc/53/47/86/978534786.db2.gz LZKXMLVCCBNKTP-JTQLQIEISA-N 0 3 228.336 2.776 20 0 BFADHN Cc1cnsc1CN(C)CC1CCC1 ZINC001233320522 978555985 /nfs/dbraw/zinc/55/59/85/978555985.db2.gz CTCVJKIQILYTHQ-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN CSCCCCCNCc1ocnc1C ZINC001338250933 978641888 /nfs/dbraw/zinc/64/18/88/978641888.db2.gz XJLMBAFLSQLEKL-UHFFFAOYSA-N 0 3 228.361 2.606 20 0 BFADHN CN(Cc1cncc(Cl)n1)C[C@H]1CC1(C)C ZINC001233450127 978606192 /nfs/dbraw/zinc/60/61/92/978606192.db2.gz HKLNBXQJNOOXSJ-SECBINFHSA-N 0 3 239.750 2.608 20 0 BFADHN OCc1cc(NC[C@@H]2C[C@H]2C2CCCC2)ccn1 ZINC001338144443 978623285 /nfs/dbraw/zinc/62/32/85/978623285.db2.gz WEQKOPGFMIHQTJ-WFASDCNBSA-N 0 3 246.354 2.812 20 0 BFADHN COc1cccc(F)c1CN1CC[C@@H](C)C1 ZINC000150048874 978687242 /nfs/dbraw/zinc/68/72/42/978687242.db2.gz HTXSTTHDEOGNNG-SNVBAGLBSA-N 0 3 223.291 2.676 20 0 BFADHN CC[NH+](CC)C[C@@H](C)Oc1cc([O-])cc(F)c1 ZINC001233818419 978707210 /nfs/dbraw/zinc/70/72/10/978707210.db2.gz AWFWYHOSQQESLI-SNVBAGLBSA-N 0 3 241.306 2.640 20 0 BFADHN Cc1ncc(OC2CCN(C)CC2)cc1Cl ZINC001233935582 978735207 /nfs/dbraw/zinc/73/52/07/978735207.db2.gz ODZPPUVFMFXUHC-UHFFFAOYSA-N 0 3 240.734 2.516 20 0 BFADHN CC(=O)c1cc(O[C@H](C)CN(C)C)ccc1C ZINC001234323205 978821517 /nfs/dbraw/zinc/82/15/17/978821517.db2.gz YPSRZQAAFQRQPC-LLVKDONJSA-N 0 3 235.327 2.527 20 0 BFADHN CN1CCc2c(cccc2OC2CCC2)C1 ZINC001234420434 978831571 /nfs/dbraw/zinc/83/15/71/978831571.db2.gz STJUQJVPVYBVSU-UHFFFAOYSA-N 0 3 217.312 2.606 20 0 BFADHN CCC(CC)NCC(=O)c1ccccc1 ZINC000722116372 978849944 /nfs/dbraw/zinc/84/99/44/978849944.db2.gz JPPBKIFRAKPNJL-UHFFFAOYSA-N 0 3 205.301 2.648 20 0 BFADHN Fc1cccnc1CN1CCC[C@@H]2CCC[C@H]21 ZINC001180280992 978859309 /nfs/dbraw/zinc/85/93/09/978859309.db2.gz SCKKSMBHXIOXBX-SMDDNHRTSA-N 0 3 234.318 2.985 20 0 BFADHN CO[C@H]1CCC[C@@H](N[C@H](C)c2nccs2)C1 ZINC000070733123 978877336 /nfs/dbraw/zinc/87/73/36/978877336.db2.gz CIOKAGCYZPCCIL-MXWKQRLJSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1nc(C)c(CN2CC3CCC(CC3)C2)[nH]1 ZINC001234656183 978893094 /nfs/dbraw/zinc/89/30/94/978893094.db2.gz WIDRLFIPLFVMRO-UHFFFAOYSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@H]1CC[C@H]1NCc1c(Cl)n[nH]c1C1CC1 ZINC001339880253 978932098 /nfs/dbraw/zinc/93/20/98/978932098.db2.gz BDTIGNHPANQQEF-OIBJUYFYSA-N 0 3 239.750 2.829 20 0 BFADHN CC1CCN(Cc2c(N)cccc2F)CC1 ZINC000070961429 978945302 /nfs/dbraw/zinc/94/53/02/978945302.db2.gz HLTAGGHVFDHZBF-UHFFFAOYSA-N 0 3 222.307 2.640 20 0 BFADHN CCc1cccc(CN2CCC3(CC3)C2)n1 ZINC001235256414 979012616 /nfs/dbraw/zinc/01/26/16/979012616.db2.gz ZAFQYPPZRTXAIR-UHFFFAOYSA-N 0 3 216.328 2.630 20 0 BFADHN CCOc1cc(CN2CCC[C@H](C)C2)ccn1 ZINC001249997892 979015425 /nfs/dbraw/zinc/01/54/25/979015425.db2.gz DJTBZHVJIYPQGM-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN CCc1cccc(CN2CCC[C@H]3C[C@H]32)n1 ZINC001235268636 979015714 /nfs/dbraw/zinc/01/57/14/979015714.db2.gz YAPMDSGYMAIPAZ-SMDDNHRTSA-N 0 3 216.328 2.628 20 0 BFADHN Cc1cnc(F)c(CN2C[C@@H]3CCC[C@H]3C2)c1 ZINC001235331638 979025846 /nfs/dbraw/zinc/02/58/46/979025846.db2.gz HZAVSNACSIJTKL-RYUDHWBXSA-N 0 3 234.318 2.761 20 0 BFADHN CCOc1cc(O)cc(CN2CCCC23CC3)c1 ZINC001235385016 979032689 /nfs/dbraw/zinc/03/26/89/979032689.db2.gz HUBACZQLJMKQSG-UHFFFAOYSA-N 0 3 247.338 2.919 20 0 BFADHN CCOc1cc(O)cc(CN2C3CCC2CC3)c1 ZINC001235397945 979035348 /nfs/dbraw/zinc/03/53/48/979035348.db2.gz JQNGSPQUPQVQNC-UHFFFAOYSA-N 0 3 247.338 2.918 20 0 BFADHN COc1cnccc1CN1CCC[C@H](C)CC1 ZINC001206447277 979040947 /nfs/dbraw/zinc/04/09/47/979040947.db2.gz MMGCETVGENSNOA-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cc(CN(C)C2CC2)cc(F)c1F ZINC001235434210 979044732 /nfs/dbraw/zinc/04/47/32/979044732.db2.gz FPJPDFBLUZORSF-UHFFFAOYSA-N 0 3 227.254 2.568 20 0 BFADHN CCN(CC)Cc1cc(F)c(F)c(OC)c1 ZINC001235434622 979046555 /nfs/dbraw/zinc/04/65/55/979046555.db2.gz UGRVMRPLNKZYCX-UHFFFAOYSA-N 0 3 229.270 2.815 20 0 BFADHN Cc1ncn(C)c1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001235553263 979091891 /nfs/dbraw/zinc/09/18/91/979091891.db2.gz OQKRDEJACIYSFH-KGLIPLIRSA-N 0 3 247.386 2.741 20 0 BFADHN CC[C@H]1CCC[C@H](CN2CC(C)(OC)C2)C1 ZINC001181544667 979149463 /nfs/dbraw/zinc/14/94/63/979149463.db2.gz VGUIASYHBPIUGR-STQMWFEESA-N 0 3 225.376 2.924 20 0 BFADHN Cc1nc(F)ccc1CN1CCC[C@H](F)CC1 ZINC001235804396 979151735 /nfs/dbraw/zinc/15/17/35/979151735.db2.gz FXKCHISPIRFEDQ-LBPRGKRZSA-N 0 3 240.297 2.853 20 0 BFADHN Cc1nc(F)ccc1CN1CC2CCC1CC2 ZINC001235814972 979159513 /nfs/dbraw/zinc/15/95/13/979159513.db2.gz NUYICDPPFDGEIP-UHFFFAOYSA-N 0 3 234.318 2.904 20 0 BFADHN COc1cc(C)cc(F)c1-c1cnccc1N ZINC001236224054 979453415 /nfs/dbraw/zinc/45/34/15/979453415.db2.gz WFHDBVPOVQHNBX-UHFFFAOYSA-N 0 3 232.258 2.617 20 0 BFADHN CCCCc1ccc(CN(C)CCO)s1 ZINC001236253087 979470340 /nfs/dbraw/zinc/47/03/40/979470340.db2.gz DJKCZYYZMHLRFY-UHFFFAOYSA-N 0 3 227.373 2.515 20 0 BFADHN CCC[C@H](CC)NC(=O)C1(N(C)C)CCCC1 ZINC001603548023 979473506 /nfs/dbraw/zinc/47/35/06/979473506.db2.gz CUCILPXVZTZOJR-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H]2CCCC[C@@H]21)c1ccn(C)n1 ZINC001182272623 979483119 /nfs/dbraw/zinc/48/31/19/979483119.db2.gz UNIKMRLWADBFLX-UHXUPSOCSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1nc(-c2ccc(N)nc2)cc2[nH]ccc21 ZINC001236307112 979511426 /nfs/dbraw/zinc/51/14/26/979511426.db2.gz DIUMMVYBHXJUET-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Cc1ccc(-c2nccc(N)c2C)c2c[nH]nc21 ZINC001236482844 979607900 /nfs/dbraw/zinc/60/79/00/979607900.db2.gz JQNKDJBQUIFBBH-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN Cc1c(N)ccnc1-c1cncc(OC(C)C)c1 ZINC001236512340 979610317 /nfs/dbraw/zinc/61/03/17/979610317.db2.gz CCLPZRXYMDDYNU-UHFFFAOYSA-N 0 3 243.310 2.821 20 0 BFADHN C[C@@H]1CCN(Cc2cc(F)cc3c2OCCC3)C1 ZINC001236616121 979679700 /nfs/dbraw/zinc/67/97/00/979679700.db2.gz MZMIANOJCHVVRF-LLVKDONJSA-N 0 3 249.329 2.993 20 0 BFADHN [O-]c1cc(C[N@@H+]2CCC[C@@H]3C[C@@H]32)ccc1F ZINC001236706921 979703813 /nfs/dbraw/zinc/70/38/13/979703813.db2.gz AXXIZNHFNFKHHL-PWSUYJOCSA-N 0 3 221.275 2.516 20 0 BFADHN [O-]c1cc(C[N@H+]2CCC[C@@H]3C[C@@H]32)ccc1F ZINC001236706921 979703821 /nfs/dbraw/zinc/70/38/21/979703821.db2.gz AXXIZNHFNFKHHL-PWSUYJOCSA-N 0 3 221.275 2.516 20 0 BFADHN CN(C)C1(C)CN(Cc2cccc3occc32)C1 ZINC001236736707 979716982 /nfs/dbraw/zinc/71/69/82/979716982.db2.gz GDNUHBAEWJPANE-UHFFFAOYSA-N 0 3 244.338 2.569 20 0 BFADHN Cc1c(C)c(C)c(CN2CCNC2)c(C)c1C ZINC001236851542 979757100 /nfs/dbraw/zinc/75/71/00/979757100.db2.gz VDQAQMCZEXPEFR-UHFFFAOYSA-N 0 3 232.371 2.591 20 0 BFADHN FC1(F)C[C@@H]2C[N@H+](Cc3occc3[S-])C[C@@H]21 ZINC001237183319 979833049 /nfs/dbraw/zinc/83/30/49/979833049.db2.gz ZNVUYHVKVMDYOT-SFYZADRCSA-N 0 3 245.294 2.655 20 0 BFADHN FC1(F)C[C@@H]2C[N@@H+](Cc3occc3[S-])C[C@@H]21 ZINC001237183319 979833051 /nfs/dbraw/zinc/83/30/51/979833051.db2.gz ZNVUYHVKVMDYOT-SFYZADRCSA-N 0 3 245.294 2.655 20 0 BFADHN C[N@H+](CCC1CC1)Cc1occc1[S-] ZINC001237184773 979836650 /nfs/dbraw/zinc/83/66/50/979836650.db2.gz RKGSZEHDJMVQHN-UHFFFAOYSA-N 0 3 211.330 2.800 20 0 BFADHN C[N@@H+](CCC1CC1)Cc1occc1[S-] ZINC001237184773 979836652 /nfs/dbraw/zinc/83/66/52/979836652.db2.gz RKGSZEHDJMVQHN-UHFFFAOYSA-N 0 3 211.330 2.800 20 0 BFADHN CC[C@H]1CCC[N@@H+]1Cc1occc1[S-] ZINC001237190202 979841575 /nfs/dbraw/zinc/84/15/75/979841575.db2.gz FPERIZFXYQSEPP-VIFPVBQESA-N 0 3 211.330 2.943 20 0 BFADHN CC[C@H]1CCC[N@H+]1Cc1occc1[S-] ZINC001237190202 979841580 /nfs/dbraw/zinc/84/15/80/979841580.db2.gz FPERIZFXYQSEPP-VIFPVBQESA-N 0 3 211.330 2.943 20 0 BFADHN Cc1cccc(CN2CCNC3=CCCC[C@H]32)c1 ZINC001237217422 979848060 /nfs/dbraw/zinc/84/80/60/979848060.db2.gz AECZGEJQGHQINA-MRXNPFEDSA-N 0 3 242.366 2.837 20 0 BFADHN Cc1ccsc1CN1CCNC2=CCCC[C@H]21 ZINC001237220941 979850818 /nfs/dbraw/zinc/85/08/18/979850818.db2.gz UEVCOKWMZNSXES-CYBMUJFWSA-N 0 3 248.395 2.898 20 0 BFADHN COc1nc(Cl)ccc1CN(C)C(C)(C)C ZINC001237246604 979856876 /nfs/dbraw/zinc/85/68/76/979856876.db2.gz XOEHCTGECFHEBU-UHFFFAOYSA-N 0 3 242.750 2.974 20 0 BFADHN Cc1cc(F)cc(C)c1CN1C[C@@H]2CCO[C@@H]2C1 ZINC001237325043 979867205 /nfs/dbraw/zinc/86/72/05/979867205.db2.gz HQXXLNARTAQROL-SWLSCSKDSA-N 0 3 249.329 2.663 20 0 BFADHN Cc1cc(F)cc(C)c1CN1CCCOCC1 ZINC001237318536 979867778 /nfs/dbraw/zinc/86/77/78/979867778.db2.gz SPIKFNFVZSVOCE-UHFFFAOYSA-N 0 3 237.318 2.665 20 0 BFADHN CC(=O)[C@@H]1CCN(Cc2c(C)cc(F)cc2C)C1 ZINC001237326220 979868156 /nfs/dbraw/zinc/86/81/56/979868156.db2.gz QCAWFRNDZGPSAR-CYBMUJFWSA-N 0 3 249.329 2.853 20 0 BFADHN CCN(C)Cc1cnc(-c2ccccc2)o1 ZINC001237375786 979878302 /nfs/dbraw/zinc/87/83/02/979878302.db2.gz RWPYUTVOODCSMJ-UHFFFAOYSA-N 0 3 216.284 2.793 20 0 BFADHN COc1ccc2c(c1)[nH]nc2CN1CCC[C@@H]1C ZINC001237395369 979886363 /nfs/dbraw/zinc/88/63/63/979886363.db2.gz IAMNGXXFDOTMIK-JTQLQIEISA-N 0 3 245.326 2.556 20 0 BFADHN COc1c(C)cccc1CN1CCC[C@@H](OC)C1 ZINC001237500199 979922109 /nfs/dbraw/zinc/92/21/09/979922109.db2.gz HRGZCXJGSXFRGM-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN Cn1nc(CN[C@H]2C[C@@H]3CCC[C@@H]32)cc1C1CC1 ZINC001183982319 979923556 /nfs/dbraw/zinc/92/35/56/979923556.db2.gz ZMBAWZIUKWEEJX-UBHSHLNASA-N 0 3 245.370 2.576 20 0 BFADHN COc1c(C)cccc1CN(C)C1CC1 ZINC001237501531 979924535 /nfs/dbraw/zinc/92/45/35/979924535.db2.gz SMFAPXZDDAEWHZ-UHFFFAOYSA-N 0 3 205.301 2.598 20 0 BFADHN CC(C)C[C@H](N)C(=O)Nc1cncc(C(C)C)c1 ZINC001342886332 979929170 /nfs/dbraw/zinc/92/91/70/979929170.db2.gz LVPNFXAVGKAIAE-ZDUSSCGKSA-N 0 3 249.358 2.517 20 0 BFADHN CCn1cncc1CN1CCC[C@](C)(F)CC1 ZINC001237587545 979944807 /nfs/dbraw/zinc/94/48/07/979944807.db2.gz GRSJDGAHPKVKJJ-ZDUSSCGKSA-N 0 3 239.338 2.617 20 0 BFADHN CC(C)N(C)Cc1ccnc(OC(F)F)c1 ZINC001237613191 979978942 /nfs/dbraw/zinc/97/89/42/979978942.db2.gz XTXNNLBUBYABQY-UHFFFAOYSA-N 0 3 230.258 2.523 20 0 BFADHN COC1(CN[C@H](C)c2ncccc2C)CCC1 ZINC001184289610 980013564 /nfs/dbraw/zinc/01/35/64/980013564.db2.gz BOYMIUHQCYMYOD-GFCCVEGCSA-N 0 3 234.343 2.610 20 0 BFADHN COc1c(C)cnc(CN[C@@H]2C[C@H]2C(C)C)c1C ZINC001184449469 980071378 /nfs/dbraw/zinc/07/13/78/980071378.db2.gz DEIONMRSKUVHHH-QWHCGFSZSA-N 0 3 248.370 2.841 20 0 BFADHN Cc1nc(CN(CC2CC2)C2CC2)ccc1F ZINC001237827136 980072109 /nfs/dbraw/zinc/07/21/09/980072109.db2.gz NUXOKGVRZPIENI-UHFFFAOYSA-N 0 3 234.318 2.904 20 0 BFADHN CC(=O)C1CCN(Cc2ccsc2C)CC1 ZINC001237970594 980121716 /nfs/dbraw/zinc/12/17/16/980121716.db2.gz ICFHHFPYVXQGDA-UHFFFAOYSA-N 0 3 237.368 2.858 20 0 BFADHN C[C@H]1C[C@@H](CF)N(Cc2cccc3cncn32)C1 ZINC001238104233 980152518 /nfs/dbraw/zinc/15/25/18/980152518.db2.gz SYMVVPNLWQMPOB-FZMZJTMJSA-N 0 3 247.317 2.514 20 0 BFADHN COc1c(C)cnc(CN2CC3CC(C3)C2)c1C ZINC001238178723 980212387 /nfs/dbraw/zinc/21/23/87/980212387.db2.gz VCDUSMDWTVHAGT-UHFFFAOYSA-N 0 3 246.354 2.549 20 0 BFADHN COCCN(Cc1cncc(C2CC2)c1)C(C)C ZINC001238184409 980212952 /nfs/dbraw/zinc/21/29/52/980212952.db2.gz KDCGYQNFVMFADT-UHFFFAOYSA-N 0 3 248.370 2.816 20 0 BFADHN COCCN(Cc1ncc(C)cc1C)C(C)C ZINC001238431670 980254237 /nfs/dbraw/zinc/25/42/37/980254237.db2.gz YDIROXUJNXALPE-UHFFFAOYSA-N 0 3 236.359 2.555 20 0 BFADHN Cc1cnc(CN2CC[C@@H](OC(C)C)C2)c(C)c1 ZINC001238436770 980261665 /nfs/dbraw/zinc/26/16/65/980261665.db2.gz ILDWULQXFLAPMR-CQSZACIVSA-N 0 3 248.370 2.698 20 0 BFADHN Cc1ccc2ncc(CNC/C=C/C3CC3)n2c1 ZINC001343893492 980268869 /nfs/dbraw/zinc/26/88/69/980268869.db2.gz BJQVYQWDVSXFNL-NSCUHMNNSA-N 0 3 241.338 2.699 20 0 BFADHN CC(C)Oc1cncc(CN2CCCC23CC3)c1 ZINC001238461414 980270562 /nfs/dbraw/zinc/27/05/62/980270562.db2.gz IRJPSMKGGNOCCU-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN C[C@H]1CN(Cc2ccc(F)c(C3CC3)c2)C[C@@H]1O ZINC001238497309 980275733 /nfs/dbraw/zinc/27/57/33/980275733.db2.gz CRFXTGTWIWSAOZ-BONVTDFDSA-N 0 3 249.329 2.516 20 0 BFADHN C=Cc1ccccc1CCCN1CCN(C)CC1 ZINC001250231050 980335239 /nfs/dbraw/zinc/33/52/39/980335239.db2.gz HUMJBPVBVXFDNG-UHFFFAOYSA-N 0 3 244.382 2.510 20 0 BFADHN CCC[C@@](C)(NC(=O)[C@H](N)CC)c1ccccc1 ZINC001345466878 980616145 /nfs/dbraw/zinc/61/61/45/980616145.db2.gz PTLHXGAZTIVECQ-UKRRQHHQSA-N 0 3 248.370 2.555 20 0 BFADHN Cc1ccc(-c2ccc3c(n2)CNCC3)cc1 ZINC001239478566 980619867 /nfs/dbraw/zinc/61/98/67/980619867.db2.gz ZNQOMCVJLURZEK-UHFFFAOYSA-N 0 3 224.307 2.703 20 0 BFADHN COc1ccc(-c2cc(CN(C)C)ccn2)cc1 ZINC001239484446 980632514 /nfs/dbraw/zinc/63/25/14/980632514.db2.gz WRVWVZGFXBTFND-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN COc1cccc(-c2cc(CN(C)C)ccn2)c1 ZINC001239519037 980689311 /nfs/dbraw/zinc/68/93/11/980689311.db2.gz KTLCARJSBHNAKM-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN CCc1nc(C)c(CN2CCC(CC)CC2)[nH]1 ZINC001203234590 980797424 /nfs/dbraw/zinc/79/74/24/980797424.db2.gz NTQJEWFEZAWBCN-UHFFFAOYSA-N 0 3 235.375 2.903 20 0 BFADHN CN(Cc1ccc(-c2ccncc2)nc1)C1CC1 ZINC001239609519 980804583 /nfs/dbraw/zinc/80/45/83/980804583.db2.gz UUPYPMAWBFRCLC-UHFFFAOYSA-N 0 3 239.322 2.738 20 0 BFADHN Nc1ccnc2cc(-c3ccncc3)ccc12 ZINC001239611088 980807921 /nfs/dbraw/zinc/80/79/21/980807921.db2.gz KCNQLCYCRBKAQQ-UHFFFAOYSA-N 0 3 221.263 2.879 20 0 BFADHN COc1ccc(-c2nccc(N)c2C)c(OC)c1 ZINC001239619123 980812165 /nfs/dbraw/zinc/81/21/65/980812165.db2.gz YIHBIRMHTPFVNS-UHFFFAOYSA-N 0 3 244.294 2.656 20 0 BFADHN CCN(C)Cc1cc2c(c(Cl)c1)OCO2 ZINC000077242477 980848274 /nfs/dbraw/zinc/84/82/74/980848274.db2.gz KEWKEUPZWRSHNH-UHFFFAOYSA-N 0 3 227.691 2.520 20 0 BFADHN Cc1cncc(CN(C)CCc2cccs2)n1 ZINC001203170737 980871862 /nfs/dbraw/zinc/87/18/62/980871862.db2.gz PDJLXCPYBPVKLT-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN CCOC(=O)CN(CC(C)C)C[C@@H]1C[C@H]1CC ZINC001190561517 980885435 /nfs/dbraw/zinc/88/54/35/980885435.db2.gz RYTPQJSVBVLULM-OLZOCXBDSA-N 0 3 241.375 2.554 20 0 BFADHN CCc1nc(OC)ccc1-c1cc(N)c(C)cn1 ZINC001239768619 980906657 /nfs/dbraw/zinc/90/66/57/980906657.db2.gz ULHAUUKDAABXSO-UHFFFAOYSA-N 0 3 243.310 2.605 20 0 BFADHN NCc1cc(-c2cnc3ccccc3c2)ccn1 ZINC001239795177 980933711 /nfs/dbraw/zinc/93/37/11/980933711.db2.gz LOKXFKPFVNCXBP-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN Cc1ccc(-c2ccc3c(n2)CNCC3)cc1F ZINC001239818199 980961242 /nfs/dbraw/zinc/96/12/42/980961242.db2.gz DXFMKTXXJOCEOJ-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN Cc1cnc(C)c(-c2cccc(CN(C)C)n2)c1 ZINC001239900542 981026006 /nfs/dbraw/zinc/02/60/06/981026006.db2.gz JIIVYZJQCAIXSL-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN Cc1cnc(C)c(-c2cc(CN(C)C)ccn2)c1 ZINC001239901032 981026821 /nfs/dbraw/zinc/02/68/21/981026821.db2.gz QQOVTQQPBDNIRT-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN Cn1ccc2cc(-c3cc(N)ccn3)ccc21 ZINC001239937950 981048973 /nfs/dbraw/zinc/04/89/73/981048973.db2.gz FORRIDPDPULXDZ-UHFFFAOYSA-N 0 3 223.279 2.823 20 0 BFADHN Cc1ccncc1-c1ccc2[nH]c(N)nc2c1 ZINC001239974070 981075536 /nfs/dbraw/zinc/07/55/36/981075536.db2.gz FMDDCPJZZOUAQT-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Cc1ccncc1-c1ccc2nc(N)[nH]c2c1 ZINC001239974070 981075543 /nfs/dbraw/zinc/07/55/43/981075543.db2.gz FMDDCPJZZOUAQT-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN NCc1cccc(-c2cc(F)cc3[nH]ccc32)n1 ZINC001240026515 981106637 /nfs/dbraw/zinc/10/66/37/981106637.db2.gz IQIOAARVIHBDTP-UHFFFAOYSA-N 0 3 241.269 2.828 20 0 BFADHN Cc1ccc(CN2CC[C@@]3(C2)CCCCO3)o1 ZINC001203290578 981114293 /nfs/dbraw/zinc/11/42/93/981114293.db2.gz LNERCYWBCZLPKX-CQSZACIVSA-N 0 3 235.327 2.733 20 0 BFADHN C[C@@H](NC1(C)CC1)c1cc(O)ccc1F ZINC001348815260 981449736 /nfs/dbraw/zinc/44/97/36/981449736.db2.gz RHVLWOIEIVVGNQ-MRVPVSSYSA-N 0 3 209.264 2.734 20 0 BFADHN CN(C)Cc1ccnc(-c2ccc(N)cc2F)c1 ZINC001240525812 981463319 /nfs/dbraw/zinc/46/33/19/981463319.db2.gz ZPRBJCIRCCCDPG-UHFFFAOYSA-N 0 3 245.301 2.532 20 0 BFADHN Cn1ccc2ccc(-c3cc(N)ccn3)cc21 ZINC001240570951 981484952 /nfs/dbraw/zinc/48/49/52/981484952.db2.gz HUYGEOXIEWSUIZ-UHFFFAOYSA-N 0 3 223.279 2.823 20 0 BFADHN Cc1cc(F)cc(-c2cccc(CN)n2)c1 ZINC001240593636 981490763 /nfs/dbraw/zinc/49/07/63/981490763.db2.gz MRLCJLASQDXROX-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN Cc1cnccc1-c1cncc(CN(C)C)c1 ZINC001240611890 981510807 /nfs/dbraw/zinc/51/08/07/981510807.db2.gz XHVYDKOYFFGKFD-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN NCc1cc(-c2cccc(C(F)F)c2)ncn1 ZINC001240688320 981594517 /nfs/dbraw/zinc/59/45/17/981594517.db2.gz OMBBDASHVILLCS-UHFFFAOYSA-N 0 3 235.237 2.540 20 0 BFADHN CCn1nccc1-c1ccc2c(c1)CN(C)CC2 ZINC001240717741 981737771 /nfs/dbraw/zinc/73/77/71/981737771.db2.gz TXBHADROMBVSTI-UHFFFAOYSA-N 0 3 241.338 2.558 20 0 BFADHN Cc1cc(-c2ccc3[nH]c(N)nc3c2)ccn1 ZINC001240717561 981739161 /nfs/dbraw/zinc/73/91/61/981739161.db2.gz AYDRZMIOPKEKPX-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Cc1cc(-c2ccc3nc(N)[nH]c3c2)ccn1 ZINC001240717561 981739169 /nfs/dbraw/zinc/73/91/69/981739169.db2.gz AYDRZMIOPKEKPX-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN [O-]c1ccc(-c2cccc3c2C[NH2+]C3)cc1F ZINC001240786371 981828790 /nfs/dbraw/zinc/82/87/90/981828790.db2.gz JBRBKMTZAVZOPI-UHFFFAOYSA-N 0 3 229.254 2.802 20 0 BFADHN S=C1Cc2ccc(C=C3CC[NH2+]CC3)cc2[N-]1 ZINC001240879309 981934402 /nfs/dbraw/zinc/93/44/02/981934402.db2.gz PBVWEAPDSHANLG-UHFFFAOYSA-N 0 3 244.363 2.749 20 0 BFADHN NCc1cc(-c2cccc3cccnc32)ccn1 ZINC001240895594 981945478 /nfs/dbraw/zinc/94/54/78/981945478.db2.gz YYYLODVMHJQVQY-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN Cc1ccc(-c2cc(N(C)C)ccn2)cc1N ZINC001240960243 982028634 /nfs/dbraw/zinc/02/86/34/982028634.db2.gz JRTLJNGCPPIGOP-UHFFFAOYSA-N 0 3 227.311 2.705 20 0 BFADHN NCc1ccc(-c2cc(F)c(F)c(F)c2)cn1 ZINC001240980656 982058172 /nfs/dbraw/zinc/05/81/72/982058172.db2.gz XQIQBSXYRMPONZ-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN NCc1cc(-c2cc(F)c(F)c(F)c2)ccn1 ZINC001240980889 982060556 /nfs/dbraw/zinc/06/05/56/982060556.db2.gz ULYBCOLZUYWIDC-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN COc1cc(-c2cc(N)ccn2)ccc1C ZINC001241032470 982131554 /nfs/dbraw/zinc/13/15/54/982131554.db2.gz QBMAZACJZQDKTC-UHFFFAOYSA-N 0 3 214.268 2.648 20 0 BFADHN CCOc1ccc(-c2ccc(CN)nc2)cc1F ZINC001241087189 982201780 /nfs/dbraw/zinc/20/17/80/982201780.db2.gz MIGFJMRHODLHJO-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN CCOc1ccc(F)cc1-c1cnccc1N ZINC001241103457 982209503 /nfs/dbraw/zinc/20/95/03/982209503.db2.gz IKGSRPYPCQQNGG-UHFFFAOYSA-N 0 3 232.258 2.699 20 0 BFADHN CCNC(=S)NCCP(C(C)C)C(C)C ZINC001195375431 982245190 /nfs/dbraw/zinc/24/51/90/982245190.db2.gz VZZIUJNXJNQUHS-UHFFFAOYSA-N 0 3 248.376 2.769 20 0 BFADHN COCC1=CCN(Cc2ccccc2CF)CC1 ZINC001351321488 982282913 /nfs/dbraw/zinc/28/29/13/982282913.db2.gz YQZRTUUCADIRKH-UHFFFAOYSA-N 0 3 249.329 2.935 20 0 BFADHN OCc1ccc(F)c(-c2c[nH]cc3ccnc2-3)c1 ZINC001241153207 982290285 /nfs/dbraw/zinc/29/02/85/982290285.db2.gz YDIJUBHXWHPJJH-UHFFFAOYSA-N 0 3 242.253 2.861 20 0 BFADHN CC(=O)c1ccccc1-c1nccc(N)c1C ZINC001241202764 982350254 /nfs/dbraw/zinc/35/02/54/982350254.db2.gz BBOCUKJOUAULHT-UHFFFAOYSA-N 0 3 226.279 2.842 20 0 BFADHN CO[C@@H]1CC[C@H]1N(C)Cc1csc(C)c1 ZINC001351736059 982371716 /nfs/dbraw/zinc/37/17/16/982371716.db2.gz JFDOUXWFYJAIBX-VXGBXAGGSA-N 0 3 225.357 2.666 20 0 BFADHN CC(=O)c1ccc(-c2ccc(CN)nc2)cc1F ZINC001241259059 982397388 /nfs/dbraw/zinc/39/73/88/982397388.db2.gz DJLHRGNHFBLMPW-UHFFFAOYSA-N 0 3 244.269 2.549 20 0 BFADHN [O-]c1cc(F)cc(-c2ccc3c(c2)CC[NH2+]C3)c1 ZINC001241267529 982402190 /nfs/dbraw/zinc/40/21/90/982402190.db2.gz YBNHUTXHVYQQRG-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN [NH3+]Cc1cccc(-c2cc([O-])cc(F)c2)c1F ZINC001241277609 982407401 /nfs/dbraw/zinc/40/74/01/982407401.db2.gz MGACDJNJVLFTJY-UHFFFAOYSA-N 0 3 235.233 2.796 20 0 BFADHN Cc1nonc1CN1CCC[C@@H]1CC1CCCC1 ZINC000847093939 982407892 /nfs/dbraw/zinc/40/78/92/982407892.db2.gz VPCXKDROFSODDO-CYBMUJFWSA-N 0 3 249.358 2.923 20 0 BFADHN [NH3+]Cc1cccc(-c2c(F)ccc([O-])c2F)c1 ZINC001241290591 982427393 /nfs/dbraw/zinc/42/73/93/982427393.db2.gz ALHVYFRQJPVSJJ-UHFFFAOYSA-N 0 3 235.233 2.796 20 0 BFADHN Cc1nc2sccc2c(C2=CCN(C)CC2)n1 ZINC001241308006 982446420 /nfs/dbraw/zinc/44/64/20/982446420.db2.gz NKWUTSPFFRVSBU-UHFFFAOYSA-N 0 3 245.351 2.719 20 0 BFADHN Cc1cc2ccnc(C3=CCN(C)CC3)c2[nH]1 ZINC001241308991 982447412 /nfs/dbraw/zinc/44/74/12/982447412.db2.gz OVGMBINDNYVLKI-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CC(C)Oc1cccc(C2=CCN(C)CC2)n1 ZINC001241310337 982454672 /nfs/dbraw/zinc/45/46/72/982454672.db2.gz BAIYSXLMTZTDEX-UHFFFAOYSA-N 0 3 232.327 2.588 20 0 BFADHN CN1CC=C(c2cc(F)cc(F)c2)CC1 ZINC001241316999 982465600 /nfs/dbraw/zinc/46/56/00/982465600.db2.gz GWDZOBUSZNHAMK-UHFFFAOYSA-N 0 3 209.239 2.684 20 0 BFADHN CN1CC=C(c2cccc(C3CC3)n2)CC1 ZINC001241317087 982467932 /nfs/dbraw/zinc/46/79/32/982467932.db2.gz IETRJLZMPCARGI-UHFFFAOYSA-N 0 3 214.312 2.678 20 0 BFADHN CN1CC=C(c2cncc(C(F)(F)F)c2)CC1 ZINC001241316787 982469375 /nfs/dbraw/zinc/46/93/75/982469375.db2.gz DHNCWXNKXSXFAF-UHFFFAOYSA-N 0 3 242.244 2.819 20 0 BFADHN Cc1ncc2ccc(C3=CCN(C)CC3)cc2n1 ZINC001241326966 982489049 /nfs/dbraw/zinc/48/90/49/982489049.db2.gz CYRVKRRHXAVXJF-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN CN1CC=C(c2ccc3ccc(=O)oc3c2)CC1 ZINC001241330123 982491103 /nfs/dbraw/zinc/49/11/03/982491103.db2.gz JQXSKSRPVQZKFS-UHFFFAOYSA-N 0 3 241.290 2.512 20 0 BFADHN CN1CC=C(c2cccc3ccc(N)nc32)CC1 ZINC001241329889 982491915 /nfs/dbraw/zinc/49/19/15/982491915.db2.gz CZQFFMCCMJGQKR-UHFFFAOYSA-N 0 3 239.322 2.536 20 0 BFADHN Cc1cc(C2=CCN(C)CC2)cnc1OC(C)C ZINC001241330481 982493156 /nfs/dbraw/zinc/49/31/56/982493156.db2.gz SMPPJDZLARPIFR-UHFFFAOYSA-N 0 3 246.354 2.896 20 0 BFADHN CN1CC=C(c2cccc3c2CCCO3)CC1 ZINC001241332231 982496277 /nfs/dbraw/zinc/49/62/77/982496277.db2.gz HPJPLCQUKIIFOX-UHFFFAOYSA-N 0 3 229.323 2.731 20 0 BFADHN Cc1ccc(-c2ccc(CN)nc2)c(F)c1 ZINC001241353851 982510575 /nfs/dbraw/zinc/51/05/75/982510575.db2.gz UMRYXUZFEABDJM-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN Cc1ccc(-c2ccnc(CN)c2)c(F)c1 ZINC001241353828 982511232 /nfs/dbraw/zinc/51/12/32/982511232.db2.gz SLXFDNDNQFHVFK-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN NC1(C(=O)Nc2ccc(C3CC3)cc2F)CCC1 ZINC001352864204 982610103 /nfs/dbraw/zinc/61/01/03/982610103.db2.gz UZZFMOJIPQEXRY-UHFFFAOYSA-N 0 3 248.301 2.523 20 0 BFADHN c1ncc(-c2ncccc2CN2CCCC2)s1 ZINC001241497528 982655201 /nfs/dbraw/zinc/65/52/01/982655201.db2.gz ZIWFHZRTABNROK-UHFFFAOYSA-N 0 3 245.351 2.801 20 0 BFADHN Cc1nc2cccc(-c3cncs3)c2[nH]1 ZINC001241499343 982657894 /nfs/dbraw/zinc/65/78/94/982657894.db2.gz AISSFNUWFABTAI-UHFFFAOYSA-N 0 3 215.281 2.995 20 0 BFADHN OCc1cccc(-c2cncc3cc[nH]c32)c1 ZINC001241509687 982668617 /nfs/dbraw/zinc/66/86/17/982668617.db2.gz PEUPLQWYPJELOI-UHFFFAOYSA-N 0 3 224.263 2.722 20 0 BFADHN Cc1cccc2ncc(C3=CCCN(C)C3)nc12 ZINC001241566472 982808509 /nfs/dbraw/zinc/80/85/09/982808509.db2.gz ZCJRDMGQFPQJQX-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1cnc(C2=CCCN(C)C2)c2[nH]ccc21 ZINC001241566811 982812285 /nfs/dbraw/zinc/81/22/85/982812285.db2.gz FAPAZMONRZCYSH-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CN1CCC=C(c2cccc3oncc32)C1 ZINC001241569831 982818467 /nfs/dbraw/zinc/81/84/67/982818467.db2.gz RKNGGAJWXTVFEQ-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN CN1CCC=C(c2ccc3ncoc3c2)C1 ZINC001241570061 982819554 /nfs/dbraw/zinc/81/95/54/982819554.db2.gz FCUDQCFMBDPIES-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN COCc1cccc(C2=CCCN(C)C2)c1 ZINC001241570392 982820026 /nfs/dbraw/zinc/82/00/26/982820026.db2.gz HHPHCHGJEVDDHQ-UHFFFAOYSA-N 0 3 217.312 2.552 20 0 BFADHN CCOC(=N)c1cccc(C2=CCCN(C)C2)c1 ZINC001241571118 982822097 /nfs/dbraw/zinc/82/20/97/982822097.db2.gz SPBNGBVVBJZJKQ-UHFFFAOYSA-N 0 3 244.338 2.767 20 0 BFADHN COc1cc(C2=CCCN(C)C2)cc2[nH]ccc21 ZINC001241570517 982822426 /nfs/dbraw/zinc/82/24/26/982822426.db2.gz JAYNZRIWPAQDCE-UHFFFAOYSA-N 0 3 242.322 2.895 20 0 BFADHN CN1CCC=C(c2ccc3nc(N)sc3c2)C1 ZINC001241570835 982824707 /nfs/dbraw/zinc/82/47/07/982824707.db2.gz OKVCUPHOYSVYAR-UHFFFAOYSA-N 0 3 245.351 2.597 20 0 BFADHN CCCOc1cccc(-c2ccnc(CN)c2)c1 ZINC001241590767 982836335 /nfs/dbraw/zinc/83/63/35/982836335.db2.gz OJEIRDLIVDVVCJ-UHFFFAOYSA-N 0 3 242.322 2.996 20 0 BFADHN CCc1nc(C)c(CN2CCC(C)(C)C2)[nH]1 ZINC001203244024 982853080 /nfs/dbraw/zinc/85/30/80/982853080.db2.gz QKXWTGKYPUMFRL-UHFFFAOYSA-N 0 3 221.348 2.512 20 0 BFADHN COc1cc(-c2ccc(CN)nc2)ccc1Cl ZINC001241734354 983025310 /nfs/dbraw/zinc/02/53/10/983025310.db2.gz PBOWSRBYUBMHFL-UHFFFAOYSA-N 0 3 248.713 2.869 20 0 BFADHN CCOc1cccc(CN[C@H]2C=CCCC2)n1 ZINC001201180072 983144618 /nfs/dbraw/zinc/14/46/18/983144618.db2.gz QWEUDITXEYCEMC-LBPRGKRZSA-N 0 3 232.327 2.679 20 0 BFADHN c1cnc2c(c1)CN(C[C@H]1CC=CCC1)CC2 ZINC001201418207 983221183 /nfs/dbraw/zinc/22/11/83/983221183.db2.gz MNFNEBVODAIJTN-ZDUSSCGKSA-N 0 3 228.339 2.796 20 0 BFADHN Cc1cccnc1N1CCN(CC(C)(C)C)CC1 ZINC001201426847 983230382 /nfs/dbraw/zinc/23/03/82/983230382.db2.gz SGPZEKOALDEBRP-UHFFFAOYSA-N 0 3 247.386 2.558 20 0 BFADHN Cc1ccc(-c2cccc(CN)n2)c(F)c1F ZINC001242029674 983243443 /nfs/dbraw/zinc/24/34/43/983243443.db2.gz XLOQDXMXJIXIMJ-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN CC(C)(C)CN1CC(c2cccnc2)C1 ZINC001201442706 983245780 /nfs/dbraw/zinc/24/57/80/983245780.db2.gz HTNUIGOANWIPPS-UHFFFAOYSA-N 0 3 204.317 2.527 20 0 BFADHN Cc1ccc(-c2ccc(CN)nc2)c(F)c1F ZINC001242030230 983246594 /nfs/dbraw/zinc/24/65/94/983246594.db2.gz INMPOZMJZPECJV-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN CC(C)CN1CCc2nc(Cl)ccc2C1 ZINC001201477196 983281565 /nfs/dbraw/zinc/28/15/65/983281565.db2.gz UTLNATBMOXEKQB-UHFFFAOYSA-N 0 3 224.735 2.749 20 0 BFADHN CC(C)CN1CCc2ncc(Cl)cc2C1 ZINC001201478532 983283554 /nfs/dbraw/zinc/28/35/54/983283554.db2.gz QCASPYDKFPPKEJ-UHFFFAOYSA-N 0 3 224.735 2.749 20 0 BFADHN CCC(CC)CN1CCc2cnc(OC)cc2C1 ZINC001201534179 983326967 /nfs/dbraw/zinc/32/69/67/983326967.db2.gz CYDCQXXNTSVIRZ-UHFFFAOYSA-N 0 3 248.370 2.885 20 0 BFADHN CCCC[C@H](NCc1nncs1)C1CCC1 ZINC001354595644 983328210 /nfs/dbraw/zinc/32/82/10/983328210.db2.gz WHITWNXBPGNQEF-NSHDSACASA-N 0 3 239.388 2.987 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1ccn(CC)n1 ZINC001200733403 983335089 /nfs/dbraw/zinc/33/50/89/983335089.db2.gz LANDUZGWNSLRRM-RYUDHWBXSA-N 0 3 223.364 2.817 20 0 BFADHN Cc1nc2c(s1)CN(CCC(C)C)CC2 ZINC001201623741 983376408 /nfs/dbraw/zinc/37/64/08/983376408.db2.gz IEDPVWNOFFGYHZ-UHFFFAOYSA-N 0 3 224.373 2.856 20 0 BFADHN COc1ccc(-c2cc(N(C)C)ccn2)cc1O ZINC001242171845 983387015 /nfs/dbraw/zinc/38/70/15/983387015.db2.gz ZZEBKQWDCLKGBR-UHFFFAOYSA-N 0 3 244.294 2.529 20 0 BFADHN CC(C)[C@H]1COCCN1CCCc1ccccc1 ZINC001201671674 983388771 /nfs/dbraw/zinc/38/87/71/983388771.db2.gz LRFRGGGNQDEEAV-MRXNPFEDSA-N 0 3 247.382 2.976 20 0 BFADHN [NH3+]Cc1ccccc1-c1ccc(F)cc1[O-] ZINC001242219159 983420942 /nfs/dbraw/zinc/42/09/42/983420942.db2.gz ZSENQEUTSALDTO-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN [O-]c1cc(F)ccc1-c1ccc2c(c1)C[NH2+]C2 ZINC001242220679 983425251 /nfs/dbraw/zinc/42/52/51/983425251.db2.gz KQCMPZVDQAXUEV-UHFFFAOYSA-N 0 3 229.254 2.802 20 0 BFADHN CSCCCN1CCc2nc(C)sc2C1 ZINC001201690252 983440289 /nfs/dbraw/zinc/44/02/89/983440289.db2.gz YSLHZUMSLTVLOA-UHFFFAOYSA-N 0 3 242.413 2.563 20 0 BFADHN CCCCCN(C)[C@H](C(=O)OC)[C@@H](C)CC ZINC001201737556 983443445 /nfs/dbraw/zinc/44/34/45/983443445.db2.gz UNFZOVFVUYSRHY-RYUDHWBXSA-N 0 3 229.364 2.696 20 0 BFADHN Cc1ccc([C@]2(C)CN(CC3CC3)CCO2)cc1 ZINC001201942885 983512056 /nfs/dbraw/zinc/51/20/56/983512056.db2.gz SOKFUTIRNXNIDA-INIZCTEOSA-N 0 3 245.366 2.952 20 0 BFADHN CC(C)(C)CCN1CCC(=O)C(C)(C)C1 ZINC001202095701 983554256 /nfs/dbraw/zinc/55/42/56/983554256.db2.gz GBFGMCLPPXGGOQ-UHFFFAOYSA-N 0 3 211.349 2.724 20 0 BFADHN [O-]c1c(F)cccc1-c1ccc2c(c1)CC[NH2+]C2 ZINC001242351365 983622116 /nfs/dbraw/zinc/62/21/16/983622116.db2.gz SQPPWRHDVJKYFY-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN NCc1ccc(-c2cccc3ccncc32)cn1 ZINC001242371669 983664697 /nfs/dbraw/zinc/66/46/97/983664697.db2.gz YVOKOQODRIPNOL-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN Cn1ccc(-c2cccc(C3=NCCC3)c2)n1 ZINC001242460760 983778296 /nfs/dbraw/zinc/77/82/96/983778296.db2.gz AVBGDYUMVRPBIG-UHFFFAOYSA-N 0 3 225.295 2.670 20 0 BFADHN CCc1ncccc1-c1cncc(CN(C)C)c1 ZINC001242525390 983847914 /nfs/dbraw/zinc/84/79/14/983847914.db2.gz WYSBLZWGCKYMTD-UHFFFAOYSA-N 0 3 241.338 2.768 20 0 BFADHN CC(C)n1nccc1-c1cc(CN(C)C)ccn1 ZINC001242555945 983879242 /nfs/dbraw/zinc/87/92/42/983879242.db2.gz JMEQGJBAWBHVGE-UHFFFAOYSA-N 0 3 244.342 2.588 20 0 BFADHN NCc1cc(-c2cccc(F)c2Cl)ccn1 ZINC001242573345 983889818 /nfs/dbraw/zinc/88/98/18/983889818.db2.gz BPIIWEDHVHZNDE-UHFFFAOYSA-N 0 3 236.677 3.000 20 0 BFADHN COc1cccc(OC)c1CN1CCC[C@@H](C)C1 ZINC000150070889 983962084 /nfs/dbraw/zinc/96/20/84/983962084.db2.gz QAPMBOSCWRHZGD-GFCCVEGCSA-N 0 3 249.354 2.936 20 0 BFADHN CC(=O)C1CCN(Cc2cc(C)cs2)CC1 ZINC001137158526 984002962 /nfs/dbraw/zinc/00/29/62/984002962.db2.gz WPYREXSMSUNZGT-UHFFFAOYSA-N 0 3 237.368 2.858 20 0 BFADHN CN(C)Cc1ccccc1Nc1cccc(=O)[nH]1 ZINC001212792228 984041019 /nfs/dbraw/zinc/04/10/19/984041019.db2.gz IEASVWOKFVSROK-UHFFFAOYSA-N 0 3 243.310 2.592 20 0 BFADHN NCc1cccc(-c2cnc3sccc3c2)n1 ZINC001242678038 984048726 /nfs/dbraw/zinc/04/87/26/984048726.db2.gz IDORBRBXSOGQSM-UHFFFAOYSA-N 0 3 241.319 2.817 20 0 BFADHN Cc1cnc(-c2ccnc(OC3CC3)c2)cc1N ZINC001242723501 984075825 /nfs/dbraw/zinc/07/58/25/984075825.db2.gz WDWXXESSFGQCBO-UHFFFAOYSA-N 0 3 241.294 2.575 20 0 BFADHN C[C@@]1(F)CCN(CC2CCC2)C[C@H]1F ZINC001202705096 984161517 /nfs/dbraw/zinc/16/15/17/984161517.db2.gz BLCQGQCZRJANND-GHMZBOCLSA-N 0 3 203.276 2.559 20 0 BFADHN C[C@H]1CC[C@H](C(=O)Nc2cccc3c2CNC3)C1 ZINC001576637053 984178559 /nfs/dbraw/zinc/17/85/59/984178559.db2.gz OZAUHVPVPACFRQ-QWRGUYRKSA-N 0 3 244.338 2.665 20 0 BFADHN C[C@@H]1CC[C@H](C(=O)Nc2cccc3c2CNC3)C1 ZINC001576637052 984179351 /nfs/dbraw/zinc/17/93/51/984179351.db2.gz OZAUHVPVPACFRQ-MNOVXSKESA-N 0 3 244.338 2.665 20 0 BFADHN CCCCC[C@H](O)CN1CCC(F)(F)CC1 ZINC001253519080 984188678 /nfs/dbraw/zinc/18/86/78/984188678.db2.gz NPZHNFRJBGFQHV-NSHDSACASA-N 0 3 235.318 2.659 20 0 BFADHN CCCCC[C@H](O)CN[C@H](C)c1ccccn1 ZINC001253549152 984202782 /nfs/dbraw/zinc/20/27/82/984202782.db2.gz NNEAIUWUSBLNGU-OLZOCXBDSA-N 0 3 236.359 2.673 20 0 BFADHN CCCCC[C@H](O)CNC1(c2ccccn2)CC1 ZINC001253554021 984204915 /nfs/dbraw/zinc/20/49/15/984204915.db2.gz OFSAWOYGDUGPCO-ZDUSSCGKSA-N 0 3 248.370 2.602 20 0 BFADHN CCCCC[C@H](O)CN[C@H]1COc2ccccc21 ZINC001253554240 984206173 /nfs/dbraw/zinc/20/61/73/984206173.db2.gz PHZPIKGQQIFYLE-JSGCOSHPSA-N 0 3 249.354 2.651 20 0 BFADHN CCOc1ccc(F)c(-c2cnccc2N)c1 ZINC001242936338 984304049 /nfs/dbraw/zinc/30/40/49/984304049.db2.gz ULPCITFJVHJLTQ-UHFFFAOYSA-N 0 3 232.258 2.699 20 0 BFADHN CSc1cncc(-c2nccc3c2CCN3)c1 ZINC001242948295 984311638 /nfs/dbraw/zinc/31/16/38/984311638.db2.gz YAQKKWPWPBDZAI-UHFFFAOYSA-N 0 3 243.335 2.834 20 0 BFADHN Nc1nc2ccc(-c3cnccc3Cl)cc2[nH]1 ZINC001243045924 984386333 /nfs/dbraw/zinc/38/63/33/984386333.db2.gz FVQREQHYQKANNE-UHFFFAOYSA-N 0 3 244.685 2.861 20 0 BFADHN Nc1nc2cc(-c3cnccc3Cl)ccc2[nH]1 ZINC001243045924 984386341 /nfs/dbraw/zinc/38/63/41/984386341.db2.gz FVQREQHYQKANNE-UHFFFAOYSA-N 0 3 244.685 2.861 20 0 BFADHN CN(C)Cc1cncc(-c2cnccc2Cl)c1 ZINC001243046051 984388081 /nfs/dbraw/zinc/38/80/81/984388081.db2.gz VSHOABINMHQMNF-UHFFFAOYSA-N 0 3 247.729 2.859 20 0 BFADHN Cc1n[nH]c(C)c1CNCc1ccc(C)cc1C ZINC000718338088 984435262 /nfs/dbraw/zinc/43/52/62/984435262.db2.gz SXGXORYEFUVIOQ-UHFFFAOYSA-N 0 3 243.354 2.933 20 0 BFADHN Cc1cc(C)c(CN2CC3(CCN3C)C2)c(C)c1 ZINC001275285225 984528348 /nfs/dbraw/zinc/52/83/48/984528348.db2.gz PRFFXCNBKCJCDY-UHFFFAOYSA-N 0 3 244.382 2.502 20 0 BFADHN CCC[C@H](C)NCc1cc(Br)no1 ZINC000718882456 984556280 /nfs/dbraw/zinc/55/62/80/984556280.db2.gz SXJSDCSEIIAYRO-ZETCQYMHSA-N 0 3 247.136 2.715 20 0 BFADHN Cc1ccc(-c2ccc3[nH]c(N)nc3c2)cc1O ZINC001243226741 984733268 /nfs/dbraw/zinc/73/32/68/984733268.db2.gz CXXXILFHDYBQSH-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN Cc1ccc(-c2ccc3nc(N)[nH]c3c2)cc1O ZINC001243226741 984733305 /nfs/dbraw/zinc/73/33/05/984733305.db2.gz CXXXILFHDYBQSH-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN COc1cc(C)cc(-c2cccc(CN)n2)c1 ZINC001243240614 984776306 /nfs/dbraw/zinc/77/63/06/984776306.db2.gz BFAZKOGKPLXCIR-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN [O-]c1ccc(-c2cccn3c[nH+]cc23)c(F)c1F ZINC001243341071 984833305 /nfs/dbraw/zinc/83/33/05/984833305.db2.gz KDQPALVPLURNNV-UHFFFAOYSA-N 0 3 246.216 2.985 20 0 BFADHN Oc1ccc(-c2cccn3cncc23)c(F)c1F ZINC001243341071 984833309 /nfs/dbraw/zinc/83/33/09/984833309.db2.gz KDQPALVPLURNNV-UHFFFAOYSA-N 0 3 246.216 2.985 20 0 BFADHN Cc1ncc(-c2cc(CN(C)C)ccn2)s1 ZINC001243379840 984861743 /nfs/dbraw/zinc/86/17/43/984861743.db2.gz UYZQVFBFWKHJIX-UHFFFAOYSA-N 0 3 233.340 2.575 20 0 BFADHN CCC[C@H](C)NCc1nc(C)ccc1F ZINC001257308123 984880941 /nfs/dbraw/zinc/88/09/41/984880941.db2.gz HUXRFKWFAMHIPR-VIFPVBQESA-N 0 3 210.296 2.807 20 0 BFADHN CCC[C@@H](C)NCc1cn(CC2CCC2)cn1 ZINC001257312599 984887493 /nfs/dbraw/zinc/88/74/93/984887493.db2.gz DRKSOCHCPCEZLD-GFCCVEGCSA-N 0 3 235.375 2.961 20 0 BFADHN CC1CC(C[NH2+]Cc2ccc([O-])c(F)c2)C1 ZINC000691919911 984941891 /nfs/dbraw/zinc/94/18/91/984941891.db2.gz YRARPNBNDVFSLL-UHFFFAOYSA-N 0 3 223.291 2.667 20 0 BFADHN COC/C(C)=C/CN1CCC[C@H]1c1ccncc1 ZINC000930109229 984948823 /nfs/dbraw/zinc/94/88/23/984948823.db2.gz GGQMUXDRYDEINZ-COSNYCCFSA-N 0 3 246.354 2.811 20 0 BFADHN CCCC[C@@H](CC)N1CC[C@@](F)(C(=O)OC)C1 ZINC001257419415 984979311 /nfs/dbraw/zinc/97/93/11/984979311.db2.gz WLNPVAJYDQICKL-YPMHNXCESA-N 0 3 245.338 2.542 20 0 BFADHN CC[C@@H](C)NCc1c(F)cc(OC)cc1F ZINC001257430398 985000011 /nfs/dbraw/zinc/00/00/11/985000011.db2.gz NQWRMPOKZKDXKT-MRVPVSSYSA-N 0 3 229.270 2.862 20 0 BFADHN Cc1nocc1-c1ccc2c(N)ccnc2c1 ZINC001243678608 985194613 /nfs/dbraw/zinc/19/46/13/985194613.db2.gz ZWYVSLIPSXBQQP-UHFFFAOYSA-N 0 3 225.251 2.780 20 0 BFADHN CCOc1ncc(-c2nccc(N)c2C)cc1F ZINC001243769201 985304783 /nfs/dbraw/zinc/30/47/83/985304783.db2.gz RUISJDBBVBKTDC-UHFFFAOYSA-N 0 3 247.273 2.572 20 0 BFADHN CC(C)C[C@H](C)C[C@@H](C)N1C[C@H]2C[C@@H](C1)O2 ZINC001258074373 985378168 /nfs/dbraw/zinc/37/81/68/985378168.db2.gz JUHWTOIMKDTHHS-RFQIPJPRSA-N 0 3 225.376 2.920 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CC[C@@H](O)[C@H](F)C1 ZINC001258085961 985385261 /nfs/dbraw/zinc/38/52/61/985385261.db2.gz OZGLFYFRJWZZHE-JHJVBQTASA-N 0 3 229.339 2.526 20 0 BFADHN CC(C)=CCC[C@H](C)N1CC[C@@](F)(CO)C1 ZINC001258086632 985385858 /nfs/dbraw/zinc/38/58/58/985385858.db2.gz VLHXVZWHKOEEPC-STQMWFEESA-N 0 3 229.339 2.528 20 0 BFADHN CC(C)=CCC[C@H](C)N1CC[C@@H](O)[C@H](F)C1 ZINC001258085962 985386957 /nfs/dbraw/zinc/38/69/57/985386957.db2.gz OZGLFYFRJWZZHE-YNEHKIRRSA-N 0 3 229.339 2.526 20 0 BFADHN CC(C)=CCC[C@H](C)N1C[C@@H]2C[C@H]1CO2 ZINC001258099701 985408764 /nfs/dbraw/zinc/40/87/64/985408764.db2.gz PYQFZEZHYNUIFX-AVGNSLFASA-N 0 3 209.333 2.594 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2COC[C@H]2C)c(C)o1 ZINC000688006520 985484356 /nfs/dbraw/zinc/48/43/56/985484356.db2.gz OQQFMWIJDDMGLA-DFAYQTQMSA-N 0 3 223.316 2.582 20 0 BFADHN C[C@H](N[C@H]1COC[C@H]1C)c1ccc(F)cc1F ZINC000688027512 985502798 /nfs/dbraw/zinc/50/27/98/985502798.db2.gz ZUNALMGNJRMLHE-ZDMBXUJBSA-N 0 3 241.281 2.650 20 0 BFADHN CC[C@H](Cc1ccccc1)N(C)CC1=NOCC1 ZINC001459662918 985575399 /nfs/dbraw/zinc/57/53/99/985575399.db2.gz RSECUDXOCCLVDM-OAHLLOKOSA-N 0 3 246.354 2.716 20 0 BFADHN C[C@H](Cc1ccco1)N1C[C@@H](F)C[C@H]1C ZINC001258186550 985582584 /nfs/dbraw/zinc/58/25/84/985582584.db2.gz OSBYFDSNFASRIT-MXWKQRLJSA-N 0 3 211.280 2.643 20 0 BFADHN COC(=O)c1ccc(C2=CNCCC2)c(C)c1C ZINC001243951412 985656524 /nfs/dbraw/zinc/65/65/24/985656524.db2.gz GPMSRDINZBVHIK-UHFFFAOYSA-N 0 3 245.322 2.814 20 0 BFADHN CC(C)c1ccccc1CN1CCN(C)[C@@H](C)C1 ZINC001459888397 985656556 /nfs/dbraw/zinc/65/65/56/985656556.db2.gz ZBFPFAAJLANCSM-AWEZNQCLSA-N 0 3 246.398 2.946 20 0 BFADHN COc1cc(C2=CNCCC2)cc(F)c1F ZINC001243951791 985656948 /nfs/dbraw/zinc/65/69/48/985656948.db2.gz FCIVTOORVGATIW-UHFFFAOYSA-N 0 3 225.238 2.698 20 0 BFADHN NCc1ccc(-c2c(O)cccc2Cl)cn1 ZINC001244050640 985779721 /nfs/dbraw/zinc/77/97/21/985779721.db2.gz OFZDMSCFXFLYKS-UHFFFAOYSA-N 0 3 234.686 2.566 20 0 BFADHN Nc1cc[nH+]cc1-c1c([O-])cccc1Cl ZINC001244052813 985779864 /nfs/dbraw/zinc/77/98/64/985779864.db2.gz ZLQZUSYXHREAHO-UHFFFAOYSA-N 0 3 220.659 2.520 20 0 BFADHN CCN(C[C@H](C)C(C)(C)C)C(C)(C)C(=O)OC ZINC001460821711 985909607 /nfs/dbraw/zinc/90/96/07/985909607.db2.gz TYUQVYNMOIUCNC-NSHDSACASA-N 0 3 243.391 2.942 20 0 BFADHN C[C@@H](CC1CC1)N1CCC[C@H]1c1ncccn1 ZINC001258471589 985940433 /nfs/dbraw/zinc/94/04/33/985940433.db2.gz AJBCJGFYOTXUEL-AAEUAGOBSA-N 0 3 231.343 2.802 20 0 BFADHN C[C@@H]1C[C@@H](n2ccc(CN)n2)CC(C)(C)C1 ZINC001258687789 986012036 /nfs/dbraw/zinc/01/20/36/986012036.db2.gz UOCWVTSMZBBCBG-ZYHUDNBSSA-N 0 3 221.348 2.729 20 0 BFADHN CCn1ccc(CNCC2(CC3CC3)CC2)n1 ZINC001461721179 986125781 /nfs/dbraw/zinc/12/57/81/986125781.db2.gz ZOTPELQAJAAZMF-UHFFFAOYSA-N 0 3 233.359 2.573 20 0 BFADHN CC(C)[C@@H]1C[C@H]1NCc1cccnc1Cl ZINC001461839482 986194182 /nfs/dbraw/zinc/19/41/82/986194182.db2.gz SLPDQSMQKPBNCT-WDEREUQCSA-N 0 3 224.735 2.869 20 0 BFADHN Cc1cc(-c2cccc(C[NH3+])c2)cc([O-])c1F ZINC001244518913 986219787 /nfs/dbraw/zinc/21/97/87/986219787.db2.gz VBCWIJPMWISYDW-UHFFFAOYSA-N 0 3 231.270 2.965 20 0 BFADHN CC[C@H](C)C[C@H](CC)N(C)[C@H](C)C(=O)OC ZINC001258795015 986227587 /nfs/dbraw/zinc/22/75/87/986227587.db2.gz NVHCIHMMJVGRKV-TUAOUCFPSA-N 0 3 229.364 2.695 20 0 BFADHN COc1c(C)ccc(F)c1-c1ccnc(CN)c1 ZINC001244526598 986229916 /nfs/dbraw/zinc/22/99/16/986229916.db2.gz IOZVTDRLKOIWDX-UHFFFAOYSA-N 0 3 246.285 2.663 20 0 BFADHN CC[C@@H](C)C[C@H](CC)N1CCC12COC2 ZINC001258796120 986232692 /nfs/dbraw/zinc/23/26/92/986232692.db2.gz XKEOIFIADWFRGP-NEPJUHHUSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N1CCC12COC2 ZINC001258796121 986234494 /nfs/dbraw/zinc/23/44/94/986234494.db2.gz XKEOIFIADWFRGP-NWDGAFQWSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@H](C)C[C@H](CC)N1CCC12COC2 ZINC001258796122 986235373 /nfs/dbraw/zinc/23/53/73/986235373.db2.gz XKEOIFIADWFRGP-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN COc1nc(CN2CC[C@@H](C)[C@H](C)C2)ccc1C ZINC001461955433 986241840 /nfs/dbraw/zinc/24/18/40/986241840.db2.gz NNTZHHVPUXISQZ-DGCLKSJQSA-N 0 3 248.370 2.877 20 0 BFADHN CCOCCN(CC)Cc1cc(C)nc(C)c1 ZINC001461966112 986248231 /nfs/dbraw/zinc/24/82/31/986248231.db2.gz BRWWDAJRBRHFFA-UHFFFAOYSA-N 0 3 236.359 2.557 20 0 BFADHN COc1ccc(C)c(F)c1-c1ccnc(CN)c1 ZINC001244566465 986254816 /nfs/dbraw/zinc/25/48/16/986254816.db2.gz QMTJGPXRGWIGQD-UHFFFAOYSA-N 0 3 246.285 2.663 20 0 BFADHN CCCN(Cc1cncn1CCC)C1CC1 ZINC001461990505 986256661 /nfs/dbraw/zinc/25/66/61/986256661.db2.gz DVJXAWXIMXGNSX-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CCCn1cc(CN2CC(C(C)C)C2)c(C)n1 ZINC001462156767 986297215 /nfs/dbraw/zinc/29/72/15/986297215.db2.gz MFHUMQRQLHQINW-UHFFFAOYSA-N 0 3 235.375 2.689 20 0 BFADHN CC[C@@H](Cc1ccccc1)n1cncc1CN ZINC001258837256 986298952 /nfs/dbraw/zinc/29/89/52/986298952.db2.gz KBGIDXAHRQTAHZ-ZDUSSCGKSA-N 0 3 229.327 2.536 20 0 BFADHN CC(=O)c1ccc(-c2cnccc2N)c(F)c1F ZINC001244702658 986313675 /nfs/dbraw/zinc/31/36/75/986313675.db2.gz HSLAZWLEZSNKPK-UHFFFAOYSA-N 0 3 248.232 2.642 20 0 BFADHN CCCc1ncc(CN[C@H]2C[C@@H]3CCC[C@@H]32)o1 ZINC001462230260 986318775 /nfs/dbraw/zinc/31/87/75/986318775.db2.gz IPVVRIDOPYEUIA-DRZSPHRISA-N 0 3 234.343 2.905 20 0 BFADHN CO[C@H](C)CN1CC(c2ccc(Cl)cc2)C1 ZINC001462251501 986319467 /nfs/dbraw/zinc/31/94/67/986319467.db2.gz YQPWTCMCCUGSAC-SNVBAGLBSA-N 0 3 239.746 2.774 20 0 BFADHN CCn1ccc(CN(C2CCC2)C2CCC2)n1 ZINC001462289707 986337790 /nfs/dbraw/zinc/33/77/90/986337790.db2.gz JMYSKOFWGZMEKH-UHFFFAOYSA-N 0 3 233.359 2.810 20 0 BFADHN C[C@@]1(CN2CC(Cc3ccco3)C2)CCCCO1 ZINC001462431542 986388658 /nfs/dbraw/zinc/38/86/58/986388658.db2.gz XPOORUSTAQRQAG-HNNXBMFYSA-N 0 3 249.354 2.713 20 0 BFADHN C[C@]1(CCNCc2ncccc2F)CC1(F)F ZINC001462435034 986388720 /nfs/dbraw/zinc/38/87/20/986388720.db2.gz GARXIBOKDUHFCE-NSHDSACASA-N 0 3 244.260 2.746 20 0 BFADHN COc1ccc(C2CC2)cc1CNC[C@H](C)OC ZINC001462545166 986415852 /nfs/dbraw/zinc/41/58/52/986415852.db2.gz JXQGUGGQEUQEFQ-NSHDSACASA-N 0 3 249.354 2.697 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cccc2nsnc21 ZINC001461993313 986428476 /nfs/dbraw/zinc/42/84/76/986428476.db2.gz GXQWWODQKXJSHG-GFCCVEGCSA-N 0 3 247.367 2.922 20 0 BFADHN C[C@H]1C[C@H]([NH2+]Cc2ccc([O-])c(F)c2)CS1 ZINC000397808909 986466920 /nfs/dbraw/zinc/46/69/20/986466920.db2.gz FYEARLQBYWDBLE-WPRPVWTQSA-N 0 3 241.331 2.515 20 0 BFADHN Cc1cc(Cl)ncc1-c1ccc(CN)nc1 ZINC001244917319 986471225 /nfs/dbraw/zinc/47/12/25/986471225.db2.gz QCRLTIQXQSAZIA-UHFFFAOYSA-N 0 3 233.702 2.564 20 0 BFADHN Cc1c(O)cccc1-c1cccc(CN(C)C)n1 ZINC001244957098 986516934 /nfs/dbraw/zinc/51/69/34/986516934.db2.gz RLORRIKSNLLSLY-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN CNCc1cc(-c2cccc(Cl)c2C)ncn1 ZINC001244961180 986531932 /nfs/dbraw/zinc/53/19/32/986531932.db2.gz DLDQXMWNEDUGSD-UHFFFAOYSA-N 0 3 247.729 2.825 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCOC2)c(F)c1F ZINC001254364028 986585975 /nfs/dbraw/zinc/58/59/75/986585975.db2.gz MNPFWSGUUDLZEY-LLVKDONJSA-N 0 3 241.281 2.542 20 0 BFADHN Cc1c(N)cc[nH+]c1-c1ccc([O-])cc1Cl ZINC001245077579 986641338 /nfs/dbraw/zinc/64/13/38/986641338.db2.gz CLWVYBUZRGLZFP-UHFFFAOYSA-N 0 3 234.686 2.998 20 0 BFADHN CC[C@@H](C)N(C)Cc1nc2ccccn2c1C ZINC001137269780 986709610 /nfs/dbraw/zinc/70/96/10/986709610.db2.gz ZKNOKXVSUBCPNV-LLVKDONJSA-N 0 3 231.343 2.873 20 0 BFADHN C[C@@H]1CCN1Cc1cc2ccccc2[nH]1 ZINC001137313889 986752604 /nfs/dbraw/zinc/75/26/04/986752604.db2.gz YNWGXFUJKJEWOE-SNVBAGLBSA-N 0 3 200.285 2.762 20 0 BFADHN CC(=O)[C@@H]1CCN(Cc2cc3ccccc3[nH]2)C1 ZINC001137320744 986759275 /nfs/dbraw/zinc/75/92/75/986759275.db2.gz IMSYEYGOIMTAMX-CYBMUJFWSA-N 0 3 242.322 2.579 20 0 BFADHN C[C@H]1C[C@H](O)CCN1Cc1cc2ccccc2[nH]1 ZINC001137320015 986763340 /nfs/dbraw/zinc/76/33/40/986763340.db2.gz UMOWTYJOCDSQEJ-SMDDNHRTSA-N 0 3 244.338 2.513 20 0 BFADHN CCO[C@@H]1CCCN(Cc2[nH]c(C)cc2C)C1 ZINC001137327905 986779699 /nfs/dbraw/zinc/77/96/99/986779699.db2.gz KTZSQSKBLJGRLC-CYBMUJFWSA-N 0 3 236.359 2.632 20 0 BFADHN Cc1cccc2[nH]c(-c3cccc(CN)n3)cc21 ZINC001245342296 986815240 /nfs/dbraw/zinc/81/52/40/986815240.db2.gz YLZLBILGDDALGC-UHFFFAOYSA-N 0 3 237.306 2.997 20 0 BFADHN c1ccc2c(c1)n[nH]c2CN1CCC[C@H]2C[C@H]21 ZINC001137351790 986817840 /nfs/dbraw/zinc/81/78/40/986817840.db2.gz JHCVMEKPNHYRIU-IINYFYTJSA-N 0 3 227.311 2.547 20 0 BFADHN Clc1ncccc1CN1CCC[C@H]2C[C@H]21 ZINC001137428631 986893318 /nfs/dbraw/zinc/89/33/18/986893318.db2.gz MWGALEPQLCOQSO-GXSJLCMTSA-N 0 3 222.719 2.719 20 0 BFADHN CCCC[C@H](C)NCc1cncc(Cl)n1 ZINC001255314473 986904702 /nfs/dbraw/zinc/90/47/02/986904702.db2.gz KWGJSNCGNNSJQR-VIFPVBQESA-N 0 3 227.739 2.798 20 0 BFADHN CCCC[C@H](C)N1CCN(C)c2ncccc2C1 ZINC001255329233 986925291 /nfs/dbraw/zinc/92/52/91/986925291.db2.gz PMUUEEQONSQRKZ-ZDUSSCGKSA-N 0 3 247.386 2.912 20 0 BFADHN CCOc1cc(-c2cnccc2N)c(Cl)cn1 ZINC001245817297 987091843 /nfs/dbraw/zinc/09/18/43/987091843.db2.gz USUHWWNSHJPOBQ-UHFFFAOYSA-N 0 3 249.701 2.608 20 0 BFADHN C[C@@H](Cc1ccccn1)NCOc1ccccc1 ZINC001256402210 987212787 /nfs/dbraw/zinc/21/27/87/987212787.db2.gz FNIDLCJPLVOCMZ-ZDUSSCGKSA-N 0 3 242.322 2.639 20 0 BFADHN CCC[C@@H]1CN(CCCC2CC2)CCO1 ZINC001473281949 987388228 /nfs/dbraw/zinc/38/82/28/987388228.db2.gz HQZFUSVVIWXRIN-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@H]1CN([C@@H]2CCc3c2cccc3F)CCCO1 ZINC001116328106 987520470 /nfs/dbraw/zinc/52/04/70/987520470.db2.gz VNQLWFGNLBYJCY-XHDPSFHLSA-N 0 3 249.329 2.924 20 0 BFADHN c1cncc(CN2CCCC[C@@H]2[C@@H]2CCCO2)c1 ZINC001473883820 987602261 /nfs/dbraw/zinc/60/22/61/987602261.db2.gz VKHVBRGOLRBLAE-CABCVRRESA-N 0 3 246.354 2.615 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CC(C)(C)C2)[nH]n1 ZINC001116555894 987626538 /nfs/dbraw/zinc/62/65/38/987626538.db2.gz WBQNCHWHELCAKF-JTQLQIEISA-N 0 3 221.348 2.586 20 0 BFADHN COc1cc(C)ccc1CNCCC(F)F ZINC001474297557 987678575 /nfs/dbraw/zinc/67/85/75/987678575.db2.gz BISNANYJPBEIGR-UHFFFAOYSA-N 0 3 229.270 2.748 20 0 BFADHN CC[C@H](C)CN(CC)Cc1ccn(CC)n1 ZINC001474330637 987688803 /nfs/dbraw/zinc/68/88/03/987688803.db2.gz BVXCUGYAIKESKB-LBPRGKRZSA-N 0 3 223.364 2.771 20 0 BFADHN CC[C@H]1CN(CCCCC(C)C)CCO1 ZINC001474413411 987715302 /nfs/dbraw/zinc/71/53/02/987715302.db2.gz IULDXJNPIZWDIF-ZDUSSCGKSA-N 0 3 213.365 2.924 20 0 BFADHN CC[C@H]1CCN(Cc2ccn(CC)n2)[C@@H]1C ZINC001474460169 987726932 /nfs/dbraw/zinc/72/69/32/987726932.db2.gz VBPQDAPDFKRBAF-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2C[C@@H]3CCCC[C@H]23)[nH]1 ZINC001474541509 987752854 /nfs/dbraw/zinc/75/28/54/987752854.db2.gz KMIWKGZPOGJHEJ-SDDRHHMPSA-N 0 3 248.374 2.596 20 0 BFADHN CCCC[C@H](COC)NCc1cc(C)ccn1 ZINC001474689636 987801343 /nfs/dbraw/zinc/80/13/43/987801343.db2.gz ANBASAYJWOOOLK-CYBMUJFWSA-N 0 3 236.359 2.685 20 0 BFADHN CC(C)(C)C1CCC(N2C[C@H](N)[C@@H](F)C2)CC1 ZINC001246535799 987895604 /nfs/dbraw/zinc/89/56/04/987895604.db2.gz LKJFDHVKCVUXFL-TYUFSLCMSA-N 0 3 242.382 2.572 20 0 BFADHN CC(C)SCCN1CCN(C(C)(C)C)CC1 ZINC001321753545 987985949 /nfs/dbraw/zinc/98/59/49/987985949.db2.gz YLOILNHLNMFPQB-UHFFFAOYSA-N 0 3 244.448 2.544 20 0 BFADHN Cc1cnc2ccccc2c1N[C@H]1CO[C@H](C)C1 ZINC001118126307 988029328 /nfs/dbraw/zinc/02/93/28/988029328.db2.gz LCYVKSWFTPZAJE-VXGBXAGGSA-N 0 3 242.322 2.554 20 0 BFADHN CC(C)CCC[C@@H](C)N1CCC(F)(F)[C@H](N)C1 ZINC001246599827 988202706 /nfs/dbraw/zinc/20/27/06/988202706.db2.gz QRICCXOGILXABR-VXGBXAGGSA-N 0 3 248.361 2.870 20 0 BFADHN CC(C)CCC[C@H](C)N1CCC(F)(F)[C@H](N)C1 ZINC001246599825 988210994 /nfs/dbraw/zinc/21/09/94/988210994.db2.gz QRICCXOGILXABR-NWDGAFQWSA-N 0 3 248.361 2.870 20 0 BFADHN CCC(CC)CN(CC)CN1C(=O)CC1(C)C ZINC001322324988 988212803 /nfs/dbraw/zinc/21/28/03/988212803.db2.gz KBJDACFGABEYEO-UHFFFAOYSA-N 0 3 240.391 2.713 20 0 BFADHN CCCC[C@@H](CC(C)C)N1CC[C@H]1NC ZINC001246901921 988659807 /nfs/dbraw/zinc/65/98/07/988659807.db2.gz FPAQLZMSLUGMLU-STQMWFEESA-N 0 3 212.381 2.843 20 0 BFADHN CCCCCC[C@H](CC)N1CC[C@@H]1NC ZINC001246897589 988671978 /nfs/dbraw/zinc/67/19/78/988671978.db2.gz KAHCBGFWYRJTFA-QWHCGFSZSA-N 0 3 212.381 2.987 20 0 BFADHN CN[C@H]1CCN1[C@H]1CCC[C@@H](c2ccccc2)C1 ZINC001246901729 988674269 /nfs/dbraw/zinc/67/42/69/988674269.db2.gz CKICKJANZUVRGA-OWCLPIDISA-N 0 3 244.382 2.964 20 0 BFADHN CN[C@@H]1CCN1C(CC(C)C)CC(C)C ZINC001246901689 988676307 /nfs/dbraw/zinc/67/63/07/988676307.db2.gz ZKMIOEOUIJTBJY-ZDUSSCGKSA-N 0 3 212.381 2.698 20 0 BFADHN Cc1cc(CN(C2CCC2)C2CCC2)[nH]n1 ZINC001324112433 988707906 /nfs/dbraw/zinc/70/79/06/988707906.db2.gz KXWQXPUPODIVDX-UHFFFAOYSA-N 0 3 219.332 2.625 20 0 BFADHN c1ccc([C@@H]2CCC[C@H](N3CCNC3)C2)cc1 ZINC001246998122 988831490 /nfs/dbraw/zinc/83/14/90/988831490.db2.gz XEZJWODRIPKLLS-CABCVRRESA-N 0 3 230.355 2.576 20 0 BFADHN C[C@@H](N)c1nc2cc(-c3ccncc3)ccc2[nH]1 ZINC001247020582 988844460 /nfs/dbraw/zinc/84/44/60/988844460.db2.gz MSJNJBVAKNJTSJ-SECBINFHSA-N 0 3 238.294 2.645 20 0 BFADHN C[C@@H](N)c1nc2ccc(-c3ccncc3)cc2[nH]1 ZINC001247020582 988844462 /nfs/dbraw/zinc/84/44/62/988844462.db2.gz MSJNJBVAKNJTSJ-SECBINFHSA-N 0 3 238.294 2.645 20 0 BFADHN Cc1c(F)cccc1-c1noc([C@@H]2CCCN2)n1 ZINC001247498772 988902403 /nfs/dbraw/zinc/90/24/03/988902403.db2.gz SWWHXARPBLUBSZ-NSHDSACASA-N 0 3 247.273 2.609 20 0 BFADHN Cn1ccc(CN2CC(c3ccc(F)cc3)C2)c1 ZINC001324747900 988962943 /nfs/dbraw/zinc/96/29/43/988962943.db2.gz XLCPKPDVKKNKEU-UHFFFAOYSA-N 0 3 244.313 2.764 20 0 BFADHN Cc1noc2ncc(CNC/C=C\C3CC3)cc12 ZINC001119449347 989083530 /nfs/dbraw/zinc/08/35/30/989083530.db2.gz UFONHKDHXMDTAI-IHWYPQMZSA-N 0 3 243.310 2.587 20 0 BFADHN CCN(Cc1cc(Cl)cn2ccnc12)C1CC1 ZINC001248430681 989105065 /nfs/dbraw/zinc/10/50/65/989105065.db2.gz OGWQLKICFGKEGB-UHFFFAOYSA-N 0 3 249.745 2.972 20 0 BFADHN COc1c(C)cccc1Nc1cnc(C)n1C ZINC001248521159 989110635 /nfs/dbraw/zinc/11/06/35/989110635.db2.gz KKJFCJCFBUZHKW-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN C[C@]1(O)CCN(Cc2c3ccoc3ccc2F)C1 ZINC001248528435 989117053 /nfs/dbraw/zinc/11/70/53/989117053.db2.gz NVKMAUGRYBNGNU-AWEZNQCLSA-N 0 3 249.285 2.529 20 0 BFADHN CCCN(C)Cc1ccc(Br)n1C ZINC001248772682 989144251 /nfs/dbraw/zinc/14/42/51/989144251.db2.gz HSXJPBOYHBOPPY-UHFFFAOYSA-N 0 3 245.164 2.629 20 0 BFADHN CCN(CCn1ccnc1)Cc1csc(C)c1 ZINC001248804039 989153471 /nfs/dbraw/zinc/15/34/71/989153471.db2.gz JSXDASSBMFWIMH-UHFFFAOYSA-N 0 3 249.383 2.775 20 0 BFADHN CCCN(C)Cc1ccc(Cl)c(C)n1 ZINC001248868374 989202765 /nfs/dbraw/zinc/20/27/65/989202765.db2.gz DSODNVUKIVFYIB-UHFFFAOYSA-N 0 3 212.724 2.885 20 0 BFADHN CCN(CCOC)Cc1cc(C(C)(C)C)c[nH]1 ZINC001249476381 989290224 /nfs/dbraw/zinc/29/02/24/989290224.db2.gz PTLMBMRYIBOMBE-UHFFFAOYSA-N 0 3 238.375 2.781 20 0 BFADHN CCC[C@@H]1CCCC[C@H]1NCc1nncs1 ZINC001119743287 989379380 /nfs/dbraw/zinc/37/93/80/989379380.db2.gz QSSOUINKDLSYOK-GHMZBOCLSA-N 0 3 239.388 2.987 20 0 BFADHN C[C@H]1COCCN([C@@H]2CCc3c2cccc3F)C1 ZINC001119780047 989396304 /nfs/dbraw/zinc/39/63/04/989396304.db2.gz DEPHZKIPMPAIFN-IAQYHMDHSA-N 0 3 249.329 2.781 20 0 BFADHN CC(C)(NCc1nncs1)C1CCCCC1 ZINC001119773243 989397301 /nfs/dbraw/zinc/39/73/01/989397301.db2.gz QKSAMJBAJXVDND-UHFFFAOYSA-N 0 3 239.388 2.987 20 0 BFADHN FC1(F)CCC[C@@H]1CCN1CC[C@]12CCOC2 ZINC001119792072 989403610 /nfs/dbraw/zinc/40/36/10/989403610.db2.gz LDRBCKRFPABNOH-VXGBXAGGSA-N 0 3 245.313 2.677 20 0 BFADHN Cc1ccc2c(c1)CCN(CCOC1CC1)C2 ZINC001119844874 989440254 /nfs/dbraw/zinc/44/02/54/989440254.db2.gz DQGOHKIRIXNVOI-UHFFFAOYSA-N 0 3 231.339 2.532 20 0 BFADHN CC(C)(C)c1n[nH]cc1CNC/C=C\C1CC1 ZINC001326327211 989499957 /nfs/dbraw/zinc/49/99/57/989499957.db2.gz LEVIRBKNWMCBOS-PLNGDYQASA-N 0 3 233.359 2.763 20 0 BFADHN FC(F)CCCNCc1nc(Cl)cs1 ZINC001120065548 989584788 /nfs/dbraw/zinc/58/47/88/989584788.db2.gz QTHFAEFILWGJLM-UHFFFAOYSA-N 0 3 240.706 2.931 20 0 BFADHN CCC[C@@H](C)NC(=O)[C@@H](N)C1CCCCCC1 ZINC001121833185 990099102 /nfs/dbraw/zinc/09/91/02/990099102.db2.gz NSBWYSJVSGKYND-YPMHNXCESA-N 0 3 240.391 2.589 20 0 BFADHN CC1(C(=O)Nc2ccc3c(c2)CNC3)CC=CC1 ZINC001121944498 990150990 /nfs/dbraw/zinc/15/09/90/990150990.db2.gz QYJLSTBVVKXSTI-UHFFFAOYSA-N 0 3 242.322 2.585 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N(C(C)C)C1CCC1 ZINC001121965830 990160762 /nfs/dbraw/zinc/16/07/62/990160762.db2.gz MSTVGAYFBVMVJD-WCQYABFASA-N 0 3 240.391 2.539 20 0 BFADHN CCCCC1(NC(=O)[C@@H](N)C[C@H](C)CC)CC1 ZINC001122294071 990326352 /nfs/dbraw/zinc/32/63/52/990326352.db2.gz PRBWXHKZXDVZFD-NEPJUHHUSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1ccc(NC(=O)C2(N(C)C)CCCC2)cc1 ZINC001538812946 990545263 /nfs/dbraw/zinc/54/52/63/990545263.db2.gz OUOMOXNXVHVZHY-UHFFFAOYSA-N 0 3 246.354 2.808 20 0 BFADHN CCC[C@@H](C)N(C)C(=O)CN(C)CC(C)(C)C ZINC001543846792 990704352 /nfs/dbraw/zinc/70/43/52/990704352.db2.gz OYNKJKAUXLYWRP-GFCCVEGCSA-N 0 3 242.407 2.611 20 0 BFADHN CCC[C@H](C)N(C)C(=O)CN(C)CC(C)(C)C ZINC001543846800 990704652 /nfs/dbraw/zinc/70/46/52/990704652.db2.gz OYNKJKAUXLYWRP-LBPRGKRZSA-N 0 3 242.407 2.611 20 0 BFADHN COC(=O)c1ccccc1CN(C)C1CC(C)C1 ZINC001137784310 990850863 /nfs/dbraw/zinc/85/08/63/990850863.db2.gz IOCRMQCTEWJJEW-UHFFFAOYSA-N 0 3 247.338 2.704 20 0 BFADHN CCN(CC)Cc1cccc2c1OC(F)(F)O2 ZINC001138063816 990879470 /nfs/dbraw/zinc/87/94/70/990879470.db2.gz JBNFAVQDKDEBLN-UHFFFAOYSA-N 0 3 243.253 2.850 20 0 BFADHN CCOc1cccc(CN2CC[C@@H]2C)c1 ZINC001138095815 990879846 /nfs/dbraw/zinc/87/98/46/990879846.db2.gz FQKMWOGOJMBXAU-NSHDSACASA-N 0 3 205.301 2.680 20 0 BFADHN CN(Cc1ccc(N2CCCCC2)o1)C1CC1 ZINC001138171150 990895478 /nfs/dbraw/zinc/89/54/78/990895478.db2.gz SWWZDGISQMFJDE-UHFFFAOYSA-N 0 3 234.343 2.864 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)N1CCOCC1 ZINC001258062609 990954828 /nfs/dbraw/zinc/95/48/28/990954828.db2.gz KUPBVRJKBBEATB-STQMWFEESA-N 0 3 213.365 2.779 20 0 BFADHN COCCN(C)Cc1[nH]c2ccccc2c1C ZINC001138397685 990941041 /nfs/dbraw/zinc/94/10/41/990941041.db2.gz ZYFTYOKHSAHGOP-UHFFFAOYSA-N 0 3 232.327 2.555 20 0 BFADHN COc1c(C)cc(CN2CC=CC2)cc1C ZINC001138425838 990945534 /nfs/dbraw/zinc/94/55/34/990945534.db2.gz NQVLRWBIBUABLS-UHFFFAOYSA-N 0 3 217.312 2.684 20 0 BFADHN CCSCC[C@H](C)NCc1ccn(CC)n1 ZINC001308285713 990957226 /nfs/dbraw/zinc/95/72/26/990957226.db2.gz JJRROOUGGCKEDN-NSHDSACASA-N 0 3 241.404 2.524 20 0 BFADHN CC(C)C[C@H](C)C[C@@H](C)N(C)C1COC1 ZINC001258068454 990960430 /nfs/dbraw/zinc/96/04/30/990960430.db2.gz FJGJOKMVWHWKPT-NWDGAFQWSA-N 0 3 213.365 2.778 20 0 BFADHN CN(C)c1ccc(CN2CCC(F)CC2)s1 ZINC001138489193 990961503 /nfs/dbraw/zinc/96/15/03/990961503.db2.gz FPSOJUOFSKCQIC-UHFFFAOYSA-N 0 3 242.363 2.748 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)N1CCN2CC[C@H]1C2 ZINC001258076252 990973772 /nfs/dbraw/zinc/97/37/72/990973772.db2.gz YKLABALDAXKTEC-ILXRZTDVSA-N 0 3 238.419 2.837 20 0 BFADHN CCn1cnc(Cl)c1CN[C@@H]1CCC1(C)C ZINC001308400914 990983270 /nfs/dbraw/zinc/98/32/70/990983270.db2.gz FHAOGNXZCAKBEL-SNVBAGLBSA-N 0 3 241.766 2.835 20 0 BFADHN CCCn1ncc(CN2CCCCC2)c1C ZINC001138637307 990991120 /nfs/dbraw/zinc/99/11/20/990991120.db2.gz ZJXFCVRBILCJGA-UHFFFAOYSA-N 0 3 221.348 2.587 20 0 BFADHN CC(C)=CCC[C@H](C)N1CCN(CCF)CC1 ZINC001258099048 991009496 /nfs/dbraw/zinc/00/94/96/991009496.db2.gz HPRDZEPNIDKUJE-AWEZNQCLSA-N 0 3 242.382 2.708 20 0 BFADHN c1cc2cc(CN3CC4(C3)CCCC4)cnc2[nH]1 ZINC001138736766 991022401 /nfs/dbraw/zinc/02/24/01/991022401.db2.gz CYWNJJHVHTXHOI-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN c1cc2cc(CN3CCC[C@@H]4C[C@@H]43)cnc2[nH]1 ZINC001138740394 991029903 /nfs/dbraw/zinc/02/99/03/991029903.db2.gz UYHKBICOJSQAMT-YPMHNXCESA-N 0 3 227.311 2.547 20 0 BFADHN CC[C@@H]1CCCN1Cc1cn(C2CC2)cn1 ZINC001308560397 991032377 /nfs/dbraw/zinc/03/23/77/991032377.db2.gz NWGYNYFIDAVNTI-GFCCVEGCSA-N 0 3 219.332 2.592 20 0 BFADHN Cc1cc(CN)nn1C1C[C@@H](C)C[C@H](C)C1 ZINC001258151905 991032628 /nfs/dbraw/zinc/03/26/28/991032628.db2.gz PDRVJRFVTNLLAB-UWVGGRQHSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1cc(CN)nn1[C@H]1C[C@H](C)C[C@H](C)C1 ZINC001258151899 991032887 /nfs/dbraw/zinc/03/28/87/991032887.db2.gz PDRVJRFVTNLLAB-IWIIMEHWSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1cc(CN)nn1C1C[C@H](C)C[C@@H](C)C1 ZINC001258151903 991034832 /nfs/dbraw/zinc/03/48/32/991034832.db2.gz PDRVJRFVTNLLAB-NXEZZACHSA-N 0 3 221.348 2.647 20 0 BFADHN C[C@H](N)c1ccn([C@H]2C[C@H](C)C[C@H](C)C2)n1 ZINC001258152958 991040000 /nfs/dbraw/zinc/04/00/00/991040000.db2.gz DSMBUIKXSKNLOF-WHOHXGKFSA-N 0 3 221.348 2.900 20 0 BFADHN CCCN(CC)Cc1cncn1CCC ZINC001308558195 991041853 /nfs/dbraw/zinc/04/18/53/991041853.db2.gz JYWWOUBBBRGSIW-UHFFFAOYSA-N 0 3 209.337 2.525 20 0 BFADHN C[C@H]1CCCN(Cc2cccnc2F)CC1 ZINC001138783835 991047607 /nfs/dbraw/zinc/04/76/07/991047607.db2.gz YYZTUWMFNJARMI-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN C[C@H]1C[C@H](n2ncc3c2CCNC3)C[C@@H](C)C1 ZINC001258159728 991049238 /nfs/dbraw/zinc/04/92/38/991049238.db2.gz MUVUPKVJBGHLRG-PJXYFTJBSA-N 0 3 233.359 2.526 20 0 BFADHN C[C@H]1CCCCCN1Cc1cccnc1F ZINC001138789077 991052498 /nfs/dbraw/zinc/05/24/98/991052498.db2.gz SKUCWRXIKKCLAY-NSHDSACASA-N 0 3 222.307 2.985 20 0 BFADHN CC(C)N(Cc1cccnc1F)CC1CC1 ZINC001138788539 991054537 /nfs/dbraw/zinc/05/45/37/991054537.db2.gz FBVKKKJUTZZXFV-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN CC1(C)CCN(Cc2cccc3ccnn32)C1 ZINC001138806851 991062717 /nfs/dbraw/zinc/06/27/17/991062717.db2.gz RBKKRXKVVZNTBV-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CC1CCN(Cc2cccc3ccnn32)CC1 ZINC001138804443 991062758 /nfs/dbraw/zinc/06/27/58/991062758.db2.gz JRUVCYWABIYDPQ-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN c1cc2cccc(CN(CC3CC3)C3CC3)n2n1 ZINC001138805705 991063080 /nfs/dbraw/zinc/06/30/80/991063080.db2.gz AXYYNZJSTCULCF-UHFFFAOYSA-N 0 3 241.338 2.709 20 0 BFADHN F[C@H]1CCCN(Cc2cccc3ccnn32)CC1 ZINC001138804526 991065756 /nfs/dbraw/zinc/06/57/56/991065756.db2.gz MSGOOIOLHBPNKA-LBPRGKRZSA-N 0 3 247.317 2.658 20 0 BFADHN C[C@@H](Cc1ccco1)Nc1cccc(F)c1CN ZINC001258173195 991067563 /nfs/dbraw/zinc/06/75/63/991067563.db2.gz VXPXAYVHEJFBRN-JTQLQIEISA-N 0 3 248.301 2.921 20 0 BFADHN CN(Cc1cccc2ccnn21)C[C@H]1CC1(C)C ZINC001138808293 991068344 /nfs/dbraw/zinc/06/83/44/991068344.db2.gz QAKWFNGCFYTJRT-GFCCVEGCSA-N 0 3 243.354 2.812 20 0 BFADHN CCCc1cc(CN)nn1[C@@H](C)Cc1ccco1 ZINC001258182107 991083128 /nfs/dbraw/zinc/08/31/28/991083128.db2.gz VRVMPNFGCWNHDF-NSHDSACASA-N 0 3 247.342 2.691 20 0 BFADHN C[C@@H](Cc1ccco1)N(C)C1CC(F)(F)C1 ZINC001258185015 991087841 /nfs/dbraw/zinc/08/78/41/991087841.db2.gz CZJUQRKSVMEDCX-VIFPVBQESA-N 0 3 229.270 2.940 20 0 BFADHN C[C@@H](Cc1ccco1)N1C[C@@H](C)[C@@H](F)C1 ZINC001258186428 991093746 /nfs/dbraw/zinc/09/37/46/991093746.db2.gz NHZIAIPQYWGWLD-SCVCMEIPSA-N 0 3 211.280 2.500 20 0 BFADHN CC[C@H](C)[C@H](CN1CCO[C@](C)(CC)C1)OC ZINC001308697874 991101503 /nfs/dbraw/zinc/10/15/03/991101503.db2.gz WGUHMQNNPPNIMH-MELADBBJSA-N 0 3 243.391 2.548 20 0 BFADHN Clc1cnc2[nH]ccc2c1CN1CCCC1 ZINC001138947284 991112334 /nfs/dbraw/zinc/11/23/34/991112334.db2.gz VUDORJSPWSHGAN-UHFFFAOYSA-N 0 3 235.718 2.764 20 0 BFADHN CC(C)COc1ccc(CN2CCC2)cc1 ZINC001138969531 991114673 /nfs/dbraw/zinc/11/46/73/991114673.db2.gz PWJKQJVJZOEETF-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN CCOc1ccc(CN(C)CC)c(OCC)c1 ZINC001138977554 991118585 /nfs/dbraw/zinc/11/85/85/991118585.db2.gz UPHRCHICXQKGDO-UHFFFAOYSA-N 0 3 237.343 2.936 20 0 BFADHN CSCC[C@@H](C)NCc1ncn2ccccc12 ZINC001258208903 991128533 /nfs/dbraw/zinc/12/85/33/991128533.db2.gz IJRZDVZEJVEFCU-LLVKDONJSA-N 0 3 249.383 2.566 20 0 BFADHN COC(=O)c1ccc(CN2C[C@H](C)[C@@H]2C)cc1C ZINC001308789615 991137131 /nfs/dbraw/zinc/13/71/31/991137131.db2.gz FPDKUVKDBXSPFN-RYUDHWBXSA-N 0 3 247.338 2.622 20 0 BFADHN COC(=O)c1ccc(CN2C[C@@H](C)[C@H]2C)cc1C ZINC001308789616 991138033 /nfs/dbraw/zinc/13/80/33/991138033.db2.gz FPDKUVKDBXSPFN-VXGBXAGGSA-N 0 3 247.338 2.622 20 0 BFADHN CSCC[C@H](C)N1CC[C@](C)(F)[C@H](F)C1 ZINC001258216300 991145455 /nfs/dbraw/zinc/14/54/55/991145455.db2.gz WLHBIAKYMUKTAR-AXFHLTTASA-N 0 3 237.359 2.900 20 0 BFADHN CCCN(Cc1cn(C2CC2)cn1)C(C)C ZINC001308838212 991168779 /nfs/dbraw/zinc/16/87/79/991168779.db2.gz FJDWBTVOCFTYAM-UHFFFAOYSA-N 0 3 221.348 2.838 20 0 BFADHN C1[C@H]2OCCN(C3CCCCCCC3)[C@@H]12 ZINC001258243360 991190455 /nfs/dbraw/zinc/19/04/55/991190455.db2.gz HSULYQAXAKZZKH-QWHCGFSZSA-N 0 3 209.333 2.572 20 0 BFADHN CSC(C)(C)C[C@@H](C)NCc1cc(C)no1 ZINC001258314059 991240167 /nfs/dbraw/zinc/24/01/67/991240167.db2.gz ANOOHBVBRDLTIZ-SNVBAGLBSA-N 0 3 242.388 2.993 20 0 BFADHN CSC(C)(C)C[C@@H](C)N1CCC(=O)C[C@H]1C ZINC001258333718 991259732 /nfs/dbraw/zinc/25/97/32/991259732.db2.gz AQDHTDODMDINKE-GHMZBOCLSA-N 0 3 243.416 2.960 20 0 BFADHN CSC(C)(C)C[C@@H](C)N1CCC(=O)[C@H](C)C1 ZINC001258334367 991260816 /nfs/dbraw/zinc/26/08/16/991260816.db2.gz FLOYUGUZUHUSOC-GHMZBOCLSA-N 0 3 243.416 2.818 20 0 BFADHN CSC(C)(C)C[C@@H](C)N1CCOC[C@H]1C ZINC001258335797 991261929 /nfs/dbraw/zinc/26/19/29/991261929.db2.gz PRDFSGRXUGAREY-GHMZBOCLSA-N 0 3 231.405 2.627 20 0 BFADHN CCN([C@H](C)CC(C)(C)SC)C1COC1 ZINC001258341773 991269228 /nfs/dbraw/zinc/26/92/28/991269228.db2.gz NPKFSPAUTIBJAT-SNVBAGLBSA-N 0 3 231.405 2.627 20 0 BFADHN CCN(Cc1cn2c(cccc2F)n1)C(C)C ZINC001139565582 991272461 /nfs/dbraw/zinc/27/24/61/991272461.db2.gz CZXBSWDICNVOEJ-UHFFFAOYSA-N 0 3 235.306 2.704 20 0 BFADHN COc1ccncc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001139606885 991284557 /nfs/dbraw/zinc/28/45/57/991284557.db2.gz QXDCTIANNBJJLD-STQMWFEESA-N 0 3 246.354 2.712 20 0 BFADHN CCC(CC)N(C)Cc1cnccc1OC ZINC001139611055 991291424 /nfs/dbraw/zinc/29/14/24/991291424.db2.gz WLYIWYRBZFUTMW-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CCOc1ccncc1CN(C)[C@H](C)CC ZINC001139626739 991297580 /nfs/dbraw/zinc/29/75/80/991297580.db2.gz TXJANDPXTWEOFG-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN Fc1c(CN2CC3CC(C3)C2)ccnc1Cl ZINC001139665870 991308051 /nfs/dbraw/zinc/30/80/51/991308051.db2.gz KGPDOWZMFBFWBQ-UHFFFAOYSA-N 0 3 240.709 2.716 20 0 BFADHN CCCCC(CCCC)n1nncc1CN ZINC001258381125 991335391 /nfs/dbraw/zinc/33/53/91/991335391.db2.gz TVSKXXUJFNFQLT-UHFFFAOYSA-N 0 3 224.352 2.658 20 0 BFADHN C[C@@H]1C[C@@H](O)CN1Cc1ccccc1C(F)F ZINC001139796457 991372180 /nfs/dbraw/zinc/37/21/80/991372180.db2.gz YGDGOQGWNFQFQY-MWLCHTKSSA-N 0 3 241.281 2.579 20 0 BFADHN C1=CCC(CN2CCCC[C@H]2c2ncccn2)C1 ZINC001560115236 991380367 /nfs/dbraw/zinc/38/03/67/991380367.db2.gz RUQPEKMGEQFDOY-AWEZNQCLSA-N 0 3 243.354 2.970 20 0 BFADHN COCc1ccc(CN2CCC[C@H]3C[C@H]32)s1 ZINC001139830448 991385557 /nfs/dbraw/zinc/38/55/57/991385557.db2.gz AYGYXIRFFZNDFN-GXFFZTMASA-N 0 3 237.368 2.879 20 0 BFADHN CCCCC[C@H](CC)N1CCN(C)C(=O)[C@H]1C ZINC001258445425 991386030 /nfs/dbraw/zinc/38/60/30/991386030.db2.gz HKXDKAYLZYCWMZ-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN Fc1cc(CN2CCC[C@H]3CCC[C@@H]32)ccn1 ZINC001139834831 991389262 /nfs/dbraw/zinc/38/92/62/991389262.db2.gz YIBCEBCMBUSJRY-OLZOCXBDSA-N 0 3 234.318 2.985 20 0 BFADHN Cc1cccc2c1[C@H](N[C@@H](C)CC1CC1)C(=O)N2 ZINC001258447578 991392847 /nfs/dbraw/zinc/39/28/47/991392847.db2.gz UJUWIGVLLXSUGE-HZMBPMFUSA-N 0 3 244.338 2.766 20 0 BFADHN Cc1nc([C@H]2CCCN2CC2CC2)[nH]c1C ZINC001560137918 991393373 /nfs/dbraw/zinc/39/33/73/991393373.db2.gz LXHZTMIKJZZMIP-GFCCVEGCSA-N 0 3 219.332 2.573 20 0 BFADHN C[C@@H](CC1CC1)NCC(=O)c1ccccc1 ZINC001258448333 991396475 /nfs/dbraw/zinc/39/64/75/991396475.db2.gz INEBGMGAVLYNOK-NSHDSACASA-N 0 3 217.312 2.648 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](F)CC2)nc1 ZINC001139837719 991396903 /nfs/dbraw/zinc/39/69/03/991396903.db2.gz RQWSFSPNYJTLSQ-GFCCVEGCSA-N 0 3 222.307 2.714 20 0 BFADHN Clc1cnccc1CN1CC2CC(C2)C1 ZINC001139849758 991404310 /nfs/dbraw/zinc/40/43/10/991404310.db2.gz RUUIZKPXMPLHNA-UHFFFAOYSA-N 0 3 222.719 2.577 20 0 BFADHN C[C@H](CC1CC1)N[C@@H]1CCc2ccccc2C1=O ZINC001258457216 991409350 /nfs/dbraw/zinc/40/93/50/991409350.db2.gz UGBDVWWZTZXLGF-IAQYHMDHSA-N 0 3 243.350 2.962 20 0 BFADHN C[C@H](CC1CC1)N[C@H]1CCc2ccccc2C1=O ZINC001258457214 991410027 /nfs/dbraw/zinc/41/00/27/991410027.db2.gz UGBDVWWZTZXLGF-ABAIWWIYSA-N 0 3 243.350 2.962 20 0 BFADHN COC(CN(C)Cc1ccccc1C1CC1)OC ZINC001139882825 991411035 /nfs/dbraw/zinc/41/10/35/991411035.db2.gz WQXBHQQAGHTXSA-UHFFFAOYSA-N 0 3 249.354 2.615 20 0 BFADHN Cc1ccc(CN2CC[C@](C)(CF)C2)cn1 ZINC001139929308 991423538 /nfs/dbraw/zinc/42/35/38/991423538.db2.gz VNAQHXYIWXVFLX-CYBMUJFWSA-N 0 3 222.307 2.572 20 0 BFADHN CCO[C@H](CN[C@@H](C)c1ncccc1C)C1CC1 ZINC001560220802 991427367 /nfs/dbraw/zinc/42/73/67/991427367.db2.gz FXAXRFYFJSORMZ-GXTWGEPZSA-N 0 3 248.370 2.856 20 0 BFADHN CC[C@H](C)[C@@H](C(=O)OC)N(C)[C@H](C)CC1CC1 ZINC001258473577 991446962 /nfs/dbraw/zinc/44/69/62/991446962.db2.gz OARJIRRJUKNOBB-LOWVWBTDSA-N 0 3 241.375 2.695 20 0 BFADHN CCOC(=O)CC[C@@H](C)N[C@H](C)c1ccoc1 ZINC001258481963 991454079 /nfs/dbraw/zinc/45/40/79/991454079.db2.gz OQUWFBPPCIQFCY-GHMZBOCLSA-N 0 3 239.315 2.662 20 0 BFADHN CCOC(=O)CC[C@H](C)N1CCCC[C@H](F)C1 ZINC001258490519 991461285 /nfs/dbraw/zinc/46/12/85/991461285.db2.gz YFVHXOJGLLSCLF-RYUDHWBXSA-N 0 3 245.338 2.542 20 0 BFADHN C[C@H](N)c1nccn1[C@@H]1CCC(C)(C)C1 ZINC001258592046 991485229 /nfs/dbraw/zinc/48/52/29/991485229.db2.gz LXHRPRRGWKIKNH-VHSXEESVSA-N 0 3 207.321 2.654 20 0 BFADHN CC1(C)CC[C@@H](N2CCC(=O)[C@H](F)CC2)C1 ZINC001258602481 991487282 /nfs/dbraw/zinc/48/72/82/991487282.db2.gz LIRIMKCUABIVQV-GHMZBOCLSA-N 0 3 227.323 2.568 20 0 BFADHN CCn1cc(CNC2CCC3(CCC3)CC2)nn1 ZINC001560527602 991492843 /nfs/dbraw/zinc/49/28/43/991492843.db2.gz PCUOKZCLHRBJMI-UHFFFAOYSA-N 0 3 248.374 2.501 20 0 BFADHN Oc1ccc(F)c(CN2C[C@H]3CCC[C@@H]3C2)c1 ZINC001140050981 991501172 /nfs/dbraw/zinc/50/11/72/991501172.db2.gz RDGOGSRDQIEWEO-GHMZBOCLSA-N 0 3 235.302 2.763 20 0 BFADHN CC1(C)CC[C@@H](N2CCc3c(cc[nH]c3=O)C2)C1 ZINC001258607348 991521027 /nfs/dbraw/zinc/52/10/27/991521027.db2.gz JFFVWWHVSPUKJB-GFCCVEGCSA-N 0 3 246.354 2.724 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1C[C@H]1C(C)C ZINC001560624912 991524283 /nfs/dbraw/zinc/52/42/83/991524283.db2.gz DXMQHAQADZUYDJ-CMPLNLGQSA-N 0 3 222.332 2.680 20 0 BFADHN CC(C)[C@@H]1C[C@H]1NCc1nc2c(s1)CCC2 ZINC001560624834 991524437 /nfs/dbraw/zinc/52/44/37/991524437.db2.gz BURJRHMQTAHCLY-GXSJLCMTSA-N 0 3 236.384 2.766 20 0 BFADHN C[C@@H]1CN(Cc2ccc(O)cc2F)C2(CC2)C1 ZINC001140128714 991524462 /nfs/dbraw/zinc/52/44/62/991524462.db2.gz ZTUXOWAHKYGCJD-JTQLQIEISA-N 0 3 235.302 2.906 20 0 BFADHN CN(CCC1CC1)Cc1ccc(O)cc1F ZINC001140128618 991527882 /nfs/dbraw/zinc/52/78/82/991527882.db2.gz VMBMVTDTBYTSDX-UHFFFAOYSA-N 0 3 223.291 2.763 20 0 BFADHN CC1CC(N(C)Cc2ccc(O)cc2F)C1 ZINC001140124558 991528452 /nfs/dbraw/zinc/52/84/52/991528452.db2.gz OCDRDGSNLCEITR-UHFFFAOYSA-N 0 3 223.291 2.762 20 0 BFADHN CCCCCN(C)Cc1cccc(F)n1 ZINC001140130863 991534893 /nfs/dbraw/zinc/53/48/93/991534893.db2.gz VMNWBUJDOITYAD-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN C[C@H](Cc1cccnc1)N[C@H](C)c1nccs1 ZINC001258611493 991537732 /nfs/dbraw/zinc/53/77/32/991537732.db2.gz JXCSGNDBYJFJPO-GHMZBOCLSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@@H]1CN(Cc2cccc(F)n2)C2(CC2)C1 ZINC001140134049 991538208 /nfs/dbraw/zinc/53/82/08/991538208.db2.gz BQJSJIHEONQZSA-JTQLQIEISA-N 0 3 220.291 2.595 20 0 BFADHN Cc1cc(CN2CC3(CCC3)C2)c(C)cc1O ZINC001140197683 991557601 /nfs/dbraw/zinc/55/76/01/991557601.db2.gz SZWOXYSKJFRKMB-UHFFFAOYSA-N 0 3 231.339 2.995 20 0 BFADHN CO[C@H]1CN(Cc2cc(C)nc(C)c2)CC[C@H]1C ZINC001560762303 991589583 /nfs/dbraw/zinc/58/95/83/991589583.db2.gz UWROLTPDSFPAHZ-ABAIWWIYSA-N 0 3 248.370 2.555 20 0 BFADHN CCCCC[C@H](CCC)n1nncc1CN ZINC001258664414 991601371 /nfs/dbraw/zinc/60/13/71/991601371.db2.gz JTHFACMKDVEWLL-NSHDSACASA-N 0 3 224.352 2.658 20 0 BFADHN Cc1ccc2n[nH]c(CN3CCC[C@H]4C[C@H]43)c2c1 ZINC001140333833 991609194 /nfs/dbraw/zinc/60/91/94/991609194.db2.gz SGPLSFBNGKNRSB-XHDPSFHLSA-N 0 3 241.338 2.856 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cn(C2CC2)cn1 ZINC001560815187 991611466 /nfs/dbraw/zinc/61/14/66/991611466.db2.gz SKDKHKYNEJFCBU-YPMHNXCESA-N 0 3 233.359 2.981 20 0 BFADHN CCCCC[C@@H](CCC)N1CCNC(=O)[C@H]1C ZINC001258672455 991614328 /nfs/dbraw/zinc/61/43/28/991614328.db2.gz YGEXGKIKSHTCQW-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@@H]1C[C@H](N2CC3(CCO3)C2)CC(C)(C)C1 ZINC001258700959 991634262 /nfs/dbraw/zinc/63/42/62/991634262.db2.gz PVHDSPNVXGNTHP-NEPJUHHUSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@H]1C[C@@H](N2Cc3cnn(C)c3C2)CC(C)(C)C1 ZINC001258700445 991634877 /nfs/dbraw/zinc/63/48/77/991634877.db2.gz MQJYSZVSKSNWOY-WCQYABFASA-N 0 3 247.386 2.951 20 0 BFADHN C[C@H]1C[C@@H](O)CN(C/C=C/c2cccc(F)c2)C1 ZINC001560889365 991641040 /nfs/dbraw/zinc/64/10/40/991641040.db2.gz ORGWHODQRIFMHZ-VAIBOMDZSA-N 0 3 249.329 2.542 20 0 BFADHN CCn1ccc(CN2CC[C@@H]3CCCC[C@@H]32)n1 ZINC001560904413 991644991 /nfs/dbraw/zinc/64/49/91/991644991.db2.gz WYDARZGXPXDQBK-JSGCOSHPSA-N 0 3 233.359 2.668 20 0 BFADHN C[C@@H](c1cccnc1)N1CCN(C(C)(C)C)CC1 ZINC001318467825 991660307 /nfs/dbraw/zinc/66/03/07/991660307.db2.gz PXIGISNHFFJDHE-ZDUSSCGKSA-N 0 3 247.386 2.559 20 0 BFADHN CC(C)OC1CN(C[C@H]2CCCC[C@H]2C)C1 ZINC001560994570 991681608 /nfs/dbraw/zinc/68/16/08/991681608.db2.gz KZWCRNVXDZSONS-CHWSQXEVSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@H](Cc1cccc(Cl)c1)n1cnc(CN)c1 ZINC001258738969 991687896 /nfs/dbraw/zinc/68/78/96/991687896.db2.gz MHDJBQNBQDKWQM-SNVBAGLBSA-N 0 3 249.745 2.799 20 0 BFADHN CCCC[C@@H](CCC)N1CCN(C)C(=O)[C@H]1C ZINC001258763775 991716909 /nfs/dbraw/zinc/71/69/09/991716909.db2.gz CCHREJKOHTWNKB-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H](C)C[C@H](CC)n1ccc(CN)n1 ZINC001258780886 991748547 /nfs/dbraw/zinc/74/85/47/991748547.db2.gz RQNWOLOKPXWMMK-JQWIXIFHSA-N 0 3 209.337 2.729 20 0 BFADHN CC[C@H](C[C@@H](C)CC)n1ccc(CN)n1 ZINC001258780885 991749537 /nfs/dbraw/zinc/74/95/37/991749537.db2.gz RQNWOLOKPXWMMK-CMPLNLGQSA-N 0 3 209.337 2.729 20 0 BFADHN CC[C@H](C)C[C@H](CC)N1CC(N2CC(F)C2)C1 ZINC001258788299 991770546 /nfs/dbraw/zinc/77/05/46/991770546.db2.gz MFERELUHLUICBI-AAEUAGOBSA-N 0 3 242.382 2.539 20 0 BFADHN CC[C@H](C[C@@H](C)CC)n1cnc2c1CNCC2 ZINC001258793060 991780524 /nfs/dbraw/zinc/78/05/24/991780524.db2.gz ZYWJXLBJUZEYRN-NWDGAFQWSA-N 0 3 235.375 2.916 20 0 BFADHN CC(C)CC(CC(C)C)n1cncc1CN ZINC001258831919 991825220 /nfs/dbraw/zinc/82/52/20/991825220.db2.gz VLRRYLNTRUXWPG-UHFFFAOYSA-N 0 3 223.364 2.975 20 0 BFADHN C[C@H]1CCC[C@@H](Nc2ccc(CN)nc2)C1 ZINC001258813874 991825692 /nfs/dbraw/zinc/82/56/92/991825692.db2.gz IDCYICOWMYBROB-WDEREUQCSA-N 0 3 219.332 2.531 20 0 BFADHN C[C@H]1CCC[C@@H](NC2(c3cnccn3)CC2)C1 ZINC001258815076 991828741 /nfs/dbraw/zinc/82/87/41/991828741.db2.gz YTGPSPKLKAGMNB-NWDGAFQWSA-N 0 3 231.343 2.634 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1CC(=O)OC(C)C ZINC001319320804 991838305 /nfs/dbraw/zinc/83/83/05/991838305.db2.gz NOINDGOVGSZNJA-OLZOCXBDSA-N 0 3 241.375 2.839 20 0 BFADHN Cc1cnc2ccccc2c1N(C)CC[C@@H](C)O ZINC001319314030 991838919 /nfs/dbraw/zinc/83/89/19/991838919.db2.gz LXSVTKURNHMYGX-GFCCVEGCSA-N 0 3 244.338 2.750 20 0 BFADHN CC(=O)OC1CN(C(CC(C)C)CC(C)C)C1 ZINC001258834482 991866844 /nfs/dbraw/zinc/86/68/44/991866844.db2.gz CBEIWZPMZXFFCE-UHFFFAOYSA-N 0 3 241.375 2.695 20 0 BFADHN COC(=O)[C@@H]1CCN1C(CC(C)C)CC(C)C ZINC001258834024 991867983 /nfs/dbraw/zinc/86/79/83/991867983.db2.gz RRAJBWDKSUCMKS-ZDUSSCGKSA-N 0 3 241.375 2.695 20 0 BFADHN CC[C@@H](Cc1ccccc1)n1cnc(CN)c1 ZINC001258837098 991868937 /nfs/dbraw/zinc/86/89/37/991868937.db2.gz GMLRHQNANJMBCK-AWEZNQCLSA-N 0 3 229.327 2.536 20 0 BFADHN CC[C@H](Cc1ccccc1)n1ccc(CN)n1 ZINC001258838050 991870528 /nfs/dbraw/zinc/87/05/28/991870528.db2.gz LAWWINOWDFDGLX-CQSZACIVSA-N 0 3 229.327 2.536 20 0 BFADHN CC[C@H](Cc1ccccc1)n1nc(CN)cc1C ZINC001258838336 991872672 /nfs/dbraw/zinc/87/26/72/991872672.db2.gz UZJMUEZMTPGXEU-OAHLLOKOSA-N 0 3 243.354 2.844 20 0 BFADHN CC[C@@H](Cc1ccccc1)n1nc(CN)cc1C ZINC001258838335 991873153 /nfs/dbraw/zinc/87/31/53/991873153.db2.gz UZJMUEZMTPGXEU-HNNXBMFYSA-N 0 3 243.354 2.844 20 0 BFADHN CC[C@@H](Cc1ccccc1)N1CCOC2(CC2)C1 ZINC001258852539 991883545 /nfs/dbraw/zinc/88/35/45/991883545.db2.gz OISDDTASRLYOJI-HNNXBMFYSA-N 0 3 245.366 2.873 20 0 BFADHN C[C@@H](CN(C)CCc1ccncc1)C(F)(F)F ZINC000354876586 991900646 /nfs/dbraw/zinc/90/06/46/991900646.db2.gz HHTRRXGRVWYKAG-JTQLQIEISA-N 0 3 246.276 2.754 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)C(C)(C)C)[nH]n1 ZINC001319695028 991939049 /nfs/dbraw/zinc/93/90/49/991939049.db2.gz MCGJJRQVHRUDMJ-JTQLQIEISA-N 0 3 209.337 2.585 20 0 BFADHN CS[C@@H]1CC[C@H](N(C)Cc2cnoc2C)C1 ZINC001320550792 992242960 /nfs/dbraw/zinc/24/29/60/992242960.db2.gz KVKNTTVZPRGSPE-NWDGAFQWSA-N 0 3 240.372 2.699 20 0 BFADHN CS[C@H]1CC[C@H](N(C)Cc2cnoc2C)C1 ZINC001320550793 992244529 /nfs/dbraw/zinc/24/45/29/992244529.db2.gz KVKNTTVZPRGSPE-RYUDHWBXSA-N 0 3 240.372 2.699 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@@H]3CCCC[C@H]3C2)n[nH]1 ZINC001261359463 992268767 /nfs/dbraw/zinc/26/87/67/992268767.db2.gz FUIVDVAUKBKBEI-DRZSPHRISA-N 0 3 248.374 2.686 20 0 BFADHN COC[C@@H]1CCCN1Cc1ccc(C(C)=O)cc1 ZINC001261786538 992510710 /nfs/dbraw/zinc/51/07/10/992510710.db2.gz JRVMBTRGWNVQEC-HNNXBMFYSA-N 0 3 247.338 2.500 20 0 BFADHN CS[C@@H]1CC[C@H](N(C)Cc2cnc(C)o2)C1 ZINC001261828496 992528289 /nfs/dbraw/zinc/52/82/89/992528289.db2.gz MHFPHBRMHUZHFE-CMPLNLGQSA-N 0 3 240.372 2.699 20 0 BFADHN CS[C@H]1CC[C@@H](N(C)Cc2cnc(C)o2)C1 ZINC001261828498 992528777 /nfs/dbraw/zinc/52/87/77/992528777.db2.gz MHFPHBRMHUZHFE-PWSUYJOCSA-N 0 3 240.372 2.699 20 0 BFADHN CC1CCC(c2noc([C@@H]3NC[C@@H]4C[C@@H]43)n2)CC1 ZINC001261837115 992532990 /nfs/dbraw/zinc/53/29/90/992532990.db2.gz UPXBXSUAERKTQD-VJROTNAKSA-N 0 3 247.342 2.644 20 0 BFADHN F[C@H]1CCC[C@H](NCc2cn(C3CC3)cn2)C1 ZINC001261850285 992543943 /nfs/dbraw/zinc/54/39/43/992543943.db2.gz GKIZMAGEFUKMJS-QWRGUYRKSA-N 0 3 237.322 2.588 20 0 BFADHN CC(C)(C)[C@H](N)C(=O)N(c1ccccc1)C1CC1 ZINC001573408526 992613665 /nfs/dbraw/zinc/61/36/65/992613665.db2.gz ZWLILRHJFRKJRX-CYBMUJFWSA-N 0 3 246.354 2.555 20 0 BFADHN CC(C)C[C@H](N)C(=O)N(c1ccccc1)C(C)C ZINC001573502921 992623246 /nfs/dbraw/zinc/62/32/46/992623246.db2.gz QRLLPNXOJOMQOW-AWEZNQCLSA-N 0 3 248.370 2.801 20 0 BFADHN CC[C@H](C)N(C(=O)[C@@H](N)C(C)(C)C)[C@@H](C)CC ZINC001573679775 992672435 /nfs/dbraw/zinc/67/24/35/992672435.db2.gz XBGFIRYMACKLKW-SDDRHHMPSA-N 0 3 242.407 2.785 20 0 BFADHN Cc1coc(CN(C)CCCOC(C)C)c1 ZINC001262108926 992675995 /nfs/dbraw/zinc/67/59/95/992675995.db2.gz CYAGIDANIMRLJR-UHFFFAOYSA-N 0 3 225.332 2.835 20 0 BFADHN CC[C@@H](C)N(C(=O)[C@H](N)C(C)(C)C)[C@@H](C)CC ZINC001573679777 992678106 /nfs/dbraw/zinc/67/81/06/992678106.db2.gz XBGFIRYMACKLKW-TUAOUCFPSA-N 0 3 242.407 2.785 20 0 BFADHN CO[C@H]1CN(Cc2cc(C)cc(C)n2)CC[C@H]1C ZINC001262270924 992829117 /nfs/dbraw/zinc/82/91/17/992829117.db2.gz KHTJZEBYYRMASY-DOMZBBRYSA-N 0 3 248.370 2.555 20 0 BFADHN CCn1cc(CN(C)CCCC(F)(F)F)cn1 ZINC001262353777 992856037 /nfs/dbraw/zinc/85/60/37/992856037.db2.gz LLNSNOCXXQUHCX-UHFFFAOYSA-N 0 3 249.280 2.677 20 0 BFADHN Clc1cccc(CN[C@@H]2CCCSC2)n1 ZINC000380175737 993320054 /nfs/dbraw/zinc/32/00/54/993320054.db2.gz JSSOYJHRXMQSBP-SNVBAGLBSA-N 0 3 242.775 2.720 20 0 BFADHN CCCn1cncc1CN[C@@H]1CCC(F)(F)C1 ZINC000383982867 993530873 /nfs/dbraw/zinc/53/08/73/993530873.db2.gz KJAKJFUZLBJAHZ-SNVBAGLBSA-N 0 3 243.301 2.571 20 0 BFADHN CN(C)CCC(=O)c1ccc(OC2CCC2)cc1 ZINC000385451042 993659435 /nfs/dbraw/zinc/65/94/35/993659435.db2.gz JKCASAVPCFDWEX-UHFFFAOYSA-N 0 3 247.338 2.752 20 0 BFADHN Cc1nc(CN2C3CCCC2CCC3)c[nH]1 ZINC000724786117 994078465 /nfs/dbraw/zinc/07/84/65/994078465.db2.gz QORMZOGCUNXBNL-UHFFFAOYSA-N 0 3 219.332 2.625 20 0 BFADHN CC(C)[C@@H]1CCCCCN1Cc1ncccn1 ZINC001307619790 995418504 /nfs/dbraw/zinc/41/85/04/995418504.db2.gz ASJCVNLJSUMMKZ-ZDUSSCGKSA-N 0 3 233.359 2.877 20 0 BFADHN COc1nsc(CNC[C@H]2C[C@@H]2C)c1Cl ZINC001308200100 995597557 /nfs/dbraw/zinc/59/75/57/995597557.db2.gz CGHSWUVNFQIQND-NKWVEPMBSA-N 0 3 246.763 2.551 20 0 BFADHN Fc1ccc2c(c1)[C@H](NC[C@@H]1CCCCO1)CC2 ZINC000267053285 538914265 /nfs/dbraw/zinc/91/42/65/538914265.db2.gz FPRVESACHYXVFF-DZGCQCFKSA-N 0 3 249.329 2.972 20 0 BFADHN CCCC[C@H](C)N[C@H](CCO)c1ccco1 ZINC000186531202 349455653 /nfs/dbraw/zinc/45/56/53/349455653.db2.gz PWCFHMHLBSJHRA-NWDGAFQWSA-N 0 3 225.332 2.871 20 0 BFADHN CC[C@@H](C)CNCc1cc(C(F)(F)F)n[nH]1 ZINC000421372963 192321812 /nfs/dbraw/zinc/32/18/12/192321812.db2.gz GIMOQZKFFKIDEJ-SSDOTTSWSA-N 0 3 235.253 2.564 20 0 BFADHN CC(C)[C@@H]1C[C@@H](NCc2ccoc2)CCO1 ZINC000161530413 349460916 /nfs/dbraw/zinc/46/09/16/349460916.db2.gz YLOVROXLPLUIPU-STQMWFEESA-N 0 3 223.316 2.573 20 0 BFADHN FC(F)[C@H]1C[C@@H]1N[C@@H]1CCc2ccccc21 ZINC000378689805 346809991 /nfs/dbraw/zinc/80/99/91/346809991.db2.gz HRDDZELSGSCFIQ-TUAOUCFPSA-N 0 3 223.266 2.917 20 0 BFADHN Cc1cnc(CNC[C@@H]2C[C@H]3C[C@H]3C2)s1 ZINC000638633900 398144797 /nfs/dbraw/zinc/14/47/97/398144797.db2.gz IUTHNDZIRYRJGJ-JGPRNRPPSA-N 0 3 222.357 2.587 20 0 BFADHN CCC(CC)(CNCc1scnc1C)OC ZINC000291663557 168325532 /nfs/dbraw/zinc/32/55/32/168325532.db2.gz FJVKLRBAYVUYCO-UHFFFAOYSA-N 0 3 242.388 2.746 20 0 BFADHN COCCCN(C)Cc1ccc(Cl)cc1 ZINC000051453680 349488026 /nfs/dbraw/zinc/48/80/26/349488026.db2.gz WDIJLTWYKTWPKX-UHFFFAOYSA-N 0 3 227.735 2.808 20 0 BFADHN C[C@@H](CF)N[C@@H](C)Cc1ccsc1 ZINC000379409030 398145376 /nfs/dbraw/zinc/14/53/76/398145376.db2.gz CAGCFWADWQNSSH-IUCAKERBSA-N 0 3 201.310 2.627 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@]2(CCOC2)C1 ZINC000153673446 136212981 /nfs/dbraw/zinc/21/29/81/136212981.db2.gz WLPCJRKBGXNTOI-DOMZBBRYSA-N 0 3 249.329 2.999 20 0 BFADHN CCC(CC)(CO)CN[C@H](C)c1ccco1 ZINC000088175759 168327907 /nfs/dbraw/zinc/32/79/07/168327907.db2.gz OMPFSOKEGNQYKN-LLVKDONJSA-N 0 3 225.332 2.729 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCC1)c1cscn1 ZINC000161987384 538920180 /nfs/dbraw/zinc/92/01/80/538920180.db2.gz GGTWZVDVMMNRRH-DTWKUNHWSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@@H](CCO)N(C)Cc1ccc(Cl)s1 ZINC000649866224 398148711 /nfs/dbraw/zinc/14/87/11/398148711.db2.gz NMCLWZUJTNZPHA-QMMMGPOBSA-N 0 3 233.764 2.604 20 0 BFADHN CC(C)C[C@H](C)CN1C[C@@H](C)OC[C@H]1C ZINC000337127447 187324120 /nfs/dbraw/zinc/32/41/20/187324120.db2.gz HFCMQBSJCXKWKW-YNEHKIRRSA-N 0 3 213.365 2.778 20 0 BFADHN CC(C)C[C@H](C)CN(C)Cc1cnn(C)c1 ZINC000337125608 187324168 /nfs/dbraw/zinc/32/41/68/187324168.db2.gz FDTMDALCIJHHGQ-LBPRGKRZSA-N 0 3 223.364 2.534 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1C/C=C\c1ccc(F)cc1 ZINC000421375957 192322829 /nfs/dbraw/zinc/32/28/29/192322829.db2.gz POMBDUOIBCHFDG-QADGWQRPSA-N 0 3 249.329 2.684 20 0 BFADHN Cc1cc(CN2C[C@H](C)CCC[C@@H]2C)no1 ZINC000125329064 178007713 /nfs/dbraw/zinc/00/77/13/178007713.db2.gz LMWGNTVEVPNUFY-MNOVXSKESA-N 0 3 222.332 2.994 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1C[C@H](C)[C@@H]1C ZINC000390102243 398156242 /nfs/dbraw/zinc/15/62/42/398156242.db2.gz ALOVIZFHVFWEFG-PHGLEFOZSA-N 0 3 222.332 2.987 20 0 BFADHN Cc1cc(CNC2CC(F)(F)C2)cc(C)c1O ZINC000289900886 178019372 /nfs/dbraw/zinc/01/93/72/178019372.db2.gz XMEINLZVGMRDCT-UHFFFAOYSA-N 0 3 241.281 2.896 20 0 BFADHN Cc1cc(CNCC2CCCCC2)on1 ZINC000044301073 178019949 /nfs/dbraw/zinc/01/99/49/178019949.db2.gz MQBWBTFLZCTLSE-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN Cc1cc(CNC[C@@H](O)CC(C)(C)C)cs1 ZINC000294686827 178023042 /nfs/dbraw/zinc/02/30/42/178023042.db2.gz ADXWAGYWVCFQAR-LBPRGKRZSA-N 0 3 241.400 2.943 20 0 BFADHN Cc1cc(CNCCc2ccco2)cs1 ZINC000308813616 178023114 /nfs/dbraw/zinc/02/31/14/178023114.db2.gz DLPYGXSUTWGDIJ-UHFFFAOYSA-N 0 3 221.325 2.982 20 0 BFADHN Cc1cc(CNC[C@@]2(C)CCCO2)cs1 ZINC000336675134 178024249 /nfs/dbraw/zinc/02/42/49/178024249.db2.gz HHUBMDZZNMHYAV-GFCCVEGCSA-N 0 3 225.357 2.715 20 0 BFADHN CC(C)CCN1CCOC[C@H]1CC1CCC1 ZINC000339766510 398168489 /nfs/dbraw/zinc/16/84/89/398168489.db2.gz BQDHVWIAJQAZNK-CQSZACIVSA-N 0 3 225.376 2.924 20 0 BFADHN CSCCCN1CCOC[C@@H]1CC1CCC1 ZINC000339766489 398168618 /nfs/dbraw/zinc/16/86/18/398168618.db2.gz BBWVHYLELHJNDB-ZDUSSCGKSA-N 0 3 243.416 2.631 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@H]1CCCc2sccc21 ZINC000245697209 398169983 /nfs/dbraw/zinc/16/99/83/398169983.db2.gz MUQSDPPULPIQSC-DLOVCJGASA-N 0 3 237.368 2.893 20 0 BFADHN Cc1cn[nH]c1CNCc1ccc(Cl)c(C)c1 ZINC000289434353 178028430 /nfs/dbraw/zinc/02/84/30/178028430.db2.gz FESXEGOKACAHRN-UHFFFAOYSA-N 0 3 249.745 2.970 20 0 BFADHN Cc1cc(CN[C@@H]2CCCCC2(C)C)ncn1 ZINC000271451546 178033319 /nfs/dbraw/zinc/03/33/19/178033319.db2.gz GEEHBPFSJASNGM-CYBMUJFWSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H]3C[C@]32C)on1 ZINC000336723065 178033792 /nfs/dbraw/zinc/03/37/92/178033792.db2.gz DTECMDRPLXEVIY-CYZMBNFOSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H]2C(C)(C)C)on1 ZINC000336739358 178035206 /nfs/dbraw/zinc/03/52/06/178035206.db2.gz PAMQSBAVTQHLEM-VXGBXAGGSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)ccc1F ZINC000153013186 178035287 /nfs/dbraw/zinc/03/52/87/178035287.db2.gz HVYMIXALGGJTBS-BNOWGMLFSA-N 0 3 235.302 2.544 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@]2(C)OC(C)C)cc1 ZINC000527797849 398173826 /nfs/dbraw/zinc/17/38/26/398173826.db2.gz DVPZOHYOUNQXOZ-CABCVRRESA-N 0 3 249.354 2.741 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@@H](C)C2)no1 ZINC000044692159 178039689 /nfs/dbraw/zinc/03/96/89/178039689.db2.gz LSCKOOOYNWTDDX-KOLCDFICSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CCCC2(C)C)no1 ZINC000086857140 178039841 /nfs/dbraw/zinc/03/98/41/178039841.db2.gz IULXODKUGPPVPO-NSHDSACASA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CCCC[C@@H]2C)no1 ZINC000044295890 178040065 /nfs/dbraw/zinc/04/00/65/178040065.db2.gz JSVNPUSDCRHMBJ-CABZTGNLSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1nnsc1CNC[C@@H](C)C(C)(C)C ZINC000380069404 398183477 /nfs/dbraw/zinc/18/34/77/398183477.db2.gz IMYHMDDSNDJDLM-MRVPVSSYSA-N 0 3 227.377 2.618 20 0 BFADHN Cc1csc(CN[C@@H]2C[C@H]2C2CCC2)n1 ZINC000424204967 398175549 /nfs/dbraw/zinc/17/55/49/398175549.db2.gz VVSRFCVRXSYEQI-WDEREUQCSA-N 0 3 222.357 2.730 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1C[C@H]1C1CCC1 ZINC000424290104 398179090 /nfs/dbraw/zinc/17/90/90/398179090.db2.gz KPTJOIGBCZEHNO-UBHSHLNASA-N 0 3 247.386 2.990 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@H]1C1CCC1)c1nccn1C ZINC000424291404 398180265 /nfs/dbraw/zinc/18/02/65/398180265.db2.gz LVDRUIGYNRMEID-IHRRRGAJSA-N 0 3 247.386 2.897 20 0 BFADHN C[C@@H](c1ccccn1)N1CC[C@]2(CC2(F)F)C1 ZINC000649907536 398180915 /nfs/dbraw/zinc/18/09/15/398180915.db2.gz UPYSKWBNBDCEPQ-JQWIXIFHSA-N 0 3 238.281 2.874 20 0 BFADHN Cc1ccncc1CN1CC[C@]2(CC2(F)F)C1 ZINC000649906725 398181988 /nfs/dbraw/zinc/18/19/88/398181988.db2.gz BDKCQJKHRLJYSL-LBPRGKRZSA-N 0 3 238.281 2.621 20 0 BFADHN CCC[C@H](C)NCc1cccc2c1OCO2 ZINC000036167579 398160210 /nfs/dbraw/zinc/16/02/10/398160210.db2.gz YHUAILHDBVTYMH-JTQLQIEISA-N 0 3 221.300 2.694 20 0 BFADHN Cc1cc(Cl)cc(CN(C)C2CC(O)C2)c1 ZINC000352894903 178063683 /nfs/dbraw/zinc/06/36/83/178063683.db2.gz APFYCCWVIHLKIT-UHFFFAOYSA-N 0 3 239.746 2.604 20 0 BFADHN Cc1ncsc1CN1CC[C@]2(CC2(F)F)C1 ZINC000649908359 398184015 /nfs/dbraw/zinc/18/40/15/398184015.db2.gz MRVJARKOERIKRO-JTQLQIEISA-N 0 3 244.310 2.683 20 0 BFADHN Cc1cc(Cl)ccc1CN1CC[C@](C)(O)C1 ZINC000185520320 178065829 /nfs/dbraw/zinc/06/58/29/178065829.db2.gz MYJUDQVLOJNWHY-ZDUSSCGKSA-N 0 3 239.746 2.605 20 0 BFADHN CC1(C)OCC[C@H]1N[C@@H]1CCCc2cccnc21 ZINC000385469529 398165817 /nfs/dbraw/zinc/16/58/17/398165817.db2.gz BWPFCBKQABOJMU-CHWSQXEVSA-N 0 3 246.354 2.616 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2cnc3ccccn23)C1 ZINC000533345525 398186271 /nfs/dbraw/zinc/18/62/71/398186271.db2.gz XTKQHRUHVMQKNY-CYBMUJFWSA-N 0 3 243.354 2.812 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1c(C)noc1C)OC ZINC000386195134 398199347 /nfs/dbraw/zinc/19/93/47/398199347.db2.gz ZXWRMISIQOBWMS-JOYOIKCWSA-N 0 3 240.347 2.757 20 0 BFADHN Cc1ncccc1CNCCOCC1CCCC1 ZINC000313291406 398188572 /nfs/dbraw/zinc/18/85/72/398188572.db2.gz GLNFJDSCBGQMOF-UHFFFAOYSA-N 0 3 248.370 2.686 20 0 BFADHN COCc1cccc(CNC(C)(C)CF)c1 ZINC000336688084 398191848 /nfs/dbraw/zinc/19/18/48/398191848.db2.gz JMACXZQKEVDZKM-UHFFFAOYSA-N 0 3 225.307 2.671 20 0 BFADHN Cc1cc(N(C)C(=O)C(C)C(F)(F)F)ccn1 ZINC000336406786 178093834 /nfs/dbraw/zinc/09/38/34/178093834.db2.gz GTWHFXSUSLBSMO-MRVPVSSYSA-N 0 3 246.232 2.551 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@H](C)O[C@H]2C)o1 ZINC000296866343 398194161 /nfs/dbraw/zinc/19/41/61/398194161.db2.gz ZGXVVYRHUSLZTD-FIQHERPVSA-N 0 3 223.316 2.805 20 0 BFADHN CC[C@]1(CO)CCCN(C/C=C(/C)Cl)C1 ZINC000528461423 398200023 /nfs/dbraw/zinc/20/00/23/398200023.db2.gz APOXNLIKSMSGMA-QJGQKNTRSA-N 0 3 231.767 2.614 20 0 BFADHN CC[C@H](F)CN[C@H](c1cccnc1)C1CC1 ZINC000336748486 398198524 /nfs/dbraw/zinc/19/85/24/398198524.db2.gz XXNFZDNQEDTJRK-STQMWFEESA-N 0 3 222.307 2.870 20 0 BFADHN CC[C@H](F)CN[C@@H](c1cccnc1)C1CC1 ZINC000336748484 398198579 /nfs/dbraw/zinc/19/85/79/398198579.db2.gz XXNFZDNQEDTJRK-QWHCGFSZSA-N 0 3 222.307 2.870 20 0 BFADHN CC[C@@H](O)CN[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000162395837 538922350 /nfs/dbraw/zinc/92/23/50/538922350.db2.gz QIKYKHSBGZLNNA-WCBMZHEXSA-N 0 3 245.725 2.901 20 0 BFADHN CCCCN[C@@H](c1cnn(C)c1)c1ccccc1 ZINC000272897618 538926520 /nfs/dbraw/zinc/92/65/20/538926520.db2.gz CFGBGLWNRNBOPR-OAHLLOKOSA-N 0 3 243.354 2.899 20 0 BFADHN Cc1cc(CN2CCC[C@@H](CCO)C2)cs1 ZINC000380612989 398203202 /nfs/dbraw/zinc/20/32/02/398203202.db2.gz YRRKBMMLUYTIAG-LBPRGKRZSA-N 0 3 239.384 2.651 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)nc1 ZINC000338363123 187327016 /nfs/dbraw/zinc/32/70/16/187327016.db2.gz OORHRIMVVQTNPX-UPJWGTAASA-N 0 3 248.370 2.957 20 0 BFADHN CCC[C@@H](CNCc1cscc1C)OC ZINC000386611096 398214050 /nfs/dbraw/zinc/21/40/50/398214050.db2.gz WQXQIHAVKFHRPQ-LBPRGKRZSA-N 0 3 227.373 2.961 20 0 BFADHN Cc1ccc2c(c1)CCN(C[C@H]1CCCO1)C2 ZINC000339122290 187328184 /nfs/dbraw/zinc/32/81/84/187328184.db2.gz JYXPRRNPFARAPZ-OAHLLOKOSA-N 0 3 231.339 2.532 20 0 BFADHN CO[C@H]1CCN([C@@H](C)c2ccncc2)CC1(C)C ZINC000650002792 398214944 /nfs/dbraw/zinc/21/49/44/398214944.db2.gz WQPSQCUHPDKAAE-JSGCOSHPSA-N 0 3 248.370 2.890 20 0 BFADHN COc1ccc(CNCC[C@@H](C)F)c(OC)c1 ZINC000339490507 187329234 /nfs/dbraw/zinc/32/92/34/187329234.db2.gz WXKUEFYMWKTMNN-SNVBAGLBSA-N 0 3 241.306 2.542 20 0 BFADHN CCO[C@H]1CCN(Cc2cc(C)ccn2)C[C@H]1C ZINC000649992155 398211073 /nfs/dbraw/zinc/21/10/73/398211073.db2.gz OXUCSBHKFNLUSC-HIFRSBDPSA-N 0 3 248.370 2.637 20 0 BFADHN CCO[C@@H]1CCN(Cc2cc(C)ccn2)C[C@@H]1C ZINC000649992152 398211188 /nfs/dbraw/zinc/21/11/88/398211188.db2.gz OXUCSBHKFNLUSC-DZGCQCFKSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cc(NC[C@@H](O)C(C)C)c2ccccc2n1 ZINC000125185007 178165816 /nfs/dbraw/zinc/16/58/16/178165816.db2.gz MEFNKUVSNFYFBD-OAHLLOKOSA-N 0 3 244.338 2.972 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H](C)c1ccc(C)o1 ZINC000186514710 192027844 /nfs/dbraw/zinc/02/78/44/192027844.db2.gz KLRRIUJTLSIVGM-GKUNOOHESA-N 0 3 223.316 2.566 20 0 BFADHN Cc1ccc([C@H](N[C@H]2C[C@@](C)(O)C2)C2CCC2)o1 ZINC000417402991 398219709 /nfs/dbraw/zinc/21/97/09/398219709.db2.gz NJQPXLBQNZIITC-YUELXQCFSA-N 0 3 249.354 2.932 20 0 BFADHN CCC[C@@H](O)CN[C@@H]1CCc2ccc(F)cc21 ZINC000163606102 538929809 /nfs/dbraw/zinc/92/98/09/538929809.db2.gz KBSQPADKHIJBSD-TZMCWYRMSA-N 0 3 237.318 2.564 20 0 BFADHN CCC(CC)CN(CC)C(=O)[C@H](N)[C@H](C)CC ZINC000036199869 168348666 /nfs/dbraw/zinc/34/86/66/168348666.db2.gz AMIHRENEHNFUSK-DGCLKSJQSA-N 0 3 242.407 2.645 20 0 BFADHN CC[C@H](C)NC(=O)CN(C)C1CCCCCC1 ZINC000053906677 488173333 /nfs/dbraw/zinc/17/33/33/488173333.db2.gz PRNBMTKZWHKKQP-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1ccc(CNCC[C@@H](C)F)c(F)c1 ZINC000631142147 343986685 /nfs/dbraw/zinc/98/66/85/343986685.db2.gz DJQWIHNNCHWPHV-SNVBAGLBSA-N 0 3 213.271 2.972 20 0 BFADHN CCC(CC)CN(CC)Cc1ccnn1C ZINC000119803116 168351076 /nfs/dbraw/zinc/35/10/76/168351076.db2.gz WNAWOJQDHDRLPO-UHFFFAOYSA-N 0 3 223.364 2.678 20 0 BFADHN Cc1cc(CNCc2ccccc2)cc(C)n1 ZINC000421384187 192326170 /nfs/dbraw/zinc/32/61/70/192326170.db2.gz OWPDGLCYALAIRO-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2cc(C)ccn2)CCO1 ZINC000421389812 192327421 /nfs/dbraw/zinc/32/74/21/192327421.db2.gz RLFQONIZZFDGGU-HZSPNIEDSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@@H](NCc1csc(COC)n1)C(C)C ZINC000164449042 361756098 /nfs/dbraw/zinc/75/60/98/361756098.db2.gz JIIQCQCENBOWHB-LLVKDONJSA-N 0 3 242.388 2.814 20 0 BFADHN CC[C@H](NCC(C)(C)CO)c1ccccc1F ZINC000164347942 538932529 /nfs/dbraw/zinc/93/25/29/538932529.db2.gz DRSWLZQKHRDPOP-ZDUSSCGKSA-N 0 3 239.334 2.885 20 0 BFADHN CCC(CC)CN1CC[C@@H](C)C[C@H]1C(=O)OC ZINC000361152887 168353167 /nfs/dbraw/zinc/35/31/67/168353167.db2.gz KHDLHQTUBNQEFU-YPMHNXCESA-N 0 3 241.375 2.696 20 0 BFADHN Cc1nc(C)c(CNC[C@H]2CC=CCC2)o1 ZINC000382179594 361769580 /nfs/dbraw/zinc/76/95/80/361769580.db2.gz HNPMMBAFYXJROG-LBPRGKRZSA-N 0 3 220.316 2.737 20 0 BFADHN CCc1ccc(CN[C@@H]2CCO[C@H](CC)C2)o1 ZINC000421395122 192329426 /nfs/dbraw/zinc/32/94/26/192329426.db2.gz YEEOYUJKROGCMI-DGCLKSJQSA-N 0 3 237.343 2.889 20 0 BFADHN COCCC1CCN(CCC(F)(F)F)CC1 ZINC000625631265 398233098 /nfs/dbraw/zinc/23/30/98/398233098.db2.gz UFSDVYANAUIFSS-UHFFFAOYSA-N 0 3 239.281 2.687 20 0 BFADHN C=Cn1cc(CN2CC[C@H](C3CCCC3)C2)cn1 ZINC000421398400 192329674 /nfs/dbraw/zinc/32/96/74/192329674.db2.gz ZBYLGEQOOCEOQU-HNNXBMFYSA-N 0 3 245.370 2.996 20 0 BFADHN C[C@@H](CN1CC[C@@]12CCOC2)c1ccc(F)cc1 ZINC000421404754 192330236 /nfs/dbraw/zinc/33/02/36/192330236.db2.gz QEBCJQYGNDHEMI-WFASDCNBSA-N 0 3 249.329 2.794 20 0 BFADHN Cc1cc([C@@H](C)NCC2=CCCOC2)c(C)o1 ZINC000126859748 178222597 /nfs/dbraw/zinc/22/25/97/178222597.db2.gz BWKWFPHTTIYWAP-LLVKDONJSA-N 0 3 235.327 2.894 20 0 BFADHN Cc1cc([C@@H](C)NCCC[C@@H](C)O)oc1C ZINC000282761683 178223874 /nfs/dbraw/zinc/22/38/74/178223874.db2.gz OIBQDBVPPNQDPH-GHMZBOCLSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@@H](C)NCCC[C@@H](C)CO)oc1C ZINC000282353284 178223974 /nfs/dbraw/zinc/22/39/74/178223974.db2.gz VVBWQPJDVXFKBA-ZYHUDNBSSA-N 0 3 239.359 2.956 20 0 BFADHN Cc1cc([C@@H](C)NCCC[C@H](C)O)c(C)o1 ZINC000228041357 178224677 /nfs/dbraw/zinc/22/46/77/178224677.db2.gz YDLIWBKRHBASHQ-GXSJLCMTSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@@H](C)NCCn2ccnc2)c(C)s1 ZINC000041119358 178226093 /nfs/dbraw/zinc/22/60/93/178226093.db2.gz NCWVQDSWPHFTRX-LLVKDONJSA-N 0 3 249.383 2.912 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](C)CCO)c(C)o1 ZINC000085128548 178226842 /nfs/dbraw/zinc/22/68/42/178226842.db2.gz IKNJSZYQDHYFAS-GXSJLCMTSA-N 0 3 225.332 2.566 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](O)C(C)C)oc1C ZINC000282093107 178227094 /nfs/dbraw/zinc/22/70/94/178227094.db2.gz IFIFJBJMKQGIOP-PWSUYJOCSA-N 0 3 225.332 2.564 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CCCSC2)no1 ZINC000290599388 178227431 /nfs/dbraw/zinc/22/74/31/178227431.db2.gz HDBIJOAKEPXSDW-MNOVXSKESA-N 0 3 240.372 2.777 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@](C)(O)C(C)C)oc1C ZINC000337517588 178227913 /nfs/dbraw/zinc/22/79/13/178227913.db2.gz MUBKWNAGGGFUOS-BXUZGUMPSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2CCCSC2)no1 ZINC000290599385 178229083 /nfs/dbraw/zinc/22/90/83/178229083.db2.gz HDBIJOAKEPXSDW-GHMZBOCLSA-N 0 3 240.372 2.777 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)Cn2cccn2)c(C)o1 ZINC000037155962 178231400 /nfs/dbraw/zinc/23/14/00/178231400.db2.gz SSXQXJIPTZIXNC-CMPLNLGQSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)C2CCCC2)nn1C ZINC000337518039 178231423 /nfs/dbraw/zinc/23/14/23/178231423.db2.gz NKLZKEOVHJIOEZ-NWDGAFQWSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)CCCO)c(C)o1 ZINC000087358909 178231688 /nfs/dbraw/zinc/23/16/88/178231688.db2.gz RQMNCGSABSWOOV-GXSJLCMTSA-N 0 3 225.332 2.708 20 0 BFADHN CCc1ccc(CNCCCC2(O)CCC2)o1 ZINC000421372217 192335056 /nfs/dbraw/zinc/33/50/56/192335056.db2.gz KVQLFLIJJZTHIP-UHFFFAOYSA-N 0 3 237.343 2.627 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCN(C3CC3)C2)c(C)o1 ZINC000044685597 178233055 /nfs/dbraw/zinc/23/30/55/178233055.db2.gz DZCZYPFPWHXESS-YPMHNXCESA-N 0 3 248.370 2.784 20 0 BFADHN Cc1cnc(CN(C)[C@H]2CCC[C@@H](C)C2)cn1 ZINC000334494417 136226120 /nfs/dbraw/zinc/22/61/20/136226120.db2.gz RTTZPTGDXGUHAF-RISCZKNCSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cc([C@@H]2CCCN2CC2CCC2)on1 ZINC000336567368 178241406 /nfs/dbraw/zinc/24/14/06/178241406.db2.gz IIGHVNXKCMIEBY-LBPRGKRZSA-N 0 3 220.316 2.920 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCOC[C@H]2C)oc1C ZINC000282652521 178253263 /nfs/dbraw/zinc/25/32/63/178253263.db2.gz IDBVYIKXNDJCRY-NTZNESFSSA-N 0 3 237.343 2.972 20 0 BFADHN Cc1cc([C@H](C)NC2CCCCC2)no1 ZINC000279692295 178245703 /nfs/dbraw/zinc/24/57/03/178245703.db2.gz UPCXNVYVBPOLBJ-JTQLQIEISA-N 0 3 208.305 2.966 20 0 BFADHN Cc1cc([C@H](C)NCCCn2cccn2)c(C)o1 ZINC000126277373 178246337 /nfs/dbraw/zinc/24/63/37/178246337.db2.gz OFSYBNCHKBTYLP-LBPRGKRZSA-N 0 3 247.342 2.834 20 0 BFADHN Cc1cc([C@H](C)NCCC2(O)CCC2)oc1C ZINC000284265109 178246965 /nfs/dbraw/zinc/24/69/65/178246965.db2.gz GPFIMRWSMDQCHX-NSHDSACASA-N 0 3 237.343 2.852 20 0 BFADHN Cc1cc([C@H](C)NCCC[C@H](C)O)oc1C ZINC000282761700 178247012 /nfs/dbraw/zinc/24/70/12/178247012.db2.gz OIBQDBVPPNQDPH-QWRGUYRKSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@H](C)NCCN2CC=CCC2)c(C)o1 ZINC000280850521 178247422 /nfs/dbraw/zinc/24/74/22/178247422.db2.gz BMVYAPSQFDOOIM-ZDUSSCGKSA-N 0 3 248.370 2.809 20 0 BFADHN Cc1cc([C@H](C)NCCC[C@@H](C)CO)oc1C ZINC000282353280 178247571 /nfs/dbraw/zinc/24/75/71/178247571.db2.gz VVBWQPJDVXFKBA-PWSUYJOCSA-N 0 3 239.359 2.956 20 0 BFADHN Cc1cc([C@H](C)NCC2(C3CC3)CC2)nn1C ZINC000337494743 178247627 /nfs/dbraw/zinc/24/76/27/178247627.db2.gz XUNOWAUUYIVHSL-NSHDSACASA-N 0 3 233.359 2.569 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CCCN2C)oc1C ZINC000337517998 178250175 /nfs/dbraw/zinc/25/01/75/178250175.db2.gz NHFPTTMRUYDNLJ-WCQYABFASA-N 0 3 236.359 2.641 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)C2CCCC2)nn1C ZINC000337518040 178251455 /nfs/dbraw/zinc/25/14/55/178251455.db2.gz NKLZKEOVHJIOEZ-RYUDHWBXSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)Cn2cccn2)c(C)o1 ZINC000037155964 178252384 /nfs/dbraw/zinc/25/23/84/178252384.db2.gz SSXQXJIPTZIXNC-JQWIXIFHSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)[C@@H]2CCOC2)oc1C ZINC000282208275 178254973 /nfs/dbraw/zinc/25/49/73/178254973.db2.gz OHKQTDJVFNLQOT-NTZNESFSSA-N 0 3 237.343 2.972 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCC[C@@H](C)C2)nn1C ZINC000337490323 178257218 /nfs/dbraw/zinc/25/72/18/178257218.db2.gz VQVJDHDJMKYNIH-WXHSDQCUSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCCC[C@@H]2O)c(C)o1 ZINC000044730420 178258120 /nfs/dbraw/zinc/25/81/20/178258120.db2.gz VLLQRUWKUUHPEI-BPNCWPANSA-N 0 3 237.343 2.851 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCOC[C@H]2C)oc1C ZINC000282652519 178258480 /nfs/dbraw/zinc/25/84/80/178258480.db2.gz IDBVYIKXNDJCRY-MDZLAQPJSA-N 0 3 237.343 2.972 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@@H](O)C2(C)C)cs1 ZINC000294100873 178260173 /nfs/dbraw/zinc/26/01/73/178260173.db2.gz BXLGSQNTFKXKBE-ZMLRMANQSA-N 0 3 239.384 2.867 20 0 BFADHN Cc1ccncc1CN(C)CCCOC(C)C ZINC000269537007 187333922 /nfs/dbraw/zinc/33/39/22/187333922.db2.gz ASROJHOCINAFGA-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN CC[C@H](NC[C@@H](C)CO)c1cc(F)ccc1F ZINC000268808734 187333987 /nfs/dbraw/zinc/33/39/87/187333987.db2.gz DESXVQDSYBTXQV-RNCFNFMXSA-N 0 3 243.297 2.634 20 0 BFADHN CC(C)=CCN(CCCO)Cc1ccccc1 ZINC000268989599 187334066 /nfs/dbraw/zinc/33/40/66/187334066.db2.gz RNEBGPCDBIZGEB-UHFFFAOYSA-N 0 3 233.355 2.837 20 0 BFADHN C[C@H](NCC1(C)OCCCO1)c1ccsc1 ZINC000190653602 136227515 /nfs/dbraw/zinc/22/75/15/136227515.db2.gz KIVPLJBFBSJKGC-JTQLQIEISA-N 0 3 241.356 2.552 20 0 BFADHN C[C@@H](CC(F)(F)F)NCc1cccnc1 ZINC000151102939 398238663 /nfs/dbraw/zinc/23/86/63/398238663.db2.gz JEDCHADXQCJCHC-QMMMGPOBSA-N 0 3 218.222 2.512 20 0 BFADHN COc1nscc1-c1cccc(CN(C)C)c1 ZINC000649436954 398239033 /nfs/dbraw/zinc/23/90/33/398239033.db2.gz XBEAYMBPRSIKCA-UHFFFAOYSA-N 0 3 248.351 2.880 20 0 BFADHN CCC(CC)CN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000345345119 168362552 /nfs/dbraw/zinc/36/25/52/168362552.db2.gz BUZOHRTYTBOYKG-ZYHUDNBSSA-N 0 3 221.348 2.915 20 0 BFADHN C[C@@]1(O)CCCN(Cc2ccc(F)cc2)CC1 ZINC000230377037 136228254 /nfs/dbraw/zinc/22/82/54/136228254.db2.gz KOCDIRLXNVVQQW-CQSZACIVSA-N 0 3 237.318 2.563 20 0 BFADHN COc1ncc(CN2CC[C@H]3CCC[C@H]32)s1 ZINC000639095898 398243244 /nfs/dbraw/zinc/24/32/44/398243244.db2.gz VHMQRXXIUNQFMK-MWLCHTKSSA-N 0 3 238.356 2.526 20 0 BFADHN CCC(CC)CN[C@H]1CCCc2c[nH]nc21 ZINC000310037413 168364126 /nfs/dbraw/zinc/36/41/26/168364126.db2.gz VCGVTNSZHSSMGZ-LBPRGKRZSA-N 0 3 221.348 2.813 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1cccs1)c1ncc[nH]1 ZINC000285640405 538941977 /nfs/dbraw/zinc/94/19/77/538941977.db2.gz LSXFFDXDPULOFF-VHSXEESVSA-N 0 3 235.356 2.753 20 0 BFADHN C[C@H](N[C@H]1C[C@H]1Cc1ccccc1)c1ncc[nH]1 ZINC000286174741 538943128 /nfs/dbraw/zinc/94/31/28/538943128.db2.gz QBDOEQIDUACGCN-YUTCNCBUSA-N 0 3 241.338 2.692 20 0 BFADHN C[C@H](NC1(Cc2ccccc2)CC1)c1ncc[nH]1 ZINC000286176999 538943421 /nfs/dbraw/zinc/94/34/21/538943421.db2.gz QEKQZQUZQXZLAN-LBPRGKRZSA-N 0 3 241.338 2.836 20 0 BFADHN C[C@@H](NCC1(C)CCCC1)c1ncc[nH]1 ZINC000286277415 538943620 /nfs/dbraw/zinc/94/36/20/538943620.db2.gz VOXNTZGVKZEDFS-SNVBAGLBSA-N 0 3 207.321 2.641 20 0 BFADHN CCOC1CC(N[C@@H](C)c2ccsc2)C1 ZINC000169197361 538946760 /nfs/dbraw/zinc/94/67/60/538946760.db2.gz MXTZWEOWDOFABR-GCVQQVDUSA-N 0 3 225.357 2.966 20 0 BFADHN C[C@@H](NCC(C)(C)O)c1ccc(F)c(Cl)c1 ZINC000078515023 398259026 /nfs/dbraw/zinc/25/90/26/398259026.db2.gz BFIUWHPZNMMUKC-MRVPVSSYSA-N 0 3 245.725 2.901 20 0 BFADHN CC(C)(C)NCc1nccn1-c1ccccc1 ZINC000190737157 398255711 /nfs/dbraw/zinc/25/57/11/398255711.db2.gz FHJYIKNMAGRVMX-UHFFFAOYSA-N 0 3 229.327 2.760 20 0 BFADHN C[C@@H]1C[C@@H](NCc2nn(C)c3ccccc23)[C@@H]1C ZINC000385961189 398262823 /nfs/dbraw/zinc/26/28/23/398262823.db2.gz NXGGBKRSDPAMPV-NQBHXWOUSA-N 0 3 243.354 2.707 20 0 BFADHN COC(=O)c1csc(CN(C)C2CCC2)c1 ZINC000602474435 349562048 /nfs/dbraw/zinc/56/20/48/349562048.db2.gz OIYQDOBKNHPUDX-UHFFFAOYSA-N 0 3 239.340 2.519 20 0 BFADHN CCC(CC)N(CC)Cc1n[nH]cc1C ZINC000356316326 168372641 /nfs/dbraw/zinc/37/26/41/168372641.db2.gz JMKREVNGFQFCDW-UHFFFAOYSA-N 0 3 209.337 2.729 20 0 BFADHN C[C@H](CN[C@H]1CCn2ccnc21)c1ccccc1 ZINC000345341232 136232825 /nfs/dbraw/zinc/23/28/25/136232825.db2.gz DFWYYXAINOZWCS-OCCSQVGLSA-N 0 3 241.338 2.721 20 0 BFADHN Cc1cc(CN2C[C@H](C)CCC[C@H]2C)n(C)n1 ZINC000417780786 398267534 /nfs/dbraw/zinc/26/75/34/398267534.db2.gz WBVGUSHAEDSTNP-DGCLKSJQSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CCC[C@H]2C)n(C)n1 ZINC000417780787 398267729 /nfs/dbraw/zinc/26/77/29/398267729.db2.gz WBVGUSHAEDSTNP-WCQYABFASA-N 0 3 235.375 2.739 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCC2(F)F)cn1 ZINC000381664735 398269152 /nfs/dbraw/zinc/26/91/52/398269152.db2.gz KGXILJSETHRJTP-GFCCVEGCSA-N 0 3 240.297 2.915 20 0 BFADHN C[C@H](CN1CCOC[C@H]1C)C1CCCCC1 ZINC000559187735 398269396 /nfs/dbraw/zinc/26/93/96/398269396.db2.gz PVLXEFGDHNYKEO-CHWSQXEVSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C1CC1)c1cscn1 ZINC000378768201 346827301 /nfs/dbraw/zinc/82/73/01/346827301.db2.gz ZCMXQWSDRASCHJ-LSJOCFKGSA-N 0 3 222.357 2.840 20 0 BFADHN CN(C)CCSc1ccc2c(c1)CCC2 ZINC000178758914 538954173 /nfs/dbraw/zinc/95/41/73/538954173.db2.gz PHEVWYJWWZLGMK-UHFFFAOYSA-N 0 3 221.369 2.829 20 0 BFADHN CCOC[C@H]1CCN(Cc2ccccc2F)C1 ZINC000081519829 398268527 /nfs/dbraw/zinc/26/85/27/398268527.db2.gz RQECBLKYVBBODK-LBPRGKRZSA-N 0 3 237.318 2.684 20 0 BFADHN CCOC[C@@H]1CCN(Cc2ccccc2F)C1 ZINC000081519828 398268708 /nfs/dbraw/zinc/26/87/08/398268708.db2.gz RQECBLKYVBBODK-GFCCVEGCSA-N 0 3 237.318 2.684 20 0 BFADHN CCc1nn(C)cc1CN(C)[C@H]1CCC[C@H](C)C1 ZINC000179681573 538955532 /nfs/dbraw/zinc/95/55/32/538955532.db2.gz SSTUJSNVSUKKPV-JSGCOSHPSA-N 0 3 249.402 2.993 20 0 BFADHN CCc1cccc(CN(C)[C@H](C)COC)c1 ZINC000188782400 346840579 /nfs/dbraw/zinc/84/05/79/346840579.db2.gz INDMQUVSCFWXQC-GFCCVEGCSA-N 0 3 221.344 2.716 20 0 BFADHN C[C@@H](F)CCNCc1cccc(F)c1F ZINC000378852517 346846843 /nfs/dbraw/zinc/84/68/43/346846843.db2.gz GXMJHESGLAAVLK-MRVPVSSYSA-N 0 3 217.234 2.803 20 0 BFADHN CCc1nn(C)cc1CN1CCC[C@H](CC)C1 ZINC000179763380 538956136 /nfs/dbraw/zinc/95/61/36/538956136.db2.gz JFEOZCKTTUOFSX-LBPRGKRZSA-N 0 3 235.375 2.605 20 0 BFADHN CCc1cnc(CN[C@@H]2CC[C@H](C)C2)s1 ZINC000164905008 361833965 /nfs/dbraw/zinc/83/39/65/361833965.db2.gz DPNPXGNIATWKEH-VHSXEESVSA-N 0 3 224.373 2.984 20 0 BFADHN CCSCC[C@@H](C)NCc1cnc(C)o1 ZINC000600129563 342683155 /nfs/dbraw/zinc/68/31/55/342683155.db2.gz KNZQFSFLFKFNIY-SECBINFHSA-N 0 3 228.361 2.604 20 0 BFADHN CS[C@H]1CCC[C@H](NCc2cnc(C)o2)C1 ZINC000600131058 342684553 /nfs/dbraw/zinc/68/45/53/342684553.db2.gz CBWAPIRULLDXGN-JQWIXIFHSA-N 0 3 240.372 2.747 20 0 BFADHN C[C@@H](NC[C@@H]1CCCS1)c1cccnc1 ZINC000087472993 259316406 /nfs/dbraw/zinc/31/64/06/259316406.db2.gz YRYPKLQNCAOTFR-PWSUYJOCSA-N 0 3 222.357 2.628 20 0 BFADHN CCSCC[C@H](C)N[C@@H](C)c1ncc[nH]1 ZINC000285527170 342694641 /nfs/dbraw/zinc/69/46/41/342694641.db2.gz BLMJIVPRLRHWIK-UWVGGRQHSA-N 0 3 227.377 2.592 20 0 BFADHN CCn1ccc(CN(C)CCc2cscn2)c1 ZINC000649479904 398277433 /nfs/dbraw/zinc/27/74/33/398277433.db2.gz FVUBMFLPFAEKMS-UHFFFAOYSA-N 0 3 249.383 2.639 20 0 BFADHN CCC(CC)NC(=O)[C@@H](C)[C@H](N)c1ccccc1 ZINC000131609279 168382088 /nfs/dbraw/zinc/38/20/88/168382088.db2.gz MXHRPCIAVGVOBJ-FZMZJTMJSA-N 0 3 248.370 2.627 20 0 BFADHN CC(C)[C@@H]1CCC[C@H](NCc2ccno2)C1 ZINC000231885282 342741757 /nfs/dbraw/zinc/74/17/57/342741757.db2.gz FMDZBENDQJIYRN-NEPJUHHUSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCCOCC2)o1 ZINC000083425309 398281482 /nfs/dbraw/zinc/28/14/82/398281482.db2.gz PFQXXKXFGSTQQC-VXGBXAGGSA-N 0 3 223.316 2.808 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccno2)CC(C)(C)C1 ZINC000231883315 342742715 /nfs/dbraw/zinc/74/27/15/342742715.db2.gz GSXIJURSTYJZBF-WDEREUQCSA-N 0 3 222.332 2.979 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2ccno2)C1 ZINC000231882977 342742809 /nfs/dbraw/zinc/74/28/09/342742809.db2.gz LCOGXJMDGXMMLX-QWRGUYRKSA-N 0 3 208.305 2.733 20 0 BFADHN c1cc(CNC2CC(c3ccccc3)C2)on1 ZINC000231882666 342742876 /nfs/dbraw/zinc/74/28/76/342742876.db2.gz WLRUQUCLIQEHIG-UHFFFAOYSA-N 0 3 228.295 2.710 20 0 BFADHN Cc1nnsc1CNCC1(C2CC2)CCC1 ZINC000391548232 398279632 /nfs/dbraw/zinc/27/96/32/398279632.db2.gz IYLUYJYIIFQSQJ-UHFFFAOYSA-N 0 3 237.372 2.516 20 0 BFADHN CCCN(CCC)Cc1cn(C)nc1CC ZINC000183462847 538967106 /nfs/dbraw/zinc/96/71/06/538967106.db2.gz KOYYCUYXYJILFS-UHFFFAOYSA-N 0 3 223.364 2.605 20 0 BFADHN CN(Cc1cc2n(n1)CCC2)C1CCCCC1 ZINC000649482005 398280500 /nfs/dbraw/zinc/28/05/00/398280500.db2.gz ZSOFRWGMKNIKNF-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN CCC[C@H](NCC1(CO)CCC1)c1ccccn1 ZINC000184525095 538968936 /nfs/dbraw/zinc/96/89/36/538968936.db2.gz CXNNPJZTFYROOX-ZDUSSCGKSA-N 0 3 248.370 2.675 20 0 BFADHN CCN(Cc1ccc(Cl)s1)C[C@H](C)O ZINC000172563584 346861310 /nfs/dbraw/zinc/86/13/10/346861310.db2.gz QYVXEQXQILFKOO-QMMMGPOBSA-N 0 3 233.764 2.604 20 0 BFADHN C[C@@]1(CNCc2ccncc2F)CCCS1 ZINC000449192109 398281926 /nfs/dbraw/zinc/28/19/26/398281926.db2.gz QNFHCPUTTPIIDB-LBPRGKRZSA-N 0 3 240.347 2.596 20 0 BFADHN CC(C)n1cc(CN[C@@H]2CCC[C@H](F)C2)cn1 ZINC000518183731 398282152 /nfs/dbraw/zinc/28/21/52/398282152.db2.gz LMSYSFGPNIQHPI-QWHCGFSZSA-N 0 3 239.338 2.834 20 0 BFADHN CC(C)n1cc(CN[C@H]2CCC[C@@H](F)C2)cn1 ZINC000518183730 398282293 /nfs/dbraw/zinc/28/22/93/398282293.db2.gz LMSYSFGPNIQHPI-OLZOCXBDSA-N 0 3 239.338 2.834 20 0 BFADHN Cc1nc(CNc2cccc(CN(C)C)c2)co1 ZINC000634573804 342773084 /nfs/dbraw/zinc/77/30/84/342773084.db2.gz HNQJUCOETDUNBI-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN CN(Cc1cn2ccccc2n1)C1CCCC1 ZINC000185477463 538970810 /nfs/dbraw/zinc/97/08/10/538970810.db2.gz XGZWPWJFEWQICO-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN Fc1ccc(CNc2ccncc2)cc1 ZINC000008699360 342802461 /nfs/dbraw/zinc/80/24/61/342802461.db2.gz FFLSUSFXJKPLKU-UHFFFAOYSA-N 0 3 202.232 2.833 20 0 BFADHN CC(C)N(CCOCC1CC1)Cc1ccccn1 ZINC000607727111 342782631 /nfs/dbraw/zinc/78/26/31/342782631.db2.gz DYRZFNJLHFLWAY-UHFFFAOYSA-N 0 3 248.370 2.719 20 0 BFADHN C[C@@H]1CCC[C@H](N(C)Cc2cc3n(n2)CCC3)C1 ZINC000649487468 398285070 /nfs/dbraw/zinc/28/50/70/398285070.db2.gz DNJIOQMCTOFYIB-OCCSQVGLSA-N 0 3 247.386 2.840 20 0 BFADHN C[C@@H](C1CC1)N(Cc1cc2n(n1)CCC2)C1CC1 ZINC000649487885 398285543 /nfs/dbraw/zinc/28/55/43/398285543.db2.gz TZHDTKSYASRASK-NSHDSACASA-N 0 3 245.370 2.592 20 0 BFADHN C[C@H](NC[C@@H]1CC(C)(C)CO1)c1cccnc1 ZINC000634582012 342797632 /nfs/dbraw/zinc/79/76/32/342797632.db2.gz IXVKZAKUMOWUMJ-AAEUAGOBSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)Oc1ccnc(CN(C)CC2CC2)c1 ZINC000649489739 398286374 /nfs/dbraw/zinc/28/63/74/398286374.db2.gz FWHTXRBIDCSUTE-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN CC(C)Oc1ncccc1CN[C@H](C)C1CC1 ZINC000042391473 538977918 /nfs/dbraw/zinc/97/79/18/538977918.db2.gz WGUOLWREJWLDFP-LLVKDONJSA-N 0 3 234.343 2.757 20 0 BFADHN C[C@H]1CC[C@H](CNCc2ccncc2F)C1 ZINC000449298379 398290658 /nfs/dbraw/zinc/29/06/58/398290658.db2.gz JUNOAKVAVOJRBR-QWRGUYRKSA-N 0 3 222.307 2.747 20 0 BFADHN CC[C@@]1(NCc2ccc(F)c(C)c2)CCOC1 ZINC000378735677 538973641 /nfs/dbraw/zinc/97/36/41/538973641.db2.gz GEJBERSIGFKHIW-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H]1CCc2ccc(F)cc21 ZINC000186498310 538973664 /nfs/dbraw/zinc/97/36/64/538973664.db2.gz LESUUFGIJBXGOF-MZSZDEQASA-N 0 3 249.329 2.730 20 0 BFADHN CCC[C@@H](NCCOC(C)C)c1ccccn1 ZINC000186641454 538974162 /nfs/dbraw/zinc/97/41/62/538974162.db2.gz QJTZZMLXMKPYFP-CYBMUJFWSA-N 0 3 236.359 2.937 20 0 BFADHN CC(C)N(C/C=C/c1ccc(F)cc1)CCO ZINC000271018981 187335803 /nfs/dbraw/zinc/33/58/03/187335803.db2.gz AYQPGDNESQLWDU-ONEGZZNKSA-N 0 3 237.318 2.542 20 0 BFADHN C[C@H](NCc1ccccc1F)[C@H]1CCCCO1 ZINC000379255584 538976494 /nfs/dbraw/zinc/97/64/94/538976494.db2.gz IVRKWPMVUDHULD-SMDDNHRTSA-N 0 3 237.318 2.873 20 0 BFADHN FC1(F)CCC[C@H]1NC(C1CC1)C1CC1 ZINC000380206573 538987564 /nfs/dbraw/zinc/98/75/64/538987564.db2.gz WNZXVBFQMVSBCE-SNVBAGLBSA-N 0 3 215.287 2.952 20 0 BFADHN C[C@H]1CC(C)(C)C[C@H]1NCc1cocn1 ZINC000380296041 538988583 /nfs/dbraw/zinc/98/85/83/538988583.db2.gz CSGOWMVNVIEITC-GXSJLCMTSA-N 0 3 208.305 2.589 20 0 BFADHN CC[C@@H](C)N[C@H](C)c1ccncc1OC ZINC000188760540 538979766 /nfs/dbraw/zinc/97/97/66/538979766.db2.gz OTHWOJQPKWDBHZ-NXEZZACHSA-N 0 3 208.305 2.539 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@@H]1C[C@@H]1C ZINC000379876710 538981206 /nfs/dbraw/zinc/98/12/06/538981206.db2.gz AMRAQGZOZPWDOV-LAEOZQHASA-N 0 3 208.305 2.598 20 0 BFADHN CSc1ccc(CNCC(C)(C)CO)s1 ZINC000379955339 538982847 /nfs/dbraw/zinc/98/28/47/538982847.db2.gz VDLMVMHKHXVVSA-UHFFFAOYSA-N 0 3 245.413 2.578 20 0 BFADHN C[C@H]1CC[C@H]1NCc1nc2c(s1)CCC2 ZINC000380079494 538984833 /nfs/dbraw/zinc/98/48/33/538984833.db2.gz GDDHGAHUERTXNN-DTWKUNHWSA-N 0 3 222.357 2.520 20 0 BFADHN C[C@@]1(NC2CSC2)CCCc2ccccc21 ZINC000381463390 539001077 /nfs/dbraw/zinc/00/10/77/539001077.db2.gz LUXWAQMHHBFOMY-CQSZACIVSA-N 0 3 233.380 2.943 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cncn2CC)C1 ZINC000417817896 398293915 /nfs/dbraw/zinc/29/39/15/398293915.db2.gz VPCZXPGUCSZIJX-CYBMUJFWSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1cc(CN2CCC[C@H](CC(C)C)C2)n(C)n1 ZINC000417819332 398293944 /nfs/dbraw/zinc/29/39/44/398293944.db2.gz WZLBMXMYTHMKLE-CQSZACIVSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1c2ccccc2oc1CNC1CSC1 ZINC000381496758 539002357 /nfs/dbraw/zinc/00/23/57/539002357.db2.gz BILKBHRRILGGSC-UHFFFAOYSA-N 0 3 233.336 2.946 20 0 BFADHN CC[C@@H](C)NCc1c(C)cc(C)nc1OC ZINC000381571923 539003976 /nfs/dbraw/zinc/00/39/76/539003976.db2.gz XRDXYNRMOBKWLH-SNVBAGLBSA-N 0 3 222.332 2.595 20 0 BFADHN CCNCc1cnn(Cc2ccccc2Cl)c1 ZINC000049932778 539010490 /nfs/dbraw/zinc/01/04/90/539010490.db2.gz QBARUYXNWWIIND-UHFFFAOYSA-N 0 3 249.745 2.694 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccoc1)[C@H]1CCCCO1 ZINC000381332261 538998224 /nfs/dbraw/zinc/99/82/24/538998224.db2.gz UGUWKRMRWPTURT-DMDPSCGWSA-N 0 3 223.316 2.888 20 0 BFADHN Cc1cc(CN[C@@H]2CCC23CCCC3)[nH]n1 ZINC000381364746 538999208 /nfs/dbraw/zinc/99/92/08/538999208.db2.gz GMTOMPINBPYLSM-GFCCVEGCSA-N 0 3 219.332 2.531 20 0 BFADHN Fc1cccc2c1CCC[C@@H]2N[C@@H]1CCCOC1 ZINC000449349425 398293762 /nfs/dbraw/zinc/29/37/62/398293762.db2.gz JKDMUOHUIHZCDC-ABAIWWIYSA-N 0 3 249.329 2.972 20 0 BFADHN CC(C)[C@@](C)(CO)NCc1cscc1Cl ZINC000390010647 539020054 /nfs/dbraw/zinc/02/00/54/539020054.db2.gz ZDBJSYJLXKRDOZ-LLVKDONJSA-N 0 3 247.791 2.898 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCSC2)c(C)n1 ZINC000390456441 539021956 /nfs/dbraw/zinc/02/19/56/539021956.db2.gz DARTVNMWALIDRE-NWDGAFQWSA-N 0 3 236.384 2.855 20 0 BFADHN C[C@H](NC[C@]1(C)C[C@H]2C[C@H]2C1)c1cnccn1 ZINC000624199389 342842445 /nfs/dbraw/zinc/84/24/45/342842445.db2.gz NFEUATQOFVQFHI-FMSGJZPZSA-N 0 3 231.343 2.563 20 0 BFADHN CCCN(C)Cc1cc(OC(C)C)ccn1 ZINC000649501901 398296948 /nfs/dbraw/zinc/29/69/48/398296948.db2.gz UKMIPGIAFVWAGV-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN Clc1ccc(CCNCc2ccno2)cc1 ZINC000390759254 539027324 /nfs/dbraw/zinc/02/73/24/539027324.db2.gz KXUMBIQIKPPYJS-UHFFFAOYSA-N 0 3 236.702 2.660 20 0 BFADHN CC[C@H]1CCC[C@H](CNCc2cocn2)C1 ZINC000390797826 539027450 /nfs/dbraw/zinc/02/74/50/539027450.db2.gz UPAUKOPVMXFNPP-RYUDHWBXSA-N 0 3 222.332 2.981 20 0 BFADHN CC(C)C[C@@H]1CCCN1Cc1cc2n(n1)CCC2 ZINC000649502458 398297556 /nfs/dbraw/zinc/29/75/56/398297556.db2.gz GWSRMWITQKBDDK-AWEZNQCLSA-N 0 3 247.386 2.840 20 0 BFADHN C[C@@H](N[C@@H]1CCCC[C@@H]1O)c1ccccc1F ZINC000042237389 361973265 /nfs/dbraw/zinc/97/32/65/361973265.db2.gz AJWQJOIZPYOVDM-HONMWMINSA-N 0 3 237.318 2.780 20 0 BFADHN CC[C@]1(NCc2ccc(Cl)o2)CCOC1 ZINC000389927586 539018738 /nfs/dbraw/zinc/01/87/38/539018738.db2.gz XHXMAXGMJGFVQT-NSHDSACASA-N 0 3 229.707 2.592 20 0 BFADHN Oc1ccc(CN[C@H]2CCC(F)(F)C2)cc1 ZINC000390135392 539019749 /nfs/dbraw/zinc/01/97/49/539019749.db2.gz LRYRLHWPJHYCFY-JTQLQIEISA-N 0 3 227.254 2.670 20 0 BFADHN CCN(Cc1ccncc1)CC1(OC)CCCC1 ZINC000348897645 136243236 /nfs/dbraw/zinc/24/32/36/136243236.db2.gz WJOIRTHKUBJHKS-UHFFFAOYSA-N 0 3 248.370 2.863 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)Nc1ccc(C)cc1C ZINC000011959704 342845177 /nfs/dbraw/zinc/84/51/77/342845177.db2.gz DNWDSYNJIWCUMJ-MFKMUULPSA-N 0 3 234.343 2.615 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)Nc1ccccc1Cl ZINC000011959825 342845466 /nfs/dbraw/zinc/84/54/66/342845466.db2.gz FLBNIVVLSIMFST-KCJUWKMLSA-N 0 3 240.734 2.652 20 0 BFADHN CCO[C@H](CN(C)Cc1cccs1)C1CC1 ZINC000625058640 342846395 /nfs/dbraw/zinc/84/63/95/342846395.db2.gz BNOMGIAURNZPFE-CYBMUJFWSA-N 0 3 239.384 2.995 20 0 BFADHN Cc1nccnc1[C@@H](C)NC[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000624200366 342846568 /nfs/dbraw/zinc/84/65/68/342846568.db2.gz JXLGZBDAQDHKMR-GUIRCDHDSA-N 0 3 245.370 2.872 20 0 BFADHN C[C@H]1C[C@@H](c2nc(C3CCCC3)no2)CCN1C ZINC000335637057 136242937 /nfs/dbraw/zinc/24/29/37/136242937.db2.gz BQNGDGDYCAKIKY-JQWIXIFHSA-N 0 3 249.358 2.925 20 0 BFADHN CN(CCCCc1ccccc1)Cc1cnc[nH]1 ZINC000091955739 398304325 /nfs/dbraw/zinc/30/43/25/398304325.db2.gz PLEQTHSEYFNLDM-UHFFFAOYSA-N 0 3 243.354 2.864 20 0 BFADHN CCCN(CC(=O)NCC(C)(C)C)CC(C)C ZINC000625112796 342867362 /nfs/dbraw/zinc/86/73/62/342867362.db2.gz HNWALZXCFCUMRN-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccsc1)c1cccnc1 ZINC000092751369 398305832 /nfs/dbraw/zinc/30/58/32/398305832.db2.gz CIUGUSOSEZWJHK-GXFFZTMASA-N 0 3 248.351 2.527 20 0 BFADHN CC[C@@H](O)CN1CC=C(c2cccc(C)c2)CC1 ZINC000092821891 398306651 /nfs/dbraw/zinc/30/66/51/398306651.db2.gz MQIUNBLQGXQHHD-MRXNPFEDSA-N 0 3 245.366 2.855 20 0 BFADHN COc1cccnc1CN[C@@H]1CC[C@@H]1C(C)C ZINC000449745079 398307131 /nfs/dbraw/zinc/30/71/31/398307131.db2.gz AVNFNMPAPBZUKG-VXGBXAGGSA-N 0 3 234.343 2.614 20 0 BFADHN CCCN(C)C[C@@H](OC)c1ccc(F)cc1 ZINC000625119622 342877265 /nfs/dbraw/zinc/87/72/65/342877265.db2.gz ZBOGUIRYABFIFW-CYBMUJFWSA-N 0 3 225.307 2.855 20 0 BFADHN Cc1cnc(CNC2CC(C(C)C)C2)s1 ZINC000232538329 342856913 /nfs/dbraw/zinc/85/69/13/342856913.db2.gz LNSRMPSJTGAWJU-UHFFFAOYSA-N 0 3 224.373 2.976 20 0 BFADHN COc1ccc(F)cc1CN1C[C@H](C)[C@@H](C)C1 ZINC000076110903 342898317 /nfs/dbraw/zinc/89/83/17/342898317.db2.gz IQCNDXBEDDQGNK-QWRGUYRKSA-N 0 3 237.318 2.922 20 0 BFADHN Cc1cc(CN[C@@H]2c3ccccc3C[C@H]2C)n[nH]1 ZINC000600815619 398313128 /nfs/dbraw/zinc/31/31/28/398313128.db2.gz HIJIFLJZRVFBCX-BMIGLBTASA-N 0 3 241.338 2.741 20 0 BFADHN Cc1cc(CN[C@@H]2c3ccccc3C[C@H]2C)[nH]n1 ZINC000600815619 398313130 /nfs/dbraw/zinc/31/31/30/398313130.db2.gz HIJIFLJZRVFBCX-BMIGLBTASA-N 0 3 241.338 2.741 20 0 BFADHN CCC[C@@H](C)[C@H](CC)NCc1nccn1C ZINC000167250630 136245082 /nfs/dbraw/zinc/24/50/82/136245082.db2.gz MFKLLZOHEISBAJ-NEPJUHHUSA-N 0 3 223.364 2.725 20 0 BFADHN Cc1ccc(CN[C@@H]2CSC[C@@H]2C)c(F)c1 ZINC000631135549 342908552 /nfs/dbraw/zinc/90/85/52/342908552.db2.gz WLLLLDQXUDCJEQ-GXFFZTMASA-N 0 3 239.359 2.975 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CCC[C@H]2C)on1 ZINC000351520439 136247060 /nfs/dbraw/zinc/24/70/60/136247060.db2.gz RROWCPRCOCRXLY-CMPLNLGQSA-N 0 3 222.332 2.994 20 0 BFADHN CCCCCC[C@H](C)NCc1cc[nH]n1 ZINC000037139274 342922218 /nfs/dbraw/zinc/92/22/18/342922218.db2.gz DUUWUXGIGLCQLQ-NSHDSACASA-N 0 3 209.337 2.858 20 0 BFADHN C[C@H](N[C@@H]1CCSC1)c1cccc(O)c1 ZINC000037150991 342923014 /nfs/dbraw/zinc/92/30/14/342923014.db2.gz YYQKETQUIXZXRK-GXSJLCMTSA-N 0 3 223.341 2.548 20 0 BFADHN COCC1(NCc2cccc(C3CC3)c2)CC1 ZINC000580300343 346872100 /nfs/dbraw/zinc/87/21/00/346872100.db2.gz ZUNAWFJZVDPVJE-UHFFFAOYSA-N 0 3 231.339 2.833 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3cc(F)ccc32)CO1 ZINC000402061802 259329552 /nfs/dbraw/zinc/32/95/52/259329552.db2.gz UOSORUFNWZZAGG-LJWDBELGSA-N 0 3 235.302 2.580 20 0 BFADHN CCO[C@H](CN(C)CCOC(C)(C)C)C1CC1 ZINC000625220192 342919443 /nfs/dbraw/zinc/91/94/43/342919443.db2.gz HRPOYDAXCZKILX-CYBMUJFWSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)(C)CC(C)(C)NCc1cc[nH]n1 ZINC000037100938 342920151 /nfs/dbraw/zinc/92/01/51/342920151.db2.gz ROKKVBLYXBOUKL-UHFFFAOYSA-N 0 3 209.337 2.714 20 0 BFADHN CC[C@H](CNC/C=C\c1ccc(F)cc1)OC ZINC000353071514 398327036 /nfs/dbraw/zinc/32/70/36/398327036.db2.gz KALRGBDGRPWXJT-ZRUQZJFASA-N 0 3 237.318 2.854 20 0 BFADHN Cc1oc2ccccc2c1CN[C@H]1C[C@@](C)(O)C1 ZINC000418106343 398328163 /nfs/dbraw/zinc/32/81/63/398328163.db2.gz CEBQIHNIQQZJBC-WGRBQBNCSA-N 0 3 245.322 2.744 20 0 BFADHN CCCC[C@@H](COC)N[C@@H](C)c1ccncc1 ZINC000174112553 346877186 /nfs/dbraw/zinc/87/71/86/346877186.db2.gz JVSRHTPWOQXGQP-JSGCOSHPSA-N 0 3 236.359 2.937 20 0 BFADHN CC[C@@H](N[C@@H](C)c1ccc(C)o1)[C@@H](O)CC ZINC000313092258 259330946 /nfs/dbraw/zinc/33/09/46/259330946.db2.gz PKKATCLYBFNGDR-TUAOUCFPSA-N 0 3 225.332 2.788 20 0 BFADHN C[C@@H]1CN(C[C@@]2(C)CCCS2)CC(C)(C)O1 ZINC000628154378 398336172 /nfs/dbraw/zinc/33/61/72/398336172.db2.gz VBLHZGRQQGQYKN-DGCLKSJQSA-N 0 3 243.416 2.771 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@@H]1CCSc2ccccc21 ZINC000120163897 398336953 /nfs/dbraw/zinc/33/69/53/398336953.db2.gz QLFSCGARFGTELJ-CYZMBNFOSA-N 0 3 249.379 2.991 20 0 BFADHN C[C@@H]1c2ccsc2CCN1C[C@@H]1CCCO1 ZINC000078731869 398337313 /nfs/dbraw/zinc/33/73/13/398337313.db2.gz DGLRZNZEKMJBHH-MNOVXSKESA-N 0 3 237.368 2.846 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)[C@H](C)C2)cn1 ZINC000153919140 398338363 /nfs/dbraw/zinc/33/83/63/398338363.db2.gz KDIYQIFDPPYNIJ-NWDGAFQWSA-N 0 3 218.344 2.868 20 0 BFADHN C[C@@H](N[C@@H](C)c1cc2n(n1)CCCC2)C1CC1 ZINC000647280880 398338952 /nfs/dbraw/zinc/33/89/52/398338952.db2.gz JWLQUCVGDCPQLK-MNOVXSKESA-N 0 3 233.359 2.669 20 0 BFADHN C[C@H](F)CCN1CCc2cc(F)ccc2C1 ZINC000351728886 136249978 /nfs/dbraw/zinc/24/99/78/136249978.db2.gz BLPHTPKSCKXALZ-JTQLQIEISA-N 0 3 225.282 2.932 20 0 BFADHN Cc1ccncc1CN1CCCC[C@@H]1C[C@@H](C)O ZINC000355110980 398331451 /nfs/dbraw/zinc/33/14/51/398331451.db2.gz COHJHSARBKZSPW-UKRRQHHQSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1nnc(CN[C@H]2CCCC[C@H]2C)n1C1CC1 ZINC000162335240 349663632 /nfs/dbraw/zinc/66/36/32/349663632.db2.gz RLWVTKYAIUUETO-MFKMUULPSA-N 0 3 248.374 2.590 20 0 BFADHN CC/C=C/CNCc1cc(C(=O)OC)cs1 ZINC000602677603 349670991 /nfs/dbraw/zinc/67/09/91/349670991.db2.gz ZEGLDHQDMABNLP-SNAWJCMRSA-N 0 3 239.340 2.591 20 0 BFADHN COc1ccc(C)cc1CNCC[C@H]1CCCO1 ZINC000037988843 398335577 /nfs/dbraw/zinc/33/55/77/398335577.db2.gz LERVBCQGKHKBGH-CQSZACIVSA-N 0 3 249.354 2.662 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@]1(C)CCCO1 ZINC000356869899 342946942 /nfs/dbraw/zinc/94/69/42/342946942.db2.gz NZSDJFIVMCYXQS-WFASDCNBSA-N 0 3 248.370 2.864 20 0 BFADHN C[C@@H](F)CCN(Cc1cccnc1)C1CC1 ZINC000351755848 136251694 /nfs/dbraw/zinc/25/16/94/136251694.db2.gz JGWFLEIYVFMKDD-LLVKDONJSA-N 0 3 222.307 2.794 20 0 BFADHN Cc1cc2cc(CN[C@H]3C[C@@H](O)C3)oc2cc1C ZINC000449755446 398340216 /nfs/dbraw/zinc/34/02/16/398340216.db2.gz SXIQZSJIAIYQPT-BETUJISGSA-N 0 3 245.322 2.663 20 0 BFADHN Cc1cc2cc(CN[C@@H]3CCOC3)oc2cc1C ZINC000643802529 398340264 /nfs/dbraw/zinc/34/02/64/398340264.db2.gz LVMBDTIOAIUAOR-CYBMUJFWSA-N 0 3 245.322 2.928 20 0 BFADHN C[C@H](F)CCN1CC=C(C(F)(F)F)CC1 ZINC000351751752 136250949 /nfs/dbraw/zinc/25/09/49/136250949.db2.gz KRBDTDWHXOOMCE-QMMMGPOBSA-N 0 3 225.229 2.929 20 0 BFADHN c1c(CN[C@@H]2CC23CCCC3)nc2ccccn12 ZINC000335741545 398342289 /nfs/dbraw/zinc/34/22/89/398342289.db2.gz UCBJJLYCLPPNLU-CYBMUJFWSA-N 0 3 241.338 2.757 20 0 BFADHN CC(C)c1ccc(NC(=O)C2(N)CCC2)cc1 ZINC000147463270 398344737 /nfs/dbraw/zinc/34/47/37/398344737.db2.gz BNVNKCGHBGEVCH-UHFFFAOYSA-N 0 3 232.327 2.630 20 0 BFADHN CN(CCCNCc1ccco1)c1ccccc1 ZINC000019906513 342962802 /nfs/dbraw/zinc/96/28/02/342962802.db2.gz UGOZIAXHGBKHMW-UHFFFAOYSA-N 0 3 244.338 2.896 20 0 BFADHN CC(C)COCCCN[C@@H](C)c1ccco1 ZINC000020147247 342972056 /nfs/dbraw/zinc/97/20/56/342972056.db2.gz ZGZLRTOPGRQXIU-LBPRGKRZSA-N 0 3 225.332 2.993 20 0 BFADHN CC(C)COCCCN[C@H](C)c1ccco1 ZINC000020147248 342972063 /nfs/dbraw/zinc/97/20/63/342972063.db2.gz ZGZLRTOPGRQXIU-GFCCVEGCSA-N 0 3 225.332 2.993 20 0 BFADHN COc1ccccc1CNCCCOCC1CC1 ZINC000020190267 342975583 /nfs/dbraw/zinc/97/55/83/342975583.db2.gz FUEYZKDCOJZGKD-UHFFFAOYSA-N 0 3 249.354 2.602 20 0 BFADHN Fc1ccc(CNC[C@@H]2CCCO2)c(Cl)c1 ZINC000020055564 342967475 /nfs/dbraw/zinc/96/74/75/342967475.db2.gz DLDIQAFKSXQXTQ-NSHDSACASA-N 0 3 243.709 2.748 20 0 BFADHN CC[C@H](NCc1nccn1CC)C1CCCC1 ZINC000387495595 342990012 /nfs/dbraw/zinc/99/00/12/342990012.db2.gz DWLMBZTWIBBRIE-ZDUSSCGKSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@@]1(O)CCN(C/C=C\c2ccccc2)C1 ZINC000495190235 529572421 /nfs/dbraw/zinc/57/24/21/529572421.db2.gz IBVZGYLJSKIWJT-WUKHSURWSA-N 0 3 231.339 2.547 20 0 BFADHN CC(C)C[C@H](C)C[C@@H](C)NCc1nccn1C ZINC000356845812 187213065 /nfs/dbraw/zinc/21/30/65/187213065.db2.gz IJDJZCLIXSOGSE-QWHCGFSZSA-N 0 3 237.391 2.971 20 0 BFADHN CSC1CCN(Cc2ccco2)CC1 ZINC000602725688 349714932 /nfs/dbraw/zinc/71/49/32/349714932.db2.gz LPILUHODFQLBIV-UHFFFAOYSA-N 0 3 211.330 2.607 20 0 BFADHN c1coc(CNCCc2ccsc2)c1 ZINC000037897165 342995169 /nfs/dbraw/zinc/99/51/69/342995169.db2.gz CMNACJNANWXJIB-UHFFFAOYSA-N 0 3 207.298 2.673 20 0 BFADHN Cc1ccc(CN[C@H](C)C[C@@H](C)O)c(Cl)c1 ZINC000235247635 342998989 /nfs/dbraw/zinc/99/89/89/342998989.db2.gz SRRUJYMVMAXHCG-GHMZBOCLSA-N 0 3 241.762 2.897 20 0 BFADHN CCN(C#N)CCN(Cc1ccccc1)C(C)C ZINC000602763300 349733453 /nfs/dbraw/zinc/73/34/53/349733453.db2.gz QVHHBLQTKSSGDW-UHFFFAOYSA-N 0 3 245.370 2.700 20 0 BFADHN CC(C)COCCN1C[C@@H](C)C(F)(F)[C@H](C)C1 ZINC000625651156 344023838 /nfs/dbraw/zinc/02/38/38/344023838.db2.gz AHTIJRMSKQXVMK-VXGBXAGGSA-N 0 3 249.345 2.882 20 0 BFADHN CCCC[C@H](C)[C@@H](C)NCc1cc[nH]n1 ZINC000308947947 259340536 /nfs/dbraw/zinc/34/05/36/259340536.db2.gz OHMLDLVQQVBKDA-WDEREUQCSA-N 0 3 209.337 2.714 20 0 BFADHN Cc1cccc(CN[C@H]2CCC2(C)C)n1 ZINC000308844190 259341090 /nfs/dbraw/zinc/34/10/90/259341090.db2.gz ZMGGYFZFDKXXCR-LBPRGKRZSA-N 0 3 204.317 2.668 20 0 BFADHN c1coc(CN[C@@H]2CCC[C@@H]([C@@H]3CCOC3)C2)c1 ZINC000360963464 187217973 /nfs/dbraw/zinc/21/79/73/187217973.db2.gz CDSNCJCXXSAMNY-MGPQQGTHSA-N 0 3 249.354 2.965 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccoc1)CC(C)C ZINC000562797759 323030683 /nfs/dbraw/zinc/03/06/83/323030683.db2.gz ZUENIQRAWZDPAW-WCQYABFASA-N 0 3 225.332 2.991 20 0 BFADHN Fc1ccc(Cl)cc1CNCC1CC1 ZINC000037927733 343011429 /nfs/dbraw/zinc/01/14/29/343011429.db2.gz OPJNEEQACMIYDP-UHFFFAOYSA-N 0 3 213.683 2.979 20 0 BFADHN CCN(C)c1ccc(CN2CC[C@H]2C(C)C)cn1 ZINC000361545172 187218397 /nfs/dbraw/zinc/21/83/97/187218397.db2.gz ZUZHMPRRDVKHMS-AWEZNQCLSA-N 0 3 247.386 2.768 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccoc1)CC(C)C ZINC000562797756 323030773 /nfs/dbraw/zinc/03/07/73/323030773.db2.gz ZUENIQRAWZDPAW-AAEUAGOBSA-N 0 3 225.332 2.991 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H]1CCCC[C@H]1O ZINC000480708217 529622388 /nfs/dbraw/zinc/62/23/88/529622388.db2.gz SEAUFZRIFYDOBK-MRVWCRGKSA-N 0 3 248.370 2.598 20 0 BFADHN CC[C@H](C(=O)OC(C)(C)C)N1C[C@H](C)[C@@H](C)C1 ZINC000483919335 529624509 /nfs/dbraw/zinc/62/45/09/529624509.db2.gz HADXMIGLMPCVGC-SDDRHHMPSA-N 0 3 241.375 2.695 20 0 BFADHN CC(C)OCCN1C[C@H](C)C(F)(F)[C@@H](C)C1 ZINC000625648495 344024942 /nfs/dbraw/zinc/02/49/42/344024942.db2.gz QKGULJJWPMIFBX-QWRGUYRKSA-N 0 3 235.318 2.635 20 0 BFADHN Cc1cc(CN[C@H]2CC(C)(C)C[C@@H]2C)no1 ZINC000235537646 343048325 /nfs/dbraw/zinc/04/83/25/343048325.db2.gz PFWLGXIJCMVIKV-CABZTGNLSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccncn1)[C@@H]1CC1(C)C ZINC000417411018 529653795 /nfs/dbraw/zinc/65/37/95/529653795.db2.gz WFNUHYFBROLINY-SRVKXCTJSA-N 0 3 233.359 2.952 20 0 BFADHN Cc1ccc(CNC(C)(C)CO)c(Cl)c1 ZINC000235769155 343058653 /nfs/dbraw/zinc/05/86/53/343058653.db2.gz BLSPJCQKCHKXOV-UHFFFAOYSA-N 0 3 227.735 2.509 20 0 BFADHN CC(C)SCCN1CCC[C@@H]1c1cn[nH]c1 ZINC000602881378 349791870 /nfs/dbraw/zinc/79/18/70/349791870.db2.gz PZMGYPNWUXEKFM-GFCCVEGCSA-N 0 3 239.388 2.688 20 0 BFADHN COc1ccc(CN2CC[C@H](C3CC3)C2)cc1O ZINC000378346296 187227118 /nfs/dbraw/zinc/22/71/18/187227118.db2.gz FBLIAVYKWMYAPW-ZDUSSCGKSA-N 0 3 247.338 2.633 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCCO2)C[C@H](C)C1(F)F ZINC000625648547 344025307 /nfs/dbraw/zinc/02/53/07/344025307.db2.gz QZHXGOQQTIUUQC-GRYCIOLGSA-N 0 3 247.329 2.779 20 0 BFADHN CC[C@H](C)CN(CC)[C@H](CC(C)C)C(=O)OC ZINC000509682334 529658086 /nfs/dbraw/zinc/65/80/86/529658086.db2.gz NYTUFRVCUZZPKM-QWHCGFSZSA-N 0 3 243.391 2.942 20 0 BFADHN CC[C@H](NCc1nc(C)cs1)C(C)C ZINC000038091048 343059703 /nfs/dbraw/zinc/05/97/03/343059703.db2.gz BUZWKPBFQJCIJU-JTQLQIEISA-N 0 3 212.362 2.976 20 0 BFADHN Cc1cc(CNCC2=CCCOC2)c(C)s1 ZINC000309843927 343065505 /nfs/dbraw/zinc/06/55/05/343065505.db2.gz FSTXBKZOOCOFRT-UHFFFAOYSA-N 0 3 237.368 2.801 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3CC[C@@H]3C)cn2c1 ZINC000336626811 136264555 /nfs/dbraw/zinc/26/45/55/136264555.db2.gz LGQPJYMBMLEJMS-WCQYABFASA-N 0 3 229.327 2.531 20 0 BFADHN CC(C)CN(C)[C@@H](C)C(=O)N(C)c1ccccc1 ZINC000067378460 362018590 /nfs/dbraw/zinc/01/85/90/362018590.db2.gz VXLKKFHQTSANRI-ZDUSSCGKSA-N 0 3 248.370 2.626 20 0 BFADHN CC[C@@](C)(CNCc1sccc1OC)OC ZINC000449472863 259349348 /nfs/dbraw/zinc/34/93/48/259349348.db2.gz GJWYXGBHNXJDTR-LBPRGKRZSA-N 0 3 243.372 2.661 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)CN(C)C(C)C ZINC000177280713 346925380 /nfs/dbraw/zinc/92/53/80/346925380.db2.gz SDKFVBFYSVRREK-CYBMUJFWSA-N 0 3 242.407 2.802 20 0 BFADHN Cc1n[nH]cc1CNC1CC(C(C)(C)C)C1 ZINC000339468196 187231793 /nfs/dbraw/zinc/23/17/93/187231793.db2.gz XBMLPLGZTZZKJY-UHFFFAOYSA-N 0 3 221.348 2.632 20 0 BFADHN C=Cn1cc(CNCc2cc(C)cs2)cn1 ZINC000344620929 187232106 /nfs/dbraw/zinc/23/21/06/187232106.db2.gz ZKKFZOFVLQTPPD-UHFFFAOYSA-N 0 3 233.340 2.643 20 0 BFADHN CC(C)=CCCn1cc([C@@H](N)C(C)(C)C)nn1 ZINC000640945671 362093674 /nfs/dbraw/zinc/09/36/74/362093674.db2.gz OHSGXIRUQXQYTG-GFCCVEGCSA-N 0 3 236.363 2.680 20 0 BFADHN c1c(CNC2CCCCC2)nnn1CC1CCC1 ZINC000640947727 362094310 /nfs/dbraw/zinc/09/43/10/362094310.db2.gz ORAOXIUPCQNAMG-UHFFFAOYSA-N 0 3 248.374 2.501 20 0 BFADHN Cc1csc(CNCc2nc(C)ccc2O)c1 ZINC000344614172 365712897 /nfs/dbraw/zinc/71/28/97/365712897.db2.gz QJLJQMAPLNCNNF-UHFFFAOYSA-N 0 3 248.351 2.755 20 0 BFADHN Cc1csc(C[NH2+]Cc2nc(C)ccc2[O-])c1 ZINC000344614172 365712902 /nfs/dbraw/zinc/71/29/02/365712902.db2.gz QJLJQMAPLNCNNF-UHFFFAOYSA-N 0 3 248.351 2.755 20 0 BFADHN CC(C)(O)CCNCc1cc2ccccc2o1 ZINC000274739215 187238184 /nfs/dbraw/zinc/23/81/84/187238184.db2.gz JLSUQGYJMATIJJ-UHFFFAOYSA-N 0 3 233.311 2.683 20 0 BFADHN COc1ccccc1C[C@@H](C)N[C@@H](C)CF ZINC000290253246 187240595 /nfs/dbraw/zinc/24/05/95/187240595.db2.gz VYLDJVDNSIBHJQ-MNOVXSKESA-N 0 3 225.307 2.574 20 0 BFADHN COc1ccc([C@@H](C)NC2CC2)cc1OC ZINC000022221421 343140440 /nfs/dbraw/zinc/14/04/40/343140440.db2.gz JWTSLKVJXFUOJT-SECBINFHSA-N 0 3 221.300 2.517 20 0 BFADHN COc1ccc(CN(C)CC2CC(C)(C)C2)cn1 ZINC000625262443 343141458 /nfs/dbraw/zinc/14/14/58/343141458.db2.gz LDXORPNLEIWINT-UHFFFAOYSA-N 0 3 248.370 2.958 20 0 BFADHN CCC[C@H](CC)NCc1cnccc1OC ZINC000294077956 187241674 /nfs/dbraw/zinc/24/16/74/187241674.db2.gz IHDKLYRQWBRYDS-LBPRGKRZSA-N 0 3 222.332 2.759 20 0 BFADHN CC[C@@H]1CCCCCN1CC(=O)NC(C)(C)C ZINC000150739997 136273601 /nfs/dbraw/zinc/27/36/01/136273601.db2.gz DKWRKAZTHVLEBF-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CC1(C)CCCC[C@H]1N[C@@H]1CNCCC1(F)F ZINC000576959694 365734407 /nfs/dbraw/zinc/73/44/07/365734407.db2.gz CNDOJQCDQRSAJU-GHMZBOCLSA-N 0 3 246.345 2.542 20 0 BFADHN C[C@@H]1C[C@H]1CN(Cc1ccncc1)C1CC1 ZINC000625269359 343167915 /nfs/dbraw/zinc/16/79/15/343167915.db2.gz FGZGGBHOYHZEFI-YPMHNXCESA-N 0 3 216.328 2.702 20 0 BFADHN C[C@H]1C[C@@H]1CN(Cc1ccncc1)C1CC1 ZINC000625269358 343167929 /nfs/dbraw/zinc/16/79/29/343167929.db2.gz FGZGGBHOYHZEFI-WCQYABFASA-N 0 3 216.328 2.702 20 0 BFADHN Cc1ccc(C)c(CNCCOC(F)(F)F)c1 ZINC000353192660 187243458 /nfs/dbraw/zinc/24/34/58/187243458.db2.gz MWWDUQIJMJOPKQ-UHFFFAOYSA-N 0 3 247.260 2.929 20 0 BFADHN C[C@H](NCc1ncccn1)C1CCCCCC1 ZINC000150166748 343196716 /nfs/dbraw/zinc/19/67/16/343196716.db2.gz AIQYVYPFIYSQRG-LBPRGKRZSA-N 0 3 233.359 2.925 20 0 BFADHN CCCC[C@@H](C)NCc1ccc(F)cn1 ZINC000309207907 136274894 /nfs/dbraw/zinc/27/48/94/136274894.db2.gz SIBOBCQLECXTKX-SNVBAGLBSA-N 0 3 210.296 2.889 20 0 BFADHN CC[C@@H](N)c1cn([C@@H](CC)c2ccccc2)nn1 ZINC000641011023 362125633 /nfs/dbraw/zinc/12/56/33/362125633.db2.gz BYSONVNONIXMDV-OCCSQVGLSA-N 0 3 244.342 2.687 20 0 BFADHN CC[C@H](C)CC(=O)Nc1cccc2c1CCNC2 ZINC000167207365 343208669 /nfs/dbraw/zinc/20/86/69/343208669.db2.gz QZVKMCIKZSPZHO-NSHDSACASA-N 0 3 246.354 2.707 20 0 BFADHN C[C@H](CCC1CC1)NCc1ccccn1 ZINC000336767288 136276215 /nfs/dbraw/zinc/27/62/15/136276215.db2.gz SVTNNHASYCLKEA-LLVKDONJSA-N 0 3 204.317 2.750 20 0 BFADHN CCC[N@H+](Cc1nc(C)ccc1[O-])C(C)C ZINC000132536153 343188610 /nfs/dbraw/zinc/18/86/10/343188610.db2.gz FJMZEUWXJQWWEG-UHFFFAOYSA-N 0 3 222.332 2.716 20 0 BFADHN CCC[N@@H+](Cc1nc(C)ccc1[O-])C(C)C ZINC000132536153 343188612 /nfs/dbraw/zinc/18/86/12/343188612.db2.gz FJMZEUWXJQWWEG-UHFFFAOYSA-N 0 3 222.332 2.716 20 0 BFADHN COc1cccc(CN[C@H](C)C(C)(C)C)n1 ZINC000133121988 343190036 /nfs/dbraw/zinc/19/00/36/343190036.db2.gz YBELSCRQJSKRQF-SNVBAGLBSA-N 0 3 222.332 2.614 20 0 BFADHN CCO[C@H](CN(C)[C@H](C)c1ccncc1)C1CC1 ZINC000625272456 343190376 /nfs/dbraw/zinc/19/03/76/343190376.db2.gz SJQQVNQZFXURTD-IUODEOHRSA-N 0 3 248.370 2.890 20 0 BFADHN CCO[C@H](CN[C@H](C)c1cccnc1)C1CC1 ZINC000625297140 343225450 /nfs/dbraw/zinc/22/54/50/343225450.db2.gz VVTBLDVWLPSPPW-BXUZGUMPSA-N 0 3 234.343 2.547 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cncc(OC)n1 ZINC000625323704 343232673 /nfs/dbraw/zinc/23/26/73/343232673.db2.gz IWDXPZPUFCVXOC-ZDUSSCGKSA-N 0 3 249.358 2.640 20 0 BFADHN C[C@H](NC[C@@H]1CC(C)(C)CO1)c1cccc(O)c1 ZINC000634686724 343235112 /nfs/dbraw/zinc/23/51/12/343235112.db2.gz QMVPTEGEEYBEOJ-FZMZJTMJSA-N 0 3 249.354 2.858 20 0 BFADHN OCc1ccc(F)c(CN2CC[C@@H]3CCC[C@@H]32)c1 ZINC000625341342 343240900 /nfs/dbraw/zinc/24/09/00/343240900.db2.gz FOSDBEYCYWSQSM-WFASDCNBSA-N 0 3 249.329 2.692 20 0 BFADHN CSCCNCc1ccc(F)cc1C ZINC000068890387 343251102 /nfs/dbraw/zinc/25/11/02/343251102.db2.gz QWIROHQZTOOBEF-UHFFFAOYSA-N 0 3 213.321 2.587 20 0 BFADHN CCCCNCc1nc2ccccc2o1 ZINC000308899187 346931091 /nfs/dbraw/zinc/93/10/91/346931091.db2.gz AXLGKZJUWZPLIO-UHFFFAOYSA-N 0 3 204.273 2.718 20 0 BFADHN C[C@@H](O)CNC1c2ccccc2-c2ccccc21 ZINC000035101379 343284473 /nfs/dbraw/zinc/28/44/73/343284473.db2.gz LJCRCHNKSHGUMI-LLVKDONJSA-N 0 3 239.318 2.727 20 0 BFADHN CC[C@H](N[C@@H](C)C(=O)N(C)C)c1ccc(C)cc1 ZINC000035138542 343286281 /nfs/dbraw/zinc/28/62/81/343286281.db2.gz HQRIVFUQYMFSOC-JSGCOSHPSA-N 0 3 248.370 2.512 20 0 BFADHN CCC(C)(C)CCn1cc([C@@H](N)C(C)C)nn1 ZINC000641049967 362157955 /nfs/dbraw/zinc/15/79/55/362157955.db2.gz QZSFEUOOQUJQFI-LBPRGKRZSA-N 0 3 238.379 2.760 20 0 BFADHN FC(F)n1ccnc1CNC1CCCCC1 ZINC000036804915 343324603 /nfs/dbraw/zinc/32/46/03/343324603.db2.gz DGTAEDHKJOOCHL-UHFFFAOYSA-N 0 3 229.274 2.701 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCCCC[C@H]2O)c1 ZINC000348752697 136296964 /nfs/dbraw/zinc/29/69/64/136296964.db2.gz GYPQVEWXZHHMLS-KCQAQPDRSA-N 0 3 248.370 2.734 20 0 BFADHN CCN(CC)CC(=O)NCC(C)(C)C(C)(C)C ZINC000584071829 343330836 /nfs/dbraw/zinc/33/08/36/343330836.db2.gz ZAHHCSYNZORQNO-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN CCCc1ccccc1NC(=O)[C@@H](N)C(C)C ZINC000037018857 343331192 /nfs/dbraw/zinc/33/11/92/343331192.db2.gz MYPNLCSGELEXCH-ZDUSSCGKSA-N 0 3 234.343 2.561 20 0 BFADHN CCCc1ccccc1NC(=O)[C@H](N)CCC ZINC000037018894 343331245 /nfs/dbraw/zinc/33/12/45/343331245.db2.gz DJMWMNBYHQXWQN-GFCCVEGCSA-N 0 3 234.343 2.705 20 0 BFADHN CCC[C@@H](NC(=O)[C@@H](N)CCC)c1ccccc1 ZINC000037030099 343331682 /nfs/dbraw/zinc/33/16/82/343331682.db2.gz ZVKYCXJFEFSADL-UONOGXRCSA-N 0 3 248.370 2.771 20 0 BFADHN Cc1ccc(CN[C@H](C)Cn2cccn2)cc1C ZINC000035272030 343291983 /nfs/dbraw/zinc/29/19/83/343291983.db2.gz KDXAQXKWJQOVSR-CQSZACIVSA-N 0 3 243.354 2.678 20 0 BFADHN C[C@@H](Cn1cccn1)N[C@@H](C)c1ccccc1 ZINC000035276524 343292359 /nfs/dbraw/zinc/29/23/59/343292359.db2.gz GDAHFHSYUUFSMS-STQMWFEESA-N 0 3 229.327 2.622 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1nccn1C ZINC000070008015 343296575 /nfs/dbraw/zinc/29/65/75/343296575.db2.gz YQTGINSMCUHHFM-DCAQKATOSA-N 0 3 209.337 2.505 20 0 BFADHN CC(C)C[C@H](C)N[C@H](C)c1nccn1C ZINC000070007588 343296662 /nfs/dbraw/zinc/29/66/62/343296662.db2.gz QADKJJXBRGRPHS-WDEREUQCSA-N 0 3 209.337 2.505 20 0 BFADHN C[C@H](O)CN[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000035601921 343298903 /nfs/dbraw/zinc/29/89/03/343298903.db2.gz QQPZPJAZZRBRSC-IUCAKERBSA-N 0 3 247.260 2.737 20 0 BFADHN C[C@H](O)CN[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000035601601 343298968 /nfs/dbraw/zinc/29/89/68/343298968.db2.gz CHATZFDMSRWLAG-IUCAKERBSA-N 0 3 247.260 2.737 20 0 BFADHN C[C@H](CO)NCc1ccc(-c2ccc(F)cc2)o1 ZINC000035602396 343299168 /nfs/dbraw/zinc/29/91/68/343299168.db2.gz XNKCXGCUCDFXDE-SNVBAGLBSA-N 0 3 249.285 2.556 20 0 BFADHN C[C@H](CO)N[C@H](C)c1ccccc1C(F)(F)F ZINC000035603347 343299743 /nfs/dbraw/zinc/29/97/43/343299743.db2.gz SPLQHMXMDRQQPZ-RKDXNWHRSA-N 0 3 247.260 2.737 20 0 BFADHN COc1cc(CN(C)[C@@H]2CCCOC2)ccc1C ZINC000348711895 136293924 /nfs/dbraw/zinc/29/39/24/136293924.db2.gz SILKWMZDWUZMIS-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CCCC[C@@H](C)NCc1c(C)noc1C ZINC000070125793 343300553 /nfs/dbraw/zinc/30/05/53/343300553.db2.gz BKXAQVYHDSNKFG-SECBINFHSA-N 0 3 210.321 2.960 20 0 BFADHN O[C@H]1CCN(Cc2cccc(F)c2)C2(CCC2)C1 ZINC000335612262 136295556 /nfs/dbraw/zinc/29/55/56/136295556.db2.gz QHAOAUQQYGOVGG-AWEZNQCLSA-N 0 3 249.329 2.705 20 0 BFADHN CCCCCCNC(=O)C[C@@H](N)c1ccccc1 ZINC000036204220 343312174 /nfs/dbraw/zinc/31/21/74/343312174.db2.gz QEUGJASKLMMEOT-CQSZACIVSA-N 0 3 248.370 2.773 20 0 BFADHN CCCCC(=O)Nc1cccc(CNC)c1 ZINC000036282651 343314035 /nfs/dbraw/zinc/31/40/35/343314035.db2.gz YBGZUSFKCJKPTN-UHFFFAOYSA-N 0 3 220.316 2.535 20 0 BFADHN CCCn1c2ccccc2nc1CNCC1CC1 ZINC000037878763 343358979 /nfs/dbraw/zinc/35/89/79/343358979.db2.gz MNDGXTWAVCVSTK-UHFFFAOYSA-N 0 3 243.354 2.946 20 0 BFADHN COCc1cccc(CNCc2ccncc2)c1 ZINC000038010424 343365090 /nfs/dbraw/zinc/36/50/90/343365090.db2.gz JKMNCEPNWBGSHR-UHFFFAOYSA-N 0 3 242.322 2.518 20 0 BFADHN CC[C@@H](C)NC(=O)CCN[C@H](C)c1ccccc1 ZINC000038013729 343365565 /nfs/dbraw/zinc/36/55/65/343365565.db2.gz MKXUYQJQNZKBRY-CHWSQXEVSA-N 0 3 248.370 2.642 20 0 BFADHN CC1(C)C[C@@H](c2ccccc2)N(CC(N)=O)C1 ZINC000335627920 136299882 /nfs/dbraw/zinc/29/98/82/136299882.db2.gz VYRWLIBJTSOGRR-LBPRGKRZSA-N 0 3 232.327 2.995 20 0 BFADHN CC/C=C\CCn1cc(CNC2CCCC2)nn1 ZINC000641066090 362173825 /nfs/dbraw/zinc/17/38/25/362173825.db2.gz SIFNNISGBMUKDV-ARJAWSKDSA-N 0 3 248.374 2.667 20 0 BFADHN Clc1cnccc1CN1CC[C@@H](C2CC2)C1 ZINC000335651923 136305424 /nfs/dbraw/zinc/30/54/24/136305424.db2.gz JWGOZCCWJWFWOU-LLVKDONJSA-N 0 3 236.746 2.967 20 0 BFADHN Cc1csc([C@H](C)N[C@@H](C)C2CC2)n1 ZINC000070634138 343336318 /nfs/dbraw/zinc/33/63/18/343336318.db2.gz ANCPVQANMQTSMQ-IUCAKERBSA-N 0 3 210.346 2.901 20 0 BFADHN C[C@@H](Cn1cccn1)N[C@@H](C)c1cccs1 ZINC000037155394 343336880 /nfs/dbraw/zinc/33/68/80/343336880.db2.gz OXTDISYNURLKMT-QWRGUYRKSA-N 0 3 235.356 2.684 20 0 BFADHN C[C@H](Cn1ccnc1)N[C@H](C)c1ccccc1F ZINC000037157878 343337595 /nfs/dbraw/zinc/33/75/95/343337595.db2.gz PJUVGARTUDQSBD-VXGBXAGGSA-N 0 3 247.317 2.762 20 0 BFADHN Cc1ccc(CN[C@@H](CC(C)C)CN(C)C)o1 ZINC000037166779 343337672 /nfs/dbraw/zinc/33/76/72/343337672.db2.gz WJYGRMVGIQZODI-ZDUSSCGKSA-N 0 3 238.375 2.654 20 0 BFADHN CO[C@@H]1CCCC[C@H]1NCc1cccc(F)c1 ZINC000037246985 343340860 /nfs/dbraw/zinc/34/08/60/343340860.db2.gz OUJFTRLPDHMZPW-ZIAGYGMSSA-N 0 3 237.318 2.873 20 0 BFADHN COc1ccccc1/C=C\CN(C)C[C@@H](C)OC ZINC000348831577 136303407 /nfs/dbraw/zinc/30/34/07/136303407.db2.gz GHXFFFGXMNOXAP-FNOHHLHBSA-N 0 3 249.354 2.675 20 0 BFADHN Cc1ccc(CN2CCCO[C@@H](CF)C2)s1 ZINC000335644392 136304138 /nfs/dbraw/zinc/30/41/38/136304138.db2.gz UUGBOVPEBZMQFQ-NSHDSACASA-N 0 3 243.347 2.617 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1cc(F)ccc1C ZINC000037621314 343349902 /nfs/dbraw/zinc/34/99/02/343349902.db2.gz ZBLOWDFTYFZWCT-ZDUSSCGKSA-N 0 3 238.306 2.590 20 0 BFADHN CCN(Cc1ccncc1)CC(C)(C)COC ZINC000348902698 136304661 /nfs/dbraw/zinc/30/46/61/136304661.db2.gz DFDGTZQZMDTGTC-UHFFFAOYSA-N 0 3 236.359 2.576 20 0 BFADHN CC[C@H](O)CNCc1cccc(C(F)(F)F)c1 ZINC000037688432 343351318 /nfs/dbraw/zinc/35/13/18/343351318.db2.gz FGBPPSUTIUZDNH-NSHDSACASA-N 0 3 247.260 2.566 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H](C)c1cnccn1 ZINC000070024951 187258480 /nfs/dbraw/zinc/25/84/80/187258480.db2.gz WULTVDQZRMMDNQ-MNOVXSKESA-N 0 3 207.321 2.562 20 0 BFADHN Cc1ncc(CN2CC[C@H](C(C)C)C2)s1 ZINC000335674705 136317932 /nfs/dbraw/zinc/31/79/32/136317932.db2.gz HQDSZZHWPBLCFI-NSHDSACASA-N 0 3 224.373 2.929 20 0 BFADHN CC[C@H]1CCN(Cc2nc(C)sc2C)C1 ZINC000335674845 136318281 /nfs/dbraw/zinc/31/82/81/136318281.db2.gz UIUALQWMHVQWSC-NSHDSACASA-N 0 3 224.373 2.992 20 0 BFADHN Cn1nc2c(c1CN[C@@H]1CCC1(C)C)CCCC2 ZINC000335676525 136318555 /nfs/dbraw/zinc/31/85/55/136318555.db2.gz OKNCGOOGKMGFII-CQSZACIVSA-N 0 3 247.386 2.577 20 0 BFADHN CC[C@](C)(N)c1cn([C@H]2C=CCCCCC2)nn1 ZINC000641075501 362183833 /nfs/dbraw/zinc/18/38/33/362183833.db2.gz JGSOBJAUCJXMPM-JSGCOSHPSA-N 0 3 248.374 2.923 20 0 BFADHN Fc1ccccc1C1(N[C@@H]2CCCOCC2)CC1 ZINC000335669874 136312717 /nfs/dbraw/zinc/31/27/17/136312717.db2.gz AMZMLKSUBGHNIA-GFCCVEGCSA-N 0 3 249.329 2.974 20 0 BFADHN CC[C@@](C)(N)c1cn([C@H]2C=CCCCCC2)nn1 ZINC000641075500 362183930 /nfs/dbraw/zinc/18/39/30/362183930.db2.gz JGSOBJAUCJXMPM-GXTWGEPZSA-N 0 3 248.374 2.923 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H]2C(F)F)cc(C)c1O ZINC000349018893 136313010 /nfs/dbraw/zinc/31/30/10/136313010.db2.gz AENXJSIVVNURBO-WDEREUQCSA-N 0 3 241.281 2.752 20 0 BFADHN C[C@H]1C[C@H](NCc2cc(Cl)cs2)CO1 ZINC000335664647 136316273 /nfs/dbraw/zinc/31/62/73/136316273.db2.gz VZCBMCAEXSWEMD-CBAPKCEASA-N 0 3 231.748 2.669 20 0 BFADHN CC[C@H](N)c1cn([C@H](C)c2ccccc2C)nn1 ZINC000641080214 362195013 /nfs/dbraw/zinc/19/50/13/362195013.db2.gz UGYXRHJPJMDPAP-YPMHNXCESA-N 0 3 244.342 2.606 20 0 BFADHN CC[C@H](N)c1cn([C@@H](C)c2ccccc2C)nn1 ZINC000641080211 362194710 /nfs/dbraw/zinc/19/47/10/362194710.db2.gz UGYXRHJPJMDPAP-AAEUAGOBSA-N 0 3 244.342 2.606 20 0 BFADHN CCNCc1ccccc1OCc1ccncc1 ZINC000034653073 136322039 /nfs/dbraw/zinc/32/20/39/136322039.db2.gz PJAYTWULXSBWNL-UHFFFAOYSA-N 0 3 242.322 2.770 20 0 BFADHN CC[C@@H](N)c1cn([C@@H]2C=CCCCCC2)nn1 ZINC000641075947 362185060 /nfs/dbraw/zinc/18/50/60/362185060.db2.gz OCECSCZCNQSMBY-VXGBXAGGSA-N 0 3 234.347 2.749 20 0 BFADHN OCc1ccc(CN[C@@H]2C[C@@H]2C2CCCCC2)o1 ZINC000525708629 259359495 /nfs/dbraw/zinc/35/94/95/259359495.db2.gz GWGMCFUXZBZKJH-HUUCEWRRSA-N 0 3 249.354 2.830 20 0 BFADHN c1csc(CNC2CCCCCC2)n1 ZINC000040444037 343403633 /nfs/dbraw/zinc/40/36/33/343403633.db2.gz CUYXWMLKOHYMPT-UHFFFAOYSA-N 0 3 210.346 2.956 20 0 BFADHN COc1c(O)cccc1CNC1C(C)(C)C1(C)C ZINC000349153441 136326987 /nfs/dbraw/zinc/32/69/87/136326987.db2.gz SFXAACRKSNBDIA-UHFFFAOYSA-N 0 3 249.354 2.925 20 0 BFADHN c1cc(-c2ccc(CN3CCCCC3)o2)n[nH]1 ZINC000335698172 136327949 /nfs/dbraw/zinc/32/79/49/136327949.db2.gz IOOPEDPCNZPWCI-UHFFFAOYSA-N 0 3 231.299 2.656 20 0 BFADHN COC[C@H](NCC1CCC1)c1ccc(F)cc1 ZINC000342345719 536876770 /nfs/dbraw/zinc/87/67/70/536876770.db2.gz VLFRLLRMVFNPBX-AWEZNQCLSA-N 0 3 237.318 2.903 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H](C)c1ccc(CC)o1 ZINC000349378662 136343707 /nfs/dbraw/zinc/34/37/07/136343707.db2.gz LYBVSVUUCLLYMM-CZVUKNJHSA-N 0 3 237.343 2.820 20 0 BFADHN Cc1cccc(CN(C)CCN(C)C2CC2)c1C ZINC000349381813 136344160 /nfs/dbraw/zinc/34/41/60/136344160.db2.gz IDCDAZPVFKXGLA-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1ncccc1CNCc1cccs1 ZINC000082420340 343441296 /nfs/dbraw/zinc/44/12/96/343441296.db2.gz SNBZLSOOQHZIAR-UHFFFAOYSA-N 0 3 218.325 2.741 20 0 BFADHN Cc1cc(CN[C@H]2CCC23CCCC3)nc(C)n1 ZINC000335726373 136347567 /nfs/dbraw/zinc/34/75/67/136347567.db2.gz ZZJYAQSZCCUABY-AWEZNQCLSA-N 0 3 245.370 2.906 20 0 BFADHN Cc1oncc1CN1CC[C@H](C)C(C)(C)C1 ZINC000335685891 136330298 /nfs/dbraw/zinc/33/02/98/136330298.db2.gz HLYADZVAZFXQHL-JTQLQIEISA-N 0 3 222.332 2.851 20 0 BFADHN Cc1cc(C)cc(CN[C@@H](C)Cn2cccn2)c1 ZINC000042319603 343434526 /nfs/dbraw/zinc/43/45/26/343434526.db2.gz FAIITTDSMKLFSA-AWEZNQCLSA-N 0 3 243.354 2.678 20 0 BFADHN CC(C)c1ccc(CN(C)C(=O)C(C)(C)N)cc1 ZINC000042372735 343435878 /nfs/dbraw/zinc/43/58/78/343435878.db2.gz PAVAWEIDFJFGKX-UHFFFAOYSA-N 0 3 248.370 2.506 20 0 BFADHN CC(C)N(CCO)Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000350049466 136353419 /nfs/dbraw/zinc/35/34/19/136353419.db2.gz BXYQMTVIGANKPF-AAEUAGOBSA-N 0 3 237.343 2.606 20 0 BFADHN Cc1ncccc1CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000335896927 136359281 /nfs/dbraw/zinc/35/92/81/136359281.db2.gz BHUCBSUFXYCLIA-GJZGRUSLSA-N 0 3 246.354 2.533 20 0 BFADHN CC[C@H]1C[C@@H](CN(C)Cc2cccnc2)CCO1 ZINC000350457977 136360802 /nfs/dbraw/zinc/36/08/02/136360802.db2.gz JAIPYSVWKSPELF-ZFWWWQNUSA-N 0 3 248.370 2.719 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1nccc2ccccc21 ZINC000353250218 136364099 /nfs/dbraw/zinc/36/40/99/136364099.db2.gz FLFCNURUIGSACR-NEPJUHHUSA-N 0 3 244.338 2.920 20 0 BFADHN CCOc1ccccc1CNC[C@H]1CCCCO1 ZINC000042811738 343454525 /nfs/dbraw/zinc/45/45/25/343454525.db2.gz HTDFHSRHFBJHQJ-CQSZACIVSA-N 0 3 249.354 2.744 20 0 BFADHN Cc1cccc(CCCN2CCN(C)C[C@H]2C)c1 ZINC000350946205 136365851 /nfs/dbraw/zinc/36/58/51/136365851.db2.gz BFKOUTUGGYQVCS-OAHLLOKOSA-N 0 3 246.398 2.564 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@H]2C)sc1C ZINC000166918270 136366917 /nfs/dbraw/zinc/36/69/17/136366917.db2.gz UMHXTAYEXUXVEQ-XCBNKYQSSA-N 0 3 210.346 2.506 20 0 BFADHN Cc1nc(CNC[C@H]2C[C@@H]2C)sc1C ZINC000166918163 136366991 /nfs/dbraw/zinc/36/69/91/136366991.db2.gz UMHXTAYEXUXVEQ-OIBJUYFYSA-N 0 3 210.346 2.506 20 0 BFADHN Clc1ccc(CNCCc2cscn2)o1 ZINC000082626123 343456621 /nfs/dbraw/zinc/45/66/21/343456621.db2.gz PQDTUJSHOIQZTF-UHFFFAOYSA-N 0 3 242.731 2.722 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCC(=O)Nc1cccc(C)c1 ZINC000042556232 343443307 /nfs/dbraw/zinc/44/33/07/343443307.db2.gz LCLDPIKLNRUXAV-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cc(C)cc(CN[C@H]2CO[C@H](C)C2)c1 ZINC000402117819 349810571 /nfs/dbraw/zinc/81/05/71/349810571.db2.gz GCUPMHNCEYIEMP-TZMCWYRMSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1nc(F)ccc1CN(C)C1CCC1 ZINC000278473079 187340716 /nfs/dbraw/zinc/34/07/16/187340716.db2.gz QXHVOIMOORTEOP-UHFFFAOYSA-N 0 3 208.280 2.513 20 0 BFADHN CSC1CCN([C@H](C)c2ccccn2)CC1 ZINC000602922333 349811860 /nfs/dbraw/zinc/81/18/60/349811860.db2.gz HTRYLDWWXCPUMN-LLVKDONJSA-N 0 3 236.384 2.970 20 0 BFADHN Cc1nc(F)ccc1CN1CC[C@@H](C)[C@H]1C ZINC000278431202 187340722 /nfs/dbraw/zinc/34/07/22/187340722.db2.gz KAESPGRDSRFFKL-MWLCHTKSSA-N 0 3 222.307 2.759 20 0 BFADHN Fc1cc(CNC[C@@H]2CCCO2)ccc1Cl ZINC000043388385 343468901 /nfs/dbraw/zinc/46/89/01/343468901.db2.gz KMWCQNBREODCJW-JTQLQIEISA-N 0 3 243.709 2.748 20 0 BFADHN FC(F)n1ccnc1CNC[C@@H]1CC=CCC1 ZINC000045630513 343531752 /nfs/dbraw/zinc/53/17/52/343531752.db2.gz LOPGHKBBCBABMO-SNVBAGLBSA-N 0 3 241.285 2.724 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@@H](C)c1cc(C)oc1C ZINC000278755646 187340987 /nfs/dbraw/zinc/34/09/87/187340987.db2.gz OCIUASGOMWKDFL-ILBNWAPMSA-N 0 3 237.343 2.874 20 0 BFADHN Cc1ccc(CNCCOC(C)C)c(F)c1 ZINC000631110636 343538108 /nfs/dbraw/zinc/53/81/08/343538108.db2.gz JGXQHBORPVFQAT-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN CC1(C)C[C@H]1NCc1cnn(-c2ccccc2)c1 ZINC000044370928 343498727 /nfs/dbraw/zinc/49/87/27/343498727.db2.gz KSHKDRHEFFVXQX-CQSZACIVSA-N 0 3 241.338 2.760 20 0 BFADHN CCn1c2ccccc2nc1CN[C@H]1CC1(C)C ZINC000044370420 343498879 /nfs/dbraw/zinc/49/88/79/343498879.db2.gz YSKDYEQQRAZTBK-ZDUSSCGKSA-N 0 3 243.354 2.944 20 0 BFADHN C[C@@H](N[C@H](C)c1ccccc1)c1cnn(C)c1 ZINC000044494228 343503108 /nfs/dbraw/zinc/50/31/08/343503108.db2.gz YAWXOXNDOTYEAN-VXGBXAGGSA-N 0 3 229.327 2.832 20 0 BFADHN CCSCCN(C)Cc1ccn(CC)c1 ZINC000616737757 343556622 /nfs/dbraw/zinc/55/66/22/343556622.db2.gz PIYDDIORJGUODT-UHFFFAOYSA-N 0 3 226.389 2.693 20 0 BFADHN C[C@H](Cc1ccsc1)N(C)C[C@@H]1CCCO1 ZINC000176454345 365766365 /nfs/dbraw/zinc/76/63/65/365766365.db2.gz PNXAABBHQHBYFE-YPMHNXCESA-N 0 3 239.384 2.790 20 0 BFADHN CC(C)CC[C@@H](O)CN[C@H](C)c1cccnc1 ZINC000280123792 187341583 /nfs/dbraw/zinc/34/15/83/187341583.db2.gz ACNBRMSUUPFAJL-TZMCWYRMSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)=CCNCc1ccnn1-c1ccccc1 ZINC000279104479 187341726 /nfs/dbraw/zinc/34/17/26/187341726.db2.gz JQISGQJOBDLTLB-UHFFFAOYSA-N 0 3 241.338 2.928 20 0 BFADHN C[C@H](CF)NCc1ccnc2ccccc12 ZINC000309734679 537785288 /nfs/dbraw/zinc/78/52/88/537785288.db2.gz GCWVONKGSZVNFU-SNVBAGLBSA-N 0 3 218.275 2.682 20 0 BFADHN Clc1cccc(CN[C@H]2CCSC2)c1 ZINC000048408858 343580864 /nfs/dbraw/zinc/58/08/64/343580864.db2.gz ZDQDFTJGQMQTOE-NSHDSACASA-N 0 3 227.760 2.935 20 0 BFADHN Clc1cccc(CN[C@@H]2CCSC2)c1 ZINC000048408855 343580880 /nfs/dbraw/zinc/58/08/80/343580880.db2.gz ZDQDFTJGQMQTOE-LLVKDONJSA-N 0 3 227.760 2.935 20 0 BFADHN CC1(C)C(NCc2ccncc2)C1(C)C ZINC000086239624 343615610 /nfs/dbraw/zinc/61/56/10/343615610.db2.gz AWMAEDZTQCDBQE-UHFFFAOYSA-N 0 3 204.317 2.606 20 0 BFADHN Cc1csc(CN[C@@H](C)CC2CC2)n1 ZINC000082725481 187267333 /nfs/dbraw/zinc/26/73/33/187267333.db2.gz CXPXWRBVHYYLCM-QMMMGPOBSA-N 0 3 210.346 2.730 20 0 BFADHN CCN(Cc1cccc(Cl)n1)C1CC1 ZINC000085696735 343601440 /nfs/dbraw/zinc/60/14/40/343601440.db2.gz KTFAMRHRDZSELS-UHFFFAOYSA-N 0 3 210.708 2.719 20 0 BFADHN CN(Cc1ccsc1)C[C@@H]1CCSC1 ZINC000683825523 488226591 /nfs/dbraw/zinc/22/65/91/488226591.db2.gz GOPSRBOJPNWITB-NSHDSACASA-N 0 3 227.398 2.933 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)C1(C)CC1 ZINC000086109908 343612184 /nfs/dbraw/zinc/61/21/84/343612184.db2.gz HVPWGTZFMVUBBL-SNVBAGLBSA-N 0 3 208.305 2.570 20 0 BFADHN CC(C)N(C)Cc1cnc(C(C)(C)C)nc1 ZINC000284409056 187344291 /nfs/dbraw/zinc/34/42/91/187344291.db2.gz QIMDXXMNUQGJKV-UHFFFAOYSA-N 0 3 221.348 2.614 20 0 BFADHN COc1ccccc1[C@@H](CO)NCC1(C)CCC1 ZINC000577044607 365786594 /nfs/dbraw/zinc/78/65/94/365786594.db2.gz VYGUEFAOGDWDRR-CYBMUJFWSA-N 0 3 249.354 2.508 20 0 BFADHN CCCN(CC(=O)Nc1ccccc1)CC1CC1 ZINC000052891296 343641389 /nfs/dbraw/zinc/64/13/89/343641389.db2.gz UWFIPGWTNUZMFV-UHFFFAOYSA-N 0 3 246.354 2.747 20 0 BFADHN Cc1nc(CN[C@H](C)C(C)C)sc1C ZINC000087375472 343645844 /nfs/dbraw/zinc/64/58/44/343645844.db2.gz NMGVAUQHBMXCEU-MRVPVSSYSA-N 0 3 212.362 2.894 20 0 BFADHN CC[C@H](CNCc1ccc(C)cc1F)OC ZINC000631139753 343646383 /nfs/dbraw/zinc/64/63/83/343646383.db2.gz IOQCJXXOVLDXHH-GFCCVEGCSA-N 0 3 225.307 2.649 20 0 BFADHN C[C@H]1CC[C@H]1NCc1cccc(F)c1F ZINC000379804668 343629610 /nfs/dbraw/zinc/62/96/10/343629610.db2.gz NMAWJLXZIYHLHE-GZMMTYOYSA-N 0 3 211.255 2.853 20 0 BFADHN Cc1ccc(CN[C@](C)(CO)C(C)C)c(F)c1 ZINC000631140025 343652512 /nfs/dbraw/zinc/65/25/12/343652512.db2.gz JWRFHFDXYQJPBO-CQSZACIVSA-N 0 3 239.334 2.631 20 0 BFADHN COc1ccncc1CN1CCCC[C@@H](C)C1 ZINC000287909775 187346341 /nfs/dbraw/zinc/34/63/41/187346341.db2.gz VJVXFLDRRNKAEK-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN COCCN(Cc1cccc(Cl)c1)C1CC1 ZINC000048023679 343701657 /nfs/dbraw/zinc/70/16/57/343701657.db2.gz FNJUFQBBZWELMU-UHFFFAOYSA-N 0 3 239.746 2.951 20 0 BFADHN CCC[C@H](C)N(C)Cc1c(C)noc1C ZINC000048070621 343708170 /nfs/dbraw/zinc/70/81/70/343708170.db2.gz WDURNYYNMXLTKP-VIFPVBQESA-N 0 3 210.321 2.912 20 0 BFADHN CCc1nc(CNCCC2CC2)cs1 ZINC000083894923 343682911 /nfs/dbraw/zinc/68/29/11/343682911.db2.gz WWOTWNHSLZJQBP-UHFFFAOYSA-N 0 3 210.346 2.595 20 0 BFADHN CC[C@H](C)N[C@@H]1CCCc2scnc21 ZINC000623849243 343688773 /nfs/dbraw/zinc/68/87/73/343688773.db2.gz ABFKFGHSCKZEJT-DTWKUNHWSA-N 0 3 210.346 2.909 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN(C)[C@@H]2CCC[C@H]2O)o1 ZINC000289230683 187347276 /nfs/dbraw/zinc/34/72/76/187347276.db2.gz CZDDAANQRJVWBX-FMKGYKFTSA-N 0 3 249.354 2.748 20 0 BFADHN COc1ccc(CNCC[C@H](C)F)c(F)c1 ZINC000584177128 343769814 /nfs/dbraw/zinc/76/98/14/343769814.db2.gz LBTLBKCTFDBFRL-VIFPVBQESA-N 0 3 229.270 2.672 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCC=C(c2ccccn2)C1 ZINC000625447864 343772037 /nfs/dbraw/zinc/77/20/37/343772037.db2.gz KUKYDRQBIFNRKU-TZMCWYRMSA-N 0 3 228.339 2.827 20 0 BFADHN CN(C)Cc1ccccc1NC(=O)c1ccco1 ZINC000031232897 343774800 /nfs/dbraw/zinc/77/48/00/343774800.db2.gz IOFILDJUBYNEET-UHFFFAOYSA-N 0 3 244.294 2.594 20 0 BFADHN Cc1cc(CN2CCC[C@H]2C(C)C)on1 ZINC000063618714 343753592 /nfs/dbraw/zinc/75/35/92/343753592.db2.gz XYPUAAGDJSFULG-LBPRGKRZSA-N 0 3 208.305 2.603 20 0 BFADHN CC(C)N(Cc1ccsc1)[C@@H]1CCOC1 ZINC000291287159 187348507 /nfs/dbraw/zinc/34/85/07/187348507.db2.gz PFFGVCJVGBEIKW-GFCCVEGCSA-N 0 3 225.357 2.747 20 0 BFADHN CCC[C@H](N)C(=O)N1CCC[C@@H](C)[C@H]1CCC ZINC000262482674 349857498 /nfs/dbraw/zinc/85/74/98/349857498.db2.gz SFNVKMVXFNIYSS-FRRDWIJNSA-N 0 3 240.391 2.541 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1ccc(CO)o1 ZINC000295114517 187350781 /nfs/dbraw/zinc/35/07/81/187350781.db2.gz VMNRXMZFJIKMHH-LBPRGKRZSA-N 0 3 237.343 2.996 20 0 BFADHN Cc1oncc1CN1[C@@H](C)CCC[C@@H]1C ZINC000128592227 349871110 /nfs/dbraw/zinc/87/11/10/349871110.db2.gz RDWUQVXRPXACMU-UWVGGRQHSA-N 0 3 208.305 2.746 20 0 BFADHN CC(C)CN(Cc1c[nH]cn1)CC(C)C ZINC000179504053 346987300 /nfs/dbraw/zinc/98/73/00/346987300.db2.gz DELXXTDUGGVYFZ-UHFFFAOYSA-N 0 3 209.337 2.524 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1ccccc1F ZINC000387656120 346992593 /nfs/dbraw/zinc/99/25/93/346992593.db2.gz FXLQDTUQZKIQDA-PWSUYJOCSA-N 0 3 207.292 2.961 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@H](CO)c1ccsc1 ZINC000336675208 537824280 /nfs/dbraw/zinc/82/42/80/537824280.db2.gz PITGKTGDJPYYPI-RWMBFGLXSA-N 0 3 239.384 2.806 20 0 BFADHN COc1ccc(CN2CCCC2)cc1C ZINC000297802117 187352474 /nfs/dbraw/zinc/35/24/74/187352474.db2.gz SISQNPZMOBSBRH-UHFFFAOYSA-N 0 3 205.301 2.599 20 0 BFADHN c1ncc(CN2CCC(c3ccccc3)CC2)[nH]1 ZINC000179924563 347004190 /nfs/dbraw/zinc/00/41/90/347004190.db2.gz FJLVYOJFGHCUNW-UHFFFAOYSA-N 0 3 241.338 2.789 20 0 BFADHN CC[C@H](N[C@H](C)c1ncc[nH]1)C(C)(C)C ZINC000336729243 537832629 /nfs/dbraw/zinc/83/26/29/537832629.db2.gz IVWAVDWUHHHJRF-ZJUUUORDSA-N 0 3 209.337 2.885 20 0 BFADHN CN(CCO)Cc1ccc(-c2ccccc2)s1 ZINC000305738186 529920602 /nfs/dbraw/zinc/92/06/02/529920602.db2.gz VXRBQYHVKAQODT-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCN(C)C2(CCCCC2)C1 ZINC000625453560 343860790 /nfs/dbraw/zinc/86/07/90/343860790.db2.gz OYAXMEVGTOOOKQ-ZIAGYGMSSA-N 0 3 236.403 2.593 20 0 BFADHN CC(C)C[C@@H]1CCN(Cc2ccccn2)C1 ZINC000074994087 187277184 /nfs/dbraw/zinc/27/71/84/187277184.db2.gz IPLHTXPWLRXBCY-ZDUSSCGKSA-N 0 3 218.344 2.950 20 0 BFADHN CC[C@H](C)N1CCO[C@@]2(CCc3ccccc32)C1 ZINC000248373286 537834591 /nfs/dbraw/zinc/83/45/91/537834591.db2.gz HTGBMTJNULNECK-BBRMVZONSA-N 0 3 245.366 2.959 20 0 BFADHN Cc1ccc(CNCCOCC(F)(F)F)cc1 ZINC000042238552 178606446 /nfs/dbraw/zinc/60/64/46/178606446.db2.gz MXPWHHFTBWXVAD-UHFFFAOYSA-N 0 3 247.260 2.664 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1ccc(C#N)s1 ZINC000393613782 343900629 /nfs/dbraw/zinc/90/06/29/343900629.db2.gz SRVVHEJYUIGJSB-KWQFWETISA-N 0 3 238.381 2.603 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H](C)n2ccnc21)C(C)(C)C ZINC000345347646 343905352 /nfs/dbraw/zinc/90/53/52/343905352.db2.gz DXMVYTMJEUUWHA-GMTAPVOTSA-N 0 3 221.348 2.913 20 0 BFADHN CCN(Cc1ccccc1NC(C)=O)C1CC1 ZINC000180103097 347013590 /nfs/dbraw/zinc/01/35/90/347013590.db2.gz QHFIQPVWNNDGAV-UHFFFAOYSA-N 0 3 232.327 2.629 20 0 BFADHN Cc1noc(C)c1CN1CCCCCC1 ZINC000024547678 347016480 /nfs/dbraw/zinc/01/64/80/347016480.db2.gz CRXVULUZRWBKIP-UHFFFAOYSA-N 0 3 208.305 2.667 20 0 BFADHN Cc1cc(OCCC[C@@H](C)O)c(C)c(C)n1 ZINC000570581289 323063880 /nfs/dbraw/zinc/06/38/80/323063880.db2.gz MUOJEYICQYLLOL-SNVBAGLBSA-N 0 3 223.316 2.547 20 0 BFADHN CC[C@H](C)N[C@H]1COc2ccc(C)cc21 ZINC000090452212 343932016 /nfs/dbraw/zinc/93/20/16/343932016.db2.gz YJACARRJFPFRJO-JQWIXIFHSA-N 0 3 205.301 2.817 20 0 BFADHN CC[C@@H](C)N[C@@H]1COc2ccc(C)cc21 ZINC000090452209 343932022 /nfs/dbraw/zinc/93/20/22/343932022.db2.gz YJACARRJFPFRJO-ZYHUDNBSSA-N 0 3 205.301 2.817 20 0 BFADHN CCCN(C)Cc1cc(F)ccc1OC ZINC000069092876 343932519 /nfs/dbraw/zinc/93/25/19/343932519.db2.gz OCGZYMYLCMAGHZ-UHFFFAOYSA-N 0 3 211.280 2.676 20 0 BFADHN CCCOc1cccc(CNCCSC)c1 ZINC000070184875 343949128 /nfs/dbraw/zinc/94/91/28/343949128.db2.gz AZCJVXRXHCTUTG-UHFFFAOYSA-N 0 3 239.384 2.928 20 0 BFADHN CCN(C)Cc1ccc(OC(F)F)cc1 ZINC000070980738 343958223 /nfs/dbraw/zinc/95/82/23/343958223.db2.gz STODLSQMWRVCLJ-UHFFFAOYSA-N 0 3 215.243 2.740 20 0 BFADHN C[C@H]1CCCN(Cc2cnc(C3CC3)o2)C1 ZINC000628118863 343969604 /nfs/dbraw/zinc/96/96/04/343969604.db2.gz NUTMKWWNRRRIFZ-JTQLQIEISA-N 0 3 220.316 2.784 20 0 BFADHN CN(C/C=C\c1ccccc1)CC1(C)COC1 ZINC000625787771 344074716 /nfs/dbraw/zinc/07/47/16/344074716.db2.gz GYQFCDRFNNDZDR-TWGQIWQCSA-N 0 3 231.339 2.668 20 0 BFADHN Cc1nc(CN2CC(C)(C)CCC2(C)C)n[nH]1 ZINC000625724513 344057365 /nfs/dbraw/zinc/05/73/65/344057365.db2.gz KFRXNJUYTKHQCW-UHFFFAOYSA-N 0 3 236.363 2.514 20 0 BFADHN CC[C@@H](C)CN1Cc2ccc(O)cc2C1 ZINC000625726119 344058123 /nfs/dbraw/zinc/05/81/23/344058123.db2.gz CTEMQSHKWTVMOM-SNVBAGLBSA-N 0 3 205.301 2.754 20 0 BFADHN C/C=C/CNCc1ccccc1OCC ZINC000395063937 344059415 /nfs/dbraw/zinc/05/94/15/344059415.db2.gz ASGGCQZJILPOIN-HWKANZROSA-N 0 3 205.301 2.751 20 0 BFADHN CNCc1ccc(F)cc1C(F)(F)F ZINC000083344911 187283996 /nfs/dbraw/zinc/28/39/96/187283996.db2.gz ANJUBGOFWBMZGY-UHFFFAOYSA-N 0 3 207.170 2.564 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000395081000 344064685 /nfs/dbraw/zinc/06/46/85/344064685.db2.gz TVBQFBVFQLPHPZ-HMDXOVGESA-N 0 3 220.272 2.822 20 0 BFADHN Cc1cc(CNCCCC(C)(C)C)n(C)n1 ZINC000313468690 344072248 /nfs/dbraw/zinc/07/22/48/344072248.db2.gz FKQYRNWBZZKOQG-UHFFFAOYSA-N 0 3 223.364 2.644 20 0 BFADHN c1ncc(CN2CC[C@H]3CC[C@@H](C2)S3)s1 ZINC000625778736 344072381 /nfs/dbraw/zinc/07/23/81/344072381.db2.gz OGZYSIXRPMIVNN-ZJUUUORDSA-N 0 3 240.397 2.613 20 0 BFADHN c1ccc([C@H](NC[C@H]2CCCO2)C2CCC2)nc1 ZINC000625783051 344073079 /nfs/dbraw/zinc/07/30/79/344073079.db2.gz ATOCYYVTRRGSMS-UKRRQHHQSA-N 0 3 246.354 2.691 20 0 BFADHN Cc1sccc1CN[C@@H]1C[C@H](C)O[C@H]1C ZINC000384761791 344109974 /nfs/dbraw/zinc/10/99/74/344109974.db2.gz OEEHFGDDKXSNOC-HOTUBEGUSA-N 0 3 225.357 2.712 20 0 BFADHN Cc1ccnc(CN(C2CC2)C2CC2)c1 ZINC000625843555 344094355 /nfs/dbraw/zinc/09/43/55/344094355.db2.gz YHPCLQCVNCPGRV-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@H](C)C(C)(C)C ZINC000188003929 259372947 /nfs/dbraw/zinc/37/29/47/259372947.db2.gz BHLHDRCZFYFBCT-GHMZBOCLSA-N 0 3 221.348 2.870 20 0 BFADHN CCSCCN(C)Cc1cccc(O)c1 ZINC000080923987 344134962 /nfs/dbraw/zinc/13/49/62/344134962.db2.gz WXRVTZVLSIUXIW-UHFFFAOYSA-N 0 3 225.357 2.577 20 0 BFADHN Cc1ccc([C@@H](C)CN2CCO[C@@H](C)C2)cc1 ZINC000628126744 344156124 /nfs/dbraw/zinc/15/61/24/344156124.db2.gz GAZIUOVGONVLEY-KBPBESRZSA-N 0 3 233.355 2.819 20 0 BFADHN CC/C=C\CCN1CCO[C@H](C(F)F)CC1 ZINC000634758473 344226127 /nfs/dbraw/zinc/22/61/27/344226127.db2.gz POVVKLPJFXUJHQ-BYCRGOAPSA-N 0 3 233.302 2.699 20 0 BFADHN Cc1ccc2nc(CN3C[C@@H](C)C[C@@H]3C)cn2c1 ZINC000084088662 344187567 /nfs/dbraw/zinc/18/75/67/344187567.db2.gz SZFDPUBWAZIELQ-STQMWFEESA-N 0 3 243.354 2.873 20 0 BFADHN COc1ccc(CSCCN(C)C)cc1C ZINC000600530134 344259008 /nfs/dbraw/zinc/25/90/08/344259008.db2.gz PASZEKJNQUREFX-UHFFFAOYSA-N 0 3 239.384 2.798 20 0 BFADHN CCCNCc1cc2c(cc1OC)C[C@@H](C)O2 ZINC000060815356 344269841 /nfs/dbraw/zinc/26/98/41/344269841.db2.gz LMSSKRGLHQKPFO-SNVBAGLBSA-N 0 3 235.327 2.518 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cc(F)ccc1OC ZINC000387725152 347043777 /nfs/dbraw/zinc/04/37/77/347043777.db2.gz QLZZDMMAYSKIQD-MNOVXSKESA-N 0 3 237.318 2.970 20 0 BFADHN C[C@@H]1CCC[C@@H](CN(C)Cc2cn[nH]c2)C1 ZINC000678466507 488257356 /nfs/dbraw/zinc/25/73/56/488257356.db2.gz GXGKLRBAJYLXIG-VXGBXAGGSA-N 0 3 221.348 2.668 20 0 BFADHN COCCCN(C)Cc1ccc(C)c(F)c1 ZINC000092537447 344297966 /nfs/dbraw/zinc/29/79/66/344297966.db2.gz LERUPVKUQMTLDN-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN CCN(Cc1ccc(C)o1)C[C@@H]1CCCO1 ZINC000092407114 344295305 /nfs/dbraw/zinc/29/53/05/344295305.db2.gz ILCMNTKNZLBBLO-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN CC1(C)CCC[C@H]1NCc1nccn1C(F)F ZINC000094266063 344327419 /nfs/dbraw/zinc/32/74/19/344327419.db2.gz IQWBYQPVPMDJGJ-SECBINFHSA-N 0 3 243.301 2.947 20 0 BFADHN CC(C)C[C@@H](CN(C)C)N[C@@H](C)c1ccoc1 ZINC000094868844 344331491 /nfs/dbraw/zinc/33/14/91/344331491.db2.gz ORZMIXGOVFWWEW-JSGCOSHPSA-N 0 3 238.375 2.907 20 0 BFADHN c1cc(CN(C2CC2)C2CCCCC2)on1 ZINC000600624723 344343066 /nfs/dbraw/zinc/34/30/66/344343066.db2.gz FIAFVGNWBHFJPV-UHFFFAOYSA-N 0 3 220.316 2.972 20 0 BFADHN CCCC[C@@H]1CCC[C@H]1NC(=O)[C@@H](N)CCC ZINC000236867524 344427154 /nfs/dbraw/zinc/42/71/54/344427154.db2.gz SCVGBSNKMSNPIB-FRRDWIJNSA-N 0 3 240.391 2.589 20 0 BFADHN COCC1(NCc2cc3ccc(F)cc3[nH]2)CC1 ZINC000584267458 344372941 /nfs/dbraw/zinc/37/29/41/344372941.db2.gz VSEBWFLLFWLLAR-UHFFFAOYSA-N 0 3 248.301 2.576 20 0 BFADHN CSCCN[C@H](C)c1cnn(C(C)C)c1C ZINC000381412597 344505018 /nfs/dbraw/zinc/50/50/18/344505018.db2.gz VANQRRNTHNSUPV-SNVBAGLBSA-N 0 3 241.404 2.786 20 0 BFADHN CC[C@H](O)CN(C)Cc1ccc(Cl)cc1 ZINC000042439294 344453570 /nfs/dbraw/zinc/45/35/70/344453570.db2.gz ZSKKKIUDODFOAV-LBPRGKRZSA-N 0 3 227.735 2.543 20 0 BFADHN CC[C@@H](NCc1ncc(Cl)n1C)C(C)C ZINC000042589107 344460086 /nfs/dbraw/zinc/46/00/86/344460086.db2.gz KTHJVZGLCKIJCN-SECBINFHSA-N 0 3 229.755 2.598 20 0 BFADHN Cc1ccc([C@@H](C)CNCc2cc[nH]n2)cc1 ZINC000119695159 344463422 /nfs/dbraw/zinc/46/34/22/344463422.db2.gz XJIWAGNZKFOJGG-LBPRGKRZSA-N 0 3 229.327 2.611 20 0 BFADHN CS[C@H]1CCC[C@@H](NCc2cc(C)no2)C1 ZINC000381007839 344468170 /nfs/dbraw/zinc/46/81/70/344468170.db2.gz RCIDEUXGNXMVGD-PWSUYJOCSA-N 0 3 240.372 2.747 20 0 BFADHN CCc1nc(CN[C@H]2CC[C@H]2C2CC2)cs1 ZINC000309900119 344472419 /nfs/dbraw/zinc/47/24/19/344472419.db2.gz HGLUELNDTLYIPJ-RYUDHWBXSA-N 0 3 236.384 2.984 20 0 BFADHN CC[C@@H](NCc1ccccc1F)[C@H]1CCCO1 ZINC000119682098 344477734 /nfs/dbraw/zinc/47/77/34/344477734.db2.gz ISPUEZXJXXONPQ-ZIAGYGMSSA-N 0 3 237.318 2.873 20 0 BFADHN CCN(CC(C)C)C(=O)C[C@H](N)c1ccccc1 ZINC000037111693 344488219 /nfs/dbraw/zinc/48/82/19/344488219.db2.gz XWPMCCJTGRODEG-AWEZNQCLSA-N 0 3 248.370 2.581 20 0 BFADHN C[C@@H]1C[C@H](NCc2cocn2)CC(C)(C)C1 ZINC000381515934 344515338 /nfs/dbraw/zinc/51/53/38/344515338.db2.gz SGLMBYOPQJMIOX-MNOVXSKESA-N 0 3 222.332 2.979 20 0 BFADHN COCc1nc(CNC(C)(C)C2CC2)cs1 ZINC000381549757 344520430 /nfs/dbraw/zinc/52/04/30/344520430.db2.gz MCWZQGIYHCGYNY-UHFFFAOYSA-N 0 3 240.372 2.568 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CC[C@@H]2C2CCC2)[nH]1 ZINC000577348954 344522906 /nfs/dbraw/zinc/52/29/06/344522906.db2.gz NUUNWUMNKLIUNO-VXGBXAGGSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CC[C@@H]2C2CCC2)[n-]1 ZINC000577348954 344522908 /nfs/dbraw/zinc/52/29/08/344522908.db2.gz NUUNWUMNKLIUNO-VXGBXAGGSA-N 0 3 248.374 2.596 20 0 BFADHN CCOC(=O)C[C@@H](C)N(C)Cc1cccs1 ZINC000043622760 344528263 /nfs/dbraw/zinc/52/82/63/344528263.db2.gz ISGQZCVONFBGPI-SNVBAGLBSA-N 0 3 241.356 2.522 20 0 BFADHN C1=C[C@@H](N2CCN(C3CC3)CC2)CCCCC1 ZINC000559795527 344532172 /nfs/dbraw/zinc/53/21/72/344532172.db2.gz JSMHBLKTJUYIHQ-CQSZACIVSA-N 0 3 234.387 2.655 20 0 BFADHN C1=C[C@H](N2CCN(C3CC3)CC2)CCCCC1 ZINC000559795526 344532293 /nfs/dbraw/zinc/53/22/93/344532293.db2.gz JSMHBLKTJUYIHQ-AWEZNQCLSA-N 0 3 234.387 2.655 20 0 BFADHN CSCCCN(C)[C@@H](C)c1ccccn1 ZINC000119787023 344547190 /nfs/dbraw/zinc/54/71/90/344547190.db2.gz KCZSQKMBPJQZLD-NSHDSACASA-N 0 3 224.373 2.828 20 0 BFADHN CSC[C@@H](C)NCc1ccncc1Cl ZINC000127534451 344559669 /nfs/dbraw/zinc/55/96/69/344559669.db2.gz PDUMZTSMUFDLNJ-MRVPVSSYSA-N 0 3 230.764 2.576 20 0 BFADHN CCCCCN(C(=O)[C@@H](N)CCC)C(C)C ZINC000037810101 344592197 /nfs/dbraw/zinc/59/21/97/344592197.db2.gz ZTXFCTVZAMMTFT-LBPRGKRZSA-N 0 3 228.380 2.541 20 0 BFADHN Oc1cccc2c1CCN(CCCCF)C2 ZINC000626028482 344680957 /nfs/dbraw/zinc/68/09/57/344680957.db2.gz LJQFNBMZFHWNCG-UHFFFAOYSA-N 0 3 223.291 2.500 20 0 BFADHN CC(C)=CCCN1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000625994244 344659697 /nfs/dbraw/zinc/65/96/97/344659697.db2.gz GGVQCUQESOMCBJ-CYBMUJFWSA-N 0 3 247.342 2.675 20 0 BFADHN Oc1cccc2c1CCN(CCCCCF)C2 ZINC000626028620 344681437 /nfs/dbraw/zinc/68/14/37/344681437.db2.gz RKCPPBVIASZQLP-UHFFFAOYSA-N 0 3 237.318 2.890 20 0 BFADHN CC1CCC(CN2CCO[C@@H](CCF)C2)CC1 ZINC000626005292 344667587 /nfs/dbraw/zinc/66/75/87/344667587.db2.gz ZTSOYAAZDVYSEA-RUXDESIVSA-N 0 3 243.366 2.873 20 0 BFADHN CCN1CCN(CCCc2ccc(C)cc2)CC1 ZINC000181526201 347062295 /nfs/dbraw/zinc/06/22/95/347062295.db2.gz RSNRAXHENRWBDX-UHFFFAOYSA-N 0 3 246.398 2.565 20 0 BFADHN COc1cccc2c1CN(C1CCCC1)C2 ZINC000626022843 344678640 /nfs/dbraw/zinc/67/86/40/344678640.db2.gz MDNLIIIFDRAQSD-UHFFFAOYSA-N 0 3 217.312 2.953 20 0 BFADHN CCOC1CCN(CCOCCC(C)C)CC1 ZINC000050071777 344634629 /nfs/dbraw/zinc/63/46/29/344634629.db2.gz MCBLRAHMIRFMBO-UHFFFAOYSA-N 0 3 243.391 2.550 20 0 BFADHN Oc1cccc2c1CCN([C@@H]1C=CCCC1)C2 ZINC000626026480 344679225 /nfs/dbraw/zinc/67/92/25/344679225.db2.gz LWENBDSFMMGNFT-CYBMUJFWSA-N 0 3 229.323 2.859 20 0 BFADHN CC[C@H](O)CN[C@H](C)c1ccccc1Cl ZINC000049508755 344643273 /nfs/dbraw/zinc/64/32/73/344643273.db2.gz BUKOOIGWJXQGRQ-ZJUUUORDSA-N 0 3 227.735 2.762 20 0 BFADHN CC[C@@H](C)CN1CCc2c(O)cccc2C1 ZINC000626027465 344679788 /nfs/dbraw/zinc/67/97/88/344679788.db2.gz XOXOPYPTPLRQSF-LLVKDONJSA-N 0 3 219.328 2.796 20 0 BFADHN C[C@H]1CC(F)(F)CCN1CCC[C@H]1CCOC1 ZINC000625981355 344647974 /nfs/dbraw/zinc/64/79/74/344647974.db2.gz PTYNKWJHPGDFEH-RYUDHWBXSA-N 0 3 247.329 2.923 20 0 BFADHN COCCCCCN1CCC(F)(F)C[C@H]1C ZINC000625980009 344648305 /nfs/dbraw/zinc/64/83/05/344648305.db2.gz SXFQNXWIGBHKHC-LLVKDONJSA-N 0 3 235.318 2.923 20 0 BFADHN Cc1cc(CN2C[C@@H]3CCCC[C@@]32C)nc(C)n1 ZINC000626103030 344739558 /nfs/dbraw/zinc/73/95/58/344739558.db2.gz IIXHEEJCMNNJGJ-ZFWWWQNUSA-N 0 3 245.370 2.858 20 0 BFADHN Cc1cncc(CCN2C[C@@H]3CCCC[C@@]32C)n1 ZINC000626103289 344740149 /nfs/dbraw/zinc/74/01/49/344740149.db2.gz SSSFLKFRGWXCFL-ZFWWWQNUSA-N 0 3 245.370 2.592 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1NCc1cccc2c1OCO2 ZINC000560514322 344752554 /nfs/dbraw/zinc/75/25/54/344752554.db2.gz WZMBISQMODLOHO-STQMWFEESA-N 0 3 247.338 2.940 20 0 BFADHN COc1cncc(CN2C[C@@H]3CCCC[C@@]32C)c1 ZINC000626103970 344748786 /nfs/dbraw/zinc/74/87/86/344748786.db2.gz ZGNOVKLJISDHJX-ZFWWWQNUSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@H](N[C@@H](CO)CC1CC1)c1ccccc1F ZINC000678605010 488270897 /nfs/dbraw/zinc/27/08/97/488270897.db2.gz BLLDXAMWOSRQDF-CMPLNLGQSA-N 0 3 237.318 2.637 20 0 BFADHN CC(C)C[C@@H](C)CN1CCN(CCCF)CC1 ZINC000626070256 344713687 /nfs/dbraw/zinc/71/36/87/344713687.db2.gz GIHLLAKOQFADCJ-CQSZACIVSA-N 0 3 244.398 2.646 20 0 BFADHN FCCCN1CCN(CCC2CCCC2)CC1 ZINC000626072363 344716423 /nfs/dbraw/zinc/71/64/23/344716423.db2.gz SDIVILHZQPFYOO-UHFFFAOYSA-N 0 3 242.382 2.544 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1CC(=O)Nc1ccccc1 ZINC000075021824 344762044 /nfs/dbraw/zinc/76/20/44/344762044.db2.gz OAVMZZZWZFNVML-GXTWGEPZSA-N 0 3 246.354 2.746 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cc(C)no1 ZINC000075021884 344762053 /nfs/dbraw/zinc/76/20/53/344762053.db2.gz BZVVVFNPXFYAFM-GXSJLCMTSA-N 0 3 208.305 2.603 20 0 BFADHN CCCCOCCN1C[C@@H](C)S[C@H](C)C1 ZINC000075893596 344787101 /nfs/dbraw/zinc/78/71/01/344787101.db2.gz HHIGPUQDWYQIJF-VXGBXAGGSA-N 0 3 231.405 2.629 20 0 BFADHN COc1ccc(F)cc1CN1C[C@@H](C)[C@H](C)C1 ZINC000076110904 344794982 /nfs/dbraw/zinc/79/49/82/344794982.db2.gz IQCNDXBEDDQGNK-GHMZBOCLSA-N 0 3 237.318 2.922 20 0 BFADHN C[C@@H]1CN(Cc2nc3ccccc3o2)C[C@H]1C ZINC000076110867 344795036 /nfs/dbraw/zinc/79/50/36/344795036.db2.gz AEUVOJKJQDQWCF-GHMZBOCLSA-N 0 3 230.311 2.916 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@H]1CC[C@H]1C1CC1 ZINC000348363961 537859234 /nfs/dbraw/zinc/85/92/34/537859234.db2.gz BDMOXODFIRQRAF-AVGNSLFASA-N 0 3 231.343 2.565 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1ccccn1)OC ZINC000318941060 259380749 /nfs/dbraw/zinc/38/07/49/259380749.db2.gz CDUDIKBPZQPCLQ-YPMHNXCESA-N 0 3 222.332 2.547 20 0 BFADHN Cc1ncc(CN(CC(C)C)C2CC2)o1 ZINC000628140795 344803647 /nfs/dbraw/zinc/80/36/47/344803647.db2.gz XXTKJMJWMYDHRJ-UHFFFAOYSA-N 0 3 208.305 2.603 20 0 BFADHN CCCN1CCC[C@@H]1c1ccc2c(c1)OCO2 ZINC000077381865 344818013 /nfs/dbraw/zinc/81/80/13/344818013.db2.gz LQJSCGJDFXPYRK-GFCCVEGCSA-N 0 3 233.311 2.962 20 0 BFADHN Cc1ccc(NC(=O)[C@H](N)CC(C)C)c(C)c1 ZINC000019502370 178747762 /nfs/dbraw/zinc/74/77/62/178747762.db2.gz UXUFIUSWDYCGPL-GFCCVEGCSA-N 0 3 234.343 2.615 20 0 BFADHN Cc1ncc(CN(C)C2Cc3ccccc3C2)o1 ZINC000628153236 344843191 /nfs/dbraw/zinc/84/31/91/344843191.db2.gz GIPHVVDSJIYZSI-UHFFFAOYSA-N 0 3 242.322 2.582 20 0 BFADHN c1nc(C2CC2)oc1CN1CCC[C@H]1C1CC1 ZINC000628163420 344866184 /nfs/dbraw/zinc/86/61/84/344866184.db2.gz BIEJFLSCYCXFAS-ZDUSSCGKSA-N 0 3 232.327 2.926 20 0 BFADHN CCN(Cc1cn2cccnc2n1)[C@@H](C)C(C)C ZINC000120258486 344884026 /nfs/dbraw/zinc/88/40/26/344884026.db2.gz YDWXRFHPGQHMLT-LBPRGKRZSA-N 0 3 246.358 2.596 20 0 BFADHN C[C@@H](NCC1(C)CCC1)c1nnc2ccccn21 ZINC000432857712 537868955 /nfs/dbraw/zinc/86/89/55/537868955.db2.gz FYIYGUKXOFFFFW-LLVKDONJSA-N 0 3 244.342 2.570 20 0 BFADHN Cc1ncc(CN2C[C@H](C)CC[C@H]2C)o1 ZINC000628179009 344913075 /nfs/dbraw/zinc/91/30/75/344913075.db2.gz LHENYMKZJGRLPD-NXEZZACHSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1c[nH]c(CN2C[C@@H](C)CCC[C@H]2C)n1 ZINC000628178815 344913206 /nfs/dbraw/zinc/91/32/06/344913206.db2.gz DIGTUQSKARFCLN-CMPLNLGQSA-N 0 3 221.348 2.729 20 0 BFADHN C[C@@H]1CCCN1Cc1cnc(C2CC2)o1 ZINC000628171010 344890186 /nfs/dbraw/zinc/89/01/86/344890186.db2.gz QRZGZKDPKIFHLW-SECBINFHSA-N 0 3 206.289 2.536 20 0 BFADHN CCC[C@@H]1CN(C[C@]2(C)CCCS2)CCO1 ZINC000628179066 344913237 /nfs/dbraw/zinc/91/32/37/344913237.db2.gz MXXHOVZKVBFWKS-OLZOCXBDSA-N 0 3 243.416 2.773 20 0 BFADHN OCCCN(Cc1ccc2[nH]ccc2c1)C1CC1 ZINC000628173617 344894328 /nfs/dbraw/zinc/89/43/28/344894328.db2.gz DAVMEHRFJOWEIM-UHFFFAOYSA-N 0 3 244.338 2.515 20 0 BFADHN CCC[C@H]1CN(C[C@@]2(C)CCCS2)CCO1 ZINC000628179067 344913297 /nfs/dbraw/zinc/91/32/97/344913297.db2.gz MXXHOVZKVBFWKS-QWHCGFSZSA-N 0 3 243.416 2.773 20 0 BFADHN CCN(Cc1ccc(OC)cc1)C[C@@H]1CCCO1 ZINC000120909468 344904717 /nfs/dbraw/zinc/90/47/17/344904717.db2.gz NJOFEFFEXTZPAX-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cnc(C3CC3)o2)C1 ZINC000628183254 344929153 /nfs/dbraw/zinc/92/91/53/344929153.db2.gz VKGOXDAMCRCWAH-NXEZZACHSA-N 0 3 220.316 2.782 20 0 BFADHN c1nc(C2CC2)oc1CN1CC[C@H](C2CC2)C1 ZINC000628186406 344936530 /nfs/dbraw/zinc/93/65/30/344936530.db2.gz IUNRZYBZHNIZDG-LBPRGKRZSA-N 0 3 232.327 2.784 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1nc(C)c[nH]1 ZINC000628179584 344915885 /nfs/dbraw/zinc/91/58/85/344915885.db2.gz GHECYIAOXRFHGP-GFCCVEGCSA-N 0 3 221.348 2.873 20 0 BFADHN CC(C)[C@H]1CCC[C@H](NCc2ccn(C)n2)C1 ZINC000221390333 344961499 /nfs/dbraw/zinc/96/14/99/344961499.db2.gz FDXSDPRBOBMJKA-STQMWFEESA-N 0 3 235.375 2.725 20 0 BFADHN c1csc(CCNCc2cnc(C3CC3)o2)c1 ZINC000628199992 344967507 /nfs/dbraw/zinc/96/75/07/344967507.db2.gz SONIJOJUOMJBTL-UHFFFAOYSA-N 0 3 248.351 2.946 20 0 BFADHN Cc1nc(C)c(CNCCc2ccc(F)cc2)o1 ZINC000628200099 344967635 /nfs/dbraw/zinc/96/76/35/344967635.db2.gz XXQAXNPJFUIZIV-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN CCCCOCCCNCc1oc(C)nc1C ZINC000628199892 344968004 /nfs/dbraw/zinc/96/80/04/344968004.db2.gz NKYUEMXFTXSYFJ-UHFFFAOYSA-N 0 3 240.347 2.588 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)C[C@@H](C)C2)o1 ZINC000628189631 344942430 /nfs/dbraw/zinc/94/24/30/344942430.db2.gz HZAGQDSRVZKGAV-GHMZBOCLSA-N 0 3 222.332 2.851 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cnc(C2CC2)o1 ZINC000628190854 344944402 /nfs/dbraw/zinc/94/44/02/344944402.db2.gz SNSVWMBLSHBXLV-AOOOYVTPSA-N 0 3 220.316 2.925 20 0 BFADHN Cc1cccc(NC(=O)C(C)C(F)(F)F)n1 ZINC000079588968 344996742 /nfs/dbraw/zinc/99/67/42/344996742.db2.gz CXNLTBSTRXXTSI-SSDOTTSWSA-N 0 3 232.205 2.527 20 0 BFADHN CCC(CC)CN1CCS[C@H]2COCC[C@@H]21 ZINC000153925299 345002861 /nfs/dbraw/zinc/00/28/61/345002861.db2.gz SXGVHMUPTZHASY-STQMWFEESA-N 0 3 243.416 2.629 20 0 BFADHN CC(C)[C@H](O)CN[C@@H](C)c1ccc(F)cc1F ZINC000131275163 187298057 /nfs/dbraw/zinc/29/80/57/187298057.db2.gz ZIYHQSZCZLZXQD-TVQRCGJNSA-N 0 3 243.297 2.632 20 0 BFADHN CCN(Cc1cnc2ccc(C)cn12)C(C)C ZINC000126396323 345117322 /nfs/dbraw/zinc/11/73/22/345117322.db2.gz COVCHOSEFSCZLF-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1CC1CCCCC1 ZINC000156094723 345121865 /nfs/dbraw/zinc/12/18/65/345121865.db2.gz NASKBACNZNWCGY-NEPJUHHUSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@H]1OCCN(CC2CCCCC2)[C@H]1C ZINC000156095046 345122249 /nfs/dbraw/zinc/12/22/49/345122249.db2.gz NASKBACNZNWCGY-NWDGAFQWSA-N 0 3 211.349 2.676 20 0 BFADHN CCc1ccc([C@H](C)NCCN(C)C(C)C)o1 ZINC000155795203 345103569 /nfs/dbraw/zinc/10/35/69/345103569.db2.gz PQCVBNIMELVJJH-LBPRGKRZSA-N 0 3 238.375 2.833 20 0 BFADHN CC(C)(C)[C@@H]1CCC[C@H]1NCc1ccon1 ZINC000634984463 345167969 /nfs/dbraw/zinc/16/79/69/345167969.db2.gz SHBHMBJTOBOFFO-VXGBXAGGSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1nc2cc(F)ccc2o1 ZINC000634952764 345128897 /nfs/dbraw/zinc/12/88/97/345128897.db2.gz ISHXJZTVTJKBJI-BDAKNGLRSA-N 0 3 234.274 2.713 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1nc2cc(F)ccc2o1 ZINC000634980375 345158306 /nfs/dbraw/zinc/15/83/06/345158306.db2.gz DDNRNJIJTSULES-PSASIEDQSA-N 0 3 234.274 2.855 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2ccon2)[C@@H]1C ZINC000634979951 345160577 /nfs/dbraw/zinc/16/05/77/345160577.db2.gz SANVAMFFMGTUAX-IJLUTSLNSA-N 0 3 222.332 2.837 20 0 BFADHN FC1(F)CCC(CNCc2ccon2)CC1 ZINC000634979779 345160581 /nfs/dbraw/zinc/16/05/81/345160581.db2.gz OHKJLVABMYNKGQ-UHFFFAOYSA-N 0 3 230.258 2.590 20 0 BFADHN c1cnc(CN[C@H]2CCCC[C@@H]2C2CC2)nc1 ZINC000580786142 345228762 /nfs/dbraw/zinc/22/87/62/345228762.db2.gz ZLVPZRGZUVLERJ-OLZOCXBDSA-N 0 3 231.343 2.535 20 0 BFADHN Cc1ccc([C@@H](C)NC(=O)[C@@H](N)C(C)(C)C)cc1 ZINC000040464196 178836559 /nfs/dbraw/zinc/83/65/59/178836559.db2.gz RFQXJZNXZQWGNM-DGCLKSJQSA-N 0 3 248.370 2.546 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1cccc(F)c1 ZINC000157390542 345258698 /nfs/dbraw/zinc/25/86/98/345258698.db2.gz AGFKNRIPXSOSPH-GWCFXTLKSA-N 0 3 225.307 2.637 20 0 BFADHN CCCCCNC(=O)CN(C)[C@H](C)CCCC ZINC000562056138 345275823 /nfs/dbraw/zinc/27/58/23/345275823.db2.gz FDJFEEDTKYYHAI-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN c1cncc(CN2CCCC3(CC3)CC2)c1 ZINC000626114076 345308831 /nfs/dbraw/zinc/30/88/31/345308831.db2.gz RQFSFWKPRQGVTK-UHFFFAOYSA-N 0 3 216.328 2.848 20 0 BFADHN CCOCCCN(C)Cc1ccc(F)c(F)c1 ZINC000158910825 345387747 /nfs/dbraw/zinc/38/77/47/345387747.db2.gz YYZTWNBGKSQIBW-UHFFFAOYSA-N 0 3 243.297 2.823 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1cc(F)cc(F)c1 ZINC000132802918 345345248 /nfs/dbraw/zinc/34/52/48/345345248.db2.gz NUTPSLZVZAFTHP-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN CCc1nc(CN2CCCCC[C@@H]2CC)n[nH]1 ZINC000158640609 345359997 /nfs/dbraw/zinc/35/99/97/345359997.db2.gz WGFHMBQMWYQACB-NSHDSACASA-N 0 3 236.363 2.522 20 0 BFADHN OCC[C@H]1CCCCN1C/C=C\c1ccccc1 ZINC000103857464 187302715 /nfs/dbraw/zinc/30/27/15/187302715.db2.gz JUWIHHKKOXDMDU-CXOKCQFOSA-N 0 3 245.366 2.937 20 0 BFADHN CCC[C@@H](C)[C@@H](CC)NCc1nncn1CC ZINC000158436813 345333919 /nfs/dbraw/zinc/33/39/19/345333919.db2.gz GXQIYRNALBTEDS-VXGBXAGGSA-N 0 3 238.379 2.602 20 0 BFADHN CC[C@@H](N[C@H](C)CCO)c1ccccc1F ZINC000134583683 345416184 /nfs/dbraw/zinc/41/61/84/345416184.db2.gz ROHYMRSBXGBMCK-ZWNOBZJWSA-N 0 3 225.307 2.637 20 0 BFADHN COCC[C@@H](C)N1CC=C(C(F)(F)F)CC1 ZINC000339825801 537896431 /nfs/dbraw/zinc/89/64/31/537896431.db2.gz APCLMFXQTPOUAZ-SECBINFHSA-N 0 3 237.265 2.606 20 0 BFADHN CC(=O)CCN1CCC[C@H]1c1cccs1 ZINC000171039048 187304569 /nfs/dbraw/zinc/30/45/69/187304569.db2.gz DYSFIHLODZDAAO-NSHDSACASA-N 0 3 223.341 2.864 20 0 BFADHN C/C(Cl)=C/CN1CCC[C@@H](CCO)CC1 ZINC000528526570 323091347 /nfs/dbraw/zinc/09/13/47/323091347.db2.gz KIQLOOUJVQKSRG-CSXHZRMWSA-N 0 3 231.767 2.614 20 0 BFADHN C=Cn1cc(CNCC2(CC(C)C)CC2)cn1 ZINC000194362454 192089159 /nfs/dbraw/zinc/08/91/59/192089159.db2.gz NVDDTFNSUPLQFQ-UHFFFAOYSA-N 0 3 233.359 2.900 20 0 BFADHN COc1ccc(CN([C@@H](C)C2CC2)C2CC2)cn1 ZINC000085786103 345529869 /nfs/dbraw/zinc/52/98/69/345529869.db2.gz HPTNIZMEOSKZGM-NSHDSACASA-N 0 3 246.354 2.853 20 0 BFADHN CC1(CNCc2nccs2)CCCC1 ZINC000082619013 345530474 /nfs/dbraw/zinc/53/04/74/345530474.db2.gz ZEXCAHLCSMSZJY-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN Cc1ccoc1CN[C@H](C)Cc1ccoc1 ZINC000084961169 345530560 /nfs/dbraw/zinc/53/05/60/345530560.db2.gz ROMXWQVQBNBLLO-LLVKDONJSA-N 0 3 219.284 2.902 20 0 BFADHN CCn1ccnc1CNCC1(C)CCCC1 ZINC000082619197 345530582 /nfs/dbraw/zinc/53/05/82/345530582.db2.gz JLKUZKAUFJMMAF-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CCN1CC[C@H](c2ccc(OC)c(F)c2)C1 ZINC000626124458 345538127 /nfs/dbraw/zinc/53/81/27/345538127.db2.gz NWNAKRJEPNUUQJ-NSHDSACASA-N 0 3 223.291 2.644 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@@H]1CCCc2ccc(F)cc21 ZINC000089213812 345549175 /nfs/dbraw/zinc/54/91/75/345549175.db2.gz WFADQPWHXGGJSO-NZVBXONLSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@H](N[C@@H](CO)CC(C)(C)C)c1cccnc1 ZINC000090588449 345573833 /nfs/dbraw/zinc/57/38/33/345573833.db2.gz UKHHDESACXPFDH-WCQYABFASA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H]1CCCCN1CCNc1ncccc1F ZINC000084484109 345514112 /nfs/dbraw/zinc/51/41/12/345514112.db2.gz ZLKUTJHRXHYRMO-LLVKDONJSA-N 0 3 237.322 2.507 20 0 BFADHN CC(C)[C@@H](NCc1ccn(C)c1)c1cccnc1 ZINC000091367016 345591592 /nfs/dbraw/zinc/59/15/92/345591592.db2.gz BTLAYTMRSNXVGD-OAHLLOKOSA-N 0 3 243.354 2.907 20 0 BFADHN Cc1ccc([C@H](C)NCCC(=O)NC(C)C)cc1 ZINC000054048290 178888603 /nfs/dbraw/zinc/88/86/03/178888603.db2.gz ZUDZUXDDGPQRGO-ZDUSSCGKSA-N 0 3 248.370 2.560 20 0 BFADHN Cc1noc(C)c1CNCCCC(C)(F)F ZINC000390238450 259383501 /nfs/dbraw/zinc/38/35/01/259383501.db2.gz MGGNVGBUZFHDBM-UHFFFAOYSA-N 0 3 232.274 2.816 20 0 BFADHN Cc1ccoc1CN1CC[C@H](OCC(C)C)C1 ZINC000091964537 345612952 /nfs/dbraw/zinc/61/29/52/345612952.db2.gz UQFUWRPELVFKMJ-ZDUSSCGKSA-N 0 3 237.343 2.835 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc(COC)o2)C1 ZINC000091929214 345612987 /nfs/dbraw/zinc/61/29/87/345612987.db2.gz QNCBEFMZASGNGD-LLVKDONJSA-N 0 3 223.316 2.658 20 0 BFADHN Cc1ccoc1CN1CCC[C@@H](c2ncc[nH]2)C1 ZINC000092073301 345615347 /nfs/dbraw/zinc/61/53/47/345615347.db2.gz GXNBLKRVBSJPJE-GFCCVEGCSA-N 0 3 245.326 2.691 20 0 BFADHN C[C@H](NCCN1CCCC[C@H]1C)c1cccnc1 ZINC000092739253 345637885 /nfs/dbraw/zinc/63/78/85/345637885.db2.gz HUBIBPMHVOQMAV-KGLIPLIRSA-N 0 3 247.386 2.607 20 0 BFADHN C[C@H](NCCN1CCCC[C@@H]1C)c1cccnc1 ZINC000092739254 345637947 /nfs/dbraw/zinc/63/79/47/345637947.db2.gz HUBIBPMHVOQMAV-KBPBESRZSA-N 0 3 247.386 2.607 20 0 BFADHN COCCOc1ccccc1CN1CC[C@H](C)C1 ZINC000092471554 345623322 /nfs/dbraw/zinc/62/33/22/345623322.db2.gz GSIJGSPXYNYDOI-ZDUSSCGKSA-N 0 3 249.354 2.554 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2CC[C@H](C)C2)o1 ZINC000092471263 345623596 /nfs/dbraw/zinc/62/35/96/345623596.db2.gz GAGGIGGOROEUHF-JTQLQIEISA-N 0 3 245.326 2.820 20 0 BFADHN COc1cccc(CN2CC[C@H](C)C2)c1OC ZINC000092471442 345623630 /nfs/dbraw/zinc/62/36/30/345623630.db2.gz DMHNDGNWJGECNW-NSHDSACASA-N 0 3 235.327 2.546 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C)C2)cc1F ZINC000092471647 345624089 /nfs/dbraw/zinc/62/40/89/345624089.db2.gz HLHBLSJVBQIBOB-SNVBAGLBSA-N 0 3 207.292 2.976 20 0 BFADHN CC(C)Cn1ccnc1CNCC1(C)CCC1 ZINC000434764877 537899352 /nfs/dbraw/zinc/89/93/52/537899352.db2.gz PKASLUJZONDTNF-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCc3n[nH]cc3C2)C1 ZINC000091077716 345582261 /nfs/dbraw/zinc/58/22/61/345582261.db2.gz WVMZXJPPAICQES-VXGBXAGGSA-N 0 3 233.359 2.594 20 0 BFADHN COc1ccccc1[C@@H](NCCO)C1CCCC1 ZINC000091265585 345590129 /nfs/dbraw/zinc/59/01/29/345590129.db2.gz FLEVYBHWSUGBBS-HNNXBMFYSA-N 0 3 249.354 2.508 20 0 BFADHN Cc1cccnc1CN1CCSC(C)(C)C1 ZINC000093188451 345658715 /nfs/dbraw/zinc/65/87/15/345658715.db2.gz DUWVPXUIAZOCIE-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN COc1cccc(CN2C[C@@H](C)[C@H](C)C2)c1OC ZINC000093503610 345661057 /nfs/dbraw/zinc/66/10/57/345661057.db2.gz GXFDNIRBCPVELM-VXGBXAGGSA-N 0 3 249.354 2.792 20 0 BFADHN CSc1ccc(CN[C@@H](C)C2CC2)o1 ZINC000641703593 362614413 /nfs/dbraw/zinc/61/44/13/362614413.db2.gz XHLZTTMKELLQJU-QMMMGPOBSA-N 0 3 211.330 2.890 20 0 BFADHN CSc1ccc(CN[C@H](C)C2CC2)o1 ZINC000641703592 362614676 /nfs/dbraw/zinc/61/46/76/362614676.db2.gz XHLZTTMKELLQJU-MRVPVSSYSA-N 0 3 211.330 2.890 20 0 BFADHN Cc1ccc(CN2CC[C@]3(CCOC3)C2)cc1F ZINC000093820745 345665428 /nfs/dbraw/zinc/66/54/28/345665428.db2.gz BBTJMOSVVOJFNK-HNNXBMFYSA-N 0 3 249.329 2.747 20 0 BFADHN CSc1ccc(CNC[C@H]2CCCOC2)o1 ZINC000641709266 362617666 /nfs/dbraw/zinc/61/76/66/362617666.db2.gz IZGZBTPYASAMDL-SNVBAGLBSA-N 0 3 241.356 2.518 20 0 BFADHN COc1ccc(CN(C(C)C)C2CC2)cc1O ZINC000179484874 187308151 /nfs/dbraw/zinc/30/81/51/187308151.db2.gz XOKNPBDRHXYMGF-UHFFFAOYSA-N 0 3 235.327 2.774 20 0 BFADHN CSc1ccc(CN[C@H](C)[C@H]2CCOC2)o1 ZINC000641729776 362644240 /nfs/dbraw/zinc/64/42/40/362644240.db2.gz KLBHSCOOZWUMTR-ZJUUUORDSA-N 0 3 241.356 2.516 20 0 BFADHN C[C@@H]1OCCN(C[C@@H]2C[C@@H]2c2ccccc2)[C@H]1C ZINC000093418194 345670694 /nfs/dbraw/zinc/67/06/94/345670694.db2.gz KVIZEBXEGVGVRF-DARAHFNDSA-N 0 3 245.366 2.899 20 0 BFADHN C/C(=C\c1ccccc1)CN(C)CC[C@@H](C)O ZINC000093481566 345672911 /nfs/dbraw/zinc/67/29/11/345672911.db2.gz ZBLFCPNZLMSLLM-YPDDLIOESA-N 0 3 233.355 2.793 20 0 BFADHN COCc1ccc(CN2C[C@H](C)[C@H](C)C2)o1 ZINC000093503343 345674688 /nfs/dbraw/zinc/67/46/88/345674688.db2.gz BEFAJLWFVIAFJD-PHIMTYICSA-N 0 3 223.316 2.514 20 0 BFADHN COC[C@@H](NCc1ccc(SC)o1)C(C)C ZINC000641729088 362651511 /nfs/dbraw/zinc/65/15/11/362651511.db2.gz QVHWMBWEUNDDNF-LLVKDONJSA-N 0 3 243.372 2.762 20 0 BFADHN CCN1CCC2(CC1)OCCc1ccccc12 ZINC000118720769 345679443 /nfs/dbraw/zinc/67/94/43/345679443.db2.gz BUQNQDFBXQYKOZ-UHFFFAOYSA-N 0 3 231.339 2.570 20 0 BFADHN CSc1ccc(CN[C@H]2CCSC2)o1 ZINC000641730883 362656971 /nfs/dbraw/zinc/65/69/71/362656971.db2.gz QVRHNMYWKHUEBP-QMMMGPOBSA-N 0 3 229.370 2.597 20 0 BFADHN CSc1ccc(CNC[C@@]2(C)CCOC2)o1 ZINC000641720453 362637067 /nfs/dbraw/zinc/63/70/67/362637067.db2.gz UQWYCOLVMBZSTG-GFCCVEGCSA-N 0 3 241.356 2.518 20 0 BFADHN CCC(CC)(CNCc1oc(C)nc1C)OC ZINC000628292396 347087019 /nfs/dbraw/zinc/08/70/19/347087019.db2.gz BMOBFSVDNXHIJZ-UHFFFAOYSA-N 0 3 240.347 2.586 20 0 BFADHN CSc1ccc(CNC[C@@H]2CCCS2)o1 ZINC000641735532 362659435 /nfs/dbraw/zinc/65/94/35/362659435.db2.gz OKEIRNDTVCJISW-JTQLQIEISA-N 0 3 243.397 2.987 20 0 BFADHN COCc1ccc(CN2CC[C@H]3CCC[C@H]32)o1 ZINC000149553852 345716332 /nfs/dbraw/zinc/71/63/32/345716332.db2.gz GIABFRJEAGWRPQ-BXUZGUMPSA-N 0 3 235.327 2.800 20 0 BFADHN C[C@@H](CSc1nc2ccccc2[nH]1)N(C)C ZINC000166759265 345721395 /nfs/dbraw/zinc/72/13/95/345721395.db2.gz TVYDMMQQCHRKNB-VIFPVBQESA-N 0 3 235.356 2.605 20 0 BFADHN CC(C)N1CC[C@]2(C1)OCc1ccccc12 ZINC000200849491 345728991 /nfs/dbraw/zinc/72/89/91/345728991.db2.gz IJVPEGPYDSGWPP-CQSZACIVSA-N 0 3 217.312 2.526 20 0 BFADHN CSc1ccc(CNC[C@@]2(C)CCCO2)o1 ZINC000641734118 362661806 /nfs/dbraw/zinc/66/18/06/362661806.db2.gz BFJDDWUOJYYCTH-GFCCVEGCSA-N 0 3 241.356 2.660 20 0 BFADHN CC[C@H](NCc1nn(C)c2ccccc12)C1CC1 ZINC000324044560 537909944 /nfs/dbraw/zinc/90/99/44/537909944.db2.gz UWLYXDCUSYHRDS-ZDUSSCGKSA-N 0 3 243.354 2.852 20 0 BFADHN C[C@H]1C[C@H](NCc2ncc[nH]2)CC(C)(C)C1 ZINC000070621335 345791683 /nfs/dbraw/zinc/79/16/83/345791683.db2.gz RMTTULOOKKQFNQ-QWRGUYRKSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ncc[nH]2)CC(C)(C)C1 ZINC000070621336 345791792 /nfs/dbraw/zinc/79/17/92/345791792.db2.gz RMTTULOOKKQFNQ-GHMZBOCLSA-N 0 3 221.348 2.714 20 0 BFADHN CC[C@H]1CCCN(Cc2cccnc2)C1 ZINC000139484830 345797390 /nfs/dbraw/zinc/79/73/90/345797390.db2.gz UNXWVUDDEKOKLM-LBPRGKRZSA-N 0 3 204.317 2.704 20 0 BFADHN CN(C)CCSCc1ccsc1 ZINC000583452154 345799526 /nfs/dbraw/zinc/79/95/26/345799526.db2.gz VAGKEOIOYIFTHN-UHFFFAOYSA-N 0 3 201.360 2.543 20 0 BFADHN Cc1cc(CN(C)C2Cc3ccccc3C2)on1 ZINC000141201318 345839020 /nfs/dbraw/zinc/83/90/20/345839020.db2.gz WGFCJZANJODSPI-UHFFFAOYSA-N 0 3 242.322 2.582 20 0 BFADHN CC1(C)C[C@@H]1CNCc1cnc(C2CC2)o1 ZINC000628214412 345896923 /nfs/dbraw/zinc/89/69/23/345896923.db2.gz WNYVNYNZGDOJOK-SNVBAGLBSA-N 0 3 220.316 2.688 20 0 BFADHN CC(C)=CCN1CCC(O)(c2ccccc2)CC1 ZINC000185472907 187311131 /nfs/dbraw/zinc/31/11/31/187311131.db2.gz PLQQMNWFIGDLAS-UHFFFAOYSA-N 0 3 245.366 2.936 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H](CCO)c1ccco1 ZINC000186540239 187311791 /nfs/dbraw/zinc/31/17/91/187311791.db2.gz FIPMFOSQQICEBK-NEPJUHHUSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1nc(C)c(CNCC2CCSCC2)o1 ZINC000628214638 345906957 /nfs/dbraw/zinc/90/69/57/345906957.db2.gz HMCSPTIBSPPKHH-UHFFFAOYSA-N 0 3 240.372 2.524 20 0 BFADHN CCCC[C@H](C)[C@@H](C)NCc1cocn1 ZINC000389964138 537922294 /nfs/dbraw/zinc/92/22/94/537922294.db2.gz WVXFMMQIUIROFY-WDEREUQCSA-N 0 3 210.321 2.979 20 0 BFADHN CCC[C@H](CC)N[C@@H](C)c1cc(C)n(C)n1 ZINC000310037719 187312161 /nfs/dbraw/zinc/31/21/61/187312161.db2.gz VKNYHSUTGBCGJN-RYUDHWBXSA-N 0 3 223.364 2.958 20 0 BFADHN CCc1cc(N(C)CCCCOC)ccn1 ZINC000419012695 192093248 /nfs/dbraw/zinc/09/32/48/192093248.db2.gz GAGSFTISOGGPQL-UHFFFAOYSA-N 0 3 222.332 2.507 20 0 BFADHN COCC1(N[C@H](C)c2cccc(F)c2)CC1 ZINC000381460168 345945036 /nfs/dbraw/zinc/94/50/36/345945036.db2.gz KUUCDVXHFXPJCB-SNVBAGLBSA-N 0 3 223.291 2.655 20 0 BFADHN CCCC[C@H](COC)NCc1oc(C)nc1C ZINC000628218269 345948523 /nfs/dbraw/zinc/94/85/23/345948523.db2.gz ZROHQAAAMKWOSS-GFCCVEGCSA-N 0 3 240.347 2.586 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2CCC2(C)C)o1 ZINC000093460235 345973147 /nfs/dbraw/zinc/97/31/47/345973147.db2.gz WQBBEXLHQFAWAK-UHFFFAOYSA-N 0 3 245.326 2.962 20 0 BFADHN Cn1cnc(CNCC(C)(C)C2CCCC2)c1 ZINC000673605316 488297177 /nfs/dbraw/zinc/29/71/77/488297177.db2.gz LPALKNLYNNBKBJ-UHFFFAOYSA-N 0 3 235.375 2.726 20 0 BFADHN CC(C)=CCC[C@@H](C)[NH2+]Cc1cnc(C)[n-]c1=O ZINC000346297946 187363436 /nfs/dbraw/zinc/36/34/36/187363436.db2.gz OJYVGWPJGSPDPU-LLVKDONJSA-N 0 3 249.358 2.715 20 0 BFADHN CC(C)N(C)c1ccc(CN(C)CC2CC2)cn1 ZINC000191260822 187314534 /nfs/dbraw/zinc/31/45/34/187314534.db2.gz JXCUFBBFNNCZKY-UHFFFAOYSA-N 0 3 247.386 2.768 20 0 BFADHN COc1cccc(CN2C3CCC2CC3)c1 ZINC000635900875 346106625 /nfs/dbraw/zinc/10/66/25/346106625.db2.gz YJQPKWOUOWVYEE-UHFFFAOYSA-N 0 3 217.312 2.822 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@H]1CCCCN1 ZINC000226065519 535024438 /nfs/dbraw/zinc/02/44/38/535024438.db2.gz WUOXKYNPQZSRMK-QWHCGFSZSA-N 0 3 240.391 2.604 20 0 BFADHN Cc1cn[nH]c1CN1CCC(c2ccco2)CC1 ZINC000668463047 537945211 /nfs/dbraw/zinc/94/52/11/537945211.db2.gz LEHDHBXGEFCLFL-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN CC(C)(C)[C@@H]1CCCN(Cc2ccno2)C1 ZINC000336089994 187316202 /nfs/dbraw/zinc/31/62/02/187316202.db2.gz RQHGTTJZZPQWTE-LLVKDONJSA-N 0 3 222.332 2.933 20 0 BFADHN CC(C)CC[C@H](NC[C@@H](C)O)c1ccoc1 ZINC000279148019 346169561 /nfs/dbraw/zinc/16/95/61/346169561.db2.gz ZTHOVMWNYLFCOJ-YPMHNXCESA-N 0 3 225.332 2.727 20 0 BFADHN CC1(C)[C@@H](O)CCN1Cc1ccc(Cl)cc1 ZINC000258867485 535028840 /nfs/dbraw/zinc/02/88/40/535028840.db2.gz RSFWGKBDEZUFQY-LBPRGKRZSA-N 0 3 239.746 2.685 20 0 BFADHN C[C@H]1CCCC[C@@H]1C[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000449404219 201907614 /nfs/dbraw/zinc/90/76/14/201907614.db2.gz AZEBTKPUGDDDFH-CMPLNLGQSA-N 0 3 248.374 2.598 20 0 BFADHN C[C@H]1CCCC[C@@H]1CNCc1nnc(C2CC2)[nH]1 ZINC000449404219 201907616 /nfs/dbraw/zinc/90/76/16/201907616.db2.gz AZEBTKPUGDDDFH-CMPLNLGQSA-N 0 3 248.374 2.598 20 0 BFADHN Cc1cc(CNCCCSCC(C)C)on1 ZINC000601114693 346151808 /nfs/dbraw/zinc/15/18/08/346151808.db2.gz VJBURYPLOXKFNF-UHFFFAOYSA-N 0 3 242.388 2.852 20 0 BFADHN CCN(CCC1CC1)Cc1cnc(C)o1 ZINC000628238782 346198693 /nfs/dbraw/zinc/19/86/93/346198693.db2.gz NFZRGMPLNCDUCB-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1nc(C)c(CNC[C@@H](C)C(C)C)o1 ZINC000311734004 346180988 /nfs/dbraw/zinc/18/09/88/346180988.db2.gz DSIZOUCXVPQWJE-SECBINFHSA-N 0 3 210.321 2.673 20 0 BFADHN CN(Cc1cccnc1)C[C@]1(C)CCCS1 ZINC000628234628 346187804 /nfs/dbraw/zinc/18/78/04/346187804.db2.gz HNDUKFMZUOUTPM-ZDUSSCGKSA-N 0 3 236.384 2.799 20 0 BFADHN C[C@@H]1CCN(C[C@@]2(C)CCCS2)C[C@H]1F ZINC000628234690 346187923 /nfs/dbraw/zinc/18/79/23/346187923.db2.gz KEWXUPLUHWWBGY-IJLUTSLNSA-N 0 3 231.380 2.952 20 0 BFADHN Cc1nc(C)c(CNCCCCOC(C)C)o1 ZINC000628237078 346192029 /nfs/dbraw/zinc/19/20/29/346192029.db2.gz ZZZCEBVRMSUREB-UHFFFAOYSA-N 0 3 240.347 2.586 20 0 BFADHN C[C@H](NCc1cocn1)[C@H]1CCC[C@@H](C)C1 ZINC000381510523 346214299 /nfs/dbraw/zinc/21/42/99/346214299.db2.gz QWHULCKHBYXSFE-WOPDTQHZSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1ncc(CN2CC3(CCC3)C[C@@H]2C)o1 ZINC000628253194 346227626 /nfs/dbraw/zinc/22/76/26/346227626.db2.gz XMQIUEVEDABJFF-JTQLQIEISA-N 0 3 220.316 2.748 20 0 BFADHN Cc1c[nH]c(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)n1 ZINC000628249429 346219053 /nfs/dbraw/zinc/21/90/53/346219053.db2.gz DDWJXSSUSMWYHE-FOGDFJRCSA-N 0 3 221.348 2.585 20 0 BFADHN CC[C@@H](O)CN([C@H](C)c1ccco1)C1CC1 ZINC000151363171 346226124 /nfs/dbraw/zinc/22/61/24/346226124.db2.gz SWEJPESUZLWINP-ZYHUDNBSSA-N 0 3 223.316 2.576 20 0 BFADHN Cc1nn(C)c(C)c1CN[C@@H](C)c1ccccc1 ZINC000020085295 259388341 /nfs/dbraw/zinc/38/83/41/259388341.db2.gz FMOODEVCHBKCCN-NSHDSACASA-N 0 3 243.354 2.888 20 0 BFADHN Cc1nc(C)c(CNC[C@H]2CC[C@H](C)C2)o1 ZINC000628256134 346253716 /nfs/dbraw/zinc/25/37/16/346253716.db2.gz KSEGFALVQQDVKA-CABZTGNLSA-N 0 3 222.332 2.817 20 0 BFADHN Cc1ncc(CN(CC2CC2)C2CCC2)o1 ZINC000628256663 346258125 /nfs/dbraw/zinc/25/81/25/346258125.db2.gz HMGZFMUTTBFRMA-UHFFFAOYSA-N 0 3 220.316 2.748 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc2[nH]ccc2c1 ZINC000628276086 346284180 /nfs/dbraw/zinc/28/41/80/346284180.db2.gz QSAAKWGVKZTXSJ-LLVKDONJSA-N 0 3 232.327 2.635 20 0 BFADHN COC[C@H](NCc1ccc(SC)o1)C1CC1 ZINC000641744473 362665389 /nfs/dbraw/zinc/66/53/89/362665389.db2.gz XKAWMIACZSNQKQ-NSHDSACASA-N 0 3 241.356 2.516 20 0 BFADHN COC[C@@H](NCc1ccc(SC)o1)C1CC1 ZINC000641744470 362666119 /nfs/dbraw/zinc/66/61/19/362666119.db2.gz XKAWMIACZSNQKQ-LLVKDONJSA-N 0 3 241.356 2.516 20 0 BFADHN CC(C)CC[C@@H](CO)N[C@@H](C)c1cccnc1 ZINC000624109900 346262140 /nfs/dbraw/zinc/26/21/40/346262140.db2.gz JREXMDOIHZGVHB-JSGCOSHPSA-N 0 3 236.359 2.529 20 0 BFADHN C/C=C\CNCc1cc(F)cc(OC)c1 ZINC000312432786 346262388 /nfs/dbraw/zinc/26/23/88/346262388.db2.gz HXJPWLHKRQIDQP-ARJAWSKDSA-N 0 3 209.264 2.500 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CN1CC[C@](F)(CO)C1 ZINC000628264755 346266097 /nfs/dbraw/zinc/26/60/97/346266097.db2.gz AQHGVIIEDFKOFS-HZSPNIEDSA-N 0 3 243.366 2.609 20 0 BFADHN CC(C)CC[C@@H](CO)N[C@H](C)c1cncs1 ZINC000624110469 346266240 /nfs/dbraw/zinc/26/62/40/346266240.db2.gz ZOVNEGPALKQJFR-MNOVXSKESA-N 0 3 242.388 2.591 20 0 BFADHN C/C=C\C[C@@H]1CCCN([C@H](C)c2n[nH]c(C)n2)C1 ZINC000626164660 346271221 /nfs/dbraw/zinc/27/12/21/346271221.db2.gz MYJZZKBFKUDKMW-FTGSRHIXSA-N 0 3 248.374 2.852 20 0 BFADHN c1[nH]nc2cccc(CN3CC4(C3)CCCC4)c12 ZINC000628281276 346292644 /nfs/dbraw/zinc/29/26/44/346292644.db2.gz LUBICGLDXHRDCN-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN CSc1ccc(CN[C@]2(C)CCO[C@@H]2C)o1 ZINC000641748032 362675543 /nfs/dbraw/zinc/67/55/43/362675543.db2.gz SPURSCSASUQZLY-BXKDBHETSA-N 0 3 241.356 2.659 20 0 BFADHN C[C@H](NCCN1CCCC1)c1ccccc1F ZINC000020126844 259388459 /nfs/dbraw/zinc/38/84/59/259388459.db2.gz CKZOEJAVWYGVLY-LBPRGKRZSA-N 0 3 236.334 2.572 20 0 BFADHN CCN(Cc1cncs1)C1CCCC1 ZINC000153666018 346304613 /nfs/dbraw/zinc/30/46/13/346304613.db2.gz UGHOHBRRSMRGGM-UHFFFAOYSA-N 0 3 210.346 2.908 20 0 BFADHN CSc1ccc(CN[C@H]2CCOC[C@@H]2C)o1 ZINC000641751803 362679790 /nfs/dbraw/zinc/67/97/90/362679790.db2.gz YLQAEQJLBWXWCS-ONGXEEELSA-N 0 3 241.356 2.516 20 0 BFADHN Cc1cccc(C)c1CN1CCN(C)[C@@H](C)[C@@H]1C ZINC000356998503 179036257 /nfs/dbraw/zinc/03/62/57/179036257.db2.gz JPRSXGQYLGSBIH-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN CSc1ccc(CNC[C@H](C)SC)o1 ZINC000603427051 349950156 /nfs/dbraw/zinc/95/01/56/349950156.db2.gz LHQLNHGAHBBKOR-QMMMGPOBSA-N 0 3 231.386 2.843 20 0 BFADHN C/C=C\C[C@H](CO)NCc1ccccc1OCC ZINC000641747508 362673862 /nfs/dbraw/zinc/67/38/62/362673862.db2.gz JZWBLJYKQBUXHT-PKXJPQMGSA-N 0 3 249.354 2.502 20 0 BFADHN CCN(Cc1ccnc(Cl)c1)C[C@@H](C)OC ZINC000291234048 346327137 /nfs/dbraw/zinc/32/71/37/346327137.db2.gz MODAHYBAZCCTRH-SNVBAGLBSA-N 0 3 242.750 2.592 20 0 BFADHN Cc1cccc(C)c1CNCCOCC1CC1 ZINC000222927954 179037895 /nfs/dbraw/zinc/03/78/95/179037895.db2.gz XEPXMFAUUUNPGF-UHFFFAOYSA-N 0 3 233.355 2.820 20 0 BFADHN Cc1cccc(C)c1CNCc1cccnc1 ZINC000083354851 179036583 /nfs/dbraw/zinc/03/65/83/179036583.db2.gz JBZYLJFQZMJGLO-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN CN(Cc1ccsc1)[C@H](CO)C(C)(C)C ZINC000093837507 537969224 /nfs/dbraw/zinc/96/92/24/537969224.db2.gz QAFUPJANVNZLHU-LLVKDONJSA-N 0 3 227.373 2.587 20 0 BFADHN Cc1cccc(CCNCc2ccccn2)c1 ZINC000051668915 179058147 /nfs/dbraw/zinc/05/81/47/179058147.db2.gz KCWXZPHQCWBKGW-UHFFFAOYSA-N 0 3 226.323 2.722 20 0 BFADHN CSc1ccc(CN[C@@H]2C[C@@H](C)O[C@@H]2C)o1 ZINC000641776525 362717187 /nfs/dbraw/zinc/71/71/87/362717187.db2.gz QPYGZFAARQULGE-FXPVBKGRSA-N 0 3 241.356 2.657 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1C[C@H](CC(C)C)OC ZINC000419179164 192101745 /nfs/dbraw/zinc/10/17/45/192101745.db2.gz CUICFNMPLRXTGW-MJBXVCDLSA-N 0 3 243.391 2.547 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1ccccc1F ZINC000157363739 346381003 /nfs/dbraw/zinc/38/10/03/346381003.db2.gz MRWACZLXJPPSRP-WDEREUQCSA-N 0 3 225.307 2.637 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1sc(C)nc1C ZINC000157379724 346381700 /nfs/dbraw/zinc/38/17/00/346381700.db2.gz XACHTAZFIBZJCZ-GZMMTYOYSA-N 0 3 242.388 2.572 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1cc(C)sc1C ZINC000309940448 323122234 /nfs/dbraw/zinc/12/22/34/323122234.db2.gz BLYMMTPEZOSBTH-ZFDPJTLLSA-N 0 3 239.384 2.782 20 0 BFADHN CO[C@H](CC(C)C)CN(C)CCC(F)(F)F ZINC000419198737 192102310 /nfs/dbraw/zinc/10/23/10/192102310.db2.gz YYLZSHSMZXTMSB-SNVBAGLBSA-N 0 3 241.297 2.932 20 0 BFADHN Cc1cccc(CN(C)CCOCC(F)F)c1 ZINC000276364160 179066931 /nfs/dbraw/zinc/06/69/31/179066931.db2.gz MWBJUUZTGQGVDD-UHFFFAOYSA-N 0 3 243.297 2.709 20 0 BFADHN Cc1ccc2nccc(NC3CCC3)c2c1 ZINC000381603666 346413626 /nfs/dbraw/zinc/41/36/26/346413626.db2.gz PACIPCSGCXSIQM-UHFFFAOYSA-N 0 3 212.296 2.930 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCCC12CC2 ZINC000574928060 346416401 /nfs/dbraw/zinc/41/64/01/346416401.db2.gz HEGKWFCKNNLAPU-LBPRGKRZSA-N 0 3 220.316 2.714 20 0 BFADHN Cc1cccc(CN2C[C@@H](C)S[C@H](C)C2)n1 ZINC000336406934 179080980 /nfs/dbraw/zinc/08/09/80/179080980.db2.gz MALXXDUURORPOR-VXGBXAGGSA-N 0 3 236.384 2.716 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1ccc(OC)cc1C ZINC000641788546 362723617 /nfs/dbraw/zinc/72/36/17/362723617.db2.gz POUYCJHSNJANPI-RYUDHWBXSA-N 0 3 237.343 2.689 20 0 BFADHN Cc1ncc(CN[C@@H]2CC23CCCCC3)o1 ZINC000641789494 362724538 /nfs/dbraw/zinc/72/45/38/362724538.db2.gz RKMRAMCHMAEMTI-GFCCVEGCSA-N 0 3 220.316 2.795 20 0 BFADHN Cc1cccc(CN2C[C@@H](C)N(C)[C@@H](C)C2)c1 ZINC000339618123 179084970 /nfs/dbraw/zinc/08/49/70/179084970.db2.gz BHTZNTMTHJBISJ-OKILXGFUSA-N 0 3 232.371 2.519 20 0 BFADHN CC[C@](O)(CN1CCCC[C@H]1C)C(F)(F)F ZINC000669379432 537979375 /nfs/dbraw/zinc/97/93/75/537979375.db2.gz BCUHIADQIPHNBO-ZJUUUORDSA-N 0 3 239.281 2.564 20 0 BFADHN Cc1cccc(CN2CC[C@@H](n3cccn3)C2)c1 ZINC000291343400 179082735 /nfs/dbraw/zinc/08/27/35/179082735.db2.gz WEQIHPFHOIKCSS-OAHLLOKOSA-N 0 3 241.338 2.639 20 0 BFADHN Cc1cccc(CN2C[C@@H](C)N(C)C[C@H]2C)c1C ZINC000361166434 179082824 /nfs/dbraw/zinc/08/28/24/179082824.db2.gz MXNLPPBLWZEOOB-ZIAGYGMSSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1cccc(CNCC(C)(C)CCO)c1F ZINC000295892119 179090248 /nfs/dbraw/zinc/09/02/48/179090248.db2.gz YMBAKCKDCGMIET-UHFFFAOYSA-N 0 3 239.334 2.632 20 0 BFADHN C(NC(C1CC1)C1CC1)c1nnc(C2CC2)s1 ZINC000574957591 346461171 /nfs/dbraw/zinc/46/11/71/346461171.db2.gz MRPZQODNYBYYOV-UHFFFAOYSA-N 0 3 249.383 2.694 20 0 BFADHN Cc1cccc(CNCCOCC2CC2)c1F ZINC000294958365 179092528 /nfs/dbraw/zinc/09/25/28/179092528.db2.gz FTPZLPQJMVDSNF-UHFFFAOYSA-N 0 3 237.318 2.650 20 0 BFADHN Cc1cccc(CNC[C@@](C)(O)c2ccco2)c1 ZINC000266127238 179093508 /nfs/dbraw/zinc/09/35/08/179093508.db2.gz BWZJTUFCCZFNKZ-OAHLLOKOSA-N 0 3 245.322 2.585 20 0 BFADHN Cc1cccc(CNCc2cccc(CO)c2)c1 ZINC000222119548 179094667 /nfs/dbraw/zinc/09/46/67/179094667.db2.gz CRFHNFGQPSVGBY-UHFFFAOYSA-N 0 3 241.334 2.777 20 0 BFADHN Cc1cccc(CN[C@@H](C)c2ccnn2C)c1 ZINC000192246621 179097321 /nfs/dbraw/zinc/09/73/21/179097321.db2.gz MKQJEDSMMRVTIR-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN CC(C)N(C)c1ccc(CN2CCC2(C)C)cn1 ZINC000582037936 347097943 /nfs/dbraw/zinc/09/79/43/347097943.db2.gz QTWMJZQHTQSLSK-UHFFFAOYSA-N 0 3 247.386 2.911 20 0 BFADHN Cc1cccc(CN[C@H](C)CCCCO)c1F ZINC000295003739 179099122 /nfs/dbraw/zinc/09/91/22/179099122.db2.gz ITHGGVUDADPWBB-GFCCVEGCSA-N 0 3 239.334 2.775 20 0 BFADHN CO[C@@H](CC(C)C)CN(C)C[C@@H]1CCCCO1 ZINC000419206455 192104236 /nfs/dbraw/zinc/10/42/36/192104236.db2.gz KZXNULFLQMDUSV-KBPBESRZSA-N 0 3 243.391 2.548 20 0 BFADHN Cc1cccc(CN[C@H](C)c2cnn(C)c2)c1 ZINC000044495223 179099619 /nfs/dbraw/zinc/09/96/19/179099619.db2.gz NQJONSQDKZQBTI-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NCc1cccc(C)c1 ZINC000020349375 179100681 /nfs/dbraw/zinc/10/06/81/179100681.db2.gz XCQKVKOTFZWEJN-GFCCVEGCSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cc(CNC[C@@H](C)CC(C)C)no1 ZINC000300857226 346520295 /nfs/dbraw/zinc/52/02/95/346520295.db2.gz GBIFAWNNAODTGH-JTQLQIEISA-N 0 3 210.321 2.755 20 0 BFADHN Cc1cccc(CN[C@H]2CCSC2)c1F ZINC000295588983 179102021 /nfs/dbraw/zinc/10/20/21/179102021.db2.gz FBVQUPVBWUOYED-NSHDSACASA-N 0 3 225.332 2.729 20 0 BFADHN COc1ccsc1[C@H](C)NCCCF ZINC000186224183 346499248 /nfs/dbraw/zinc/49/92/48/346499248.db2.gz PKNFDJIJZUURCF-QMMMGPOBSA-N 0 3 217.309 2.767 20 0 BFADHN Cc1ccccc1CN(C)CCN(C)C1CC1 ZINC000186742695 346533025 /nfs/dbraw/zinc/53/30/25/346533025.db2.gz JXUMOQBEMCXFQK-UHFFFAOYSA-N 0 3 232.371 2.521 20 0 BFADHN CC[C@@H](NC[C@H]1CC=CCC1)c1nccn1C ZINC000182630141 347101477 /nfs/dbraw/zinc/10/14/77/347101477.db2.gz VXRYSUXKLGUURB-QWHCGFSZSA-N 0 3 233.359 2.817 20 0 BFADHN C[C@H](NC1[C@@H](C)CCC[C@@H]1C)c1nccn1C ZINC000182627431 347101481 /nfs/dbraw/zinc/10/14/81/347101481.db2.gz ASSKRSPJGWTFFC-SRVKXCTJSA-N 0 3 235.375 2.895 20 0 BFADHN CCN(Cc1cnc[nH]1)Cc1ccc(C)cc1 ZINC000580143889 346554024 /nfs/dbraw/zinc/55/40/24/346554024.db2.gz UOOPHCQNFNSNKE-UHFFFAOYSA-N 0 3 229.327 2.740 20 0 BFADHN Cc1cccc(NC(=O)CN2CC[C@@H](C)[C@@H]2C)c1 ZINC000177902218 179127992 /nfs/dbraw/zinc/12/79/92/179127992.db2.gz VDTGBOBDJOXGGJ-OLZOCXBDSA-N 0 3 246.354 2.664 20 0 BFADHN CN1CC[C@H](CSc2nc3ccccc3o2)C1 ZINC000187656434 346595851 /nfs/dbraw/zinc/59/58/51/346595851.db2.gz AUMMHPMJHUVLTI-JTQLQIEISA-N 0 3 248.351 2.872 20 0 BFADHN CC1(C)C[C@@H](O)CCN(Cc2ccsc2)C1 ZINC000336236039 346604574 /nfs/dbraw/zinc/60/45/74/346604574.db2.gz JSIAKQPAMUCACF-LBPRGKRZSA-N 0 3 239.384 2.731 20 0 BFADHN CSCCCCCNCc1nc(C)c(C)[nH]1 ZINC000563551460 323129926 /nfs/dbraw/zinc/12/99/26/323129926.db2.gz ZCSUEJIGWAWCOX-UHFFFAOYSA-N 0 3 241.404 2.649 20 0 BFADHN C[C@H](NC[C@H]1CCCC1(F)F)c1cnn(C)c1 ZINC000381674225 346655184 /nfs/dbraw/zinc/65/51/84/346655184.db2.gz LJSHRZGEVFKGBB-GXSJLCMTSA-N 0 3 243.301 2.506 20 0 BFADHN CCn1ccnc1CN1CCCC[C@H](C)C1 ZINC000187948552 346656045 /nfs/dbraw/zinc/65/60/45/346656045.db2.gz DHLLHXDKPVPHQZ-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN FC(F)(F)[C@@H]1CCC[C@@H](NCc2ccon2)C1 ZINC000148644147 537984704 /nfs/dbraw/zinc/98/47/04/537984704.db2.gz GTSINVHELSWZSN-RKDXNWHRSA-N 0 3 248.248 2.885 20 0 BFADHN FC(F)(F)[C@H]1CCC[C@H](NCc2ccon2)C1 ZINC000148643931 537984741 /nfs/dbraw/zinc/98/47/41/537984741.db2.gz GTSINVHELSWZSN-IUCAKERBSA-N 0 3 248.248 2.885 20 0 BFADHN CSC1(CN2CCOc3ccccc3C2)CC1 ZINC000589158482 346669991 /nfs/dbraw/zinc/66/99/91/346669991.db2.gz CVDHAYBMTOUSFW-UHFFFAOYSA-N 0 3 249.379 2.777 20 0 BFADHN CC(C)Cn1ccnc1CNC(C1CC1)C1CC1 ZINC000164772092 346624876 /nfs/dbraw/zinc/62/48/76/346624876.db2.gz CZPKKYMBDWSLAU-UHFFFAOYSA-N 0 3 247.386 2.817 20 0 BFADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1ccoc1 ZINC000187864275 346634999 /nfs/dbraw/zinc/63/49/99/346634999.db2.gz JSZCPGPPPTUIKE-ZYHUDNBSSA-N 0 3 223.316 2.888 20 0 BFADHN Cc1cc(CNCCc2cccc(Cl)c2)[nH]n1 ZINC000589635056 346720052 /nfs/dbraw/zinc/72/00/52/346720052.db2.gz IBCFTDFFPKPBDL-UHFFFAOYSA-N 0 3 249.745 2.704 20 0 BFADHN Cc1cc(CN[C@H]2CCCc3ccccc32)n[nH]1 ZINC000589633780 346720398 /nfs/dbraw/zinc/72/03/98/346720398.db2.gz JVAAGTTTXUVASU-HNNXBMFYSA-N 0 3 241.338 2.885 20 0 BFADHN Cc1cc(CN[C@H]2CCCc3ccccc32)[nH]n1 ZINC000589633780 346720400 /nfs/dbraw/zinc/72/04/00/346720400.db2.gz JVAAGTTTXUVASU-HNNXBMFYSA-N 0 3 241.338 2.885 20 0 BFADHN c1cc(CNCc2[nH]nc3ccccc32)cs1 ZINC000589641189 346721441 /nfs/dbraw/zinc/72/14/41/346721441.db2.gz WRXGKQVHKLZMIJ-UHFFFAOYSA-N 0 3 243.335 2.914 20 0 BFADHN CC1(c2ccccc2)CN(CC2(O)CCCC2)C1 ZINC000621992131 370649156 /nfs/dbraw/zinc/64/91/56/370649156.db2.gz NHIVJOBABZNBRT-UHFFFAOYSA-N 0 3 245.366 2.565 20 0 BFADHN CC[C@@H](O)CN[C@H](C)c1cc2ccccc2o1 ZINC000168677889 346741201 /nfs/dbraw/zinc/74/12/01/346741201.db2.gz IBDUBOKZZRGJSV-ZYHUDNBSSA-N 0 3 233.311 2.854 20 0 BFADHN CC[C@@H](NC[C@@H](C)COC)c1c(C)noc1C ZINC000188186349 346794012 /nfs/dbraw/zinc/79/40/12/346794012.db2.gz CLAHLQFRDMDVSR-BXKDBHETSA-N 0 3 240.347 2.615 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1cscn1 ZINC000381748198 346804585 /nfs/dbraw/zinc/80/45/85/346804585.db2.gz QLIDMTZEYHUIIT-LMLFDSFASA-N 0 3 240.372 2.750 20 0 BFADHN CCCC[C@H](CC)CCN1CCN(C)CC1 ZINC000437591858 537987012 /nfs/dbraw/zinc/98/70/12/537987012.db2.gz PWWCCUPQYDCJQR-AWEZNQCLSA-N 0 3 226.408 2.840 20 0 BFADHN CC[C@@H](NC[C@H]1CC1(C)C)c1ccn(C)n1 ZINC000309714946 347146990 /nfs/dbraw/zinc/14/69/90/347146990.db2.gz SNAHKNJJIQQXPL-GHMZBOCLSA-N 0 3 221.348 2.507 20 0 BFADHN Cc1cc(CNCC2=CCCC2)ccn1 ZINC000401150993 347147779 /nfs/dbraw/zinc/14/77/79/347147779.db2.gz KRQULHUYUHIEGR-UHFFFAOYSA-N 0 3 202.301 2.590 20 0 BFADHN CCCCOCCN[C@H](C)c1cncc(C)c1 ZINC000184328023 347158387 /nfs/dbraw/zinc/15/83/87/347158387.db2.gz SARWKHMZEFBOTA-CYBMUJFWSA-N 0 3 236.359 2.857 20 0 BFADHN CC1CCN(Cc2cn(C)nc2C(C)(C)C)CC1 ZINC000183471051 347128431 /nfs/dbraw/zinc/12/84/31/347128431.db2.gz VRLZVERBPRXNDN-UHFFFAOYSA-N 0 3 249.402 2.950 20 0 BFADHN CCc1cnccc1[C@@H](C)N[C@@H]1CCSC1 ZINC000309665584 347130801 /nfs/dbraw/zinc/13/08/01/347130801.db2.gz TXBKMJFYEXIHAL-ZYHUDNBSSA-N 0 3 236.384 2.800 20 0 BFADHN CC[C@@H](CO)N(C)Cc1ccc(C)cc1Cl ZINC000382115462 347191359 /nfs/dbraw/zinc/19/13/59/347191359.db2.gz AIMIXYWRDWLWBY-LBPRGKRZSA-N 0 3 241.762 2.851 20 0 BFADHN Cc1n[nH]cc1CN[C@H](C)c1cccc(C)c1 ZINC000038011479 179183360 /nfs/dbraw/zinc/18/33/60/179183360.db2.gz GJJNCODKXQBNGV-LLVKDONJSA-N 0 3 229.327 2.877 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2snnc2C)C1 ZINC000309811640 347171789 /nfs/dbraw/zinc/17/17/89/347171789.db2.gz ZGIJJHHJNZEKFO-GHMZBOCLSA-N 0 3 239.388 2.905 20 0 BFADHN CC[C@@H](N[C@H](C)CC1CC1)c1ccn(C)n1 ZINC000310042866 347224226 /nfs/dbraw/zinc/22/42/26/347224226.db2.gz ZUNVKFNOPSPUBX-ZYHUDNBSSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1c[nH]c(CN2CC(C)(C)[C@H]3CCC[C@H]32)n1 ZINC000628296504 347244112 /nfs/dbraw/zinc/24/41/12/347244112.db2.gz NYAOSZREMRKNCR-NWDGAFQWSA-N 0 3 233.359 2.729 20 0 BFADHN C[C@H](NCc1ncc[nH]1)[C@@H]1CC2CCC1CC2 ZINC000382241246 347246319 /nfs/dbraw/zinc/24/63/19/347246319.db2.gz WEJIWQFKDBNMTG-WTIISPKJSA-N 0 3 233.359 2.714 20 0 BFADHN Cc1n[nH]cc1CN[C@@H](C)c1cccc(C)c1 ZINC000038011480 179197126 /nfs/dbraw/zinc/19/71/26/179197126.db2.gz GJJNCODKXQBNGV-NSHDSACASA-N 0 3 229.327 2.877 20 0 BFADHN CCCCOCCN[C@H](C)c1cncs1 ZINC000119595004 347298040 /nfs/dbraw/zinc/29/80/40/347298040.db2.gz IFLWMNDDYAGBDK-SNVBAGLBSA-N 0 3 228.361 2.610 20 0 BFADHN CC[C@@H](N[C@@H]1C=C[C@H](CO)C1)c1cccc(F)c1 ZINC000188162028 347274501 /nfs/dbraw/zinc/27/45/01/347274501.db2.gz BYHROWHODSVAEI-NILFDRSVSA-N 0 3 249.329 2.803 20 0 BFADHN Cc1cccc2c1CC[C@@H]2NCc1cncn1C ZINC000105496540 179215029 /nfs/dbraw/zinc/21/50/29/179215029.db2.gz RMIOGQXNOBWRGB-HNNXBMFYSA-N 0 3 241.338 2.506 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1ccn(-c2ccccc2)n1 ZINC000310908835 347325880 /nfs/dbraw/zinc/32/58/80/347325880.db2.gz GRDOCRMSYCTLKN-WFASDCNBSA-N 0 3 241.338 2.760 20 0 BFADHN Cc1cccc2c1CC[C@H]2NCCOCC1CC1 ZINC000358079089 179216545 /nfs/dbraw/zinc/21/65/45/179216545.db2.gz CWCVGBWYMWDONK-MRXNPFEDSA-N 0 3 245.366 2.999 20 0 BFADHN Cc1cccc2c1CN(CCCF)CC2 ZINC000277150127 179217297 /nfs/dbraw/zinc/21/72/97/179217297.db2.gz JOFNVFOMGZHPIX-UHFFFAOYSA-N 0 3 207.292 2.713 20 0 BFADHN Cc1cccc2c1CC[C@H]2NCc1cncn1C ZINC000105496542 179217419 /nfs/dbraw/zinc/21/74/19/179217419.db2.gz RMIOGQXNOBWRGB-OAHLLOKOSA-N 0 3 241.338 2.506 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1cnc(C)o1 ZINC000628298754 347336533 /nfs/dbraw/zinc/33/65/33/347336533.db2.gz QETVVDFTUFLXQG-NEPJUHHUSA-N 0 3 222.332 2.899 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1cnc(C)o1 ZINC000628298755 347337697 /nfs/dbraw/zinc/33/76/97/347337697.db2.gz QETVVDFTUFLXQG-NWDGAFQWSA-N 0 3 222.332 2.899 20 0 BFADHN CO[C@@H]([C@H](C)N[C@H](C)c1ccco1)C1CC1 ZINC000388350393 347347276 /nfs/dbraw/zinc/34/72/76/347347276.db2.gz OFUQMEIZYHFLOL-NRUUGDAUSA-N 0 3 223.316 2.744 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1ccncc1)C1CC1 ZINC000388359377 347356329 /nfs/dbraw/zinc/35/63/29/347356329.db2.gz RYNJXTRRCXDIRN-WDMOLILDSA-N 0 3 234.343 2.546 20 0 BFADHN Cc1cccc2c1OCC[C@H]2N[C@@H]1CCO[C@@H]1C ZINC000189372799 347302388 /nfs/dbraw/zinc/30/23/88/347302388.db2.gz NJINAVXXTJKIMX-MRVWCRGKSA-N 0 3 247.338 2.586 20 0 BFADHN CN(C)[C@@H](CNCc1ccccc1)c1ccco1 ZINC000020122364 347407959 /nfs/dbraw/zinc/40/79/59/347407959.db2.gz VJHSHCDXTIMRLI-AWEZNQCLSA-N 0 3 244.338 2.672 20 0 BFADHN CCc1ccc(CN[C@H](C)[C@H](OC)C2CC2)o1 ZINC000388366849 347363161 /nfs/dbraw/zinc/36/31/61/347363161.db2.gz VWCOPBIWTGIXLS-YGRLFVJLSA-N 0 3 237.343 2.745 20 0 BFADHN CC[C@@H](O)CCNCc1sccc1Cl ZINC000193153552 347391319 /nfs/dbraw/zinc/39/13/19/347391319.db2.gz BRALOBAAFIVHDF-MRVPVSSYSA-N 0 3 233.764 2.652 20 0 BFADHN Cc1cccc2ncc(CN3C[C@H](C)[C@@H](C)C3)n21 ZINC000353923869 179231387 /nfs/dbraw/zinc/23/13/87/179231387.db2.gz PLIIIGWKUMKXHL-RYUDHWBXSA-N 0 3 243.354 2.731 20 0 BFADHN Cc1cccc2ncc(CN3C[C@@H](C)C[C@@H]3C)n21 ZINC000353748496 179232101 /nfs/dbraw/zinc/23/21/01/179232101.db2.gz PXYQEPJTAHIBPE-AAEUAGOBSA-N 0 3 243.354 2.873 20 0 BFADHN Cc1cccc2ncc(CN3CC[C@H](C)[C@@H]3C)n21 ZINC000355135195 179232187 /nfs/dbraw/zinc/23/21/87/179232187.db2.gz OKJIZQJNSVIFDX-AAEUAGOBSA-N 0 3 243.354 2.873 20 0 BFADHN CCn1ccnc1CNC[C@H]1CCCC1(C)C ZINC000388473054 347420891 /nfs/dbraw/zinc/42/08/91/347420891.db2.gz MMBOSEQNKLWOCO-GFCCVEGCSA-N 0 3 235.375 2.819 20 0 BFADHN CCOCCN(C)[C@H](C)c1cccc(F)c1 ZINC000194544706 347423879 /nfs/dbraw/zinc/42/38/79/347423879.db2.gz XZSMLIWFKPMFTQ-LLVKDONJSA-N 0 3 225.307 2.855 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1cc(C)oc1C ZINC000020437610 347446783 /nfs/dbraw/zinc/44/67/83/347446783.db2.gz RQZUTNXXZRSVQN-WPRPVWTQSA-N 0 3 211.305 2.582 20 0 BFADHN Cc1cccc2nc(CN(C)CCC3CC3)cn21 ZINC000135269532 179229171 /nfs/dbraw/zinc/22/91/71/179229171.db2.gz VPHGUFVVTCDVBY-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1cccc2nc(CN3C[C@H](C)[C@@H](C)C3)cn21 ZINC000267723418 179229448 /nfs/dbraw/zinc/22/94/48/179229448.db2.gz YXIAYNPIRIGPHR-RYUDHWBXSA-N 0 3 243.354 2.731 20 0 BFADHN Cc1ccccc1-n1nccc1CNC(C)C ZINC000279806235 179242967 /nfs/dbraw/zinc/24/29/67/179242967.db2.gz FHVBNHXYJXQHPL-UHFFFAOYSA-N 0 3 229.327 2.679 20 0 BFADHN C=Cn1cc(CNC[C@@H]2CCCC2(F)F)cn1 ZINC000390612312 259395889 /nfs/dbraw/zinc/39/58/89/259395889.db2.gz XDJDWKSASQKFRG-NSHDSACASA-N 0 3 241.285 2.509 20 0 BFADHN CC[C@@]1(C)COCCN1C[C@@H](CC(C)C)OC ZINC000419227844 192107118 /nfs/dbraw/zinc/10/71/18/192107118.db2.gz LJVBWVZKUAUDCE-KGLIPLIRSA-N 0 3 243.391 2.548 20 0 BFADHN CC[C@H](C)N(CC)C(=O)CN1[C@H](C)CC[C@H]1C ZINC000616980423 347556180 /nfs/dbraw/zinc/55/61/80/347556180.db2.gz GUJNCMUIBHLKEK-YNEHKIRRSA-N 0 3 240.391 2.506 20 0 BFADHN Cc1ccccc1C1CCN([C@H]2CCOC2)CC1 ZINC000271466968 179256380 /nfs/dbraw/zinc/25/63/80/179256380.db2.gz YCYXGWPJFXNRQP-HNNXBMFYSA-N 0 3 245.366 2.963 20 0 BFADHN Cc1ccccc1CN(C)[C@H]1CCCOC1 ZINC000336348462 179270201 /nfs/dbraw/zinc/27/02/01/179270201.db2.gz GZFVLVCIMDSIPR-AWEZNQCLSA-N 0 3 219.328 2.606 20 0 BFADHN Cc1ccccc1CN(C)CCOC1CCC1 ZINC000287332863 179269825 /nfs/dbraw/zinc/26/98/25/179269825.db2.gz ODNCKSDIRHWONL-UHFFFAOYSA-N 0 3 233.355 2.996 20 0 BFADHN Cc1cc(CN[C@@H]2CCc3c2cccc3C)[nH]n1 ZINC000591725599 347689514 /nfs/dbraw/zinc/68/95/14/347689514.db2.gz PQTKVOVFQRHIRX-OAHLLOKOSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1cc(CN[C@@H]2CCc3c2cccc3C)n[nH]1 ZINC000591725599 347689515 /nfs/dbraw/zinc/68/95/15/347689515.db2.gz PQTKVOVFQRHIRX-OAHLLOKOSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1ccccc1CN1CCOC[C@@H]1C1CC1 ZINC000090008704 179275256 /nfs/dbraw/zinc/27/52/56/179275256.db2.gz ZMQNQWSMXNDTTC-OAHLLOKOSA-N 0 3 231.339 2.606 20 0 BFADHN c1cn([C@@H]2CCCN(Cc3ccccc3)C2)cn1 ZINC000591867977 347708320 /nfs/dbraw/zinc/70/83/20/347708320.db2.gz VCXLCSKZGNBJDR-OAHLLOKOSA-N 0 3 241.338 2.720 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H]2NCc1cc[nH]c1 ZINC000176833589 365909848 /nfs/dbraw/zinc/90/98/48/365909848.db2.gz PWOGTGZMLJXQLA-AWEZNQCLSA-N 0 3 230.286 2.931 20 0 BFADHN CC[C@H](CN(C)Cc1cccc(F)c1)OC ZINC000419188477 192108919 /nfs/dbraw/zinc/10/89/19/192108919.db2.gz GWFDXMAZLPEWLJ-CYBMUJFWSA-N 0 3 225.307 2.683 20 0 BFADHN CC[C@@H](CN(C)Cc1ccc(F)c(F)c1)OC ZINC000419185811 192108507 /nfs/dbraw/zinc/10/85/07/192108507.db2.gz LNKJCLILBUYCBB-NSHDSACASA-N 0 3 243.297 2.822 20 0 BFADHN CCN(CCSC)[C@H](C)c1ccncc1 ZINC000592200742 347751757 /nfs/dbraw/zinc/75/17/57/347751757.db2.gz PACHKJDGIOMAKQ-LLVKDONJSA-N 0 3 224.373 2.828 20 0 BFADHN CCN(CCSC)Cc1cncc(C)c1 ZINC000592204386 347755755 /nfs/dbraw/zinc/75/57/55/347755755.db2.gz RQWVXUFGORCCSX-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN CCN(CCSC)Cc1sccc1OC ZINC000592530065 347796383 /nfs/dbraw/zinc/79/63/83/347796383.db2.gz VPKIVEGGNRVRFT-UHFFFAOYSA-N 0 3 245.413 2.942 20 0 BFADHN CC[C@@H](NCc1nnc(C)n1CC)C(C)(C)C ZINC000343616038 133696814 /nfs/dbraw/zinc/69/68/14/133696814.db2.gz UBEXWWKRVRCCLY-LLVKDONJSA-N 0 3 238.379 2.521 20 0 BFADHN CCCCCN(CC(=O)NCC)[C@@H](C)CCC ZINC000101731363 323162376 /nfs/dbraw/zinc/16/23/76/323162376.db2.gz HCPMMZXQWUCERR-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN FC(F)O[C@H]1CCCN([C@@H]2C=CCCC2)C1 ZINC000679679692 488363830 /nfs/dbraw/zinc/36/38/30/488363830.db2.gz CLEMCIVIHVUGHL-MNOVXSKESA-N 0 3 231.286 2.799 20 0 BFADHN Cc1ccccc1C[C@H](NCCF)C1CC1 ZINC000284082085 179291783 /nfs/dbraw/zinc/29/17/83/179291783.db2.gz BECUSNLCHMSLQA-AWEZNQCLSA-N 0 3 221.319 2.875 20 0 BFADHN C[C@H](NC[C@@H]1CCCCC1(F)F)c1cn[nH]c1 ZINC000390687589 259397936 /nfs/dbraw/zinc/39/79/36/259397936.db2.gz YYMHWOOUMLHREN-ONGXEEELSA-N 0 3 243.301 2.886 20 0 BFADHN CCCCCNC(=O)CN1[C@H](C)CCC[C@@H]1C ZINC000066665414 347859883 /nfs/dbraw/zinc/85/98/83/347859883.db2.gz IPRXYSZCLFBTOZ-BETUJISGSA-N 0 3 240.391 2.556 20 0 BFADHN CCOCCN1CCCc2sccc2C1 ZINC000593440034 347891370 /nfs/dbraw/zinc/89/13/70/347891370.db2.gz LPSCWPCTWLDABJ-UHFFFAOYSA-N 0 3 225.357 2.533 20 0 BFADHN Cc1ncc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)o1 ZINC000628300199 347881388 /nfs/dbraw/zinc/88/13/88/347881388.db2.gz UQZXCDIMOLLSNN-UONOGXRCSA-N 0 3 232.327 2.603 20 0 BFADHN Cc1ccccc1[C@@H](C)N[C@@H]1C=C[C@H](CO)C1 ZINC000134629426 179320896 /nfs/dbraw/zinc/32/08/96/179320896.db2.gz ZMHVSMLLKPCZGX-HZSPNIEDSA-N 0 3 231.339 2.583 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1cccs1 ZINC000308625896 347928364 /nfs/dbraw/zinc/92/83/64/347928364.db2.gz WBJMZVYKNATYRG-IVZWLZJFSA-N 0 3 211.330 2.576 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1C)c1cn2ccccc2n1 ZINC000577388477 365942762 /nfs/dbraw/zinc/94/27/62/365942762.db2.gz KACDLZPWVTZVGM-GRYCIOLGSA-N 0 3 229.327 2.783 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1ccnn1C ZINC000036901193 179322251 /nfs/dbraw/zinc/32/22/51/179322251.db2.gz IEHVQXXILQYTEV-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1ccccc1[C@@H](NC(=O)[C@@H](C)N)C(C)(C)C ZINC000119253942 179324853 /nfs/dbraw/zinc/32/48/53/179324853.db2.gz FLXHPWPAFHZWHK-DGCLKSJQSA-N 0 3 248.370 2.546 20 0 BFADHN COc1ccc([C@H](C)NCCSC)cc1F ZINC000070184622 323172160 /nfs/dbraw/zinc/17/21/60/323172160.db2.gz AACPYDQOVOIODX-VIFPVBQESA-N 0 3 243.347 2.848 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1ccncc1F ZINC000449551106 201961489 /nfs/dbraw/zinc/96/14/89/201961489.db2.gz DWIYXKPIWNWWFI-RYUDHWBXSA-N 0 3 222.307 2.602 20 0 BFADHN Cc1ccccc1[C@H](C)NCC1(C)OCCCO1 ZINC000190665341 179337229 /nfs/dbraw/zinc/33/72/29/179337229.db2.gz NXTNYLFFULVBKO-ZDUSSCGKSA-N 0 3 249.354 2.799 20 0 BFADHN Cc1ccccc1[C@H](C)NCC(=O)N(C)C(C)C ZINC000042224983 179337944 /nfs/dbraw/zinc/33/79/44/179337944.db2.gz NNIPIPMPCXSGFK-ZDUSSCGKSA-N 0 3 248.370 2.512 20 0 BFADHN C[C@@H]1CCC[C@@H](SCCN(C)C)C1 ZINC000308293469 323173421 /nfs/dbraw/zinc/17/34/21/323173421.db2.gz ISNOISWCCLIARI-GHMZBOCLSA-N 0 3 201.379 2.860 20 0 BFADHN Cc1ccccc1[C@H]1C[C@H](NCc2ncc[nH]2)C1 ZINC000375995924 179330739 /nfs/dbraw/zinc/33/07/39/179330739.db2.gz VGFUJDUWKOVUPE-JOCQHMNTSA-N 0 3 241.338 2.754 20 0 BFADHN Cc1cccn2cc(CNCC(C)(C)C)nc12 ZINC000123982139 179357064 /nfs/dbraw/zinc/35/70/64/179357064.db2.gz FVSFMXVJRXVTIU-UHFFFAOYSA-N 0 3 231.343 2.778 20 0 BFADHN COCC1(NCc2ccc(Cl)s2)CC1 ZINC000308581962 323175991 /nfs/dbraw/zinc/17/59/91/323175991.db2.gz GUBSWFQOFPTOML-UHFFFAOYSA-N 0 3 231.748 2.670 20 0 BFADHN COC(=O)c1cc(CN[C@H]2CC=CCC2)c(C)o1 ZINC000594608297 348081009 /nfs/dbraw/zinc/08/10/09/348081009.db2.gz LSMALRZAWXVHRT-LBPRGKRZSA-N 0 3 249.310 2.573 20 0 BFADHN CS[C@H](C)CNCc1ccc(F)c(F)c1F ZINC000594948706 348146613 /nfs/dbraw/zinc/14/66/13/348146613.db2.gz BSKXBPAPNPGYLL-SSDOTTSWSA-N 0 3 249.301 2.945 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1csc(N(C)C)n1 ZINC000122327691 490133679 /nfs/dbraw/zinc/13/36/79/490133679.db2.gz XEEKTBGMPDDHRS-VHSXEESVSA-N 0 3 241.404 2.733 20 0 BFADHN CCSC1(CNCc2scnc2C)CC1 ZINC000594986938 348166463 /nfs/dbraw/zinc/16/64/63/348166463.db2.gz ODBMPKINYZGMAU-UHFFFAOYSA-N 0 3 242.413 2.827 20 0 BFADHN Cc1cccc(CN[C@@H](C)CSCCF)n1 ZINC000595016208 348170264 /nfs/dbraw/zinc/17/02/64/348170264.db2.gz ILTNCBAEJZTZPO-NSHDSACASA-N 0 3 242.363 2.571 20 0 BFADHN C[C@@H](CSCCF)NCc1cccc(O)c1 ZINC000595029926 348174016 /nfs/dbraw/zinc/17/40/16/348174016.db2.gz BAUGMKYRJJAQDP-JTQLQIEISA-N 0 3 243.347 2.573 20 0 BFADHN Cc1cccnc1CN1CCCC[C@H]1C[C@H](C)O ZINC000228884479 179372812 /nfs/dbraw/zinc/37/28/12/179372812.db2.gz XNKGIXXAHBNFGL-KBPBESRZSA-N 0 3 248.370 2.515 20 0 BFADHN C[C@H](CSCCF)N[C@@H]1CCCC[C@H]1F ZINC000595050797 348180776 /nfs/dbraw/zinc/18/07/76/348180776.db2.gz KWORZFAUDDPFTO-GMTAPVOTSA-N 0 3 237.359 2.948 20 0 BFADHN Cc1cccnc1CN[C@@H]1C[C@@H](C)[C@@H]1C ZINC000336689512 179384107 /nfs/dbraw/zinc/38/41/07/179384107.db2.gz MAVBSKDGRGAJPV-GRYCIOLGSA-N 0 3 204.317 2.524 20 0 BFADHN Cc1cccnc1CN[C@H]1CCOC2(CCC2)C1 ZINC000094372741 179385260 /nfs/dbraw/zinc/38/52/60/179385260.db2.gz CKQFGKHBBTUILW-ZDUSSCGKSA-N 0 3 246.354 2.581 20 0 BFADHN C(c1noc2c1CCCC2)N1CCC[C@H]1C1CC1 ZINC000595572050 348285124 /nfs/dbraw/zinc/28/51/24/348285124.db2.gz NBNATSPLDJNYCQ-AWEZNQCLSA-N 0 3 246.354 2.928 20 0 BFADHN Fc1ccc(CNCCc2ccco2)cc1 ZINC000035121437 358454460 /nfs/dbraw/zinc/45/44/60/358454460.db2.gz JEVDEQWWSVMAHU-UHFFFAOYSA-N 0 3 219.259 2.751 20 0 BFADHN C[C@H](NCc1cnc2ccccc2n1)C1CC1 ZINC000037259359 348293605 /nfs/dbraw/zinc/29/36/05/348293605.db2.gz SCVLNRMPVKJTMZ-JTQLQIEISA-N 0 3 227.311 2.518 20 0 BFADHN Cc1cccnc1SCCN1CCCCC1 ZINC000286479594 179394538 /nfs/dbraw/zinc/39/45/38/179394538.db2.gz KQNCHKOGEGJKMQ-UHFFFAOYSA-N 0 3 236.384 2.968 20 0 BFADHN CC[C@H](CN(C)Cc1ccc(F)cc1C)OC ZINC000419313520 192121322 /nfs/dbraw/zinc/12/13/22/192121322.db2.gz SUQSTRXNGASQNC-CQSZACIVSA-N 0 3 239.334 2.991 20 0 BFADHN CCSCCN1CCC2(CCCC2=O)CC1 ZINC000595807426 348348448 /nfs/dbraw/zinc/34/84/48/348348448.db2.gz OZJYRPTZGULUPQ-UHFFFAOYSA-N 0 3 241.400 2.575 20 0 BFADHN Cc1cnc([C@@H](C)CNCC2(F)CC2)s1 ZINC000309009250 323184220 /nfs/dbraw/zinc/18/42/20/323184220.db2.gz RCFSOVXCZYDIDM-QMMMGPOBSA-N 0 3 228.336 2.647 20 0 BFADHN Cc1cc(C)c(CN[C@H]2CS[C@H](C)C2)cn1 ZINC000397509121 362889794 /nfs/dbraw/zinc/88/97/94/362889794.db2.gz WIRQAWNFOYLAIB-DGCLKSJQSA-N 0 3 236.384 2.682 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1cccc(C)n1 ZINC000309094881 349984995 /nfs/dbraw/zinc/98/49/95/349984995.db2.gz DNHSEIHULHKOFA-AAEUAGOBSA-N 0 3 204.317 2.668 20 0 BFADHN CCN(CCC1CC1)Cc1ccnc(C#N)c1 ZINC000385912878 362906693 /nfs/dbraw/zinc/90/66/93/362906693.db2.gz HPCQIRVRZHLFIQ-UHFFFAOYSA-N 0 3 229.327 2.575 20 0 BFADHN COc1cccc(CNC[C@H](C)SC)c1F ZINC000122110164 362917741 /nfs/dbraw/zinc/91/77/41/362917741.db2.gz GTDWCBGSVDTXNB-VIFPVBQESA-N 0 3 243.347 2.675 20 0 BFADHN COc1cncc(CN2C[C@H](C)[C@H](C)[C@H]2C)c1 ZINC000419358709 192126834 /nfs/dbraw/zinc/12/68/34/192126834.db2.gz QHRJJAVTQSCSTN-SDDRHHMPSA-N 0 3 234.343 2.567 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@H](C)[C@H]2C)nc1 ZINC000419355948 192126557 /nfs/dbraw/zinc/12/65/57/192126557.db2.gz NNIUIDJPOOIGFS-SDDRHHMPSA-N 0 3 234.343 2.567 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cn2ccsc2n1 ZINC000419369985 192129375 /nfs/dbraw/zinc/12/93/75/192129375.db2.gz IRLMDYTZNRIWRY-OUAUKWLOSA-N 0 3 249.383 2.872 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@H]2CCSC2)c1 ZINC000287284818 179468272 /nfs/dbraw/zinc/46/82/72/179468272.db2.gz DANGCEZCFMBCMW-NWDGAFQWSA-N 0 3 236.384 2.794 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@@H]2CCCC23CC3)n1 ZINC000623824331 348449066 /nfs/dbraw/zinc/44/90/66/348449066.db2.gz BGWQEFCNLOMQOW-LBPRGKRZSA-N 0 3 246.354 2.766 20 0 BFADHN Cc1ccoc1CNCC[C@H]1CCO[C@H](C)C1 ZINC000273102689 179525560 /nfs/dbraw/zinc/52/55/60/179525560.db2.gz VZLQYXZEFWXQDI-OLZOCXBDSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1ccsc1[C@@H](C)NCc1cnn(C)c1 ZINC000069636831 179551502 /nfs/dbraw/zinc/55/15/02/179551502.db2.gz MBZORXJNEQSEPT-SNVBAGLBSA-N 0 3 235.356 2.641 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CO[C@@H](C)C2)c(C)o1 ZINC000401892546 348599999 /nfs/dbraw/zinc/59/99/99/348599999.db2.gz TYHBIQHLAMOXOO-PEXQALLHSA-N 0 3 223.316 2.724 20 0 BFADHN CO[C@H](C)CCN[C@H](C)c1cnc(C)s1 ZINC000389897027 538007597 /nfs/dbraw/zinc/00/75/97/538007597.db2.gz ZSVDFEQXSUUUSV-RKDXNWHRSA-N 0 3 228.361 2.527 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCC[C@H](C)C2)n1C ZINC000341123371 179605077 /nfs/dbraw/zinc/60/50/77/179605077.db2.gz MYOOXDARLGWQAW-WCQYABFASA-N 0 3 235.375 2.644 20 0 BFADHN c1coc(CNC[C@@H]2Cc3ccccc32)c1 ZINC000037472875 348618385 /nfs/dbraw/zinc/61/83/85/348618385.db2.gz PDNOBQYWAWTQMR-LBPRGKRZSA-N 0 3 213.280 2.709 20 0 BFADHN CCc1ccc(CN[C@@H]2CO[C@@H](C)C2)cc1 ZINC000401924777 348738459 /nfs/dbraw/zinc/73/84/59/348738459.db2.gz LCWQWEIMLJEUMJ-FZMZJTMJSA-N 0 3 219.328 2.516 20 0 BFADHN CCSCCN[C@H]1CCc2ccc(O)cc21 ZINC000597213669 348680545 /nfs/dbraw/zinc/68/05/45/348680545.db2.gz MSLABURINKGIHS-ZDUSSCGKSA-N 0 3 237.368 2.722 20 0 BFADHN CC[C@@H](C)CCNCc1cc(C(=O)OC)co1 ZINC000597185454 348683191 /nfs/dbraw/zinc/68/31/91/348683191.db2.gz VIRZXOCIFISSGK-SNVBAGLBSA-N 0 3 239.315 2.592 20 0 BFADHN Cc1cc(CNC2C[C@@H](C)C[C@H](C)C2)on1 ZINC000082830450 348821870 /nfs/dbraw/zinc/82/18/70/348821870.db2.gz NTOVNCHPWLUBHX-UWVGGRQHSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)on1 ZINC000082859096 348853322 /nfs/dbraw/zinc/85/33/22/348853322.db2.gz MEBVDMNFZKAFCE-OUJBWJOFSA-N 0 3 222.332 2.897 20 0 BFADHN CCOc1ccc([C@H](C)N[C@@H]2CO[C@@H](C)C2)cc1 ZINC000401960415 348918285 /nfs/dbraw/zinc/91/82/85/348918285.db2.gz VMTISLLBGUUZJL-OBJOEFQTSA-N 0 3 249.354 2.913 20 0 BFADHN CCOc1ccc([C@H](C)N[C@H]2CO[C@H](C)C2)cc1 ZINC000401960413 348918328 /nfs/dbraw/zinc/91/83/28/348918328.db2.gz VMTISLLBGUUZJL-MBNYWOFBSA-N 0 3 249.354 2.913 20 0 BFADHN CN(Cc1cccs1)C[C@@H]1CCCCO1 ZINC000042977429 348991879 /nfs/dbraw/zinc/99/18/79/348991879.db2.gz YOMYAPOCNPXWMX-NSHDSACASA-N 0 3 225.357 2.749 20 0 BFADHN C[C@H](NCc1ccc(F)cc1)[C@H]1CC1(F)F ZINC000666445028 490213281 /nfs/dbraw/zinc/21/32/81/490213281.db2.gz OBNAUCXLASRMSD-GZMMTYOYSA-N 0 3 229.245 2.959 20 0 BFADHN CC[C@@H](C)N(CCO)Cc1ccc(Cl)o1 ZINC000628301076 349006718 /nfs/dbraw/zinc/00/67/18/349006718.db2.gz HGLJMIAJSHQDAF-SECBINFHSA-N 0 3 231.723 2.526 20 0 BFADHN CCN(CC(=O)N(C)C(C)C)C1CCCCC1 ZINC000052873781 349039527 /nfs/dbraw/zinc/03/95/27/349039527.db2.gz KLZJDISKOVKFMN-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1cncc([C@H](C)NC[C@@H]2CCCS2)c1 ZINC000184386768 349073394 /nfs/dbraw/zinc/07/33/94/349073394.db2.gz DBSYLJWMYRWTPF-AAEUAGOBSA-N 0 3 236.384 2.936 20 0 BFADHN CC(C)(C)CC(C)(C)NCc1cnccn1 ZINC000054766238 349079071 /nfs/dbraw/zinc/07/90/71/349079071.db2.gz NIBXSIBDCGPWBF-UHFFFAOYSA-N 0 3 221.348 2.781 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@H](C)C1CCC1 ZINC000219204747 490223476 /nfs/dbraw/zinc/22/34/76/490223476.db2.gz TTYLJZQULORWOM-MWLCHTKSSA-N 0 3 219.332 2.624 20 0 BFADHN COCCN[C@@H](C)c1ccc(Cl)cc1OC ZINC000421796980 192383340 /nfs/dbraw/zinc/38/33/40/192383340.db2.gz AFSIQZRFRPELNL-VIFPVBQESA-N 0 3 243.734 2.646 20 0 BFADHN CC[C@H](C)N(CCO)Cc1cccc(C)c1F ZINC000628302212 349091513 /nfs/dbraw/zinc/09/15/13/349091513.db2.gz UXIFEMXYJKYWFZ-LBPRGKRZSA-N 0 3 239.334 2.727 20 0 BFADHN Cc1csc(CN2C[C@H](C)[C@@H](C)C2)n1 ZINC000268103814 179773706 /nfs/dbraw/zinc/77/37/06/179773706.db2.gz LEIXTQJONPOABE-IUCAKERBSA-N 0 3 210.346 2.539 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1ccncc1Cl ZINC000421805662 192384583 /nfs/dbraw/zinc/38/45/83/192384583.db2.gz XNDNDJNYAAHTPI-NXEZZACHSA-N 0 3 240.734 2.565 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccncc1Cl)[C@@H](C)O ZINC000421863858 192396288 /nfs/dbraw/zinc/39/62/88/192396288.db2.gz XWHOLYATDQERTC-SBMIAAHKSA-N 0 3 242.750 2.545 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC[C@H](C)C2)o1 ZINC000449608462 201982862 /nfs/dbraw/zinc/98/28/62/201982862.db2.gz HXESRWRKGGELOL-WDEREUQCSA-N 0 3 222.332 2.905 20 0 BFADHN CC[C@@H](C)CN[C@H](C)c1cc(C(=O)OC)co1 ZINC000421875811 192398758 /nfs/dbraw/zinc/39/87/58/192398758.db2.gz JHWXQUNVEFHEAI-NXEZZACHSA-N 0 3 239.315 2.763 20 0 BFADHN COc1ncc(CNC[C@@H]2CCC[C@H]2C)s1 ZINC000309384994 490231246 /nfs/dbraw/zinc/23/12/46/490231246.db2.gz RJCRRWXODZFKIU-ZJUUUORDSA-N 0 3 240.372 2.678 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1ccncc1Cl ZINC000421844526 192393639 /nfs/dbraw/zinc/39/36/39/192393639.db2.gz UEWDQHQXQJTXEG-AEJSXWLSSA-N 0 3 242.750 2.809 20 0 BFADHN CSCCN[C@@H](c1ccccn1)C1CCC1 ZINC000599568627 349223115 /nfs/dbraw/zinc/22/31/15/349223115.db2.gz GXDXFMWTBHDXCE-CYBMUJFWSA-N 0 3 236.384 2.875 20 0 BFADHN CC[C@@H](C)N1Cc2ccccc2[C@@H](C(=O)OC)C1 ZINC000599664590 349247179 /nfs/dbraw/zinc/24/71/79/349247179.db2.gz DUWFPEWOWKXBRP-RISCZKNCSA-N 0 3 247.338 2.557 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(C3CC3)cc2)CO1 ZINC000402053522 349278415 /nfs/dbraw/zinc/27/84/15/349278415.db2.gz QJJXAGQLQSNFHX-XHDPSFHLSA-N 0 3 231.339 2.831 20 0 BFADHN CCC(F)(F)C(C)(C)CNCc1cncn1C ZINC000623527003 362945872 /nfs/dbraw/zinc/94/58/72/362945872.db2.gz CJWPBCCYWIAQBI-UHFFFAOYSA-N 0 3 245.317 2.581 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1ccccn1 ZINC000160833512 349323639 /nfs/dbraw/zinc/32/36/39/349323639.db2.gz VDTMROXQPOAOEY-KWCYVHTRSA-N 0 3 234.343 2.690 20 0 BFADHN CCSCCCNCc1ccncc1Cl ZINC000300871482 349396635 /nfs/dbraw/zinc/39/66/35/349396635.db2.gz DWDCYKSHMSCDDQ-UHFFFAOYSA-N 0 3 244.791 2.968 20 0 BFADHN COCC1(N[C@@H](C)c2ccccc2)CC1 ZINC000309043921 349399995 /nfs/dbraw/zinc/39/99/95/349399995.db2.gz NDBDSYLLZHEBNO-NSHDSACASA-N 0 3 205.301 2.516 20 0 BFADHN c1csc(CNC[C@H]2CC=CCC2)n1 ZINC000040444005 191002634 /nfs/dbraw/zinc/00/26/34/191002634.db2.gz UDORJBYVERQVDY-JTQLQIEISA-N 0 3 208.330 2.589 20 0 BFADHN COc1c(C)cnc(CN2C[C@H](C)C[C@H]2C)c1C ZINC000409445152 191013519 /nfs/dbraw/zinc/01/35/19/191013519.db2.gz CMUPRHQMGDGYHC-ZYHUDNBSSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@H](C)CN1CCOC[C@H]1Cc1ccccc1 ZINC000409445323 191013597 /nfs/dbraw/zinc/01/35/97/191013597.db2.gz JCEAXRITMMHOMT-GOEBONIOSA-N 0 3 247.382 2.976 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccnc3ccccc23)CO1 ZINC000402263821 350101219 /nfs/dbraw/zinc/10/12/19/350101219.db2.gz CFPVSGVRNYQOMB-WCQYABFASA-N 0 3 242.322 2.502 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccnc3ccccc23)CO1 ZINC000402263824 350101747 /nfs/dbraw/zinc/10/17/47/350101747.db2.gz CFPVSGVRNYQOMB-YPMHNXCESA-N 0 3 242.322 2.502 20 0 BFADHN C[C@@H](CCc1cccc(F)c1)NCc1ncc[nH]1 ZINC000604417605 350127872 /nfs/dbraw/zinc/12/78/72/350127872.db2.gz MGLYCFYKRYRGBD-NSHDSACASA-N 0 3 247.317 2.660 20 0 BFADHN Cc1nc([C@@H](C)NCCCCF)cs1 ZINC000336692093 180035165 /nfs/dbraw/zinc/03/51/65/180035165.db2.gz PXVBSJQNQUQJEA-MRVPVSSYSA-N 0 3 216.325 2.852 20 0 BFADHN Cc1nc([C@@H](C)NC[C@H]2CCCOC2)cs1 ZINC000038044784 180037294 /nfs/dbraw/zinc/03/72/94/180037294.db2.gz UPXRMLCCLSETGG-MWLCHTKSSA-N 0 3 240.372 2.529 20 0 BFADHN Cc1nnc([C@@H]2CCCC[N@@H+]2CC2CCCC2)[n-]1 ZINC000338327174 180040901 /nfs/dbraw/zinc/04/09/01/180040901.db2.gz LQDSFMVSTYXLOE-ZDUSSCGKSA-N 0 3 248.374 2.830 20 0 BFADHN Cc1nnc([C@@H]2CCCC[N@H+]2CC2CCCC2)[n-]1 ZINC000338327174 180040905 /nfs/dbraw/zinc/04/09/05/180040905.db2.gz LQDSFMVSTYXLOE-ZDUSSCGKSA-N 0 3 248.374 2.830 20 0 BFADHN Cc1nc([C@H](C)NC2CCC2)c(C)s1 ZINC000052207600 180048266 /nfs/dbraw/zinc/04/82/66/180048266.db2.gz WPULVERWZNKFCR-ZETCQYMHSA-N 0 3 210.346 2.963 20 0 BFADHN Cc1nc([C@H](C)NCCC[C@H](C)CO)cs1 ZINC000222543546 180049493 /nfs/dbraw/zinc/04/94/93/180049493.db2.gz RTVPLSQBTBSHFG-UWVGGRQHSA-N 0 3 242.388 2.511 20 0 BFADHN Cc1nc([C@H](C)NC[C@@]2(C)CCOC2)cs1 ZINC000309561342 180049536 /nfs/dbraw/zinc/04/95/36/180049536.db2.gz LDJNJFROFCKPCR-JOYOIKCWSA-N 0 3 240.372 2.529 20 0 BFADHN Cc1nc([C@H](C)NCCC[C@@H](C)CO)cs1 ZINC000222543417 180049786 /nfs/dbraw/zinc/04/97/86/180049786.db2.gz RTVPLSQBTBSHFG-ZJUUUORDSA-N 0 3 242.388 2.511 20 0 BFADHN Cc1cc(CN[C@H](C)c2cccs2)n[nH]1 ZINC000309174127 350121138 /nfs/dbraw/zinc/12/11/38/350121138.db2.gz MCGMCZZACQGANI-SECBINFHSA-N 0 3 221.329 2.630 20 0 BFADHN CC(C)C[C@@H](NC1CC1)c1ccccn1 ZINC000132637448 191034717 /nfs/dbraw/zinc/03/47/17/191034717.db2.gz IHRRAXQTCWPFFX-CYBMUJFWSA-N 0 3 204.317 2.921 20 0 BFADHN CCCC1(CN[C@H](C)c2nccn2C)CC1 ZINC000132316073 191029993 /nfs/dbraw/zinc/02/99/93/191029993.db2.gz GVUCEJIXHXPCPC-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN COCC(C)(C)NCc1ccc2ccccc2n1 ZINC000132274141 191030607 /nfs/dbraw/zinc/03/06/07/191030607.db2.gz OHLMVWNRHWHRCL-UHFFFAOYSA-N 0 3 244.338 2.749 20 0 BFADHN CCC(C)(C)CCN1CCCC[C@@H]1C(=O)OC ZINC000426846041 191047273 /nfs/dbraw/zinc/04/72/73/191047273.db2.gz RBRBYWOIRWUFSM-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1cc(CN(C)[C@H](C)C(C)(C)C)on1 ZINC000532489395 323268071 /nfs/dbraw/zinc/26/80/71/323268071.db2.gz AVOAEOCWNDCMOM-SNVBAGLBSA-N 0 3 210.321 2.849 20 0 BFADHN Fc1cc(F)cc(CNC[C@H]2CCCCO2)c1 ZINC000162141361 538058730 /nfs/dbraw/zinc/05/87/30/538058730.db2.gz KGXQPXMQSWZMPW-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN C[C@]12CCN(C[C@H]3CCCCO3)C[C@H]1C2(F)F ZINC000428720867 191053112 /nfs/dbraw/zinc/05/31/12/191053112.db2.gz SDFSVGPXLNRWCN-UTUOFQBUSA-N 0 3 245.313 2.533 20 0 BFADHN C[C@]12CCN(C[C@@H]3CCCCO3)C[C@H]1C2(F)F ZINC000428720859 191053166 /nfs/dbraw/zinc/05/31/66/191053166.db2.gz SDFSVGPXLNRWCN-TUAOUCFPSA-N 0 3 245.313 2.533 20 0 BFADHN CCOC1(C)CCN(CCC(F)(F)F)CC1 ZINC000428728125 191053543 /nfs/dbraw/zinc/05/35/43/191053543.db2.gz DOGRYTFGIQUCMQ-UHFFFAOYSA-N 0 3 239.281 2.830 20 0 BFADHN Cc1ncc(CN2CCSCC[C@H]2C)s1 ZINC000191813433 180143423 /nfs/dbraw/zinc/14/34/23/180143423.db2.gz LKBAKYSWSAFCKW-SECBINFHSA-N 0 3 242.413 2.779 20 0 BFADHN Cc1ncc(CN(C)CC(C)(C)C)s1 ZINC000171082663 180138166 /nfs/dbraw/zinc/13/81/66/180138166.db2.gz FXIFVDRNCZQJEU-UHFFFAOYSA-N 0 3 212.362 2.929 20 0 BFADHN Cc1ncc(CN(C)[C@@H](C)C2CC2)s1 ZINC000299136656 180139325 /nfs/dbraw/zinc/13/93/25/180139325.db2.gz PXWFPZVSEVNCFM-QMMMGPOBSA-N 0 3 210.346 2.682 20 0 BFADHN Cc1ncc(CN([C@H](C)C2CC2)C2CC2)cn1 ZINC000339099045 180139421 /nfs/dbraw/zinc/13/94/21/180139421.db2.gz JCYMKEPFKINTTP-SNVBAGLBSA-N 0 3 231.343 2.548 20 0 BFADHN Cc1ncc(CN[C@@H](C)CC(F)(F)F)s1 ZINC000123777230 180153914 /nfs/dbraw/zinc/15/39/14/180153914.db2.gz GGPNYINZMIWHOP-LURJTMIESA-N 0 3 238.278 2.882 20 0 BFADHN Cc1ncc(CN[C@H](C)CC(F)(F)F)s1 ZINC000123777480 180156185 /nfs/dbraw/zinc/15/61/85/180156185.db2.gz GGPNYINZMIWHOP-ZCFIWIBFSA-N 0 3 238.278 2.882 20 0 BFADHN Cc1ncc(CN[C@H](C)CCC(C)C)cn1 ZINC000336669655 180156973 /nfs/dbraw/zinc/15/69/73/180156973.db2.gz MVFGFLKKSPJDBE-LLVKDONJSA-N 0 3 221.348 2.699 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CC2(C)C)c(C)n1 ZINC000272050984 180173121 /nfs/dbraw/zinc/17/31/21/180173121.db2.gz MZPNOSHIDFGMTB-CABZTGNLSA-N 0 3 219.332 2.543 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CCC2(C)C)c(C)n1 ZINC000287173178 180173326 /nfs/dbraw/zinc/17/33/26/180173326.db2.gz UTPKKFFKDIVYDL-GWCFXTLKSA-N 0 3 233.359 2.933 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CCC2(C)C)c(C)n1 ZINC000287173182 180173912 /nfs/dbraw/zinc/17/39/12/180173912.db2.gz UTPKKFFKDIVYDL-GXFFZTMASA-N 0 3 233.359 2.933 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)[C@@H]2C)s1 ZINC000177880573 180146547 /nfs/dbraw/zinc/14/65/47/180146547.db2.gz PQGYCAMKEIJQCD-BDAKNGLRSA-N 0 3 210.346 2.682 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)C[C@@H](C)C2)cn1 ZINC000336341911 180146780 /nfs/dbraw/zinc/14/67/80/180146780.db2.gz HFAJFDFOHPIBNN-NWDGAFQWSA-N 0 3 233.359 2.653 20 0 BFADHN C[C@@]1(NCc2cn[nH]c2)CCCc2ccccc21 ZINC000604767441 350185721 /nfs/dbraw/zinc/18/57/21/350185721.db2.gz KQHTYTRRRPWVAB-OAHLLOKOSA-N 0 3 241.338 2.751 20 0 BFADHN Cc1ncc(CNC[C@@H](C)C(F)(F)F)s1 ZINC000336761150 180152271 /nfs/dbraw/zinc/15/22/71/180152271.db2.gz MXBJYLBAAKJRCV-ZCFIWIBFSA-N 0 3 238.278 2.740 20 0 BFADHN CC[C@H](N[C@@H]1CCCOCC1)c1nccs1 ZINC000083425827 350206834 /nfs/dbraw/zinc/20/68/34/350206834.db2.gz JSMAUCHNBSFQII-MNOVXSKESA-N 0 3 240.372 2.753 20 0 BFADHN Cc1nccc(CN2CCC3(CCCC3)C2)n1 ZINC000373523822 180184140 /nfs/dbraw/zinc/18/41/40/180184140.db2.gz QKYSHJLVQAKPHI-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN COc1cc(C)ccc1[C@H](C)N[C@@H]1CO[C@@H](C)C1 ZINC000402373612 350226611 /nfs/dbraw/zinc/22/66/11/350226611.db2.gz ZHSHEQAQVNQACN-AVGNSLFASA-N 0 3 249.354 2.832 20 0 BFADHN Cc1ncccc1CN(C)CCCOC(C)C ZINC000353719927 180218562 /nfs/dbraw/zinc/21/85/62/180218562.db2.gz OZWQARLLPMBZNC-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN CC(C)CC[C@@H](C)N[C@H](C)c1ncc[nH]1 ZINC000124758462 490401589 /nfs/dbraw/zinc/40/15/89/490401589.db2.gz BMKBKQGOKIQCPO-GHMZBOCLSA-N 0 3 209.337 2.885 20 0 BFADHN CCc1cnccc1[C@H](C)NC[C@H]1CC[C@@H](C)O1 ZINC000355275025 350224803 /nfs/dbraw/zinc/22/48/03/350224803.db2.gz KQXICUZHPWQIIZ-MBNYWOFBSA-N 0 3 248.370 2.862 20 0 BFADHN Cc1nccn1CCN1CC=C(C(C)(C)C)CC1 ZINC000270941800 180251188 /nfs/dbraw/zinc/25/11/88/180251188.db2.gz YUPSGOYUPRYTMS-UHFFFAOYSA-N 0 3 247.386 2.870 20 0 BFADHN CC(C)CCN1CCN(CCC(C)C)CC1 ZINC000072939054 490412770 /nfs/dbraw/zinc/41/27/70/490412770.db2.gz DQFBOYUPKLUEFL-UHFFFAOYSA-N 0 3 226.408 2.696 20 0 BFADHN C[C@@](CO)(NCc1cccs1)c1ccccc1 ZINC000038123670 350307013 /nfs/dbraw/zinc/30/70/13/350307013.db2.gz YYQKVFWUBQTNQN-AWEZNQCLSA-N 0 3 247.363 2.745 20 0 BFADHN Cc1nccnc1[C@@H](C)NCC1(C)CCC1 ZINC000188226251 180279538 /nfs/dbraw/zinc/27/95/38/180279538.db2.gz IQMOMVXFGSRIHZ-LLVKDONJSA-N 0 3 219.332 2.626 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCc1cccs1 ZINC000187693988 180280040 /nfs/dbraw/zinc/28/00/40/180280040.db2.gz SDZRUEFIEGPHLU-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H]1CC=CCC1 ZINC000188206823 180281141 /nfs/dbraw/zinc/28/11/41/180281141.db2.gz YAFUXVQDXSJACW-VXGBXAGGSA-N 0 3 217.316 2.544 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](O)C(F)F)cc1 ZINC000224442662 350321652 /nfs/dbraw/zinc/32/16/52/350321652.db2.gz QPHVMSJXSFWOLI-JOYOIKCWSA-N 0 3 243.297 2.526 20 0 BFADHN Cc1nccnc1[C@H](C)NCCCC(C)C ZINC000187725593 180281969 /nfs/dbraw/zinc/28/19/69/180281969.db2.gz JJMVOADZKJNSOB-NSHDSACASA-N 0 3 221.348 2.872 20 0 BFADHN Cc1nccnc1[C@H](C)NC(C1CC1)C1CC1 ZINC000187852467 180282236 /nfs/dbraw/zinc/28/22/36/180282236.db2.gz IUNRJDBBZRGGHR-JTQLQIEISA-N 0 3 231.343 2.624 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@@H]1CCC[C@H]1C ZINC000300532336 180284265 /nfs/dbraw/zinc/28/42/65/180284265.db2.gz UFMUELVAKMXMIN-WXHSDQCUSA-N 0 3 233.359 2.872 20 0 BFADHN Cc1ncsc1CN(C)CCC(C)C ZINC000171751584 180314197 /nfs/dbraw/zinc/31/41/97/180314197.db2.gz DMFHYLAPVDISFF-UHFFFAOYSA-N 0 3 212.362 2.929 20 0 BFADHN Cc1ncsc1CN1CCSC[C@@H](C)C1 ZINC000277411973 180315400 /nfs/dbraw/zinc/31/54/00/180315400.db2.gz ZTWBYQNRXHEKRQ-VIFPVBQESA-N 0 3 242.413 2.636 20 0 BFADHN Cc1ncsc1CN1CCC[C@@H](C)C1 ZINC000157711199 180316246 /nfs/dbraw/zinc/31/62/46/180316246.db2.gz KHCHVTINOUEOTI-SECBINFHSA-N 0 3 210.346 2.683 20 0 BFADHN CCCC(C)(C)NCc1ncnn1C(C)C ZINC000126110256 490482704 /nfs/dbraw/zinc/48/27/04/490482704.db2.gz PCWMRFNACFXHKL-UHFFFAOYSA-N 0 3 224.352 2.527 20 0 BFADHN Cc1cc([C@H](C)NCCCC2CC2)nn1C ZINC000309385529 350366657 /nfs/dbraw/zinc/36/66/57/350366657.db2.gz DZYUZYOOACZYIO-NSHDSACASA-N 0 3 221.348 2.569 20 0 BFADHN COc1cc(C)c(CN(C)[C@H]2CC2(C)C)c(C)n1 ZINC000426570497 191097895 /nfs/dbraw/zinc/09/78/95/191097895.db2.gz MDGKEAOTCZIGAO-ZDUSSCGKSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ncsc1CN1CCC(CF)CC1 ZINC000295028684 180317156 /nfs/dbraw/zinc/31/71/56/180317156.db2.gz LLOIGZYLSJQDJK-UHFFFAOYSA-N 0 3 228.336 2.633 20 0 BFADHN Cc1ncsc1CN1CC[C@H](C)[C@@H](C)C1 ZINC000271211837 180317789 /nfs/dbraw/zinc/31/77/89/180317789.db2.gz YVSJEHGROSVJOM-UWVGGRQHSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1nocc1CN[C@@H]1CC(C)(C)C[C@H]1C ZINC000397924739 362955201 /nfs/dbraw/zinc/95/52/01/362955201.db2.gz RSHJCRULKDGAPD-BXKDBHETSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1nn(C)c(Cl)c1CNCCC1(C)CC1 ZINC000280618373 180350761 /nfs/dbraw/zinc/35/07/61/180350761.db2.gz PIFHOECJZMVAEB-UHFFFAOYSA-N 0 3 241.766 2.662 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@H]1CCC[C@@H]1C ZINC000162624487 180351274 /nfs/dbraw/zinc/35/12/74/180351274.db2.gz CWFYLNXMNXFEKF-KWQFWETISA-N 0 3 241.766 2.660 20 0 BFADHN c1nc(C2CC2)c(CN2CC[C@@H]3C[C@@H]3C2)s1 ZINC000668677549 490516254 /nfs/dbraw/zinc/51/62/54/490516254.db2.gz RZSNYBOWAUZIMA-GHMZBOCLSA-N 0 3 234.368 2.862 20 0 BFADHN Cc1ccncc1[C@@H](C)NC[C@@H]1CCC(C)(C)O1 ZINC000427954573 191103012 /nfs/dbraw/zinc/10/30/12/191103012.db2.gz BLMRANRHHBVLGT-OLZOCXBDSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1nc(C)c(CN2CC(C3CCCC3)C2)o1 ZINC000628306891 350439140 /nfs/dbraw/zinc/43/91/40/350439140.db2.gz BWEXZSPRBNQLQM-UHFFFAOYSA-N 0 3 234.343 2.913 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@H]1CCC(C)(C)O1 ZINC000427954574 191103490 /nfs/dbraw/zinc/10/34/90/191103490.db2.gz BLMRANRHHBVLGT-QWHCGFSZSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@H]1CCCCN1CCOCC(F)F ZINC000188664259 259413524 /nfs/dbraw/zinc/41/35/24/259413524.db2.gz PJRFUYNRVMFLRV-JTQLQIEISA-N 0 3 221.291 2.533 20 0 BFADHN CC(C)[C@H](C)NC1(C(=O)Nc2ccccc2)CC1 ZINC000427989232 191104354 /nfs/dbraw/zinc/10/43/54/191104354.db2.gz RMKBSADFUOMRJC-LBPRGKRZSA-N 0 3 246.354 2.792 20 0 BFADHN Cc1nn(C)cc1CN(C)C1CCC(C)CC1 ZINC000119879332 180371334 /nfs/dbraw/zinc/37/13/34/180371334.db2.gz YDUOVVXRVQQVBV-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)CC1(C)COC1 ZINC000336682306 350441742 /nfs/dbraw/zinc/44/17/42/350441742.db2.gz DERDOFHGIOENNK-ZDUSSCGKSA-N 0 3 234.343 2.501 20 0 BFADHN CC(C)[C@@H](C)NC1(C(=O)Nc2ccccc2)CC1 ZINC000427989231 191104795 /nfs/dbraw/zinc/10/47/95/191104795.db2.gz RMKBSADFUOMRJC-GFCCVEGCSA-N 0 3 246.354 2.792 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1ccc(Cl)cc1F ZINC000162975097 350490993 /nfs/dbraw/zinc/49/09/93/350490993.db2.gz MKANMKOGIGJXFG-IUCAKERBSA-N 0 3 245.725 2.728 20 0 BFADHN Cc1nn(C)cc1[C@@H]1CCCN1[C@@H]1C=CCCC1 ZINC000362282952 180380515 /nfs/dbraw/zinc/38/05/15/180380515.db2.gz LHYREBSBAOCLKL-HIFRSBDPSA-N 0 3 245.370 2.974 20 0 BFADHN Cc1nn(C)cc1[C@@H]1CCCN1[C@H]1C=CCCC1 ZINC000362282957 180380626 /nfs/dbraw/zinc/38/06/26/180380626.db2.gz LHYREBSBAOCLKL-ZFWWWQNUSA-N 0 3 245.370 2.974 20 0 BFADHN CC(C)[C@H](CO)NCc1cc(Cl)ccc1F ZINC000162969845 350484115 /nfs/dbraw/zinc/48/41/15/350484115.db2.gz FDIAYGOQEOYBCB-LBPRGKRZSA-N 0 3 245.725 2.586 20 0 BFADHN Cc1nn(C)cc1[C@H]1CCCN1[C@@H]1C=CCCC1 ZINC000362282954 180383496 /nfs/dbraw/zinc/38/34/96/180383496.db2.gz LHYREBSBAOCLKL-UKRRQHHQSA-N 0 3 245.370 2.974 20 0 BFADHN Cn1cncc1CN1CCC(C(C)(C)C)CC1 ZINC000177081034 365964095 /nfs/dbraw/zinc/96/40/95/365964095.db2.gz FURAHGDAJUUEAX-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@H](NC[C@H](N)c1ccccc1)c1ccoc1 ZINC000577482244 365963885 /nfs/dbraw/zinc/96/38/85/365963885.db2.gz VEUUMHYPFVCNDW-FZMZJTMJSA-N 0 3 230.311 2.630 20 0 BFADHN C[C@H](O)C[C@H]1CCCCN1CCC(F)(F)F ZINC000163087440 350503032 /nfs/dbraw/zinc/50/30/32/350503032.db2.gz KGXGKMIJSWFALR-VHSXEESVSA-N 0 3 239.281 2.564 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1cc(Cl)ccc1F ZINC000163531093 350525868 /nfs/dbraw/zinc/52/58/68/350525868.db2.gz TYDHXGAGMBTLIS-IUCAKERBSA-N 0 3 245.725 2.728 20 0 BFADHN Fc1cccc(CNCCC(F)(F)F)c1 ZINC000063098769 350571080 /nfs/dbraw/zinc/57/10/80/350571080.db2.gz XNDUILGMTZPCFA-UHFFFAOYSA-N 0 3 221.197 2.868 20 0 BFADHN Cc1csc([C@H](C)N[C@H]2CC[C@@H]2C)n1 ZINC000306308049 490632483 /nfs/dbraw/zinc/63/24/83/490632483.db2.gz RIHLVFCTWJDMTN-HGNGGELXSA-N 0 3 210.346 2.901 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2CC[C@@H]2C)n1 ZINC000306308051 490632541 /nfs/dbraw/zinc/63/25/41/490632541.db2.gz RIHLVFCTWJDMTN-UJNFCWOMSA-N 0 3 210.346 2.901 20 0 BFADHN Cc1nnsc1CNC1CCC(C)CC1 ZINC000335570561 180456549 /nfs/dbraw/zinc/45/65/49/180456549.db2.gz HRNATBSBZPAUNG-UHFFFAOYSA-N 0 3 225.361 2.515 20 0 BFADHN Cc1nnsc1CNC[C@@H]1CCC[C@H](C)C1 ZINC000336691512 180457301 /nfs/dbraw/zinc/45/73/01/180457301.db2.gz WWTVMZATAWOPRG-GXSJLCMTSA-N 0 3 239.388 2.762 20 0 BFADHN Cc1noc(C)c1CCN[C@H](C)c1ccccn1 ZINC000159058105 180468803 /nfs/dbraw/zinc/46/88/03/180468803.db2.gz OYRDBVJVCHLCMF-LLVKDONJSA-N 0 3 245.326 2.580 20 0 BFADHN CC(C)[C@H](O)CN1Cc2ccccc2[C@@H]1C ZINC000335557957 535150397 /nfs/dbraw/zinc/15/03/97/535150397.db2.gz IOBRWWMAYDXKDW-SMDDNHRTSA-N 0 3 219.328 2.580 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CC[C@@H]1C1CC1 ZINC000309933338 180474219 /nfs/dbraw/zinc/47/42/19/180474219.db2.gz YWUDDEYPFRXNML-YPMHNXCESA-N 0 3 220.316 2.570 20 0 BFADHN COCCCN(C)Cc1cccc(Cl)c1 ZINC000051532254 350749545 /nfs/dbraw/zinc/74/95/45/350749545.db2.gz JGZLXWHDVUUAAH-UHFFFAOYSA-N 0 3 227.735 2.808 20 0 BFADHN Cc1ccc(CN2CC(C3CCC3)C2)cn1 ZINC000335736207 538099156 /nfs/dbraw/zinc/09/91/56/538099156.db2.gz VXLSFAMHPYEASS-UHFFFAOYSA-N 0 3 216.328 2.622 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCC[C@@H]2C2CC2)o1 ZINC000628310223 350788191 /nfs/dbraw/zinc/78/81/91/350788191.db2.gz SDDLEIJQBSDIQU-GXTWGEPZSA-N 0 3 234.343 2.899 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@H](C)[C@@H]2C)[nH]c1C ZINC000628314610 350836431 /nfs/dbraw/zinc/83/64/31/350836431.db2.gz HGNCICXSPCLEEI-AUTRQRHGSA-N 0 3 221.348 2.503 20 0 BFADHN Fc1ccc(CNCCc2ccccn2)cc1F ZINC000019916404 350847925 /nfs/dbraw/zinc/84/79/25/350847925.db2.gz YNMJKIPKYMRXDQ-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN COCc1ccc(CN(C)C(C)(C)C)o1 ZINC000571088185 350861310 /nfs/dbraw/zinc/86/13/10/350861310.db2.gz BBVNPXDYBUQXLQ-UHFFFAOYSA-N 0 3 211.305 2.656 20 0 BFADHN CCN1CCC[C@@H]1CN[C@H](C)c1ccc(C)o1 ZINC000248534401 350862309 /nfs/dbraw/zinc/86/23/09/350862309.db2.gz VMPVVXDHJRASRX-CHWSQXEVSA-N 0 3 236.359 2.723 20 0 BFADHN COc1cccc2c1CC[C@@H]2NCc1cc[nH]c1 ZINC000177600974 366010876 /nfs/dbraw/zinc/01/08/76/366010876.db2.gz ZZSPNGYPNYTDKI-AWEZNQCLSA-N 0 3 242.322 2.800 20 0 BFADHN CCN1CCC[C@@H]1CN[C@H]1CCCc2occc21 ZINC000245780592 350827682 /nfs/dbraw/zinc/82/76/82/350827682.db2.gz CDKQLBZSMAJSPO-OCCSQVGLSA-N 0 3 248.370 2.731 20 0 BFADHN CC1(C)CCC[C@H]1CNCc1ccc(CO)o1 ZINC000293523754 350899244 /nfs/dbraw/zinc/89/92/44/350899244.db2.gz WHMVQEGNAAHKTN-NSHDSACASA-N 0 3 237.343 2.688 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1N[C@H]1CCc2c1cccc2F ZINC000252790352 350915527 /nfs/dbraw/zinc/91/55/27/350915527.db2.gz YSNIAAFUCNMWCM-MELADBBJSA-N 0 3 249.329 2.562 20 0 BFADHN CCc1cc(NC(=O)[C@H](C)NC2CC2)ccc1C ZINC000394839006 350921628 /nfs/dbraw/zinc/92/16/28/350921628.db2.gz CIHMSNNSMCEXFY-NSHDSACASA-N 0 3 246.354 2.636 20 0 BFADHN OCCN1CCC(c2ccccc2Cl)CC1 ZINC000616619518 350927441 /nfs/dbraw/zinc/92/74/41/350927441.db2.gz KUSJVJWJBNEYFT-UHFFFAOYSA-N 0 3 239.746 2.512 20 0 BFADHN CN(Cc1cccc(F)c1F)CC1CC1 ZINC000052106231 350929806 /nfs/dbraw/zinc/92/98/06/350929806.db2.gz WSTJSTMZQIHDIM-UHFFFAOYSA-N 0 3 211.255 2.807 20 0 BFADHN COCCCN(C)[C@@H](C)c1ccccc1F ZINC000052074499 350930103 /nfs/dbraw/zinc/93/01/03/350930103.db2.gz LBUANAKFXJLBJE-NSHDSACASA-N 0 3 225.307 2.855 20 0 BFADHN COc1ccc(C)cc1CN(C)CC1CC1 ZINC000052128269 350936180 /nfs/dbraw/zinc/93/61/80/350936180.db2.gz VYVATARIWMOIQB-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN CCc1cc(CN[C@@H]2CC[C@H]2C(C)C)on1 ZINC000309636832 323439282 /nfs/dbraw/zinc/43/92/82/323439282.db2.gz JQTJBXZQHCALPL-QWHCGFSZSA-N 0 3 222.332 2.761 20 0 BFADHN c1nn2c(c1CN[C@@H]1CC13CCCCC3)CCC2 ZINC000623568854 362996256 /nfs/dbraw/zinc/99/62/56/362996256.db2.gz LYGUSYTVPMYOMW-CQSZACIVSA-N 0 3 245.370 2.642 20 0 BFADHN Cc1cccn2cc(CN3C[C@@H](C)C[C@H]3C)nc12 ZINC000607154981 351031450 /nfs/dbraw/zinc/03/14/50/351031450.db2.gz KFXPYJONNVGKEA-WCQYABFASA-N 0 3 243.354 2.873 20 0 BFADHN Cc1cccn2cc(CN3C[C@@H](C)C[C@@H]3C)nc12 ZINC000607154975 351031744 /nfs/dbraw/zinc/03/17/44/351031744.db2.gz KFXPYJONNVGKEA-AAEUAGOBSA-N 0 3 243.354 2.873 20 0 BFADHN COCC1(NCc2cccc(C)c2C)CC1 ZINC000309674112 323445874 /nfs/dbraw/zinc/44/58/74/323445874.db2.gz XBRSHWWHUBIZOU-UHFFFAOYSA-N 0 3 219.328 2.572 20 0 BFADHN C/C=C\CNCc1ccc(OCC)c(F)c1 ZINC000623739917 351049475 /nfs/dbraw/zinc/04/94/75/351049475.db2.gz JQZJRKAJILZKJY-HYXAFXHYSA-N 0 3 223.291 2.890 20 0 BFADHN C/C=C/CNCc1ccc(N(C)C(C)C)nc1 ZINC000623740063 351050594 /nfs/dbraw/zinc/05/05/94/351050594.db2.gz LWJMMPOUTHLTTR-AATRIKPKSA-N 0 3 233.359 2.592 20 0 BFADHN C/C=C\CNCc1cn(C)nc1-c1ccccc1 ZINC000623740284 351052392 /nfs/dbraw/zinc/05/23/92/351052392.db2.gz RNCIDFWEXLAYTL-ARJAWSKDSA-N 0 3 241.338 2.753 20 0 BFADHN CC1CC(CNCc2ccncc2Cl)C1 ZINC000623575760 363006086 /nfs/dbraw/zinc/00/60/86/363006086.db2.gz OFWORZBPZOGEJK-UHFFFAOYSA-N 0 3 224.735 2.871 20 0 BFADHN Cc1ncc(CN(C)CCC(C)(C)C)o1 ZINC000628321873 351187951 /nfs/dbraw/zinc/18/79/51/351187951.db2.gz KLTFTAAUMKQJAH-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN Clc1ccc(CN[C@H]2CCCOCC2)o1 ZINC000308869777 180580970 /nfs/dbraw/zinc/58/09/70/180580970.db2.gz YKSCVJBRKOJSQM-VIFPVBQESA-N 0 3 229.707 2.592 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@]12C[C@@H]1CCC2 ZINC000628337185 351283301 /nfs/dbraw/zinc/28/33/01/351283301.db2.gz YSTWPCYOKHPNSY-GWCFXTLKSA-N 0 3 218.300 2.584 20 0 BFADHN Cc1nc(C)c(CNCCC(C)(C)F)o1 ZINC000628339729 351283869 /nfs/dbraw/zinc/28/38/69/351283869.db2.gz VJZIDWNGOUEKMQ-UHFFFAOYSA-N 0 3 214.284 2.519 20 0 BFADHN Fc1cnccc1CNC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000623589340 363022962 /nfs/dbraw/zinc/02/29/62/363022962.db2.gz HIBSVJHMOARBHO-NTZNESFSSA-N 0 3 234.318 2.747 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1ccncc1Cl ZINC000379954024 351300122 /nfs/dbraw/zinc/30/01/22/351300122.db2.gz UTNLYEHDEWZDAB-LDYMZIIASA-N 0 3 242.775 2.719 20 0 BFADHN Cc1nc(C)c(CNC[C@H]2C(C)(C)C2(F)F)o1 ZINC000628350028 351309841 /nfs/dbraw/zinc/30/98/41/351309841.db2.gz VXZQIEBHVNCCEV-JTQLQIEISA-N 0 3 244.285 2.672 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1cnc(C2CC2)o1 ZINC000628351616 351313256 /nfs/dbraw/zinc/31/32/56/351313256.db2.gz KAHFUHUUQGTDTK-CYBMUJFWSA-N 0 3 220.316 2.830 20 0 BFADHN Cc1nc(C)c(CN[C@@H](C(C)C)C2CC2)o1 ZINC000628351803 351314232 /nfs/dbraw/zinc/31/42/32/351314232.db2.gz PNMZDTUKJCZUIK-ZDUSSCGKSA-N 0 3 222.332 2.816 20 0 BFADHN Cc1c[nH]c(CN(CCC2CC2)CC2CC2)n1 ZINC000628352772 351316341 /nfs/dbraw/zinc/31/63/41/351316341.db2.gz TVNBVYKHPCVDCY-UHFFFAOYSA-N 0 3 233.359 2.730 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H]2CCCc3ncccc32)C1 ZINC000631635042 351325224 /nfs/dbraw/zinc/32/52/24/351325224.db2.gz IBRJJQVHZBNNMP-ZOWXZIJZSA-N 0 3 246.354 2.616 20 0 BFADHN CCOCCNC1(c2ccc(F)cc2)CCC1 ZINC000607357060 351330917 /nfs/dbraw/zinc/33/09/17/351330917.db2.gz AGHIDZQBKUEGQF-UHFFFAOYSA-N 0 3 237.318 2.831 20 0 BFADHN CCN(Cc1ccc(Cl)cc1)C[C@H](C)O ZINC000265640500 351273737 /nfs/dbraw/zinc/27/37/37/351273737.db2.gz JOJPPHAEKIZNBW-JTQLQIEISA-N 0 3 227.735 2.543 20 0 BFADHN CCN(Cc1sccc1OC)CC1CC1 ZINC000271155900 351406416 /nfs/dbraw/zinc/40/64/16/351406416.db2.gz CVUPKLNLVSTDHT-UHFFFAOYSA-N 0 3 225.357 2.989 20 0 BFADHN CC1(C)C[C@@H](NCc2ccns2)C(C)(C)O1 ZINC000404295582 351341235 /nfs/dbraw/zinc/34/12/35/351341235.db2.gz NLNWVUZPPPUGJO-SNVBAGLBSA-N 0 3 240.372 2.579 20 0 BFADHN CC1(C)C[C@]1(C)NCc1ccnn1CC1CCC1 ZINC000631177801 351361161 /nfs/dbraw/zinc/36/11/61/351361161.db2.gz RKQAOTDVULCSII-HNNXBMFYSA-N 0 3 247.386 2.961 20 0 BFADHN CC1(C)CC[C@H](NCc2ccns2)C1 ZINC000404414856 351431833 /nfs/dbraw/zinc/43/18/33/351431833.db2.gz MLMGFKPLRDBCIN-VIFPVBQESA-N 0 3 210.346 2.811 20 0 BFADHN CCN(Cc1ccccc1OC)C[C@@H](C)OC ZINC000272757472 351493301 /nfs/dbraw/zinc/49/33/01/351493301.db2.gz BQEQSMQESIXVEY-GFCCVEGCSA-N 0 3 237.343 2.552 20 0 BFADHN Clc1ccccc1CNC1CCOCC1 ZINC000036303906 180595260 /nfs/dbraw/zinc/59/52/60/180595260.db2.gz XDWQREZOYXVFCU-UHFFFAOYSA-N 0 3 225.719 2.609 20 0 BFADHN CCc1ccc(NC2CCN(C)CC2)cc1OC ZINC000202098042 363060168 /nfs/dbraw/zinc/06/01/68/363060168.db2.gz CFYCXICMONNPQH-UHFFFAOYSA-N 0 3 248.370 2.764 20 0 BFADHN CC(C)n1ccnc1CNCC1CC=CC1 ZINC000623613117 363051746 /nfs/dbraw/zinc/05/17/46/363051746.db2.gz ZSAWTCYIRSJPPA-UHFFFAOYSA-N 0 3 219.332 2.520 20 0 BFADHN CCN(Cc1cn(C)nc1C(F)F)C(C)C ZINC000274453008 351569843 /nfs/dbraw/zinc/56/98/43/351569843.db2.gz FRFNCXOCWFXGEW-UHFFFAOYSA-N 0 3 231.290 2.588 20 0 BFADHN CCN(Cc1cn(C)nc1C(F)F)CC1CC1 ZINC000274516031 351571581 /nfs/dbraw/zinc/57/15/81/351571581.db2.gz WVHSPDUZYHSMPG-UHFFFAOYSA-N 0 3 243.301 2.590 20 0 BFADHN CCCN(CC)[C@@H](C)c1cnc(C)nc1C ZINC000637810537 351651541 /nfs/dbraw/zinc/65/15/41/351651541.db2.gz LUPXNVMKZNOSCZ-NSHDSACASA-N 0 3 221.348 2.886 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1ccon1 ZINC000088131869 351622995 /nfs/dbraw/zinc/62/29/95/351622995.db2.gz FHFXPOMSGFIMBO-PWSUYJOCSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2ccns2)C1 ZINC000637833748 351680960 /nfs/dbraw/zinc/68/09/60/351680960.db2.gz WAHZNRITYBWFRA-UWVGGRQHSA-N 0 3 210.346 2.621 20 0 BFADHN C[C@@H]1C[C@@H](C)CN([C@H](c2nccn2C)C2CC2)C1 ZINC000637832670 351682012 /nfs/dbraw/zinc/68/20/12/351682012.db2.gz KSSYRXYGTFTFSE-BZPMIXESSA-N 0 3 247.386 2.849 20 0 BFADHN Cc1cc(C)c(/C=C\CN(C)CCO)cc1C ZINC000637832989 351682768 /nfs/dbraw/zinc/68/27/68/351682768.db2.gz LPHMBRXWKKAZEN-WAYWQWQTSA-N 0 3 233.355 2.549 20 0 BFADHN Cc1cc(C)c(/C=C/CN(C)CCO)cc1C ZINC000637832988 351683071 /nfs/dbraw/zinc/68/30/71/351683071.db2.gz LPHMBRXWKKAZEN-AATRIKPKSA-N 0 3 233.355 2.549 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1Cc1ccns1 ZINC000637833765 351683251 /nfs/dbraw/zinc/68/32/51/351683251.db2.gz WOUZYDCQRRNFTB-AOOOYVTPSA-N 0 3 210.346 2.906 20 0 BFADHN Cc1cc(C)c(/C=C/CN(C)CCO)c(C)c1 ZINC000637834465 351688641 /nfs/dbraw/zinc/68/86/41/351688641.db2.gz WZEHVBFQIMFPLS-AATRIKPKSA-N 0 3 233.355 2.549 20 0 BFADHN C[C@H]1CCCCN1Cc1c2c(nn1C)CCCC2 ZINC000637837002 351690278 /nfs/dbraw/zinc/69/02/78/351690278.db2.gz XRJAEYYOVVQECG-LBPRGKRZSA-N 0 3 247.386 2.673 20 0 BFADHN Cc1ccc2c(c1)[C@@H](N[C@@H]1COC(C)(C)C1)CO2 ZINC000577725719 366043848 /nfs/dbraw/zinc/04/38/48/366043848.db2.gz HGPNXYOIVRYAKT-AAEUAGOBSA-N 0 3 247.338 2.586 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N(C)C1CCCCC1 ZINC000637866599 351726620 /nfs/dbraw/zinc/72/66/20/351726620.db2.gz AQTWHCWNLDRYJS-ZDUSSCGKSA-N 0 3 240.391 2.541 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)N[C@H](C)c1ccccc1 ZINC000637868862 351728360 /nfs/dbraw/zinc/72/83/60/351728360.db2.gz PNZVAXTZYXCIEO-TZMCWYRMSA-N 0 3 248.370 2.627 20 0 BFADHN CCN(Cc1cccs1)Cc1ccc(=O)[nH]c1 ZINC000637871804 351734023 /nfs/dbraw/zinc/73/40/23/351734023.db2.gz AREGUQALQPXNKT-UHFFFAOYSA-N 0 3 248.351 2.871 20 0 BFADHN CCCCN(C(=O)[C@@H](C)NCC)c1ccccc1 ZINC000637878922 351745242 /nfs/dbraw/zinc/74/52/42/351745242.db2.gz MXSPGWRRESVNTO-CYBMUJFWSA-N 0 3 248.370 2.818 20 0 BFADHN Cc1cc(CN2C3CCC2CC3)sn1 ZINC000637884131 351748859 /nfs/dbraw/zinc/74/88/59/351748859.db2.gz AQTVVQPGPPAVHT-UHFFFAOYSA-N 0 3 208.330 2.578 20 0 BFADHN Cc1ccc(CN(C)CCC[C@H]2CCO2)o1 ZINC000637852113 351711054 /nfs/dbraw/zinc/71/10/54/351711054.db2.gz CBNAJGFDDATWIE-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN C[C@H](c1ccco1)N(C)CCC[C@H]1CCO1 ZINC000637902680 351774979 /nfs/dbraw/zinc/77/49/79/351774979.db2.gz MKYMBROJGWBFEK-NEPJUHHUSA-N 0 3 223.316 2.842 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2occc2C)C1(C)C ZINC000072926564 351775446 /nfs/dbraw/zinc/77/54/46/351775446.db2.gz NAMGTJZEAFIBCN-OCCSQVGLSA-N 0 3 237.343 2.881 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2occc2C)C1(C)C ZINC000072926565 351775488 /nfs/dbraw/zinc/77/54/88/351775488.db2.gz NAMGTJZEAFIBCN-JSGCOSHPSA-N 0 3 237.343 2.881 20 0 BFADHN CC(C)(C)OCCNCc1ccccc1F ZINC000162366502 323610233 /nfs/dbraw/zinc/61/02/33/323610233.db2.gz GGJDSUBEILRMEN-UHFFFAOYSA-N 0 3 225.307 2.730 20 0 BFADHN CC[C@@H](C)N(C)Cc1cncc(OC)c1C ZINC000637911380 351784723 /nfs/dbraw/zinc/78/47/23/351784723.db2.gz KXJHTLAYSZQAKO-SNVBAGLBSA-N 0 3 222.332 2.629 20 0 BFADHN CCC[C@@H](C)N(C)Cc1c2c(nn1C)CCCC2 ZINC000637911267 351785224 /nfs/dbraw/zinc/78/52/24/351785224.db2.gz JJVKEKRLYBOPON-GFCCVEGCSA-N 0 3 249.402 2.919 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnc(CCOC)s1 ZINC000637912384 351786015 /nfs/dbraw/zinc/78/60/15/351786015.db2.gz VBVPUHCZQWNCSL-SNVBAGLBSA-N 0 3 242.388 2.562 20 0 BFADHN CCN(Cc1ccns1)C1CCCC1 ZINC000637910436 351786769 /nfs/dbraw/zinc/78/67/69/351786769.db2.gz RQXKMYGJZJPXAE-UHFFFAOYSA-N 0 3 210.346 2.908 20 0 BFADHN CC(C)N([C@H](c1nccn1C)C1CC1)C1CC1 ZINC000637928590 351804940 /nfs/dbraw/zinc/80/49/40/351804940.db2.gz VSLHDOALAAZXBZ-ZDUSSCGKSA-N 0 3 233.359 2.744 20 0 BFADHN Cc1ncc([C@H](C)N(C)[C@@H](C)C2CC2)c(C)n1 ZINC000637920738 351794581 /nfs/dbraw/zinc/79/45/81/351794581.db2.gz PONWKWQRGKCEOX-QWRGUYRKSA-N 0 3 233.359 2.885 20 0 BFADHN Cc1ncc([C@H](C)N(C)[C@H](C)C2CC2)c(C)n1 ZINC000637920736 351795180 /nfs/dbraw/zinc/79/51/80/351795180.db2.gz PONWKWQRGKCEOX-MNOVXSKESA-N 0 3 233.359 2.885 20 0 BFADHN CC(C)N(Cc1cccc(F)c1N)C1CC1 ZINC000637925290 351799161 /nfs/dbraw/zinc/79/91/61/351799161.db2.gz BWDZWRCGZRPREB-UHFFFAOYSA-N 0 3 222.307 2.781 20 0 BFADHN COc1cncc(CN2C3CCC2CC3)c1C ZINC000637884823 351754190 /nfs/dbraw/zinc/75/41/90/351754190.db2.gz GUZPQQPAGRHKDZ-UHFFFAOYSA-N 0 3 232.327 2.525 20 0 BFADHN Cc1cc(C)c(/C=C/CN2CC[C@@H](O)C2)c(C)c1 ZINC000637890648 351758687 /nfs/dbraw/zinc/75/86/87/351758687.db2.gz RONRQHIIQZAHNE-MBVDDHJVSA-N 0 3 245.366 2.692 20 0 BFADHN CC(C)CCN(C)[C@@H](c1nccn1C)C1CC1 ZINC000637901195 351771038 /nfs/dbraw/zinc/77/10/38/351771038.db2.gz KFDXHXUVVMYAOY-CYBMUJFWSA-N 0 3 235.375 2.849 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1c2c(nn1C)CCCC2 ZINC000637901533 351771498 /nfs/dbraw/zinc/77/14/98/351771498.db2.gz GCQLMFNLKAVFNJ-LBPRGKRZSA-N 0 3 249.402 2.775 20 0 BFADHN CCN[C@H](C)C(=O)Nc1cc(C)ccc1Cl ZINC000637904045 351774161 /nfs/dbraw/zinc/77/41/61/351774161.db2.gz FUAABGQQWRYZNK-SECBINFHSA-N 0 3 240.734 2.585 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2occc2C)C1(C)C ZINC000072926562 351774805 /nfs/dbraw/zinc/77/48/05/351774805.db2.gz NAMGTJZEAFIBCN-TZMCWYRMSA-N 0 3 237.343 2.881 20 0 BFADHN CC1(C)CCN(Cc2ccccn2)CCS1 ZINC000279749249 351822840 /nfs/dbraw/zinc/82/28/40/351822840.db2.gz PZNGLQBXJVASKJ-UHFFFAOYSA-N 0 3 236.384 2.799 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CCCC(C)(C)C1 ZINC000637952500 351827455 /nfs/dbraw/zinc/82/74/55/351827455.db2.gz QVQJADWHZNYMOX-ZDUSSCGKSA-N 0 3 247.386 2.993 20 0 BFADHN Cn1ccnc1[C@@H](C1CC1)N1CCCC(C)(C)C1 ZINC000637952499 351827775 /nfs/dbraw/zinc/82/77/75/351827775.db2.gz QVQJADWHZNYMOX-CYBMUJFWSA-N 0 3 247.386 2.993 20 0 BFADHN CCN[C@H](C)C(=O)N(C)c1cccc(C(C)C)c1 ZINC000637954767 351831512 /nfs/dbraw/zinc/83/15/12/351831512.db2.gz AFTCXUOKRQOEGQ-GFCCVEGCSA-N 0 3 248.370 2.771 20 0 BFADHN CCC[C@H](CN1CCOc2ccccc2C1)OC ZINC000637957128 351832945 /nfs/dbraw/zinc/83/29/45/351832945.db2.gz CPTUBWPIGKLWBH-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CCN[C@H](C)C(=O)N(CC)c1cccc(C)c1C ZINC000637930857 351807208 /nfs/dbraw/zinc/80/72/08/351807208.db2.gz BADLLBPTPUKVKA-CYBMUJFWSA-N 0 3 248.370 2.654 20 0 BFADHN CCC(CC)N(CCOC)Cc1ccns1 ZINC000637936303 351812527 /nfs/dbraw/zinc/81/25/27/351812527.db2.gz SEOMXXSHBKXYHA-UHFFFAOYSA-N 0 3 242.388 2.780 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cnc(OC)s1 ZINC000637940300 351817507 /nfs/dbraw/zinc/81/75/07/351817507.db2.gz FWBIMUKZTARXIS-SECBINFHSA-N 0 3 228.361 2.630 20 0 BFADHN COCCCN(C)Cc1csc(C)c1C ZINC000637976752 351854265 /nfs/dbraw/zinc/85/42/65/351854265.db2.gz KXBHLDWNNMFTMA-UHFFFAOYSA-N 0 3 227.373 2.833 20 0 BFADHN COc1ncc(CN2CCC[C@H](C)CC2)s1 ZINC000637979042 351854531 /nfs/dbraw/zinc/85/45/31/351854531.db2.gz RNRHCJORFHHYAN-JTQLQIEISA-N 0 3 240.372 2.774 20 0 BFADHN COc1ncc(CN(C)CC2CCCC2)s1 ZINC000637984383 351858923 /nfs/dbraw/zinc/85/89/23/351858923.db2.gz FGLFHFRDOHGNLP-UHFFFAOYSA-N 0 3 240.372 2.774 20 0 BFADHN CC[C@@H]1CCCN1Cc1c2c(nn1C)CCCC2 ZINC000637965747 351840144 /nfs/dbraw/zinc/84/01/44/351840144.db2.gz VBZXIZNQHDDIKP-GFCCVEGCSA-N 0 3 247.386 2.673 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N1CCCCC[C@@H]1C ZINC000637996332 351871337 /nfs/dbraw/zinc/87/13/37/351871337.db2.gz IBCNCHAONHUTFU-STQMWFEESA-N 0 3 240.391 2.541 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)N1CCCCC[C@H]1C ZINC000637996329 351871412 /nfs/dbraw/zinc/87/14/12/351871412.db2.gz IBCNCHAONHUTFU-CHWSQXEVSA-N 0 3 240.391 2.541 20 0 BFADHN C[C@@H]1CCCN(Cc2ccns2)[C@H]1C ZINC000637994932 351872874 /nfs/dbraw/zinc/87/28/74/351872874.db2.gz YPTNTVODJSQMHD-ZJUUUORDSA-N 0 3 210.346 2.764 20 0 BFADHN CO[C@](C)(CN1Cc2ccccc2C1)C1CC1 ZINC000638036779 351919490 /nfs/dbraw/zinc/91/94/90/351919490.db2.gz MVWFVGVGBSTQJH-OAHLLOKOSA-N 0 3 231.339 2.817 20 0 BFADHN CC(C)CN(Cc1ccccc1F)C[C@H](C)O ZINC000282105065 351923145 /nfs/dbraw/zinc/92/31/45/351923145.db2.gz WKHOWIYXNVYEHD-LBPRGKRZSA-N 0 3 239.334 2.665 20 0 BFADHN Cc1scc(CN(CCCO)C2CC2)c1C ZINC000638075607 351947974 /nfs/dbraw/zinc/94/79/74/351947974.db2.gz KHKHWOCEZSLVPQ-UHFFFAOYSA-N 0 3 239.384 2.712 20 0 BFADHN CCC(C)(C)N(C)Cc1cnc(OC)s1 ZINC000638061316 351935251 /nfs/dbraw/zinc/93/52/51/351935251.db2.gz BMGGTIWYCHUAPM-UHFFFAOYSA-N 0 3 228.361 2.772 20 0 BFADHN CCC[C@H](CN(CC)Cc1ccoc1)OC ZINC000638015539 351892201 /nfs/dbraw/zinc/89/22/01/351892201.db2.gz KFXOESXIWFCINQ-CYBMUJFWSA-N 0 3 225.332 2.917 20 0 BFADHN COc1ccc(CN2C[C@@H](C)[C@H](C)C2)cc1F ZINC000353851896 187547692 /nfs/dbraw/zinc/54/76/92/187547692.db2.gz AUPSFQPORXCNOA-GHMZBOCLSA-N 0 3 237.318 2.922 20 0 BFADHN c1n[nH]cc1[C@H]1CCCCN1CCC[C@H]1CCO1 ZINC000638081884 351955672 /nfs/dbraw/zinc/95/56/72/351955672.db2.gz AKNKQLOGXFAFDI-UONOGXRCSA-N 0 3 249.358 2.506 20 0 BFADHN CC(C)C1(CN(C)Cc2ccc[nH]2)CC1 ZINC000638150354 352010966 /nfs/dbraw/zinc/01/09/66/352010966.db2.gz MAYFTWQJOMMLNN-UHFFFAOYSA-N 0 3 206.333 2.883 20 0 BFADHN Cc1scc(CN(C)CC[C@H](C)O)c1C ZINC000638091537 351968962 /nfs/dbraw/zinc/96/89/62/351968962.db2.gz AEFSCGBSKOPRDM-VIFPVBQESA-N 0 3 227.373 2.568 20 0 BFADHN C[C@@H]1CN(Cc2ccnn2CC2CCC2)C[C@H]1C ZINC000638092606 351969075 /nfs/dbraw/zinc/96/90/75/351969075.db2.gz CCIADFKMVYSARR-CHWSQXEVSA-N 0 3 247.386 2.771 20 0 BFADHN C[C@H]1CN(Cc2ccns2)CC[C@@H]1C ZINC000638092405 351970981 /nfs/dbraw/zinc/97/09/81/351970981.db2.gz IZRHXBUNUQBMPD-UWVGGRQHSA-N 0 3 210.346 2.621 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2ccns2)C1 ZINC000638095184 351971938 /nfs/dbraw/zinc/97/19/38/351971938.db2.gz RARQJXNIZCHNIF-UWVGGRQHSA-N 0 3 210.346 2.764 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@H](C)C2)sn1 ZINC000638093633 351973110 /nfs/dbraw/zinc/97/31/10/351973110.db2.gz MHTMIROHSWQMBI-DTORHVGOSA-N 0 3 210.346 2.539 20 0 BFADHN C[C@@H]1CCN([C@@H](c2nccn2C)C2CC2)C[C@@H]1C ZINC000638094111 351973834 /nfs/dbraw/zinc/97/38/34/351973834.db2.gz YQWMXSOCTSPYMF-MBNYWOFBSA-N 0 3 247.386 2.849 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1ccns1 ZINC000638097355 351977718 /nfs/dbraw/zinc/97/77/18/351977718.db2.gz XBSBJGATSJRIHY-ZJUUUORDSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@@H](C)[C@@H](CN(C)Cc1ccc[nH]1)OC ZINC000638149612 352013545 /nfs/dbraw/zinc/01/35/45/352013545.db2.gz BDKWOBBVRMZQQA-DGCLKSJQSA-N 0 3 224.348 2.508 20 0 BFADHN Cn1ccnc1[C@@H](C1CC1)N1CC[C@@H](C2CC2)C1 ZINC000638134631 352002789 /nfs/dbraw/zinc/00/27/89/352002789.db2.gz PAILVDFVRKVLEX-ZIAGYGMSSA-N 0 3 245.370 2.603 20 0 BFADHN Cc1cc(CN2CC[C@H](C)[C@@H]2C)sn1 ZINC000638164550 352032009 /nfs/dbraw/zinc/03/20/09/352032009.db2.gz ZOWCRQRUWHHXHP-WPRPVWTQSA-N 0 3 210.346 2.682 20 0 BFADHN CC(C)[C@H]1CCN([C@H](c2nccn2C)C2CC2)C1 ZINC000638168063 352036398 /nfs/dbraw/zinc/03/63/98/352036398.db2.gz BRKJXUBWVPTQOA-KBPBESRZSA-N 0 3 247.386 2.849 20 0 BFADHN Cc1cc(CN2CC[C@H](C(C)C)C2)sn1 ZINC000638170860 352038285 /nfs/dbraw/zinc/03/82/85/352038285.db2.gz QFOJMHPAZGSQER-NSHDSACASA-N 0 3 224.373 2.929 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1[C@@H](c1nccn1C)C1CC1 ZINC000638171424 352038679 /nfs/dbraw/zinc/03/86/79/352038679.db2.gz KNYPXGQKTUYPDN-NQBHXWOUSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@H](N(C)Cc1ccns1)C1(C)CC1 ZINC000638172591 352040912 /nfs/dbraw/zinc/04/09/12/352040912.db2.gz VVHGZJNCXRCIJV-VIFPVBQESA-N 0 3 210.346 2.764 20 0 BFADHN Clc1ccc(CNCCC[C@@H]2CCO2)o1 ZINC000638282540 352120628 /nfs/dbraw/zinc/12/06/28/352120628.db2.gz OWUGPZRJWQFQSA-SECBINFHSA-N 0 3 229.707 2.592 20 0 BFADHN CCC(CC)NCc1cc(C2CC2)no1 ZINC000638287226 352123813 /nfs/dbraw/zinc/12/38/13/352123813.db2.gz VYJXMCLOXNUGHV-UHFFFAOYSA-N 0 3 208.305 2.830 20 0 BFADHN CCC[C@@H](C)NCc1cc(C2CC2)no1 ZINC000638301144 352132120 /nfs/dbraw/zinc/13/21/20/352132120.db2.gz XGFVNKUNDRZDRR-SECBINFHSA-N 0 3 208.305 2.830 20 0 BFADHN Clc1ccccc1CNCCC[C@@H]1CCO1 ZINC000638300672 352132200 /nfs/dbraw/zinc/13/22/00/352132200.db2.gz JOZNIMOLFHRKJD-GFCCVEGCSA-N 0 3 239.746 2.999 20 0 BFADHN CCN1CCN(C[C@@H](C)c2ccccc2)[C@H](C)C1 ZINC000286418147 352088934 /nfs/dbraw/zinc/08/89/34/352088934.db2.gz IFPPJFQQQYOBFS-HUUCEWRRSA-N 0 3 246.398 2.816 20 0 BFADHN Cn1ccnc1[C@@H](NC1(C)CCCC1)C1CC1 ZINC000638305952 352135016 /nfs/dbraw/zinc/13/50/16/352135016.db2.gz ILIIOANLROWFMN-LBPRGKRZSA-N 0 3 233.359 2.794 20 0 BFADHN CC(C)=C(C)CC(=O)Nc1ccc2c(c1)CNC2 ZINC000638259214 352102981 /nfs/dbraw/zinc/10/29/81/352102981.db2.gz SIVPSANKERFLIB-UHFFFAOYSA-N 0 3 244.338 2.975 20 0 BFADHN Cc1ncc([C@@H](C)N2CC[C@@H]2C(C)C)c(C)n1 ZINC000638274698 352112443 /nfs/dbraw/zinc/11/24/43/352112443.db2.gz IQUUEBLGERJGEC-BXUZGUMPSA-N 0 3 233.359 2.885 20 0 BFADHN CC1(C)CC[C@H](CN2CCCc3occc3C2)O1 ZINC000288817539 352165493 /nfs/dbraw/zinc/16/54/93/352165493.db2.gz MYMDGUZXDLVPFA-CYBMUJFWSA-N 0 3 249.354 2.985 20 0 BFADHN CC1(C)CC[C@@H](CN2CCCc3occc3C2)O1 ZINC000288817542 352165802 /nfs/dbraw/zinc/16/58/02/352165802.db2.gz MYMDGUZXDLVPFA-ZDUSSCGKSA-N 0 3 249.354 2.985 20 0 BFADHN Cc1ccc(CCNCc2cccc(F)c2N)o1 ZINC000638360796 352171358 /nfs/dbraw/zinc/17/13/58/352171358.db2.gz VKFLKMHSOKVMRB-UHFFFAOYSA-N 0 3 248.301 2.642 20 0 BFADHN CC[C@@H](NCc1[nH]ncc1C)c1ccccc1 ZINC000289820482 352198658 /nfs/dbraw/zinc/19/86/58/352198658.db2.gz JEUBZXZBPOQNMD-CYBMUJFWSA-N 0 3 229.327 2.959 20 0 BFADHN CCCC[C@H](C)NCc1ncccc1N(C)C ZINC000289607566 352192435 /nfs/dbraw/zinc/19/24/35/352192435.db2.gz CXRZKXZYCMOPHK-LBPRGKRZSA-N 0 3 235.375 2.816 20 0 BFADHN CCC(C)(C)[C@@H](C)NC(=O)[C@H](N)CCC(C)C ZINC000638406408 352214789 /nfs/dbraw/zinc/21/47/89/352214789.db2.gz IGFLCMFUMLHFIM-VXGBXAGGSA-N 0 3 242.407 2.691 20 0 BFADHN C[C@H](Cn1cccn1)N[C@H](C)c1ccccc1F ZINC000037155478 358468945 /nfs/dbraw/zinc/46/89/45/358468945.db2.gz RLNVGLZDOMUVQI-VXGBXAGGSA-N 0 3 247.317 2.762 20 0 BFADHN COC[C@@H](NCc1cc(C)ccc1F)C(C)C ZINC000230675838 352223002 /nfs/dbraw/zinc/22/30/02/352223002.db2.gz XJKBDTSLPLQYAA-CQSZACIVSA-N 0 3 239.334 2.895 20 0 BFADHN CCN(Cc1sccc1C)C[C@H](C)OC ZINC000291057765 352258000 /nfs/dbraw/zinc/25/80/00/352258000.db2.gz JKYMKDFQXOLLPF-NSHDSACASA-N 0 3 227.373 2.913 20 0 BFADHN CCN(Cc1sccc1C)C[C@@H](C)OC ZINC000291057764 352258328 /nfs/dbraw/zinc/25/83/28/352258328.db2.gz JKYMKDFQXOLLPF-LLVKDONJSA-N 0 3 227.373 2.913 20 0 BFADHN c1c(CNC[C@@H]2CC=CCC2)onc1C1CC1 ZINC000638450118 352261287 /nfs/dbraw/zinc/26/12/87/352261287.db2.gz LEAPQAPUCNVATI-LLVKDONJSA-N 0 3 232.327 2.998 20 0 BFADHN Cc1ccc(CN(C)CCc2cncs2)o1 ZINC000411147797 191164826 /nfs/dbraw/zinc/16/48/26/191164826.db2.gz JNRZSNSEZFQNIN-UHFFFAOYSA-N 0 3 236.340 2.719 20 0 BFADHN Cc1nn(C)c(C)c1CN[C@H]1CC12CCCCC2 ZINC000386989787 363173115 /nfs/dbraw/zinc/17/31/15/363173115.db2.gz FIIIBJICYOVZHC-AWEZNQCLSA-N 0 3 247.386 2.849 20 0 BFADHN Cc1nn(C)c(C)c1CN[C@@H]1CC12CCCCC2 ZINC000386989789 363173203 /nfs/dbraw/zinc/17/32/03/363173203.db2.gz FIIIBJICYOVZHC-CQSZACIVSA-N 0 3 247.386 2.849 20 0 BFADHN CC1(C)[C@@H](CNCc2ccns2)C1(F)F ZINC000630074907 363158538 /nfs/dbraw/zinc/15/85/38/363158538.db2.gz UIHXQRASZDVHRH-MRVPVSSYSA-N 0 3 232.299 2.524 20 0 BFADHN CC[C@H](N[C@@H](C)COC)c1ccccc1OC ZINC000037196129 358469836 /nfs/dbraw/zinc/46/98/36/358469836.db2.gz FTGIARKKOGTWRU-AAEUAGOBSA-N 0 3 237.343 2.771 20 0 BFADHN CCCCCNC(=O)[C@@H](C)N(CCC)CCC ZINC000411164135 191166848 /nfs/dbraw/zinc/16/68/48/191166848.db2.gz GVQOURAZJIBBPZ-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN Cc1occc1CN(C)CCc1cncs1 ZINC000411194354 191167940 /nfs/dbraw/zinc/16/79/40/191167940.db2.gz UMHPNPONSGIBKP-UHFFFAOYSA-N 0 3 236.340 2.719 20 0 BFADHN CC1(C)CN(Cc2ccncc2)[C@H]1C1CC1 ZINC000291709543 352287096 /nfs/dbraw/zinc/28/70/96/352287096.db2.gz ZREWDDBUDAOKGK-ZDUSSCGKSA-N 0 3 216.328 2.702 20 0 BFADHN COc1cccc(C)c1CNCC[C@H](C)OC ZINC000638486737 352295819 /nfs/dbraw/zinc/29/58/19/352295819.db2.gz WCLPOQSDFUFQGG-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN Cc1ccc(CN2CC[C@@H]2C(C)C)cn1 ZINC000336034817 538102725 /nfs/dbraw/zinc/10/27/25/538102725.db2.gz XIDLLDQSNPYQJP-CYBMUJFWSA-N 0 3 204.317 2.620 20 0 BFADHN Cn1ccc(CN[C@@H]2CCc3cc(F)ccc32)c1 ZINC000158441156 180703278 /nfs/dbraw/zinc/70/32/78/180703278.db2.gz IUYOCJLNMOALQI-OAHLLOKOSA-N 0 3 244.313 2.941 20 0 BFADHN CO[C@H]1CCCN([C@@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411230307 191171516 /nfs/dbraw/zinc/17/15/16/191171516.db2.gz PHLOHQSOCMYZBJ-SOUVJXGZSA-N 0 3 249.329 2.792 20 0 BFADHN CC[C@H]1CN(CCc2cncs2)CCS1 ZINC000411305292 191175901 /nfs/dbraw/zinc/17/59/01/191175901.db2.gz XIBDJKLFNVUYHP-JTQLQIEISA-N 0 3 242.413 2.513 20 0 BFADHN CCC[C@H]1CCCN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC000411315884 191177697 /nfs/dbraw/zinc/17/76/97/191177697.db2.gz JSGJFRKAHKBHFE-JQWIXIFHSA-N 0 3 236.363 2.686 20 0 BFADHN CCN(Cc1cc(C)sc1C)[C@@H](C)CO ZINC000293438958 352350796 /nfs/dbraw/zinc/35/07/96/352350796.db2.gz ONIVVJNQXFRSPX-VIFPVBQESA-N 0 3 227.373 2.568 20 0 BFADHN CCc1nc(CNC[C@@H]2C[C@H]3C[C@H]3C2)cs1 ZINC000638530564 352363887 /nfs/dbraw/zinc/36/38/87/352363887.db2.gz VYUDDRFBILPHHA-JGPRNRPPSA-N 0 3 236.384 2.841 20 0 BFADHN COC1(CNCc2scnc2C)CCCC1 ZINC000276984270 187688072 /nfs/dbraw/zinc/68/80/72/187688072.db2.gz UMQMXOVGUSAXCA-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN CCCCC(=O)N1CCCc2cccc(CN)c21 ZINC000638550107 352379578 /nfs/dbraw/zinc/37/95/78/352379578.db2.gz RKCHJRNANGREPL-UHFFFAOYSA-N 0 3 246.354 2.615 20 0 BFADHN CCc1ccc(CN[C@@H](CC)[C@H]2CCCO2)nc1 ZINC000411512324 191189992 /nfs/dbraw/zinc/18/99/92/191189992.db2.gz AQFQRVUWKGSMRR-LSDHHAIUSA-N 0 3 248.370 2.691 20 0 BFADHN C[C@@H]1CCCN(C/C=C/c2ccccc2)[C@@H]1CO ZINC000411399209 191182132 /nfs/dbraw/zinc/18/21/32/191182132.db2.gz QPMFSENKFNHVGT-YHOLHYGNSA-N 0 3 245.366 2.793 20 0 BFADHN CC(C)(C)c1ncc(CN[C@H]2CC=CCC2)cn1 ZINC000284416052 187692229 /nfs/dbraw/zinc/69/22/29/187692229.db2.gz QXBFBHSBWLDPDV-ZDUSSCGKSA-N 0 3 245.370 2.972 20 0 BFADHN C[C@H]1COCCN([C@@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411400193 191182461 /nfs/dbraw/zinc/18/24/61/191182461.db2.gz IXLPGDVUYOQCCI-BYCMXARLSA-N 0 3 249.329 2.650 20 0 BFADHN C[C@H]1COCCN([C@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411400196 191182913 /nfs/dbraw/zinc/18/29/13/191182913.db2.gz IXLPGDVUYOQCCI-UGFHNGPFSA-N 0 3 249.329 2.650 20 0 BFADHN C[C@@H]1CCC[C@H](CO)N1C/C=C\c1ccccc1 ZINC000411402861 191184151 /nfs/dbraw/zinc/18/41/51/191184151.db2.gz VCLQEJJTVMYKEW-WNTKCETOSA-N 0 3 245.366 2.935 20 0 BFADHN C[C@@H]1CCC[C@@H](CO)N1C/C=C\c1ccccc1 ZINC000411402860 191184725 /nfs/dbraw/zinc/18/47/25/191184725.db2.gz VCLQEJJTVMYKEW-MWGCNAMGSA-N 0 3 245.366 2.935 20 0 BFADHN CC[C@@H]1CCCN(Cc2conc2C)C1 ZINC000295394324 352410684 /nfs/dbraw/zinc/41/06/84/352410684.db2.gz QCMOOMLRVVWDBS-LLVKDONJSA-N 0 3 208.305 2.605 20 0 BFADHN CC1(C)C[C@@H]1CNCc1nc2ccccc2o1 ZINC000638585060 352417066 /nfs/dbraw/zinc/41/70/66/352417066.db2.gz RXBJPEQXRVLQAX-SNVBAGLBSA-N 0 3 230.311 2.964 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2nccnc2OC)C1 ZINC000295636610 352420216 /nfs/dbraw/zinc/42/02/16/352420216.db2.gz HXIFQMDTFJPMFT-VXGBXAGGSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CC1(F)F)c1cccnc1 ZINC000638589896 352420957 /nfs/dbraw/zinc/42/09/57/352420957.db2.gz JCRARRUIQFJATC-ATZCPNFKSA-N 0 3 226.270 2.776 20 0 BFADHN CC(C)CC[C@H](C)NCc1cn2cccnc2n1 ZINC000298169645 352495469 /nfs/dbraw/zinc/49/54/69/352495469.db2.gz YXIRPZRQRKZXTC-LBPRGKRZSA-N 0 3 246.358 2.644 20 0 BFADHN CC[C@@H](N)C(=O)N[C@H](c1cccc(C)c1)C(C)C ZINC000638664948 352509304 /nfs/dbraw/zinc/50/93/04/352509304.db2.gz AUAFDKPSLHEQMR-KGLIPLIRSA-N 0 3 248.370 2.546 20 0 BFADHN CC[C@@H](COC)NCc1c(C)cccc1OC ZINC000638671092 352513229 /nfs/dbraw/zinc/51/32/29/352513229.db2.gz VDFDNGBLENBSET-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN CCc1nc(C2(N)CCCC2)sc1C ZINC000041059603 352601282 /nfs/dbraw/zinc/60/12/82/352601282.db2.gz QHPYGEPKILXFBT-UHFFFAOYSA-N 0 3 210.346 2.742 20 0 BFADHN CCC1(CNCc2cc(C3CC3)no2)CC1 ZINC000638723175 352576077 /nfs/dbraw/zinc/57/60/77/352576077.db2.gz ZHGMYLDEUGINRJ-UHFFFAOYSA-N 0 3 220.316 2.832 20 0 BFADHN CC(C)(C)CCN1CCO[C@H](C2CCC2)C1 ZINC000411881104 191225608 /nfs/dbraw/zinc/22/56/08/191225608.db2.gz WPDYOIQPWFAIFF-ZDUSSCGKSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H](CNCc1cn[nH]c1)Sc1ccccc1 ZINC000608066774 352662695 /nfs/dbraw/zinc/66/26/95/352662695.db2.gz LFADRYNSMVMUCK-LLVKDONJSA-N 0 3 247.367 2.680 20 0 BFADHN CC/C=C/CCN1CCO[C@H](C2CCC2)C1 ZINC000411851483 191221140 /nfs/dbraw/zinc/22/11/40/191221140.db2.gz PZRFINBFBANOGE-XGACYXMMSA-N 0 3 223.360 2.844 20 0 BFADHN CC(C)C[C@@H](C)CN1CCCc2c(cnn2C)C1 ZINC000411855524 191221479 /nfs/dbraw/zinc/22/14/79/191221479.db2.gz QECKQXOXZXRMFB-CYBMUJFWSA-N 0 3 249.402 2.851 20 0 BFADHN CC/C=C\CCN1CCCc2c(cnn2C)C1 ZINC000411858960 191222062 /nfs/dbraw/zinc/22/20/62/191222062.db2.gz UMLJZADGRZTEHD-PLNGDYQASA-N 0 3 233.359 2.525 20 0 BFADHN CC1(CN2CCO[C@H](C3CCC3)C2)CCC1 ZINC000411858546 191222306 /nfs/dbraw/zinc/22/23/06/191222306.db2.gz XXUXCMAIQJCJHK-ZDUSSCGKSA-N 0 3 223.360 2.678 20 0 BFADHN CC(C)C[C@@H](C)N1CCO[C@H](C2CCC2)C1 ZINC000411858597 191222572 /nfs/dbraw/zinc/22/25/72/191222572.db2.gz YACLBRKCMRCTIG-OCCSQVGLSA-N 0 3 225.376 2.922 20 0 BFADHN CCc1nc(C)c(CNCC2(SC)CC2)o1 ZINC000292695929 352701753 /nfs/dbraw/zinc/70/17/53/352701753.db2.gz BTSJOAWVJGXUEJ-UHFFFAOYSA-N 0 3 240.372 2.531 20 0 BFADHN CCN(Cc1occc1C)C[C@@H]1CC[C@@H](C)O1 ZINC000412027887 191240040 /nfs/dbraw/zinc/24/00/40/191240040.db2.gz QQOROKCIQLOMJO-OLZOCXBDSA-N 0 3 237.343 2.977 20 0 BFADHN C[C@H](c1cccc(F)c1)N1C[C@H](O)C[C@H](C)C1 ZINC000412029572 191240904 /nfs/dbraw/zinc/24/09/04/191240904.db2.gz DCRFEGZYXRNHOC-MISXGVKJSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](O)C2)c(C)s1 ZINC000412034576 191248135 /nfs/dbraw/zinc/24/81/35/191248135.db2.gz KHKQOUNSHJQBAT-RNCFNFMXSA-N 0 3 239.384 2.568 20 0 BFADHN C[C@H](c1ccccc1F)N1C[C@H](O)C[C@H](C)C1 ZINC000412037185 191247762 /nfs/dbraw/zinc/24/77/62/191247762.db2.gz NBKJQVMYPVIFLN-QJPTWQEYSA-N 0 3 237.318 2.589 20 0 BFADHN C([C@H]1CCC2(CCCC2)O1)N1CCSCC1 ZINC000334179447 352766420 /nfs/dbraw/zinc/76/64/20/352766420.db2.gz ALRGMNXXWMNIPN-GFCCVEGCSA-N 0 3 241.400 2.527 20 0 BFADHN Cc1noc(C)c1CNC1CCC(F)(F)CC1 ZINC000334213537 352811616 /nfs/dbraw/zinc/81/16/16/352811616.db2.gz ISFKTFUFYJATAK-UHFFFAOYSA-N 0 3 244.285 2.959 20 0 BFADHN C[C@H]1CN(Cc2nc3cccnc3s2)C[C@H]1C ZINC000334215281 352814146 /nfs/dbraw/zinc/81/41/46/352814146.db2.gz QPRKUWIUFSKFNS-AOOOYVTPSA-N 0 3 247.367 2.779 20 0 BFADHN COc1cc(CN2CC(C)(C)C[C@H]2C)ccn1 ZINC000412040092 191249535 /nfs/dbraw/zinc/24/95/35/191249535.db2.gz KCGLJSXJUJHCKR-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ncc(CN2CCC[C@H]3CCCC[C@H]32)cn1 ZINC000334194523 352787081 /nfs/dbraw/zinc/78/70/81/352787081.db2.gz JVTOETLDYLRWCQ-HUUCEWRRSA-N 0 3 245.370 2.940 20 0 BFADHN COc1ccncc1CN1CC(C)(C)C[C@@H]1C ZINC000412044662 191251310 /nfs/dbraw/zinc/25/13/10/191251310.db2.gz PAVSXWNWCNPRMI-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1cc(CN2CCC[C@@H]3CCC[C@H]32)ccn1 ZINC000342858498 352877356 /nfs/dbraw/zinc/87/73/56/352877356.db2.gz PLLRFIAPFMAAGW-UONOGXRCSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@@H]1[C@@H](CO)CCCN1C/C=C/c1ccccc1 ZINC000412085616 191254472 /nfs/dbraw/zinc/25/44/72/191254472.db2.gz OIFKCEGSDCGIJB-CCSFHNQDSA-N 0 3 245.366 2.793 20 0 BFADHN Cc1cnc(CN2CCC[C@H](C(C)(C)C)C2)n1C ZINC000342843675 352872444 /nfs/dbraw/zinc/87/24/44/352872444.db2.gz DJPVPEJBNUWRAG-ZDUSSCGKSA-N 0 3 249.402 2.987 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CC[C@@H]1C(C)C ZINC000342846006 352872979 /nfs/dbraw/zinc/87/29/79/352872979.db2.gz CNKKUNFFEFKMSQ-OAHLLOKOSA-N 0 3 249.402 2.775 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cnn(C(C)C)c1 ZINC000342846988 352873381 /nfs/dbraw/zinc/87/33/81/352873381.db2.gz FRUIGEROAUFUEE-CYBMUJFWSA-N 0 3 221.348 2.694 20 0 BFADHN Cc1nccn1CCN1CCC[C@H]2CCCC[C@H]21 ZINC000334248175 352839629 /nfs/dbraw/zinc/83/96/29/352839629.db2.gz OQNKMFUCZZZFMY-HUUCEWRRSA-N 0 3 247.386 2.846 20 0 BFADHN Cc1ccnc(CN2CCC[C@@H]3CCCC[C@@H]32)n1 ZINC000334248672 352840953 /nfs/dbraw/zinc/84/09/53/352840953.db2.gz QRZRKQIJLVFOAH-KBPBESRZSA-N 0 3 245.370 2.940 20 0 BFADHN c1nc(CCN2CCc3ccccc3C2)cs1 ZINC000334272473 352909775 /nfs/dbraw/zinc/90/97/75/352909775.db2.gz ITNASYMHBJEJME-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN CO[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC000334279560 352914572 /nfs/dbraw/zinc/91/45/72/352914572.db2.gz QJTDNVUTGFZYSD-LBPRGKRZSA-N 0 3 231.295 2.654 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]3CCCC[C@@H]32)cn1 ZINC000334289283 352928528 /nfs/dbraw/zinc/92/85/28/352928528.db2.gz JVTOETLDYLRWCQ-GJZGRUSLSA-N 0 3 245.370 2.940 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCC[C@H]2C)on1 ZINC000334252365 352888908 /nfs/dbraw/zinc/88/89/08/352888908.db2.gz BPNKIEPHRUKCMY-ZWNOBZJWSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)CCC[C@@H]2C)cn1 ZINC000334262840 352896181 /nfs/dbraw/zinc/89/61/81/352896181.db2.gz JEFYQDYBMHUDNK-AAEUAGOBSA-N 0 3 233.359 2.796 20 0 BFADHN C[C@]1(NCc2c[nH]cn2)CCCc2ccccc21 ZINC000334263333 352897253 /nfs/dbraw/zinc/89/72/53/352897253.db2.gz JRDBLRQQWYQROC-HNNXBMFYSA-N 0 3 241.338 2.751 20 0 BFADHN C[C@]1(NCc2cnc[nH]2)CCCc2ccccc21 ZINC000334263333 352897254 /nfs/dbraw/zinc/89/72/54/352897254.db2.gz JRDBLRQQWYQROC-HNNXBMFYSA-N 0 3 241.338 2.751 20 0 BFADHN Cc1nocc1CN1CCC(C)(C2CC2)CC1 ZINC000334266413 352902464 /nfs/dbraw/zinc/90/24/64/352902464.db2.gz MPXGGAWGQGWWLZ-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN CCC[C@@H](CN[C@@H](c1cccnc1)C1CC1)OC ZINC000638813196 352993211 /nfs/dbraw/zinc/99/32/11/352993211.db2.gz CFCKPIZRKZGYHJ-LSDHHAIUSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)=CCCNCc1ncc(C)s1 ZINC000297044255 187922406 /nfs/dbraw/zinc/92/24/06/187922406.db2.gz YCHRIIJCJSWAQJ-UHFFFAOYSA-N 0 3 210.346 2.897 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@@]2(CC[C@H](C)C2)C1 ZINC000334315383 352946710 /nfs/dbraw/zinc/94/67/10/352946710.db2.gz QROYVUQOIZCNCM-SWLSCSKDSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@H](NCCC1CC1)c1ccc(F)cn1 ZINC000092196481 538106493 /nfs/dbraw/zinc/10/64/93/538106493.db2.gz WINLUKVQKPWBFW-VIFPVBQESA-N 0 3 208.280 2.671 20 0 BFADHN COc1ccc(CN2CC3(CCC3)C[C@@H]2C)nc1 ZINC000334371780 353030163 /nfs/dbraw/zinc/03/01/63/353030163.db2.gz QHFOZSIEAVIDBR-LBPRGKRZSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@H](NCc1cc(C2CC2)no1)C1CCC1 ZINC000638814242 352994587 /nfs/dbraw/zinc/99/45/87/352994587.db2.gz XJWRTRCNEIFBDH-VIFPVBQESA-N 0 3 220.316 2.830 20 0 BFADHN CC1(NCc2nc3ccccc3o2)CCC1 ZINC000638890951 353058875 /nfs/dbraw/zinc/05/88/75/353058875.db2.gz FBDMKRWPPDVPLP-UHFFFAOYSA-N 0 3 216.284 2.860 20 0 BFADHN CCN1CCC[C@@H]1CNCc1ccc(Cl)o1 ZINC000037487065 358474245 /nfs/dbraw/zinc/47/42/45/358474245.db2.gz ZGNKBYKPPOBZIT-SNVBAGLBSA-N 0 3 242.750 2.507 20 0 BFADHN Cc1nc2ccccc2nc1CNC1(C)CCC1 ZINC000638896180 353057307 /nfs/dbraw/zinc/05/73/07/353057307.db2.gz XJMPTINHWONFTF-UHFFFAOYSA-N 0 3 241.338 2.970 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@@H](C)c1ccc(F)cc1 ZINC000186511486 188000740 /nfs/dbraw/zinc/00/07/40/188000740.db2.gz LWROSGBRTRZSAV-CESFYWBNSA-N 0 3 237.318 2.803 20 0 BFADHN CC(=O)CCN(C)CC1(c2ccccc2)CC1 ZINC000189300365 188002367 /nfs/dbraw/zinc/00/23/67/188002367.db2.gz CRTAGUJYWXWVGS-UHFFFAOYSA-N 0 3 231.339 2.629 20 0 BFADHN COc1ccc(CNCC=C(C)C)cc1F ZINC000191629429 188003245 /nfs/dbraw/zinc/00/32/45/188003245.db2.gz YHBCSPDDEVWAMX-UHFFFAOYSA-N 0 3 223.291 2.890 20 0 BFADHN C1=CC[C@H](CN2C[C@]3(CCCO3)[C@H]2C2CC2)CC1 ZINC000354707554 188007631 /nfs/dbraw/zinc/00/76/31/188007631.db2.gz OGVJQDQGBZCJMB-NUEKZKHPSA-N 0 3 247.382 2.986 20 0 BFADHN C(CN1CCOC2(CCCCC2)C1)C1CC1 ZINC000336667728 188011543 /nfs/dbraw/zinc/01/15/43/188011543.db2.gz NBYCAXSJEYAXNV-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CC(C)C[C@H](C)CN1CCO[C@@H]2CCC[C@@H]21 ZINC000337124328 188014175 /nfs/dbraw/zinc/01/41/75/188014175.db2.gz DCRBULUZNMSVJZ-MELADBBJSA-N 0 3 225.376 2.922 20 0 BFADHN CC(=O)CCN1CC[C@@H](c2cccc(F)c2)C1 ZINC000264225009 188021937 /nfs/dbraw/zinc/02/19/37/188021937.db2.gz WUXDRFVXUJGFQA-CYBMUJFWSA-N 0 3 235.302 2.594 20 0 BFADHN CN(CC1CC1)C[C@@H]1OCCc2ccccc21 ZINC000265218299 188021943 /nfs/dbraw/zinc/02/19/43/188021943.db2.gz NUDVHXSKEJVKCX-HNNXBMFYSA-N 0 3 231.339 2.642 20 0 BFADHN COc1ccc(CN2C[C@H](C)CC2(C)C)cn1 ZINC000267906447 188023734 /nfs/dbraw/zinc/02/37/34/188023734.db2.gz DJNLNNGMUWVAFX-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc(SC)cc1 ZINC000272805933 188027099 /nfs/dbraw/zinc/02/70/99/188027099.db2.gz MANDSQYQIRSPOC-NSHDSACASA-N 0 3 239.384 2.875 20 0 BFADHN Cc1nccn1-c1ccc([C@@H](C)NCCF)cc1 ZINC000273044610 188027443 /nfs/dbraw/zinc/02/74/43/188027443.db2.gz DKTNEODKPHQXHG-LLVKDONJSA-N 0 3 247.317 2.801 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1cc(C)ccc1F ZINC000274406828 188028312 /nfs/dbraw/zinc/02/83/12/188028312.db2.gz CJRLTOFOEDFADW-OOPCZODUSA-N 0 3 237.318 2.551 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc(C)c(F)c1 ZINC000276758502 188029563 /nfs/dbraw/zinc/02/95/63/188029563.db2.gz JDSMNCPFHROLII-NSHDSACASA-N 0 3 225.307 2.601 20 0 BFADHN Cc1cccc([C@H]2CCN(Cc3c[nH]cn3)C2)c1 ZINC000280257676 188031933 /nfs/dbraw/zinc/03/19/33/188031933.db2.gz PCQXXRJNTYLWPZ-AWEZNQCLSA-N 0 3 241.338 2.708 20 0 BFADHN Cc1cccc([C@H]2CCN(Cc3cnc[nH]3)C2)c1 ZINC000280257676 188031935 /nfs/dbraw/zinc/03/19/35/188031935.db2.gz PCQXXRJNTYLWPZ-AWEZNQCLSA-N 0 3 241.338 2.708 20 0 BFADHN c1ccc(C2=CCCN(C[C@H]3CCCO3)C2)cc1 ZINC000284848023 188033765 /nfs/dbraw/zinc/03/37/65/188033765.db2.gz TUIAGTAXXXPJAV-MRXNPFEDSA-N 0 3 243.350 2.955 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc(C)c(C)c1 ZINC000285670818 188034584 /nfs/dbraw/zinc/03/45/84/188034584.db2.gz MRODBNCATMITEB-ZDUSSCGKSA-N 0 3 221.344 2.770 20 0 BFADHN CC(C)N(CC1OCCO1)[C@@H](C)c1ccccc1 ZINC000292074530 188037057 /nfs/dbraw/zinc/03/70/57/188037057.db2.gz DAONBGQYPIGANO-ZDUSSCGKSA-N 0 3 249.354 2.831 20 0 BFADHN C[C@@H](O)c1ccc(CNC[C@@H]2CCC=CO2)cc1 ZINC000194265555 188005137 /nfs/dbraw/zinc/00/51/37/188005137.db2.gz MDTBAISAAYNJSX-DOMZBBRYSA-N 0 3 247.338 2.522 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1ccc(Cl)s1 ZINC000185822660 188051240 /nfs/dbraw/zinc/05/12/40/188051240.db2.gz DUIUWIMUZSNVJS-HPOULIHZSA-N 0 3 245.775 2.818 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1ccc(C)cc1C ZINC000037619239 358475047 /nfs/dbraw/zinc/47/50/47/358475047.db2.gz VEOQXYPUNPHHPM-GFCCVEGCSA-N 0 3 234.343 2.759 20 0 BFADHN CCc1cnc(CN[C@H]2CC[C@H]2C2CC2)s1 ZINC000336762806 353209973 /nfs/dbraw/zinc/20/99/73/353209973.db2.gz LSJQIMZFYHZVTR-RYUDHWBXSA-N 0 3 236.384 2.984 20 0 BFADHN Cc1cc(NC(=O)C2(N)CCCCC2)cs1 ZINC000639000545 353244471 /nfs/dbraw/zinc/24/44/71/353244471.db2.gz TVAUFOYWIBOSAI-UHFFFAOYSA-N 0 3 238.356 2.657 20 0 BFADHN CCc1nc(CN[C@@H]2C[C@@H](C)[C@@H]2C)cs1 ZINC000336767443 353271574 /nfs/dbraw/zinc/27/15/74/353271574.db2.gz SKUZTSRAODJFGP-WCABBAIRSA-N 0 3 224.373 2.840 20 0 BFADHN CCc1nc(CN[C@@H]2C[C@H](C)[C@@H]2C)cs1 ZINC000336767440 353271638 /nfs/dbraw/zinc/27/16/38/353271638.db2.gz SKUZTSRAODJFGP-ATZCPNFKSA-N 0 3 224.373 2.840 20 0 BFADHN CCCC[C@@H](CCC)NCc1cc[nH]n1 ZINC000165248088 353261439 /nfs/dbraw/zinc/26/14/39/353261439.db2.gz FIXQQGBQCUDRHF-LLVKDONJSA-N 0 3 209.337 2.858 20 0 BFADHN Cc1ccc2c(c1)CN(CC1CCC1)CCO2 ZINC000352831004 188232400 /nfs/dbraw/zinc/23/24/00/188232400.db2.gz LHCWAMTUFKLHSB-UHFFFAOYSA-N 0 3 231.339 2.990 20 0 BFADHN CCCC[C@H](CC)CNC(=O)[C@H](N)[C@H](C)CC ZINC000251304577 353283647 /nfs/dbraw/zinc/28/36/47/353283647.db2.gz IAVMWZIXGBOLLO-FRRDWIJNSA-N 0 3 242.407 2.692 20 0 BFADHN CC(C)C[C@H](C)N[C@H](c1nccn1C)C1CC1 ZINC000353869478 188234150 /nfs/dbraw/zinc/23/41/50/188234150.db2.gz LBJVBFCXIRZGLJ-AAEUAGOBSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@@H](O)[C@@H]1CCCCN1Cc1cnccc1C ZINC000353652071 188234234 /nfs/dbraw/zinc/23/42/34/188234234.db2.gz DMILOSONPDNXOV-LSDHHAIUSA-N 0 3 248.370 2.515 20 0 BFADHN CC(C)N(Cc1ccc(Cl)s1)C[C@H](C)O ZINC000353389450 188234428 /nfs/dbraw/zinc/23/44/28/188234428.db2.gz VYZPKRVPRAEFJI-VIFPVBQESA-N 0 3 247.791 2.993 20 0 BFADHN Cc1ccnc([C@H](C)NCCc2ccco2)c1 ZINC000353434972 188235106 /nfs/dbraw/zinc/23/51/06/188235106.db2.gz KMWDPHMOMCMTQI-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN CC(C)[C@@H]1C[C@H](N[C@@H]2CCC[C@@H]2F)CS1 ZINC000354652772 188236731 /nfs/dbraw/zinc/23/67/31/188236731.db2.gz KBKCCZLAHYSBJM-YFKTTZPYSA-N 0 3 231.380 2.997 20 0 BFADHN Fc1ccc(F)c(CNCCc2cccnc2)c1 ZINC000037718018 358477481 /nfs/dbraw/zinc/47/74/81/358477481.db2.gz AMJQONSJEVUVTC-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN CC(C)[C@H](NCCCO)c1cc(F)ccc1F ZINC000358914187 188240538 /nfs/dbraw/zinc/24/05/38/188240538.db2.gz KJSSEMXRXFZYQC-ZDUSSCGKSA-N 0 3 243.297 2.634 20 0 BFADHN CC(C)N(C/C=C/c1ccncc1)[C@@H]1CCOC1 ZINC000361055004 188244062 /nfs/dbraw/zinc/24/40/62/188244062.db2.gz VGIQSZATTFMUNQ-NHZBNJEXSA-N 0 3 246.354 2.594 20 0 BFADHN CC(C)=CCN1CCC[C@@H](OCC2CC2)C1 ZINC000368793282 188246020 /nfs/dbraw/zinc/24/60/20/188246020.db2.gz USKNCZCXLXZDBF-CQSZACIVSA-N 0 3 223.360 2.844 20 0 BFADHN c1cn2c(cccc2CN2[C@H]3C=CC[C@@H]2CC3)n1 ZINC000367723783 188246459 /nfs/dbraw/zinc/24/64/59/188246459.db2.gz MRPXOCCQRLXYNQ-QWHCGFSZSA-N 0 3 239.322 2.627 20 0 BFADHN CC(C)=CCN1CCC(c2cn[nH]c2)CC1 ZINC000373994298 188248908 /nfs/dbraw/zinc/24/89/08/188248908.db2.gz WRXZEMHUVKHZNS-UHFFFAOYSA-N 0 3 219.332 2.555 20 0 BFADHN CC(C)=CCN1CC[C@@H](c2nc(C3CC3)no2)C1 ZINC000375401006 188250913 /nfs/dbraw/zinc/25/09/13/188250913.db2.gz GUDSEAVKHXNTLW-GFCCVEGCSA-N 0 3 247.342 2.703 20 0 BFADHN CC(C)[C@H](O)CCN[C@@H](C)c1cccc(F)c1 ZINC000305167275 188251123 /nfs/dbraw/zinc/25/11/23/188251123.db2.gz SSYCWGIXGOTAKP-SMDDNHRTSA-N 0 3 239.334 2.883 20 0 BFADHN CC(C)N1CCCN(c2ccccc2F)CC1 ZINC000375842229 188251134 /nfs/dbraw/zinc/25/11/34/188251134.db2.gz VBBGWUZRNWYXAP-UHFFFAOYSA-N 0 3 236.334 2.746 20 0 BFADHN CC(C)C[C@@H](CO)NCc1sccc1Cl ZINC000193036805 188253864 /nfs/dbraw/zinc/25/38/64/188253864.db2.gz VTICIIJFLRCYGP-VIFPVBQESA-N 0 3 247.791 2.898 20 0 BFADHN Cc1cnn(CCNCc2cc(C)cc(C)c2)c1 ZINC000109075564 188254658 /nfs/dbraw/zinc/25/46/58/188254658.db2.gz NBPRIUNKYRPDSG-UHFFFAOYSA-N 0 3 243.354 2.598 20 0 BFADHN CC(C)C[C@H](C)NCc1cccc2c1OCO2 ZINC000036168434 188255185 /nfs/dbraw/zinc/25/51/85/188255185.db2.gz LFMPTXIDANUBBR-NSHDSACASA-N 0 3 235.327 2.940 20 0 BFADHN Cc1csc(CN[C@@H]2CCC[C@H]3C[C@H]32)n1 ZINC000337680434 188256870 /nfs/dbraw/zinc/25/68/70/188256870.db2.gz ZMAVPUIVINOHPK-HBNTYKKESA-N 0 3 222.357 2.730 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1ncc(Cl)n1C ZINC000268865221 188262420 /nfs/dbraw/zinc/26/24/20/188262420.db2.gz SPNVPBODUSELET-VHSXEESVSA-N 0 3 241.766 2.742 20 0 BFADHN COc1ccc([C@@H](C)[C@H](C)NCCF)cc1 ZINC000273273277 188263101 /nfs/dbraw/zinc/26/31/01/188263101.db2.gz MQWQRDWJDRFEBD-QWRGUYRKSA-N 0 3 225.307 2.746 20 0 BFADHN COc1ccccc1CNCC1(OC)CCCC1 ZINC000276998999 188266842 /nfs/dbraw/zinc/26/68/42/188266842.db2.gz GGBWECVIGQBYIH-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN CC(C)=CCNCc1ccccc1N(C)C ZINC000276625540 188267039 /nfs/dbraw/zinc/26/70/39/188267039.db2.gz MPKHHPPHDQETQH-UHFFFAOYSA-N 0 3 218.344 2.808 20 0 BFADHN CC(C)[C@H](CNCc1ncc[nH]1)c1ccccc1 ZINC000067693735 188284188 /nfs/dbraw/zinc/28/41/88/188284188.db2.gz VFMZVHJGPUZRCQ-AWEZNQCLSA-N 0 3 243.354 2.939 20 0 BFADHN CCC1(NCc2cc(OC)cc(C)n2)CCC1 ZINC000165386673 353330418 /nfs/dbraw/zinc/33/04/18/353330418.db2.gz OTFASGWAZUAIBS-UHFFFAOYSA-N 0 3 234.343 2.821 20 0 BFADHN c1cc(CNC[C@@H]2CCc3ccccc3C2)on1 ZINC000639041499 353315955 /nfs/dbraw/zinc/31/59/55/353315955.db2.gz LIXPDEJMJDBOGF-GFCCVEGCSA-N 0 3 242.322 2.569 20 0 BFADHN CC[C@@H](C)[C@@H](CN(C)Cc1ccncc1)OC ZINC000639040346 353316322 /nfs/dbraw/zinc/31/63/22/353316322.db2.gz IHTCBJBOODZHAC-TZMCWYRMSA-N 0 3 236.359 2.575 20 0 BFADHN CC(C)(O)CNCc1ccc(Cl)cc1Cl ZINC000082419102 188312495 /nfs/dbraw/zinc/31/24/95/188312495.db2.gz LJNYKGMHZJFQTI-UHFFFAOYSA-N 0 3 248.153 2.854 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCC[C@H]1CCO1 ZINC000639040175 353322568 /nfs/dbraw/zinc/32/25/68/353322568.db2.gz CTAQJLFWSGNZTN-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@H]1CN(Cc2cccc(O)c2)CCS1 ZINC000093502335 188319481 /nfs/dbraw/zinc/31/94/81/188319481.db2.gz HXMVPLGMMLEKOL-CYBMUJFWSA-N 0 3 237.368 2.720 20 0 BFADHN Cc1ccc(OCCN(C)CCCF)cc1 ZINC000119435934 188328186 /nfs/dbraw/zinc/32/81/86/188328186.db2.gz AXVUTJQWCLFZIC-UHFFFAOYSA-N 0 3 225.307 2.665 20 0 BFADHN CC(C)[C@H](O)CN[C@H](C)c1cc(F)ccc1F ZINC000131266623 188330656 /nfs/dbraw/zinc/33/06/56/188330656.db2.gz KGYAMVKOQMTFBD-NOZJJQNGSA-N 0 3 243.297 2.632 20 0 BFADHN C[C@H](N[C@@H]1[C@H]2CCO[C@@H]2C1(C)C)c1ccco1 ZINC000160752427 188334925 /nfs/dbraw/zinc/33/49/25/188334925.db2.gz MDLUILNQLHIKGR-YGNMPJRFSA-N 0 3 235.327 2.744 20 0 BFADHN CCC1(CNCc2c(C)noc2C)CC1 ZINC000165454958 353353768 /nfs/dbraw/zinc/35/37/68/353353768.db2.gz CYRSVFSFBUCSIO-UHFFFAOYSA-N 0 3 208.305 2.571 20 0 BFADHN CC(=O)CCN1C[C@@H](C)[C@H]1c1ccccc1 ZINC000177829834 188342279 /nfs/dbraw/zinc/34/22/79/188342279.db2.gz GHWWUWMIQVBLJQ-RISCZKNCSA-N 0 3 217.312 2.659 20 0 BFADHN C[C@@H]1CCCCN(Cc2ccns2)C1 ZINC000639060987 353368203 /nfs/dbraw/zinc/36/82/03/353368203.db2.gz VZVLFJOGSYAQMT-SNVBAGLBSA-N 0 3 210.346 2.765 20 0 BFADHN C[C@H]1CCCCN(Cc2ccns2)C1 ZINC000639060986 353368368 /nfs/dbraw/zinc/36/83/68/353368368.db2.gz VZVLFJOGSYAQMT-JTQLQIEISA-N 0 3 210.346 2.765 20 0 BFADHN Fc1cc(CNCCC2CC2)cc(F)c1F ZINC000083893843 180947180 /nfs/dbraw/zinc/94/71/80/180947180.db2.gz LTQCCELYABLTRI-UHFFFAOYSA-N 0 3 229.245 2.994 20 0 BFADHN C[C@H]1CCCCN([C@H](c2nccn2C)C2CC2)C1 ZINC000639060409 353373980 /nfs/dbraw/zinc/37/39/80/353373980.db2.gz PJHUZQPTUBDQPJ-JSGCOSHPSA-N 0 3 247.386 2.993 20 0 BFADHN CC(C)C[C@H]1OCCC[C@H]1NCc1cccnc1 ZINC000185196414 188346484 /nfs/dbraw/zinc/34/64/84/188346484.db2.gz BGVGEGUFLJVBSB-HUUCEWRRSA-N 0 3 248.370 2.765 20 0 BFADHN CC(C)C[C@@H](CO)N(C)Cc1ccccc1F ZINC000185331668 188347009 /nfs/dbraw/zinc/34/70/09/188347009.db2.gz ZYLSPCPLNVHHCA-ZDUSSCGKSA-N 0 3 239.334 2.665 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H](C)c1ccc(CC)o1 ZINC000186491699 188347195 /nfs/dbraw/zinc/34/71/95/188347195.db2.gz LYBVSVUUCLLYMM-SJCWFQRBSA-N 0 3 237.343 2.820 20 0 BFADHN Cc1noc(C)c1CN1C[C@@H](C)C[C@@H]1C ZINC000334508520 353378469 /nfs/dbraw/zinc/37/84/69/353378469.db2.gz LAFOJGJSWMYICS-IUCAKERBSA-N 0 3 208.305 2.522 20 0 BFADHN CC(C)C[C@H](CO)NCc1ccsc1Cl ZINC000336759365 188347287 /nfs/dbraw/zinc/34/72/87/188347287.db2.gz LGTNTBZZKKBURX-SNVBAGLBSA-N 0 3 247.791 2.898 20 0 BFADHN CCCc1cccc(CN2CCC(CO)CC2)c1 ZINC000186875540 188347489 /nfs/dbraw/zinc/34/74/89/188347489.db2.gz MNIHAWOPNNWXFD-UHFFFAOYSA-N 0 3 247.382 2.843 20 0 BFADHN Cc1nc(CN2C[C@@H](C)C[C@H]2C)c(C)s1 ZINC000334508571 353382835 /nfs/dbraw/zinc/38/28/35/353382835.db2.gz QXBJVGIBXBULPW-DTWKUNHWSA-N 0 3 224.373 2.990 20 0 BFADHN Cc1nc(CN2C[C@@H](C)C[C@@H]2C)c(C)s1 ZINC000334508572 353382938 /nfs/dbraw/zinc/38/29/38/353382938.db2.gz QXBJVGIBXBULPW-IUCAKERBSA-N 0 3 224.373 2.990 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC[C@H](CO)C2)o1 ZINC000269373529 188375386 /nfs/dbraw/zinc/37/53/86/188375386.db2.gz UZEOSDLVZGTNHG-MBNYWOFBSA-N 0 3 249.354 2.607 20 0 BFADHN Cc1ccncc1CN(C)CC(C)(C)C ZINC000269586462 188375475 /nfs/dbraw/zinc/37/54/75/188375475.db2.gz RFKUFYXGGKICLD-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN CC(C)C[C@@H](C)CN1CCOCC12CCC2 ZINC000337190300 188361258 /nfs/dbraw/zinc/36/12/58/188361258.db2.gz SLGIPUGDSFKGFK-CYBMUJFWSA-N 0 3 225.376 2.924 20 0 BFADHN COc1ccc(CN2CCC(C3CC3)CC2)cn1 ZINC000366437306 188368129 /nfs/dbraw/zinc/36/81/29/188368129.db2.gz MQTVJMVVZQSBGA-UHFFFAOYSA-N 0 3 246.354 2.712 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN(C)CCCCO)o1 ZINC000264010053 188370484 /nfs/dbraw/zinc/37/04/84/188370484.db2.gz IRZLOGMBCWSXRU-DGCLKSJQSA-N 0 3 237.343 2.607 20 0 BFADHN CC(C)=CCN(C)[C@@H](CO)c1ccccc1 ZINC000264027023 188370765 /nfs/dbraw/zinc/37/07/65/188370765.db2.gz OETSHHXIVWUMQV-AWEZNQCLSA-N 0 3 219.328 2.618 20 0 BFADHN CC(C)[C@H](O)C1(CN[C@H](C)c2ccncc2)CC1 ZINC000271888264 188377262 /nfs/dbraw/zinc/37/72/62/188377262.db2.gz RFJFNQYAYFXBOP-OCCSQVGLSA-N 0 3 248.370 2.529 20 0 BFADHN CC(C)N(Cc1cncc(F)c1)C1CC1 ZINC000274326750 188377922 /nfs/dbraw/zinc/37/79/22/188377922.db2.gz DVOJIFIXGGMOFB-UHFFFAOYSA-N 0 3 208.280 2.594 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1ccc(C)c(F)c1 ZINC000274413175 188378524 /nfs/dbraw/zinc/37/85/24/188378524.db2.gz FDYSJRZJIUPAPH-OOPCZODUSA-N 0 3 237.318 2.551 20 0 BFADHN C[C@@H]1CN(CC2CCSCC2)[C@@H](C)[C@H](C)O1 ZINC000639086753 353428622 /nfs/dbraw/zinc/42/86/22/353428622.db2.gz ZMOLBTIDWMNUBS-WOPDTQHZSA-N 0 3 243.416 2.627 20 0 BFADHN C[C@@H](NCc1cc[nH]c1)c1ccsc1 ZINC000085579852 538117436 /nfs/dbraw/zinc/11/74/36/538117436.db2.gz HJLBQILHFPOPFJ-SECBINFHSA-N 0 3 206.314 2.927 20 0 BFADHN COc1ncc(CNCC2CC(C)(C)C2)s1 ZINC000639087033 353429731 /nfs/dbraw/zinc/42/97/31/353429731.db2.gz WGTRMTNNHVIPBQ-UHFFFAOYSA-N 0 3 240.372 2.678 20 0 BFADHN CC(C)N(Cc1cnc[nH]1)Cc1cccs1 ZINC000280468920 188384092 /nfs/dbraw/zinc/38/40/92/188384092.db2.gz MSNUKUAYOJKAPQ-UHFFFAOYSA-N 0 3 235.356 2.882 20 0 BFADHN COc1ccc(CN2CC[C@@H](OC)C[C@H]2C)cc1 ZINC000281836393 188385064 /nfs/dbraw/zinc/38/50/64/188385064.db2.gz YDVSTGLRELMZMV-IUODEOHRSA-N 0 3 249.354 2.695 20 0 BFADHN CC(C)(O)CCN1CCC=C(c2ccco2)C1 ZINC000285370089 188385398 /nfs/dbraw/zinc/38/53/98/188385398.db2.gz QWCDXVVSTDCLDA-UHFFFAOYSA-N 0 3 235.327 2.530 20 0 BFADHN CC(C)=CCNC[C@H](O)c1cc(C)cc(C)c1 ZINC000282940149 188385706 /nfs/dbraw/zinc/38/57/06/188385706.db2.gz IQZMZSVHQCIEFM-HNNXBMFYSA-N 0 3 233.355 2.893 20 0 BFADHN c1cc(CN2CC[C@@H]3CCC[C@H]32)sn1 ZINC000639088122 353438828 /nfs/dbraw/zinc/43/88/28/353438828.db2.gz GMWFUGPCNHYQSG-GXSJLCMTSA-N 0 3 208.330 2.518 20 0 BFADHN CC(C)=CCN1CCC(c2ccncn2)CC1 ZINC000298392665 188395956 /nfs/dbraw/zinc/39/59/56/188395956.db2.gz OJRAPNOFCGTXKU-UHFFFAOYSA-N 0 3 231.343 2.622 20 0 BFADHN CN1CCC[C@@H](CNc2nc3ccccc3o2)C1 ZINC000044537795 188397359 /nfs/dbraw/zinc/39/73/59/188397359.db2.gz WTQQLVZHJJLLKG-NSHDSACASA-N 0 3 245.326 2.582 20 0 BFADHN CC(C)[C@@H]1CN(CCC(C)(C)C)CCO1 ZINC000341907622 188399541 /nfs/dbraw/zinc/39/95/41/188399541.db2.gz YEPPZGRWMZOETP-LBPRGKRZSA-N 0 3 213.365 2.779 20 0 BFADHN CC(C)[C@H](O)CN([C@@H](C)c1ccco1)C1CC1 ZINC000292870159 188391749 /nfs/dbraw/zinc/39/17/49/188391749.db2.gz HMRUFOQLNOGSIN-WCQYABFASA-N 0 3 237.343 2.822 20 0 BFADHN C=Cn1cc(CN2CC(C)(C)[C@@H]2C(C)C)cn1 ZINC000293978074 188392611 /nfs/dbraw/zinc/39/26/11/188392611.db2.gz RBXJHMPYIYXQRG-ZDUSSCGKSA-N 0 3 233.359 2.850 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1ccc(CO)o1 ZINC000295114516 188393315 /nfs/dbraw/zinc/39/33/15/188393315.db2.gz VMNRXMZFJIKMHH-GFCCVEGCSA-N 0 3 237.343 2.996 20 0 BFADHN CC(=O)c1ccc(CN(C)[C@@H]2CCSC2)cc1 ZINC000295063676 188393871 /nfs/dbraw/zinc/39/38/71/188393871.db2.gz YYTUCZHWYFVJDX-CQSZACIVSA-N 0 3 249.379 2.827 20 0 BFADHN C[C@H](NC1CC(C)(F)C1)c1cccc(O)c1 ZINC000334518813 353650376 /nfs/dbraw/zinc/65/03/76/353650376.db2.gz AKDLSISNQRBIKU-FJJSSXBZSA-N 0 3 223.291 2.933 20 0 BFADHN FCC1CCN(Cc2ccc3c(n2)CCC3)CC1 ZINC000334518298 353654023 /nfs/dbraw/zinc/65/40/23/353654023.db2.gz IOGFEDSXVAACPB-UHFFFAOYSA-N 0 3 248.345 2.752 20 0 BFADHN Fc1cccc(Cl)c1CNC1CCOCC1 ZINC000036319457 180998980 /nfs/dbraw/zinc/99/89/80/180998980.db2.gz RKGIDEUIMUBLIL-UHFFFAOYSA-N 0 3 243.709 2.748 20 0 BFADHN Fc1cccc(CNC2CC(F)(F)C2)c1 ZINC000277391327 180997076 /nfs/dbraw/zinc/99/70/76/180997076.db2.gz DGHXVQSFNOBZHT-UHFFFAOYSA-N 0 3 215.218 2.713 20 0 BFADHN COc1ncc(CN[C@@]2(C)CC=CCC2)s1 ZINC000398456106 363245575 /nfs/dbraw/zinc/24/55/75/363245575.db2.gz HFVGKEJZXNTKFE-LBPRGKRZSA-N 0 3 238.356 2.740 20 0 BFADHN CCCCCN[C@@H](CC)c1ccn(C)n1 ZINC000336746308 168720628 /nfs/dbraw/zinc/72/06/28/168720628.db2.gz BDINGTDKBDYNBI-NSHDSACASA-N 0 3 209.337 2.651 20 0 BFADHN CCOC(=O)CCN(C)Cc1ccc(C)cc1C ZINC000053402400 363246178 /nfs/dbraw/zinc/24/61/78/363246178.db2.gz SRBXLSUYRXYDBK-UHFFFAOYSA-N 0 3 249.354 2.688 20 0 BFADHN Cc1cc(CN2CCC(C)CC2)ccn1 ZINC000221066414 353699219 /nfs/dbraw/zinc/69/92/19/353699219.db2.gz XTESUQXQDSZZBR-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN Fc1cccc2c1CN(CC[C@H]1CCOC1)CC2 ZINC000336450991 181006656 /nfs/dbraw/zinc/00/66/56/181006656.db2.gz PNYROBMZRSTFGL-LBPRGKRZSA-N 0 3 249.329 2.610 20 0 BFADHN CCc1cnc(CNC(C)(C)CCOC)s1 ZINC000336782304 353708216 /nfs/dbraw/zinc/70/82/16/353708216.db2.gz XFTPEZXLBSNNIH-UHFFFAOYSA-N 0 3 242.388 2.610 20 0 BFADHN Fc1cccc2c1CN(CC[C@@H]1CCOC1)CC2 ZINC000336450990 181006944 /nfs/dbraw/zinc/00/69/44/181006944.db2.gz PNYROBMZRSTFGL-GFCCVEGCSA-N 0 3 249.329 2.610 20 0 BFADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1cc[nH]n1 ZINC000353809119 353716948 /nfs/dbraw/zinc/71/69/48/353716948.db2.gz TVLRTZMWLVJUSU-YPMHNXCESA-N 0 3 221.348 2.810 20 0 BFADHN Fc1ccccc1CN[C@@H]1CC[C@@H](F)C1 ZINC000308855984 181012882 /nfs/dbraw/zinc/01/28/82/181012882.db2.gz OXCOJRBRUJGLLS-GHMZBOCLSA-N 0 3 211.255 2.806 20 0 BFADHN Fc1cccc2c1CN(CC1CCOCC1)CC2 ZINC000271240350 181007230 /nfs/dbraw/zinc/00/72/30/181007230.db2.gz OSRSCHXWNSAFCH-UHFFFAOYSA-N 0 3 249.329 2.610 20 0 BFADHN COc1cccc(C)c1CN1[C@H](C)C[C@@H]1C ZINC000639122191 353770347 /nfs/dbraw/zinc/77/03/47/353770347.db2.gz ZTPWPPSDFZRWGT-TXEJJXNPSA-N 0 3 219.328 2.986 20 0 BFADHN C[C@@H](NC[C@@H](CO)c1ccccc1)c1ccoc1 ZINC000178315793 366113076 /nfs/dbraw/zinc/11/30/76/366113076.db2.gz XKTLVBMOPOGKJE-DOMZBBRYSA-N 0 3 245.322 2.706 20 0 BFADHN Fc1cccnc1NCCN1CCCCCC1 ZINC000054700730 181016020 /nfs/dbraw/zinc/01/60/20/181016020.db2.gz MRCNZHMNTLJTKX-UHFFFAOYSA-N 0 3 237.322 2.509 20 0 BFADHN CN(Cc1ccns1)C1(C)CCCC1 ZINC000639131836 353840435 /nfs/dbraw/zinc/84/04/35/353840435.db2.gz IHBBKYWDKJMGGG-UHFFFAOYSA-N 0 3 210.346 2.908 20 0 BFADHN CCN(Cc1ccns1)CC1CCC1 ZINC000639128728 353834070 /nfs/dbraw/zinc/83/40/70/353834070.db2.gz YSHDXHZMTPAEAO-UHFFFAOYSA-N 0 3 210.346 2.765 20 0 BFADHN COc1cncc(CN2CC(C)=C[C@H](C)C2)c1C ZINC000639129648 353834820 /nfs/dbraw/zinc/83/48/20/353834820.db2.gz BFECEWQXSOYRCY-NSHDSACASA-N 0 3 246.354 2.797 20 0 BFADHN c1coc(C2=CCCN(CCC[C@H]3CCO3)C2)c1 ZINC000639157758 353890568 /nfs/dbraw/zinc/89/05/68/353890568.db2.gz SIJSTORUWYWFJU-AWEZNQCLSA-N 0 3 247.338 2.938 20 0 BFADHN Cc1cnc(CN[C@]2(C)CCCC[C@@H]2C)cn1 ZINC000334522794 353890905 /nfs/dbraw/zinc/89/09/05/353890905.db2.gz VXRLPBAOIYGRLM-SMDDNHRTSA-N 0 3 233.359 2.843 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000343481495 353904693 /nfs/dbraw/zinc/90/46/93/353904693.db2.gz FENDZZGXOZDVOW-GRYCIOLGSA-N 0 3 239.363 2.538 20 0 BFADHN CC[C@@H]1CCCN([C@H](C)c2n[nH]c(C)n2)CC1 ZINC000334539385 353926872 /nfs/dbraw/zinc/92/68/72/353926872.db2.gz AFZOCZKHTNCWIV-ZYHUDNBSSA-N 0 3 236.363 2.686 20 0 BFADHN C[C@H]1[C@H](Nc2nccc3occc32)CCCN1C ZINC000343603142 353931091 /nfs/dbraw/zinc/93/10/91/353931091.db2.gz RGRHHQDNLCFTRD-CMPLNLGQSA-N 0 3 245.326 2.722 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H](C)[C@@H](C)OC ZINC000343472081 353900321 /nfs/dbraw/zinc/90/03/21/353900321.db2.gz QNLNPJFSHYQEFU-SDDRHHMPSA-N 0 3 236.359 2.718 20 0 BFADHN Cc1nnc([C@H](C)N2CC[C@@H](C(C)(C)C)C2)[nH]1 ZINC000334542936 353978245 /nfs/dbraw/zinc/97/82/45/353978245.db2.gz ZRWIEDRWWJTLFK-GXSJLCMTSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@H](C)[N@@H+]2CC[C@@H](C(C)(C)C)C2)[n-]1 ZINC000334542936 353978249 /nfs/dbraw/zinc/97/82/49/353978249.db2.gz ZRWIEDRWWJTLFK-GXSJLCMTSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@H](C)[N@H+]2CC[C@@H](C(C)(C)C)C2)[n-]1 ZINC000334542936 353978252 /nfs/dbraw/zinc/97/82/52/353978252.db2.gz ZRWIEDRWWJTLFK-GXSJLCMTSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nc([C@H](C)N2CCC[C@H](C(C)C)C2)n[nH]1 ZINC000334549271 353988892 /nfs/dbraw/zinc/98/88/92/353988892.db2.gz BGEFWDOICATRAS-JQWIXIFHSA-N 0 3 236.363 2.542 20 0 BFADHN COc1cncc(CN2CC[C@H](CC(C)C)C2)c1 ZINC000343790973 353996007 /nfs/dbraw/zinc/99/60/07/353996007.db2.gz IWLXCVSEHFLVTI-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN CCCN(CC)[C@@H](C)C(=O)N(C)c1ccccc1 ZINC000343649014 353953229 /nfs/dbraw/zinc/95/32/29/353953229.db2.gz OFUAQDDOHRFQIB-ZDUSSCGKSA-N 0 3 248.370 2.770 20 0 BFADHN COc1cncc(CN2C[C@@H](C)CC(C)(C)C2)c1 ZINC000343683009 353963127 /nfs/dbraw/zinc/96/31/27/353963127.db2.gz HSGYVFJATAFVAC-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN NC(=O)[C@H]1CCCN1CC1CCC(F)(F)CC1 ZINC000334558451 354006666 /nfs/dbraw/zinc/00/66/66/354006666.db2.gz AOGUSXBRPOJZHJ-SNVBAGLBSA-N 0 3 246.301 2.812 20 0 BFADHN CC[C@H](C)CN[C@@H](C)c1c(C)noc1C ZINC000086109766 491057564 /nfs/dbraw/zinc/05/75/64/491057564.db2.gz CITDMMGVJWEAFN-IUCAKERBSA-N 0 3 210.321 2.988 20 0 BFADHN Cc1nc2ccccc2nc1CN[C@H]1CC[C@H]1C ZINC000639166698 354026431 /nfs/dbraw/zinc/02/64/31/354026431.db2.gz QPGRLOLJSOYJHQ-PWSUYJOCSA-N 0 3 241.338 2.826 20 0 BFADHN Cc1cccc(CN2CCOC(C)(C)[C@H]2C)c1 ZINC000353843013 354030946 /nfs/dbraw/zinc/03/09/46/354030946.db2.gz HLPAIKYNMSNMEW-CYBMUJFWSA-N 0 3 233.355 2.994 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cnc(C2CC2)nc1 ZINC000334563257 354079129 /nfs/dbraw/zinc/07/91/29/354079129.db2.gz JJEZMOFYJNCUEB-QWRGUYRKSA-N 0 3 231.343 2.727 20 0 BFADHN C[C@H]1CN(Cc2ccncc2)CC(C)(C)C1 ZINC000344020552 354082732 /nfs/dbraw/zinc/08/27/32/354082732.db2.gz ANPLVWQAMODAQF-GFCCVEGCSA-N 0 3 218.344 2.950 20 0 BFADHN CCC(CC)N(CC)Cc1nccn1CC ZINC000344027319 354088610 /nfs/dbraw/zinc/08/86/10/354088610.db2.gz KYMMWNDCALGCJL-UHFFFAOYSA-N 0 3 223.364 2.914 20 0 BFADHN C[C@H](NCC1(O)CCC1)c1csc(Cl)c1 ZINC000230409504 363308660 /nfs/dbraw/zinc/30/86/60/363308660.db2.gz TUMGTAYUJDQKGU-QMMMGPOBSA-N 0 3 245.775 2.967 20 0 BFADHN COc1cncc(CN2CC[C@H](C(C)C)C2)c1 ZINC000343888484 354051432 /nfs/dbraw/zinc/05/14/32/354051432.db2.gz XITJVOSZKUTPBJ-ZDUSSCGKSA-N 0 3 234.343 2.568 20 0 BFADHN CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1NCc1ncc[nH]1 ZINC000219869122 535253175 /nfs/dbraw/zinc/25/31/75/535253175.db2.gz IXTSRQMDXODXGL-AGIUHOORSA-N 0 3 235.375 2.960 20 0 BFADHN CCCC[C@H](C)[C@@H](C)NCc1ncnn1CC ZINC000343982888 354058877 /nfs/dbraw/zinc/05/88/77/354058877.db2.gz LFFADKMOPBCZAS-NWDGAFQWSA-N 0 3 238.379 2.602 20 0 BFADHN COC1CC(NCc2ccc(Cl)s2)C1 ZINC000230690535 363319899 /nfs/dbraw/zinc/31/98/99/363319899.db2.gz WWCLJCNDECJGSF-UHFFFAOYSA-N 0 3 231.748 2.669 20 0 BFADHN C[C@H](c1ccccc1F)N1C[C@@H]2CC[C@@H](O)[C@H]2C1 ZINC000344071514 354132811 /nfs/dbraw/zinc/13/28/11/354132811.db2.gz QSORRHFPOALGIQ-REJLFOLJSA-N 0 3 249.329 2.589 20 0 BFADHN C[C@H]1CN(Cc2ccc(C3CC3)cc2)CC[C@H]1O ZINC000334567699 354090486 /nfs/dbraw/zinc/09/04/86/354090486.db2.gz SZZKTUCJOWJWLU-BLLLJJGKSA-N 0 3 245.366 2.767 20 0 BFADHN CCC(CC)N(CC)Cc1cnc(C)nc1 ZINC000344036469 354101553 /nfs/dbraw/zinc/10/15/53/354101553.db2.gz SXKRROBQFSVGDA-UHFFFAOYSA-N 0 3 221.348 2.796 20 0 BFADHN C[C@H]1CCC[C@H](C)N1Cc1ccncc1F ZINC000334576531 354110016 /nfs/dbraw/zinc/11/00/16/354110016.db2.gz MEIGBFLCHMMXJB-QWRGUYRKSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1Cc1ccncc1F ZINC000334576529 354110070 /nfs/dbraw/zinc/11/00/70/354110070.db2.gz MEIGBFLCHMMXJB-PHIMTYICSA-N 0 3 222.307 2.984 20 0 BFADHN Cc1nn(C)cc1CN1CC2(CCC2)[C@H]1C(C)C ZINC000334560074 354111514 /nfs/dbraw/zinc/11/15/14/354111514.db2.gz RGGKGUWMQQNKBX-CQSZACIVSA-N 0 3 247.386 2.739 20 0 BFADHN Fc1ccc(CNCCC2CCCC2)nc1 ZINC000529536284 324329206 /nfs/dbraw/zinc/32/92/06/324329206.db2.gz XUNKUUNHTOXPIB-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1cscn1 ZINC000230653492 363315566 /nfs/dbraw/zinc/31/55/66/363315566.db2.gz AKPGURHEGKYVEY-UWVGGRQHSA-N 0 3 210.346 2.669 20 0 BFADHN CCC1CC(N[C@H](C)c2cnn(CC)c2)C1 ZINC000449676570 202000352 /nfs/dbraw/zinc/00/03/52/202000352.db2.gz IDAHXKRDRUETEU-XSRFYTQQSA-N 0 3 221.348 2.742 20 0 BFADHN Fc1cccc(-n2cccn2)c1CNCC1CC1 ZINC000449691227 202001882 /nfs/dbraw/zinc/00/18/82/202001882.db2.gz VAIRTWWWSXMTDX-UHFFFAOYSA-N 0 3 245.301 2.511 20 0 BFADHN c1nc(C2CC2)oc1CNC1CCCCC1 ZINC000449698465 202005477 /nfs/dbraw/zinc/00/54/77/202005477.db2.gz NTYKVEZZWVZHSR-UHFFFAOYSA-N 0 3 220.316 2.974 20 0 BFADHN C[C@@H](Cc1cccc(O)c1)NCc1cncs1 ZINC000344211062 354165069 /nfs/dbraw/zinc/16/50/69/354165069.db2.gz JEYQNWXHUTXUMP-JTQLQIEISA-N 0 3 248.351 2.570 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ncccc1OC ZINC000449746590 202018949 /nfs/dbraw/zinc/01/89/49/202018949.db2.gz GOWATDWETQGBFX-QWRGUYRKSA-N 0 3 222.332 2.614 20 0 BFADHN Cc1ccc(CNCC[C@@H]2CCO[C@@H](C)C2)nc1 ZINC000344263249 354193240 /nfs/dbraw/zinc/19/32/40/354193240.db2.gz IJUWIQBALNTUNY-UONOGXRCSA-N 0 3 248.370 2.685 20 0 BFADHN C[C@H]1CCN(Cc2cnc(C3CC3)nc2)[C@H]1C ZINC000334581478 354199507 /nfs/dbraw/zinc/19/95/07/354199507.db2.gz JLZFTVRCBBZJRO-QWRGUYRKSA-N 0 3 231.343 2.584 20 0 BFADHN c1cc(CN[C@H]2[C@H]3Cc4ccccc4[C@H]32)sn1 ZINC000639176619 354200599 /nfs/dbraw/zinc/20/05/99/354200599.db2.gz IFRTTYMPEYFMCY-MJBXVCDLSA-N 0 3 242.347 2.571 20 0 BFADHN COCc1ccc(CNC[C@@H]2C[C@H]2C2CC2)o1 ZINC000449743457 202017747 /nfs/dbraw/zinc/01/77/47/202017747.db2.gz XXLMOVBRZFEVFS-FZMZJTMJSA-N 0 3 235.327 2.562 20 0 BFADHN CC(C)(C)[C@@H]1CCN(Cc2ccon2)C1 ZINC000334589334 354218017 /nfs/dbraw/zinc/21/80/17/354218017.db2.gz PPMYSVZFMBLJSU-SNVBAGLBSA-N 0 3 208.305 2.543 20 0 BFADHN COCCC1CCN(Cc2ccoc2C)CC1 ZINC000529637601 324368013 /nfs/dbraw/zinc/36/80/13/324368013.db2.gz GSFRXBWUSANRBT-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN C[C@H]1CCCC[C@@H]1CCNCc1nccn1C ZINC000344381821 354257185 /nfs/dbraw/zinc/25/71/85/354257185.db2.gz MVCMNSHJSGKFPW-QWHCGFSZSA-N 0 3 235.375 2.726 20 0 BFADHN C[C@@H](N[C@@H]1CCC1(C)C)c1cc2n(n1)CCC2 ZINC000334597110 354231377 /nfs/dbraw/zinc/23/13/77/354231377.db2.gz GNSLDZRCQUGVPP-ZWNOBZJWSA-N 0 3 233.359 2.669 20 0 BFADHN Cc1ccc(CN2CC3(C2)CCCOC3)cc1 ZINC000334599637 354235038 /nfs/dbraw/zinc/23/50/38/354235038.db2.gz LYAVWNLPCLTHAE-UHFFFAOYSA-N 0 3 231.339 2.607 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(C)nc2C)[C@H](C)C1 ZINC000334615240 354300719 /nfs/dbraw/zinc/30/07/19/354300719.db2.gz QQFHPXOCPQBOOU-IUODEOHRSA-N 0 3 248.370 2.698 20 0 BFADHN CCc1ccc(CN[C@@H](CC)C[C@H](C)CO)o1 ZINC000344579103 354310070 /nfs/dbraw/zinc/31/00/70/354310070.db2.gz MXWMSHYILFURHE-RYUDHWBXSA-N 0 3 239.359 2.729 20 0 BFADHN CCCCCN1CCC[C@H]1[C@@H](O)C(F)(F)F ZINC000344581824 354311023 /nfs/dbraw/zinc/31/10/23/354311023.db2.gz FLCKHWNJUAKOGK-VHSXEESVSA-N 0 3 239.281 2.564 20 0 BFADHN c1ccc(CN(CCC[C@@H]2CCO2)C2CC2)nc1 ZINC000639179186 354270421 /nfs/dbraw/zinc/27/04/21/354270421.db2.gz RAHFTLKCQRSWEA-OAHLLOKOSA-N 0 3 246.354 2.615 20 0 BFADHN CC[C@](C)(CN(Cc1cccnc1)C1CC1)OC ZINC000639179138 354270449 /nfs/dbraw/zinc/27/04/49/354270449.db2.gz QDFIWEABQUNGNC-OAHLLOKOSA-N 0 3 248.370 2.861 20 0 BFADHN CC(C)[C@H](CO)NCc1cc(F)ccc1Cl ZINC000165929948 354271340 /nfs/dbraw/zinc/27/13/40/354271340.db2.gz BUNFMFBUVCXBLO-LBPRGKRZSA-N 0 3 245.725 2.586 20 0 BFADHN CCC[C@H](CN(Cc1ccccn1)C1CC1)OC ZINC000639179212 354271373 /nfs/dbraw/zinc/27/13/73/354271373.db2.gz RTCDJAYENACSOV-OAHLLOKOSA-N 0 3 248.370 2.861 20 0 BFADHN CCCN(Cc1cc(OC)ccn1)C(C)C ZINC000577961405 366144833 /nfs/dbraw/zinc/14/48/33/366144833.db2.gz RPBBMTMRJDUDGN-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN Cc1ccoc1CNC[C@@](C)(O)CCC(C)C ZINC000344443550 354274989 /nfs/dbraw/zinc/27/49/89/354274989.db2.gz SUBMEIHYVDMQHB-AWEZNQCLSA-N 0 3 239.359 2.865 20 0 BFADHN Cc1cc(CN2CCC[C@H]2C(C)(C)C)n(C)n1 ZINC000334601985 354280867 /nfs/dbraw/zinc/28/08/67/354280867.db2.gz IGJHVFGCDVFRJJ-ZDUSSCGKSA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@@H](NCc1cccnc1)c1ccncc1 ZINC000344595598 354322946 /nfs/dbraw/zinc/32/29/46/354322946.db2.gz VHRMBWIPEQZTDZ-CQSZACIVSA-N 0 3 227.311 2.718 20 0 BFADHN CCCCCN1CCN(Cc2ccco2)CC1 ZINC000344601821 354323493 /nfs/dbraw/zinc/32/34/93/354323493.db2.gz OKEOMWWUMBJGTC-UHFFFAOYSA-N 0 3 236.359 2.587 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@H](C)CCC(C)C ZINC000344711447 354353575 /nfs/dbraw/zinc/35/35/75/354353575.db2.gz VITVEGXNMMTRCW-CHWSQXEVSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H](NC[C@H](O)Cc1ccccc1)c1ccoc1 ZINC000178791774 366165002 /nfs/dbraw/zinc/16/50/02/366165002.db2.gz KQGPVAYCGYBKKR-IUODEOHRSA-N 0 3 245.322 2.534 20 0 BFADHN c1coc([C@H]2N(C[C@@H]3CCOC3)CC23CCC3)c1 ZINC000334634124 354379026 /nfs/dbraw/zinc/37/90/26/354379026.db2.gz QRCGNLUNIXQSCQ-GXTWGEPZSA-N 0 3 247.338 2.843 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2c3ccccc3O[C@@H]2C)CS1 ZINC000639185904 354381389 /nfs/dbraw/zinc/38/13/89/354381389.db2.gz GASHWDRKIHQSPD-NJBDSQKTSA-N 0 3 249.379 2.992 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1ccc2c(c1)COC2 ZINC000344958414 354383372 /nfs/dbraw/zinc/38/33/72/354383372.db2.gz UNUHZWMALOTEEH-KBPBESRZSA-N 0 3 249.379 2.700 20 0 BFADHN Cc1cccc(C)c1CN1CC2(C1)CCOCC2 ZINC000334640154 354395345 /nfs/dbraw/zinc/39/53/45/354395345.db2.gz XOQMGNMTZFJABZ-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCc3nc[nH]c3C2)o1 ZINC000334685309 354431465 /nfs/dbraw/zinc/43/14/65/354431465.db2.gz LMYYQXAGCFPUST-WDEREUQCSA-N 0 3 245.326 2.519 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCc3nc[nH]c3C2)o1 ZINC000334685307 354431579 /nfs/dbraw/zinc/43/15/79/354431579.db2.gz LMYYQXAGCFPUST-MNOVXSKESA-N 0 3 245.326 2.519 20 0 BFADHN Cc1cccc(CN(C)[C@@H]2CC2(C)C)n1 ZINC000334642639 354399375 /nfs/dbraw/zinc/39/93/75/354399375.db2.gz XGTNFBBJYVZJSS-GFCCVEGCSA-N 0 3 204.317 2.620 20 0 BFADHN Cn1cc2c(n1)CCC[C@@H]2NCC1=CCCCC1 ZINC000334669071 354409208 /nfs/dbraw/zinc/40/92/08/354409208.db2.gz LVPBKCRKNZWCIZ-AWEZNQCLSA-N 0 3 245.370 2.888 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H](C)c1cccc(OC)c1 ZINC000349418146 366191408 /nfs/dbraw/zinc/19/14/08/366191408.db2.gz AMSLEROGWLAUME-YKEDUJKTSA-N 0 3 249.354 2.673 20 0 BFADHN Cc1ccncc1CN1CCSC[C@H]1C1CC1 ZINC000334678460 354423062 /nfs/dbraw/zinc/42/30/62/354423062.db2.gz CBIPUWZVTZAZLL-AWEZNQCLSA-N 0 3 248.395 2.717 20 0 BFADHN Cc1ccc(CN2CCSC[C@@H]2C2CC2)cn1 ZINC000334678830 354424312 /nfs/dbraw/zinc/42/43/12/354424312.db2.gz HYWDAGLEDWNZPE-CQSZACIVSA-N 0 3 248.395 2.717 20 0 BFADHN Cc1ccc(CN2CCS[C@@H](C)CC2)cn1 ZINC000334659771 354456768 /nfs/dbraw/zinc/45/67/68/354456768.db2.gz UZBIXQUZNQEOFV-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN C/C(=C/c1ccccc1)CN(C)CC[C@H](C)O ZINC000353861035 354458236 /nfs/dbraw/zinc/45/82/36/354458236.db2.gz ZBLFCPNZLMSLLM-FZDNWWAKSA-N 0 3 233.355 2.793 20 0 BFADHN CC(C)[C@H]1N(Cc2cncn2C)CC12CCCC2 ZINC000334746541 354471045 /nfs/dbraw/zinc/47/10/45/354471045.db2.gz HUSMPJBSRRTXQZ-CQSZACIVSA-N 0 3 247.386 2.821 20 0 BFADHN CO[C@@H]1CCN(Cc2cccc(F)c2)C[C@@H]1C ZINC000334737680 354539787 /nfs/dbraw/zinc/53/97/87/354539787.db2.gz FHGPCCWORNQVJS-SMDDNHRTSA-N 0 3 237.318 2.683 20 0 BFADHN CO[C@@H]1CCN(Cc2csc(C)c2)C[C@@H]1C ZINC000334738352 354541280 /nfs/dbraw/zinc/54/12/80/354541280.db2.gz JQTCTQAJNYIPKK-GXFFZTMASA-N 0 3 239.384 2.913 20 0 BFADHN CC(C(=O)N(C)c1ccccc1F)C(F)(F)F ZINC000334699899 354491951 /nfs/dbraw/zinc/49/19/51/354491951.db2.gz UHWJWCHCAGRYGB-SSDOTTSWSA-N 0 3 249.207 2.987 20 0 BFADHN c1cc2c(o1)CCCN(CCC[C@H]1CCO1)C2 ZINC000639193156 354592676 /nfs/dbraw/zinc/59/26/76/354592676.db2.gz QGKPZPPFYMGQRR-ZDUSSCGKSA-N 0 3 235.327 2.597 20 0 BFADHN COc1cccc(C)c1CN1CC(C2CC2)C1 ZINC000639196766 354598561 /nfs/dbraw/zinc/59/85/61/354598561.db2.gz QNCAIVYGFKEDOY-UHFFFAOYSA-N 0 3 231.339 2.845 20 0 BFADHN CCN(Cc1csc(C)c1C)[C@@H]1CCOC1 ZINC000639197705 354598894 /nfs/dbraw/zinc/59/88/94/354598894.db2.gz LLUDBACKWCGNJC-CYBMUJFWSA-N 0 3 239.384 2.976 20 0 BFADHN Cc1nc(CN2CC[C@@H](C(C)C)C2)oc1C ZINC000334795732 354549046 /nfs/dbraw/zinc/54/90/46/354549046.db2.gz LTPOIVHTXFAJRI-GFCCVEGCSA-N 0 3 222.332 2.769 20 0 BFADHN CC[C@@H]1CCN([C@@H](C)c2ncc(C)o2)C1 ZINC000335091768 354628273 /nfs/dbraw/zinc/62/82/73/354628273.db2.gz BLZGKELHDSYEHJ-WDEREUQCSA-N 0 3 208.305 2.776 20 0 BFADHN Cc1noc(C)c1CN1CC[C@@H](C)C[C@H]1C ZINC000335097557 354637585 /nfs/dbraw/zinc/63/75/85/354637585.db2.gz QEFMYTKSFMDFDA-NXEZZACHSA-N 0 3 222.332 2.912 20 0 BFADHN CCc1nc(C)c(CN[C@H](C)[C@H]2CC2(F)F)o1 ZINC000639202905 354608899 /nfs/dbraw/zinc/60/88/99/354608899.db2.gz NEFMTAVKIULUJG-VXNVDRBHSA-N 0 3 244.285 2.679 20 0 BFADHN CC(C)n1cncc1CN1C[C@@H](C)C[C@H]1C ZINC000335082602 354615330 /nfs/dbraw/zinc/61/53/30/354615330.db2.gz FHPMYTAIXPMJIN-NWDGAFQWSA-N 0 3 221.348 2.694 20 0 BFADHN C[C@@H](N[C@H]1CCC12CCCC2)c1ccc(=O)[nH]n1 ZINC000335082842 354616051 /nfs/dbraw/zinc/61/60/51/354616051.db2.gz QIWILOSOTBPPDG-PWSUYJOCSA-N 0 3 247.342 2.556 20 0 BFADHN Cc1cccc2ncc(CN3CCC[C@H]3C)n21 ZINC000335082708 354616128 /nfs/dbraw/zinc/61/61/28/354616128.db2.gz CBOMJSMMZFOYFY-LLVKDONJSA-N 0 3 229.327 2.627 20 0 BFADHN CCCCCNC(=O)c1ccccc1CN(C)C ZINC000345506557 354683124 /nfs/dbraw/zinc/68/31/24/354683124.db2.gz YDHWEEQUWSRLMK-UHFFFAOYSA-N 0 3 248.370 2.668 20 0 BFADHN CC(C)n1cncc1CN1CC[C@H]2CCC[C@H]21 ZINC000335115168 354704041 /nfs/dbraw/zinc/70/40/41/354704041.db2.gz RIXPUGDLQRPQGD-TZMCWYRMSA-N 0 3 233.359 2.838 20 0 BFADHN c1ccc(-c2ccc(CN3CCCC3)cn2)nc1 ZINC000335100183 354709282 /nfs/dbraw/zinc/70/92/82/354709282.db2.gz MUMIVYZYCBSUKQ-UHFFFAOYSA-N 0 3 239.322 2.739 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1coc2ccccc12 ZINC000349815091 366230974 /nfs/dbraw/zinc/23/09/74/366230974.db2.gz YSNLHGGDKNVCSH-ZRMMWKCHSA-N 0 3 245.322 2.850 20 0 BFADHN C/C=C\C[C@H](CO)NCc1ccc(F)c(C)c1 ZINC000349819728 366231044 /nfs/dbraw/zinc/23/10/44/366231044.db2.gz DEHCFTOQPPSXGE-DHCBQETCSA-N 0 3 237.318 2.551 20 0 BFADHN COC[C@H]1CCN1CC(C)(C)Oc1ccccc1 ZINC000639207290 354672156 /nfs/dbraw/zinc/67/21/56/354672156.db2.gz OHWSGXNXWYOUGM-CYBMUJFWSA-N 0 3 249.354 2.565 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](C)CF)C12CCCC2 ZINC000639207320 354673653 /nfs/dbraw/zinc/67/36/53/354673653.db2.gz XCXNUJNRMNOEKS-SRVKXCTJSA-N 0 3 229.339 2.672 20 0 BFADHN C/C=C\C[C@H](CO)NCc1coc2ccccc12 ZINC000349815089 366231614 /nfs/dbraw/zinc/23/16/14/366231614.db2.gz YSNLHGGDKNVCSH-XQJDBVBESA-N 0 3 245.322 2.850 20 0 BFADHN CCC(C)(C)CNCc1ccc(C)cn1 ZINC000232909771 363389582 /nfs/dbraw/zinc/38/95/82/363389582.db2.gz ZPTHSYUSMXTJCM-UHFFFAOYSA-N 0 3 206.333 2.916 20 0 BFADHN CC[C@@H](C(=O)NCCC(C)(C)C)N(CC)CC ZINC000345980128 354832108 /nfs/dbraw/zinc/83/21/08/354832108.db2.gz QEYNMFPNLFSNMR-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN CCCC[C@@H](CC)CC(=O)N[C@@H](C)CN(C)C ZINC000346132825 354869961 /nfs/dbraw/zinc/86/99/61/354869961.db2.gz ISPMNSZNVLKTPR-QWHCGFSZSA-N 0 3 242.407 2.659 20 0 BFADHN CC[C@@H]1CCC[C@@H](CN2CCOC[C@H]2C)C1 ZINC000346198959 354876055 /nfs/dbraw/zinc/87/60/55/354876055.db2.gz GBHCRPQATMICOC-MGPQQGTHSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@H](C(=O)N(C)[C@@H](C)C(C)C)N(CC)CC ZINC000345991319 354834734 /nfs/dbraw/zinc/83/47/34/354834734.db2.gz MCWGRBZIJQKWMU-QWHCGFSZSA-N 0 3 242.407 2.610 20 0 BFADHN CC(C)n1cncc1CN1CC[C@H](C)[C@@H](C)C1 ZINC000335145581 354855416 /nfs/dbraw/zinc/85/54/16/354855416.db2.gz CQQYRKPBDJAGEA-STQMWFEESA-N 0 3 235.375 2.942 20 0 BFADHN CCC1(C)CCN(CCOCC(F)F)CC1 ZINC000346265114 354885225 /nfs/dbraw/zinc/88/52/25/354885225.db2.gz RBTQVENRNHGJIR-UHFFFAOYSA-N 0 3 235.318 2.780 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@H]1CC=CCC1 ZINC000346327776 354897355 /nfs/dbraw/zinc/89/73/55/354897355.db2.gz INZSQTXGNCJCLT-LBPRGKRZSA-N 0 3 219.332 2.506 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)C[C@@H]1CC=CCC1 ZINC000346327954 354897404 /nfs/dbraw/zinc/89/74/04/354897404.db2.gz MDTKPIUTHNPLRJ-CYBMUJFWSA-N 0 3 233.359 2.815 20 0 BFADHN COc1cccc(C)c1CN1CC[C@H]1C1CC1 ZINC000639222187 354904134 /nfs/dbraw/zinc/90/41/34/354904134.db2.gz IGWRFLILQQLTJP-AWEZNQCLSA-N 0 3 231.339 2.988 20 0 BFADHN C[C@H](N[C@H]1CCCC1(C)C)c1ccn(C)n1 ZINC000335165831 354922907 /nfs/dbraw/zinc/92/29/07/354922907.db2.gz FUOALSSSDOGIGD-JQWIXIFHSA-N 0 3 221.348 2.649 20 0 BFADHN F[C@@H]1CC[C@H](NCc2ccc3c(n2)CCC3)C1 ZINC000335170171 354932954 /nfs/dbraw/zinc/93/29/54/354932954.db2.gz LSXWKUNQFRBTNI-NEPJUHHUSA-N 0 3 234.318 2.551 20 0 BFADHN OCC[C@H](N[C@@H]1CCC[C@H]1C1CC1)c1ccco1 ZINC000346587353 355002363 /nfs/dbraw/zinc/00/23/63/355002363.db2.gz ZOHIZSSTPJOAME-MJBXVCDLSA-N 0 3 249.354 2.871 20 0 BFADHN COc1cccnc1CN[C@@H]1CCC[C@@H](C)C1 ZINC000449751421 202021497 /nfs/dbraw/zinc/02/14/97/202021497.db2.gz YHOLHGRHIQHQSS-VXGBXAGGSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@@H]1CCN(CCOCC(F)F)CC1(C)C ZINC000346526692 354976340 /nfs/dbraw/zinc/97/63/40/354976340.db2.gz ANPFSDVXUZABFO-SNVBAGLBSA-N 0 3 235.318 2.636 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CC[C@](O)(C2CC2)C1 ZINC000335209479 355046129 /nfs/dbraw/zinc/04/61/29/355046129.db2.gz IVYOJWHJWDZNBH-XHDPSFHLSA-N 0 3 249.329 2.734 20 0 BFADHN Cc1cnn(C)c1CN(C)[C@H]1CCC[C@H](C)C1 ZINC000335189553 355021116 /nfs/dbraw/zinc/02/11/16/355021116.db2.gz NLBDBFKIAARAGS-AAEUAGOBSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cnn(C)c1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000335189556 355021604 /nfs/dbraw/zinc/02/16/04/355021604.db2.gz NLBDBFKIAARAGS-YPMHNXCESA-N 0 3 235.375 2.739 20 0 BFADHN C(=C/c1ccncc1)\CN1CCC2(CCC2)C1 ZINC000335212932 355050393 /nfs/dbraw/zinc/05/03/93/355050393.db2.gz JTEWUXIDZBMRMC-HNQUOIGGSA-N 0 3 228.339 2.971 20 0 BFADHN COC[C@H](NC1(c2ccc(F)cc2)CC1)C1CC1 ZINC000346792758 355106661 /nfs/dbraw/zinc/10/66/61/355106661.db2.gz JRBVNXACGXNEIX-AWEZNQCLSA-N 0 3 249.329 2.829 20 0 BFADHN CCOC[C@H](C)NC1(c2ccc(F)cc2)CC1 ZINC000346793516 355106809 /nfs/dbraw/zinc/10/68/09/355106809.db2.gz KZGJEXYRISJUKN-NSHDSACASA-N 0 3 237.318 2.829 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc2ccccc2n1 ZINC000346797651 355107458 /nfs/dbraw/zinc/10/74/58/355107458.db2.gz SZBAOSIAMYCEKO-RYUDHWBXSA-N 0 3 244.338 2.748 20 0 BFADHN C[C@@H](NC1(c2ccccc2F)CC1)[C@@H]1CCCO1 ZINC000346800030 355108528 /nfs/dbraw/zinc/10/85/28/355108528.db2.gz QQPBRJCTXSIRQA-RISCZKNCSA-N 0 3 249.329 2.972 20 0 BFADHN Cc1nocc1CN[C@@H]1CCC[C@H]1C(C)C ZINC000639228948 355115062 /nfs/dbraw/zinc/11/50/62/355115062.db2.gz XMQAOLSRIFCDPL-QWHCGFSZSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnccc2OC)C1 ZINC000346866052 355118713 /nfs/dbraw/zinc/11/87/13/355118713.db2.gz FKVOHAAAECXNPZ-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCCN(C)[C@H]2C)o1 ZINC000346810988 355121326 /nfs/dbraw/zinc/12/13/26/355121326.db2.gz SVBUYROOSCNRBY-AVGNSLFASA-N 0 3 236.359 2.721 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1cncc(F)c1 ZINC000335187404 355086590 /nfs/dbraw/zinc/08/65/90/355086590.db2.gz DZPRGUVDLNOPDQ-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN COc1ccc(C2(N[C@@H](C)[C@H](C)OC)CC2)cc1 ZINC000346735373 355089668 /nfs/dbraw/zinc/08/96/68/355089668.db2.gz RGADNNLAHQODGF-RYUDHWBXSA-N 0 3 249.354 2.697 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1ccc(C)nn1 ZINC000335231374 355091644 /nfs/dbraw/zinc/09/16/44/355091644.db2.gz KHWMZRDJGMJVAL-DGCLKSJQSA-N 0 3 219.332 2.548 20 0 BFADHN Cc1ncc(CN2CCCC23CCC3)s1 ZINC000335234893 355096243 /nfs/dbraw/zinc/09/62/43/355096243.db2.gz FEMUWYLUCIJDFR-UHFFFAOYSA-N 0 3 222.357 2.970 20 0 BFADHN COC[C@@H]1CCCCN1Cc1cccc(OC)c1 ZINC000449757595 202024437 /nfs/dbraw/zinc/02/44/37/202024437.db2.gz DUZAVCRINSHKBL-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN COC[C@@H]1CCCCN1Cc1occc1C ZINC000449760260 202024926 /nfs/dbraw/zinc/02/49/26/202024926.db2.gz NEVRVGVBXHYHSX-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN CN(CCOC1CCC1)Cc1ccc(F)cc1 ZINC000346840083 355132428 /nfs/dbraw/zinc/13/24/28/355132428.db2.gz HJCNSMXCWLXZNF-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1ccco1 ZINC000166077573 355155952 /nfs/dbraw/zinc/15/59/52/355155952.db2.gz ZDUJOPJALODAOH-WDEREUQCSA-N 0 3 223.316 2.888 20 0 BFADHN CCC[C@@H](N[C@@H](C)CCCO)c1ccccn1 ZINC000346974926 355208996 /nfs/dbraw/zinc/20/89/96/355208996.db2.gz CJSGOEPIAGJBRV-GXTWGEPZSA-N 0 3 236.359 2.673 20 0 BFADHN Cc1nn(C(C)C)cc1CN1CC[C@H](C)C1 ZINC000335253283 355210057 /nfs/dbraw/zinc/21/00/57/355210057.db2.gz IMYIEEVIZCHMBJ-NSHDSACASA-N 0 3 221.348 2.614 20 0 BFADHN FC(F)COCCN1CCCC2(CCCC2)C1 ZINC000346985351 355186683 /nfs/dbraw/zinc/18/66/83/355186683.db2.gz PESBPCKUCSWXHA-UHFFFAOYSA-N 0 3 247.329 2.924 20 0 BFADHN CCC[C@H](NCC(C)(C)OC)c1ccccn1 ZINC000346992454 355191816 /nfs/dbraw/zinc/19/18/16/355191816.db2.gz XMJVBHFXNYTTNZ-LBPRGKRZSA-N 0 3 236.359 2.937 20 0 BFADHN CC(=O)[C@H](C)SC[C@H]1CCCCN1C(C)C ZINC000412996733 191333527 /nfs/dbraw/zinc/33/35/27/191333527.db2.gz GSTQHWOREAJSKV-QWHCGFSZSA-N 0 3 243.416 2.960 20 0 BFADHN CCC(CC)SCCN1CCCOCC1 ZINC000412997148 191333721 /nfs/dbraw/zinc/33/37/21/191333721.db2.gz WINSKDFEKWKWDI-UHFFFAOYSA-N 0 3 231.405 2.631 20 0 BFADHN CCCCC[C@H](C)NC(=O)C1(N)CCCCC1 ZINC000036135746 168741357 /nfs/dbraw/zinc/74/13/57/168741357.db2.gz KHZZKBKCFWAVSB-LBPRGKRZSA-N 0 3 240.391 2.733 20 0 BFADHN CC(C)CCCSCCN1CCCOCC1 ZINC000412996927 191334124 /nfs/dbraw/zinc/33/41/24/191334124.db2.gz GEDDOZFFCOVISQ-UHFFFAOYSA-N 0 3 245.432 2.878 20 0 BFADHN Cc1cc(CNC23CCC(CC2)CC3)on1 ZINC000639236929 355220103 /nfs/dbraw/zinc/22/01/03/355220103.db2.gz SWIYXFKBXPTYNA-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN CC1(C2(NCc3cc(C4CC4)no3)CC2)CC1 ZINC000639235913 355220753 /nfs/dbraw/zinc/22/07/53/355220753.db2.gz MMUYNFURWFRPSO-UHFFFAOYSA-N 0 3 232.327 2.974 20 0 BFADHN CCOc1cccc(CN2C[C@H](C)[C@H](C)C2)n1 ZINC000353908683 355239529 /nfs/dbraw/zinc/23/95/29/355239529.db2.gz CUJRJSXZVXGPNH-TXEJJXNPSA-N 0 3 234.343 2.568 20 0 BFADHN CC[C@@H](CN(C)Cc1ccc(OC)cc1)OC ZINC000412976029 191345856 /nfs/dbraw/zinc/34/58/56/191345856.db2.gz HLFQLJOLXKRIII-ZDUSSCGKSA-N 0 3 237.343 2.552 20 0 BFADHN CC[C@@H](CN(C)[C@@H](CC)c1ccncc1)OC ZINC000412980343 191350224 /nfs/dbraw/zinc/35/02/24/191350224.db2.gz WZWZYSMWYNWIEK-KBPBESRZSA-N 0 3 236.359 2.890 20 0 BFADHN CCC(C)(C)NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000413110745 191354420 /nfs/dbraw/zinc/35/44/20/191354420.db2.gz SSZCGZZQOUKPRA-GRYCIOLGSA-N 0 3 240.391 2.553 20 0 BFADHN c1ccc2c(c1)nccc2N1C[C@@H]2C[C@H]1CS2 ZINC000413442824 191385017 /nfs/dbraw/zinc/38/50/17/191385017.db2.gz AWAIMPZFKYBCQW-QWRGUYRKSA-N 0 3 242.347 2.929 20 0 BFADHN CC(C)[C@@H](N[C@H](C)c1ccccn1)C(C)(C)O ZINC000347683464 355267535 /nfs/dbraw/zinc/26/75/35/355267535.db2.gz DRLUWIKLHVNKLX-DGCLKSJQSA-N 0 3 236.359 2.528 20 0 BFADHN CCCCN[C@H](CCC)c1nnc2ccccn21 ZINC000347595217 355250071 /nfs/dbraw/zinc/25/00/71/355250071.db2.gz AUXHYBCRHHLPSQ-GFCCVEGCSA-N 0 3 246.358 2.960 20 0 BFADHN OCC1(NCc2cc3cc(F)ccc3o2)CCC1 ZINC000347664183 355254862 /nfs/dbraw/zinc/25/48/62/355254862.db2.gz PAAXXYNXMDGILB-UHFFFAOYSA-N 0 3 249.285 2.577 20 0 BFADHN C[C@@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)C[C@@H](C)O1 ZINC000347664161 355255188 /nfs/dbraw/zinc/25/51/88/355255188.db2.gz OXVDCGAJCUPCOA-VDERGJSUSA-N 0 3 245.366 2.899 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)C1CC1 ZINC000639259188 355308748 /nfs/dbraw/zinc/30/87/48/355308748.db2.gz UBUVKCDXOPTNSR-XJFOESAGSA-N 0 3 245.370 2.649 20 0 BFADHN Cc1c([C@H](C)NC2CC2)cnn1C(C)C ZINC000191104128 355313094 /nfs/dbraw/zinc/31/30/94/355313094.db2.gz HPJPBGYXFSPVKT-VIFPVBQESA-N 0 3 207.321 2.585 20 0 BFADHN CO[C@H](CN[C@@H]1CCCC[C@H]1F)CC(C)C ZINC000639265788 355326200 /nfs/dbraw/zinc/32/62/00/355326200.db2.gz JNUMLGVMANFXPS-YNEHKIRRSA-N 0 3 231.355 2.918 20 0 BFADHN COc1cccc(C)c1CNC[C@H]1CC[C@@H](C)O1 ZINC000639249053 355280236 /nfs/dbraw/zinc/28/02/36/355280236.db2.gz CICWYEFJTLSOGR-CHWSQXEVSA-N 0 3 249.354 2.661 20 0 BFADHN CO[C@@](C)([C@H](C)N[C@@H](C)c1ccccn1)C1CC1 ZINC000414229085 191473262 /nfs/dbraw/zinc/47/32/62/191473262.db2.gz DZOAFCMWDGMQOE-HUBLWGQQSA-N 0 3 248.370 2.936 20 0 BFADHN CC[C@](C)(CN1CC(C)(CC(F)F)C1)OC ZINC000639283278 355380092 /nfs/dbraw/zinc/38/00/92/355380092.db2.gz JXJXHVLNXSABBJ-GFCCVEGCSA-N 0 3 235.318 2.779 20 0 BFADHN C[C@H]1C[C@H](N2CCc3n[nH]cc3C2)CC(C)(C)C1 ZINC000623238813 355383144 /nfs/dbraw/zinc/38/31/44/355383144.db2.gz UKYXSQBEIPXPKY-AAEUAGOBSA-N 0 3 247.386 2.983 20 0 BFADHN c1[nH]nc2c1CN([C@@H]1CCC[C@H](C3CC3)C1)CC2 ZINC000623238454 355383644 /nfs/dbraw/zinc/38/36/44/355383644.db2.gz HFPCYVWVDBDCIZ-GXTWGEPZSA-N 0 3 245.370 2.737 20 0 BFADHN C[C@@H](NCC[C@H](C)O)c1csc(Cl)c1 ZINC000191289976 355384037 /nfs/dbraw/zinc/38/40/37/355384037.db2.gz KPBFRIFHTGWHQE-JGVFFNPUSA-N 0 3 233.764 2.823 20 0 BFADHN Cn1ccnc1NCc1ccc(C2CC2)cc1 ZINC000414298474 191484847 /nfs/dbraw/zinc/48/48/47/191484847.db2.gz IRQXYWJHKMEDMM-UHFFFAOYSA-N 0 3 227.311 2.910 20 0 BFADHN C[C@@H]1CN(Cc2ccns2)[C@@H](C)[C@H]1C ZINC000639270734 355341424 /nfs/dbraw/zinc/34/14/24/355341424.db2.gz KHKLXWWFMFKFAN-UTLUCORTSA-N 0 3 210.346 2.619 20 0 BFADHN Cc1cc(CNC[C@@H]2C[C@H]2C2CCC2)sn1 ZINC000639273376 355346283 /nfs/dbraw/zinc/34/62/83/355346283.db2.gz CIFNNPJPCWVXBR-AAEUAGOBSA-N 0 3 236.384 2.977 20 0 BFADHN F[C@]1(c2ccccc2)C[C@H]1NCCC[C@H]1CCO1 ZINC000639276309 355348770 /nfs/dbraw/zinc/34/87/70/355348770.db2.gz AYRMFVOHIOWGBF-ZNMIVQPWSA-N 0 3 249.329 2.782 20 0 BFADHN c1c(CN[C@@H]2CC[C@@H]3C[C@@H]3C2)onc1C1CC1 ZINC000639286560 355390988 /nfs/dbraw/zinc/39/09/88/355390988.db2.gz CTKARGVUHACLBC-IJLUTSLNSA-N 0 3 232.327 2.830 20 0 BFADHN CCC[C@H](CN[C@@H]1C[C@H]1c1ccco1)OC ZINC000639275153 355351470 /nfs/dbraw/zinc/35/14/70/355351470.db2.gz SJDXSTMGNIHLKM-IJLUTSLNSA-N 0 3 223.316 2.540 20 0 BFADHN Cc1scc(CN2C[C@@H](O)CC[C@H]2C)c1C ZINC000639277411 355355993 /nfs/dbraw/zinc/35/59/93/355355993.db2.gz WKOOSRYLUDSKKK-RNCFNFMXSA-N 0 3 239.384 2.710 20 0 BFADHN c1ccc([C@H]2C[C@H]2CN2CC3(C2)CCOC3)cc1 ZINC000335778291 355404552 /nfs/dbraw/zinc/40/45/52/355404552.db2.gz VAWDOZPWDUOWQL-LSDHHAIUSA-N 0 3 243.350 2.512 20 0 BFADHN CCC1CCC(N(C)Cc2cncn2C)CC1 ZINC000349676556 355408402 /nfs/dbraw/zinc/40/84/02/355408402.db2.gz DKQHADTXSFPVHU-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN CCC1CCC(N(C)Cc2ccn(C)n2)CC1 ZINC000349681967 355411030 /nfs/dbraw/zinc/41/10/30/355411030.db2.gz ZJRHLFVELFIPFD-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN CC[C@H]1CCC[C@H](CN2CCc3n[nH]cc3C2)C1 ZINC000623240862 355420168 /nfs/dbraw/zinc/42/01/68/355420168.db2.gz YWDVDXRHOTYVLF-STQMWFEESA-N 0 3 247.386 2.984 20 0 BFADHN CC[C@H]1CCC[C@@H](CN2CCc3n[nH]cc3C2)C1 ZINC000623240861 355420661 /nfs/dbraw/zinc/42/06/61/355420661.db2.gz YWDVDXRHOTYVLF-QWHCGFSZSA-N 0 3 247.386 2.984 20 0 BFADHN Fc1ccc(CN2CCC3(CCO3)CC2)cc1 ZINC000367101053 355435627 /nfs/dbraw/zinc/43/56/27/355435627.db2.gz ROFXBHQZDOILIU-UHFFFAOYSA-N 0 3 235.302 2.581 20 0 BFADHN C[C@@H](C1CCCCC1)N1CCc2n[nH]cc2C1 ZINC000623241616 355490722 /nfs/dbraw/zinc/49/07/22/355490722.db2.gz ZUJALZZBMYKHOB-NSHDSACASA-N 0 3 233.359 2.737 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN2CCc3n[nH]cc3C2)C1 ZINC000623241514 355491143 /nfs/dbraw/zinc/49/11/43/355491143.db2.gz WKQKOKJCAXIJOP-AAEUAGOBSA-N 0 3 245.370 2.760 20 0 BFADHN Cc1nc2ccccn2c1CN1CCC12CCC2 ZINC000335797125 355454118 /nfs/dbraw/zinc/45/41/18/355454118.db2.gz IERNBDOWMGTOGA-UHFFFAOYSA-N 0 3 241.338 2.771 20 0 BFADHN CC[C@H](CN1CCO[C@H](C)C1)c1ccccc1 ZINC000349786787 355462443 /nfs/dbraw/zinc/46/24/43/355462443.db2.gz CRQYNSGXAVFVNL-ZIAGYGMSSA-N 0 3 233.355 2.901 20 0 BFADHN CCN(Cc1cnc2cccc(C)n12)C(C)C ZINC000349802903 355465665 /nfs/dbraw/zinc/46/56/65/355465665.db2.gz LNJXDPJBSVJOFA-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN C/C=C\C[C@H](CO)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000349823344 355470227 /nfs/dbraw/zinc/47/02/27/355470227.db2.gz UMMWCYAXTBELTJ-VKKDWPQBSA-N 0 3 249.354 2.820 20 0 BFADHN COc1ccnc(CN2C[C@@H]3CCCC[C@H]3C2)c1 ZINC000335804425 355474087 /nfs/dbraw/zinc/47/40/87/355474087.db2.gz ZYRJYIBFIYFAQF-STQMWFEESA-N 0 3 246.354 2.712 20 0 BFADHN Cc1ncc(CN2CC(C)=C[C@H](C)C2)s1 ZINC000335804825 355475021 /nfs/dbraw/zinc/47/50/21/355475021.db2.gz RKEIIWXTVYKRBR-VIFPVBQESA-N 0 3 222.357 2.850 20 0 BFADHN CC(C)CN(C)Cc1cccc2c1OCCCO2 ZINC000349852605 355483519 /nfs/dbraw/zinc/48/35/19/355483519.db2.gz DNIPTORAHGTOFT-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN CCN(CCOC)Cc1cccc(C)c1C ZINC000349852602 355483904 /nfs/dbraw/zinc/48/39/04/355483904.db2.gz DISFGCBSZPGAIR-UHFFFAOYSA-N 0 3 221.344 2.772 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1cccc(OCC)c1 ZINC000349830796 355487982 /nfs/dbraw/zinc/48/79/82/355487982.db2.gz ROBGCDWYKHIXBW-MFBWXBCUSA-N 0 3 249.354 2.502 20 0 BFADHN C[C@@H](N[C@@H]1CCc2ccccc2C1)c1ccon1 ZINC000349836381 355490034 /nfs/dbraw/zinc/49/00/34/355490034.db2.gz SFYUNJFYMQOERC-BXUZGUMPSA-N 0 3 242.322 2.883 20 0 BFADHN C[C@@H](N[C@@H](C)CC1CCOCC1)c1ccon1 ZINC000349834475 355490364 /nfs/dbraw/zinc/49/03/64/355490364.db2.gz PANXPLRWIRHCJV-WDEREUQCSA-N 0 3 238.331 2.530 20 0 BFADHN Cc1cccnc1CN(C)[C@H]1CC1(C)C ZINC000335820479 355538152 /nfs/dbraw/zinc/53/81/52/355538152.db2.gz UGGMZTXGITWEAT-LBPRGKRZSA-N 0 3 204.317 2.620 20 0 BFADHN Cc1cccnc1CN(C)[C@@H]1CC1(C)C ZINC000335820478 355538595 /nfs/dbraw/zinc/53/85/95/355538595.db2.gz UGGMZTXGITWEAT-GFCCVEGCSA-N 0 3 204.317 2.620 20 0 BFADHN COc1cc(C)nc(CN2CC[C@@H]3CCC[C@H]32)c1 ZINC000335833031 355560666 /nfs/dbraw/zinc/56/06/66/355560666.db2.gz MNIRKVUAHIWXMK-SWLSCSKDSA-N 0 3 246.354 2.773 20 0 BFADHN Cc1cc(CNC2(C)CC=CC2)sn1 ZINC000639295566 355583093 /nfs/dbraw/zinc/58/30/93/355583093.db2.gz KMFKILYOGSYRQZ-UHFFFAOYSA-N 0 3 208.330 2.650 20 0 BFADHN C[C@H]1CC[C@H](N(C)Cc2ccc(F)cn2)C1 ZINC000335851661 355595626 /nfs/dbraw/zinc/59/56/26/355595626.db2.gz NNYUWOWFVUXIEL-GWCFXTLKSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1cc(CNC2CC3(CCC3)C2)sn1 ZINC000639298076 355596550 /nfs/dbraw/zinc/59/65/50/355596550.db2.gz XYRCDPNHJQOECK-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN Cc1ccnc(CN[C@@H]2CCCCC2(C)C)n1 ZINC000335852301 355596691 /nfs/dbraw/zinc/59/66/91/355596691.db2.gz ZHGZYZNVYQGDHV-GFCCVEGCSA-N 0 3 233.359 2.843 20 0 BFADHN FC1(F)CC12CN(C[C@@H]1CC[C@H]3C[C@H]3C1)C2 ZINC000639297221 355602405 /nfs/dbraw/zinc/60/24/05/355602405.db2.gz PVKSTZFQPRJNCK-OUAUKWLOSA-N 0 3 227.298 2.764 20 0 BFADHN CCCN(Cc1conc1CC)CC1CC1 ZINC000350126619 355609734 /nfs/dbraw/zinc/60/97/34/355609734.db2.gz WQWKAFXCNFHXIQ-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN Cc1nocc1CN1C[C@H]2[C@@H]3CC[C@@H](C3)[C@@]2(C)C1 ZINC000449763899 202026218 /nfs/dbraw/zinc/02/62/18/202026218.db2.gz WLNLKZIOXVBNNW-UQOMUDLDSA-N 0 3 246.354 2.851 20 0 BFADHN CC[C@@]1(C)CCC[C@H]1N1CCc2n[nH]cc2C1 ZINC000623243890 355617896 /nfs/dbraw/zinc/61/78/96/355617896.db2.gz SGQXJAFRIJCMTR-KGLIPLIRSA-N 0 3 233.359 2.737 20 0 BFADHN CCCCN(CC)Cc1conc1CC ZINC000350175777 355619723 /nfs/dbraw/zinc/61/97/23/355619723.db2.gz JHCSJXXKVWADDS-UHFFFAOYSA-N 0 3 210.321 2.859 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@H]2C)s1 ZINC000335868485 355633582 /nfs/dbraw/zinc/63/35/82/355633582.db2.gz OILKNWNIUUFKJF-RKDXNWHRSA-N 0 3 210.346 2.682 20 0 BFADHN Cc1scc(CN(C[C@H](C)O)C2CC2)c1C ZINC000639301034 355636039 /nfs/dbraw/zinc/63/60/39/355636039.db2.gz BDGXKVASHNVUIW-VIFPVBQESA-N 0 3 239.384 2.710 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1CCCOCC1 ZINC000335869985 355636333 /nfs/dbraw/zinc/63/63/33/355636333.db2.gz LBOABUKYFLKAGK-CQSZACIVSA-N 0 3 237.318 2.999 20 0 BFADHN CC[C@H]1CCCN1Cc1ccc(F)cn1 ZINC000335872242 355640006 /nfs/dbraw/zinc/64/00/06/355640006.db2.gz QWZHNPCUOHAFLP-LBPRGKRZSA-N 0 3 208.280 2.595 20 0 BFADHN Cc1nsc(C)c1CN1C[C@H](C)[C@@H](C)C1 ZINC000582189059 355694574 /nfs/dbraw/zinc/69/45/74/355694574.db2.gz DTEUZNQNTWIIPM-IUCAKERBSA-N 0 3 224.373 2.848 20 0 BFADHN Cc1cc(CNC[C@@]2(C)CC2(F)F)sn1 ZINC000639314723 355700270 /nfs/dbraw/zinc/70/02/70/355700270.db2.gz GLMNTCSVXRDDLE-SECBINFHSA-N 0 3 232.299 2.586 20 0 BFADHN COc1ncc(CNCCCC2CCC2)s1 ZINC000639317506 355708722 /nfs/dbraw/zinc/70/87/22/355708722.db2.gz SXKGNNSLIJMCDO-UHFFFAOYSA-N 0 3 240.372 2.822 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CS[C@@H](C)C2)o1 ZINC000393979451 355710874 /nfs/dbraw/zinc/71/08/74/355710874.db2.gz ZZDZIYIJMDTTCR-WPRPVWTQSA-N 0 3 240.372 2.529 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@H](C)CC2CCC2)n1 ZINC000631251722 355714476 /nfs/dbraw/zinc/71/44/76/355714476.db2.gz GACREDLLYFFVQG-NSHDSACASA-N 0 3 234.343 2.764 20 0 BFADHN CCCC[C@H](CC)NCc1cc(OC)no1 ZINC000639323684 355717611 /nfs/dbraw/zinc/71/76/11/355717611.db2.gz FYSYTDSGHABLNY-JTQLQIEISA-N 0 3 226.320 2.742 20 0 BFADHN COc1cc(CNC[C@H]2CCC[C@@H](C)C2)on1 ZINC000639324350 355719069 /nfs/dbraw/zinc/71/90/69/355719069.db2.gz LGDDXJYCGWTBKU-MNOVXSKESA-N 0 3 238.331 2.599 20 0 BFADHN CCCN(C(C)C)[C@@H](c1nccn1C)C1CC1 ZINC000639313006 355684463 /nfs/dbraw/zinc/68/44/63/355684463.db2.gz PZEJKLWIRVMIKM-CYBMUJFWSA-N 0 3 235.375 2.992 20 0 BFADHN Cc1ccc(CN2CC[C@H]3COC[C@@H]3C2)c(F)c1 ZINC000639327919 355734658 /nfs/dbraw/zinc/73/46/58/355734658.db2.gz DYEGXQQHCIMTTH-KBPBESRZSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1nc([C@@H](C)NC[C@@H]2CC23CC3)cs1 ZINC000417338651 191795265 /nfs/dbraw/zinc/79/52/65/191795265.db2.gz MXMAHIUOIHUDAJ-SCZZXKLOSA-N 0 3 222.357 2.902 20 0 BFADHN CCc1nocc1CN1CCC[C@H]1CC ZINC000350653225 355737403 /nfs/dbraw/zinc/73/74/03/355737403.db2.gz DBGMAXDVFSVQPE-LLVKDONJSA-N 0 3 208.305 2.611 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1noc2c1CCCC2 ZINC000336023696 134053998 /nfs/dbraw/zinc/05/39/98/134053998.db2.gz SREKVNPASHNKGL-CMPLNLGQSA-N 0 3 234.343 2.832 20 0 BFADHN COC[C@H]1CCCCN1Cc1ccc(F)cc1 ZINC000449761674 202027116 /nfs/dbraw/zinc/02/71/16/202027116.db2.gz ZWDLHSGPIWVTHH-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN CC[C@@H](C)[C@H](CN(C)CC1=CCSC1)OC ZINC000639335666 355766219 /nfs/dbraw/zinc/76/62/19/355766219.db2.gz WWKYQVPLVMKEEM-YPMHNXCESA-N 0 3 243.416 2.653 20 0 BFADHN CN(C[C@H]1CC1(C)C)[C@H](c1nccn1C)C1CC1 ZINC000639337169 355774753 /nfs/dbraw/zinc/77/47/53/355774753.db2.gz KNXGIUROHCDESC-OLZOCXBDSA-N 0 3 247.386 2.849 20 0 BFADHN C[C@H]1c2c(F)cccc2CCN1CC1(C)COC1 ZINC000608497540 355824233 /nfs/dbraw/zinc/82/42/33/355824233.db2.gz SYXHSILEWFHEQJ-NSHDSACASA-N 0 3 249.329 2.781 20 0 BFADHN CO[C@@H](CN1CC(C)(c2ccccc2)C1)C1CC1 ZINC000639339042 355780007 /nfs/dbraw/zinc/78/00/07/355780007.db2.gz OVOAESOLDLWIKQ-HNNXBMFYSA-N 0 3 245.366 2.685 20 0 BFADHN C[C@@H]1c2ccc(F)cc2CCN1CC1(C)COC1 ZINC000608497575 355824442 /nfs/dbraw/zinc/82/44/42/355824442.db2.gz YZQPISCPURYUKQ-LLVKDONJSA-N 0 3 249.329 2.781 20 0 BFADHN C[C@H](c1ccc(F)c(F)c1)N(C)C[C@H](C)CO ZINC000608498573 355827079 /nfs/dbraw/zinc/82/70/79/355827079.db2.gz UUOMGQPFDQOKFD-VHSXEESVSA-N 0 3 243.297 2.586 20 0 BFADHN C[C@@H](CO)CN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000608498571 355827116 /nfs/dbraw/zinc/82/71/16/355827116.db2.gz UUOMGQPFDQOKFD-NXEZZACHSA-N 0 3 243.297 2.586 20 0 BFADHN Cc1ccncc1CN1CC(c2ccccc2)C1 ZINC000639361619 355815126 /nfs/dbraw/zinc/81/51/26/355815126.db2.gz KXDDZNFCUJZOIZ-UHFFFAOYSA-N 0 3 238.334 2.989 20 0 BFADHN CC[C@@](C)(CN1CC(c2ccccc2)C1)OC ZINC000639361789 355816227 /nfs/dbraw/zinc/81/62/27/355816227.db2.gz RRESSKNDUJLPBK-HNNXBMFYSA-N 0 3 233.355 2.901 20 0 BFADHN Cc1ccc(CN(CC2(C)COC2)C2CC2)o1 ZINC000608492695 355818191 /nfs/dbraw/zinc/81/81/91/355818191.db2.gz VJYDTWUIZZOYHC-UHFFFAOYSA-N 0 3 235.327 2.589 20 0 BFADHN C[C@@H](NCc1ncc[nH]1)[C@@H]1C[C@H]1c1cccs1 ZINC000639373126 355876551 /nfs/dbraw/zinc/87/65/51/355876551.db2.gz XMJLWHNNYFOGCE-OUAUKWLOSA-N 0 3 247.367 2.753 20 0 BFADHN CCSc1ccccc1[C@@H](C)N[C@H](C)CO ZINC000166782319 355841671 /nfs/dbraw/zinc/84/16/71/355841671.db2.gz IHBUFOFYNWBRIF-GHMZBOCLSA-N 0 3 239.384 2.830 20 0 BFADHN CCCN1Cc2ccc(O)cc2C2(CC2)C1 ZINC000336028009 134057682 /nfs/dbraw/zinc/05/76/82/134057682.db2.gz ODGQPLRQRCIAIT-UHFFFAOYSA-N 0 3 217.312 2.649 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)NCc1ncc[nH]1 ZINC000639371964 355872279 /nfs/dbraw/zinc/87/22/79/355872279.db2.gz OUQPCSNXRDNGFX-GHMZBOCLSA-N 0 3 209.337 2.714 20 0 BFADHN CC1(C)CCN(C[C@@H]2COc3ccccc3O2)C1 ZINC000608508677 355830695 /nfs/dbraw/zinc/83/06/95/355830695.db2.gz VDEATBLQGZQEKO-GFCCVEGCSA-N 0 3 247.338 2.558 20 0 BFADHN CC[C@H]1CN([C@@H](C)c2ccncc2)CCS1 ZINC000608510537 355832212 /nfs/dbraw/zinc/83/22/12/355832212.db2.gz YLCNEDZTDDGIDH-AAEUAGOBSA-N 0 3 236.384 2.970 20 0 BFADHN Cc1ccc(CNCCC[C@H](C)CO)c(F)c1 ZINC000631101472 355905334 /nfs/dbraw/zinc/90/53/34/355905334.db2.gz GGNMWVSZELTFIF-LBPRGKRZSA-N 0 3 239.334 2.632 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000583624553 355891597 /nfs/dbraw/zinc/89/15/97/355891597.db2.gz HIGXPBJWWWRPLM-MELADBBJSA-N 0 3 235.302 2.580 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCN(C)C[C@H]1c1ccccc1 ZINC000351414383 355987693 /nfs/dbraw/zinc/98/76/93/355987693.db2.gz MYHPYNITYMBHDN-BMFZPTHFSA-N 0 3 244.382 2.631 20 0 BFADHN CCOC(C)(C)CNCc1sc(C)nc1C ZINC000166966532 355944940 /nfs/dbraw/zinc/94/49/40/355944940.db2.gz OYESDMJVPVAYFT-UHFFFAOYSA-N 0 3 242.388 2.665 20 0 BFADHN CC(C)[C@@H](CO)NCc1cccc(F)c1Cl ZINC000167094980 355955970 /nfs/dbraw/zinc/95/59/70/355955970.db2.gz AILVZSQCIGAPLF-LLVKDONJSA-N 0 3 245.725 2.586 20 0 BFADHN CC[C@]1(O)CCCN(Cc2csc(C)c2)C1 ZINC000639384772 355972525 /nfs/dbraw/zinc/97/25/25/355972525.db2.gz JLWMOWYDWSHAGY-ZDUSSCGKSA-N 0 3 239.384 2.793 20 0 BFADHN CSCCN(C)Cc1ccsc1C ZINC000351624549 356033700 /nfs/dbraw/zinc/03/37/00/356033700.db2.gz OBBSVYPSVGFXMJ-UHFFFAOYSA-N 0 3 215.387 2.851 20 0 BFADHN Cc1nccc(CN(C)CCc2cccs2)n1 ZINC000351666671 356049032 /nfs/dbraw/zinc/04/90/32/356049032.db2.gz WMHLTFFMXCFKKB-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN Cc1ccc(CN2CCC(C)(F)CC2)o1 ZINC000639389153 356011471 /nfs/dbraw/zinc/01/14/71/356011471.db2.gz RAVZLJXGSGIARO-UHFFFAOYSA-N 0 3 211.280 2.912 20 0 BFADHN CC(C)(C)Oc1cccc(CN2CCCC2)n1 ZINC000351700219 356063118 /nfs/dbraw/zinc/06/31/18/356063118.db2.gz KDMQATMYDHCVGM-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN C[C@@H](CN(C)Cc1cnc2n1CCC2)C(C)(C)C ZINC000639398347 356115225 /nfs/dbraw/zinc/11/52/25/356115225.db2.gz JQBLZAODGAAPBC-LBPRGKRZSA-N 0 3 249.402 2.943 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1CC[C@@H](C)[C@@H](C)C1 ZINC000351722161 356071239 /nfs/dbraw/zinc/07/12/39/356071239.db2.gz VKJAXXHMAZUNMF-AGIUHOORSA-N 0 3 241.375 2.552 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1CC[C@H](C)[C@@H](C)C1 ZINC000351722162 356071611 /nfs/dbraw/zinc/07/16/11/356071611.db2.gz VKJAXXHMAZUNMF-AVGNSLFASA-N 0 3 241.375 2.552 20 0 BFADHN CCn1cncc1CN[C@H]1C[C@@]1(CC)C(C)C ZINC000418081100 191963603 /nfs/dbraw/zinc/96/36/03/191963603.db2.gz FCXSJHYUEKWZPV-KBPBESRZSA-N 0 3 235.375 2.817 20 0 BFADHN COCc1ccc(CN2CC(C)(C)C2)cc1 ZINC000639393602 356080214 /nfs/dbraw/zinc/08/02/14/356080214.db2.gz ORPWHVSBYHMMTN-UHFFFAOYSA-N 0 3 219.328 2.675 20 0 BFADHN CCc1nocc1CN(C)[C@@H](C)C1(C)CC1 ZINC000351776139 356084599 /nfs/dbraw/zinc/08/45/99/356084599.db2.gz YPZFKQPFZPIRHN-JTQLQIEISA-N 0 3 222.332 2.857 20 0 BFADHN CCCCN(CC)C(=O)CN(CCC)C1CC1 ZINC000351775376 356084749 /nfs/dbraw/zinc/08/47/49/356084749.db2.gz STHALXSEQQRIDF-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1nccc(C)n1 ZINC000335914936 356152309 /nfs/dbraw/zinc/15/23/09/356152309.db2.gz AVHLXUVQZUMZKL-NEPJUHHUSA-N 0 3 219.332 2.548 20 0 BFADHN COCCN(CC[C@H](C)F)Cc1ccccc1 ZINC000351892585 356159169 /nfs/dbraw/zinc/15/91/69/356159169.db2.gz CYJYGYTVOHMPBU-ZDUSSCGKSA-N 0 3 239.334 2.883 20 0 BFADHN CN(Cc1cccs1)C[C@@H]1CC(C)(C)CO1 ZINC000639443790 356222376 /nfs/dbraw/zinc/22/23/76/356222376.db2.gz VNAXRAXEQJNFKH-NSHDSACASA-N 0 3 239.384 2.995 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H]1C[C@H](C)n2ccnc21 ZINC000395525071 356228098 /nfs/dbraw/zinc/22/80/98/356228098.db2.gz BMYFLUJPYOFNQX-WHOHXGKFSA-N 0 3 219.332 2.525 20 0 BFADHN C[C@H](c1ccco1)N(C)Cc1cc[nH]c(=O)c1 ZINC000639461813 356250489 /nfs/dbraw/zinc/25/04/89/356250489.db2.gz IKWIUGMFVSFAFF-SNVBAGLBSA-N 0 3 232.283 2.573 20 0 BFADHN CCC(F)(F)CN1CCC[C@@H]1CN1CCCC1 ZINC000639470460 356257501 /nfs/dbraw/zinc/25/75/01/356257501.db2.gz ONWMXLXWTWLYKA-GFCCVEGCSA-N 0 3 246.345 2.592 20 0 BFADHN CO[C@H]1CCCN(Cc2c(C)cc(C)nc2C)C1 ZINC000639473739 356266913 /nfs/dbraw/zinc/26/69/13/356266913.db2.gz MAQZRSDBCNNTCO-AWEZNQCLSA-N 0 3 248.370 2.618 20 0 BFADHN CC[C@@H](Cc1ccccc1)N(C)CC(C)=O ZINC000639498787 356324560 /nfs/dbraw/zinc/32/45/60/356324560.db2.gz ZENNYDZHURFUDY-AWEZNQCLSA-N 0 3 219.328 2.529 20 0 BFADHN CCN1CCN(CC2=CCCCC2)[C@@H](C)C1 ZINC000336255421 356324954 /nfs/dbraw/zinc/32/49/54/356324954.db2.gz OAEUYIJTFKVPMW-ZDUSSCGKSA-N 0 3 222.376 2.513 20 0 BFADHN Cc1cnc([C@H](C)N2CC(C)=C[C@@H](C)C2)cn1 ZINC000336125058 134092649 /nfs/dbraw/zinc/09/26/49/134092649.db2.gz WGWXRLPCGMXYBS-MFKMUULPSA-N 0 3 231.343 2.744 20 0 BFADHN C[C@@H]1CN(C[C@H]2CC(C)(C)CO2)C[C@H](C)S1 ZINC000639499948 356329053 /nfs/dbraw/zinc/32/90/53/356329053.db2.gz GSNPHQGEROTMQO-GRYCIOLGSA-N 0 3 243.416 2.627 20 0 BFADHN CC1(C)CCCN1Cc1ccc(F)cn1 ZINC000336311653 356334818 /nfs/dbraw/zinc/33/48/18/356334818.db2.gz ODWPXBUQYNTQHT-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN C[C@@H]1OCC[C@H]1CN1CC[C@H]1c1cccc(F)c1 ZINC000639511027 356345574 /nfs/dbraw/zinc/34/55/74/356345574.db2.gz LSSYVSOAMXVAOE-WHOFXGATSA-N 0 3 249.329 2.998 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C(C)(C)C)C2)cn1 ZINC000336133031 134097425 /nfs/dbraw/zinc/09/74/25/134097425.db2.gz ZJLRJIUOQGAFDZ-CYBMUJFWSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1cccc([C@H](C)N[C@@H](C)Cn2cccn2)c1 ZINC000037319883 356355432 /nfs/dbraw/zinc/35/54/32/356355432.db2.gz JFFHWUREAPPYCB-KBPBESRZSA-N 0 3 243.354 2.931 20 0 BFADHN CC1(C)CO[C@H](CN2CCC[C@H]2c2ccc[nH]2)C1 ZINC000639522202 356368928 /nfs/dbraw/zinc/36/89/28/356368928.db2.gz DEMCFJGSNCHDEM-JSGCOSHPSA-N 0 3 248.370 2.967 20 0 BFADHN COC[C@@H](C)CN[C@@H]1COc2c1ccc(C)c2C ZINC000526124038 356361271 /nfs/dbraw/zinc/36/12/71/356361271.db2.gz HLJSJGFDXGKQHB-IINYFYTJSA-N 0 3 249.354 2.609 20 0 BFADHN CC[C@H](Cc1ccccc1)N(CC)CC(C)=O ZINC000639516464 356361801 /nfs/dbraw/zinc/36/18/01/356361801.db2.gz HQYVIGXCLRUDFH-OAHLLOKOSA-N 0 3 233.355 2.919 20 0 BFADHN CC[C@H](C)CN1CCCC[C@H]1c1cc[nH]n1 ZINC000352187303 134099274 /nfs/dbraw/zinc/09/92/74/134099274.db2.gz QWHVSJMNXZXWNF-AAEUAGOBSA-N 0 3 221.348 2.983 20 0 BFADHN CCCC[C@@H](N)C(=O)NC[C@@H](CC)CCCC ZINC000040806499 358503123 /nfs/dbraw/zinc/50/31/23/358503123.db2.gz KAZRVSUUUHIYRL-QWHCGFSZSA-N 0 3 242.407 2.837 20 0 BFADHN CN(Cc1ccc2c(c1)CCC2)[C@H]1CCCOC1 ZINC000352186596 134099683 /nfs/dbraw/zinc/09/96/83/134099683.db2.gz NDNSTXNPHOZOOZ-INIZCTEOSA-N 0 3 245.366 2.786 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnc(C)s1 ZINC000336555195 356390623 /nfs/dbraw/zinc/39/06/23/356390623.db2.gz VWSRMAPLOUZSIP-SNVBAGLBSA-N 0 3 210.346 2.826 20 0 BFADHN CC(=O)CN[C@@H](c1ccccc1)C(C)(C)C ZINC000639523170 356369722 /nfs/dbraw/zinc/36/97/22/356369722.db2.gz WWKMTRXFHWTEJU-ZDUSSCGKSA-N 0 3 219.328 2.952 20 0 BFADHN C[C@H]1OCC[C@H]1CN1CC=C(C(F)(F)F)CC1 ZINC000639547882 356411610 /nfs/dbraw/zinc/41/16/10/356411610.db2.gz NHIGRZPJRWNUGN-ZJUUUORDSA-N 0 3 249.276 2.606 20 0 BFADHN C[C@@H]1CN(C/C=C/c2ccc(F)cc2)CC[C@@H]1O ZINC000190056773 356431622 /nfs/dbraw/zinc/43/16/22/356431622.db2.gz KVOHWERMEUJZLG-RDYIKARRSA-N 0 3 249.329 2.542 20 0 BFADHN Cc1cccc(CN[C@@H]2CO[C@@H](C3CC3)C2)c1F ZINC000623754580 356418705 /nfs/dbraw/zinc/41/87/05/356418705.db2.gz PZLWRZUQMXYQMA-UONOGXRCSA-N 0 3 249.329 2.791 20 0 BFADHN CCN1CCN(Cc2cc(C)cc(C)c2)[C@H](C)C1 ZINC000352695570 356403413 /nfs/dbraw/zinc/40/34/13/356403413.db2.gz HVSLAHLKSQAIJG-OAHLLOKOSA-N 0 3 246.398 2.829 20 0 BFADHN CCN(Cc1ccncc1)C[C@H]1CC(C)(C)CO1 ZINC000639554179 356456434 /nfs/dbraw/zinc/45/64/34/356456434.db2.gz JORMEUQRCFDUOL-CQSZACIVSA-N 0 3 248.370 2.719 20 0 BFADHN CCN(C[C@@H](C)O)[C@H](C)c1ccccc1F ZINC000352209507 134107603 /nfs/dbraw/zinc/10/76/03/134107603.db2.gz BVKJXKZCXXUZQP-GHMZBOCLSA-N 0 3 225.307 2.589 20 0 BFADHN C[C@@H](NCCCCF)c1cscn1 ZINC000379346058 356444079 /nfs/dbraw/zinc/44/40/79/356444079.db2.gz VMAZBGZIKOXCKF-MRVPVSSYSA-N 0 3 202.298 2.543 20 0 BFADHN Cc1cc(F)ccc1CN(C)[C@@H]1CCCOC1 ZINC000352222299 134109561 /nfs/dbraw/zinc/10/95/61/134109561.db2.gz QHHKBYIPYDJHQG-CQSZACIVSA-N 0 3 237.318 2.745 20 0 BFADHN c1nc2c(s1)CCC[C@H]2NC1CCC1 ZINC000623912564 356481177 /nfs/dbraw/zinc/48/11/77/356481177.db2.gz MUHGNLFAVMKKHF-SECBINFHSA-N 0 3 208.330 2.663 20 0 BFADHN Cc1ccc([C@H]2CCCCN2C[C@H]2C[C@@H](O)C2)o1 ZINC000420917937 192273053 /nfs/dbraw/zinc/27/30/53/192273053.db2.gz URDKZWLABOIZHP-HZSPNIEDSA-N 0 3 249.354 2.886 20 0 BFADHN CC(=O)CN[C@H](c1ccccc1C)C1CC1 ZINC000639570489 356495118 /nfs/dbraw/zinc/49/51/18/356495118.db2.gz PBTIGZCZTYYGNT-AWEZNQCLSA-N 0 3 217.312 2.625 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2nccs2)cn1 ZINC000179428604 366254403 /nfs/dbraw/zinc/25/44/03/366254403.db2.gz HFYKUQVTEKJKDV-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN C[C@@H]1CCCC[C@@H]1c1noc([C@@H]2CCN(C)C2)n1 ZINC000350059191 366250395 /nfs/dbraw/zinc/25/03/95/366250395.db2.gz XDBOTRVNZDOQHU-UTUOFQBUSA-N 0 3 249.358 2.782 20 0 BFADHN Cc1cnc([C@H](C)NC[C@@H]2CCO[C@H]2C)s1 ZINC000639584201 356506882 /nfs/dbraw/zinc/50/68/82/356506882.db2.gz KXEXBQNJFMYCDF-DCAQKATOSA-N 0 3 240.372 2.527 20 0 BFADHN c1cc(CN2CCCC3(CCCC3)C2)on1 ZINC000336181021 134119542 /nfs/dbraw/zinc/11/95/42/134119542.db2.gz YLROWYSNMJCELQ-UHFFFAOYSA-N 0 3 220.316 2.831 20 0 BFADHN CC[C@H](O)CN[C@@H](CC(F)F)c1ccccc1 ZINC000420999955 192295217 /nfs/dbraw/zinc/29/52/17/192295217.db2.gz VMBROXDPAVZZBY-RYUDHWBXSA-N 0 3 243.297 2.743 20 0 BFADHN C[C@@H](N[C@H]1CCOC(C)(C)C1)c1ccoc1 ZINC000179561135 366270992 /nfs/dbraw/zinc/27/09/92/366270992.db2.gz GQZANXNLEFSNCU-PWSUYJOCSA-N 0 3 223.316 2.888 20 0 BFADHN CCc1cc(N2CCCSCC2)ccn1 ZINC000336192736 134124125 /nfs/dbraw/zinc/12/41/25/134124125.db2.gz ZYURPUUJCXRQJM-UHFFFAOYSA-N 0 3 222.357 2.587 20 0 BFADHN CC[C@@H](O)CN[C@H](CC(F)F)c1ccccc1 ZINC000420999957 192296371 /nfs/dbraw/zinc/29/63/71/192296371.db2.gz VMBROXDPAVZZBY-VXGBXAGGSA-N 0 3 243.297 2.743 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1ccnc(C#N)c1 ZINC000384276814 356549034 /nfs/dbraw/zinc/54/90/34/356549034.db2.gz HEEHQWCMOOHEFP-RISCZKNCSA-N 0 3 229.327 2.574 20 0 BFADHN CC(C)n1cc(CN2C[C@@H]3CCC[C@@H]3C2)cn1 ZINC000179694691 366287216 /nfs/dbraw/zinc/28/72/16/366287216.db2.gz UOFUMXKIORZQKT-OKILXGFUSA-N 0 3 233.359 2.696 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2c(C)cc(C)nc2C)C1 ZINC000639638297 356551897 /nfs/dbraw/zinc/55/18/97/356551897.db2.gz OLIIXSDHIMVITF-OKILXGFUSA-N 0 3 248.370 2.616 20 0 BFADHN FC1(F)CCCC[C@H]1CN1CC[C@]12CCOC2 ZINC000421019043 192303874 /nfs/dbraw/zinc/30/38/74/192303874.db2.gz LUSQZHNKUYDNRQ-NWDGAFQWSA-N 0 3 245.313 2.677 20 0 BFADHN FC1(F)CCCC[C@H]1CN1CC[C@@]12CCOC2 ZINC000421019044 192304090 /nfs/dbraw/zinc/30/40/90/192304090.db2.gz LUSQZHNKUYDNRQ-RYUDHWBXSA-N 0 3 245.313 2.677 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@H](CC(C)(C)C)C1 ZINC000449775306 202031285 /nfs/dbraw/zinc/03/12/85/202031285.db2.gz MAGCOHLLISTNLH-GFCCVEGCSA-N 0 3 235.375 2.976 20 0 BFADHN Fc1cccc(CCCN2CC[C@@]23CCOC3)c1 ZINC000421027384 192309998 /nfs/dbraw/zinc/30/99/98/192309998.db2.gz URMZHRWTNHZHQX-HNNXBMFYSA-N 0 3 249.329 2.623 20 0 BFADHN Cc1cc(C)c(CN2C[C@@H](C)[C@H]2C)c(C)n1 ZINC000639655109 356560385 /nfs/dbraw/zinc/56/03/85/356560385.db2.gz JBQGALKCSTVBGM-ZWNOBZJWSA-N 0 3 218.344 2.847 20 0 BFADHN c1cc(CCCN2CC[C@@]23CCOC3)cs1 ZINC000421029730 192310149 /nfs/dbraw/zinc/31/01/49/192310149.db2.gz XWSCVMABODXRLG-ZDUSSCGKSA-N 0 3 237.368 2.546 20 0 BFADHN CC(=O)CN1[C@H](C)C[C@H](c2ccccc2)[C@H]1C ZINC000639659299 356566915 /nfs/dbraw/zinc/56/69/15/356566915.db2.gz JVTBDCPVPYKMQG-KYOSRNDESA-N 0 3 231.339 2.842 20 0 BFADHN C[C@@H]1C[C@H]1CC(=O)Nc1ccc(CN(C)C)cc1 ZINC000352291736 134139358 /nfs/dbraw/zinc/13/93/58/134139358.db2.gz CFQYRIWQCWTIRU-YPMHNXCESA-N 0 3 246.354 2.733 20 0 BFADHN C[C@H]1CCC(C)(C)N1Cc1ccns1 ZINC000639668911 356582959 /nfs/dbraw/zinc/58/29/59/356582959.db2.gz VHCVTCBKEYHIGF-VIFPVBQESA-N 0 3 210.346 2.906 20 0 BFADHN Fc1cc(F)cc(CNC[C@@H]2CCCS2)c1 ZINC000162837857 202079035 /nfs/dbraw/zinc/07/90/35/202079035.db2.gz ANQUYNSIZICZOB-LBPRGKRZSA-N 0 3 243.322 2.950 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@H](CC)N(C)C ZINC000450102461 202079733 /nfs/dbraw/zinc/07/97/33/202079733.db2.gz GWFVYFXPFQCGEJ-OLZOCXBDSA-N 0 3 242.407 2.802 20 0 BFADHN CC(=O)CN1CC[C@H](c2ccccc2)C1(C)C ZINC000639684433 356600473 /nfs/dbraw/zinc/60/04/73/356600473.db2.gz GQXSLRRRORQDLB-CQSZACIVSA-N 0 3 231.339 2.844 20 0 BFADHN CC(C)CCCC(=O)N(CCN(C)C)C(C)C ZINC000450196727 202092891 /nfs/dbraw/zinc/09/28/91/202092891.db2.gz NTHYYNNEBDPWGB-UHFFFAOYSA-N 0 3 242.407 2.611 20 0 BFADHN COC(=O)c1ccccc1CN1C[C@@H](C)C[C@H]1C ZINC000162909389 202096132 /nfs/dbraw/zinc/09/61/32/202096132.db2.gz JQVDZWWTVBHIOM-NWDGAFQWSA-N 0 3 247.338 2.704 20 0 BFADHN C[C@@H]1C[C@H](Nc2ncc(Cl)s2)CCN1C ZINC000382442425 538469566 /nfs/dbraw/zinc/46/95/66/538469566.db2.gz WHDXQCZGBDAFTB-HTQZYQBOSA-N 0 3 245.779 2.691 20 0 BFADHN CCc1cc(N(C)Cc2cccnc2)ccn1 ZINC000450218276 202099494 /nfs/dbraw/zinc/09/94/94/202099494.db2.gz VATYROAVUAQPAW-UHFFFAOYSA-N 0 3 227.311 2.675 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCCC2(F)F)C[C@H](C)O1 ZINC000450217969 202099632 /nfs/dbraw/zinc/09/96/32/202099632.db2.gz TVCMKIUDAXLSBE-TUAOUCFPSA-N 0 3 247.329 2.921 20 0 BFADHN CCN1[C@H](C)CN([C@@H](C)c2ccccn2)C[C@@H]1C ZINC000639743439 356635668 /nfs/dbraw/zinc/63/56/68/356635668.db2.gz MXSOHCQSFHDMRF-MJBXVCDLSA-N 0 3 247.386 2.557 20 0 BFADHN CCC[C@H](O)CN(C)Cc1ccc(CC)cc1 ZINC000450237883 202104933 /nfs/dbraw/zinc/10/49/33/202104933.db2.gz RDPPCRPTNPQKJP-HNNXBMFYSA-N 0 3 235.371 2.842 20 0 BFADHN Cc1n[nH]c(C)c1CN[C@H]1CC12CCCCC2 ZINC000387199726 363542828 /nfs/dbraw/zinc/54/28/28/363542828.db2.gz XDMRHYJUUHUILL-ZDUSSCGKSA-N 0 3 233.359 2.839 20 0 BFADHN CCc1cc(N(CC)C[C@H]2CCCO2)ccn1 ZINC000450273182 202117324 /nfs/dbraw/zinc/11/73/24/202117324.db2.gz FRAQISVGRZIYIK-CQSZACIVSA-N 0 3 234.343 2.649 20 0 BFADHN CCc1cc(N(C)CCc2ccncc2)ccn1 ZINC000450288327 202121547 /nfs/dbraw/zinc/12/15/47/202121547.db2.gz TURMICBSYAAKRJ-UHFFFAOYSA-N 0 3 241.338 2.718 20 0 BFADHN Cc1ccccc1N1CCN(CC(C)C)CC1 ZINC000053944115 363558223 /nfs/dbraw/zinc/55/82/23/363558223.db2.gz OGBMCDCIECWBDC-UHFFFAOYSA-N 0 3 232.371 2.773 20 0 BFADHN CCN(Cc1cc(C)c(O)c(C)c1)[C@H]1CCOC1 ZINC000336787237 356661580 /nfs/dbraw/zinc/66/15/80/356661580.db2.gz DNXCBCHJGUZAIZ-AWEZNQCLSA-N 0 3 249.354 2.620 20 0 BFADHN CC(C)CCN1CCC[C@@H]1C(=O)OC(C)(C)C ZINC000167637118 134153226 /nfs/dbraw/zinc/15/32/26/134153226.db2.gz WFEPXQVIGUUDFI-GFCCVEGCSA-N 0 3 241.375 2.839 20 0 BFADHN CN(CC(=O)NC(C)(C)C)C1CCCCCC1 ZINC000053906679 363557778 /nfs/dbraw/zinc/55/77/78/363557778.db2.gz QKGLGHQDTSCDKP-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN CC1CC(N[C@H]2CCCc3scnc32)C1 ZINC000623917854 356654789 /nfs/dbraw/zinc/65/47/89/356654789.db2.gz AALUMLNSUPMOJH-RTBKNWGFSA-N 0 3 222.357 2.909 20 0 BFADHN CCN(CC)C(=O)CN(C)C1CCCCCC1 ZINC000053906678 363558199 /nfs/dbraw/zinc/55/81/99/363558199.db2.gz BTGCSRUHEIYLQK-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@@H](C)N[C@@H]1CCCn2nc(C(C)C)nc21 ZINC000167996783 134155452 /nfs/dbraw/zinc/15/54/52/134155452.db2.gz PLKIOZYHDCYSSU-GHMZBOCLSA-N 0 3 236.363 2.625 20 0 BFADHN CC(C)[C@@H](C)NCc1noc2ccccc12 ZINC000578142111 366321890 /nfs/dbraw/zinc/32/18/90/366321890.db2.gz AVLKPNRHFIYLCW-SNVBAGLBSA-N 0 3 218.300 2.962 20 0 BFADHN CC[C@H](C)N[C@H]1CCCn2nc(C(C)C)nc21 ZINC000167997093 134155232 /nfs/dbraw/zinc/15/52/32/134155232.db2.gz PLKIOZYHDCYSSU-QWRGUYRKSA-N 0 3 236.363 2.625 20 0 BFADHN CCc1cc(N2CC[C@H](CC)C2)ccn1 ZINC000450374103 202145702 /nfs/dbraw/zinc/14/57/02/202145702.db2.gz BJQKUFUQBYDXCP-NSHDSACASA-N 0 3 204.317 2.880 20 0 BFADHN CCc1ccc2nccc(N[C@H](C)COC)c2c1 ZINC000450422235 202160358 /nfs/dbraw/zinc/16/03/58/202160358.db2.gz UVSHUSCQRIPVCZ-LLVKDONJSA-N 0 3 244.338 2.666 20 0 BFADHN CC(C)C[C@@H]1COCCN1CC1CC(F)(F)C1 ZINC000450399222 202154446 /nfs/dbraw/zinc/15/44/46/202154446.db2.gz CFJPCRIOSIZZOL-GFCCVEGCSA-N 0 3 247.329 2.779 20 0 BFADHN CCC[C@@H]1CN(c2ccnc(CC)c2)CCO1 ZINC000450404322 202155290 /nfs/dbraw/zinc/15/52/90/202155290.db2.gz DXNFGRQJUZFJJQ-CQSZACIVSA-N 0 3 234.343 2.649 20 0 BFADHN CC[C@H]1COCCN1C[C@@H]1CCCCC1(F)F ZINC000450407442 202156406 /nfs/dbraw/zinc/15/64/06/202156406.db2.gz HUVFAZBHNOTXLM-RYUDHWBXSA-N 0 3 247.329 2.923 20 0 BFADHN CCc1cc(N2CC=C(C)CC2)ccn1 ZINC000450402191 202156452 /nfs/dbraw/zinc/15/64/52/202156452.db2.gz IQPXTPFZFALTBS-UHFFFAOYSA-N 0 3 202.301 2.800 20 0 BFADHN CCc1cc(N(C)[C@H](C)c2cnn(C)c2)ccn1 ZINC000450424417 202162483 /nfs/dbraw/zinc/16/24/83/202162483.db2.gz KOMBGJATODQZKL-LLVKDONJSA-N 0 3 244.342 2.575 20 0 BFADHN CCc1cc(N(C)C[C@H]2CCCC[C@H]2O)ccn1 ZINC000450430246 202164239 /nfs/dbraw/zinc/16/42/39/202164239.db2.gz QGBZUXDBPJWBGH-IUODEOHRSA-N 0 3 248.370 2.631 20 0 BFADHN c1n[nH]cc1[C@@H]1CCCN1[C@H]1C=CCCC1 ZINC000639781619 356730894 /nfs/dbraw/zinc/73/08/94/356730894.db2.gz PEYYAWINWNWQDL-STQMWFEESA-N 0 3 217.316 2.655 20 0 BFADHN CCOC(=O)/C=C/CN1CCC(C)(CC)CC1 ZINC000131134902 538593244 /nfs/dbraw/zinc/59/32/44/538593244.db2.gz QRVYCOHJTFWYDV-VOTSOKGWSA-N 0 3 239.359 2.618 20 0 BFADHN CCc1cc(N2CCC[C@@](CC)(CO)C2)ccn1 ZINC000450453438 202169754 /nfs/dbraw/zinc/16/97/54/202169754.db2.gz PHSWBIOCGBANOI-OAHLLOKOSA-N 0 3 248.370 2.633 20 0 BFADHN C[C@@H]1OCC[C@@H]1CN1CC[C@H]1c1ccc(F)cc1 ZINC000639799576 356760866 /nfs/dbraw/zinc/76/08/66/356760866.db2.gz FBLQLUWJSIRNHH-LNSITVRQSA-N 0 3 249.329 2.998 20 0 BFADHN C[C@@H]1OCC[C@@H]1CN1CC[C@@H]1c1ccc(F)cc1 ZINC000639799577 356761021 /nfs/dbraw/zinc/76/10/21/356761021.db2.gz FBLQLUWJSIRNHH-NJZAAPMLSA-N 0 3 249.329 2.998 20 0 BFADHN CCN(Cc1cnc2ccccn12)CC1CCC1 ZINC000355612042 356766641 /nfs/dbraw/zinc/76/66/41/356766641.db2.gz WNKQPUYYAJEWHD-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1cccc(F)c1F ZINC000390496703 356772941 /nfs/dbraw/zinc/77/29/41/356772941.db2.gz SFCYBUVQYPZMKO-WDEREUQCSA-N 0 3 243.322 2.948 20 0 BFADHN CC[C@@H]1CCCN(Cc2n[nH]cc2C)CC1 ZINC000356115527 356789854 /nfs/dbraw/zinc/78/98/54/356789854.db2.gz SSOORZRHNSDBFD-GFCCVEGCSA-N 0 3 221.348 2.730 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1C[C@H]1CCCCC1(F)F ZINC000450495025 202184221 /nfs/dbraw/zinc/18/42/21/202184221.db2.gz QYXWHZNQTQMMFI-GRYCIOLGSA-N 0 3 247.329 2.921 20 0 BFADHN CCc1ccc2nccc(NC[C@H](C)OC)c2c1 ZINC000450471345 202177378 /nfs/dbraw/zinc/17/73/78/202177378.db2.gz UXNLEMLSZQBGMM-NSHDSACASA-N 0 3 244.338 2.666 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1CCO[C@@H](C)C1 ZINC000356875545 356808541 /nfs/dbraw/zinc/80/85/41/356808541.db2.gz QZYVUFOGHQDGGP-SGMGOOAPSA-N 0 3 248.370 2.862 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@H]1CCCOC1 ZINC000356880336 356808594 /nfs/dbraw/zinc/80/85/94/356808594.db2.gz UBGFLFNOMQBQHL-QWHCGFSZSA-N 0 3 248.370 2.721 20 0 BFADHN Cc1csc([C@H](C)NCCC[C@@H](C)CO)n1 ZINC000623925398 356810238 /nfs/dbraw/zinc/81/02/38/356810238.db2.gz DJURJEYEFWAMNJ-KOLCDFICSA-N 0 3 242.388 2.511 20 0 BFADHN CCc1cc(N2CC[C@]3(C2)CCCOC3)ccn1 ZINC000450518124 202189745 /nfs/dbraw/zinc/18/97/45/202189745.db2.gz OXKXGLCKRMBNCS-HNNXBMFYSA-N 0 3 246.354 2.651 20 0 BFADHN CCc1cnccc1[C@@H](C)NCC1=CCCOC1 ZINC000358504844 356870497 /nfs/dbraw/zinc/87/04/97/356870497.db2.gz IVMDZSKVWLRPLT-GFCCVEGCSA-N 0 3 246.354 2.641 20 0 BFADHN CC[C@@H](NCc1ccc(Cl)o1)[C@H]1CCOC1 ZINC000390516573 356884828 /nfs/dbraw/zinc/88/48/28/356884828.db2.gz DCSKOGCKZIIFTO-GXSJLCMTSA-N 0 3 243.734 2.838 20 0 BFADHN CCc1cnccc1[C@@H](C)N[C@H](CC)COC ZINC000359308030 356887910 /nfs/dbraw/zinc/88/79/10/356887910.db2.gz WAMIHCCIZNYXGF-DGCLKSJQSA-N 0 3 236.359 2.720 20 0 BFADHN CC(C)Oc1ccc2nccc(NCCF)c2c1 ZINC000450499732 202187370 /nfs/dbraw/zinc/18/73/70/202187370.db2.gz LMJMOXZMDGAFTL-UHFFFAOYSA-N 0 3 248.301 2.825 20 0 BFADHN CC[C@@H](N[C@@H]1CCOC1)c1cccc(OC)c1 ZINC000358261554 356865516 /nfs/dbraw/zinc/86/55/16/356865516.db2.gz YKACTVIEYNWTRS-TZMCWYRMSA-N 0 3 235.327 2.525 20 0 BFADHN Cc1ccsc1[C@@H](CO)NCc1ccccc1 ZINC000041674790 358512761 /nfs/dbraw/zinc/51/27/61/358512761.db2.gz FZRIRKTVYXVHGJ-CYBMUJFWSA-N 0 3 247.363 2.880 20 0 BFADHN CC(C)CN1CCOC[C@H]1c1ccccc1 ZINC000360489562 356907217 /nfs/dbraw/zinc/90/72/17/356907217.db2.gz PQZFFHBRQFDQEZ-AWEZNQCLSA-N 0 3 219.328 2.716 20 0 BFADHN CC(C)CC[C@H](O)CN(C)CCC(F)(F)F ZINC000360705917 356910986 /nfs/dbraw/zinc/91/09/86/356910986.db2.gz YKGJNUNRBBTLFY-JTQLQIEISA-N 0 3 241.297 2.668 20 0 BFADHN CCOc1ccc(CN2CCC(C)(C)C2)nc1 ZINC000088536754 491104833 /nfs/dbraw/zinc/10/48/33/491104833.db2.gz RJQOYDXGPOMETF-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCc1cnccc1[C@@H](C)NCCOCC1CC1 ZINC000361118302 356917429 /nfs/dbraw/zinc/91/74/29/356917429.db2.gz AYHFWBBPAFMYNH-GFCCVEGCSA-N 0 3 248.370 2.721 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1NCc1nccn1C(F)F ZINC000353504713 538747571 /nfs/dbraw/zinc/74/75/71/538747571.db2.gz SIDZTDFLAXNFAZ-VHSXEESVSA-N 0 3 243.301 2.802 20 0 BFADHN CC(C)CC[C@H](O)CN1CCC(C(F)F)CC1 ZINC000361288211 356924168 /nfs/dbraw/zinc/92/41/68/356924168.db2.gz NCTGEJJXDHEEIN-LBPRGKRZSA-N 0 3 249.345 2.761 20 0 BFADHN Cc1ccc(CC[C@@H](C)N[C@H](C)c2ncc[nH]2)o1 ZINC000353529138 538749927 /nfs/dbraw/zinc/74/99/27/538749927.db2.gz ILYXTLRANBAAMW-ZYHUDNBSSA-N 0 3 247.342 2.983 20 0 BFADHN CCC[C@H](CNCc1ccc(O)cc1)OCC ZINC000628381875 356937050 /nfs/dbraw/zinc/93/70/50/356937050.db2.gz WUDMDKVBMAUKJG-CQSZACIVSA-N 0 3 237.343 2.687 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N1C[C@H](O)C2(CC2)C1 ZINC000368792104 356978581 /nfs/dbraw/zinc/97/85/81/356978581.db2.gz USUSNTRVTGYLNM-KBPBESRZSA-N 0 3 249.329 2.734 20 0 BFADHN C(N1CCOCC12CCC2)C12CCC(CC1)C2 ZINC000450604238 202209937 /nfs/dbraw/zinc/20/99/37/202209937.db2.gz VXMDRSMIURVARN-UHFFFAOYSA-N 0 3 235.371 2.822 20 0 BFADHN c1cnc([C@@H]2CCCN2CCC2CCC2)nc1 ZINC000639872831 357045846 /nfs/dbraw/zinc/04/58/46/357045846.db2.gz RPLVPJLKNWXOAS-ZDUSSCGKSA-N 0 3 231.343 2.804 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@H](c1nccn1C)C1CC1 ZINC000353862228 538768903 /nfs/dbraw/zinc/76/89/03/538768903.db2.gz FWBIQUZRUIVNIY-IHRRRGAJSA-N 0 3 247.386 2.895 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@@H](c1nccn1C)C1CC1 ZINC000353862229 538769411 /nfs/dbraw/zinc/76/94/11/538769411.db2.gz FWBIQUZRUIVNIY-MELADBBJSA-N 0 3 247.386 2.895 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccnn2CC)C1 ZINC000119312051 357017415 /nfs/dbraw/zinc/01/74/15/357017415.db2.gz ODKOASMSRMAPON-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN Cc1ccc(CN[C@H]2C=CCCC2)cn1 ZINC000156217292 538772606 /nfs/dbraw/zinc/77/26/06/538772606.db2.gz FOKROVCSSIPFOJ-ZDUSSCGKSA-N 0 3 202.301 2.588 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1ccsc1 ZINC000389922331 357068154 /nfs/dbraw/zinc/06/81/54/357068154.db2.gz SHPCZOSFGOVUBD-VXGBXAGGSA-N 0 3 225.357 2.795 20 0 BFADHN O=c1cc(CN2CCC[C@@H]3CCCC[C@@H]32)cc[nH]1 ZINC000639876428 357072474 /nfs/dbraw/zinc/07/24/74/357072474.db2.gz AZBGFXZUIBQEFO-KBPBESRZSA-N 0 3 246.354 2.942 20 0 BFADHN COCC1(NCc2ccc(SC)s2)CC1 ZINC000389955179 357073206 /nfs/dbraw/zinc/07/32/06/357073206.db2.gz UBZFEWBLNRMOHB-UHFFFAOYSA-N 0 3 243.397 2.739 20 0 BFADHN COc1ccc(CN(C)C)cc1NC[C@H]1C[C@H]1C ZINC000582336480 357075228 /nfs/dbraw/zinc/07/52/28/357075228.db2.gz SXSJXFKSLPGRRT-DGCLKSJQSA-N 0 3 248.370 2.825 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H]2C(C)C)no1 ZINC000390137210 357083195 /nfs/dbraw/zinc/08/31/95/357083195.db2.gz MPDIPKMNAHJLJL-QWHCGFSZSA-N 0 3 222.332 2.897 20 0 BFADHN O[C@H](CN[C@@H]1CCCC[C@H]1F)CC1CCCC1 ZINC000582355829 357098700 /nfs/dbraw/zinc/09/87/00/357098700.db2.gz DKZUFYDCZGBMPB-BFHYXJOUSA-N 0 3 243.366 2.798 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1C[C@@H](C)[C@H](C)C1 ZINC000543856243 325117406 /nfs/dbraw/zinc/11/74/06/325117406.db2.gz BEDBBTZWOBGYNV-UPJWGTAASA-N 0 3 241.375 2.552 20 0 BFADHN CCc1cnc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)s1 ZINC000304545763 357167962 /nfs/dbraw/zinc/16/79/62/357167962.db2.gz JKZZPNPYAGGFAR-ADEWGFFLSA-N 0 3 236.384 2.984 20 0 BFADHN CC[C@H](C[C@@H](C)CO)N[C@@H](C)c1ccoc1 ZINC000390800156 357116393 /nfs/dbraw/zinc/11/63/93/357116393.db2.gz XOZQCPDRIITQAW-NTZNESFSSA-N 0 3 225.332 2.727 20 0 BFADHN Fc1cccc(F)c1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000390785871 357116736 /nfs/dbraw/zinc/11/67/36/357116736.db2.gz PBDXXHPOAAWTDC-WOFXILAISA-N 0 3 223.266 2.853 20 0 BFADHN C=Cc1ccc(CCNCC2(F)CC2)cc1 ZINC000390814790 357117266 /nfs/dbraw/zinc/11/72/66/357117266.db2.gz HUZLUSOMIINUDB-UHFFFAOYSA-N 0 3 219.303 2.964 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2C[C@@H]3C[C@@H]3C2)o1 ZINC000628407791 357205205 /nfs/dbraw/zinc/20/52/05/357205205.db2.gz MWNWPRSBZBRZLT-DCQANWLSSA-N 0 3 217.312 2.855 20 0 BFADHN CC1(C)CO[C@@H](CN2CCCC(F)(F)CC2)C1 ZINC000639889977 357206850 /nfs/dbraw/zinc/20/68/50/357206850.db2.gz MTXRTCAJJYKGOB-LLVKDONJSA-N 0 3 247.329 2.923 20 0 BFADHN c1nc(-c2ccccc2)[nH]c1CN1C[C@@H]2C[C@@H]2C1 ZINC000628409702 357207328 /nfs/dbraw/zinc/20/73/28/357207328.db2.gz XPOCUAYPFWJESN-BETUJISGSA-N 0 3 239.322 2.528 20 0 BFADHN CCCOc1cccc(CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000628408783 357208577 /nfs/dbraw/zinc/20/85/77/357208577.db2.gz HEAHTIIUSICSBW-OKILXGFUSA-N 0 3 231.339 2.927 20 0 BFADHN Cc1ccc(CN2C[C@@H]3C[C@@H]3C2)cc1F ZINC000628408878 357209177 /nfs/dbraw/zinc/20/91/77/357209177.db2.gz IGDROUPZRYNFSD-TXEJJXNPSA-N 0 3 205.276 2.586 20 0 BFADHN Fc1ccc(/C=C\CN2C[C@@H]3C[C@@H]3C2)cc1 ZINC000628409443 357210812 /nfs/dbraw/zinc/21/08/12/357210812.db2.gz SATICVRLQAXPJM-JPIVSMNTSA-N 0 3 217.287 2.791 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)[nH]c1C ZINC000583704419 357284250 /nfs/dbraw/zinc/28/42/50/357284250.db2.gz GKGGICYHMCXBKM-GBIKHYSHSA-N 0 3 235.375 2.941 20 0 BFADHN C[C@@H](Cc1ccsc1)N[C@@H](C)c1ncc[nH]1 ZINC000393801592 357300020 /nfs/dbraw/zinc/30/00/20/357300020.db2.gz SRZUXMURLJTZDV-UWVGGRQHSA-N 0 3 235.356 2.753 20 0 BFADHN Cc1occc1CNC[C@@H]1CCCS1 ZINC000321242664 259471476 /nfs/dbraw/zinc/47/14/76/259471476.db2.gz NBHZSSYROKJPGT-NSHDSACASA-N 0 3 211.330 2.573 20 0 BFADHN CC1(C)CN(Cc2cccs2)CCCO1 ZINC000378347247 357252350 /nfs/dbraw/zinc/25/23/50/357252350.db2.gz UPOQKDUIZNFHBW-UHFFFAOYSA-N 0 3 225.357 2.749 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CN2CC3(C2)CCCO3)C1 ZINC000628427745 357259153 /nfs/dbraw/zinc/25/91/53/357259153.db2.gz XCQQTSICMNPFCP-TZMCWYRMSA-N 0 3 235.371 2.844 20 0 BFADHN C[C@H]1CC(F)(F)CCN1C[C@H]1CC(C)(C)CO1 ZINC000639895205 357260095 /nfs/dbraw/zinc/26/00/95/357260095.db2.gz XOWIYLRFARDALA-WDEREUQCSA-N 0 3 247.329 2.921 20 0 BFADHN CCOc1ccccc1[C@H](C)NCC1(CO)CC1 ZINC000157389992 538824491 /nfs/dbraw/zinc/82/44/91/538824491.db2.gz IWLGHOBZQHFXNG-LBPRGKRZSA-N 0 3 249.354 2.508 20 0 BFADHN CC1(C)C[C@@H](N[C@H]2CCC[C@H]2F)C(C)(C)O1 ZINC000393949903 357321181 /nfs/dbraw/zinc/32/11/81/357321181.db2.gz WMLCHIGYNFQKGS-OUAUKWLOSA-N 0 3 229.339 2.813 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H]2CCC[C@H]2F)C1(C)C ZINC000393966136 357322243 /nfs/dbraw/zinc/32/22/43/357322243.db2.gz LPSKYBOIKBNJHA-RHYQMDGZSA-N 0 3 229.339 2.670 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1nnc2ccccn21 ZINC000582707914 357375958 /nfs/dbraw/zinc/37/59/58/357375958.db2.gz HYHGZWQBVQJWOW-LLVKDONJSA-N 0 3 246.358 2.644 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1cn2ccccc2n1 ZINC000582556710 357343658 /nfs/dbraw/zinc/34/36/58/357343658.db2.gz NSHUHGXKXPYCBO-CMPLNLGQSA-N 0 3 227.311 2.537 20 0 BFADHN COC(=O)c1ccccc1CN(C)C(C)(C)C ZINC000394332884 357346594 /nfs/dbraw/zinc/34/65/94/357346594.db2.gz UCQWKUJLNWSWCM-UHFFFAOYSA-N 0 3 235.327 2.704 20 0 BFADHN CCOC[C@H](NCc1ccoc1C)C(C)C ZINC000321298626 259471763 /nfs/dbraw/zinc/47/17/63/259471763.db2.gz VERDAOPDBBHRFE-ZDUSSCGKSA-N 0 3 225.332 2.739 20 0 BFADHN C[C@@H](NCCCc1cccs1)c1ccnn1C ZINC000582645649 357362151 /nfs/dbraw/zinc/36/21/51/357362151.db2.gz HCGXRLBKGXBYCZ-LLVKDONJSA-N 0 3 249.383 2.765 20 0 BFADHN Cc1ccc2oc(CN[C@H](C)[C@H](C)O)cc2c1 ZINC000582689216 357368153 /nfs/dbraw/zinc/36/81/53/357368153.db2.gz HGUHDBAMZDHKPD-MNOVXSKESA-N 0 3 233.311 2.600 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1NCC[C@@H]1CCOC1 ZINC000582813672 357402487 /nfs/dbraw/zinc/40/24/87/357402487.db2.gz QTWUPWLQGHXKGE-YWPYICTPSA-N 0 3 247.338 2.525 20 0 BFADHN CC1(C)CCN(Cc2cncs2)CC1 ZINC000225251531 357403158 /nfs/dbraw/zinc/40/31/58/357403158.db2.gz WVAOXNNEZMFMAF-UHFFFAOYSA-N 0 3 210.346 2.765 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCN(CC3CC3)C2)o1 ZINC000157810278 538839836 /nfs/dbraw/zinc/83/98/36/538839836.db2.gz JDCOXHMZPJZBOK-OCCSQVGLSA-N 0 3 248.370 2.723 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1cc(F)ccc1F ZINC000220681546 491110965 /nfs/dbraw/zinc/11/09/65/491110965.db2.gz WGXRJBQHSBQONI-UFBFGSQYSA-N 0 3 243.322 2.948 20 0 BFADHN COc1ccc(CN2[C@@H](C)C[C@@H]2C)c(C)c1OC ZINC000582871670 357416866 /nfs/dbraw/zinc/41/68/66/357416866.db2.gz IHCHSSXZKCACDX-QWRGUYRKSA-N 0 3 249.354 2.995 20 0 BFADHN Cc1ccc(CNC2CC(C)(C)C2)nc1 ZINC000395291686 357423017 /nfs/dbraw/zinc/42/30/17/357423017.db2.gz KSHSDLYVELHLHP-UHFFFAOYSA-N 0 3 204.317 2.668 20 0 BFADHN CC1(C)CC(NCc2cnc(C3CC3)nc2)C1 ZINC000583095482 357479439 /nfs/dbraw/zinc/47/94/39/357479439.db2.gz KXCRKIPGZBUSBI-UHFFFAOYSA-N 0 3 231.343 2.632 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2ccc(N(C)C)nc2)C1 ZINC000158036446 538847464 /nfs/dbraw/zinc/84/74/64/538847464.db2.gz ANJYHSOADMYSLL-STQMWFEESA-N 0 3 247.386 2.626 20 0 BFADHN CC[C@@H](NCCC(C)(C)OC)c1nccs1 ZINC000230417279 357523147 /nfs/dbraw/zinc/52/31/47/357523147.db2.gz BUEXNUCQLSWJAY-SNVBAGLBSA-N 0 3 242.388 2.999 20 0 BFADHN COc1cc(C)nc(CNC[C@H]2CC[C@H](C)C2)c1 ZINC000230419564 357524084 /nfs/dbraw/zinc/52/40/84/357524084.db2.gz OUJFBIGDLXVXPL-AAEUAGOBSA-N 0 3 248.370 2.924 20 0 BFADHN Cc1noc(C)c1CNC[C@H]1CCC[C@@H]1C ZINC000230663819 357546281 /nfs/dbraw/zinc/54/62/81/357546281.db2.gz UCGMYICBWFQFGB-JOYOIKCWSA-N 0 3 222.332 2.817 20 0 BFADHN COc1ccccc1[C@@H](C)NC1CC(OC)C1 ZINC000230673759 357548393 /nfs/dbraw/zinc/54/83/93/357548393.db2.gz URCYBCKASPNNIX-VOMCLLRMSA-N 0 3 235.327 2.523 20 0 BFADHN CCCCN(CC)[C@@H](C)C(=O)NC1CCCC1 ZINC000131819593 325186441 /nfs/dbraw/zinc/18/64/41/325186441.db2.gz PNQFBZULYOIBRK-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CCC[C@@H](NCc1cc[nH]n1)[C@H]1CC1(C)C ZINC000397590372 357624162 /nfs/dbraw/zinc/62/41/62/357624162.db2.gz KBULDJDUPKBNAK-VXGBXAGGSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1CCOCC(F)F ZINC000189250982 357624837 /nfs/dbraw/zinc/62/48/37/357624837.db2.gz HZNZKSNLHNLKNF-NXEZZACHSA-N 0 3 221.291 2.531 20 0 BFADHN Fc1cncc(CNCCc2cccs2)c1 ZINC000230938138 357579792 /nfs/dbraw/zinc/57/97/92/357579792.db2.gz IRPVBRSYSGFKAF-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN Fc1cncc(CNCCc2ccccc2F)c1 ZINC000230938227 357580279 /nfs/dbraw/zinc/58/02/79/357580279.db2.gz LFVNMNQGHHLBHY-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN C[C@]1(CNCc2cncc(F)c2)CCCS1 ZINC000230941663 357583965 /nfs/dbraw/zinc/58/39/65/357583965.db2.gz JEIUMHZFRCMOTH-GFCCVEGCSA-N 0 3 240.347 2.596 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1nc(C)sc1C ZINC000158874354 538869759 /nfs/dbraw/zinc/86/97/59/538869759.db2.gz HFZWINVTQPKNPI-KWQFWETISA-N 0 3 242.388 2.572 20 0 BFADHN C[C@@H](N[C@@H](CO)Cc1ccccc1)c1ccco1 ZINC000063218278 357595065 /nfs/dbraw/zinc/59/50/65/357595065.db2.gz XSDAYEXHMDQKTO-TZMCWYRMSA-N 0 3 245.322 2.534 20 0 BFADHN Cc1csc([C@@H](C)NC2CC(C)C2)n1 ZINC000231788017 357629502 /nfs/dbraw/zinc/62/95/02/357629502.db2.gz FYXYWUAYTNGVKT-HDDMYIMNSA-N 0 3 210.346 2.901 20 0 BFADHN CC1CC(Nc2cccc(CN(C)C)c2)C1 ZINC000231789679 357629538 /nfs/dbraw/zinc/62/95/38/357629538.db2.gz OMJPMQCKPJMANK-UHFFFAOYSA-N 0 3 218.344 2.959 20 0 BFADHN CCn1cc([C@@H](C)N[C@H](C)CC(F)(F)F)cn1 ZINC000231791681 357630277 /nfs/dbraw/zinc/63/02/77/357630277.db2.gz BXSODNBEUNTWKH-RKDXNWHRSA-N 0 3 249.280 2.895 20 0 BFADHN Clc1cnccc1CN[C@@H]1C[C@H]2C[C@H]2C1 ZINC000397641311 357617620 /nfs/dbraw/zinc/61/76/20/357617620.db2.gz AVAXOUDSKPZINM-JGPRNRPPSA-N 0 3 222.719 2.623 20 0 BFADHN CCn1cc([C@@H](C)N[C@@H](C)CC(F)(F)F)cn1 ZINC000231791676 357630381 /nfs/dbraw/zinc/63/03/81/357630381.db2.gz BXSODNBEUNTWKH-DTWKUNHWSA-N 0 3 249.280 2.895 20 0 BFADHN CCSCCN1CC(C)(C)OC(C)(C)C1 ZINC000189653022 357656104 /nfs/dbraw/zinc/65/61/04/357656104.db2.gz OSJMIGQBCVVCKV-UHFFFAOYSA-N 0 3 231.405 2.629 20 0 BFADHN Fc1ccc(CN[C@@H]2C[C@H]3C[C@H]3C2)c(F)c1 ZINC000397711232 357660615 /nfs/dbraw/zinc/66/06/15/357660615.db2.gz PJBBLOZBNZVYNT-PEGIJTEDSA-N 0 3 223.266 2.853 20 0 BFADHN Cc1c([C@@H](C)NC2CC(C(C)C)C2)cnn1C ZINC000232539650 357670117 /nfs/dbraw/zinc/67/01/17/357670117.db2.gz DYPSCCXXYCTALY-QFWMXSHPSA-N 0 3 235.375 2.814 20 0 BFADHN Cc1cccc([C@@H]2CCCN2CCC[C@@H](C)O)n1 ZINC000583238037 357672108 /nfs/dbraw/zinc/67/21/08/357672108.db2.gz HJPLDOXBQXVGBW-HIFRSBDPSA-N 0 3 248.370 2.688 20 0 BFADHN Cc1cccc2c1OCC[C@H]2N[C@H]1CCO[C@@H]1C ZINC000189372819 357634963 /nfs/dbraw/zinc/63/49/63/357634963.db2.gz NJINAVXXTJKIMX-KWCYVHTRSA-N 0 3 247.338 2.586 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1ccc2c(n1)CCC2 ZINC000583248841 357710891 /nfs/dbraw/zinc/71/08/91/357710891.db2.gz CBCNYNWSTGKPDH-RYUDHWBXSA-N 0 3 230.355 2.943 20 0 BFADHN CCCCN(CCCO)Cc1ccccc1F ZINC000063755213 357715476 /nfs/dbraw/zinc/71/54/76/357715476.db2.gz GZVSGWHHIWBOPQ-UHFFFAOYSA-N 0 3 239.334 2.810 20 0 BFADHN CC(C)[C@H](CO)N[C@H](C)c1cccc(F)c1F ZINC000232765338 357680686 /nfs/dbraw/zinc/68/06/86/357680686.db2.gz VPORAANYXHWPDC-SKDRFNHKSA-N 0 3 243.297 2.632 20 0 BFADHN CC(C)NCc1c(F)cccc1-n1cccn1 ZINC000232669132 357681983 /nfs/dbraw/zinc/68/19/83/357681983.db2.gz JYTIQIMMPIOSDM-UHFFFAOYSA-N 0 3 233.290 2.509 20 0 BFADHN CC(C)n1cncc1CN[C@H](C)CC(F)(F)F ZINC000232771983 357684152 /nfs/dbraw/zinc/68/41/52/357684152.db2.gz AWUPBJAFYGEVDX-SECBINFHSA-N 0 3 249.280 2.895 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](CO)C1)c1ccc(Cl)s1 ZINC000631667569 357699310 /nfs/dbraw/zinc/69/93/10/357699310.db2.gz DJFONRCJZGZBBE-XHNCKOQMSA-N 0 3 245.775 2.823 20 0 BFADHN CC(C)[C@H](NCc1nn(C)cc1Cl)C1CC1 ZINC000583245544 357700364 /nfs/dbraw/zinc/70/03/64/357700364.db2.gz MUIXAMXLMGYPBL-LBPRGKRZSA-N 0 3 241.766 2.598 20 0 BFADHN CCC(=O)CCN1Cc2ccccc2C2(CC2)C1 ZINC000190342708 357701717 /nfs/dbraw/zinc/70/17/17/357701717.db2.gz IAAAOJVXNHPQJZ-UHFFFAOYSA-N 0 3 243.350 2.903 20 0 BFADHN C[C@@H]1C[C@H]2CCCC[C@@H]2N1Cc1ncccn1 ZINC000192042881 357815219 /nfs/dbraw/zinc/81/52/19/357815219.db2.gz ZERSCFZLBHMYNM-UPJWGTAASA-N 0 3 231.343 2.630 20 0 BFADHN CC(C)CN(Cc1ncccn1)CC(C)(C)C ZINC000192073447 357816713 /nfs/dbraw/zinc/81/67/13/357816713.db2.gz YZMKMUARIQQALD-UHFFFAOYSA-N 0 3 235.375 2.981 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1ncccn1 ZINC000192077539 357816854 /nfs/dbraw/zinc/81/68/54/357816854.db2.gz YNKIHFRAIGZCIG-OLZOCXBDSA-N 0 3 233.359 2.877 20 0 BFADHN CC1=C[C@H](C)C[C@H](CNCc2ccn(C)n2)C1 ZINC000235384040 357859865 /nfs/dbraw/zinc/85/98/65/357859865.db2.gz JZFZAHXRJXFLCY-AAEUAGOBSA-N 0 3 233.359 2.502 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2cccc(O)c2)C1(C)C ZINC000234860226 357838503 /nfs/dbraw/zinc/83/85/03/357838503.db2.gz AZOXGDDPDUPRHJ-ZLKJLUDKSA-N 0 3 249.354 2.856 20 0 BFADHN C[C@@H](O)CN1CCC[C@@H]1c1cccc(Cl)c1 ZINC000192698802 357848017 /nfs/dbraw/zinc/84/80/17/357848017.db2.gz XDTYOMXEAUKYIZ-ZWNOBZJWSA-N 0 3 239.746 2.858 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@H]1CCC[C@@H]1C ZINC000235581711 357881092 /nfs/dbraw/zinc/88/10/92/357881092.db2.gz UFMUELVAKMXMIN-WCFLWFBJSA-N 0 3 233.359 2.872 20 0 BFADHN c1cnc(CN[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)cn1 ZINC000583307913 357890644 /nfs/dbraw/zinc/89/06/44/357890644.db2.gz HCPVRHBFUNCYDQ-BFHYXJOUSA-N 0 3 245.370 2.925 20 0 BFADHN CC[C@@](C)(O)CN1CC(C)(C)[C@H]1c1ccco1 ZINC000639930542 357910662 /nfs/dbraw/zinc/91/06/62/357910662.db2.gz XWSYKFABVYZFEE-TZMCWYRMSA-N 0 3 237.343 2.824 20 0 BFADHN C[C@@H]1C[C@H](O)CCN1Cc1ccc2c(c1)CCC2 ZINC000639939627 357952144 /nfs/dbraw/zinc/95/21/44/357952144.db2.gz INNRFHNAOCKDCG-MLGOLLRUSA-N 0 3 245.366 2.521 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@H]2C(F)(F)F)c1 ZINC000631264137 357994330 /nfs/dbraw/zinc/99/43/30/357994330.db2.gz ZKNKIZOLSYFSIL-GHMZBOCLSA-N 0 3 245.244 2.736 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](CO)C1)c1cc2ccccc2o1 ZINC000631668740 358007346 /nfs/dbraw/zinc/00/73/46/358007346.db2.gz MPENRCPONRKATO-GMXVVIOVSA-N 0 3 245.322 2.854 20 0 BFADHN CC[C@@H](C(=O)NCC(C)(C)CC(C)C)N(C)C ZINC000133673776 325307875 /nfs/dbraw/zinc/30/78/75/325307875.db2.gz ZYZLYARTCDBODV-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1ccnc(CN2CCC(CCF)CC2)c1 ZINC000639990255 358037319 /nfs/dbraw/zinc/03/73/19/358037319.db2.gz VBCSPTHBFWRHSU-UHFFFAOYSA-N 0 3 236.334 2.962 20 0 BFADHN CCC(=O)CCCN1CCC(CCF)CC1 ZINC000639989402 358030000 /nfs/dbraw/zinc/03/00/00/358030000.db2.gz DFZDDDNAZIYKMB-UHFFFAOYSA-N 0 3 229.339 2.817 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@@H]1CCCC[C@H]1C ZINC000639972310 358031098 /nfs/dbraw/zinc/03/10/98/358031098.db2.gz OOWGHWQIEQYEEC-YPMHNXCESA-N 0 3 235.375 2.976 20 0 BFADHN c1csc(CNCc2n[nH]c3ccccc23)c1 ZINC000051666682 358045753 /nfs/dbraw/zinc/04/57/53/358045753.db2.gz SVZFSJSQQGDDKG-UHFFFAOYSA-N 0 3 243.335 2.914 20 0 BFADHN c1csc(CNCc2[nH]nc3ccccc32)c1 ZINC000051666682 358045754 /nfs/dbraw/zinc/04/57/54/358045754.db2.gz SVZFSJSQQGDDKG-UHFFFAOYSA-N 0 3 243.335 2.914 20 0 BFADHN CC(C)COCCN1CCC(CCF)CC1 ZINC000639990655 358038904 /nfs/dbraw/zinc/03/89/04/358038904.db2.gz ZILLMDJTHGUAEM-UHFFFAOYSA-N 0 3 231.355 2.731 20 0 BFADHN CCN(Cc1nc(C)no1)[C@@H]1CCCC[C@H]1C ZINC000351628303 535389239 /nfs/dbraw/zinc/38/92/39/535389239.db2.gz JDCGQKPYOAASMU-ZYHUDNBSSA-N 0 3 237.347 2.779 20 0 BFADHN CC(C)CN1CCOC2(CCCCC2)C1 ZINC000075832439 325315716 /nfs/dbraw/zinc/31/57/16/325315716.db2.gz CHEPOMJXCYVTTG-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN Cc1cc(COc2cc(C)nc(C)c2C)ncn1 ZINC000584665556 358128082 /nfs/dbraw/zinc/12/80/82/358128082.db2.gz QXCBIZQSVOBWRB-UHFFFAOYSA-N 0 3 243.310 2.684 20 0 BFADHN CCCCOCCN1CCC(C)(F)CC1 ZINC000640071143 358156034 /nfs/dbraw/zinc/15/60/34/358156034.db2.gz YEXBEDOSMMHQNX-UHFFFAOYSA-N 0 3 217.328 2.627 20 0 BFADHN C[C@H](c1ccncc1)N1CCC(C)(F)CC1 ZINC000640071739 358162918 /nfs/dbraw/zinc/16/29/18/358162918.db2.gz FIJXYSNQYBBFBW-LLVKDONJSA-N 0 3 222.307 2.967 20 0 BFADHN C[C@H](NC[C@@H]1CC2CCC1CC2)c1ccn(C)n1 ZINC000584779765 358176367 /nfs/dbraw/zinc/17/63/67/358176367.db2.gz MYBSYSDRQWVGPX-ABXWRUBCSA-N 0 3 247.386 2.897 20 0 BFADHN Cc1cccc(Cl)c1CN1C[C@@H](O)C[C@@H]1C ZINC000450633507 202220288 /nfs/dbraw/zinc/22/02/88/202220288.db2.gz AFTAOZFMPOVPJS-QWRGUYRKSA-N 0 3 239.746 2.604 20 0 BFADHN C[C@H](c1cnn(C)c1)N(C)CC1=CCCCC1 ZINC000450695412 202236057 /nfs/dbraw/zinc/23/60/57/202236057.db2.gz FGJGBHDLOKSSEN-GFCCVEGCSA-N 0 3 233.359 2.913 20 0 BFADHN C[C@H](c1cnn(C)c1)N(C)CC12CCC(CC1)C2 ZINC000450702484 202238362 /nfs/dbraw/zinc/23/83/62/202238362.db2.gz QZRCBSJQARJWQJ-DNOWBOINSA-N 0 3 247.386 2.993 20 0 BFADHN CCCC[C@H]1CCC[C@H]1NCc1cnns1 ZINC000450729159 202248070 /nfs/dbraw/zinc/24/80/70/202248070.db2.gz NZOQKIPQXRUJKM-CMPLNLGQSA-N 0 3 239.388 2.987 20 0 BFADHN CCCC[C@@H]1CCC[C@H]1NCc1cnns1 ZINC000450729162 202248625 /nfs/dbraw/zinc/24/86/25/202248625.db2.gz NZOQKIPQXRUJKM-ZYHUDNBSSA-N 0 3 239.388 2.987 20 0 BFADHN CCCC[C@H]1CCC[C@@H]1NCc1cnns1 ZINC000450729160 202249411 /nfs/dbraw/zinc/24/94/11/202249411.db2.gz NZOQKIPQXRUJKM-JQWIXIFHSA-N 0 3 239.388 2.987 20 0 BFADHN Cc1ncc([C@H](C)NCC(C)(C)CCO)s1 ZINC000090418496 491126661 /nfs/dbraw/zinc/12/66/61/491126661.db2.gz OQEGARJBBITAMG-VIFPVBQESA-N 0 3 242.388 2.511 20 0 BFADHN C[C@H](C[S@](C)=O)N(C)CCC1=CCCCC1 ZINC000450721911 202245577 /nfs/dbraw/zinc/24/55/77/202245577.db2.gz ZAMHTLPMDCIBJJ-WBMJQRKESA-N 0 3 243.416 2.576 20 0 BFADHN CCCC[C@H](NCc1cnns1)C1CCC1 ZINC000450724637 202245870 /nfs/dbraw/zinc/24/58/70/202245870.db2.gz HANHKPOXJAXMOY-LBPRGKRZSA-N 0 3 239.388 2.987 20 0 BFADHN CC[C@H](C)NC(=O)CN1CCCCC[C@H]1CC ZINC000172642754 134267077 /nfs/dbraw/zinc/26/70/77/134267077.db2.gz UWAZNHJBEAEUDF-QWHCGFSZSA-N 0 3 240.391 2.556 20 0 BFADHN O=C1C[C@H]2CC[C@@H](C1)N2C[C@@H]1CCC(F)(F)C1 ZINC000450757888 202258912 /nfs/dbraw/zinc/25/89/12/202258912.db2.gz ILRZZNBBZRUSQJ-MXWKQRLJSA-N 0 3 243.297 2.618 20 0 BFADHN CCC[C@H](O)CN1CCc2c(C)cc(C)cc2C1 ZINC000450760143 202259106 /nfs/dbraw/zinc/25/91/06/202259106.db2.gz LGERRVUJGIVWSI-HNNXBMFYSA-N 0 3 247.382 2.823 20 0 BFADHN OC[C@@H](NCC1=CCCCC1)c1ccsc1 ZINC000450762558 202258857 /nfs/dbraw/zinc/25/88/57/202258857.db2.gz UHWDESFOUFXHDS-CYBMUJFWSA-N 0 3 237.368 2.872 20 0 BFADHN Cc1cc(CN[C@H](C)CC2CCC2)on1 ZINC000133331553 491127592 /nfs/dbraw/zinc/12/75/92/491127592.db2.gz CEAPERMQDYRJBI-SECBINFHSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H](O)CCN1CCC(c2ccsc2)CC1 ZINC000450767933 202260206 /nfs/dbraw/zinc/26/02/06/202260206.db2.gz VJUHQVXJWGGUKA-NSHDSACASA-N 0 3 239.384 2.698 20 0 BFADHN C[C@@H](O)CCN1CCC(c2ccsc2)CC1 ZINC000450767932 202261634 /nfs/dbraw/zinc/26/16/34/202261634.db2.gz VJUHQVXJWGGUKA-LLVKDONJSA-N 0 3 239.384 2.698 20 0 BFADHN CCc1cnc(CN[C@@H]2CCCC[C@H]2C)o1 ZINC000226001177 358333202 /nfs/dbraw/zinc/33/32/02/358333202.db2.gz JMMKALOKQKJHND-ZYHUDNBSSA-N 0 3 222.332 2.905 20 0 BFADHN CC1(CNCc2ccn(C3CCCC3)n2)CC1 ZINC000226385405 358338292 /nfs/dbraw/zinc/33/82/92/358338292.db2.gz WZYKKVKQKCWVCU-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN CC(C)[C@@H]1CCCC[C@H]1NCc1ccno1 ZINC000227016024 358347403 /nfs/dbraw/zinc/34/74/03/358347403.db2.gz RUTKPXHWQDOYFQ-QWHCGFSZSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H](Cc1ccsc1)NCc1ccccn1 ZINC000042371755 358347470 /nfs/dbraw/zinc/34/74/70/358347470.db2.gz ZVAGUXAQXSLKJW-NSHDSACASA-N 0 3 232.352 2.864 20 0 BFADHN COc1ccc(CN[C@H](C)CSC)c(F)c1 ZINC000228601149 358381841 /nfs/dbraw/zinc/38/18/41/358381841.db2.gz ZCSGUMLVXNELCM-SECBINFHSA-N 0 3 243.347 2.675 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCC(C)(C)C ZINC000219112820 134274605 /nfs/dbraw/zinc/27/46/05/134274605.db2.gz QPXQYZHXAAYETG-SNVBAGLBSA-N 0 3 221.348 2.872 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1ccno1 ZINC000230972994 358413700 /nfs/dbraw/zinc/41/37/00/358413700.db2.gz JAHQWTXAGQWAGL-CMPLNLGQSA-N 0 3 222.332 2.979 20 0 BFADHN CCC[C@@H](C)CN1CCOC[C@@H]1C1CC1 ZINC000180426310 366452794 /nfs/dbraw/zinc/45/27/94/366452794.db2.gz PRBKVBBQWBNHIH-DGCLKSJQSA-N 0 3 211.349 2.533 20 0 BFADHN OCCCCCN[C@@H](CF)c1ccc(F)cc1 ZINC000640153794 358434447 /nfs/dbraw/zinc/43/44/47/358434447.db2.gz UOSRBFCSZAYTRY-ZDUSSCGKSA-N 0 3 243.297 2.589 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CC1(C)C ZINC000044371955 358548093 /nfs/dbraw/zinc/54/80/93/358548093.db2.gz VQYZEIFSEWEMGM-CYBMUJFWSA-N 0 3 219.328 2.973 20 0 BFADHN C[C@@H](N[C@@H](C)c1cnn(C)c1)c1cccs1 ZINC000044495393 358550003 /nfs/dbraw/zinc/55/00/03/358550003.db2.gz VBEWILQUQWLRJW-VHSXEESVSA-N 0 3 235.356 2.893 20 0 BFADHN COCCCN[C@H](C)c1c(F)cccc1OC ZINC000135091017 325392821 /nfs/dbraw/zinc/39/28/21/325392821.db2.gz FGOBROZHEHRZMB-SNVBAGLBSA-N 0 3 241.306 2.521 20 0 BFADHN c1ccc2c(c1)SC[C@@H]2NC1CCOCC1 ZINC000130284917 358615835 /nfs/dbraw/zinc/61/58/35/358615835.db2.gz VVZUMMBWICUWJC-LBPRGKRZSA-N 0 3 235.352 2.602 20 0 BFADHN COC(=O)c1ccccc1CN1CCCC1(C)C ZINC000130298966 358617059 /nfs/dbraw/zinc/61/70/59/358617059.db2.gz BXIYQEXZDCCRIZ-UHFFFAOYSA-N 0 3 247.338 2.848 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2CC(C)C)no1 ZINC000130306904 358618705 /nfs/dbraw/zinc/61/87/05/358618705.db2.gz XUXJBABHDRIPRZ-CYBMUJFWSA-N 0 3 222.332 2.994 20 0 BFADHN COC(=O)c1ccccc1CN1CCC(C)(C)C1 ZINC000130378217 358622391 /nfs/dbraw/zinc/62/23/91/358622391.db2.gz LBYTYFUUWHQEDR-UHFFFAOYSA-N 0 3 247.338 2.705 20 0 BFADHN Fc1ccc(F)c(CNCCc2ccncc2)c1 ZINC000048261726 358624051 /nfs/dbraw/zinc/62/40/51/358624051.db2.gz PYOASRHRFFULCO-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cc(C(=O)OC)co1 ZINC000130432878 358625589 /nfs/dbraw/zinc/62/55/89/358625589.db2.gz ZXYYQQXASYQMCU-NXEZZACHSA-N 0 3 239.315 2.590 20 0 BFADHN CCCN(C)[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000175378919 134285507 /nfs/dbraw/zinc/28/55/07/134285507.db2.gz TWJMLLNFIRSAFJ-BZNIZROVSA-N 0 3 239.384 2.827 20 0 BFADHN C[C@@H](CNCc1ccco1)N1CCCC[C@H]1C ZINC000048326800 358628428 /nfs/dbraw/zinc/62/84/28/358628428.db2.gz MGBYFBLVEKZKDT-OLZOCXBDSA-N 0 3 236.359 2.632 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC(C(C)C)CC1 ZINC000131120228 358660848 /nfs/dbraw/zinc/66/08/48/358660848.db2.gz UKSIGMDEMLHPEI-UHFFFAOYSA-N 0 3 235.375 2.895 20 0 BFADHN CCC[C@H](CC)NC(=O)c1ccc2c(c1)CNC2 ZINC000131144429 358662719 /nfs/dbraw/zinc/66/27/19/358662719.db2.gz AACGTRADIPDFBR-AWEZNQCLSA-N 0 3 246.354 2.598 20 0 BFADHN Cn1cccc1C(=O)CN1CC[C@H](C(C)(C)C)C1 ZINC000131358030 358672371 /nfs/dbraw/zinc/67/23/71/358672371.db2.gz ZVIWSPCDQGQIET-LBPRGKRZSA-N 0 3 248.370 2.576 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1ccccc1OC ZINC000131858108 358697345 /nfs/dbraw/zinc/69/73/45/358697345.db2.gz XXNMKLDKFXIHKE-VXGBXAGGSA-N 0 3 237.343 2.507 20 0 BFADHN CCc1nc(C)c([C@H](C)N[C@@H](C)COC)s1 ZINC000131862139 358697721 /nfs/dbraw/zinc/69/77/21/358697721.db2.gz HWAWLWROLHPVPQ-IUCAKERBSA-N 0 3 242.388 2.699 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1cc(F)cc(F)c1 ZINC000131883912 358698663 /nfs/dbraw/zinc/69/86/63/358698663.db2.gz VSFXKLSZIOPOTF-RNCFNFMXSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@H](N[C@@H](C)C(=O)NC(C)C)c1ccccc1 ZINC000051628046 358757363 /nfs/dbraw/zinc/75/73/63/358757363.db2.gz XMVPMWZTYHSMBT-JSGCOSHPSA-N 0 3 248.370 2.640 20 0 BFADHN CC[C@@H](N[C@@H](C)C(=O)NC(C)C)c1ccccc1 ZINC000051628048 358757436 /nfs/dbraw/zinc/75/74/36/358757436.db2.gz XMVPMWZTYHSMBT-GXTWGEPZSA-N 0 3 248.370 2.640 20 0 BFADHN Cc1ccc([C@H](C)NC[C@](C)(O)C(C)C)o1 ZINC000133548771 358758753 /nfs/dbraw/zinc/75/87/53/358758753.db2.gz UOLFHFMPMHBZEX-AAEUAGOBSA-N 0 3 225.332 2.646 20 0 BFADHN CCc1ccc(CNCCCOCC(C)C)o1 ZINC000051721824 358760211 /nfs/dbraw/zinc/76/02/11/358760211.db2.gz QVJGIZWJGGSKMH-UHFFFAOYSA-N 0 3 239.359 2.994 20 0 BFADHN Cc1ccc(CNCCCC(F)(F)F)nc1 ZINC000132157841 358708769 /nfs/dbraw/zinc/70/87/69/358708769.db2.gz JFBPLSRTGKWOPW-UHFFFAOYSA-N 0 3 232.249 2.822 20 0 BFADHN C[C@@H](Cc1ccccc1)CN1CCOC[C@@H]1C ZINC000132212625 358712548 /nfs/dbraw/zinc/71/25/48/358712548.db2.gz RRFXEEBYGTYDRK-KBPBESRZSA-N 0 3 233.355 2.586 20 0 BFADHN CCOC(=O)CCN(C)C/C=C/c1ccccc1 ZINC000050067272 358712560 /nfs/dbraw/zinc/71/25/60/358712560.db2.gz UHVGISDQYHPCTG-JXMROGBWSA-N 0 3 247.338 2.585 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@@H](C)c1nccn1C ZINC000132240615 358713495 /nfs/dbraw/zinc/71/34/95/358713495.db2.gz UISUAKPYGIASNF-TUAOUCFPSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@H](CO[C@H]1CCOC1)N[C@@H](C)c1ccccc1 ZINC000132944723 358740124 /nfs/dbraw/zinc/74/01/24/358740124.db2.gz KERHDLLNXLRODN-IPYPFGDCSA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@H](CCO)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000134983752 358813695 /nfs/dbraw/zinc/81/36/95/358813695.db2.gz OEIPZWJVEOCKLV-LOWVWBTDSA-N 0 3 237.343 2.654 20 0 BFADHN COc1ccc(C)cc1CNCC(C)(C)OC ZINC000135231256 358827238 /nfs/dbraw/zinc/82/72/38/358827238.db2.gz DACPYRRIFGCSDJ-UHFFFAOYSA-N 0 3 237.343 2.518 20 0 BFADHN Cc1cccc(CN(C)C[C@@H]2CCCCO2)c1 ZINC000052280362 358778350 /nfs/dbraw/zinc/77/83/50/358778350.db2.gz RTJFCDNVHFRUDW-HNNXBMFYSA-N 0 3 233.355 2.996 20 0 BFADHN COCC(C)(C)NCc1cc(F)c(F)c(F)c1 ZINC000134307731 358786995 /nfs/dbraw/zinc/78/69/95/358786995.db2.gz BXCPIGYTVQTFPE-UHFFFAOYSA-N 0 3 247.260 2.619 20 0 BFADHN CC(C)CN(Cc1nccn1C)CC(C)(C)C ZINC000134453894 358790305 /nfs/dbraw/zinc/79/03/05/358790305.db2.gz UGDPDRPJCYNSKB-UHFFFAOYSA-N 0 3 237.391 2.924 20 0 BFADHN C[C@H](NCCC(=O)NC(C)(C)C)c1ccccc1 ZINC000134501839 358793551 /nfs/dbraw/zinc/79/35/51/358793551.db2.gz CNTJDTZHQLEVTI-LBPRGKRZSA-N 0 3 248.370 2.642 20 0 BFADHN Clc1ccc(CNCC[C@@H]2CCCCO2)o1 ZINC000134671078 358800723 /nfs/dbraw/zinc/80/07/23/358800723.db2.gz UDWYDTNYFSJJDJ-JTQLQIEISA-N 0 3 243.734 2.982 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1nnc(C)s1 ZINC000134719310 358802832 /nfs/dbraw/zinc/80/28/32/358802832.db2.gz GVXARFKEPDGIBO-GHMZBOCLSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1nnc(CNC2[C@@H](C)CCC[C@@H]2C)s1 ZINC000134721528 358802836 /nfs/dbraw/zinc/80/28/36/358802836.db2.gz WVYZQCAQJQFPOW-IUCAKERBSA-N 0 3 239.388 2.761 20 0 BFADHN CCN1CCC[C@H](Oc2ccc(Cl)cn2)C1 ZINC000135321883 358831203 /nfs/dbraw/zinc/83/12/03/358831203.db2.gz MEFRPYPHMXIZPL-NSHDSACASA-N 0 3 240.734 2.598 20 0 BFADHN C[C@@H](Cc1ccsc1)N1CCCO[C@@H](C)C1 ZINC000578258625 366472976 /nfs/dbraw/zinc/47/29/76/366472976.db2.gz XMTACILIUAUWAX-RYUDHWBXSA-N 0 3 239.384 2.790 20 0 BFADHN CC[C@@H](CNCc1cscc1Cl)OC ZINC000308581100 491137210 /nfs/dbraw/zinc/13/72/10/491137210.db2.gz GOUYUGYLSCKRGD-VIFPVBQESA-N 0 3 233.764 2.916 20 0 BFADHN CC(C)OCCN1CCC[C@H](C(F)(F)F)C1 ZINC000176884875 134294683 /nfs/dbraw/zinc/29/46/83/134294683.db2.gz MCKPWDVKMHJXLC-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN CCc1nc(CNC(C2CC2)C2CC2)cs1 ZINC000054918208 358878793 /nfs/dbraw/zinc/87/87/93/358878793.db2.gz IGPJREOQJAYUQB-UHFFFAOYSA-N 0 3 236.384 2.984 20 0 BFADHN CCCC1(c2noc([C@H]3CCN(C)C3)n2)CCC1 ZINC000351373332 366518567 /nfs/dbraw/zinc/51/85/67/366518567.db2.gz LHWLVDKNIZAYIH-NSHDSACASA-N 0 3 249.358 2.711 20 0 BFADHN Cc1nc(CN2CC[C@@]2(C)C2CCCCC2)n[nH]1 ZINC000640219198 358886712 /nfs/dbraw/zinc/88/67/12/358886712.db2.gz KTQHTKYKGWCBSS-AWEZNQCLSA-N 0 3 248.374 2.658 20 0 BFADHN CC[C@@H]1CCN1C[C@H]1CC1(Cl)Cl ZINC000306161155 358966008 /nfs/dbraw/zinc/96/60/08/358966008.db2.gz RBDKBFDXPLHHPX-HTQZYQBOSA-N 0 3 208.132 2.665 20 0 BFADHN c1ccc(CCN2CCc3ccccc3C2)nc1 ZINC000060474224 358926109 /nfs/dbraw/zinc/92/61/09/358926109.db2.gz HTSBNCLRENWWRH-UHFFFAOYSA-N 0 3 238.334 2.682 20 0 BFADHN C[C@@H]1CCN(Cc2ccncc2Cl)[C@@H]1C ZINC000177901925 134301478 /nfs/dbraw/zinc/30/14/78/134301478.db2.gz JZKCDKOHSKOLSW-NXEZZACHSA-N 0 3 224.735 2.965 20 0 BFADHN Clc1ccc(CNC2CCSCC2)o1 ZINC000061030166 358931113 /nfs/dbraw/zinc/93/11/13/358931113.db2.gz FSRBMDBRYKBIBX-UHFFFAOYSA-N 0 3 231.748 2.918 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1CCC2(CC2)CC1 ZINC000611177689 358937439 /nfs/dbraw/zinc/93/74/39/358937439.db2.gz VXIDPKOWHPEQRJ-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCO[C@H](C)C2)o1 ZINC000069862214 359036005 /nfs/dbraw/zinc/03/60/05/359036005.db2.gz XPQYDNUIQVLAGV-WOPDTQHZSA-N 0 3 223.316 2.806 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@@H](C)C[C@@H]1C ZINC000070112331 359042330 /nfs/dbraw/zinc/04/23/30/359042330.db2.gz AFZBMSVIRIZVQW-FRRDWIJNSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)on1 ZINC000070234034 359045399 /nfs/dbraw/zinc/04/53/99/359045399.db2.gz LLJAQQFLGGGGJT-XHVZSJERSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)on1 ZINC000070234038 359045469 /nfs/dbraw/zinc/04/54/69/359045469.db2.gz LLJAQQFLGGGGJT-SUZMYJTESA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cccc(NC(=O)CN[C@@H]2CCC[C@@H]2C)c1 ZINC000071207288 359073539 /nfs/dbraw/zinc/07/35/39/359073539.db2.gz YYFHIDDIXYYRMK-GXTWGEPZSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1cc(CN[C@H]2CC[C@H]2C(C)C)on1 ZINC000308848473 491138932 /nfs/dbraw/zinc/13/89/32/491138932.db2.gz NBWPFUURLVPCSC-RYUDHWBXSA-N 0 3 208.305 2.507 20 0 BFADHN CCc1ccc(CN(C)C[C@@H]2CCCO2)cc1 ZINC000072802275 359090773 /nfs/dbraw/zinc/09/07/73/359090773.db2.gz VGZIZRJQBPKUHU-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN C1=C[C@H](N2CC[C@@H]3OCCC[C@H]3C2)CCC1 ZINC000246450933 359095243 /nfs/dbraw/zinc/09/52/43/359095243.db2.gz BURRNVAZUGKBHQ-IHRRRGAJSA-N 0 3 221.344 2.596 20 0 BFADHN C[C@@H](CN[C@@H](C)c1nccn1C)c1ccccc1 ZINC000070443624 359054482 /nfs/dbraw/zinc/05/44/82/359054482.db2.gz QYMONVUUPPOYIR-STQMWFEESA-N 0 3 243.354 2.874 20 0 BFADHN CC(C)NCc1cccc2c1OC(C)(C)C2 ZINC000070800039 359064491 /nfs/dbraw/zinc/06/44/91/359064491.db2.gz JSCJXNZLZZSLPC-UHFFFAOYSA-N 0 3 219.328 2.898 20 0 BFADHN CC[C@@H](O)CN(CC)Cc1ccccc1Cl ZINC000075623096 359184514 /nfs/dbraw/zinc/18/45/14/359184514.db2.gz SMFQIGQYCMQFDH-GFCCVEGCSA-N 0 3 241.762 2.933 20 0 BFADHN CCCCCCN1CCS[C@@H]2COCC[C@H]21 ZINC000247039629 359188888 /nfs/dbraw/zinc/18/88/88/359188888.db2.gz RCMNHGUXHVBINA-CHWSQXEVSA-N 0 3 243.416 2.773 20 0 BFADHN CSCCCN1CCOC2(CCCCC2)C1 ZINC000075832175 359225443 /nfs/dbraw/zinc/22/54/43/359225443.db2.gz JSUPDOLFXJEACE-UHFFFAOYSA-N 0 3 243.416 2.775 20 0 BFADHN C[C@H](N[C@@H]1Cc2ccccc2[C@H]1O)c1ccoc1 ZINC000247993105 359251772 /nfs/dbraw/zinc/25/17/72/359251772.db2.gz JQDANCSLGLYOAH-COLVAYQJSA-N 0 3 243.306 2.589 20 0 BFADHN C[C@@H](c1ccccc1F)N1CC[C@@]2(CCOC2)C1 ZINC000247403741 359219847 /nfs/dbraw/zinc/21/98/47/359219847.db2.gz RHAIBADWEJUXOD-SWLSCSKDSA-N 0 3 249.329 2.999 20 0 BFADHN COc1cccc(CN2CCC[C@@H]3CCC[C@H]32)n1 ZINC000247454978 359222248 /nfs/dbraw/zinc/22/22/48/359222248.db2.gz HTOBRZCFAGXASK-GXTWGEPZSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cccc(CN2CCC[C@H]3CCC[C@H]32)n1 ZINC000247454982 359222611 /nfs/dbraw/zinc/22/26/11/359222611.db2.gz HTOBRZCFAGXASK-TZMCWYRMSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@H](c1cccc(Cl)c1)N1CC[C@](C)(O)C1 ZINC000248347516 359268848 /nfs/dbraw/zinc/26/88/48/359268848.db2.gz NMLGCDWSZYEGGO-MFKMUULPSA-N 0 3 239.746 2.858 20 0 BFADHN COc1ccc(OC)c(CN2CC[C@@H](C)[C@@H]2C)c1 ZINC000248359159 359270479 /nfs/dbraw/zinc/27/04/79/359270479.db2.gz RPUGYFOPIXBXMV-NEPJUHHUSA-N 0 3 249.354 2.934 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1CC[C@](C)(O)C1 ZINC000248367570 359271360 /nfs/dbraw/zinc/27/13/60/359271360.db2.gz UEMNPPJODXWNAL-KGLIPLIRSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H]1CN(Cc2cccc3c2OCCO3)C[C@H]1C ZINC000076112832 359273450 /nfs/dbraw/zinc/27/34/50/359273450.db2.gz LMEORJGMFCQEKE-TXEJJXNPSA-N 0 3 247.338 2.546 20 0 BFADHN CN1CCC[C@@H](NCc2ccsc2Cl)C1 ZINC000308905712 491139984 /nfs/dbraw/zinc/13/99/84/491139984.db2.gz CMLXRYKRXCCLAF-SNVBAGLBSA-N 0 3 244.791 2.585 20 0 BFADHN COC(=O)[C@H]1CCCCCN1CCC(C)(C)C ZINC000611575263 359397402 /nfs/dbraw/zinc/39/74/02/359397402.db2.gz NUMVIIBRURCTIR-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN CCC[C@@H](C)CN1CCCCC[C@H]1C(=O)OC ZINC000611606720 359400617 /nfs/dbraw/zinc/40/06/17/359400617.db2.gz NVZJMWPMLSWAQS-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1cc(N)cc(CN[C@@H](C)c2cccnc2)c1 ZINC000423482494 192789940 /nfs/dbraw/zinc/78/99/40/192789940.db2.gz ROAWUTLBFAPZJB-LBPRGKRZSA-N 0 3 241.338 2.823 20 0 BFADHN CC[C@@H](COC)NCc1cnc(C(C)C)s1 ZINC000132871746 491140787 /nfs/dbraw/zinc/14/07/87/491140787.db2.gz HBLIXORCSGYTIV-JTQLQIEISA-N 0 3 242.388 2.781 20 0 BFADHN OC[C@H](CC1CC1)NCc1ccc(Cl)s1 ZINC000308958960 491141041 /nfs/dbraw/zinc/14/10/41/491141041.db2.gz YJDUXKQIFPCEFO-VIFPVBQESA-N 0 3 245.775 2.652 20 0 BFADHN CCC[C@H](N)C(=O)N(CC)[C@@H]1CCCC[C@H]1C ZINC000423745531 192827618 /nfs/dbraw/zinc/82/76/18/192827618.db2.gz PTDHBTSLXCLGMN-FRRDWIJNSA-N 0 3 240.391 2.541 20 0 BFADHN CCC[C@H](N)C(=O)NCC[C@H](C)CC(C)(C)C ZINC000423922285 192846286 /nfs/dbraw/zinc/84/62/86/192846286.db2.gz JKSXGQGPUQAFPM-RYUDHWBXSA-N 0 3 242.407 2.692 20 0 BFADHN CC(C)[C@H](CO)CNCc1cscc1Cl ZINC000308997564 491142106 /nfs/dbraw/zinc/14/21/06/491142106.db2.gz NAFFXBXSVBYNLT-VIFPVBQESA-N 0 3 247.791 2.756 20 0 BFADHN COCCC[C@@H](C)NCc1ccc(Cl)o1 ZINC000309027100 491142536 /nfs/dbraw/zinc/14/25/36/491142536.db2.gz BGJVWBUWFGDCSN-SECBINFHSA-N 0 3 231.723 2.838 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2nccnc2C)C1 ZINC000628495435 359696105 /nfs/dbraw/zinc/69/61/05/359696105.db2.gz QJXNEOMOOBAMDB-XGACYXMMSA-N 0 3 245.370 2.963 20 0 BFADHN C[C@H](NC1(C2CC2)CC1)c1cncs1 ZINC000379668432 359702148 /nfs/dbraw/zinc/70/21/48/359702148.db2.gz ZPISEDZCFDFORX-QMMMGPOBSA-N 0 3 208.330 2.736 20 0 BFADHN C[C@@H]1CN(CC2=CCCCC2)[C@@H](C)[C@@H](C)O1 ZINC000450821360 202274527 /nfs/dbraw/zinc/27/45/27/202274527.db2.gz AIHOEMJRMKBYOA-FRRDWIJNSA-N 0 3 223.360 2.985 20 0 BFADHN CCC[C@H](O)CN(C)Cc1ccc(F)c(C)c1 ZINC000450858129 202291143 /nfs/dbraw/zinc/29/11/43/202291143.db2.gz KLNARKLPCARFPQ-ZDUSSCGKSA-N 0 3 239.334 2.727 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1cocn1 ZINC000309067142 491143909 /nfs/dbraw/zinc/14/39/09/491143909.db2.gz ACIIWXBEQPEWAK-MNOVXSKESA-N 0 3 210.321 2.979 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1nnc(C2CC2)s1 ZINC000450849107 202287136 /nfs/dbraw/zinc/28/71/36/202287136.db2.gz VZDBJNWOZJSURR-PSASIEDQSA-N 0 3 237.372 2.694 20 0 BFADHN CCC(=O)CCCN1CC(C)=C[C@@H](C)C1 ZINC000450915635 202308085 /nfs/dbraw/zinc/30/80/85/202308085.db2.gz PTZXWENAGFFEJZ-LLVKDONJSA-N 0 3 209.333 2.644 20 0 BFADHN CCC[C@@H](O)CN(C)Cc1ccc(F)c(C)c1 ZINC000450858128 202292738 /nfs/dbraw/zinc/29/27/38/202292738.db2.gz KLNARKLPCARFPQ-CYBMUJFWSA-N 0 3 239.334 2.727 20 0 BFADHN CC(=O)c1cccc(CSCCN(C)C)c1 ZINC000450878514 202295319 /nfs/dbraw/zinc/29/53/19/202295319.db2.gz YTIJHTRAHPNPJG-UHFFFAOYSA-N 0 3 237.368 2.684 20 0 BFADHN CC1=C(c2ccco2)CN(CC[C@H](C)O)CC1 ZINC000450934428 202313667 /nfs/dbraw/zinc/31/36/67/202313667.db2.gz KLSYRXJBQXMOSR-LBPRGKRZSA-N 0 3 235.327 2.530 20 0 BFADHN Cc1sc(NC(=N)N)nc1C1CCCCC1 ZINC000450960922 202320926 /nfs/dbraw/zinc/32/09/26/202320926.db2.gz SZNOCMGQMXJWNF-UHFFFAOYSA-N 0 3 238.360 2.805 20 0 BFADHN C[C@H](O)CCN1CC(C)(C)[C@H]1c1cccs1 ZINC000451042138 202335273 /nfs/dbraw/zinc/33/52/73/202335273.db2.gz UXJROEAIIWRXRU-CMPLNLGQSA-N 0 3 239.384 2.902 20 0 BFADHN CC(C)C1(NCc2ccc3c(n2)CCC3)CC1 ZINC000578440486 366568250 /nfs/dbraw/zinc/56/82/50/366568250.db2.gz CVTACUTTYNZDGT-UHFFFAOYSA-N 0 3 230.355 2.849 20 0 BFADHN CC[C@@H]1CN([C@H](C)CC(C)C)C[C@H](C)[S@@]1=O ZINC000450977780 202326085 /nfs/dbraw/zinc/32/60/85/202326085.db2.gz BGGSQZYNMPHDRF-IATRGZMQSA-N 0 3 245.432 2.652 20 0 BFADHN CC(C)OCCCN1CCC[C@@](C)(F)C1 ZINC000451114867 202354460 /nfs/dbraw/zinc/35/44/60/202354460.db2.gz NBDBFBANHOZENW-GFCCVEGCSA-N 0 3 217.328 2.626 20 0 BFADHN C[C@@H](O)CCCNC(C)(C)c1ccccc1F ZINC000451054023 202339608 /nfs/dbraw/zinc/33/96/08/202339608.db2.gz JGQVQHYETBEXAP-LLVKDONJSA-N 0 3 239.334 2.811 20 0 BFADHN CCc1cc(CCCN2C[C@H](C)[C@@H]2C)on1 ZINC000451072977 202346712 /nfs/dbraw/zinc/34/67/12/202346712.db2.gz PUFHQBXSSZUDAN-QWRGUYRKSA-N 0 3 222.332 2.510 20 0 BFADHN CC(C)N(C[C@@H]1CCCC(F)(F)C1)C1COC1 ZINC000451121036 202356012 /nfs/dbraw/zinc/35/60/12/202356012.db2.gz OWQRSWFZNJXYGU-LLVKDONJSA-N 0 3 247.329 2.921 20 0 BFADHN CC(C)N(CCCc1ccsc1)C1COC1 ZINC000451119710 202356391 /nfs/dbraw/zinc/35/63/91/202356391.db2.gz GSYBZOQPRFALHI-UHFFFAOYSA-N 0 3 239.384 2.790 20 0 BFADHN CC(C)N(CCCc1ccccc1)C1COC1 ZINC000451121221 202356455 /nfs/dbraw/zinc/35/64/55/202356455.db2.gz PWCXNPXNXJAYIS-UHFFFAOYSA-N 0 3 233.355 2.728 20 0 BFADHN C[C@@H]1C[C@H](N[C@H](c2cccnc2)C2CC2)[C@H](C)O1 ZINC000451146775 202364365 /nfs/dbraw/zinc/36/43/65/202364365.db2.gz LYYKTPVYGBHSJV-PKIAMQTDSA-N 0 3 246.354 2.688 20 0 BFADHN CC(C)(C)OC(=O)CCCCN1CC[C@@H](F)C1 ZINC000451192144 202373036 /nfs/dbraw/zinc/37/30/36/202373036.db2.gz IEMMAXBVYYCBOE-LLVKDONJSA-N 0 3 245.338 2.542 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1ccc(C)c(F)c1 ZINC000087284214 359905742 /nfs/dbraw/zinc/90/57/42/359905742.db2.gz LCJVXGJJWHBIPC-GHMZBOCLSA-N 0 3 225.307 2.820 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1c(OC)cccc1OC ZINC000451207953 202381069 /nfs/dbraw/zinc/38/10/69/202381069.db2.gz BTSLUOGMWWVSKU-NEPJUHHUSA-N 0 3 249.354 2.840 20 0 BFADHN Cc1cc([C@@H](C)NCC2(O)CCCC2)c(C)o1 ZINC000087016885 359894415 /nfs/dbraw/zinc/89/44/15/359894415.db2.gz FSLPQTHVHPKYLH-LLVKDONJSA-N 0 3 237.343 2.852 20 0 BFADHN CC(C)C[C@@]1(C)CCCN1Cc1ncccn1 ZINC000451289589 202397676 /nfs/dbraw/zinc/39/76/76/202397676.db2.gz KCFHMGMOAQQHLY-CQSZACIVSA-N 0 3 233.359 2.877 20 0 BFADHN CCN(CC/C=C/c1ccccc1)C1COC1 ZINC000451295199 202398217 /nfs/dbraw/zinc/39/82/17/202398217.db2.gz YSNJOULNOYUXOB-UXBLZVDNSA-N 0 3 231.339 2.811 20 0 BFADHN CCO[C@H]1C[C@H](NCc2cccnc2)C12CCC2 ZINC000087709108 359924702 /nfs/dbraw/zinc/92/47/02/359924702.db2.gz HBANVDYSIXKGOG-KBPBESRZSA-N 0 3 246.354 2.519 20 0 BFADHN CCC1(NCc2nnc(C)s2)CCCC1 ZINC000451275739 202392205 /nfs/dbraw/zinc/39/22/05/202392205.db2.gz MZEKCWHQNMLSBO-UHFFFAOYSA-N 0 3 225.361 2.659 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)C(C)(C)C)ncn1 ZINC000578834076 366654506 /nfs/dbraw/zinc/65/45/06/366654506.db2.gz LNIIBTHBNAVHTA-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN COCc1ccc(CN[C@H]2CCC[C@@H](F)C2)o1 ZINC000578768892 366644668 /nfs/dbraw/zinc/64/46/68/366644668.db2.gz ZPTOGJCVDOHCIN-MNOVXSKESA-N 0 3 241.306 2.796 20 0 BFADHN CC[C@H](NCc1cc(C)nn1C)c1ccccc1 ZINC000089183723 359998327 /nfs/dbraw/zinc/99/83/27/359998327.db2.gz AOFJWKIVWUOLSU-HNNXBMFYSA-N 0 3 243.354 2.969 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2cccs2)n(C)n1 ZINC000089184013 359998338 /nfs/dbraw/zinc/99/83/38/359998338.db2.gz AYZUVEYASPHQGN-JTQLQIEISA-N 0 3 249.383 2.511 20 0 BFADHN CC(C)(NCc1nc2c(s1)CCC2)C1CC1 ZINC000309325471 491147019 /nfs/dbraw/zinc/14/70/19/491147019.db2.gz GJZVPZHJMSNSND-UHFFFAOYSA-N 0 3 236.384 2.910 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCc3n[nH]cc3C2)o1 ZINC000090453935 360072878 /nfs/dbraw/zinc/07/28/78/360072878.db2.gz IYXLITFCBKKDJO-ZYHUDNBSSA-N 0 3 245.326 2.519 20 0 BFADHN CC1(C)Cc2occc2[C@H](N[C@@H]2CCOC2)C1 ZINC000090774485 360086813 /nfs/dbraw/zinc/08/68/13/360086813.db2.gz ZRGZHMYLWKDERM-ZYHUDNBSSA-N 0 3 235.327 2.672 20 0 BFADHN C[C@@H](N[C@H]1CCOC1)c1ccc2ccccc2n1 ZINC000090774118 360086880 /nfs/dbraw/zinc/08/68/80/360086880.db2.gz VSAHASIQNXZATN-YPMHNXCESA-N 0 3 242.322 2.674 20 0 BFADHN c1ccc(CNCCOCC2CCCC2)cc1 ZINC000090812224 360090244 /nfs/dbraw/zinc/09/02/44/360090244.db2.gz LGRKEADJKKAJQN-UHFFFAOYSA-N 0 3 233.355 2.983 20 0 BFADHN CCCCOCCN(C)[C@@H](C)c1ccncc1 ZINC000091990128 360145197 /nfs/dbraw/zinc/14/51/97/360145197.db2.gz KBBBWUFYGYXWQH-ZDUSSCGKSA-N 0 3 236.359 2.891 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccc(C)c(C)c2)C1 ZINC000091772684 360128221 /nfs/dbraw/zinc/12/82/21/360128221.db2.gz GOUYXAJWEKMHSK-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN CC[C@H](C)CN(C)Cc1c(C)nn(CC)c1C ZINC000091796328 360130663 /nfs/dbraw/zinc/13/06/63/360130663.db2.gz ONOGEZZPDNRSJM-NSHDSACASA-N 0 3 237.391 2.998 20 0 BFADHN COc1cc(CN2CC[C@H](C)[C@H]2C)cc(OC)c1 ZINC000091859780 360133305 /nfs/dbraw/zinc/13/33/05/360133305.db2.gz HDLCWMODANQBHJ-NWDGAFQWSA-N 0 3 249.354 2.934 20 0 BFADHN CC[C@@H]1CCN(Cc2cnc3c(C)cccn23)C1 ZINC000091929910 360137744 /nfs/dbraw/zinc/13/77/44/360137744.db2.gz QPPIRJBZRCBNGL-CYBMUJFWSA-N 0 3 243.354 2.875 20 0 BFADHN c1cc(CN2CCC[C@H]2C2CCCC2)n[nH]1 ZINC000092401757 360169728 /nfs/dbraw/zinc/16/97/28/360169728.db2.gz XONVAXABYAHPNB-ZDUSSCGKSA-N 0 3 219.332 2.564 20 0 BFADHN CN(C)c1ccccc1CNCC(C)(C)F ZINC000631188699 360175557 /nfs/dbraw/zinc/17/55/57/360175557.db2.gz FCUNVIDYXYQYFP-UHFFFAOYSA-N 0 3 224.323 2.590 20 0 BFADHN Cc1ccc(CN2CC[C@H]3OCCC[C@@H]3C2)o1 ZINC000093505607 360253406 /nfs/dbraw/zinc/25/34/06/360253406.db2.gz GXQHAKHKIAOVOS-TZMCWYRMSA-N 0 3 235.327 2.589 20 0 BFADHN c1cc(CN(CC2CC2)C2CCCCC2)n[nH]1 ZINC000092974192 360209129 /nfs/dbraw/zinc/20/91/29/360209129.db2.gz BEVOFIRIEHKHIH-UHFFFAOYSA-N 0 3 233.359 2.954 20 0 BFADHN CC[C@H](C)[C@@H](C)N(Cc1cc[nH]n1)C1CC1 ZINC000093132286 360219824 /nfs/dbraw/zinc/21/98/24/360219824.db2.gz MXACROPCNKXASE-WDEREUQCSA-N 0 3 221.348 2.809 20 0 BFADHN CCc1ccc(CN(C)CC2CCOCC2)o1 ZINC000093314673 360229486 /nfs/dbraw/zinc/22/94/86/360229486.db2.gz PHSVGHUYSJHBDD-UHFFFAOYSA-N 0 3 237.343 2.700 20 0 BFADHN Cc1ccn2c(CN3CCC(C)(C)C3)cnc2c1 ZINC000093339850 360234699 /nfs/dbraw/zinc/23/46/99/360234699.db2.gz MPACRARRVWCPSN-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CCN(Cc1cc(F)cc(F)c1)CC(C)(C)O ZINC000093423332 360241679 /nfs/dbraw/zinc/24/16/79/360241679.db2.gz MBFHZTNJOMCOKG-UHFFFAOYSA-N 0 3 243.297 2.558 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2ccnn2C)CC1 ZINC000093440680 360243169 /nfs/dbraw/zinc/24/31/69/360243169.db2.gz FATWJTNOUXNKJU-ZDUSSCGKSA-N 0 3 235.375 2.678 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2cc[nH]n2)CC1 ZINC000093440705 360243183 /nfs/dbraw/zinc/24/31/83/360243183.db2.gz WEBKQYNKUZHDTD-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN Cc1nn(C)cc1CN1CCC[C@H]2CCCC[C@H]21 ZINC000093480650 360247170 /nfs/dbraw/zinc/24/71/70/360247170.db2.gz ZJNGGIBUGDREHP-UKRRQHHQSA-N 0 3 247.386 2.883 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN(C)C2CCC2)o1 ZINC000093475705 360247453 /nfs/dbraw/zinc/24/74/53/360247453.db2.gz CIGSCBJINYWMCL-UHFFFAOYSA-N 0 3 245.326 2.962 20 0 BFADHN c1cc(CN2CCC3(C2)CCCCC3)n[nH]1 ZINC000093663767 360267741 /nfs/dbraw/zinc/26/77/41/360267741.db2.gz MVCBDBZIQXJRPW-UHFFFAOYSA-N 0 3 219.332 2.566 20 0 BFADHN Cc1cccc(CN[C@H]2CC(C)(C)OC2(C)C)n1 ZINC000094424292 360306908 /nfs/dbraw/zinc/30/69/08/360306908.db2.gz QJDYNTLJHUDICF-ZDUSSCGKSA-N 0 3 248.370 2.826 20 0 BFADHN CCc1ccc(CN2C[C@@H]3[C@H](C2)C3(C)C)o1 ZINC000093661072 360267974 /nfs/dbraw/zinc/26/79/74/360267974.db2.gz RTWSRHLQLBVLAJ-BETUJISGSA-N 0 3 219.328 2.930 20 0 BFADHN Cc1n[nH]cc1CN1CCC[C@H](CC(C)C)C1 ZINC000093716577 360273202 /nfs/dbraw/zinc/27/32/02/360273202.db2.gz CZZXCLJZKRQRGE-CYBMUJFWSA-N 0 3 235.375 2.976 20 0 BFADHN CSC[C@H]1CCCN1Cc1ccc(C)o1 ZINC000093760879 360274009 /nfs/dbraw/zinc/27/40/09/360274009.db2.gz CLLBGUDCWKWXCN-LLVKDONJSA-N 0 3 225.357 2.915 20 0 BFADHN CO[C@@]1(C)C[C@@H](N(C)Cc2ccco2)C1(C)C ZINC000093871678 360286279 /nfs/dbraw/zinc/28/62/79/360286279.db2.gz RBIAVMDXXJBHSQ-OCCSQVGLSA-N 0 3 237.343 2.915 20 0 BFADHN C[C@@]1(CNCc2ccc(F)c(F)c2)CCCO1 ZINC000094797094 360316753 /nfs/dbraw/zinc/31/67/53/360316753.db2.gz WHIMKTGAROQFIM-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN C[C@@H](NC[C@]1(C)CCCO1)c1cccc(O)c1 ZINC000094797433 360318307 /nfs/dbraw/zinc/31/83/07/360318307.db2.gz XYHJXQAYWMVOCZ-RISCZKNCSA-N 0 3 235.327 2.612 20 0 BFADHN CCCC[C@H](N)C(=O)N1CCC(CC)(CC)C1 ZINC000094802363 360319419 /nfs/dbraw/zinc/31/94/19/360319419.db2.gz TVQNEIYGVUZHME-LBPRGKRZSA-N 0 3 240.391 2.543 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H]2CCCO2)cc1F ZINC000094836599 360322441 /nfs/dbraw/zinc/32/24/41/360322441.db2.gz JSZCLRAIJSXZCW-DGCLKSJQSA-N 0 3 237.318 2.964 20 0 BFADHN CCC[C@H](N)C(=O)N(CC(C)C)C1CCCC1 ZINC000094847531 360323258 /nfs/dbraw/zinc/32/32/58/360323258.db2.gz CPJIWRLFYNAXLA-ZDUSSCGKSA-N 0 3 240.391 2.541 20 0 BFADHN Cc1cc(C)c(/C=C\CNC2(CO)CC2)c(C)c1 ZINC000631099448 360399695 /nfs/dbraw/zinc/39/96/95/360399695.db2.gz IHZPTKUMVHGCIS-PLNGDYQASA-N 0 3 245.366 2.740 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CCC[C@H](C)CC1 ZINC000096867306 360356468 /nfs/dbraw/zinc/35/64/68/360356468.db2.gz GYZXSQDDCMXMND-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1cc(CNC2(C)CC2)cnc1Cl ZINC000306537972 360403048 /nfs/dbraw/zinc/40/30/48/360403048.db2.gz LVAXWIJETQYWOE-UHFFFAOYSA-N 0 3 210.708 2.686 20 0 BFADHN C[C@@H](NCCC1=CCCCC1)c1ncc[nH]1 ZINC000309410486 491148830 /nfs/dbraw/zinc/14/88/30/491148830.db2.gz IMXVFLLTXSZUTM-LLVKDONJSA-N 0 3 219.332 2.951 20 0 BFADHN Cc1ccc(CNC2(C)CCOCC2)c(F)c1 ZINC000631099919 360534424 /nfs/dbraw/zinc/53/44/24/360534424.db2.gz LRVDQXOXCFEXIP-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@H]2C2CC2)on1 ZINC000631161559 360578369 /nfs/dbraw/zinc/57/83/69/360578369.db2.gz NKAKXIIAUCBWSU-JSGCOSHPSA-N 0 3 234.343 2.899 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@@H]2C2CC2)on1 ZINC000631161561 360578402 /nfs/dbraw/zinc/57/84/02/360578402.db2.gz NKAKXIIAUCBWSU-TZMCWYRMSA-N 0 3 234.343 2.899 20 0 BFADHN CC[C@H](NC[C@H](C)C1CC1)c1ccn(C)n1 ZINC000309533752 491149901 /nfs/dbraw/zinc/14/99/01/491149901.db2.gz DQQYHNYWNLMPIR-JQWIXIFHSA-N 0 3 221.348 2.507 20 0 BFADHN CCc1cnc(CN[C@H]2CCC[C@H]2C)s1 ZINC000152330932 360739532 /nfs/dbraw/zinc/73/95/32/360739532.db2.gz BPFXCRDIVRKMDX-KOLCDFICSA-N 0 3 224.373 2.984 20 0 BFADHN Cc1ncccc1CN[C@H]1CCC(F)(F)C1 ZINC000309562471 491151670 /nfs/dbraw/zinc/15/16/70/491151670.db2.gz PHMDTWCCQVQCND-NSHDSACASA-N 0 3 226.270 2.667 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@@H]1CC12CCCC2 ZINC000309574537 491151983 /nfs/dbraw/zinc/15/19/83/491151983.db2.gz ONBJGDAHQOGHTB-JOYOIKCWSA-N 0 3 219.332 2.701 20 0 BFADHN CC(C)(C)[C@H](NCC1OCCO1)c1ccccc1 ZINC000122215798 200689249 /nfs/dbraw/zinc/68/92/49/200689249.db2.gz BPTUNYVKNJRMGX-CQSZACIVSA-N 0 3 249.354 2.736 20 0 BFADHN C[C@H](NC[C@@H]1CCCC[C@H]1C)c1ncc[nH]1 ZINC000309577446 491152010 /nfs/dbraw/zinc/15/20/10/491152010.db2.gz PLEJZGXRJTWVDE-WOPDTQHZSA-N 0 3 221.348 2.887 20 0 BFADHN C[C@H](NC[C@H]1CCCC[C@H]1C)c1ncc[nH]1 ZINC000309577441 491152070 /nfs/dbraw/zinc/15/20/70/491152070.db2.gz PLEJZGXRJTWVDE-GRYCIOLGSA-N 0 3 221.348 2.887 20 0 BFADHN Fc1ccc2c(c1)[C@H](NC1CSC1)CCC2 ZINC000309579685 491152119 /nfs/dbraw/zinc/15/21/19/491152119.db2.gz PYDZLMICJSFODT-CYBMUJFWSA-N 0 3 237.343 2.908 20 0 BFADHN CCC[C@@H](NC[C@@H](O)C1CCC1)c1cccnc1 ZINC000453387192 200791208 /nfs/dbraw/zinc/79/12/08/200791208.db2.gz PMGPAHXZRYUVLG-HUUCEWRRSA-N 0 3 248.370 2.673 20 0 BFADHN c1cnc2c(c1)[C@@H](NC[C@@H]1CCCCO1)CCC2 ZINC000631573284 361080673 /nfs/dbraw/zinc/08/06/73/361080673.db2.gz KQBVUFVRSWMXQX-WFASDCNBSA-N 0 3 246.354 2.618 20 0 BFADHN CCC[C@@H](N[C@@H]1CCCOC1)c1cc(C)ccn1 ZINC000631580307 361158572 /nfs/dbraw/zinc/15/85/72/361158572.db2.gz LQWNSLCKNLTVQK-ZIAGYGMSSA-N 0 3 248.370 3.000 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NC[C@@H]1CCSC1 ZINC000224442300 491154983 /nfs/dbraw/zinc/15/49/83/491154983.db2.gz PJOHFHGZCBDUKE-KCJUWKMLSA-N 0 3 240.372 2.695 20 0 BFADHN CCc1nnc(C[NH2+][C@H]2CCCC23CCCC3)[n-]1 ZINC000333600015 361239051 /nfs/dbraw/zinc/23/90/51/361239051.db2.gz UZNBBXOWVQHSJY-NSHDSACASA-N 0 3 248.374 2.570 20 0 BFADHN CCc1nnc(CN[C@H]2CCCC23CCCC3)[nH]1 ZINC000333600015 361239056 /nfs/dbraw/zinc/23/90/56/361239056.db2.gz UZNBBXOWVQHSJY-NSHDSACASA-N 0 3 248.374 2.570 20 0 BFADHN CCc1nc(C)c(CN2CC[C@@H](C3CC3)C2)o1 ZINC000428288893 201005875 /nfs/dbraw/zinc/00/58/75/201005875.db2.gz IFWAXRADGYUNCA-GFCCVEGCSA-N 0 3 234.343 2.777 20 0 BFADHN C[C@H](C[C@H]1CCOC1)N[C@H](C)c1ccco1 ZINC000309763178 491156023 /nfs/dbraw/zinc/15/60/23/491156023.db2.gz MYLYYAYKOUYIEU-IJLUTSLNSA-N 0 3 223.316 2.745 20 0 BFADHN CC1(C)CCC[C@@H](CNCc2ccon2)C1 ZINC000309772376 491156212 /nfs/dbraw/zinc/15/62/12/491156212.db2.gz KUXLGFWEWSYUFP-LLVKDONJSA-N 0 3 222.332 2.981 20 0 BFADHN Cc1nc(CN2CCCC[C@@H]2CC(C)C)n[nH]1 ZINC000428389070 201012969 /nfs/dbraw/zinc/01/29/69/201012969.db2.gz YSHDQBKDYDMYST-GFCCVEGCSA-N 0 3 236.363 2.514 20 0 BFADHN CC(C)c1nc(CN2CCCC[C@@H](C)C2)n[nH]1 ZINC000428431148 201015253 /nfs/dbraw/zinc/01/52/53/201015253.db2.gz LBFZXDJRCUHMRS-LLVKDONJSA-N 0 3 236.363 2.550 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@@H]2CCO)o1 ZINC000428447727 201017502 /nfs/dbraw/zinc/01/75/02/201017502.db2.gz NFIHCIYOGODAJG-BZPMIXESSA-N 0 3 249.354 2.750 20 0 BFADHN Cc1nnc(CN2CCC[C@@H]2C2CCCCC2)[nH]1 ZINC000428451836 201017823 /nfs/dbraw/zinc/01/78/23/201017823.db2.gz GIXAGTFZCRVWRJ-CYBMUJFWSA-N 0 3 248.374 2.658 20 0 BFADHN CC(C)c1nc(CN([C@H](C)C2CC2)C2CC2)n[nH]1 ZINC000428469194 201022854 /nfs/dbraw/zinc/02/28/54/201022854.db2.gz IXMRNGYIZJRKDF-SNVBAGLBSA-N 0 3 248.374 2.691 20 0 BFADHN Cc1nnc(CN(CC(C)C)C2CCCC2)[nH]1 ZINC000428477638 201023966 /nfs/dbraw/zinc/02/39/66/201023966.db2.gz ZXMBMTFTSAKJNH-UHFFFAOYSA-N 0 3 236.363 2.514 20 0 BFADHN CC(C)COCCN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000428748396 201041080 /nfs/dbraw/zinc/04/10/80/201041080.db2.gz MQIZNJAXMGUDBX-NWDGAFQWSA-N 0 3 247.329 2.636 20 0 BFADHN CC(C)c1nc(CN(C)[C@H]2CC[C@H](C)C2)n[nH]1 ZINC000428985035 201054250 /nfs/dbraw/zinc/05/42/50/201054250.db2.gz UENSOXTVSRBAKY-QWRGUYRKSA-N 0 3 236.363 2.549 20 0 BFADHN CC(C)c1nc(CN(C)[C@@H]2CC[C@H](C)C2)n[nH]1 ZINC000428985036 201054387 /nfs/dbraw/zinc/05/43/87/201054387.db2.gz UENSOXTVSRBAKY-WDEREUQCSA-N 0 3 236.363 2.549 20 0 BFADHN CC(C)c1nc(CN(C)C2(C)CCCC2)n[nH]1 ZINC000428980275 201055552 /nfs/dbraw/zinc/05/55/52/201055552.db2.gz VAWJJZUKMKANCZ-UHFFFAOYSA-N 0 3 236.363 2.693 20 0 BFADHN CCC1(NCc2sc(C)nc2C)CC1 ZINC000379723313 361360192 /nfs/dbraw/zinc/36/01/92/361360192.db2.gz NCWJHWYMFJKWMU-UHFFFAOYSA-N 0 3 210.346 2.792 20 0 BFADHN CO[C@@H](CN[C@@H]1CCCc2ncccc21)C(C)C ZINC000631585623 361387764 /nfs/dbraw/zinc/38/77/64/361387764.db2.gz NXEFEAOTVKNCNZ-CABCVRRESA-N 0 3 248.370 2.720 20 0 BFADHN CC[C@H](N[C@H]1CC12CCCC2)c1nccn1C ZINC000429422001 201109276 /nfs/dbraw/zinc/10/92/76/201109276.db2.gz WLDKROZUBVLVHZ-RYUDHWBXSA-N 0 3 233.359 2.794 20 0 BFADHN CC[C@@H](N[C@H](CO)CC(F)F)c1ccccc1 ZINC000429470038 201109799 /nfs/dbraw/zinc/10/97/99/201109799.db2.gz RWLQONIEEJPANA-NWDGAFQWSA-N 0 3 243.297 2.743 20 0 BFADHN COCC1(CCN[C@H](C)c2nccs2)CC1 ZINC000579352794 366765950 /nfs/dbraw/zinc/76/59/50/366765950.db2.gz LVRZFCJFVGKEBJ-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN CSC[C@H]1CCCN(Cc2cnoc2C)C1 ZINC000429296460 201097211 /nfs/dbraw/zinc/09/72/11/201097211.db2.gz XFYRZMGPSJHFEK-NSHDSACASA-N 0 3 240.372 2.558 20 0 BFADHN CC(C)CCCN1C[C@H](C)O[C@H](C)[C@@H]1C ZINC000429311461 201099700 /nfs/dbraw/zinc/09/97/00/201099700.db2.gz RCFOBOCVVXWHTN-RWMBFGLXSA-N 0 3 213.365 2.920 20 0 BFADHN CC(C)CCCN(C)[C@H](C)c1cnn(C)c1 ZINC000429332215 201101261 /nfs/dbraw/zinc/10/12/61/201101261.db2.gz PDHWRWOMDKCLAG-GFCCVEGCSA-N 0 3 223.364 2.849 20 0 BFADHN CC(C)CCCN1CCOC[C@H]1C1CC1 ZINC000429328923 201102402 /nfs/dbraw/zinc/10/24/02/201102402.db2.gz CGTZWKIDZCBFTM-ZDUSSCGKSA-N 0 3 211.349 2.533 20 0 BFADHN CCC(C)(C)CCN1CC[C@H](C)[S@@](=O)CC1 ZINC000429563538 201116805 /nfs/dbraw/zinc/11/68/05/201116805.db2.gz NKDNYNDMNKCVPK-LRDDRELGSA-N 0 3 245.432 2.656 20 0 BFADHN COc1ccnc(CN(C)[C@@H]2CC[C@@H](C)C2)c1 ZINC000429582739 201120273 /nfs/dbraw/zinc/12/02/73/201120273.db2.gz FNLZPBITYYNVTM-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN CCCN(CC1CCCC1)[C@H](C)C(=O)OCC ZINC000429724335 201130264 /nfs/dbraw/zinc/13/02/64/201130264.db2.gz WSOTVWWTVJLKPS-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1cc(C[C@H](C)N[C@@H](C)c2ccoc2)[nH]n1 ZINC000181425289 366809746 /nfs/dbraw/zinc/80/97/46/366809746.db2.gz MCWUMCFHJAXGKI-ONGXEEELSA-N 0 3 233.315 2.593 20 0 BFADHN Cc1cc(C[C@H](C)N[C@@H](C)c2ccoc2)n[nH]1 ZINC000181425289 366809751 /nfs/dbraw/zinc/80/97/51/366809751.db2.gz MCWUMCFHJAXGKI-ONGXEEELSA-N 0 3 233.315 2.593 20 0 BFADHN Cc1cc(C[C@@H](C)N[C@H](C)c2ccoc2)[nH]n1 ZINC000181425310 366809976 /nfs/dbraw/zinc/80/99/76/366809976.db2.gz MCWUMCFHJAXGKI-MWLCHTKSSA-N 0 3 233.315 2.593 20 0 BFADHN Cc1cc(C[C@@H](C)N[C@H](C)c2ccoc2)n[nH]1 ZINC000181425310 366809985 /nfs/dbraw/zinc/80/99/85/366809985.db2.gz MCWUMCFHJAXGKI-MWLCHTKSSA-N 0 3 233.315 2.593 20 0 BFADHN COC1(CNCc2ccc(F)cc2F)CCC1 ZINC000181081982 366783452 /nfs/dbraw/zinc/78/34/52/366783452.db2.gz NDSCKQMCMFBJCE-UHFFFAOYSA-N 0 3 241.281 2.624 20 0 BFADHN CCc1ccc(CN(C)C(C)(C)COC)cn1 ZINC000430114380 201145562 /nfs/dbraw/zinc/14/55/62/201145562.db2.gz REUUQHPOZXCRHX-UHFFFAOYSA-N 0 3 236.359 2.501 20 0 BFADHN COCC(C)(C)CN(C)Cc1occc1C ZINC000430115609 201145817 /nfs/dbraw/zinc/14/58/17/201145817.db2.gz AEHKNYXOGDLFKW-UHFFFAOYSA-N 0 3 225.332 2.692 20 0 BFADHN CCOC1(C)CCN(Cc2ccc(C)nc2)CC1 ZINC000430096420 201143051 /nfs/dbraw/zinc/14/30/51/201143051.db2.gz ABDMJGBJJUUADC-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CCn1cc(CN2CC3(CCC3)[C@@H]2C(C)C)cn1 ZINC000430105188 201144839 /nfs/dbraw/zinc/14/48/39/201144839.db2.gz OIWFSVXQINHTPG-AWEZNQCLSA-N 0 3 247.386 2.914 20 0 BFADHN c1cc(-c2ccc(CN[C@H]3C=CCCC3)o2)n[nH]1 ZINC000433340300 201181688 /nfs/dbraw/zinc/18/16/88/201181688.db2.gz DIWYDGZFXQWDOE-NSHDSACASA-N 0 3 243.310 2.868 20 0 BFADHN Cc1cc(CN(C)C[C@@H](O)C(C)C)ccc1F ZINC000433202118 201167442 /nfs/dbraw/zinc/16/74/42/201167442.db2.gz OQVKLCWGHAXHAR-CQSZACIVSA-N 0 3 239.334 2.583 20 0 BFADHN COCCCN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000079820582 370695882 /nfs/dbraw/zinc/69/58/82/370695882.db2.gz GOXVOYLYRHXESK-JTQLQIEISA-N 0 3 243.297 2.994 20 0 BFADHN Cc1cccn2cc(CNC3CC(C)(C)C3)nc12 ZINC000433284415 201177169 /nfs/dbraw/zinc/17/71/69/201177169.db2.gz JWADBCUXJMUJRJ-UHFFFAOYSA-N 0 3 243.354 2.921 20 0 BFADHN CCOc1cccc(CN[C@@]23C[C@@H]2CCCC3)n1 ZINC000631224756 361566981 /nfs/dbraw/zinc/56/69/81/361566981.db2.gz DCLIGUSBORDDAT-WFASDCNBSA-N 0 3 246.354 2.903 20 0 BFADHN COCCN[C@@H](C)c1cc(Cl)ccc1OC ZINC000152137338 201188889 /nfs/dbraw/zinc/18/88/89/201188889.db2.gz LTCOWNOGILZNSA-VIFPVBQESA-N 0 3 243.734 2.646 20 0 BFADHN COCCN[C@@H](C)c1ccc(SC)c(F)c1 ZINC000152111572 201189192 /nfs/dbraw/zinc/18/91/92/201189192.db2.gz IEQWXMMSALAOOR-VIFPVBQESA-N 0 3 243.347 2.845 20 0 BFADHN CO[C@@H](C)CCNCc1scnc1C1CC1 ZINC000309971263 491159111 /nfs/dbraw/zinc/15/91/11/491159111.db2.gz PRCCISGJCRLLCJ-VIFPVBQESA-N 0 3 240.372 2.535 20 0 BFADHN CC1(C)CN(CCC2=CCCCC2)CCO1 ZINC000450214540 201271259 /nfs/dbraw/zinc/27/12/59/201271259.db2.gz IMLJYQVGVFKBEN-UHFFFAOYSA-N 0 3 223.360 2.988 20 0 BFADHN Cc1ccc(-c2ccc(N3CCNCC3)cc2)o1 ZINC000629716415 361658186 /nfs/dbraw/zinc/65/81/86/361658186.db2.gz KJWNXUABUUZWDD-UHFFFAOYSA-N 0 3 242.322 2.665 20 0 BFADHN Cc1ccc(-c2cccc([C@H]3CNCCO3)c2)o1 ZINC000629717632 361660120 /nfs/dbraw/zinc/66/01/20/361660120.db2.gz RTJKAUWRENGGSY-OAHLLOKOSA-N 0 3 243.306 2.916 20 0 BFADHN Cc1cc(C)c(/C=C/CNC/C=C/CO)cc1C ZINC000631172562 361660523 /nfs/dbraw/zinc/66/05/23/361660523.db2.gz KXYJSOGLZZXDGG-YTXTXJHMSA-N 0 3 245.366 2.763 20 0 BFADHN Cc1ccc(-c2cnn(CCN(C)C)c2)cc1C ZINC000629732543 361670680 /nfs/dbraw/zinc/67/06/80/361670680.db2.gz PYUQKLGCEHRMSJ-UHFFFAOYSA-N 0 3 243.354 2.729 20 0 BFADHN CN[C@@H](CO)c1cccc(-c2ccc(C)cc2)c1 ZINC000629732535 361671278 /nfs/dbraw/zinc/67/12/78/361671278.db2.gz PRFVTQBXTIKBHQ-INIZCTEOSA-N 0 3 241.334 2.915 20 0 BFADHN Cc1coc(-c2ccc(N3CCNCC3)cc2)c1 ZINC000629736781 361675052 /nfs/dbraw/zinc/67/50/52/361675052.db2.gz WOHVLNGAEYZRJN-UHFFFAOYSA-N 0 3 242.322 2.665 20 0 BFADHN Cc1coc(-c2ccc([C@H]3CNCCO3)cc2)c1 ZINC000629736897 361675903 /nfs/dbraw/zinc/67/59/03/361675903.db2.gz YBICPHVJXORRCZ-OAHLLOKOSA-N 0 3 243.306 2.916 20 0 BFADHN CC(C)[C@@H]1CCCC[C@H]1NCc1cn(C)cn1 ZINC000310293756 491160961 /nfs/dbraw/zinc/16/09/61/491160961.db2.gz ADLRVXIOIJJFQE-UONOGXRCSA-N 0 3 235.375 2.725 20 0 BFADHN CCOc1cccc(CN[C@H]2CC[C@@H](C)C2)n1 ZINC000436406452 201323850 /nfs/dbraw/zinc/32/38/50/201323850.db2.gz HUKTZIVGTGRSHQ-NEPJUHHUSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccccc1CN[C@H]1CCC(F)(F)C1 ZINC000310349797 491161130 /nfs/dbraw/zinc/16/11/30/491161130.db2.gz QHSKIFFTZSTFIO-NSHDSACASA-N 0 3 241.281 2.973 20 0 BFADHN CCN(C)c1ccc(CN2CCC=C(C)C2)cn1 ZINC000437356963 201351215 /nfs/dbraw/zinc/35/12/15/201351215.db2.gz YMVNNZZBDFJIFC-UHFFFAOYSA-N 0 3 245.370 2.690 20 0 BFADHN COc1ccc(CN2CCC=C(C)C2)cc1OC ZINC000437345647 201351433 /nfs/dbraw/zinc/35/14/33/201351433.db2.gz LSSMUPLUKJPRRM-UHFFFAOYSA-N 0 3 247.338 2.856 20 0 BFADHN CC(C)N(CC[C@H](C)O)Cc1ccccc1F ZINC000450303207 201354534 /nfs/dbraw/zinc/35/45/34/201354534.db2.gz MNHLUFVDWRRIKC-LBPRGKRZSA-N 0 3 239.334 2.807 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccc1F)C(C)(C)O ZINC000083727127 491162763 /nfs/dbraw/zinc/16/27/63/491162763.db2.gz OFIQDPFEEXTHBK-VHSXEESVSA-N 0 3 225.307 2.636 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1ccc(OC)nc1 ZINC000438107499 201390205 /nfs/dbraw/zinc/39/02/05/201390205.db2.gz IMFTXYZDIVQNCN-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN CCc1nc(CN[C@@H]2CCC[C@H]3C[C@H]32)cs1 ZINC000328462226 363888933 /nfs/dbraw/zinc/88/89/33/363888933.db2.gz KDNQBAVATAYFDL-MVWJERBFSA-N 0 3 236.384 2.984 20 0 BFADHN Cc1cccc(C)c1CNCc1ccc[nH]c1=O ZINC000623777748 363891447 /nfs/dbraw/zinc/89/14/47/363891447.db2.gz PSGLKRVBNHHDMB-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN C[C@](CO)(N[C@@H]1C=CCCC1)c1ccccc1 ZINC000225451364 370701384 /nfs/dbraw/zinc/70/13/84/370701384.db2.gz XPYJKAAEXJOTGE-HUUCEWRRSA-N 0 3 231.339 2.592 20 0 BFADHN CCC[C@@H](N)c1cn([C@H]2CCC(C)(C)C2)nn1 ZINC000630604248 364328490 /nfs/dbraw/zinc/32/84/90/364328490.db2.gz RCNBYQOGOCANIS-WDEREUQCSA-N 0 3 236.363 2.829 20 0 BFADHN CCC[C@H](N)c1cn([C@H]2CCC(C)(C)C2)nn1 ZINC000630604247 364328637 /nfs/dbraw/zinc/32/86/37/364328637.db2.gz RCNBYQOGOCANIS-QWRGUYRKSA-N 0 3 236.363 2.829 20 0 BFADHN C[C@H]1CC[C@H](n2cc(CNC3CCCC3)nn2)C1 ZINC000630602181 364318212 /nfs/dbraw/zinc/31/82/12/364318212.db2.gz AMTGMKYXHVZUEY-FZMZJTMJSA-N 0 3 248.374 2.671 20 0 BFADHN CC[C@H](NC1CC(F)(F)C1)c1ccncc1 ZINC000453209522 202707391 /nfs/dbraw/zinc/70/73/91/202707391.db2.gz LOBGGFWRCRRQSM-NSHDSACASA-N 0 3 226.270 2.920 20 0 BFADHN C[C@@H](F)CCN1CCC=C(c2ccccn2)C1 ZINC000579489230 366829188 /nfs/dbraw/zinc/82/91/88/366829188.db2.gz MTVQIQWVIBVBRG-GFCCVEGCSA-N 0 3 234.318 2.919 20 0 BFADHN CC[C@H](C)CN[C@H](C)c1nnc(C)s1 ZINC000135000295 364421768 /nfs/dbraw/zinc/42/17/68/364421768.db2.gz FXNBEYVHBILEDH-JGVFFNPUSA-N 0 3 213.350 2.543 20 0 BFADHN CCNC(=O)CN(CC(C)(C)C)CC(C)(C)C ZINC000182078326 366866271 /nfs/dbraw/zinc/86/62/71/366866271.db2.gz FFNSHSXPSVAZCD-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN CCOc1ccc([C@H](C)N[C@@H]2C[C@H]2OCC)cc1 ZINC000579552625 366866551 /nfs/dbraw/zinc/86/65/51/366866551.db2.gz PGSJXTDFFSVTSI-NILFDRSVSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1nc(CN2CC[C@H](C)[C@H]3CCCC[C@H]32)n[nH]1 ZINC000644657798 541367185 /nfs/dbraw/zinc/36/71/85/541367185.db2.gz PVFHYWRAUBFADI-CYZMBNFOSA-N 0 3 248.374 2.514 20 0 BFADHN CCc1ncc(CN[C@H](C)C(C)(C)C)o1 ZINC000453358647 202749290 /nfs/dbraw/zinc/74/92/90/202749290.db2.gz DYDBSIUMMNUPJG-SECBINFHSA-N 0 3 210.321 2.761 20 0 BFADHN COC[C@@H](NC1(c2ccccc2F)CC1)C1CC1 ZINC000182241957 541369169 /nfs/dbraw/zinc/36/91/69/541369169.db2.gz WRZWQPNRJQUSCX-CQSZACIVSA-N 0 3 249.329 2.829 20 0 BFADHN CCN(Cc1ccccc1F)C[C@@H]1CCOC1 ZINC000052071461 364657636 /nfs/dbraw/zinc/65/76/36/364657636.db2.gz ILAIMJJNCZJYNO-LBPRGKRZSA-N 0 3 237.318 2.684 20 0 BFADHN C[C@@H](CN1C[C@@H](C)[S@@](=O)[C@@H](C)C1)C(C)(C)C ZINC000619845815 364658568 /nfs/dbraw/zinc/65/85/68/364658568.db2.gz LSNOOWZQWHXNOI-OVZMXSCWSA-N 0 3 245.432 2.510 20 0 BFADHN C[C@H](CN1C[C@@H](C)S(=O)[C@H](C)C1)C(C)(C)C ZINC000619845814 364658928 /nfs/dbraw/zinc/65/89/28/364658928.db2.gz LSNOOWZQWHXNOI-IJLUTSLNSA-N 0 3 245.432 2.510 20 0 BFADHN CC[C@@H](O)CN[C@H](C)c1ccc(F)c(C)c1 ZINC000271788318 364747956 /nfs/dbraw/zinc/74/79/56/364747956.db2.gz MKQMLUJSQOVLCI-ZYHUDNBSSA-N 0 3 225.307 2.556 20 0 BFADHN Cc1ccc(CN2CCC(C)(C)C2)cn1 ZINC000153891294 364722711 /nfs/dbraw/zinc/72/27/11/364722711.db2.gz LQMYVZDOHHVYDI-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CCc1ccc(CN2CC[C@@H](O)[C@H](C)C2)s1 ZINC000164666342 364772713 /nfs/dbraw/zinc/77/27/13/364772713.db2.gz YBRQJGIYOLRQFY-ZWNOBZJWSA-N 0 3 239.384 2.513 20 0 BFADHN c1cnc(CN2CCC[C@H]2C2CCCC2)nc1 ZINC000191956958 364776058 /nfs/dbraw/zinc/77/60/58/364776058.db2.gz CJAJGHQOHQQTFB-ZDUSSCGKSA-N 0 3 231.343 2.631 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H]1C[C@H](C)O[C@@H]1C)CO2 ZINC000573555436 364859015 /nfs/dbraw/zinc/85/90/15/364859015.db2.gz ZORUXVDAPOLUQR-OIMNJJJWSA-N 0 3 247.338 2.584 20 0 BFADHN CC1(CN2CCN(C3CCCCC3)CC2)CC1 ZINC000573422029 364828472 /nfs/dbraw/zinc/82/84/72/364828472.db2.gz YMNRBDZTRAOMKY-UHFFFAOYSA-N 0 3 236.403 2.737 20 0 BFADHN COc1c(O)cccc1CN(C)C(C)(C)C ZINC000573446062 364835397 /nfs/dbraw/zinc/83/53/97/364835397.db2.gz LKVRJFSPJDPUES-UHFFFAOYSA-N 0 3 223.316 2.631 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@@H]2CC2(F)F)o1 ZINC000666444570 541385974 /nfs/dbraw/zinc/38/59/74/541385974.db2.gz IMNVBSUXFBPRAK-SCZZXKLOSA-N 0 3 215.243 2.721 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@H]2CC2(F)F)o1 ZINC000666444565 541385986 /nfs/dbraw/zinc/38/59/86/541385986.db2.gz IMNVBSUXFBPRAK-PSASIEDQSA-N 0 3 215.243 2.721 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1ccoc1 ZINC000168360731 364912557 /nfs/dbraw/zinc/91/25/57/364912557.db2.gz HXKFGWILPLQZJX-WXHSDQCUSA-N 0 3 223.316 2.888 20 0 BFADHN C1=C[C@@H](N2CCOC[C@H]2C2CCC2)CCC1 ZINC000573799428 364917892 /nfs/dbraw/zinc/91/78/92/364917892.db2.gz QWEDXLVKIUDBJN-KGLIPLIRSA-N 0 3 221.344 2.596 20 0 BFADHN Cc1cccn2cc(CNCC3(C)CCC3)nc12 ZINC000434787369 541391063 /nfs/dbraw/zinc/39/10/63/541391063.db2.gz UVLJXJUALJZXMS-UHFFFAOYSA-N 0 3 243.354 2.923 20 0 BFADHN CC(C)n1ccc(CN[C@H]2CCC23CCC3)n1 ZINC000573935186 364950931 /nfs/dbraw/zinc/95/09/31/364950931.db2.gz RLQCFGIOEKSJIG-ZDUSSCGKSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2cscn2)CCO1 ZINC000573911764 364942483 /nfs/dbraw/zinc/94/24/83/364942483.db2.gz XQEBKPXNNORJRA-OUAUKWLOSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1nnsc1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000574089158 364985183 /nfs/dbraw/zinc/98/51/83/364985183.db2.gz KNPUEJOSQJMWBW-MWLCHTKSSA-N 0 3 239.388 2.857 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](CC)c1ccsc1 ZINC000574152435 364996882 /nfs/dbraw/zinc/99/68/82/364996882.db2.gz XNSUXMSKFDMFQE-QJPTWQEYSA-N 0 3 225.357 2.966 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@@H]1c2ccc(F)cc2O[C@H]1C ZINC000574508521 365075532 /nfs/dbraw/zinc/07/55/32/365075532.db2.gz XUTFTNGJZBPMIV-PUXPGTEJSA-N 0 3 235.302 2.893 20 0 BFADHN CC[C@]1(C)CN(CCCc2ccncc2)CCO1 ZINC000442887622 193000530 /nfs/dbraw/zinc/00/05/30/193000530.db2.gz XWITVLBMYYQPCI-OAHLLOKOSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1ccoc1CN1CC[C@H](C)C[C@@H]1[C@@H](C)O ZINC000443791335 193029737 /nfs/dbraw/zinc/02/97/37/193029737.db2.gz RBIILXYJXWXBTE-CYZMBNFOSA-N 0 3 237.343 2.569 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN1CCOC[C@H]1C ZINC000443421588 193020678 /nfs/dbraw/zinc/02/06/78/193020678.db2.gz YOFZRLBRRCIVHL-MJBXVCDLSA-N 0 3 223.360 2.700 20 0 BFADHN CC[C@H](C(=O)OC)N1CCC[C@H](C(C)C)CC1 ZINC000444158872 193038289 /nfs/dbraw/zinc/03/82/89/193038289.db2.gz RYERNTQBBCTWOF-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN COc1cccc(OCCN2CCC23CCC3)c1 ZINC000443612418 193026486 /nfs/dbraw/zinc/02/64/86/193026486.db2.gz ACQHIKTXGVRCQP-UHFFFAOYSA-N 0 3 247.338 2.702 20 0 BFADHN CCOC(=O)[C@H](CC)N1CC[C@H](C)C[C@@H](C)C1 ZINC000443641400 193027479 /nfs/dbraw/zinc/02/74/79/193027479.db2.gz PIHYGJNKMGDWFK-XQQFMLRXSA-N 0 3 241.375 2.696 20 0 BFADHN COc1cccc(OCCN2CCC(C)CC2)c1 ZINC000443671112 193028336 /nfs/dbraw/zinc/02/83/36/193028336.db2.gz AQGPCFMJCOVGDB-UHFFFAOYSA-N 0 3 249.354 2.806 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C[C@@H](C)C2)nn1C ZINC000443643134 193028446 /nfs/dbraw/zinc/02/84/46/193028446.db2.gz UUHVPKOVGIOXNC-NWDGAFQWSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@H](O)[C@@H]1C[C@H](C)CCN1Cc1ccsc1 ZINC000443791494 193028644 /nfs/dbraw/zinc/02/86/44/193028644.db2.gz QOWZBPJDAZLPNU-MDZLAQPJSA-N 0 3 239.384 2.729 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)C[C@@H]2[C@H](C)O)o1 ZINC000443790825 193029345 /nfs/dbraw/zinc/02/93/45/193029345.db2.gz PMJSPSCLPIVTEX-VHRBIJSZSA-N 0 3 237.343 2.569 20 0 BFADHN CCc1ccccc1CCN(C)C[C@@H]1CCCO1 ZINC000444585468 193050179 /nfs/dbraw/zinc/05/01/79/193050179.db2.gz ZELVWSVUPSJJLM-INIZCTEOSA-N 0 3 247.382 2.902 20 0 BFADHN CCC1CCN(CCO[C@@H]2CCCCO2)CC1 ZINC000444596014 193051185 /nfs/dbraw/zinc/05/11/85/193051185.db2.gz KWKDNQVNSURUQB-CQSZACIVSA-N 0 3 241.375 2.652 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@@H]1CC12CCCC2 ZINC000336514669 365337669 /nfs/dbraw/zinc/33/76/69/365337669.db2.gz NEPHYPWBMYRTCW-GXFFZTMASA-N 0 3 233.359 2.712 20 0 BFADHN C[C@H](NCc1cnccn1)[C@@H]1CCC[C@H](C)C1 ZINC000575636250 365342059 /nfs/dbraw/zinc/34/20/59/365342059.db2.gz HMZNRMKKNFSNQN-RWMBFGLXSA-N 0 3 233.359 2.781 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000575936202 365422183 /nfs/dbraw/zinc/42/21/83/365422183.db2.gz NYUGJIPMMZQOFL-NHAGDIPZSA-N 0 3 231.339 2.892 20 0 BFADHN Cc1occc1CN1C[C@@H](C)S[C@@H](C)C1 ZINC000575894038 365407792 /nfs/dbraw/zinc/40/77/92/365407792.db2.gz DBCIWUUSLOZOSO-AOOOYVTPSA-N 0 3 225.357 2.914 20 0 BFADHN CCO[C@H]1C[C@H]1NC1CC(c2cccc(F)c2)C1 ZINC000575826396 365376374 /nfs/dbraw/zinc/37/63/74/365376374.db2.gz XEBFHBRSZOBFHZ-AXVKAWJUSA-N 0 3 249.329 2.839 20 0 BFADHN CCO[C@@H]1CCCN(Cc2ccc(C)o2)C1 ZINC000155478026 541480250 /nfs/dbraw/zinc/48/02/50/541480250.db2.gz BRAXPSWTJGKCHE-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN COc1c(C)cccc1CN[C@H](C)[C@@H](C)OC ZINC000655810585 541482283 /nfs/dbraw/zinc/48/22/83/541482283.db2.gz MQUULMZGEURAOC-VXGBXAGGSA-N 0 3 237.343 2.517 20 0 BFADHN CCCCN1CCc2cccc(OC)c2C1 ZINC000205486199 541496402 /nfs/dbraw/zinc/49/64/02/541496402.db2.gz ZPYJQEPMNOQNNJ-UHFFFAOYSA-N 0 3 219.328 2.853 20 0 BFADHN CCOC(C)(C)CN[C@@H]1CCCc2cccnc21 ZINC000159247603 541493185 /nfs/dbraw/zinc/49/31/85/541493185.db2.gz CURQVBCDARYNDD-CYBMUJFWSA-N 0 3 248.370 2.864 20 0 BFADHN CCC[C@H]1[C@@H](C)CCCN1Cc1cncnc1 ZINC000179999477 541506827 /nfs/dbraw/zinc/50/68/27/541506827.db2.gz ZHUDICHKNUGSRP-JSGCOSHPSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NCc1cccc(C)c1 ZINC000180033057 541506689 /nfs/dbraw/zinc/50/66/89/541506689.db2.gz UEBDFJUYNPKOMH-LLVKDONJSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CCC(F)(F)C1 ZINC000383811188 541514390 /nfs/dbraw/zinc/51/43/90/541514390.db2.gz GBOARFUBQFJZCC-VHSXEESVSA-N 0 3 241.285 2.623 20 0 BFADHN CC(C)CCc1ccc(NC(=O)[C@H](C)N)cc1 ZINC000579712261 366988281 /nfs/dbraw/zinc/98/82/81/366988281.db2.gz MBFOKXIOXRLGNS-NSHDSACASA-N 0 3 234.343 2.561 20 0 BFADHN CN(Cc1cccc2nccn21)CC1CCC1 ZINC000183391734 367019657 /nfs/dbraw/zinc/01/96/57/367019657.db2.gz XPJMDKBBSCQAFH-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CC(C)Cn1cc(CN2[C@@H](C)CC[C@@H]2C)cn1 ZINC000183411284 367022067 /nfs/dbraw/zinc/02/20/67/367022067.db2.gz HAWIVFWCWVAHIL-STQMWFEESA-N 0 3 235.375 2.912 20 0 BFADHN CCN(CC)Cc1cn(C)nc1C(C)(C)C ZINC000183445586 367024015 /nfs/dbraw/zinc/02/40/15/367024015.db2.gz MXTRQNULJZFERE-UHFFFAOYSA-N 0 3 223.364 2.559 20 0 BFADHN C[C@@H](N[C@@H](C)c1cscn1)[C@@H]1C[C@H]1C ZINC000579732967 366998678 /nfs/dbraw/zinc/99/86/78/366998678.db2.gz FEJHVIFGKJYGLE-DOLQZWNJSA-N 0 3 210.346 2.838 20 0 BFADHN Cc1nc(CNCC(C)(C)C(C)C)[nH]c1C ZINC000579735319 366999929 /nfs/dbraw/zinc/99/99/29/366999929.db2.gz XSXOCVSPNDMZAU-UHFFFAOYSA-N 0 3 223.364 2.798 20 0 BFADHN CC(C)[C@H](C)CN[C@H](c1nccn1C)C1CC1 ZINC000183283666 367009399 /nfs/dbraw/zinc/00/93/99/367009399.db2.gz GZTLRZOEZVOVTA-YPMHNXCESA-N 0 3 235.375 2.753 20 0 BFADHN CC(C)Cn1cc(CN2C[C@H]3CCC[C@@H]3C2)cn1 ZINC000183310912 367014167 /nfs/dbraw/zinc/01/41/67/367014167.db2.gz PEVRIQTUXNBKTR-HUUCEWRRSA-N 0 3 247.386 2.771 20 0 BFADHN C[C@@H]1[C@@H](NCCF)C[C@@H]1c1ccccc1 ZINC000367095204 367079806 /nfs/dbraw/zinc/07/98/06/367079806.db2.gz VXIUEQCXOVQNSN-DRZSPHRISA-N 0 3 207.292 2.738 20 0 BFADHN C[C@H]1[C@H](c2ccccc2)C[C@@H]1NCCF ZINC000367095205 367080410 /nfs/dbraw/zinc/08/04/10/367080410.db2.gz VXIUEQCXOVQNSN-UHTWSYAYSA-N 0 3 207.292 2.738 20 0 BFADHN CC1(CN2CC[C@H](n3cc(Cl)cn3)C2)CC1 ZINC000580020468 367127532 /nfs/dbraw/zinc/12/75/32/367127532.db2.gz PNGGSKQGUQPFNB-NSHDSACASA-N 0 3 239.750 2.583 20 0 BFADHN CC1(CN2CC[C@@H](n3cc(Cl)cn3)C2)CC1 ZINC000580020466 367128038 /nfs/dbraw/zinc/12/80/38/367128038.db2.gz PNGGSKQGUQPFNB-LLVKDONJSA-N 0 3 239.750 2.583 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1c[nH]cn1 ZINC000193387736 367172968 /nfs/dbraw/zinc/17/29/68/367172968.db2.gz MZCBAWFTOXGTIE-DGCLKSJQSA-N 0 3 221.348 2.810 20 0 BFADHN CN(Cc1nc2ccccc2[nH]1)C1CCCC1 ZINC000193119658 367152205 /nfs/dbraw/zinc/15/22/05/367152205.db2.gz PEYAQRLEUXEWHQ-UHFFFAOYSA-N 0 3 229.327 2.937 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](C)C(C)C)no1 ZINC000380262936 367165587 /nfs/dbraw/zinc/16/55/87/367165587.db2.gz SHPPWOLMJKSEGU-KOLCDFICSA-N 0 3 210.321 2.926 20 0 BFADHN CCc1cccc(F)c1CN[C@@H]1CO[C@H](C)C1 ZINC000580892433 367198593 /nfs/dbraw/zinc/19/85/93/367198593.db2.gz IWSXJQQDUFPQKJ-PWSUYJOCSA-N 0 3 237.318 2.655 20 0 BFADHN COC[C@H](C)N(C)Cc1ccc(SC)cc1 ZINC000193899733 367224959 /nfs/dbraw/zinc/22/49/59/367224959.db2.gz VGZNYRYJZIVOCX-NSHDSACASA-N 0 3 239.384 2.875 20 0 BFADHN Cc1cccc(CN[C@@H]2CC[C@@H]2C2CC2)n1 ZINC000381414497 367398297 /nfs/dbraw/zinc/39/82/97/367398297.db2.gz VQYTWGNWUFDZHF-ZIAGYGMSSA-N 0 3 216.328 2.668 20 0 BFADHN FC1(F)CCC[C@H]1CNCc1ccccn1 ZINC000381475664 367414787 /nfs/dbraw/zinc/41/47/87/367414787.db2.gz DEQCVWFLAZIMPH-JTQLQIEISA-N 0 3 226.270 2.607 20 0 BFADHN C[C@@H](NCc1nccn1C)[C@@H]1CC2CCC1CC2 ZINC000381484264 367416131 /nfs/dbraw/zinc/41/61/31/367416131.db2.gz FZKVVDKHRTVNGW-RYSNWHEDSA-N 0 3 247.386 2.725 20 0 BFADHN CC(C)[C@H](N[C@@H](C)c1ccoc1)C(C)(C)O ZINC000381529863 367425416 /nfs/dbraw/zinc/42/54/16/367425416.db2.gz YLUBXHXBTLFHSN-JQWIXIFHSA-N 0 3 225.332 2.726 20 0 BFADHN CC(C)[C@H](N[C@H](C)c1ccoc1)C(C)(C)O ZINC000381529864 367425722 /nfs/dbraw/zinc/42/57/22/367425722.db2.gz YLUBXHXBTLFHSN-PWSUYJOCSA-N 0 3 225.332 2.726 20 0 BFADHN CCC[C@@]1(CO)CCN(Cc2occc2C)C1 ZINC000581456708 367480059 /nfs/dbraw/zinc/48/00/59/367480059.db2.gz QNAOSCVNCGISLF-CQSZACIVSA-N 0 3 237.343 2.573 20 0 BFADHN CC(C)(NCc1cc(C(F)(F)F)n[nH]1)C1CC1 ZINC000581467351 367495204 /nfs/dbraw/zinc/49/52/04/367495204.db2.gz JXPZRXDZEDYBNN-UHFFFAOYSA-N 0 3 247.264 2.707 20 0 BFADHN CC[C@H](NC1(COC)CC1)c1ccccc1 ZINC000381596125 367434208 /nfs/dbraw/zinc/43/42/08/367434208.db2.gz LUTXPGCYKGWMKY-ZDUSSCGKSA-N 0 3 219.328 2.906 20 0 BFADHN CCn1ccnc1CNC1(CC)CCCC1 ZINC000382557047 367538791 /nfs/dbraw/zinc/53/87/91/367538791.db2.gz IYXGRZMTEMGKAA-UHFFFAOYSA-N 0 3 221.348 2.715 20 0 BFADHN CC[C@H](N[C@@H]1CCn2ccnc21)C1CCCC1 ZINC000382767456 367555041 /nfs/dbraw/zinc/55/50/41/367555041.db2.gz HRYNKPIJSOOBCU-QWHCGFSZSA-N 0 3 233.359 2.886 20 0 BFADHN CCc1cc(CN[C@H]2CCC[C@H]3C[C@H]32)on1 ZINC000382288054 367504307 /nfs/dbraw/zinc/50/43/07/367504307.db2.gz KFMFGGVUTBXLNC-BIMULSAOSA-N 0 3 220.316 2.515 20 0 BFADHN CCn1ccnc1CN[C@@H](C)CC(C)(C)C ZINC000384497534 367694136 /nfs/dbraw/zinc/69/41/36/367694136.db2.gz BHROKHZESDOKPB-NSHDSACASA-N 0 3 223.364 2.817 20 0 BFADHN C[C@@H](N[C@@H](C)CC(C)(C)C)c1nccn1C ZINC000384514804 367694888 /nfs/dbraw/zinc/69/48/88/367694888.db2.gz IHDMGJHXXLWOBV-WDEREUQCSA-N 0 3 223.364 2.895 20 0 BFADHN CC/C=C\CNCc1ccc(OC)c(F)c1 ZINC000384766528 367728826 /nfs/dbraw/zinc/72/88/26/367728826.db2.gz BGAWBZBMUOYGNF-PLNGDYQASA-N 0 3 223.291 2.890 20 0 BFADHN C/C=C\CNCc1cc2c(cc1OC)C[C@H](C)O2 ZINC000384990419 367770669 /nfs/dbraw/zinc/77/06/69/367770669.db2.gz KOLOOVLAKCAFCM-WYGGZMRJSA-N 0 3 247.338 2.684 20 0 BFADHN CCCCCc1ccc(NC(=O)[C@H]2CCN2)cc1 ZINC000384812130 367736719 /nfs/dbraw/zinc/73/67/19/367736719.db2.gz HMKLVJOCMFRROT-CQSZACIVSA-N 0 3 246.354 2.720 20 0 BFADHN COc1ccc([C@@H](C)NCCSC)c(F)c1 ZINC000068994096 370869935 /nfs/dbraw/zinc/86/99/35/370869935.db2.gz WSQVEVRONSTNCL-SECBINFHSA-N 0 3 243.347 2.848 20 0 BFADHN C[C@@H](NCC(C)(C)C1CCC1)c1nccn1C ZINC000384909641 367754428 /nfs/dbraw/zinc/75/44/28/367754428.db2.gz DBHTVEOLDIQGHP-LLVKDONJSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1cn(Cc2c(C)cc(C)nc2C)c(C)n1 ZINC000631011679 370880336 /nfs/dbraw/zinc/88/03/36/370880336.db2.gz BKIRMKWEELPQNQ-UHFFFAOYSA-N 0 3 229.327 2.869 20 0 BFADHN CCC[C@@H](C(=O)OCC)N(CC)CCC1CC1 ZINC000385254654 367813753 /nfs/dbraw/zinc/81/37/53/367813753.db2.gz PINMRHCPRZBSDO-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1cscc1CN[C@@H]1C[C@H](C)O[C@@H]1C ZINC000385139621 367801467 /nfs/dbraw/zinc/80/14/67/367801467.db2.gz LXBPRVQJNLEINK-HOSYDEDBSA-N 0 3 225.357 2.712 20 0 BFADHN Cc1cccc2nc(CNCC(C)(C)C)cn21 ZINC000069024516 370875632 /nfs/dbraw/zinc/87/56/32/370875632.db2.gz VXTZDGJBWZQMDN-UHFFFAOYSA-N 0 3 231.343 2.778 20 0 BFADHN COc1ccc(F)cc1CN[C@@H]1C[C@H](C)[C@H]1C ZINC000385895619 367878084 /nfs/dbraw/zinc/87/80/84/367878084.db2.gz DVUDAQOWWSZRPI-OPQQBVKSSA-N 0 3 237.318 2.968 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H](C)[C@@H]2C)c(F)c1 ZINC000385695047 367855821 /nfs/dbraw/zinc/85/58/21/367855821.db2.gz SOOHLTGQHHKLDU-PKFCDNJMSA-N 0 3 237.318 2.968 20 0 BFADHN CCCn1cc(CN[C@@H]2C[C@H]2CC(C)C)cn1 ZINC000385874147 367872617 /nfs/dbraw/zinc/87/26/17/367872617.db2.gz VPGOXAXZWXJZKH-ZIAGYGMSSA-N 0 3 235.375 2.817 20 0 BFADHN COc1cc(CN[C@@H](C)CC2CCC2)sn1 ZINC000399223305 367906293 /nfs/dbraw/zinc/90/62/93/367906293.db2.gz WBBGVXSFBPCOMU-VIFPVBQESA-N 0 3 240.372 2.820 20 0 BFADHN Cc1ccoc1CN[C@H](CO)C1CCCCC1 ZINC000386255571 367939376 /nfs/dbraw/zinc/93/93/76/367939376.db2.gz LULWHAXLXXEWKC-CYBMUJFWSA-N 0 3 237.343 2.619 20 0 BFADHN CC[C@H](NCc1ccc([C@@H]2C[C@@H]2C)o1)[C@@H](C)O ZINC000386951819 368038147 /nfs/dbraw/zinc/03/81/47/368038147.db2.gz XRBVYOUHRJFIGM-YGNMPJRFSA-N 0 3 237.343 2.652 20 0 BFADHN CCCCN(CC)Cc1ccc(C(=O)OC)o1 ZINC000024547945 368005117 /nfs/dbraw/zinc/00/51/17/368005117.db2.gz HRVYWKLOSWCKMZ-UHFFFAOYSA-N 0 3 239.315 2.688 20 0 BFADHN CC[C@H](NCc1ccccc1Cl)[C@@H](C)O ZINC000386715504 368012178 /nfs/dbraw/zinc/01/21/78/368012178.db2.gz QRDSUPBLVSDOLZ-SKDRFNHKSA-N 0 3 227.735 2.589 20 0 BFADHN CC[C@@H](NCc1ccc(Cl)c(F)c1)[C@@H](C)O ZINC000386739609 368015938 /nfs/dbraw/zinc/01/59/38/368015938.db2.gz DBCOXKYNIZRNOY-PRHODGIISA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@H](NCc1cc(Cl)ccc1F)[C@H](C)O ZINC000386831509 368025435 /nfs/dbraw/zinc/02/54/35/368025435.db2.gz VXYUDURUZMZEJB-UFBFGSQYSA-N 0 3 245.725 2.728 20 0 BFADHN COC(=O)c1cccc(CN2C[C@H](C)C[C@@H]2C)c1 ZINC000581973844 368030136 /nfs/dbraw/zinc/03/01/36/368030136.db2.gz FTTBDLKGICOAOS-NEPJUHHUSA-N 0 3 247.338 2.704 20 0 BFADHN CC[C@@H](NCc1cc2ccccc2o1)[C@@H](C)O ZINC000386868780 368030148 /nfs/dbraw/zinc/03/01/48/368030148.db2.gz KLVBRKNMJHMMPJ-ZWNOBZJWSA-N 0 3 233.311 2.682 20 0 BFADHN CC[C@@H](NCc1sccc1Cl)[C@H](C)O ZINC000386910356 368033706 /nfs/dbraw/zinc/03/37/06/368033706.db2.gz PXXCLNWANVRGAG-IONNQARKSA-N 0 3 233.764 2.651 20 0 BFADHN C[C@H]1CCN(Cc2cccc(F)c2N)C[C@H]1C ZINC000253063331 368442965 /nfs/dbraw/zinc/44/29/65/368442965.db2.gz GOTHHAPNWSAKAV-WDEREUQCSA-N 0 3 236.334 2.886 20 0 BFADHN Cc1cc(NCCN(C)C)nc2ccccc12 ZINC000000015672 368476870 /nfs/dbraw/zinc/47/68/70/368476870.db2.gz FKCCDNGRTYCFLL-UHFFFAOYSA-N 0 3 229.327 2.517 20 0 BFADHN CC[C@H](c1ccccc1)N1CCO[C@H](C)C1 ZINC000057861208 368501983 /nfs/dbraw/zinc/50/19/83/368501983.db2.gz XAAHDLYMPYMKLZ-TZMCWYRMSA-N 0 3 219.328 2.858 20 0 BFADHN CCOCCN1CCC[C@@H]1c1cccs1 ZINC000057598257 368496265 /nfs/dbraw/zinc/49/62/65/368496265.db2.gz FDGADHDKHSXMCR-LLVKDONJSA-N 0 3 225.357 2.922 20 0 BFADHN CCOCCN1CCc2sccc2[C@H]1C ZINC000057645352 368497559 /nfs/dbraw/zinc/49/75/59/368497559.db2.gz UNLWYLBKSYPNGU-SNVBAGLBSA-N 0 3 225.357 2.704 20 0 BFADHN CCCCN1CCN(Cc2cccs2)CC1 ZINC000057620985 368498278 /nfs/dbraw/zinc/49/82/78/368498278.db2.gz XYRYGFBCCIFHLL-UHFFFAOYSA-N 0 3 238.400 2.666 20 0 BFADHN CCOCCN(Cc1cccs1)C1CC1 ZINC000058933144 368524037 /nfs/dbraw/zinc/52/40/37/368524037.db2.gz BVXKNJIHDPTKSO-UHFFFAOYSA-N 0 3 225.357 2.749 20 0 BFADHN c1cnn(CCN2CCC[C@@H]3CCCC[C@H]32)c1 ZINC000058395851 368511017 /nfs/dbraw/zinc/51/10/17/368511017.db2.gz FAJOHUDLMFDKIP-UONOGXRCSA-N 0 3 233.359 2.538 20 0 BFADHN CCN(CC)Cc1cc(=O)oc2cc(C)ccc12 ZINC000060476987 368544674 /nfs/dbraw/zinc/54/46/74/368544674.db2.gz QKPIYQZWJJSHMH-UHFFFAOYSA-N 0 3 245.322 2.943 20 0 BFADHN CCCCN(CCCC)C(=O)CN(CC)CC ZINC000061757476 368568893 /nfs/dbraw/zinc/56/88/93/368568893.db2.gz VAYWEOUPXLLRMD-UHFFFAOYSA-N 0 3 242.407 2.757 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1csc(C)c1C ZINC000399870234 368643977 /nfs/dbraw/zinc/64/39/77/368643977.db2.gz TYOKZUREDYBFKS-UWVGGRQHSA-N 0 3 227.373 2.878 20 0 BFADHN C[C@H]1CCCCN1Cc1cccc2c1OCCO2 ZINC000068270766 368721252 /nfs/dbraw/zinc/72/12/52/368721252.db2.gz RVVMUWHHTUVUDD-LBPRGKRZSA-N 0 3 247.338 2.832 20 0 BFADHN CCC(CC)[C@H](C)C(=O)NC(C)(C)CN(C)C ZINC000457216679 203021164 /nfs/dbraw/zinc/02/11/64/203021164.db2.gz DJODDCVGUOCRQV-NSHDSACASA-N 0 3 242.407 2.515 20 0 BFADHN C[C@@H](CC(=O)NC(C)(C)CN(C)C)C(C)(C)C ZINC000457200915 203017096 /nfs/dbraw/zinc/01/70/96/203017096.db2.gz FOYSWLSLNBCOEL-NSHDSACASA-N 0 3 242.407 2.515 20 0 BFADHN CCCC[C@@H](CC)C(=O)NC(C)(C)CN(C)C ZINC000457200789 203017999 /nfs/dbraw/zinc/01/79/99/203017999.db2.gz FJBFPOYNJQRONF-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN CCOCCN(C)[C@@H]1CCc2ccccc21 ZINC000073741678 368814224 /nfs/dbraw/zinc/81/42/24/368814224.db2.gz YRKALUSRLDPMQK-CQSZACIVSA-N 0 3 219.328 2.642 20 0 BFADHN CC1(C)CCC[C@@H](NCc2cc[nH]n2)CC1 ZINC000083435643 368776752 /nfs/dbraw/zinc/77/67/52/368776752.db2.gz STMZGBHNCMYQMF-LLVKDONJSA-N 0 3 221.348 2.858 20 0 BFADHN Cc1ccc(NC(=O)CN2C[C@H](C)[C@H](C)C2)cc1 ZINC000072872050 368782492 /nfs/dbraw/zinc/78/24/92/368782492.db2.gz AAIPYCUCIFXQBG-BETUJISGSA-N 0 3 246.354 2.521 20 0 BFADHN Cc1sccc1CN[C@H](CO)CCC(C)C ZINC000623646502 368968298 /nfs/dbraw/zinc/96/82/98/368968298.db2.gz IIRHKTIULMPGKD-ZDUSSCGKSA-N 0 3 241.400 2.943 20 0 BFADHN CC(C)CC[C@@H](CO)NCc1cccc(F)c1 ZINC000623647448 368970057 /nfs/dbraw/zinc/97/00/57/368970057.db2.gz HGICKBJKUBNYSW-AWEZNQCLSA-N 0 3 239.334 2.712 20 0 BFADHN Cc1nnc(CN[C@H]2CC(C)(C)C[C@H]2C)s1 ZINC000309757326 326166544 /nfs/dbraw/zinc/16/65/44/326166544.db2.gz HVDFFMVXYUSZHT-SCZZXKLOSA-N 0 3 239.388 2.761 20 0 BFADHN CCC[C@@H](C)CN1CCO[C@]2(CCSC2)C1 ZINC000567472658 326199820 /nfs/dbraw/zinc/19/98/20/326199820.db2.gz LTDPFDFLIIFPBX-CHWSQXEVSA-N 0 3 243.416 2.631 20 0 BFADHN CCC[NH+](CCC)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459293661 203249335 /nfs/dbraw/zinc/24/93/35/203249335.db2.gz GBKYGFPCCNYCTE-UHFFFAOYSA-N 0 3 249.358 2.682 20 0 BFADHN CCN(Cc1cnc(C2CC2)nc1)CC(C)C ZINC000459310593 203252828 /nfs/dbraw/zinc/25/28/28/203252828.db2.gz WMNNUCLJGYAMCF-UHFFFAOYSA-N 0 3 233.359 2.832 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1CCn1cc(Cl)cn1 ZINC000459401048 203273272 /nfs/dbraw/zinc/27/32/72/203273272.db2.gz CEJYMIATXMSISS-JQWIXIFHSA-N 0 3 241.766 2.657 20 0 BFADHN CC[C@H]1[C@H](C)CCN1CCn1cc(Cl)cn1 ZINC000459401049 203273319 /nfs/dbraw/zinc/27/33/19/203273319.db2.gz CEJYMIATXMSISS-PWSUYJOCSA-N 0 3 241.766 2.657 20 0 BFADHN CC[C@H](C)N(C)Cc1cnc(C2CC2)nc1 ZINC000459311592 203255913 /nfs/dbraw/zinc/25/59/13/203255913.db2.gz XZDYFKTUFCOKNX-JTQLQIEISA-N 0 3 219.332 2.584 20 0 BFADHN C[C@@H]1CN(CCOCC2CCCC2)CCS1 ZINC000459321172 203256474 /nfs/dbraw/zinc/25/64/74/203256474.db2.gz ANGDLTLWCUHPKR-GFCCVEGCSA-N 0 3 243.416 2.631 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1CCn1cc(Cl)cn1 ZINC000459333371 203257792 /nfs/dbraw/zinc/25/77/92/203257792.db2.gz AXLBEHXCCOSPHH-WDEREUQCSA-N 0 3 241.766 2.657 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cnc(C3CC3)nc2)C1 ZINC000459344084 203261955 /nfs/dbraw/zinc/26/19/55/203261955.db2.gz RETUFQCJNQWKQZ-HNNXBMFYSA-N 0 3 245.370 2.976 20 0 BFADHN C[C@@H]1OCCN(CC2CCC(F)(F)CC2)[C@H]1C ZINC000459361196 203268732 /nfs/dbraw/zinc/26/87/32/203268732.db2.gz HZAPOVANRNZUAI-QWRGUYRKSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@H]1CCC[C@H](C)N1CCn1cc(Cl)cn1 ZINC000459355124 203269313 /nfs/dbraw/zinc/26/93/13/203269313.db2.gz UECHJAZSXTXJAO-QWRGUYRKSA-N 0 3 241.766 2.799 20 0 BFADHN COC[C@H]1CCN1CC1CCC(F)(F)CC1 ZINC000459487311 203289616 /nfs/dbraw/zinc/28/96/16/203289616.db2.gz MDFMPDSJYOMWHB-LLVKDONJSA-N 0 3 233.302 2.533 20 0 BFADHN C[C@@H](C[S@@](C)=O)N(C)C1CC(C(C)(C)C)C1 ZINC000459490991 203291733 /nfs/dbraw/zinc/29/17/33/203291733.db2.gz VOHALRZMIUYTQH-CNSPOBLWSA-N 0 3 245.432 2.510 20 0 BFADHN C[C@H]1CC[C@H](N(C)Cc2cnc(C3CC3)nc2)C1 ZINC000459518416 203295781 /nfs/dbraw/zinc/29/57/81/203295781.db2.gz XMWVSBDKGBCHNE-FZMZJTMJSA-N 0 3 245.370 2.974 20 0 BFADHN CC1CN(CCc2ccc(Cl)cc2)C1 ZINC000459534009 203297273 /nfs/dbraw/zinc/29/72/73/203297273.db2.gz UBGOBDDPJXZPIK-UHFFFAOYSA-N 0 3 209.720 2.834 20 0 BFADHN CCOc1cc(CN[C@@H]2C=CCCC2)ccc1O ZINC000459438710 203280698 /nfs/dbraw/zinc/28/06/98/203280698.db2.gz IAKFYNDCEZKVOG-CYBMUJFWSA-N 0 3 247.338 2.989 20 0 BFADHN OCCC1CN(Cc2ccc3ccccc3c2)C1 ZINC000459584493 203306979 /nfs/dbraw/zinc/30/69/79/203306979.db2.gz BAOBKHOZDRCQJP-UHFFFAOYSA-N 0 3 241.334 2.654 20 0 BFADHN C[C@H](NC[C@@H]1CC2CCC1CC2)c1nccn1C ZINC000400014342 369340443 /nfs/dbraw/zinc/34/04/43/369340443.db2.gz GZBQKPKMRFJWCN-ABXWRUBCSA-N 0 3 247.386 2.897 20 0 BFADHN CCn1cc([C@H](C)NC2CC3(CCC3)C2)cn1 ZINC000459565962 203303062 /nfs/dbraw/zinc/30/30/62/203303062.db2.gz GMHSMOVGKWCKCU-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2CO[C@H](C)C2)cc1 ZINC000401872666 369372965 /nfs/dbraw/zinc/37/29/65/369372965.db2.gz ZSDPMEFFXFUQQC-MDZLAQPJSA-N 0 3 235.327 2.523 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@H]2CO[C@@H](C)C2)s1 ZINC000401900561 369374137 /nfs/dbraw/zinc/37/41/37/369374137.db2.gz OHBZHIMEKPMKTG-OVYXKVPISA-N 0 3 240.372 2.588 20 0 BFADHN c1nc(C2CC2)oc1CNC1CC2(CCC2)C1 ZINC000459564551 203303852 /nfs/dbraw/zinc/30/38/52/203303852.db2.gz FRQIULOYASUINH-UHFFFAOYSA-N 0 3 232.327 2.974 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cccc(C3CC3)c2)CO1 ZINC000402070549 369378637 /nfs/dbraw/zinc/37/86/37/369378637.db2.gz ZAUOBDKAOMPIEE-IAQYHMDHSA-N 0 3 231.339 2.831 20 0 BFADHN CC(C)[C@@H](CN1CC(C)(CO)C1)c1ccccc1 ZINC000459621334 203319524 /nfs/dbraw/zinc/31/95/24/203319524.db2.gz NAOFVSPIPQDRID-OAHLLOKOSA-N 0 3 247.382 2.740 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CC(C)(CO)C2)C1 ZINC000459624466 203320878 /nfs/dbraw/zinc/32/08/78/203320878.db2.gz VERVRNPDBHUORW-OLZOCXBDSA-N 0 3 225.376 2.517 20 0 BFADHN COCC1(C)CN(Cc2cccc3ccoc32)C1 ZINC000459625484 203322144 /nfs/dbraw/zinc/32/21/44/203322144.db2.gz WHKDVZVNZHPBGQ-UHFFFAOYSA-N 0 3 245.322 2.901 20 0 BFADHN CCC1(C)CN(Cc2ccc3c(c2)CCO3)C1 ZINC000459629802 203323542 /nfs/dbraw/zinc/32/35/42/203323542.db2.gz FCDKATHRQZMGCO-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN C[C@H]1C[C@H]1CN1CC(COc2ccccc2)C1 ZINC000459632907 203324869 /nfs/dbraw/zinc/32/48/69/203324869.db2.gz OKNPFKZQVDDSAC-JSGCOSHPSA-N 0 3 231.339 2.653 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@@H](OC(C)C)C2)cn1 ZINC000459633514 203325112 /nfs/dbraw/zinc/32/51/12/203325112.db2.gz NEQWBOKNKWIHPH-GASCZTMLSA-N 0 3 248.370 2.690 20 0 BFADHN OCCCN(C/C=C/c1ccccc1)C1CC1 ZINC000404299301 369425766 /nfs/dbraw/zinc/42/57/66/369425766.db2.gz FXCSJWKANJHEDZ-XBXARRHUSA-N 0 3 231.339 2.547 20 0 BFADHN C[C@H]1C[C@@H]1CN1CC(C)(CC(F)(F)F)C1 ZINC000459635548 203325900 /nfs/dbraw/zinc/32/59/00/203325900.db2.gz JGNLNBWCKNNDEV-DTWKUNHWSA-N 0 3 221.266 2.917 20 0 BFADHN COc1ccc(CN2CC(C(C)(C)C)C2)cc1O ZINC000459639485 203326470 /nfs/dbraw/zinc/32/64/70/203326470.db2.gz ODOWMQLGYGSPKV-UHFFFAOYSA-N 0 3 249.354 2.879 20 0 BFADHN Cc1nocc1CNC1CCC(C(F)F)CC1 ZINC000459721489 203341819 /nfs/dbraw/zinc/34/18/19/203341819.db2.gz YNPTUEFPZMSQAZ-UHFFFAOYSA-N 0 3 244.285 2.897 20 0 BFADHN Cc1c[nH]nc1CNC1(C2CCC2)CCC1 ZINC000459732940 203344264 /nfs/dbraw/zinc/34/42/64/203344264.db2.gz DTIBNTCIGJWQPK-UHFFFAOYSA-N 0 3 219.332 2.531 20 0 BFADHN C[C@H](N[C@@H]1CC(C)(C)C[C@@H]1C)c1ccn(C)n1 ZINC000459737582 203346626 /nfs/dbraw/zinc/34/66/26/203346626.db2.gz CJMFWPAFBAZMDS-GMXVVIOVSA-N 0 3 235.375 2.895 20 0 BFADHN CCCn1cc(CN(C)C2CC(C)(C)C2)cn1 ZINC000459734119 203347435 /nfs/dbraw/zinc/34/74/35/203347435.db2.gz ODNBSEUSWNJOSX-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1ccc(C)c(CN2C[C@@H]3C[C@H]2CS3)c1 ZINC000459741837 203348853 /nfs/dbraw/zinc/34/88/53/203348853.db2.gz AUZLQPRYHRRBFL-KBPBESRZSA-N 0 3 233.380 2.993 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1ccc(C)c(OC)c1 ZINC000459749697 203354630 /nfs/dbraw/zinc/35/46/30/203354630.db2.gz YMSDOGHNKZBHRW-KGLIPLIRSA-N 0 3 249.354 2.661 20 0 BFADHN CCC(F)(F)C(C)(C)CNCc1n[nH]cc1C ZINC000623698295 369499282 /nfs/dbraw/zinc/49/92/82/369499282.db2.gz XIAJIIBPIAUXKV-UHFFFAOYSA-N 0 3 245.317 2.879 20 0 BFADHN C[C@@H](CC(C)(C)C)N[C@@H](C)c1ccn(C)n1 ZINC000459750863 203355381 /nfs/dbraw/zinc/35/53/81/203355381.db2.gz HRYWRWJOFZONFO-QWRGUYRKSA-N 0 3 223.364 2.895 20 0 BFADHN CC(C)n1cc(CNC2(C3CCC3)CCC2)nn1 ZINC000459751689 203356299 /nfs/dbraw/zinc/35/62/99/203356299.db2.gz QIAWYGZHPYDMSY-UHFFFAOYSA-N 0 3 248.374 2.671 20 0 BFADHN CN(Cc1cnc(C2CC2)nc1)C1CC(C)(C)C1 ZINC000459758755 203358497 /nfs/dbraw/zinc/35/84/97/203358497.db2.gz SNPVTWRLKVXAPT-UHFFFAOYSA-N 0 3 245.370 2.974 20 0 BFADHN FC(F)n1ccnc1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000459774384 203362544 /nfs/dbraw/zinc/36/25/44/203362544.db2.gz TWAOBVSKOFDZKF-UTLUCORTSA-N 0 3 241.285 2.556 20 0 BFADHN c1cc2cccc(CN3C[C@@H]4C[C@H]3CS4)c2[nH]1 ZINC000459771248 203362913 /nfs/dbraw/zinc/36/29/13/203362913.db2.gz SWPLMZXCPKCDCD-STQMWFEESA-N 0 3 244.363 2.858 20 0 BFADHN C[C@H](NC[C@@H]1CCC(F)(F)C1)c1ccn(C)n1 ZINC000459776264 203366171 /nfs/dbraw/zinc/36/61/71/203366171.db2.gz DFUGHKAXKRLHBC-VHSXEESVSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@@H](NC[C@H]1CCC(F)(F)C1)c1ccn(C)n1 ZINC000459776265 203366344 /nfs/dbraw/zinc/36/63/44/203366344.db2.gz DFUGHKAXKRLHBC-ZJUUUORDSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccn(C)n1)[C@H]1CC1(C)C ZINC000459742799 203351357 /nfs/dbraw/zinc/35/13/57/203351357.db2.gz GDVJEKYFGUKURL-OUAUKWLOSA-N 0 3 221.348 2.505 20 0 BFADHN Fc1ccccc1CCN1C[C@@H]2C[C@H]1CS2 ZINC000459743584 203352240 /nfs/dbraw/zinc/35/22/40/203352240.db2.gz CTQTXZCNPOAQLU-RYUDHWBXSA-N 0 3 237.343 2.558 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1cc(C)ccc1OC ZINC000459749824 203353215 /nfs/dbraw/zinc/35/32/15/203353215.db2.gz ZBSYEWGOVNJAIC-KGLIPLIRSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H](NCC12CCC(CC1)C2)c1ccn(C)n1 ZINC000459801979 203374219 /nfs/dbraw/zinc/37/42/19/203374219.db2.gz YHBURJVGVKULDL-LKSINWNRSA-N 0 3 233.359 2.651 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccnn1CC ZINC000157455940 326276297 /nfs/dbraw/zinc/27/62/97/326276297.db2.gz JJTRCNLRCPFCJU-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN CC(C)(C)CCCN1CCCC[C@]1(C)C(N)=O ZINC000337203329 166560690 /nfs/dbraw/zinc/56/06/90/166560690.db2.gz HQRDTGXUIXUHQH-CQSZACIVSA-N 0 3 240.391 2.543 20 0 BFADHN COC(=O)C(C)(C)N(C)C[C@H](C)C(C)(C)C ZINC000619843961 369616414 /nfs/dbraw/zinc/61/64/14/369616414.db2.gz IWNMMAASAYXKRZ-JTQLQIEISA-N 0 3 229.364 2.552 20 0 BFADHN CC[C@H](C(=O)OC)N(C)C[C@H](C)C(C)(C)C ZINC000619845852 369618668 /nfs/dbraw/zinc/61/86/68/369618668.db2.gz TXGOGOMQZIGCGU-WDEREUQCSA-N 0 3 229.364 2.552 20 0 BFADHN CC[C@H](C(=O)OC)N(C)C[C@@H](C)C(C)(C)C ZINC000619845849 369619043 /nfs/dbraw/zinc/61/90/43/369619043.db2.gz TXGOGOMQZIGCGU-GHMZBOCLSA-N 0 3 229.364 2.552 20 0 BFADHN CS[C@@H]1CCCC[C@H]1NCc1cncs1 ZINC000225285949 369624329 /nfs/dbraw/zinc/62/43/29/369624329.db2.gz WAOCHVLQWHQRKM-GHMZBOCLSA-N 0 3 242.413 2.907 20 0 BFADHN CCOCCN(CC)[C@@H](C)c1ccccn1 ZINC000157606603 326286070 /nfs/dbraw/zinc/28/60/70/326286070.db2.gz AQTSMGOCJWNNOP-LBPRGKRZSA-N 0 3 222.332 2.501 20 0 BFADHN CCOc1ccccc1CSCCN(C)C ZINC000157721588 326292701 /nfs/dbraw/zinc/29/27/01/326292701.db2.gz ZIMYHRMKBXVNAM-UHFFFAOYSA-N 0 3 239.384 2.880 20 0 BFADHN COc1ccc(C)cc1CN1CCCCC1 ZINC000061744385 369833690 /nfs/dbraw/zinc/83/36/90/369833690.db2.gz RYBQLPNOUDXHFV-UHFFFAOYSA-N 0 3 219.328 2.990 20 0 BFADHN CC[C@@H](C(=O)N1[C@H](CC)CCC[C@@H]1C)N(C)C ZINC000620577197 369936020 /nfs/dbraw/zinc/93/60/20/369936020.db2.gz RMWLDPPIBKNCAS-XQQFMLRXSA-N 0 3 240.391 2.506 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)C2)ccn1 ZINC000621245818 370180061 /nfs/dbraw/zinc/18/00/61/370180061.db2.gz YULXMSGZSHUTTG-NSHDSACASA-N 0 3 204.317 2.622 20 0 BFADHN Cn1ccc(CNCC(C)(C)C2=CCCC2)n1 ZINC000308769933 370163480 /nfs/dbraw/zinc/16/34/80/370163480.db2.gz LYZSXNDEJSOHLK-UHFFFAOYSA-N 0 3 233.359 2.646 20 0 BFADHN Cc1cc(CN2CCSC[C@H](C)C2)ccn1 ZINC000621286560 370215623 /nfs/dbraw/zinc/21/56/23/370215623.db2.gz NMPTUASEQABVRM-LLVKDONJSA-N 0 3 236.384 2.575 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1cncc(Cl)c1 ZINC000621294835 370220471 /nfs/dbraw/zinc/22/04/71/370220471.db2.gz OXQHDYKFEPKGTI-KWQFWETISA-N 0 3 242.775 2.576 20 0 BFADHN CCOC[C@@H](NCc1ccnc(C)c1)C(C)C ZINC000621293602 370220980 /nfs/dbraw/zinc/22/09/80/370220980.db2.gz AFNKABYOHNMOLQ-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN CCO[C@H]1CCN(Cc2ccnc(C)c2)C[C@H]1C ZINC000621300036 370227229 /nfs/dbraw/zinc/22/72/29/370227229.db2.gz ZQCSESGEQVJVIF-DOMZBBRYSA-N 0 3 248.370 2.637 20 0 BFADHN Cn1cccc1CNCC(C)(C)C(F)(F)F ZINC000309573893 370231718 /nfs/dbraw/zinc/23/17/18/370231718.db2.gz OATKMZNBGJRHMO-UHFFFAOYSA-N 0 3 234.265 2.703 20 0 BFADHN Cc1cc(CN2CCC[C@@H](OC(C)C)C2)ccn1 ZINC000621311911 370236010 /nfs/dbraw/zinc/23/60/10/370236010.db2.gz BOQIVHWKFXQYDJ-OAHLLOKOSA-N 0 3 248.370 2.779 20 0 BFADHN CCCc1ccc(CN[C@H](C)COC)s1 ZINC000621324885 370254170 /nfs/dbraw/zinc/25/41/70/370254170.db2.gz DJGFGGTYPOKYGO-SNVBAGLBSA-N 0 3 227.373 2.825 20 0 BFADHN CC(C)CCNCc1nc2ccccc2o1 ZINC000309708380 370246696 /nfs/dbraw/zinc/24/66/96/370246696.db2.gz WFJDOODXWPAYBL-UHFFFAOYSA-N 0 3 218.300 2.964 20 0 BFADHN Cc1cc(CN2C[C@@H](C)S[C@@H](C)C2)ccn1 ZINC000621259831 370191600 /nfs/dbraw/zinc/19/16/00/370191600.db2.gz JMSYQCCHBJOLOR-TXEJJXNPSA-N 0 3 236.384 2.716 20 0 BFADHN Cc1cc(CN2CCS[C@@H](C)[C@H]2C)ccn1 ZINC000621258518 370192514 /nfs/dbraw/zinc/19/25/14/370192514.db2.gz OMWOWVQDIPKWQC-NEPJUHHUSA-N 0 3 236.384 2.716 20 0 BFADHN Cc1cc(CN2CCS[C@H](C)[C@@H]2C)ccn1 ZINC000621258519 370192530 /nfs/dbraw/zinc/19/25/30/370192530.db2.gz OMWOWVQDIPKWQC-NWDGAFQWSA-N 0 3 236.384 2.716 20 0 BFADHN CC[C@@H]1CN(Cc2ccnc(C)c2)CCS1 ZINC000621260989 370193494 /nfs/dbraw/zinc/19/34/94/370193494.db2.gz ZPLMYNNJBJWOHZ-CYBMUJFWSA-N 0 3 236.384 2.717 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1nccnc1C ZINC000621328057 370259673 /nfs/dbraw/zinc/25/96/73/370259673.db2.gz DXIXFSXVTUWJKI-OLZOCXBDSA-N 0 3 233.359 2.843 20 0 BFADHN CC1(F)CCN(Cc2cccc(N)c2)CC1 ZINC000631867455 370268347 /nfs/dbraw/zinc/26/83/47/370268347.db2.gz VLMPNEYRQSCIBW-UHFFFAOYSA-N 0 3 222.307 2.593 20 0 BFADHN Cc1nccnc1CN[C@H](C)C1CCCCC1 ZINC000621337823 370270847 /nfs/dbraw/zinc/27/08/47/370270847.db2.gz KLZCKPLXPPPGCR-LLVKDONJSA-N 0 3 233.359 2.843 20 0 BFADHN COc1cccc2c1CCC[C@@H]2NCCF ZINC000309901939 370273968 /nfs/dbraw/zinc/27/39/68/370273968.db2.gz IDJDWTVLJAHLOU-LBPRGKRZSA-N 0 3 223.291 2.632 20 0 BFADHN Cc1oncc1CN(C)C1CCC(C)CC1 ZINC000158600730 326331135 /nfs/dbraw/zinc/33/11/35/326331135.db2.gz RNXFIMYAMXETKR-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN CCCC[C@@H](CC)CNCc1ccn(C)n1 ZINC000112337672 370285049 /nfs/dbraw/zinc/28/50/49/370285049.db2.gz IAHXKXUHPMZORW-GFCCVEGCSA-N 0 3 223.364 2.726 20 0 BFADHN CCCc1ccc(CN[C@H]2C[C@@](C)(O)C2)s1 ZINC000621363208 370297882 /nfs/dbraw/zinc/29/78/82/370297882.db2.gz JVWLDOXCCSWUOM-GSJFWJNXSA-N 0 3 239.384 2.704 20 0 BFADHN CCCc1ccc(CN[C@H]2CO[C@H](C)C2)s1 ZINC000621367793 370304054 /nfs/dbraw/zinc/30/40/54/370304054.db2.gz GCVWNZVIRJUWAY-GHMZBOCLSA-N 0 3 239.384 2.968 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)[C@H](CO)C2)cs1 ZINC000621373122 370311641 /nfs/dbraw/zinc/31/16/41/370311641.db2.gz CFPYMKCALIQTHB-MFKMUULPSA-N 0 3 239.384 2.507 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1nn(C)c2ccccc12 ZINC000112840596 370359726 /nfs/dbraw/zinc/35/97/26/370359726.db2.gz XHJNSEJVZNKYMI-AAEUAGOBSA-N 0 3 243.354 2.852 20 0 BFADHN CCCC[C@@H](C(=O)NC[C@H](C)N(C)C)C(C)C ZINC000621539010 370383551 /nfs/dbraw/zinc/38/35/51/370383551.db2.gz CSOUYUDVCUNUAN-QWHCGFSZSA-N 0 3 242.407 2.515 20 0 BFADHN CC1CC(CNCc2ccccc2F)C1 ZINC000621383074 370326895 /nfs/dbraw/zinc/32/68/95/370326895.db2.gz PRGMQKKFYIYCQV-UHFFFAOYSA-N 0 3 207.292 2.961 20 0 BFADHN CCc1ccc(CNCC2CC(C)C2)o1 ZINC000621383835 370327309 /nfs/dbraw/zinc/32/73/09/370327309.db2.gz UGTYBGZYOHYOIU-UHFFFAOYSA-N 0 3 207.317 2.978 20 0 BFADHN Cc1ccc(CNCC2CC(C)C2)nc1 ZINC000621384800 370329567 /nfs/dbraw/zinc/32/95/67/370329567.db2.gz VIEQGZFPRTYQLO-UHFFFAOYSA-N 0 3 204.317 2.526 20 0 BFADHN CCO[C@H]1CCCN(Cc2ccc(C)cc2)C1 ZINC000112676570 370344267 /nfs/dbraw/zinc/34/42/67/370344267.db2.gz PYBZOPWEYAFDRY-HNNXBMFYSA-N 0 3 233.355 2.996 20 0 BFADHN CCO[C@@H]1CCCN(Cc2ccc(C)cc2)C1 ZINC000112676566 370344589 /nfs/dbraw/zinc/34/45/89/370344589.db2.gz PYBZOPWEYAFDRY-OAHLLOKOSA-N 0 3 233.355 2.996 20 0 BFADHN CCOc1cncc(CN2CCCC[C@@H]2C)c1 ZINC000621709063 370454795 /nfs/dbraw/zinc/45/47/95/370454795.db2.gz JXQWOBLFAMTUJZ-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1nccnc1C ZINC000621728368 370478076 /nfs/dbraw/zinc/47/80/76/370478076.db2.gz NBIXACAASCGAJB-ZYHUDNBSSA-N 0 3 219.332 2.548 20 0 BFADHN CCCc1ccc(CN(CCO)C(C)C)s1 ZINC000621709816 370456122 /nfs/dbraw/zinc/45/61/22/370456122.db2.gz GLUKZHPVSVVDKB-UHFFFAOYSA-N 0 3 241.400 2.903 20 0 BFADHN CCCc1ccc(CN2CCC[C@@H]2CO)s1 ZINC000621710888 370456189 /nfs/dbraw/zinc/45/61/89/370456189.db2.gz VRWOTOWWHZKISS-LLVKDONJSA-N 0 3 239.384 2.657 20 0 BFADHN Cc1nccnc1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000621711367 370457832 /nfs/dbraw/zinc/45/78/32/370457832.db2.gz PZYQVMMUXYTUDD-DGCLKSJQSA-N 0 3 233.359 2.796 20 0 BFADHN CCOc1cncc(CN(C)[C@@H](C)CC)c1 ZINC000621712123 370458849 /nfs/dbraw/zinc/45/88/49/370458849.db2.gz TVQCNWKUJMCXNV-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN C[C@@H]1CN(C/C=C\c2ccccc2)CCCO1 ZINC000255298552 370459340 /nfs/dbraw/zinc/45/93/40/370459340.db2.gz CNMBWKPDDGUAFX-VYLZPFMQSA-N 0 3 231.339 2.811 20 0 BFADHN CCCc1ccc(CN2CCC[C@H]2CO)s1 ZINC000621713757 370460745 /nfs/dbraw/zinc/46/07/45/370460745.db2.gz VRWOTOWWHZKISS-NSHDSACASA-N 0 3 239.384 2.657 20 0 BFADHN CCOc1cncc(CN2CCC[C@H]2CC)c1 ZINC000621713872 370460973 /nfs/dbraw/zinc/46/09/73/370460973.db2.gz ZTDGWBZVGTZWNT-CYBMUJFWSA-N 0 3 234.343 2.855 20 0 BFADHN CCCc1ccc(CN(C)[C@H]2CCOC2)s1 ZINC000621715048 370462970 /nfs/dbraw/zinc/46/29/70/370462970.db2.gz IUYZLWDVILGJQI-NSHDSACASA-N 0 3 239.384 2.921 20 0 BFADHN CCCc1ccc(CN(C)CC[C@@H](C)O)s1 ZINC000621717064 370466621 /nfs/dbraw/zinc/46/66/21/370466621.db2.gz VXKFLVDORPMOSL-LLVKDONJSA-N 0 3 241.400 2.903 20 0 BFADHN CCOc1cncc(CN2C[C@H](C)C[C@@H]2C)c1 ZINC000621717841 370467584 /nfs/dbraw/zinc/46/75/84/370467584.db2.gz PRGGWQGCFRABSM-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1nccnc1CN1CC[C@@H](C)C[C@H](C)C1 ZINC000621719294 370469597 /nfs/dbraw/zinc/46/95/97/370469597.db2.gz IJTIJWJVXYIYRR-NEPJUHHUSA-N 0 3 233.359 2.653 20 0 BFADHN CCCc1ccc(CN(C)C[C@@H](C)O)s1 ZINC000621722149 370473659 /nfs/dbraw/zinc/47/36/59/370473659.db2.gz MOBUOQNGJVGHAI-SNVBAGLBSA-N 0 3 227.373 2.513 20 0 BFADHN Cc1nccnc1CN1C[C@@H](C)CC(C)(C)C1 ZINC000621722030 370474205 /nfs/dbraw/zinc/47/42/05/370474205.db2.gz JDMFECDGEHZUTR-NSHDSACASA-N 0 3 233.359 2.653 20 0 BFADHN Cc1nccnc1CN1CC[C@]2(CC[C@H](C)C2)C1 ZINC000621722056 370474213 /nfs/dbraw/zinc/47/42/13/370474213.db2.gz JVNDKEIGVOEKOV-WFASDCNBSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1cc([C@H](C)NCC[C@H]2CC2(F)F)no1 ZINC000621768404 370510382 /nfs/dbraw/zinc/51/03/82/370510382.db2.gz OORFNQYNVRBPHT-IUCAKERBSA-N 0 3 230.258 2.679 20 0 BFADHN CC[C@@H](NCC[C@H]1CC1(F)F)c1nccn1C ZINC000621768366 370510825 /nfs/dbraw/zinc/51/08/25/370510825.db2.gz NVWHAYFJIIVOLX-VHSXEESVSA-N 0 3 243.301 2.506 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC1CC(C)C1 ZINC000621770606 370512869 /nfs/dbraw/zinc/51/28/69/370512869.db2.gz UOHCRBQPRORTQV-MOENNCHZSA-N 0 3 221.348 2.600 20 0 BFADHN C[C@@H](NCC1CC(C)C1)c1nccs1 ZINC000621771916 370514803 /nfs/dbraw/zinc/51/48/03/370514803.db2.gz REQULUTVMWVLAW-HWOCKDDLSA-N 0 3 210.346 2.840 20 0 BFADHN C[C@H](NCC1CC(C)C1)c1nccs1 ZINC000621771917 370515188 /nfs/dbraw/zinc/51/51/88/370515188.db2.gz REQULUTVMWVLAW-KYHHOPLUSA-N 0 3 210.346 2.840 20 0 BFADHN CCCc1ccc(CN2CC[C@@H]2COC)s1 ZINC000621728857 370481919 /nfs/dbraw/zinc/48/19/19/370481919.db2.gz AGJJJZGGJNWNBG-LLVKDONJSA-N 0 3 239.384 2.921 20 0 BFADHN Cc1nccnc1CN1CC(C)(C)[C@@H]2CCC[C@H]21 ZINC000621729058 370482273 /nfs/dbraw/zinc/48/22/73/370482273.db2.gz HLYSYKBUGMHSQN-TZMCWYRMSA-N 0 3 245.370 2.796 20 0 BFADHN CCCN(CC)Cc1cn2cccc(C)c2n1 ZINC000621730105 370483094 /nfs/dbraw/zinc/48/30/94/370483094.db2.gz SOISIRWEKHSCAM-UHFFFAOYSA-N 0 3 231.343 2.875 20 0 BFADHN COC[C@@H](C)CN1CC(C)(c2ccccc2)C1 ZINC000621734916 370489381 /nfs/dbraw/zinc/48/93/81/370489381.db2.gz ZMGZTDIWFCMNAQ-ZDUSSCGKSA-N 0 3 233.355 2.542 20 0 BFADHN C[C@H]1C[C@H]1CN1CC(C)(c2ccccc2)C1 ZINC000621734841 370491812 /nfs/dbraw/zinc/49/18/12/370491812.db2.gz XNXBGWUVCPOTAA-STQMWFEESA-N 0 3 215.340 2.916 20 0 BFADHN CC[C@@H]1CCCCCN1CC(=O)OC(C)(C)C ZINC000312976670 370557467 /nfs/dbraw/zinc/55/74/67/370557467.db2.gz IIVNSAXPRGKYJG-GFCCVEGCSA-N 0 3 241.375 2.983 20 0 BFADHN CSCCNCc1ccc(SC)cc1 ZINC000070184016 371013149 /nfs/dbraw/zinc/01/31/49/371013149.db2.gz SSWUGZJPGZDHDE-UHFFFAOYSA-N 0 3 227.398 2.861 20 0 BFADHN CSCCNCc1ccccc1Cl ZINC000070183994 371013751 /nfs/dbraw/zinc/01/37/51/371013751.db2.gz KAPDVGHLLBCVKC-UHFFFAOYSA-N 0 3 215.749 2.793 20 0 BFADHN C/C=C/CNCc1c(C2CC2)nc2sccn12 ZINC000623739117 371073823 /nfs/dbraw/zinc/07/38/23/371073823.db2.gz IWCABEBUWJWGJW-NSCUHMNNSA-N 0 3 247.367 2.939 20 0 BFADHN C/C=C\CNCc1ccc(O[C@H]2CCOC2)cc1 ZINC000623740170 371074462 /nfs/dbraw/zinc/07/44/62/371074462.db2.gz OFXSMNWSXSNSMZ-GWQWAINWSA-N 0 3 247.338 2.520 20 0 BFADHN C/C=C\CNCc1ccc(C)c(OC)c1 ZINC000623739777 371075081 /nfs/dbraw/zinc/07/50/81/371075081.db2.gz FZTPPVHASITWDB-PLNGDYQASA-N 0 3 205.301 2.669 20 0 BFADHN C/C=C\CNCc1scnc1C1CC1 ZINC000623740410 371075869 /nfs/dbraw/zinc/07/58/69/371075869.db2.gz VMRHGXYKVNQRCD-IHWYPQMZSA-N 0 3 208.330 2.686 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@H](C3CC3)C2)cc1C ZINC000623753148 371082088 /nfs/dbraw/zinc/08/20/88/371082088.db2.gz SNYLXASZIYYPMY-CVEARBPZSA-N 0 3 245.366 2.961 20 0 BFADHN Cc1cccc(CNCc2ccc[nH]c2=O)c1C ZINC000623777047 371087918 /nfs/dbraw/zinc/08/79/18/371087918.db2.gz MKCLBIQNTUREAC-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN CCC[C@@](C)(O)CN[C@H](C)c1nc(C)cs1 ZINC000623893027 371142697 /nfs/dbraw/zinc/14/26/97/371142697.db2.gz CJEJYBFPHUBCLM-ZYHUDNBSSA-N 0 3 242.388 2.653 20 0 BFADHN CO[C@H]1C[C@H](NCc2cccc(OC(C)C)c2)C1 ZINC000623807507 371102408 /nfs/dbraw/zinc/10/24/08/371102408.db2.gz HIPBDMLFVOPPKC-CTYIDZIISA-N 0 3 249.354 2.741 20 0 BFADHN CO[C@H]1C[C@@H](CNCc2ccc([C@H]3C[C@@H]3C)o2)C1 ZINC000623822596 371110428 /nfs/dbraw/zinc/11/04/28/371110428.db2.gz RJBOIRWSOIRFKJ-VTPLQMEGSA-N 0 3 249.354 2.918 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@H]2CCCC23CC3)n1 ZINC000623824330 371112773 /nfs/dbraw/zinc/11/27/73/371112773.db2.gz BGWQEFCNLOMQOW-GFCCVEGCSA-N 0 3 246.354 2.766 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCCO2)c(F)c1 ZINC000631051640 371117885 /nfs/dbraw/zinc/11/78/85/371117885.db2.gz ATFJWGLHBXWNBM-ZDUSSCGKSA-N 0 3 237.318 2.793 20 0 BFADHN CC[C@@H]1CCCN(Cc2cn3ccccc3n2)C1 ZINC000154798015 371173411 /nfs/dbraw/zinc/17/34/11/371173411.db2.gz PUVKNUQZAAXYPU-CYBMUJFWSA-N 0 3 243.354 2.956 20 0 BFADHN COCC(C)(C)NCc1ccc(C)cc1F ZINC000631061549 371212995 /nfs/dbraw/zinc/21/29/95/371212995.db2.gz ANDDUILUWVOISO-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN COCC(C)(C)CN[C@H](C)c1nc(C)cs1 ZINC000623987680 371220682 /nfs/dbraw/zinc/22/06/82/371220682.db2.gz KNDSZZZRYREVHX-SNVBAGLBSA-N 0 3 242.388 2.775 20 0 BFADHN C[C@@H]1CN([C@H]2COC(C)(C)C2)C[C@H](C)C1(F)F ZINC000624061252 371286433 /nfs/dbraw/zinc/28/64/33/371286433.db2.gz ZALFXJBEGOEYCM-OUAUKWLOSA-N 0 3 247.329 2.777 20 0 BFADHN Cc1cc(CNC[C@H]2CCc3ccccc32)on1 ZINC000631069081 371289878 /nfs/dbraw/zinc/28/98/78/371289878.db2.gz LTHKMVILFROYBK-CYBMUJFWSA-N 0 3 242.322 2.803 20 0 BFADHN c1cc(CNCCCC2CC2)n(CC2CCC2)n1 ZINC000631074400 371356625 /nfs/dbraw/zinc/35/66/25/371356625.db2.gz CCFHAGUIVBVDSG-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN Cc1ccc(CNC[C@@H](C)C[C@@H](C)O)c(F)c1 ZINC000631075926 371362068 /nfs/dbraw/zinc/36/20/68/371362068.db2.gz INNCUOIVZVJJHG-NWDGAFQWSA-N 0 3 239.334 2.631 20 0 BFADHN CCC(CC)(CO)NCc1ccc(C)cc1F ZINC000631072476 371338891 /nfs/dbraw/zinc/33/88/91/371338891.db2.gz FKFXSADTQSZOLJ-UHFFFAOYSA-N 0 3 239.334 2.775 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc(NC(C)=O)cc1 ZINC000624198256 371387778 /nfs/dbraw/zinc/38/77/78/371387778.db2.gz JQBIFRKVLLVFJS-WYGGZMRJSA-N 0 3 232.327 2.872 20 0 BFADHN C/C=C/CN[C@@H](C)c1cnc(OC)c(Cl)c1 ZINC000624198917 371388647 /nfs/dbraw/zinc/38/86/47/371388647.db2.gz BWOBIJPZDPWLAP-MOVJSRMASA-N 0 3 240.734 2.970 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000624199503 371389912 /nfs/dbraw/zinc/38/99/12/371389912.db2.gz QZTBZXSJXYHGQY-TYRPZCRBSA-N 0 3 232.283 2.760 20 0 BFADHN Cc1cc(CNCCc2cc(C)cc(C)c2)on1 ZINC000631077256 371396663 /nfs/dbraw/zinc/39/66/63/371396663.db2.gz DCISOBCWTUEACM-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN CC[C@H](COC)NCc1ccc(C(F)F)nc1 ZINC000631077614 371413508 /nfs/dbraw/zinc/41/35/08/371413508.db2.gz WYLQHGFKPNXOCF-SNVBAGLBSA-N 0 3 244.285 2.534 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc(C)nc1C ZINC000624197081 371384369 /nfs/dbraw/zinc/38/43/69/371384369.db2.gz AICOGAUHYHIBCH-GZTOBOFZSA-N 0 3 204.317 2.925 20 0 BFADHN C[C@@H](NCc1ccc[nH]c1=O)c1cccs1 ZINC000624257521 371444138 /nfs/dbraw/zinc/44/41/38/371444138.db2.gz GRBBJRYMKVPEIT-SECBINFHSA-N 0 3 234.324 2.700 20 0 BFADHN CCC[C@H](N[C@H]1C[C@H](OC)C1)c1ccccn1 ZINC000624299479 371465607 /nfs/dbraw/zinc/46/56/07/371465607.db2.gz MTHRHTKIAQMQJK-OBJOEFQTSA-N 0 3 234.343 2.690 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](CC(C)C)c2ccccn2)C1 ZINC000624299239 371465991 /nfs/dbraw/zinc/46/59/91/371465991.db2.gz HKPPXFQBZAKWIE-UMVBOHGHSA-N 0 3 248.370 2.936 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H]2CCCc3ccc(O)cc32)C1 ZINC000624301040 371468096 /nfs/dbraw/zinc/46/80/96/371468096.db2.gz YLCMITSRSRICKW-UXIGCNINSA-N 0 3 247.338 2.537 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCCC23CC3)nn1C ZINC000624316291 371479837 /nfs/dbraw/zinc/47/98/37/371479837.db2.gz NJVYMHRPDYDLOL-STQMWFEESA-N 0 3 247.386 2.959 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@@H]1CCCC13CC3)CCC2 ZINC000624317149 371479950 /nfs/dbraw/zinc/47/99/50/371479950.db2.gz YBEZGQTYTSUYJV-QWHCGFSZSA-N 0 3 245.370 2.888 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]2Cc2ccccc2)on1 ZINC000631080708 371497866 /nfs/dbraw/zinc/49/78/66/371497866.db2.gz VXLIMFPGKXHDGW-UKRRQHHQSA-N 0 3 242.322 2.704 20 0 BFADHN CCn1c2ccccc2nc1CNC[C@@H]1C[C@@H]1C ZINC000132373950 371801910 /nfs/dbraw/zinc/80/19/10/371801910.db2.gz GKAYDECNVAEMEZ-RYUDHWBXSA-N 0 3 243.354 2.802 20 0 BFADHN CC/C=C/CNCc1ccnn1CC1CCC1 ZINC000631150804 372209580 /nfs/dbraw/zinc/20/95/80/372209580.db2.gz QEBIXHYQEZUCKU-ONEGZZNKSA-N 0 3 233.359 2.739 20 0 BFADHN Cc1cc(CNCCC2CC(F)(F)C2)on1 ZINC000631154750 372210766 /nfs/dbraw/zinc/21/07/66/372210766.db2.gz HFDHFGUDIGQROS-UHFFFAOYSA-N 0 3 230.258 2.508 20 0 BFADHN CCC(C)(C)CCNCc1cc2n(n1)CCC2 ZINC000631222859 372241602 /nfs/dbraw/zinc/24/16/02/372241602.db2.gz YLBODMMJDUUTCG-UHFFFAOYSA-N 0 3 235.375 2.745 20 0 BFADHN Cc1cc(CNCC(C)(C)F)cs1 ZINC000631189279 372224286 /nfs/dbraw/zinc/22/42/86/372224286.db2.gz RNPVIGQDRLEONQ-UHFFFAOYSA-N 0 3 201.310 2.894 20 0 BFADHN COCc1csc(CNCC(C)(C)F)c1 ZINC000631189659 372224840 /nfs/dbraw/zinc/22/48/40/372224840.db2.gz VPGNULYTNUJSCK-UHFFFAOYSA-N 0 3 231.336 2.732 20 0 BFADHN COCC1(NCc2ccc(C)cc2F)CCC1 ZINC000631129477 372203335 /nfs/dbraw/zinc/20/33/35/372203335.db2.gz CDFCBFPZMZPLSJ-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1nocc1CN[C@@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000631222282 372245044 /nfs/dbraw/zinc/24/50/44/372245044.db2.gz VENXSVIHUAKEGP-XDTLVQLUSA-N 0 3 220.316 2.507 20 0 BFADHN CCCn1nccc1CN[C@@]12C[C@@H]1CCCC2 ZINC000631227720 372249138 /nfs/dbraw/zinc/24/91/38/372249138.db2.gz SOMBZDGYZQTIMM-JSGCOSHPSA-N 0 3 233.359 2.715 20 0 BFADHN Cc1ccc(CN[C@H]2CC[C@@H](C)SC2)o1 ZINC000631243095 372257143 /nfs/dbraw/zinc/25/71/43/372257143.db2.gz RPIGKJYQBRPNGT-MNOVXSKESA-N 0 3 225.357 2.962 20 0 BFADHN CCC[C@H](NCC[C@H](C)O)c1cc(C)ccn1 ZINC000631582573 372440118 /nfs/dbraw/zinc/44/01/18/372440118.db2.gz MCANPLRQZAGOTJ-STQMWFEESA-N 0 3 236.359 2.592 20 0 BFADHN C/C=C/CN[C@@H]1CCc2cc(OC)ccc21 ZINC000631651685 372482075 /nfs/dbraw/zinc/48/20/75/372482075.db2.gz VTIQTBMFUFYVTB-RDFMZFSFSA-N 0 3 217.312 2.848 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H]1CCCC12CC2 ZINC000631665976 372491207 /nfs/dbraw/zinc/49/12/07/372491207.db2.gz VELIFLBAMORXRV-VXGBXAGGSA-N 0 3 231.343 2.768 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@H]1CCC[C@@H]1O ZINC000631740324 372541104 /nfs/dbraw/zinc/54/11/04/372541104.db2.gz RHLNUQAWEBMBPE-XBFCOCLRSA-N 0 3 249.354 2.649 20 0 BFADHN CCC(C)(C)CCN[C@H](C)c1ccncn1 ZINC000631684470 372506321 /nfs/dbraw/zinc/50/63/21/372506321.db2.gz RZYVRAJEDBILTE-LLVKDONJSA-N 0 3 221.348 2.954 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccc1)[C@@H](O)C(F)(F)F ZINC000631717297 372520654 /nfs/dbraw/zinc/52/06/54/372520654.db2.gz HXQLAELNFSEPPG-IQJOONFLSA-N 0 3 247.260 2.649 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccc1)[C@H](O)C(F)(F)F ZINC000631717298 372520758 /nfs/dbraw/zinc/52/07/58/372520758.db2.gz HXQLAELNFSEPPG-NGZCFLSTSA-N 0 3 247.260 2.649 20 0 BFADHN COCCC[C@@H](C)NCc1cccc(F)c1F ZINC000631727065 372523961 /nfs/dbraw/zinc/52/39/61/372523961.db2.gz YOZXXZYOZKIGKY-SNVBAGLBSA-N 0 3 243.297 2.870 20 0 BFADHN CCCC[C@H](COC)N[C@@H]1C[C@H]1C(F)(F)F ZINC000631754281 372557183 /nfs/dbraw/zinc/55/71/83/372557183.db2.gz DAMTXNZOKNLIBT-OPRDCNLKSA-N 0 3 239.281 2.732 20 0 BFADHN CCCCN1CCc2c(ccc(OC)c2OC)C1 ZINC000340973046 168832709 /nfs/dbraw/zinc/83/27/09/168832709.db2.gz MTTKVRDZKRSCER-UHFFFAOYSA-N 0 3 249.354 2.862 20 0 BFADHN CCC(C)(C)NCc1nccn1C(C)C ZINC000380214147 373116492 /nfs/dbraw/zinc/11/64/92/373116492.db2.gz HTGZMOZHUYNQSN-UHFFFAOYSA-N 0 3 209.337 2.742 20 0 BFADHN CCC[C@@H](C)CNCc1cnc(CC)o1 ZINC000449608804 205493120 /nfs/dbraw/zinc/49/31/20/205493120.db2.gz KFRJVCSSRPEIIK-SNVBAGLBSA-N 0 3 210.321 2.763 20 0 BFADHN CCCCN1[C@H](C(=O)OC)C[C@@H]2CCCC[C@@H]21 ZINC000245381945 373265854 /nfs/dbraw/zinc/26/58/54/373265854.db2.gz GHHGFAOTXOYYAM-AVGNSLFASA-N 0 3 239.359 2.593 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@](C)(O)C(C)C)o1 ZINC000178123957 134306293 /nfs/dbraw/zinc/30/62/93/134306293.db2.gz PUVSXUAVXBNBGG-RISCZKNCSA-N 0 3 239.359 2.900 20 0 BFADHN C[C@@H]1CCN(Cc2ccon2)C[C@@H](C)C1 ZINC000246575372 374276643 /nfs/dbraw/zinc/27/66/43/374276643.db2.gz FUEGEGPSHXVZLK-MNOVXSKESA-N 0 3 208.305 2.543 20 0 BFADHN CC(C)CC[C@@H](C)N[C@@H](C)c1ccn(C)n1 ZINC000459743062 206013032 /nfs/dbraw/zinc/01/30/32/206013032.db2.gz GRGJZNYABDMXBQ-NEPJUHHUSA-N 0 3 223.364 2.895 20 0 BFADHN C[C@H](CN1CC[C@@]12CCOC2)c1ccccc1 ZINC000421022509 206025102 /nfs/dbraw/zinc/02/51/02/206025102.db2.gz QKZVDUAWDQLESN-HIFRSBDPSA-N 0 3 231.339 2.655 20 0 BFADHN CC[C@@H](C)CN[C@@H](CC)c1nccn1C ZINC000421879524 206027071 /nfs/dbraw/zinc/02/70/71/206027071.db2.gz NHGQKRAYWLWUPX-MNOVXSKESA-N 0 3 209.337 2.507 20 0 BFADHN CC[C@H](N[C@@H]1CC12CC2)c1ccncc1 ZINC000424328452 206037682 /nfs/dbraw/zinc/03/76/82/206037682.db2.gz QVRLSQOIASKFRP-NWDGAFQWSA-N 0 3 202.301 2.675 20 0 BFADHN CN(C/C=C\c1ccncc1)[C@H]1CC1(C)C ZINC000426559975 206051871 /nfs/dbraw/zinc/05/18/71/206051871.db2.gz NZLKUGCUMLGBJL-ZFDPJTLLSA-N 0 3 216.328 2.825 20 0 BFADHN CC(C)CN[C@@H]1C[C@@]1(F)c1ccccc1 ZINC000424202793 206036414 /nfs/dbraw/zinc/03/64/14/206036414.db2.gz SZPONEGOCPYVNW-CHWSQXEVSA-N 0 3 207.292 2.869 20 0 BFADHN CC[C@@H](N[C@@H]1CC12CC2)c1ccncc1 ZINC000424328456 206036739 /nfs/dbraw/zinc/03/67/39/206036739.db2.gz QVRLSQOIASKFRP-VXGBXAGGSA-N 0 3 202.301 2.675 20 0 BFADHN CCCCOCCN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000428718336 206058015 /nfs/dbraw/zinc/05/80/15/206058015.db2.gz MQZYMPHGVRQIIB-NEPJUHHUSA-N 0 3 247.329 2.780 20 0 BFADHN CCCCOCCN1CCC(C)(OCC)CC1 ZINC000428724841 206059997 /nfs/dbraw/zinc/05/99/97/206059997.db2.gz NHYZCVWPPMENMQ-UHFFFAOYSA-N 0 3 243.391 2.694 20 0 BFADHN COc1cc([C@H](C)NCCC(C)(F)F)ccn1 ZINC000451026433 206106519 /nfs/dbraw/zinc/10/65/19/206106519.db2.gz FHDVVXBHGWHYQQ-VIFPVBQESA-N 0 3 244.285 2.786 20 0 BFADHN C[C@@H]1CCN(CCn2cc(Cl)cn2)C[C@@H]1C ZINC000459373793 206125147 /nfs/dbraw/zinc/12/51/47/206125147.db2.gz NGZYZNPEFAYLDQ-MNOVXSKESA-N 0 3 241.766 2.514 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1cc(C)on1 ZINC000459578235 206135372 /nfs/dbraw/zinc/13/53/72/206135372.db2.gz NANYFTWTKBYCHN-VXGBXAGGSA-N 0 3 222.332 2.899 20 0 BFADHN CCN[C@@H](C)c1nc2ccccc2s1 ZINC000019488931 170143418 /nfs/dbraw/zinc/14/34/18/170143418.db2.gz RGEGHCWYXOHVGR-QMMMGPOBSA-N 0 3 206.314 2.967 20 0 BFADHN CCOC(=O)CCN[C@@H](CC)c1cccs1 ZINC000044388536 170210125 /nfs/dbraw/zinc/21/01/25/170210125.db2.gz FMAYFEBIIWDZQU-JTQLQIEISA-N 0 3 241.356 2.742 20 0 BFADHN OC1CCN(CCSC2CCCCC2)CC1 ZINC000337330967 183029445 /nfs/dbraw/zinc/02/94/45/183029445.db2.gz HDNXYFNWZFUNSE-UHFFFAOYSA-N 0 3 243.416 2.509 20 0 BFADHN OC1CCC2(CC1)CCN(Cc1ccco1)CC2 ZINC000295155069 183029681 /nfs/dbraw/zinc/02/96/81/183029681.db2.gz RMPVWFOPTBAPCK-UHFFFAOYSA-N 0 3 249.354 2.797 20 0 BFADHN OC1C[C@H]2CC[C@@H](C1)N2C/C=C/c1ccccc1 ZINC000107924689 183031371 /nfs/dbraw/zinc/03/13/71/183031371.db2.gz NNLUAMMOYKUPNV-CKDKXXJESA-N 0 3 243.350 2.688 20 0 BFADHN OC1([C@@H]2CCCCN2Cc2cccc(F)c2)CC1 ZINC000335156246 183023336 /nfs/dbraw/zinc/02/33/36/183023336.db2.gz KOIMYIKVFAUAFQ-AWEZNQCLSA-N 0 3 249.329 2.705 20 0 BFADHN OCCC1(CNCc2sccc2Cl)CC1 ZINC000308777987 183041881 /nfs/dbraw/zinc/04/18/81/183041881.db2.gz BFTMYUPVXBQVEB-UHFFFAOYSA-N 0 3 245.775 2.654 20 0 BFADHN OCCCCCCNCc1c(F)cccc1F ZINC000083371479 183043834 /nfs/dbraw/zinc/04/38/34/183043834.db2.gz YTMXGYJTXIQMMA-UHFFFAOYSA-N 0 3 243.297 2.607 20 0 BFADHN OCC1(CN[C@@H]2CCCc3occc32)CCCC1 ZINC000124100564 183035764 /nfs/dbraw/zinc/03/57/64/183035764.db2.gz HJCDTSYVZIXWHJ-CYBMUJFWSA-N 0 3 249.354 2.799 20 0 BFADHN OCCCCNCc1cc(Cl)cc(Cl)c1 ZINC000193278854 183052510 /nfs/dbraw/zinc/05/25/10/183052510.db2.gz JIWIIFVFPMTPMC-UHFFFAOYSA-N 0 3 248.153 2.856 20 0 BFADHN OCC[C@H](NCCC(F)(F)F)c1ccccc1 ZINC000271662991 183096653 /nfs/dbraw/zinc/09/66/53/183096653.db2.gz LJJXZYWLZNRWKY-NSHDSACASA-N 0 3 247.260 2.652 20 0 BFADHN OCC[C@H](N[C@@H]1CC[C@@H]1C1CCC1)c1ccco1 ZINC000338005922 183096280 /nfs/dbraw/zinc/09/62/80/183096280.db2.gz AVZUVIAOUBKKTJ-MCIONIFRSA-N 0 3 249.354 2.871 20 0 BFADHN OCC[C@@H](NC[C@@H]1CC=CCC1)c1ccco1 ZINC000184506003 183090980 /nfs/dbraw/zinc/09/09/80/183090980.db2.gz NRXRRIKDFZXZOW-CHWSQXEVSA-N 0 3 235.327 2.649 20 0 BFADHN CCCC[C@H](COC)NCc1ccc(C)o1 ZINC000130649977 169004624 /nfs/dbraw/zinc/00/46/24/169004624.db2.gz KEHQIMTWCGOSJS-GFCCVEGCSA-N 0 3 225.332 2.883 20 0 BFADHN CCCC[C@H](N)C(=O)N(CC)Cc1ccccc1 ZINC000037619380 169005524 /nfs/dbraw/zinc/00/55/24/169005524.db2.gz RMOJUXDGPYXZGR-AWEZNQCLSA-N 0 3 248.370 2.553 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1ccccc1Cl ZINC000037480466 169007026 /nfs/dbraw/zinc/00/70/26/169007026.db2.gz MTRIMVDLLQDACC-JTQLQIEISA-N 0 3 240.734 2.796 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1cc(C)cc(C)c1 ZINC000037619256 169007807 /nfs/dbraw/zinc/00/78/07/169007807.db2.gz DCLSMGOCFPYVPY-ZDUSSCGKSA-N 0 3 234.343 2.759 20 0 BFADHN OC[C@@H](N[C@H]1CCC12CCC2)c1ccccc1 ZINC000282162696 183109755 /nfs/dbraw/zinc/10/97/55/183109755.db2.gz LTPAVUOEYIDELT-KGLIPLIRSA-N 0 3 231.339 2.642 20 0 BFADHN CCCCc1ccc(NC(=O)C2(N)CCC2)cc1 ZINC000147533827 169020904 /nfs/dbraw/zinc/02/09/04/169020904.db2.gz MJUMOSWLLOHSQY-UHFFFAOYSA-N 0 3 246.354 2.849 20 0 BFADHN CCCCc1ccc(NC(=O)[C@@H](N)CC)cc1 ZINC000036871658 169022447 /nfs/dbraw/zinc/02/24/47/169022447.db2.gz BTIPOJRSQQZCBM-ZDUSSCGKSA-N 0 3 234.343 2.705 20 0 BFADHN OC[C@H](NCCCCCF)c1cccc(F)c1 ZINC000341922381 183139292 /nfs/dbraw/zinc/13/92/92/183139292.db2.gz NJRKLIHFZAFCKT-ZDUSSCGKSA-N 0 3 243.297 2.589 20 0 BFADHN OC[C@H](N[C@H]1CCC12CCC2)c1ccccc1 ZINC000282162693 183140597 /nfs/dbraw/zinc/14/05/97/183140597.db2.gz LTPAVUOEYIDELT-KBPBESRZSA-N 0 3 231.339 2.642 20 0 BFADHN OC[C@H](N[C@H]1CCC12CCC2)c1cccc(F)c1 ZINC000337464086 183140800 /nfs/dbraw/zinc/14/08/00/183140800.db2.gz LHVUYKUHLDBTJK-KBPBESRZSA-N 0 3 249.329 2.781 20 0 BFADHN OC[C@@H]1CCC[C@@H](NCc2ccc(Cl)o2)C1 ZINC000304687159 183123198 /nfs/dbraw/zinc/12/31/98/183123198.db2.gz ZHZFJBHKINQPMM-NXEZZACHSA-N 0 3 243.734 2.574 20 0 BFADHN O[C@@H](CN1CCCC2(CC2)C1)c1ccc(F)cc1 ZINC000187007658 183175781 /nfs/dbraw/zinc/17/57/81/183175781.db2.gz SZAHLXNNDJSSEB-AWEZNQCLSA-N 0 3 249.329 2.735 20 0 BFADHN O[C@@H]1CCC[C@H]1CNCc1sccc1Cl ZINC000308886839 183196893 /nfs/dbraw/zinc/19/68/93/183196893.db2.gz QAEFSVNGIQKORM-WCBMZHEXSA-N 0 3 245.775 2.652 20 0 BFADHN O[C@@H]1CC[C@@H](CNCc2sccc2Cl)C1 ZINC000193243415 183201799 /nfs/dbraw/zinc/20/17/99/183201799.db2.gz HRMLXWRSGGZOBS-RKDXNWHRSA-N 0 3 245.775 2.652 20 0 BFADHN CCCN(C)CCC(=O)c1ccc(F)cc1 ZINC000037323124 169075774 /nfs/dbraw/zinc/07/57/74/169075774.db2.gz WBFFAZKRBPQCSO-UHFFFAOYSA-N 0 3 223.291 2.740 20 0 BFADHN O[C@@H]1CCCC[C@@H]1Nc1ccnc2ccccc21 ZINC000052006029 183189352 /nfs/dbraw/zinc/18/93/52/183189352.db2.gz DQVMIMDDJKENGY-LSDHHAIUSA-N 0 3 242.322 2.950 20 0 BFADHN O[C@@H]1CCCC[C@H]1Nc1ccnc2ccccc21 ZINC000052006026 183192214 /nfs/dbraw/zinc/19/22/14/183192214.db2.gz DQVMIMDDJKENGY-HUUCEWRRSA-N 0 3 242.322 2.950 20 0 BFADHN O[C@@H]1CCCN(Cc2csc3ccccc23)C1 ZINC000066411135 183193802 /nfs/dbraw/zinc/19/38/02/183193802.db2.gz CVXJZPZKVYDSAZ-GFCCVEGCSA-N 0 3 247.363 2.858 20 0 BFADHN O[C@H](CN1CCC2(CC2)CC1)c1ccccc1F ZINC000366635761 183219902 /nfs/dbraw/zinc/21/99/02/183219902.db2.gz YBKWHMITHGABSL-CQSZACIVSA-N 0 3 249.329 2.735 20 0 BFADHN CCCN(C)Cc1c(C)cc(OC)nc1C ZINC000359227348 169080177 /nfs/dbraw/zinc/08/01/77/169080177.db2.gz LEOVKCOGEWHQGJ-UHFFFAOYSA-N 0 3 222.332 2.549 20 0 BFADHN O[C@H](CN1CCCCCC1)c1ccccc1F ZINC000033466100 183220779 /nfs/dbraw/zinc/22/07/79/183220779.db2.gz YRTQBVDXNYHVFV-CQSZACIVSA-N 0 3 237.318 2.735 20 0 BFADHN CCCN(C)Cc1ccc(N2CCCC2)nc1 ZINC000127541382 169081260 /nfs/dbraw/zinc/08/12/60/169081260.db2.gz XVDRMLLEZSOYFS-UHFFFAOYSA-N 0 3 233.359 2.524 20 0 BFADHN CCCN(C)Cc1ccccc1OCCOC ZINC000127563776 169081756 /nfs/dbraw/zinc/08/17/56/169081756.db2.gz CABGQBGQSCEQBE-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN CCCN(C)Cc1ncc(C)c(OC)c1C ZINC000110030710 169082681 /nfs/dbraw/zinc/08/26/81/169082681.db2.gz SNSYZLZRZUGIFQ-UHFFFAOYSA-N 0 3 222.332 2.549 20 0 BFADHN CCCN(C)Cc1nn(CC)c2ccccc12 ZINC000274595869 169082783 /nfs/dbraw/zinc/08/27/83/169082783.db2.gz QCRARPPBABBMQF-UHFFFAOYSA-N 0 3 231.343 2.898 20 0 BFADHN O[C@H]1CCCC[C@@H]1NCc1cc2ccccc2o1 ZINC000051993528 183231213 /nfs/dbraw/zinc/23/12/13/183231213.db2.gz CTIIQGIJZCRYJZ-KBPBESRZSA-N 0 3 245.322 2.826 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)Nc1c(C)cccc1C ZINC000123577991 169086603 /nfs/dbraw/zinc/08/66/03/169086603.db2.gz VXMQHIKLNCVEAD-ZDUSSCGKSA-N 0 3 248.370 2.972 20 0 BFADHN CCCN(C)[C@H](C)C(=O)Nc1c(C)cccc1C ZINC000123578235 169087247 /nfs/dbraw/zinc/08/72/47/169087247.db2.gz VXMQHIKLNCVEAD-CYBMUJFWSA-N 0 3 248.370 2.972 20 0 BFADHN CCCN(C)[C@@H](CO)c1ccc(Cl)cc1 ZINC000296185891 169087344 /nfs/dbraw/zinc/08/73/44/169087344.db2.gz IPVPOAMQXVBRMO-LBPRGKRZSA-N 0 3 227.735 2.715 20 0 BFADHN O[C@H]1CC[C@@H](CNCc2sccc2Cl)C1 ZINC000193243392 183240905 /nfs/dbraw/zinc/24/09/05/183240905.db2.gz HRMLXWRSGGZOBS-BDAKNGLRSA-N 0 3 245.775 2.652 20 0 BFADHN CCCN(CC)C(=O)[C@@H](C)[C@@H](N)c1ccccc1 ZINC000131647749 169114552 /nfs/dbraw/zinc/11/45/52/169114552.db2.gz PSYLRNFQAXAXOW-GXTWGEPZSA-N 0 3 248.370 2.581 20 0 BFADHN O[C@H]1C[C@@H](NCc2csc3ccccc23)C1 ZINC000294735839 183248396 /nfs/dbraw/zinc/24/83/96/183248396.db2.gz BXROTUMIJMPBRB-PHIMTYICSA-N 0 3 233.336 2.514 20 0 BFADHN CCCN(CC)CC(=O)N[C@H](C)c1ccccc1 ZINC000299379843 169121252 /nfs/dbraw/zinc/12/12/52/169121252.db2.gz NHUQKRQIHNRKOK-CYBMUJFWSA-N 0 3 248.370 2.596 20 0 BFADHN O[C@H]1C[C@@H](NCc2cc(Cl)cc(Cl)c2)C1 ZINC000294850755 183248672 /nfs/dbraw/zinc/24/86/72/183248672.db2.gz NLLOODXQCWKTCZ-PHIMTYICSA-N 0 3 246.137 2.606 20 0 BFADHN CCCN(CCC)Cc1ccc(F)cn1 ZINC000276368412 169148858 /nfs/dbraw/zinc/14/88/58/169148858.db2.gz NUZZMUSKFSPOQB-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN Oc1ccc2c(c1)[C@@H](NC1CC1)CCC2 ZINC000236595189 183281494 /nfs/dbraw/zinc/28/14/94/183281494.db2.gz HJWIUTMWTCNHHL-ZDUSSCGKSA-N 0 3 203.285 2.522 20 0 BFADHN [O-]c1ccc(F)c(C[NH2+]CCCCF)c1F ZINC000353561143 183273380 /nfs/dbraw/zinc/27/33/80/183273380.db2.gz JBPFTBQLERXQRF-UHFFFAOYSA-N 0 3 233.233 2.510 20 0 BFADHN CCCN(CCC)C(=O)C[C@@H](N)c1ccccc1 ZINC000019485282 169141429 /nfs/dbraw/zinc/14/14/29/169141429.db2.gz LHEFNKNXBRKHOX-CQSZACIVSA-N 0 3 248.370 2.725 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2CC=CCC2)c1 ZINC000159606181 183259988 /nfs/dbraw/zinc/25/99/88/183259988.db2.gz YMDKDZXRIKNVKN-GFCCVEGCSA-N 0 3 221.275 2.730 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]CC2(C(F)F)CC2)c1 ZINC000355147012 183260032 /nfs/dbraw/zinc/26/00/32/183260032.db2.gz CUDDCEFUNOQHEA-UHFFFAOYSA-N 0 3 245.244 2.666 20 0 BFADHN CCCN(CCC)CC(=O)N1CCCC[C@H]1C ZINC000153041740 169148056 /nfs/dbraw/zinc/14/80/56/169148056.db2.gz NPPKXJFXFVPGTO-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CCCN(CCC)Cc1ccnn1CC ZINC000158051184 169148433 /nfs/dbraw/zinc/14/84/33/169148433.db2.gz FZFZUFPBXSOQJL-UHFFFAOYSA-N 0 3 209.337 2.525 20 0 BFADHN CCC[N@H+](Cc1cc([O-])cc(F)c1)C1CC1 ZINC000186180634 169172995 /nfs/dbraw/zinc/17/29/95/169172995.db2.gz HMBSSNJXONUOTB-UHFFFAOYSA-N 0 3 223.291 2.906 20 0 BFADHN CCC[N@@H+](Cc1cc([O-])cc(F)c1)C1CC1 ZINC000186180634 169172996 /nfs/dbraw/zinc/17/29/96/169172996.db2.gz HMBSSNJXONUOTB-UHFFFAOYSA-N 0 3 223.291 2.906 20 0 BFADHN Oc1cccc(CN2CC(C3CCC3)C2)c1 ZINC000336445802 183287420 /nfs/dbraw/zinc/28/74/20/183287420.db2.gz BRXXGKZFMLUYKF-UHFFFAOYSA-N 0 3 217.312 2.624 20 0 BFADHN CCCN(CCC1CC1)[C@H](CC)C(=O)OCC ZINC000361982650 169154365 /nfs/dbraw/zinc/15/43/65/169154365.db2.gz GCLJOTMJCZFURL-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN CCCN(CCN1CCC(C)CC1)CC(F)F ZINC000340823138 169158491 /nfs/dbraw/zinc/15/84/91/169158491.db2.gz OVUIKQLJAQSONW-UHFFFAOYSA-N 0 3 248.361 2.695 20 0 BFADHN CCCN(Cc1conc1C)CC1CC1 ZINC000294792008 169180469 /nfs/dbraw/zinc/18/04/69/169180469.db2.gz CMHSFVDORAVTPA-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN CCCN1CCC(Oc2ncccc2C)CC1 ZINC000279251234 169191596 /nfs/dbraw/zinc/19/15/96/169191596.db2.gz LEOFNBNZQZRUBI-UHFFFAOYSA-N 0 3 234.343 2.643 20 0 BFADHN CCCN1CCC[C@@H]1CNCc1occc1C ZINC000353131433 169197470 /nfs/dbraw/zinc/19/74/70/169197470.db2.gz BHKVAXQQMKFOSG-CYBMUJFWSA-N 0 3 236.359 2.552 20 0 BFADHN CCCN1CCC[C@H]1CN[C@H](C)c1ccco1 ZINC000353977540 169199785 /nfs/dbraw/zinc/19/97/85/169199785.db2.gz ZXJRSAYPWWHVLT-OLZOCXBDSA-N 0 3 236.359 2.805 20 0 BFADHN CCCN(Cc1ccncc1C)C1CC1 ZINC000294493474 169178393 /nfs/dbraw/zinc/17/83/93/169178393.db2.gz AAFCNFGZPZXORG-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN c1cc(CN(CCC2CC2)C2CC2)ccn1 ZINC000336664796 183338671 /nfs/dbraw/zinc/33/86/71/183338671.db2.gz HFXGJSYMOYFGLK-UHFFFAOYSA-N 0 3 216.328 2.846 20 0 BFADHN c1cn2c(cccc2CN2CC(C3CCC3)C2)n1 ZINC000335152361 183338981 /nfs/dbraw/zinc/33/89/81/183338981.db2.gz QDFOYTRJTVTDFC-UHFFFAOYSA-N 0 3 241.338 2.566 20 0 BFADHN c1cc(CN2CCC3(CCC3)CC2)ccn1 ZINC000367596260 183339582 /nfs/dbraw/zinc/33/95/82/183339582.db2.gz GGZVTFOBTGKNQR-UHFFFAOYSA-N 0 3 216.328 2.848 20 0 BFADHN c1cc2c(c(CN3CCC4(CCC4)C3)c1)OCO2 ZINC000093455839 183340821 /nfs/dbraw/zinc/34/08/21/183340821.db2.gz JVHDPTUQEXDZJM-UHFFFAOYSA-N 0 3 245.322 2.791 20 0 BFADHN c1cc2c(c(CN3CCCC34CCC4)c1)OCO2 ZINC000365829906 183340993 /nfs/dbraw/zinc/34/09/93/183340993.db2.gz JPFWBXHVXWAQRP-UHFFFAOYSA-N 0 3 245.322 2.934 20 0 BFADHN CCCN1Cc2ccccc2O[C@@H](C)C1 ZINC000366531817 169210901 /nfs/dbraw/zinc/21/09/01/169210901.db2.gz AIPHFWWXXNKZCW-NSHDSACASA-N 0 3 205.301 2.680 20 0 BFADHN CCCN1Cc2ccccc2O[C@H](C)C1 ZINC000366531811 169211685 /nfs/dbraw/zinc/21/16/85/169211685.db2.gz AIPHFWWXXNKZCW-LLVKDONJSA-N 0 3 205.301 2.680 20 0 BFADHN c1cc(C2CCC2)ccc1CN[C@@H]1CCOC1 ZINC000276968876 183335326 /nfs/dbraw/zinc/33/53/26/183335326.db2.gz QXAHCHDLGSIAKR-OAHLLOKOSA-N 0 3 231.339 2.833 20 0 BFADHN c1cc([C@H]2CCCN2C[C@H]2CCCCO2)ccn1 ZINC000245647502 183364170 /nfs/dbraw/zinc/36/41/70/183364170.db2.gz UIXBUIWXGLXRRM-HUUCEWRRSA-N 0 3 246.354 2.788 20 0 BFADHN c1cc2c(o1)CCC[C@H]2NCCN1CCCCC1 ZINC000124008001 183372731 /nfs/dbraw/zinc/37/27/31/183372731.db2.gz NYUAIVHWURPCDE-CQSZACIVSA-N 0 3 248.370 2.733 20 0 BFADHN c1cn(-c2ccccc2)nc1CNCC1CCC1 ZINC000061640945 183386053 /nfs/dbraw/zinc/38/60/53/183386053.db2.gz URKICIYFWXTUKE-UHFFFAOYSA-N 0 3 241.338 2.762 20 0 BFADHN CCCN[C@H](C(=O)N(CC)CC)c1ccccc1 ZINC000098081190 169283995 /nfs/dbraw/zinc/28/39/95/169283995.db2.gz MWTMEBVAQGXDNG-AWEZNQCLSA-N 0 3 248.370 2.596 20 0 BFADHN CCCN[C@H](C)c1c(F)cccc1OC ZINC000034842319 169287565 /nfs/dbraw/zinc/28/75/65/169287565.db2.gz XWQUPTBPNVHUQY-SECBINFHSA-N 0 3 211.280 2.895 20 0 BFADHN CCCNCc1cc(F)c(F)c(F)c1 ZINC000062705437 169266074 /nfs/dbraw/zinc/26/60/74/169266074.db2.gz UBPDLZQIFKOSAW-UHFFFAOYSA-N 0 3 203.207 2.604 20 0 BFADHN CCCNCc1cc(OC)c(OC)cc1Cl ZINC000066148048 169266399 /nfs/dbraw/zinc/26/63/99/169266399.db2.gz OWHNUFUTJZLDEE-UHFFFAOYSA-N 0 3 243.734 2.857 20 0 BFADHN CCCNCc1ncc(C(C)(C)C)s1 ZINC000279198429 169268794 /nfs/dbraw/zinc/26/87/94/169268794.db2.gz URHDKMMQAVBATG-UHFFFAOYSA-N 0 3 212.362 2.940 20 0 BFADHN c1ccc(CN2CCCC3(CCC3)C2)nc1 ZINC000366339334 183396756 /nfs/dbraw/zinc/39/67/56/183396756.db2.gz BEKPGPJAAFMROZ-UHFFFAOYSA-N 0 3 216.328 2.848 20 0 BFADHN c1ccc(CN(C[C@@H]2CCSC2)C2CC2)nc1 ZINC000290473461 183397250 /nfs/dbraw/zinc/39/72/50/183397250.db2.gz NRCXOFQPSGCVEC-LBPRGKRZSA-N 0 3 248.395 2.799 20 0 BFADHN c1cnn([C@H]2CCCN(Cc3ccccc3)C2)c1 ZINC000102410300 183398755 /nfs/dbraw/zinc/39/87/55/183398755.db2.gz AXKZPRUGENURDZ-HNNXBMFYSA-N 0 3 241.338 2.720 20 0 BFADHN CCCN[C@@H](C)C(=O)N(C)c1cc(C)cc(C)c1 ZINC000294991287 169273092 /nfs/dbraw/zinc/27/30/92/169273092.db2.gz HBJYTKMHZPQCAF-ZDUSSCGKSA-N 0 3 248.370 2.654 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1c(C)cc(C)cc1C ZINC000261712278 169275372 /nfs/dbraw/zinc/27/53/72/169275372.db2.gz GGUZQXLJABAAJM-ZDUSSCGKSA-N 0 3 248.370 2.938 20 0 BFADHN CCCN[C@@H](COC)c1ccc(F)c(F)c1 ZINC000055237442 169278898 /nfs/dbraw/zinc/27/88/98/169278898.db2.gz PCHGWBAPSUYVOJ-LBPRGKRZSA-N 0 3 229.270 2.652 20 0 BFADHN CCCN[C@H](c1cnn(C)c1)c1ccccc1 ZINC000035584140 169280706 /nfs/dbraw/zinc/28/07/06/169280706.db2.gz WTPRKELXXJLRNI-AWEZNQCLSA-N 0 3 229.327 2.509 20 0 BFADHN c1ccc2c(c1)nccc2NC[C@@H]1CCOC1 ZINC000041721042 183438401 /nfs/dbraw/zinc/43/84/01/183438401.db2.gz AMGMUVWCKVBFJC-NSHDSACASA-N 0 3 228.295 2.683 20 0 BFADHN CCCOc1ccc(CNC2CC2)cc1OC ZINC000020931145 169318366 /nfs/dbraw/zinc/31/83/66/169318366.db2.gz RQUVPCSLOVKJJZ-UHFFFAOYSA-N 0 3 235.327 2.736 20 0 BFADHN CCCOc1ccc([C@@H](C)N[C@H](C)CO)cc1 ZINC000035602809 169320553 /nfs/dbraw/zinc/32/05/53/169320553.db2.gz ARVHKKHCYFIWFD-VXGBXAGGSA-N 0 3 237.343 2.507 20 0 BFADHN CCCOc1ccc([C@@H](C)N[C@H]2C[C@@H](O)C2)cc1 ZINC000295862582 169320676 /nfs/dbraw/zinc/32/06/76/169320676.db2.gz FQGWYKRYVFNNBJ-BNOWGMLFSA-N 0 3 249.354 2.649 20 0 BFADHN CCCOc1ccc([C@H](C)N[C@@H]2CCOC2)cc1 ZINC000131223158 169322452 /nfs/dbraw/zinc/32/24/52/169322452.db2.gz UNCMHKXHEYCJHZ-GXTWGEPZSA-N 0 3 249.354 2.915 20 0 BFADHN CCCOc1cccc(CN(C)[C@@H]2CCOC2)c1 ZINC000132141418 169325418 /nfs/dbraw/zinc/32/54/18/169325418.db2.gz URFKGMHALMACMJ-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CCC[C@@H](C)N1CC(=O)N(C(C)C)C(C)(C)C1 ZINC000337211107 169366661 /nfs/dbraw/zinc/36/66/61/169366661.db2.gz DFCMIFKNIBGVKJ-GFCCVEGCSA-N 0 3 240.391 2.506 20 0 BFADHN CCC[C@@H](C)C(=O)Nc1cccc(CNC)c1 ZINC000036282342 169353029 /nfs/dbraw/zinc/35/30/29/169353029.db2.gz MQSCVOGEUYTKLH-LLVKDONJSA-N 0 3 234.343 2.781 20 0 BFADHN CCC[C@@H](C)NCc1cccc2c1OCCO2 ZINC000036167573 169370530 /nfs/dbraw/zinc/37/05/30/169370530.db2.gz VLNKWKRTRSCAGL-LLVKDONJSA-N 0 3 235.327 2.736 20 0 BFADHN CCC[C@@H](C)CNCc1cccnc1OC ZINC000037485404 169359912 /nfs/dbraw/zinc/35/99/12/169359912.db2.gz MAGVUVOMUIZFDW-LLVKDONJSA-N 0 3 222.332 2.616 20 0 BFADHN CCC[C@@H](CCO)CN[C@H](C)c1cncs1 ZINC000122039542 169382708 /nfs/dbraw/zinc/38/27/08/169382708.db2.gz CEOHCUDZCANOJX-MNOVXSKESA-N 0 3 242.388 2.592 20 0 BFADHN CCC[C@@H](CCO)CN[C@@H](C)c1nccs1 ZINC000091731160 169383222 /nfs/dbraw/zinc/38/32/22/169383222.db2.gz LVORIFRQZRYCOW-QWRGUYRKSA-N 0 3 242.388 2.592 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1ccco1)OC ZINC000293656183 169385567 /nfs/dbraw/zinc/38/55/67/169385567.db2.gz KWQKPVWWNCAOLE-QWRGUYRKSA-N 0 3 211.305 2.745 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1cncc(C)c1)OC ZINC000297293566 169385616 /nfs/dbraw/zinc/38/56/16/169385616.db2.gz LYPXMDMDCPXTCC-OCCSQVGLSA-N 0 3 236.359 2.856 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1cccc2c1CCCC2 ZINC000036872713 169391375 /nfs/dbraw/zinc/39/13/75/169391375.db2.gz SRCDIPPZUMFZQW-CYBMUJFWSA-N 0 3 246.354 2.631 20 0 BFADHN C[C@H](NC[C@H]1CC1(C)C)c1nccs1 ZINC000222038544 134329465 /nfs/dbraw/zinc/32/94/65/134329465.db2.gz MWTPXEGXYVXEQQ-DTWKUNHWSA-N 0 3 210.346 2.840 20 0 BFADHN CCC[C@@](C)(O)CN[C@@H](C)c1cncc(C)c1 ZINC000274653086 169458740 /nfs/dbraw/zinc/45/87/40/169458740.db2.gz VHYCIIJEAIPAIN-GXTWGEPZSA-N 0 3 236.359 2.592 20 0 BFADHN CCC[C@H](N)C(=O)N(C)c1cccc(C(C)C)c1 ZINC000155734067 169500302 /nfs/dbraw/zinc/50/03/02/169500302.db2.gz DQNJTQXHBFNWNY-AWEZNQCLSA-N 0 3 248.370 2.900 20 0 BFADHN CCOC1CC(NCc2ccc(C)c(F)c2)C1 ZINC000224368616 134346207 /nfs/dbraw/zinc/34/62/07/134346207.db2.gz VILPBCKDFUAKTH-UHFFFAOYSA-N 0 3 237.318 2.791 20 0 BFADHN CCC[C@H](O)CN[C@@H](CC)c1nc(C)cs1 ZINC000294874047 169519369 /nfs/dbraw/zinc/51/93/69/169519369.db2.gz BIBFDSRWURNWBP-QWRGUYRKSA-N 0 3 242.388 2.653 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H](O)C(F)F)c(C)c1 ZINC000224466326 134347778 /nfs/dbraw/zinc/34/77/78/134347778.db2.gz RIUOHJSRHAIKEP-JQWIXIFHSA-N 0 3 243.297 2.580 20 0 BFADHN CCCc1nc(C)c(CNC[C@H]2C[C@@H]2C)o1 ZINC000336779590 169586439 /nfs/dbraw/zinc/58/64/39/169586439.db2.gz OTTCVIVYWMKGQO-GXSJLCMTSA-N 0 3 222.332 2.681 20 0 BFADHN Cc1csc([C@@H](C)N[C@H]2CCO[C@@H](C)C2)n1 ZINC000227108223 259626618 /nfs/dbraw/zinc/62/66/18/259626618.db2.gz WWQZTDSHPXTCLO-AXFHLTTASA-N 0 3 240.372 2.670 20 0 BFADHN CCCn1c2cc(F)ccc2nc1[C@@H](C)N ZINC000052124858 169614983 /nfs/dbraw/zinc/61/49/83/169614983.db2.gz KYRTYRKHTHZVJM-MRVPVSSYSA-N 0 3 221.279 2.605 20 0 BFADHN c1ccc2c(c1)OCC[C@H]2N[C@@H]1CCSC1 ZINC000034996457 383820992 /nfs/dbraw/zinc/82/09/92/383820992.db2.gz TVAYPSJUHJJHTH-ZYHUDNBSSA-N 0 3 235.352 2.605 20 0 BFADHN COC(=O)c1cc(CNCCC(C)C)oc1C ZINC000034981212 383822503 /nfs/dbraw/zinc/82/25/03/383822503.db2.gz ZSADAFHBABECCF-UHFFFAOYSA-N 0 3 239.315 2.510 20 0 BFADHN CC(C)=CCN1CC[C@H](C(C)(C)C)[C@@H](O)C1 ZINC000558155798 383822625 /nfs/dbraw/zinc/82/26/25/383822625.db2.gz BOTOGCYPKNGDSB-STQMWFEESA-N 0 3 225.376 2.682 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H](O)c2ccccc2)o1 ZINC000035188403 383840831 /nfs/dbraw/zinc/84/08/31/383840831.db2.gz WLMBUGCLKNHRDA-TZMCWYRMSA-N 0 3 245.322 2.972 20 0 BFADHN COC[C@@H](N[C@@H](C)c1ccc(C)o1)C1CC1 ZINC000087478522 383845789 /nfs/dbraw/zinc/84/57/89/383845789.db2.gz OEGKFPBOGTZLAH-CMPLNLGQSA-N 0 3 223.316 2.664 20 0 BFADHN C[C@](CO)(NCC1=CCCC1)c1ccccc1 ZINC000401058771 383848821 /nfs/dbraw/zinc/84/88/21/383848821.db2.gz SZYGAZGDBZWGMZ-OAHLLOKOSA-N 0 3 231.339 2.594 20 0 BFADHN C[C@@H]1CCN(CCn2cccn2)[C@@H]2CCCC[C@@H]12 ZINC000521194388 383850934 /nfs/dbraw/zinc/85/09/34/383850934.db2.gz NTFWMCIMBOWHFD-QLFBSQMISA-N 0 3 247.386 2.784 20 0 BFADHN CC(C)CCNCc1nc2ccccc2n1C ZINC000066236540 383853711 /nfs/dbraw/zinc/85/37/11/383853711.db2.gz RRRHDBAJGUGKTA-UHFFFAOYSA-N 0 3 231.343 2.709 20 0 BFADHN Cc1n[nH]cc1CN[C@H]1c2ccccc2C[C@H]1C ZINC000111410662 383862830 /nfs/dbraw/zinc/86/28/30/383862830.db2.gz LDPDDVVCGGFSDI-MEBBXXQBSA-N 0 3 241.338 2.741 20 0 BFADHN C[C@@H](O)CN[C@@H](C)c1ccccc1C(F)(F)F ZINC000035602004 383863033 /nfs/dbraw/zinc/86/30/33/383863033.db2.gz XRKYDLCVIHWXFR-BDAKNGLRSA-N 0 3 247.260 2.737 20 0 BFADHN Cc1noc(C2CCN([C@@H]3C=CCCC3)CC2)n1 ZINC000066495591 383867327 /nfs/dbraw/zinc/86/73/27/383867327.db2.gz ASVPSIPXIQFYND-CYBMUJFWSA-N 0 3 247.342 2.666 20 0 BFADHN C[C@H](N[C@@H]1CCOC1)c1ccc(F)c(Cl)c1 ZINC000087783328 383867412 /nfs/dbraw/zinc/86/74/12/383867412.db2.gz ZSTONGYJCYBJFQ-WCBMZHEXSA-N 0 3 243.709 2.919 20 0 BFADHN Cc1ccc(CN[C@H](C)Cc2c(C)noc2C)o1 ZINC000119811200 383870025 /nfs/dbraw/zinc/87/00/25/383870025.db2.gz HVCQOTNIGBFIAL-SECBINFHSA-N 0 3 248.326 2.914 20 0 BFADHN CC[C@@H](CCO)CN[C@@H](C)c1ccc(C)o1 ZINC000087362839 383829910 /nfs/dbraw/zinc/82/99/10/383829910.db2.gz RQQUMNDKHDHMON-RYUDHWBXSA-N 0 3 225.332 2.647 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(F)c(F)c1 ZINC000035151241 383833542 /nfs/dbraw/zinc/83/35/42/383833542.db2.gz LEPYSNXKDSQKMC-VIFPVBQESA-N 0 3 229.270 2.652 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1cccc2ccoc21 ZINC000560119894 383911983 /nfs/dbraw/zinc/91/19/83/383911983.db2.gz GDYBJZXXOJJUAT-STQMWFEESA-N 0 3 231.295 2.700 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cncn2C)c(C)c1 ZINC000112341803 383919142 /nfs/dbraw/zinc/91/91/42/383919142.db2.gz MHCIOHMTPJZXCP-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccc([C@H](C)NCc2cncn2C)c(C)c1 ZINC000112341804 383920705 /nfs/dbraw/zinc/92/07/05/383920705.db2.gz MHCIOHMTPJZXCP-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@H](CO)c1ccccc1 ZINC000449142517 383922418 /nfs/dbraw/zinc/92/24/18/383922418.db2.gz OFIZTLUUTDHFPH-IUODEOHRSA-N 0 3 245.322 2.534 20 0 BFADHN COc1cc(C)ccc1CNCC[C@H](C)F ZINC000449343577 383924281 /nfs/dbraw/zinc/92/42/81/383924281.db2.gz LOYLLDAMCJAUPE-NSHDSACASA-N 0 3 225.307 2.841 20 0 BFADHN CC[C@@H](Cc1ccccc1)NCc1cc[nH]n1 ZINC000112466641 383925313 /nfs/dbraw/zinc/92/53/13/383925313.db2.gz XPHXPFIJEYXYSG-ZDUSSCGKSA-N 0 3 229.327 2.521 20 0 BFADHN C[C@@H](CF)NCc1cccc2ccoc21 ZINC000449333084 383881790 /nfs/dbraw/zinc/88/17/90/383881790.db2.gz URSCDDNSNODQLH-VIFPVBQESA-N 0 3 207.248 2.880 20 0 BFADHN CC(C)CCNCc1cccc2c1OCO2 ZINC000036167723 383883477 /nfs/dbraw/zinc/88/34/77/383883477.db2.gz WGCZAXUFESLVPN-UHFFFAOYSA-N 0 3 221.300 2.551 20 0 BFADHN CCOCCN[C@H](C)c1ccc2c(c1)CCC2 ZINC000036228447 383885445 /nfs/dbraw/zinc/88/54/45/383885445.db2.gz SWQYUFDLMLOLLT-GFCCVEGCSA-N 0 3 233.355 2.862 20 0 BFADHN COCC[C@H](C)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000112018359 383889688 /nfs/dbraw/zinc/88/96/88/383889688.db2.gz VNJJWPRMIRTNFR-GVXVVHGQSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@@H](NC1CCOCC1)c1ccccc1F ZINC000036319439 383895706 /nfs/dbraw/zinc/89/57/06/383895706.db2.gz QQEUSNMRUFBCLZ-SNVBAGLBSA-N 0 3 223.291 2.655 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C)C[C@H](C)C2)o1 ZINC000127705378 383895593 /nfs/dbraw/zinc/89/55/93/383895593.db2.gz OOQJRPGPDCKOJK-MNOVXSKESA-N 0 3 222.332 2.851 20 0 BFADHN CC[C@H]1CCCC[C@H]1CNCc1ccon1 ZINC000401744811 383900949 /nfs/dbraw/zinc/90/09/49/383900949.db2.gz LCLARPGVTBCYCT-RYUDHWBXSA-N 0 3 222.332 2.981 20 0 BFADHN CC[C@@H](CO)N(C)Cc1ccc(Cl)c(F)c1 ZINC000293864035 383978590 /nfs/dbraw/zinc/97/85/90/383978590.db2.gz KVDRPUOEUKORGQ-JTQLQIEISA-N 0 3 245.725 2.682 20 0 BFADHN C[C@@H](CO)CCCNCc1sccc1Cl ZINC000278476142 384002230 /nfs/dbraw/zinc/00/22/30/384002230.db2.gz JZCKHBJZKYPPJK-SECBINFHSA-N 0 3 247.791 2.900 20 0 BFADHN CC[C@H](CO)N(C)Cc1ccc(Cl)c(F)c1 ZINC000293865818 383983764 /nfs/dbraw/zinc/98/37/64/383983764.db2.gz KVDRPUOEUKORGQ-SNVBAGLBSA-N 0 3 245.725 2.682 20 0 BFADHN Cc1cc(C)c(CN[C@@H]2CCCSC2)cn1 ZINC000334737706 383987166 /nfs/dbraw/zinc/98/71/66/383987166.db2.gz FLRFFIOXFSELOL-CYBMUJFWSA-N 0 3 236.384 2.684 20 0 BFADHN C[C@H]1C[C@@H](N[C@@H]2C[C@@H](C)Oc3ccccc32)CO1 ZINC000647214955 383989670 /nfs/dbraw/zinc/98/96/70/383989670.db2.gz ZLWHRWJFMAXIGI-FMCLSXCISA-N 0 3 247.338 2.666 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1ccc(C)cc1F ZINC000037621405 383991206 /nfs/dbraw/zinc/99/12/06/383991206.db2.gz NFLLXXVXQKHCGK-CYBMUJFWSA-N 0 3 238.306 2.590 20 0 BFADHN CSCC[C@H](CO)N[C@@H](C)c1ccsc1 ZINC000130902793 383936298 /nfs/dbraw/zinc/93/62/98/383936298.db2.gz MCUUOPKQGJBFQQ-GXSJLCMTSA-N 0 3 245.413 2.513 20 0 BFADHN CC[C@@H](C)NCc1nn(CC)c2ccccc12 ZINC000089173899 383939515 /nfs/dbraw/zinc/93/95/15/383939515.db2.gz RSAUPFGJBBKRSK-LLVKDONJSA-N 0 3 231.343 2.944 20 0 BFADHN C[C@@H]1CCC[C@H](N[C@@H]2CCn3ccnc32)[C@H]1C ZINC000345353260 383949158 /nfs/dbraw/zinc/94/91/58/383949158.db2.gz QIABPRSHBVZVRN-MROQNXINSA-N 0 3 233.359 2.742 20 0 BFADHN CC(C)C1(CN[C@@H]2C[C@H](C)n3ccnc32)CC1 ZINC000345348429 383949297 /nfs/dbraw/zinc/94/92/97/383949297.db2.gz MASCSUMIKJXYST-NWDGAFQWSA-N 0 3 233.359 2.915 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](C)Cn2cccn2)cc1 ZINC000037155454 383949858 /nfs/dbraw/zinc/94/98/58/383949858.db2.gz FFEUUVXGOMPPCB-KBPBESRZSA-N 0 3 243.354 2.931 20 0 BFADHN CC[C@H](COC)NCc1ccc(Cl)cc1 ZINC000070656315 383950961 /nfs/dbraw/zinc/95/09/61/383950961.db2.gz XLTVPFISZYFVPI-GFCCVEGCSA-N 0 3 227.735 2.855 20 0 BFADHN CCO[C@@H]1CCN(Cc2cccc(O)c2)C[C@@H]1C ZINC000449501362 383950998 /nfs/dbraw/zinc/95/09/98/383950998.db2.gz VUPSYRLARDXUAA-SWLSCSKDSA-N 0 3 249.354 2.639 20 0 BFADHN Cc1ccc(F)c(CNCCc2cccnc2)c1 ZINC000116913240 383952883 /nfs/dbraw/zinc/95/28/83/383952883.db2.gz KZKRPAGXPHEHOI-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN CC(C)[C@@H](NCc1cncnc1)c1ccccc1 ZINC000054767416 383957986 /nfs/dbraw/zinc/95/79/86/383957986.db2.gz PCQSSVCIFUAANH-OAHLLOKOSA-N 0 3 241.338 2.964 20 0 BFADHN CC[C@@H](CO)N[C@@H](C)c1ccc(F)cc1Cl ZINC000037238683 383961121 /nfs/dbraw/zinc/96/11/21/383961121.db2.gz WOZYMVYGZQGCLO-WPRPVWTQSA-N 0 3 245.725 2.901 20 0 BFADHN Cc1csc([C@@H](C)N[C@H]2CCCSC2)n1 ZINC000070732778 383961795 /nfs/dbraw/zinc/96/17/95/383961795.db2.gz YFUNLYCSPMGCCP-ZJUUUORDSA-N 0 3 242.413 2.998 20 0 BFADHN CCOCCNCc1ccc(OC(C)C)cc1 ZINC000037269036 383968021 /nfs/dbraw/zinc/96/80/21/383968021.db2.gz OABLDDZZMQKDME-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1)c1ccc(Cl)cc1 ZINC000070964341 383971234 /nfs/dbraw/zinc/97/12/34/383971234.db2.gz SEEBDUNJYLKQAR-BXKDBHETSA-N 0 3 225.719 2.780 20 0 BFADHN Cc1noc(CCN[C@H](C)c2cccc(C)c2)n1 ZINC000089518515 383974559 /nfs/dbraw/zinc/97/45/59/383974559.db2.gz PXTDCSLCXBPQIF-LLVKDONJSA-N 0 3 245.326 2.580 20 0 BFADHN CCCC(C)(C)NCc1cnc([C@H](C)O)s1 ZINC000290320437 383976324 /nfs/dbraw/zinc/97/63/24/383976324.db2.gz JMGVIGMQQMEURH-VIFPVBQESA-N 0 3 242.388 2.865 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCc3c(F)cccc32)CO1 ZINC000647213609 383976449 /nfs/dbraw/zinc/97/64/49/383976449.db2.gz OOHRAZJHKDJARA-JRPNMDOOSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCc1cnn(C)c1)CC2 ZINC000113310439 383977105 /nfs/dbraw/zinc/97/71/05/383977105.db2.gz PIXCSZYHDMHKDO-HNNXBMFYSA-N 0 3 241.338 2.506 20 0 BFADHN CC(C)(C)C[C@H]1CCN(Cc2ccno2)C1 ZINC000569426700 384017767 /nfs/dbraw/zinc/01/77/67/384017767.db2.gz FYUBRMAHSOEEGA-LLVKDONJSA-N 0 3 222.332 2.933 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H](O)C(F)(F)F)cc1 ZINC000068879721 384017978 /nfs/dbraw/zinc/01/79/78/384017978.db2.gz LMRAEEHEGUDBFV-KOLCDFICSA-N 0 3 247.260 2.569 20 0 BFADHN COc1ncncc1CN[C@]1(C)CCCC[C@H]1C ZINC000647216387 384019979 /nfs/dbraw/zinc/01/99/79/384019979.db2.gz HNNHPAKDNGYKCD-BXUZGUMPSA-N 0 3 249.358 2.544 20 0 BFADHN CSC(C)(C)CNCc1ccc(F)nc1C ZINC000278523096 384005447 /nfs/dbraw/zinc/00/54/47/384005447.db2.gz QOJHHSJEWJWPRG-UHFFFAOYSA-N 0 3 242.363 2.760 20 0 BFADHN Cc1ccc([C@H](C)NCc2ccnn2C)cc1 ZINC000037770670 384012546 /nfs/dbraw/zinc/01/25/46/384012546.db2.gz IFDFFTHJFBXIEA-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cnn(C)c2)c(C)c1 ZINC000037772230 384012629 /nfs/dbraw/zinc/01/26/29/384012629.db2.gz KHPHXGGCOUUTNY-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN CCc1ccc(CNCc2c[nH]nc2C)cc1 ZINC000037989182 384049963 /nfs/dbraw/zinc/04/99/63/384049963.db2.gz HGONYDRLLMDCEO-UHFFFAOYSA-N 0 3 229.327 2.570 20 0 BFADHN C[C@@H](O)CC(C)(C)CN[C@H](C)c1nccs1 ZINC000090085877 384027669 /nfs/dbraw/zinc/02/76/69/384027669.db2.gz BZUIDMCLYXWZCE-NXEZZACHSA-N 0 3 242.388 2.591 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC[C@H]2CCC[C@@H]21 ZINC000119931231 384032547 /nfs/dbraw/zinc/03/25/47/384032547.db2.gz QTXCQJZNBKMYPM-OCCSQVGLSA-N 0 3 233.359 2.791 20 0 BFADHN Cc1ncc(CNC2CCC(F)(F)CC2)o1 ZINC000647217398 384042175 /nfs/dbraw/zinc/04/21/75/384042175.db2.gz OSPLYLIHTBFTNO-UHFFFAOYSA-N 0 3 230.258 2.651 20 0 BFADHN CC(=O)Nc1ccccc1CN[C@@H]1CC1(C)C ZINC000090962500 384086558 /nfs/dbraw/zinc/08/65/58/384086558.db2.gz SVTOWGAZCJAZGV-CYBMUJFWSA-N 0 3 232.327 2.533 20 0 BFADHN Cc1nsc(C)c1CN(C)[C@H](C)C1CC1 ZINC000569468130 384087040 /nfs/dbraw/zinc/08/70/40/384087040.db2.gz ADKOIDKGOOEVFD-SECBINFHSA-N 0 3 224.373 2.990 20 0 BFADHN CC(C)N(C)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000115570766 384087288 /nfs/dbraw/zinc/08/72/88/384087288.db2.gz JNTOZPDZCSPTSX-CQSZACIVSA-N 0 3 246.354 2.522 20 0 BFADHN CN(C)Cc1cccc(NCc2cc[nH]c2)c1 ZINC000090994425 384088654 /nfs/dbraw/zinc/08/86/54/384088654.db2.gz BWCLUUXGAAOHEQ-UHFFFAOYSA-N 0 3 229.327 2.688 20 0 BFADHN CN(C)Cc1cccc(CNCc2ccco2)c1 ZINC000069673707 384055166 /nfs/dbraw/zinc/05/51/66/384055166.db2.gz NOZBXQHMLHEJFD-UHFFFAOYSA-N 0 3 244.338 2.631 20 0 BFADHN CC(=O)CCN(C)[C@@H](C)c1cccs1 ZINC000049932646 384068198 /nfs/dbraw/zinc/06/81/98/384068198.db2.gz ZVCCWBBVXOEIMP-JTQLQIEISA-N 0 3 211.330 2.720 20 0 BFADHN CCC(CC)CN(C)CC(=O)Nc1ccccc1 ZINC000091916431 384068317 /nfs/dbraw/zinc/06/83/17/384068317.db2.gz XDSFJRJHPZEQCT-UHFFFAOYSA-N 0 3 248.370 2.993 20 0 BFADHN CC(=O)CCN(C)[C@H](C)c1cccs1 ZINC000049932647 384068783 /nfs/dbraw/zinc/06/87/83/384068783.db2.gz ZVCCWBBVXOEIMP-SNVBAGLBSA-N 0 3 211.330 2.720 20 0 BFADHN CCCCNCc1ccc(-c2cc[nH]n2)o1 ZINC000278661167 384070364 /nfs/dbraw/zinc/07/03/64/384070364.db2.gz NHWDLUHSMIDTCA-UHFFFAOYSA-N 0 3 219.288 2.559 20 0 BFADHN CC[C@@H](COC)NCc1cc(C)cc(OC)c1 ZINC000090723942 384071328 /nfs/dbraw/zinc/07/13/28/384071328.db2.gz GTAHMWZCIYDVNQ-ZDUSSCGKSA-N 0 3 237.343 2.518 20 0 BFADHN COc1ccc(CN2CC[C@@H](OC(C)C)C2)cc1 ZINC000565478220 384072799 /nfs/dbraw/zinc/07/27/99/384072799.db2.gz SARLRKZKJAQSKR-OAHLLOKOSA-N 0 3 249.354 2.695 20 0 BFADHN C[C@H](NCC1CCCCC1)c1cnccn1 ZINC000070024947 384074102 /nfs/dbraw/zinc/07/41/02/384074102.db2.gz JVTAGVFDFDAECF-NSHDSACASA-N 0 3 219.332 2.708 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1CO[C@H](C)C1 ZINC000401829547 384077227 /nfs/dbraw/zinc/07/72/27/384077227.db2.gz HWFHXUJWAHKUQZ-WOPDTQHZSA-N 0 3 235.327 2.523 20 0 BFADHN CC[C@H](CSC)N[C@@H](C)c1cccnc1 ZINC000091555508 384099287 /nfs/dbraw/zinc/09/92/87/384099287.db2.gz GGWJHPRHPXMPOO-CMPLNLGQSA-N 0 3 224.373 2.874 20 0 BFADHN C[C@@H](CCc1ccco1)N[C@@H](C)c1cnccn1 ZINC000070477672 384112098 /nfs/dbraw/zinc/11/20/98/384112098.db2.gz XKFCTCZZHSXIHJ-RYUDHWBXSA-N 0 3 245.326 2.742 20 0 BFADHN Cc1nc(CN2C[C@H](C)CC2(C)C)oc1C ZINC000336555032 384124550 /nfs/dbraw/zinc/12/45/50/384124550.db2.gz ISXHLGNKDXDAMF-SECBINFHSA-N 0 3 222.332 2.912 20 0 BFADHN CSCCCN[C@@H](C)c1ccc(F)cn1 ZINC000092196395 384125492 /nfs/dbraw/zinc/12/54/92/384125492.db2.gz VTAPWUONYFGXPZ-VIFPVBQESA-N 0 3 228.336 2.624 20 0 BFADHN Cc1csc(CN[C@H]2CC[C@@H](C)C2)n1 ZINC000070643518 384126463 /nfs/dbraw/zinc/12/64/63/384126463.db2.gz XGHWIPVGIAZGGL-SCZZXKLOSA-N 0 3 210.346 2.730 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1cccc(F)c1 ZINC000070656301 384129694 /nfs/dbraw/zinc/12/96/94/384129694.db2.gz SJJOJEUADKQWIJ-GXFFZTMASA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1ccc(F)cc1 ZINC000070656242 384129805 /nfs/dbraw/zinc/12/98/05/384129805.db2.gz KOFPOYPSGKNXEU-MFKMUULPSA-N 0 3 225.307 2.901 20 0 BFADHN COc1ccccc1CNC[C@H]1CCCS1 ZINC000087473287 384151583 /nfs/dbraw/zinc/15/15/83/384151583.db2.gz SXOMHNRAGSQKTA-GFCCVEGCSA-N 0 3 237.368 2.680 20 0 BFADHN c1csc(CN[C@H]2CCc3ccccc3C2)n1 ZINC000040444050 384151856 /nfs/dbraw/zinc/15/18/56/384151856.db2.gz NBPNDGYNIHEYBU-ZDUSSCGKSA-N 0 3 244.363 2.790 20 0 BFADHN Cc1ccc(F)c(CNCCc2ccccn2)c1 ZINC000116912034 384157527 /nfs/dbraw/zinc/15/75/27/384157527.db2.gz YWJFQVXNIXNNHX-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN CC(C)=CCCN[C@@H]1C[C@H](C)n2ccnc21 ZINC000296454452 384140962 /nfs/dbraw/zinc/14/09/62/384140962.db2.gz NKNBWLFZIODXLK-NWDGAFQWSA-N 0 3 219.332 2.835 20 0 BFADHN CSc1ccc([C@H](C)N[C@@H]2CCOC2)cc1 ZINC000070966283 384143252 /nfs/dbraw/zinc/14/32/52/384143252.db2.gz JCURQDLKAWVKGQ-CMPLNLGQSA-N 0 3 237.368 2.848 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccc(F)cc2C)C1 ZINC000091772605 384144380 /nfs/dbraw/zinc/14/43/80/384144380.db2.gz VNJALPDQYRLLKT-CQSZACIVSA-N 0 3 237.318 2.745 20 0 BFADHN c1ccc2c(c1)SCC[C@H]2N[C@@H]1CCOC1 ZINC000070967022 384144665 /nfs/dbraw/zinc/14/46/65/384144665.db2.gz SAGPXEVQCDONFX-ZYHUDNBSSA-N 0 3 235.352 2.602 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccc(F)c(C)c2)C1 ZINC000091772505 384145504 /nfs/dbraw/zinc/14/55/04/384145504.db2.gz IGCBDBUVQCWIDZ-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN c1ccc2c(c1)SCC[C@@H]2N[C@H]1CCOC1 ZINC000070967031 384145790 /nfs/dbraw/zinc/14/57/90/384145790.db2.gz SAGPXEVQCDONFX-JQWIXIFHSA-N 0 3 235.352 2.602 20 0 BFADHN F[C@@H]1CCC[C@@H]1NCc1ccc2[nH]cnc2c1 ZINC000559357817 384171947 /nfs/dbraw/zinc/17/19/47/384171947.db2.gz FCADNAIDZWRJRB-MNOVXSKESA-N 0 3 233.290 2.543 20 0 BFADHN F[C@@H]1CCC[C@@H]1NCc1ccc2nc[nH]c2c1 ZINC000559357817 384171952 /nfs/dbraw/zinc/17/19/52/384171952.db2.gz FCADNAIDZWRJRB-MNOVXSKESA-N 0 3 233.290 2.543 20 0 BFADHN C[C@H](NCc1ncccc1F)C1CCCC1 ZINC000090720763 384173924 /nfs/dbraw/zinc/17/39/24/384173924.db2.gz KTQNRXLIPUYRPO-JTQLQIEISA-N 0 3 222.307 2.889 20 0 BFADHN C[C@H](NCCO)c1ccccc1C(C)(C)C ZINC000309452339 384159039 /nfs/dbraw/zinc/15/90/39/384159039.db2.gz MVVROWRJJOBYLF-NSHDSACASA-N 0 3 221.344 2.627 20 0 BFADHN C[C@@H](C1CC1)N(Cc1cncs1)C1CC1 ZINC000092009429 384159501 /nfs/dbraw/zinc/15/95/01/384159501.db2.gz YPOJRYRSHZGLOS-VIFPVBQESA-N 0 3 222.357 2.906 20 0 BFADHN C[C@H](NCCn1ccnc1)c1ccccc1Cl ZINC000041064023 384176066 /nfs/dbraw/zinc/17/60/66/384176066.db2.gz YTDBXYQSASQWKV-NSHDSACASA-N 0 3 249.745 2.887 20 0 BFADHN CS[C@H]1CCCCN(Cc2ccco2)C1 ZINC000092064066 384161468 /nfs/dbraw/zinc/16/14/68/384161468.db2.gz MYEDNTBQXZZYHK-LBPRGKRZSA-N 0 3 225.357 2.997 20 0 BFADHN CN(CCC1CC1)Cc1cccc2c1OCO2 ZINC000092067099 384161478 /nfs/dbraw/zinc/16/14/78/384161478.db2.gz UMYMZRZZWVEWAI-UHFFFAOYSA-N 0 3 233.311 2.647 20 0 BFADHN CC[C@@H](C)CN[C@H](COC)c1ccco1 ZINC000093078689 384161491 /nfs/dbraw/zinc/16/14/91/384161491.db2.gz KAZZUHJOEVTFJQ-GHMZBOCLSA-N 0 3 211.305 2.603 20 0 BFADHN CS[C@@H]1CCCCN(Cc2ccco2)C1 ZINC000092064065 384161517 /nfs/dbraw/zinc/16/15/17/384161517.db2.gz MYEDNTBQXZZYHK-GFCCVEGCSA-N 0 3 225.357 2.997 20 0 BFADHN C[C@H](N[C@H]1CC=CCC1)c1ccc(F)cn1 ZINC000092196485 384167029 /nfs/dbraw/zinc/16/70/29/384167029.db2.gz NCBPMTNXRZLJML-JQWIXIFHSA-N 0 3 220.291 2.980 20 0 BFADHN C[C@@H](N[C@H](C)C1CC1)c1ccc(F)cn1 ZINC000092195612 384167654 /nfs/dbraw/zinc/16/76/54/384167654.db2.gz FMQGQNZGKLSGHL-RKDXNWHRSA-N 0 3 208.280 2.670 20 0 BFADHN C[C@@H](N[C@@H]1CC=CCC1)c1ccc(F)cn1 ZINC000092196488 384167960 /nfs/dbraw/zinc/16/79/60/384167960.db2.gz NCBPMTNXRZLJML-ZYHUDNBSSA-N 0 3 220.291 2.980 20 0 BFADHN Cc1nocc1CNCCC1CCCC1 ZINC000293979577 384169633 /nfs/dbraw/zinc/16/96/33/384169633.db2.gz DQUAQGSHFHDXIY-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cnn(C)c2)cc1C ZINC000041158902 384180937 /nfs/dbraw/zinc/18/09/37/384180937.db2.gz KRJKNXWLOXVCGF-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN FC(F)C1CCN(C[C@H]2CCCCO2)CC1 ZINC000336586058 384185873 /nfs/dbraw/zinc/18/58/73/384185873.db2.gz SJKRRTILWHUPRR-LLVKDONJSA-N 0 3 233.302 2.533 20 0 BFADHN Cn1ccc(CN2CCC[C@@H]3CCC[C@@H]32)c1 ZINC000092485575 384181979 /nfs/dbraw/zinc/18/19/79/384181979.db2.gz YWZZGTNUAICRJU-KBPBESRZSA-N 0 3 218.344 2.790 20 0 BFADHN Cn1ccc(CN2CCC[C@H]3CCC[C@@H]32)c1 ZINC000092485574 384182326 /nfs/dbraw/zinc/18/23/26/384182326.db2.gz YWZZGTNUAICRJU-KGLIPLIRSA-N 0 3 218.344 2.790 20 0 BFADHN CC(C)c1nc(CN(C)[C@H](C)C(C)(C)C)n[nH]1 ZINC000569545904 384205032 /nfs/dbraw/zinc/20/50/32/384205032.db2.gz MRRVFZOEGICOJA-SNVBAGLBSA-N 0 3 238.379 2.795 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2CCOCC1CCC1 ZINC000642698896 384190411 /nfs/dbraw/zinc/19/04/11/384190411.db2.gz ZKJAFPQHDFIIPZ-UONOGXRCSA-N 0 3 221.344 2.596 20 0 BFADHN C[C@@H](CCO)CCN[C@@H](C)c1ccccc1F ZINC000296572250 384190696 /nfs/dbraw/zinc/19/06/96/384190696.db2.gz DGMSMMGPGNQGOL-NEPJUHHUSA-N 0 3 239.334 2.885 20 0 BFADHN C[C@H](CCO)CCN[C@@H](C)c1ccccc1F ZINC000296572256 384191371 /nfs/dbraw/zinc/19/13/71/384191371.db2.gz DGMSMMGPGNQGOL-RYUDHWBXSA-N 0 3 239.334 2.885 20 0 BFADHN C[C@H](N[C@H]1CCCSC1)c1ccc(F)cn1 ZINC000092766493 384193459 /nfs/dbraw/zinc/19/34/59/384193459.db2.gz XYYHLXNHICHVMX-ONGXEEELSA-N 0 3 240.347 2.767 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2cocn2)[C@H]1C ZINC000309456522 384196886 /nfs/dbraw/zinc/19/68/86/384196886.db2.gz NTFPIUDPURQIFP-GRYCIOLGSA-N 0 3 222.332 2.837 20 0 BFADHN CC[C@](C)(NCCCCOC)c1nccs1 ZINC000173507985 384231874 /nfs/dbraw/zinc/23/18/74/384231874.db2.gz BCWRIVLTAZEBIR-LBPRGKRZSA-N 0 3 242.388 2.785 20 0 BFADHN Cc1cnc([C@H](C)NCC2CCOCC2)s1 ZINC000119100675 384233828 /nfs/dbraw/zinc/23/38/28/384233828.db2.gz NAJGIRCQMAHSJC-JTQLQIEISA-N 0 3 240.372 2.529 20 0 BFADHN CCCOc1cccc(CN(C)CC)c1 ZINC000126300588 384235346 /nfs/dbraw/zinc/23/53/46/384235346.db2.gz HNJHLNIPYYYKMK-UHFFFAOYSA-N 0 3 207.317 2.927 20 0 BFADHN CCC[C@H](CC)NCc1ncc(Cl)n1C ZINC000050404548 384209085 /nfs/dbraw/zinc/20/90/85/384209085.db2.gz ZLBVEMAGMAFLGY-VIFPVBQESA-N 0 3 229.755 2.742 20 0 BFADHN Cc1ccc(C)c(CN[C@H](C)Cn2cccn2)c1 ZINC000042254789 384210890 /nfs/dbraw/zinc/21/08/90/384210890.db2.gz XNWQFGCXFUFLGS-CQSZACIVSA-N 0 3 243.354 2.678 20 0 BFADHN CC[C@@H](C)CN[C@H](COC)c1ccc(C)o1 ZINC000093078673 384211617 /nfs/dbraw/zinc/21/16/17/384211617.db2.gz ZBAHJYHZRDSQOL-ZYHUDNBSSA-N 0 3 225.332 2.911 20 0 BFADHN CC[C@H]1CCC[N@H+](Cc2nc(C)ccc2[O-])C1 ZINC000132243532 384223105 /nfs/dbraw/zinc/22/31/05/384223105.db2.gz PAGURKJAAJEBEX-LBPRGKRZSA-N 0 3 234.343 2.718 20 0 BFADHN CC[C@H]1CCC[N@@H+](Cc2nc(C)ccc2[O-])C1 ZINC000132243532 384223107 /nfs/dbraw/zinc/22/31/07/384223107.db2.gz PAGURKJAAJEBEX-LBPRGKRZSA-N 0 3 234.343 2.718 20 0 BFADHN CCCCN(CCOC)Cc1occc1C ZINC000093323064 384228988 /nfs/dbraw/zinc/22/89/88/384228988.db2.gz MXSLEZSABJRJHE-UHFFFAOYSA-N 0 3 225.332 2.837 20 0 BFADHN C[C@@H](O)CCN(C)Cc1ccc(Cl)c(F)c1 ZINC000093481900 384243300 /nfs/dbraw/zinc/24/33/00/384243300.db2.gz IVHNWEUKCUVEBA-SECBINFHSA-N 0 3 245.725 2.682 20 0 BFADHN Cc1ccccc1C1(N[C@H]2CCO[C@H]2C)CC1 ZINC000647224653 384277021 /nfs/dbraw/zinc/27/70/21/384277021.db2.gz RXORAYWHOJRACL-JSGCOSHPSA-N 0 3 231.339 2.751 20 0 BFADHN C[C@H](CCc1ccccc1)CN1CCO[C@H](C)C1 ZINC000560603849 384246845 /nfs/dbraw/zinc/24/68/45/384246845.db2.gz IYXICFOJEUKXFJ-HUUCEWRRSA-N 0 3 247.382 2.976 20 0 BFADHN CC[C@@H]1CCC[C@@H](CN2CCO[C@H](C)C2)C1 ZINC000560605985 384246867 /nfs/dbraw/zinc/24/68/67/384246867.db2.gz HOKKUCNJIPYMGZ-MGPQQGTHSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H](NC1CC1)c1cnn(Cc2ccccc2)c1 ZINC000132762030 384249695 /nfs/dbraw/zinc/24/96/95/384249695.db2.gz AYGBQGFWPRFYCI-LBPRGKRZSA-N 0 3 241.338 2.744 20 0 BFADHN CCC1(CO)CCN(Cc2occc2C)CC1 ZINC000093592304 384252056 /nfs/dbraw/zinc/25/20/56/384252056.db2.gz LXXRPMTXUSVRNG-UHFFFAOYSA-N 0 3 237.343 2.573 20 0 BFADHN CC[C@@H]1CCC[C@@H](CN2CCO[C@@H](C)C2)C1 ZINC000560603389 384253655 /nfs/dbraw/zinc/25/36/55/384253655.db2.gz HOKKUCNJIPYMGZ-BFHYXJOUSA-N 0 3 225.376 2.924 20 0 BFADHN COc1ccc(F)cc1CNC1CC(C)C1 ZINC000187595711 384277715 /nfs/dbraw/zinc/27/77/15/384277715.db2.gz DBUONTWCGHBKTL-UHFFFAOYSA-N 0 3 223.291 2.722 20 0 BFADHN C[C@@H]1[C@H](c2ccccc2)CCN1Cc1c[nH]cn1 ZINC000093689545 384262079 /nfs/dbraw/zinc/26/20/79/384262079.db2.gz YZIBMKJEBGKMJW-IUODEOHRSA-N 0 3 241.338 2.788 20 0 BFADHN CC[C@@H](CN[C@H](C)c1cccc(OC)c1)OC ZINC000290244413 384265903 /nfs/dbraw/zinc/26/59/03/384265903.db2.gz SVODTAOAULKBEF-YPMHNXCESA-N 0 3 237.343 2.771 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1cc(C)oc1C ZINC000133000323 384266263 /nfs/dbraw/zinc/26/62/63/384266263.db2.gz AHRIBAZYGLPPAK-OUAUKWLOSA-N 0 3 225.332 2.970 20 0 BFADHN COCC[C@H](C)NCc1ccc(Cl)cc1F ZINC000133010030 384266647 /nfs/dbraw/zinc/26/66/47/384266647.db2.gz BHIDWYRSNKTYOV-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN CCOC[C@H]1CCN(Cc2ccccc2OC)C1 ZINC000093839275 384273014 /nfs/dbraw/zinc/27/30/14/384273014.db2.gz GBEDCUJCRIMAIK-ZDUSSCGKSA-N 0 3 249.354 2.554 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc(F)c(Cl)c1 ZINC000133142288 384274567 /nfs/dbraw/zinc/27/45/67/384274567.db2.gz DRKDAPCXCWEFJK-RKDXNWHRSA-N 0 3 245.725 2.992 20 0 BFADHN CCC[C@H](C)[N@H+](C)Cc1nc(C)ccc1[O-] ZINC000132626426 384242117 /nfs/dbraw/zinc/24/21/17/384242117.db2.gz GZNUOFRTGHAMFK-NSHDSACASA-N 0 3 222.332 2.716 20 0 BFADHN CCC[C@H](C)[N@@H+](C)Cc1nc(C)ccc1[O-] ZINC000132626426 384242122 /nfs/dbraw/zinc/24/21/22/384242122.db2.gz GZNUOFRTGHAMFK-NSHDSACASA-N 0 3 222.332 2.716 20 0 BFADHN CCSCCN(C)[C@@H](C)c1ccncc1 ZINC000119789077 384304559 /nfs/dbraw/zinc/30/45/59/384304559.db2.gz GJHBXQHDFJRLTN-NSHDSACASA-N 0 3 224.373 2.828 20 0 BFADHN CC(C)CO[C@@H]1CCN(Cc2ccccc2)C1 ZINC000119796037 384305554 /nfs/dbraw/zinc/30/55/54/384305554.db2.gz NWVHEAKOKZQHOV-OAHLLOKOSA-N 0 3 233.355 2.934 20 0 BFADHN CC(C)OCCN1CCC(C(F)(F)F)CC1 ZINC000174192343 384308577 /nfs/dbraw/zinc/30/85/77/384308577.db2.gz KQCZAGAFOMQIJZ-UHFFFAOYSA-N 0 3 239.281 2.686 20 0 BFADHN CC(C)CC(C)(C)CNCc1ccc(CO)o1 ZINC000083476151 384308743 /nfs/dbraw/zinc/30/87/43/384308743.db2.gz PTEJCKGPZACIRE-UHFFFAOYSA-N 0 3 239.359 2.934 20 0 BFADHN CN(Cc1ccc(F)cc1)[C@H]1CCSC1 ZINC000119862826 384309540 /nfs/dbraw/zinc/30/95/40/384309540.db2.gz NJPFCXWTTUTMRK-LBPRGKRZSA-N 0 3 225.332 2.763 20 0 BFADHN C[C@@H](NC[C@]1(C)CCCC[C@@H]1O)c1ccco1 ZINC000159220063 384309956 /nfs/dbraw/zinc/30/99/56/384309956.db2.gz HOUXFSUQWHJPGF-XBFCOCLRSA-N 0 3 237.343 2.871 20 0 BFADHN CCN(C[C@@H](O)c1cc2ccccc2o1)C1CC1 ZINC000044127944 384312654 /nfs/dbraw/zinc/31/26/54/384312654.db2.gz AXRWMHVNYWGWLS-CYBMUJFWSA-N 0 3 245.322 2.951 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccccn1)C(C)(C)C ZINC000159274340 384317920 /nfs/dbraw/zinc/31/79/20/384317920.db2.gz UZNBASKXWSGXQP-DGCLKSJQSA-N 0 3 236.359 2.793 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1cc(F)cc(F)c1 ZINC000094826114 384318040 /nfs/dbraw/zinc/31/80/40/384318040.db2.gz NWVXDGWSKVTGCK-RNCFNFMXSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@H](CCCO)N[C@H](C)c1cc(F)cc(F)c1 ZINC000094942589 384318969 /nfs/dbraw/zinc/31/89/69/384318969.db2.gz HKPFFEUZGOVTQK-NXEZZACHSA-N 0 3 243.297 2.776 20 0 BFADHN CCN(CC1=Cc2ccccc2OC1)C1CC1 ZINC000133316617 384284783 /nfs/dbraw/zinc/28/47/83/384284783.db2.gz UNFBSGRIRQIVBN-UHFFFAOYSA-N 0 3 229.323 2.947 20 0 BFADHN CCCC[C@@H](CC)[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000449406070 384286631 /nfs/dbraw/zinc/28/66/31/384286631.db2.gz SAJIZNBENNLKAM-LLVKDONJSA-N 0 3 238.379 2.987 20 0 BFADHN CCCC[C@@H](CC)NCc1nnc(C(C)C)[nH]1 ZINC000449406070 384286636 /nfs/dbraw/zinc/28/66/36/384286636.db2.gz SAJIZNBENNLKAM-LLVKDONJSA-N 0 3 238.379 2.987 20 0 BFADHN C[C@H](CNCc1ccco1)CC(F)F ZINC000647224966 384288584 /nfs/dbraw/zinc/28/85/84/384288584.db2.gz DFCAARRLDUUNDI-QMMMGPOBSA-N 0 3 203.232 2.661 20 0 BFADHN C[C@H](NCCc1ccccc1O)c1ccccn1 ZINC000158938848 384291745 /nfs/dbraw/zinc/29/17/45/384291745.db2.gz ILBVORCJIYIINI-LBPRGKRZSA-N 0 3 242.322 2.681 20 0 BFADHN C[C@@H](CO)CN[C@@H](C)c1ccccc1Cl ZINC000083218285 384293212 /nfs/dbraw/zinc/29/32/12/384293212.db2.gz PFCZMEQXFQBMRE-ZJUUUORDSA-N 0 3 227.735 2.619 20 0 BFADHN C=Cc1ccc(CCN[C@H](C)c2ncc[nH]2)cc1 ZINC000291318445 384294760 /nfs/dbraw/zinc/29/47/60/384294760.db2.gz UNMWHAFAWYXBCY-GFCCVEGCSA-N 0 3 241.338 2.946 20 0 BFADHN CC1(C)CCCC[C@H]1NCc1ccc(CO)o1 ZINC000094323917 384298952 /nfs/dbraw/zinc/29/89/52/384298952.db2.gz JXPRKHLBEOJAJY-CYBMUJFWSA-N 0 3 237.343 2.830 20 0 BFADHN c1ccc(CN[C@H]2CCOC3(CCCC3)C2)nc1 ZINC000094366459 384299234 /nfs/dbraw/zinc/29/92/34/384299234.db2.gz BDWBHOOPBBPNOA-ZDUSSCGKSA-N 0 3 246.354 2.663 20 0 BFADHN CC(C)n1cc(CN2C[C@H](C)C[C@@H](C)C2)cn1 ZINC000179513030 384301911 /nfs/dbraw/zinc/30/19/11/384301911.db2.gz JCBSDSAXLCYLOB-CHWSQXEVSA-N 0 3 235.375 2.942 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)[C@@H]2CCOC2)o1 ZINC000119780158 384302628 /nfs/dbraw/zinc/30/26/28/384302628.db2.gz AWISBCVPFLDYRI-GRYCIOLGSA-N 0 3 237.343 2.918 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1ccc(F)cc1 ZINC000133607128 384303553 /nfs/dbraw/zinc/30/35/53/384303553.db2.gz DTWWYUHLTUQNFU-ZWNOBZJWSA-N 0 3 225.307 2.637 20 0 BFADHN CSCCCN(Cc1cccnc1)C1CC1 ZINC000119761855 384303611 /nfs/dbraw/zinc/30/36/11/384303611.db2.gz QMZHLTVEWAAESB-UHFFFAOYSA-N 0 3 236.384 2.799 20 0 BFADHN Cc1cc(C)cc(CN(C)CC[C@H](C)O)c1 ZINC000075777069 384338720 /nfs/dbraw/zinc/33/87/20/384338720.db2.gz IGSBAQYCLCOSRW-ZDUSSCGKSA-N 0 3 221.344 2.506 20 0 BFADHN Cc1nc(C)c(CN(C)C2CCC2)s1 ZINC000075841751 384341312 /nfs/dbraw/zinc/34/13/12/384341312.db2.gz PEHWBAXKDDYJMK-UHFFFAOYSA-N 0 3 210.346 2.744 20 0 BFADHN c1ccc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)nc1 ZINC000449535334 384349676 /nfs/dbraw/zinc/34/96/76/384349676.db2.gz HLQKZWWJJFGJRX-CABCVRRESA-N 0 3 228.339 2.702 20 0 BFADHN C[C@@H](NCc1ccncc1Cl)C1CC1 ZINC000084084764 384364615 /nfs/dbraw/zinc/36/46/15/384364615.db2.gz SJVLOJKZAZKDSC-MRVPVSSYSA-N 0 3 210.708 2.623 20 0 BFADHN C[C@@H]1CN(CCOC2CCC2)C[C@@H](C)C1(F)F ZINC000625654092 384367471 /nfs/dbraw/zinc/36/74/71/384367471.db2.gz XFWLLMPBHAOLLK-GHMZBOCLSA-N 0 3 247.329 2.779 20 0 BFADHN C[C@H]1OCC[C@@H]1N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000449426934 384368127 /nfs/dbraw/zinc/36/81/27/384368127.db2.gz QXARAUJDIBPZOX-MHDGFBEUSA-N 0 3 247.338 2.666 20 0 BFADHN c1nc(CN[C@@H]2CC3CCC2CC3)cs1 ZINC000335603088 384372202 /nfs/dbraw/zinc/37/22/02/384372202.db2.gz PHEGCKGCCHSUHR-RTYFJBAXSA-N 0 3 222.357 2.811 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CC[C@@H]2C)c1 ZINC000308827135 384326675 /nfs/dbraw/zinc/32/66/75/384326675.db2.gz ALWNWPQTGZIXDB-SRVKXCTJSA-N 0 3 204.317 2.839 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1ccc(Cl)s1 ZINC000134017908 384326852 /nfs/dbraw/zinc/32/68/52/384326852.db2.gz VEYDHVPRBOFNJY-VXNVDRBHSA-N 0 3 231.748 2.669 20 0 BFADHN C[C@H](CN[C@H](C)c1cncs1)C(F)(F)F ZINC000308829023 384327786 /nfs/dbraw/zinc/32/77/86/384327786.db2.gz FNPQGNLMPSEVEX-RNFRBKRXSA-N 0 3 238.278 2.992 20 0 BFADHN C[C@H](CN[C@@H](C)c1cncs1)C(F)(F)F ZINC000308829024 384327996 /nfs/dbraw/zinc/32/79/96/384327996.db2.gz FNPQGNLMPSEVEX-RQJHMYQMSA-N 0 3 238.278 2.992 20 0 BFADHN CC(C)CCOCCN[C@@H](C)c1ccncc1 ZINC000044387418 384331109 /nfs/dbraw/zinc/33/11/09/384331109.db2.gz WZFBXTRLWZWVRV-ZDUSSCGKSA-N 0 3 236.359 2.795 20 0 BFADHN Cc1nnsc1CN[C@H]1CCCC[C@@H]1C ZINC000335571492 384333191 /nfs/dbraw/zinc/33/31/91/384333191.db2.gz GDTYWCZRFYDNMA-WPRPVWTQSA-N 0 3 225.361 2.515 20 0 BFADHN Cc1ccc(C)c(CNCCOC(C)C)c1 ZINC000134124849 384333766 /nfs/dbraw/zinc/33/37/66/384333766.db2.gz NWPGHZRJEUFKLX-UHFFFAOYSA-N 0 3 221.344 2.818 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC[C@@H](C)C1 ZINC000335571635 384333881 /nfs/dbraw/zinc/33/38/81/384333881.db2.gz QUWOHPNVJILPFT-PSASIEDQSA-N 0 3 225.361 2.515 20 0 BFADHN C[C@H](CO)N(C)Cc1ccc(C(F)(F)F)cc1 ZINC000135217830 384425828 /nfs/dbraw/zinc/42/58/28/384425828.db2.gz CUFNSYIZNMIXEM-SECBINFHSA-N 0 3 247.260 2.518 20 0 BFADHN FC1(F)CCC[C@@H](NCc2cncs2)C1 ZINC000335621037 384392650 /nfs/dbraw/zinc/39/26/50/384392650.db2.gz JSUZASWGYXLGTD-MRVPVSSYSA-N 0 3 232.299 2.811 20 0 BFADHN CC[C@@H](NCCOC)c1ccc(F)cc1F ZINC000160651609 384426020 /nfs/dbraw/zinc/42/60/20/384426020.db2.gz KZUOPLFDGVJNKY-GFCCVEGCSA-N 0 3 229.270 2.652 20 0 BFADHN COC[C@@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC000045656066 384400074 /nfs/dbraw/zinc/40/00/74/384400074.db2.gz JDTBBXGTTUEZGM-UWVGGRQHSA-N 0 3 243.297 2.898 20 0 BFADHN Cc1ccoc1CNC[C@@H](C)c1nccs1 ZINC000134987599 384403857 /nfs/dbraw/zinc/40/38/57/384403857.db2.gz OPQNJRVNZVEKKG-SNVBAGLBSA-N 0 3 236.340 2.938 20 0 BFADHN FCCN[C@H]1CCC[C@H]1c1ccccc1 ZINC000275628496 384406999 /nfs/dbraw/zinc/40/69/99/384406999.db2.gz PTLHWJRSNFDFHV-STQMWFEESA-N 0 3 207.292 2.882 20 0 BFADHN C[C@H](N[C@@H]1CC1(C)C)c1ccncc1F ZINC000334243896 384409419 /nfs/dbraw/zinc/40/94/19/384409419.db2.gz RSLKMMLPPKDRQN-GZMMTYOYSA-N 0 3 208.280 2.670 20 0 BFADHN c1csc(CN2CCC[C@H]2C2CCC2)n1 ZINC000135037385 384409712 /nfs/dbraw/zinc/40/97/12/384409712.db2.gz DAWHMTNXPNHWGV-NSHDSACASA-N 0 3 222.357 2.908 20 0 BFADHN C[C@]1(F)CCN([C@@H]2C[C@@H]2c2ccccc2)C1 ZINC000361352416 384416208 /nfs/dbraw/zinc/41/62/08/384416208.db2.gz DCUKAJPRRJIMTB-MCIONIFRSA-N 0 3 219.303 2.976 20 0 BFADHN CCc1ccc(CN[C@H]2CCOC(C)(C)C2)o1 ZINC000160548316 384419730 /nfs/dbraw/zinc/41/97/30/384419730.db2.gz PAOWBKRCOLFWST-NSHDSACASA-N 0 3 237.343 2.889 20 0 BFADHN C[C@H](O)C(C)(C)NCc1ccc(Cl)c(F)c1 ZINC000160605609 384422684 /nfs/dbraw/zinc/42/26/84/384422684.db2.gz QSPXKSRTUQWLAX-QMMMGPOBSA-N 0 3 245.725 2.728 20 0 BFADHN Cc1cc(F)ccc1CN1CC(C2CC2)C1 ZINC000643345627 384423725 /nfs/dbraw/zinc/42/37/25/384423725.db2.gz CJBOFDHXWJYKQN-UHFFFAOYSA-N 0 3 219.303 2.976 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1ccc(Cl)cc1 ZINC000096033492 384424362 /nfs/dbraw/zinc/42/43/62/384424362.db2.gz MMYHVTIIUGNPHS-JOYOIKCWSA-N 0 3 225.719 2.607 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1ccccc1Cl ZINC000096033422 384425096 /nfs/dbraw/zinc/42/50/96/384425096.db2.gz XGYCKRPKHRRRHB-CABZTGNLSA-N 0 3 225.719 2.607 20 0 BFADHN Cc1cccc(CN2CC(C3CC3)C2)c1 ZINC000643345122 384376932 /nfs/dbraw/zinc/37/69/32/384376932.db2.gz CAZNRHFRMUDGDT-UHFFFAOYSA-N 0 3 201.313 2.837 20 0 BFADHN Cc1ccoc1CNCC[C@H]1CCCCO1 ZINC000134670753 384377776 /nfs/dbraw/zinc/37/77/76/384377776.db2.gz FZYITJXECYWNBT-GFCCVEGCSA-N 0 3 223.316 2.637 20 0 BFADHN COCc1cccc(CN2CCCCC2)c1 ZINC000144383124 384379856 /nfs/dbraw/zinc/37/98/56/384379856.db2.gz WIYOHPILEZTBCJ-UHFFFAOYSA-N 0 3 219.328 2.819 20 0 BFADHN CCN(CCCF)Cc1ccc2c(c1)OCO2 ZINC000120856106 384382858 /nfs/dbraw/zinc/38/28/58/384382858.db2.gz JYVLOXYTLRZHOX-UHFFFAOYSA-N 0 3 239.290 2.597 20 0 BFADHN Cc1cnc(CN[C@@H]2CC3CCC2CC3)o1 ZINC000335612193 384386938 /nfs/dbraw/zinc/38/69/38/384386938.db2.gz ZFQCFBSVXPTTSK-HTAVTVPLSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1csc(CNCCCCOC(C)C)n1 ZINC000134796536 384388962 /nfs/dbraw/zinc/38/89/62/384388962.db2.gz YIYFQIXMXYHOAT-UHFFFAOYSA-N 0 3 242.388 2.746 20 0 BFADHN Cc1noc(C)c1CNC1CC(C)(C)C1 ZINC000335617542 384390608 /nfs/dbraw/zinc/39/06/08/384390608.db2.gz QKVRNXVFDRCOFE-UHFFFAOYSA-N 0 3 208.305 2.570 20 0 BFADHN CC(C)n1ccc(CN[C@@H](C)CC2CC2)n1 ZINC000082866447 384445297 /nfs/dbraw/zinc/44/52/97/384445297.db2.gz KXJALPXYAWVYNY-NSHDSACASA-N 0 3 221.348 2.742 20 0 BFADHN CO[C@H](C)CCN[C@H](C)c1cc(C)oc1C ZINC000278906455 384458057 /nfs/dbraw/zinc/45/80/57/384458057.db2.gz ZTEZBRADGWJEFF-MWLCHTKSSA-N 0 3 225.332 2.972 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@H](C)C2CCCC2)n1 ZINC000078679921 384455927 /nfs/dbraw/zinc/45/59/27/384455927.db2.gz DEIXRDLXRKJWLJ-NSHDSACASA-N 0 3 234.343 2.764 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@H](C)C2CCCC2)n1 ZINC000078679924 384456908 /nfs/dbraw/zinc/45/69/08/384456908.db2.gz DEIXRDLXRKJWLJ-LLVKDONJSA-N 0 3 234.343 2.764 20 0 BFADHN CCOCCN[C@@H](CC)c1cccs1 ZINC000036228341 384434716 /nfs/dbraw/zinc/43/47/16/384434716.db2.gz XDPXDTGAGXQCIQ-JTQLQIEISA-N 0 3 213.346 2.825 20 0 BFADHN CCSCCNCc1cc(F)ccc1OC ZINC000276270723 384438584 /nfs/dbraw/zinc/43/85/84/384438584.db2.gz QTCYALKZEXFUNE-UHFFFAOYSA-N 0 3 243.347 2.677 20 0 BFADHN c1csc(CNC[C@@H]2C[C@H]2C2CCC2)n1 ZINC000643792639 384442869 /nfs/dbraw/zinc/44/28/69/384442869.db2.gz HNJVACGLNMDDAW-QWRGUYRKSA-N 0 3 222.357 2.669 20 0 BFADHN Cn1ccnc1CN1CCC[C@@H]1C1CCCCC1 ZINC000102514425 384485188 /nfs/dbraw/zinc/48/51/88/384485188.db2.gz XWQSNWNNKBVLAD-CQSZACIVSA-N 0 3 247.386 2.965 20 0 BFADHN C[C@H](O)C(C)(C)NCc1cc2ccccc2o1 ZINC000161145930 384467552 /nfs/dbraw/zinc/46/75/52/384467552.db2.gz CYTFKUJCXUKCRS-JTQLQIEISA-N 0 3 233.311 2.682 20 0 BFADHN CCC[C@@H](N[C@@H](C)c1nccc(N)n1)C1CCC1 ZINC000566429287 384468932 /nfs/dbraw/zinc/46/89/32/384468932.db2.gz SDIQHGAAAUTYCH-CMPLNLGQSA-N 0 3 248.374 2.509 20 0 BFADHN COC[C@@H](N[C@H](C)CC(C)C)c1ccco1 ZINC000122302720 384471174 /nfs/dbraw/zinc/47/11/74/384471174.db2.gz VEZBOVMVQFWEHZ-VXGBXAGGSA-N 0 3 225.332 2.991 20 0 BFADHN COC[C@H](N[C@@H](C)CC1CC1)c1ccco1 ZINC000122306334 384473310 /nfs/dbraw/zinc/47/33/10/384473310.db2.gz VBUDBHPBLYCPCR-JQWIXIFHSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@H](C)C2)cc1C ZINC000335664307 384476000 /nfs/dbraw/zinc/47/60/00/384476000.db2.gz QJWMXQBMZJVAOV-TZMCWYRMSA-N 0 3 219.328 2.570 20 0 BFADHN CCN(CCOC)Cc1ccnc2ccccc12 ZINC000556793829 384484263 /nfs/dbraw/zinc/48/42/63/384484263.db2.gz FKVOXTVEMVYKHT-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN CC(C)(C)C1=CCN(Cc2ccnc(N)c2)CC1 ZINC000278905263 384459219 /nfs/dbraw/zinc/45/92/19/384459219.db2.gz SEFCKBLSCCROBE-UHFFFAOYSA-N 0 3 245.370 2.842 20 0 BFADHN COc1cc(C)ccc1[C@H](C)N[C@H]1CCC[C@@H]1O ZINC000323229653 384500233 /nfs/dbraw/zinc/50/02/33/384500233.db2.gz APZMACWWRGPSRX-UBHSHLNASA-N 0 3 249.354 2.568 20 0 BFADHN C[C@@H](NC1CCSCC1)c1cncs1 ZINC000161587730 384505431 /nfs/dbraw/zinc/50/54/31/384505431.db2.gz UOODURXZVJMBOA-MRVPVSSYSA-N 0 3 228.386 2.689 20 0 BFADHN c1ccc(CNCCC2CCCC2)nc1 ZINC000037492972 384507946 /nfs/dbraw/zinc/50/79/46/384507946.db2.gz IMACZHRPISBCDL-UHFFFAOYSA-N 0 3 204.317 2.752 20 0 BFADHN CCc1nnc([C@H](C)N2C[C@H]3CCCC[C@@H]3C2)o1 ZINC000426771233 384508888 /nfs/dbraw/zinc/50/88/88/384508888.db2.gz ZZKDRUPBJZHIJD-QJPTWQEYSA-N 0 3 249.358 2.815 20 0 BFADHN CN(C)CCSc1ccc(N)cc1Cl ZINC000037205028 384489776 /nfs/dbraw/zinc/48/97/76/384489776.db2.gz QZMQUXAGQSLPBS-UHFFFAOYSA-N 0 3 230.764 2.576 20 0 BFADHN C[C@@H](N[C@H]1CCCSC1)c1cncc(F)c1 ZINC000122550533 384492771 /nfs/dbraw/zinc/49/27/71/384492771.db2.gz PRHXKDYFOSIFSC-SKDRFNHKSA-N 0 3 240.347 2.767 20 0 BFADHN C[C@@H](N[C@@H](C)CCO)c1ccc(F)c(Cl)c1 ZINC000122861378 384517311 /nfs/dbraw/zinc/51/73/11/384517311.db2.gz XOUOHKSJYQOMMC-DTWKUNHWSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H](CCO)CN[C@@H](C)c1cc(F)ccc1F ZINC000097439105 384520155 /nfs/dbraw/zinc/52/01/55/384520155.db2.gz VNKRRMFIPIYOFS-ZJUUUORDSA-N 0 3 243.297 2.634 20 0 BFADHN Cc1ccc([C@H](C)NCc2ccnn2C)s1 ZINC000049160101 384520879 /nfs/dbraw/zinc/52/08/79/384520879.db2.gz LOMSQRFWJHBVSI-JTQLQIEISA-N 0 3 235.356 2.641 20 0 BFADHN Cn1c2ccccc2nc1CNC1(C)CCC1 ZINC000159914718 384536159 /nfs/dbraw/zinc/53/61/59/384536159.db2.gz KSEUJVOGOBWQSI-UHFFFAOYSA-N 0 3 229.327 2.606 20 0 BFADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1cnn(C)c1 ZINC000449553649 384521742 /nfs/dbraw/zinc/52/17/42/384521742.db2.gz MJHOFUDKYWAQBZ-ZIAGYGMSSA-N 0 3 235.375 2.533 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cnn(C)c2)[C@@H]1C(C)C ZINC000449553648 384521786 /nfs/dbraw/zinc/52/17/86/384521786.db2.gz MJHOFUDKYWAQBZ-UONOGXRCSA-N 0 3 235.375 2.533 20 0 BFADHN CCN(CCC(C)=O)Cc1ccccc1C ZINC000137137007 384521987 /nfs/dbraw/zinc/52/19/87/384521987.db2.gz CULJPLGQYNABMS-UHFFFAOYSA-N 0 3 219.328 2.796 20 0 BFADHN Cc1cnc(C(C)(C)NCC2CC2)s1 ZINC000175981810 384521916 /nfs/dbraw/zinc/52/19/16/384521916.db2.gz XYIDMVIRVADMLR-UHFFFAOYSA-N 0 3 210.346 2.686 20 0 BFADHN CC(C)n1ccnc1CN1CCC[C@H]1C1CC1 ZINC000363387143 384527296 /nfs/dbraw/zinc/52/72/96/384527296.db2.gz ZWLGZJDWIXPQDT-ZDUSSCGKSA-N 0 3 233.359 2.838 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)N(C2CC2)C1)c1ccco1 ZINC000123017762 384527898 /nfs/dbraw/zinc/52/78/98/384527898.db2.gz FKSFUKGLRQCCQD-SDDRHHMPSA-N 0 3 234.343 2.555 20 0 BFADHN CC(C)n1cc([C@@H](C)NCC[C@@H](C)F)cn1 ZINC000309569178 384528552 /nfs/dbraw/zinc/52/85/52/384528552.db2.gz NDFZKXDPUHNDIN-GHMZBOCLSA-N 0 3 227.327 2.863 20 0 BFADHN Cc1ccc2c(c1C)OC[C@@H]2N[C@@H]1CO[C@H](C)C1 ZINC000647180740 384543720 /nfs/dbraw/zinc/54/37/20/384543720.db2.gz SCDCCALEGHPCFD-OSMZGAPFSA-N 0 3 247.338 2.504 20 0 BFADHN c1ccc([C@H]2COCCN2CC2CCC2)cc1 ZINC000362429573 384547123 /nfs/dbraw/zinc/54/71/23/384547123.db2.gz JIMPJCIPIPTINX-OAHLLOKOSA-N 0 3 231.339 2.860 20 0 BFADHN CC(C)n1nccc1CNCC1(C(C)C)CC1 ZINC000354408038 384550156 /nfs/dbraw/zinc/55/01/56/384550156.db2.gz CFEOXIGOCDZSQP-UHFFFAOYSA-N 0 3 235.375 2.990 20 0 BFADHN CCC[C@H](O)CNCc1ccc(Cl)c(F)c1 ZINC000123308796 384550918 /nfs/dbraw/zinc/55/09/18/384550918.db2.gz UWNGBPNBQILFNS-JTQLQIEISA-N 0 3 245.725 2.730 20 0 BFADHN C[C@H](N[C@H]1CCOC(C)(C)C1)c1cncs1 ZINC000161979530 384553153 /nfs/dbraw/zinc/55/31/53/384553153.db2.gz FPLWIZNKMFIUOI-UWVGGRQHSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@@H](NC[C@@H]1CC1(C)C)c1ccn(C)n1 ZINC000309715224 384557134 /nfs/dbraw/zinc/55/71/34/384557134.db2.gz SNAHKNJJIQQXPL-WDEREUQCSA-N 0 3 221.348 2.507 20 0 BFADHN CC1(CN2CCc3ccsc3C2)CC1 ZINC000559109095 384558050 /nfs/dbraw/zinc/55/80/50/384558050.db2.gz WXUINZATIXCJKX-UHFFFAOYSA-N 0 3 207.342 2.906 20 0 BFADHN C[C@@H](CF)NCc1cc2ccc(F)cc2[nH]1 ZINC000309719651 384562225 /nfs/dbraw/zinc/56/22/25/384562225.db2.gz BIECAKXAJAKVFL-QMMMGPOBSA-N 0 3 224.254 2.755 20 0 BFADHN CC(C)c1ccc([C@H](NC(=O)CN)C(C)C)cc1 ZINC000236601805 384537020 /nfs/dbraw/zinc/53/70/20/384537020.db2.gz MUFQFOJSZYJIAD-OAHLLOKOSA-N 0 3 248.370 2.582 20 0 BFADHN C[C@@H](NCc1ccn(C)c1)c1cccs1 ZINC000085590251 384540249 /nfs/dbraw/zinc/54/02/49/384540249.db2.gz MWEJZHHJAAPRCW-SNVBAGLBSA-N 0 3 220.341 2.937 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)[C@@H]1CC1(C)C ZINC000309735266 384572515 /nfs/dbraw/zinc/57/25/15/384572515.db2.gz CEGWEWNHVDRCPU-CABZTGNLSA-N 0 3 222.332 2.816 20 0 BFADHN C[C@@H]1C[C@H]1CNc1cccc(CN(C)C)c1 ZINC000309744196 384578095 /nfs/dbraw/zinc/57/80/95/384578095.db2.gz FDVQZXWTJHETKD-YPMHNXCESA-N 0 3 218.344 2.816 20 0 BFADHN C[C@H](NC[C@H](c1ccco1)N(C)C)c1ccco1 ZINC000020122253 384579729 /nfs/dbraw/zinc/57/97/29/384579729.db2.gz DLNUCUYOWYPYQJ-NWDGAFQWSA-N 0 3 248.326 2.826 20 0 BFADHN Cc1ccc(CN[C@@H](C)C[C@@H]2CCCO2)o1 ZINC000309754493 384582717 /nfs/dbraw/zinc/58/27/17/384582717.db2.gz LEMFKORAZGSAPH-JQWIXIFHSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@H](C)C[C@H](CO)N[C@H](C)c1cncs1 ZINC000647337849 384587255 /nfs/dbraw/zinc/58/72/55/384587255.db2.gz VQUVRUWVHPUDHB-HBNTYKKESA-N 0 3 242.388 2.591 20 0 BFADHN Cc1cc(CN2CCC[C@H](CO)C2)c(C)s1 ZINC000172420944 384587828 /nfs/dbraw/zinc/58/78/28/384587828.db2.gz GKUHMADXVMPOSC-LBPRGKRZSA-N 0 3 239.384 2.569 20 0 BFADHN Cc1cncc([C@H](C)NCCN2CCCCC2)c1 ZINC000184270643 384589996 /nfs/dbraw/zinc/58/99/96/384589996.db2.gz DQPQIAULCJXAHO-AWEZNQCLSA-N 0 3 247.386 2.527 20 0 BFADHN c1ccc2c(c1)SCC[C@H]2NC[C@@H]1CCCO1 ZINC000019963709 384564142 /nfs/dbraw/zinc/56/41/42/384564142.db2.gz STDMFUYNWMLAOE-WCQYABFASA-N 0 3 249.379 2.992 20 0 BFADHN Clc1cccc(CNC[C@@H]2CCCCO2)c1 ZINC000049773021 384564497 /nfs/dbraw/zinc/56/44/97/384564497.db2.gz YQCMYKGUYBYURY-ZDUSSCGKSA-N 0 3 239.746 2.999 20 0 BFADHN Cc1ccc(CN[C@@H](C)COC(C)C)cc1 ZINC000309586454 384565196 /nfs/dbraw/zinc/56/51/96/384565196.db2.gz RXWDZKWVVNWNAN-ZDUSSCGKSA-N 0 3 221.344 2.898 20 0 BFADHN CC1=CC[C@H](N[C@@H](c2nccn2C)C2CC2)CC1 ZINC000556867033 384565102 /nfs/dbraw/zinc/56/51/02/384565102.db2.gz VKNYMBLAJIWHGG-UONOGXRCSA-N 0 3 245.370 2.960 20 0 BFADHN CSCCN(C)Cc1ccc(C)s1 ZINC000171205522 384565460 /nfs/dbraw/zinc/56/54/60/384565460.db2.gz DTFGJYXWTUWSRA-UHFFFAOYSA-N 0 3 215.387 2.851 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1cccc(F)c1 ZINC000019963861 384565563 /nfs/dbraw/zinc/56/55/63/384565563.db2.gz JYZCVGYBOCCYGY-GXFFZTMASA-N 0 3 223.291 2.655 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1cc(F)ccc1F ZINC000019963800 384566547 /nfs/dbraw/zinc/56/65/47/384566547.db2.gz LHXFPUHAQKNPOR-MWLCHTKSSA-N 0 3 241.281 2.794 20 0 BFADHN Cc1n[nH]cc1CCCN[C@H](C)c1ccco1 ZINC000050182484 384605020 /nfs/dbraw/zinc/60/50/20/384605020.db2.gz DWTAJKXAPRWYDL-LLVKDONJSA-N 0 3 233.315 2.595 20 0 BFADHN CC/C=C/CNCc1ccccc1N(C)C ZINC000449452868 384609111 /nfs/dbraw/zinc/60/91/11/384609111.db2.gz GVUQOEZSEFJOLY-VMPITWQZSA-N 0 3 218.344 2.808 20 0 BFADHN CC/C=C/CCN1CCC(c2nc(C)no2)CC1 ZINC000184469336 384609368 /nfs/dbraw/zinc/60/93/68/384609368.db2.gz VYOXWBNFMXXCDG-SNAWJCMRSA-N 0 3 249.358 2.914 20 0 BFADHN Fc1ccc(CNC[C@H]2CCC=CO2)cc1 ZINC000050267371 384611046 /nfs/dbraw/zinc/61/10/46/384611046.db2.gz KPKJQXHGNKKXAK-CYBMUJFWSA-N 0 3 221.275 2.608 20 0 BFADHN Cc1cscc1N[C@H]1CN(C2CC2)C[C@H]1C ZINC000309791696 384612492 /nfs/dbraw/zinc/61/24/92/384612492.db2.gz PJPXEZSOJHSIPY-SKDRFNHKSA-N 0 3 236.384 2.951 20 0 BFADHN C[C@@H](NC1CCCC1)C(=O)Nc1ccccc1 ZINC000020300091 384613867 /nfs/dbraw/zinc/61/38/67/384613867.db2.gz IPSXRMQQPBNCPE-LLVKDONJSA-N 0 3 232.327 2.546 20 0 BFADHN CC[C@H](N)c1cn(CCC(C)(C)C2CC2)nn1 ZINC000406005455 384615322 /nfs/dbraw/zinc/61/53/22/384615322.db2.gz RQMLPBYYINVATG-NSHDSACASA-N 0 3 236.363 2.514 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2ccoc2)C1(C)C ZINC000300360468 384615764 /nfs/dbraw/zinc/61/57/64/384615764.db2.gz YYPMWQJDSIJXFL-ADEWGFFLSA-N 0 3 223.316 2.744 20 0 BFADHN C[C@H](NC[C@H]1CCO[C@H](C)C1)c1ccco1 ZINC000271590757 384618643 /nfs/dbraw/zinc/61/86/43/384618643.db2.gz SIYVCHXDWCWCRM-WOPDTQHZSA-N 0 3 223.316 2.745 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3cccc(F)c3)CC2)CO1 ZINC000647185868 384619054 /nfs/dbraw/zinc/61/90/54/384619054.db2.gz SYMNFPRBVHUSCW-MFKMUULPSA-N 0 3 235.302 2.582 20 0 BFADHN Cc1ncc(CN[C@H](C(C)C)C2CC2)o1 ZINC000556894142 384619452 /nfs/dbraw/zinc/61/94/52/384619452.db2.gz FVSABNPZEUBZMN-GFCCVEGCSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1ccsc1CN(C)CCOCC1CC1 ZINC000172735606 384623905 /nfs/dbraw/zinc/62/39/05/384623905.db2.gz FFWJECRORUFWBR-UHFFFAOYSA-N 0 3 239.384 2.915 20 0 BFADHN CCC[C@H](CC)NC(=O)C[C@@H](N)c1ccccc1 ZINC000050405291 384625749 /nfs/dbraw/zinc/62/57/49/384625749.db2.gz SEQVERYHLVCRMW-UONOGXRCSA-N 0 3 248.370 2.771 20 0 BFADHN CCc1cnc(CN[C@@H]2CC[C@H]3C[C@H]3C2)s1 ZINC000403316587 384627587 /nfs/dbraw/zinc/62/75/87/384627587.db2.gz FEQBEQDCDIMECX-GARJFASQSA-N 0 3 236.384 2.984 20 0 BFADHN CC[C@H](CO)N[C@@H]1CCSc2ccccc21 ZINC000020195356 384593012 /nfs/dbraw/zinc/59/30/12/384593012.db2.gz TVGUHHKPDJECMS-ZYHUDNBSSA-N 0 3 237.368 2.584 20 0 BFADHN CC[C@@H](CO)N[C@H](C)c1ccccc1Cl ZINC000020194849 384593257 /nfs/dbraw/zinc/59/32/57/384593257.db2.gz RFMSDBFEOXZQJN-ZJUUUORDSA-N 0 3 227.735 2.762 20 0 BFADHN CC[C@@H](CO)N[C@@H]1CCSc2ccccc21 ZINC000020195354 384593880 /nfs/dbraw/zinc/59/38/80/384593880.db2.gz TVGUHHKPDJECMS-CMPLNLGQSA-N 0 3 237.368 2.584 20 0 BFADHN CC[C@@H](CO)NCc1ccc(C(F)(F)F)cc1 ZINC000020194668 384594033 /nfs/dbraw/zinc/59/40/33/384594033.db2.gz HSPAAKDPGTVPFB-NSHDSACASA-N 0 3 247.260 2.566 20 0 BFADHN CC(C)[C@@H](O)CNCc1ccc(Cl)c(F)c1 ZINC000123918373 384595063 /nfs/dbraw/zinc/59/50/63/384595063.db2.gz RAHVFRFSKRAYBZ-LBPRGKRZSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@H](NCc1ccc(Cl)cc1)[C@@H]1CCCO1 ZINC000020205264 384600711 /nfs/dbraw/zinc/60/07/11/384600711.db2.gz CVRUFQOACVXKHY-GWCFXTLKSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@H]1C[C@@H](c2nc(C3CCC3)no2)CCN1C ZINC000643102436 384666762 /nfs/dbraw/zinc/66/67/62/384666762.db2.gz MGSXADJUPAFEIG-ONGXEEELSA-N 0 3 235.331 2.535 20 0 BFADHN C[C@H]1CN(C[C@H]2C[C@@H]2c2ccccc2)CCO1 ZINC000556940716 384668143 /nfs/dbraw/zinc/66/81/43/384668143.db2.gz GDZCKQKLVOSWJM-NWANDNLSSA-N 0 3 231.339 2.511 20 0 BFADHN C[C@H]1CN(C[C@H]2C[C@H]2c2ccccc2)CCO1 ZINC000556940715 384668776 /nfs/dbraw/zinc/66/87/76/384668776.db2.gz GDZCKQKLVOSWJM-CFVMTHIKSA-N 0 3 231.339 2.511 20 0 BFADHN Cc1cnc([C@@H](C)NCCCCCCO)s1 ZINC000185011299 384673845 /nfs/dbraw/zinc/67/38/45/384673845.db2.gz QPXDDUJNMGFQDB-LLVKDONJSA-N 0 3 242.388 2.655 20 0 BFADHN CC[C@H](NCC[C@H](C)F)c1nccs1 ZINC000336653556 384634704 /nfs/dbraw/zinc/63/47/04/384634704.db2.gz LQTKEAVUCJOPFX-IUCAKERBSA-N 0 3 216.325 2.932 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC(C)(C)C[C@@H]1C ZINC000309809888 384635528 /nfs/dbraw/zinc/63/55/28/384635528.db2.gz TXVZFRKVDJOIBY-WCBMZHEXSA-N 0 3 239.388 2.761 20 0 BFADHN CC/C=C/CCN(C)Cc1cccnc1 ZINC000184690472 384636959 /nfs/dbraw/zinc/63/69/59/384636959.db2.gz PRWULBXRYMRQOY-SNAWJCMRSA-N 0 3 204.317 2.870 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@@H]3OCCC[C@@H]23)c1F ZINC000449273764 384638348 /nfs/dbraw/zinc/63/83/48/384638348.db2.gz ZTXUCKRPVVORFT-MJBXVCDLSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1cc(C)n(CCN[C@H](C)c2ccccc2)n1 ZINC000271753406 384638246 /nfs/dbraw/zinc/63/82/46/384638246.db2.gz ZGWKZEVUSXNHQM-CQSZACIVSA-N 0 3 243.354 2.851 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC[C@H]3C[C@H]3C2)nn1C ZINC000647354175 384640694 /nfs/dbraw/zinc/64/06/94/384640694.db2.gz GMLYZHSMCVSHKX-ZDEQEGDKSA-N 0 3 233.359 2.568 20 0 BFADHN Cc1nocc1CN1CC[C@@H](C(C)(C)C)C1 ZINC000334484240 384644655 /nfs/dbraw/zinc/64/46/55/384644655.db2.gz ZKMOBEJMJIWKIW-GFCCVEGCSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1ccsc1CNC(C)(C)CF ZINC000308362765 384648690 /nfs/dbraw/zinc/64/86/90/384648690.db2.gz FKNHJTUXEWGNET-UHFFFAOYSA-N 0 3 201.310 2.894 20 0 BFADHN CC[C@H](O)CNCc1c(C)oc2ccccc21 ZINC000124538372 384650113 /nfs/dbraw/zinc/65/01/13/384650113.db2.gz YYOQGBDPYQKFHQ-NSHDSACASA-N 0 3 233.311 2.602 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2CCS[C@@H]2C)c1 ZINC000647359422 384653639 /nfs/dbraw/zinc/65/36/39/384653639.db2.gz HCIAJOMJRXQFFL-DMDPSCGWSA-N 0 3 236.384 2.935 20 0 BFADHN CCc1ccc([C@H](C)NCCOC)s1 ZINC000041062210 384655829 /nfs/dbraw/zinc/65/58/29/384655829.db2.gz SUZOSBSPVSWJDF-VIFPVBQESA-N 0 3 213.346 2.608 20 0 BFADHN CCOCCN[C@H](C)c1ccc(CC)s1 ZINC000041062315 384656532 /nfs/dbraw/zinc/65/65/32/384656532.db2.gz PMDTTZCOTLVGAB-SNVBAGLBSA-N 0 3 227.373 2.998 20 0 BFADHN CCOC[C@H](C)NCc1ccc(OCC)cc1 ZINC000044688217 384658495 /nfs/dbraw/zinc/65/84/95/384658495.db2.gz YSZFAUDIIKZCEP-LBPRGKRZSA-N 0 3 237.343 2.600 20 0 BFADHN CC(C)CCN[C@H](C)c1cc2n(n1)CCCC2 ZINC000647280638 384660794 /nfs/dbraw/zinc/66/07/94/384660794.db2.gz YHFGFAHUPRBBPK-GFCCVEGCSA-N 0 3 235.375 2.916 20 0 BFADHN Cc1csc(CN2C[C@H](C)C[C@H](C)C2)n1 ZINC000173172748 384661105 /nfs/dbraw/zinc/66/11/05/384661105.db2.gz GESQZOVPSLCIJE-AOOOYVTPSA-N 0 3 224.373 2.929 20 0 BFADHN CC[C@@H](C)N[C@H](C)c1cc2n(n1)CCCC2 ZINC000647280380 384662575 /nfs/dbraw/zinc/66/25/75/384662575.db2.gz JVMGCJYTACKMHP-GHMZBOCLSA-N 0 3 221.348 2.669 20 0 BFADHN CCOc1ccccc1CN(C)CCCOC ZINC000052164282 384722931 /nfs/dbraw/zinc/72/29/31/384722931.db2.gz VRISBIHCEKQVQV-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN Fc1ccc(CN[C@H]2CC23CC3)cc1F ZINC000335285312 384723535 /nfs/dbraw/zinc/72/35/35/384723535.db2.gz VGACMFLIDDZYOT-NSHDSACASA-N 0 3 209.239 2.607 20 0 BFADHN Cc1ncc(CN[C@@H]2CCCC23CCC3)o1 ZINC000334780235 384723707 /nfs/dbraw/zinc/72/37/07/384723707.db2.gz IBJUBAOGTHNGNG-GFCCVEGCSA-N 0 3 220.316 2.795 20 0 BFADHN C[C@@H]1C[C@H]1NCc1ccc(Br)o1 ZINC000052270026 384725523 /nfs/dbraw/zinc/72/55/23/384725523.db2.gz BLYJZUXWQYYEQE-HTRCEHHLSA-N 0 3 230.105 2.540 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc3cnccc3c2)CO1 ZINC000402365143 384725803 /nfs/dbraw/zinc/72/58/03/384725803.db2.gz WTZVYHORMUABJB-ABAIWWIYSA-N 0 3 242.322 2.502 20 0 BFADHN Clc1cccc(CN2CC[C@H](C3CC3)C2)n1 ZINC000374310587 384681107 /nfs/dbraw/zinc/68/11/07/384681107.db2.gz QAYQYRFBNVTKBJ-NSHDSACASA-N 0 3 236.746 2.967 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2ccco2)C1(C)C ZINC000300359116 384682923 /nfs/dbraw/zinc/68/29/23/384682923.db2.gz MWVRDOQYFSUDCI-ADEWGFFLSA-N 0 3 223.316 2.744 20 0 BFADHN CCCCCC[NH2+]Cc1nc(C)ccc1[O-] ZINC000082420298 384683195 /nfs/dbraw/zinc/68/31/95/384683195.db2.gz APOKROHYLBEGCQ-UHFFFAOYSA-N 0 3 222.332 2.766 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2ccco2)C1(C)C ZINC000300359117 384683696 /nfs/dbraw/zinc/68/36/96/384683696.db2.gz MWVRDOQYFSUDCI-YUSALJHKSA-N 0 3 223.316 2.744 20 0 BFADHN CCc1ccccc1-c1nc(CN)cs1 ZINC000052201441 384726337 /nfs/dbraw/zinc/72/63/37/384726337.db2.gz PYQJJEJQIQQDDE-UHFFFAOYSA-N 0 3 218.325 2.831 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccc(F)cc2)CC1 ZINC000363680897 384685997 /nfs/dbraw/zinc/68/59/97/384685997.db2.gz ZBSBCTBKPBCWLZ-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN CCc1ccccc1CNCc1cccnc1 ZINC000052200478 384726415 /nfs/dbraw/zinc/72/64/15/384726415.db2.gz JHJUUUKNTSXLIU-UHFFFAOYSA-N 0 3 226.323 2.934 20 0 BFADHN Cn1cc(CN[C@H](c2cccs2)C2CC2)cn1 ZINC000049956837 384687133 /nfs/dbraw/zinc/68/71/33/384687133.db2.gz COGVPLQTQWRPDZ-ZDUSSCGKSA-N 0 3 247.367 2.723 20 0 BFADHN C[C@@H]1C[C@H](NC[C@H]2CC=CCC2)c2nccn21 ZINC000294109426 384690735 /nfs/dbraw/zinc/69/07/35/384690735.db2.gz NBJAEASPQDDYSS-AGIUHOORSA-N 0 3 231.343 2.835 20 0 BFADHN C[C@@H](N[C@@H](CCO)C(C)(C)C)c1ccccn1 ZINC000185199100 384691023 /nfs/dbraw/zinc/69/10/23/384691023.db2.gz NAOFYYSWCBBYIF-YPMHNXCESA-N 0 3 236.359 2.529 20 0 BFADHN CSc1ccccc1[C@@H](C)NCCN(C)C ZINC000309885852 384691344 /nfs/dbraw/zinc/69/13/44/384691344.db2.gz YFTQUQWGHFAVFK-LLVKDONJSA-N 0 3 238.400 2.621 20 0 BFADHN CC(C)C[C@@H]1OCCC[C@H]1NCc1ccco1 ZINC000185190789 384691427 /nfs/dbraw/zinc/69/14/27/384691427.db2.gz MBBPOSYLVHVSKH-KGLIPLIRSA-N 0 3 237.343 2.963 20 0 BFADHN C[C@H](N[C@@H](CCO)C(C)(C)C)c1ccco1 ZINC000185191113 384691707 /nfs/dbraw/zinc/69/17/07/384691707.db2.gz IBUSMQUZFCFDNV-JQWIXIFHSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@@H](O)CN(C)Cc1ccc(C(F)(F)F)cc1 ZINC000051821949 384695026 /nfs/dbraw/zinc/69/50/26/384695026.db2.gz QCNFITWBCDZIEJ-SECBINFHSA-N 0 3 247.260 2.518 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1n[nH]c(C(C)C)n1 ZINC000428305976 384698000 /nfs/dbraw/zinc/69/80/00/384698000.db2.gz MYCFGTQARGFSMS-WDEREUQCSA-N 0 3 236.363 2.691 20 0 BFADHN C[C@H]1C[C@@H](NC2(c3cccc(F)c3)CCC2)CO1 ZINC000647232816 384701264 /nfs/dbraw/zinc/70/12/64/384701264.db2.gz FPHJZNUZIHNSSG-SMDDNHRTSA-N 0 3 249.329 2.972 20 0 BFADHN COCc1ccc(CNCCC(C)(F)F)cc1 ZINC000294260251 384703391 /nfs/dbraw/zinc/70/33/91/384703391.db2.gz QWXCKJNXUJANLO-UHFFFAOYSA-N 0 3 243.297 2.968 20 0 BFADHN CC[C@](C)(O)CNCc1ccc(Cl)c(F)c1 ZINC000082687247 384705115 /nfs/dbraw/zinc/70/51/15/384705115.db2.gz VKGMBTUCCSKXTM-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN CCc1ncc(CNCCC(C)(C)C)o1 ZINC000449608153 384705877 /nfs/dbraw/zinc/70/58/77/384705877.db2.gz FMHBIVGOQMSLGD-UHFFFAOYSA-N 0 3 210.321 2.763 20 0 BFADHN CCCc1ncc(CN[C@H]2CCC[C@@H]2C)o1 ZINC000449609240 384706401 /nfs/dbraw/zinc/70/64/01/384706401.db2.gz AYUBYMFDPFRKIR-JQWIXIFHSA-N 0 3 222.332 2.905 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2ccoc2)C1(C)C ZINC000125269771 384706639 /nfs/dbraw/zinc/70/66/39/384706639.db2.gz KBKIYLKQXGIXJW-NWDGAFQWSA-N 0 3 223.316 2.573 20 0 BFADHN CCn1ccnc1CNCCCc1ccccc1 ZINC000051921022 384707218 /nfs/dbraw/zinc/70/72/18/384707218.db2.gz LACCLDVPNDLMFQ-UHFFFAOYSA-N 0 3 243.354 2.625 20 0 BFADHN CCC[C@H](C)NC(=O)Nc1cc(C)cc(CN)c1 ZINC000644192055 384709460 /nfs/dbraw/zinc/70/94/60/384709460.db2.gz UUFQBWDRHNKPNZ-NSHDSACASA-N 0 3 249.358 2.764 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ccc(Cl)cn1 ZINC000309914452 384709597 /nfs/dbraw/zinc/70/95/97/384709597.db2.gz ONEVTZTUWJSKSQ-VHSXEESVSA-N 0 3 242.750 2.811 20 0 BFADHN Cc1nc(C)c([C@@H](C)N[C@H]2CC23CC3)s1 ZINC000335280773 384710877 /nfs/dbraw/zinc/71/08/77/384710877.db2.gz SSGAGGIONUJSGP-SCZZXKLOSA-N 0 3 222.357 2.963 20 0 BFADHN Cc1noc(C)c1C(C)(C)NCC1CCC1 ZINC000309907960 384712152 /nfs/dbraw/zinc/71/21/52/384712152.db2.gz LFBSKELWROECTA-UHFFFAOYSA-N 0 3 222.332 2.916 20 0 BFADHN CCCc1ncc(CNCC(C)(C)C)o1 ZINC000449609983 384715340 /nfs/dbraw/zinc/71/53/40/384715340.db2.gz DVEIGUTVJVWTMV-UHFFFAOYSA-N 0 3 210.321 2.763 20 0 BFADHN CCC[C@@H](N[C@H]1CCCOC1)c1cccnc1 ZINC000185386592 384715355 /nfs/dbraw/zinc/71/53/55/384715355.db2.gz WARCUQDNYRJTIQ-UONOGXRCSA-N 0 3 234.343 2.691 20 0 BFADHN C[C@H](O)C(C)(C)NCc1cc(Cl)ccc1F ZINC000162992241 384715835 /nfs/dbraw/zinc/71/58/35/384715835.db2.gz QWGXUYSIACNZCN-QMMMGPOBSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1ccc(Cl)cc1F ZINC000162985106 384716163 /nfs/dbraw/zinc/71/61/63/384716163.db2.gz CAIJZGPFURUYEW-MRVPVSSYSA-N 0 3 245.725 2.728 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC12CCCC2 ZINC000309916575 384718693 /nfs/dbraw/zinc/71/86/93/384718693.db2.gz PRBQAULWYHXLRR-LLVKDONJSA-N 0 3 237.372 2.659 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(Cl)cc2)CO1 ZINC000335426022 384719251 /nfs/dbraw/zinc/71/92/51/384719251.db2.gz PHAYAGXQZXXCKP-BXKDBHETSA-N 0 3 225.719 2.607 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(Cl)cc2)CO1 ZINC000335426024 384719287 /nfs/dbraw/zinc/71/92/87/384719287.db2.gz PHAYAGXQZXXCKP-JOYOIKCWSA-N 0 3 225.719 2.607 20 0 BFADHN Cc1ccncc1[C@@H](C)NC1CC(F)(F)C1 ZINC000277123747 384720132 /nfs/dbraw/zinc/72/01/32/384720132.db2.gz NUESMLPRNIEWMY-SECBINFHSA-N 0 3 226.270 2.838 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cccc(F)c2)CS1 ZINC000086934684 384721939 /nfs/dbraw/zinc/72/19/39/384721939.db2.gz TVDXSKQYASGTMA-BXKDBHETSA-N 0 3 225.332 2.809 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ccc(COC)o1 ZINC000126108139 384773563 /nfs/dbraw/zinc/77/35/63/384773563.db2.gz AGJZLMOBDFYPCD-MNOVXSKESA-N 0 3 225.332 2.950 20 0 BFADHN CC[C@@H](COC)NCc1cc(F)ccc1C ZINC000163245930 384774551 /nfs/dbraw/zinc/77/45/51/384774551.db2.gz MDASQVMMSSNDGL-ZDUSSCGKSA-N 0 3 225.307 2.649 20 0 BFADHN COc1ncncc1CN[C@@H]1CCCCC1(C)C ZINC000647191654 384728653 /nfs/dbraw/zinc/72/86/53/384728653.db2.gz XBAQJSUJLXBUIC-GFCCVEGCSA-N 0 3 249.358 2.544 20 0 BFADHN CCOc1ccccc1CN1CCC[C@@H](OC)C1 ZINC000102775465 384729099 /nfs/dbraw/zinc/72/90/99/384729099.db2.gz LJBYOGAUKAXRFZ-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1nocc1CNC1CCC2(CC2)CC1 ZINC000334817232 384733536 /nfs/dbraw/zinc/73/35/36/384733536.db2.gz WHKWZXRBFULUKN-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN CCc1cccc(F)c1CNC[C@H](C)OC ZINC000309938259 384733783 /nfs/dbraw/zinc/73/37/83/384733783.db2.gz ASFQUTBSXHTNTE-JTQLQIEISA-N 0 3 225.307 2.513 20 0 BFADHN C[C@H](O)CCN[C@@H](C)c1ccc(Cl)s1 ZINC000082994098 384734199 /nfs/dbraw/zinc/73/41/99/384734199.db2.gz RBRMLIRALPRZBT-YUMQZZPRSA-N 0 3 233.764 2.823 20 0 BFADHN Cc1ccc(CN[C@@H]2CS[C@H](C)C2)nc1C ZINC000314065683 384779057 /nfs/dbraw/zinc/77/90/57/384779057.db2.gz SMUMRSDVXPVICC-MFKMUULPSA-N 0 3 236.384 2.682 20 0 BFADHN c1cn2c(n1)[C@H](NC1CCCCCC1)CC2 ZINC000335953025 384735522 /nfs/dbraw/zinc/73/55/22/384735522.db2.gz ODKUZHVDNMEVEI-GFCCVEGCSA-N 0 3 219.332 2.640 20 0 BFADHN C[C@H](Cc1cccs1)N[C@H]1CCn2ccnc21 ZINC000294296865 384741117 /nfs/dbraw/zinc/74/11/17/384741117.db2.gz ZMIKJRNEBCDSGF-PWSUYJOCSA-N 0 3 247.367 2.610 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCC2(C)COC2)o1 ZINC000083522967 384779457 /nfs/dbraw/zinc/77/94/57/384779457.db2.gz WLYMEUWXPGTFNM-ZYHUDNBSSA-N 0 3 235.327 2.529 20 0 BFADHN CCOc1cccc([C@@H](C)N[C@H](C)COC)c1 ZINC000174013221 384745410 /nfs/dbraw/zinc/74/54/10/384745410.db2.gz GGXZFFIDGVMAPV-VXGBXAGGSA-N 0 3 237.343 2.771 20 0 BFADHN CN(Cc1cccnc1N)CC1CCCCC1 ZINC000052730577 384746328 /nfs/dbraw/zinc/74/63/28/384746328.db2.gz JBNIKUMPGIEOID-UHFFFAOYSA-N 0 3 233.359 2.676 20 0 BFADHN C[C@@H](NCCCOCC1CC1)c1nccs1 ZINC000083142529 384746550 /nfs/dbraw/zinc/74/65/50/384746550.db2.gz GKOMYHMTSOBZIX-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN C[C@@H]1Oc2cc(F)ccc2[C@@H]1NCC1CC1 ZINC000309969532 384748549 /nfs/dbraw/zinc/74/85/49/384748549.db2.gz OSPSSMYYBLWQNG-ISVAXAHUSA-N 0 3 221.275 2.647 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1ccc(Cl)cn1 ZINC000309968697 384748714 /nfs/dbraw/zinc/74/87/14/384748714.db2.gz ONEVTZTUWJSKSQ-NXEZZACHSA-N 0 3 242.750 2.811 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@H]1CCSC1 ZINC000309961603 384751231 /nfs/dbraw/zinc/75/12/31/384751231.db2.gz LMAYKIYLSNNMKB-PWSUYJOCSA-N 0 3 236.384 2.800 20 0 BFADHN CCC[C@@H](C)CN1CCN([C@@H](C)CC)CC1 ZINC000449627773 384756758 /nfs/dbraw/zinc/75/67/58/384756758.db2.gz HGUVDVPMMIWLEA-KGLIPLIRSA-N 0 3 226.408 2.839 20 0 BFADHN COC(C)(C)C[C@H](C)NCc1ccc(C)o1 ZINC000053036067 384758791 /nfs/dbraw/zinc/75/87/91/384758791.db2.gz FOFYKHDSVZRGHM-JTQLQIEISA-N 0 3 225.332 2.881 20 0 BFADHN c1ccc2c(c1)SC[C@H]2NCC1=CCCOC1 ZINC000334963970 384759906 /nfs/dbraw/zinc/75/99/06/384759906.db2.gz QXEMZGIFKLBTKF-CYBMUJFWSA-N 0 3 247.363 2.770 20 0 BFADHN Cc1ccc(CNCC2(O)CCCCCC2)o1 ZINC000053037542 384760251 /nfs/dbraw/zinc/76/02/51/384760251.db2.gz BOIAFLRIXMYRSD-UHFFFAOYSA-N 0 3 237.343 2.763 20 0 BFADHN Cc1ccc(O)c(CN[C@@H](C)c2ccccc2)n1 ZINC000103340691 384761830 /nfs/dbraw/zinc/76/18/30/384761830.db2.gz OFGQCTTVUXUYCE-LBPRGKRZSA-N 0 3 242.322 2.946 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@H]2CCC[C@H](C)C2)n1 ZINC000103341586 384762135 /nfs/dbraw/zinc/76/21/35/384762135.db2.gz NMUDUUUMTVDLIR-CMPLNLGQSA-N 0 3 234.343 2.764 20 0 BFADHN COCc1nc(CN[C@@H](C)C2CCC2)cs1 ZINC000126305196 384770383 /nfs/dbraw/zinc/77/03/83/384770383.db2.gz YCKHVXHIQGQUSG-VIFPVBQESA-N 0 3 240.372 2.568 20 0 BFADHN COc1ccc(CNCCC(C)(F)F)cc1O ZINC000294340374 384770588 /nfs/dbraw/zinc/77/05/88/384770588.db2.gz YKTJCLOQOFSHLR-UHFFFAOYSA-N 0 3 245.269 2.536 20 0 BFADHN CCN1CC=C(c2ccc(F)cc2)CC1 ZINC000145347433 384771684 /nfs/dbraw/zinc/77/16/84/384771684.db2.gz VULXXCMALSRCFF-UHFFFAOYSA-N 0 3 205.276 2.935 20 0 BFADHN CN1CCC(Nc2ccc3ncccc3c2)CC1 ZINC000054107816 384821472 /nfs/dbraw/zinc/82/14/72/384821472.db2.gz BJVWUPYXPMZRON-UHFFFAOYSA-N 0 3 241.338 2.741 20 0 BFADHN CC1(C2(NCc3cccc(O)c3)CC2)CC1 ZINC000398160010 384821996 /nfs/dbraw/zinc/82/19/96/384821996.db2.gz ZEJDCCVNAACBEI-UHFFFAOYSA-N 0 3 217.312 2.815 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1ccc(Cl)nc1 ZINC000126845521 384837730 /nfs/dbraw/zinc/83/77/30/384837730.db2.gz LSIKDUBXHLUGGF-ONGXEEELSA-N 0 3 240.734 2.565 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1ccc(Cl)nc1 ZINC000126850916 384837825 /nfs/dbraw/zinc/83/78/25/384837825.db2.gz GKEMKBNSIVFJRD-GXSJLCMTSA-N 0 3 242.750 2.547 20 0 BFADHN CC(C)[C@H](CO)NCc1sccc1Cl ZINC000308583477 384829501 /nfs/dbraw/zinc/82/95/01/384829501.db2.gz KXLSDGUQGWDZGU-VIFPVBQESA-N 0 3 233.764 2.508 20 0 BFADHN C[N@H+](CCC1CC1)Cc1cc([O-])cc(F)c1 ZINC000186271043 384832222 /nfs/dbraw/zinc/83/22/22/384832222.db2.gz UFAWMTBUIVTYNQ-UHFFFAOYSA-N 0 3 223.291 2.763 20 0 BFADHN C[N@@H+](CCC1CC1)Cc1cc([O-])cc(F)c1 ZINC000186271043 384832230 /nfs/dbraw/zinc/83/22/30/384832230.db2.gz UFAWMTBUIVTYNQ-UHFFFAOYSA-N 0 3 223.291 2.763 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1nccs1 ZINC000306830120 384835125 /nfs/dbraw/zinc/83/51/25/384835125.db2.gz IQOCPRKQZPEHOY-QEYWKRMJSA-N 0 3 208.330 2.592 20 0 BFADHN CC(C)C1CCN(Cc2ccnc(N)c2)CC1 ZINC000147279171 384835615 /nfs/dbraw/zinc/83/56/15/384835615.db2.gz NZVAMLZLBPLNCX-UHFFFAOYSA-N 0 3 233.359 2.532 20 0 BFADHN CC1(C)CN(CCCCF)[C@@H]1[C@@H]1CCCO1 ZINC000368066787 384781324 /nfs/dbraw/zinc/78/13/24/384781324.db2.gz YVSRSTOAFYFYHS-NWDGAFQWSA-N 0 3 229.339 2.626 20 0 BFADHN CCN(C)Cc1ccc(OC(F)F)c(F)c1 ZINC000126253873 384784412 /nfs/dbraw/zinc/78/44/12/384784412.db2.gz IGAPRYMYOBYEBX-UHFFFAOYSA-N 0 3 233.233 2.879 20 0 BFADHN CCN(C)Cc1cc2cc(OC)ccc2o1 ZINC000126324981 384792117 /nfs/dbraw/zinc/79/21/17/384792117.db2.gz IQBDBEIUQSOHSY-UHFFFAOYSA-N 0 3 219.284 2.893 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)no1 ZINC000403655158 384836199 /nfs/dbraw/zinc/83/61/99/384836199.db2.gz KNYHPGZJHNSAKZ-UTUOFQBUSA-N 0 3 220.316 2.509 20 0 BFADHN CC[C@H](N[C@@H]1C[C@@H](O)C1(C)C)c1ccsc1 ZINC000294385394 384794640 /nfs/dbraw/zinc/79/46/40/384794640.db2.gz JCLQBJLTCKQLHY-QJPTWQEYSA-N 0 3 239.384 2.948 20 0 BFADHN Cc1ccc(CNCC2=CCCOC2)c(C)c1 ZINC000126587779 384794701 /nfs/dbraw/zinc/79/47/01/384794701.db2.gz GTXIMIJIBYNANR-UHFFFAOYSA-N 0 3 231.339 2.740 20 0 BFADHN CC[C@H](N[C@@H]1CCOC1)c1ccc(OC)cc1 ZINC000104066332 384797077 /nfs/dbraw/zinc/79/70/77/384797077.db2.gz YJWONFQCQRFXKU-OCCSQVGLSA-N 0 3 235.327 2.525 20 0 BFADHN CCC(O)(CC)CCNCc1ccc(Cl)o1 ZINC000310015217 384797155 /nfs/dbraw/zinc/79/71/55/384797155.db2.gz KOSWGRHYIRGWJB-UHFFFAOYSA-N 0 3 245.750 2.964 20 0 BFADHN CC[C@H](N[C@H]1CO[C@H](C)C1)c1c(C)noc1C ZINC000647196587 384798670 /nfs/dbraw/zinc/79/86/70/384798670.db2.gz GMZUNZXZRRBXAM-FXAINCCUSA-N 0 3 238.331 2.510 20 0 BFADHN CC[C@]1(C)CCN(Cc2cncs2)C1 ZINC000335017196 384798603 /nfs/dbraw/zinc/79/86/03/384798603.db2.gz OPOHNJTYDNYHHP-LLVKDONJSA-N 0 3 210.346 2.765 20 0 BFADHN CN(CCc1ccccc1)CC1=CCCOC1 ZINC000126463801 384802646 /nfs/dbraw/zinc/80/26/46/384802646.db2.gz VTASYSCCUMKMFV-UHFFFAOYSA-N 0 3 231.339 2.508 20 0 BFADHN C[C@@H](N[C@H]1C=CCCCCC1)c1cn[nH]c1 ZINC000294394995 384803958 /nfs/dbraw/zinc/80/39/58/384803958.db2.gz CEVPDGDKHXSPLB-YPMHNXCESA-N 0 3 219.332 2.949 20 0 BFADHN CC[C@H](O)CN(C)Cc1ccc(Cl)s1 ZINC000042489159 384806966 /nfs/dbraw/zinc/80/69/66/384806966.db2.gz HRIWTJOYLLMFBV-QMMMGPOBSA-N 0 3 233.764 2.604 20 0 BFADHN CC[C@@H](NCc1conc1C)[C@@H]1CC1(C)C ZINC000398113766 384808824 /nfs/dbraw/zinc/80/88/24/384808824.db2.gz SXGDZESVKYTGQG-NWDGAFQWSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)o1 ZINC000398122092 384809753 /nfs/dbraw/zinc/80/97/53/384809753.db2.gz XHUBFYKKUBZXCH-GIPNMCIBSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1cn2ccsc2n1 ZINC000310040033 384809796 /nfs/dbraw/zinc/80/97/96/384809796.db2.gz XNWDKWDWNMIUKG-ONGXEEELSA-N 0 3 235.356 2.674 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCCC[C@@H]2O)o1 ZINC000053883176 384811151 /nfs/dbraw/zinc/81/11/51/384811151.db2.gz BCJIKQSNPSDVNK-JSGCOSHPSA-N 0 3 237.343 2.571 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1ccc(Cl)nc1 ZINC000126851389 384837105 /nfs/dbraw/zinc/83/71/05/384837105.db2.gz GKEMKBNSIVFJRD-MWLCHTKSSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1ccc([C@@H](C)NCCC(=O)NC(C)C)cc1 ZINC000054048289 384815324 /nfs/dbraw/zinc/81/53/24/384815324.db2.gz ZUDZUXDDGPQRGO-CYBMUJFWSA-N 0 3 248.370 2.560 20 0 BFADHN C[C@H](NC[C@@H]1CC1(C)C)c1cc2n(n1)CCCC2 ZINC000647293025 384870992 /nfs/dbraw/zinc/87/09/92/384870992.db2.gz QAYQVRATLHSTAT-RYUDHWBXSA-N 0 3 247.386 2.916 20 0 BFADHN C[C@@]1(NCc2ccccn2)CC=CCC1 ZINC000335241202 384871284 /nfs/dbraw/zinc/87/12/84/384871284.db2.gz SESXOCPEOBPVOB-CYBMUJFWSA-N 0 3 202.301 2.670 20 0 BFADHN Cc1ccc(CNC2CC(C)(C)C2)nc1C ZINC000314226690 384872140 /nfs/dbraw/zinc/87/21/40/384872140.db2.gz VNKZXNLQQAWSBB-UHFFFAOYSA-N 0 3 218.344 2.977 20 0 BFADHN C[C@@H](NC[C@@H]1CC1(C)C)c1cc2n(n1)CCCC2 ZINC000647293023 384872437 /nfs/dbraw/zinc/87/24/37/384872437.db2.gz QAYQVRATLHSTAT-NEPJUHHUSA-N 0 3 247.386 2.916 20 0 BFADHN C[C@H](CN[C@@H](C)c1ccccn1)c1nccs1 ZINC000186743152 384873887 /nfs/dbraw/zinc/87/38/87/384873887.db2.gz DIMRIHSTATURFW-MNOVXSKESA-N 0 3 247.367 2.992 20 0 BFADHN Cc1cnn(CCN[C@H](C)c2ccsc2)c1 ZINC000127256571 384879933 /nfs/dbraw/zinc/87/99/33/384879933.db2.gz CITLMRFVWJTAMX-LLVKDONJSA-N 0 3 235.356 2.604 20 0 BFADHN Cc1ccc(CNCCOCC2CC2)cc1 ZINC000163943971 384882323 /nfs/dbraw/zinc/88/23/23/384882323.db2.gz FXFWUTQVNRYNST-UHFFFAOYSA-N 0 3 219.328 2.511 20 0 BFADHN C[C@H](N[C@H]1CC=CCC1)c1cc2n(n1)CCCC2 ZINC000647293538 384882862 /nfs/dbraw/zinc/88/28/62/384882862.db2.gz NDBCYKUBZHHKML-STQMWFEESA-N 0 3 245.370 2.979 20 0 BFADHN CSC(C)(C)CN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000294129641 384882873 /nfs/dbraw/zinc/88/28/73/384882873.db2.gz OMPLXNSTNCBRQP-NXEZZACHSA-N 0 3 239.388 2.620 20 0 BFADHN CC[C@H](N[C@H](C)CCO)c1ccc(F)cc1F ZINC000151956806 384887403 /nfs/dbraw/zinc/88/74/03/384887403.db2.gz YIDAPTBFUZTENE-RNCFNFMXSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1nnc(CN2[C@H](C)C[C@@H]3CCCC[C@@H]32)o1 ZINC000247643795 384844208 /nfs/dbraw/zinc/84/42/08/384844208.db2.gz UAMPHISEDZWRHM-USWWRNFRSA-N 0 3 235.331 2.531 20 0 BFADHN CN(Cc1cc2ccccc2o1)CC1(CO)CC1 ZINC000186822650 384888365 /nfs/dbraw/zinc/88/83/65/384888365.db2.gz ABJUZJRHPIXBTK-UHFFFAOYSA-N 0 3 245.322 2.637 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCC(C)(C)C2)nn1 ZINC000335181595 384845561 /nfs/dbraw/zinc/84/55/61/384845561.db2.gz ZNCPSAIIPVMOCM-GFCCVEGCSA-N 0 3 233.359 2.843 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@@H](C)c1ccc(CC)o1 ZINC000186491664 384846066 /nfs/dbraw/zinc/84/60/66/384846066.db2.gz LYBVSVUUCLLYMM-JZYUNNQISA-N 0 3 237.343 2.820 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@H](C)c1cccc(F)c1 ZINC000186489095 384846597 /nfs/dbraw/zinc/84/65/97/384846597.db2.gz CIZUAJTWUOSONM-DWKGUQLISA-N 0 3 237.318 2.803 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCSC2)nc1C ZINC000314176897 384846922 /nfs/dbraw/zinc/84/69/22/384846922.db2.gz NZFULEQGMMOSEX-CYBMUJFWSA-N 0 3 236.384 2.684 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H](C)c1ccccc1OC ZINC000186504497 384847215 /nfs/dbraw/zinc/84/72/15/384847215.db2.gz PJIFNXPVVVMFSV-IJWDBEHRSA-N 0 3 249.354 2.673 20 0 BFADHN C[C@H](NC[C@](C)(O)C1CC1)c1ccccc1F ZINC000310126463 384848219 /nfs/dbraw/zinc/84/82/19/384848219.db2.gz JJQKHVCWEQXHJP-HZMBPMFUSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1ccc(CNC2CCCCCC2)nn1 ZINC000335178482 384850003 /nfs/dbraw/zinc/85/00/03/384850003.db2.gz LUGGKDGKZZQKAS-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN C[C@@H](CCC1CC1)N[C@H](CCO)c1ccco1 ZINC000186543950 384850155 /nfs/dbraw/zinc/85/01/55/384850155.db2.gz VXWSPONQYPMOCJ-WCQYABFASA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H](NCc1cnc[nH]1)c1cccc(Cl)c1 ZINC000054763527 384850141 /nfs/dbraw/zinc/85/01/41/384850141.db2.gz ACGOZXMHWMNHBG-SECBINFHSA-N 0 3 235.718 2.914 20 0 BFADHN C[C@]1(CNCc2cncs2)CCCS1 ZINC000087793262 384850551 /nfs/dbraw/zinc/85/05/51/384850551.db2.gz DJDBSMYEFFKCFY-SNVBAGLBSA-N 0 3 228.386 2.518 20 0 BFADHN CCC[C@H](N)C(=O)Nc1ccc(C)c(CC)c1 ZINC000236935367 384854137 /nfs/dbraw/zinc/85/41/37/384854137.db2.gz SKOCLTLZYYJYCB-ZDUSSCGKSA-N 0 3 234.343 2.623 20 0 BFADHN CCOC[C@H](C)N[C@H]1c2ccccc2O[C@@H]1C ZINC000368585505 384857450 /nfs/dbraw/zinc/85/74/50/384857450.db2.gz RNQLJDQQLKWFDD-MISXGVKJSA-N 0 3 235.327 2.523 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCc3ccc(F)cc32)CO1 ZINC000647198489 384858551 /nfs/dbraw/zinc/85/85/51/384858551.db2.gz IFHOMFIHRALXKQ-DGFSRKRXSA-N 0 3 249.329 2.970 20 0 BFADHN CC1(C2(NCc3ccccn3)CC2)CC1 ZINC000398254825 384865524 /nfs/dbraw/zinc/86/55/24/384865524.db2.gz PMMLUBNQSZFEEK-UHFFFAOYSA-N 0 3 202.301 2.504 20 0 BFADHN CC(C)n1cc(CN[C@@H]2CCCC[C@@H]2C)nn1 ZINC000310164194 384865779 /nfs/dbraw/zinc/86/57/79/384865779.db2.gz RDZBNDKIWZGOCT-WCQYABFASA-N 0 3 236.363 2.527 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C(C)(C)C)C2)nn1C ZINC000361548254 384866497 /nfs/dbraw/zinc/86/64/97/384866497.db2.gz IZNKQIQVECNKPE-CYBMUJFWSA-N 0 3 249.402 2.987 20 0 BFADHN c1ncc(CN[C@@H]2CC23CCCC3)s1 ZINC000306300642 384867712 /nfs/dbraw/zinc/86/77/12/384867712.db2.gz FZIVITUFRQJYNW-SNVBAGLBSA-N 0 3 208.330 2.565 20 0 BFADHN Cc1ccc(CNC[C@@H](C)Cn2ccnc2C)o1 ZINC000105443370 384868014 /nfs/dbraw/zinc/86/80/14/384868014.db2.gz QIRLLPYBYQKRLH-LLVKDONJSA-N 0 3 247.342 2.519 20 0 BFADHN Fc1ccc2c(c1)CC[C@H]2NCC1=CCOCC1 ZINC000335233708 384869044 /nfs/dbraw/zinc/86/90/44/384869044.db2.gz SIWQXQHOMGCLGQ-OAHLLOKOSA-N 0 3 247.313 2.749 20 0 BFADHN CN(CCCCCCO)Cc1ccccc1F ZINC000175738959 384949259 /nfs/dbraw/zinc/94/92/59/384949259.db2.gz OEPMGPDUUJPXPW-UHFFFAOYSA-N 0 3 239.334 2.810 20 0 BFADHN CN(Cc1coc2ccccc12)CC(C)(C)CO ZINC000258941285 384953342 /nfs/dbraw/zinc/95/33/42/384953342.db2.gz WEAWMVFVASWHLS-UHFFFAOYSA-N 0 3 247.338 2.883 20 0 BFADHN COc1cc(C)cc(CNCc2ccn(C)c2)c1 ZINC000127853480 384954202 /nfs/dbraw/zinc/95/42/02/384954202.db2.gz VKYAFRZYMZKNIS-UHFFFAOYSA-N 0 3 244.338 2.632 20 0 BFADHN C[C@H](NCCC(C)(F)F)c1ccncc1 ZINC000294079675 384892129 /nfs/dbraw/zinc/89/21/29/384892129.db2.gz AAUYSCVURRWVOM-VIFPVBQESA-N 0 3 214.259 2.778 20 0 BFADHN CC[C@@H](N[C@@H](CC)CO)c1ccccc1F ZINC000163988324 384893005 /nfs/dbraw/zinc/89/30/05/384893005.db2.gz HMMDMPBTHQYAGX-GXFFZTMASA-N 0 3 225.307 2.637 20 0 BFADHN COC[C@H](N[C@@H]1CCCc2occc21)C(C)C ZINC000148532721 384893020 /nfs/dbraw/zinc/89/30/20/384893020.db2.gz UQSQUMSENMVZID-OLZOCXBDSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@@H](NCCC1(C)CC1)c1nccs1 ZINC000308646321 384896758 /nfs/dbraw/zinc/89/67/58/384896758.db2.gz HURXUSSGJLQWKI-SECBINFHSA-N 0 3 210.346 2.984 20 0 BFADHN CCC[C@H](N[C@H]1CC[C@H](O)CC1)c1ccccn1 ZINC000557265573 384899147 /nfs/dbraw/zinc/89/91/47/384899147.db2.gz AMTVPKZXBFOMRB-YDHLFZDLSA-N 0 3 248.370 2.816 20 0 BFADHN CCSCC[C@H](C)NCc1cnn(CC)c1 ZINC000127490916 384905224 /nfs/dbraw/zinc/90/52/24/384905224.db2.gz NPDRAEDQQHKEHI-NSHDSACASA-N 0 3 241.404 2.524 20 0 BFADHN c1ccc2c(c1)CN(CCc1ccncc1)C2 ZINC000106303885 384908411 /nfs/dbraw/zinc/90/84/11/384908411.db2.gz KEEZQUMZCHXJIB-UHFFFAOYSA-N 0 3 224.307 2.640 20 0 BFADHN CO[C@H](CN[C@H]1CCc2cc(F)ccc21)C1CC1 ZINC000293737900 384910698 /nfs/dbraw/zinc/91/06/98/384910698.db2.gz OZXXJGUJNKNMRQ-LSDHHAIUSA-N 0 3 249.329 2.828 20 0 BFADHN CC[C@H](O)CN(C)[C@H](C)c1cccs1 ZINC000251054811 384911577 /nfs/dbraw/zinc/91/15/77/384911577.db2.gz GUNFUKLLFDKXKC-ZJUUUORDSA-N 0 3 213.346 2.512 20 0 BFADHN CCCC[C@](C)(CO)NCc1ccccc1F ZINC000647239853 384912505 /nfs/dbraw/zinc/91/25/05/384912505.db2.gz QGNPTNGAVXHVTD-CQSZACIVSA-N 0 3 239.334 2.857 20 0 BFADHN Cc1ccc(NC(=O)[C@@H](C(C)C)N(C)C)cc1 ZINC000106407816 384913051 /nfs/dbraw/zinc/91/30/51/384913051.db2.gz DDMPDQHQBLDUKP-CYBMUJFWSA-N 0 3 234.343 2.520 20 0 BFADHN Cc1cccc(Cn2cc3c(n2)[C@@H](N)CCC3)c1 ZINC000564840842 384914981 /nfs/dbraw/zinc/91/49/81/384914981.db2.gz VGHCTCJXOXWYHS-AWEZNQCLSA-N 0 3 241.338 2.576 20 0 BFADHN Cc1ccc(CN[C@H](CO)CC(C)C)cc1F ZINC000106410206 384918155 /nfs/dbraw/zinc/91/81/55/384918155.db2.gz GLNGRHJMLCFVEZ-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN COC[C@H]1CCCN(Cc2ccc(F)cc2)C1 ZINC000056908375 384920254 /nfs/dbraw/zinc/92/02/54/384920254.db2.gz RHJKWUWHTOSLCY-ZDUSSCGKSA-N 0 3 237.318 2.684 20 0 BFADHN Fc1cccc(CNCCC2CC2)c1F ZINC000083893847 384920326 /nfs/dbraw/zinc/92/03/26/384920326.db2.gz RMCYXIVMHCFILS-UHFFFAOYSA-N 0 3 211.255 2.855 20 0 BFADHN CCCC(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC000187248372 384927325 /nfs/dbraw/zinc/92/73/25/384927325.db2.gz UZJLXWLGNAAGIV-DOMZBBRYSA-N 0 3 248.370 2.594 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H]2CC23CC3)s1 ZINC000643908026 384932655 /nfs/dbraw/zinc/93/26/55/384932655.db2.gz ZPMUZANFOOOBTF-GMSGAONNSA-N 0 3 208.330 2.655 20 0 BFADHN COC[C@H](N[C@@H]1C[C@@H](C)[C@@H]1C)c1ccc(C)o1 ZINC000495089215 533096201 /nfs/dbraw/zinc/09/62/01/533096201.db2.gz XLWOKNOZAQRBGV-MGAJPHDKSA-N 0 3 237.343 2.910 20 0 BFADHN CCc1ccc([C@@H](COC)NCC(C)C)o1 ZINC000127695427 384934554 /nfs/dbraw/zinc/93/45/54/384934554.db2.gz XJUIBKGHFBFDCE-GFCCVEGCSA-N 0 3 225.332 2.775 20 0 BFADHN CSC(C)(C)CNCc1ccc(C)o1 ZINC000128206207 384934726 /nfs/dbraw/zinc/93/47/26/384934726.db2.gz SXAAZNBVBWPGBJ-UHFFFAOYSA-N 0 3 213.346 2.819 20 0 BFADHN CSc1ccc(CN(C)[C@H]2CCOC2)cc1 ZINC000106880997 384936108 /nfs/dbraw/zinc/93/61/08/384936108.db2.gz ZEINSCGHXSSWLQ-LBPRGKRZSA-N 0 3 237.368 2.629 20 0 BFADHN Cc1occc1CNC[C@@H](O)CC1CCCC1 ZINC000644527174 384936476 /nfs/dbraw/zinc/93/64/76/384936476.db2.gz RYBUSLQRXILFOG-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1noc(C)c1CCN(C)CCC1CC1 ZINC000119675917 384941160 /nfs/dbraw/zinc/94/11/60/384941160.db2.gz GWERMJNIYIYVJZ-UHFFFAOYSA-N 0 3 222.332 2.566 20 0 BFADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2ccccn2)C1(C)C ZINC000085379975 384942397 /nfs/dbraw/zinc/94/23/97/384942397.db2.gz WRODRGPJNJCPGP-IACUBPJLSA-N 0 3 248.370 2.936 20 0 BFADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2ccccn2)C1(C)C ZINC000085379958 384942981 /nfs/dbraw/zinc/94/29/81/384942981.db2.gz WRODRGPJNJCPGP-FPMFFAJLSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@H](NCCN(C)C)c1cnc2ccsc2c1 ZINC000085432889 384943996 /nfs/dbraw/zinc/94/39/96/384943996.db2.gz GRPVRWRUCCPKIM-JTQLQIEISA-N 0 3 249.383 2.509 20 0 BFADHN COC[C@H](C)NCc1coc2ccccc12 ZINC000085696367 384960609 /nfs/dbraw/zinc/96/06/09/384960609.db2.gz ACKGGKLEZUYXJW-JTQLQIEISA-N 0 3 219.284 2.557 20 0 BFADHN CCSCCNCc1ccc(F)c(F)c1 ZINC000128918542 384965209 /nfs/dbraw/zinc/96/52/09/384965209.db2.gz SKVVJGYOHBVAGU-UHFFFAOYSA-N 0 3 231.311 2.808 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1ccncc1F ZINC000309085094 384970656 /nfs/dbraw/zinc/97/06/56/384970656.db2.gz WHXGMUNPBTWHNX-IUCAKERBSA-N 0 3 228.336 2.623 20 0 BFADHN CCC[C@@](C)(N)C(=O)NCCCC1CCCC1 ZINC000226314220 384971702 /nfs/dbraw/zinc/97/17/02/384971702.db2.gz XNKZEPBTMFADGL-CQSZACIVSA-N 0 3 240.391 2.591 20 0 BFADHN CCN(CCO)Cc1ccc(C(C)(C)C)s1 ZINC000127964416 384973168 /nfs/dbraw/zinc/97/31/68/384973168.db2.gz SQPNWOSHIXDBMI-UHFFFAOYSA-N 0 3 241.400 2.860 20 0 BFADHN CCc1nn(C)cc1CN(CC)CC(C)(C)C ZINC000643355433 384973426 /nfs/dbraw/zinc/97/34/26/384973426.db2.gz VXXNXLFRYLAFLY-UHFFFAOYSA-N 0 3 237.391 2.851 20 0 BFADHN COC(C)(C)C[C@@H](C)NCc1cc(C)ns1 ZINC000404387951 384973471 /nfs/dbraw/zinc/97/34/71/384973471.db2.gz WWDLOSDHKRXYOO-SNVBAGLBSA-N 0 3 242.388 2.745 20 0 BFADHN c1cc(CNCCC2CCCC2)sn1 ZINC000404403603 384978055 /nfs/dbraw/zinc/97/80/55/384978055.db2.gz BGCHAVUARMFXQG-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN Cn1ccnc1[C@@H](NC[C@@H]1CC=CCC1)C1CC1 ZINC000187762094 384978884 /nfs/dbraw/zinc/97/88/84/384978884.db2.gz FVIJTQULQXNPQO-OCCSQVGLSA-N 0 3 245.370 2.817 20 0 BFADHN Fc1cccc(CNC[C@@H]2CCCS2)c1F ZINC000087473182 384980438 /nfs/dbraw/zinc/98/04/38/384980438.db2.gz SZWYPEJMWXSZFB-JTQLQIEISA-N 0 3 243.322 2.950 20 0 BFADHN CCSc1cccc(CN(C)C[C@@H](C)O)c1 ZINC000187822939 384984047 /nfs/dbraw/zinc/98/40/47/384984047.db2.gz PENLQHSHOKXYCI-LLVKDONJSA-N 0 3 239.384 2.611 20 0 BFADHN Fc1ccc2c(c1)CCC[C@H]2N[C@@H]1CCOC1 ZINC000643911128 384984515 /nfs/dbraw/zinc/98/45/15/384984515.db2.gz YNRWWHKEIMZBGM-TZMCWYRMSA-N 0 3 235.302 2.582 20 0 BFADHN CS[C@H](C)CNCC1=Cc2ccccc2OC1 ZINC000128059526 384986657 /nfs/dbraw/zinc/98/66/57/384986657.db2.gz LSNPSJLIWXHIAK-LLVKDONJSA-N 0 3 249.379 2.804 20 0 BFADHN C[C@]1(CNCc2cccc(F)c2F)CCCO1 ZINC000094797810 384987667 /nfs/dbraw/zinc/98/76/67/384987667.db2.gz XSFRXWGKMSFCKK-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN CCC(C)(C)OCCNCc1cc(C)ns1 ZINC000404438699 384988662 /nfs/dbraw/zinc/98/86/62/384988662.db2.gz FGZPMIFSDCVHEP-UHFFFAOYSA-N 0 3 242.388 2.746 20 0 BFADHN CC(C)Oc1ccccc1[C@H](C)NC[C@H](C)O ZINC000530616762 384989634 /nfs/dbraw/zinc/98/96/34/384989634.db2.gz HGBQUQCUARGELY-RYUDHWBXSA-N 0 3 237.343 2.505 20 0 BFADHN C[C@@H](Cc1ccc(O)cc1)NCc1ccns1 ZINC000404427249 384991088 /nfs/dbraw/zinc/99/10/88/384991088.db2.gz MHJHYQKYGUAUMT-JTQLQIEISA-N 0 3 248.351 2.570 20 0 BFADHN CC1(C)CCCC[C@@H]1NCc1ccon1 ZINC000088052659 384993590 /nfs/dbraw/zinc/99/35/90/384993590.db2.gz VNWOVAWZICLJSF-NSHDSACASA-N 0 3 208.305 2.733 20 0 BFADHN Cc1cc(CNC[C@H]2Cc3ccccc32)sn1 ZINC000404430268 384994133 /nfs/dbraw/zinc/99/41/33/384994133.db2.gz AHASVSUMJJGAMP-GFCCVEGCSA-N 0 3 244.363 2.881 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCc3c(O)cccc32)CO1 ZINC000647205477 384994607 /nfs/dbraw/zinc/99/46/07/384994607.db2.gz BTCDYSPUBFOOMV-UHIISALHSA-N 0 3 247.338 2.537 20 0 BFADHN Cc1cc(CNC2(C(C)C)CC2)sn1 ZINC000404448226 384994691 /nfs/dbraw/zinc/99/46/91/384994691.db2.gz LBSJKUABPZHBJK-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN CC[C@H](O)CNCc1cc(C)cc(Cl)c1 ZINC000339406076 384996010 /nfs/dbraw/zinc/99/60/10/384996010.db2.gz GQIPCDOFNXLRKH-LBPRGKRZSA-N 0 3 227.735 2.509 20 0 BFADHN COC1(CCNCc2cc(C)ns2)CCC1 ZINC000404435720 384996881 /nfs/dbraw/zinc/99/68/81/384996881.db2.gz RJGOPNFIWBUMOT-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN Cc1cccc2c1OCC[C@H]2N[C@H]1CO[C@@H](C)C1 ZINC000647205572 384999258 /nfs/dbraw/zinc/99/92/58/384999258.db2.gz GMWZOMILPNVIBV-OUCADQQQSA-N 0 3 247.338 2.586 20 0 BFADHN Cc1cc(CN[C@H]2CC23CCCC3)sn1 ZINC000404452033 384999307 /nfs/dbraw/zinc/99/93/07/384999307.db2.gz JOZPZCMFWQDFHY-NSHDSACASA-N 0 3 222.357 2.874 20 0 BFADHN CC(C)C[C@@H](C)N1CC[S@](=O)C(C)(C)CC1 ZINC000336305271 385000819 /nfs/dbraw/zinc/00/08/19/385000819.db2.gz CXNVBPHGOLZAGB-WBMJQRKESA-N 0 3 245.432 2.654 20 0 BFADHN COCc1cc(CNCc2cc[nH]c2)ccc1F ZINC000086253300 385002978 /nfs/dbraw/zinc/00/29/78/385002978.db2.gz WASZBIUEPBTRGQ-UHFFFAOYSA-N 0 3 248.301 2.590 20 0 BFADHN C[C@H](F)CCNCc1c(F)cccc1F ZINC000339494762 385006440 /nfs/dbraw/zinc/00/64/40/385006440.db2.gz LTJVADWBSCNKTE-QMMMGPOBSA-N 0 3 217.234 2.803 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1ccc(F)cc1 ZINC000401883728 385007115 /nfs/dbraw/zinc/00/71/15/385007115.db2.gz OTGHLISDKIIHQL-GIPNMCIBSA-N 0 3 223.291 2.654 20 0 BFADHN C[C@H](F)CCNCc1cc(F)c(F)c(F)c1 ZINC000339498538 385007724 /nfs/dbraw/zinc/00/77/24/385007724.db2.gz ZAMOMMHJXVFHJQ-ZETCQYMHSA-N 0 3 235.224 2.942 20 0 BFADHN Cc1cc(CNC2CC(C)(C)C2)sn1 ZINC000404478929 385008675 /nfs/dbraw/zinc/00/86/75/385008675.db2.gz UWOVNXZOIDCRIO-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN c1cc(CN[C@H]2CC23CCCC3)sn1 ZINC000404477129 385011408 /nfs/dbraw/zinc/01/14/08/385011408.db2.gz SLGRNMHVOTZLHH-JTQLQIEISA-N 0 3 208.330 2.565 20 0 BFADHN Fc1ccc2c(c1)CC[C@H]2NC[C@H]1CCCO1 ZINC000128189822 385012607 /nfs/dbraw/zinc/01/26/07/385012607.db2.gz JIHLINBXSACBSJ-TZMCWYRMSA-N 0 3 235.302 2.582 20 0 BFADHN Cc1cc(CNCC2(C3CC3)CC2)sn1 ZINC000404480312 385013484 /nfs/dbraw/zinc/01/34/84/385013484.db2.gz FCEYWCQPVSSERS-UHFFFAOYSA-N 0 3 222.357 2.731 20 0 BFADHN CO[C@@H](CNCc1coc2ccccc12)C1CC1 ZINC000339527303 385015101 /nfs/dbraw/zinc/01/51/01/385015101.db2.gz SEZWBKXQPSPNAD-HNNXBMFYSA-N 0 3 245.322 2.947 20 0 BFADHN C[C@@]1(CNCc2ccns2)CCCS1 ZINC000404483593 385015974 /nfs/dbraw/zinc/01/59/74/385015974.db2.gz HTVVJZVHBHTPKZ-JTQLQIEISA-N 0 3 228.386 2.518 20 0 BFADHN CCOC[C@@H](C)N[C@H](c1cccnc1)C1CC1 ZINC000358358515 385018104 /nfs/dbraw/zinc/01/81/04/385018104.db2.gz YYQVVYPXHJOAIH-RISCZKNCSA-N 0 3 234.343 2.547 20 0 BFADHN CCNCc1cn(C)nc1C1CCCCC1 ZINC000086443149 385018027 /nfs/dbraw/zinc/01/80/27/385018027.db2.gz ALWGWWVLLGKDNG-UHFFFAOYSA-N 0 3 221.348 2.577 20 0 BFADHN c1cc(CNC[C@H]2CCCCS2)sn1 ZINC000404482468 385018140 /nfs/dbraw/zinc/01/81/40/385018140.db2.gz GGILUMMCGPTOTI-SECBINFHSA-N 0 3 228.386 2.518 20 0 BFADHN C[C@@H](N[C@H](C)C1CCOCC1)c1cscn1 ZINC000127895453 384961659 /nfs/dbraw/zinc/96/16/59/384961659.db2.gz GZSVPDVSKGHYFX-NXEZZACHSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@H](NCC1(C)CCC1)c1cscn1 ZINC000130537455 385048166 /nfs/dbraw/zinc/04/81/66/385048166.db2.gz DNJSTNZXVJAXGM-VIFPVBQESA-N 0 3 210.346 2.984 20 0 BFADHN CC[C@H](F)CN1CCS[C@@H](C)CC1 ZINC000306518438 385052991 /nfs/dbraw/zinc/05/29/91/385052991.db2.gz DFECRISPUKFCCS-UWVGGRQHSA-N 0 3 205.342 2.562 20 0 BFADHN Cc1nnc([C@H](C)N2C[C@@H]3CCCC[C@@H]3C2)o1 ZINC000177572450 385054947 /nfs/dbraw/zinc/05/49/47/385054947.db2.gz FWIHEHYIFZDYQN-ZMLRMANQSA-N 0 3 235.331 2.561 20 0 BFADHN Fc1ccc(CN[C@H]2CCCOCC2)c(F)c1 ZINC000086874386 385057391 /nfs/dbraw/zinc/05/73/91/385057391.db2.gz RJSXHFDKUQKFGI-LBPRGKRZSA-N 0 3 241.281 2.624 20 0 BFADHN COC[C@@H](C)N[C@H]1CCc2c1cccc2Cl ZINC000164982004 385058433 /nfs/dbraw/zinc/05/84/33/385058433.db2.gz IYCKFFINXCTQDB-RNCFNFMXSA-N 0 3 239.746 2.952 20 0 BFADHN CC[C@H](C)C[C@H](CO)NCc1csc(C)c1 ZINC000647244690 385058582 /nfs/dbraw/zinc/05/85/82/385058582.db2.gz FOOXFXQXENWBBB-GXFFZTMASA-N 0 3 241.400 2.943 20 0 BFADHN CCN(C)C(=O)[C@@H](C)N[C@H](C)c1ccc(C)cc1 ZINC000188478237 385059783 /nfs/dbraw/zinc/05/97/83/385059783.db2.gz ILFJVAAMZNYBHE-CHWSQXEVSA-N 0 3 248.370 2.512 20 0 BFADHN CCc1cc(CN[C@@H]2CCC[C@@H]3C[C@@]32C)on1 ZINC000518400104 385061529 /nfs/dbraw/zinc/06/15/29/385061529.db2.gz ANXNEBRXRJWPQC-HONMWMINSA-N 0 3 234.343 2.905 20 0 BFADHN Clc1sccc1CNC[C@H]1CCCO1 ZINC000308747678 385063715 /nfs/dbraw/zinc/06/37/15/385063715.db2.gz SEUNVVWLRJASDD-SECBINFHSA-N 0 3 231.748 2.670 20 0 BFADHN Cc1ccc2c(c1)OCCC[C@H]2NC1CC1 ZINC000087016300 385067337 /nfs/dbraw/zinc/06/73/37/385067337.db2.gz DBXSCAQVOOFHRH-CYBMUJFWSA-N 0 3 217.312 2.961 20 0 BFADHN Cc1cnc([C@H](C)N(C)CCC(C)C)cn1 ZINC000644658672 385067920 /nfs/dbraw/zinc/06/79/20/385067920.db2.gz JAQGRSAAORSKGH-LBPRGKRZSA-N 0 3 221.348 2.824 20 0 BFADHN CO[C@@H](C)CN[C@H](CC(C)C)c1ccncc1 ZINC000647301041 385071267 /nfs/dbraw/zinc/07/12/67/385071267.db2.gz PGXJPJSZMWVBGN-GXTWGEPZSA-N 0 3 236.359 2.793 20 0 BFADHN CN(Cc1ccco1)C[C@H](O)CC1CCCC1 ZINC000188637326 385071484 /nfs/dbraw/zinc/07/14/84/385071484.db2.gz DRRHVUVCQKDFBU-CYBMUJFWSA-N 0 3 237.343 2.653 20 0 BFADHN CCCCCNC(=O)CN1[C@H](CC)CC[C@@H]1C ZINC000357551378 385072015 /nfs/dbraw/zinc/07/20/15/385072015.db2.gz IAEUPZAIVMSQCR-QWHCGFSZSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@@H](NC[C@H](O)CC1CCCC1)c1ccccn1 ZINC000188631079 385072268 /nfs/dbraw/zinc/07/22/68/385072268.db2.gz DZTWXVMBIWKWRB-TZMCWYRMSA-N 0 3 248.370 2.673 20 0 BFADHN Fc1cccc(CNCCc2nccs2)c1 ZINC000060230102 385074358 /nfs/dbraw/zinc/07/43/58/385074358.db2.gz WKGVNSCXVMHPLD-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN CC[C@@H](CO)N[C@H]1CCCOc2cc(C)ccc21 ZINC000188677500 385080229 /nfs/dbraw/zinc/08/02/29/385080229.db2.gz BMCQIZDKSPPESZ-JSGCOSHPSA-N 0 3 249.354 2.569 20 0 BFADHN CC[C@@H](C)CN1CCCC[C@@H]1c1ncc[nH]1 ZINC000152245068 385028385 /nfs/dbraw/zinc/02/83/85/385028385.db2.gz RWLOWQNTWVNFNS-VXGBXAGGSA-N 0 3 221.348 2.983 20 0 BFADHN CC[C@H](C)C[C@H](CO)NCc1ccc(F)cc1 ZINC000647243316 385031039 /nfs/dbraw/zinc/03/10/39/385031039.db2.gz HPVKHLDXFHZING-SMDDNHRTSA-N 0 3 239.334 2.712 20 0 BFADHN CN(Cc1ccccc1)C[C@@H]1CCCCO1 ZINC000058873787 385031637 /nfs/dbraw/zinc/03/16/37/385031637.db2.gz BXNGRXRFRZLMRI-AWEZNQCLSA-N 0 3 219.328 2.688 20 0 BFADHN CCCCCN[C@H]1C[C@@H](C)n2ccnc21 ZINC000293829372 385033877 /nfs/dbraw/zinc/03/38/77/385033877.db2.gz BDLXKUIUNRQZNQ-MNOVXSKESA-N 0 3 207.321 2.669 20 0 BFADHN CO[C@H]1CN(Cc2cccs2)CC[C@H]1C ZINC000188304283 385035447 /nfs/dbraw/zinc/03/54/47/385035447.db2.gz AGEYKKCDBAEBCG-PWSUYJOCSA-N 0 3 225.357 2.605 20 0 BFADHN CC(C)CCCNCc1c(Cl)cnn1C ZINC000130366397 385035848 /nfs/dbraw/zinc/03/58/48/385035848.db2.gz NCEDTCQEXOFMRW-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN Cc1ccc(CN2CCC([C@@H]3CCCO3)CC2)o1 ZINC000188291989 385036234 /nfs/dbraw/zinc/03/62/34/385036234.db2.gz TTYSKRAVJLQHMM-HNNXBMFYSA-N 0 3 249.354 2.979 20 0 BFADHN CCO[C@H](CN[C@H]1CO[C@@H](C)C1)c1ccccc1 ZINC000647206757 385036918 /nfs/dbraw/zinc/03/69/18/385036918.db2.gz FFLUQMNOQCPCLI-NWANDNLSSA-N 0 3 249.354 2.531 20 0 BFADHN CSc1ccc(CN(C)CC[C@@H](C)O)cc1 ZINC000128433253 385036980 /nfs/dbraw/zinc/03/69/80/385036980.db2.gz AVXFSJVQEHAQPQ-LLVKDONJSA-N 0 3 239.384 2.611 20 0 BFADHN Cc1coc(SCCN2CCCCC2)n1 ZINC000188333643 385040721 /nfs/dbraw/zinc/04/07/21/385040721.db2.gz GLVTYBQYGGVTPS-UHFFFAOYSA-N 0 3 226.345 2.561 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1NCc1occc1C ZINC000164844876 385046249 /nfs/dbraw/zinc/04/62/49/385046249.db2.gz MGAGEIQDZKJCMR-NWDGAFQWSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1ccc(CN[C@H]2COCC2(C)C)s1 ZINC000312988915 385047291 /nfs/dbraw/zinc/04/72/91/385047291.db2.gz VXQAMMMKHXILKE-NSHDSACASA-N 0 3 225.357 2.571 20 0 BFADHN CC[C@@H](C)CN1CCN(c2cccc(O)c2)CC1 ZINC000129338098 385141301 /nfs/dbraw/zinc/14/13/01/385141301.db2.gz RJEXUEJDYJQHRR-CYBMUJFWSA-N 0 3 248.370 2.560 20 0 BFADHN COCc1ccc(CNC[C@H]2CCC[C@H]2C)o1 ZINC000341470944 385109151 /nfs/dbraw/zinc/10/91/51/385109151.db2.gz CCZORJJTSGHHGD-VXGBXAGGSA-N 0 3 237.343 2.952 20 0 BFADHN COc1ccc(F)c(CNC[C@@H]2C[C@H]2C2CC2)c1 ZINC000449743445 385109299 /nfs/dbraw/zinc/10/92/99/385109299.db2.gz XURONZAKNGWMOJ-FZMZJTMJSA-N 0 3 249.329 2.970 20 0 BFADHN CCOc1cc(CN[C@H]2CC23CC3)ccc1OC ZINC000424185167 385111531 /nfs/dbraw/zinc/11/15/31/385111531.db2.gz OLFOKCHTLDXTHZ-AWEZNQCLSA-N 0 3 247.338 2.736 20 0 BFADHN CCn1nc(CN[C@H]2CC23CC3)c2ccccc21 ZINC000424184831 385112603 /nfs/dbraw/zinc/11/26/03/385112603.db2.gz NJILOEDYZZVUBI-AWEZNQCLSA-N 0 3 241.338 2.698 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCCCC1(F)F ZINC000294685896 385117275 /nfs/dbraw/zinc/11/72/75/385117275.db2.gz BKJFSLFDWWBNJS-NSHDSACASA-N 0 3 244.285 2.898 20 0 BFADHN C[C@@H]1C[C@H](NCc2cccc(Cl)c2)[C@H](C)O1 ZINC000294699234 385123126 /nfs/dbraw/zinc/12/31/26/385123126.db2.gz GKKYWVRDHQGIME-NRUUGDAUSA-N 0 3 239.746 2.996 20 0 BFADHN CCc1ncc(CN[C@@H]2C[C@H]2C2CCC2)s1 ZINC000424204917 385125166 /nfs/dbraw/zinc/12/51/66/385125166.db2.gz VSERZYNISRSDBV-NWDGAFQWSA-N 0 3 236.384 2.984 20 0 BFADHN CC1(C)COC[C@@H]1NCc1coc2ccccc12 ZINC000313010329 385126087 /nfs/dbraw/zinc/12/60/87/385126087.db2.gz APHVLIGOSMDSJR-AWEZNQCLSA-N 0 3 245.322 2.947 20 0 BFADHN CC1(C)COC[C@H]1NCc1ccc2c(c1)CCC2 ZINC000313010578 385129449 /nfs/dbraw/zinc/12/94/49/385129449.db2.gz KVBDTBDWVNHJPO-OAHLLOKOSA-N 0 3 245.366 2.690 20 0 BFADHN COc1cncc(CN2CCC(C3CC3)CC2)c1 ZINC000644718624 385138902 /nfs/dbraw/zinc/13/89/02/385138902.db2.gz JLHNTYJXANAEBD-UHFFFAOYSA-N 0 3 246.354 2.712 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H]2CC[C@H](O)C2)o1 ZINC000189293870 385139394 /nfs/dbraw/zinc/13/93/94/385139394.db2.gz BYKOZSVFCLTCLJ-TUAOUCFPSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1nc(C)c(CN2C[C@H]3CCC[C@@H]32)s1 ZINC000336348466 385140138 /nfs/dbraw/zinc/14/01/38/385140138.db2.gz FTZWBADHMPTTAG-MNOVXSKESA-N 0 3 222.357 2.744 20 0 BFADHN CCOCCN[C@@H](C)c1cc(F)cc(F)c1 ZINC000087282690 385090400 /nfs/dbraw/zinc/09/04/00/385090400.db2.gz JXAZJPCRFNFJNL-VIFPVBQESA-N 0 3 229.270 2.652 20 0 BFADHN F[C@@]1(c2ccccc2)CCN(CC2CC2)C1 ZINC000557695352 385091320 /nfs/dbraw/zinc/09/13/20/385091320.db2.gz RTXOTSUDKMAREQ-AWEZNQCLSA-N 0 3 219.303 2.967 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H]2CC[C@H](O)C2)o1 ZINC000189293894 385140635 /nfs/dbraw/zinc/14/06/35/385140635.db2.gz BYKOZSVFCLTCLJ-WOPDTQHZSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1cnc([C@@H](C)N2CCC3(CC3)CC2)cn1 ZINC000644679459 385092904 /nfs/dbraw/zinc/09/29/04/385092904.db2.gz NBBOUSGQPXEEAQ-GFCCVEGCSA-N 0 3 231.343 2.722 20 0 BFADHN CCc1ccc([C@H](COC)N[C@@H](C)CC)o1 ZINC000165279790 385098352 /nfs/dbraw/zinc/09/83/52/385098352.db2.gz MWIFAAQEFPWNIY-JQWIXIFHSA-N 0 3 225.332 2.918 20 0 BFADHN c1nc(C2CC2)sc1CN[C@@H]1CC12CC2 ZINC000643800229 385100880 /nfs/dbraw/zinc/10/08/80/385100880.db2.gz DEULCORVAMBLQA-SNVBAGLBSA-N 0 3 220.341 2.663 20 0 BFADHN c1ccc2c(c1)ccnc2CN[C@H]1CC12CC2 ZINC000643800352 385102637 /nfs/dbraw/zinc/10/26/37/385102637.db2.gz VDCJVKCNOQEJNW-AWEZNQCLSA-N 0 3 224.307 2.877 20 0 BFADHN C[C@@H](N[C@@H]1CCC1(C)C)c1cncs1 ZINC000287238417 385103295 /nfs/dbraw/zinc/10/32/95/385103295.db2.gz YRTIUKHDLKPBDU-PSASIEDQSA-N 0 3 210.346 2.982 20 0 BFADHN c1csc(-c2n[nH]cc2CN[C@@H]2CC23CC3)c1 ZINC000424187392 385104342 /nfs/dbraw/zinc/10/43/42/385104342.db2.gz VRAORVUZJXVAEF-LLVKDONJSA-N 0 3 245.351 2.780 20 0 BFADHN Cc1ccc2nc(CN3CC[C@@H](C)[C@@H]3C)cn2c1 ZINC000177098289 385182119 /nfs/dbraw/zinc/18/21/19/385182119.db2.gz AQCCMZMKQXBYFP-OLZOCXBDSA-N 0 3 243.354 2.873 20 0 BFADHN c1cncc(CN(CC[C@H]2CCCO2)C2CC2)c1 ZINC000189770911 385182506 /nfs/dbraw/zinc/18/25/06/385182506.db2.gz ZRIFNBZTGWVIOR-OAHLLOKOSA-N 0 3 246.354 2.615 20 0 BFADHN C[C@H](O)CCCN(C)Cc1ccc(Cl)s1 ZINC000308813710 385182461 /nfs/dbraw/zinc/18/24/61/385182461.db2.gz ALZIOYUUCLUCPI-VIFPVBQESA-N 0 3 247.791 2.994 20 0 BFADHN CC(C)C1CC(N[C@@H](CO)c2ccsc2)C1 ZINC000336689139 385185157 /nfs/dbraw/zinc/18/51/57/385185157.db2.gz WBCWWKIERVPRSG-BPCQOVAHSA-N 0 3 239.384 2.806 20 0 BFADHN C[C@@H]1CCCCN1Cc1ccc2c(c1)CCO2 ZINC000109824926 385194483 /nfs/dbraw/zinc/19/44/83/385194483.db2.gz XSQLGPYJZKEKSG-GFCCVEGCSA-N 0 3 231.339 2.996 20 0 BFADHN COc1ccc([C@@H](C)N[C@@H]2CC23CC3)cc1O ZINC000424332607 385147931 /nfs/dbraw/zinc/14/79/31/385147931.db2.gz WYUXJUYELSDKGI-NOZJJQNGSA-N 0 3 233.311 2.604 20 0 BFADHN CCOC[C@@H](N[C@H](C)c1ccco1)C(C)C ZINC000189361947 385149747 /nfs/dbraw/zinc/14/97/47/385149747.db2.gz VFSHJORWAPJFQN-VXGBXAGGSA-N 0 3 225.332 2.991 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2COCC2(C)C)c1 ZINC000313015162 385153609 /nfs/dbraw/zinc/15/36/09/385153609.db2.gz FFUAUNYHBMFVOX-ZDUSSCGKSA-N 0 3 237.318 2.649 20 0 BFADHN Cc1ccc(CNCCOCC2CC2)s1 ZINC000053585270 385164626 /nfs/dbraw/zinc/16/46/26/385164626.db2.gz HDZSWIOYZCELBB-UHFFFAOYSA-N 0 3 225.357 2.573 20 0 BFADHN COc1ccc(OC)c([C@@H](C)N[C@H]2CC23CC3)c1 ZINC000424326197 385167212 /nfs/dbraw/zinc/16/72/12/385167212.db2.gz ONWFUGLLSUOEGW-YGRLFVJLSA-N 0 3 247.338 2.907 20 0 BFADHN COCC1(CN(C)Cc2occc2C)CCC1 ZINC000292051459 385172616 /nfs/dbraw/zinc/17/26/16/385172616.db2.gz AXRXQXUSIQGCDF-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1cc(F)ccc1F ZINC000109543424 385173130 /nfs/dbraw/zinc/17/31/30/385173130.db2.gz JPWLZIBHQRDKAZ-MWLCHTKSSA-N 0 3 241.281 2.794 20 0 BFADHN CN(CCC1CCOCC1)Cc1cccc(O)c1 ZINC000129681430 385174067 /nfs/dbraw/zinc/17/40/67/385174067.db2.gz CDUCATBTBCKOON-UHFFFAOYSA-N 0 3 249.354 2.641 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2CCO[C@@H]2C2CC2)c1 ZINC000364172943 385208921 /nfs/dbraw/zinc/20/89/21/385208921.db2.gz ZYMBZFVXWCKSQB-TUKIKUTGSA-N 0 3 246.354 2.608 20 0 BFADHN COC[C@H](N[C@H](C)C1CCC1)c1ccco1 ZINC000178229830 385211504 /nfs/dbraw/zinc/21/15/04/385211504.db2.gz YFQHZZPMPSCVAW-PWSUYJOCSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1ccc([C@@H](C)NCCc2nccs2)o1 ZINC000063133227 385212241 /nfs/dbraw/zinc/21/22/41/385212241.db2.gz XKVVOJWEOGWVEA-SNVBAGLBSA-N 0 3 236.340 2.938 20 0 BFADHN CC(C)c1ncc(CN[C@H]2CCSC2)s1 ZINC000308839479 385213206 /nfs/dbraw/zinc/21/32/06/385213206.db2.gz JMUXJTLERRDYGX-VIFPVBQESA-N 0 3 242.413 2.862 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1cccc(F)c1 ZINC000300967547 385214582 /nfs/dbraw/zinc/21/45/82/385214582.db2.gz IXOKHWZNFGWZGN-SNVBAGLBSA-N 0 3 225.307 2.729 20 0 BFADHN CC[C@H](NCc1ccc(C)o1)[C@@H]1CCCO1 ZINC000119779818 385217371 /nfs/dbraw/zinc/21/73/71/385217371.db2.gz ASCVWEVLXWMGCK-STQMWFEESA-N 0 3 223.316 2.635 20 0 BFADHN CCC1(NCc2cc(OC)ccc2OC)CC1 ZINC000294790304 385218526 /nfs/dbraw/zinc/21/85/26/385218526.db2.gz LWYRQPBCNCTFLZ-UHFFFAOYSA-N 0 3 235.327 2.736 20 0 BFADHN CCOC[C@H](C)NCc1cccc(C)c1 ZINC000044688260 385219474 /nfs/dbraw/zinc/21/94/74/385219474.db2.gz DOXLCXUQEKXTCN-LBPRGKRZSA-N 0 3 207.317 2.510 20 0 BFADHN C[C@@H](CN(C)C)NCc1ccc(Cl)c(F)c1 ZINC000130143283 385223310 /nfs/dbraw/zinc/22/33/10/385223310.db2.gz XEZPIEJCOHVJGS-VIFPVBQESA-N 0 3 244.741 2.519 20 0 BFADHN CSCCCNCc1cc(F)ccc1F ZINC000068890570 385224253 /nfs/dbraw/zinc/22/42/53/385224253.db2.gz MDWNTWUPWGYTKL-UHFFFAOYSA-N 0 3 231.311 2.808 20 0 BFADHN CC[C@H]1CCCN(Cc2ccncc2)C1 ZINC000130196994 385227418 /nfs/dbraw/zinc/22/74/18/385227418.db2.gz JTYZOBRFMQUTIH-LBPRGKRZSA-N 0 3 204.317 2.704 20 0 BFADHN CC[C@H](O)CNC1(c2ccc(Cl)cc2)CC1 ZINC000305784370 385227689 /nfs/dbraw/zinc/22/76/89/385227689.db2.gz WYDONRIBFVOLBJ-LBPRGKRZSA-N 0 3 239.746 2.690 20 0 BFADHN CCc1ccc([C@@H](COC)N[C@H](C)C2CC2)o1 ZINC000177372836 385230187 /nfs/dbraw/zinc/23/01/87/385230187.db2.gz LZBDZCPIALJWDM-ZWNOBZJWSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@@H](N[C@@H](CCO)c1ccccc1F)C1CC1 ZINC000647249770 385230634 /nfs/dbraw/zinc/23/06/34/385230634.db2.gz NSTGNZOLWGEQCV-YGRLFVJLSA-N 0 3 237.318 2.637 20 0 BFADHN CC1(C)COC[C@H]1NCc1cc2ccccc2o1 ZINC000313037327 385230801 /nfs/dbraw/zinc/23/08/01/385230801.db2.gz YNNYFFBANFOUPB-CQSZACIVSA-N 0 3 245.322 2.947 20 0 BFADHN Cc1nc(C(C)C)sc1[C@@H](C)NCCCO ZINC000166250478 385230865 /nfs/dbraw/zinc/23/08/65/385230865.db2.gz CORGUUMCKPXDFZ-SECBINFHSA-N 0 3 242.388 2.608 20 0 BFADHN Clc1cnn([C@H]2CCN(C3CCCC3)C2)c1 ZINC000290095128 385230980 /nfs/dbraw/zinc/23/09/80/385230980.db2.gz ZVOZHLPXGJXWLA-LBPRGKRZSA-N 0 3 239.750 2.726 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1ccc(F)cc1C ZINC000037621329 385231482 /nfs/dbraw/zinc/23/14/82/385231482.db2.gz XPZDJVDYWXSSKU-CYBMUJFWSA-N 0 3 238.306 2.590 20 0 BFADHN CCOCCN[C@H]1CCc2cc(Cl)ccc21 ZINC000130259072 385233708 /nfs/dbraw/zinc/23/37/08/385233708.db2.gz LZCYGQKSFRDFPT-ZDUSSCGKSA-N 0 3 239.746 2.953 20 0 BFADHN CSCCN[C@@H](C)c1cc(C)oc1C ZINC000068993775 385238743 /nfs/dbraw/zinc/23/87/43/385238743.db2.gz BQKCQKJWEWOGEK-VIFPVBQESA-N 0 3 213.346 2.910 20 0 BFADHN Cc1cc(C)cc(CN[C@@H]2COCC2(C)C)c1 ZINC000313043223 385238722 /nfs/dbraw/zinc/23/87/22/385238722.db2.gz UFDLEALUONIGHF-CQSZACIVSA-N 0 3 233.355 2.818 20 0 BFADHN FC1(F)CCC(NCc2cscn2)CC1 ZINC000308874322 385239287 /nfs/dbraw/zinc/23/92/87/385239287.db2.gz MHXZPRNSEPMFPF-UHFFFAOYSA-N 0 3 232.299 2.811 20 0 BFADHN CC(C)C[C@@H]1CCCN1Cc1ccon1 ZINC000157697003 385244902 /nfs/dbraw/zinc/24/49/02/385244902.db2.gz YCJIFUWVGQAOSW-LBPRGKRZSA-N 0 3 208.305 2.685 20 0 BFADHN COC[C@@H](CC(C)C)NCc1ccsc1 ZINC000308899183 385253003 /nfs/dbraw/zinc/25/30/03/385253003.db2.gz AXHAYGFBQOHVOP-GFCCVEGCSA-N 0 3 227.373 2.899 20 0 BFADHN Cn1cccc1CN1CCC(C2CC2)CC1 ZINC000368634761 385255266 /nfs/dbraw/zinc/25/52/66/385255266.db2.gz DJAIMIMLXAIVFJ-UHFFFAOYSA-N 0 3 218.344 2.647 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1cc(F)cc(F)c1 ZINC000131082795 385307970 /nfs/dbraw/zinc/30/79/70/385307970.db2.gz FKNKWLTVGKPAEI-ZANVPECISA-N 0 3 243.297 2.776 20 0 BFADHN C[C@@H](N[C@@H]1CCc2ccc(F)cc21)[C@H]1CCCO1 ZINC000177951504 385309071 /nfs/dbraw/zinc/30/90/71/385309071.db2.gz CCJKTPAXNROQQC-VCTAVGKDSA-N 0 3 249.329 2.970 20 0 BFADHN Fc1c(Cl)cccc1CNC[C@H]1CCOC1 ZINC000131121258 385309512 /nfs/dbraw/zinc/30/95/12/385309512.db2.gz GCUHDHURYIGJEL-SECBINFHSA-N 0 3 243.709 2.605 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H](C)N(C)C2CC2)o1 ZINC000131133398 385309591 /nfs/dbraw/zinc/30/95/91/385309591.db2.gz OIJGKUNXSYTMCA-PWSUYJOCSA-N 0 3 236.359 2.721 20 0 BFADHN C[C@@H](CCO)CNCc1cccc(Cl)c1F ZINC000131141265 385309864 /nfs/dbraw/zinc/30/98/64/385309864.db2.gz JPMXHZCMHPHILQ-VIFPVBQESA-N 0 3 245.725 2.587 20 0 BFADHN C[C@H](N[C@@H]1CCc2ccc(F)cc21)[C@H]1CCCO1 ZINC000177951493 385310356 /nfs/dbraw/zinc/31/03/56/385310356.db2.gz CCJKTPAXNROQQC-COLVAYQJSA-N 0 3 249.329 2.970 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@H]1CCOC1 ZINC000131161099 385313332 /nfs/dbraw/zinc/31/33/32/385313332.db2.gz OZOOTZUKODAUSP-NEPJUHHUSA-N 0 3 235.327 2.525 20 0 BFADHN CCc1ccc(CNC2(C)CCOCC2)o1 ZINC000131162486 385313521 /nfs/dbraw/zinc/31/35/21/385313521.db2.gz KLBYQUNCKXDSHV-UHFFFAOYSA-N 0 3 223.316 2.501 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H]2CCCOC2)o1 ZINC000167418776 385313853 /nfs/dbraw/zinc/31/38/53/385313853.db2.gz MVUCMBVCGVNLLD-GHMZBOCLSA-N 0 3 223.316 2.672 20 0 BFADHN CC(C)[C@@H](CO)NCc1cccc(Cl)c1F ZINC000131144179 385314423 /nfs/dbraw/zinc/31/44/23/385314423.db2.gz YULAFPNQVQPLGO-LLVKDONJSA-N 0 3 245.725 2.586 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](C)COC)o1 ZINC000178674114 385316346 /nfs/dbraw/zinc/31/63/46/385316346.db2.gz ISWYLNDVGIBUCM-GHMZBOCLSA-N 0 3 225.332 2.775 20 0 BFADHN Cc1cnc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)s1 ZINC000403323085 385324579 /nfs/dbraw/zinc/32/45/79/385324579.db2.gz MQHNQSDLTYFHEI-UTUOFQBUSA-N 0 3 236.384 2.977 20 0 BFADHN CC[C@H](COC)NCc1cccc(C)c1F ZINC000294933564 385317918 /nfs/dbraw/zinc/31/79/18/385317918.db2.gz BJRHERJYBXRMRI-GFCCVEGCSA-N 0 3 225.307 2.649 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1ccc(F)cc1 ZINC000191709531 385318866 /nfs/dbraw/zinc/31/88/66/385318866.db2.gz QFKLXHRFRJABFF-DCAQKATOSA-N 0 3 225.307 2.900 20 0 BFADHN C[C@@H](O)CN[C@@H](C)c1ccc(-c2ccccc2)o1 ZINC000131218511 385319052 /nfs/dbraw/zinc/31/90/52/385319052.db2.gz JJMIXLNDYKETIL-NEPJUHHUSA-N 0 3 245.322 2.978 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCC[C@H](F)C2)c2nccn21 ZINC000647312808 385319615 /nfs/dbraw/zinc/31/96/15/385319615.db2.gz MBALSNDZTMSPEU-KXNHARMFSA-N 0 3 237.322 2.759 20 0 BFADHN COc1ccc(CN[C@@H]2CC[C@H]2C)c(F)c1 ZINC000380809893 385260408 /nfs/dbraw/zinc/26/04/08/385260408.db2.gz JNNLDIXSFNOSLT-NOZJJQNGSA-N 0 3 223.291 2.722 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)CCc1cccs1 ZINC000131239695 385322166 /nfs/dbraw/zinc/32/21/66/385322166.db2.gz ACAJAZBNQBYSKJ-UHFFFAOYSA-N 0 3 249.383 2.763 20 0 BFADHN Cc1ccsc1CN(C)[C@H]1CCSC1 ZINC000130588418 385263022 /nfs/dbraw/zinc/26/30/22/385263022.db2.gz MTIQKACBPGTHPE-JTQLQIEISA-N 0 3 227.398 2.994 20 0 BFADHN Cc1ccsc1CN(C)[C@@H]1CCSC1 ZINC000130588224 385264548 /nfs/dbraw/zinc/26/45/48/385264548.db2.gz MTIQKACBPGTHPE-SNVBAGLBSA-N 0 3 227.398 2.994 20 0 BFADHN COCc1ccc(CNCC2CCCC2)o1 ZINC000166663015 385271393 /nfs/dbraw/zinc/27/13/93/385271393.db2.gz IZYZQKAXRWTXLT-UHFFFAOYSA-N 0 3 223.316 2.706 20 0 BFADHN Fc1ccc2c(c1)[C@@H](N1CCCC1)CC2 ZINC000177666301 385272000 /nfs/dbraw/zinc/27/20/00/385272000.db2.gz PMIMQCJOFPOTQP-ZDUSSCGKSA-N 0 3 205.276 2.909 20 0 BFADHN C[C@H](N[C@@H](C)C1CCC1)c1nnc2ccccn21 ZINC000178451836 385272817 /nfs/dbraw/zinc/27/28/17/385272817.db2.gz CJVGFZWSOBTTGT-QWRGUYRKSA-N 0 3 244.342 2.569 20 0 BFADHN Cc1ncc(CN[C@H]2CCc3ccccc3C2)o1 ZINC000334726521 385276312 /nfs/dbraw/zinc/27/63/12/385276312.db2.gz SWJBOXKDYPFQPC-AWEZNQCLSA-N 0 3 242.322 2.630 20 0 BFADHN Cc1cc(CN2CCC[C@H]3CCC[C@H]32)on1 ZINC000336408320 385279981 /nfs/dbraw/zinc/27/99/81/385279981.db2.gz RSOIPJXKHJBHCS-DGCLKSJQSA-N 0 3 220.316 2.748 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC(C)(C)C2)o1 ZINC000334727217 385283160 /nfs/dbraw/zinc/28/31/60/385283160.db2.gz XTVYTZMPITXMOZ-SNVBAGLBSA-N 0 3 208.305 2.651 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc(SC)cc1 ZINC000166844123 385286465 /nfs/dbraw/zinc/28/64/65/385286465.db2.gz NIODVKKFZDYOBO-WDEREUQCSA-N 0 3 239.384 2.922 20 0 BFADHN CCOCCN1C[C@H](C)[C@@H]1c1ccccc1 ZINC000177825258 385290099 /nfs/dbraw/zinc/29/00/99/385290099.db2.gz GCUYOCFRFMVENU-GXTWGEPZSA-N 0 3 219.328 2.716 20 0 BFADHN CO[C@@H](C)CN[C@H]1CCSc2ccccc21 ZINC000191201562 385292267 /nfs/dbraw/zinc/29/22/67/385292267.db2.gz ZXXHUVHODGUFLP-JQWIXIFHSA-N 0 3 237.368 2.848 20 0 BFADHN CO[C@@H](C)CNCc1cccc(OC(C)C)c1 ZINC000191211249 385292897 /nfs/dbraw/zinc/29/28/97/385292897.db2.gz KSXRHLPXLKZWEG-LBPRGKRZSA-N 0 3 237.343 2.598 20 0 BFADHN CN(CCc1ccc(F)cc1)Cc1cccnc1 ZINC000065596265 385330810 /nfs/dbraw/zinc/33/08/10/385330810.db2.gz AEMMKNHDYLNXLG-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN C[C@@H](NC[C@@H]1CCCN1C)c1ccccc1F ZINC000154504992 385332508 /nfs/dbraw/zinc/33/25/08/385332508.db2.gz DZOOASYKRQOUHF-NEPJUHHUSA-N 0 3 236.334 2.571 20 0 BFADHN CCc1ccc([C@H](C)NCC(C)(C)CCO)o1 ZINC000178167872 385341099 /nfs/dbraw/zinc/34/10/99/385341099.db2.gz CQACZTMBLLMXTO-NSHDSACASA-N 0 3 239.359 2.901 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@](C)(O)CC)o1 ZINC000154612584 385341434 /nfs/dbraw/zinc/34/14/34/385341434.db2.gz RGQKNTFVPWKKFX-MFKMUULPSA-N 0 3 225.332 2.654 20 0 BFADHN CO[C@H](CNC1(c2ccccc2F)CC1)C1CC1 ZINC000425458099 385343074 /nfs/dbraw/zinc/34/30/74/385343074.db2.gz LZYXZCXXEOYXKZ-CQSZACIVSA-N 0 3 249.329 2.829 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H]2CCCN2C)o1 ZINC000154655056 385344419 /nfs/dbraw/zinc/34/44/19/385344419.db2.gz CDRJJGYJEHSFRN-NWDGAFQWSA-N 0 3 236.359 2.587 20 0 BFADHN Cc1sccc1CNC[C@H](O)c1ccccc1 ZINC000294169497 385346149 /nfs/dbraw/zinc/34/61/49/385346149.db2.gz PVMATSZHPVDVKY-AWEZNQCLSA-N 0 3 247.363 2.880 20 0 BFADHN CCSCC[C@@H](C)N[C@H](C)c1ccnn1C ZINC000192253163 385349874 /nfs/dbraw/zinc/34/98/74/385349874.db2.gz RTSSCMYTLYPWTG-GHMZBOCLSA-N 0 3 241.404 2.602 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H](C)C[C@@H](C)O)o1 ZINC000168114426 385350805 /nfs/dbraw/zinc/35/08/05/385350805.db2.gz PMSFPHIOLZLXCB-FOGDFJRCSA-N 0 3 225.332 2.646 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H](C)C[C@@H](C)O)o1 ZINC000168114229 385350890 /nfs/dbraw/zinc/35/08/90/385350890.db2.gz PMSFPHIOLZLXCB-UMNHJUIQSA-N 0 3 225.332 2.646 20 0 BFADHN COc1ccccc1[C@@H]1CCCN1CCC(C)=O ZINC000154759526 385352702 /nfs/dbraw/zinc/35/27/02/385352702.db2.gz KIQJYJLEYQEPSH-AWEZNQCLSA-N 0 3 247.338 2.811 20 0 BFADHN C[C@@H](CO)[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC000131719328 385355256 /nfs/dbraw/zinc/35/52/56/385355256.db2.gz JYPCXGJXZDNKEC-GUBZILKMSA-N 0 3 243.297 2.632 20 0 BFADHN C[C@H](CO)[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC000131719526 385356487 /nfs/dbraw/zinc/35/64/87/385356487.db2.gz JYPCXGJXZDNKEC-UTLUCORTSA-N 0 3 243.297 2.632 20 0 BFADHN CC(C)[C@@H](O)CNCc1cc(F)cc(Cl)c1 ZINC000168275629 385358983 /nfs/dbraw/zinc/35/89/83/385358983.db2.gz DAXHWAGXASGGCF-LBPRGKRZSA-N 0 3 245.725 2.586 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@@H](C)C2)cc1O ZINC000131815536 385362056 /nfs/dbraw/zinc/36/20/56/385362056.db2.gz RPSGDFXGXAWSID-VXGBXAGGSA-N 0 3 249.354 2.879 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CC1(C)C)c1ccon1 ZINC000309001080 385363511 /nfs/dbraw/zinc/36/35/11/385363511.db2.gz OCGDRNNPPZRBCS-GUBZILKMSA-N 0 3 208.305 2.760 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCCOC(C)C)CC2 ZINC000168880971 385391192 /nfs/dbraw/zinc/39/11/92/385391192.db2.gz HFYLZYBFEYNKDB-OAHLLOKOSA-N 0 3 233.355 2.997 20 0 BFADHN C[C@H](NCC1CCC1)c1ccncc1F ZINC000309018942 385373174 /nfs/dbraw/zinc/37/31/74/385373174.db2.gz VVDISDYERSSNNH-VIFPVBQESA-N 0 3 208.280 2.671 20 0 BFADHN CSC(C)(C)CNCc1ccnn1C(C)C ZINC000280829517 385374203 /nfs/dbraw/zinc/37/42/03/385374203.db2.gz JPTXGKGZGBKKEW-UHFFFAOYSA-N 0 3 241.404 2.695 20 0 BFADHN CC/C=C\CCN[C@H](CO)c1ccsc1 ZINC000309028128 385377474 /nfs/dbraw/zinc/37/74/74/385377474.db2.gz BZBPJUCORAWRPH-VSQXVHSFSA-N 0 3 225.357 2.727 20 0 BFADHN c1coc(-c2ncc(CN3CCCC3)s2)c1 ZINC000192989345 385378381 /nfs/dbraw/zinc/37/83/81/385378381.db2.gz FFZJTPMKBHVQCG-UHFFFAOYSA-N 0 3 234.324 2.999 20 0 BFADHN CCc1ccc(CN[C@H]2CC[C@@H](F)C2)o1 ZINC000309029007 385379502 /nfs/dbraw/zinc/37/95/02/385379502.db2.gz CMLDDICKKWKIMA-ZJUUUORDSA-N 0 3 211.280 2.822 20 0 BFADHN CCCc1csc(CNCCCCF)n1 ZINC000309035194 385382438 /nfs/dbraw/zinc/38/24/38/385382438.db2.gz HQCVVPHAOFBWPS-UHFFFAOYSA-N 0 3 230.352 2.935 20 0 BFADHN CCCc1csc(CNC[C@H](C)SC)n1 ZINC000309044556 385388456 /nfs/dbraw/zinc/38/84/56/385388456.db2.gz NNGMKCFLFODDPA-VIFPVBQESA-N 0 3 244.429 2.937 20 0 BFADHN CSc1ccc(CNC[C@@H](O)C(C)C)s1 ZINC000308971011 385327731 /nfs/dbraw/zinc/32/77/31/385327731.db2.gz ZKMKBIYVVLKEQB-SNVBAGLBSA-N 0 3 245.413 2.577 20 0 BFADHN C[C@H](NCCC1(C)CC1)c1ccccn1 ZINC000280521728 385327770 /nfs/dbraw/zinc/32/77/70/385327770.db2.gz HUQQGFFETUDXMV-NSHDSACASA-N 0 3 204.317 2.922 20 0 BFADHN C[C@@H](NCc1ccc(F)cn1)C(C)(C)C ZINC000309117882 385424487 /nfs/dbraw/zinc/42/44/87/385424487.db2.gz MJRHCBIUDHIRMJ-SECBINFHSA-N 0 3 210.296 2.745 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@H]1CCCc2occc21 ZINC000193300995 385391994 /nfs/dbraw/zinc/39/19/94/385391994.db2.gz IEOUCTGGEHXYSQ-BZLCLMNCSA-N 0 3 235.327 2.574 20 0 BFADHN C[C@H](NCCOC(C)(C)C)c1cccc(O)c1 ZINC000181502439 385406364 /nfs/dbraw/zinc/40/63/64/385406364.db2.gz ZEVHBEPCZZZWCY-NSHDSACASA-N 0 3 237.343 2.858 20 0 BFADHN CC/C=C/CNCc1ccc(C(=O)OC)cc1 ZINC000295056809 385408205 /nfs/dbraw/zinc/40/82/05/385408205.db2.gz PXDVPZQRCFTESS-SNAWJCMRSA-N 0 3 233.311 2.529 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CC2=CCCCC2)n1 ZINC000295054371 385410039 /nfs/dbraw/zinc/41/00/39/385410039.db2.gz FZJIKGRLDKDYKJ-UHFFFAOYSA-N 0 3 232.327 2.686 20 0 BFADHN Cc1ccc(C2CC(NCCF)C2)cc1 ZINC000281174447 385410100 /nfs/dbraw/zinc/41/01/00/385410100.db2.gz WOTGWUVDZPVQQE-UHFFFAOYSA-N 0 3 207.292 2.800 20 0 BFADHN Cc1ccc(CNC[C@H](O)C(C)C)cc1Cl ZINC000193737602 385410633 /nfs/dbraw/zinc/41/06/33/385410633.db2.gz VAUQZSHQJUZARH-ZDUSSCGKSA-N 0 3 241.762 2.755 20 0 BFADHN C[C@@]1(CNCc2ccsc2Cl)CCOC1 ZINC000309093416 385413764 /nfs/dbraw/zinc/41/37/64/385413764.db2.gz BYQFNDVWFDECEV-NSHDSACASA-N 0 3 245.775 2.918 20 0 BFADHN Cc1sccc1CNCCc1cscn1 ZINC000309092389 385414625 /nfs/dbraw/zinc/41/46/25/385414625.db2.gz FFYRZZRHEHOPLF-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN CSCCN(C)[C@@H](C)c1cccc(O)c1 ZINC000178860310 385421873 /nfs/dbraw/zinc/42/18/73/385421873.db2.gz ZMTOHEYBGKWBQL-JTQLQIEISA-N 0 3 225.357 2.748 20 0 BFADHN CC[C@@H](CNCc1sccc1Cl)OC ZINC000289777039 385422005 /nfs/dbraw/zinc/42/20/05/385422005.db2.gz KDVXISSJTOBBEK-QMMMGPOBSA-N 0 3 233.764 2.916 20 0 BFADHN C[C@H](NCCCCO)c1cc(F)c(F)c(F)c1 ZINC000194228094 385426800 /nfs/dbraw/zinc/42/68/00/385426800.db2.gz SBDPUQWZRFQOIP-QMMMGPOBSA-N 0 3 247.260 2.527 20 0 BFADHN C[C@H](NCc1ccsc1)[C@@]1(C)CCCO1 ZINC000309118667 385423853 /nfs/dbraw/zinc/42/38/53/385423853.db2.gz MPDFMXRQLGCZET-CMPLNLGQSA-N 0 3 225.357 2.795 20 0 BFADHN CCOc1ccc(CN(C)C[C@@H]2CCCO2)cc1 ZINC000170696134 385439132 /nfs/dbraw/zinc/43/91/32/385439132.db2.gz IPVPUOPXCXCXMG-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN c1nn2ccccc2c1CNCC1=CCCCC1 ZINC000295109171 385442061 /nfs/dbraw/zinc/44/20/61/385442061.db2.gz LJRGTHNKVVWUAI-UHFFFAOYSA-N 0 3 241.338 2.924 20 0 BFADHN Cc1ccc(CN2CCCO[C@H](C)C2)cc1 ZINC000170772112 385443393 /nfs/dbraw/zinc/44/33/93/385443393.db2.gz SRSCYHUFFOSFSP-CYBMUJFWSA-N 0 3 219.328 2.606 20 0 BFADHN C[C@H](NCCc1ccccc1O)c1ccncc1 ZINC000156568062 385458541 /nfs/dbraw/zinc/45/85/41/385458541.db2.gz IONKPMACUYFIBV-LBPRGKRZSA-N 0 3 242.322 2.681 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1cccc(F)c1F ZINC000182444935 385447853 /nfs/dbraw/zinc/44/78/53/385447853.db2.gz HTRXVWBBGNTRBD-RKDXNWHRSA-N 0 3 229.270 2.650 20 0 BFADHN C[C@H](Cc1ccccc1)CN1CCN(C)C[C@H]1C ZINC000360868372 385450009 /nfs/dbraw/zinc/45/00/09/385450009.db2.gz AATCEQFNRIHKLL-HUUCEWRRSA-N 0 3 246.398 2.501 20 0 BFADHN CC(C)COCCN1CC[C@H](c2cccnc2)C1 ZINC000563073104 385458128 /nfs/dbraw/zinc/45/81/28/385458128.db2.gz QWCLCRTXIGZOOF-HNNXBMFYSA-N 0 3 248.370 2.544 20 0 BFADHN O[C@H]1CCCN(C/C=C\c2ccccc2)CC1 ZINC000378664616 385458143 /nfs/dbraw/zinc/45/81/43/385458143.db2.gz AANXQLVFYHTKJC-UVCVVPOWSA-N 0 3 231.339 2.547 20 0 BFADHN CCCc1cccc(CN2C[C@@H](O)C(C)(C)C2)c1 ZINC000448356316 385456432 /nfs/dbraw/zinc/45/64/32/385456432.db2.gz RGVBXVGUPABTJL-OAHLLOKOSA-N 0 3 247.382 2.842 20 0 BFADHN CC[C@@H](C)N(C)Cc1cc(C(=O)OC)c(C)o1 ZINC000170916100 385456543 /nfs/dbraw/zinc/45/65/43/385456543.db2.gz ZEMJBJJCEIGNRN-SECBINFHSA-N 0 3 239.315 2.605 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1cocn1 ZINC000182077888 385428928 /nfs/dbraw/zinc/42/89/28/385428928.db2.gz JTUZBYUXKXHJHB-JQWIXIFHSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1sccc1CN[C@@]1(C)CCCOC1 ZINC000309131325 385431620 /nfs/dbraw/zinc/43/16/20/385431620.db2.gz XXESBNLENBXWSC-LBPRGKRZSA-N 0 3 225.357 2.715 20 0 BFADHN CSCCCN(C)Cc1ccnc(Cl)c1 ZINC000281483700 385437845 /nfs/dbraw/zinc/43/78/45/385437845.db2.gz SMZAYYNWTIENQX-UHFFFAOYSA-N 0 3 244.791 2.920 20 0 BFADHN CC(C)n1cc(CN(C)[C@H](C)C2CC2)cn1 ZINC000179412418 385464845 /nfs/dbraw/zinc/46/48/45/385464845.db2.gz GPXULEJUOVYCRM-LLVKDONJSA-N 0 3 221.348 2.694 20 0 BFADHN CN(CCc1ccccn1)[C@H]1C=CCCC1 ZINC000179548995 385471659 /nfs/dbraw/zinc/47/16/59/385471659.db2.gz XRKTWQLWSJJUQZ-AWEZNQCLSA-N 0 3 216.328 2.665 20 0 BFADHN CCCCN(CC)Cc1cnn2ccccc12 ZINC000179527579 385472480 /nfs/dbraw/zinc/47/24/80/385472480.db2.gz DWPUDRQQUJDRDT-UHFFFAOYSA-N 0 3 231.343 2.956 20 0 BFADHN CN(CCc1ccccn1)[C@@H]1C=CCCC1 ZINC000179549007 385472599 /nfs/dbraw/zinc/47/25/99/385472599.db2.gz XRKTWQLWSJJUQZ-CQSZACIVSA-N 0 3 216.328 2.665 20 0 BFADHN CCOc1ccc(CN2CCC[C@H](OC)C2)cc1 ZINC000171206438 385473074 /nfs/dbraw/zinc/47/30/74/385473074.db2.gz OXQVYIVFVAUQEN-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN CCOc1ccc(CN2CCC[C@@H](OC)C2)cc1 ZINC000171206445 385473289 /nfs/dbraw/zinc/47/32/89/385473289.db2.gz OXQVYIVFVAUQEN-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1cc(C)cc(CN2CCCO[C@H](C)C2)c1 ZINC000171239106 385475564 /nfs/dbraw/zinc/47/55/64/385475564.db2.gz JUIIQQPGVMPSRM-CQSZACIVSA-N 0 3 233.355 2.914 20 0 BFADHN CO[C@@H](C)CCN[C@@H](C)c1cc(C)c(C)o1 ZINC000282019320 385478182 /nfs/dbraw/zinc/47/81/82/385478182.db2.gz DXOBYPAIWYAXSL-QWRGUYRKSA-N 0 3 225.332 2.972 20 0 BFADHN Cc1ccsc1CN1CCSC[C@@H]1C ZINC000179663325 385480877 /nfs/dbraw/zinc/48/08/77/385480877.db2.gz GKWRHHWLLGAGSB-JTQLQIEISA-N 0 3 227.398 2.994 20 0 BFADHN Cc1ccc(CN2CCSC[C@H]2C)s1 ZINC000179662516 385481610 /nfs/dbraw/zinc/48/16/10/385481610.db2.gz MBQPSNFYMHOLPG-SECBINFHSA-N 0 3 227.398 2.994 20 0 BFADHN CO[C@@]1(C)C[C@@H](N(C)Cc2ccncc2)C1(C)C ZINC000157090305 385485683 /nfs/dbraw/zinc/48/56/83/385485683.db2.gz JPPQRFAFLPPSIF-HIFRSBDPSA-N 0 3 248.370 2.717 20 0 BFADHN C[C@]1(O)CCCN(Cc2cc3ccccc3o2)C1 ZINC000179716946 385486756 /nfs/dbraw/zinc/48/67/56/385486756.db2.gz PRZNGHRWAAEBCW-HNNXBMFYSA-N 0 3 245.322 2.780 20 0 BFADHN CO[C@@]1(C)C[C@H](N(C)Cc2ccncc2)C1(C)C ZINC000157090453 385486912 /nfs/dbraw/zinc/48/69/12/385486912.db2.gz JPPQRFAFLPPSIF-ZFWWWQNUSA-N 0 3 248.370 2.717 20 0 BFADHN CN(Cc1cnc(-c2ccccc2)[nH]1)C1CC1 ZINC000179304823 385459500 /nfs/dbraw/zinc/45/95/00/385459500.db2.gz QYWKZHBQTGBREZ-UHFFFAOYSA-N 0 3 227.311 2.671 20 0 BFADHN C[C@H](NC[C@@H](O)C1CCCCC1)c1ccncc1 ZINC000156590939 385460888 /nfs/dbraw/zinc/46/08/88/385460888.db2.gz XTBHTNDXFTZTCP-SWLSCSKDSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@H](NC[C@H](O)C1CCCCC1)c1ccncc1 ZINC000156590677 385461200 /nfs/dbraw/zinc/46/12/00/385461200.db2.gz XTBHTNDXFTZTCP-WFASDCNBSA-N 0 3 248.370 2.673 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccc(F)c(Cl)c1 ZINC000179367920 385463322 /nfs/dbraw/zinc/46/33/22/385463322.db2.gz KVOJBIOVXYWVDJ-SECBINFHSA-N 0 3 245.725 2.946 20 0 BFADHN COC[C@@H](C)N(C)Cc1cccc(C)c1C ZINC000179369107 385463485 /nfs/dbraw/zinc/46/34/85/385463485.db2.gz CCZKBXAIPDXJMM-GFCCVEGCSA-N 0 3 221.344 2.770 20 0 BFADHN CC[C@@H]1CCN(Cc2cccc(F)c2N)C1 ZINC000166354865 385493550 /nfs/dbraw/zinc/49/35/50/385493550.db2.gz GDBLNSLBXXGHOV-SNVBAGLBSA-N 0 3 222.307 2.640 20 0 BFADHN CSCCCN1CCc2ccccc2C1 ZINC000157305580 385495899 /nfs/dbraw/zinc/49/58/99/385495899.db2.gz BHBWMLBZGCUFCX-UHFFFAOYSA-N 0 3 221.369 2.798 20 0 BFADHN Cc1csc(CN[C@@H]2CC[C@@H]2C2CC2)n1 ZINC000309248473 385498733 /nfs/dbraw/zinc/49/87/33/385498733.db2.gz ZRXCJQOLNMCHQY-GHMZBOCLSA-N 0 3 222.357 2.730 20 0 BFADHN CC[C@H](C)[C@H](O)CNCc1ccsc1Cl ZINC000309248354 385498938 /nfs/dbraw/zinc/49/89/38/385498938.db2.gz ZQLFGZQGYLONOW-WCBMZHEXSA-N 0 3 247.791 2.898 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1sccc1Cl ZINC000289828364 385501095 /nfs/dbraw/zinc/50/10/95/385501095.db2.gz OCUDZDQDQPDIKG-HTQZYQBOSA-N 0 3 233.764 2.915 20 0 BFADHN Cc1ccc(F)cc1CN1CCCO[C@@H](C)C1 ZINC000180063891 385506560 /nfs/dbraw/zinc/50/65/60/385506560.db2.gz CFHVMJAZVNNCIC-LBPRGKRZSA-N 0 3 237.318 2.745 20 0 BFADHN CC(C)[C@@H](NCC1(C)OCCO1)c1ccccc1 ZINC000180214733 385514106 /nfs/dbraw/zinc/51/41/06/385514106.db2.gz RYLBQYAIJXUHJX-CQSZACIVSA-N 0 3 249.354 2.736 20 0 BFADHN CC(C)CCN[C@H](c1nccn1C)C1CC1 ZINC000183232764 385514323 /nfs/dbraw/zinc/51/43/23/385514323.db2.gz KQSRTWUHSNEDPY-LBPRGKRZSA-N 0 3 221.348 2.507 20 0 BFADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1c[nH]cn1 ZINC000179753298 385490335 /nfs/dbraw/zinc/49/03/35/385490335.db2.gz KYLDPPWHFADVDC-TZMCWYRMSA-N 0 3 241.338 2.788 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1CCOCC(F)(F)F ZINC000172856486 385537711 /nfs/dbraw/zinc/53/77/11/385537711.db2.gz YWVTVVPJBFGNSH-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN CCc1cccc(CN2CCOC[C@@H](C)C2)c1 ZINC000364897039 385538423 /nfs/dbraw/zinc/53/84/23/385538423.db2.gz RKESDJQLHZAHKS-ZDUSSCGKSA-N 0 3 233.355 2.717 20 0 BFADHN Cc1cc(C)c(CN(C)[C@H]2CCOC2)c(C)c1 ZINC000106882063 385539757 /nfs/dbraw/zinc/53/97/57/385539757.db2.gz VKQZIKLQWNVDPK-AWEZNQCLSA-N 0 3 233.355 2.833 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1c(C)noc1C)OC ZINC000319098438 385542701 /nfs/dbraw/zinc/54/27/01/385542701.db2.gz WEOKFRKPAWXFJV-TVQRCGJNSA-N 0 3 240.347 2.757 20 0 BFADHN C[C@@H](N[C@@H](CCO)C(C)(C)C)c1nccs1 ZINC000158013480 385543904 /nfs/dbraw/zinc/54/39/04/385543904.db2.gz XQXCTILFOVQDJC-ZJUUUORDSA-N 0 3 242.388 2.591 20 0 BFADHN Cc1ccc(F)c(CN(C)CCC[C@H](C)O)c1 ZINC000444741145 385544608 /nfs/dbraw/zinc/54/46/08/385544608.db2.gz CTTADMOOBUIHIC-LBPRGKRZSA-N 0 3 239.334 2.727 20 0 BFADHN Cc1ccc2ncc(CN3CCC[C@@H](C)C3)n2c1 ZINC000157721342 385518533 /nfs/dbraw/zinc/51/85/33/385518533.db2.gz RDWNOMBNUFXXGZ-GFCCVEGCSA-N 0 3 243.354 2.875 20 0 BFADHN CC[C@@H](CNCc1ccc(F)c(Cl)c1)OC ZINC000309355864 385548138 /nfs/dbraw/zinc/54/81/38/385548138.db2.gz NWTWBYWTNIXELS-JTQLQIEISA-N 0 3 245.725 2.994 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C2[C@H](C)CCC[C@H]2C)[n-]1 ZINC000392338880 385520614 /nfs/dbraw/zinc/52/06/14/385520614.db2.gz AIVTXJUGYHTCNH-BBBLOLIVSA-N 0 3 236.363 2.588 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)C[C@H](C)C2)s1 ZINC000180421907 385523046 /nfs/dbraw/zinc/52/30/46/385523046.db2.gz PPFOTFZBSUZURZ-UWVGGRQHSA-N 0 3 224.373 2.929 20 0 BFADHN C[C@@H](F)CCN(C)Cc1cccs1 ZINC000308254218 385552621 /nfs/dbraw/zinc/55/26/21/385552621.db2.gz JLQIPMNFBZRHHJ-SECBINFHSA-N 0 3 201.310 2.928 20 0 BFADHN CSC[C@@H](C)N[C@H](C)c1cc(C)ccn1 ZINC000336731119 385554189 /nfs/dbraw/zinc/55/41/89/385554189.db2.gz KNAOBYCLDGFXQQ-GHMZBOCLSA-N 0 3 224.373 2.792 20 0 BFADHN Cc1sccc1CN[C@@H]1CCO[C@H]1C1CC1 ZINC000309377712 385557742 /nfs/dbraw/zinc/55/77/42/385557742.db2.gz GDEVVUDMIMUUNB-OLZOCXBDSA-N 0 3 237.368 2.714 20 0 BFADHN Cc1sccc1CN[C@@H]1CCO[C@@H]1C1CC1 ZINC000309377710 385557924 /nfs/dbraw/zinc/55/79/24/385557924.db2.gz GDEVVUDMIMUUNB-CHWSQXEVSA-N 0 3 237.368 2.714 20 0 BFADHN CN(Cc1cccs1)C[C@H]1CCCC[C@H]1O ZINC000250244997 385598231 /nfs/dbraw/zinc/59/82/31/385598231.db2.gz SSFCHADZDBRMMX-DGCLKSJQSA-N 0 3 239.384 2.731 20 0 BFADHN C[C@H](N[C@@H]1CSC[C@@H]1C)c1ccc(F)cn1 ZINC000309443541 385583939 /nfs/dbraw/zinc/58/39/39/385583939.db2.gz KRZOUNHGKXWSIS-HOTUBEGUSA-N 0 3 240.347 2.623 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1sc(C)nc1C ZINC000082589338 385586342 /nfs/dbraw/zinc/58/63/42/385586342.db2.gz NYSYXLPOMFJSCA-RKDXNWHRSA-N 0 3 242.388 2.836 20 0 BFADHN CC(C)(C)CNCc1cc(C(F)(F)F)n[nH]1 ZINC000336650164 385606914 /nfs/dbraw/zinc/60/69/14/385606914.db2.gz DPSGTBHZWUXLPC-UHFFFAOYSA-N 0 3 235.253 2.564 20 0 BFADHN CCC1(CN[C@@H](C)c2ccccc2OC)COC1 ZINC000393983983 385591905 /nfs/dbraw/zinc/59/19/05/385591905.db2.gz XSEKIBAHNSVLBK-LBPRGKRZSA-N 0 3 249.354 2.772 20 0 BFADHN Cc1cnc([C@@H](C)NCC[C@@H](C)F)s1 ZINC000336649129 385592680 /nfs/dbraw/zinc/59/26/80/385592680.db2.gz HJYALHPXBXEXDT-VXNVDRBHSA-N 0 3 216.325 2.850 20 0 BFADHN CO[C@@H](CNCc1cnccc1C)C(C)(C)C ZINC000280924584 385617769 /nfs/dbraw/zinc/61/77/69/385617769.db2.gz PWJXYLBIROSDRL-ZDUSSCGKSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@H]1O)c1ccccc1F ZINC000251438753 385620785 /nfs/dbraw/zinc/62/07/85/385620785.db2.gz AJWQJOIZPYOVDM-LEWSCRJBSA-N 0 3 237.318 2.780 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H]1CSc2ccccc21 ZINC000309492551 385622434 /nfs/dbraw/zinc/62/24/34/385622434.db2.gz XODWSPORRUXSRD-FOGDFJRCSA-N 0 3 237.368 2.846 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H]1CSc2ccccc21 ZINC000309492552 385622526 /nfs/dbraw/zinc/62/25/26/385622526.db2.gz XODWSPORRUXSRD-HOSYDEDBSA-N 0 3 237.368 2.846 20 0 BFADHN CN(Cc1cccc(F)c1)[C@@H](CO)C(C)(C)C ZINC000269704825 385626589 /nfs/dbraw/zinc/62/65/89/385626589.db2.gz ZLZBQVYVCGOVHZ-ZDUSSCGKSA-N 0 3 239.334 2.665 20 0 BFADHN Cc1cncc(CN(C)[C@@H]2CC2(C)C)c1 ZINC000334766823 385630003 /nfs/dbraw/zinc/63/00/03/385630003.db2.gz FWYUWOUVPJLHLD-GFCCVEGCSA-N 0 3 204.317 2.620 20 0 BFADHN COCC1(CN(C)Cc2ccsc2)CCC1 ZINC000643365833 385608562 /nfs/dbraw/zinc/60/85/62/385608562.db2.gz BOQIPYGRAFDDBB-UHFFFAOYSA-N 0 3 239.384 2.997 20 0 BFADHN CSC[C@@H]1CCCN1Cc1cnccc1C ZINC000269575654 385610496 /nfs/dbraw/zinc/61/04/96/385610496.db2.gz CMYXJWCCDYTYIP-ZDUSSCGKSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1cncc(CN[C@H]2CC(C)(C)OC2(C)C)c1 ZINC000181834771 385611228 /nfs/dbraw/zinc/61/12/28/385611228.db2.gz JXARPOVMQWSINJ-ZDUSSCGKSA-N 0 3 248.370 2.826 20 0 BFADHN COc1cc2c(c(CNC3CCC3)c1)O[C@H](C)C2 ZINC000337350641 385611744 /nfs/dbraw/zinc/61/17/44/385611744.db2.gz SVCTUYPEKLCNSZ-SNVBAGLBSA-N 0 3 247.338 2.661 20 0 BFADHN CO[C@H](C)CN[C@@H](c1cccnc1)C1CCC1 ZINC000280857662 385612077 /nfs/dbraw/zinc/61/20/77/385612077.db2.gz NAJYGJPFJRSKHJ-BXUZGUMPSA-N 0 3 234.343 2.547 20 0 BFADHN CO[C@@H](C)CN[C@H](c1cccnc1)C1CCC1 ZINC000280857666 385612234 /nfs/dbraw/zinc/61/22/34/385612234.db2.gz NAJYGJPFJRSKHJ-FZMZJTMJSA-N 0 3 234.343 2.547 20 0 BFADHN CO[C@H](C)CN[C@@H](CC(C)C)c1ccccn1 ZINC000181883319 385612567 /nfs/dbraw/zinc/61/25/67/385612567.db2.gz OXASJIFIDVHZAR-OCCSQVGLSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H]1CN(Cc2ccc(C)cc2)CCCO1 ZINC000281383717 385664224 /nfs/dbraw/zinc/66/42/24/385664224.db2.gz WKJKMGWLBGNDIQ-HNNXBMFYSA-N 0 3 233.355 2.996 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc(Cl)c(F)c1 ZINC000182381824 385664733 /nfs/dbraw/zinc/66/47/33/385664733.db2.gz SOOCUYNKRKOPLR-SECBINFHSA-N 0 3 245.725 2.946 20 0 BFADHN COc1c(C)cnc(CN2CCCCC2)c1C ZINC000067226889 385638919 /nfs/dbraw/zinc/63/89/19/385638919.db2.gz ICHZMOPLHVXLDY-UHFFFAOYSA-N 0 3 234.343 2.693 20 0 BFADHN F[C@@H]1CCCC[C@H]1NC/C=C/c1ccncc1 ZINC000414516824 385639725 /nfs/dbraw/zinc/63/97/25/385639725.db2.gz YADIJOZWWYCNQU-LSMGEYOZSA-N 0 3 234.318 2.965 20 0 BFADHN CC[C@H](NCCCCCO)c1nc(C)cs1 ZINC000184391602 385640100 /nfs/dbraw/zinc/64/01/00/385640100.db2.gz QRFOBAYEXSNAFZ-NSHDSACASA-N 0 3 242.388 2.655 20 0 BFADHN CCOc1ncccc1CN[C@H]1CCC12CCC2 ZINC000337411015 385643521 /nfs/dbraw/zinc/64/35/21/385643521.db2.gz VXNIZQOKFCCOIC-ZDUSSCGKSA-N 0 3 246.354 2.903 20 0 BFADHN CO[C@H](C)CN1CCCC[C@@H]1c1cccn1C ZINC000182208450 385644656 /nfs/dbraw/zinc/64/46/56/385644656.db2.gz QFFPXOVYAQHAOJ-TZMCWYRMSA-N 0 3 236.359 2.587 20 0 BFADHN CCC[C@H](CNCc1cccc(OC)c1)OC ZINC000293582846 385665364 /nfs/dbraw/zinc/66/53/64/385665364.db2.gz FMIQZUGURGQXAT-CQSZACIVSA-N 0 3 237.343 2.600 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccc(F)cc1)C1CC1 ZINC000293569352 385646784 /nfs/dbraw/zinc/64/67/84/385646784.db2.gz BDSHTYBARKPUTN-QMTHXVAHSA-N 0 3 237.318 2.901 20 0 BFADHN CC[C@@H](COC)NC1(c2cccc(F)c2)CC1 ZINC000182219055 385648082 /nfs/dbraw/zinc/64/80/82/385648082.db2.gz IQBUNJTVZDDKQM-ZDUSSCGKSA-N 0 3 237.318 2.829 20 0 BFADHN CC[C@H]1CN(Cc2cccs2)CCCO1 ZINC000281250855 385649133 /nfs/dbraw/zinc/64/91/33/385649133.db2.gz MOIXJZNNRGQWIC-NSHDSACASA-N 0 3 225.357 2.749 20 0 BFADHN CSCCN1CCc2cc(F)cc(C)c2C1 ZINC000337406732 385650927 /nfs/dbraw/zinc/65/09/27/385650927.db2.gz NEMVHOJCTOWHHZ-UHFFFAOYSA-N 0 3 239.359 2.855 20 0 BFADHN CO[C@@H](CNCc1cc2ccccc2[nH]1)C1CC1 ZINC000645207468 385650862 /nfs/dbraw/zinc/65/08/62/385650862.db2.gz HPVQAHSMSSYDLS-HNNXBMFYSA-N 0 3 244.338 2.683 20 0 BFADHN C[C@@H](NCc1cnc[nH]1)c1ccc(Cl)s1 ZINC000054764493 385656525 /nfs/dbraw/zinc/65/65/25/385656525.db2.gz WPJNDILCFBOSTR-SSDOTTSWSA-N 0 3 241.747 2.975 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000252889700 385660957 /nfs/dbraw/zinc/66/09/57/385660957.db2.gz FDBIAHOTVCBILW-DCQANWLSSA-N 0 3 237.343 2.510 20 0 BFADHN CCC[C@H](C)N1CCN(c2cnccc2C)CC1 ZINC000337440462 385663643 /nfs/dbraw/zinc/66/36/43/385663643.db2.gz ZIQPQEYMGOUNDL-AWEZNQCLSA-N 0 3 247.386 2.701 20 0 BFADHN CCCn1cc(CN2CC(C)(C)C[C@H]2C)cn1 ZINC000414525796 385663751 /nfs/dbraw/zinc/66/37/51/385663751.db2.gz NAEAHIAZDHSTIU-GFCCVEGCSA-N 0 3 235.375 2.914 20 0 BFADHN CC(C)CCCNCc1ccnn1C(C)C ZINC000336684708 385680158 /nfs/dbraw/zinc/68/01/58/385680158.db2.gz TVQOVNQMNCSNRS-UHFFFAOYSA-N 0 3 223.364 2.990 20 0 BFADHN Cc1nnc([C@H](C)N[C@H](C)[C@H]2CC2(C)C)s1 ZINC000309543831 385680167 /nfs/dbraw/zinc/68/01/67/385680167.db2.gz GLPYFWQUEGPACU-KHQFGBGNSA-N 0 3 239.388 2.932 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C)c1c(F)cncc1F ZINC000309548286 385681372 /nfs/dbraw/zinc/68/13/72/385681372.db2.gz HJHMWCVFPJFHMQ-VGMNWLOBSA-N 0 3 226.270 2.666 20 0 BFADHN CCc1ccc(CN[C@H](CC)[C@@H](O)C(C)C)o1 ZINC000414531622 385682658 /nfs/dbraw/zinc/68/26/58/385682658.db2.gz RYHBPOIPPUMXRY-KGLIPLIRSA-N 0 3 239.359 2.727 20 0 BFADHN COc1cc(C)nc(CN2CCCC[C@H]2C)c1 ZINC000270199531 385683015 /nfs/dbraw/zinc/68/30/15/385683015.db2.gz BZAQJUCQXGSHKF-GFCCVEGCSA-N 0 3 234.343 2.773 20 0 BFADHN CC[C@H](O)CN[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000281588083 385683390 /nfs/dbraw/zinc/68/33/90/385683390.db2.gz GRVDDCZLIBUSPV-APPZFPTMSA-N 0 3 247.260 2.525 20 0 BFADHN CC[C@@H](NCC[C@@H](C)F)c1nccs1 ZINC000336653557 385684755 /nfs/dbraw/zinc/68/47/55/385684755.db2.gz LQTKEAVUCJOPFX-RKDXNWHRSA-N 0 3 216.325 2.932 20 0 BFADHN COC1([C@H](C)NCc2occc2C)CCC1 ZINC000414529688 385685075 /nfs/dbraw/zinc/68/50/75/385685075.db2.gz WEKFNMMWEWSTAY-NSHDSACASA-N 0 3 223.316 2.635 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccon1)C1CCSCC1 ZINC000309554948 385687093 /nfs/dbraw/zinc/68/70/93/385687093.db2.gz JKDHAYNNYHRTNR-ZJUUUORDSA-N 0 3 240.372 2.857 20 0 BFADHN Cn1cccc1CN[C@H](c1cccnc1)C1CC1 ZINC000340103596 385687473 /nfs/dbraw/zinc/68/74/73/385687473.db2.gz BFEPXOUGYHNUCO-HNNXBMFYSA-N 0 3 241.338 2.661 20 0 BFADHN COc1cc(C)nc(CN(C(C)C)C2CC2)c1 ZINC000270237988 385690359 /nfs/dbraw/zinc/69/03/59/385690359.db2.gz HFYXMPSIRRBATF-UHFFFAOYSA-N 0 3 234.343 2.771 20 0 BFADHN Cc1ccc(CNCC2(C(F)F)CC2)cn1 ZINC000336735009 385692206 /nfs/dbraw/zinc/69/22/06/385692206.db2.gz LKZNKFXUPQHNDL-UHFFFAOYSA-N 0 3 226.270 2.525 20 0 BFADHN COc1cc(C)nc(CN2C[C@@H]3CCC[C@H]3C2)c1 ZINC000270283428 385693919 /nfs/dbraw/zinc/69/39/19/385693919.db2.gz MNYVNZBLRSKBDK-STQMWFEESA-N 0 3 246.354 2.631 20 0 BFADHN C[C@H](NCC1(C(F)F)CC1)c1ccccn1 ZINC000309564568 385695341 /nfs/dbraw/zinc/69/53/41/385695341.db2.gz LXGFTOOXBXUGTQ-VIFPVBQESA-N 0 3 226.270 2.778 20 0 BFADHN CO[C@@H]1CCN(Cc2ccccc2F)[C@H](C)C1 ZINC000281743342 385698859 /nfs/dbraw/zinc/69/88/59/385698859.db2.gz QPRLARWNOULKPB-DGCLKSJQSA-N 0 3 237.318 2.825 20 0 BFADHN CO[C@@H]1CCN(Cc2ccccc2F)[C@@H](C)C1 ZINC000281743345 385699041 /nfs/dbraw/zinc/69/90/41/385699041.db2.gz QPRLARWNOULKPB-WCQYABFASA-N 0 3 237.318 2.825 20 0 BFADHN CO[C@H]1CCN(Cc2ccccc2F)[C@H](C)C1 ZINC000281743349 385699085 /nfs/dbraw/zinc/69/90/85/385699085.db2.gz QPRLARWNOULKPB-YPMHNXCESA-N 0 3 237.318 2.825 20 0 BFADHN CC(C)=CC(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000270365283 385700489 /nfs/dbraw/zinc/70/04/89/385700489.db2.gz IATTXRQDDQDZJD-UHFFFAOYSA-N 0 3 246.354 2.961 20 0 BFADHN CN(C)CCSCc1c(F)cccc1F ZINC000182748961 385700919 /nfs/dbraw/zinc/70/09/19/385700919.db2.gz IKTGJRARQWRENQ-UHFFFAOYSA-N 0 3 231.311 2.760 20 0 BFADHN Cc1cc(CN(C)C)cc(NC(=O)C(C)C)c1 ZINC000270394420 385703587 /nfs/dbraw/zinc/70/35/87/385703587.db2.gz TUWHKDAXSCCESI-UHFFFAOYSA-N 0 3 234.343 2.651 20 0 BFADHN Cn1ccnc1CN[C@@H]1CCC[C@H]1C(C)(C)C ZINC000414539161 385706508 /nfs/dbraw/zinc/70/65/08/385706508.db2.gz RRWNNLGPOKOVGG-VXGBXAGGSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@H](N[C@@H]1CSC[C@H]1C)c1cncc(F)c1 ZINC000309585316 385718363 /nfs/dbraw/zinc/71/83/63/385718363.db2.gz RPKLYSVCFWZQKU-VDDIYKPWSA-N 0 3 240.347 2.623 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1ccn(C)n1 ZINC000309587237 385712966 /nfs/dbraw/zinc/71/29/66/385712966.db2.gz SIFLWFWUTHVVRJ-LBPRGKRZSA-N 0 3 221.348 2.645 20 0 BFADHN CO[C@@H]1CCN(Cc2ccsc2)[C@H](C)C1 ZINC000282148790 385736626 /nfs/dbraw/zinc/73/66/26/385736626.db2.gz COFWERQUJVIMKD-ZYHUDNBSSA-N 0 3 225.357 2.747 20 0 BFADHN C[C@H](C[C@@H]1CCCO1)NCc1ccc(Cl)o1 ZINC000336736367 385739165 /nfs/dbraw/zinc/73/91/65/385739165.db2.gz ITWOEEGVGXSWER-ZJUUUORDSA-N 0 3 243.734 2.980 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(C)o2)[C@H](C)C1 ZINC000282188007 385739237 /nfs/dbraw/zinc/73/92/37/385739237.db2.gz GCDLTXSQNGTSAC-ZYHUDNBSSA-N 0 3 223.316 2.587 20 0 BFADHN CN(C)c1cccc(CN[C@H]2CCC23CCC3)n1 ZINC000282177168 385739279 /nfs/dbraw/zinc/73/92/79/385739279.db2.gz MPGZYRMPWTWHJX-ZDUSSCGKSA-N 0 3 245.370 2.570 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CCCC[C@H]2C)[n-]1 ZINC000408374835 385739497 /nfs/dbraw/zinc/73/94/97/385739497.db2.gz NBWJWRYLRVWRGA-GHMZBOCLSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCCC[C@H]2C)[nH]1 ZINC000408374835 385739505 /nfs/dbraw/zinc/73/95/05/385739505.db2.gz NBWJWRYLRVWRGA-GHMZBOCLSA-N 0 3 236.363 2.596 20 0 BFADHN COCc1ccc(CN[C@@H]2CCC2(C)C)o1 ZINC000289946934 385740274 /nfs/dbraw/zinc/74/02/74/385740274.db2.gz BPJBLECMBVOYCM-GFCCVEGCSA-N 0 3 223.316 2.704 20 0 BFADHN COC[C@@H](N[C@H](C)c1cc(C)c(C)o1)C1CC1 ZINC000282217849 385743098 /nfs/dbraw/zinc/74/30/98/385743098.db2.gz OTYKTSVPFVLURH-ZWNOBZJWSA-N 0 3 237.343 2.972 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1coc2ccccc12 ZINC000161151466 385746858 /nfs/dbraw/zinc/74/68/58/385746858.db2.gz ZXSDEJISSPIMMU-SNVBAGLBSA-N 0 3 233.311 2.682 20 0 BFADHN CCN(CCOC)[C@H]1CCc2ccc(F)cc21 ZINC000270800932 385747086 /nfs/dbraw/zinc/74/70/86/385747086.db2.gz ULRJYCMLFJTWHN-AWEZNQCLSA-N 0 3 237.318 2.781 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC)C[C@H]2C)o1 ZINC000282279223 385747709 /nfs/dbraw/zinc/74/77/09/385747709.db2.gz KXQRQAFWUDXFGN-DGCLKSJQSA-N 0 3 237.343 2.841 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1sccc1OC ZINC000186163633 385750329 /nfs/dbraw/zinc/75/03/29/385750329.db2.gz REGULFSVLMNHDI-NXEZZACHSA-N 0 3 243.372 2.568 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1cccc(F)c1F ZINC000183283810 385750325 /nfs/dbraw/zinc/75/03/25/385750325.db2.gz AKCUZKHDZQSVMK-ZJUUUORDSA-N 0 3 243.297 2.776 20 0 BFADHN CC(C)(C)[C@@H](CCO)NCc1ccccc1F ZINC000183679432 385786518 /nfs/dbraw/zinc/78/65/18/385786518.db2.gz QZGCTDVGLXEJRF-CYBMUJFWSA-N 0 3 239.334 2.712 20 0 BFADHN COCc1ccc(CNC[C@@H]2CC2(C)C)o1 ZINC000336698958 385751992 /nfs/dbraw/zinc/75/19/92/385751992.db2.gz MYQIJPWAYMLUKU-JTQLQIEISA-N 0 3 223.316 2.562 20 0 BFADHN C[C@@H]1C[C@H]1CN(Cc1ccco1)C[C@H]1CCCO1 ZINC000488345384 385755920 /nfs/dbraw/zinc/75/59/20/385755920.db2.gz HZZKXNJJXDPERE-VNHYZAJKSA-N 0 3 249.354 2.917 20 0 BFADHN COC(=O)[C@@]1(C)CCCN1CC1CCCCC1 ZINC000186363916 385757331 /nfs/dbraw/zinc/75/73/31/385757331.db2.gz HNZAUTGGPYWSPZ-CQSZACIVSA-N 0 3 239.359 2.594 20 0 BFADHN CCC[C@H](C)N[C@H](c1nccn1C)C1CC1 ZINC000336737305 385758728 /nfs/dbraw/zinc/75/87/28/385758728.db2.gz NGYYARRQJNPXKG-JQWIXIFHSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@@H]1C[C@@H]1CN(C)C[C@H]1OCCc2ccccc21 ZINC000488351384 385758901 /nfs/dbraw/zinc/75/89/01/385758901.db2.gz REXQQOWTFPGWBV-XNRPHZJLSA-N 0 3 245.366 2.888 20 0 BFADHN C[C@H](CN[C@H](C)c1cccnc1)c1nccs1 ZINC000186738370 385787257 /nfs/dbraw/zinc/78/72/57/385787257.db2.gz XHLUEBDYBISGKE-GHMZBOCLSA-N 0 3 247.367 2.992 20 0 BFADHN CC(C)CN1C[C@@H](C)NCc2ccc(F)cc21 ZINC000414865465 385768754 /nfs/dbraw/zinc/76/87/54/385768754.db2.gz FMMRRNGWKBVNFY-LLVKDONJSA-N 0 3 236.334 2.780 20 0 BFADHN CCN(CCc1cccs1)Cc1ccnn1C ZINC000271021118 385772260 /nfs/dbraw/zinc/77/22/60/385772260.db2.gz YQUVWUUUYDYECF-UHFFFAOYSA-N 0 3 249.383 2.546 20 0 BFADHN CCCCN(C)Cc1sccc1OC ZINC000271030518 385772664 /nfs/dbraw/zinc/77/26/64/385772664.db2.gz YOTDRYJKYDBTSJ-UHFFFAOYSA-N 0 3 213.346 2.989 20 0 BFADHN CN(Cc1cccc2cc[nH]c21)C[C@@H]1CCCO1 ZINC000271035690 385776003 /nfs/dbraw/zinc/77/60/03/385776003.db2.gz FROHVUJCSXFAGX-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1ccc(C)c(CN2CC3(C2)CCOC3)c1 ZINC000293965317 385777314 /nfs/dbraw/zinc/77/73/14/385777314.db2.gz JUGNIRXXGQKGEW-UHFFFAOYSA-N 0 3 231.339 2.526 20 0 BFADHN CC[C@H](F)CN1CCS[C@@H](C)[C@H]1C ZINC000307708601 385788280 /nfs/dbraw/zinc/78/82/80/385788280.db2.gz JIXVLGZFDZVSDM-UTLUCORTSA-N 0 3 205.342 2.560 20 0 BFADHN CC[C@@H](C)N[C@@H](COC)c1ccc(F)cc1 ZINC000336739799 385784130 /nfs/dbraw/zinc/78/41/30/385784130.db2.gz PLRCBVFMKVUVFG-MFKMUULPSA-N 0 3 225.307 2.901 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](F)C1)c1cncc(F)c1 ZINC000309596434 385725470 /nfs/dbraw/zinc/72/54/70/385725470.db2.gz WHCGGGSCPXVWFL-MKPLZMMCSA-N 0 3 226.270 2.762 20 0 BFADHN c1csc(CN2CCC(c3ncc[nH]3)CC2)c1 ZINC000271195180 385802999 /nfs/dbraw/zinc/80/29/99/385802999.db2.gz NZIKMFQQKRVAER-UHFFFAOYSA-N 0 3 247.367 2.851 20 0 BFADHN COc1cc(C)nc(CN[C@H]2CCC[C@@H]2C)c1 ZINC000214137150 385805492 /nfs/dbraw/zinc/80/54/92/385805492.db2.gz DFHPLDLOFLVDKQ-HZMBPMFUSA-N 0 3 234.343 2.677 20 0 BFADHN CCN(CCCO)Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000271208912 385805859 /nfs/dbraw/zinc/80/58/59/385805859.db2.gz SZIYSJFYUUNVHA-AAEUAGOBSA-N 0 3 237.343 2.607 20 0 BFADHN CCN(C/C=C/c1ccc(F)cc1)CCCO ZINC000271211030 385805930 /nfs/dbraw/zinc/80/59/30/385805930.db2.gz UNGQTTVEBPFYHZ-HWKANZROSA-N 0 3 237.318 2.543 20 0 BFADHN COc1cc(C)nc(CN[C@@H]2CCC[C@H]2C)c1 ZINC000214137205 385806219 /nfs/dbraw/zinc/80/62/19/385806219.db2.gz DFHPLDLOFLVDKQ-QMTHXVAHSA-N 0 3 234.343 2.677 20 0 BFADHN Fc1ccc(CN[C@@H]2CCC[C@@H]2F)cc1 ZINC000334454565 385807683 /nfs/dbraw/zinc/80/76/83/385807683.db2.gz FKRXSMGVBGAQGJ-NWDGAFQWSA-N 0 3 211.255 2.806 20 0 BFADHN CC(C)(NCCCO)c1cccc(Cl)c1F ZINC000186891726 385809523 /nfs/dbraw/zinc/80/95/23/385809523.db2.gz BXIOUOBVPWWTBW-UHFFFAOYSA-N 0 3 245.725 2.686 20 0 BFADHN CO[C@@H]1[C@H](C)[C@H](N[C@@H](C)c2ccco2)C1(C)C ZINC000271878023 385857125 /nfs/dbraw/zinc/85/71/25/385857125.db2.gz OQHYERRXZRVCKE-RSLMWUCJSA-N 0 3 237.343 2.990 20 0 BFADHN CC[C@@H](CSC)N[C@@H](C)c1ccccn1 ZINC000162384011 385815633 /nfs/dbraw/zinc/81/56/33/385815633.db2.gz CGJUIUQGYDFWQS-QWRGUYRKSA-N 0 3 224.373 2.874 20 0 BFADHN CC(C)[C@@H](O)C1(CN[C@@H](C)c2ccccn2)CC1 ZINC000271880914 385857225 /nfs/dbraw/zinc/85/72/25/385857225.db2.gz PIBJANWAGBDVQX-GXTWGEPZSA-N 0 3 248.370 2.529 20 0 BFADHN Cc1ccncc1[C@H](C)NCC1(O)CCCCC1 ZINC000271326136 385816430 /nfs/dbraw/zinc/81/64/30/385816430.db2.gz ABURZEZZBABRII-ZDUSSCGKSA-N 0 3 248.370 2.736 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1CC1CC(C)(C)C1 ZINC000528405098 385816741 /nfs/dbraw/zinc/81/67/41/385816741.db2.gz PNTGNGQJZIWOII-MNOVXSKESA-N 0 3 211.349 2.532 20 0 BFADHN CC[C@@H](CN(C)Cc1cccs1)OC ZINC000412981023 385819153 /nfs/dbraw/zinc/81/91/53/385819153.db2.gz ZPAABQCBWCETFN-JTQLQIEISA-N 0 3 213.346 2.605 20 0 BFADHN Cc1ccncc1[C@H](C)NCCc1nccs1 ZINC000271334907 385819482 /nfs/dbraw/zinc/81/94/82/385819482.db2.gz BMTXERHEWTXQPB-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN CC[C@H](CN(C)Cc1cccs1)OC ZINC000412981025 385819830 /nfs/dbraw/zinc/81/98/30/385819830.db2.gz ZPAABQCBWCETFN-SNVBAGLBSA-N 0 3 213.346 2.605 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)C[C@@H]1CCCO1 ZINC000271392368 385824309 /nfs/dbraw/zinc/82/43/09/385824309.db2.gz MCSIDOJZAIVOJL-KBPBESRZSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@H](CN1CCc2c(F)cccc2C1)OC ZINC000412978357 385825144 /nfs/dbraw/zinc/82/51/44/385825144.db2.gz PJINZLMVPKEPLQ-GFCCVEGCSA-N 0 3 237.318 2.609 20 0 BFADHN CSCCN1CCC[C@@H](c2cccnc2)C1 ZINC000602852635 385826735 /nfs/dbraw/zinc/82/67/35/385826735.db2.gz FHBCNYKSOORJDM-CYBMUJFWSA-N 0 3 236.384 2.624 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)Cn2cccn2)o1 ZINC000271435799 385828408 /nfs/dbraw/zinc/82/84/08/385828408.db2.gz FMDOFFUOVNYRGW-NEPJUHHUSA-N 0 3 247.342 2.778 20 0 BFADHN COc1cc(C)cc(CN[C@@H](C)[C@H](C)OC)c1 ZINC000271460954 385830431 /nfs/dbraw/zinc/83/04/31/385830431.db2.gz GDXCBQPHCSSHDF-RYUDHWBXSA-N 0 3 237.343 2.517 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1coc2ccccc12 ZINC000271501152 385834210 /nfs/dbraw/zinc/83/42/10/385834210.db2.gz QRQNYVFGCZZVDQ-GHMZBOCLSA-N 0 3 233.311 2.946 20 0 BFADHN Cc1nocc1CNCC1(c2ccccc2)CC1 ZINC000294318700 385837293 /nfs/dbraw/zinc/83/72/93/385837293.db2.gz XUELMOFIZNXIGB-UHFFFAOYSA-N 0 3 242.322 2.804 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cccc(OC)n1 ZINC000271585866 385839907 /nfs/dbraw/zinc/83/99/07/385839907.db2.gz SKCXGDHADSYHAP-YPMHNXCESA-N 0 3 234.343 2.853 20 0 BFADHN CSC1(CN(C)CCc2nccs2)CC1 ZINC000283933088 385840943 /nfs/dbraw/zinc/84/09/43/385840943.db2.gz HLPJGWHJVSAORQ-UHFFFAOYSA-N 0 3 242.413 2.513 20 0 BFADHN CCC1CC(N[C@@H]2COc3ccccc32)C1 ZINC000336740995 385844694 /nfs/dbraw/zinc/84/46/94/385844694.db2.gz QPESNSUTJSPFPL-GCZXYKMCSA-N 0 3 217.312 2.898 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC[C@H]1C1CC1 ZINC000336718606 385847664 /nfs/dbraw/zinc/84/76/64/385847664.db2.gz XLBGMYPITBBCGY-WDEREUQCSA-N 0 3 237.372 2.515 20 0 BFADHN Cc1ccc2nc(CNCC3CCC3)cn2c1 ZINC000284062089 385849566 /nfs/dbraw/zinc/84/95/66/385849566.db2.gz TVWRDHXPGWWQRU-UHFFFAOYSA-N 0 3 229.327 2.532 20 0 BFADHN CCOc1cccc(CN2[C@H](C)CC[C@H]2C)n1 ZINC000354990933 385850091 /nfs/dbraw/zinc/85/00/91/385850091.db2.gz WEZAGLHPQYORSF-VXGBXAGGSA-N 0 3 234.343 2.853 20 0 BFADHN CCCCN(CCCO)[C@@H](C)c1cccnc1 ZINC000271788710 385850745 /nfs/dbraw/zinc/85/07/45/385850745.db2.gz UJOUBKMANQGJNX-ZDUSSCGKSA-N 0 3 236.359 2.627 20 0 BFADHN CN(C)CCSc1ccccc1Cl ZINC000123060352 385853288 /nfs/dbraw/zinc/85/32/88/385853288.db2.gz QENWFJMFXGGMTB-UHFFFAOYSA-N 0 3 215.749 2.994 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1CCc1cscn1 ZINC000284206671 385855861 /nfs/dbraw/zinc/85/58/61/385855861.db2.gz ZBKCKPZIRZEOOW-ZJUUUORDSA-N 0 3 242.413 2.511 20 0 BFADHN C[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)c1cccnc1 ZINC000340449915 385788662 /nfs/dbraw/zinc/78/86/62/385788662.db2.gz TWUWXNANUHQQSR-GHMZBOCLSA-N 0 3 243.310 2.594 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1ccc(F)c(F)c1 ZINC000336706079 385791206 /nfs/dbraw/zinc/79/12/06/385791206.db2.gz PUZUXPZJQFIJIW-NWDGAFQWSA-N 0 3 243.322 2.948 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC[C@H]1C(C)(C)C ZINC000336707518 385795095 /nfs/dbraw/zinc/79/50/95/385795095.db2.gz QGFFOSHNWSLTLM-NXEZZACHSA-N 0 3 239.388 2.761 20 0 BFADHN CC[C@H]1CN(C[C@H]2CCCC(F)(F)C2)CCO1 ZINC000338249779 385928613 /nfs/dbraw/zinc/92/86/13/385928613.db2.gz HCNVVHIBONONLK-RYUDHWBXSA-N 0 3 247.329 2.923 20 0 BFADHN CC[C@@H](CO)N(C)Cc1cc(C)sc1C ZINC000294694976 385884204 /nfs/dbraw/zinc/88/42/04/385884204.db2.gz CARUPVKFAVLTPU-LBPRGKRZSA-N 0 3 227.373 2.568 20 0 BFADHN Cc1cnccc1CN1CCC(C)(C)C1 ZINC000294707850 385886151 /nfs/dbraw/zinc/88/61/51/385886151.db2.gz FJKFYWAQIVSIRH-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1nc(CNCC2CCSCC2)cs1 ZINC000123552942 385889689 /nfs/dbraw/zinc/88/96/89/385889689.db2.gz VZRDAQJJWVFGBI-UHFFFAOYSA-N 0 3 242.413 2.684 20 0 BFADHN CCOc1ccccc1CN1CCC(OC)CC1 ZINC000284872003 385889975 /nfs/dbraw/zinc/88/99/75/385889975.db2.gz DPVUYQWPZAQXLP-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN C[C@H](NCCN(C)C)c1ccccc1Cl ZINC000022174098 385897188 /nfs/dbraw/zinc/89/71/88/385897188.db2.gz DVFPYKLIOWICKI-JTQLQIEISA-N 0 3 226.751 2.552 20 0 BFADHN CCCCCNCc1cc(F)ncc1F ZINC000294803326 385897254 /nfs/dbraw/zinc/89/72/54/385897254.db2.gz PQLOPUHOTYAZEY-UHFFFAOYSA-N 0 3 214.259 2.640 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@H](NCc2occc2C)C12CCC2 ZINC000272400434 385900285 /nfs/dbraw/zinc/90/02/85/385900285.db2.gz KBEOWIGUHBHKAB-FPMFFAJLSA-N 0 3 249.354 2.881 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H]1C[C@H]1c1ccco1 ZINC000414598812 385901173 /nfs/dbraw/zinc/90/11/73/385901173.db2.gz YUBMEWWCMMBCCK-QJPTWQEYSA-N 0 3 223.316 2.539 20 0 BFADHN Cc1cnc(CNC[C@H]2CCC[C@@H]2C)s1 ZINC000394721948 385902896 /nfs/dbraw/zinc/90/28/96/385902896.db2.gz FYOJJCLQILTIIR-GXSJLCMTSA-N 0 3 224.373 2.977 20 0 BFADHN CCC[C@@H](O)CN(C)[C@@H](C)c1cccc(O)c1 ZINC000294845908 385904277 /nfs/dbraw/zinc/90/42/77/385904277.db2.gz BOVPXGYKOLQDKS-SMDDNHRTSA-N 0 3 237.343 2.546 20 0 BFADHN CCC[C@H](O)CN(C)[C@H](CC)c1ccncc1 ZINC000294874082 385907026 /nfs/dbraw/zinc/90/70/26/385907026.db2.gz DMHRUDARCJBWDX-UONOGXRCSA-N 0 3 236.359 2.626 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1nccnc1OC ZINC000294871411 385907701 /nfs/dbraw/zinc/90/77/01/385907701.db2.gz WRWAJEFPKZQTPG-RYUDHWBXSA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1nccnc1OC ZINC000294871409 385908135 /nfs/dbraw/zinc/90/81/35/385908135.db2.gz WRWAJEFPKZQTPG-NWDGAFQWSA-N 0 3 249.358 2.544 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@@H](OC)C1CC1 ZINC000340485039 385913512 /nfs/dbraw/zinc/91/35/12/385913512.db2.gz AKMSBDYCVHFSQC-BXUZGUMPSA-N 0 3 248.370 2.720 20 0 BFADHN Cc1ccncc1CNC[C@H](C)C(F)(F)F ZINC000336741931 385915081 /nfs/dbraw/zinc/91/50/81/385915081.db2.gz NRUUBYZDFVLFDG-VIFPVBQESA-N 0 3 232.249 2.678 20 0 BFADHN CCOC[C@H]1CCCN(Cc2ccncc2C)C1 ZINC000294914836 385917278 /nfs/dbraw/zinc/91/72/78/385917278.db2.gz SRUCTJYBNYFKCR-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN CCc1cccc(Cl)c1CNC[C@@H](C)CO ZINC000336767986 385919873 /nfs/dbraw/zinc/91/98/73/385919873.db2.gz QJSFXMRZUYUCTC-SNVBAGLBSA-N 0 3 241.762 2.620 20 0 BFADHN CCC[C@H](NC[C@H](OC)C1CC1)c1ccccn1 ZINC000340487202 385924887 /nfs/dbraw/zinc/92/48/87/385924887.db2.gz CJNWXXBUAKYSHU-ZFWWWQNUSA-N 0 3 248.370 2.937 20 0 BFADHN CCC[C@@H](O)CN([C@@H](C)c1ccco1)C1CC1 ZINC000294952640 385925514 /nfs/dbraw/zinc/92/55/14/385925514.db2.gz MQJHDYUTGBRLSP-WCQYABFASA-N 0 3 237.343 2.966 20 0 BFADHN Cc1ccoc1CN1CCC(CF)CC1 ZINC000294960977 385926971 /nfs/dbraw/zinc/92/69/71/385926971.db2.gz DWIZKPXAXZZVKY-UHFFFAOYSA-N 0 3 211.280 2.770 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H]1CCCc2c(O)cccc21 ZINC000285457849 385927165 /nfs/dbraw/zinc/92/71/65/385927165.db2.gz ZSVFANKQBXGMGI-COPLHBTASA-N 0 3 249.354 2.783 20 0 BFADHN Cc1ccc(CN(CC2CCC2)[C@H](C)CO)o1 ZINC000284280183 385859395 /nfs/dbraw/zinc/85/93/95/385859395.db2.gz SZQKCOGJWXGAMF-LLVKDONJSA-N 0 3 237.343 2.571 20 0 BFADHN CCCn1cc(CN[C@@H]2C[C@H]2c2ccco2)cn1 ZINC000414583780 385859550 /nfs/dbraw/zinc/85/95/50/385859550.db2.gz MFHOGUWTJHWFAX-CHWSQXEVSA-N 0 3 245.326 2.532 20 0 BFADHN Cc1ccc(CN2CCC[C@H]2[C@@H]2CCCO2)o1 ZINC000284295478 385862426 /nfs/dbraw/zinc/86/24/26/385862426.db2.gz BIFSTNKDMUSLKN-KBPBESRZSA-N 0 3 235.327 2.731 20 0 BFADHN COc1cnccc1[C@H](C)N[C@@H]1C[C@H](C)[C@@H]1C ZINC000494956934 533149796 /nfs/dbraw/zinc/14/97/96/533149796.db2.gz UNZSCOFZHXUYKZ-MRBYEJRBSA-N 0 3 234.343 2.785 20 0 BFADHN CC(C)CSCCN[C@H](C)c1ccncn1 ZINC000397388535 385866661 /nfs/dbraw/zinc/86/66/61/385866661.db2.gz MXJWJGUJHKXNCX-LLVKDONJSA-N 0 3 239.388 2.516 20 0 BFADHN C[C@@H](CO)N[C@H]1C[C@H](C)Sc2sccc21 ZINC000123314840 385868595 /nfs/dbraw/zinc/86/85/95/385868595.db2.gz JSLGWWDDNCUDDV-NRPADANISA-N 0 3 243.397 2.644 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1CCOC1(C)C ZINC000294564315 385869235 /nfs/dbraw/zinc/86/92/35/385869235.db2.gz BOVIAAFFLZDSLK-CQSZACIVSA-N 0 3 249.354 2.661 20 0 BFADHN CCC[C@H](O)CNCc1ccccc1Cl ZINC000123294477 385869814 /nfs/dbraw/zinc/86/98/14/385869814.db2.gz NSPWKTVLZKBXSO-NSHDSACASA-N 0 3 227.735 2.591 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C)[C@@H]2C)nc1 ZINC000272027867 385870416 /nfs/dbraw/zinc/87/04/16/385870416.db2.gz WDKJKHJMCAIBEA-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN CCC[C@H](C)CNCc1ccc(C(=O)OC)o1 ZINC000272065177 385874011 /nfs/dbraw/zinc/87/40/11/385874011.db2.gz KLZAEFUYBMBDCI-JTQLQIEISA-N 0 3 239.315 2.592 20 0 BFADHN Cc1nnsc1CNCCC1CCCC1 ZINC000308818787 385874458 /nfs/dbraw/zinc/87/44/58/385874458.db2.gz BTKLIHAZVWHAEI-UHFFFAOYSA-N 0 3 225.361 2.516 20 0 BFADHN CCc1c(C)nc2ccccc2c1NCCO ZINC000272115685 385877472 /nfs/dbraw/zinc/87/74/72/385877472.db2.gz QEHRGFXYJJFUAZ-UHFFFAOYSA-N 0 3 230.311 2.510 20 0 BFADHN CCc1ccc(CNC2(CCO)CCCC2)o1 ZINC000285443786 385928284 /nfs/dbraw/zinc/92/82/84/385928284.db2.gz INBQYIJMGWXKAI-UHFFFAOYSA-N 0 3 237.343 2.627 20 0 BFADHN Cc1cnccc1CN1CCS[C@H](C)[C@@H]1C ZINC000294688949 385881498 /nfs/dbraw/zinc/88/14/98/385881498.db2.gz DPKDCPNFEZDFRF-NWDGAFQWSA-N 0 3 236.384 2.716 20 0 BFADHN CC[C@@H](C)CNCc1cn2ccsc2n1 ZINC000148688387 385881850 /nfs/dbraw/zinc/88/18/50/385881850.db2.gz FOPKMOHLNHBFBY-SECBINFHSA-N 0 3 223.345 2.532 20 0 BFADHN Cc1cnccc1CN1CCS[C@@H](C)[C@H]1C ZINC000294688947 385881919 /nfs/dbraw/zinc/88/19/19/385881919.db2.gz DPKDCPNFEZDFRF-NEPJUHHUSA-N 0 3 236.384 2.716 20 0 BFADHN Fc1cccc(CN2CCC[C@@H]2[C@H]2CCCO2)c1 ZINC000284734192 385882651 /nfs/dbraw/zinc/88/26/51/385882651.db2.gz KLUGBQKNINQMFU-HUUCEWRRSA-N 0 3 249.329 2.969 20 0 BFADHN CCCCC[C@H](C)NCc1nccnc1OC ZINC000294677065 385883048 /nfs/dbraw/zinc/88/30/48/385883048.db2.gz DVWDWQDINRJERE-NSHDSACASA-N 0 3 237.347 2.544 20 0 BFADHN CO[C@H](C)[C@H](C)NC1(c2ccccc2F)CC1 ZINC000272183725 385883375 /nfs/dbraw/zinc/88/33/75/385883375.db2.gz RCIGHPAIHLHUSK-WDEREUQCSA-N 0 3 237.318 2.828 20 0 BFADHN COCC[C@H](NCc1cnoc1C)C(C)(C)C ZINC000338349314 385975482 /nfs/dbraw/zinc/97/54/82/385975482.db2.gz BUKNOJKBMLZVRZ-LBPRGKRZSA-N 0 3 240.347 2.524 20 0 BFADHN COC[C@@]1(C)CCN(Cc2ccccc2OC)C1 ZINC000295150899 385977568 /nfs/dbraw/zinc/97/75/68/385977568.db2.gz RDEXKUIXVVLAAH-HNNXBMFYSA-N 0 3 249.354 2.554 20 0 BFADHN C[C@H](NCCc1cccnc1)c1ccncc1F ZINC000338365065 385978012 /nfs/dbraw/zinc/97/80/12/385978012.db2.gz FLPLPJQRYQJXSB-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@H]2CCCOCC2)c1 ZINC000285930491 385979328 /nfs/dbraw/zinc/97/93/28/385979328.db2.gz CWTXAPZSWKXXFL-OLZOCXBDSA-N 0 3 234.343 2.610 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1ccn(C)n1 ZINC000414131903 385979715 /nfs/dbraw/zinc/97/97/15/385979715.db2.gz YMYIOUPSTBGYIO-NDBYEHHHSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1ccn(C)n1 ZINC000414131902 385979726 /nfs/dbraw/zinc/97/97/26/385979726.db2.gz YMYIOUPSTBGYIO-LPWJVIDDSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@H](NC[C@H]1CC1(C)C)c1nccn1C ZINC000336747960 385980818 /nfs/dbraw/zinc/98/08/18/385980818.db2.gz XPFJEHBVIPSRFL-MNOVXSKESA-N 0 3 221.348 2.507 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1nc(C2CC2)cs1 ZINC000336769589 385982659 /nfs/dbraw/zinc/98/26/59/385982659.db2.gz VUFGCCVHLZWHLZ-SCZZXKLOSA-N 0 3 222.357 2.766 20 0 BFADHN CC[C@H](C)[C@H](O)CNCc1csc(C)c1 ZINC000295175488 385983574 /nfs/dbraw/zinc/98/35/74/385983574.db2.gz MACQYYVPYIOTSW-JOYOIKCWSA-N 0 3 227.373 2.553 20 0 BFADHN CC[C@@H](C)[C@@H](O)CNCc1csc(C)c1 ZINC000295175490 385984485 /nfs/dbraw/zinc/98/44/85/385984485.db2.gz MACQYYVPYIOTSW-SKDRFNHKSA-N 0 3 227.373 2.553 20 0 BFADHN CC/C=C/CCN1CCC[C@H]1c1cc[nH]n1 ZINC000285978162 385984492 /nfs/dbraw/zinc/98/44/92/385984492.db2.gz FCFMSMUVHWPPDQ-OOPCZODUSA-N 0 3 219.332 2.903 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@@H](C)C1)c1cc2n(n1)CCC2 ZINC000414137906 385986397 /nfs/dbraw/zinc/98/63/97/385986397.db2.gz PMZPVPJZVWRCTL-IJLUTSLNSA-N 0 3 233.359 2.669 20 0 BFADHN CC[C@H](N[C@H](c1nccn1C)C1CC1)[C@H]1C[C@@H]1C ZINC000414137763 385986729 /nfs/dbraw/zinc/98/67/29/385986729.db2.gz GOHYTCONIQOVEF-PYJNHQTQSA-N 0 3 247.386 2.895 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)C1)c1cc2n(n1)CCC2 ZINC000414137903 385986745 /nfs/dbraw/zinc/98/67/45/385986745.db2.gz PMZPVPJZVWRCTL-GRYCIOLGSA-N 0 3 233.359 2.669 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1ccno1 ZINC000273302203 385986990 /nfs/dbraw/zinc/98/69/90/385986990.db2.gz LBPLHMMLPHSZGA-LLVKDONJSA-N 0 3 208.305 2.829 20 0 BFADHN C[C@H](NCCC1(C)CC1)c1cc2n(n1)CCC2 ZINC000414137631 385987588 /nfs/dbraw/zinc/98/75/88/385987588.db2.gz IPFPQMGNNRFESC-NSHDSACASA-N 0 3 233.359 2.670 20 0 BFADHN CC[C@H](N[C@H](c1nccn1C)C1CC1)[C@H]1C[C@H]1C ZINC000414137765 385987672 /nfs/dbraw/zinc/98/76/72/385987672.db2.gz GOHYTCONIQOVEF-SAXRGWBVSA-N 0 3 247.386 2.895 20 0 BFADHN C[C@@H](NCC(C)(C)C1CCC1)c1ccn(C)n1 ZINC000414140305 385987746 /nfs/dbraw/zinc/98/77/46/385987746.db2.gz GRAIFRJMGJCDHD-LLVKDONJSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@@H](C1CCCCC1)N(C)Cc1cncn1C ZINC000338363666 385988107 /nfs/dbraw/zinc/98/81/07/385988107.db2.gz AYDAYBIIYJINMJ-LBPRGKRZSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@H](C1CCCCC1)N(C)Cc1cncn1C ZINC000338363665 385988499 /nfs/dbraw/zinc/98/84/99/385988499.db2.gz AYDAYBIIYJINMJ-GFCCVEGCSA-N 0 3 235.375 2.821 20 0 BFADHN Fc1cc(CNC[C@@H]2CC=CCC2)c(F)cn1 ZINC000295209570 385989714 /nfs/dbraw/zinc/98/97/14/385989714.db2.gz PYMATYUJIDHVRU-SNVBAGLBSA-N 0 3 238.281 2.806 20 0 BFADHN CC[C@H](NCc1cnccc1OC)[C@H]1C[C@H]1C ZINC000414143481 385991293 /nfs/dbraw/zinc/99/12/93/385991293.db2.gz NMFUVYUPZDQCPS-WXHSDQCUSA-N 0 3 234.343 2.614 20 0 BFADHN CN(CCc1ccccc1)Cc1ccoc1 ZINC000345088035 385992611 /nfs/dbraw/zinc/99/26/11/385992611.db2.gz AQEKKSSGUMDQRG-UHFFFAOYSA-N 0 3 215.296 2.954 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H](C)[C@H]2CCCCO2)c1 ZINC000285486039 385932144 /nfs/dbraw/zinc/93/21/44/385932144.db2.gz AADOGIFCWBBFFR-GZBFAFLISA-N 0 3 248.370 2.998 20 0 BFADHN COCC[C@H](NCC[C@@H](C)F)c1ccco1 ZINC000336767929 385933425 /nfs/dbraw/zinc/93/34/25/385933425.db2.gz QEOJTOAVEJFGSU-MNOVXSKESA-N 0 3 229.295 2.695 20 0 BFADHN COc1ncc(CN[C@H](C)CC(C)C)s1 ZINC000309028034 385938636 /nfs/dbraw/zinc/93/86/36/385938636.db2.gz ZJCGGTRNCZJGEQ-SECBINFHSA-N 0 3 228.361 2.676 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C[C@@H](C)C2)nc1 ZINC000338275373 385939991 /nfs/dbraw/zinc/93/99/91/385939991.db2.gz LPUTYDJKUQZRCQ-QWHCGFSZSA-N 0 3 248.370 2.958 20 0 BFADHN CCC1(CN[C@H](C)c2ccn(C)n2)CCC1 ZINC000414086825 385943866 /nfs/dbraw/zinc/94/38/66/385943866.db2.gz AUSFRSXXYYBUQQ-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1cc(C)c(CN(C)C2CC(O)C2)c(C)c1 ZINC000353485473 385946147 /nfs/dbraw/zinc/94/61/47/385946147.db2.gz UCENAVDMHPXIJA-UHFFFAOYSA-N 0 3 233.355 2.567 20 0 BFADHN CO[C@@H](CN(Cc1ccco1)C(C)C)C1CC1 ZINC000425396898 385947092 /nfs/dbraw/zinc/94/70/92/385947092.db2.gz LCBLJGXQJPVXCS-AWEZNQCLSA-N 0 3 237.343 2.915 20 0 BFADHN CCOC[C@@H](N[C@@H](C)c1cncs1)C(C)C ZINC000233748204 385993581 /nfs/dbraw/zinc/99/35/81/385993581.db2.gz CFAWQYDNMFHXAC-WDEREUQCSA-N 0 3 242.388 2.855 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1ncc[nH]1 ZINC000295039647 385950928 /nfs/dbraw/zinc/95/09/28/385950928.db2.gz MLSWWQAYDOOYNE-NSHDSACASA-N 0 3 207.321 2.634 20 0 BFADHN CS[C@@H]1CCN(Cc2ccsc2C)C1 ZINC000295042909 385951115 /nfs/dbraw/zinc/95/11/15/385951115.db2.gz MSNJMVYSYJGYFY-LLVKDONJSA-N 0 3 227.398 2.994 20 0 BFADHN CC/C=C/CCN1CC[C@H](Oc2ccncc2)C1 ZINC000342245452 385954037 /nfs/dbraw/zinc/95/40/37/385954037.db2.gz JGBOODAJIAWAFX-BWPKMQGJSA-N 0 3 246.354 2.891 20 0 BFADHN FCCCCN1CCc2ccsc2C1 ZINC000285754212 385959865 /nfs/dbraw/zinc/95/98/65/385959865.db2.gz VTPBTQPVHXCCGX-UHFFFAOYSA-N 0 3 213.321 2.856 20 0 BFADHN FC(F)[C@H]1C[C@@H]1NCc1ccc2ncccc2c1 ZINC000342216204 385960312 /nfs/dbraw/zinc/96/03/12/385960312.db2.gz PSSIDPPMMHZBEO-AAEUAGOBSA-N 0 3 248.276 2.978 20 0 BFADHN COC[C@H](N[C@H](C)[C@@H]1C[C@@H]1C)c1ccc(C)o1 ZINC000414097685 385962260 /nfs/dbraw/zinc/96/22/60/385962260.db2.gz ILDHRYUZBNCDQL-SQNXGDPESA-N 0 3 237.343 2.910 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H]1C)c1cc2n(n1)CCC2 ZINC000414101081 385964209 /nfs/dbraw/zinc/96/42/09/385964209.db2.gz RXXYAXJPKUIOPG-GMXVVIOVSA-N 0 3 233.359 2.669 20 0 BFADHN Cc1cccc([C@H](C)N[C@H]2C=C[C@H](CO)C2)c1 ZINC000345048930 385964389 /nfs/dbraw/zinc/96/43/89/385964389.db2.gz FSEAWSGEQSPTFM-YDHLFZDLSA-N 0 3 231.339 2.583 20 0 BFADHN CC(=O)c1cccc(CN2CCC[C@@H]2C)c1 ZINC000295088573 385964542 /nfs/dbraw/zinc/96/45/42/385964542.db2.gz RXMYHXJYWXOMNW-NSHDSACASA-N 0 3 217.312 2.874 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccccc1)[C@@H](O)C(F)F ZINC000295088982 385965247 /nfs/dbraw/zinc/96/52/47/385965247.db2.gz RISBFMOFZUTXKM-ZMLRMANQSA-N 0 3 243.297 2.742 20 0 BFADHN CO[C@@H](CN(C)Cc1cccc(C)c1)C1CC1 ZINC000425403724 385965700 /nfs/dbraw/zinc/96/57/00/385965700.db2.gz IUKHNCHQPVQAFT-HNNXBMFYSA-N 0 3 233.355 2.852 20 0 BFADHN CC[C@H](N[C@H]1C=C[C@H](CO)C1)c1ccc(C)cc1 ZINC000345054332 385965677 /nfs/dbraw/zinc/96/56/77/385965677.db2.gz DXYPXVFLRRIOEH-BPUTZDHNSA-N 0 3 245.366 2.973 20 0 BFADHN COC[C@]1(C)CCN(Cc2cccc(F)c2)C1 ZINC000295107996 385967440 /nfs/dbraw/zinc/96/74/40/385967440.db2.gz LGFQYLWWOFURME-CQSZACIVSA-N 0 3 237.318 2.684 20 0 BFADHN CCC1(NCc2conc2C)CCCC1 ZINC000295097512 385967586 /nfs/dbraw/zinc/96/75/86/385967586.db2.gz SHZTYXILNYSGCC-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H](C)[C@H]2CCCO2)c1 ZINC000285830084 385968083 /nfs/dbraw/zinc/96/80/83/385968083.db2.gz WWPFBQNHKXONRW-OUCADQQQSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1cccc2nc(CN[C@H](C)[C@@H]3C[C@H]3C)cn21 ZINC000414124145 385972460 /nfs/dbraw/zinc/97/24/60/385972460.db2.gz PBXFWEUSFULVEC-MPKXVKKWSA-N 0 3 243.354 2.777 20 0 BFADHN CCOC[C@H](N[C@H](C)c1cncs1)C(C)C ZINC000233791404 385998578 /nfs/dbraw/zinc/99/85/78/385998578.db2.gz CFAWQYDNMFHXAC-MNOVXSKESA-N 0 3 242.388 2.855 20 0 BFADHN Cc1ccoc1CN1CCCSCC1 ZINC000273427761 385998877 /nfs/dbraw/zinc/99/88/77/385998877.db2.gz SHKWLLVUQDTNMP-UHFFFAOYSA-N 0 3 211.330 2.527 20 0 BFADHN CCc1ncc(CN[C@H](CC)[C@H]2C[C@H]2C)o1 ZINC000414150514 385999204 /nfs/dbraw/zinc/99/92/04/385999204.db2.gz PDWZSQMAMVHYGS-ADEWGFFLSA-N 0 3 222.332 2.761 20 0 BFADHN CCc1ncc(CN[C@H](CC)[C@@H]2C[C@H]2C)o1 ZINC000414150521 386000067 /nfs/dbraw/zinc/00/00/67/386000067.db2.gz PDWZSQMAMVHYGS-YUSALJHKSA-N 0 3 222.332 2.761 20 0 BFADHN COC[C@H](C)NCc1cccc(C(C)C)c1 ZINC000336721892 386000540 /nfs/dbraw/zinc/00/05/40/386000540.db2.gz AGOPGKMNZQJQPE-LBPRGKRZSA-N 0 3 221.344 2.935 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H]2CCCc3occc32)C1 ZINC000414151912 386002165 /nfs/dbraw/zinc/00/21/65/386002165.db2.gz WWQZAYDDYPURMW-LOWVWBTDSA-N 0 3 235.327 2.814 20 0 BFADHN CC[C@H](F)CN1CCC[C@@]2(CCSC2)C1 ZINC000451971664 386004041 /nfs/dbraw/zinc/00/40/41/386004041.db2.gz MCHFFAZNCVXXPI-NWDGAFQWSA-N 0 3 231.380 2.954 20 0 BFADHN C[C@H](N[C@H]1C[C@H](C)n2ccnc21)[C@H]1C[C@H]1C ZINC000414154398 386004674 /nfs/dbraw/zinc/00/46/74/386004674.db2.gz TWWWILOHQVHKCL-DGORSVRFSA-N 0 3 219.332 2.523 20 0 BFADHN C[C@H](NCCC(C)(C)C1CC1)c1ccn(C)n1 ZINC000414154089 386004690 /nfs/dbraw/zinc/00/46/90/386004690.db2.gz YYQVMMFCGDUHTI-NSHDSACASA-N 0 3 235.375 2.897 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)n2ccnc21)[C@H]1C[C@H]1C ZINC000414154405 386004874 /nfs/dbraw/zinc/00/48/74/386004874.db2.gz TWWWILOHQVHKCL-WTPMCQDGSA-N 0 3 219.332 2.523 20 0 BFADHN CCS[C@@H]1CCC[C@H]1NCc1cccnc1 ZINC000233849254 386005908 /nfs/dbraw/zinc/00/59/08/386005908.db2.gz NVJPUJJXMNJTMT-CHWSQXEVSA-N 0 3 236.384 2.845 20 0 BFADHN Cc1ccoc1CN1CC[C@@H](O)CC(C)(C)C1 ZINC000295279533 386006766 /nfs/dbraw/zinc/00/67/66/386006766.db2.gz SRSASXJWROJDGJ-GFCCVEGCSA-N 0 3 237.343 2.571 20 0 BFADHN C[C@H](NCC(C)(C)CCCO)c1ccco1 ZINC000221583894 386006955 /nfs/dbraw/zinc/00/69/55/386006955.db2.gz MCCLONTZYXDVKN-NSHDSACASA-N 0 3 225.332 2.729 20 0 BFADHN CN(C/C=C/c1ccncc1)CC[C@@H]1CCCO1 ZINC000426003024 386007213 /nfs/dbraw/zinc/00/72/13/386007213.db2.gz QXOAUYDNDIIGQY-OMDKTOEGSA-N 0 3 246.354 2.596 20 0 BFADHN CCc1noc(CC)c1CN[C@H](C)[C@H]1C[C@H]1C ZINC000414120334 386014592 /nfs/dbraw/zinc/01/45/92/386014592.db2.gz XLRXMQNIXSNPKG-MXWKQRLJSA-N 0 3 236.359 2.934 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1cc(C)ccn1 ZINC000286258701 386015230 /nfs/dbraw/zinc/01/52/30/386015230.db2.gz USQQFDOJJOBOOC-UMVBOHGHSA-N 0 3 248.370 2.998 20 0 BFADHN CCc1cc(N[C@H]2C[C@@H](O)C2)c2ccccc2n1 ZINC000295340389 386015732 /nfs/dbraw/zinc/01/57/32/386015732.db2.gz NBDPPQCQERLPMT-TXEJJXNPSA-N 0 3 242.322 2.732 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1cccc(F)c1 ZINC000221689763 386015770 /nfs/dbraw/zinc/01/57/70/386015770.db2.gz FKLXQMQOLFATLJ-VWYCJHECSA-N 0 3 225.307 2.900 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)[C@@H]1C)c1ccncc1F ZINC000336752173 386015883 /nfs/dbraw/zinc/01/58/83/386015883.db2.gz VMXIOJSNBGJVCK-AVWBDOJWSA-N 0 3 222.307 2.916 20 0 BFADHN CCC1(CC)CN(C[C@H](O)CCC(C)C)C1 ZINC000453006586 386017083 /nfs/dbraw/zinc/01/70/83/386017083.db2.gz CELUGBWOTGTAQY-CYBMUJFWSA-N 0 3 227.392 2.906 20 0 BFADHN CC[C@H](NCc1ncccc1N(C)C)[C@H]1C[C@H]1C ZINC000414171958 386017061 /nfs/dbraw/zinc/01/70/61/386017061.db2.gz XSEJNOGDWKYKEK-AGIUHOORSA-N 0 3 247.386 2.672 20 0 BFADHN COC[C@@H](NC(C)C)c1ccc(F)cc1 ZINC000336752749 386017349 /nfs/dbraw/zinc/01/73/49/386017349.db2.gz GNLPBOLCMYHTRO-GFCCVEGCSA-N 0 3 211.280 2.511 20 0 BFADHN CC(F)(F)CCNCc1ccc2c[nH]nc2c1 ZINC000295585310 386056464 /nfs/dbraw/zinc/05/64/64/386056464.db2.gz BRYNVRACSUNABQ-UHFFFAOYSA-N 0 3 239.269 2.698 20 0 BFADHN CN(CCC1CC1)Cc1cccc(F)c1N ZINC000309589461 386020170 /nfs/dbraw/zinc/02/01/70/386020170.db2.gz YGOCRFLTADGRMS-UHFFFAOYSA-N 0 3 222.307 2.640 20 0 BFADHN Cc1nocc1CN(C)CC1CCCC1 ZINC000295363347 386020212 /nfs/dbraw/zinc/02/02/12/386020212.db2.gz NXYBYMVZJYBGLV-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1cc2n(n1)CCC2 ZINC000414177525 386020877 /nfs/dbraw/zinc/02/08/77/386020877.db2.gz JSNTXADNEIPKNE-XCCSTKFXSA-N 0 3 245.370 2.669 20 0 BFADHN CC[C@H](COC)N[C@H]1CCCc2ccc(O)cc21 ZINC000234025977 386021360 /nfs/dbraw/zinc/02/13/60/386021360.db2.gz HHZDKYLLFYFZLE-DOMZBBRYSA-N 0 3 249.354 2.784 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]1C1CC1)c1cnccn1 ZINC000414181049 386022657 /nfs/dbraw/zinc/02/26/57/386022657.db2.gz BSDAKTMCTRNPFP-UBHSHLNASA-N 0 3 245.370 2.954 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@H]2C(C)(C)C)o1 ZINC000414182269 386022667 /nfs/dbraw/zinc/02/26/67/386022667.db2.gz MVYHIBFVKSVXEO-VXGBXAGGSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@H](O)CCNCc1ccc(Cl)s1 ZINC000124349731 386023423 /nfs/dbraw/zinc/02/34/23/386023423.db2.gz BPLCQNJPQCQFDY-QMMMGPOBSA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@H](NCC1(C)OCCO1)c1cccc(C)c1 ZINC000286345215 386027095 /nfs/dbraw/zinc/02/70/95/386027095.db2.gz YZVYTFFCIFDCAX-AWEZNQCLSA-N 0 3 249.354 2.799 20 0 BFADHN Cc1sccc1CN1CCCO[C@@H](C)C1 ZINC000295403242 386027180 /nfs/dbraw/zinc/02/71/80/386027180.db2.gz QZENHFFXSOTEHW-JTQLQIEISA-N 0 3 225.357 2.667 20 0 BFADHN CCC[C@H](O)CN(Cc1ccco1)C(C)C ZINC000295425570 386029406 /nfs/dbraw/zinc/02/94/06/386029406.db2.gz FIQGLTHUYUBCLP-LBPRGKRZSA-N 0 3 225.332 2.651 20 0 BFADHN CCN(C)Cc1cnn(Cc2ccc(F)cc2)c1 ZINC000189626496 386037021 /nfs/dbraw/zinc/03/70/21/386037021.db2.gz IUBYVIAVHLZZFK-UHFFFAOYSA-N 0 3 247.317 2.522 20 0 BFADHN CCCC[C@H](C)NCc1ccn(CC)n1 ZINC000414203188 386039378 /nfs/dbraw/zinc/03/93/78/386039378.db2.gz DQOYHUUJSQGXMY-NSHDSACASA-N 0 3 209.337 2.571 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccncc1F)C1CC1 ZINC000336771460 386041037 /nfs/dbraw/zinc/04/10/37/386041037.db2.gz XLTOVGUVHQTISV-BDAKNGLRSA-N 0 3 208.280 2.670 20 0 BFADHN CC[C@H](N[C@H](C)c1nccn1CC)[C@H]1C[C@@H]1C ZINC000414158038 386042361 /nfs/dbraw/zinc/04/23/61/386042361.db2.gz YUTKIZPMENZGDT-RNJOBUHISA-N 0 3 235.375 2.988 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCCO2)CCC1(F)F ZINC000334429404 386042906 /nfs/dbraw/zinc/04/29/06/386042906.db2.gz UEFRKGJDADUJRF-MNOVXSKESA-N 0 3 233.302 2.533 20 0 BFADHN CO[C@@H](CN1CCc2cccc(F)c2C1)C1CC1 ZINC000425422699 386043525 /nfs/dbraw/zinc/04/35/25/386043525.db2.gz POENUJOUKXFNEM-HNNXBMFYSA-N 0 3 249.329 2.609 20 0 BFADHN CC(C)[C@](C)(CO)NCc1ccc(Cl)s1 ZINC000336771490 386043550 /nfs/dbraw/zinc/04/35/50/386043550.db2.gz XNASEKQFDJWIHC-NSHDSACASA-N 0 3 247.791 2.898 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H]1CCCc2nn(C)cc21 ZINC000414165913 386048515 /nfs/dbraw/zinc/04/85/15/386048515.db2.gz DBBNGJGOYPVHKW-OSAQELSMSA-N 0 3 247.386 2.822 20 0 BFADHN Cc1cc(CN(C)CCc2cnccn2)cs1 ZINC000295563160 386051597 /nfs/dbraw/zinc/05/15/97/386051597.db2.gz ZGVKBHPGRNXGOC-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN Cc1cc(CNCCC2=CCCCC2)nn1C ZINC000414241139 386052268 /nfs/dbraw/zinc/05/22/68/386052268.db2.gz KRAWLFDVBXZCAI-UHFFFAOYSA-N 0 3 233.359 2.709 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CS[C@@H](C)C2)c1 ZINC000414240317 386052606 /nfs/dbraw/zinc/05/26/06/386052606.db2.gz ZTDOQXABRLGWJB-SDDRHHMPSA-N 0 3 236.384 2.935 20 0 BFADHN C[C@H](NCc1cnc(C2CC2)o1)[C@@H]1C[C@H]1C ZINC000414145366 385995654 /nfs/dbraw/zinc/99/56/54/385995654.db2.gz KDMGMDMIRTVISP-VDDIYKPWSA-N 0 3 220.316 2.686 20 0 BFADHN CCC[C@H](C)CN(C)Cc1ccccn1 ZINC000273379552 385995806 /nfs/dbraw/zinc/99/58/06/385995806.db2.gz HKDCQROSIQHIDK-LBPRGKRZSA-N 0 3 206.333 2.950 20 0 BFADHN CC[C@@H]1CCN(Cc2nc3cccnc3s2)C1 ZINC000334453659 386100770 /nfs/dbraw/zinc/10/07/70/386100770.db2.gz MEGJNBKMMVYJIM-SNVBAGLBSA-N 0 3 247.367 2.923 20 0 BFADHN Cc1occc1CNc1cc(CN(C)C)ccn1 ZINC000414292499 386101783 /nfs/dbraw/zinc/10/17/83/386101783.db2.gz LPNGBDQWNCVAMU-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN Cc1cc(CN(C)[C@@H](CO)CC(C)C)cs1 ZINC000295920466 386106346 /nfs/dbraw/zinc/10/63/46/386106346.db2.gz DZVPCHOCOSYMQY-CYBMUJFWSA-N 0 3 241.400 2.895 20 0 BFADHN CCCN(Cc1cncc(C)c1)C1CC1 ZINC000189869490 386110567 /nfs/dbraw/zinc/11/05/67/386110567.db2.gz XDWYAFPFKJUCJI-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCC(C)(C)C2)n(C)n1 ZINC000335139836 386115058 /nfs/dbraw/zinc/11/50/58/386115058.db2.gz RXJWUVONQZMUHU-GFCCVEGCSA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@H](c1ccccc1)N1CC[C@](F)(CO)C1 ZINC000338584942 386058448 /nfs/dbraw/zinc/05/84/48/386058448.db2.gz JANQCKHRYJHDKY-ZIAGYGMSSA-N 0 3 237.318 2.544 20 0 BFADHN CCn1ccc(CN[C@@H](C)CCC(F)(F)F)n1 ZINC000414205722 386059695 /nfs/dbraw/zinc/05/96/95/386059695.db2.gz HXTOAOXHWBIWGV-VIFPVBQESA-N 0 3 249.280 2.724 20 0 BFADHN CCn1ccc(CN[C@@H](C2CC2)C2CCCC2)n1 ZINC000414207421 386062630 /nfs/dbraw/zinc/06/26/30/386062630.db2.gz GVUUQYHICWJPHA-OAHLLOKOSA-N 0 3 247.386 2.961 20 0 BFADHN CC[C@H](O)CN1CC(C)(C)[C@@H]1c1cccs1 ZINC000286657486 386063868 /nfs/dbraw/zinc/06/38/68/386063868.db2.gz ALZLCOHPQMDFON-JQWIXIFHSA-N 0 3 239.384 2.902 20 0 BFADHN COCC[C@H](C)CN[C@@H](C)c1ccco1 ZINC000414210139 386064410 /nfs/dbraw/zinc/06/44/10/386064410.db2.gz TZHUVIULMIDRGA-QWRGUYRKSA-N 0 3 211.305 2.603 20 0 BFADHN CCn1ccc(CN[C@H]2CC(C)(C)C[C@@H]2C)n1 ZINC000414213634 386066936 /nfs/dbraw/zinc/06/69/36/386066936.db2.gz UTZBZQLJMSLNMG-AAEUAGOBSA-N 0 3 235.375 2.817 20 0 BFADHN CN(CCOC1CCC1)CCc1ccccc1 ZINC000286676992 386067601 /nfs/dbraw/zinc/06/76/01/386067601.db2.gz AUASIFBWFJOGAH-UHFFFAOYSA-N 0 3 233.355 2.730 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H]1CCCc2occc21 ZINC000414213786 386068862 /nfs/dbraw/zinc/06/88/62/386068862.db2.gz RIQLFXRVLDKCRY-UPJWGTAASA-N 0 3 235.327 2.814 20 0 BFADHN Fc1ccc2c(c1)CCN(C[C@H]1CCCOC1)C2 ZINC000334440550 386068973 /nfs/dbraw/zinc/06/89/73/386068973.db2.gz XGKXTBLGKBGWLL-GFCCVEGCSA-N 0 3 249.329 2.610 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)[C@@H]1C)c1nccs1 ZINC000414217055 386072232 /nfs/dbraw/zinc/07/22/32/386072232.db2.gz ADMLKHAHVDWRJB-KATARQTJSA-N 0 3 210.346 2.838 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000414216688 386072495 /nfs/dbraw/zinc/07/24/95/386072495.db2.gz WXRSBIKQMXTPFW-FVCCEPFGSA-N 0 3 231.343 2.624 20 0 BFADHN Cc1cc(CNC2CC3(C2)CCCCC3)ncn1 ZINC000643291603 386072913 /nfs/dbraw/zinc/07/29/13/386072913.db2.gz AZZSBGSMLDMXAX-UHFFFAOYSA-N 0 3 245.370 2.988 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1cnccc1C(C)(C)C ZINC000414225238 386075601 /nfs/dbraw/zinc/07/56/01/386075601.db2.gz INTSKCWRRKXUNM-SMDDNHRTSA-N 0 3 248.370 2.646 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1CS[C@H](C)C1 ZINC000414226949 386075669 /nfs/dbraw/zinc/07/56/69/386075669.db2.gz KFDBUWLMZIXQMM-GRYCIOLGSA-N 0 3 236.384 2.935 20 0 BFADHN CO[C@@](C)([C@@H](C)N[C@@H](C)c1ccccn1)C1CC1 ZINC000414229089 386077729 /nfs/dbraw/zinc/07/77/29/386077729.db2.gz DZOAFCMWDGMQOE-ZOWXZIJZSA-N 0 3 248.370 2.936 20 0 BFADHN CCc1ccc([C@H](C)NCC2=CCCOC2)o1 ZINC000286871615 386080588 /nfs/dbraw/zinc/08/05/88/386080588.db2.gz BULXXQWQFBKCGH-NSHDSACASA-N 0 3 235.327 2.839 20 0 BFADHN Cc1ccc2c(c1)[C@H](NC[C@@H]1CCSC1)CO2 ZINC000373300496 386081807 /nfs/dbraw/zinc/08/18/07/386081807.db2.gz BLTDKQPLVVVZOX-WCQYABFASA-N 0 3 249.379 2.771 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1cncc(F)c1 ZINC000274347157 386090696 /nfs/dbraw/zinc/09/06/96/386090696.db2.gz JRSJPKCCYMABKE-SNVBAGLBSA-N 0 3 242.363 2.794 20 0 BFADHN CSCC[C@H](C)N(C)Cc1cncc(F)c1 ZINC000274347155 386091320 /nfs/dbraw/zinc/09/13/20/386091320.db2.gz JRSJPKCCYMABKE-JTQLQIEISA-N 0 3 242.363 2.794 20 0 BFADHN Cc1cnccc1CN[C@H]1CC12CCCC2 ZINC000334591734 386095599 /nfs/dbraw/zinc/09/55/99/386095599.db2.gz QONSREGOBRJLOQ-ZDUSSCGKSA-N 0 3 216.328 2.812 20 0 BFADHN Cc1cccc(CN2CCC[C@@H]2[C@H]2CCCO2)n1 ZINC000334457943 386097043 /nfs/dbraw/zinc/09/70/43/386097043.db2.gz QGCLPCUQFMQAOL-HUUCEWRRSA-N 0 3 246.354 2.533 20 0 BFADHN Cc1cccc(CN2CCC[C@H]2[C@H]2CCCO2)n1 ZINC000334457944 386097133 /nfs/dbraw/zinc/09/71/33/386097133.db2.gz QGCLPCUQFMQAOL-LSDHHAIUSA-N 0 3 246.354 2.533 20 0 BFADHN C[C@@H](NC[C@H]1CCCSC1)c1ccccn1 ZINC000287142851 386097964 /nfs/dbraw/zinc/09/79/64/386097964.db2.gz SIZLANPEBGGVLW-VXGBXAGGSA-N 0 3 236.384 2.875 20 0 BFADHN CCC[C@H](N)C(=O)Nc1c(C)cc(C)cc1C ZINC000223758436 386098097 /nfs/dbraw/zinc/09/80/97/386098097.db2.gz HLVUSEJKHGMERZ-LBPRGKRZSA-N 0 3 234.343 2.678 20 0 BFADHN CC[C@H](N[C@H](C)c1nccs1)[C@H](O)C(C)C ZINC000414287354 386098998 /nfs/dbraw/zinc/09/89/98/386098998.db2.gz DRSBMCXIJHTROD-OUAUKWLOSA-N 0 3 242.388 2.589 20 0 BFADHN CO[C@@H]1CCN([C@@H](C)c2ccccn2)CC1(C)C ZINC000295873499 386099031 /nfs/dbraw/zinc/09/90/31/386099031.db2.gz FTKBOYVAMIXMGL-GXTWGEPZSA-N 0 3 248.370 2.890 20 0 BFADHN CS[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC000296180360 386144392 /nfs/dbraw/zinc/14/43/92/386144392.db2.gz IJDUBRJOXWLLPT-WDEREUQCSA-N 0 3 222.357 2.580 20 0 BFADHN Cn1ccc(CN2CCC3(CCCC3)CC2)n1 ZINC000334471270 386144548 /nfs/dbraw/zinc/14/45/48/386144548.db2.gz GRWCLGZFVYSNOU-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@H]3CCC[C@H]3C2)nn1 ZINC000414358186 386145741 /nfs/dbraw/zinc/14/57/41/386145741.db2.gz LHDNGOZJFUWSFX-HZSPNIEDSA-N 0 3 245.370 2.843 20 0 BFADHN CS[C@@H]1CCN(CCOc2ccccc2)C1 ZINC000296163542 386146540 /nfs/dbraw/zinc/14/65/40/386146540.db2.gz HLYWGYOHCXVKKS-CYBMUJFWSA-N 0 3 237.368 2.503 20 0 BFADHN CC[C@@]1(C)CN([C@H]2C=CCCC2)CCO1 ZINC000190095918 386146866 /nfs/dbraw/zinc/14/68/66/386146866.db2.gz KYGQUYAYHJNNAI-STQMWFEESA-N 0 3 209.333 2.596 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1Cc1cc2ccccc2[nH]1 ZINC000643295768 386148759 /nfs/dbraw/zinc/14/87/59/386148759.db2.gz QDRUMRGROIMAGA-RISCZKNCSA-N 0 3 244.338 2.513 20 0 BFADHN Cc1occc1CNC[C@@H](O)C1CCCCC1 ZINC000414350363 386150803 /nfs/dbraw/zinc/15/08/03/386150803.db2.gz ZZMUSTDMJOZARX-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN CCCC[C@@H](CC)N[C@H]1CNCCC1(F)F ZINC000414991689 386151326 /nfs/dbraw/zinc/15/13/26/386151326.db2.gz AQZVADGBQREVKH-MNOVXSKESA-N 0 3 234.334 2.542 20 0 BFADHN Cc1cnccc1CN1CCCO[C@H](C(C)C)C1 ZINC000296213303 386152460 /nfs/dbraw/zinc/15/24/60/386152460.db2.gz ZUNTYZCHGJJSCP-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cc(CN2CCCC[C@H](C)C2)on1 ZINC000274831675 386153678 /nfs/dbraw/zinc/15/36/78/386153678.db2.gz BBHBQIBCBBNUAD-JTQLQIEISA-N 0 3 208.305 2.605 20 0 BFADHN CC[C@H](N[C@@H]1C=C[C@H](CO)C1)c1ccsc1 ZINC000296238629 386154477 /nfs/dbraw/zinc/15/44/77/386154477.db2.gz ASIYWCRIDXABKA-UHTWSYAYSA-N 0 3 237.368 2.726 20 0 BFADHN CCc1ncc(CNCC2(CC)CCC2)cn1 ZINC000414367722 386155759 /nfs/dbraw/zinc/15/57/59/386155759.db2.gz SFEORIIXXCFDPT-UHFFFAOYSA-N 0 3 233.359 2.709 20 0 BFADHN C[C@@H](O)C[C@H](C)NCc1ccc(Cl)c(F)c1 ZINC000164605631 386155795 /nfs/dbraw/zinc/15/57/95/386155795.db2.gz LOEZKMCKSAJQJQ-DTWKUNHWSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@@H](NC[C@H](C)N(C)C)c1cccc(F)c1F ZINC000224984924 386161854 /nfs/dbraw/zinc/16/18/54/386161854.db2.gz LBTADFXWFXFUPB-VHSXEESVSA-N 0 3 242.313 2.566 20 0 BFADHN C[C@H](CCCO)N[C@@H](C)c1cccc(F)c1F ZINC000225000335 386161962 /nfs/dbraw/zinc/16/19/62/386161962.db2.gz YGNUIEZATZPHRF-ZJUUUORDSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@@H](CN[C@@H](C)c1cccc(F)c1F)N(C)C ZINC000224984851 386162098 /nfs/dbraw/zinc/16/20/98/386162098.db2.gz LBTADFXWFXFUPB-UWVGGRQHSA-N 0 3 242.313 2.566 20 0 BFADHN CNCc1cccc(NC(=O)NCC(C)(C)C)c1 ZINC000415915470 386162649 /nfs/dbraw/zinc/16/26/49/386162649.db2.gz FFRAAHMYGOOAPO-UHFFFAOYSA-N 0 3 249.358 2.574 20 0 BFADHN Cc1occc1CN[C@@H]1CCO[C@@H](C(C)C)C1 ZINC000346133757 386162813 /nfs/dbraw/zinc/16/28/13/386162813.db2.gz PQDUUZHTUNQKJE-ZIAGYGMSSA-N 0 3 237.343 2.881 20 0 BFADHN CN(CCCF)[C@H](CO)c1ccc(Cl)cc1 ZINC000296340543 386163728 /nfs/dbraw/zinc/16/37/28/386163728.db2.gz PVKZJGRNGBQZLX-GFCCVEGCSA-N 0 3 245.725 2.665 20 0 BFADHN Cc1cnc(CN2CCC3(CCCC3)CC2)cn1 ZINC000334479513 386168597 /nfs/dbraw/zinc/16/85/97/386168597.db2.gz SEFYAARVYVAQGX-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN CCc1ccc(CN2CCC[C@@H](C)C2)nc1 ZINC000338898211 386171172 /nfs/dbraw/zinc/17/11/72/386171172.db2.gz CQPZYSPTFDBFKA-GFCCVEGCSA-N 0 3 218.344 2.876 20 0 BFADHN C[C@H](C[C@H](C)O)NCc1ccc(F)c(Cl)c1 ZINC000164615257 386172359 /nfs/dbraw/zinc/17/23/59/386172359.db2.gz DOQRONBTWZHUQG-BDAKNGLRSA-N 0 3 245.725 2.728 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1CCOC1(C)C ZINC000296452928 386173362 /nfs/dbraw/zinc/17/33/62/386173362.db2.gz MWGYRLKZIYGBJI-AAEUAGOBSA-N 0 3 234.343 2.608 20 0 BFADHN COC(=O)c1coc(CN(C)[C@H](C)C(C)C)c1 ZINC000263781732 386175379 /nfs/dbraw/zinc/17/53/79/386175379.db2.gz KJURROVNHJUIIQ-SNVBAGLBSA-N 0 3 239.315 2.543 20 0 BFADHN CCCCCNC(=O)Nc1ccc2c(c1)CNC2 ZINC000416003567 386177344 /nfs/dbraw/zinc/17/73/44/386177344.db2.gz FKPWXQDADHYITG-UHFFFAOYSA-N 0 3 247.342 2.602 20 0 BFADHN C[C@H](C1CC1)N(Cc1cncc(F)c1)C1CC1 ZINC000275107373 386180621 /nfs/dbraw/zinc/18/06/21/386180621.db2.gz FFJQMBWTQNAWMY-SNVBAGLBSA-N 0 3 234.318 2.984 20 0 BFADHN CN(Cc1cncc(F)c1)CC(C)(C)C ZINC000275151226 386185519 /nfs/dbraw/zinc/18/55/19/386185519.db2.gz SGPIQVLZOMNSOJ-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](C)OC)o1 ZINC000188126602 386187638 /nfs/dbraw/zinc/18/76/38/386187638.db2.gz WAPSHFURSWYTKM-ZJUUUORDSA-N 0 3 211.305 2.528 20 0 BFADHN O[C@H]1CCC[C@H](CNCc2ccc(Cl)o2)C1 ZINC000164668662 386188401 /nfs/dbraw/zinc/18/84/01/386188401.db2.gz COZYRPWXRFAMIA-UWVGGRQHSA-N 0 3 243.734 2.574 20 0 BFADHN CCc1ncc(CN2CCC[C@@H]2C(C)C)cn1 ZINC000414375195 386188777 /nfs/dbraw/zinc/18/87/77/386188777.db2.gz YBZGAZMFRCNPSM-CYBMUJFWSA-N 0 3 233.359 2.659 20 0 BFADHN C[C@H](N[C@H]1CCC[C@H]1F)c1cccc(CO)c1 ZINC000340524882 386125018 /nfs/dbraw/zinc/12/50/18/386125018.db2.gz UEGRMDXAMZOMER-GDLCADMTSA-N 0 3 237.318 2.720 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](C)OC)o1 ZINC000188126646 386189110 /nfs/dbraw/zinc/18/91/10/386189110.db2.gz WAPSHFURSWYTKM-UWVGGRQHSA-N 0 3 211.305 2.528 20 0 BFADHN Cc1cc(CN2CCC[C@H]2C2CCC2)on1 ZINC000334463805 386132514 /nfs/dbraw/zinc/13/25/14/386132514.db2.gz ZWZDTOXGBDRDTA-ZDUSSCGKSA-N 0 3 220.316 2.748 20 0 BFADHN F[C@@H]1CCC[C@H]1N[C@@H]1CCc2ccccc2OC1 ZINC000340526841 386132838 /nfs/dbraw/zinc/13/28/38/386132838.db2.gz TZQPNXMGVHQYTF-MGPQQGTHSA-N 0 3 249.329 2.860 20 0 BFADHN CCO[C@@H]1C[C@H](N[C@H]2CCC[C@@H]2F)C12CCC2 ZINC000340527233 386133521 /nfs/dbraw/zinc/13/35/21/386133521.db2.gz RPQWNJOBFCNPDB-ZDEQEGDKSA-N 0 3 241.350 2.814 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@H](C)C2)nn1C ZINC000414302833 386136981 /nfs/dbraw/zinc/13/69/81/386136981.db2.gz BXLGSWMFMATNHL-WCQYABFASA-N 0 3 235.375 2.644 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC[C@H]2C)nn1C ZINC000414303520 386138026 /nfs/dbraw/zinc/13/80/26/386138026.db2.gz LOLKMYIKOYTXTN-DGCLKSJQSA-N 0 3 235.375 2.644 20 0 BFADHN CCO[C@@H]1C[C@H](N[C@H]2CCC[C@@H]2F)C1(C)C ZINC000340530107 386138704 /nfs/dbraw/zinc/13/87/04/386138704.db2.gz LPSKYBOIKBNJHA-FIQHERPVSA-N 0 3 229.339 2.670 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC[C@H]2C)nn1C ZINC000414303524 386138930 /nfs/dbraw/zinc/13/89/30/386138930.db2.gz LOLKMYIKOYTXTN-YPMHNXCESA-N 0 3 235.375 2.644 20 0 BFADHN COc1ccncc1CN(C)[C@H](C)C1(C)CC1 ZINC000287645058 386139844 /nfs/dbraw/zinc/13/98/44/386139844.db2.gz GKQRXCWHCLLIAJ-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN COC[C@@H](C)N(C)Cc1cc(F)cc(Cl)c1 ZINC000275344316 386202772 /nfs/dbraw/zinc/20/27/72/386202772.db2.gz XYYOQKNJTAYOHC-SECBINFHSA-N 0 3 245.725 2.946 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@@H](O)c2ccccc2)o1 ZINC000288468446 386203975 /nfs/dbraw/zinc/20/39/75/386203975.db2.gz FNHIMBNKJXPUDO-SWLSCSKDSA-N 0 3 245.322 2.800 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1N[C@H]1CCCSC1 ZINC000288472074 386205112 /nfs/dbraw/zinc/20/51/12/386205112.db2.gz FWSIGMYRSHHYCE-COPLHBTASA-N 0 3 249.379 2.994 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cnccc1OC ZINC000347062238 386210150 /nfs/dbraw/zinc/21/01/50/386210150.db2.gz XDPYONQMSIRHNP-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN COCc1ccc(CNCCC(C)C)o1 ZINC000125025100 386215627 /nfs/dbraw/zinc/21/56/27/386215627.db2.gz INFRLUKVNGDKDG-UHFFFAOYSA-N 0 3 211.305 2.562 20 0 BFADHN CCC1(CNCc2cc(C)n(C)n2)CCCC1 ZINC000414379561 386218069 /nfs/dbraw/zinc/21/80/69/386218069.db2.gz MWMZGHNHLYJSFF-UHFFFAOYSA-N 0 3 235.375 2.789 20 0 BFADHN CSC[C@@H](C)NCc1ccc(C)c(F)c1 ZINC000125001362 386219158 /nfs/dbraw/zinc/21/91/58/386219158.db2.gz FSBZWJXUUSLGEC-SNVBAGLBSA-N 0 3 227.348 2.975 20 0 BFADHN CSC[C@@H](C)NCc1ccccc1F ZINC000125002263 386219935 /nfs/dbraw/zinc/21/99/35/386219935.db2.gz ICWLSXHFDVQWLR-SECBINFHSA-N 0 3 213.321 2.667 20 0 BFADHN Cc1ccoc1CN[C@@H](C)[C@H](O)c1ccccc1 ZINC000288710866 386229147 /nfs/dbraw/zinc/22/91/47/386229147.db2.gz ZHRDLGRPPAXBJE-WFASDCNBSA-N 0 3 245.322 2.800 20 0 BFADHN Cc1ccc(CNCC2(CC(C)C)CC2)nn1 ZINC000414382748 386229993 /nfs/dbraw/zinc/22/99/93/386229993.db2.gz RUGIFPHBOALPEU-UHFFFAOYSA-N 0 3 233.359 2.701 20 0 BFADHN CSCCCN1CCCc2occc2C1 ZINC000288758230 386231405 /nfs/dbraw/zinc/23/14/05/386231405.db2.gz IOXBSWBPDNEGRU-UHFFFAOYSA-N 0 3 225.357 2.781 20 0 BFADHN CC(C)(C)OCCN1CCCc2occc2C1 ZINC000288764125 386232310 /nfs/dbraw/zinc/23/23/10/386232310.db2.gz JCPLNDADCCCKQY-UHFFFAOYSA-N 0 3 237.343 2.843 20 0 BFADHN CC[C@@H](NCCOC(F)(F)F)c1ccncc1 ZINC000453210809 386236361 /nfs/dbraw/zinc/23/63/61/386236361.db2.gz MJVHOZVIHBSTDM-SNVBAGLBSA-N 0 3 248.248 2.659 20 0 BFADHN C[C@@H](O)[C@H]1CCCCN1Cc1ccc(F)cc1 ZINC000264436614 386237591 /nfs/dbraw/zinc/23/75/91/386237591.db2.gz PIOOICKNHKHACT-BXUZGUMPSA-N 0 3 237.318 2.561 20 0 BFADHN Cc1occc1CN[C@@H]1CCC[C@H](F)C1 ZINC000335387585 386238666 /nfs/dbraw/zinc/23/86/66/386238666.db2.gz RKKQWZSMIZSIBM-NWDGAFQWSA-N 0 3 211.280 2.958 20 0 BFADHN CC(C)CCC[C@@H](C)N[C@H]1CNCCC1(F)F ZINC000415001971 386239015 /nfs/dbraw/zinc/23/90/15/386239015.db2.gz MWJHDBRZRNGPRL-NEPJUHHUSA-N 0 3 248.361 2.788 20 0 BFADHN C[C@@H](O)[C@H]1CCCCN1Cc1ccccc1F ZINC000264478205 386241119 /nfs/dbraw/zinc/24/11/19/386241119.db2.gz ZJPTXKQYOFPCII-BXUZGUMPSA-N 0 3 237.318 2.561 20 0 BFADHN CCC[C@@H](O)CN(C)Cc1ccc(C)s1 ZINC000297302412 386242103 /nfs/dbraw/zinc/24/21/03/386242103.db2.gz YRGFMPTUVAWVFH-LLVKDONJSA-N 0 3 227.373 2.649 20 0 BFADHN CCC[C@@](C)(O)CNCc1cccc(F)c1F ZINC000226252164 386242718 /nfs/dbraw/zinc/24/27/18/386242718.db2.gz DRDVRRDNDXWGJO-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN CSCCCN(C)CCC(F)(F)F ZINC000336642571 386245401 /nfs/dbraw/zinc/24/54/01/386245401.db2.gz BFQGCVUJSNXFMT-UHFFFAOYSA-N 0 3 215.284 2.624 20 0 BFADHN Cc1ccc(CN(CCO)[C@@H]2CC[C@@H](C)C2)o1 ZINC000339142650 386261114 /nfs/dbraw/zinc/26/11/14/386261114.db2.gz BHWQUUWQGCLSFK-DGCLKSJQSA-N 0 3 237.343 2.571 20 0 BFADHN CC(C)c1cccc(NC(=O)/C=C/CN(C)C)c1 ZINC000264627510 386255724 /nfs/dbraw/zinc/25/57/24/386255724.db2.gz NAEPZXIRQMWXSX-RMKNXTFCSA-N 0 3 246.354 2.866 20 0 BFADHN CCC[C@@H](NC[C@H](C)OC)c1ccccn1 ZINC000188128964 386191692 /nfs/dbraw/zinc/19/16/92/386191692.db2.gz XBBZUJCKUNYBOR-NWDGAFQWSA-N 0 3 222.332 2.547 20 0 BFADHN CCN(CC)Cc1cc(OC)cc(OC)c1 ZINC000032191144 386191747 /nfs/dbraw/zinc/19/17/47/386191747.db2.gz IPPVOGCPVWDIKK-UHFFFAOYSA-N 0 3 223.316 2.546 20 0 BFADHN C[C@H](NCC(C)(C)O)c1cc(F)c(F)c(F)c1 ZINC000264879994 386280770 /nfs/dbraw/zinc/28/07/70/386280770.db2.gz QYHQEWMYZZENTQ-ZETCQYMHSA-N 0 3 247.260 2.525 20 0 BFADHN C[C@H](NCCOCC1CC1)c1ccccc1F ZINC000226370090 386284738 /nfs/dbraw/zinc/28/47/38/386284738.db2.gz XMLPTYUMLASVJD-NSHDSACASA-N 0 3 237.318 2.903 20 0 BFADHN Fc1cccc(F)c1CNC[C@@H]1CCSC1 ZINC000226384907 386289556 /nfs/dbraw/zinc/28/95/56/386289556.db2.gz RNONOHWKHHONCO-VIFPVBQESA-N 0 3 243.322 2.808 20 0 BFADHN CCS[C@@H]1CCCCN(Cc2ccno2)C1 ZINC000264957354 386290036 /nfs/dbraw/zinc/29/00/36/386290036.db2.gz WSCWUXUYUSVGBF-GFCCVEGCSA-N 0 3 240.372 2.782 20 0 BFADHN CO[C@H](C)CN[C@H]1CCc2c1c(F)ccc2F ZINC000276252452 386290833 /nfs/dbraw/zinc/29/08/33/386290833.db2.gz WKYHBPUXNWRULA-PELKAZGASA-N 0 3 241.281 2.577 20 0 BFADHN CCNCc1nc([C@@H]2Cc3ccccc32)cs1 ZINC000416398107 386291781 /nfs/dbraw/zinc/29/17/81/386291781.db2.gz CWWRJLHSQOAITF-GFCCVEGCSA-N 0 3 244.363 2.941 20 0 BFADHN CCNCc1nc(CC(C)(C)C)cs1 ZINC000416403289 386295652 /nfs/dbraw/zinc/29/56/52/386295652.db2.gz RNCVPHRTRKDFOI-UHFFFAOYSA-N 0 3 212.362 2.841 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](C(C)C)C2)nn1 ZINC000335264465 386297510 /nfs/dbraw/zinc/29/75/10/386297510.db2.gz ZUZMUSNPKGAJGJ-CYBMUJFWSA-N 0 3 233.359 2.653 20 0 BFADHN CC[C@]1(C)COCCN1CCSC(C)C ZINC000336672722 386302916 /nfs/dbraw/zinc/30/29/16/386302916.db2.gz GLDWTGYJZNUGOD-GFCCVEGCSA-N 0 3 231.405 2.629 20 0 BFADHN C[C@@H](O)C[C@@H](C)NCc1ccc(F)cc1Cl ZINC000164797363 386306307 /nfs/dbraw/zinc/30/63/07/386306307.db2.gz LMHVZASQWLHDJV-RKDXNWHRSA-N 0 3 245.725 2.728 20 0 BFADHN CC(C)n1nccc1CN[C@@H]1CCC1(C)C ZINC000336731087 386307203 /nfs/dbraw/zinc/30/72/03/386307203.db2.gz FBWGVMBDGRBCCN-GFCCVEGCSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@H](N[C@H]1CNCCC1(F)F)C1CCCCC1 ZINC000415005838 386311326 /nfs/dbraw/zinc/31/13/26/386311326.db2.gz SDALCIIAYXVAII-JQWIXIFHSA-N 0 3 246.345 2.542 20 0 BFADHN CN(Cc1ccc2occc2c1)CC1(CO)CC1 ZINC000289505940 386320338 /nfs/dbraw/zinc/32/03/38/386320338.db2.gz CSZNBJXGFARARN-UHFFFAOYSA-N 0 3 245.322 2.637 20 0 BFADHN C[C@H](CO)N(C)Cc1ccc(C(C)(C)C)s1 ZINC000289531810 386322146 /nfs/dbraw/zinc/32/21/46/386322146.db2.gz WDKLGTSBUCOMCY-SNVBAGLBSA-N 0 3 241.400 2.858 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1nccc2ccccc21 ZINC000289527616 386323394 /nfs/dbraw/zinc/32/33/94/386323394.db2.gz ABVILVJJXCRVFK-VXGBXAGGSA-N 0 3 244.338 2.920 20 0 BFADHN CCc1ncc(CN2CC[C@@](C)(CC)C2)cn1 ZINC000414391827 386267093 /nfs/dbraw/zinc/26/70/93/386267093.db2.gz AISPYWUFDRUXRT-CQSZACIVSA-N 0 3 233.359 2.661 20 0 BFADHN CCC[C@H](N)C(=O)N[C@@H](C)c1cccc(C)c1C ZINC000236903143 386323750 /nfs/dbraw/zinc/32/37/50/386323750.db2.gz YOSHAYTUDFCHHU-JSGCOSHPSA-N 0 3 248.370 2.608 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2ccoc2C)C1 ZINC000414391625 386267382 /nfs/dbraw/zinc/26/73/82/386267382.db2.gz DBXYBOFLDWODKB-CYBMUJFWSA-N 0 3 237.343 2.837 20 0 BFADHN CO[C@H]1CCN(CCc2cccc(Cl)c2)C1 ZINC000297608200 386267817 /nfs/dbraw/zinc/26/78/17/386267817.db2.gz GJJPXXBUZGEWOD-ZDUSSCGKSA-N 0 3 239.746 2.603 20 0 BFADHN CCc1ccc(CN(C)CCCSC)nc1 ZINC000339158241 386267933 /nfs/dbraw/zinc/26/79/33/386267933.db2.gz PBBQKDIMMNFKNX-UHFFFAOYSA-N 0 3 238.400 2.829 20 0 BFADHN CCc1ncc(CN2CC[C@](C)(CC)C2)cn1 ZINC000414391825 386268030 /nfs/dbraw/zinc/26/80/30/386268030.db2.gz AISPYWUFDRUXRT-AWEZNQCLSA-N 0 3 233.359 2.661 20 0 BFADHN CO[C@H](C)CN(C)Cc1cccc(C)c1C ZINC000289184061 386269075 /nfs/dbraw/zinc/26/90/75/386269075.db2.gz AMJGUPHGWKQOHT-GFCCVEGCSA-N 0 3 221.344 2.770 20 0 BFADHN CC[C@@H]1CN(Cc2ccoc2C)CCS1 ZINC000414393470 386269923 /nfs/dbraw/zinc/26/99/23/386269923.db2.gz IEZSLVCYKVSUAP-GFCCVEGCSA-N 0 3 225.357 2.915 20 0 BFADHN CCN(C)C/C=C/c1ccc(F)c(F)c1 ZINC000289209379 386271647 /nfs/dbraw/zinc/27/16/47/386271647.db2.gz WJTHLSBKZZXFPU-SNAWJCMRSA-N 0 3 211.255 2.930 20 0 BFADHN CSCCN(C)Cc1cc(C)c(O)c(C)c1 ZINC000340579203 386272087 /nfs/dbraw/zinc/27/20/87/386272087.db2.gz OVUAWBLFLBOBCH-UHFFFAOYSA-N 0 3 239.384 2.804 20 0 BFADHN Cc1ccc(CN2CC[C@H](O)C(C)(C)C2)cc1C ZINC000276103986 386273191 /nfs/dbraw/zinc/27/31/91/386273191.db2.gz ZIZJIINJCPCBAE-HNNXBMFYSA-N 0 3 247.382 2.896 20 0 BFADHN COCc1ccc(CN2CCC=C(C)C2)cc1 ZINC000339154556 386275217 /nfs/dbraw/zinc/27/52/17/386275217.db2.gz LZYYNJDTTMGFTF-UHFFFAOYSA-N 0 3 231.339 2.985 20 0 BFADHN Cc1ccc([C@H]2C[C@H](C)CCN2C[C@H](C)O)o1 ZINC000297739025 386276622 /nfs/dbraw/zinc/27/66/22/386276622.db2.gz KGQHXRCLZWSCQP-NTZNESFSSA-N 0 3 237.343 2.742 20 0 BFADHN Cc1ccc(CNC[C@H](O)c2ccccc2C)o1 ZINC000264876239 386279097 /nfs/dbraw/zinc/27/90/97/386279097.db2.gz SWQSYVKMVJUCJI-HNNXBMFYSA-N 0 3 245.322 2.720 20 0 BFADHN CSCCN1CCC[C@H]1c1cccnc1 ZINC000336644765 386279586 /nfs/dbraw/zinc/27/95/86/386279586.db2.gz HDAJBYCNVCKGEP-LBPRGKRZSA-N 0 3 222.357 2.582 20 0 BFADHN COC[C@@H]1CCN1Cc1c(C)cc(C)cc1C ZINC000339278316 386339824 /nfs/dbraw/zinc/33/98/24/386339824.db2.gz FBQYPTKRJKGNFV-AWEZNQCLSA-N 0 3 233.355 2.833 20 0 BFADHN COC(=O)CCN[C@H](C)c1cccc(C2CC2)c1 ZINC000298414121 386340332 /nfs/dbraw/zinc/34/03/32/386340332.db2.gz PEXTVTIBKHKGRL-LLVKDONJSA-N 0 3 247.338 2.778 20 0 BFADHN CCCN[C@@H](C)C(=O)N(CC)c1ccccc1C ZINC000647888049 386344957 /nfs/dbraw/zinc/34/49/57/386344957.db2.gz FGHHPKPCFXJVMQ-ZDUSSCGKSA-N 0 3 248.370 2.736 20 0 BFADHN COC[C@@H]1CCN1CCCC1CCCC1 ZINC000339292443 386346692 /nfs/dbraw/zinc/34/66/92/386346692.db2.gz BVHWPIXXYXTUDL-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN Cc1occc1CN(C)CCc1nccs1 ZINC000414413119 386347149 /nfs/dbraw/zinc/34/71/49/386347149.db2.gz RSTXSJUTEXBKPP-UHFFFAOYSA-N 0 3 236.340 2.719 20 0 BFADHN Cc1ccc(NC(=O)CN(C)[C@@H](C)C(C)C)cc1 ZINC000298463212 386348449 /nfs/dbraw/zinc/34/84/49/386348449.db2.gz MYGKEJCDXLCFSR-ZDUSSCGKSA-N 0 3 248.370 2.910 20 0 BFADHN CCC1(N[C@H](C)c2cc(OC)ncc2F)CC1 ZINC000453288029 386348558 /nfs/dbraw/zinc/34/85/58/386348558.db2.gz LHAWDLXYLLRKRI-SECBINFHSA-N 0 3 238.306 2.823 20 0 BFADHN Cc1nc(C)c([C@@H](C)N(C)CC[C@@H](C)O)s1 ZINC000336688846 386350630 /nfs/dbraw/zinc/35/06/30/386350630.db2.gz YWPBZXSAUKICCQ-PSASIEDQSA-N 0 3 242.388 2.524 20 0 BFADHN Cc1oc2ccccc2c1CN(C)CCN(C)C ZINC000289762644 386353512 /nfs/dbraw/zinc/35/35/12/386353512.db2.gz JZOAKGDXYJMNRS-UHFFFAOYSA-N 0 3 246.354 2.735 20 0 BFADHN C[C@H](CCO)NCc1ccc(-c2ccccc2)o1 ZINC000265643856 386354543 /nfs/dbraw/zinc/35/45/43/386354543.db2.gz JSYSOQPGPVMKSM-GFCCVEGCSA-N 0 3 245.322 2.807 20 0 BFADHN CCc1ncc(CN(CC)C[C@@H](C)CC)cn1 ZINC000414415571 386355560 /nfs/dbraw/zinc/35/55/60/386355560.db2.gz UWWYBGOBQYSMJZ-LBPRGKRZSA-N 0 3 235.375 2.907 20 0 BFADHN CC(C)C(=O)CCN(C)CCOc1ccccc1 ZINC000289820588 386357878 /nfs/dbraw/zinc/35/78/78/386357878.db2.gz AFEYTVJMXFIFKX-UHFFFAOYSA-N 0 3 249.354 2.612 20 0 BFADHN Cc1cnc(CN(C(C)C)C2CCCC2)nc1 ZINC000336691110 386360440 /nfs/dbraw/zinc/36/04/40/386360440.db2.gz HZWHXFCLQPEIQD-UHFFFAOYSA-N 0 3 233.359 2.938 20 0 BFADHN CCC[C@H]1CCCN(Cc2ccc(C)nn2)C1 ZINC000414417515 386363167 /nfs/dbraw/zinc/36/31/67/386363167.db2.gz YAWLCXBNRCWMAR-ZDUSSCGKSA-N 0 3 233.359 2.797 20 0 BFADHN CC(C)COCCN(C)CCc1ccccc1 ZINC000336692779 386364861 /nfs/dbraw/zinc/36/48/61/386364861.db2.gz IUDJWSVHUIXZNS-UHFFFAOYSA-N 0 3 235.371 2.834 20 0 BFADHN C[C@]1(CNCc2sccc2Cl)CCOC1 ZINC000336774796 386366622 /nfs/dbraw/zinc/36/66/22/386366622.db2.gz ZTLAFHZTGNCTEY-LLVKDONJSA-N 0 3 245.775 2.918 20 0 BFADHN Cc1c[nH]nc1CNC[C@H](C)c1ccccc1 ZINC000289879095 386368873 /nfs/dbraw/zinc/36/88/73/386368873.db2.gz MFRRMZJSFGRMOO-NSHDSACASA-N 0 3 229.327 2.611 20 0 BFADHN c1ccc(C[C@@H]2CCN2CCOCC2CC2)cc1 ZINC000336694214 386369122 /nfs/dbraw/zinc/36/91/22/386369122.db2.gz DMESHRLSOCGNOL-INIZCTEOSA-N 0 3 245.366 2.730 20 0 BFADHN c1cncc(CN2CCCC3(CCC3)C2)c1 ZINC000366340903 386372257 /nfs/dbraw/zinc/37/22/57/386372257.db2.gz JLNRVYNBGSLSKG-UHFFFAOYSA-N 0 3 216.328 2.848 20 0 BFADHN CC[C@@H](CNC/C=C/c1ccccc1OC)OC ZINC000289931548 386373273 /nfs/dbraw/zinc/37/32/73/386373273.db2.gz WFGNYKPMNLTTQK-KGXGESDWSA-N 0 3 249.354 2.723 20 0 BFADHN CN(C)c1cccnc1CN[C@H]1CCCC1(C)C ZINC000289929143 386374993 /nfs/dbraw/zinc/37/49/93/386374993.db2.gz VJFGNDZXJIAGAP-AWEZNQCLSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@@](O)(CNCc1ccccc1)c1cccs1 ZINC000265827441 386375308 /nfs/dbraw/zinc/37/53/08/386375308.db2.gz ZMACZAUZSNKPQK-CQSZACIVSA-N 0 3 247.363 2.745 20 0 BFADHN COC[C@H]1CCN(Cc2ccc(Cl)cc2)C1 ZINC000265838861 386375860 /nfs/dbraw/zinc/37/58/60/386375860.db2.gz VZBXJVAQUGTGJO-LBPRGKRZSA-N 0 3 239.746 2.808 20 0 BFADHN COC[C@@H]1CCN(Cc2ccc(Cl)cc2)C1 ZINC000265838859 386376016 /nfs/dbraw/zinc/37/60/16/386376016.db2.gz VZBXJVAQUGTGJO-GFCCVEGCSA-N 0 3 239.746 2.808 20 0 BFADHN CCn1ccnc1CN[C@H]1CC[C@H]1C1CCC1 ZINC000453303194 386377307 /nfs/dbraw/zinc/37/73/07/386377307.db2.gz AHWUXGSZSWLKLC-STQMWFEESA-N 0 3 233.359 2.571 20 0 BFADHN COC[C@H]1CCN(Cc2ccc(Cl)s2)C1 ZINC000265855679 386378349 /nfs/dbraw/zinc/37/83/49/386378349.db2.gz ZNOBWVCMKMTVGU-VIFPVBQESA-N 0 3 245.775 2.870 20 0 BFADHN CO[C@H]1CCC[C@@H]1CNCc1ccc(Cl)o1 ZINC000336775401 386381136 /nfs/dbraw/zinc/38/11/36/386381136.db2.gz YXSYVAFETHBRRA-KOLCDFICSA-N 0 3 243.734 2.838 20 0 BFADHN COc1ncccc1CCN[C@H](C)c1ccco1 ZINC000290022560 386384781 /nfs/dbraw/zinc/38/47/81/386384781.db2.gz CIXZVKCPKNNQKI-LLVKDONJSA-N 0 3 246.310 2.577 20 0 BFADHN C[C@H](C(=O)Nc1ccccc1)N(C)CC(C)(C)C ZINC000339255956 386327717 /nfs/dbraw/zinc/32/77/17/386327717.db2.gz SGFPPHJXQWHGSI-GFCCVEGCSA-N 0 3 248.370 2.992 20 0 BFADHN CC(C)N(C(=O)CN(C)CC(C)(C)C)C(C)C ZINC000339255109 386328219 /nfs/dbraw/zinc/32/82/19/386328219.db2.gz PAJJEKSACWRPQU-UHFFFAOYSA-N 0 3 242.407 2.610 20 0 BFADHN Cc1ccccc1CN1CC[C@@](C)(F)C1 ZINC000347396543 386385777 /nfs/dbraw/zinc/38/57/77/386385777.db2.gz XZPIPVBPFCOCOC-CYBMUJFWSA-N 0 3 207.292 2.929 20 0 BFADHN Cc1c[nH]nc1CNC[C@H](C)Cc1cccs1 ZINC000289606942 386332222 /nfs/dbraw/zinc/33/22/22/386332222.db2.gz VKFJQRSSYDEVOI-SNVBAGLBSA-N 0 3 249.383 2.748 20 0 BFADHN CN(CC[C@H]1CCCCO1)CCC(F)(F)F ZINC000347397426 386386008 /nfs/dbraw/zinc/38/60/08/386386008.db2.gz YCKGZAMJDYCTJC-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN Cc1c[nH]nc1CNCCc1c(C)cccc1C ZINC000289658877 386337683 /nfs/dbraw/zinc/33/76/83/386337683.db2.gz YUIIFHYCESBPDS-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN CCc1ncc(CN2CC[C@H](C)C[C@@H](C)C2)cn1 ZINC000414410018 386337830 /nfs/dbraw/zinc/33/78/30/386337830.db2.gz NXCDYAIRDSYHCE-QWHCGFSZSA-N 0 3 247.386 2.907 20 0 BFADHN CCc1ncc(CN(C)[C@H]2CC[C@@H](C)C2)cn1 ZINC000414432962 386436879 /nfs/dbraw/zinc/43/68/79/386436879.db2.gz JUGKDGLTDICRFK-YPMHNXCESA-N 0 3 233.359 2.659 20 0 BFADHN C[C@@H](NCc1ccc(F)cc1F)C1CC1 ZINC000035136737 386437887 /nfs/dbraw/zinc/43/78/87/386437887.db2.gz CKTWOFNKELXKPY-MRVPVSSYSA-N 0 3 211.255 2.853 20 0 BFADHN CCN(CC1=CCCOC1)[C@@H](C)c1cccnc1 ZINC000290477159 386438206 /nfs/dbraw/zinc/43/82/06/386438206.db2.gz NUTYAGMHQRKEHC-ZDUSSCGKSA-N 0 3 246.354 2.811 20 0 BFADHN CCSCC[C@H](C)N(C)Cc1[nH]ncc1C ZINC000290455700 386439678 /nfs/dbraw/zinc/43/96/78/386439678.db2.gz OYDYFLNXZRRPKQ-NSHDSACASA-N 0 3 241.404 2.682 20 0 BFADHN CCCc1cccc(CNCCOCC)c1 ZINC000187129267 386440098 /nfs/dbraw/zinc/44/00/98/386440098.db2.gz BHPONFMCYIHVTE-UHFFFAOYSA-N 0 3 221.344 2.765 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@H](C)CC2)nn1C ZINC000414433484 386440634 /nfs/dbraw/zinc/44/06/34/386440634.db2.gz KQKKULWCYXJURP-AAEUAGOBSA-N 0 3 235.375 2.787 20 0 BFADHN CN(C[C@@H]1CCCO1)[C@H]1C[C@H]1c1ccccc1 ZINC000336718224 386441013 /nfs/dbraw/zinc/44/10/13/386441013.db2.gz WXHPTXXVHFALBQ-KKUMJFAQSA-N 0 3 231.339 2.653 20 0 BFADHN C[C@H](NCc1ccccc1F)c1cc[nH]c(=O)c1 ZINC000339465950 386441318 /nfs/dbraw/zinc/44/13/18/386441318.db2.gz IANDNQZNIIAKJU-JTQLQIEISA-N 0 3 246.285 2.777 20 0 BFADHN Cc1cnc(CNC2CC(C(C)(C)C)C2)n1C ZINC000339463298 386441515 /nfs/dbraw/zinc/44/15/15/386441515.db2.gz ATSPGXBVILTSKL-UHFFFAOYSA-N 0 3 235.375 2.643 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cncs1 ZINC000290486703 386442007 /nfs/dbraw/zinc/44/20/07/386442007.db2.gz OHUWLQKYLMYUJD-UWVGGRQHSA-N 0 3 210.346 2.906 20 0 BFADHN C[C@@H](N[C@@H]1CCC1(C)C)c1nccs1 ZINC000284128753 386444276 /nfs/dbraw/zinc/44/42/76/386444276.db2.gz CTORWRDPHMGPAY-RKDXNWHRSA-N 0 3 210.346 2.982 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cncc(OC)c1 ZINC000290520155 386444805 /nfs/dbraw/zinc/44/48/05/386444805.db2.gz YXPUUWJTMUZVJK-GFCCVEGCSA-N 0 3 236.359 2.958 20 0 BFADHN c1cc(CN2CC[C@@H](c3ccccc3)C2)on1 ZINC000299384031 386446110 /nfs/dbraw/zinc/44/61/10/386446110.db2.gz NZMIWJBPCAQCQD-CYBMUJFWSA-N 0 3 228.295 2.664 20 0 BFADHN CCN(CCCO)[C@H](C)c1cccc(F)c1 ZINC000299402574 386448682 /nfs/dbraw/zinc/44/86/82/386448682.db2.gz MMJVCPARCKIRKB-LLVKDONJSA-N 0 3 225.307 2.591 20 0 BFADHN CCN(CC[C@@H]1CCCCO1)Cc1ccncc1 ZINC000347556618 386449242 /nfs/dbraw/zinc/44/92/42/386449242.db2.gz XTGHUOVMOWMIGZ-HNNXBMFYSA-N 0 3 248.370 2.863 20 0 BFADHN CN(Cc1cccnc1)CC1CCCC1 ZINC000299404365 386449645 /nfs/dbraw/zinc/44/96/45/386449645.db2.gz MEMKVWCSKNIJNL-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CCCN(Cc1n[nH]c(C2CC2)n1)CC(C)C ZINC000347405906 386390101 /nfs/dbraw/zinc/39/01/01/386390101.db2.gz ZPWJUSMRVVMZJA-UHFFFAOYSA-N 0 3 236.363 2.550 20 0 BFADHN CCC[N@@H+](Cc1nnc(C2CC2)[n-]1)CC(C)C ZINC000347405906 386390105 /nfs/dbraw/zinc/39/01/05/386390105.db2.gz ZPWJUSMRVVMZJA-UHFFFAOYSA-N 0 3 236.363 2.550 20 0 BFADHN CCC[N@H+](Cc1nnc(C2CC2)[n-]1)CC(C)C ZINC000347405906 386390109 /nfs/dbraw/zinc/39/01/09/386390109.db2.gz ZPWJUSMRVVMZJA-UHFFFAOYSA-N 0 3 236.363 2.550 20 0 BFADHN C[C@H](Cc1ccccc1)CN1C[C@H](C)OC[C@@H]1C ZINC000347354898 386391826 /nfs/dbraw/zinc/39/18/26/386391826.db2.gz QCZURENFFQFSHU-ILXRZTDVSA-N 0 3 247.382 2.974 20 0 BFADHN c1ccc(C[C@@H]2CCN2C[C@@H]2CCCCO2)cc1 ZINC000336704337 386393892 /nfs/dbraw/zinc/39/38/92/386393892.db2.gz IZRIELNWPRWQJB-HOTGVXAUSA-N 0 3 245.366 2.873 20 0 BFADHN CCOCCN[C@@H](C)c1cc(C)c(C)o1 ZINC000282095286 386394531 /nfs/dbraw/zinc/39/45/31/386394531.db2.gz IHLOVKKGQSDLEX-JTQLQIEISA-N 0 3 211.305 2.584 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cncc(OC)c1 ZINC000290117047 386396419 /nfs/dbraw/zinc/39/64/19/386396419.db2.gz YSNVCGWHAVPZRC-FZMZJTMJSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1cc(C)cc(CN2CCN(C)CC2(C)C)c1 ZINC000347558484 386451284 /nfs/dbraw/zinc/45/12/84/386451284.db2.gz CDXHXQQUDCLZOV-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN CC[C@H](CN[C@H](c1cccnc1)C1CCC1)OC ZINC000290177340 386401655 /nfs/dbraw/zinc/40/16/55/386401655.db2.gz NEVDILYFORCMFT-CABCVRRESA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H](COc1cccc(F)c1)NCc1ccco1 ZINC000299005664 386401964 /nfs/dbraw/zinc/40/19/64/386401964.db2.gz DHGZWSLJNBMMDU-NSHDSACASA-N 0 3 249.285 2.976 20 0 BFADHN CCc1ccc(CNCC2(SC)CC2)cn1 ZINC000336776847 386403626 /nfs/dbraw/zinc/40/36/26/386403626.db2.gz GUZDYSOVJAEUCG-UHFFFAOYSA-N 0 3 236.384 2.629 20 0 BFADHN Cc1nocc1CNCC1(C(F)(F)F)CCC1 ZINC000339397913 386406648 /nfs/dbraw/zinc/40/66/48/386406648.db2.gz MRCQHEFDLSWOKI-UHFFFAOYSA-N 0 3 248.248 2.805 20 0 BFADHN Cc1occc1CN1CCC[C@@H](OCC2CC2)C1 ZINC000414436174 386451586 /nfs/dbraw/zinc/45/15/86/386451586.db2.gz RPTRYQJIUFGNTD-OAHLLOKOSA-N 0 3 249.354 2.979 20 0 BFADHN c1ncc(CN2CCC[C@@H](C3CC3)C2)s1 ZINC000290241220 386410234 /nfs/dbraw/zinc/41/02/34/386410234.db2.gz UTBDQNBFQAIWGF-LLVKDONJSA-N 0 3 222.357 2.765 20 0 BFADHN CC[C@@H](CNCc1occc1C)N1CCCC1 ZINC000266242100 386416658 /nfs/dbraw/zinc/41/66/58/386416658.db2.gz XTMPIAVSMOBHCX-ZDUSSCGKSA-N 0 3 236.359 2.552 20 0 BFADHN C[C@H](O)CCCN1C[C@@H](C)[C@H]1c1ccccc1 ZINC000336709174 386417578 /nfs/dbraw/zinc/41/75/78/386417578.db2.gz MKMHWVRQZXNREY-IPYPFGDCSA-N 0 3 233.355 2.840 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cnc(C)cn1 ZINC000336708651 386419101 /nfs/dbraw/zinc/41/91/01/386419101.db2.gz IRIUHHCGGIAWDU-AWEZNQCLSA-N 0 3 233.359 2.940 20 0 BFADHN Cc1cnc(CN(CC2CC2)CC2CC2)o1 ZINC000336708540 386419886 /nfs/dbraw/zinc/41/98/86/386419886.db2.gz UYZCCBLAXPAWTP-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN CN(CCC1CCOCC1)CCC(F)(F)F ZINC000336710160 386422046 /nfs/dbraw/zinc/42/20/46/386422046.db2.gz RNOPNFUBMXQNFJ-UHFFFAOYSA-N 0 3 239.281 2.687 20 0 BFADHN Cc1cc(CN(C)C)cc(NC(=O)C2(C)CC2)c1 ZINC000340337411 386422346 /nfs/dbraw/zinc/42/23/46/386422346.db2.gz QJXKBZYYSCXNSK-UHFFFAOYSA-N 0 3 246.354 2.795 20 0 BFADHN Cc1ccoc1CN1CCSC[C@H](C)C1 ZINC000277301355 386424259 /nfs/dbraw/zinc/42/42/59/386424259.db2.gz RYGXSWGJTHXOLI-SNVBAGLBSA-N 0 3 225.357 2.773 20 0 BFADHN C[C@H](c1ccco1)N(CCC[C@H](C)O)C1CC1 ZINC000336710943 386424672 /nfs/dbraw/zinc/42/46/72/386424672.db2.gz NHQLLKMEWCKUGZ-NWDGAFQWSA-N 0 3 237.343 2.966 20 0 BFADHN CCSc1cccc(CN[C@H]2CCOC2)c1 ZINC000336777500 386424847 /nfs/dbraw/zinc/42/48/47/386424847.db2.gz IRDGEJLRCRKORK-LBPRGKRZSA-N 0 3 237.368 2.677 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@H](C)[C@@H]2C)nn1C ZINC000414430306 386426024 /nfs/dbraw/zinc/42/60/24/386426024.db2.gz DUMCXRZIKCPDBV-UBHSHLNASA-N 0 3 249.402 2.890 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@@H](c2ccccc2)C1 ZINC000290377324 386426156 /nfs/dbraw/zinc/42/61/56/386426156.db2.gz IEMLMRWIGVSJCG-CQSZACIVSA-N 0 3 241.338 2.708 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC23CCCC3)cn1 ZINC000414430232 386426770 /nfs/dbraw/zinc/42/67/70/386426770.db2.gz FJIHSGHQKZUHGQ-CYBMUJFWSA-N 0 3 245.370 2.851 20 0 BFADHN Cc1occc1CN(C)CCc1ccccc1O ZINC000414432319 386432801 /nfs/dbraw/zinc/43/28/01/386432801.db2.gz MIZZQCHLXCHTRL-UHFFFAOYSA-N 0 3 245.322 2.968 20 0 BFADHN CC[C@H]1CCN1C/C=C\c1ccncc1 ZINC000430208128 386435153 /nfs/dbraw/zinc/43/51/53/386435153.db2.gz SIUDKHDIKFBPNP-SCOBNMCVSA-N 0 3 202.301 2.579 20 0 BFADHN CC[C@H](NCC(C)(C)CC)c1nccn1C ZINC000191828436 386467572 /nfs/dbraw/zinc/46/75/72/386467572.db2.gz QPRRBMRHWVEFEY-NSHDSACASA-N 0 3 223.364 2.897 20 0 BFADHN CCOc1ccc(OCCN2C[C@H](C)[C@H]2C)cc1 ZINC000339573482 386471713 /nfs/dbraw/zinc/47/17/13/386471713.db2.gz IKCOBGGDOBKYGC-QWHCGFSZSA-N 0 3 249.354 2.804 20 0 BFADHN C[C@@H]1CN(CCOc2ccc(F)cc2)[C@H]1C ZINC000339578339 386471744 /nfs/dbraw/zinc/47/17/44/386471744.db2.gz BEJJOXXUMWRPSW-MNOVXSKESA-N 0 3 223.291 2.545 20 0 BFADHN Cc1occc1CNC[C@@H]1CCC(C)(C)O1 ZINC000414441034 386472073 /nfs/dbraw/zinc/47/20/73/386472073.db2.gz ZIWIQEYXQCEBSC-LBPRGKRZSA-N 0 3 223.316 2.635 20 0 BFADHN C[C@@H]1C[C@H](Nc2ncccc2Cl)CCN1C ZINC000095015705 386475154 /nfs/dbraw/zinc/47/51/54/386475154.db2.gz FGRZVRXXHFQJEU-NXEZZACHSA-N 0 3 239.750 2.630 20 0 BFADHN CCc1ncc(CN2CC[C@@H]3CCCC[C@@H]32)cn1 ZINC000335425560 386476478 /nfs/dbraw/zinc/47/64/78/386476478.db2.gz WIRFXWOWFGQGQZ-KBPBESRZSA-N 0 3 245.370 2.804 20 0 BFADHN Cc1nnc([C@H](C)N2CC[C@@H](C(C)(C)C)C2)o1 ZINC000335365872 386476719 /nfs/dbraw/zinc/47/67/19/386476719.db2.gz BRJXHEHSVPMYNC-GXSJLCMTSA-N 0 3 237.347 2.807 20 0 BFADHN CC[C@H](O)CN(C)Cc1cc2ccccc2o1 ZINC000267000250 386484792 /nfs/dbraw/zinc/48/47/92/386484792.db2.gz CNANYTOQZHHMHO-LBPRGKRZSA-N 0 3 233.311 2.636 20 0 BFADHN COC(=O)c1ccc([C@@H](C)N2CCC[C@@H]2C)o1 ZINC000227428724 386484918 /nfs/dbraw/zinc/48/49/18/386484918.db2.gz FETAXRAFGZCEAR-VHSXEESVSA-N 0 3 237.299 2.612 20 0 BFADHN C[C@H]1C[C@H]1CC(=O)Nc1ccccc1CN(C)C ZINC000519569786 386488895 /nfs/dbraw/zinc/48/88/95/386488895.db2.gz WLGAZEXDPPVDQE-AAEUAGOBSA-N 0 3 246.354 2.733 20 0 BFADHN CCOc1ccc([C@@H](C)N[C@H]2C[C@@](C)(O)C2)cc1 ZINC000417352014 386490200 /nfs/dbraw/zinc/49/02/00/386490200.db2.gz DYXFRPJJZKEORV-KYOSRNDESA-N 0 3 249.354 2.649 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@H]1C[C@@](C)(O)C1 ZINC000417354151 386490438 /nfs/dbraw/zinc/49/04/38/386490438.db2.gz LDTCLGSNQVDUCY-SLEUVZQESA-N 0 3 249.354 2.649 20 0 BFADHN CCN(CCNc1nc2ccccc2o1)C1CC1 ZINC000227549977 386493326 /nfs/dbraw/zinc/49/33/26/386493326.db2.gz NYJPFTRLISOQTH-UHFFFAOYSA-N 0 3 245.326 2.724 20 0 BFADHN Cc1ccoc1CNC[C@]1(C)CCCC[C@@H]1O ZINC000267114473 386496802 /nfs/dbraw/zinc/49/68/02/386496802.db2.gz RYKCVLCZJFEHKZ-KBPBESRZSA-N 0 3 237.343 2.619 20 0 BFADHN CCOc1ccccc1[C@H](C)NC[C@H](C)OC ZINC000227985473 386529720 /nfs/dbraw/zinc/52/97/20/386529720.db2.gz FWGSITMLNDMDGN-RYUDHWBXSA-N 0 3 237.343 2.771 20 0 BFADHN COCCC1(NCc2ccoc2C)CCC1 ZINC000414446657 386505944 /nfs/dbraw/zinc/50/59/44/386505944.db2.gz OPWOYONCUJLATL-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN CC1=CCN(Cc2cc3cccnc3o2)CC1 ZINC000335443764 386506546 /nfs/dbraw/zinc/50/65/46/386506546.db2.gz LUDBFAYEZSGFRF-UHFFFAOYSA-N 0 3 228.295 2.980 20 0 BFADHN C[C@H](NC[C@@H]1CC12CC2)c1ccc(F)cn1 ZINC000417338986 386507015 /nfs/dbraw/zinc/50/70/15/386507015.db2.gz NNKNVRYGZOOUDN-UWVGGRQHSA-N 0 3 220.291 2.671 20 0 BFADHN Cc1cnn(CCN2CC[C@H]2c2ccccc2)c1 ZINC000336787561 386510418 /nfs/dbraw/zinc/51/04/18/386510418.db2.gz MEMSBVYJKUMEGO-HNNXBMFYSA-N 0 3 241.338 2.639 20 0 BFADHN Cc1cnn(CCN(C)[C@H](C)c2ccccc2)c1 ZINC000336786297 386511105 /nfs/dbraw/zinc/51/11/05/386511105.db2.gz HLKKAZWJMMNVEU-CQSZACIVSA-N 0 3 243.354 2.885 20 0 BFADHN CN(CCC1CC1)C[C@@H]1COc2ccccc2O1 ZINC000336784857 386512485 /nfs/dbraw/zinc/51/24/85/386512485.db2.gz YXQWXODQEXVFAB-CYBMUJFWSA-N 0 3 247.338 2.558 20 0 BFADHN Fc1ccc(CN2CC[C@@H](CC3CC3)C2)nc1 ZINC000339673042 386514948 /nfs/dbraw/zinc/51/49/48/386514948.db2.gz IRZDQDDKYZMIMD-LBPRGKRZSA-N 0 3 234.318 2.843 20 0 BFADHN COc1ccc(CN2CC[C@H](CC3CC3)C2)nc1 ZINC000339675151 386515662 /nfs/dbraw/zinc/51/56/62/386515662.db2.gz CKVPPEYDTKZQTG-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN Fc1ccc(CN2CC[C@H](CC3CC3)C2)nc1 ZINC000339673041 386516398 /nfs/dbraw/zinc/51/63/98/386516398.db2.gz IRZDQDDKYZMIMD-GFCCVEGCSA-N 0 3 234.318 2.843 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@@H](CC3CC3)C2)cn1 ZINC000339672151 386516416 /nfs/dbraw/zinc/51/64/16/386516416.db2.gz GJAWYYUDYAUYNY-JSGCOSHPSA-N 0 3 245.370 2.968 20 0 BFADHN CC[C@H](NCc1ccnc(N)c1)c1ccccc1 ZINC000278363403 386518347 /nfs/dbraw/zinc/51/83/47/386518347.db2.gz FMYFZDWSVLAMHU-AWEZNQCLSA-N 0 3 241.338 2.905 20 0 BFADHN CC(C)=CCN1CC[C@](CO)(c2ccccc2)C1 ZINC000291182753 386521010 /nfs/dbraw/zinc/52/10/10/386521010.db2.gz FVHPFISLOCCBTQ-INIZCTEOSA-N 0 3 245.366 2.589 20 0 BFADHN CO[C@H](C)CN(C)Cc1cc(F)ccc1C ZINC000278409037 386521841 /nfs/dbraw/zinc/52/18/41/386521841.db2.gz RMLYMAMBOKUBAZ-LLVKDONJSA-N 0 3 225.307 2.601 20 0 BFADHN C[C@H](O)CCCNCc1cc(Cl)ccc1F ZINC000227959968 386525403 /nfs/dbraw/zinc/52/54/03/386525403.db2.gz JONQQPOBUMNTBN-VIFPVBQESA-N 0 3 245.725 2.730 20 0 BFADHN C[C@@H](O)CCCNCc1ccc(Cl)c(F)c1 ZINC000227963779 386527545 /nfs/dbraw/zinc/52/75/45/386527545.db2.gz YYQGINVBGOQNDW-SECBINFHSA-N 0 3 245.725 2.730 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc(F)c(C)c1 ZINC000277658946 386456500 /nfs/dbraw/zinc/45/65/00/386456500.db2.gz DPYWWXKSPUMMRL-LLVKDONJSA-N 0 3 225.307 2.601 20 0 BFADHN CCN(C)Cc1cc(O)cc(C(F)(F)F)c1 ZINC000290585395 386456522 /nfs/dbraw/zinc/45/65/22/386456522.db2.gz ZVGNSVMMFRCVPB-UHFFFAOYSA-N 0 3 233.233 2.863 20 0 BFADHN CCOc1cccc(CNC2CC(F)(F)C2)c1 ZINC000277688478 386457627 /nfs/dbraw/zinc/45/76/27/386457627.db2.gz QHYZDOXLTDLCDF-UHFFFAOYSA-N 0 3 241.281 2.973 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@H](C)[C@H]2C)cn1 ZINC000336723993 386458387 /nfs/dbraw/zinc/45/83/87/386458387.db2.gz HBPGWTCZXGBRKF-NTZNESFSSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1cccc(CN2CCN(C)CC2(C)C)c1 ZINC000347577591 386458650 /nfs/dbraw/zinc/45/86/50/386458650.db2.gz GIXVPTHPGROSAE-UHFFFAOYSA-N 0 3 232.371 2.521 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1cccc(O)c1 ZINC000290610930 386458865 /nfs/dbraw/zinc/45/88/65/386458865.db2.gz WMVBXBAWJFGEKQ-LBPRGKRZSA-N 0 3 239.384 2.966 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CC[C@@H](C)[C@@H](C)C1 ZINC000336778537 386463499 /nfs/dbraw/zinc/46/34/99/386463499.db2.gz LOIXZQZRGQGMBW-JFGNBEQYSA-N 0 3 221.348 2.632 20 0 BFADHN C[C@H](NCCCCO)c1nccc2ccccc21 ZINC000290654055 386465283 /nfs/dbraw/zinc/46/52/83/386465283.db2.gz KGXKPFAFGJUYGV-LBPRGKRZSA-N 0 3 244.338 2.658 20 0 BFADHN CC[C@H]1CC[C@H](NCc2cc(C)n(C)n2)CC1 ZINC000414450020 386528369 /nfs/dbraw/zinc/52/83/69/386528369.db2.gz DYXPNQFSWJTLFG-JOCQHMNTSA-N 0 3 235.375 2.787 20 0 BFADHN CN(CCCCO)Cc1cc(Cl)cs1 ZINC000278756843 386577609 /nfs/dbraw/zinc/57/76/09/386577609.db2.gz STVODFODDAMRRD-UHFFFAOYSA-N 0 3 233.764 2.606 20 0 BFADHN CO[C@H]1CCN([C@H]2CCc3ccc(F)cc32)C1 ZINC000267727416 386578982 /nfs/dbraw/zinc/57/89/82/386578982.db2.gz ZLLHVVVAYSFUMH-JSGCOSHPSA-N 0 3 235.302 2.534 20 0 BFADHN C[C@@H](NCCCCF)c1cncs1 ZINC000336643934 386579054 /nfs/dbraw/zinc/57/90/54/386579054.db2.gz LVFOXAFXLNNUON-MRVPVSSYSA-N 0 3 202.298 2.543 20 0 BFADHN Cc1cc(CN([C@@H](C)C(C)C)C2CC2)n(C)n1 ZINC000417705865 386581473 /nfs/dbraw/zinc/58/14/73/386581473.db2.gz VDNJHDGDSYVQGO-LBPRGKRZSA-N 0 3 235.375 2.737 20 0 BFADHN CCCCN1CCNC[C@@H]1c1cccc(F)c1 ZINC000337049812 386585281 /nfs/dbraw/zinc/58/52/81/386585281.db2.gz IRSZUDHYEHUUHQ-CQSZACIVSA-N 0 3 236.334 2.572 20 0 BFADHN C=Cn1cc(CN2CC[C@H](C)C(C)(C)C2)cn1 ZINC000278480102 386533271 /nfs/dbraw/zinc/53/32/71/386533271.db2.gz JDMBTMHRSNPWNK-LBPRGKRZSA-N 0 3 233.359 2.852 20 0 BFADHN C=Cn1cc(CN2CC[C@@H](C)C3(CCC3)C2)cn1 ZINC000278477095 386533579 /nfs/dbraw/zinc/53/35/79/386533579.db2.gz INTQAHGTSPIHHA-CYBMUJFWSA-N 0 3 245.370 2.996 20 0 BFADHN C[C@H](O)CCN(C)[C@H]1CCc2ccc(F)cc21 ZINC000267399772 386536512 /nfs/dbraw/zinc/53/65/12/386536512.db2.gz ZINGNIAYVSEVFT-HZMBPMFUSA-N 0 3 237.318 2.516 20 0 BFADHN CCC[C@@](C)(N)C(=O)N1CCC(C)(CC)CC1 ZINC000062957994 386536557 /nfs/dbraw/zinc/53/65/57/386536557.db2.gz OCIXILNETRKPFQ-CQSZACIVSA-N 0 3 240.391 2.543 20 0 BFADHN Cc1occc1CN[C@H](C)c1cc[nH]c(=O)c1 ZINC000414452292 386541626 /nfs/dbraw/zinc/54/16/26/386541626.db2.gz MJRFNOAMWUEGQO-SECBINFHSA-N 0 3 232.283 2.539 20 0 BFADHN Cc1cnccc1CCN1CC=C(C)CC1 ZINC000335461108 386543875 /nfs/dbraw/zinc/54/38/75/386543875.db2.gz DTYWOCQHTKPVSM-UHFFFAOYSA-N 0 3 216.328 2.585 20 0 BFADHN Cc1ccc(CNCc2ccncc2C)cc1 ZINC000228653976 386597131 /nfs/dbraw/zinc/59/71/31/386597131.db2.gz IFWDVSIGBSQNTQ-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN CN(CCSc1ncc[nH]1)Cc1ccccc1 ZINC000278559369 386548912 /nfs/dbraw/zinc/54/89/12/386548912.db2.gz MKOADUKBFGLFFC-UHFFFAOYSA-N 0 3 247.367 2.634 20 0 BFADHN Cn1ccc(CN2CC3(CCC3)[C@H]2C2CC2)c1 ZINC000291469358 386549070 /nfs/dbraw/zinc/54/90/70/386549070.db2.gz DPYQZLXKXUXCBV-CQSZACIVSA-N 0 3 230.355 2.790 20 0 BFADHN C[C@@H]1CN(CC2CC(F)(F)C2)CC2(CCC2)O1 ZINC000335465940 386551116 /nfs/dbraw/zinc/55/11/16/386551116.db2.gz WYHFWKAYDDQDJC-SNVBAGLBSA-N 0 3 245.313 2.675 20 0 BFADHN CO[C@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC000267606964 386558843 /nfs/dbraw/zinc/55/88/43/386558843.db2.gz AQAJHAZHBCQGCL-GWCFXTLKSA-N 0 3 223.291 2.607 20 0 BFADHN Cc1cc(CN(C)CC2CCCCC2)n(C)n1 ZINC000417692718 386559459 /nfs/dbraw/zinc/55/94/59/386559459.db2.gz ARFANBGHCAPBFL-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@@H](C)c1cc(C)oc1C ZINC000278675702 386565182 /nfs/dbraw/zinc/56/51/82/386565182.db2.gz JNUWTTMJVYAGBQ-NURSFMCSSA-N 0 3 239.359 2.954 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1ccc(C)nn1 ZINC000414456103 386565371 /nfs/dbraw/zinc/56/53/71/386565371.db2.gz GVSJBPWHHNPQFL-ZIAGYGMSSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1ccc(C)nn1 ZINC000414456100 386567199 /nfs/dbraw/zinc/56/71/99/386567199.db2.gz GVSJBPWHHNPQFL-KGLIPLIRSA-N 0 3 233.359 2.699 20 0 BFADHN c1ccc(CN2CCS[C@@H]3CCCC[C@@H]32)nc1 ZINC000267659082 386568217 /nfs/dbraw/zinc/56/82/17/386568217.db2.gz CKSFSODJRYNFMY-UONOGXRCSA-N 0 3 248.395 2.942 20 0 BFADHN c1ccc(CN2CCS[C@H]3CCCC[C@@H]32)nc1 ZINC000267659080 386568677 /nfs/dbraw/zinc/56/86/77/386568677.db2.gz CKSFSODJRYNFMY-KBPBESRZSA-N 0 3 248.395 2.942 20 0 BFADHN CCCCN(C)Cc1c(C)nsc1C ZINC000519862394 386572299 /nfs/dbraw/zinc/57/22/99/386572299.db2.gz TWIHBULPHCWIHX-UHFFFAOYSA-N 0 3 212.362 2.992 20 0 BFADHN C[C@H](NCc1ccno1)[C@@H]1CCC[C@H](C)C1 ZINC000519864795 386572361 /nfs/dbraw/zinc/57/23/61/386572361.db2.gz VCAVIIOTKUUZGD-SDDRHHMPSA-N 0 3 222.332 2.979 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(SC)cc2)C1 ZINC000267670322 386573003 /nfs/dbraw/zinc/57/30/03/386573003.db2.gz OHUBNEOASCXKAC-LBPRGKRZSA-N 0 3 237.368 2.629 20 0 BFADHN CCN(CCC(F)(F)F)C[C@H](OC)C1CC1 ZINC000644920986 386620820 /nfs/dbraw/zinc/62/08/20/386620820.db2.gz QLDJDAVFXGDUCK-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN CCc1ncc(CN[C@H]2C[C@]2(CC)C(C)C)cn1 ZINC000414465408 386622664 /nfs/dbraw/zinc/62/26/64/386622664.db2.gz XIMHEBMXIZZKBC-DZGCQCFKSA-N 0 3 247.386 2.953 20 0 BFADHN COC[C@H]1CCCN(Cc2ccoc2C)CC1 ZINC000414464811 386624440 /nfs/dbraw/zinc/62/44/40/386624440.db2.gz VHZCTDYDXKZXEF-ZDUSSCGKSA-N 0 3 237.343 2.837 20 0 BFADHN C[C@@H]1CN(Cc2cnns2)[C@H]2CCCC[C@@H]12 ZINC000450711925 386625798 /nfs/dbraw/zinc/62/57/98/386625798.db2.gz FFVZPCKOMLXEPM-USWWRNFRSA-N 0 3 237.372 2.549 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3CCCC[C@H]32)ncn1 ZINC000292161542 386628005 /nfs/dbraw/zinc/62/80/05/386628005.db2.gz KPKNOVCCFWWLSZ-DZGCQCFKSA-N 0 3 245.370 2.940 20 0 BFADHN CCCCN(Cc1ccccc1F)C[C@H](C)O ZINC000337147094 386629470 /nfs/dbraw/zinc/62/94/70/386629470.db2.gz YTNDVHXTOOPLOB-LBPRGKRZSA-N 0 3 239.334 2.809 20 0 BFADHN CC[C@H](C)CN(CC)[C@@H](C)C(=O)N[C@@H](C)CC ZINC000179356390 386632984 /nfs/dbraw/zinc/63/29/84/386632984.db2.gz SPJLYLRBQWXPGW-AVGNSLFASA-N 0 3 242.407 2.658 20 0 BFADHN CC(C)C[C@@H](C)CN1CCOC[C@H]1C1CC1 ZINC000337170420 386633389 /nfs/dbraw/zinc/63/33/89/386633389.db2.gz CTXCAIWBYKYORW-OCCSQVGLSA-N 0 3 225.376 2.779 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC[C@H](C)C[C@@H]1C ZINC000336646447 386633981 /nfs/dbraw/zinc/63/39/81/386633981.db2.gz BFFUGSOSLOWCRW-ATZCPNFKSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1cc(CN2CC[C@H](C3CCCC3)C2)ncn1 ZINC000335504470 386634076 /nfs/dbraw/zinc/63/40/76/386634076.db2.gz UDDZRMSDSMINPW-AWEZNQCLSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1nccn1CCCCNc1cc(C)ccn1 ZINC000268281347 386636666 /nfs/dbraw/zinc/63/66/66/386636666.db2.gz BHYGASRCAMDUOA-UHFFFAOYSA-N 0 3 244.342 2.787 20 0 BFADHN CC[C@H](C)N(C)Cc1cnc2cc(C)ccn12 ZINC000179323678 386637866 /nfs/dbraw/zinc/63/78/66/386637866.db2.gz RKMUGRQIAIEXOU-LBPRGKRZSA-N 0 3 231.343 2.873 20 0 BFADHN CC[C@H](C)N(C)Cc1cnn2ccccc12 ZINC000179324777 386638765 /nfs/dbraw/zinc/63/87/65/386638765.db2.gz ORJODDDYQJFMTF-NSHDSACASA-N 0 3 217.316 2.565 20 0 BFADHN Cc1c[nH]nc1CN[C@@H](C)C1CCCCC1 ZINC000336782390 386640999 /nfs/dbraw/zinc/64/09/99/386640999.db2.gz XLQBJOXMASEYFN-NSHDSACASA-N 0 3 221.348 2.777 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@@H]1COCc2ccccc21 ZINC000417368463 386643183 /nfs/dbraw/zinc/64/31/83/386643183.db2.gz QXPWARBIDOBBRH-BXUZGUMPSA-N 0 3 249.354 2.661 20 0 BFADHN CC[C@H]1COCCN1CCCC(C)(C)C ZINC000337193772 386644790 /nfs/dbraw/zinc/64/47/90/386644790.db2.gz UVVJRVZISZHFMU-LBPRGKRZSA-N 0 3 213.365 2.924 20 0 BFADHN C[C@@H]1c2ccccc2CCN1C[C@H]1CCCO1 ZINC000246287921 386647298 /nfs/dbraw/zinc/64/72/98/386647298.db2.gz BMYAPHDSIWPDCV-TZMCWYRMSA-N 0 3 231.339 2.785 20 0 BFADHN CC(C)C[C@H](C)CN1CC[S@](=O)CC[C@H]1C ZINC000337200806 386647983 /nfs/dbraw/zinc/64/79/83/386647983.db2.gz FHYOXWWUBBBXTA-WOSRLPQWSA-N 0 3 245.432 2.512 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1c(C)nn(C)c1C ZINC000453603031 386648746 /nfs/dbraw/zinc/64/87/46/386648746.db2.gz AJVQKOFPMHPBBH-LSDHHAIUSA-N 0 3 249.402 2.951 20 0 BFADHN Cc1cc(CN2C[C@H](C(C)C)[C@@H]2C(C)C)nn1C ZINC000414472056 386652616 /nfs/dbraw/zinc/65/26/16/386652616.db2.gz RZGRSHYPNZBODJ-CABCVRRESA-N 0 3 249.402 2.841 20 0 BFADHN COC(=O)[C@]1(C)CCCN1C[C@@H](C)CC(C)C ZINC000337181597 386652733 /nfs/dbraw/zinc/65/27/33/386652733.db2.gz AZNBFTZCOKHZBE-JSGCOSHPSA-N 0 3 241.375 2.696 20 0 BFADHN COC[C@@H](C)CNC1(c2ccccc2OC)CC1 ZINC000648056034 386653992 /nfs/dbraw/zinc/65/39/92/386653992.db2.gz OSWRHSWINIMFPH-LBPRGKRZSA-N 0 3 249.354 2.556 20 0 BFADHN C[C@@H](O)CN(C)[C@H](C)c1ccc(F)c(Cl)c1 ZINC000245730481 386602507 /nfs/dbraw/zinc/60/25/07/386602507.db2.gz CESSEOWOLYOLQS-RKDXNWHRSA-N 0 3 245.725 2.853 20 0 BFADHN C[C@@H](NCc1cccs1)c1cc[nH]c(=O)c1 ZINC000336781623 386606068 /nfs/dbraw/zinc/60/60/68/386606068.db2.gz VDVZVFRYRXTSQQ-SECBINFHSA-N 0 3 234.324 2.700 20 0 BFADHN C[C@H](N[C@H]1CCCc2occc21)[C@H]1CCCO1 ZINC000245782115 386606246 /nfs/dbraw/zinc/60/62/46/386606246.db2.gz CRZAKVYOCQQHSI-WCFLWFBJSA-N 0 3 235.327 2.814 20 0 BFADHN C[C@H](NCc1ccccc1)c1ccncc1 ZINC000019899503 386608558 /nfs/dbraw/zinc/60/85/58/386608558.db2.gz IONQHMYOKSTIRH-LBPRGKRZSA-N 0 3 212.296 2.932 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3CCC[C@H]3C)cn2c1 ZINC000268018475 386610533 /nfs/dbraw/zinc/61/05/33/386610533.db2.gz HFNPKJDXLWWXAD-TZMCWYRMSA-N 0 3 243.354 2.921 20 0 BFADHN C[C@@H](F)CCN1CCOC[C@@H]1CC1CCC1 ZINC000339773479 386617526 /nfs/dbraw/zinc/61/75/26/386617526.db2.gz RYGXLMKDVFTLPG-YPMHNXCESA-N 0 3 229.339 2.626 20 0 BFADHN C[C@@H](F)CCNC(C)(C)c1nccs1 ZINC000336645773 386617843 /nfs/dbraw/zinc/61/78/43/386617843.db2.gz CAQNVKKICFPCEM-MRVPVSSYSA-N 0 3 216.325 2.716 20 0 BFADHN C[C@H](NCC1Cc2ccccc2C1)c1ccon1 ZINC000520467202 386682137 /nfs/dbraw/zinc/68/21/37/386682137.db2.gz GUJRFNXKDHOSPI-NSHDSACASA-N 0 3 242.322 2.740 20 0 BFADHN c1ncc(CN(CC2CC2)C2CCC2)s1 ZINC000355674998 386660360 /nfs/dbraw/zinc/66/03/60/386660360.db2.gz FEWPHSLJRTZOIB-UHFFFAOYSA-N 0 3 222.357 2.908 20 0 BFADHN O=C(CN1CCCC12CCC2)Nc1ccccc1 ZINC000335521929 386662314 /nfs/dbraw/zinc/66/23/14/386662314.db2.gz ZNNVVPNCOUFTGU-UHFFFAOYSA-N 0 3 244.338 2.644 20 0 BFADHN COC(C)(C)CCN[C@H](C)c1csc(C)n1 ZINC000336759451 386664058 /nfs/dbraw/zinc/66/40/58/386664058.db2.gz IZMHKVVVGQUFFZ-SECBINFHSA-N 0 3 242.388 2.917 20 0 BFADHN CO[C@]1(C)CCCN([C@@H](C)c2cccnc2)C1 ZINC000279313465 386666056 /nfs/dbraw/zinc/66/60/56/386666056.db2.gz NHZYFOWNQTVYKZ-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN CO[C@@]1(C)CCCN([C@H](C)c2cccnc2)C1 ZINC000279313467 386666273 /nfs/dbraw/zinc/66/62/73/386666273.db2.gz NHZYFOWNQTVYKZ-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN COC[C@H]1CN(CCCC(C)(C)C)C[C@@H](C)O1 ZINC000337206343 386667569 /nfs/dbraw/zinc/66/75/69/386667569.db2.gz MHKIMMAUINYBBM-CHWSQXEVSA-N 0 3 243.391 2.548 20 0 BFADHN CCC1(NCc2cc(C)n(C)n2)CCCCC1 ZINC000414476304 386667651 /nfs/dbraw/zinc/66/76/51/386667651.db2.gz ZXJWOSBJBBWQBR-UHFFFAOYSA-N 0 3 235.375 2.931 20 0 BFADHN CC(C)[C@H]1CN(CCC(F)(F)F)CCCO1 ZINC000339822528 386667956 /nfs/dbraw/zinc/66/79/56/386667956.db2.gz YQHYGUTVPMFUJH-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1nc(CNC[C@@H]2CCC[C@@H](C)C2)[nH]c1C ZINC000520430055 386671855 /nfs/dbraw/zinc/67/18/55/386671855.db2.gz YLKROIIUFNECOB-ZWNOBZJWSA-N 0 3 235.375 2.942 20 0 BFADHN Cc1cc(CN(CC(C)C)C(C)C)no1 ZINC000192849534 386677061 /nfs/dbraw/zinc/67/70/61/386677061.db2.gz GHCUZEOUOFINCC-UHFFFAOYSA-N 0 3 210.321 2.849 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1ccc(F)cc1 ZINC000019904661 386679870 /nfs/dbraw/zinc/67/98/70/386679870.db2.gz NSDCEKYKQGVHAG-NXEZZACHSA-N 0 3 211.280 2.511 20 0 BFADHN CCn1ccc(CNC[C@H]2C(C)=CCC[C@@H]2C)n1 ZINC000414479525 386680755 /nfs/dbraw/zinc/68/07/55/386680755.db2.gz IOMLFXVTRUYAOS-ZFWWWQNUSA-N 0 3 247.386 2.985 20 0 BFADHN CCCc1ccc(CN2CC[C@H](O)C[C@@H]2C)cc1 ZINC000292376918 386658023 /nfs/dbraw/zinc/65/80/23/386658023.db2.gz JGTYORUCSASJEB-BBRMVZONSA-N 0 3 247.382 2.984 20 0 BFADHN C1=CC[C@@H](CN2CCO[C@H](C3CCC3)C2)CC1 ZINC000414473383 386658587 /nfs/dbraw/zinc/65/85/87/386658587.db2.gz QMMOHZXFDQCTLA-HIFRSBDPSA-N 0 3 235.371 2.844 20 0 BFADHN CCn1cncc1CN1CCCC[C@@H]1C(C)C ZINC000417773673 386708824 /nfs/dbraw/zinc/70/88/24/386708824.db2.gz JNCSASRQHBLMMW-CQSZACIVSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1cnc(CN[C@@H]2CC=CCC2)s1 ZINC000295860895 386710036 /nfs/dbraw/zinc/71/00/36/386710036.db2.gz WUUBSRDNSCMYNZ-SNVBAGLBSA-N 0 3 208.330 2.650 20 0 BFADHN CCC[C@H](O)CN1Cc2ccccc2C2(CC2)C1 ZINC000450764532 386684752 /nfs/dbraw/zinc/68/47/52/386684752.db2.gz XBOPWPKGSRTWLH-AWEZNQCLSA-N 0 3 245.366 2.695 20 0 BFADHN Cc1n[nH]cc1CN(C)CCC(C)(C)C ZINC000648059900 386685065 /nfs/dbraw/zinc/68/50/65/386685065.db2.gz UJEIBRGCWPXFIQ-UHFFFAOYSA-N 0 3 209.337 2.586 20 0 BFADHN CCc1cc(OC)ccc1CN[C@H]1CCCOC1 ZINC000337268166 386685323 /nfs/dbraw/zinc/68/53/23/386685323.db2.gz XGVUAIDXABXKBG-AWEZNQCLSA-N 0 3 249.354 2.526 20 0 BFADHN CCn1ccc(CNCC2CCCCCC2)n1 ZINC000414481390 386685457 /nfs/dbraw/zinc/68/54/57/386685457.db2.gz LJTOIGJIQPXDSX-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN C[C@H](C1CCC1)N(C)Cc1cn2ccccc2n1 ZINC000357018646 386688026 /nfs/dbraw/zinc/68/80/26/386688026.db2.gz APVIUCPKKYCQEU-GFCCVEGCSA-N 0 3 243.354 2.955 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@@H](C)[C@H]2C)o1 ZINC000357018884 386688160 /nfs/dbraw/zinc/68/81/60/386688160.db2.gz KQVQBPVGSFQCIQ-IEBDPFPHSA-N 0 3 208.305 2.774 20 0 BFADHN CC(C)[C@@](C)(O)CN[C@@H]1CCCc2occc21 ZINC000192857879 386689982 /nfs/dbraw/zinc/68/99/82/386689982.db2.gz MINOPVSCXZGJDH-OCCSQVGLSA-N 0 3 237.343 2.654 20 0 BFADHN CN(CCSC1CCCCC1)[C@H]1CCOC1 ZINC000337259559 386691841 /nfs/dbraw/zinc/69/18/41/386691841.db2.gz WDLNFMHAAZRXEO-LBPRGKRZSA-N 0 3 243.416 2.773 20 0 BFADHN COc1cc(CN[C@H](C)[C@@H](C)OC)ccc1C ZINC000268796800 386693818 /nfs/dbraw/zinc/69/38/18/386693818.db2.gz DVZCOSOPXOUYGM-VXGBXAGGSA-N 0 3 237.343 2.517 20 0 BFADHN CC(C)CC1(CN[C@@H](C)c2ccncn2)CC1 ZINC000391917992 386696668 /nfs/dbraw/zinc/69/66/68/386696668.db2.gz AMDOUWJCHIZOPM-LBPRGKRZSA-N 0 3 233.359 2.954 20 0 BFADHN CC[C@@H](NC[C@H](C)CO)c1cc(F)ccc1F ZINC000268808735 386698719 /nfs/dbraw/zinc/69/87/19/386698719.db2.gz DESXVQDSYBTXQV-TVQRCGJNSA-N 0 3 243.297 2.634 20 0 BFADHN C[C@@H](CO)CN[C@@H]1CC(C)(C)Cc2occc21 ZINC000268834089 386701991 /nfs/dbraw/zinc/70/19/91/386701991.db2.gz LHCULVBKIRRUDH-ZYHUDNBSSA-N 0 3 237.343 2.511 20 0 BFADHN CCc1ccc([C@H](C)NCCn2ccnc2C)o1 ZINC000268845813 386705223 /nfs/dbraw/zinc/70/52/23/386705223.db2.gz XAFDUIGZBIGYTJ-NSHDSACASA-N 0 3 247.342 2.698 20 0 BFADHN CCn1cncc1CN1CCC[C@H]1C(C)(C)C ZINC000417774544 386705229 /nfs/dbraw/zinc/70/52/29/386705229.db2.gz ZIYVOOLFJRDZDK-ZDUSSCGKSA-N 0 3 235.375 2.914 20 0 BFADHN CCc1ccc(CN2CCN(C)[C@H](C)[C@@H]2C)cc1 ZINC000357076218 386712356 /nfs/dbraw/zinc/71/23/56/386712356.db2.gz WLXPZPKOKBFPNG-KGLIPLIRSA-N 0 3 246.398 2.773 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C[C@@H](C)C2)n(C)n1 ZINC000417776214 386708584 /nfs/dbraw/zinc/70/85/84/386708584.db2.gz AERGXCJPCNKPNY-NWDGAFQWSA-N 0 3 235.375 2.597 20 0 BFADHN CN(Cc1cccc(C(F)F)c1)[C@@H]1CCOC1 ZINC000279769100 386733454 /nfs/dbraw/zinc/73/34/54/386733454.db2.gz SLQHFLGRDWWKCF-GFCCVEGCSA-N 0 3 241.281 2.845 20 0 BFADHN CCc1ccc(CN(C)C(C)(C)COC)o1 ZINC000292850899 386735523 /nfs/dbraw/zinc/73/55/23/386735523.db2.gz UBZGLFNILUDENX-UHFFFAOYSA-N 0 3 225.332 2.699 20 0 BFADHN CC(C)[C@H](O)CN([C@H](C)c1ccco1)C1CC1 ZINC000292870155 386738421 /nfs/dbraw/zinc/73/84/21/386738421.db2.gz HMRUFOQLNOGSIN-DGCLKSJQSA-N 0 3 237.343 2.822 20 0 BFADHN CCn1cncc1CN1CC[C@H](C)C[C@H](C)C1 ZINC000417779814 386713593 /nfs/dbraw/zinc/71/35/93/386713593.db2.gz HHFCDTJQGFVCEF-STQMWFEESA-N 0 3 235.375 2.771 20 0 BFADHN FC1(CN2CCCc3ccccc3C2)CC1 ZINC000526970722 386713690 /nfs/dbraw/zinc/71/36/90/386713690.db2.gz HBXJOGWFRATLDT-UHFFFAOYSA-N 0 3 219.303 2.937 20 0 BFADHN CC[C@H](CN[C@@H]1CCCOc2ccccc21)OC ZINC000353538401 386717641 /nfs/dbraw/zinc/71/76/41/386717641.db2.gz HQOAGBQQRRPQLZ-TZMCWYRMSA-N 0 3 249.354 2.915 20 0 BFADHN CC[C@@H](CN[C@H]1CCCOc2ccccc21)OC ZINC000353538397 386718011 /nfs/dbraw/zinc/71/80/11/386718011.db2.gz HQOAGBQQRRPQLZ-JSGCOSHPSA-N 0 3 249.354 2.915 20 0 BFADHN CSC[C@H]1CCCN(Cc2ccco2)C1 ZINC000292757835 386719685 /nfs/dbraw/zinc/71/96/85/386719685.db2.gz JFIYLZZTOYSYHP-NSHDSACASA-N 0 3 225.357 2.855 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cncn1CC ZINC000417789511 386719735 /nfs/dbraw/zinc/71/97/35/386719735.db2.gz VJIQYZFENPJJDM-LBPRGKRZSA-N 0 3 223.364 2.771 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1ccc(F)c(C)c1 ZINC000268918724 386720463 /nfs/dbraw/zinc/72/04/63/386720463.db2.gz VWSAAHLNFPPTFT-AAEUAGOBSA-N 0 3 239.334 2.946 20 0 BFADHN COC1(CCN2CC3(C2)CC(F)(F)C3)CCC1 ZINC000645102933 386721588 /nfs/dbraw/zinc/72/15/88/386721588.db2.gz IMJQIJRESZSFIH-UHFFFAOYSA-N 0 3 245.313 2.677 20 0 BFADHN CC[C@H]1CCN1Cc1ccc(OCCOC)cc1 ZINC000292767415 386721722 /nfs/dbraw/zinc/72/17/22/386721722.db2.gz KCKRSGDTKMOFSM-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCC[C@H]1C1CC1 ZINC000414491357 386721799 /nfs/dbraw/zinc/72/17/99/386721799.db2.gz YTBIDGOEXCXXOI-STQMWFEESA-N 0 3 233.359 2.634 20 0 BFADHN Cc1nn(C)c(C)c1CN1CC(C)(C)[C@@H]1C(C)C ZINC000353744452 386722507 /nfs/dbraw/zinc/72/25/07/386722507.db2.gz FNTDCYPGPXAHLO-AWEZNQCLSA-N 0 3 249.402 2.903 20 0 BFADHN CCc1ccc(C[NH2+]Cc2ncccc2[O-])s1 ZINC000526990467 386722430 /nfs/dbraw/zinc/72/24/30/386722430.db2.gz AVQJCOARAONEIR-UHFFFAOYSA-N 0 3 248.351 2.701 20 0 BFADHN Cc1occc1CN(C)CCC1CCOCC1 ZINC000292780741 386725622 /nfs/dbraw/zinc/72/56/22/386725622.db2.gz ZBCZXVXKKMVZBY-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN Cc1cccc(O[C@H](C)CNCC2(F)CC2)c1 ZINC000526989279 386726630 /nfs/dbraw/zinc/72/66/30/386726630.db2.gz DRFKQRUVESDTRI-GFCCVEGCSA-N 0 3 237.318 2.854 20 0 BFADHN CC[C@H](O)CN(C)[C@H](C)c1ccc(F)cc1 ZINC000247606116 386727676 /nfs/dbraw/zinc/72/76/76/386727676.db2.gz LRUOCMJLPXXUAE-MFKMUULPSA-N 0 3 225.307 2.589 20 0 BFADHN CC1(C)C[C@@H](N[C@H]2CCc3cc(F)ccc32)CO1 ZINC000396512787 386769336 /nfs/dbraw/zinc/76/93/36/386769336.db2.gz CEXJMNMFYQTFEU-OCCSQVGLSA-N 0 3 249.329 2.970 20 0 BFADHN CCC[C@H](N)C(=O)N(C)[C@H](C)c1ccccc1C ZINC000269073450 386749395 /nfs/dbraw/zinc/74/93/95/386749395.db2.gz BELPEKSOQBKFLH-OCCSQVGLSA-N 0 3 248.370 2.642 20 0 BFADHN Cc1cn[nH]c1CNc1cccc(C2CC2)n1 ZINC000292933779 386750747 /nfs/dbraw/zinc/75/07/47/386750747.db2.gz JHWQEESHRJSGEX-UHFFFAOYSA-N 0 3 228.299 2.603 20 0 BFADHN Fc1ccc2c(c1)[C@H](N[C@@H]1CCOC1)CCC2 ZINC000279922851 386754162 /nfs/dbraw/zinc/75/41/62/386754162.db2.gz XMAPTKSPURQRHU-TZMCWYRMSA-N 0 3 235.302 2.582 20 0 BFADHN CCc1ccc(-c2noc(C(C)(C)CN)n2)cc1 ZINC000124974196 386754439 /nfs/dbraw/zinc/75/44/39/386754439.db2.gz YZFMBXUTYKXHFU-UHFFFAOYSA-N 0 3 245.326 2.535 20 0 BFADHN CC(C)C1(NCc2ccc(-c3cc[nH]n3)o2)CC1 ZINC000292949968 386754540 /nfs/dbraw/zinc/75/45/40/386754540.db2.gz IQCUJNYXNHKODK-UHFFFAOYSA-N 0 3 245.326 2.948 20 0 BFADHN Cc1cnn(C)c1CN1CCC(C)(C2CC2)CC1 ZINC000334156440 386755750 /nfs/dbraw/zinc/75/57/50/386755750.db2.gz YLRFFUZVROSTDK-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN CO[C@H](C)CN(Cc1ncccc1C)C(C)C ZINC000357302060 386755970 /nfs/dbraw/zinc/75/59/70/386755970.db2.gz DSJDLKKNMVIPBF-CYBMUJFWSA-N 0 3 236.359 2.635 20 0 BFADHN C[C@@H]1CCN(Cc2nc3ccccc3n2C)[C@H]1C ZINC000248366996 386756860 /nfs/dbraw/zinc/75/68/60/386756860.db2.gz SUAYHXWKVULJTJ-NEPJUHHUSA-N 0 3 243.354 2.804 20 0 BFADHN CC[C@](C)(CN[C@@H]1CCCC[C@H]1F)OC ZINC000639264330 386758751 /nfs/dbraw/zinc/75/87/51/386758751.db2.gz CGCJRRAJWLLPQY-IJLUTSLNSA-N 0 3 217.328 2.672 20 0 BFADHN CC[C@@H](C)[C@H](CN[C@@H]1CCCC[C@H]1F)OC ZINC000639264321 386759304 /nfs/dbraw/zinc/75/93/04/386759304.db2.gz BVVNHQVUEVFERD-LPWJVIDDSA-N 0 3 231.355 2.918 20 0 BFADHN Cc1ncccc1CNC(C)(C)COC(C)C ZINC000396500795 386769842 /nfs/dbraw/zinc/76/98/42/386769842.db2.gz VUSAJALWVQEWSD-UHFFFAOYSA-N 0 3 236.359 2.683 20 0 BFADHN CSc1ccc(CNCc2[nH]ncc2C)cc1 ZINC000352857350 386765759 /nfs/dbraw/zinc/76/57/59/386765759.db2.gz YOKUJVTVMSOGGB-UHFFFAOYSA-N 0 3 247.367 2.730 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2ccno2)C1 ZINC000334634501 386766929 /nfs/dbraw/zinc/76/69/29/386766929.db2.gz BXLAWYXUMLILCQ-WDEREUQCSA-N 0 3 208.305 2.685 20 0 BFADHN C[C@@H](CCO)N[C@@H]1CC(C)(C)Cc2occc21 ZINC000269044508 386742549 /nfs/dbraw/zinc/74/25/49/386742549.db2.gz WIFFNQGLILBLCE-CMPLNLGQSA-N 0 3 237.343 2.654 20 0 BFADHN CC[C@H]1CCN1Cc1ccc(COC)cc1 ZINC000292890032 386743497 /nfs/dbraw/zinc/74/34/97/386743497.db2.gz YSPUUHHXMONBFD-AWEZNQCLSA-N 0 3 219.328 2.817 20 0 BFADHN CC[C@H](N)C(=O)N(C)c1cccc(C(C)C)c1 ZINC000269058575 386743923 /nfs/dbraw/zinc/74/39/23/386743923.db2.gz SPIIOQWWLBCFKB-ZDUSSCGKSA-N 0 3 234.343 2.510 20 0 BFADHN Cn1ccc(CN2CCC[C@H]2CC(C)(C)C)n1 ZINC000352868504 386790583 /nfs/dbraw/zinc/79/05/83/386790583.db2.gz SPLBGKCLYHYARJ-ZDUSSCGKSA-N 0 3 235.375 2.821 20 0 BFADHN CCCCN(CCOC)Cc1cnccc1C ZINC000269467611 386795566 /nfs/dbraw/zinc/79/55/66/386795566.db2.gz JTPAVWOKZQWARG-UHFFFAOYSA-N 0 3 236.359 2.639 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCC[C@@H]2C)nn1 ZINC000396537311 386771779 /nfs/dbraw/zinc/77/17/79/386771779.db2.gz JNJHIYPRXJYHNU-AAEUAGOBSA-N 0 3 233.359 2.701 20 0 BFADHN CCC[C@H](NCc1ccc(C)nn1)C1CCC1 ZINC000396543081 386772457 /nfs/dbraw/zinc/77/24/57/386772457.db2.gz LVTIJMYXWVGNBC-AWEZNQCLSA-N 0 3 233.359 2.843 20 0 BFADHN CCOc1ccccc1CN[C@H]1COC(C)(C)C1 ZINC000396545476 386772770 /nfs/dbraw/zinc/77/27/70/386772770.db2.gz PWCJWUMOGMAILJ-CYBMUJFWSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@H](C3CC3)C2)nn1 ZINC000396548047 386772777 /nfs/dbraw/zinc/77/27/77/386772777.db2.gz CYBGYTMPDAXSHQ-UONOGXRCSA-N 0 3 245.370 2.843 20 0 BFADHN C[C@@H]1CN(Cc2ccc(-n3cccn3)cc2)[C@H]1C ZINC000293062978 386774027 /nfs/dbraw/zinc/77/40/27/386774027.db2.gz SJVZGRVTRHILHI-OLZOCXBDSA-N 0 3 241.338 2.713 20 0 BFADHN CN(CCn1cccn1)[C@@H]1C=CCCCCC1 ZINC000293099257 386774763 /nfs/dbraw/zinc/77/47/63/386774763.db2.gz NUFHOXMEPJKKTP-CQSZACIVSA-N 0 3 233.359 2.704 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)sn1 ZINC000639273645 386776133 /nfs/dbraw/zinc/77/61/33/386776133.db2.gz XRQZCBJIWCHGTE-GDPRMGEGSA-N 0 3 224.373 2.928 20 0 BFADHN Cc1cc(CN[C@@H]2COC(C)(C)C2)ccc1F ZINC000396587763 386779460 /nfs/dbraw/zinc/77/94/60/386779460.db2.gz KWCFAMWOGSNQOD-LBPRGKRZSA-N 0 3 237.318 2.791 20 0 BFADHN NC(=O)CN1C[C@H](c2ccccc2)C2(CCC2)C1 ZINC000334638994 386780938 /nfs/dbraw/zinc/78/09/38/386780938.db2.gz WUPUJBATEIZSIT-CYBMUJFWSA-N 0 3 244.338 2.791 20 0 BFADHN CC(C)(C)C1=CCN(Cc2cnc[nH]2)CC1 ZINC000280127582 386781249 /nfs/dbraw/zinc/78/12/49/386781249.db2.gz AMPBDDVMKZCNCQ-UHFFFAOYSA-N 0 3 219.332 2.588 20 0 BFADHN NC(=O)CN1C[C@@H](c2ccccc2)C2(CCC2)C1 ZINC000334638995 386782017 /nfs/dbraw/zinc/78/20/17/386782017.db2.gz WUPUJBATEIZSIT-ZDUSSCGKSA-N 0 3 244.338 2.791 20 0 BFADHN Cc1ccc2ncc(CN[C@H]3CC3(C)C)n2c1 ZINC000269362396 386782183 /nfs/dbraw/zinc/78/21/83/386782183.db2.gz PKEOJXMDBKBJSX-LBPRGKRZSA-N 0 3 229.327 2.531 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@H](C)[C@H]2C)nn1 ZINC000396596003 386784559 /nfs/dbraw/zinc/78/45/59/386784559.db2.gz YSDZPUBPCXAHMB-ZKYQVNSYSA-N 0 3 233.359 2.699 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cc(C)nn2C)C1 ZINC000417807835 386787882 /nfs/dbraw/zinc/78/78/82/386787882.db2.gz IMFOYXYJAUTURL-CYBMUJFWSA-N 0 3 235.375 2.741 20 0 BFADHN COC[C@H]1CCN1Cc1cc(C)sc1C ZINC000293429564 386816890 /nfs/dbraw/zinc/81/68/90/386816890.db2.gz AZXXLZJLZIUMAW-GFCCVEGCSA-N 0 3 225.357 2.586 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CC[C@@H](OC)C1 ZINC000190930307 386817448 /nfs/dbraw/zinc/81/74/48/386817448.db2.gz JMPCNPGNVNJHGX-ZIAGYGMSSA-N 0 3 249.354 2.742 20 0 BFADHN CCc1ccc([C@@H](C)NCCCCCO)o1 ZINC000192923398 386797114 /nfs/dbraw/zinc/79/71/14/386797114.db2.gz XUZMDGQXKAAVJY-LLVKDONJSA-N 0 3 225.332 2.655 20 0 BFADHN C[C@H]1CN(CC2=CCCCC2)C[C@H](C2CC2)O1 ZINC000450886563 386806729 /nfs/dbraw/zinc/80/67/29/386806729.db2.gz FTKFHXHUQJPNDB-SWLSCSKDSA-N 0 3 235.371 2.986 20 0 BFADHN Cc1ccccc1OCCN[C@@H]1COC(C)(C)C1 ZINC000417869875 386807586 /nfs/dbraw/zinc/80/75/86/386807586.db2.gz GFNYBEORIUUMSX-ZDUSSCGKSA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc(C)oc1C)[C@H](C)O ZINC000395282082 386808220 /nfs/dbraw/zinc/80/82/20/386808220.db2.gz UMRDSDPNOAHUOZ-KWBADKCTSA-N 0 3 225.332 2.706 20 0 BFADHN CC(C)[C@@H](O)CNC(C)(C)c1ccccc1F ZINC000293361314 386808678 /nfs/dbraw/zinc/80/86/78/386808678.db2.gz OIHFGFGGLRGGKE-ZDUSSCGKSA-N 0 3 239.334 2.667 20 0 BFADHN Cc1nnsc1CN[C@@H](C)CC(C)(C)C ZINC000395028700 386811138 /nfs/dbraw/zinc/81/11/38/386811138.db2.gz UDMVMCRDRUFDKK-QMMMGPOBSA-N 0 3 227.377 2.761 20 0 BFADHN C[C@@H](CO)[C@@H](C)NCc1ccsc1Cl ZINC000336671896 386813853 /nfs/dbraw/zinc/81/38/53/386813853.db2.gz ZIBADJDOMVERCE-JGVFFNPUSA-N 0 3 233.764 2.508 20 0 BFADHN Cc1ccc2nc(CNC[C@@H]3CC3(C)C)cn2c1 ZINC000341003861 386815027 /nfs/dbraw/zinc/81/50/27/386815027.db2.gz AFTPVBIEQQKJPG-LBPRGKRZSA-N 0 3 243.354 2.778 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2cncs2)CC1 ZINC000280423102 386815201 /nfs/dbraw/zinc/81/52/01/386815201.db2.gz ZFPNKFTVYGHRNI-LBPRGKRZSA-N 0 3 240.372 2.534 20 0 BFADHN Cc1ccoc1CN[C@H]1CCC[C@@H](C(N)=O)C1 ZINC000330675977 386853127 /nfs/dbraw/zinc/85/31/27/386853127.db2.gz TWAGNAYRGBDCSX-MNOVXSKESA-N 0 3 236.315 2.772 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@H](C)C[C@H]2C)n1C ZINC000341056604 386830642 /nfs/dbraw/zinc/83/06/42/386830642.db2.gz ZQWUBJZLHLFZDG-DMDPSCGWSA-N 0 3 235.375 2.643 20 0 BFADHN Fc1ccc(C2(NCCOCC3CC3)CC2)cc1 ZINC000357709487 386831249 /nfs/dbraw/zinc/83/12/49/386831249.db2.gz UURNDCUGDDCZML-UHFFFAOYSA-N 0 3 249.329 2.831 20 0 BFADHN CCCCC(=O)NCCN1[C@@H](C)CCC[C@@H]1C ZINC000341056974 386831449 /nfs/dbraw/zinc/83/14/49/386831449.db2.gz JDYTVXRQHDFQGR-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2ncc(C)n2C)C1 ZINC000341052618 386831779 /nfs/dbraw/zinc/83/17/79/386831779.db2.gz UACDZCLFZNGDCY-CHWSQXEVSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC[C@H](C)[C@H](C)C1 ZINC000336673379 386832173 /nfs/dbraw/zinc/83/21/73/386832173.db2.gz OSFDWFSLEVGIGS-IQJOONFLSA-N 0 3 239.388 2.761 20 0 BFADHN CCCCC(=O)NCCN1[C@H](C)CCC[C@@H]1C ZINC000341056971 386832396 /nfs/dbraw/zinc/83/23/96/386832396.db2.gz JDYTVXRQHDFQGR-BETUJISGSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@@H]1CN(C[C@@H](O)c2cc3ccccc3o2)[C@H]1C ZINC000293533747 386832689 /nfs/dbraw/zinc/83/26/89/386832689.db2.gz XHTKCFKSFJSAIJ-NTZNESFSSA-N 0 3 245.322 2.806 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1ncc(C)n1C ZINC000341085448 386833610 /nfs/dbraw/zinc/83/36/10/386833610.db2.gz BEWQPFBZDRKFPT-QWHCGFSZSA-N 0 3 235.375 2.787 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccco1)CC(C)C ZINC000417388457 386835713 /nfs/dbraw/zinc/83/57/13/386835713.db2.gz ZSJSSXQBRBPLGS-NWDGAFQWSA-N 0 3 225.332 2.991 20 0 BFADHN CC1(C)CCCCN(Cc2ccno2)C1 ZINC000334781934 386838077 /nfs/dbraw/zinc/83/80/77/386838077.db2.gz KZFJXVATAJMUGR-UHFFFAOYSA-N 0 3 208.305 2.687 20 0 BFADHN Cc1ccc(CN2CC(C(C)(C)C)C2)nc1 ZINC000334783647 386842385 /nfs/dbraw/zinc/84/23/85/386842385.db2.gz XDDRQAZETANHHR-UHFFFAOYSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCc1cscn1 ZINC000357780669 386843236 /nfs/dbraw/zinc/84/32/36/386843236.db2.gz YDBPYFZKIYQIMQ-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN COCC1(CN2CC(C)(CC(F)F)C2)CCC1 ZINC000639282955 386843778 /nfs/dbraw/zinc/84/37/78/386843778.db2.gz HLUYUVQVVRLZQB-UHFFFAOYSA-N 0 3 247.329 2.780 20 0 BFADHN Cc1ccncc1[C@H](C)NCCc1cscn1 ZINC000357780670 386843922 /nfs/dbraw/zinc/84/39/22/386843922.db2.gz YDBPYFZKIYQIMQ-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN CC(C)n1cncc1CN1CC[C@@H](C2CC2)C1 ZINC000425332015 386844353 /nfs/dbraw/zinc/84/43/53/386844353.db2.gz DFWIITWNKMLSEO-CYBMUJFWSA-N 0 3 233.359 2.696 20 0 BFADHN CC(C)Cn1nccc1CN1CCC[C@H]1C ZINC000648007966 386844779 /nfs/dbraw/zinc/84/47/79/386844779.db2.gz KCEOIPLVMNTFKX-GFCCVEGCSA-N 0 3 221.348 2.523 20 0 BFADHN CCC[C@H](NC[C@H](OC)C1CC1)c1cccnc1 ZINC000293637009 386851096 /nfs/dbraw/zinc/85/10/96/386851096.db2.gz GXBXOFPSLXHDLT-GJZGRUSLSA-N 0 3 248.370 2.937 20 0 BFADHN CS[C@H]1CC[C@H]1N[C@@H](C)c1cccc(O)c1 ZINC000425336004 386851373 /nfs/dbraw/zinc/85/13/73/386851373.db2.gz JRGBRHLGWKTCLE-BIMULSAOSA-N 0 3 237.368 2.937 20 0 BFADHN O[C@@H]1CCCN(Cc2ccc(C3CC3)cc2)C1 ZINC000334788952 386851856 /nfs/dbraw/zinc/85/18/56/386851856.db2.gz IAYFTJKPXHIIBL-OAHLLOKOSA-N 0 3 231.339 2.521 20 0 BFADHN CS[C@H]1CC[C@@H]1N[C@@H](C)c1cccc(O)c1 ZINC000425336107 386851783 /nfs/dbraw/zinc/85/17/83/386851783.db2.gz JRGBRHLGWKTCLE-XDTLVQLUSA-N 0 3 237.368 2.937 20 0 BFADHN CC(C)n1cncc1CN(C)CCC1CC1 ZINC000425335210 386852040 /nfs/dbraw/zinc/85/20/40/386852040.db2.gz IDROVKXJGJAGJW-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CO[C@H](CN[C@H](C)c1cc(C)c(C)o1)C1CC1 ZINC000293870218 386892966 /nfs/dbraw/zinc/89/29/66/386892966.db2.gz ZPSCEKJKBZUEMM-QMTHXVAHSA-N 0 3 237.343 2.972 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@@H](CC)OC ZINC000353554736 386893030 /nfs/dbraw/zinc/89/30/30/386893030.db2.gz AHLLWQMRILVBED-WCQYABFASA-N 0 3 236.359 2.720 20 0 BFADHN C[C@H](NC1CCC2(CC2)CC1)c1ccn(C)n1 ZINC000334792357 386858635 /nfs/dbraw/zinc/85/86/35/386858635.db2.gz LVEHCAKFLHZPLN-NSHDSACASA-N 0 3 233.359 2.794 20 0 BFADHN Cc1noc(C2CN(C[C@@H]3CCCC[C@H]3C)C2)n1 ZINC000334793324 386859876 /nfs/dbraw/zinc/85/98/76/386859876.db2.gz ZKYWLILNDJIKBL-PWSUYJOCSA-N 0 3 249.358 2.604 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cnc(C3CC3)nc2)C1 ZINC000334793430 386860059 /nfs/dbraw/zinc/86/00/59/386860059.db2.gz XUJKNKGDUYFTJZ-VXGBXAGGSA-N 0 3 245.370 2.832 20 0 BFADHN CC(C)[C@@H]1N(Cc2ccco2)CC12CCOCC2 ZINC000334827188 386862711 /nfs/dbraw/zinc/86/27/11/386862711.db2.gz TWYZYWGKWUDJAC-AWEZNQCLSA-N 0 3 249.354 2.917 20 0 BFADHN C[C@H](NC[C@]1(O)CCCC1(C)C)c1ccccn1 ZINC000293707005 386864549 /nfs/dbraw/zinc/86/45/49/386864549.db2.gz SBXPULYYECTFFH-SWLSCSKDSA-N 0 3 248.370 2.673 20 0 BFADHN CCC1(C[NH2+]Cc2c(F)ccc([O-])c2F)CC1 ZINC000293694754 386864581 /nfs/dbraw/zinc/86/45/81/386864581.db2.gz SQCLXEQCULJNKB-UHFFFAOYSA-N 0 3 241.281 2.950 20 0 BFADHN Cc1cnc(CN(C)[C@@H]2CCCC[C@@H]2C)nc1 ZINC000335744926 386865329 /nfs/dbraw/zinc/86/53/29/386865329.db2.gz AJWSJSVQWHKDBZ-QWHCGFSZSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1oncc1CN(C)C1CCC(F)(F)CC1 ZINC000334829472 386866476 /nfs/dbraw/zinc/86/64/76/386866476.db2.gz VMSQIQGLGJBDMB-UHFFFAOYSA-N 0 3 244.285 2.993 20 0 BFADHN CN(CCc1cnccn1)[C@H]1C=CCCCCC1 ZINC000293746910 386871173 /nfs/dbraw/zinc/87/11/73/386871173.db2.gz IFXFYRISOIBIGA-HNNXBMFYSA-N 0 3 245.370 2.840 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C(C)(C)C)C2)nn1 ZINC000334834938 386874029 /nfs/dbraw/zinc/87/40/29/386874029.db2.gz BDSYMPQEBGNLML-GFCCVEGCSA-N 0 3 233.359 2.653 20 0 BFADHN CCc1ccc(CNC[C@H]2CCC[C@H]2OC)o1 ZINC000341276397 386875399 /nfs/dbraw/zinc/87/53/99/386875399.db2.gz ZUTYMDDYLGIWQH-BXUZGUMPSA-N 0 3 237.343 2.747 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2ccoc2C)C1(C)C ZINC000358022397 386880905 /nfs/dbraw/zinc/88/09/05/386880905.db2.gz PDVVDVIEVXHUPV-GXTWGEPZSA-N 0 3 237.343 2.881 20 0 BFADHN Cc1ccoc1CN[C@H]1[C@H]2CCO[C@H]2C12CCC2 ZINC000293798129 386881641 /nfs/dbraw/zinc/88/16/41/386881641.db2.gz UUSVIAGJGHRCBK-KWCYVHTRSA-N 0 3 247.338 2.635 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](OC)C2CC2)o1 ZINC000293827774 386886211 /nfs/dbraw/zinc/88/62/11/386886211.db2.gz WVLNZJXOEXHJQE-IINYFYTJSA-N 0 3 237.343 2.918 20 0 BFADHN CO[C@@H]1CCN(Cc2ccccc2SC)C1 ZINC000358055529 386886408 /nfs/dbraw/zinc/88/64/08/386886408.db2.gz ZKVCAHLWVFXHIS-GFCCVEGCSA-N 0 3 237.368 2.629 20 0 BFADHN Cc1occc1CN1CCC(c2c[nH]cn2)CC1 ZINC000334842237 386888558 /nfs/dbraw/zinc/88/85/58/386888558.db2.gz VOQUZISUWHUOEY-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN CC[C@H](O)CN[C@H]1CCCOc2cc(C)ccc21 ZINC000293860361 386890812 /nfs/dbraw/zinc/89/08/12/386890812.db2.gz XTJCFZKQVFZJGA-JSGCOSHPSA-N 0 3 249.354 2.569 20 0 BFADHN CC[C@](C)(C(=O)OC)N(CC(C)C)CC1CC1 ZINC000425352941 386917521 /nfs/dbraw/zinc/91/75/21/386917521.db2.gz IISLXANDVITCOP-CQSZACIVSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc3cccnc3o2)CS1 ZINC000334855670 386906232 /nfs/dbraw/zinc/90/62/32/386906232.db2.gz ZLKAHZKFFOLPNL-KOLCDFICSA-N 0 3 248.351 2.811 20 0 BFADHN Cc1ccc2nccc(N[C@@H](C)[C@@H](C)CO)c2c1 ZINC000302166559 386909452 /nfs/dbraw/zinc/90/94/52/386909452.db2.gz RNUMQEBURBJLMY-RYUDHWBXSA-N 0 3 244.338 2.972 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@H](C3CC3)C2)cn1 ZINC000335768258 386911883 /nfs/dbraw/zinc/91/18/83/386911883.db2.gz LMKBVKYMKSWVQK-AAEUAGOBSA-N 0 3 231.343 2.578 20 0 BFADHN CSC1(CN2CCOC3(CCCC3)C2)CC1 ZINC000334864711 386920358 /nfs/dbraw/zinc/92/03/58/386920358.db2.gz VMLNFRQQARAFIK-UHFFFAOYSA-N 0 3 241.400 2.527 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)[C@@H]1CC[C@H](C)C1 ZINC000334864896 386922110 /nfs/dbraw/zinc/92/21/10/386922110.db2.gz PRIOCLDHOLXRLD-JOYOIKCWSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1ccoc1CNC[C@]1(C)CCO[C@H]1C1CC1 ZINC000341535871 386923406 /nfs/dbraw/zinc/92/34/06/386923406.db2.gz AEZJIBCSXTVOBZ-GJZGRUSLSA-N 0 3 249.354 2.883 20 0 BFADHN COC[C@@H](CC(C)C)NCc1scnc1C ZINC000336762539 386928030 /nfs/dbraw/zinc/92/80/30/386928030.db2.gz LMCGTNIRPKYXQT-LLVKDONJSA-N 0 3 242.388 2.602 20 0 BFADHN Cc1ccc([C@H](C)NCC2(CCO)CCC2)o1 ZINC000358305317 386930131 /nfs/dbraw/zinc/93/01/31/386930131.db2.gz SFLKFCVCXFLOEI-LBPRGKRZSA-N 0 3 237.343 2.791 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCC[C@@H](C)C2)cn1 ZINC000334872417 386932414 /nfs/dbraw/zinc/93/24/14/386932414.db2.gz XMALVNXCEDUHLW-RISCZKNCSA-N 0 3 233.359 2.796 20 0 BFADHN CCc1ncc(CN2CCC(C)(C)CC2)cn1 ZINC000334878928 386940440 /nfs/dbraw/zinc/94/04/40/386940440.db2.gz MOCSHIGWOJSJOO-UHFFFAOYSA-N 0 3 233.359 2.661 20 0 BFADHN Cc1ccnc(CN2CC[C@@H](C)C[C@H](C)C2)n1 ZINC000334816642 386943043 /nfs/dbraw/zinc/94/30/43/386943043.db2.gz UZVOMDIXHGFUOW-NEPJUHHUSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1ccnc(CN2CC[C@H](C)C[C@@H](C)C2)n1 ZINC000334816644 386943324 /nfs/dbraw/zinc/94/33/24/386943324.db2.gz UZVOMDIXHGFUOW-NWDGAFQWSA-N 0 3 233.359 2.653 20 0 BFADHN CC(C)Cn1nccc1CN1[C@@H](C)CC[C@@H]1C ZINC000648013773 386952574 /nfs/dbraw/zinc/95/25/74/386952574.db2.gz QWCKMPOGCJCSKF-STQMWFEESA-N 0 3 235.375 2.912 20 0 BFADHN C[C@H](NCCN1CCC1)c1ccc(Cl)s1 ZINC000336763260 386954894 /nfs/dbraw/zinc/95/48/94/386954894.db2.gz OVTUNMWDXNUJBK-VIFPVBQESA-N 0 3 244.791 2.758 20 0 BFADHN CCc1ncc(CN2CCC[C@H]3CCC[C@@H]32)cn1 ZINC000334826323 386958027 /nfs/dbraw/zinc/95/80/27/386958027.db2.gz PQDOZLMYLRUIFN-KGLIPLIRSA-N 0 3 245.370 2.804 20 0 BFADHN CCc1ncc(CN2CCC[C@H]3CCC[C@H]32)cn1 ZINC000334826328 386959251 /nfs/dbraw/zinc/95/92/51/386959251.db2.gz PQDOZLMYLRUIFN-ZIAGYGMSSA-N 0 3 245.370 2.804 20 0 BFADHN COCC[C@H](C)N[C@@H]1CCCc2scnc21 ZINC000623883085 386961122 /nfs/dbraw/zinc/96/11/22/386961122.db2.gz RGIKTEABZSGGHP-VHSXEESVSA-N 0 3 240.372 2.535 20 0 BFADHN COCC[C@@H](C)N[C@@H]1CCCc2scnc21 ZINC000623883083 386961805 /nfs/dbraw/zinc/96/18/05/386961805.db2.gz RGIKTEABZSGGHP-NXEZZACHSA-N 0 3 240.372 2.535 20 0 BFADHN Cc1ccc(C)c(NC(=O)[C@@H]2C[C@@H](C)CCN2)c1 ZINC000125986615 386964796 /nfs/dbraw/zinc/96/47/96/386964796.db2.gz HPCMCTUNPOGSEG-FZMZJTMJSA-N 0 3 246.354 2.630 20 0 BFADHN Cc1cc(C)cc(NC(=O)[C@H]2C[C@H](C)CCN2)c1 ZINC000126011059 386966731 /nfs/dbraw/zinc/96/67/31/386966731.db2.gz FABPUYILNVBALQ-QMTHXVAHSA-N 0 3 246.354 2.630 20 0 BFADHN CC[C@H](O)CN1CCC(C)=C(c2ccco2)C1 ZINC000368477949 386978266 /nfs/dbraw/zinc/97/82/66/386978266.db2.gz MNWHNJKHCQINTE-LBPRGKRZSA-N 0 3 235.327 2.530 20 0 BFADHN CN(CCSC1CCCCC1)C1(CO)CC1 ZINC000353023513 386979361 /nfs/dbraw/zinc/97/93/61/386979361.db2.gz MXJNJWDSTSMOAR-UHFFFAOYSA-N 0 3 243.416 2.509 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H](C)C2CCCC2)[n-]1 ZINC000331173536 386979945 /nfs/dbraw/zinc/97/99/45/386979945.db2.gz PGOWFUKOKPWBCD-SNVBAGLBSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H](C)C2CCCC2)[nH]1 ZINC000331173536 386979949 /nfs/dbraw/zinc/97/99/49/386979949.db2.gz PGOWFUKOKPWBCD-SNVBAGLBSA-N 0 3 236.363 2.596 20 0 BFADHN C[C@@]1(O)C[C@H](N[C@@H]2CCCc3sccc32)C1 ZINC000417395500 386983518 /nfs/dbraw/zinc/98/35/18/386983518.db2.gz FEWQUHBJTDNXRN-XWIASGKRSA-N 0 3 237.368 2.629 20 0 BFADHN CO[C@@H]1CCC[C@@H]1CN[C@H](C)c1ccccn1 ZINC000341888638 386985941 /nfs/dbraw/zinc/98/59/41/386985941.db2.gz LKFXKASHPWUCIB-YRGRVCCFSA-N 0 3 234.343 2.547 20 0 BFADHN COc1cc(CN2CCC3(CCC3)CC2)ccn1 ZINC000368619991 386993963 /nfs/dbraw/zinc/99/39/63/386993963.db2.gz VEAMAIUSOOSMIL-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN C1CC1c1noc(C2CN(C3CCCCC3)C2)n1 ZINC000334918679 386994116 /nfs/dbraw/zinc/99/41/16/386994116.db2.gz OZIWMTAQUGHHNO-UHFFFAOYSA-N 0 3 247.342 2.679 20 0 BFADHN Cc1cnn(CCN2CCC3(CCCC3)CC2)c1 ZINC000341896311 386994910 /nfs/dbraw/zinc/99/49/10/386994910.db2.gz ZDJPDOQOTALOHU-UHFFFAOYSA-N 0 3 247.386 2.848 20 0 BFADHN CC[C@@H](N)C(=O)N(C)c1cccc2ccccc21 ZINC000648018852 387006184 /nfs/dbraw/zinc/00/61/84/387006184.db2.gz IXCSTGRFJSDDET-CYBMUJFWSA-N 0 3 242.322 2.540 20 0 BFADHN COCC[C@H](NC1CC(C)C1)c1ccco1 ZINC000336763080 386948418 /nfs/dbraw/zinc/94/84/18/386948418.db2.gz LWJBLMJVRIROOF-MCIGGMRASA-N 0 3 223.316 2.745 20 0 BFADHN CN(Cc1ccc(C2CCC2)cc1)C1CC(O)C1 ZINC000352898026 386950146 /nfs/dbraw/zinc/95/01/46/386950146.db2.gz OFMLZPMMRLMCAU-UHFFFAOYSA-N 0 3 245.366 2.909 20 0 BFADHN CO[C@H](CN(C)Cc1sccc1C)C1CC1 ZINC000425379984 387032376 /nfs/dbraw/zinc/03/23/76/387032376.db2.gz DMXOWQNOVJKSRF-GFCCVEGCSA-N 0 3 239.384 2.913 20 0 BFADHN c1cc(CN2CCSC[C@@H]2C2CCC2)ccn1 ZINC000334954080 387032631 /nfs/dbraw/zinc/03/26/31/387032631.db2.gz YQWHAIGNQSCXRT-CQSZACIVSA-N 0 3 248.395 2.799 20 0 BFADHN CC(C)Cn1nccc1CN1CC[C@@H]2CCC[C@H]21 ZINC000648025991 387033957 /nfs/dbraw/zinc/03/39/57/387033957.db2.gz KVWDXWJCXPNFLD-DZGCQCFKSA-N 0 3 247.386 2.914 20 0 BFADHN CC(C)Cn1nccc1CN1CC[C@H]2CCC[C@H]21 ZINC000648025993 387035124 /nfs/dbraw/zinc/03/51/24/387035124.db2.gz KVWDXWJCXPNFLD-UKRRQHHQSA-N 0 3 247.386 2.914 20 0 BFADHN C[C@@H](CC1CC1)N[C@H](CO)c1ccsc1 ZINC000336763775 387036749 /nfs/dbraw/zinc/03/67/49/387036749.db2.gz PNJLRUYXHSUAGX-JOYOIKCWSA-N 0 3 225.357 2.560 20 0 BFADHN CO[C@H](CN1CCc2sccc2C1)C1CC1 ZINC000425384493 387036863 /nfs/dbraw/zinc/03/68/63/387036863.db2.gz NGYQHGDVOWYELJ-GFCCVEGCSA-N 0 3 237.368 2.531 20 0 BFADHN COc1ccc(CN(C)C[C@H](OC)C2CC2)cc1 ZINC000425389247 387038947 /nfs/dbraw/zinc/03/89/47/387038947.db2.gz YNYWQCBMZZUPHW-HNNXBMFYSA-N 0 3 249.354 2.552 20 0 BFADHN CCn1cncc1CN1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000334962871 387042443 /nfs/dbraw/zinc/04/24/43/387042443.db2.gz XZGCVOXQCQZRTJ-HIFRSBDPSA-N 0 3 247.386 2.915 20 0 BFADHN CC[C@H](NCC1(C(F)F)CC1)c1cnn(C)c1 ZINC000359002479 387043083 /nfs/dbraw/zinc/04/30/83/387043083.db2.gz PDJDXKREZUGPMQ-JTQLQIEISA-N 0 3 243.301 2.506 20 0 BFADHN Cc1nc2ccccn2c1CN1CC[C@@H]1C(C)C ZINC000334962597 387043323 /nfs/dbraw/zinc/04/33/23/387043323.db2.gz MODUTCYPDNRQDH-CYBMUJFWSA-N 0 3 243.354 2.873 20 0 BFADHN Cc1ccc2cc([C@@H](C)NC[C@@H](C)O)oc2c1 ZINC000425956731 387046376 /nfs/dbraw/zinc/04/63/76/387046376.db2.gz FOIDSPGLWWUERR-GHMZBOCLSA-N 0 3 233.311 2.773 20 0 BFADHN COc1ccc([C@@H](C)N[C@H]2C[C@@](C)(O)C2)c(C)c1 ZINC000417410958 387046385 /nfs/dbraw/zinc/04/63/85/387046385.db2.gz WAQVZGSSVFTRHM-JMSVASOKSA-N 0 3 249.354 2.568 20 0 BFADHN O[C@H]1CCN(Cc2cccs2)C2(CCC2)C1 ZINC000334967100 387049195 /nfs/dbraw/zinc/04/91/95/387049195.db2.gz LRSJEBZFDQQMDX-NSHDSACASA-N 0 3 237.368 2.628 20 0 BFADHN Cc1nccc(CN2CCCCC[C@@H]2C)n1 ZINC000334973660 387060215 /nfs/dbraw/zinc/06/02/15/387060215.db2.gz NVXLISSLKHKHDA-NSHDSACASA-N 0 3 219.332 2.550 20 0 BFADHN C[C@@H](NCCC[C@H](C)O)c1ccccc1F ZINC000228029604 387060732 /nfs/dbraw/zinc/06/07/32/387060732.db2.gz KZGKUVLGZMXHEL-WDEREUQCSA-N 0 3 225.307 2.637 20 0 BFADHN Cc1cnccc1CN1C[C@H](C)S[C@@H](C)C1 ZINC000336222316 387061190 /nfs/dbraw/zinc/06/11/90/387061190.db2.gz VXAOUPPSRRGSDU-RYUDHWBXSA-N 0 3 236.384 2.716 20 0 BFADHN Fc1cccc(CN2CCC[C@]3(CCOC3)C2)c1 ZINC000336223338 387063543 /nfs/dbraw/zinc/06/35/43/387063543.db2.gz XALICSMQYLFFLH-HNNXBMFYSA-N 0 3 249.329 2.828 20 0 BFADHN F[C@@H]1CCN(Cc2cccc3cc[nH]c32)C1 ZINC000336222964 387064331 /nfs/dbraw/zinc/06/43/31/387064331.db2.gz YNWKYRPDANELIY-GFCCVEGCSA-N 0 3 218.275 2.712 20 0 BFADHN C[C@H]1CCSCCN1CCC(F)(F)F ZINC000334978580 387064621 /nfs/dbraw/zinc/06/46/21/387064621.db2.gz QRKGEABRWYDTRJ-QMMMGPOBSA-N 0 3 227.295 2.766 20 0 BFADHN CC(C)CN(CC[C@H](C)F)Cc1cnn(C)c1 ZINC000459575319 387067492 /nfs/dbraw/zinc/06/74/92/387067492.db2.gz BYXPKCSAEVVFGJ-LBPRGKRZSA-N 0 3 241.354 2.626 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccc(C)nn2)CC1 ZINC000334880542 387024250 /nfs/dbraw/zinc/02/42/50/387024250.db2.gz SRYOPBAAIMNGPR-CYBMUJFWSA-N 0 3 233.359 2.797 20 0 BFADHN CS[C@H]1CC[C@@H]1N[C@@H](C)c1cncc(F)c1 ZINC000425367919 387025941 /nfs/dbraw/zinc/02/59/41/387025941.db2.gz JBTGGVVFKURVTC-UWJYBYFXSA-N 0 3 240.347 2.765 20 0 BFADHN CC(C)[C@H]1CCC[C@H]1NCc1ccno1 ZINC000648023320 387026313 /nfs/dbraw/zinc/02/63/13/387026313.db2.gz UFKGCLJNDCTHKF-VXGBXAGGSA-N 0 3 208.305 2.589 20 0 BFADHN COc1cc(CN[C@@H]2COC(C)(C)C2)ccc1C ZINC000417900184 387027886 /nfs/dbraw/zinc/02/78/86/387027886.db2.gz UCLUUEVEIAGERZ-ZDUSSCGKSA-N 0 3 249.354 2.661 20 0 BFADHN c1cc(CN[C@H]2CCCc3ccccc3C2)on1 ZINC000648023651 387028804 /nfs/dbraw/zinc/02/88/04/387028804.db2.gz YOMXCIGGPWIEHU-AWEZNQCLSA-N 0 3 242.322 2.712 20 0 BFADHN c1ccc(CN2CCSC[C@@H]2C2CCC2)nc1 ZINC000334952604 387029071 /nfs/dbraw/zinc/02/90/71/387029071.db2.gz RGEDZQSOEGOPQR-CQSZACIVSA-N 0 3 248.395 2.799 20 0 BFADHN CC[C@@H](C)N(C)Cc1c(C)cc(OC)nc1C ZINC000359235440 387071560 /nfs/dbraw/zinc/07/15/60/387071560.db2.gz NSAVIOLHUONCGE-LLVKDONJSA-N 0 3 236.359 2.937 20 0 BFADHN COC[C@H](C)N1CCC[C@@H](Oc2ccccc2)C1 ZINC000369826690 387072293 /nfs/dbraw/zinc/07/22/93/387072293.db2.gz ZPPBWVIWZQHLEP-DZGCQCFKSA-N 0 3 249.354 2.565 20 0 BFADHN COc1cc(C)c(CN(C)CC2CC2)c(C)n1 ZINC000359236230 387073091 /nfs/dbraw/zinc/07/30/91/387073091.db2.gz OHNIKPMONFNUQI-UHFFFAOYSA-N 0 3 234.343 2.549 20 0 BFADHN Cc1cc(CN(CC2CCC2)C(C)C)n(C)n1 ZINC000418043329 387074144 /nfs/dbraw/zinc/07/41/44/387074144.db2.gz YSXFKKGKVBKDNN-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN CCn1cncc1CN(C)[C@H]1CCCC[C@H]1C ZINC000418047155 387075169 /nfs/dbraw/zinc/07/51/69/387075169.db2.gz RVNKYHPPHNRWAH-OCCSQVGLSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1cncc1CN(C)[C@H]1CCC(C)(C)C1 ZINC000418048026 387076130 /nfs/dbraw/zinc/07/61/30/387076130.db2.gz RHRKQHNWMBZUHH-LBPRGKRZSA-N 0 3 235.375 2.914 20 0 BFADHN CC(=O)CCN1Cc2ccccc2C2(CC2)C1 ZINC000186198930 387077460 /nfs/dbraw/zinc/07/74/60/387077460.db2.gz VHHAHBGJACXOHE-UHFFFAOYSA-N 0 3 229.323 2.513 20 0 BFADHN Cc1cc(CN2CC[C@H](CC(C)(C)C)C2)n(C)n1 ZINC000418121313 387077854 /nfs/dbraw/zinc/07/78/54/387077854.db2.gz WNKMZNRRQADVBM-CYBMUJFWSA-N 0 3 249.402 2.987 20 0 BFADHN CCc1csc(N[C@H]2CCN(C)[C@@H](C)C2)n1 ZINC000228126349 387078484 /nfs/dbraw/zinc/07/84/84/387078484.db2.gz LZNBHUHHBJJLFL-ONGXEEELSA-N 0 3 239.388 2.600 20 0 BFADHN CCc1nnc(C[NH2+][C@H](CC)C[C@@H](C)CC)[n-]1 ZINC000392422170 387078905 /nfs/dbraw/zinc/07/89/05/387078905.db2.gz QSZZRKKSRQIAFE-WDEREUQCSA-N 0 3 238.379 2.672 20 0 BFADHN CCc1nnc(CN[C@H](CC)C[C@@H](C)CC)[nH]1 ZINC000392422170 387078908 /nfs/dbraw/zinc/07/89/08/387078908.db2.gz QSZZRKKSRQIAFE-WDEREUQCSA-N 0 3 238.379 2.672 20 0 BFADHN CC1(O)CCN(Cc2cccc(C3CC3)c2)CC1 ZINC000334992510 387082944 /nfs/dbraw/zinc/08/29/44/387082944.db2.gz DDKCITVJTCJAMW-UHFFFAOYSA-N 0 3 245.366 2.911 20 0 BFADHN FCCCN1Cc2ccccc2C2(CC2)C1 ZINC000186229600 387083323 /nfs/dbraw/zinc/08/33/23/387083323.db2.gz YXLRXUXTTAPYAD-UHFFFAOYSA-N 0 3 219.303 2.893 20 0 BFADHN O[C@@H]1CCCCC[C@@H]1N[C@@H]1CCCc2occc21 ZINC000228317409 387087844 /nfs/dbraw/zinc/08/78/44/387087844.db2.gz GEBVYEABSDIHTB-HZSPNIEDSA-N 0 3 249.354 2.940 20 0 BFADHN C[C@@H](NCCOCC(F)F)c1ccsc1 ZINC000188752233 387088479 /nfs/dbraw/zinc/08/84/79/387088479.db2.gz VFZRTHRHVIIHBB-MRVPVSSYSA-N 0 3 235.299 2.680 20 0 BFADHN CCn1cncc1CN[C@@H]1C[C@@]1(CC)C(C)C ZINC000418081102 387090116 /nfs/dbraw/zinc/09/01/16/387090116.db2.gz FCXSJHYUEKWZPV-KGLIPLIRSA-N 0 3 235.375 2.817 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cc(C)nn1C ZINC000418079629 387090150 /nfs/dbraw/zinc/09/01/50/387090150.db2.gz LGFODNYQJDBHEJ-LBPRGKRZSA-N 0 3 223.364 2.739 20 0 BFADHN COCC(C)(C)NC/C=C/c1ccccc1 ZINC000066996063 387093025 /nfs/dbraw/zinc/09/30/25/387093025.db2.gz IXCHVLXDKNAOPG-JXMROGBWSA-N 0 3 219.328 2.714 20 0 BFADHN CCCCCN(CC(=O)N(C)C1CC1)C(C)C ZINC000067457202 387096067 /nfs/dbraw/zinc/09/60/67/387096067.db2.gz DQBSIKQDLRJXFT-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN CCc1ccccc1CN(C)C[C@@H]1CCCO1 ZINC000188848078 387096902 /nfs/dbraw/zinc/09/69/02/387096902.db2.gz URMFBWFUZJKOQG-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN CCC1(C)CCN(Cc2cnc(C)nc2)CC1 ZINC000335003023 387097794 /nfs/dbraw/zinc/09/77/94/387097794.db2.gz NKKJXZOPIVRSGO-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN Cc1ccc(F)cc1CN1CCC2(CCO2)CC1 ZINC000370223101 387098604 /nfs/dbraw/zinc/09/86/04/387098604.db2.gz AAXWILCDVXQXDE-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN CC[C@@H](NC[C@H](O)C(F)(F)F)c1ccccc1 ZINC000068879876 387107364 /nfs/dbraw/zinc/10/73/64/387107364.db2.gz UWLNQYPKELZDPB-MNOVXSKESA-N 0 3 247.260 2.651 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)Nc1ccc(C)c(C)c1 ZINC000069091573 387111945 /nfs/dbraw/zinc/11/19/45/387111945.db2.gz IXFXPROMVQKNKL-ZDUSSCGKSA-N 0 3 248.370 2.972 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)N(CC)c1ccccc1 ZINC000069092146 387112558 /nfs/dbraw/zinc/11/25/58/387112558.db2.gz WNOOAOPFZAQGSI-ZDUSSCGKSA-N 0 3 248.370 2.770 20 0 BFADHN CCC(CC)(CNCc1ccoc1C)OC ZINC000321321290 387112591 /nfs/dbraw/zinc/11/25/91/387112591.db2.gz YAFPWZBDJSHFHN-UHFFFAOYSA-N 0 3 225.332 2.883 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@H](C)[C@H]2C)sc1C ZINC000336766570 387112693 /nfs/dbraw/zinc/11/26/93/387112693.db2.gz SCVBQOTTWUGSKW-VAOFZXAKSA-N 0 3 224.373 2.894 20 0 BFADHN CCCN(C)[C@H](C)C(=O)Nc1cccc(C)c1C ZINC000069091558 387113756 /nfs/dbraw/zinc/11/37/56/387113756.db2.gz KYSWUUFZRDTADF-CYBMUJFWSA-N 0 3 248.370 2.972 20 0 BFADHN CC[C@@H](CCO)CNCc1cc(C)ccc1F ZINC000228779295 387114430 /nfs/dbraw/zinc/11/44/30/387114430.db2.gz RXKTXYXGHVDWTA-LBPRGKRZSA-N 0 3 239.334 2.632 20 0 BFADHN C[C@H](CF)NC[C@H]1C[C@H]1c1ccccc1 ZINC000336766705 387115834 /nfs/dbraw/zinc/11/58/34/387115834.db2.gz SHVADNMSNHUUCT-RTXFEEFZSA-N 0 3 207.292 2.738 20 0 BFADHN C[C@@H](N[C@@H]1CCSC1)c1ccncc1Cl ZINC000335026534 387118904 /nfs/dbraw/zinc/11/89/04/387118904.db2.gz PKVLOWCIUYHAFU-RKDXNWHRSA-N 0 3 242.775 2.891 20 0 BFADHN C[C@@]1(O)C[C@H](NCc2ccc(C3CC3)cc2)C1 ZINC000418102088 387118906 /nfs/dbraw/zinc/11/89/06/387118906.db2.gz GXIOKXJFUFPNHG-GASCZTMLSA-N 0 3 231.339 2.567 20 0 BFADHN c1csc(CNCc2cnn3ccccc23)c1 ZINC000071372728 387120822 /nfs/dbraw/zinc/12/08/22/387120822.db2.gz RPUIMEHKZCFBDY-UHFFFAOYSA-N 0 3 243.335 2.686 20 0 BFADHN Cc1cncc(CN2CCSC[C@H]2C2CC2)c1 ZINC000336291438 387121617 /nfs/dbraw/zinc/12/16/17/387121617.db2.gz BQXNKEKUMLOSAT-AWEZNQCLSA-N 0 3 248.395 2.717 20 0 BFADHN C[C@@H](NCc1cccc(F)c1Cl)[C@H](C)CO ZINC000228727401 387125040 /nfs/dbraw/zinc/12/50/40/387125040.db2.gz CMBODQCIJAFAAM-RKDXNWHRSA-N 0 3 245.725 2.586 20 0 BFADHN CO[C@@H](CNCc1ccc(F)cc1)CC(C)C ZINC000418099319 387129678 /nfs/dbraw/zinc/12/96/78/387129678.db2.gz NABUCZLFVXWKPG-CQSZACIVSA-N 0 3 239.334 2.976 20 0 BFADHN C[C@H]1CN(Cc2cccc(C3CC3)c2)CC[C@@H]1O ZINC000334981270 387068248 /nfs/dbraw/zinc/06/82/48/387068248.db2.gz FDBDARHWHFCKLU-LRDDRELGSA-N 0 3 245.366 2.767 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H]2CCCC[C@H]2O)o1 ZINC000246884353 387130237 /nfs/dbraw/zinc/13/02/37/387130237.db2.gz GAWBTGZUTXMJRY-RWMBFGLXSA-N 0 3 237.343 2.790 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@H]2C)s1 ZINC000088735636 387144413 /nfs/dbraw/zinc/14/44/13/387144413.db2.gz XSLBDLMVHQPOBF-PSASIEDQSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1nocc1CN1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000353153295 387145400 /nfs/dbraw/zinc/14/54/00/387145400.db2.gz JXRBUNZVQUALOG-CKYFFXLPSA-N 0 3 222.332 2.849 20 0 BFADHN Clc1cnn([C@H]2CCN(CCC3CC3)C2)c1 ZINC000352399801 387148435 /nfs/dbraw/zinc/14/84/35/387148435.db2.gz UZTNHIYPXVYBRH-LBPRGKRZSA-N 0 3 239.750 2.583 20 0 BFADHN COc1cc(C)nc(CN2C[C@H](C)[C@H](C)[C@@H]2C)c1 ZINC000418126003 387152709 /nfs/dbraw/zinc/15/27/09/387152709.db2.gz KQLKLXMQJPUPAN-DRZSPHRISA-N 0 3 248.370 2.875 20 0 BFADHN Cc1ccc(CN(C)CC2CCC2)c(C)n1 ZINC000187020357 387152965 /nfs/dbraw/zinc/15/29/65/387152965.db2.gz HTGBKOGOOOLWNE-UHFFFAOYSA-N 0 3 218.344 2.930 20 0 BFADHN OC[C@H](CC1CCCCC1)NCc1ccccn1 ZINC000418126938 387153898 /nfs/dbraw/zinc/15/38/98/387153898.db2.gz MXCUVPGTRMPJIO-HNNXBMFYSA-N 0 3 248.370 2.503 20 0 BFADHN COc1c(O)cccc1CN1C[C@H](C)[C@@H](C)[C@@H]1C ZINC000418134747 387155274 /nfs/dbraw/zinc/15/52/74/387155274.db2.gz PZYIVJUIFXLXIU-TUAOUCFPSA-N 0 3 249.354 2.877 20 0 BFADHN CO[C@H](C)CN(C)CCO[C@@H]1CCCC[C@H]1C ZINC000353202818 387155486 /nfs/dbraw/zinc/15/54/86/387155486.db2.gz KPDXPXCUXZHEIN-MGPQQGTHSA-N 0 3 243.391 2.548 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)cn1 ZINC000418131603 387159906 /nfs/dbraw/zinc/15/99/06/387159906.db2.gz XWAAYJOLMMIITH-TUAOUCFPSA-N 0 3 234.343 2.567 20 0 BFADHN CCCc1cccc(CN(C)CC[C@H](C)O)c1 ZINC000187000482 387159995 /nfs/dbraw/zinc/15/99/95/387159995.db2.gz QKCSNXAVQLYMET-ZDUSSCGKSA-N 0 3 235.371 2.842 20 0 BFADHN CC(C)n1cc(CN2C[C@@H](C)[C@H](C)[C@H]2C)cn1 ZINC000418133686 387162618 /nfs/dbraw/zinc/16/26/18/387162618.db2.gz NNTVEKUDXNFIIU-FRRDWIJNSA-N 0 3 235.375 2.940 20 0 BFADHN CCN(CC1CCC1)[C@H](CC(C)C)C(=O)OC ZINC000352406628 387165186 /nfs/dbraw/zinc/16/51/86/387165186.db2.gz NAVARMKVRMRCMM-CYBMUJFWSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@@H](C)N[C@H](CO)c1cccc(Cl)c1F ZINC000229250793 387165842 /nfs/dbraw/zinc/16/58/42/387165842.db2.gz UWXDLBGNZIXKGR-LDYMZIIASA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H](F)CCN1CC(C)(C)O[C@@H]2CCC[C@@H]21 ZINC000352409360 387168980 /nfs/dbraw/zinc/16/89/80/387168980.db2.gz YZUYZDNUYBDPET-SDDRHHMPSA-N 0 3 229.339 2.766 20 0 BFADHN CCC[C@H](C)[C@@H](CC)N[C@@H](C)c1ncnn1C ZINC000353306089 387176524 /nfs/dbraw/zinc/17/65/24/387176524.db2.gz PJOFDYCWIRGFBV-SDDRHHMPSA-N 0 3 238.379 2.681 20 0 BFADHN c1coc(CN2CCC[C@H]([C@H]3CCOC3)C2)c1 ZINC000336352266 387181203 /nfs/dbraw/zinc/18/12/03/387181203.db2.gz BGZWAJPYUKARCW-STQMWFEESA-N 0 3 235.327 2.528 20 0 BFADHN C[C@H](NCCC1(O)CCC1)c1ccsc1 ZINC000284382114 387182293 /nfs/dbraw/zinc/18/22/93/387182293.db2.gz ONDOMHHMQTWMTB-JTQLQIEISA-N 0 3 225.357 2.704 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@H]1C)c1nccn1C ZINC000300243672 387182891 /nfs/dbraw/zinc/18/28/91/387182891.db2.gz TWRMBLYPNJRJAX-GRYCIOLGSA-N 0 3 221.348 2.507 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCCC[C@H]2O)o1 ZINC000251207838 387185921 /nfs/dbraw/zinc/18/59/21/387185921.db2.gz BCJIKQSNPSDVNK-TZMCWYRMSA-N 0 3 237.343 2.571 20 0 BFADHN CC(C)c1ncc(CN(C)CCCCF)cn1 ZINC000352421865 387187169 /nfs/dbraw/zinc/18/71/69/387187169.db2.gz OGYYAJRDDJJRDO-UHFFFAOYSA-N 0 3 239.338 2.782 20 0 BFADHN COc1ccc(CN[C@@H]2CCC2(C)C)c(OC)c1 ZINC000284381300 387187702 /nfs/dbraw/zinc/18/77/02/387187702.db2.gz OTNQSUNCIVPHCF-CQSZACIVSA-N 0 3 249.354 2.982 20 0 BFADHN Cc1cnc(CN2[C@H](C)CCC2(C)C)cn1 ZINC000336304696 387134353 /nfs/dbraw/zinc/13/43/53/387134353.db2.gz UOVSTGLWGPMOTA-LLVKDONJSA-N 0 3 219.332 2.548 20 0 BFADHN Cc1cc(Cl)cc(CN[C@H]2C[C@@](C)(O)C2)c1 ZINC000418105315 387134603 /nfs/dbraw/zinc/13/46/03/387134603.db2.gz LLVVROFETCVHRI-BETUJISGSA-N 0 3 239.746 2.651 20 0 BFADHN CC[C@@H](NCc1n[nH]cc1C)[C@@H]1CC1(C)C ZINC000418105301 387135247 /nfs/dbraw/zinc/13/52/47/387135247.db2.gz LJPBXEMOVMIYEY-WDEREUQCSA-N 0 3 221.348 2.632 20 0 BFADHN COC[C@@H](NCc1sccc1Cl)C1CC1 ZINC000193176387 387135197 /nfs/dbraw/zinc/13/51/97/387135197.db2.gz QXPABDCKGIDCAY-SNVBAGLBSA-N 0 3 245.775 2.916 20 0 BFADHN CCCN1CCOC2(C1)CCCCCC2 ZINC000119519777 387136252 /nfs/dbraw/zinc/13/62/52/387136252.db2.gz LVRRYWICRXZEIQ-UHFFFAOYSA-N 0 3 211.349 2.822 20 0 BFADHN CN(C)Cc1cccc(C(=O)NCC(C)(C)C)c1 ZINC000075910124 387137396 /nfs/dbraw/zinc/13/73/96/387137396.db2.gz MDXQMTYATMIGIU-UHFFFAOYSA-N 0 3 248.370 2.524 20 0 BFADHN C=Cn1cc(CN2CCCC23CCC3)cn1 ZINC000336312833 387139866 /nfs/dbraw/zinc/13/98/66/387139866.db2.gz BCWKVPNCOREJCT-UHFFFAOYSA-N 0 3 217.316 2.502 20 0 BFADHN C[C@@H](NCc1cc[nH]c1)c1ccc2n[nH]cc2c1 ZINC000353101422 387139919 /nfs/dbraw/zinc/13/99/19/387139919.db2.gz NGVUYUGFVQAZPN-SNVBAGLBSA-N 0 3 240.310 2.742 20 0 BFADHN CCC1(C)CCN(Cc2conc2C)CC1 ZINC000336312729 387140248 /nfs/dbraw/zinc/14/02/48/387140248.db2.gz CDNMSLBZFZQYMR-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN CCCCN(C)[C@H](C)C(=O)Nc1ccccc1 ZINC000057569767 387140337 /nfs/dbraw/zinc/14/03/37/387140337.db2.gz LYQQECVVLGKSRC-GFCCVEGCSA-N 0 3 234.343 2.746 20 0 BFADHN CCCn1cc(CN2CCCC[C@@H](C)C2)cn1 ZINC000187515726 387202740 /nfs/dbraw/zinc/20/27/40/387202740.db2.gz WQFDGYKXUSWDPQ-CYBMUJFWSA-N 0 3 235.375 2.915 20 0 BFADHN COC(C)(C)CNCc1sccc1Cl ZINC000193129735 387203056 /nfs/dbraw/zinc/20/30/56/387203056.db2.gz HDDCGCMWPRFBEM-UHFFFAOYSA-N 0 3 233.764 2.916 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2ccccn2)C1(C)C ZINC000300409789 387205823 /nfs/dbraw/zinc/20/58/23/387205823.db2.gz URLVQMYGCWELOP-KGYLQXTDSA-N 0 3 234.343 2.546 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1ccnn1CC ZINC000187578046 387206203 /nfs/dbraw/zinc/20/62/03/387206203.db2.gz JHYYBYCUWNFJKU-YPMHNXCESA-N 0 3 221.348 2.523 20 0 BFADHN COC[C@@H](C)N[C@H]1CCCc2ccc(OC)cc21 ZINC000092383243 387211049 /nfs/dbraw/zinc/21/10/49/387211049.db2.gz DXSNWOWFCAGMDX-ABAIWWIYSA-N 0 3 249.354 2.697 20 0 BFADHN C1=C(CN2CCC[C@@H]3CCC[C@H]32)COCC1 ZINC000092485793 387212707 /nfs/dbraw/zinc/21/27/07/387212707.db2.gz WKEAPPUPYNAUPM-UONOGXRCSA-N 0 3 221.344 2.598 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2CCC[C@H](O)C2)c1 ZINC000353517437 387219689 /nfs/dbraw/zinc/21/96/89/387219689.db2.gz IUCVMMYNSAZJGR-MJBXVCDLSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCC[C@]2(C)CO)c1 ZINC000353517172 387221954 /nfs/dbraw/zinc/22/19/54/387221954.db2.gz IQFHNVPCIKTAIF-AEGPPILISA-N 0 3 248.370 2.592 20 0 BFADHN CO[C@@H](CNC/C=C/c1ccccc1)C1CC1 ZINC000292871639 387222561 /nfs/dbraw/zinc/22/25/61/387222561.db2.gz BJBLDXHWMGEMAT-PXTSUWAFSA-N 0 3 231.339 2.714 20 0 BFADHN C[C@H](CCCO)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000252215375 387222835 /nfs/dbraw/zinc/22/28/35/387222835.db2.gz ZBWRKERTILZFTC-NQBHXWOUSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@@H](CF)NCc1ccccc1-c1ccnn1C ZINC000353529112 387223107 /nfs/dbraw/zinc/22/31/07/387223107.db2.gz IGPDTFUHRYDSEX-NSHDSACASA-N 0 3 247.317 2.535 20 0 BFADHN CCc1ccc(CN2CCCCC2)cn1 ZINC000336397717 387225558 /nfs/dbraw/zinc/22/55/58/387225558.db2.gz UXKLEOAKHZGPJG-UHFFFAOYSA-N 0 3 204.317 2.630 20 0 BFADHN CCC[C@H](NC[C@@H](CC)OC)c1cccnc1 ZINC000353550210 387228847 /nfs/dbraw/zinc/22/88/47/387228847.db2.gz RCCOGRMFNASJJG-KGLIPLIRSA-N 0 3 236.359 2.937 20 0 BFADHN CSCCN(C)CCOc1ccccc1C ZINC000096990577 387233206 /nfs/dbraw/zinc/23/32/06/387233206.db2.gz HDMJRBDYPWXOCL-UHFFFAOYSA-N 0 3 239.384 2.669 20 0 BFADHN CCOc1ccccc1CNC[C@@H](OC)C1CC1 ZINC000292879851 387234513 /nfs/dbraw/zinc/23/45/13/387234513.db2.gz BXVZNEHSHAZUBU-OAHLLOKOSA-N 0 3 249.354 2.600 20 0 BFADHN Cc1nocc1CN(C1CCC1)C1CCC1 ZINC000353589861 387235615 /nfs/dbraw/zinc/23/56/15/387235615.db2.gz HXMDGWLWXNMQQC-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN Cc1cc(C)c(CN2CCN(C)C[C@H]2C)cc1C ZINC000353585750 387236060 /nfs/dbraw/zinc/23/60/60/387236060.db2.gz VMXDMAOAQXTHPF-OAHLLOKOSA-N 0 3 246.398 2.748 20 0 BFADHN C[C@H]1OCC[C@@]1(C)NCc1ccc(Cl)cc1 ZINC000230152965 387236342 /nfs/dbraw/zinc/23/63/42/387236342.db2.gz ASCMSGCPGXZZEX-ZWNOBZJWSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@H]1OCC[C@@]1(C)NCc1cc(F)cc(F)c1 ZINC000230153729 387236821 /nfs/dbraw/zinc/23/68/21/387236821.db2.gz VFFUARJDQXABHY-NOZJJQNGSA-N 0 3 241.281 2.622 20 0 BFADHN CC[C@@H](O)[C@H]1CCCCN1Cc1cnccc1C ZINC000353652070 387250906 /nfs/dbraw/zinc/25/09/06/387250906.db2.gz DMILOSONPDNXOV-HUUCEWRRSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@H](O)[C@@H]1CCCCN1Cc1cnccc1C ZINC000353652069 387250927 /nfs/dbraw/zinc/25/09/27/387250927.db2.gz DMILOSONPDNXOV-GJZGRUSLSA-N 0 3 248.370 2.515 20 0 BFADHN CC(C)CO[C@H]1CCN(CCOC(C)(C)C)C1 ZINC000120199271 387196630 /nfs/dbraw/zinc/19/66/30/387196630.db2.gz VBOMRCCOEYLITP-ZDUSSCGKSA-N 0 3 243.391 2.548 20 0 BFADHN COC1(CNCc2cccnc2C)CCCCC1 ZINC000187449623 387197125 /nfs/dbraw/zinc/19/71/25/387197125.db2.gz CGGUHGDHIYQBRR-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CC[C@H](NCc1ccc(C)nc1C)[C@H]1CCCO1 ZINC000187492367 387199307 /nfs/dbraw/zinc/19/93/07/387199307.db2.gz KBSVNBOFNGEQJG-LSDHHAIUSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1ccsc1CN[C@@H](C)c1cn[nH]c1 ZINC000229884800 387201654 /nfs/dbraw/zinc/20/16/54/387201654.db2.gz FONCACZGCNDQRG-VIFPVBQESA-N 0 3 221.329 2.630 20 0 BFADHN COc1c(O)cccc1CN1C[C@H](C)C[C@@H]1C ZINC000336435802 387261512 /nfs/dbraw/zinc/26/15/12/387261512.db2.gz ZROVXZORYNBXTH-MNOVXSKESA-N 0 3 235.327 2.631 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1cccc(F)c1)OC ZINC000290055054 387266337 /nfs/dbraw/zinc/26/63/37/387266337.db2.gz FHFFYJZXPPEIJF-GWCFXTLKSA-N 0 3 225.307 2.901 20 0 BFADHN CCC[C@]1(NCc2ccsc2)CCOC1 ZINC000285457647 387266951 /nfs/dbraw/zinc/26/69/51/387266951.db2.gz JNUHOQVTLWKBMK-LBPRGKRZSA-N 0 3 225.357 2.797 20 0 BFADHN CCC[C@]1(NCc2ccc(C)o2)CCOC1 ZINC000285488872 387268468 /nfs/dbraw/zinc/26/84/68/387268468.db2.gz LYUVWNBMUHWXEX-ZDUSSCGKSA-N 0 3 223.316 2.637 20 0 BFADHN CCc1ccc(CN2CCC[C@H]3COCC[C@@H]32)o1 ZINC000336441753 387269613 /nfs/dbraw/zinc/26/96/13/387269613.db2.gz OJBKFCZYODLKFJ-WFASDCNBSA-N 0 3 249.354 2.843 20 0 BFADHN CC[C@H](NCc1cnn(C)c1)c1cccc(C)c1 ZINC000285535321 387270726 /nfs/dbraw/zinc/27/07/26/387270726.db2.gz BWMWDPSVXJQXEX-HNNXBMFYSA-N 0 3 243.354 2.969 20 0 BFADHN Cc1occc1CNC[C@]1(C)CCCS1 ZINC000321544396 387272908 /nfs/dbraw/zinc/27/29/08/387272908.db2.gz RCIOEACXLDHTSG-LBPRGKRZSA-N 0 3 225.357 2.963 20 0 BFADHN CCOC[C@H](C)NCc1ccc2[nH]c(C)cc2c1 ZINC000353791875 387274893 /nfs/dbraw/zinc/27/48/93/387274893.db2.gz GIPHAMUDPCNLQM-LBPRGKRZSA-N 0 3 246.354 2.991 20 0 BFADHN Cc1ccnc(CN[C@H]2[C@H](C)CCC[C@@H]2C)n1 ZINC000336447051 387276081 /nfs/dbraw/zinc/27/60/81/387276081.db2.gz BIHLFDYDCQVNMY-YABSGUDNSA-N 0 3 233.359 2.699 20 0 BFADHN CO[C@@H](C)CN(Cc1cccc(O)c1)C(C)C ZINC000353796113 387278094 /nfs/dbraw/zinc/27/80/94/387278094.db2.gz NKHATDGDMONVDC-LBPRGKRZSA-N 0 3 237.343 2.638 20 0 BFADHN CCOc1cccc(CN2CC[C@H](C)[C@H](C)C2)n1 ZINC000353839637 387282301 /nfs/dbraw/zinc/28/23/01/387282301.db2.gz AKTURYLJDCEQBK-QWHCGFSZSA-N 0 3 248.370 2.958 20 0 BFADHN CO[C@@H]1CCN(C/C(C)=C/c2ccccc2)C1 ZINC000353842410 387283362 /nfs/dbraw/zinc/28/33/62/387283362.db2.gz GPQBCCFLGXMEDE-NRMKIYEFSA-N 0 3 231.339 2.811 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1CCC1(C)C)C1CC1 ZINC000353867837 387286931 /nfs/dbraw/zinc/28/69/31/387286931.db2.gz OFTHKNLWKFKGSC-VXGBXAGGSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1cc(CNC2(C3CCC3)CCC2)ncn1 ZINC000459759825 387288245 /nfs/dbraw/zinc/28/82/45/387288245.db2.gz RYFRLMWCLDWWTP-UHFFFAOYSA-N 0 3 231.343 2.597 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1nc(C)c(C)[nH]1 ZINC000572258229 387289577 /nfs/dbraw/zinc/28/95/77/387289577.db2.gz LYSHXIXSGYCHFN-VXGBXAGGSA-N 0 3 221.348 2.695 20 0 BFADHN COc1c(C)cc(CN2CC[C@H](OC)C2)cc1C ZINC000353882450 387290675 /nfs/dbraw/zinc/29/06/75/387290675.db2.gz QNGQVJSLYYMANY-AWEZNQCLSA-N 0 3 249.354 2.533 20 0 BFADHN CC(C)CCN(C)C[C@H]1COc2ccccc2O1 ZINC000302831934 387293126 /nfs/dbraw/zinc/29/31/26/387293126.db2.gz DHHUXZGSOMLZMU-ZDUSSCGKSA-N 0 3 249.354 2.804 20 0 BFADHN CN(CCCc1ccncc1)Cc1cccnc1 ZINC000360488719 387297395 /nfs/dbraw/zinc/29/73/95/387297395.db2.gz KDFNGEOUGVFDSH-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN Cc1nnc([C@H](C)N[C@H](C)[C@H]2C[C@@H]2C)s1 ZINC000321741795 387300058 /nfs/dbraw/zinc/30/00/58/387300058.db2.gz ZKSIQWKSNIRRRS-ODHVRURNSA-N 0 3 225.361 2.542 20 0 BFADHN Cc1cc(CCN[C@@H](C)c2cncc(C)c2)on1 ZINC000353995221 387306387 /nfs/dbraw/zinc/30/63/87/387306387.db2.gz HRFKYTHLHVIOFQ-LBPRGKRZSA-N 0 3 245.326 2.580 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](C)Cc2cnccn2)o1 ZINC000360854839 387358248 /nfs/dbraw/zinc/35/82/48/387358248.db2.gz CVTBXOFMIACKQT-ZYHUDNBSSA-N 0 3 245.326 2.660 20 0 BFADHN Cn1cncc1CN1CCC[C@@H](C(C)(C)C)C1 ZINC000336539227 387360652 /nfs/dbraw/zinc/36/06/52/387360652.db2.gz HWDMSAXCZDVAKB-GFCCVEGCSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@@H](Cc1ccccc1)CN1C[C@H]2CC[C@@H](C1)O2 ZINC000360898465 387362114 /nfs/dbraw/zinc/36/21/14/387362114.db2.gz VAZICVGQSIQAGV-CWRNSKLLSA-N 0 3 245.366 2.728 20 0 BFADHN COc1ccc(CN2CCCC3(CCC3)C2)nc1 ZINC000373404710 387364730 /nfs/dbraw/zinc/36/47/30/387364730.db2.gz MDIJSPKHPJTOEQ-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN c1ccc([C@H]2CCN2C[C@@H]2CCCO2)cc1 ZINC000336553417 387375096 /nfs/dbraw/zinc/37/50/96/387375096.db2.gz OFILAQAERSMANU-UONOGXRCSA-N 0 3 217.312 2.612 20 0 BFADHN FC(F)(F)C1=CCN(CC2CC2)CC1 ZINC000336553487 387376593 /nfs/dbraw/zinc/37/65/93/387376593.db2.gz MDVNZERXXORMCR-UHFFFAOYSA-N 0 3 205.223 2.591 20 0 BFADHN C[C@@H](NC[C@H]1CCCSC1)c1nccs1 ZINC000287119342 387377339 /nfs/dbraw/zinc/37/73/39/387377339.db2.gz RBEROSQPFVKARO-NXEZZACHSA-N 0 3 242.413 2.937 20 0 BFADHN C[C@H](CF)N[C@H](C)CCc1ccccc1 ZINC000287174617 387378934 /nfs/dbraw/zinc/37/89/34/387378934.db2.gz JTWJTBWKBCYLIB-VXGBXAGGSA-N 0 3 209.308 2.955 20 0 BFADHN C[C@H](CCc1ccsc1)NCc1ccn(C)n1 ZINC000360974956 387378916 /nfs/dbraw/zinc/37/89/16/387378916.db2.gz LCPXJXLQTIAUPW-LLVKDONJSA-N 0 3 249.383 2.593 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1CCCc2cc(F)ccc21 ZINC000514991298 387320205 /nfs/dbraw/zinc/32/02/05/387320205.db2.gz IDEZEJYAKJOTKV-QLFBSQMISA-N 0 3 249.329 2.970 20 0 BFADHN COC[C@@H]1CCN1C/C(C)=C\c1ccccc1 ZINC000354057805 387321624 /nfs/dbraw/zinc/32/16/24/387321624.db2.gz ZZRKMRQJAMWBID-WVUXNXBLSA-N 0 3 231.339 2.811 20 0 BFADHN CC[C@H](N[C@H]1CNCCC1(F)F)C1CCCC1 ZINC000423477135 387324079 /nfs/dbraw/zinc/32/40/79/387324079.db2.gz JMYIEKQHSQTVHY-RYUDHWBXSA-N 0 3 246.345 2.542 20 0 BFADHN CCN(Cc1occc1C)C[C@H](C)COC ZINC000515139203 387340270 /nfs/dbraw/zinc/34/02/70/387340270.db2.gz DJMJLQCQTDBTNW-NSHDSACASA-N 0 3 225.332 2.692 20 0 BFADHN CO[C@]1(C)C[C@@H](N(C)CC2(F)CC2)C1(C)C ZINC000525978328 387343995 /nfs/dbraw/zinc/34/39/95/387343995.db2.gz LBASQJSTPVWTLH-ZYHUDNBSSA-N 0 3 229.339 2.624 20 0 BFADHN CO[C@@H](CNCc1ccc(C)c(F)c1)C1CC1 ZINC000292984077 387345323 /nfs/dbraw/zinc/34/53/23/387345323.db2.gz LMVJSRNBMRCUAF-AWEZNQCLSA-N 0 3 237.318 2.649 20 0 BFADHN c1coc(CN2CCOCC3(CCCC3)C2)c1 ZINC000373568806 387383609 /nfs/dbraw/zinc/38/36/09/387383609.db2.gz OOEAEGSWIYNKBN-UHFFFAOYSA-N 0 3 235.327 2.672 20 0 BFADHN C=Cn1cc(CN2CC[C@@]3(CC[C@@H](C)C3)C2)cn1 ZINC000373205709 387347147 /nfs/dbraw/zinc/34/71/47/387347147.db2.gz LWJDEHVJZKMNGL-UKRRQHHQSA-N 0 3 245.370 2.996 20 0 BFADHN Cc1nsc(C)c1CN[C@@H]1CSC[C@@H]1C ZINC000572374841 387352015 /nfs/dbraw/zinc/35/20/15/387352015.db2.gz BVCOBLCIVKSEGU-WRWORJQWSA-N 0 3 242.413 2.601 20 0 BFADHN Cc1cnn(C)c1CN1CC2(CCC2)[C@@H]1C(C)C ZINC000336530069 387353721 /nfs/dbraw/zinc/35/37/21/387353721.db2.gz LUGKVEADDUNXTD-AWEZNQCLSA-N 0 3 247.386 2.739 20 0 BFADHN Fc1ccc(F)c2c1OCC[C@H]2NCC1CC1 ZINC000361252933 387423664 /nfs/dbraw/zinc/42/36/64/387423664.db2.gz SUPASPYCVUHQIW-LLVKDONJSA-N 0 3 239.265 2.788 20 0 BFADHN CCC(CC)c1noc([C@H]2CN(C)C[C@@H]2C)n1 ZINC000361250751 387424018 /nfs/dbraw/zinc/42/40/18/387424018.db2.gz KEQMMLPRCZPYPL-ONGXEEELSA-N 0 3 237.347 2.638 20 0 BFADHN Cc1occc1CN(C)C[C@@H]1CCO[C@H](C)C1 ZINC000352715859 387461319 /nfs/dbraw/zinc/46/13/19/387461319.db2.gz GQNOJZKUQIGQHD-DGCLKSJQSA-N 0 3 237.343 2.835 20 0 BFADHN Fc1ccc(F)c2c1OCC[C@@H]2NCC1CC1 ZINC000361252935 387424999 /nfs/dbraw/zinc/42/49/99/387424999.db2.gz SUPASPYCVUHQIW-NSHDSACASA-N 0 3 239.265 2.788 20 0 BFADHN CCC(CC)(CNCc1cc(C)ns1)OC ZINC000404469728 387426688 /nfs/dbraw/zinc/42/66/88/387426688.db2.gz ZWAQQNPHSHEUIE-UHFFFAOYSA-N 0 3 242.388 2.746 20 0 BFADHN CC[C@@H](N)C(=O)N(C)Cc1ccc(C(C)C)cc1 ZINC000037804893 387437468 /nfs/dbraw/zinc/43/74/68/387437468.db2.gz KYDMHRILANOJMA-CQSZACIVSA-N 0 3 248.370 2.506 20 0 BFADHN CCOc1ccccc1CN1CCOC[C@@H](C)C1 ZINC000374328196 387442970 /nfs/dbraw/zinc/44/29/70/387442970.db2.gz JKEQOHZACOYWPY-ZDUSSCGKSA-N 0 3 249.354 2.554 20 0 BFADHN Cc1ccncc1CN(C)CC[C@H]1CCCCO1 ZINC000361443738 387462112 /nfs/dbraw/zinc/46/21/12/387462112.db2.gz CHPOARMSPDICFF-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1ccncn1 ZINC000395807922 387452592 /nfs/dbraw/zinc/45/25/92/387452592.db2.gz DAHCEFXVLPMDLC-AXFHLTTASA-N 0 3 207.321 2.562 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1ccncn1 ZINC000395807923 387453255 /nfs/dbraw/zinc/45/32/55/387453255.db2.gz DAHCEFXVLPMDLC-DCAQKATOSA-N 0 3 207.321 2.562 20 0 BFADHN Cc1ccc(CN(C(C)C)[C@H]2CCOC2)c(C)n1 ZINC000361410060 387457206 /nfs/dbraw/zinc/45/72/06/387457206.db2.gz ZOJRADXVXFLZIC-HNNXBMFYSA-N 0 3 248.370 2.698 20 0 BFADHN CCc1ccc(CN2[C@H](C)C[C@H]2C)cn1 ZINC000336618521 387459048 /nfs/dbraw/zinc/45/90/48/387459048.db2.gz FSQPNMIUZDIREJ-GHMZBOCLSA-N 0 3 204.317 2.627 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccsc1C ZINC000293033119 387459404 /nfs/dbraw/zinc/45/94/04/387459404.db2.gz RRCXNENXCGZOED-BDAKNGLRSA-N 0 3 213.346 2.570 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccsc1C ZINC000293033120 387460039 /nfs/dbraw/zinc/46/00/39/387460039.db2.gz RRCXNENXCGZOED-RKDXNWHRSA-N 0 3 213.346 2.570 20 0 BFADHN COC1(CN2CCC[C@H]2CF)CCCCC1 ZINC000354523377 387389256 /nfs/dbraw/zinc/38/92/56/387389256.db2.gz UDBWNTCAPPUQIU-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN CCN(Cc1c(C)n[nH]c1C)CC(C)(C)C ZINC000361027302 387392791 /nfs/dbraw/zinc/39/27/91/387392791.db2.gz WVUUQWKVOJNWEX-UHFFFAOYSA-N 0 3 223.364 2.895 20 0 BFADHN Cc1ccc2nccc(N[C@H](C)C[C@H](C)O)c2c1 ZINC000354560838 387395810 /nfs/dbraw/zinc/39/58/10/387395810.db2.gz DRORLAVBJDCWKH-NEPJUHHUSA-N 0 3 244.338 2.536 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H]2CCN(C3CC3)C2)o1 ZINC000361066162 387396115 /nfs/dbraw/zinc/39/61/15/387396115.db2.gz BRDTYEARSIFGOG-VXGBXAGGSA-N 0 3 248.370 2.729 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nccs1)C1CC1 ZINC000083056846 387397429 /nfs/dbraw/zinc/39/74/29/387397429.db2.gz KPBPJOODDRAIJO-WCBMZHEXSA-N 0 3 210.346 2.982 20 0 BFADHN Cc1cnc(CN2CC(C)(C)[C@H]3CCC[C@H]32)n1C ZINC000354585091 387399974 /nfs/dbraw/zinc/39/99/74/387399974.db2.gz WZEGRZLFLNNQTM-QWHCGFSZSA-N 0 3 247.386 2.739 20 0 BFADHN CC[C@@H](NCc1nnc(C2CC2)[nH]1)C1CCCC1 ZINC000515593943 387402532 /nfs/dbraw/zinc/40/25/32/387402532.db2.gz KBLTUBSWNJAWDM-GFCCVEGCSA-N 0 3 248.374 2.741 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@H](C)[C@H]2C)c(C)c1 ZINC000361152160 387405356 /nfs/dbraw/zinc/40/53/56/387405356.db2.gz HCYWBXFZDSXYIC-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@@H](C)[C@H]2C)c(C)c1 ZINC000361152162 387406091 /nfs/dbraw/zinc/40/60/91/387406091.db2.gz HCYWBXFZDSXYIC-LSDHHAIUSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1ccc(CN2C[C@H](C)N(C)C[C@H]2C)c(C)c1 ZINC000361153197 387406120 /nfs/dbraw/zinc/40/61/20/387406120.db2.gz LKZHXPUIHMYGRO-LSDHHAIUSA-N 0 3 246.398 2.828 20 0 BFADHN CCc1ccc(CNC[C@@](C)(OC)C2CC2)cn1 ZINC000361147753 387407001 /nfs/dbraw/zinc/40/70/01/387407001.db2.gz VZJAICDHZFZWRA-OAHLLOKOSA-N 0 3 248.370 2.549 20 0 BFADHN Cc1oncc1CN(C)[C@H]1CCC(C)(C)C1 ZINC000336592380 387417503 /nfs/dbraw/zinc/41/75/03/387417503.db2.gz GBSANVKPVUDSRS-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN c1oc2ccccc2c1CNCC1=CCCOC1 ZINC000269310532 387420893 /nfs/dbraw/zinc/42/08/93/387420893.db2.gz VHVLLULZSAVYKS-UHFFFAOYSA-N 0 3 243.306 2.869 20 0 BFADHN CSC1(CN[C@@H](C)c2cc(C)ccn2)CC1 ZINC000287389695 387423127 /nfs/dbraw/zinc/42/31/27/387423127.db2.gz IRCSPOXZAVUACX-NSHDSACASA-N 0 3 236.384 2.936 20 0 BFADHN CC(C)n1cc(CN[C@@H](C2CC2)C2CCC2)nn1 ZINC000324675539 387498530 /nfs/dbraw/zinc/49/85/30/387498530.db2.gz MFFAIGPUAIGZIF-CQSZACIVSA-N 0 3 248.374 2.527 20 0 BFADHN CCc1ccccc1CN(CC)[C@H]1CCOC1 ZINC000361589026 387505212 /nfs/dbraw/zinc/50/52/12/387505212.db2.gz OARMETLSIQOELZ-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN c1nc(CN2CCCC[C@H]2C2CCC2)co1 ZINC000648078088 387505381 /nfs/dbraw/zinc/50/53/81/387505381.db2.gz ZYHWBGNOPSQJJM-ZDUSSCGKSA-N 0 3 220.316 2.829 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN2C[C@@H](O)CC[C@@H]2C)o1 ZINC000648078119 387507568 /nfs/dbraw/zinc/50/75/68/387507568.db2.gz FTXNCZQBXPPDKT-MNXVOIDGSA-N 0 3 249.354 2.748 20 0 BFADHN COc1ccccc1[C@H](C)NCCC1(CO)CC1 ZINC000395940793 387515645 /nfs/dbraw/zinc/51/56/45/387515645.db2.gz PAQCAZSKKNFOSC-LBPRGKRZSA-N 0 3 249.354 2.508 20 0 BFADHN Cc1ccc(C(C)(C)NC[C@@H]2CC[C@@H](C)O2)cn1 ZINC000355298115 387515630 /nfs/dbraw/zinc/51/56/30/387515630.db2.gz WJQXPJCONIYHPG-OCCSQVGLSA-N 0 3 248.370 2.782 20 0 BFADHN CCN(C(=O)C(C)C(F)(F)F)c1cccnc1 ZINC000361653738 387518760 /nfs/dbraw/zinc/51/87/60/387518760.db2.gz GAWLVPAQPBVFSM-MRVPVSSYSA-N 0 3 246.232 2.633 20 0 BFADHN COC(C)(C)[C@H](C)NCc1cnc(C)cc1C ZINC000396968430 387522438 /nfs/dbraw/zinc/52/24/38/387522438.db2.gz DOMCROCKCYVZOH-LBPRGKRZSA-N 0 3 236.359 2.602 20 0 BFADHN CC[C@@H](C)N(C)CC[S@@](=O)c1ccccc1 ZINC000355353632 387522724 /nfs/dbraw/zinc/52/27/24/387522724.db2.gz JJFLCVSOQGWXHG-MLGOLLRUSA-N 0 3 239.384 2.525 20 0 BFADHN C[C@@H](CCc1ccco1)N[C@@H](C)c1ccncn1 ZINC000396041375 387523127 /nfs/dbraw/zinc/52/31/27/387523127.db2.gz QYFZITXVTNQMGK-RYUDHWBXSA-N 0 3 245.326 2.742 20 0 BFADHN CCO[C@@H]1C[C@@H](NCCF)C12CCCCC2 ZINC000304124657 387524045 /nfs/dbraw/zinc/52/40/45/387524045.db2.gz GILZZGBEHNHVAM-VXGBXAGGSA-N 0 3 229.339 2.673 20 0 BFADHN C[C@@H](CN[C@H](C)c1cnccn1)CC(F)(F)F ZINC000396980347 387524403 /nfs/dbraw/zinc/52/44/03/387524403.db2.gz IXKOKHVZNLLXFR-RKDXNWHRSA-N 0 3 247.264 2.716 20 0 BFADHN Cc1nn(C)cc1CN1CC[C@H](C)C(C)(C)C1 ZINC000355501611 387544109 /nfs/dbraw/zinc/54/41/09/387544109.db2.gz DMZPKPDZOLCTQB-NSHDSACASA-N 0 3 235.375 2.597 20 0 BFADHN CC1(C)C[C@@H](NCc2ccc(Cl)cc2)CO1 ZINC000396981112 387527075 /nfs/dbraw/zinc/52/70/75/387527075.db2.gz SIEFPDYVTLSLBB-GFCCVEGCSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@H](CN[C@@H]1CCn2ccnc21)CC(F)(F)F ZINC000396982230 387527179 /nfs/dbraw/zinc/52/71/79/387527179.db2.gz WPOCOSBIRIYYPL-DTWKUNHWSA-N 0 3 247.264 2.506 20 0 BFADHN C[C@H](N[C@H]1CCC(C)(C)C1)c1cnccn1 ZINC000122452166 387531776 /nfs/dbraw/zinc/53/17/76/387531776.db2.gz UBDWGYRMCACTHY-QWRGUYRKSA-N 0 3 219.332 2.706 20 0 BFADHN Cc1ccc(CNC2CC(F)(F)C2)s1 ZINC000277352331 387532215 /nfs/dbraw/zinc/53/22/15/387532215.db2.gz AAVWEJSROLSKGB-UHFFFAOYSA-N 0 3 217.284 2.944 20 0 BFADHN C[C@@H]1[C@H](CO)CCN1C/C=C\c1ccc(F)cc1 ZINC000425989216 387535924 /nfs/dbraw/zinc/53/59/24/387535924.db2.gz RGZKTVQYIAOQOK-DEOOVVBZSA-N 0 3 249.329 2.542 20 0 BFADHN CCc1ccc(CNC2(CCOC)CCC2)o1 ZINC000355486025 387541301 /nfs/dbraw/zinc/54/13/01/387541301.db2.gz PGFYWOKTAGXPHT-UHFFFAOYSA-N 0 3 237.343 2.891 20 0 BFADHN CN(Cc1ccnn1C)C1CCCCCC1 ZINC000122066164 387464568 /nfs/dbraw/zinc/46/45/68/387464568.db2.gz OSQSOIOIPMHFGY-UHFFFAOYSA-N 0 3 221.348 2.575 20 0 BFADHN CCc1ccc(CN[C@@H](C)[C@H]2CCCCO2)cn1 ZINC000352737763 387465454 /nfs/dbraw/zinc/46/54/54/387465454.db2.gz RBDHFXRZPHIVND-SWLSCSKDSA-N 0 3 248.370 2.691 20 0 BFADHN COC[C@H](C)NC/C=C/c1ccc(F)c(F)c1 ZINC000352740819 387467083 /nfs/dbraw/zinc/46/70/83/387467083.db2.gz AIZANSRQGVCVFW-FSIBCCDJSA-N 0 3 241.281 2.603 20 0 BFADHN COC[C@H](C)NC/C=C\c1ccc(F)c(F)c1 ZINC000352740822 387467394 /nfs/dbraw/zinc/46/73/94/387467394.db2.gz AIZANSRQGVCVFW-XOULXFPDSA-N 0 3 241.281 2.603 20 0 BFADHN CCc1ccc(CNC[C@H]2CCC(C)(C)O2)cn1 ZINC000352740339 387467743 /nfs/dbraw/zinc/46/77/43/387467743.db2.gz VWWQOTYTWTXNLP-CQSZACIVSA-N 0 3 248.370 2.691 20 0 BFADHN CCOc1cc(C)ccc1CNCC1(C)COC1 ZINC000352750991 387471897 /nfs/dbraw/zinc/47/18/97/387471897.db2.gz SGSYQGUYQBDCEH-UHFFFAOYSA-N 0 3 249.354 2.520 20 0 BFADHN CCc1cccc(F)c1CNCCC[C@@H](C)O ZINC000355107261 387481411 /nfs/dbraw/zinc/48/14/11/387481411.db2.gz CHHJGKYEWPGJQN-LLVKDONJSA-N 0 3 239.334 2.639 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@@H]1C[C@H]1C)CCO2 ZINC000516215304 387481734 /nfs/dbraw/zinc/48/17/34/387481734.db2.gz OSICOYWCPOYXIM-OLZOCXBDSA-N 0 3 231.339 2.845 20 0 BFADHN CO[C@H]1CCCN([C@@H](C)c2cccnc2)CC1 ZINC000374703505 387483019 /nfs/dbraw/zinc/48/30/19/387483019.db2.gz VGCYELLSXORHNV-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN COC1(CN(C)Cc2ccoc2C)CCCC1 ZINC000361550096 387484977 /nfs/dbraw/zinc/48/49/77/387484977.db2.gz DFRGOUWLDPOTPI-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN Cc1c([C@H](C)N[C@H](C)Cc2ccoc2)cnn1C ZINC000352791410 387487917 /nfs/dbraw/zinc/48/79/17/387487917.db2.gz ZSIMJVNQUHEEPV-MNOVXSKESA-N 0 3 247.342 2.603 20 0 BFADHN Cc1ccc(CNCC2(C)CCCCC2)nn1 ZINC000396718704 387489221 /nfs/dbraw/zinc/48/92/21/387489221.db2.gz PJTTYGFEVITUBI-UHFFFAOYSA-N 0 3 233.359 2.845 20 0 BFADHN OCC1(CCNCc2sccc2Cl)CC1 ZINC000395852785 387491218 /nfs/dbraw/zinc/49/12/18/387491218.db2.gz GPZFFAOJSSPFKB-UHFFFAOYSA-N 0 3 245.775 2.654 20 0 BFADHN CN(Cc1cccc(O)c1)[C@@H]1CC1(C)C ZINC000426539773 387580233 /nfs/dbraw/zinc/58/02/33/387580233.db2.gz OEEQYFFCJQNRPM-GFCCVEGCSA-N 0 3 205.301 2.623 20 0 BFADHN Cc1ccc(CN2CCCC[C@@H]2C[C@@H](C)O)o1 ZINC000336695886 387580906 /nfs/dbraw/zinc/58/09/06/387580906.db2.gz IXIJYCYJFNSXPU-DGCLKSJQSA-N 0 3 237.343 2.713 20 0 BFADHN Cc1nc(CN2CCC3(CCCCC3)CC2)n[nH]1 ZINC000428218850 387591578 /nfs/dbraw/zinc/59/15/78/387591578.db2.gz NGWNGXAVURMGMO-UHFFFAOYSA-N 0 3 248.374 2.659 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@H]3CCCC[C@@H]3C2)cn1 ZINC000428219704 387592839 /nfs/dbraw/zinc/59/28/39/387592839.db2.gz GAWQKMVDGXRRBA-BFHYXJOUSA-N 0 3 245.370 2.968 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCO[C@@H]2C2CC2)c1 ZINC000375895620 387593378 /nfs/dbraw/zinc/59/33/78/387593378.db2.gz ONVYVPYNAVVUCS-CORIIIEPSA-N 0 3 246.354 2.608 20 0 BFADHN CCN1C[C@]2(C[C@@H]3CC[C@H]2C3)OC(C)(C)C1 ZINC000375995955 387598236 /nfs/dbraw/zinc/59/82/36/387598236.db2.gz VQAUIJJXVAKTSL-DYEKYZERSA-N 0 3 223.360 2.676 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2C[C@@H](C)O)ccn1 ZINC000527538367 387606708 /nfs/dbraw/zinc/60/67/08/387606708.db2.gz GSFBWETVBRMXPQ-HIFRSBDPSA-N 0 3 248.370 2.515 20 0 BFADHN COC[C@@H]1CCCN(Cc2ccnc(C)c2)CC1 ZINC000527540710 387610111 /nfs/dbraw/zinc/61/01/11/387610111.db2.gz VEIYUIGCXZDKDE-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN CC[C@H]1CN(CC2(SC)CCC2)C[C@H](C)O1 ZINC000356058840 387611362 /nfs/dbraw/zinc/61/13/62/387611362.db2.gz PSTKIUTXIYNHDX-RYUDHWBXSA-N 0 3 243.416 2.771 20 0 BFADHN Cc1c[nH]nc1CN(C)CC1CCCCC1 ZINC000356060715 387612178 /nfs/dbraw/zinc/61/21/78/387612178.db2.gz RVDAGTIXGCSTCH-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN C1=C[C@H](N2CCC[C@@H]3COCC[C@H]32)CCC1 ZINC000362447535 387614405 /nfs/dbraw/zinc/61/44/05/387614405.db2.gz CFYVRWQLJSOVSW-HZSPNIEDSA-N 0 3 221.344 2.596 20 0 BFADHN CCC[C@H]1CN(CC2(SC)CCC2)CCO1 ZINC000356125747 387618178 /nfs/dbraw/zinc/61/81/78/387618178.db2.gz SIJYEUUGOMMSBY-LBPRGKRZSA-N 0 3 243.416 2.773 20 0 BFADHN Cc1cc(CN2CCC(OC(C)C)CC2)ccn1 ZINC000527533419 387624775 /nfs/dbraw/zinc/62/47/75/387624775.db2.gz JKEJHTLTXWMQIT-UHFFFAOYSA-N 0 3 248.370 2.779 20 0 BFADHN CC[C@H](N[C@H](C)CN(C)C)c1ccccc1F ZINC000122577100 387550470 /nfs/dbraw/zinc/55/04/70/387550470.db2.gz COXMCOTULRWONP-RISCZKNCSA-N 0 3 238.350 2.817 20 0 BFADHN Cc1cncc(CN2CC[C@H](c3ccco3)C2)c1 ZINC000375544603 387551664 /nfs/dbraw/zinc/55/16/64/387551664.db2.gz GANYNTNYEYLVBB-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CCOC[C@@H]2C)c1 ZINC000278039586 387551821 /nfs/dbraw/zinc/55/18/21/387551821.db2.gz XJJRLKGLWKHHCA-FZMZJTMJSA-N 0 3 237.318 2.649 20 0 BFADHN Cc1cncc(CN2CC3CCC2CC3)c1 ZINC000375546602 387552073 /nfs/dbraw/zinc/55/20/73/387552073.db2.gz PVAMFPRMLGZGCV-UHFFFAOYSA-N 0 3 216.328 2.764 20 0 BFADHN CCSCCN(C)Cc1cnccc1C ZINC000355578747 387552895 /nfs/dbraw/zinc/55/28/95/387552895.db2.gz FVMCBXSHYNMJIQ-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN C[C@H](Cc1ccncc1)N[C@@H](C)c1cncs1 ZINC000361970017 387555631 /nfs/dbraw/zinc/55/56/31/387555631.db2.gz FFOTYCXKJFPIJT-MNOVXSKESA-N 0 3 247.367 2.820 20 0 BFADHN C[C@H](C(=O)OC(C)(C)C)N1C[C@@H](C)[C@@H](C)[C@H]1C ZINC000399589185 387556571 /nfs/dbraw/zinc/55/65/71/387556571.db2.gz KWPONCJRKVDTOJ-DDHJBXDOSA-N 0 3 241.375 2.693 20 0 BFADHN Cc1cc(C(=O)CN2C[C@H](C)[C@@H](C)[C@H]2C)c(C)[nH]1 ZINC000399590510 387557446 /nfs/dbraw/zinc/55/74/46/387557446.db2.gz FJUJVMJIAKHORR-UFGOTCBOSA-N 0 3 248.370 2.791 20 0 BFADHN Cc1ccc(CN(CCO)CCCC(C)C)o1 ZINC000355645498 387561771 /nfs/dbraw/zinc/56/17/71/387561771.db2.gz DQFZGKASEYXMGL-UHFFFAOYSA-N 0 3 239.359 2.819 20 0 BFADHN CC[C@@H](CO)N[C@@H](CC)c1ccccc1OC ZINC000037238356 387562119 /nfs/dbraw/zinc/56/21/19/387562119.db2.gz ARRFVYPSHNRGEJ-AAEUAGOBSA-N 0 3 237.343 2.507 20 0 BFADHN CO[C@@H](C)CN1Cc2ccccc2C2(CCC2)C1 ZINC000375690127 387567071 /nfs/dbraw/zinc/56/70/71/387567071.db2.gz OVJOZPYUYWBSPG-ZDUSSCGKSA-N 0 3 245.366 2.959 20 0 BFADHN CC[C@H](N)C(=O)N(CCC(C)C)CCC(C)C ZINC000037804696 387567809 /nfs/dbraw/zinc/56/78/09/387567809.db2.gz DAMXFHMNLQGZHW-ZDUSSCGKSA-N 0 3 242.407 2.645 20 0 BFADHN C[C@@H](NCC1(O)CCCCC1)c1ccco1 ZINC000037890584 387568280 /nfs/dbraw/zinc/56/82/80/387568280.db2.gz QZOQYDDMGPBEFS-LLVKDONJSA-N 0 3 223.316 2.625 20 0 BFADHN c1nc2ccccn2c1CN1CC[C@@H]2CCC[C@@H]21 ZINC000426456483 387569863 /nfs/dbraw/zinc/56/98/63/387569863.db2.gz FDQPAJFYPRKPOO-JSGCOSHPSA-N 0 3 241.338 2.709 20 0 BFADHN C[C@H](NCCCO)c1cc2cccc(F)c2o1 ZINC000355728820 387573901 /nfs/dbraw/zinc/57/39/01/387573901.db2.gz NPSVOHQXLUVXTF-VIFPVBQESA-N 0 3 237.274 2.605 20 0 BFADHN COC[C@H](N[C@H](C)c1cncc(F)c1)C(C)C ZINC000165943142 387574959 /nfs/dbraw/zinc/57/49/59/387574959.db2.gz UMZGYULIOYASHU-MFKMUULPSA-N 0 3 240.322 2.542 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)CC2(C)C)o1 ZINC000266956217 387579135 /nfs/dbraw/zinc/57/91/35/387579135.db2.gz WIBLJZUOZPISPR-VIFPVBQESA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ccc(F)cc1CNCC1=CCCOC1 ZINC000288555244 387579662 /nfs/dbraw/zinc/57/96/62/387579662.db2.gz YBLMPFHYZXRFPP-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN Cc1cc(CN2CC([C@@H]3CCOC3)C2)ccc1F ZINC000376436743 387632690 /nfs/dbraw/zinc/63/26/90/387632690.db2.gz XYVVNVUISMACOC-CYBMUJFWSA-N 0 3 249.329 2.602 20 0 BFADHN CC/C=C/CCN(C)[C@@H](C)C(=O)NC(C)(C)C ZINC000362583790 387634752 /nfs/dbraw/zinc/63/47/52/387634752.db2.gz NRNVCGIWLXEAGT-BCPZQOPPSA-N 0 3 240.391 2.578 20 0 BFADHN C[C@H]1CC(F)(F)CCN1CCOCC1CCC1 ZINC000528768308 387635127 /nfs/dbraw/zinc/63/51/27/387635127.db2.gz JIDTVKGJTMKODW-NSHDSACASA-N 0 3 247.329 2.923 20 0 BFADHN CN1CC=C(c2ccc(C(F)(F)F)nc2)CC1 ZINC000527587350 387635718 /nfs/dbraw/zinc/63/57/18/387635718.db2.gz JVHZRDARRZZFHT-UHFFFAOYSA-N 0 3 242.244 2.819 20 0 BFADHN C=Cn1cc(CN2CCC(C)(C3CC3)CC2)cn1 ZINC000356291280 387637578 /nfs/dbraw/zinc/63/75/78/387637578.db2.gz IVWLLMPXRHMBQQ-UHFFFAOYSA-N 0 3 245.370 2.996 20 0 BFADHN CC(C)c1ncc2c(n1)CCN(C[C@H]1C[C@H]1C)C2 ZINC000527618212 387639572 /nfs/dbraw/zinc/63/95/72/387639572.db2.gz IDFCZBMESZFAPH-VXGBXAGGSA-N 0 3 245.370 2.614 20 0 BFADHN CCCCN1CCc2nc(C(C)C)ncc2C1 ZINC000527622187 387640114 /nfs/dbraw/zinc/64/01/14/387640114.db2.gz PATJJHMPBRFGMC-UHFFFAOYSA-N 0 3 233.359 2.758 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@H]1NCc1ccn(C)n1 ZINC000430702864 387642364 /nfs/dbraw/zinc/64/23/64/387642364.db2.gz CZPANPUNHJNFNK-YRGRVCCFSA-N 0 3 235.375 2.725 20 0 BFADHN c1c[nH]c(CN[C@@H]2CC[C@@H](c3ccccc3)C2)n1 ZINC000362640484 387643034 /nfs/dbraw/zinc/64/30/34/387643034.db2.gz OLSHXZJKZRQHSE-ZIAGYGMSSA-N 0 3 241.338 2.836 20 0 BFADHN CCC[C@H](CNCc1sc(C)nc1C)OC ZINC000356337557 387645348 /nfs/dbraw/zinc/64/53/48/387645348.db2.gz QDMHOFQSFCQARG-LLVKDONJSA-N 0 3 242.388 2.665 20 0 BFADHN CCC[C@H](CC)NC(=O)[C@H]1CCCN1CCC ZINC000362698577 387649295 /nfs/dbraw/zinc/64/92/95/387649295.db2.gz JNZUGGIAKLJMFP-QWHCGFSZSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1c[nH]nc1CN(C)C[C@H]1CC=CCC1 ZINC000356404467 387653008 /nfs/dbraw/zinc/65/30/08/387653008.db2.gz BQHAAUFNYMTFEI-LBPRGKRZSA-N 0 3 219.332 2.506 20 0 BFADHN CO[C@H](CNCc1ccnc(C)c1)C(C)(C)C ZINC000527671039 387653847 /nfs/dbraw/zinc/65/38/47/387653847.db2.gz STQUIGNZMLWESB-CYBMUJFWSA-N 0 3 236.359 2.541 20 0 BFADHN CCN(CC)[C@H](C)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC000123110689 387655137 /nfs/dbraw/zinc/65/51/37/387655137.db2.gz LERUZFMVMYFMIT-FRRDWIJNSA-N 0 3 240.391 2.506 20 0 BFADHN CCC[C@H](C(=O)OCC)N(CC)CC(C)C ZINC000149592826 387655562 /nfs/dbraw/zinc/65/55/62/387655562.db2.gz OMMCAAPKAVKZGN-GFCCVEGCSA-N 0 3 229.364 2.696 20 0 BFADHN Cc1cnc([C@H](C)NC[C@H]2CCCOC2)s1 ZINC000125431604 387656859 /nfs/dbraw/zinc/65/68/59/387656859.db2.gz CGIQVLDVOSNAHL-WDEREUQCSA-N 0 3 240.372 2.529 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2cnn(CC(C)C)c2)C1 ZINC000430873982 387657317 /nfs/dbraw/zinc/65/73/17/387657317.db2.gz XQLIIKKOHMGLBA-CYBMUJFWSA-N 0 3 247.386 2.937 20 0 BFADHN CC(C)n1ccc(CN[C@H](C)[C@@H]2C[C@H]2C2CC2)n1 ZINC000527663605 387664444 /nfs/dbraw/zinc/66/44/44/387664444.db2.gz FWXNYSDAHIPTKI-UGFHNGPFSA-N 0 3 247.386 2.988 20 0 BFADHN Cc1cc(CN[C@H]2CC23CCCC3)ccn1 ZINC000527701095 387666099 /nfs/dbraw/zinc/66/60/99/387666099.db2.gz QSBHDIXMWVVWGM-ZDUSSCGKSA-N 0 3 216.328 2.812 20 0 BFADHN CCc1cc(CN[C@H](C)[C@@H]2C[C@H]2C2CC2)on1 ZINC000527665859 387666759 /nfs/dbraw/zinc/66/67/59/387666759.db2.gz QTQHQOKMAMNBMJ-IIMNLJJBSA-N 0 3 234.343 2.761 20 0 BFADHN CCC(CC)(CNCc1ccnc(C)c1)OC ZINC000527708718 387667727 /nfs/dbraw/zinc/66/77/27/387667727.db2.gz DWHISQPTHONJEI-UHFFFAOYSA-N 0 3 236.359 2.685 20 0 BFADHN CCCCC(=O)NCc1cccc(CN(C)C)c1 ZINC000043976826 387669278 /nfs/dbraw/zinc/66/92/78/387669278.db2.gz WSDKVPHCVKKIRN-UHFFFAOYSA-N 0 3 248.370 2.555 20 0 BFADHN Cc1cc(CNCCC(C)(F)F)ccn1 ZINC000527712344 387670566 /nfs/dbraw/zinc/67/05/66/387670566.db2.gz FADLKCHCRHZDHA-UHFFFAOYSA-N 0 3 214.259 2.525 20 0 BFADHN c1coc(CSCCN2CCCCC2)c1 ZINC000125983063 387670604 /nfs/dbraw/zinc/67/06/04/387670604.db2.gz FUZIUSQPNPOSFQ-UHFFFAOYSA-N 0 3 225.357 2.999 20 0 BFADHN CCN[C@](C)(CO)c1cc(Cl)cc(Cl)c1 ZINC000451900416 387672623 /nfs/dbraw/zinc/67/26/23/387672623.db2.gz AFYDOSURWJLYRR-LLVKDONJSA-N 0 3 248.153 2.810 20 0 BFADHN CC(C)(C)CCN1CCO[C@@H]2CCC[C@H]21 ZINC000362941424 387677378 /nfs/dbraw/zinc/67/73/78/387677378.db2.gz JYZQSIBPFCNOME-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1cc(CNC[C@@H](C)c2nccs2)ccn1 ZINC000527691250 387685129 /nfs/dbraw/zinc/68/51/29/387685129.db2.gz JQBUIZFSTWXDFA-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN C[C@H](N[C@H](CCO)c1ccco1)[C@@H]1C[C@H]1C1CC1 ZINC000527694363 387687064 /nfs/dbraw/zinc/68/70/64/387687064.db2.gz ZEJFDURBEFDIAK-DOERSZECSA-N 0 3 249.354 2.727 20 0 BFADHN CC[C@H](F)CN1CCCC[C@H](SC)C1 ZINC000440761945 387687080 /nfs/dbraw/zinc/68/70/80/387687080.db2.gz GVBVNWWSZIZXSP-QWRGUYRKSA-N 0 3 219.369 2.952 20 0 BFADHN CCOC[C@H](C)NCc1ccc(Cl)c(F)c1 ZINC000044692107 387692848 /nfs/dbraw/zinc/69/28/48/387692848.db2.gz IRJDSWJKYDXGTH-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN CCOC[C@H](C)NCc1ccc2ccccc2n1 ZINC000044724383 387692912 /nfs/dbraw/zinc/69/29/12/387692912.db2.gz SCRDPSRPKBBPBW-LBPRGKRZSA-N 0 3 244.338 2.749 20 0 BFADHN FCCCCN1CC2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000377761383 387746824 /nfs/dbraw/zinc/74/68/24/387746824.db2.gz PQLVGBWVCCKRSL-CHWSQXEVSA-N 0 3 241.350 2.770 20 0 BFADHN CCO[C@@H]1C[C@H](N(C)C[C@@H](F)CC)C1(C)C ZINC000440787170 387699480 /nfs/dbraw/zinc/69/94/80/387699480.db2.gz VADWDNNSGQGBLY-SDDRHHMPSA-N 0 3 231.355 2.870 20 0 BFADHN CCOCCN[C@@H]1CCc2ccc(Cl)cc21 ZINC000127156166 387705710 /nfs/dbraw/zinc/70/57/10/387705710.db2.gz YQEMXCWZTQRQRR-CYBMUJFWSA-N 0 3 239.746 2.953 20 0 BFADHN CC1(CCNCc2cc(C(F)(F)F)n[nH]2)CC1 ZINC000441381333 387755064 /nfs/dbraw/zinc/75/50/64/387755064.db2.gz SAQMRJAARNEHCW-UHFFFAOYSA-N 0 3 247.264 2.708 20 0 BFADHN COC[C@H](C)N[C@@H]1CCc2ccc(Cl)cc21 ZINC000127145711 387706635 /nfs/dbraw/zinc/70/66/35/387706635.db2.gz ZODBLIUESUXYBH-TVQRCGJNSA-N 0 3 239.746 2.952 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1ccc(CC)s1 ZINC000527783612 387711242 /nfs/dbraw/zinc/71/12/42/387711242.db2.gz NAEOTXRSXRSAEZ-OLZOCXBDSA-N 0 3 239.384 2.968 20 0 BFADHN Fc1ccc(CN2CCC[C@H]2[C@@H]2CCCO2)cc1 ZINC000367447674 387712215 /nfs/dbraw/zinc/71/22/15/387712215.db2.gz KMZKKZTXHWADSB-GJZGRUSLSA-N 0 3 249.329 2.969 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCC23CC(C2)CO3)o1 ZINC000527786527 387713059 /nfs/dbraw/zinc/71/30/59/387713059.db2.gz DHGAEVVTWPSHFR-JWFCRIOLSA-N 0 3 247.338 2.672 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NC[C@H](F)CC ZINC000452049702 387714335 /nfs/dbraw/zinc/71/43/35/387714335.db2.gz IVEOBLGDFNIATE-IJLUTSLNSA-N 0 3 217.328 2.672 20 0 BFADHN Cc1ccc(CC(C)(C)NCc2ncc[nH]2)cc1 ZINC000418108260 387717592 /nfs/dbraw/zinc/71/75/92/387717592.db2.gz QWHLYERVIXGWRY-UHFFFAOYSA-N 0 3 243.354 2.829 20 0 BFADHN CCc1cccc(F)c1CNCC12CC(C1)CO2 ZINC000527791710 387718005 /nfs/dbraw/zinc/71/80/05/387718005.db2.gz VKADZMHQGAVURC-UHFFFAOYSA-N 0 3 249.329 2.657 20 0 BFADHN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1NCc1cccc(F)c1 ZINC000527800089 387723483 /nfs/dbraw/zinc/72/34/83/387723483.db2.gz CIEUJPQKKJNQJW-KZWBYHQPSA-N 0 3 237.318 2.727 20 0 BFADHN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1NCc1ccc(Cl)o1 ZINC000527801846 387723553 /nfs/dbraw/zinc/72/35/53/387723553.db2.gz LBHWUUGFMQUQGI-APOZVJGGSA-N 0 3 243.734 2.835 20 0 BFADHN COc1cc(CN2CCC[C@H](C3CC3)C2)ccn1 ZINC000377556180 387727498 /nfs/dbraw/zinc/72/74/98/387727498.db2.gz ZJYNAZAJVYJKLY-AWEZNQCLSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cc(CN2CCC[C@@H](C3CC3)C2)ccn1 ZINC000377556181 387727639 /nfs/dbraw/zinc/72/76/39/387727639.db2.gz ZJYNAZAJVYJKLY-CQSZACIVSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ncccc1CN1CCC2(CCC2)CC1 ZINC000367584135 387730036 /nfs/dbraw/zinc/73/00/36/387730036.db2.gz ICDMYLIGLLTLEV-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN C[C@H](NCc1ccc2c(c1)CNC2)c1ccoc1 ZINC000643523422 387730316 /nfs/dbraw/zinc/73/03/16/387730316.db2.gz CGRSSPIQAMGEBL-NSHDSACASA-N 0 3 242.322 2.734 20 0 BFADHN CCc1cccnc1[C@H](C)NCCOCC1CC1 ZINC000356853606 387732895 /nfs/dbraw/zinc/73/28/95/387732895.db2.gz SHKPZORPOPJAQJ-LBPRGKRZSA-N 0 3 248.370 2.721 20 0 BFADHN CCC(C)(C)CCN(C)CC(=O)NC(C)(C)C ZINC000441188086 387733941 /nfs/dbraw/zinc/73/39/41/387733941.db2.gz JCHOQLATBRTRFN-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN CC(C)(C)c1ccc(CN2CC[C@H](O)C2)s1 ZINC000128164426 387739797 /nfs/dbraw/zinc/73/97/97/387739797.db2.gz SDKPQCCLLBPQAK-JTQLQIEISA-N 0 3 239.384 2.612 20 0 BFADHN CCC(C)(C)CCN1C[C@@H](C)OC[C@H]1C ZINC000441252766 387740864 /nfs/dbraw/zinc/74/08/64/387740864.db2.gz GQHOIIHZAMMMNT-VXGBXAGGSA-N 0 3 213.365 2.922 20 0 BFADHN CCC(C)(C)CCN1CCOC(C)(C)C1 ZINC000441266386 387741702 /nfs/dbraw/zinc/74/17/02/387741702.db2.gz UKRPCOHLPNQOGA-UHFFFAOYSA-N 0 3 213.365 2.924 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2cnccc2N)s1 ZINC000422896912 387766787 /nfs/dbraw/zinc/76/67/87/387766787.db2.gz YTPGMTHQKBJABR-JTQLQIEISA-N 0 3 247.367 2.715 20 0 BFADHN C[C@H](CC[S@@](C)=O)N[C@@H](C)c1ccsc1 ZINC000161850219 387768931 /nfs/dbraw/zinc/76/89/31/387768931.db2.gz JBURLBUFWACESS-FTGAXOIBSA-N 0 3 245.413 2.556 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccccc1 ZINC000527973464 387813582 /nfs/dbraw/zinc/81/35/82/387813582.db2.gz GFTUWMHMCBPEAT-YUTCNCBUSA-N 0 3 219.328 2.905 20 0 BFADHN COc1cnccc1[C@H](C)N[C@H](C)[C@H]1CC12CC2 ZINC000527892289 387775900 /nfs/dbraw/zinc/77/59/00/387775900.db2.gz JQKZHHQRMJTPPF-DMDPSCGWSA-N 0 3 246.354 2.929 20 0 BFADHN c1coc(CN2CC[C@H](c3ccco3)C2)c1 ZINC000378345392 387778183 /nfs/dbraw/zinc/77/81/83/387778183.db2.gz JWMKVDJFWJEULW-NSHDSACASA-N 0 3 217.268 2.862 20 0 BFADHN Cn1cccc1CN1CC[C@H](c2ccco2)C1 ZINC000378345008 387778839 /nfs/dbraw/zinc/77/88/39/387778839.db2.gz GDRPKYMAYITYRS-LBPRGKRZSA-N 0 3 230.311 2.608 20 0 BFADHN c1coc([C@@H]2CCN(Cc3cncs3)C2)c1 ZINC000378346371 387779128 /nfs/dbraw/zinc/77/91/28/387779128.db2.gz QLIGJOBMPHVAPJ-SNVBAGLBSA-N 0 3 234.324 2.726 20 0 BFADHN CCc1nc(C)c(CN[C@@H](C)[C@H]2CC23CC3)o1 ZINC000527902300 387780387 /nfs/dbraw/zinc/78/03/87/387780387.db2.gz NYPORTGPVMXIRV-GXSJLCMTSA-N 0 3 234.343 2.824 20 0 BFADHN Cc1ccc(CN2CCOC[C@@H](C)C2)c(C)c1 ZINC000359884387 387789629 /nfs/dbraw/zinc/78/96/29/387789629.db2.gz YFSQSZORTVKTPM-ZDUSSCGKSA-N 0 3 233.355 2.772 20 0 BFADHN c1coc(CN2CC[C@@H](Cc3ccncc3)C2)c1 ZINC000378634534 387791590 /nfs/dbraw/zinc/79/15/90/387791590.db2.gz RIDUNJQHUGOONT-AWEZNQCLSA-N 0 3 242.322 2.739 20 0 BFADHN CCCCN(C)Cc1cnc2c(c1)c(C)nn2C ZINC000193546694 387793571 /nfs/dbraw/zinc/79/35/71/387793571.db2.gz CYJRJNCZBPJFNP-UHFFFAOYSA-N 0 3 246.358 2.509 20 0 BFADHN Cc1cc([C@H](C)NCC23CC(C2)CO3)oc1C ZINC000527980052 387797568 /nfs/dbraw/zinc/79/75/68/387797568.db2.gz LQVRWTUMNBNGON-JKDOVBDQSA-N 0 3 235.327 2.726 20 0 BFADHN Cc1cc([C@@H](C)NCC23CC(C2)CO3)oc1C ZINC000527980053 387798544 /nfs/dbraw/zinc/79/85/44/387798544.db2.gz LQVRWTUMNBNGON-PWQPVHBWSA-N 0 3 235.327 2.726 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccccc1OC ZINC000527974138 387813123 /nfs/dbraw/zinc/81/31/23/387813123.db2.gz LHNGLNXPOIHOCH-GLQYFDAESA-N 0 3 249.354 2.913 20 0 BFADHN COC[C@H](NCCCF)c1ccc(Cl)cc1 ZINC000193663402 387799502 /nfs/dbraw/zinc/79/95/02/387799502.db2.gz SXKKICDSONMXJW-LBPRGKRZSA-N 0 3 245.725 2.977 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H]1CCc2c1cccc2F ZINC000527973554 387813181 /nfs/dbraw/zinc/81/31/81/387813181.db2.gz HXBWDPQLFQXMPD-ZNMIVQPWSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1ccc(CNC(C)(C)CO)cc1Cl ZINC000193731174 387802404 /nfs/dbraw/zinc/80/24/04/387802404.db2.gz PEYXPHFYLUKRKE-UHFFFAOYSA-N 0 3 227.735 2.509 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1ccc(F)c(F)c1 ZINC000564039871 387807916 /nfs/dbraw/zinc/80/79/16/387807916.db2.gz MYBAHIDNOOMICQ-ZEGGKSINSA-N 0 3 241.281 2.793 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H]1CCc2ccccc21 ZINC000527974691 387813452 /nfs/dbraw/zinc/81/34/52/387813452.db2.gz NZZBQYXTBNIJIZ-ZNMIVQPWSA-N 0 3 231.339 2.831 20 0 BFADHN CC(C)O[C@@]1(C)C[C@H]1N[C@@H](C)c1cccnc1 ZINC000527999802 387808646 /nfs/dbraw/zinc/80/86/46/387808646.db2.gz QJBGJWKLRJNZFS-YUTCNCBUSA-N 0 3 234.343 2.688 20 0 BFADHN CCCCN(CC)CC(=O)N1CCCC[C@H]1C ZINC000052877736 387809968 /nfs/dbraw/zinc/80/99/68/387809968.db2.gz CFJBZCHHJAYJKL-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C)c1cccnc1 ZINC000528004582 387809884 /nfs/dbraw/zinc/80/98/84/387809884.db2.gz QYYUKWBPBVZGFV-FGPLHTHASA-N 0 3 234.343 2.544 20 0 BFADHN CCCCN(CC)CC(=O)N1CCCC[C@@H]1C ZINC000052877734 387810003 /nfs/dbraw/zinc/81/00/03/387810003.db2.gz CFJBZCHHJAYJKL-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@@H](NCc1ncccn1)[C@@H]1CCCC[C@H]1C ZINC000564043711 387810264 /nfs/dbraw/zinc/81/02/64/387810264.db2.gz GFBVTWXDHKALDY-JHJVBQTASA-N 0 3 233.359 2.781 20 0 BFADHN C[C@@H](NCc1ncccn1)[C@H]1CCCC[C@H]1C ZINC000564043712 387810569 /nfs/dbraw/zinc/81/05/69/387810569.db2.gz GFBVTWXDHKALDY-UPJWGTAASA-N 0 3 233.359 2.781 20 0 BFADHN Cc1cc(F)ccc1CN(C)C[C@@H](O)C(C)C ZINC000432799341 387822109 /nfs/dbraw/zinc/82/21/09/387822109.db2.gz BKFJVKWPEXTFMG-CQSZACIVSA-N 0 3 239.334 2.583 20 0 BFADHN CO[C@H](C)CCNCc1c(F)cc(C)cc1F ZINC000424156755 387824483 /nfs/dbraw/zinc/82/44/83/387824483.db2.gz OTHLSBHIDFNYLN-SNVBAGLBSA-N 0 3 243.297 2.788 20 0 BFADHN CC(C)C[C@H]1COCCN1CC1(C)CCC1 ZINC000432811486 387825152 /nfs/dbraw/zinc/82/51/52/387825152.db2.gz DHNBZPXSDBOBHI-ZDUSSCGKSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1N(CC2(C)CCC2)CCOC1(C)C ZINC000432807074 387825990 /nfs/dbraw/zinc/82/59/90/387825990.db2.gz BZMOFRRSSRFIKB-NSHDSACASA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@@H](NCC1COC1)c1ccccc1F ZINC000528025487 387830163 /nfs/dbraw/zinc/83/01/63/387830163.db2.gz NAOKTQNJMKDQRI-CYBMUJFWSA-N 0 3 223.291 2.513 20 0 BFADHN Cc1cc(F)c(CN[C@@H](C)CCCO)c(F)c1 ZINC000424158946 387837359 /nfs/dbraw/zinc/83/73/59/387837359.db2.gz USWVOANXUGQVDG-JTQLQIEISA-N 0 3 243.297 2.524 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cc(C(F)(F)F)n[nH]1 ZINC000442798443 387843721 /nfs/dbraw/zinc/84/37/21/387843721.db2.gz ISRZNBOXYAEBGF-QMMMGPOBSA-N 0 3 249.280 2.905 20 0 BFADHN CCC[C@H](N)C(=O)Nc1cccc(C(F)F)c1 ZINC000157564094 387846176 /nfs/dbraw/zinc/84/61/76/387846176.db2.gz HRPCMQQYVWLWNX-JTQLQIEISA-N 0 3 242.269 2.690 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1cnccc1C ZINC000442822223 387847823 /nfs/dbraw/zinc/84/78/23/387847823.db2.gz ODTJEPDWMAYVHR-SNPRPXQTSA-N 0 3 248.370 2.998 20 0 BFADHN CCN(CC)CC(=O)N[C@H](C)CCC=C(C)C ZINC000452549006 387854739 /nfs/dbraw/zinc/85/47/39/387854739.db2.gz LPZRGYZLYJXTPX-CYBMUJFWSA-N 0 3 240.391 2.579 20 0 BFADHN CC/C=C\CCN1CCO[C@](C)(CC)C1 ZINC000442885815 387857078 /nfs/dbraw/zinc/85/70/78/387857078.db2.gz ORTRAENSFVBALF-FMFIFOJESA-N 0 3 211.349 2.844 20 0 BFADHN CCC[C@H](NCCC[C@@H](C)O)c1ccccn1 ZINC000433099980 387864169 /nfs/dbraw/zinc/86/41/69/387864169.db2.gz LERGKIAHDDIELL-OLZOCXBDSA-N 0 3 236.359 2.673 20 0 BFADHN COc1cnccc1[C@@H](C)NC1CC(C)(C)C1 ZINC000433097555 387864466 /nfs/dbraw/zinc/86/44/66/387864466.db2.gz NRWHGFPZFWLUCP-SNVBAGLBSA-N 0 3 234.343 2.929 20 0 BFADHN Cc1ccc(CN2CC[C@@](C)(O)C2)c(Cl)c1 ZINC000235886422 387866164 /nfs/dbraw/zinc/86/61/64/387866164.db2.gz UQZJBPXEOJSFKM-CYBMUJFWSA-N 0 3 239.746 2.605 20 0 BFADHN Cc1ccccc1[C@@H](CO)NCC1=CCCC1 ZINC000645852279 387868804 /nfs/dbraw/zinc/86/88/04/387868804.db2.gz OCEHSQDYSVABPN-OAHLLOKOSA-N 0 3 231.339 2.728 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](C)c1cccc(OC)c1 ZINC000527975073 387814319 /nfs/dbraw/zinc/81/43/19/387814319.db2.gz RADQIRPVJXIHJA-GLQYFDAESA-N 0 3 249.354 2.913 20 0 BFADHN CCC(C)(C)N(C)Cc1n[nH]c(C(C)(C)C)n1 ZINC000432775878 387814753 /nfs/dbraw/zinc/81/47/53/387814753.db2.gz KMYGWPJGDWIXQH-UHFFFAOYSA-N 0 3 238.379 2.723 20 0 BFADHN C[C@@H](CO)[C@@H](C)NCc1cc2ccccc2o1 ZINC000134979961 387814761 /nfs/dbraw/zinc/81/47/61/387814761.db2.gz JIYIJTGSOKEEQC-WDEREUQCSA-N 0 3 233.311 2.539 20 0 BFADHN CSCCN(C)CCc1ccc(F)cc1 ZINC000194006336 387814843 /nfs/dbraw/zinc/81/48/43/387814843.db2.gz JUTWGVRYAFILGU-UHFFFAOYSA-N 0 3 227.348 2.663 20 0 BFADHN CCC[C@@H]1CN(CC2(C)CCC2)CCO1 ZINC000432773768 387815475 /nfs/dbraw/zinc/81/54/75/387815475.db2.gz JUBOCYOKKBTZLQ-GFCCVEGCSA-N 0 3 211.349 2.678 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](CC)c1ccncc1 ZINC000527978658 387817906 /nfs/dbraw/zinc/81/79/06/387817906.db2.gz LBJOJJIUGJHOQM-MJBXVCDLSA-N 0 3 234.343 2.690 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CC1=CCCC1 ZINC000645776820 387898891 /nfs/dbraw/zinc/89/88/91/387898891.db2.gz SANFQJUCDBJJKU-YPMHNXCESA-N 0 3 209.333 2.596 20 0 BFADHN Cc1ccc(CN(C)CCC[C@@H]2CCOC2)o1 ZINC000645772340 387894882 /nfs/dbraw/zinc/89/48/82/387894882.db2.gz SRPMNKCAWTVMEY-CYBMUJFWSA-N 0 3 237.343 2.837 20 0 BFADHN C[C@H]1CN(CC2CC(C)(C)C2)[C@@H](C)CO1 ZINC000528340814 387895846 /nfs/dbraw/zinc/89/58/46/387895846.db2.gz NPOZDQLCVQJCDI-QWRGUYRKSA-N 0 3 211.349 2.532 20 0 BFADHN C1=C(CN2CCC[C@H](OCC3CC3)C2)CCC1 ZINC000645861850 387881465 /nfs/dbraw/zinc/88/14/65/387881465.db2.gz UIENUMHYVXGUHY-HNNXBMFYSA-N 0 3 235.371 2.988 20 0 BFADHN CCC[C@H](NC(=O)CN(CC)CC)C(C)(C)C ZINC000452660621 387883652 /nfs/dbraw/zinc/88/36/52/387883652.db2.gz ICVMBHDFTKNWAI-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@H]3CC[C@@H]2O3)cc1 ZINC000152758938 387887178 /nfs/dbraw/zinc/88/71/78/387887178.db2.gz MFPQYEOBVUXHQC-ILXRZTDVSA-N 0 3 231.339 2.659 20 0 BFADHN CCc1cccc(NC(=O)[C@H](N)CC(C)C)c1 ZINC000019517897 387919023 /nfs/dbraw/zinc/91/90/23/387919023.db2.gz ATSOZOMFAMQYJX-CYBMUJFWSA-N 0 3 234.343 2.561 20 0 BFADHN c1ccc([C@H]2C[C@@H]2CNCc2ccccn2)cc1 ZINC000236776273 387904015 /nfs/dbraw/zinc/90/40/15/387904015.db2.gz YQOIPSOQNVWPBH-GDBMZVCRSA-N 0 3 238.334 2.975 20 0 BFADHN CN(Cc1cc(CO)ccc1F)C1CCCC1 ZINC000528345296 387904195 /nfs/dbraw/zinc/90/41/95/387904195.db2.gz OKMWBUZKZZHFOJ-UHFFFAOYSA-N 0 3 237.318 2.692 20 0 BFADHN c1ccc([C@@H]2C[C@@H]2CNCc2ccccn2)cc1 ZINC000236776306 387905466 /nfs/dbraw/zinc/90/54/66/387905466.db2.gz YQOIPSOQNVWPBH-ZBFHGGJFSA-N 0 3 238.334 2.975 20 0 BFADHN Cc1noc(C2CCN(CC3=CCCC3)CC2)n1 ZINC000645781008 387906287 /nfs/dbraw/zinc/90/62/87/387906287.db2.gz OPVGFTDUUXYJIV-UHFFFAOYSA-N 0 3 247.342 2.668 20 0 BFADHN CCN(C[C@H](OC)c1ccc(F)cc1)C1CC1 ZINC000528354212 387909345 /nfs/dbraw/zinc/90/93/45/387909345.db2.gz WJUDTEFGXPLZHL-AWEZNQCLSA-N 0 3 237.318 2.998 20 0 BFADHN CSCCN1CC[C@H](CC(F)(F)F)C1 ZINC000444689696 387910730 /nfs/dbraw/zinc/91/07/30/387910730.db2.gz HAHQXTTZVLETKD-MRVPVSSYSA-N 0 3 227.295 2.624 20 0 BFADHN CC[C@@H](C)NCc1cc(OC)cc2c1O[C@@H](C)C2 ZINC000236899697 387910957 /nfs/dbraw/zinc/91/09/57/387910957.db2.gz YBSKNUAYCWSHQC-MNOVXSKESA-N 0 3 249.354 2.907 20 0 BFADHN CC(C)C[C@H]1CCCN1Cc1ccno1 ZINC000532943806 387911207 /nfs/dbraw/zinc/91/12/07/387911207.db2.gz UCQYOMGEXIVSCB-LLVKDONJSA-N 0 3 208.305 2.685 20 0 BFADHN CSCCN1CC[C@@H](CC(F)(F)F)C1 ZINC000444689697 387911469 /nfs/dbraw/zinc/91/14/69/387911469.db2.gz HAHQXTTZVLETKD-QMMMGPOBSA-N 0 3 227.295 2.624 20 0 BFADHN CC(C)OCCN1CC[C@H](CC(F)(F)F)C1 ZINC000444692611 387911693 /nfs/dbraw/zinc/91/16/93/387911693.db2.gz FPAFENGUDMZPRD-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN CCOC(=O)[C@@H](CC)N1CCC[C@H](C(C)C)C1 ZINC000444748146 387919583 /nfs/dbraw/zinc/91/95/83/387919583.db2.gz GIRJPLMXYBVSCS-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H](NC1CC(C(C)(C)C)C1)c1cnccc1N ZINC000423136595 387913404 /nfs/dbraw/zinc/91/34/04/387913404.db2.gz PPLNMTRKDPKCDL-UNXYVOJBSA-N 0 3 247.386 2.969 20 0 BFADHN C[C@H](O)CCCN(C)Cc1cc(F)cc(F)c1 ZINC000444697070 387913952 /nfs/dbraw/zinc/91/39/52/387913952.db2.gz PVVHQGDMNVOXBQ-JTQLQIEISA-N 0 3 243.297 2.558 20 0 BFADHN CN(CCCF)Cc1cc(F)cc(F)c1 ZINC000444696871 387914102 /nfs/dbraw/zinc/91/41/02/387914102.db2.gz WUZDTMZOTVFLHB-UHFFFAOYSA-N 0 3 217.234 2.756 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)NCC(CC)(CC)CC ZINC000236918150 387914532 /nfs/dbraw/zinc/91/45/32/387914532.db2.gz HLIBRHPZCZLLJY-NEPJUHHUSA-N 0 3 242.407 2.692 20 0 BFADHN C[C@H](NC[C@@H](O)CC(C)(C)C)c1ccccn1 ZINC000179473450 387929679 /nfs/dbraw/zinc/92/96/79/387929679.db2.gz RDHSOBNSHKXDAE-RYUDHWBXSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@]2(F)c2ccccc2)CCO1 ZINC000424209064 387930189 /nfs/dbraw/zinc/93/01/89/387930189.db2.gz YZOMSOFTAVCFFD-NGFQHRJXSA-N 0 3 249.329 2.781 20 0 BFADHN Cc1cccc(CN2C[C@H](C)O[C@@H](C)[C@H]2C)c1 ZINC000438368415 387930543 /nfs/dbraw/zinc/93/05/43/387930543.db2.gz SPZDZIZECLYRIR-MJBXVCDLSA-N 0 3 233.355 2.993 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@H](C)c1cnn(C)c1 ZINC000044500442 387930803 /nfs/dbraw/zinc/93/08/03/387930803.db2.gz KLKAYXPUTNWGDA-GHMZBOCLSA-N 0 3 249.383 2.763 20 0 BFADHN Cc1ccc(CN[C@H](C)CCC(C)C)nn1 ZINC000396649326 387930940 /nfs/dbraw/zinc/93/09/40/387930940.db2.gz SCIFARGOPQFPBD-LLVKDONJSA-N 0 3 221.348 2.699 20 0 BFADHN Cc1nccnc1[C@H](C)NCC1CC(C)(C)C1 ZINC000527100376 387931107 /nfs/dbraw/zinc/93/11/07/387931107.db2.gz NXGMXXQOEWEJGC-NSHDSACASA-N 0 3 233.359 2.872 20 0 BFADHN Cc1cccc(CN2C[C@H](C)O[C@H](C)[C@@H]2C)c1 ZINC000438368414 387932059 /nfs/dbraw/zinc/93/20/59/387932059.db2.gz SPZDZIZECLYRIR-MELADBBJSA-N 0 3 233.355 2.993 20 0 BFADHN CCC[C@H](C)CN1CCc2ncsc2C1 ZINC000438371491 387932087 /nfs/dbraw/zinc/93/20/87/387932087.db2.gz FYKXGLYRZCQJIL-JTQLQIEISA-N 0 3 224.373 2.937 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1cccc(F)c1F ZINC000222720607 387933903 /nfs/dbraw/zinc/93/39/03/387933903.db2.gz IRHHCTNMIDXXOM-NXEZZACHSA-N 0 3 241.281 2.794 20 0 BFADHN CC1(C)Cc2cc(CN3CCCC3)ccc2O1 ZINC000105416301 387923203 /nfs/dbraw/zinc/92/32/03/387923203.db2.gz JHIUCHDSHHIJII-UHFFFAOYSA-N 0 3 231.339 2.996 20 0 BFADHN C[C@@H]1COCCN1CC[C@H]1CCC[C@H](C)C1 ZINC000444774227 387926879 /nfs/dbraw/zinc/92/68/79/387926879.db2.gz HWPNCTSZRRCVIP-BFHYXJOUSA-N 0 3 225.376 2.924 20 0 BFADHN COC[C@H](C)CN[C@@H](C)c1cccnc1Cl ZINC000178669888 387927225 /nfs/dbraw/zinc/92/72/25/387927225.db2.gz HISZUASPRXXVRL-ZJUUUORDSA-N 0 3 242.750 2.668 20 0 BFADHN CC[C@H](C)CN1CCc2ncsc2C1 ZINC000438362510 387928842 /nfs/dbraw/zinc/92/88/42/387928842.db2.gz XFUXPOIADQFQPE-VIFPVBQESA-N 0 3 210.346 2.547 20 0 BFADHN CCc1nc(C)c(CN[C@H](C)[C@H]2C[C@@H]2C)o1 ZINC000321144874 387952509 /nfs/dbraw/zinc/95/25/09/387952509.db2.gz VPUZPIPREFSAQU-NGZCFLSTSA-N 0 3 222.332 2.680 20 0 BFADHN CCCCCNC(=O)CN(CC)C(CC)CC ZINC000514689008 387952747 /nfs/dbraw/zinc/95/27/47/387952747.db2.gz RUWPYXAZXGZRSW-UHFFFAOYSA-N 0 3 242.407 2.803 20 0 BFADHN CCc1cc(CN[C@H]2CC[C@H]2C(C)C)on1 ZINC000309636833 387952757 /nfs/dbraw/zinc/95/27/57/387952757.db2.gz JQTJBXZQHCALPL-STQMWFEESA-N 0 3 222.332 2.761 20 0 BFADHN CC[C@H](NCc1cscn1)[C@@H]1C[C@H]1C ZINC000321169605 387953583 /nfs/dbraw/zinc/95/35/83/387953583.db2.gz YGKCOSOALCIQHA-IEBDPFPHSA-N 0 3 210.346 2.667 20 0 BFADHN c1nc(C2CC2)ncc1CN[C@H]1CC12CCCC2 ZINC000459517531 387955959 /nfs/dbraw/zinc/95/59/59/387955959.db2.gz XFIODDSKDOKTRH-ZDUSSCGKSA-N 0 3 243.354 2.776 20 0 BFADHN CC(C)(C)C[C@H](O)CNCc1ccccc1F ZINC000227374745 387956120 /nfs/dbraw/zinc/95/61/20/387956120.db2.gz XZSWMCMOBUOZPE-LBPRGKRZSA-N 0 3 239.334 2.712 20 0 BFADHN CC[C@H](NCc1nccs1)[C@H]1C[C@@H]1C ZINC000321049526 387939399 /nfs/dbraw/zinc/93/93/99/387939399.db2.gz GNJLOFTZFZUDLX-GUBZILKMSA-N 0 3 210.346 2.667 20 0 BFADHN CC[C@](C)(CN[C@H]1CCc2c1cccc2O)OC ZINC000322614898 387941679 /nfs/dbraw/zinc/94/16/79/387941679.db2.gz LXENQTGKSFGLAY-DZGCQCFKSA-N 0 3 249.354 2.784 20 0 BFADHN COc1c(O)cccc1CN[C@H]1CC[C@@H](C)C1 ZINC000438543514 387943029 /nfs/dbraw/zinc/94/30/29/387943029.db2.gz BJTGEWNSVZYDMY-PWSUYJOCSA-N 0 3 235.327 2.679 20 0 BFADHN CCOc1ccc(CN[C@H](CC)COC)cc1 ZINC000079735662 387956224 /nfs/dbraw/zinc/95/62/24/387956224.db2.gz HBMWLTJLWYTSDU-CYBMUJFWSA-N 0 3 237.343 2.600 20 0 BFADHN CCC(CC)[C@@H](O)CNCc1cccc(F)c1 ZINC000225817479 387943651 /nfs/dbraw/zinc/94/36/51/387943651.db2.gz KEPWKXUSHRHFEM-AWEZNQCLSA-N 0 3 239.334 2.712 20 0 BFADHN CO[C@H]1C[C@H]2C[C@@H](NCc3ccco3)C[C@H]2C1 ZINC000424265842 387945971 /nfs/dbraw/zinc/94/59/71/387945971.db2.gz VZFGXVXWQZTSRL-CFKGFHPTSA-N 0 3 235.327 2.573 20 0 BFADHN CO[C@H](CNCc1cc2ccccc2o1)C1CC1 ZINC000293174651 387961923 /nfs/dbraw/zinc/96/19/23/387961923.db2.gz WHKKWEWDSZTTAW-OAHLLOKOSA-N 0 3 245.322 2.947 20 0 BFADHN CCCn1cc(CN2CCC[C@@H](C)[C@H]2C)cn1 ZINC000245562771 387962322 /nfs/dbraw/zinc/96/23/22/387962322.db2.gz PISYTWMQZSEHEL-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN CC(C)(C)OCCN[C@@H](c1cccnc1)C1CC1 ZINC000445763176 387964417 /nfs/dbraw/zinc/96/44/17/387964417.db2.gz DXMNMHNYWQVARC-CQSZACIVSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1oncc1CN(C)C[C@@H]1CC=CCC1 ZINC000445770178 387965698 /nfs/dbraw/zinc/96/56/98/387965698.db2.gz ZDYSDOUKQJZNRU-GFCCVEGCSA-N 0 3 220.316 2.771 20 0 BFADHN CC[C@]1(C)CN(CC2=CCCC2)CCO1 ZINC000645882688 387966176 /nfs/dbraw/zinc/96/61/76/387966176.db2.gz XBTPZSRMVYRYSQ-CYBMUJFWSA-N 0 3 209.333 2.598 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1O)c1cc2ccccc2o1 ZINC000424312676 387966152 /nfs/dbraw/zinc/96/61/52/387966152.db2.gz HRAUZVKIFYOGML-MVWJERBFSA-N 0 3 231.295 2.607 20 0 BFADHN Cc1oncc1CN(C)C[C@H]1CC=CCC1 ZINC000445770179 387966531 /nfs/dbraw/zinc/96/65/31/387966531.db2.gz ZDYSDOUKQJZNRU-LBPRGKRZSA-N 0 3 220.316 2.771 20 0 BFADHN C[C@@H]1CN(CC2=CCCC2)[C@H](C)[C@H](C)O1 ZINC000645881964 387966935 /nfs/dbraw/zinc/96/69/35/387966935.db2.gz ZRWGVPXWALOLGK-UTUOFQBUSA-N 0 3 209.333 2.594 20 0 BFADHN C[C@@H](O)CCN1CCC[C@@H]1c1cccc(F)c1 ZINC000438980146 387968752 /nfs/dbraw/zinc/96/87/52/387968752.db2.gz QMSDLUAKEBFOBT-BXUZGUMPSA-N 0 3 237.318 2.734 20 0 BFADHN Cc1oncc1CN1CC[C@H]1Cc1ccccc1 ZINC000445818260 387970214 /nfs/dbraw/zinc/97/02/14/387970214.db2.gz KLKJWVXMHVBOBC-HNNXBMFYSA-N 0 3 242.322 2.800 20 0 BFADHN CCc1ccccc1CN1CCN(C)[C@@H](C)[C@H]1C ZINC000445814551 387970533 /nfs/dbraw/zinc/97/05/33/387970533.db2.gz ZGHAJUBLAUWTNE-UONOGXRCSA-N 0 3 246.398 2.773 20 0 BFADHN CCc1ccccc1CN1CCN(C)[C@H](C)[C@H]1C ZINC000445814552 387971246 /nfs/dbraw/zinc/97/12/46/387971246.db2.gz ZGHAJUBLAUWTNE-ZIAGYGMSSA-N 0 3 246.398 2.773 20 0 BFADHN CC[C@H](NC[C@H]1CCCCO1)c1ccncc1 ZINC000453070556 387978567 /nfs/dbraw/zinc/97/85/67/387978567.db2.gz FNJLZJUZRSSSDG-KGLIPLIRSA-N 0 3 234.343 2.691 20 0 BFADHN COc1cc(CN2CC[C@H](C)[C@@H]2C)cc(OC)c1 ZINC000245750991 387980861 /nfs/dbraw/zinc/98/08/61/387980861.db2.gz HDLCWMODANQBHJ-RYUDHWBXSA-N 0 3 249.354 2.934 20 0 BFADHN COCC[C@H](C)N[C@@H]1C[C@@]1(F)c1ccccc1 ZINC000424330762 387975232 /nfs/dbraw/zinc/97/52/32/387975232.db2.gz RCERIXXMSATCRP-IACUBPJLSA-N 0 3 237.318 2.638 20 0 BFADHN C[C@@H](O)CCN(Cc1ccc(F)cc1)C1CC1 ZINC000439015255 387976452 /nfs/dbraw/zinc/97/64/52/387976452.db2.gz OSUSVWXZZRZWQQ-LLVKDONJSA-N 0 3 237.318 2.561 20 0 BFADHN CCC[C@H](C)CN1CCS[C@@H]2COCC[C@H]21 ZINC000245862342 387988627 /nfs/dbraw/zinc/98/86/27/387988627.db2.gz PDRGTZIKXGSUBA-YNEHKIRRSA-N 0 3 243.416 2.629 20 0 BFADHN c1cn2c(n1)[C@@H](N[C@H]1CCC[C@@H](C3CC3)C1)CC2 ZINC000424363271 387989531 /nfs/dbraw/zinc/98/95/31/387989531.db2.gz ZPQJVXCZDPAMLT-RDBSUJKOSA-N 0 3 245.370 2.886 20 0 BFADHN CC[C@H](C)CN1CCn2cccc2[C@H]1C ZINC000245877236 387989968 /nfs/dbraw/zinc/98/99/68/387989968.db2.gz RSWLOJKRBVIKBJ-NWDGAFQWSA-N 0 3 206.333 2.911 20 0 BFADHN CCC(C)(C)CCN1C[C@@H](C)O[C@@H](COC)C1 ZINC000439297495 387991049 /nfs/dbraw/zinc/99/10/49/387991049.db2.gz SGPDZDKYZCJYRY-CHWSQXEVSA-N 0 3 243.391 2.548 20 0 BFADHN CCO[C@@H](CCN[C@@H](C)c1cn[nH]c1)C(C)C ZINC000453127836 387991922 /nfs/dbraw/zinc/99/19/22/387991922.db2.gz WIBOQCRRBAKREF-AAEUAGOBSA-N 0 3 239.363 2.512 20 0 BFADHN C[C@H](N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2)c1ccoc1 ZINC000245917991 387993882 /nfs/dbraw/zinc/99/38/82/387993882.db2.gz XDKXOGCBMNDZER-ASEORRQLSA-N 0 3 247.338 2.888 20 0 BFADHN C[C@@H]1CCN(CCOCC(F)(F)F)C[C@H]1C ZINC000245968561 387997623 /nfs/dbraw/zinc/99/76/23/387997623.db2.gz VZFUDGMAIJOTBZ-NXEZZACHSA-N 0 3 239.281 2.543 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1N[C@H]1CCc2cc(F)ccc21 ZINC000245981799 387999133 /nfs/dbraw/zinc/99/91/33/387999133.db2.gz PMYZBBBOVDTQOA-MELADBBJSA-N 0 3 249.329 2.562 20 0 BFADHN C[C@@H]1CCCN(Cc2cccc3nccn32)[C@H]1C ZINC000246012264 388002872 /nfs/dbraw/zinc/00/28/72/388002872.db2.gz YROSABSKFRUNPC-OLZOCXBDSA-N 0 3 243.354 2.955 20 0 BFADHN CCC[C@H](O)CN1Cc2ccccc2C(C)(C)C1 ZINC000450623694 388007418 /nfs/dbraw/zinc/00/74/18/388007418.db2.gz NBMCVGXZCOQCPD-AWEZNQCLSA-N 0 3 247.382 2.941 20 0 BFADHN CC(C)OCCCN1CCC[C@@H]1c1ccc[nH]1 ZINC000159335543 388008081 /nfs/dbraw/zinc/00/80/81/388008081.db2.gz YCOAYJYDZGVAKA-CQSZACIVSA-N 0 3 236.359 2.967 20 0 BFADHN CC[C@H](C)N(C)CC(=O)N1[C@@H](C)CCC[C@@H]1C ZINC000439953994 388025796 /nfs/dbraw/zinc/02/57/96/388025796.db2.gz YUYBFNNBAHWQNZ-AVGNSLFASA-N 0 3 240.391 2.506 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1CC[C@@H](C)C1 ZINC000453223306 388014669 /nfs/dbraw/zinc/01/46/69/388014669.db2.gz QXCGGCBCYAURNU-FRRDWIJNSA-N 0 3 235.375 2.990 20 0 BFADHN C[C@@H]1C[C@H](NCCC2(C)CC2)c2nccn21 ZINC000453225025 388016278 /nfs/dbraw/zinc/01/62/78/388016278.db2.gz SICDLBFXCPPTJH-MNOVXSKESA-N 0 3 219.332 2.669 20 0 BFADHN CC[C@@H](NC[C@@H](OC)C1CC1)c1ccncc1 ZINC000453235509 388018631 /nfs/dbraw/zinc/01/86/31/388018631.db2.gz XPZJSLISGFHZNF-ZIAGYGMSSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@H](NC[C@@H](OC)C1CC1)c1ccncc1 ZINC000453235507 388019449 /nfs/dbraw/zinc/01/94/49/388019449.db2.gz XPZJSLISGFHZNF-UONOGXRCSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)C[C@@H](C)O1 ZINC000450388947 388023263 /nfs/dbraw/zinc/02/32/63/388023263.db2.gz ITSJXLNGMLWRSE-IJLUTSLNSA-N 0 3 247.329 2.921 20 0 BFADHN CCc1ccc([C@H](C)NCC2=CCOCC2)o1 ZINC000453292860 388036278 /nfs/dbraw/zinc/03/62/78/388036278.db2.gz OZQZYANSBKTEON-NSHDSACASA-N 0 3 235.327 2.839 20 0 BFADHN CC[C@@H]1CCC[C@H]1CN[C@@H](C)c1ccncn1 ZINC000453293786 388037388 /nfs/dbraw/zinc/03/73/88/388037388.db2.gz VQYHSVCLCRBZRZ-XQQFMLRXSA-N 0 3 233.359 2.954 20 0 BFADHN Cc1ccccc1CCCN1CCN(C)C[C@H]1C ZINC000440320651 388038332 /nfs/dbraw/zinc/03/83/32/388038332.db2.gz ZBCUMJUGWWJGIM-OAHLLOKOSA-N 0 3 246.398 2.564 20 0 BFADHN CCc1cccnc1[C@H](C)NCC1=CCOCC1 ZINC000453300025 388038375 /nfs/dbraw/zinc/03/83/75/388038375.db2.gz WTFMCOKNVNSUEK-LBPRGKRZSA-N 0 3 246.354 2.641 20 0 BFADHN Cc1cccc(CN(C)CCC[C@@H]2CCOC2)n1 ZINC000645829535 388039819 /nfs/dbraw/zinc/03/98/19/388039819.db2.gz IBVJIANVWVIJDQ-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN C=Cn1cc(CNC/C=C\c2ccccc2)cn1 ZINC000446826538 388040503 /nfs/dbraw/zinc/04/05/03/388040503.db2.gz OHYYRHYKFBBCPK-TWGQIWQCSA-N 0 3 239.322 2.787 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ccn(C(C)C)n1 ZINC000082866401 388042610 /nfs/dbraw/zinc/04/26/10/388042610.db2.gz YYPIDIGPIDCKJV-NEPJUHHUSA-N 0 3 223.364 2.988 20 0 BFADHN CC(C)n1ccc(CN[C@H]2CCC[C@@H]2C)n1 ZINC000082866436 388042805 /nfs/dbraw/zinc/04/28/05/388042805.db2.gz OJXMUGWGZZXUSY-AAEUAGOBSA-N 0 3 221.348 2.742 20 0 BFADHN CC(C)n1ccc(CN[C@@H]2CCC[C@@H]2C)n1 ZINC000082866438 388042862 /nfs/dbraw/zinc/04/28/62/388042862.db2.gz OJXMUGWGZZXUSY-WCQYABFASA-N 0 3 221.348 2.742 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CN1CCOC[C@H]1C ZINC000446894220 388043691 /nfs/dbraw/zinc/04/36/91/388043691.db2.gz YOFZRLBRRCIVHL-MGPQQGTHSA-N 0 3 223.360 2.700 20 0 BFADHN C[C@@H]1CN(CC2=CCCC2)C[C@@H](C2CC2)O1 ZINC000645895306 388043779 /nfs/dbraw/zinc/04/37/79/388043779.db2.gz DPJTVBISKUNPQO-RISCZKNCSA-N 0 3 221.344 2.596 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H](N)c2ccccc2)o1 ZINC000423250097 388031221 /nfs/dbraw/zinc/03/12/21/388031221.db2.gz UPCVNTPOPLMBRP-TZMCWYRMSA-N 0 3 244.338 2.939 20 0 BFADHN C[C@H]1C[C@@H]1CN(C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000447102721 388053767 /nfs/dbraw/zinc/05/37/67/388053767.db2.gz TXFPKIUPQBTCIC-WDEREUQCSA-N 0 3 235.375 2.795 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H]1CCC12CCC2 ZINC000453344798 388054034 /nfs/dbraw/zinc/05/40/34/388054034.db2.gz JFGIDXKAMVESEI-NEPJUHHUSA-N 0 3 233.359 2.886 20 0 BFADHN CC(C)[C@H]1CCN(Cc2cnc3ccccn23)C1 ZINC000533345526 388055085 /nfs/dbraw/zinc/05/50/85/388055085.db2.gz XTKQHRUHVMQKNY-ZDUSSCGKSA-N 0 3 243.354 2.812 20 0 BFADHN Cc1ccc([C@@H](N[C@H]2C[C@@H](O)C2)C2CCC2)o1 ZINC000453356065 388056316 /nfs/dbraw/zinc/05/63/16/388056316.db2.gz HSEIGBPOYQNANP-SCRDCRAPSA-N 0 3 235.327 2.542 20 0 BFADHN CCc1ncc(CN[C@@H](C)C(C)(C)C)o1 ZINC000453358648 388058515 /nfs/dbraw/zinc/05/85/15/388058515.db2.gz DYDBSIUMMNUPJG-VIFPVBQESA-N 0 3 210.321 2.761 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](O)C1)c1cccc(C2CC2)c1 ZINC000453369208 388060858 /nfs/dbraw/zinc/06/08/58/388060858.db2.gz QAIFMRHWXZSDAK-KMUNFCNLSA-N 0 3 231.339 2.738 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](CC)[C@H](C)O)o1 ZINC000453366004 388061089 /nfs/dbraw/zinc/06/10/89/388061089.db2.gz WTDAHSDVVLFTBY-NHCYSSNCSA-N 0 3 225.332 2.652 20 0 BFADHN CC[C@@H](F)CN[C@@H](CCCO)c1ccccc1 ZINC000440604971 388062051 /nfs/dbraw/zinc/06/20/51/388062051.db2.gz VQARNVVRLLQGDG-KGLIPLIRSA-N 0 3 239.334 2.838 20 0 BFADHN CCc1ccc(NC(=O)[C@H]2CCCCCN2)cc1 ZINC000083896381 388065339 /nfs/dbraw/zinc/06/53/39/388065339.db2.gz NIUWAQXNURVRIO-CQSZACIVSA-N 0 3 246.354 2.720 20 0 BFADHN c1cn2c(n1)[C@H](NCC1(C3CCC3)CCC1)CC2 ZINC000453387557 388066828 /nfs/dbraw/zinc/06/68/28/388066828.db2.gz FKSHRYPEAVKQIH-CYBMUJFWSA-N 0 3 245.370 2.888 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@H]1O)c1ccc(F)c(F)c1 ZINC000453405616 388075233 /nfs/dbraw/zinc/07/52/33/388075233.db2.gz JNNPWLWXKSPSMO-BZHVJNSISA-N 0 3 241.281 2.529 20 0 BFADHN Cc1ccc(CN(C)CCC[C@@H]2CCOC2)nc1 ZINC000645924897 388075749 /nfs/dbraw/zinc/07/57/49/388075749.db2.gz HDGBEZFPAYWAEK-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1cncc([C@H](C)NC[C@@H]2CC[C@H](C)O2)c1 ZINC000453411208 388076943 /nfs/dbraw/zinc/07/69/43/388076943.db2.gz QEPJKSIYRINNTG-OBJOEFQTSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1cc([C@H](C)NCC[C@H](O)C(C)C)c(C)o1 ZINC000447564572 388078762 /nfs/dbraw/zinc/07/87/62/388078762.db2.gz XVRWHAFLXCMOBA-FZMZJTMJSA-N 0 3 239.359 2.954 20 0 BFADHN CC[C@H](CNC1(c2ccccc2C)CC1)OC ZINC000645981094 388115030 /nfs/dbraw/zinc/11/50/30/388115030.db2.gz XHKYYAYOSSTQBR-CYBMUJFWSA-N 0 3 233.355 2.999 20 0 BFADHN CCc1ccc(CNCCc2ccc(C)cn2)o1 ZINC000453600711 388090481 /nfs/dbraw/zinc/09/04/81/388090481.db2.gz MUMPIIPFIPQZJP-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN CCC1CN(Cc2ccc(C)cc2OC)C1 ZINC000453607653 388091121 /nfs/dbraw/zinc/09/11/21/388091121.db2.gz GNPNBPDYLHLAFO-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN C=Cn1cc(CN[C@@H]2C[C@@]2(CC)C(C)C)cn1 ZINC000453612955 388091912 /nfs/dbraw/zinc/09/19/12/388091912.db2.gz ODKFINJEMFVHSN-KGLIPLIRSA-N 0 3 233.359 2.898 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1c(C)cnn1C ZINC000453613820 388092724 /nfs/dbraw/zinc/09/27/24/388092724.db2.gz OZSPPLFJEDJCFB-KGLIPLIRSA-N 0 3 235.375 2.643 20 0 BFADHN COc1cc(CNC[C@@H]2CC[C@H](C)O2)ccc1C ZINC000453631062 388096016 /nfs/dbraw/zinc/09/60/16/388096016.db2.gz NCAFTFFUSLBKLK-JSGCOSHPSA-N 0 3 249.354 2.661 20 0 BFADHN CCC(CC)CN(CC)Cc1cnc(N)s1 ZINC000086765972 388107930 /nfs/dbraw/zinc/10/79/30/388107930.db2.gz HHOVOCXSLOPCBI-UHFFFAOYSA-N 0 3 241.404 2.983 20 0 BFADHN Cc1ccc(CN[C@@H]2CS[C@@H](C)C2)o1 ZINC000086935835 388111367 /nfs/dbraw/zinc/11/13/67/388111367.db2.gz IORXTXJNYXHFRQ-UWVGGRQHSA-N 0 3 211.330 2.572 20 0 BFADHN CC[C@H](F)CN1CC[C@@H](c2cccnc2)C1 ZINC000645983470 388116244 /nfs/dbraw/zinc/11/62/44/388116244.db2.gz XYPOBYSLWFVLDS-OLZOCXBDSA-N 0 3 222.307 2.619 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc(C)c(C)o1)[C@H](C)O ZINC000453318704 388045566 /nfs/dbraw/zinc/04/55/66/388045566.db2.gz BTPAQFULYSBIKD-NHCYSSNCSA-N 0 3 225.332 2.706 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2ccno2)CC1 ZINC000533280586 388045714 /nfs/dbraw/zinc/04/57/14/388045714.db2.gz HMWDZQHVNFOEBK-GFCCVEGCSA-N 0 3 222.332 2.933 20 0 BFADHN C[C@@H](CO)CN[C@@H](C)c1ccc(F)cc1Cl ZINC000083219385 388047306 /nfs/dbraw/zinc/04/73/06/388047306.db2.gz CNVXTCUQDVINPB-BDAKNGLRSA-N 0 3 245.725 2.758 20 0 BFADHN CC[C@H](N[C@H](C)c1ccc(Cl)cn1)[C@@H](C)O ZINC000453333341 388048854 /nfs/dbraw/zinc/04/88/54/388048854.db2.gz VWKTWTSJRSFTAY-KKZNHRDASA-N 0 3 242.750 2.545 20 0 BFADHN CC[C@H](F)CN(C)CC1(O)CCCCCC1 ZINC000440512726 388049304 /nfs/dbraw/zinc/04/93/04/388049304.db2.gz KSOZWBLMPQGPRH-LBPRGKRZSA-N 0 3 231.355 2.752 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H](C)c1cncc(C)c1 ZINC000453333809 388049536 /nfs/dbraw/zinc/04/95/36/388049536.db2.gz WGVIUXSYMWJUCJ-MRVWCRGKSA-N 0 3 234.343 2.608 20 0 BFADHN CC(C)(C)CCNC(=O)C[C@@H](N)c1ccccc1 ZINC000083379023 388050328 /nfs/dbraw/zinc/05/03/28/388050328.db2.gz ZPXCHXHRHKWAQE-CYBMUJFWSA-N 0 3 248.370 2.629 20 0 BFADHN CCC[C@H](NCCC1(CO)CC1)c1ccccn1 ZINC000453334297 388050501 /nfs/dbraw/zinc/05/05/01/388050501.db2.gz HNJFPAOWTODEAB-ZDUSSCGKSA-N 0 3 248.370 2.675 20 0 BFADHN CCOCCN(C)CCc1cccc(C)c1 ZINC000449222138 388158422 /nfs/dbraw/zinc/15/84/22/388158422.db2.gz CDVBQWNVXXZJJW-UHFFFAOYSA-N 0 3 221.344 2.506 20 0 BFADHN CC[C@H](CSC)N[C@@H](C)c1ccccn1 ZINC000162384138 388159984 /nfs/dbraw/zinc/15/99/84/388159984.db2.gz CGJUIUQGYDFWQS-WDEREUQCSA-N 0 3 224.373 2.874 20 0 BFADHN Cc1sccc1CN1CC([C@H]2CCOC2)C1 ZINC000646182301 388160737 /nfs/dbraw/zinc/16/07/37/388160737.db2.gz SVNIOWBESWVDTI-LBPRGKRZSA-N 0 3 237.368 2.525 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@H](C)CC(C)(C)C ZINC000449245786 388161822 /nfs/dbraw/zinc/16/18/22/388161822.db2.gz BLYSDSJPSXDZDH-VXGBXAGGSA-N 0 3 241.375 2.839 20 0 BFADHN CC1(C)[C@H](NCc2cccc3ccoc32)C[C@@H]1O ZINC000449257914 388163172 /nfs/dbraw/zinc/16/31/72/388163172.db2.gz KVBQBRIVFAFFEC-OLZOCXBDSA-N 0 3 245.322 2.682 20 0 BFADHN CCC(CC)CN(CC)Cc1cnn(C)c1 ZINC000195431291 388163171 /nfs/dbraw/zinc/16/31/71/388163171.db2.gz FCTWXAKPJIVODL-UHFFFAOYSA-N 0 3 223.364 2.678 20 0 BFADHN Cc1sccc1CN1CC(C2CC2)C1 ZINC000646205874 388163952 /nfs/dbraw/zinc/16/39/52/388163952.db2.gz HMVNXLRTRPDXKR-UHFFFAOYSA-N 0 3 207.342 2.898 20 0 BFADHN COc1cccc2c(CNCCF)cccc12 ZINC000449303798 388169822 /nfs/dbraw/zinc/16/98/22/388169822.db2.gz ZAHFVGZFAHMAAL-UHFFFAOYSA-N 0 3 233.286 2.908 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1ccnn1C1CCCC1 ZINC000449318155 388171469 /nfs/dbraw/zinc/17/14/69/388171469.db2.gz FNDDUIZYVXKSMS-FZMZJTMJSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cnc(CNCCC2(F)CCC2)s1 ZINC000449334417 388175174 /nfs/dbraw/zinc/17/51/74/388175174.db2.gz XHVHTCUDMHNMLG-UHFFFAOYSA-N 0 3 228.336 2.823 20 0 BFADHN COC[C@H]1CCN1Cc1cccc2ccoc21 ZINC000449339140 388176092 /nfs/dbraw/zinc/17/60/92/388176092.db2.gz JMEDRHPREKFODW-CYBMUJFWSA-N 0 3 231.295 2.654 20 0 BFADHN Cc1cccc(CCN2CCC[C@@H]2CF)c1 ZINC000449343183 388177202 /nfs/dbraw/zinc/17/72/02/388177202.db2.gz IKZAJRVQZKXINK-CQSZACIVSA-N 0 3 221.319 2.971 20 0 BFADHN Cc1cccc(CNCC[C@H](C)F)c1F ZINC000449345928 388177905 /nfs/dbraw/zinc/17/79/05/388177905.db2.gz XUISUMORKJDNSH-JTQLQIEISA-N 0 3 213.271 2.972 20 0 BFADHN CC(C)N(C[C@@H](C)c1ccccc1)C1COC1 ZINC000449350928 388178356 /nfs/dbraw/zinc/17/83/56/388178356.db2.gz MCPNXVRVIMUSFD-CYBMUJFWSA-N 0 3 233.355 2.899 20 0 BFADHN F[C@H]1CCN([C@H]2CCc3ccccc32)C1 ZINC000648721160 388178799 /nfs/dbraw/zinc/17/87/99/388178799.db2.gz XBLLTDNADZXKFK-AAEUAGOBSA-N 0 3 205.276 2.718 20 0 BFADHN F[C@H]1CCN([C@@H]2CCc3ccccc32)C1 ZINC000648721161 388178960 /nfs/dbraw/zinc/17/89/60/388178960.db2.gz XBLLTDNADZXKFK-WCQYABFASA-N 0 3 205.276 2.718 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@H]1CCCc2c(F)cccc21 ZINC000449350174 388179034 /nfs/dbraw/zinc/17/90/34/388179034.db2.gz LGQGRUVUXADWHM-VQISRLSMSA-N 0 3 249.329 2.970 20 0 BFADHN CCSCCN1CCC[C@](C)(F)C1 ZINC000449350795 388179115 /nfs/dbraw/zinc/17/91/15/388179115.db2.gz NKWBKGVZQRFDJQ-JTQLQIEISA-N 0 3 205.342 2.564 20 0 BFADHN CCc1nocc1CNCCCC(C)(F)F ZINC000449354271 388180116 /nfs/dbraw/zinc/18/01/16/388180116.db2.gz LSVNLLOHWPSQDE-UHFFFAOYSA-N 0 3 232.274 2.762 20 0 BFADHN COC[C@H](NC[C@H]1C[C@H]1C)c1ccc(F)cc1 ZINC000488449130 388180370 /nfs/dbraw/zinc/18/03/70/388180370.db2.gz NCSFRIAFJSXQTC-QKCSRTOESA-N 0 3 237.318 2.759 20 0 BFADHN COc1cc2c(cc1F)CN(C[C@@H]1C[C@H]1C)CC2 ZINC000488457345 388180670 /nfs/dbraw/zinc/18/06/70/388180670.db2.gz JBJANGMVFOWEHP-PWSUYJOCSA-N 0 3 249.329 2.848 20 0 BFADHN COc1c(C)cnc(CN(C)C[C@@H]2C[C@H]2C)c1C ZINC000488520380 388182111 /nfs/dbraw/zinc/18/21/11/388182111.db2.gz QQNPHIOZTCMAEK-MFKMUULPSA-N 0 3 248.370 2.795 20 0 BFADHN CC[C@@H](C)c1nnc([C@@H](C)[NH2+]C2CC(C)C2)[n-]1 ZINC000488499240 388183376 /nfs/dbraw/zinc/18/33/76/388183376.db2.gz NTICRCQALLNBDH-WYNUPADASA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@@H](C)c1nnc([C@H](C)[NH2+]C2CC(C)C2)[n-]1 ZINC000488499239 388183680 /nfs/dbraw/zinc/18/36/80/388183680.db2.gz NTICRCQALLNBDH-WIFZPCQCSA-N 0 3 236.363 2.767 20 0 BFADHN CCC[C@H](CNCc1ccc(CC)nc1)OC ZINC000449372815 388185109 /nfs/dbraw/zinc/18/51/09/388185109.db2.gz AQPXMBKRSKYGOW-CQSZACIVSA-N 0 3 236.359 2.549 20 0 BFADHN CCCC[C@H](CC)NCc1ccnc(OC)n1 ZINC000449372395 388185406 /nfs/dbraw/zinc/18/54/06/388185406.db2.gz HHKMLGWZZJOJJU-NSHDSACASA-N 0 3 237.347 2.544 20 0 BFADHN COc1cc(C)ccc1CNC1CC(C)(F)C1 ZINC000449375102 388185753 /nfs/dbraw/zinc/18/57/53/388185753.db2.gz FIBATZUXNDWAGM-UHFFFAOYSA-N 0 3 237.318 2.984 20 0 BFADHN CC[C@H](CSC)NCc1cnc(C)s1 ZINC000162168812 388120690 /nfs/dbraw/zinc/12/06/90/388120690.db2.gz CJJQWYJBKNDYLN-SECBINFHSA-N 0 3 230.402 2.683 20 0 BFADHN CC[C@@H](CSC)NCc1ccc(C)o1 ZINC000162184662 388121418 /nfs/dbraw/zinc/12/14/18/388121418.db2.gz WWXFQUIXQQWJLM-JTQLQIEISA-N 0 3 213.346 2.819 20 0 BFADHN C1=C[C@H](N2CCN([C@@H]3C=CCCC3)CC2)CCC1 ZINC000171278250 388122893 /nfs/dbraw/zinc/12/28/93/388122893.db2.gz PGFAXUSHPQBERB-IYBDPMFKSA-N 0 3 246.398 2.821 20 0 BFADHN CC[C@H](CNC1(c2ccccc2OC)CC1)OC ZINC000646001728 388123084 /nfs/dbraw/zinc/12/30/84/388123084.db2.gz HSEZAJJDCUZHBO-GFCCVEGCSA-N 0 3 249.354 2.699 20 0 BFADHN CCSCCCNCc1nc(C)cs1 ZINC000164010467 388125903 /nfs/dbraw/zinc/12/59/03/388125903.db2.gz FDUOGDAQOWCIIL-UHFFFAOYSA-N 0 3 230.402 2.684 20 0 BFADHN CC[C@@H](O)CNC1(c2ccccc2F)CCC1 ZINC000646024255 388126063 /nfs/dbraw/zinc/12/60/63/388126063.db2.gz YXYMLQOTYVPMCI-LLVKDONJSA-N 0 3 237.318 2.565 20 0 BFADHN c1cc2c(cc1CN1CCCC1)OCCCCO2 ZINC000449004181 388127000 /nfs/dbraw/zinc/12/70/00/388127000.db2.gz VXBMMNPPWQCCAY-UHFFFAOYSA-N 0 3 247.338 2.834 20 0 BFADHN CCC(C)(C)NC(=O)CN(C)CCC(C)(C)C ZINC000646027732 388127919 /nfs/dbraw/zinc/12/79/19/388127919.db2.gz ZWCQSTWXZWYPPD-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1nc([C@@H](C)N(C)CCC(C)(C)C)n[nH]1 ZINC000646028850 388128005 /nfs/dbraw/zinc/12/80/05/388128005.db2.gz DGKYQAQLZIWGGC-SECBINFHSA-N 0 3 224.352 2.542 20 0 BFADHN CCCN(C)Cc1ccc(C)cc1OC ZINC000449010873 388128116 /nfs/dbraw/zinc/12/81/16/388128116.db2.gz VZTWOIOGUBHCJU-UHFFFAOYSA-N 0 3 207.317 2.845 20 0 BFADHN Cc1cccc(CCN2CCSCC2)c1 ZINC000449011476 388128336 /nfs/dbraw/zinc/12/83/36/388128336.db2.gz ZRIRUBJFEARFHL-UHFFFAOYSA-N 0 3 221.369 2.586 20 0 BFADHN Cc1cccc(CCN2CCN(C(C)C)CC2)c1 ZINC000449009665 388128638 /nfs/dbraw/zinc/12/86/38/388128638.db2.gz OWQHAQGHQLOISB-UHFFFAOYSA-N 0 3 246.398 2.564 20 0 BFADHN COc1cc(F)cc(CN2CC[C@@H](C)C2)c1 ZINC000449015354 388129402 /nfs/dbraw/zinc/12/94/02/388129402.db2.gz BLCPREITWNQITR-SNVBAGLBSA-N 0 3 223.291 2.676 20 0 BFADHN COc1cc(F)cc(CN2CC[C@H](C)C2)c1 ZINC000449015353 388129571 /nfs/dbraw/zinc/12/95/71/388129571.db2.gz BLCPREITWNQITR-JTQLQIEISA-N 0 3 223.291 2.676 20 0 BFADHN Fc1cnccc1CN(CC1CC1)CC1CC1 ZINC000449031282 388132554 /nfs/dbraw/zinc/13/25/54/388132554.db2.gz UXKCIKXQKXWOOS-UHFFFAOYSA-N 0 3 234.318 2.843 20 0 BFADHN Cc1cccc(CCN(C)CCOCC2CC2)c1 ZINC000449035639 388133923 /nfs/dbraw/zinc/13/39/23/388133923.db2.gz HHNXPIFPWQHGTE-UHFFFAOYSA-N 0 3 247.382 2.896 20 0 BFADHN Cc1cccc(CCN2CC[C@](C)(F)C2)c1 ZINC000449039764 388134625 /nfs/dbraw/zinc/13/46/25/388134625.db2.gz DWGJEDYOQJXSJH-AWEZNQCLSA-N 0 3 221.319 2.971 20 0 BFADHN OCC[C@H](NCCC1CC1)c1ccccc1F ZINC000646068370 388136419 /nfs/dbraw/zinc/13/64/19/388136419.db2.gz QKODGJSUGWXVOV-AWEZNQCLSA-N 0 3 237.318 2.639 20 0 BFADHN CCCCNCc1ccnn1C1CCCC1 ZINC000449067089 388138104 /nfs/dbraw/zinc/13/81/04/388138104.db2.gz ICFYFHMJQFGOGH-UHFFFAOYSA-N 0 3 221.348 2.888 20 0 BFADHN CCOc1ccc(CN(C)C(C)(C)C)nc1 ZINC000646087648 388139572 /nfs/dbraw/zinc/13/95/72/388139572.db2.gz MNYMYINXLBCBCZ-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CC(C)CN(Cc1cnn(C)c1)CC1=CCCC1 ZINC000645927122 388143709 /nfs/dbraw/zinc/14/37/09/388143709.db2.gz PDYZNVGJRDLGKD-UHFFFAOYSA-N 0 3 247.386 2.988 20 0 BFADHN OCCCN1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC000646116907 388145495 /nfs/dbraw/zinc/14/54/95/388145495.db2.gz JLMZRZYRNMMPQE-HNNXBMFYSA-N 0 3 243.350 2.762 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@H](NC(CF)CF)C1 ZINC000449377955 388186939 /nfs/dbraw/zinc/18/69/39/388186939.db2.gz CCUNVZXDOQBNPZ-FXPVBKGRSA-N 0 3 205.292 2.708 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2C2CCC2)ncn1 ZINC000646140091 388154282 /nfs/dbraw/zinc/15/42/82/388154282.db2.gz SFBJPZWFZOQCMH-OAHLLOKOSA-N 0 3 245.370 2.940 20 0 BFADHN Cc1nccc(CN2CCCC[C@@H]2C2CCC2)n1 ZINC000646140041 388154620 /nfs/dbraw/zinc/15/46/20/388154620.db2.gz PIGDDKADQFFMGY-OAHLLOKOSA-N 0 3 245.370 2.940 20 0 BFADHN Cn1nccc1CN1CCCC[C@H]1C1CCC1 ZINC000646139131 388154901 /nfs/dbraw/zinc/15/49/01/388154901.db2.gz JNDVSZHMLPOJQV-AWEZNQCLSA-N 0 3 233.359 2.575 20 0 BFADHN Cc1cc(CNCCc2sccc2C)on1 ZINC000631107120 388254659 /nfs/dbraw/zinc/25/46/59/388254659.db2.gz ZRHKFVFUYOACKL-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN C[C@@H](NCC1CC1)c1cccnc1Cl ZINC000166573749 388254766 /nfs/dbraw/zinc/25/47/66/388254766.db2.gz FICOLTYLOFWDNH-MRVPVSSYSA-N 0 3 210.708 2.796 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1(C)C)c1cccs1 ZINC000166443350 388255286 /nfs/dbraw/zinc/25/52/86/388255286.db2.gz VTGGJYXRLZAVOZ-INTQDDNPSA-N 0 3 225.357 2.558 20 0 BFADHN CO[C@@H](C)CN[C@@H]1CCCc2sccc21 ZINC000167066738 388255911 /nfs/dbraw/zinc/25/59/11/388255911.db2.gz JYQXZEQOYVAOBK-GXSJLCMTSA-N 0 3 225.357 2.750 20 0 BFADHN CS[C@H](CO)[C@@H](C)N[C@H](C)c1cccs1 ZINC000168006734 388256355 /nfs/dbraw/zinc/25/63/55/388256355.db2.gz SWMRGCNJDMEGIP-FXPVBKGRSA-N 0 3 245.413 2.511 20 0 BFADHN CS[C@H](CO)[C@@H](C)N[C@@H](C)c1ccsc1 ZINC000168017410 388256455 /nfs/dbraw/zinc/25/64/55/388256455.db2.gz WLMAXEHQESEESU-IQJOONFLSA-N 0 3 245.413 2.511 20 0 BFADHN C[C@@H](c1cccnc1)N(C)C[C@@H]1C[C@H]1C ZINC000488578912 388188853 /nfs/dbraw/zinc/18/88/53/388188853.db2.gz IGPCGHXUKAYSQO-MDZLAQPJSA-N 0 3 204.317 2.730 20 0 BFADHN FCC(CF)N[C@H]1CCCC[C@@H]1C1CC1 ZINC000449381544 388188955 /nfs/dbraw/zinc/18/89/55/388188955.db2.gz SOAPCYICPHAVQF-NEPJUHHUSA-N 0 3 217.303 2.852 20 0 BFADHN COCC[C@H](C)N1CCC[C@@H](C(F)(F)F)C1 ZINC000439325709 388195037 /nfs/dbraw/zinc/19/50/37/388195037.db2.gz SJMQDTPJZZQHMS-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@H]1CCCC[C@H]1C[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000449404220 388195570 /nfs/dbraw/zinc/19/55/70/388195570.db2.gz AZEBTKPUGDDDFH-JQWIXIFHSA-N 0 3 248.374 2.598 20 0 BFADHN C[C@H]1CCCC[C@H]1CNCc1nnc(C2CC2)[nH]1 ZINC000449404220 388195571 /nfs/dbraw/zinc/19/55/71/388195571.db2.gz AZEBTKPUGDDDFH-JQWIXIFHSA-N 0 3 248.374 2.598 20 0 BFADHN C[C@H]1CCC[C@@H](C[NH2+]Cc2nnc(C3CC3)[n-]2)C1 ZINC000449406060 388196356 /nfs/dbraw/zinc/19/63/56/388196356.db2.gz RXPJVIFVOMGIAZ-WDEREUQCSA-N 0 3 248.374 2.598 20 0 BFADHN C[C@H]1CCC[C@@H](CNCc2nnc(C3CC3)[nH]2)C1 ZINC000449406060 388196357 /nfs/dbraw/zinc/19/63/57/388196357.db2.gz RXPJVIFVOMGIAZ-WDEREUQCSA-N 0 3 248.374 2.598 20 0 BFADHN COCCN(C)[C@@H](C)c1cccc(C)c1 ZINC000488829590 388197043 /nfs/dbraw/zinc/19/70/43/388197043.db2.gz DCOJCBIIRJPUKW-LBPRGKRZSA-N 0 3 207.317 2.634 20 0 BFADHN CCc1nn(C)c(CC)c1CNC1(CC)CC1 ZINC000449412481 388198201 /nfs/dbraw/zinc/19/82/01/388198201.db2.gz FRORVHJZNWELRX-UHFFFAOYSA-N 0 3 235.375 2.577 20 0 BFADHN CCN(C[C@@H](C)Cc1ccccc1)C1COC1 ZINC000449422755 388200583 /nfs/dbraw/zinc/20/05/83/388200583.db2.gz FGBAWIMKGAXUMU-ZDUSSCGKSA-N 0 3 233.355 2.586 20 0 BFADHN CC[C@@H](NCc1ccc(C)s1)[C@H](O)C(F)F ZINC000449428743 388203002 /nfs/dbraw/zinc/20/30/02/388203002.db2.gz YPOZAYIVGHUTBQ-ZJUUUORDSA-N 0 3 249.326 2.551 20 0 BFADHN COCc1cccc(CN2CC[C@H]2C2CC2)c1 ZINC000449429835 388203565 /nfs/dbraw/zinc/20/35/65/388203565.db2.gz VRHXSSATANEKPQ-HNNXBMFYSA-N 0 3 231.339 2.817 20 0 BFADHN COc1cc(CN2CC[C@H]2C2CC2)cc(OC)c1 ZINC000449429636 388203684 /nfs/dbraw/zinc/20/36/84/388203684.db2.gz XPWWZILNYYDQRW-HNNXBMFYSA-N 0 3 247.338 2.688 20 0 BFADHN CCC1(NCc2ncc(C)n2C)CCCC1 ZINC000449430386 388203864 /nfs/dbraw/zinc/20/38/64/388203864.db2.gz ZHNBSAMDLDUWJD-UHFFFAOYSA-N 0 3 221.348 2.541 20 0 BFADHN CC/C=C\CNCc1ccc(OCCOC)cc1 ZINC000449457474 388209841 /nfs/dbraw/zinc/20/98/41/388209841.db2.gz NTXFQTHEIHADCC-PLNGDYQASA-N 0 3 249.354 2.768 20 0 BFADHN CCC=CCNCc1cc(-c2cccnc2)n[nH]1 ZINC000449456272 388210373 /nfs/dbraw/zinc/21/03/73/388210373.db2.gz MGZNPYZMGNJCAX-ARJAWSKDSA-N 0 3 242.326 2.528 20 0 BFADHN CC/C=C/CNCc1ccc(N(C)CC)nc1 ZINC000449454425 388210521 /nfs/dbraw/zinc/21/05/21/388210521.db2.gz JTYUCZXFPSDNRT-VOTSOKGWSA-N 0 3 233.359 2.594 20 0 BFADHN CC/C=C\CNCc1cnc2cc(C)ccn12 ZINC000449464539 388211796 /nfs/dbraw/zinc/21/17/96/388211796.db2.gz YLZZBMXSABEPPG-PLNGDYQASA-N 0 3 229.327 2.699 20 0 BFADHN COc1ccccc1OCCN(C)[C@H]1CC1(C)C ZINC000489417464 388212382 /nfs/dbraw/zinc/21/23/82/388212382.db2.gz WYZYKENSXRRPNQ-AWEZNQCLSA-N 0 3 249.354 2.804 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccc(C)o2)C[C@@H]1C ZINC000449494150 388217527 /nfs/dbraw/zinc/21/75/27/388217527.db2.gz MVNUNLJDEVYVNH-SMDDNHRTSA-N 0 3 237.343 2.835 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccco2)C[C@@H]1C ZINC000449491468 388217739 /nfs/dbraw/zinc/21/77/39/388217739.db2.gz GYVWNGATALVKNI-WCQYABFASA-N 0 3 223.316 2.527 20 0 BFADHN CCO[C@H]1CCN(Cc2ccco2)C[C@@H]1C ZINC000449491466 388218330 /nfs/dbraw/zinc/21/83/30/388218330.db2.gz GYVWNGATALVKNI-AAEUAGOBSA-N 0 3 223.316 2.527 20 0 BFADHN CN(C[C@@H]1CCC(C)(C)O1)[C@@H]1CC1(C)C ZINC000489511252 388219333 /nfs/dbraw/zinc/21/93/33/388219333.db2.gz ITKJKXALSXSBLA-WDEREUQCSA-N 0 3 211.349 2.674 20 0 BFADHN Cc1nocc1CN1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000449530772 388224883 /nfs/dbraw/zinc/22/48/83/388224883.db2.gz AOWLUDCOVVKXTA-KGLIPLIRSA-N 0 3 232.327 2.603 20 0 BFADHN CN(CC(=O)Nc1ccccc1)C1(C)CCCC1 ZINC000489833534 388225332 /nfs/dbraw/zinc/22/53/32/388225332.db2.gz MZGNMJNEKPVVHJ-UHFFFAOYSA-N 0 3 246.354 2.890 20 0 BFADHN Cc1ccc(CN2CCSC[C@@H]2C2CC2)o1 ZINC000449527246 388225484 /nfs/dbraw/zinc/22/54/84/388225484.db2.gz HCADNIWMIDSTSD-CYBMUJFWSA-N 0 3 237.368 2.915 20 0 BFADHN CCn1nccc1CN1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000449532534 388225885 /nfs/dbraw/zinc/22/58/85/388225885.db2.gz DGLPFYHASOWULE-CABCVRRESA-N 0 3 245.370 2.523 20 0 BFADHN CCn1nccc1CN1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000449532535 388226108 /nfs/dbraw/zinc/22/61/08/388226108.db2.gz DGLPFYHASOWULE-GJZGRUSLSA-N 0 3 245.370 2.523 20 0 BFADHN CCC1(NCc2ncc(C)n2C)CCCCC1 ZINC000449534125 388226158 /nfs/dbraw/zinc/22/61/58/388226158.db2.gz LPSUATQKLIWKCN-UHFFFAOYSA-N 0 3 235.375 2.931 20 0 BFADHN Cc1n[nH]c(C)c1CN1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000449540656 388227242 /nfs/dbraw/zinc/22/72/42/388227242.db2.gz NEAUIFBSBQGGSI-GJZGRUSLSA-N 0 3 245.370 2.647 20 0 BFADHN COc1ccnc(CN(C)C2(C)CCCC2)c1 ZINC000489883377 388227398 /nfs/dbraw/zinc/22/73/98/388227398.db2.gz KRQPYWKRZRAILF-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCc1cccc(F)c1CN[C@@H](CC)[C@H](C)O ZINC000449543469 388228349 /nfs/dbraw/zinc/22/83/49/388228349.db2.gz OKTFHVLCBLJHGE-HZMBPMFUSA-N 0 3 239.334 2.637 20 0 BFADHN c1ncc(CN2C[C@H](C3CC3)[C@H]2C2CC2)s1 ZINC000449545667 388228633 /nfs/dbraw/zinc/22/86/33/388228633.db2.gz ZGDUJWMLUARCEK-CHWSQXEVSA-N 0 3 234.368 2.764 20 0 BFADHN c1ncc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)s1 ZINC000449545668 388228695 /nfs/dbraw/zinc/22/86/95/388228695.db2.gz ZGDUJWMLUARCEK-OLZOCXBDSA-N 0 3 234.368 2.764 20 0 BFADHN Cc1ccc(F)c(CCNCc2cccnc2)c1 ZINC000449553537 388230321 /nfs/dbraw/zinc/23/03/21/388230321.db2.gz MYMINRVTJSHDAE-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cncnc2)[C@H]1C(C)C ZINC000449551575 388230722 /nfs/dbraw/zinc/23/07/22/388230722.db2.gz GWRSOQPPDFOMPD-KBPBESRZSA-N 0 3 233.359 2.589 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1cscn1 ZINC000449558618 388231868 /nfs/dbraw/zinc/23/18/68/388231868.db2.gz XRORYWIBSDKVFM-ONGXEEELSA-N 0 3 210.346 2.525 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CCC[C@@H]1C ZINC000449562584 388232785 /nfs/dbraw/zinc/23/27/85/388232785.db2.gz MEFVCKMDEXNRAW-SDDRHHMPSA-N 0 3 221.348 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)C1CCC1 ZINC000449561190 388232851 /nfs/dbraw/zinc/23/28/51/388232851.db2.gz BKOLHIPMTIOUBQ-MNOVXSKESA-N 0 3 221.348 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CCC[C@H]1C ZINC000449562586 388232894 /nfs/dbraw/zinc/23/28/94/388232894.db2.gz MEFVCKMDEXNRAW-WOPDTQHZSA-N 0 3 221.348 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CC[C@H](C)C1 ZINC000449562415 388233194 /nfs/dbraw/zinc/23/31/94/388233194.db2.gz KKSFQEWYFWASKT-SDDRHHMPSA-N 0 3 221.348 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)NC1CC(C(C)C)C1 ZINC000449563115 388233501 /nfs/dbraw/zinc/23/35/01/388233501.db2.gz RJMUQYURHBSJHA-HIFPTAJRSA-N 0 3 235.375 2.988 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CC[C@@H]1C1CC1 ZINC000449566582 388235254 /nfs/dbraw/zinc/23/52/54/388235254.db2.gz PQLIAOGLZJZZGN-CYZMBNFOSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CC[C@H]2C2CC2)c2nccn21 ZINC000449574498 388236597 /nfs/dbraw/zinc/23/65/97/388236597.db2.gz UXVCNJKULRXUDU-IXOXFDKPSA-N 0 3 231.343 2.667 20 0 BFADHN Cc1ccnc([C@@H](C)NC[C@H]2CCCC[C@@H]2O)c1 ZINC000449579209 388237815 /nfs/dbraw/zinc/23/78/15/388237815.db2.gz YTVPACABOYSUEK-NFAWXSAZSA-N 0 3 248.370 2.592 20 0 BFADHN COCc1nc(CN[C@H](C)C(C)(C)C)cs1 ZINC000164544600 388240265 /nfs/dbraw/zinc/24/02/65/388240265.db2.gz GBJQCESUAANBDM-SECBINFHSA-N 0 3 242.388 2.814 20 0 BFADHN C[C@@H](O)C[C@H](C)NCc1cc(Cl)cs1 ZINC000164612997 388241116 /nfs/dbraw/zinc/24/11/16/388241116.db2.gz SRENLYFWBOUQNO-JGVFFNPUSA-N 0 3 233.764 2.651 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC[C@@H](C)C2)o1 ZINC000449608459 388241306 /nfs/dbraw/zinc/24/13/06/388241306.db2.gz HXESRWRKGGELOL-GHMZBOCLSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC(C)(C)C2)o1 ZINC000449608203 388241726 /nfs/dbraw/zinc/24/17/26/388241726.db2.gz FXLLDPQVOGOZAQ-SNVBAGLBSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1ncc(CN[C@@H](C)CC(C)C)o1 ZINC000449608252 388241757 /nfs/dbraw/zinc/24/17/57/388241757.db2.gz GEKLFNKRDFEYOD-JTQLQIEISA-N 0 3 210.321 2.761 20 0 BFADHN CCc1ncc(CN[C@@H](C)C2CCC2)o1 ZINC000449609853 388242821 /nfs/dbraw/zinc/24/28/21/388242821.db2.gz OPXBRZKAKWBIBA-VIFPVBQESA-N 0 3 208.305 2.515 20 0 BFADHN C[C@@H](O)CN1[C@H](C)Cc2cc(F)ccc2[C@@H]1C ZINC000459370436 388243061 /nfs/dbraw/zinc/24/30/61/388243061.db2.gz IMKOKCJRWMSDBX-MXWKQRLJSA-N 0 3 237.318 2.514 20 0 BFADHN CC[C@@H](C)N1CCN(Cc2occc2C)CC1 ZINC000449623767 388244459 /nfs/dbraw/zinc/24/44/59/388244459.db2.gz KRILRRIJTNERIQ-CYBMUJFWSA-N 0 3 236.359 2.504 20 0 BFADHN CCc1ccc(CN2CC[C@H](OC)[C@H](C)C2)nc1 ZINC000451578730 388282438 /nfs/dbraw/zinc/28/24/38/388282438.db2.gz XLFNKDUBEFTZEV-DOMZBBRYSA-N 0 3 248.370 2.501 20 0 BFADHN FC(F)(F)CCN1CCSC[C@H]1C1CC1 ZINC000451651103 388287545 /nfs/dbraw/zinc/28/75/45/388287545.db2.gz WCIBGLGDGSKARM-VIFPVBQESA-N 0 3 239.306 2.766 20 0 BFADHN CC[C@@H](F)CN1CCSC[C@H]1C1CC1 ZINC000451659399 388288566 /nfs/dbraw/zinc/28/85/66/388288566.db2.gz ZITOONNXWZUDAU-MNOVXSKESA-N 0 3 217.353 2.562 20 0 BFADHN CCc1cccc(CN[C@@H]2COCC2(C)C)c1 ZINC000451808747 388297913 /nfs/dbraw/zinc/29/79/13/388297913.db2.gz GRCQOXRJJLUWKX-CQSZACIVSA-N 0 3 233.355 2.764 20 0 BFADHN C[C@@H](F)CCN1CCOCC12CCCCC2 ZINC000451812462 388298049 /nfs/dbraw/zinc/29/80/49/388298049.db2.gz HBSNUNSNGWWGKR-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN CC[C@H](F)CN(C)[C@H]1C[C@H](OC)C12CCC2 ZINC000451848052 388300269 /nfs/dbraw/zinc/30/02/69/388300269.db2.gz HXLKLUJVEIFZNJ-SRVKXCTJSA-N 0 3 229.339 2.624 20 0 BFADHN CC(C)[C@H](O)CN1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451857745 388301249 /nfs/dbraw/zinc/30/12/49/388301249.db2.gz QYONGLGQCZSNTM-OCCSQVGLSA-N 0 3 249.354 2.824 20 0 BFADHN CCCCCC[C@H](C)NC(=O)/C=C/CN(C)C ZINC000491889348 388326580 /nfs/dbraw/zinc/32/65/80/388326580.db2.gz VTOLUDGRBVXOOM-STRFDMGBSA-N 0 3 240.391 2.579 20 0 BFADHN Cc1oncc1CN1C[C@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000451377894 388267949 /nfs/dbraw/zinc/26/79/49/388267949.db2.gz XPYFCDGQTRPHRX-UNQGMJICSA-N 0 3 244.338 2.627 20 0 BFADHN CO[C@H](C)CN1CC[C@H](C(F)(F)F)C[C@H]1C ZINC000451402657 388269906 /nfs/dbraw/zinc/26/99/06/388269906.db2.gz BYJVSDRSCUFOFV-BBBLOLIVSA-N 0 3 239.281 2.684 20 0 BFADHN Cc1cn[nH]c1CNC(C)(C)c1ccccc1F ZINC000492001205 388331102 /nfs/dbraw/zinc/33/11/02/388331102.db2.gz QBTTYNZGBYSGLZ-UHFFFAOYSA-N 0 3 247.317 2.882 20 0 BFADHN C[C@H]1[C@@H](O)CCCN1CCCCC(F)(F)F ZINC000451452148 388274748 /nfs/dbraw/zinc/27/47/48/388274748.db2.gz GUBDWSPALDLDOR-UWVGGRQHSA-N 0 3 239.281 2.564 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2ccc(C)nc2C)C1(C)C ZINC000451488765 388277598 /nfs/dbraw/zinc/27/75/98/388277598.db2.gz GSNKAEOCGMUKOT-ZIAGYGMSSA-N 0 3 248.370 2.602 20 0 BFADHN FCCN[C@@H]1CCC[C@H]1c1ccccc1 ZINC000275628487 388344813 /nfs/dbraw/zinc/34/48/13/388344813.db2.gz PTLHWJRSNFDFHV-QWHCGFSZSA-N 0 3 207.292 2.882 20 0 BFADHN CC[C@@H](CN(C)Cc1ccsc1)OC ZINC000642650203 388344935 /nfs/dbraw/zinc/34/49/35/388344935.db2.gz TUJIDZHIQGARGM-NSHDSACASA-N 0 3 213.346 2.605 20 0 BFADHN COC1(CCN[C@H](C)c2ccco2)CCC1 ZINC000294763604 388404345 /nfs/dbraw/zinc/40/43/45/388404345.db2.gz YPDYNBYSAMCFRF-LLVKDONJSA-N 0 3 223.316 2.889 20 0 BFADHN CC(C)CCCN[C@@H](CCO)c1ccco1 ZINC000276314130 388348274 /nfs/dbraw/zinc/34/82/74/388348274.db2.gz WAULBLBKAIKBJC-LBPRGKRZSA-N 0 3 225.332 2.729 20 0 BFADHN CCSCCNc1cc(C)cc(C)n1 ZINC000276258942 388348609 /nfs/dbraw/zinc/34/86/09/388348609.db2.gz PTLBXZQCLVSZKQ-UHFFFAOYSA-N 0 3 210.346 2.863 20 0 BFADHN COC(=O)c1coc(CNCCC=C(C)C)c1 ZINC000276820618 388350699 /nfs/dbraw/zinc/35/06/99/388350699.db2.gz ZGUUSTLSDDGBGA-UHFFFAOYSA-N 0 3 237.299 2.512 20 0 BFADHN COC(=O)c1ccc(CNCCC=C(C)C)o1 ZINC000276818419 388350711 /nfs/dbraw/zinc/35/07/11/388350711.db2.gz YVERAODKWIRYTG-UHFFFAOYSA-N 0 3 237.299 2.512 20 0 BFADHN CC(C)=CCN[C@H](C)c1cnc(C)nc1C ZINC000276758095 388350837 /nfs/dbraw/zinc/35/08/37/388350837.db2.gz IPAVZPHOCWEEJW-SNVBAGLBSA-N 0 3 219.332 2.710 20 0 BFADHN Cc1cncc([C@@H](C)NC2CC(F)(F)C2)c1 ZINC000277058890 388352577 /nfs/dbraw/zinc/35/25/77/388352577.db2.gz HUBIAFRPPWBLHL-SECBINFHSA-N 0 3 226.270 2.838 20 0 BFADHN Cc1nc(F)ccc1CNCc1cccs1 ZINC000278282635 388354756 /nfs/dbraw/zinc/35/47/56/388354756.db2.gz BBGHCYRGTCYKKD-UHFFFAOYSA-N 0 3 236.315 2.880 20 0 BFADHN CO[C@@H](CNCc1ccsc1C)C(C)C ZINC000293694058 388359598 /nfs/dbraw/zinc/35/95/98/388359598.db2.gz WMRLENIGMQGYJV-LBPRGKRZSA-N 0 3 227.373 2.817 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc(F)cc1Cl ZINC000289850996 388364383 /nfs/dbraw/zinc/36/43/83/388364383.db2.gz PQWONVHCCAPZOU-BDAKNGLRSA-N 0 3 245.725 2.992 20 0 BFADHN Cc1cc(CN2CC[C@@H](O)[C@H]2C)ccc1Cl ZINC000648800477 388372221 /nfs/dbraw/zinc/37/22/21/388372221.db2.gz DBZJTIQXZUCCGP-ZWNOBZJWSA-N 0 3 239.746 2.604 20 0 BFADHN C[C@@H]1[C@H](O)CCN1Cc1ccc(C(F)F)cc1 ZINC000648801707 388374045 /nfs/dbraw/zinc/37/40/45/388374045.db2.gz RGVUUJGVTZCFBA-BXKDBHETSA-N 0 3 241.281 2.579 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCO2)c(Cl)c1 ZINC000235875943 388375785 /nfs/dbraw/zinc/37/57/85/388375785.db2.gz NCSLCUDNPZJSHO-LBPRGKRZSA-N 0 3 239.746 2.917 20 0 BFADHN C1=C(CN2CCN(c3ccccc3)CC2)CCC1 ZINC000401161016 388377027 /nfs/dbraw/zinc/37/70/27/388377027.db2.gz OOYSZGGMJBQLSF-UHFFFAOYSA-N 0 3 242.366 2.919 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CSc3ccccc32)CO1 ZINC000402199158 388383717 /nfs/dbraw/zinc/38/37/17/388383717.db2.gz GPLGKHDVAFVAGP-FOGDFJRCSA-N 0 3 235.352 2.600 20 0 BFADHN CC[C@H](N)c1cn(CCCC(C)(C)C)nn1 ZINC000300910871 388383789 /nfs/dbraw/zinc/38/37/89/388383789.db2.gz RYEWJDDAJGLZDQ-JTQLQIEISA-N 0 3 224.352 2.514 20 0 BFADHN CO[C@@H](CNCc1ccc(F)cc1C)C1CC1 ZINC000293038956 388386874 /nfs/dbraw/zinc/38/68/74/388386874.db2.gz QNAHCONKLMIUBZ-AWEZNQCLSA-N 0 3 237.318 2.649 20 0 BFADHN CC[C@@H](C)[C@@H](C)C(=O)Nc1cccc(CN)c1 ZINC000646579438 388388812 /nfs/dbraw/zinc/38/88/12/388388812.db2.gz GXOOBGJDUGLAFR-GHMZBOCLSA-N 0 3 234.343 2.766 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cccc(F)c1)C1CC1 ZINC000294967667 388406614 /nfs/dbraw/zinc/40/66/14/388406614.db2.gz JTEOZFLMJGCBPE-IINYFYTJSA-N 0 3 237.318 2.901 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1ccc2c(n1)CCC2 ZINC000520356585 256671522 /nfs/dbraw/zinc/67/15/22/256671522.db2.gz BGDAZWKZGVGGOS-NWDGAFQWSA-N 0 3 230.355 2.801 20 0 BFADHN C[C@@H](NCCC(C)(F)F)c1cncc(F)c1 ZINC000294189527 388397045 /nfs/dbraw/zinc/39/70/45/388397045.db2.gz KXEAJOGOUIGVOV-MRVPVSSYSA-N 0 3 232.249 2.917 20 0 BFADHN CCSCCCN[C@@H]1C[C@H](C)n2ccnc21 ZINC000294301997 388398667 /nfs/dbraw/zinc/39/86/67/388398667.db2.gz ZWONIPCEKSBVNR-WDEREUQCSA-N 0 3 239.388 2.622 20 0 BFADHN C[C@@H](NC[C@H](O)C1CCC1)c1ccccc1F ZINC000315669076 388401669 /nfs/dbraw/zinc/40/16/69/388401669.db2.gz AJBYENUVAPPLJU-YGRLFVJLSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@@H](N[C@@H](CC)c1cc(C)ccc1C)C(N)=O ZINC000528802021 388402934 /nfs/dbraw/zinc/40/29/34/388402934.db2.gz PZAZCRFUCSRSOO-UONOGXRCSA-N 0 3 248.370 2.608 20 0 BFADHN CC/C=C/CNCc1cn(C)nc1C(C)(C)C ZINC000294722855 388403489 /nfs/dbraw/zinc/40/34/89/388403489.db2.gz CTSCFJXMEONSLP-BQYQJAHWSA-N 0 3 235.375 2.773 20 0 BFADHN Cc1cc(C)c(NC(=O)/C=C\CN(C)C)c(C)c1 ZINC000492086883 388334327 /nfs/dbraw/zinc/33/43/27/388334327.db2.gz QAKYLKWTRUVPOM-SREVYHEPSA-N 0 3 246.354 2.668 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1cncs1)OC ZINC000322619728 388440985 /nfs/dbraw/zinc/44/09/85/388440985.db2.gz NEVYHAKKFKEKHZ-MWLCHTKSSA-N 0 3 228.361 2.609 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1cc(C)cc(Cl)c1 ZINC000378665242 388480463 /nfs/dbraw/zinc/48/04/63/388480463.db2.gz AHPURNQLCMIFNO-QWHCGFSZSA-N 0 3 239.746 2.915 20 0 BFADHN CCO[C@H]1C[C@H](N(C)CC2(F)CC2)C12CCC2 ZINC000525980271 388445378 /nfs/dbraw/zinc/44/53/78/388445378.db2.gz DYPKFGLLHRWJBQ-RYUDHWBXSA-N 0 3 241.350 2.768 20 0 BFADHN Cc1ccc(NCC2(F)CC2)c(CN(C)C)c1 ZINC000525981584 388446707 /nfs/dbraw/zinc/44/67/07/388446707.db2.gz FZOZPFSRMLOMFH-UHFFFAOYSA-N 0 3 236.334 2.971 20 0 BFADHN CCc1ccc(CNC[C@](C)(CC)OC)o1 ZINC000322798869 388446873 /nfs/dbraw/zinc/44/68/73/388446873.db2.gz PMEWYSBAJKTYOL-ZDUSSCGKSA-N 0 3 225.332 2.747 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1ccsc1)OC ZINC000290196486 388446903 /nfs/dbraw/zinc/44/69/03/388446903.db2.gz OTGONQNNVYTCPU-ONGXEEELSA-N 0 3 213.346 2.824 20 0 BFADHN CC[C@@H](CN[C@H](C)c1ccsc1)OC ZINC000290196484 388447146 /nfs/dbraw/zinc/44/71/46/388447146.db2.gz OTGONQNNVYTCPU-KOLCDFICSA-N 0 3 213.346 2.824 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](C)c1nccs1 ZINC000308736336 388449128 /nfs/dbraw/zinc/44/91/28/388449128.db2.gz LNENLLSZOUOBFT-IVZWLZJFSA-N 0 3 210.346 2.982 20 0 BFADHN CCC[C@H](C)C(=O)Nc1cc(C)cc(CN)c1 ZINC000322884399 388450156 /nfs/dbraw/zinc/45/01/56/388450156.db2.gz CGKMTKQUIYPHDS-NSHDSACASA-N 0 3 234.343 2.828 20 0 BFADHN c1cn2c(n1)[C@@H](NCC1CCCCCC1)CC2 ZINC000335408980 388451114 /nfs/dbraw/zinc/45/11/14/388451114.db2.gz IQCKTXFUYMOOND-ZDUSSCGKSA-N 0 3 233.359 2.888 20 0 BFADHN CCCN(Cc1cc(CO)ccc1F)C1CC1 ZINC000528372701 388451194 /nfs/dbraw/zinc/45/11/94/388451194.db2.gz QBTPGMAMBOMWOA-UHFFFAOYSA-N 0 3 237.318 2.692 20 0 BFADHN Fc1cc(CCNCC2(F)CC2)cc(F)c1F ZINC000525991968 388452493 /nfs/dbraw/zinc/45/24/93/388452493.db2.gz JOXYRSVZJPHISC-UHFFFAOYSA-N 0 3 247.235 2.738 20 0 BFADHN C[C@H](N[C@@H]1COC(C)(C)C1)c1cccs1 ZINC000335655738 388453186 /nfs/dbraw/zinc/45/31/86/388453186.db2.gz FTSXCBYTJMJZSQ-UWVGGRQHSA-N 0 3 225.357 2.966 20 0 BFADHN CN(Cc1ccc(CO)o1)[C@@H]1CCC(C)(C)C1 ZINC000526001046 388454208 /nfs/dbraw/zinc/45/42/08/388454208.db2.gz VLKQJVLBJBDESZ-LLVKDONJSA-N 0 3 237.343 2.782 20 0 BFADHN O[C@@H](CNCc1cscc1Cl)C1CCC1 ZINC000648844321 388465434 /nfs/dbraw/zinc/46/54/34/388465434.db2.gz JSAXDIZFZXUGJA-NSHDSACASA-N 0 3 245.775 2.652 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1cc(F)cc(F)c1 ZINC000336715742 388468160 /nfs/dbraw/zinc/46/81/60/388468160.db2.gz VQSRBRZEJSNYBM-NWDGAFQWSA-N 0 3 243.322 2.948 20 0 BFADHN Cc1nnsc1CN[C@H](C)CC1CCCC1 ZINC000336732494 388471302 /nfs/dbraw/zinc/47/13/02/388471302.db2.gz FWZZWRYRCGWWTH-SECBINFHSA-N 0 3 239.388 2.905 20 0 BFADHN CSC[C@H](C)N[C@H](C)c1cncs1 ZINC000133956750 388473399 /nfs/dbraw/zinc/47/33/99/388473399.db2.gz KKLAKPHDODYNAU-JGVFFNPUSA-N 0 3 216.375 2.545 20 0 BFADHN CCC(C)(C)CCN1CCc2nc(C)ncc2C1 ZINC000524758962 388407528 /nfs/dbraw/zinc/40/75/28/388407528.db2.gz JCULOZMHHPQEPF-UHFFFAOYSA-N 0 3 247.386 2.969 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3c2cccc3F)[C@@H](C)O1 ZINC000295395713 388411524 /nfs/dbraw/zinc/41/15/24/388411524.db2.gz LOQCZOYGPYLXSK-IDMWTJEOSA-N 0 3 249.329 2.969 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2ccnc(OC)n2)C1 ZINC000295729009 388413839 /nfs/dbraw/zinc/41/38/39/388413839.db2.gz OAFDJVJVODMWTB-NWDGAFQWSA-N 0 3 249.358 2.544 20 0 BFADHN Cc1cnc(CNC[C@]2(C)CCCS2)s1 ZINC000295840856 388415043 /nfs/dbraw/zinc/41/50/43/388415043.db2.gz VXRLBKJAPOWFJY-NSHDSACASA-N 0 3 242.413 2.827 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1cnc(C2CC2)o1 ZINC000648824632 388415139 /nfs/dbraw/zinc/41/51/39/388415139.db2.gz UZTRDWNFNJINOL-KCJUWKMLSA-N 0 3 238.356 2.536 20 0 BFADHN C[C@@H](NCc1cn2ccccc2n1)[C@H]1CC1(C)C ZINC000397568906 388479145 /nfs/dbraw/zinc/47/91/45/388479145.db2.gz CXPRWKPWPUTNON-DGCLKSJQSA-N 0 3 243.354 2.859 20 0 BFADHN CCn1cncc1CNCC(C)(C)C(F)(F)F ZINC000573073828 388417813 /nfs/dbraw/zinc/41/78/13/388417813.db2.gz VPFZQNMOYSMMIE-UHFFFAOYSA-N 0 3 249.280 2.581 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2[C@@H](C)O)cs1 ZINC000573069897 388417981 /nfs/dbraw/zinc/41/79/81/388417981.db2.gz JDWCJHUZNORHSG-DGCLKSJQSA-N 0 3 239.384 2.792 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2[C@H](C)O)cs1 ZINC000573069898 388418207 /nfs/dbraw/zinc/41/82/07/388418207.db2.gz JDWCJHUZNORHSG-WCQYABFASA-N 0 3 239.384 2.792 20 0 BFADHN CCSCC[C@@H](C)NCc1conc1C ZINC000293717662 388419878 /nfs/dbraw/zinc/41/98/78/388419878.db2.gz YGURAPRLFSILFB-SECBINFHSA-N 0 3 228.361 2.604 20 0 BFADHN Cc1noc(C)c1CCN1CCC(C)(C)C1 ZINC000166189386 388420709 /nfs/dbraw/zinc/42/07/09/388420709.db2.gz XBYWUEPARNIGHI-UHFFFAOYSA-N 0 3 222.332 2.566 20 0 BFADHN O[C@@H]1CCCN([C@H]2CCCc3ccc(F)cc32)C1 ZINC000245509242 388420953 /nfs/dbraw/zinc/42/09/53/388420953.db2.gz KAPKCSSSUJBBSL-HIFRSBDPSA-N 0 3 249.329 2.660 20 0 BFADHN CC(C)c1cccc(NC(=O)[C@H](C)NC2CC2)c1 ZINC000296410266 388423123 /nfs/dbraw/zinc/42/31/23/388423123.db2.gz MAIZONZVTXFMBU-NSHDSACASA-N 0 3 246.354 2.889 20 0 BFADHN c1ncc(CN2CCC[C@@H]3CCC[C@H]32)s1 ZINC000245561709 388424146 /nfs/dbraw/zinc/42/41/46/388424146.db2.gz ODADNQGXMKXCOX-CMPLNLGQSA-N 0 3 222.357 2.908 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCOC2(C)C)o1 ZINC000296662930 388425484 /nfs/dbraw/zinc/42/54/84/388425484.db2.gz ZYWWTZJVMPFHAF-ZYHUDNBSSA-N 0 3 223.316 2.806 20 0 BFADHN CCOCCN[C@@H]1CCc2c1cccc2Cl ZINC000166628655 388429531 /nfs/dbraw/zinc/42/95/31/388429531.db2.gz FECUEYNAKANOQV-CYBMUJFWSA-N 0 3 239.746 2.953 20 0 BFADHN C[C@@H](NCCC(C)(C)C1CC1)c1nccn1C ZINC000336888884 388431026 /nfs/dbraw/zinc/43/10/26/388431026.db2.gz KURUZSFEYVSOJV-LLVKDONJSA-N 0 3 235.375 2.897 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2ccccc2F)C12CCC2 ZINC000308378548 388436899 /nfs/dbraw/zinc/43/68/99/388436899.db2.gz VEGNFNSJQILQCB-ZIAGYGMSSA-N 0 3 249.329 2.873 20 0 BFADHN C/C(Cl)=C\CN1CCCO[C@@H](C(C)C)C1 ZINC000528513676 388538201 /nfs/dbraw/zinc/53/82/01/388538201.db2.gz DUJCKVAIXZRGIA-JXDHDYMSSA-N 0 3 231.767 2.876 20 0 BFADHN CO[C@@H]1CCN(C/C=C(\C)Cl)CC1(C)C ZINC000528522063 388542459 /nfs/dbraw/zinc/54/24/59/388542459.db2.gz RATFYHSHDDUXPZ-IGLBNKAOSA-N 0 3 231.767 2.876 20 0 BFADHN CC[C@H]1C[C@H](C)C[N@@H+]1Cc1nc(C)ccc1[O-] ZINC000353919846 388544146 /nfs/dbraw/zinc/54/41/46/388544146.db2.gz KIZZLSGAICSKNU-JQWIXIFHSA-N 0 3 234.343 2.716 20 0 BFADHN CC[C@H]1C[C@H](C)C[N@H+]1Cc1nc(C)ccc1[O-] ZINC000353919846 388544148 /nfs/dbraw/zinc/54/41/48/388544148.db2.gz KIZZLSGAICSKNU-JQWIXIFHSA-N 0 3 234.343 2.716 20 0 BFADHN C[C@H](O)[C@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC000136849013 388550640 /nfs/dbraw/zinc/55/06/40/388550640.db2.gz CWIGGPGBZRDYPQ-QVDQZQSHSA-N 0 3 245.366 2.793 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2c3cc(F)ccc3C[C@@H]2C)CO1 ZINC000517235382 388544490 /nfs/dbraw/zinc/54/44/90/388544490.db2.gz JSJUTOZNYZHJMU-FMRSBHEDSA-N 0 3 249.329 2.826 20 0 BFADHN C/C(Cl)=C/CN1CC[C@@H](OC(C)C)C1 ZINC000528538489 388544733 /nfs/dbraw/zinc/54/47/33/388544733.db2.gz RCBIKEJGOQHPLE-NEOSZVFXSA-N 0 3 217.740 2.628 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1cncs1)OC ZINC000356345632 388547382 /nfs/dbraw/zinc/54/73/82/388547382.db2.gz YFWSHRWNIRUZHW-VHSXEESVSA-N 0 3 228.361 2.609 20 0 BFADHN Cc1sccc1CNCCOC(F)(F)F ZINC000339371436 388482774 /nfs/dbraw/zinc/48/27/74/388482774.db2.gz IVLGKQWDQXAGIS-UHFFFAOYSA-N 0 3 239.262 2.683 20 0 BFADHN CCCCCN(CC(=O)OC)[C@@H](C)CCC ZINC000514009949 388484574 /nfs/dbraw/zinc/48/45/74/388484574.db2.gz QACAKEMROXWZJK-LBPRGKRZSA-N 0 3 229.364 2.840 20 0 BFADHN Cc1ccc(CN2CCCC[C@@H]2C[C@@H](C)O)cn1 ZINC000405653690 388485760 /nfs/dbraw/zinc/48/57/60/388485760.db2.gz RSGSXBJYAAWIQI-UKRRQHHQSA-N 0 3 248.370 2.515 20 0 BFADHN CC(=O)Nc1cccc(CN(C)CCC2CC2)c1 ZINC000135269843 388487838 /nfs/dbraw/zinc/48/78/38/388487838.db2.gz BIGUBNSTDMLIBB-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN Cc1nc([C@@H](C)N[C@@H]2C[C@H]3C[C@H]3C2)cs1 ZINC000397654954 388487785 /nfs/dbraw/zinc/48/77/85/388487785.db2.gz YBRJEBVZNSNMAD-CZULRBLNSA-N 0 3 222.357 2.901 20 0 BFADHN CC[C@H](NCc1cc(C)no1)[C@H]1CC1(C)C ZINC000397676707 388489760 /nfs/dbraw/zinc/48/97/60/388489760.db2.gz RZIKODKKQULXIG-NEPJUHHUSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ccoc1CN[C@@H](C)[C@@]1(C)CCCO1 ZINC000340387433 388489820 /nfs/dbraw/zinc/48/98/20/388489820.db2.gz DXRKKEXFLZLEII-WCQYABFASA-N 0 3 223.316 2.635 20 0 BFADHN CN(CCC1CC1)Cc1cccc(Cl)n1 ZINC000135309940 388490507 /nfs/dbraw/zinc/49/05/07/388490507.db2.gz JDOLDLWHLPLEFA-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN CC[C@@H](NCc1cc(C)on1)[C@H]1CC1(C)C ZINC000397687900 388490671 /nfs/dbraw/zinc/49/06/71/388490671.db2.gz WCAJOZNLTIQTAA-VXGBXAGGSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@@H](NC[C@H]1CCCC1(C)C)c1nccn1C ZINC000340516024 388491687 /nfs/dbraw/zinc/49/16/87/388491687.db2.gz AREUQYBQYUZPGN-VXGBXAGGSA-N 0 3 235.375 2.897 20 0 BFADHN CC[C@@H](C)CN(CC)CC(=O)NC(C)(C)CC ZINC000177858721 388491787 /nfs/dbraw/zinc/49/17/87/388491787.db2.gz BIAWALSGVCLVKK-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1ccc(Cl)cn1 ZINC000290223426 388491976 /nfs/dbraw/zinc/49/19/76/388491976.db2.gz RBAUMESTVRHSMJ-UTLUCORTSA-N 0 3 242.750 2.809 20 0 BFADHN CC[C@H](N[C@@H](C)c1csc(C)n1)C(C)(C)O ZINC000340497143 388492041 /nfs/dbraw/zinc/49/20/41/388492041.db2.gz HRBIQFVXURWIHK-KWQFWETISA-N 0 3 242.388 2.652 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)[C@H]1CC1(C)C ZINC000397711611 388493951 /nfs/dbraw/zinc/49/39/51/388493951.db2.gz PEXYGDPCBXKWTE-WRWGMCAJSA-N 0 3 233.359 2.913 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1ccc(F)cn1 ZINC000397732841 388495634 /nfs/dbraw/zinc/49/56/34/388495634.db2.gz GLYAOFZWBDZUQY-MWGHHZFTSA-N 0 3 220.291 2.670 20 0 BFADHN Cc1ccc(C)c(CNCC2=CCCOC2)c1 ZINC000342307523 388497631 /nfs/dbraw/zinc/49/76/31/388497631.db2.gz RRCFYIOXMGCKRT-UHFFFAOYSA-N 0 3 231.339 2.740 20 0 BFADHN CC[C@H](NCc1ccc(C)nn1)[C@@H]1CC1(C)C ZINC000397786945 388498796 /nfs/dbraw/zinc/49/87/96/388498796.db2.gz PKEOCXDZUIKICQ-STQMWFEESA-N 0 3 233.359 2.699 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCC2(N(C)C)CC2)o1 ZINC000397824297 388502802 /nfs/dbraw/zinc/50/28/02/388502802.db2.gz ANZHYRSCZVJYNX-AAEUAGOBSA-N 0 3 248.370 2.587 20 0 BFADHN CN(C)C1(CNCc2cc3ccccc3o2)CC1 ZINC000397827076 388503543 /nfs/dbraw/zinc/50/35/43/388503543.db2.gz BKPYTKHEONELLY-UHFFFAOYSA-N 0 3 244.338 2.617 20 0 BFADHN Cc1ccc(F)c(CNC[C@@]2(C)CCOC2)c1 ZINC000344383129 388506695 /nfs/dbraw/zinc/50/66/95/388506695.db2.gz OBMJAWAVKJZQNK-CQSZACIVSA-N 0 3 237.318 2.650 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1cncn1C ZINC000548075130 388508211 /nfs/dbraw/zinc/50/82/11/388508211.db2.gz MVOSHVWCPUIGAO-OCCSQVGLSA-N 0 3 235.375 2.821 20 0 BFADHN CO[C@@H](CNCc1ccc(F)cc1C)C(C)C ZINC000344734076 388508936 /nfs/dbraw/zinc/50/89/36/388508936.db2.gz WWRYBMGAGPNXLE-AWEZNQCLSA-N 0 3 239.334 2.895 20 0 BFADHN CC[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)C(C)C ZINC000345349565 388512286 /nfs/dbraw/zinc/51/22/86/388512286.db2.gz GYTGYJYBQWAEOG-GRYCIOLGSA-N 0 3 221.348 2.913 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@H]1CCn2ccnc21 ZINC000345355698 388513012 /nfs/dbraw/zinc/51/30/12/388513012.db2.gz TXPYNSWKOZBVGQ-SRVKXCTJSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)C1CCC1 ZINC000345357636 388513402 /nfs/dbraw/zinc/51/34/02/388513402.db2.gz PNRANTUFTCUQMI-JFGNBEQYSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@@H]1C[C@H](NCCC(C)(C)C)c2nccn21 ZINC000345365593 388513621 /nfs/dbraw/zinc/51/36/21/388513621.db2.gz YPVURLJAYBCFHS-MNOVXSKESA-N 0 3 221.348 2.915 20 0 BFADHN CC[C@H](NCc1cnc(C)o1)[C@@H]1C[C@H]1C ZINC000398016126 388514864 /nfs/dbraw/zinc/51/48/64/388514864.db2.gz QJMHZQQNWFYNBD-FXAINCCUSA-N 0 3 208.305 2.507 20 0 BFADHN CC(C)n1cc(CNC(C)(C)C2CCC2)nn1 ZINC000398055937 388516798 /nfs/dbraw/zinc/51/67/98/388516798.db2.gz YPFRZYHWGMIOAE-UHFFFAOYSA-N 0 3 236.363 2.527 20 0 BFADHN Cc1nc(CNCCCC(C)(F)F)[nH]c1C ZINC000517395368 388549720 /nfs/dbraw/zinc/54/97/20/388549720.db2.gz IBZZKDLKIACGKM-UHFFFAOYSA-N 0 3 231.290 2.552 20 0 BFADHN CO[C@H](CN[C@H]1CSc2ccccc21)C1CC1 ZINC000378843410 388519500 /nfs/dbraw/zinc/51/95/00/388519500.db2.gz ZFYDFVIELNDSLV-QWHCGFSZSA-N 0 3 249.379 2.848 20 0 BFADHN Cc1ncc([C@H](C)NCC[C@@H](C)F)s1 ZINC000378855482 388521700 /nfs/dbraw/zinc/52/17/00/388521700.db2.gz JVTPGEGLAKYVMS-SFYZADRCSA-N 0 3 216.325 2.850 20 0 BFADHN C[C@@H](F)CCNCc1nc2c(s1)CCCC2 ZINC000378848672 388521968 /nfs/dbraw/zinc/52/19/68/388521968.db2.gz CCLFVPQFCNMVJX-SECBINFHSA-N 0 3 242.363 2.860 20 0 BFADHN C/C(Cl)=C/CN1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000528420176 388522795 /nfs/dbraw/zinc/52/27/95/388522795.db2.gz IZGDIAPAUKSQME-FZXKYSEESA-N 0 3 243.778 2.878 20 0 BFADHN CC[C@]1(NCc2ccsc2C)CCOC1 ZINC000378851791 388523460 /nfs/dbraw/zinc/52/34/60/388523460.db2.gz GGJZFVSWNZGRSN-LBPRGKRZSA-N 0 3 225.357 2.715 20 0 BFADHN OCc1ccc(F)c(CN2CC[C@@H](C3CC3)C2)c1 ZINC000528428669 388523542 /nfs/dbraw/zinc/52/35/42/388523542.db2.gz DJYIUWXQUFCSSO-CYBMUJFWSA-N 0 3 249.329 2.550 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1CCc2cc(F)ccc21 ZINC000577821111 388524258 /nfs/dbraw/zinc/52/42/58/388524258.db2.gz AXFBIHUQLMBUED-HZSPNIEDSA-N 0 3 235.302 2.580 20 0 BFADHN C/C(Cl)=C\CN1CC[C@H](C(C)(C)C)[C@@H](O)C1 ZINC000528437458 388526033 /nfs/dbraw/zinc/52/60/33/388526033.db2.gz HJVFNTTXQWIFRQ-AMYKANGRSA-N 0 3 245.794 2.858 20 0 BFADHN CC1(C)CC(CN[C@H](CO)c2ccsc2)C1 ZINC000528451735 388528600 /nfs/dbraw/zinc/52/86/00/388528600.db2.gz MCIVZQQPGHRHTO-GFCCVEGCSA-N 0 3 239.384 2.807 20 0 BFADHN CC1(C)CC(CN[C@H](CCO)c2ccco2)C1 ZINC000528453988 388528972 /nfs/dbraw/zinc/52/89/72/388528972.db2.gz RMBAVTMWEQMEIV-GFCCVEGCSA-N 0 3 237.343 2.729 20 0 BFADHN CC1(C)CC(CN2CCC[C@@H]2c2cc[nH]n2)C1 ZINC000528481571 388531932 /nfs/dbraw/zinc/53/19/32/388531932.db2.gz YSPPZEYTKYVKQD-CYBMUJFWSA-N 0 3 233.359 2.983 20 0 BFADHN CC(C)SCCN1CCOC[C@H]1C1CCC1 ZINC000517028737 388533892 /nfs/dbraw/zinc/53/38/92/388533892.db2.gz MLXCCRRFIZATJS-ZDUSSCGKSA-N 0 3 243.416 2.629 20 0 BFADHN CCC[C@H]1CCCCN1C(=O)CN(CC)CC ZINC000185400062 388586434 /nfs/dbraw/zinc/58/64/34/388586434.db2.gz SVVIAQRSXSGQMH-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1coc2ccccc12 ZINC000185817724 388591928 /nfs/dbraw/zinc/59/19/28/388591928.db2.gz YSNLHGGDKNVCSH-IBUXWKBASA-N 0 3 245.322 2.850 20 0 BFADHN Cc1cc(F)ccc1CN1C[C@H](C)[C@@H]1C ZINC000549924129 388595225 /nfs/dbraw/zinc/59/52/25/388595225.db2.gz SVVWXOPFLVGVCH-QWRGUYRKSA-N 0 3 207.292 2.974 20 0 BFADHN Cc1ccc(O)cc1NC(=O)C(C)C(F)(F)F ZINC000529923216 388597901 /nfs/dbraw/zinc/59/79/01/388597901.db2.gz JSZCQWAGFYRDLF-SSDOTTSWSA-N 0 3 247.216 2.838 20 0 BFADHN CC1(C)CCC[C@@](CO)(NCc2ccco2)C1 ZINC000623669106 388598781 /nfs/dbraw/zinc/59/87/81/388598781.db2.gz CWWOAPJYNFFURY-CQSZACIVSA-N 0 3 237.343 2.701 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@@H](C)O[C@@H](C)C2)o1 ZINC000245747491 388602105 /nfs/dbraw/zinc/60/21/05/388602105.db2.gz YGYVDFUQYVGYRB-ZSBIGDGJSA-N 0 3 237.343 2.888 20 0 BFADHN CC[C@H](C)CN(CC)[C@H](C)C(=O)NC(C)(C)C ZINC000245757129 388603310 /nfs/dbraw/zinc/60/33/10/388603310.db2.gz HTBVNNYXQSNTDP-NWDGAFQWSA-N 0 3 242.407 2.658 20 0 BFADHN C[C@H](N[C@H]1[C@H](C)CCC[C@@H]1C)c1nccn1C ZINC000245979333 388612779 /nfs/dbraw/zinc/61/27/79/388612779.db2.gz ASSKRSPJGWTFFC-QNWHQSFQSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H](N[C@H]1[C@H](C)CCC[C@@H]1C)c1nccn1C ZINC000245979335 388612798 /nfs/dbraw/zinc/61/27/98/388612798.db2.gz ASSKRSPJGWTFFC-XQHKEYJVSA-N 0 3 235.375 2.895 20 0 BFADHN C1=C[C@H](N2CCN(CC3CC3)CC2)CCCCC1 ZINC000550714045 388613595 /nfs/dbraw/zinc/61/35/95/388613595.db2.gz OGSORDQOAKIHIR-INIZCTEOSA-N 0 3 248.414 2.903 20 0 BFADHN C[C@H](O)CCN1CCc2cccc(F)c2[C@H]1C ZINC000517503753 388552617 /nfs/dbraw/zinc/55/26/17/388552617.db2.gz VKTNJLPILUFTNN-WDEREUQCSA-N 0 3 237.318 2.516 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1cccs1)OC ZINC000362976704 388561929 /nfs/dbraw/zinc/56/19/29/388561929.db2.gz LJBQVNUOUCMDTF-ZYHUDNBSSA-N 0 3 227.373 2.899 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2F)CCS1 ZINC000137587452 388563088 /nfs/dbraw/zinc/56/30/88/388563088.db2.gz RDGKJMMQPZXFEB-LLVKDONJSA-N 0 3 239.359 2.806 20 0 BFADHN Cc1cc([C@H](C)NCCc2cncn2C)oc1C ZINC000548793779 388563837 /nfs/dbraw/zinc/56/38/37/388563837.db2.gz UEUQNHIZYVKUBA-NSHDSACASA-N 0 3 247.342 2.523 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1N[C@H]1CCSC1 ZINC000368144441 388567794 /nfs/dbraw/zinc/56/77/94/388567794.db2.gz ZDFWZIPVLOSOFI-KWBADKCTSA-N 0 3 235.352 2.604 20 0 BFADHN CC(C)OCCN1CCC(F)(F)C[C@H]1C ZINC000528763226 388569543 /nfs/dbraw/zinc/56/95/43/388569543.db2.gz ARSCGZLXUICJCI-SNVBAGLBSA-N 0 3 221.291 2.531 20 0 BFADHN CCC(=O)CCCN1CCC(F)(F)C[C@@H]1C ZINC000528773772 388571932 /nfs/dbraw/zinc/57/19/32/388571932.db2.gz REQRVRLEYZIJNR-JTQLQIEISA-N 0 3 233.302 2.865 20 0 BFADHN CC/C=C\CCN1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000528789809 388573209 /nfs/dbraw/zinc/57/32/09/388573209.db2.gz SSEDJXBCYKPECV-SCOBNMCVSA-N 0 3 247.342 2.675 20 0 BFADHN CCOC[C@H](C)NCc1cccc(C)c1F ZINC000385061714 388573879 /nfs/dbraw/zinc/57/38/79/388573879.db2.gz CYFKQJLPBKEGGO-NSHDSACASA-N 0 3 225.307 2.649 20 0 BFADHN CC[C@@H](NCc1ccc(F)c(Cl)c1)[C@H](C)O ZINC000386745753 388576188 /nfs/dbraw/zinc/57/61/88/388576188.db2.gz FHTYAYNUFNCMSJ-QPUJVOFHSA-N 0 3 245.725 2.728 20 0 BFADHN CC/C=C/CCN1CCN(C2CCCC2)CC1 ZINC000184437731 388578615 /nfs/dbraw/zinc/57/86/15/388578615.db2.gz AWBJZYDTYUGUCZ-ONEGZZNKSA-N 0 3 236.403 2.903 20 0 BFADHN CC(C)C1CC(N[C@@H]2c3ccccc3C[C@H]2O)C1 ZINC000530465456 322814373 /nfs/dbraw/zinc/81/43/73/322814373.db2.gz JMIYBDNESORDON-BCAXMMLOSA-N 0 3 245.366 2.669 20 0 BFADHN CCN(Cc1ccc(F)cc1)C[C@@H]1CCCO1 ZINC000063750268 388666046 /nfs/dbraw/zinc/66/60/46/388666046.db2.gz YMWHWYGYCDVYOW-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN CC(C)[C@@H]1CN(C[C@H]2CCCCO2)CCS1 ZINC000247562671 388666154 /nfs/dbraw/zinc/66/61/54/388666154.db2.gz QZGYJAHIGGSMGV-OLZOCXBDSA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@H]1CC[C@H](NCc2nccn2CC)CC1 ZINC000552071429 388666699 /nfs/dbraw/zinc/66/66/99/388666699.db2.gz DUFKJECTMIDNOS-JOCQHMNTSA-N 0 3 235.375 2.961 20 0 BFADHN CCC(CC)N(CC)Cc1ccnn1CC ZINC000521942309 388669102 /nfs/dbraw/zinc/66/91/02/388669102.db2.gz GZMUCWPNKPLTHI-UHFFFAOYSA-N 0 3 223.364 2.914 20 0 BFADHN CCc1ccc(CNCCOCC2CC2)s1 ZINC000224746270 388670099 /nfs/dbraw/zinc/67/00/99/388670099.db2.gz CZTXBUVXUDUMKJ-UHFFFAOYSA-N 0 3 239.384 2.827 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CN2CCO[C@@H](C)C2)C1 ZINC000552119871 388670749 /nfs/dbraw/zinc/67/07/49/388670749.db2.gz GVWKDLLEATYQIS-XBFCOCLRSA-N 0 3 223.360 2.700 20 0 BFADHN Cc1cccc(C)c1CN1CCO[C@H](C)[C@H]1C ZINC000247976735 388671394 /nfs/dbraw/zinc/67/13/94/388671394.db2.gz HXFFGMCTSDHSEF-ZIAGYGMSSA-N 0 3 233.355 2.913 20 0 BFADHN CC[C@H](C)N1CCO[C@@H](Cc2ccccc2)C1 ZINC000248374451 388677128 /nfs/dbraw/zinc/67/71/28/388677128.db2.gz QMZBQFKCOWHZGP-ZFWWWQNUSA-N 0 3 233.355 2.728 20 0 BFADHN C[C@H](CF)NC[C@H](C)c1ccc(F)cc1 ZINC000288434247 388625976 /nfs/dbraw/zinc/62/59/76/388625976.db2.gz LECWJNSNVJPHEG-VHSXEESVSA-N 0 3 213.271 2.877 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@H]1F)c1cccc(CO)c1 ZINC000551302187 388626001 /nfs/dbraw/zinc/62/60/01/388626001.db2.gz UEGRMDXAMZOMER-HONMWMINSA-N 0 3 237.318 2.720 20 0 BFADHN Clc1csc(CNCC2=CCCOC2)c1 ZINC000288443751 388626783 /nfs/dbraw/zinc/62/67/83/388626783.db2.gz MGJMZYNCEBKEEX-UHFFFAOYSA-N 0 3 243.759 2.838 20 0 BFADHN Cc1ccc(F)c(CNCC2=CCCOC2)c1 ZINC000288443553 388626847 /nfs/dbraw/zinc/62/68/47/388626847.db2.gz MDJTVDJDBPYAQM-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN C[C@H](Cc1ccc(F)cc1)N[C@@H](C)CF ZINC000288445742 388627122 /nfs/dbraw/zinc/62/71/22/388627122.db2.gz MKEOERGQHOPGJQ-ZJUUUORDSA-N 0 3 213.271 2.704 20 0 BFADHN CC[C@]1(C)CCCN(CCOCC(F)F)C1 ZINC000189475954 388635014 /nfs/dbraw/zinc/63/50/14/388635014.db2.gz JCPUYLXQXULOAE-GFCCVEGCSA-N 0 3 235.318 2.780 20 0 BFADHN CCc1cccc(CNCCOC(F)(F)F)c1 ZINC000521883656 388638875 /nfs/dbraw/zinc/63/88/75/388638875.db2.gz GKJLDCJHQTWWLA-UHFFFAOYSA-N 0 3 247.260 2.875 20 0 BFADHN COC[C@H](NCc1ccc(Cl)o1)C(C)C ZINC000083397959 388639245 /nfs/dbraw/zinc/63/92/45/388639245.db2.gz ZUAONVCRJSYOCS-JTQLQIEISA-N 0 3 231.723 2.694 20 0 BFADHN Cc1ccccc1-n1nccc1CNCCCF ZINC000530318917 388648158 /nfs/dbraw/zinc/64/81/58/388648158.db2.gz DKZIAUOFQCNQAM-UHFFFAOYSA-N 0 3 247.317 2.630 20 0 BFADHN CC(C)N(Cc1cncs1)CC1CC1 ZINC000551956393 388649054 /nfs/dbraw/zinc/64/90/54/388649054.db2.gz VUKZBAVDTQNHSY-UHFFFAOYSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2CCCC[C@H]2O)o1 ZINC000252219432 388651150 /nfs/dbraw/zinc/65/11/50/388651150.db2.gz ZZTOBKKQTSOJCO-VZZFWQQMSA-N 0 3 249.354 2.796 20 0 BFADHN CC(C)n1cc(CN2CC[C@@H](C)[C@@H]2C)cn1 ZINC000246851162 388653426 /nfs/dbraw/zinc/65/34/26/388653426.db2.gz SDJHUFUCEIQPQX-NEPJUHHUSA-N 0 3 221.348 2.694 20 0 BFADHN Cc1cnccc1CCN[C@H](C)c1ccoc1 ZINC000537944189 388654580 /nfs/dbraw/zinc/65/45/80/388654580.db2.gz RHTCJCDFJXXEFE-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN CC[C@H](N[C@@H](CO)c1ccccc1OC)C1CC1 ZINC000537981688 388658266 /nfs/dbraw/zinc/65/82/66/388658266.db2.gz VPEVABYIELXGLC-KBPBESRZSA-N 0 3 249.354 2.507 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H]2CCSC2)o1 ZINC000224331938 388662603 /nfs/dbraw/zinc/66/26/03/388662603.db2.gz ZYNSMXYNFSEUBL-GHMZBOCLSA-N 0 3 225.357 2.992 20 0 BFADHN CS[C@H](C)CNCc1cccc(F)c1 ZINC000128104775 388739052 /nfs/dbraw/zinc/73/90/52/388739052.db2.gz ANPYAKMYZCZXKX-SECBINFHSA-N 0 3 213.321 2.667 20 0 BFADHN COc1ccc(C)cc1CNC[C@@H](C)SC ZINC000128160240 388739816 /nfs/dbraw/zinc/73/98/16/388739816.db2.gz IDKCAILMSJXZJL-LLVKDONJSA-N 0 3 239.384 2.845 20 0 BFADHN CCN(Cc1ccccc1OC)C(C)C ZINC000194999396 388748920 /nfs/dbraw/zinc/74/89/20/388748920.db2.gz IAMVPYUPSGZEBG-UHFFFAOYSA-N 0 3 207.317 2.926 20 0 BFADHN CCC1CCN(Cc2cnoc2C)CC1 ZINC000128325574 388748998 /nfs/dbraw/zinc/74/89/98/388748998.db2.gz CBLIUEOCSPRLAX-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN C[C@H](C[S@@](C)=O)N(C)C[C@H]1CCC[C@@H](C)C1 ZINC000248619345 388687434 /nfs/dbraw/zinc/68/74/34/388687434.db2.gz LZTJUXKZPKDNDE-NFFDBFGFSA-N 0 3 245.432 2.512 20 0 BFADHN COc1ncccc1CN1CC[C@H](C)[C@H](C)C1 ZINC000248656494 388688923 /nfs/dbraw/zinc/68/89/23/388688923.db2.gz DFHSERUEULQFIK-NWDGAFQWSA-N 0 3 234.343 2.568 20 0 BFADHN CCN(C)Cc1ccc(OC)cc1OC(F)F ZINC000126263223 388691985 /nfs/dbraw/zinc/69/19/85/388691985.db2.gz UHXNASZBGRVVKL-UHFFFAOYSA-N 0 3 245.269 2.748 20 0 BFADHN CC[C@@H](C)CN1CCO[C@@H](Cc2ccccc2)C1 ZINC000538476729 388692100 /nfs/dbraw/zinc/69/21/00/388692100.db2.gz KYUXPTVUGZIYLJ-ZBFHGGJFSA-N 0 3 247.382 2.976 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@H]1CCCc2occc21 ZINC000248745733 388692210 /nfs/dbraw/zinc/69/22/10/388692210.db2.gz AYMHZOVQMFCQNX-DRZSPHRISA-N 0 3 237.343 2.654 20 0 BFADHN Cc1ccc(CN2CCSCC2)cc1C ZINC000126215282 388692736 /nfs/dbraw/zinc/69/27/36/388692736.db2.gz CINZLVZDQWCTII-UHFFFAOYSA-N 0 3 221.369 2.852 20 0 BFADHN Cc1csc(CN[C@H](C(C)C)C2CC2)n1 ZINC000126286619 388693433 /nfs/dbraw/zinc/69/34/33/388693433.db2.gz VKRZOOIDZZTDCA-GFCCVEGCSA-N 0 3 224.373 2.976 20 0 BFADHN C[C@@H]1CCN(Cc2cocn2)C[C@@H](C)C1 ZINC000248846485 388695124 /nfs/dbraw/zinc/69/51/24/388695124.db2.gz ATSRFXHMZIDFSH-MNOVXSKESA-N 0 3 208.305 2.543 20 0 BFADHN COc1ccc(CN[C@H](C)CC(F)(F)F)cn1 ZINC000126480259 388696384 /nfs/dbraw/zinc/69/63/84/388696384.db2.gz FMAOWYUIQDUINT-MRVPVSSYSA-N 0 3 248.248 2.521 20 0 BFADHN CC[C@H](C(=O)OC(C)(C)C)N1CC[C@H](CC)C1 ZINC000248904565 388698288 /nfs/dbraw/zinc/69/82/88/388698288.db2.gz WETLZIZBNUSNFF-NWDGAFQWSA-N 0 3 241.375 2.839 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@](C)(N)CCC ZINC000226076032 388699906 /nfs/dbraw/zinc/69/99/06/388699906.db2.gz CMEVWUIHDCONFK-GXTWGEPZSA-N 0 3 242.407 2.979 20 0 BFADHN COCC1(N[C@@H](C)c2ccc(OC)cc2)CC1 ZINC000538551360 388701755 /nfs/dbraw/zinc/70/17/55/388701755.db2.gz NJMXACQUMWVBKW-NSHDSACASA-N 0 3 235.327 2.525 20 0 BFADHN COCC1(N[C@@H](C)c2ccc3c(c2)COC3)CC1 ZINC000538549391 388702083 /nfs/dbraw/zinc/70/20/83/388702083.db2.gz BPSOUVFLKZAKPT-NSHDSACASA-N 0 3 247.338 2.546 20 0 BFADHN C[C@@H]1CCN(Cc2cc3ccccc3o2)[C@H]1CO ZINC000249002259 388703353 /nfs/dbraw/zinc/70/33/53/388703353.db2.gz YXZYGHQGDRIHGT-RISCZKNCSA-N 0 3 245.322 2.636 20 0 BFADHN COc1ncccc1CN(C(C)C)C(C)C ZINC000193467424 388705223 /nfs/dbraw/zinc/70/52/23/388705223.db2.gz QRLOAMKYDBHFGL-UHFFFAOYSA-N 0 3 222.332 2.709 20 0 BFADHN COc1ccc2oc(CNCCCF)cc2c1 ZINC000126861682 388706714 /nfs/dbraw/zinc/70/67/14/388706714.db2.gz CCUFVHQYEHARRZ-UHFFFAOYSA-N 0 3 237.274 2.891 20 0 BFADHN C[C@H](NCCOC(F)(F)F)c1cccc(O)c1 ZINC000193487521 388706940 /nfs/dbraw/zinc/70/69/40/388706940.db2.gz IMYBMJOEQHWSPO-QMMMGPOBSA-N 0 3 249.232 2.579 20 0 BFADHN CC(C)N1CCN(CC2CCC(C)CC2)CC1 ZINC000127042526 388711779 /nfs/dbraw/zinc/71/17/79/388711779.db2.gz DDBWGCAIMNFCFY-UHFFFAOYSA-N 0 3 238.419 2.839 20 0 BFADHN Cc1cccc2c1CC[C@@H]2NCC1=CCCOC1 ZINC000127100791 388714302 /nfs/dbraw/zinc/71/43/02/388714302.db2.gz QBCNJFDFOUTZDL-INIZCTEOSA-N 0 3 243.350 2.919 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cccc3c2OCO3)C1 ZINC000538738585 388716534 /nfs/dbraw/zinc/71/65/34/388716534.db2.gz GDASYWWHXYGWMK-MNOVXSKESA-N 0 3 233.311 2.646 20 0 BFADHN Clc1csc(CNCCOCC2CC2)c1 ZINC000226380066 388716938 /nfs/dbraw/zinc/71/69/38/388716938.db2.gz NVDVJUUCLYDKCM-UHFFFAOYSA-N 0 3 245.775 2.918 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1CCSC1 ZINC000226512555 388721749 /nfs/dbraw/zinc/72/17/49/388721749.db2.gz HKLCVIXFMBTLOY-GFCCVEGCSA-N 0 3 225.332 2.729 20 0 BFADHN CCN(CC(=O)N[C@H](C)c1ccccc1)C(C)C ZINC000194095385 388722381 /nfs/dbraw/zinc/72/23/81/388722381.db2.gz PAYKBHGBHRBMJL-CYBMUJFWSA-N 0 3 248.370 2.594 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@H]2CC[C@H]2C2CC2)[n-]1 ZINC000554384536 388813499 /nfs/dbraw/zinc/81/34/99/388813499.db2.gz HENLTWYNSRFKDM-DLOVCJGASA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@H]2CC[C@H]2C2CC2)[nH]1 ZINC000554384536 388813503 /nfs/dbraw/zinc/81/35/03/388813503.db2.gz HENLTWYNSRFKDM-DLOVCJGASA-N 0 3 248.374 2.767 20 0 BFADHN Cc1ccoc1CNC1C[C@H](C)O[C@@H](C)C1 ZINC000128809122 388795768 /nfs/dbraw/zinc/79/57/68/388795768.db2.gz ZSKFXADKMXCMHO-QWRGUYRKSA-N 0 3 223.316 2.634 20 0 BFADHN Cc1ccoc1CNC1C[C@@H](C)O[C@H](C)C1 ZINC000128808887 388795856 /nfs/dbraw/zinc/79/58/56/388795856.db2.gz ZSKFXADKMXCMHO-GHMZBOCLSA-N 0 3 223.316 2.634 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](CO)c1ccccc1OC ZINC000554113265 388800941 /nfs/dbraw/zinc/80/09/41/388800941.db2.gz SHUVGHXSRLQRBM-MRVWCRGKSA-N 0 3 249.354 2.507 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H]1O)c1ccc(F)c(F)c1 ZINC000193205025 388804754 /nfs/dbraw/zinc/80/47/54/388804754.db2.gz JNNPWLWXKSPSMO-JCOFBHIZSA-N 0 3 241.281 2.529 20 0 BFADHN O[C@H]1CCC[C@@H]1N[C@H]1CCSc2ccccc21 ZINC000193205686 388805024 /nfs/dbraw/zinc/80/50/24/388805024.db2.gz RUQMMJDSAYKJBS-AVGNSLFASA-N 0 3 249.379 2.727 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CC[C@@H](O)[C@H](C)C2)o1 ZINC000253232434 388810860 /nfs/dbraw/zinc/81/08/60/388810860.db2.gz FEYTWYNAKMSVRB-HBJVGIJOSA-N 0 3 249.354 2.606 20 0 BFADHN CCOC[C@@H](C)NCc1cscc1C ZINC000230063667 388811490 /nfs/dbraw/zinc/81/14/90/388811490.db2.gz HXMLABZUWKNMMA-SNVBAGLBSA-N 0 3 213.346 2.571 20 0 BFADHN CSC1(CNCc2nccs2)CCC1 ZINC000227947848 388763134 /nfs/dbraw/zinc/76/31/34/388763134.db2.gz DULLADDSFMYBJS-UHFFFAOYSA-N 0 3 228.386 2.518 20 0 BFADHN C[C@@H](NCCCF)c1nc2ccccc2n1C ZINC000091399129 388763340 /nfs/dbraw/zinc/76/33/40/388763340.db2.gz LQEGTIMRTDRZPD-SNVBAGLBSA-N 0 3 235.306 2.584 20 0 BFADHN COCC1(N[C@H](C)c2ccc(F)c(F)c2)CC1 ZINC000539650852 388768404 /nfs/dbraw/zinc/76/84/04/388768404.db2.gz VLCHBGUVWVTXQE-SECBINFHSA-N 0 3 241.281 2.794 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(O)cc2)C[C@H]1C ZINC000648741494 388772456 /nfs/dbraw/zinc/77/24/56/388772456.db2.gz ZJSUKZQTECKRJN-DOMZBBRYSA-N 0 3 249.354 2.639 20 0 BFADHN C[C@@H](N[C@H]1CC[C@H](O)CC1)c1ccccc1F ZINC000252728154 388776638 /nfs/dbraw/zinc/77/66/38/388776638.db2.gz ACYHMEDVSCAQDH-IJLUTSLNSA-N 0 3 237.318 2.780 20 0 BFADHN c1nc2cc(CN3C[C@@H]4CCC[C@H]43)ccc2[nH]1 ZINC000539828425 388780923 /nfs/dbraw/zinc/78/09/23/388780923.db2.gz HDHLGIPEYXQFRB-SMDDNHRTSA-N 0 3 227.311 2.547 20 0 BFADHN c1nc2ccc(CN3C[C@@H]4CCC[C@H]43)cc2[nH]1 ZINC000539828425 388780926 /nfs/dbraw/zinc/78/09/26/388780926.db2.gz HDHLGIPEYXQFRB-SMDDNHRTSA-N 0 3 227.311 2.547 20 0 BFADHN c1nc2cc(CN3C[C@@H]4CCC[C@@H]43)ccc2[nH]1 ZINC000539828422 388781423 /nfs/dbraw/zinc/78/14/23/388781423.db2.gz HDHLGIPEYXQFRB-FZMZJTMJSA-N 0 3 227.311 2.547 20 0 BFADHN c1nc2ccc(CN3C[C@@H]4CCC[C@@H]43)cc2[nH]1 ZINC000539828422 388781427 /nfs/dbraw/zinc/78/14/27/388781427.db2.gz HDHLGIPEYXQFRB-FZMZJTMJSA-N 0 3 227.311 2.547 20 0 BFADHN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1ccn(C)n1 ZINC000539838940 388783347 /nfs/dbraw/zinc/78/33/47/388783347.db2.gz RRSPNHGPRQEEBF-DYEKYZERSA-N 0 3 233.359 2.573 20 0 BFADHN Cc1oncc1CN1CCCC[C@@H]1C(C)C ZINC000128667281 388784028 /nfs/dbraw/zinc/78/40/28/388784028.db2.gz LIPCINOHLYLHFG-CYBMUJFWSA-N 0 3 222.332 2.994 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cscc1C ZINC000229382806 388787923 /nfs/dbraw/zinc/78/79/23/388787923.db2.gz LSXIYROJFMZJBE-ZJUUUORDSA-N 0 3 213.346 2.570 20 0 BFADHN CC(C)[C@@H](C)C(=O)Nc1ccccc1CN(C)C ZINC000554506422 388817022 /nfs/dbraw/zinc/81/70/22/388817022.db2.gz DDAGNLUKKZPLPB-GFCCVEGCSA-N 0 3 248.370 2.979 20 0 BFADHN C[C@H]1OCC[C@]1(C)NCc1ccc(Cl)o1 ZINC000230151032 388818164 /nfs/dbraw/zinc/81/81/64/388818164.db2.gz HWJVPKRNHZTWRJ-KCJUWKMLSA-N 0 3 229.707 2.590 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H]2CCC[C@@H]2CO)o1 ZINC000230682239 388829048 /nfs/dbraw/zinc/82/90/48/388829048.db2.gz YSEQOPWPZAAZEE-HBJVGIJOSA-N 0 3 249.354 2.654 20 0 BFADHN COCc1ccc(CN[C@H]2C=CCCC2)o1 ZINC000129354366 388833404 /nfs/dbraw/zinc/83/34/04/388833404.db2.gz PDXXDCCJESBCMS-NSHDSACASA-N 0 3 221.300 2.624 20 0 BFADHN C[C@H](Cc1ccccc1)CN1CCOCC1(C)C ZINC000554837945 388833405 /nfs/dbraw/zinc/83/34/05/388833405.db2.gz VHFZBRBPRWATJO-CQSZACIVSA-N 0 3 247.382 2.976 20 0 BFADHN C[C@H]1c2c(F)cccc2CCN1CCCCO ZINC000523448772 388839985 /nfs/dbraw/zinc/83/99/85/388839985.db2.gz JFWQMBVMSDPVAI-NSHDSACASA-N 0 3 237.318 2.517 20 0 BFADHN c1csc(CNC23CCC(CC2)CC3)n1 ZINC000648759977 388843043 /nfs/dbraw/zinc/84/30/43/388843043.db2.gz UJZVTFAUYRJRKD-UHFFFAOYSA-N 0 3 222.357 2.956 20 0 BFADHN CCC[C@H]1CCCC[C@H]1[NH2+]Cc1nnc(C)[n-]1 ZINC000555644991 388857093 /nfs/dbraw/zinc/85/70/93/388857093.db2.gz JHCBCFDQVWHSQW-NWDGAFQWSA-N 0 3 236.363 2.562 20 0 BFADHN CCCCN(CC(=O)OCC)C1CCCCC1 ZINC000555773509 388861658 /nfs/dbraw/zinc/86/16/58/388861658.db2.gz RIHLOXOHVJYVFY-UHFFFAOYSA-N 0 3 241.375 2.984 20 0 BFADHN CC(C)C1CCC(CNCc2ncc[nH]2)CC1 ZINC000129472261 388846388 /nfs/dbraw/zinc/84/63/88/388846388.db2.gz DJFIFDLKRXDNTB-UHFFFAOYSA-N 0 3 235.375 2.962 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC000093661116 388846789 /nfs/dbraw/zinc/84/67/89/388846789.db2.gz CTMULAZQHXWRSQ-TXEJJXNPSA-N 0 3 247.386 2.795 20 0 BFADHN CC(C)c1ccccc1NC(=O)[C@H](C)NC1CC1 ZINC000262003826 388892721 /nfs/dbraw/zinc/89/27/21/388892721.db2.gz QRLINYCSSPAAMW-NSHDSACASA-N 0 3 246.354 2.889 20 0 BFADHN Cc1c2ccccc2oc1CN[C@H](C)[C@@H](C)O ZINC000564857710 388895293 /nfs/dbraw/zinc/89/52/93/388895293.db2.gz XEOLCHOBUSYBOU-GHMZBOCLSA-N 0 3 233.311 2.600 20 0 BFADHN c1ccc(C2=CCCN(CC3CCC3)C2)nc1 ZINC000565171502 388921630 /nfs/dbraw/zinc/92/16/30/388921630.db2.gz MHGQRKQUQMFARC-UHFFFAOYSA-N 0 3 228.339 2.971 20 0 BFADHN CCCN(Cc1ccc(C(=O)OC)o1)C(C)C ZINC000565784934 388970093 /nfs/dbraw/zinc/97/00/93/388970093.db2.gz XOCSPPIYXRERIZ-UHFFFAOYSA-N 0 3 239.315 2.687 20 0 BFADHN Cc1cccc(OCCN2[C@H](C)C[C@@H]2C)c1 ZINC000565338402 388938322 /nfs/dbraw/zinc/93/83/22/388938322.db2.gz RRDTYWFSPDFIRY-BETUJISGSA-N 0 3 219.328 2.857 20 0 BFADHN CC(C)(C)CC(C)(C)NCc1ccno1 ZINC000264737764 388992677 /nfs/dbraw/zinc/99/26/77/388992677.db2.gz SPGWQILJSYRXQW-UHFFFAOYSA-N 0 3 210.321 2.979 20 0 BFADHN Cc1ccoc1CNC[C@@](C)(O)c1ccccc1 ZINC000266211361 388994811 /nfs/dbraw/zinc/99/48/11/388994811.db2.gz OGUGPOGHNHDHCX-OAHLLOKOSA-N 0 3 245.322 2.585 20 0 BFADHN CO[C@H](CNC/C=C/c1ccccc1)C(C)C ZINC000266624784 388996967 /nfs/dbraw/zinc/99/69/67/388996967.db2.gz NAUKYZLLMVFIDP-JOZWUWCOSA-N 0 3 233.355 2.960 20 0 BFADHN CO[C@@H](CNCc1ccccc1F)C(C)C ZINC000266621079 388997279 /nfs/dbraw/zinc/99/72/79/388997279.db2.gz LEMDIVCCZYFDNP-ZDUSSCGKSA-N 0 3 225.307 2.586 20 0 BFADHN CC[C@@H](C)N(CCO)Cc1ccc(F)cc1F ZINC000267132697 388997580 /nfs/dbraw/zinc/99/75/80/388997580.db2.gz FCJVMHBLDFRFCH-SNVBAGLBSA-N 0 3 243.297 2.558 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CCCCO1)c1ccccn1 ZINC000271928552 389028018 /nfs/dbraw/zinc/02/80/18/389028018.db2.gz GKXVNFHCDWDDAE-SGMGOOAPSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccco1)[C@H]1CCCCO1 ZINC000271932453 389028223 /nfs/dbraw/zinc/02/82/23/389028223.db2.gz KOEXKOMGEPOBDU-GRYCIOLGSA-N 0 3 223.316 2.888 20 0 BFADHN CO[C@H](C)CCN[C@H](C)c1ccccc1F ZINC000268833561 389004306 /nfs/dbraw/zinc/00/43/06/389004306.db2.gz KWJMVPXXICASGU-GHMZBOCLSA-N 0 3 225.307 2.901 20 0 BFADHN CO[C@@H](C)CCN[C@@H](C)c1cccnc1Cl ZINC000268822394 389005044 /nfs/dbraw/zinc/00/50/44/389005044.db2.gz HMERSYLEAGONPM-UWVGGRQHSA-N 0 3 242.750 2.811 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccc(C)o1)C(C)C ZINC000268886869 389005516 /nfs/dbraw/zinc/00/55/16/389005516.db2.gz CHVFSRIQXNNWPN-DGCLKSJQSA-N 0 3 225.332 2.910 20 0 BFADHN C[C@H](NCCCF)c1c(F)cccc1F ZINC000268929240 389006007 /nfs/dbraw/zinc/00/60/07/389006007.db2.gz BLYYPTRQCWKNIP-QMMMGPOBSA-N 0 3 217.234 2.975 20 0 BFADHN CO[C@H](C)c1nc(CN[C@H](C)C(C)C)cs1 ZINC000269037438 389006902 /nfs/dbraw/zinc/00/69/02/389006902.db2.gz FTPFJAJRQFANAH-NXEZZACHSA-N 0 3 242.388 2.985 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ccc(F)cn1 ZINC000268977639 389007245 /nfs/dbraw/zinc/00/72/45/389007245.db2.gz BORWQVVQNNBKIV-NXEZZACHSA-N 0 3 210.296 2.745 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCC1=CCCOC1)CC2 ZINC000269263560 389008018 /nfs/dbraw/zinc/00/80/18/389008018.db2.gz CPCUEUQPKIYSIN-INIZCTEOSA-N 0 3 243.350 2.919 20 0 BFADHN COc1ccc([C@@H](C)NCC2=CCCOC2)cc1 ZINC000269298079 389008047 /nfs/dbraw/zinc/00/80/47/389008047.db2.gz QJLNFTOLVIVMCW-GFCCVEGCSA-N 0 3 247.338 2.693 20 0 BFADHN C[C@@H](NCC1=CCCOC1)c1ccccc1F ZINC000269315445 389008061 /nfs/dbraw/zinc/00/80/61/389008061.db2.gz XCFODVQZJUQWSO-LLVKDONJSA-N 0 3 235.302 2.823 20 0 BFADHN CC[C@H]1CN(Cc2ccc(Cl)cc2)C[C@@H]1O ZINC000270949246 389015478 /nfs/dbraw/zinc/01/54/78/389015478.db2.gz SOPXRZKWYKKFGM-AAEUAGOBSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@@H](NCCC1CCOCC1)c1ccccn1 ZINC000270883668 389015521 /nfs/dbraw/zinc/01/55/21/389015521.db2.gz FVSPPSYQSTXHHH-GFCCVEGCSA-N 0 3 234.343 2.549 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccc(F)cn1)C(C)C ZINC000271582895 389020900 /nfs/dbraw/zinc/02/09/00/389020900.db2.gz REPLVKKCSQFBRQ-ZWNOBZJWSA-N 0 3 240.322 2.542 20 0 BFADHN CN1CC=C(CNc2nc3ccccc3o2)CC1 ZINC000271787046 389025913 /nfs/dbraw/zinc/02/59/13/389025913.db2.gz IMCMBQWQHWHVRG-UHFFFAOYSA-N 0 3 243.310 2.502 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1ccc(Cl)cn1 ZINC000274909454 389048713 /nfs/dbraw/zinc/04/87/13/389048713.db2.gz MVUBJRRLFURCIN-MWLCHTKSSA-N 0 3 242.750 2.811 20 0 BFADHN CO[C@H](C)CCN[C@H](C)c1ccc(Cl)cn1 ZINC000274921994 389049168 /nfs/dbraw/zinc/04/91/68/389049168.db2.gz PGBZEIVQNYFVCD-NXEZZACHSA-N 0 3 242.750 2.811 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1ccc(Cl)cn1 ZINC000274884522 389049475 /nfs/dbraw/zinc/04/94/75/389049475.db2.gz IGCNQYJNBGXJEX-ONGXEEELSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@@H](C)N[C@@H](CCOC)c1ccco1 ZINC000272534558 389033735 /nfs/dbraw/zinc/03/37/35/389033735.db2.gz JQWFYPZDAWWWLO-MNOVXSKESA-N 0 3 211.305 2.745 20 0 BFADHN CN1CC=C(CNc2nccc3occc32)CC1 ZINC000273993654 389045220 /nfs/dbraw/zinc/04/52/20/389045220.db2.gz RXPPROOIRRLBMF-UHFFFAOYSA-N 0 3 243.310 2.502 20 0 BFADHN CCOCCCN1CC[C@@H](C(F)(F)F)[C@H]1C ZINC000348244641 136056130 /nfs/dbraw/zinc/05/61/30/136056130.db2.gz IIXUVWFVUPTQKK-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN COc1ncccc1CN1CC[C@H](C(C)C)C1 ZINC000488196359 533258089 /nfs/dbraw/zinc/25/80/89/533258089.db2.gz DYFJXCZECAKDDX-LBPRGKRZSA-N 0 3 234.343 2.568 20 0 BFADHN C[C@@H](NCCC[C@H]1CCOC1)c1ccco1 ZINC000400561901 533388955 /nfs/dbraw/zinc/38/89/55/533388955.db2.gz MBHBJEPLPIJIQR-NEPJUHHUSA-N 0 3 223.316 2.747 20 0 BFADHN C[C@@H](NC1(C(=O)Nc2ccccc2)CC1)C1CC1 ZINC000494852142 533328419 /nfs/dbraw/zinc/32/84/19/533328419.db2.gz YNSRCGLKWDZAIO-LLVKDONJSA-N 0 3 244.338 2.546 20 0 BFADHN COc1cccc(CN2CC[C@H](CC(C)C)C2)n1 ZINC000173909991 533484092 /nfs/dbraw/zinc/48/40/92/533484092.db2.gz GUWMPFMLWAWOEZ-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN CO[C@@H]1CCCN(c2ccnc3ccccc32)C1 ZINC000264725001 132179859 /nfs/dbraw/zinc/17/98/59/132179859.db2.gz IDLMMHLLKJZTRG-GFCCVEGCSA-N 0 3 242.322 2.850 20 0 BFADHN CCCCCN1CCN(c2ccccc2O)CC1 ZINC000071809224 132395670 /nfs/dbraw/zinc/39/56/70/132395670.db2.gz UIAWBFVVJOVHBU-UHFFFAOYSA-N 0 3 248.370 2.704 20 0 BFADHN c1cc2c(o1)CCCN(CCc1ccccn1)C2 ZINC000335132887 133795349 /nfs/dbraw/zinc/79/53/49/133795349.db2.gz JNEOWWAJNBBLAT-UHFFFAOYSA-N 0 3 242.322 2.666 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NC[C@@H](C)C(F)(F)F ZINC000347554305 133840595 /nfs/dbraw/zinc/84/05/95/133840595.db2.gz JXAGFTXCJCOBDS-HTQZYQBOSA-N 0 3 249.280 2.578 20 0 BFADHN COCc1ccc(CNCCC(C)(C)C)o1 ZINC000166663728 133957308 /nfs/dbraw/zinc/95/73/08/133957308.db2.gz UWUGEEJJQVYGKL-UHFFFAOYSA-N 0 3 225.332 2.952 20 0 BFADHN Cc1ccc(CN2C[C@H](C)[C@@H]2C)c(C)n1 ZINC000335931122 134010818 /nfs/dbraw/zinc/01/08/18/134010818.db2.gz CBQYGHHCRGXDDC-CABZTGNLSA-N 0 3 204.317 2.539 20 0 BFADHN c1cc([C@H]2CCCN2CC2CCCC2)n[nH]1 ZINC000335937730 134013183 /nfs/dbraw/zinc/01/31/83/134013183.db2.gz GQZZEQIOJGGPMT-CYBMUJFWSA-N 0 3 219.332 2.737 20 0 BFADHN Cc1cc(C)cc(CN2C[C@@H](C)N(C)C[C@H]2C)c1 ZINC000351943874 134015344 /nfs/dbraw/zinc/01/53/44/134015344.db2.gz IKOXYGQZQZKQGH-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@]2(C)CCCOC2)c1 ZINC000335942998 134018406 /nfs/dbraw/zinc/01/84/06/134018406.db2.gz ARIIELBLOKYCCV-OCCSQVGLSA-N 0 3 234.343 2.610 20 0 BFADHN Cc1oncc1CN1CCC[C@@H](C2CC2)C1 ZINC000335993469 134041646 /nfs/dbraw/zinc/04/16/46/134041646.db2.gz JFUIBFDYNBYABM-GFCCVEGCSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1nc2n(n1)CCC[C@@H]2N[C@H]1CCC(C)(C)C1 ZINC000335996272 134041986 /nfs/dbraw/zinc/04/19/86/134041986.db2.gz MMUUKMRVELAQNL-RYUDHWBXSA-N 0 3 248.374 2.590 20 0 BFADHN CCc1ccc(CN2C[C@@H]3CCC[C@@H]32)cn1 ZINC000335998550 134043014 /nfs/dbraw/zinc/04/30/14/134043014.db2.gz HIMIXTZCWYQSQZ-JSGCOSHPSA-N 0 3 216.328 2.628 20 0 BFADHN C[C@H]1CN(C)CC[C@H]1Nc1cc(C2CC2)ccn1 ZINC000336003192 134048115 /nfs/dbraw/zinc/04/81/15/134048115.db2.gz CNURTXJPUFRDEY-SMDDNHRTSA-N 0 3 245.370 2.711 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCC(C)(C)C2)on1 ZINC000336007300 134049154 /nfs/dbraw/zinc/04/91/54/134049154.db2.gz WEBSDJXBUNGRSI-NSHDSACASA-N 0 3 222.332 2.994 20 0 BFADHN Cc1c[nH]nc1CN(C)[C@@H]1CCC(C)(C)C1 ZINC000336009734 134050547 /nfs/dbraw/zinc/05/05/47/134050547.db2.gz AFOCMTLUFVYJBL-LLVKDONJSA-N 0 3 221.348 2.729 20 0 BFADHN CC[C@H](C(N)=O)N1CC[C@H](C)C(C)(C)C1 ZINC000336010711 134051022 /nfs/dbraw/zinc/05/10/22/134051022.db2.gz IIKWCUBSVCDBTA-VHSXEESVSA-N 0 3 212.337 2.668 20 0 BFADHN Cc1c[nH]nc1CN(C)[C@@H]1CCCC[C@@H]1C ZINC000336012095 134051502 /nfs/dbraw/zinc/05/15/02/134051502.db2.gz YUNUPSFOKVFATC-GXFFZTMASA-N 0 3 221.348 2.729 20 0 BFADHN C[C@H](c1cnccn1)N1C[C@H](C)CC(C)(C)C1 ZINC000336040307 134061924 /nfs/dbraw/zinc/06/19/24/134061924.db2.gz ZXYPLNUDVCUHMD-VXGBXAGGSA-N 0 3 233.359 2.906 20 0 BFADHN CSCCCN(C)Cc1cccnc1C ZINC000352116140 134073671 /nfs/dbraw/zinc/07/36/71/134073671.db2.gz SJWGMXYCLKCOJT-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN CC[C@@]1(O)CCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC000336109192 134085828 /nfs/dbraw/zinc/08/58/28/134085828.db2.gz SMQLTOYFXKIVMP-HUUCEWRRSA-N 0 3 249.329 2.660 20 0 BFADHN Cc1cnc([C@@H](C)N2CCC3(CCC3)C2)cn1 ZINC000336109779 134085865 /nfs/dbraw/zinc/08/58/65/134085865.db2.gz JJAIMOFKJZDRHZ-GFCCVEGCSA-N 0 3 231.343 2.722 20 0 BFADHN CC1(CN2CCCC[C@]2(C)C(N)=O)CCC1 ZINC000336137378 134096199 /nfs/dbraw/zinc/09/61/99/134096199.db2.gz ODZXPTUTJKQKIG-CYBMUJFWSA-N 0 3 224.348 2.956 20 0 BFADHN Cc1nc2ccccn2c1CN1CCC=C(C)C1 ZINC000336148032 134103034 /nfs/dbraw/zinc/10/30/34/134103034.db2.gz QDWYPJKQUOJBPU-UHFFFAOYSA-N 0 3 241.338 2.795 20 0 BFADHN C[C@]1(c2ccccc2)CCN(Cc2ccno2)C1 ZINC000336161994 134111728 /nfs/dbraw/zinc/11/17/28/134111728.db2.gz GEASBNOLQCBUIV-HNNXBMFYSA-N 0 3 242.322 2.838 20 0 BFADHN CCN(Cc1conc1C)CC1CCC1 ZINC000353268438 134117201 /nfs/dbraw/zinc/11/72/01/134117201.db2.gz RABQVOXVXYEZGO-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN CC(C)[C@@H]1CCCN([C@H](C)c2cnccn2)C1 ZINC000336184143 134120649 /nfs/dbraw/zinc/12/06/49/134120649.db2.gz KDAAIPAVTBOIPM-CHWSQXEVSA-N 0 3 233.359 2.906 20 0 BFADHN FC1(F)CC[C@H](CN2CCOCC23CCC3)C1 ZINC000336188537 134122519 /nfs/dbraw/zinc/12/25/19/134122519.db2.gz UJKVKVQTSZIQMI-NSHDSACASA-N 0 3 245.313 2.677 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CC1CC(F)(F)C1 ZINC000336197789 134127281 /nfs/dbraw/zinc/12/72/81/134127281.db2.gz SXMUUNOHNPSGMS-KOLCDFICSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1cc2cccnc2o1 ZINC000336204903 134131438 /nfs/dbraw/zinc/13/14/38/134131438.db2.gz YADXDPZTIXPGHP-BXKDBHETSA-N 0 3 248.351 2.669 20 0 BFADHN Fc1cnccc1CN1CC[C@@H]2CCC[C@@H]21 ZINC000336205283 134131557 /nfs/dbraw/zinc/13/15/57/134131557.db2.gz QIKLSMBWXJRXOE-GWCFXTLKSA-N 0 3 220.291 2.595 20 0 BFADHN CCc1cc(N2CC[C@H](SC)C2)ccn1 ZINC000336204237 134131576 /nfs/dbraw/zinc/13/15/76/134131576.db2.gz UGTVLSOBGPTQQW-LBPRGKRZSA-N 0 3 222.357 2.586 20 0 BFADHN CC(C)C1CC(N[C@H]2CCCc3c[nH]nc32)C1 ZINC000336218481 134138346 /nfs/dbraw/zinc/13/83/46/134138346.db2.gz JMJCXJQHWDCDGE-BPCQOVAHSA-N 0 3 233.359 2.811 20 0 BFADHN CC(C)[C@@H](O)CCN[C@H](C)c1ccsc1 ZINC000168229583 134160807 /nfs/dbraw/zinc/16/08/07/134160807.db2.gz QSPBEHWPGFCVGU-PWSUYJOCSA-N 0 3 227.373 2.806 20 0 BFADHN C[C@H](CN(C)C)N[C@H](C)c1ccc(F)cc1F ZINC000168529819 134225709 /nfs/dbraw/zinc/22/57/09/134225709.db2.gz DHKLQFDDSHZKAH-NXEZZACHSA-N 0 3 242.313 2.566 20 0 BFADHN CCn1ccnc1CN1CCC[C@H]1C1CCC1 ZINC000170872493 134254239 /nfs/dbraw/zinc/25/42/39/134254239.db2.gz BEUHTWXESABDBO-ZDUSSCGKSA-N 0 3 233.359 2.668 20 0 BFADHN COc1cccc(CN([C@@H](C)C2CC2)C2CC2)n1 ZINC000171859706 134261378 /nfs/dbraw/zinc/26/13/78/134261378.db2.gz HTEUJOGQLTTYGZ-NSHDSACASA-N 0 3 246.354 2.853 20 0 BFADHN COc1cccc(CN([C@H](C)C2CC2)C2CC2)n1 ZINC000171859707 134261383 /nfs/dbraw/zinc/26/13/83/134261383.db2.gz HTEUJOGQLTTYGZ-LLVKDONJSA-N 0 3 246.354 2.853 20 0 BFADHN COc1cccc(CN2C[C@H](C)C[C@@H](C)C2)n1 ZINC000172006909 134263808 /nfs/dbraw/zinc/26/38/08/134263808.db2.gz KWKIJUUTERZWLA-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN Cn1cc(CN2CCC(C(C)(C)C)CC2)cn1 ZINC000172031359 134264463 /nfs/dbraw/zinc/26/44/63/134264463.db2.gz YQKNZOYFZJGNOC-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN CCCCN(CC)Cc1cccnc1OC ZINC000176456001 134291799 /nfs/dbraw/zinc/29/17/99/134291799.db2.gz LKZUUEXDHDENKX-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CCC(CC)CN(CC)Cc1cncn1C ZINC000177076856 134297008 /nfs/dbraw/zinc/29/70/08/134297008.db2.gz BAHDOCWBCIQDKS-UHFFFAOYSA-N 0 3 223.364 2.678 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1nc2ccccc2n1C ZINC000177099310 134297082 /nfs/dbraw/zinc/29/70/82/134297082.db2.gz VYKXAOLFCVWABS-TXEJJXNPSA-N 0 3 243.354 2.946 20 0 BFADHN Cn1cncc1CN1CCC[C@]2(CC=CCC2)C1 ZINC000177076032 134297479 /nfs/dbraw/zinc/29/74/79/134297479.db2.gz UCSMSNVKCGZYON-OAHLLOKOSA-N 0 3 245.370 2.742 20 0 BFADHN C[Si](C)(C)CN1CCO[C@H](c2ccco2)C1 ZINC000177688441 134301233 /nfs/dbraw/zinc/30/12/33/134301233.db2.gz LDYRQSABBLXUCN-LBPRGKRZSA-N 0 3 239.391 2.530 20 0 BFADHN C[C@@H]1CCN(CCOc2ccccc2F)[C@@H]1C ZINC000177890571 134304240 /nfs/dbraw/zinc/30/42/40/134304240.db2.gz KLTGDIRFRYNOSL-VXGBXAGGSA-N 0 3 237.318 2.935 20 0 BFADHN C[C@@H](N[C@H]1COc2ccccc2C1)c1ccoc1 ZINC000178112350 134306492 /nfs/dbraw/zinc/30/64/92/134306492.db2.gz HMNOPNLYYRSVFU-BXUZGUMPSA-N 0 3 243.306 2.934 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)[C@@H](C)C2)no1 ZINC000220669740 134311715 /nfs/dbraw/zinc/31/17/15/134311715.db2.gz MFRBGBPAUZWUNN-JBLDHEPKSA-N 0 3 222.332 2.897 20 0 BFADHN CCn1ccnc1CN1CC[C@@H](C)C[C@H](C)C1 ZINC000178963040 134313766 /nfs/dbraw/zinc/31/37/66/134313766.db2.gz WVZUEBLQRHBNSD-OLZOCXBDSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@@H](NC1C[C@H](C)C[C@@H](C)C1)c1ncc[nH]1 ZINC000221157087 134317386 /nfs/dbraw/zinc/31/73/86/134317386.db2.gz GCRYHAJQKYHQDB-GMTAPVOTSA-N 0 3 221.348 2.885 20 0 BFADHN CC(C)N(CC(=O)N1CCCCCC1)C(C)C ZINC000180453591 134327685 /nfs/dbraw/zinc/32/76/85/134327685.db2.gz DVBMEYCJZLEZPU-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1cc(CN2CC[C@@](C)(O)C2)ccc1Cl ZINC000180473007 134327758 /nfs/dbraw/zinc/32/77/58/134327758.db2.gz HTEKEPCAQKKCTO-CYBMUJFWSA-N 0 3 239.746 2.605 20 0 BFADHN C[C@H](NC[C@@H]1CC1(C)C)c1ccccn1 ZINC000222036509 134329391 /nfs/dbraw/zinc/32/93/91/134329391.db2.gz RJRUBEFHCGLTHC-QWRGUYRKSA-N 0 3 204.317 2.778 20 0 BFADHN CCc1nnc(C[N@@H+]2CCC[C@H]2C2CCCC2)[n-]1 ZINC000181652861 134338395 /nfs/dbraw/zinc/33/83/95/134338395.db2.gz VDUPGQMLASCVTN-LBPRGKRZSA-N 0 3 248.374 2.522 20 0 BFADHN CCc1nnc(C[N@H+]2CCC[C@H]2C2CCCC2)[n-]1 ZINC000181652861 134338396 /nfs/dbraw/zinc/33/83/96/134338396.db2.gz VDUPGQMLASCVTN-LBPRGKRZSA-N 0 3 248.374 2.522 20 0 BFADHN CCCC[C@@H](N)C(=O)N(C)c1cc(C)cc(C)c1 ZINC000223547720 134340546 /nfs/dbraw/zinc/34/05/46/134340546.db2.gz AODXADSZDIHAJD-CQSZACIVSA-N 0 3 248.370 2.784 20 0 BFADHN CO[C@@H](C)CN1CC=C(c2ccc(O)cc2)CC1 ZINC000182019805 134341679 /nfs/dbraw/zinc/34/16/79/134341679.db2.gz SVINNYPIQJVJCU-LBPRGKRZSA-N 0 3 247.338 2.516 20 0 BFADHN CO[C@H](C)CN1CCC[C@H]1c1cccc(C)n1 ZINC000182237461 134343307 /nfs/dbraw/zinc/34/33/07/134343307.db2.gz CNSLLYNOGXTJOL-OCCSQVGLSA-N 0 3 234.343 2.562 20 0 BFADHN Cc1cc(C)cc([C@@H](C)NC[C@@H](O)C(F)F)c1 ZINC000224440121 134347130 /nfs/dbraw/zinc/34/71/30/134347130.db2.gz KOOLQQXCJFJIGR-ZYHUDNBSSA-N 0 3 243.297 2.580 20 0 BFADHN C[C@@H](NC[C@H](O)C(F)F)c1ccc(Cl)cc1 ZINC000224472852 134347656 /nfs/dbraw/zinc/34/76/56/134347656.db2.gz RYCOBKHBTLDDEG-XCBNKYQSSA-N 0 3 249.688 2.617 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](O)C(F)F)s1 ZINC000224483206 134348133 /nfs/dbraw/zinc/34/81/33/134348133.db2.gz NKVFHYIMNSCPJL-APPZFPTMSA-N 0 3 249.326 2.587 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@H]1CCCCN1 ZINC000226065512 134358750 /nfs/dbraw/zinc/35/87/50/134358750.db2.gz WUOXKYNPQZSRMK-CHWSQXEVSA-N 0 3 240.391 2.604 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1CCC[C@@H](C)CC1 ZINC000226151780 134359597 /nfs/dbraw/zinc/35/95/97/134359597.db2.gz GYZXSQDDCMXMND-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN COc1cc(C)nc(CNCC2(C(C)C)CC2)c1 ZINC000225911453 134359783 /nfs/dbraw/zinc/35/97/83/134359783.db2.gz MKBNOVKBRJVYKH-UHFFFAOYSA-N 0 3 248.370 2.924 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cc[nH]n1 ZINC000184234970 134361037 /nfs/dbraw/zinc/36/10/37/134361037.db2.gz MWASSYRAXCMZAB-LBPRGKRZSA-N 0 3 207.321 2.564 20 0 BFADHN CC(C)c1ccc(CNC[C@@H](O)C(F)F)cc1 ZINC000226575059 134366813 /nfs/dbraw/zinc/36/68/13/134366813.db2.gz XRPRELGJMFVFCE-GFCCVEGCSA-N 0 3 243.297 2.526 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)on1 ZINC000226975058 134371122 /nfs/dbraw/zinc/37/11/22/134371122.db2.gz LLJAQQFLGGGGJT-IRUJWGPZSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1csc(CNC[C@@H]2CC2(C)C)n1 ZINC000227371262 134378811 /nfs/dbraw/zinc/37/88/11/134378811.db2.gz UMPCVGLTQDHHTK-VIFPVBQESA-N 0 3 210.346 2.587 20 0 BFADHN CO[C@@H]1C[C@H](NCc2ccccc2F)C1(C)C ZINC000227832056 134390256 /nfs/dbraw/zinc/39/02/56/134390256.db2.gz FFCOTEKEFHXRBP-QWHCGFSZSA-N 0 3 237.318 2.729 20 0 BFADHN CC(C)CCN1CCN(c2ccc(O)cc2)CC1 ZINC000058337414 134391510 /nfs/dbraw/zinc/39/15/10/134391510.db2.gz PBUOGMZLKICEDN-UHFFFAOYSA-N 0 3 248.370 2.560 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2ccc(Cl)cc2)O1 ZINC000148449920 134446440 /nfs/dbraw/zinc/44/64/40/134446440.db2.gz WFDHJTMWPWKSQM-ZWNOBZJWSA-N 0 3 239.746 2.997 20 0 BFADHN CC(C)[C@@H](O)CNCc1cccc(Cl)c1F ZINC000131133709 134626857 /nfs/dbraw/zinc/62/68/57/134626857.db2.gz PNHYCPMHDHPVJF-NSHDSACASA-N 0 3 245.725 2.586 20 0 BFADHN C[C@H](NC[C@@]1(C)CCCC[C@H]1O)c1ccccn1 ZINC000245891402 134869783 /nfs/dbraw/zinc/86/97/83/134869783.db2.gz JQJJLRGEAFXILE-NWANDNLSSA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@H](N[C@H](C)C1CC1)c1nccs1 ZINC000070633875 135000823 /nfs/dbraw/zinc/00/08/23/135000823.db2.gz UQBZRQGITWULDV-SCZZXKLOSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@H]([C@H](C)O)C1 ZINC000248550258 135003614 /nfs/dbraw/zinc/00/36/14/135003614.db2.gz KHVLBVFBPBDHCB-WOPDTQHZSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)no1 ZINC000248408046 135006769 /nfs/dbraw/zinc/00/67/69/135006769.db2.gz BXZDXLRJPCBFPD-BPNCWPANSA-N 0 3 234.343 2.994 20 0 BFADHN C[C@@H]1CN(Cc2ccon2)[C@@H]2CCCC[C@@H]21 ZINC000248413145 135006903 /nfs/dbraw/zinc/00/69/03/135006903.db2.gz FXKFLCZTBXDEJZ-RAIGVLPGSA-N 0 3 220.316 2.685 20 0 BFADHN Cn1cccc1[C@H]1CCCN1C[C@H]1CCCCO1 ZINC000248406147 135007100 /nfs/dbraw/zinc/00/71/00/135007100.db2.gz SBUDMNVIRKCHLM-UKRRQHHQSA-N 0 3 248.370 2.731 20 0 BFADHN CCN(C[C@@H](C)OC)[C@H](C)c1cccc(O)c1 ZINC000248426132 135007775 /nfs/dbraw/zinc/00/77/75/135007775.db2.gz OGAFMBSYJQKDOS-VXGBXAGGSA-N 0 3 237.343 2.810 20 0 BFADHN CO[C@H](C)CN1C[C@H](C)[C@@H]1c1ccccc1 ZINC000248423400 135008485 /nfs/dbraw/zinc/00/84/85/135008485.db2.gz NAYIBASYPUYWCX-OUCADQQQSA-N 0 3 219.328 2.714 20 0 BFADHN C[C@@H]1CCCN1CCOc1ccccc1F ZINC000076115785 135011051 /nfs/dbraw/zinc/01/10/51/135011051.db2.gz JEOLDHGEFVOHSQ-LLVKDONJSA-N 0 3 223.291 2.689 20 0 BFADHN CC(C)N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000070819645 135013002 /nfs/dbraw/zinc/01/30/02/135013002.db2.gz DENJAVPGEPUBJO-SNVBAGLBSA-N 0 3 227.254 2.786 20 0 BFADHN C[C@H](c1cnccn1)N1C[C@H](C)C[C@H](C)C1 ZINC000248643353 135018298 /nfs/dbraw/zinc/01/82/98/135018298.db2.gz ZLSGTRPPYPZMRL-GRYCIOLGSA-N 0 3 219.332 2.516 20 0 BFADHN CCN(Cc1c[nH]cn1)[C@@H]1CCCC[C@H]1C ZINC000248669979 135018814 /nfs/dbraw/zinc/01/88/14/135018814.db2.gz RXTJUUDBZXWKKG-DGCLKSJQSA-N 0 3 221.348 2.810 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H]2CC[C@@H](O)C2)o1 ZINC000248968120 135028705 /nfs/dbraw/zinc/02/87/05/135028705.db2.gz BYKOZSVFCLTCLJ-SDDRHHMPSA-N 0 3 237.343 2.654 20 0 BFADHN CCC(=O)CCN1CCS[C@@H]2CCCC[C@H]21 ZINC000248982388 135030024 /nfs/dbraw/zinc/03/00/24/135030024.db2.gz FVOWZGKGTUEDSE-CHWSQXEVSA-N 0 3 241.400 2.716 20 0 BFADHN C[C@H](c1cnccn1)N1CCCC[C@@H](C)C1 ZINC000249409430 135039485 /nfs/dbraw/zinc/03/94/85/135039485.db2.gz DXYNLTHQGSTHDZ-VXGBXAGGSA-N 0 3 219.332 2.660 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000249878902 135047185 /nfs/dbraw/zinc/04/71/85/135047185.db2.gz HIDCCSAIOXCIQI-MUYACECFSA-N 0 3 247.338 2.814 20 0 BFADHN C[C@H](CCC(C)(C)C)NCc1nccn1C ZINC000076768658 135048484 /nfs/dbraw/zinc/04/84/84/135048484.db2.gz KPNBYNVSYGHHLW-LLVKDONJSA-N 0 3 223.364 2.725 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1ccon1 ZINC000077318674 135063004 /nfs/dbraw/zinc/06/30/04/135063004.db2.gz UBTTZBSHDYNHGK-GFCCVEGCSA-N 0 3 208.305 2.829 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCCCC[C@H]2O)o1 ZINC000251219900 135065728 /nfs/dbraw/zinc/06/57/28/135065728.db2.gz HKDLXLWVVLAKLI-YNEHKIRRSA-N 0 3 237.343 2.932 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(F)c(F)c2)CS1 ZINC000086935628 135103726 /nfs/dbraw/zinc/10/37/26/135103726.db2.gz TXVZHJMFFROQTP-PSASIEDQSA-N 0 3 243.322 2.948 20 0 BFADHN CC1(C)CC[C@@H](N2CCN3CCCC[C@H]3C2)C1 ZINC000252912985 135116935 /nfs/dbraw/zinc/11/69/35/135116935.db2.gz MHLGJZJWBDLBHH-KGLIPLIRSA-N 0 3 236.403 2.735 20 0 BFADHN C[C@@H](N[C@H]1[C@H](C)CCC[C@@H]1C)c1ncc[nH]1 ZINC000252979142 135118438 /nfs/dbraw/zinc/11/84/38/135118438.db2.gz GJJGJNAKTWWGEC-KXNHARMFSA-N 0 3 221.348 2.885 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](C)C[C@H](C)C1)c1ncc[nH]1 ZINC000252979077 135118663 /nfs/dbraw/zinc/11/86/63/135118663.db2.gz GCRYHAJQKYHQDB-KXNHARMFSA-N 0 3 221.348 2.885 20 0 BFADHN Cc1cc(N(C)CC[C@H](C)O)c2ccccc2n1 ZINC000078417280 135123105 /nfs/dbraw/zinc/12/31/05/135123105.db2.gz DCTIQIHAXACKRF-LBPRGKRZSA-N 0 3 244.338 2.750 20 0 BFADHN CCN(C)C/C=C\c1ccccc1OC ZINC000255894928 135130987 /nfs/dbraw/zinc/13/09/87/135130987.db2.gz HJUUPZZHRBTJKB-CLFYSBASSA-N 0 3 205.301 2.660 20 0 BFADHN Clc1ccc(CNC[C@H]2CCCS2)o1 ZINC000087473073 135142193 /nfs/dbraw/zinc/14/21/93/135142193.db2.gz SYDPMYFEXUNNPK-SECBINFHSA-N 0 3 231.748 2.918 20 0 BFADHN CCc1ncc(CNC[C@H]2CCCS2)s1 ZINC000087473129 135142270 /nfs/dbraw/zinc/14/22/70/135142270.db2.gz KRNFJHUUHHZHGF-SECBINFHSA-N 0 3 242.413 2.691 20 0 BFADHN C[C@H](NC[C@@H]1CCCS1)c1ccccn1 ZINC000087668138 135162830 /nfs/dbraw/zinc/16/28/30/135162830.db2.gz KBNOKTOTSAPXTI-QWRGUYRKSA-N 0 3 222.357 2.628 20 0 BFADHN Cc1ncsc1[C@@H](C)NC[C@H]1CCCS1 ZINC000087667628 135162891 /nfs/dbraw/zinc/16/28/91/135162891.db2.gz BSIPPLOBPVYISX-PSASIEDQSA-N 0 3 242.413 2.998 20 0 BFADHN Cc1cc(CN[C@@H]2CCC(C)(C)C2)no1 ZINC000087771516 135167327 /nfs/dbraw/zinc/16/73/27/135167327.db2.gz SFEOCYDFRUHVBQ-SNVBAGLBSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@@H](C)C2)on1 ZINC000084342492 135209975 /nfs/dbraw/zinc/20/99/75/135209975.db2.gz AUZOTVSBOZMVER-ZYHUDNBSSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@H](C)C2)no1 ZINC000084342444 135210315 /nfs/dbraw/zinc/21/03/15/135210315.db2.gz DNMMTPCVAQJRBI-CMPLNLGQSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@@H](C)C2)on1 ZINC000084342494 135210592 /nfs/dbraw/zinc/21/05/92/135210592.db2.gz AUZOTVSBOZMVER-PWSUYJOCSA-N 0 3 222.332 2.899 20 0 BFADHN C[C@H](C[C@H](C)O)NCc1cccc(Cl)c1F ZINC000163959838 135211078 /nfs/dbraw/zinc/21/10/78/135211078.db2.gz HOFPXLMHUNXYMZ-BDAKNGLRSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@H](CCC(C)(C)C)NCc1ccn(C)n1 ZINC000164179561 135214431 /nfs/dbraw/zinc/21/44/31/135214431.db2.gz KWBHNWISKSUFII-LLVKDONJSA-N 0 3 223.364 2.725 20 0 BFADHN CC(C)c1ccc(NC(=O)[C@H](C)NC2CC2)cc1 ZINC000261869472 135258397 /nfs/dbraw/zinc/25/83/97/135258397.db2.gz SDARSMAPGDLWDB-NSHDSACASA-N 0 3 246.354 2.889 20 0 BFADHN CCCCC(=O)N(CCC)CCN1CCCC1 ZINC000342272594 135260288 /nfs/dbraw/zinc/26/02/88/135260288.db2.gz AQZSYLNYDFOCMU-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN CCC1CC(N[C@@H](C)c2nnc3ccccn32)C1 ZINC000342621636 135296715 /nfs/dbraw/zinc/29/67/15/135296715.db2.gz CKILOSUVQVAZLD-UNXYVOJBSA-N 0 3 244.342 2.569 20 0 BFADHN C(CN1C[C@H]2CC[C@@H](C1)O2)C1CCCCC1 ZINC000342595958 135301246 /nfs/dbraw/zinc/30/12/46/135301246.db2.gz HHVWWUDZNMWYFF-OKILXGFUSA-N 0 3 223.360 2.820 20 0 BFADHN Cc1cccc(C)c1CN1CCN(C(C)C)CC1 ZINC000342708946 135312572 /nfs/dbraw/zinc/31/25/72/135312572.db2.gz FJLKTGIPRTVOQF-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN CC1(C)CC[C@H]1N[C@@H](CO)c1cccc(F)c1 ZINC000342735686 135316355 /nfs/dbraw/zinc/31/63/55/135316355.db2.gz PCDBZLVCYCBWHA-QWHCGFSZSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1cccc(C)c1[C@H](C)NCCn1cccn1 ZINC000342781690 135317711 /nfs/dbraw/zinc/31/77/11/135317711.db2.gz NHMZXWQJKKJYJA-AWEZNQCLSA-N 0 3 243.354 2.851 20 0 BFADHN Cc1ccc([C@H](N[C@H](C)CO)C2CCCC2)o1 ZINC000342779559 135317728 /nfs/dbraw/zinc/31/77/28/135317728.db2.gz JVWUZQDIWDPUOH-QMTHXVAHSA-N 0 3 237.343 2.790 20 0 BFADHN Cc1cnc(CN2C[C@H](C)CCC[C@H]2C)n1C ZINC000342779325 135317773 /nfs/dbraw/zinc/31/77/73/135317773.db2.gz BWIQKXSFPXLRFY-VXGBXAGGSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCCC2(C)C)nn1C ZINC000334171060 135319260 /nfs/dbraw/zinc/31/92/60/135319260.db2.gz AAZBKXNJZLTGKY-YPMHNXCESA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cnc(CN2CC[C@H](C)C[C@H](C)C2)n1C ZINC000342799832 135320755 /nfs/dbraw/zinc/32/07/55/135320755.db2.gz ORBWIQVRLBSPJD-RYUDHWBXSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1nc(CN[C@@H](C)c2c(C)cccc2C)n[nH]1 ZINC000342802060 135322199 /nfs/dbraw/zinc/32/21/99/135322199.db2.gz HXGFNOUTRICNMM-NSHDSACASA-N 0 3 244.342 2.581 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1ccc2c(c1)ncn2C ZINC000334303673 135347226 /nfs/dbraw/zinc/34/72/26/135347226.db2.gz FTCAZNUTCXLZQW-AWEZNQCLSA-N 0 3 243.354 2.804 20 0 BFADHN CCc1nocc1CN1CC[C@H](C)[C@H](C)C1 ZINC000334305567 135348570 /nfs/dbraw/zinc/34/85/70/135348570.db2.gz GITVGUGTIKCEJE-WDEREUQCSA-N 0 3 222.332 2.715 20 0 BFADHN COc1ccc(C)cc1CNC1CC(C)C1 ZINC000080249330 135368441 /nfs/dbraw/zinc/36/84/41/135368441.db2.gz JXRQVTMIXLMAHE-UHFFFAOYSA-N 0 3 219.328 2.892 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)[C@H](O)C2)cc1C ZINC000299987930 135384225 /nfs/dbraw/zinc/38/42/25/135384225.db2.gz BNVBHPAISSCXPQ-SWLSCSKDSA-N 0 3 233.355 2.506 20 0 BFADHN CSCCN1Cc2ccc(O)cc2C2(CC2)C1 ZINC000334390278 135384285 /nfs/dbraw/zinc/38/42/85/135384285.db2.gz SWHMKMGRJXGLHC-UHFFFAOYSA-N 0 3 249.379 2.602 20 0 BFADHN c1cc(CNCCSc2ccccc2)c[nH]1 ZINC000080773856 135385033 /nfs/dbraw/zinc/38/50/33/135385033.db2.gz NASFEWXLKLJLHW-UHFFFAOYSA-N 0 3 232.352 2.897 20 0 BFADHN CC(C)CCN1CCN(C)CC12CCCCC2 ZINC000081598833 135386953 /nfs/dbraw/zinc/38/69/53/135386953.db2.gz BOXCSHZXSHUTJT-UHFFFAOYSA-N 0 3 238.419 2.983 20 0 BFADHN C[C@@H](O)C[C@H](C)NCc1ccc(Cl)s1 ZINC000164521559 135388686 /nfs/dbraw/zinc/38/86/86/135388686.db2.gz SAABDDOMQFLBDH-JGVFFNPUSA-N 0 3 233.764 2.651 20 0 BFADHN Cc1ccc(CN[C@H]2CCSC2)cc1F ZINC000078228168 135393298 /nfs/dbraw/zinc/39/32/98/135393298.db2.gz UXAYPCYSMWJDJJ-NSHDSACASA-N 0 3 225.332 2.729 20 0 BFADHN c1cc(CN2CCC[C@@]3(CC=CCC3)C2)on1 ZINC000334406251 135393736 /nfs/dbraw/zinc/39/37/36/135393736.db2.gz QOTWZJWOIRQALX-AWEZNQCLSA-N 0 3 232.327 2.997 20 0 BFADHN Cc1cc(CN2CCC[C@H](C(C)C)C2)on1 ZINC000334415743 135394628 /nfs/dbraw/zinc/39/46/28/135394628.db2.gz NXQQYHOIGWGVAE-LBPRGKRZSA-N 0 3 222.332 2.851 20 0 BFADHN Cn1cccc1CN1CCC(C(F)F)CC1 ZINC000334426738 135397735 /nfs/dbraw/zinc/39/77/35/135397735.db2.gz ZFGIKLCCRCVFHO-UHFFFAOYSA-N 0 3 228.286 2.502 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1ccc(C)c(F)c1 ZINC000087284213 135503908 /nfs/dbraw/zinc/50/39/08/135503908.db2.gz LCJVXGJJWHBIPC-QWRGUYRKSA-N 0 3 225.307 2.820 20 0 BFADHN C[C@H]1C[C@@H](Nc2ccc(Cl)cn2)CCN1C ZINC000090824898 135554482 /nfs/dbraw/zinc/55/44/82/135554482.db2.gz VFJVPFACHJMRSL-ONGXEEELSA-N 0 3 239.750 2.630 20 0 BFADHN C[C@H]1C[C@H](NC2CC3(CCC3)C2)c2nccn21 ZINC000334517740 135621472 /nfs/dbraw/zinc/62/14/72/135621472.db2.gz CEZKGUVGZPIZST-JQWIXIFHSA-N 0 3 231.343 2.811 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2cncc(C)c2)C1(C)C ZINC000343856410 135704830 /nfs/dbraw/zinc/70/48/30/135704830.db2.gz JDUNUNZQSYIOQE-MRVWCRGKSA-N 0 3 248.370 2.854 20 0 BFADHN c1nc(CNC23CCC(CC2)CC3)cs1 ZINC000334617141 135781925 /nfs/dbraw/zinc/78/19/25/135781925.db2.gz AQHXNSFTWYWHDL-UHFFFAOYSA-N 0 3 222.357 2.956 20 0 BFADHN C[C@H](Cc1ccncc1)NCc1ccccc1F ZINC000344780019 135796097 /nfs/dbraw/zinc/79/60/97/135796097.db2.gz UMKDJHLRCIPXPS-GFCCVEGCSA-N 0 3 244.313 2.942 20 0 BFADHN CC1=CC[C@H](N[C@H](C)c2ccn(C)n2)CC1 ZINC000334791032 135814532 /nfs/dbraw/zinc/81/45/32/135814532.db2.gz DSLAZRHISBDLAU-NEPJUHHUSA-N 0 3 219.332 2.570 20 0 BFADHN COc1ccc(C2(N[C@H](C)[C@@H](C)OC)CC2)cc1 ZINC000346735376 135967813 /nfs/dbraw/zinc/96/78/13/135967813.db2.gz RGADNNLAHQODGF-VXGBXAGGSA-N 0 3 249.354 2.697 20 0 BFADHN FC1(F)CCCC[C@H]1CN1CCSCC1 ZINC000335242126 135985365 /nfs/dbraw/zinc/98/53/65/135985365.db2.gz NSQGSWROYRPEBY-JTQLQIEISA-N 0 3 235.343 2.861 20 0 BFADHN CC1CCC(CN2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000347652857 136001407 /nfs/dbraw/zinc/00/14/07/136001407.db2.gz RTUVMSQEUWXSNJ-CEUNDQEZSA-N 0 3 225.376 2.922 20 0 BFADHN CC1(C)CN(CC23CCC(CC2)C3)CCO1 ZINC000347718333 136003358 /nfs/dbraw/zinc/00/33/58/136003358.db2.gz KJPFXXLRFJZMNA-UHFFFAOYSA-N 0 3 223.360 2.678 20 0 BFADHN Cc1cc(CN(C)C2CCCCCC2)n(C)n1 ZINC000335295448 136005804 /nfs/dbraw/zinc/00/58/04/136005804.db2.gz LAEVFIZJXVNVTC-UHFFFAOYSA-N 0 3 235.375 2.883 20 0 BFADHN COc1ccc(CN2CCC[C@@H]2C)c(C)c1OC ZINC000347767005 136008347 /nfs/dbraw/zinc/00/83/47/136008347.db2.gz FJIHDTDBRFUEDR-NSHDSACASA-N 0 3 249.354 2.997 20 0 BFADHN CSC1(CN2CCOC[C@@H]2CC(C)C)CC1 ZINC000347820139 136013732 /nfs/dbraw/zinc/01/37/32/136013732.db2.gz QMFLXPPQQBPBCC-LBPRGKRZSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1cnn(C)c1CN1CCC[C@@H](CC(C)C)C1 ZINC000347866597 136016408 /nfs/dbraw/zinc/01/64/08/136016408.db2.gz OBQZJUWCWZEBRK-AWEZNQCLSA-N 0 3 249.402 2.987 20 0 BFADHN C[C@H](O)CCN(C)Cc1cccc(C(F)F)c1 ZINC000348054437 136031346 /nfs/dbraw/zinc/03/13/46/136031346.db2.gz YRKUNIOTLQUDAI-JTQLQIEISA-N 0 3 243.297 2.827 20 0 BFADHN c1cc2c(c(CN[C@H]3CC[C@H]3C3CC3)c1)OCO2 ZINC000348101624 136036164 /nfs/dbraw/zinc/03/61/64/136036164.db2.gz IJZDCQFMTUTLIM-STQMWFEESA-N 0 3 245.322 2.694 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1ccc(OC)cc1OC ZINC000348103069 136036460 /nfs/dbraw/zinc/03/64/60/136036460.db2.gz LPOCUNOPRGOTRF-BXUZGUMPSA-N 0 3 249.354 2.982 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1C[C@H]1C(F)F ZINC000348164241 136041602 /nfs/dbraw/zinc/04/16/02/136041602.db2.gz WVUYANAYKUTWEE-NGZCFLSTSA-N 0 3 226.270 2.694 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]2C(F)F)s1 ZINC000348177001 136042918 /nfs/dbraw/zinc/04/29/18/136042918.db2.gz DXRUMZXVLFBUNE-RKDXNWHRSA-N 0 3 217.284 2.800 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1C[C@H]1C(F)F ZINC000348180466 136043551 /nfs/dbraw/zinc/04/35/51/136043551.db2.gz HQIAWGMNQKUVNG-GHMZBOCLSA-N 0 3 229.245 2.877 20 0 BFADHN CCOCCCN1CC[C@@H](C(F)(F)F)[C@@H]1C ZINC000348244643 136056299 /nfs/dbraw/zinc/05/62/99/136056299.db2.gz IIXUVWFVUPTQKK-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1cc(CNC[C@@H]2C[C@H]2c2ccccc2)on1 ZINC000348247262 136056834 /nfs/dbraw/zinc/05/68/34/136056834.db2.gz QPNBBFBBFGYTKI-ZFWWWQNUSA-N 0 3 242.322 2.876 20 0 BFADHN OC[C@@H]1C[C@@H](F)CN1CCCC1CCCCC1 ZINC000348229628 136060506 /nfs/dbraw/zinc/06/05/06/136060506.db2.gz SOTKWMWMBPXYGQ-KGLIPLIRSA-N 0 3 243.366 2.752 20 0 BFADHN CC(C)c1nc(CN2C[C@@H]3CCCC[C@H]3C2)n[nH]1 ZINC000335341705 136064101 /nfs/dbraw/zinc/06/41/01/136064101.db2.gz SXYLWWHEAMFFJU-RYUDHWBXSA-N 0 3 248.374 2.550 20 0 BFADHN Cc1cnc([C@H](C)N2CCCC(C)(C)C2)cn1 ZINC000335341415 136064180 /nfs/dbraw/zinc/06/41/80/136064180.db2.gz KXAFHMWWIXZTLM-LBPRGKRZSA-N 0 3 233.359 2.968 20 0 BFADHN CCc1ccc(CN2CC[C@H](OC)C[C@@H]2C)cn1 ZINC000348333902 136075457 /nfs/dbraw/zinc/07/54/57/136075457.db2.gz CMGBKSWEELNGQJ-WFASDCNBSA-N 0 3 248.370 2.643 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCC1(F)CCC1 ZINC000348403255 136076621 /nfs/dbraw/zinc/07/66/21/136076621.db2.gz LNZJPYPENSBMTA-SNVBAGLBSA-N 0 3 237.322 2.718 20 0 BFADHN CSC1(CN2CCO[C@H](C(C)(C)C)C2)CC1 ZINC000348406580 136077112 /nfs/dbraw/zinc/07/71/12/136077112.db2.gz TUSXCELDGKQUCT-NSHDSACASA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@@H]1CC[C@H]1[NH2+][C@H](C)c1nnc(C(C)C)[n-]1 ZINC000348416986 136078046 /nfs/dbraw/zinc/07/80/46/136078046.db2.gz RNJNDPRGJBBHPM-GMTAPVOTSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](C)c1nnc(C(C)C)[nH]1 ZINC000348416986 136078047 /nfs/dbraw/zinc/07/80/47/136078047.db2.gz RNJNDPRGJBBHPM-GMTAPVOTSA-N 0 3 236.363 2.767 20 0 BFADHN Cc1cnccc1CCN1CCC=C(C)C1 ZINC000335366928 136081834 /nfs/dbraw/zinc/08/18/34/136081834.db2.gz NZAZIIFLXHYCSW-UHFFFAOYSA-N 0 3 216.328 2.585 20 0 BFADHN F[C@@H]1CCC[C@@H](NCc2cn3ccccc3n2)C1 ZINC000335371833 136083502 /nfs/dbraw/zinc/08/35/02/136083502.db2.gz IVWBCCGADVTCBK-VXGBXAGGSA-N 0 3 247.317 2.705 20 0 BFADHN F[C@@H]1CCC[C@@H](NCc2cncs2)C1 ZINC000335372750 136083983 /nfs/dbraw/zinc/08/39/83/136083983.db2.gz OYYJWAROLKALAD-RKDXNWHRSA-N 0 3 214.309 2.513 20 0 BFADHN Cc1ccc2ncc(CNC3CC(C)(F)C3)n2c1 ZINC000335372924 136084377 /nfs/dbraw/zinc/08/43/77/136084377.db2.gz CKCTWGZQPZZGIA-UHFFFAOYSA-N 0 3 247.317 2.623 20 0 BFADHN Cc1ncc(CN[C@H]2CCC[C@H](F)C2)s1 ZINC000335373685 136084757 /nfs/dbraw/zinc/08/47/57/136084757.db2.gz UUMOUCMNFFKCLK-UWVGGRQHSA-N 0 3 228.336 2.822 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@H](F)C2)s1 ZINC000335373686 136084792 /nfs/dbraw/zinc/08/47/92/136084792.db2.gz UUMOUCMNFFKCLK-VHSXEESVSA-N 0 3 228.336 2.822 20 0 BFADHN Cc1cnc(CN[C@H]2CCC[C@H](F)C2)s1 ZINC000335384187 136087972 /nfs/dbraw/zinc/08/79/72/136087972.db2.gz DRWSKVRAZZMZLF-UWVGGRQHSA-N 0 3 228.336 2.822 20 0 BFADHN C[C@H]1C[C@H](NCc2cccc3ccoc32)CO1 ZINC000335390088 136090514 /nfs/dbraw/zinc/09/05/14/136090514.db2.gz LUSMUUGTLZRLSM-GWCFXTLKSA-N 0 3 231.295 2.700 20 0 BFADHN Cc1ccc(C)c(CN2CC(C)(C(N)=O)C2)c1 ZINC000335390441 136090647 /nfs/dbraw/zinc/09/06/47/136090647.db2.gz TYDJRAIDJVNVAV-UHFFFAOYSA-N 0 3 232.327 2.661 20 0 BFADHN CC1(C(N)=O)CN([C@H]2/C=C/CCCCC2)C1 ZINC000335396078 136092604 /nfs/dbraw/zinc/09/26/04/136092604.db2.gz PBHDZIHESGLWNI-ZRQQLDRUSA-N 0 3 222.332 2.732 20 0 BFADHN Cc1cnc(CCN2C[C@@H](C)[C@@H]2C)c(C)c1 ZINC000335364605 136095259 /nfs/dbraw/zinc/09/52/59/136095259.db2.gz BWULNIYLJMHEOL-OLZOCXBDSA-N 0 3 218.344 2.581 20 0 BFADHN FCCN[C@H]1CCC[C@@H]1OCc1ccccc1 ZINC000348541546 136095697 /nfs/dbraw/zinc/09/56/97/136095697.db2.gz WTCVBIXRMCPEMP-KBPBESRZSA-N 0 3 237.318 2.683 20 0 BFADHN C[C@H](N[C@H](c1nccn1C)C1CC1)C1CCC1 ZINC000348549544 136096089 /nfs/dbraw/zinc/09/60/89/136096089.db2.gz RUIAGJSQSDHQFL-GWCFXTLKSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@@H](N[C@@H](c1nccn1C)C1CC1)C1CCC1 ZINC000348549548 136096193 /nfs/dbraw/zinc/09/61/93/136096193.db2.gz RUIAGJSQSDHQFL-ZWNOBZJWSA-N 0 3 233.359 2.649 20 0 BFADHN CCC(CC)CN1C[C@H](C)[S@@](=O)[C@@H](CC)C1 ZINC000348547629 136096291 /nfs/dbraw/zinc/09/62/91/136096291.db2.gz PGNHXSWXSLYQNM-DETPVDSQSA-N 0 3 245.432 2.654 20 0 BFADHN C[C@H](N[C@H]1CCCC(F)(F)C1)c1cn[nH]c1 ZINC000335573123 136110489 /nfs/dbraw/zinc/11/04/89/136110489.db2.gz YTNYVJYFLQFSBC-WPRPVWTQSA-N 0 3 229.274 2.638 20 0 BFADHN FC1(F)CC[C@@H](CN2CCOCC3(CC3)C2)C1 ZINC000335579332 136111714 /nfs/dbraw/zinc/11/17/14/136111714.db2.gz AJYZMRWPCIUHHF-LLVKDONJSA-N 0 3 245.313 2.534 20 0 BFADHN CC[C@H]1CN(CC2CC(F)(F)C2)CCS1 ZINC000335580888 136112546 /nfs/dbraw/zinc/11/25/46/136112546.db2.gz OLGGYAKTJLQECN-JTQLQIEISA-N 0 3 235.343 2.859 20 0 BFADHN C(=C\c1ccncc1)\CN1CC(C2CCC2)C1 ZINC000348679001 136113699 /nfs/dbraw/zinc/11/36/99/136113699.db2.gz YLUHVYRSIUPWEL-IHWYPQMZSA-N 0 3 228.339 2.827 20 0 BFADHN CCN(Cc1ccc([C@@H]2C[C@H]2C)o1)C[C@H](C)O ZINC000348700012 136116289 /nfs/dbraw/zinc/11/62/89/136116289.db2.gz BFOBVZFDDKDMRI-NTZNESFSSA-N 0 3 237.343 2.606 20 0 BFADHN CCn1nc(C)c(CN2CC(C3CCC3)C2)c1C ZINC000348701041 136116389 /nfs/dbraw/zinc/11/63/89/136116389.db2.gz BTVYKDIKHNMFRL-UHFFFAOYSA-N 0 3 247.386 2.752 20 0 BFADHN CCN(Cc1cc(Cl)cs1)C[C@@H](C)O ZINC000348711042 136116739 /nfs/dbraw/zinc/11/67/39/136116739.db2.gz QAQODHWTGWOIGO-MRVPVSSYSA-N 0 3 233.764 2.604 20 0 BFADHN COC[C@@H](C)CN[C@H](C)c1c(F)cccc1F ZINC000045655994 322844288 /nfs/dbraw/zinc/84/42/88/322844288.db2.gz BYRFCXUZCIZGAO-VHSXEESVSA-N 0 3 243.297 2.898 20 0 BFADHN Cc1ccc(CNC[C@@H]2CC[C@@H](C)O2)cc1F ZINC000398054754 322857327 /nfs/dbraw/zinc/85/73/27/322857327.db2.gz YALXZWOUNKXVAQ-YPMHNXCESA-N 0 3 237.318 2.791 20 0 BFADHN CC[C@@H](CNCc1cc(F)c(F)c(F)c1)OC ZINC000289763104 397753027 /nfs/dbraw/zinc/75/30/27/397753027.db2.gz JBTWRWIXQIVHHA-VIFPVBQESA-N 0 3 247.260 2.619 20 0 BFADHN CC[C@H](O)CN[C@@H](C)c1ccccc1SC ZINC000281761411 397757900 /nfs/dbraw/zinc/75/79/00/397757900.db2.gz RRAUQKVKLTXLAW-QWRGUYRKSA-N 0 3 239.384 2.830 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCO[C@@H](C2CC2)C1 ZINC000156907832 397760227 /nfs/dbraw/zinc/76/02/27/397760227.db2.gz MWICRJDNYOSIRH-CHWSQXEVSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1nnsc1CN[C@H]1CCC[C@H](C)CC1 ZINC000381628602 397774470 /nfs/dbraw/zinc/77/44/70/397774470.db2.gz MDIBXPUNZSXRGX-ONGXEEELSA-N 0 3 239.388 2.905 20 0 BFADHN CC[C@H](CSC)NCc1cnn2ccccc12 ZINC000163873892 397788122 /nfs/dbraw/zinc/78/81/22/397788122.db2.gz YNWJYPHNXBORGK-GFCCVEGCSA-N 0 3 249.383 2.566 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@@H](C)O2)cs1 ZINC000394578340 397847995 /nfs/dbraw/zinc/84/79/95/397847995.db2.gz XNLWNFOHOMHOFP-SKDRFNHKSA-N 0 3 225.357 2.714 20 0 BFADHN CCc1nc(C)c(CNC(C2CC2)C2CC2)o1 ZINC000382212027 397878803 /nfs/dbraw/zinc/87/88/03/397878803.db2.gz IUFXLCOAHUZDIR-UHFFFAOYSA-N 0 3 234.343 2.824 20 0 BFADHN CCc1ncc(CN2C[C@@H](C)C[C@@H]2CC)cn1 ZINC000414393728 397927086 /nfs/dbraw/zinc/92/70/86/397927086.db2.gz JFJRQNBXYDFYBZ-AAEUAGOBSA-N 0 3 233.359 2.659 20 0 BFADHN c1ccc2c(c1)[nH]nc2CN1CC[C@H]1C1CC1 ZINC000648698761 397928638 /nfs/dbraw/zinc/92/86/38/397928638.db2.gz GCAWOZKTKIIQHP-AWEZNQCLSA-N 0 3 227.311 2.547 20 0 BFADHN c1ccc2c(c1)n[nH]c2CN1CC[C@H]1C1CC1 ZINC000648698761 397928643 /nfs/dbraw/zinc/92/86/43/397928643.db2.gz GCAWOZKTKIIQHP-AWEZNQCLSA-N 0 3 227.311 2.547 20 0 BFADHN CN([C@@H](CO)c1ccccc1)[C@H]1C=CCCC1 ZINC000264980010 397929267 /nfs/dbraw/zinc/92/92/67/397929267.db2.gz KIVUDBNTXUTHFF-GJZGRUSLSA-N 0 3 231.339 2.761 20 0 BFADHN Cc1nocc1CNC[C@H](C)C(C)(C)C ZINC000382284379 397930831 /nfs/dbraw/zinc/93/08/31/397930831.db2.gz JNYYXHNNFGEUIU-VIFPVBQESA-N 0 3 210.321 2.755 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N(Cc2cc3n(n2)CCC3)C1 ZINC000649539701 397931904 /nfs/dbraw/zinc/93/19/04/397931904.db2.gz DEOAYAMWOQWPTN-CHWSQXEVSA-N 0 3 247.386 2.840 20 0 BFADHN CC[C@@H](C)N1CCc2cccc(OC)c2C1 ZINC000650109784 397932101 /nfs/dbraw/zinc/93/21/01/397932101.db2.gz MLTMKHXVTRGCEH-LLVKDONJSA-N 0 3 219.328 2.852 20 0 BFADHN CC[C@H](F)CN[C@@H](C)[C@@H]1COc2ccccc21 ZINC000440529906 397932499 /nfs/dbraw/zinc/93/24/99/397932499.db2.gz XGJKXANBCPVNFP-GVXVVHGQSA-N 0 3 237.318 2.889 20 0 BFADHN CCN(CC)[C@H](C)C(=O)Nc1cc(C)ccc1C ZINC000265577482 397934160 /nfs/dbraw/zinc/93/41/60/397934160.db2.gz MNGZCQHWEXURMZ-CYBMUJFWSA-N 0 3 248.370 2.972 20 0 BFADHN CN(CCCCCO)c1ccnc2ccccc21 ZINC000199156453 397935704 /nfs/dbraw/zinc/93/57/04/397935704.db2.gz VYOYCJNWYISVAB-UHFFFAOYSA-N 0 3 244.338 2.834 20 0 BFADHN O[C@@H]1CCCC[C@@H]1NCc1cscc1Cl ZINC000379927884 397936996 /nfs/dbraw/zinc/93/69/96/397936996.db2.gz MODHWHRPBPEQHL-WDEREUQCSA-N 0 3 245.775 2.795 20 0 BFADHN c1cc2c(o1)CCCN(CCC[C@@H]1CCOC1)C2 ZINC000645917884 397965786 /nfs/dbraw/zinc/96/57/86/397965786.db2.gz CXJRYRPHKJUIQU-CYBMUJFWSA-N 0 3 249.354 2.845 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cc2n(n1)CCCC2 ZINC000649546496 397937800 /nfs/dbraw/zinc/93/78/00/397937800.db2.gz RSFUHAIHGHOQAO-OCCSQVGLSA-N 0 3 247.386 2.840 20 0 BFADHN CCc1ncc(CN(C)[C@H](C)C2CCC2)cn1 ZINC000414438578 397938088 /nfs/dbraw/zinc/93/80/88/397938088.db2.gz DSGFYMQQIFFOLW-LLVKDONJSA-N 0 3 233.359 2.659 20 0 BFADHN C[C@@H](COCC1CC1)N[C@@H](C)c1ccncc1 ZINC000650134939 397939673 /nfs/dbraw/zinc/93/96/73/397939673.db2.gz HRJHFJGRSNLFEO-RYUDHWBXSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1occc1CN1CC[C@H](C)C[C@@H]1[C@H](C)O ZINC000414441376 397939667 /nfs/dbraw/zinc/93/96/67/397939667.db2.gz WKRPTCOMRBKMIH-COPLHBTASA-N 0 3 237.343 2.569 20 0 BFADHN C[C@H](COCC1CC1)N[C@H](C)c1ccncc1 ZINC000650134940 397939731 /nfs/dbraw/zinc/93/97/31/397939731.db2.gz HRJHFJGRSNLFEO-VXGBXAGGSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)Oc1ccnc(CN2C[C@H](C)[C@H](C)C2)c1 ZINC000649545705 397940210 /nfs/dbraw/zinc/94/02/10/397940210.db2.gz OFPFMRKMPDVLAF-BETUJISGSA-N 0 3 248.370 2.957 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2cc3n(n2)CCCC3)C1 ZINC000649550119 397941313 /nfs/dbraw/zinc/94/13/13/397941313.db2.gz CPEOADBPUMFWFS-CYBMUJFWSA-N 0 3 247.386 2.697 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1O)c1cccc(F)c1F ZINC000183300958 397945201 /nfs/dbraw/zinc/94/52/01/397945201.db2.gz XXKLNEJVKMKGAV-UWJYBYFXSA-N 0 3 241.281 2.529 20 0 BFADHN CC(C)CCOCCN1CCS[C@H](C)[C@H]1C ZINC000118534224 397945278 /nfs/dbraw/zinc/94/52/78/397945278.db2.gz UQRWELUPKXHMKL-CHWSQXEVSA-N 0 3 245.432 2.875 20 0 BFADHN COc1cc(C)ccc1CNCC1(OC)CCC1 ZINC000449231968 397952170 /nfs/dbraw/zinc/95/21/70/397952170.db2.gz RRVFEFAOSJXVBA-UHFFFAOYSA-N 0 3 249.354 2.662 20 0 BFADHN C[C@@H](c1ccco1)N(C[C@H]1CCCN1C)C1CC1 ZINC000649563244 397953958 /nfs/dbraw/zinc/95/39/58/397953958.db2.gz IWNBQGGMWDRATN-GXTWGEPZSA-N 0 3 248.370 2.899 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3C[C@H](O)CC[C@H]3C2)o1 ZINC000449556633 397956285 /nfs/dbraw/zinc/95/62/85/397956285.db2.gz SQZHZTXNXCZTFW-HZSPNIEDSA-N 0 3 249.354 2.571 20 0 BFADHN CC[C@@H](C)N1CCN([C@@H](C)c2ccncc2)CC1 ZINC000650178072 397956696 /nfs/dbraw/zinc/95/66/96/397956696.db2.gz VNAYCZAPSLRCQP-KGLIPLIRSA-N 0 3 247.386 2.559 20 0 BFADHN CCc1ccc(CN[C@H](C(C)C)C(C)(C)O)o1 ZINC000359787752 397958784 /nfs/dbraw/zinc/95/87/84/397958784.db2.gz BGXURCFXDASDLA-CYBMUJFWSA-N 0 3 239.359 2.727 20 0 BFADHN COC1([C@H](C)NCc2ccc(C)o2)CCC1 ZINC000414522350 397960252 /nfs/dbraw/zinc/96/02/52/397960252.db2.gz GSAOWHIZYBMRIW-NSHDSACASA-N 0 3 223.316 2.635 20 0 BFADHN Cc1cc(C)cc(CN[C@@H](C)c2cnn(C)c2)c1 ZINC000301479772 397891830 /nfs/dbraw/zinc/89/18/30/397891830.db2.gz JAQAYZKTXYHHMG-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccc(CN[C@H](C)c2ccnn2C)cc1 ZINC000192245672 397892493 /nfs/dbraw/zinc/89/24/93/397892493.db2.gz JVNDYIXWYFIYLG-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN CCN1CC[C@@H](NCc2cc3ccccc3o2)C1 ZINC000389523770 397961426 /nfs/dbraw/zinc/96/14/26/397961426.db2.gz HJVQKKXXQYYHEW-CYBMUJFWSA-N 0 3 244.338 2.617 20 0 BFADHN CO[C@H]1CCCN(Cc2ccc(C)nc2C)CC1 ZINC000364338708 397895032 /nfs/dbraw/zinc/89/50/32/397895032.db2.gz BNJMOWSEUOYQPX-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN CCCc1cccc(CN2CCCOCC2)c1 ZINC000364337902 397895114 /nfs/dbraw/zinc/89/51/14/397895114.db2.gz NYKZUEIPTYOTSW-UHFFFAOYSA-N 0 3 233.355 2.861 20 0 BFADHN CO[C@H](CNCc1ccn(C)c1)c1ccccc1 ZINC000270664765 397962159 /nfs/dbraw/zinc/96/21/59/397962159.db2.gz USAIRHRBTLTISF-OAHLLOKOSA-N 0 3 244.338 2.502 20 0 BFADHN C[C@@H](NCc1cc2n(n1)CCC2)C1CCCCC1 ZINC000649573663 397962899 /nfs/dbraw/zinc/96/28/99/397962899.db2.gz LTUKVICMRQIVCC-GFCCVEGCSA-N 0 3 247.386 2.888 20 0 BFADHN COc1c(O)cccc1CNC[C@@H]1CC[C@H](C)C1 ZINC000355602157 397915179 /nfs/dbraw/zinc/91/51/79/397915179.db2.gz UHVNRKBXCZGWMG-NWDGAFQWSA-N 0 3 249.354 2.927 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cnc(C)s1)C1CC1 ZINC000382160318 397916224 /nfs/dbraw/zinc/91/62/24/397916224.db2.gz SNLGHAQWYVMCBD-KCJUWKMLSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)[C@H]2CC[C@H](C)C2)n1 ZINC000433045779 397916633 /nfs/dbraw/zinc/91/66/33/397916633.db2.gz RUGHRSIKCJSPQL-JQWIXIFHSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)[C@H]2CC[C@H](C)C2)n1 ZINC000433045779 397916641 /nfs/dbraw/zinc/91/66/41/397916641.db2.gz RUGHRSIKCJSPQL-JQWIXIFHSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)[C@@H]2CC[C@@H](C)C2)n1 ZINC000433045783 397916722 /nfs/dbraw/zinc/91/67/22/397916722.db2.gz RUGHRSIKCJSPQL-ZYHUDNBSSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)[C@@H]2CC[C@@H](C)C2)n1 ZINC000433045783 397916723 /nfs/dbraw/zinc/91/67/23/397916723.db2.gz RUGHRSIKCJSPQL-ZYHUDNBSSA-N 0 3 234.343 2.716 20 0 BFADHN Cn1ccc(CN2CCC[C@H]3CCC[C@H]32)c1 ZINC000271164499 397964318 /nfs/dbraw/zinc/96/43/18/397964318.db2.gz YWZZGTNUAICRJU-ZIAGYGMSSA-N 0 3 218.344 2.790 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cc2n(n1)CCC2 ZINC000649572799 397964349 /nfs/dbraw/zinc/96/43/49/397964349.db2.gz JKKDBQTVXUXVGM-YPMHNXCESA-N 0 3 233.359 2.592 20 0 BFADHN C[C@H]1CCCCCN1Cc1cc2n(n1)CCC2 ZINC000649531591 397924199 /nfs/dbraw/zinc/92/41/99/397924199.db2.gz LJPPCZVPUMHGGF-LBPRGKRZSA-N 0 3 233.359 2.594 20 0 BFADHN C[C@H](NCc1cc2n(n1)CCCC2)C1CCCC1 ZINC000649584394 397969047 /nfs/dbraw/zinc/96/90/47/397969047.db2.gz SDRPDIYGFUWUSZ-LBPRGKRZSA-N 0 3 247.386 2.888 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@@H](C)[C@H]2C)cs1 ZINC000418140484 397990032 /nfs/dbraw/zinc/99/00/32/397990032.db2.gz XAISDPYGPFIFMY-IVZWLZJFSA-N 0 3 224.373 2.928 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2cnc3ccccn23)C1 ZINC000430266183 397992429 /nfs/dbraw/zinc/99/24/29/397992429.db2.gz GOOVGPSMBKDJMB-GFCCVEGCSA-N 0 3 241.338 2.732 20 0 BFADHN CCC=CCNCc1n[nH]c2ccccc12 ZINC000648716645 398000744 /nfs/dbraw/zinc/00/07/44/398000744.db2.gz CAFLBTTYLFXFRC-ZZXKWVIFSA-N 0 3 215.300 2.619 20 0 BFADHN CCC=CCNCc1[nH]nc2ccccc21 ZINC000648716645 398000748 /nfs/dbraw/zinc/00/07/48/398000748.db2.gz CAFLBTTYLFXFRC-ZZXKWVIFSA-N 0 3 215.300 2.619 20 0 BFADHN Clc1cscc1CNC[C@H]1CCCO1 ZINC000388830846 398002339 /nfs/dbraw/zinc/00/23/39/398002339.db2.gz PGCKENSGUVFNEO-SECBINFHSA-N 0 3 231.748 2.670 20 0 BFADHN Cc1ccnc(CN2CCCO[C@@H](C(C)C)C2)c1 ZINC000645273093 398007888 /nfs/dbraw/zinc/00/78/88/398007888.db2.gz MSWWEEVEGSZGFM-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@@H]1CCC(C)(C)N1Cc1cc2n(n1)CCCC2 ZINC000649623874 398007978 /nfs/dbraw/zinc/00/79/78/398007978.db2.gz GKZGFVSRKSNLJW-GFCCVEGCSA-N 0 3 247.386 2.982 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(Cl)cc2C)C1 ZINC000274320576 398009260 /nfs/dbraw/zinc/00/92/60/398009260.db2.gz CQMBCPVIGWPADX-ZDUSSCGKSA-N 0 3 239.746 2.869 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1cncc(C)c1 ZINC000274665319 398011809 /nfs/dbraw/zinc/01/18/09/398011809.db2.gz XARGTLOXTUEWKA-VHDGCEQUSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC2(C)C)on1 ZINC000389065979 398012996 /nfs/dbraw/zinc/01/29/96/398012996.db2.gz LGQAUXOCQTVWAY-LLVKDONJSA-N 0 3 222.332 2.899 20 0 BFADHN CC[C@@H](C)[C@@H](N)C(=O)N(C)c1cc(C)cc(C)c1 ZINC000163544406 398015384 /nfs/dbraw/zinc/01/53/84/398015384.db2.gz CZBVZEDLXRWBJF-TZMCWYRMSA-N 0 3 248.370 2.640 20 0 BFADHN COC[C@H](N[C@H](C)[C@H]1CCCO1)c1ccccc1 ZINC000300064924 398019972 /nfs/dbraw/zinc/01/99/72/398019972.db2.gz UDQIBMIXCXVXGU-VHDGCEQUSA-N 0 3 249.354 2.531 20 0 BFADHN CN(Cc1cccs1)[C@@H](CO)c1ccccc1 ZINC000276741383 398024062 /nfs/dbraw/zinc/02/40/62/398024062.db2.gz DFVXSMBUCPJFNW-AWEZNQCLSA-N 0 3 247.363 2.914 20 0 BFADHN Cc1ccc(CN2CCC23CCCC3)nc1 ZINC000649657957 398026066 /nfs/dbraw/zinc/02/60/66/398026066.db2.gz SHYGLEMVVXYMOL-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN Cc1ccoc1CN[C@H](C)C[C@@H]1CCCO1 ZINC000336732868 398027791 /nfs/dbraw/zinc/02/77/91/398027791.db2.gz GGORWNGARIPMSN-NEPJUHHUSA-N 0 3 223.316 2.635 20 0 BFADHN c1nc(CCN2CCC23CCCC3)cs1 ZINC000649658442 398028215 /nfs/dbraw/zinc/02/82/15/398028215.db2.gz LCFBQUUROCODIH-UHFFFAOYSA-N 0 3 222.357 2.704 20 0 BFADHN C[C@@H](N[C@H]1CCC(C)(C)C1)c1ccn(C)n1 ZINC000334791774 398037105 /nfs/dbraw/zinc/03/71/05/398037105.db2.gz HZUUZYLHHQRQOE-MNOVXSKESA-N 0 3 221.348 2.649 20 0 BFADHN C[C@H](NC[C@H]1CCCCN1C1CC1)c1ccco1 ZINC000278586637 398039795 /nfs/dbraw/zinc/03/97/95/398039795.db2.gz YMJXXFCDUOJQDA-GXTWGEPZSA-N 0 3 248.370 2.947 20 0 BFADHN CC[C@@H](C)N(CCO)Cc1cc(C)ccc1F ZINC000451024039 397969873 /nfs/dbraw/zinc/96/98/73/397969873.db2.gz GZQIQMHWFCUCSN-GFCCVEGCSA-N 0 3 239.334 2.727 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1ccc(OC)nc1 ZINC000271604561 397969984 /nfs/dbraw/zinc/96/99/84/397969984.db2.gz VOXWVYJOMOPPRF-YPMHNXCESA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cc2n(n1)CCCC2 ZINC000649588085 397971999 /nfs/dbraw/zinc/97/19/99/397971999.db2.gz AUSDQDHOOXCCKJ-WFASDCNBSA-N 0 3 247.386 2.840 20 0 BFADHN C[C@H]1CCCCN(Cc2cc3n(n2)CCCC3)C1 ZINC000649587831 397972501 /nfs/dbraw/zinc/97/25/01/397972501.db2.gz TWZZEUDLMWDQIN-ZDUSSCGKSA-N 0 3 247.386 2.841 20 0 BFADHN CC[C@H]1CCCN(Cc2ccc(OC)cn2)C1 ZINC000272093907 397976387 /nfs/dbraw/zinc/97/63/87/397976387.db2.gz JYSXRHQFQZSNAQ-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2cc3n(n2)CCC3)[C@H]1C ZINC000649587166 397976945 /nfs/dbraw/zinc/97/69/45/397976945.db2.gz BYASUJHHUVWZOR-XUJVJEKNSA-N 0 3 247.386 2.744 20 0 BFADHN c1c(CN2CC[C@@H]3CCC[C@@H]32)nn2c1CCCC2 ZINC000649589101 397979823 /nfs/dbraw/zinc/97/98/23/397979823.db2.gz HCOBZNGKILGCND-WFASDCNBSA-N 0 3 245.370 2.594 20 0 BFADHN C[C@H]1C[C@H]1CN1CCN(c2ccccc2F)CC1 ZINC000488311312 398060089 /nfs/dbraw/zinc/06/00/89/398060089.db2.gz ITRCBXWFKCRVST-STQMWFEESA-N 0 3 248.345 2.604 20 0 BFADHN COC[C@@]1(C)CCN([C@@H](C)c2ccncc2)C1 ZINC000649764342 398092382 /nfs/dbraw/zinc/09/23/82/398092382.db2.gz IKEQWHZLTYCKOE-JSGCOSHPSA-N 0 3 234.343 2.501 20 0 BFADHN CC[C@@H]1CN(CC=C(C)C)[C@@H](CC)CO1 ZINC000649719744 398062544 /nfs/dbraw/zinc/06/25/44/398062544.db2.gz WOWLBMLMTJRSGJ-QWHCGFSZSA-N 0 3 211.349 2.842 20 0 BFADHN CC[C@]1(NCc2cccc(C)c2F)CCOC1 ZINC000384490286 398093662 /nfs/dbraw/zinc/09/36/62/398093662.db2.gz KNHSXBGRLDNDEK-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1ccncc1 ZINC000088169942 398074654 /nfs/dbraw/zinc/07/46/54/398074654.db2.gz UGFRANYXGISMNT-CKYFFXLPSA-N 0 3 222.357 2.626 20 0 BFADHN CN(C)CCSc1ccc2ccccc2n1 ZINC000059482878 398074881 /nfs/dbraw/zinc/07/48/81/398074881.db2.gz BTPFTZZCLBDAJU-UHFFFAOYSA-N 0 3 232.352 2.889 20 0 BFADHN CC/C=C\CCN1C[C@H](CC)OC[C@@H]1C ZINC000649735118 398076670 /nfs/dbraw/zinc/07/66/70/398076670.db2.gz FTQQDAJIXXZERR-JSIVBPPZSA-N 0 3 211.349 2.842 20 0 BFADHN CC[C@@H]1CN(CCCCCF)[C@@H](C)CO1 ZINC000649736967 398078761 /nfs/dbraw/zinc/07/87/61/398078761.db2.gz NRRRZRYTEXIRSZ-NWDGAFQWSA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCC(F)(F)C2)[C@@H](C)CO1 ZINC000649736930 398078837 /nfs/dbraw/zinc/07/88/37/398078837.db2.gz MOOJSFICWSXFLE-SDDRHHMPSA-N 0 3 247.329 2.921 20 0 BFADHN CC(=O)Nc1cccc(CN[C@]2(C)CC2(C)C)c1 ZINC000630073442 398094564 /nfs/dbraw/zinc/09/45/64/398094564.db2.gz FOAIFNRXNWJUHW-OAHLLOKOSA-N 0 3 246.354 2.923 20 0 BFADHN COC(C)(C)C[C@H](C)NCc1cc(C)ns1 ZINC000404387949 398085346 /nfs/dbraw/zinc/08/53/46/398085346.db2.gz WWDLOSDHKRXYOO-JTQLQIEISA-N 0 3 242.388 2.745 20 0 BFADHN Cc1cc(CNCCCCOC(C)C)sn1 ZINC000404419475 398085442 /nfs/dbraw/zinc/08/54/42/398085442.db2.gz BTFYHLDUPNEKSN-UHFFFAOYSA-N 0 3 242.388 2.746 20 0 BFADHN Cc1cc(CNCCCOCC(C)C)sn1 ZINC000404428041 398085679 /nfs/dbraw/zinc/08/56/79/398085679.db2.gz FOTOECJYLMUWQL-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN CN(CCN(C)[C@@H]1C[C@@H]1c1ccccc1)C1CC1 ZINC000291205099 398090574 /nfs/dbraw/zinc/09/05/74/398090574.db2.gz QOFWQFKDWQHEIR-HZPDHXFCSA-N 0 3 244.382 2.569 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1C[C@H](C)O[C@@H]1C ZINC000384559934 398096638 /nfs/dbraw/zinc/09/66/38/398096638.db2.gz HPEVFCTZNSPJBM-OUCADQQQSA-N 0 3 249.354 2.659 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@@H]1CCC[C@@H](CC)C1 ZINC000221574669 398051773 /nfs/dbraw/zinc/05/17/73/398051773.db2.gz TYIFJURZEUEEOG-BZPMIXESSA-N 0 3 240.391 2.589 20 0 BFADHN CC(C)COCCN1CCC[C@@H](OC(C)C)C1 ZINC000649704073 398052007 /nfs/dbraw/zinc/05/20/07/398052007.db2.gz VHMUDFQGJBWSRH-CQSZACIVSA-N 0 3 243.391 2.548 20 0 BFADHN CN(Cc1c2c(nn1C)CCCC2)CC(C)(C)C ZINC000637960237 398054677 /nfs/dbraw/zinc/05/46/77/398054677.db2.gz NNXDSVDFBRNRQM-UHFFFAOYSA-N 0 3 249.402 2.777 20 0 BFADHN CSCCCCCNCc1c(C)noc1C ZINC000185167310 398057122 /nfs/dbraw/zinc/05/71/22/398057122.db2.gz FFNADCUQNNGIPL-UHFFFAOYSA-N 0 3 242.388 2.914 20 0 BFADHN CCc1ccc(CNC[C@@H](O)c2ccccc2)o1 ZINC000051722246 398057619 /nfs/dbraw/zinc/05/76/19/398057619.db2.gz SZRILXWCMMNLAL-OAHLLOKOSA-N 0 3 245.322 2.665 20 0 BFADHN CSCCCCCNCc1ccco1 ZINC000185161359 398057947 /nfs/dbraw/zinc/05/79/47/398057947.db2.gz BAGGISUCPUXDPN-UHFFFAOYSA-N 0 3 213.346 2.903 20 0 BFADHN C[C@H](CC(C)(C)O)N[C@H]1CCCc2cccnc21 ZINC000384707302 398102670 /nfs/dbraw/zinc/10/26/70/398102670.db2.gz CMPQQQKVKSMCFK-YPMHNXCESA-N 0 3 248.370 2.598 20 0 BFADHN CC/C=C\CNCc1cnn(CC(F)(F)F)c1 ZINC000384726606 398103325 /nfs/dbraw/zinc/10/33/25/398103325.db2.gz ILEQHWIBDNXONC-ARJAWSKDSA-N 0 3 247.264 2.501 20 0 BFADHN CC/C=C/CNCc1cnn(CC(F)(F)F)c1 ZINC000384726608 398103545 /nfs/dbraw/zinc/10/35/45/398103545.db2.gz ILEQHWIBDNXONC-ONEGZZNKSA-N 0 3 247.264 2.501 20 0 BFADHN Cc1cc(CN2CCCCCCC2)ncn1 ZINC000292229652 398103774 /nfs/dbraw/zinc/10/37/74/398103774.db2.gz RLMMIXOKDZFEQR-UHFFFAOYSA-N 0 3 219.332 2.551 20 0 BFADHN C/C=C\CN[C@@H](C)c1cc(OC)ccc1OC ZINC000384737854 398104162 /nfs/dbraw/zinc/10/41/62/398104162.db2.gz LDXZFACRBXCLIJ-GZTOBOFZSA-N 0 3 235.327 2.931 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cc(C)ncn1 ZINC000292645318 398107239 /nfs/dbraw/zinc/10/72/39/398107239.db2.gz ZVSSDBYMWIWRFQ-LLVKDONJSA-N 0 3 221.348 2.653 20 0 BFADHN COC1(CCN(C)Cc2occc2C)CCC1 ZINC000293647060 398116081 /nfs/dbraw/zinc/11/60/81/398116081.db2.gz SDKXHPQNEUSYGM-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN CCCC(C)(C)NCc1cnc(OC)s1 ZINC000389609096 398116815 /nfs/dbraw/zinc/11/68/15/398116815.db2.gz AKEMYYNHGWUCSH-UHFFFAOYSA-N 0 3 228.361 2.820 20 0 BFADHN Cc1cnc([C@H](C)NC2(C3CCC3)CC2)cn1 ZINC000649811440 398118753 /nfs/dbraw/zinc/11/87/53/398118753.db2.gz RMGCPVQBACYUBK-NSHDSACASA-N 0 3 231.343 2.768 20 0 BFADHN C[C@H](NCc1cn(C)cn1)[C@@H]1CCC[C@@H](C)C1 ZINC000649814712 398118931 /nfs/dbraw/zinc/11/89/31/398118931.db2.gz MHXQRZZODQNKBZ-FRRDWIJNSA-N 0 3 235.375 2.725 20 0 BFADHN c1c(CNC2(C3CCC3)CC2)nn2c1CCCC2 ZINC000649811358 398118955 /nfs/dbraw/zinc/11/89/55/398118955.db2.gz OVQWTDKXLFNJKB-UHFFFAOYSA-N 0 3 245.370 2.642 20 0 BFADHN COc1ncccc1CNC1(C2CCC2)CC1 ZINC000649811558 398119749 /nfs/dbraw/zinc/11/97/49/398119749.db2.gz WSCRYHPWZHTLQJ-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN COc1ncc(CNC[C@H]2CC[C@@H](C)C2)s1 ZINC000309371484 398119840 /nfs/dbraw/zinc/11/98/40/398119840.db2.gz PLRXQUIKXKFGHB-ZJUUUORDSA-N 0 3 240.372 2.678 20 0 BFADHN CCN(C/C=C/c1ccc(F)cc1)[C@H](C)CO ZINC000292812803 398109314 /nfs/dbraw/zinc/10/93/14/398109314.db2.gz OXVXWHDYSTWVMQ-ZYOFXKKJSA-N 0 3 237.318 2.542 20 0 BFADHN Cc1c2cccc(C)c2oc1CN(C)C[C@H](C)O ZINC000649820247 398121283 /nfs/dbraw/zinc/12/12/83/398121283.db2.gz XRGWXPBLLQZXGK-NSHDSACASA-N 0 3 247.338 2.862 20 0 BFADHN C[C@@H](CO)C1CCN(Cc2cccs2)CC1 ZINC000649800492 398109897 /nfs/dbraw/zinc/10/98/97/398109897.db2.gz UYFMKFMTGOBAFF-NSHDSACASA-N 0 3 239.384 2.589 20 0 BFADHN Cc1c2cccc(C)c2oc1CN(C)CCCO ZINC000649819519 398121455 /nfs/dbraw/zinc/12/14/55/398121455.db2.gz ZMGCRSKILAYUGM-UHFFFAOYSA-N 0 3 247.338 2.864 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCCO[C@@H](C)C1 ZINC000245405922 398115273 /nfs/dbraw/zinc/11/52/73/398115273.db2.gz VMSSAQBMTJDFMR-NWDGAFQWSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H](NC1CC(C(C)(C)C)C1)c1nccn1C ZINC000340454231 398124476 /nfs/dbraw/zinc/12/44/76/398124476.db2.gz LZSAZVZZFRBKCC-VOMCLLRMSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@@H](C)N1CCN(C/C=C(\C)Cl)CC1 ZINC000528516833 398124516 /nfs/dbraw/zinc/12/45/16/398124516.db2.gz FSJQDDPEGPLATI-JXDHDYMSSA-N 0 3 230.783 2.545 20 0 BFADHN C[C@@H](c1ccco1)N(C)CCCc1cncn1C ZINC000572011207 398129171 /nfs/dbraw/zinc/12/91/71/398129171.db2.gz AVQOAKHUQKEXHA-LBPRGKRZSA-N 0 3 247.342 2.639 20 0 BFADHN Fc1ccc(CN[C@H]2CCCOC2)cc1Cl ZINC000070014295 398122296 /nfs/dbraw/zinc/12/22/96/398122296.db2.gz JMIWPKAHNRJBPF-JTQLQIEISA-N 0 3 243.709 2.748 20 0 BFADHN CC(C)=CCN1C[C@H](C)OCC12CCC2 ZINC000649821680 398122478 /nfs/dbraw/zinc/12/24/78/398122478.db2.gz NXOMLJOVPNETTF-LBPRGKRZSA-N 0 3 209.333 2.596 20 0 BFADHN CC[C@H](NCc1conc1C)[C@@H]1CC1(C)C ZINC000398113767 322864712 /nfs/dbraw/zinc/86/47/12/322864712.db2.gz SXGDZESVKYTGQG-RYUDHWBXSA-N 0 3 222.332 2.897 20 0 BFADHN CC(C)NCc1nc2c(s1)C[C@H](C)CC2 ZINC000053295500 167122136 /nfs/dbraw/zinc/12/21/36/167122136.db2.gz ZGXVMYAVMWKVHF-SECBINFHSA-N 0 3 224.373 2.766 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)C[C@H](O)C1CC1 ZINC000685460764 487529169 /nfs/dbraw/zinc/52/91/69/487529169.db2.gz FBXOPSRDYLPAOC-FAAWYNLUSA-N 0 3 249.329 2.542 20 0 BFADHN C[C@@H](CCC1CC1)NCc1nccs1 ZINC000184566515 175661371 /nfs/dbraw/zinc/66/13/71/175661371.db2.gz PMEXWTWQDHSYMC-VIFPVBQESA-N 0 3 210.346 2.811 20 0 BFADHN CN(Cc1cc2cc(F)ccc2o1)C1(CO)CC1 ZINC000668801054 487562087 /nfs/dbraw/zinc/56/20/87/487562087.db2.gz JSCVDHMEZQTUBB-UHFFFAOYSA-N 0 3 249.285 2.529 20 0 BFADHN C/C(=C\c1ccccc1)CN(C)C1(CO)CC1 ZINC000668800901 487562273 /nfs/dbraw/zinc/56/22/73/487562273.db2.gz DTYGDLSKNVQUIX-JLHYYAGUSA-N 0 3 231.339 2.547 20 0 BFADHN CC(C)OC(=O)CCN[C@H](C)c1cccs1 ZINC000182546554 167156143 /nfs/dbraw/zinc/15/61/43/167156143.db2.gz XWUNXGIAJDZHRW-SNVBAGLBSA-N 0 3 241.356 2.740 20 0 BFADHN Cc1cn[nH]c1CN[C@@H]1CCc2ccc(F)cc21 ZINC000685615467 487563767 /nfs/dbraw/zinc/56/37/67/487563767.db2.gz HUGRXPQIRXFSFM-CYBMUJFWSA-N 0 3 245.301 2.634 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1ccc(F)c(F)c1 ZINC000220622523 490067793 /nfs/dbraw/zinc/06/77/93/490067793.db2.gz SSFZJDANZMRXPF-UFBFGSQYSA-N 0 3 243.322 2.948 20 0 BFADHN C[C@H](N[C@H]1CCS[C@H]1C)c1ccccn1 ZINC000220628121 490067832 /nfs/dbraw/zinc/06/78/32/490067832.db2.gz UKSFOXQIPXXMMY-NHCYSSNCSA-N 0 3 222.357 2.626 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cccc(O)c2)CS1 ZINC000655704760 487565604 /nfs/dbraw/zinc/56/56/04/487565604.db2.gz KFZDMGFQYKIVRO-PWSUYJOCSA-N 0 3 237.368 2.766 20 0 BFADHN CC(C)OC1CC(NCc2ccccc2F)C1 ZINC000185851758 167176998 /nfs/dbraw/zinc/17/69/98/167176998.db2.gz JFNOMTVYAPAPQW-UHFFFAOYSA-N 0 3 237.318 2.871 20 0 BFADHN CC(C)OC1CC(N2CCC(F)(F)[C@H](C)C2)C1 ZINC000335172421 167176834 /nfs/dbraw/zinc/17/68/34/167176834.db2.gz ZDGFUWQXASVJJT-VOMCLLRMSA-N 0 3 247.329 2.920 20 0 BFADHN CCc1nn(C)cc1CN(C)C[C@H]1CC=CCC1 ZINC000680334245 487566774 /nfs/dbraw/zinc/56/67/74/487566774.db2.gz KZNOYIVQCUNFOT-ZDUSSCGKSA-N 0 3 247.386 2.771 20 0 BFADHN Cc1cccc([C@@H]2CCN(Cc3cn[nH]c3)C2)c1 ZINC000680334285 487566921 /nfs/dbraw/zinc/56/69/21/487566921.db2.gz MHUOCNUHSZKMGY-OAHLLOKOSA-N 0 3 241.338 2.708 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(C)C2(CO)CCC2)o1 ZINC000668802809 487568137 /nfs/dbraw/zinc/56/81/37/487568137.db2.gz YVSIYTDYUOUQIG-YPMHNXCESA-N 0 3 249.354 2.750 20 0 BFADHN c1csc(CN2CC(C3CCOCC3)C2)c1 ZINC000669715796 487568510 /nfs/dbraw/zinc/56/85/10/487568510.db2.gz LWKNBXVTUCDGSF-UHFFFAOYSA-N 0 3 237.368 2.607 20 0 BFADHN CCOc1ccc(CN(C)CC(C)C)nc1 ZINC000674388417 487569616 /nfs/dbraw/zinc/56/96/16/487569616.db2.gz HNGGZSKLSCXUBY-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1ccc(C)nc1C ZINC000685664018 487571734 /nfs/dbraw/zinc/57/17/34/487571734.db2.gz YHQCZMIOJTXYBV-KGYLQXTDSA-N 0 3 236.359 2.772 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1ncccc1N(C)C ZINC000685660647 487572077 /nfs/dbraw/zinc/57/20/77/487572077.db2.gz BWQHULVNXYZGTP-CHWSQXEVSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@H](CC1CCC1)NCc1ncccc1N(C)C ZINC000685660809 487572550 /nfs/dbraw/zinc/57/25/50/487572550.db2.gz VEHXEFHLXZAENU-GFCCVEGCSA-N 0 3 247.386 2.816 20 0 BFADHN CC(C)OCCCCN[C@H](C)c1ccccn1 ZINC000180464274 167206620 /nfs/dbraw/zinc/20/66/20/167206620.db2.gz HROSZCIFPQFVHN-CYBMUJFWSA-N 0 3 236.359 2.937 20 0 BFADHN CC(C)OCCCCNCc1ccco1 ZINC000134796900 167207431 /nfs/dbraw/zinc/20/74/31/167207431.db2.gz KYUICNNHAVCDCQ-UHFFFAOYSA-N 0 3 211.305 2.574 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCCCOC(C)C ZINC000680379853 487580098 /nfs/dbraw/zinc/58/00/98/487580098.db2.gz NZEDCWTUWSHFFE-JTQLQIEISA-N 0 3 240.347 2.757 20 0 BFADHN CC(C)OCCCN[C@H](C)c1ccncc1F ZINC000338369029 167223362 /nfs/dbraw/zinc/22/33/62/167223362.db2.gz LEIYNOMXSFLSPC-LLVKDONJSA-N 0 3 240.322 2.686 20 0 BFADHN CC(C)OCCN(C)C1CC(OC(C)(C)C)C1 ZINC000341627372 167227084 /nfs/dbraw/zinc/22/70/84/167227084.db2.gz QHGNVAAYOPDJHT-UHFFFAOYSA-N 0 3 243.391 2.689 20 0 BFADHN CN(Cc1c(C2CC2)cnn1C)C[C@@H]1CC1(C)C ZINC000668814334 487580874 /nfs/dbraw/zinc/58/08/74/487580874.db2.gz GUBFYPYEWJDDDS-LBPRGKRZSA-N 0 3 247.386 2.775 20 0 BFADHN CC(C)OCCN(Cc1cccnc1)C(C)C ZINC000119768683 167228603 /nfs/dbraw/zinc/22/86/03/167228603.db2.gz OSJFSRDFUOJOBN-UHFFFAOYSA-N 0 3 236.359 2.717 20 0 BFADHN Cc1c[nH]nc1CN(C)[C@H](C)C(C)(C)C ZINC000685833992 487581668 /nfs/dbraw/zinc/58/16/68/487581668.db2.gz FHUPUYQFCSDCPU-SNVBAGLBSA-N 0 3 209.337 2.585 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)C[C@@H]1CC1(C)C ZINC000668815394 487583766 /nfs/dbraw/zinc/58/37/66/487583766.db2.gz MEQXTRBWGSNMCZ-NSHDSACASA-N 0 3 221.348 2.504 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)C[C@H]1CC1(C)C ZINC000668815393 487584077 /nfs/dbraw/zinc/58/40/77/487584077.db2.gz MEQXTRBWGSNMCZ-LLVKDONJSA-N 0 3 221.348 2.504 20 0 BFADHN COCc1ccc(CN(C)C[C@H]2CC2(C)C)o1 ZINC000668815800 487584884 /nfs/dbraw/zinc/58/48/84/487584884.db2.gz WYARUURZEHDEKT-LLVKDONJSA-N 0 3 237.343 2.904 20 0 BFADHN CCn1nc(C)c(CN(C)C[C@@H]2CC2(C)C)c1C ZINC000668815874 487585332 /nfs/dbraw/zinc/58/53/32/487585332.db2.gz XXMOTIVCYFJMKV-ZDUSSCGKSA-N 0 3 249.402 2.998 20 0 BFADHN CCCn1cc(CN(C)C[C@H]2CC2(C)C)cn1 ZINC000668815976 487585405 /nfs/dbraw/zinc/58/54/05/487585405.db2.gz ZUACQCHDNHYMSH-CYBMUJFWSA-N 0 3 235.375 2.771 20 0 BFADHN CC(C)OCCN[C@H]1CCc2c1cccc2F ZINC000168889921 167246181 /nfs/dbraw/zinc/24/61/81/167246181.db2.gz RIYGGCGNSUCYDX-AWEZNQCLSA-N 0 3 237.318 2.828 20 0 BFADHN CC1(C)SC[C@@H]1N[C@@H]1CCCc2cccnc21 ZINC000393846227 487585578 /nfs/dbraw/zinc/58/55/78/487585578.db2.gz FDYMCCGCBMROCG-NEPJUHHUSA-N 0 3 248.395 2.943 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2nccn2C)CC1 ZINC000086908975 487586227 /nfs/dbraw/zinc/58/62/27/487586227.db2.gz QBQBJPSGYLRQLD-CHWSQXEVSA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H](C)CC(F)(F)F ZINC000308892547 167265547 /nfs/dbraw/zinc/26/55/47/167265547.db2.gz YDLKMLDDRNZGNT-IUCAKERBSA-N 0 3 227.270 2.730 20 0 BFADHN CC[C@H](NCc1cccc(C)n1)[C@H]1CCCCO1 ZINC000653873727 487600475 /nfs/dbraw/zinc/60/04/75/487600475.db2.gz VXVDZRJFCMWMHE-LSDHHAIUSA-N 0 3 248.370 2.827 20 0 BFADHN CCC(CC)CN(C)Cc1ccc(OC)nc1 ZINC000680481061 487603731 /nfs/dbraw/zinc/60/37/31/487603731.db2.gz VFBJRJFGQRKGAF-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN C[C@]1(C(F)F)CN(C[C@@H]2CC=CCC2)CCO1 ZINC000668823650 487604837 /nfs/dbraw/zinc/60/48/37/487604837.db2.gz AUZSWYBNZQGSQQ-DGCLKSJQSA-N 0 3 245.313 2.699 20 0 BFADHN CC(C)SCCN(C)C[C@@H]1CCCCO1 ZINC000336692889 167321323 /nfs/dbraw/zinc/32/13/23/167321323.db2.gz NDMRHYMXLPPUGS-LBPRGKRZSA-N 0 3 231.405 2.629 20 0 BFADHN CC[C@H](C(=O)OC(C)(C)C)N(CC)CC1CC1 ZINC000192021247 487606106 /nfs/dbraw/zinc/60/61/06/487606106.db2.gz VVZCDBMXSWDKRX-GFCCVEGCSA-N 0 3 241.375 2.839 20 0 BFADHN CC(C)SCCN1CC(C)(C)OC[C@H]1C ZINC000338378831 167323231 /nfs/dbraw/zinc/32/32/31/167323231.db2.gz UYJVGAVFNGGWKF-LLVKDONJSA-N 0 3 231.405 2.627 20 0 BFADHN CC(C)SCCN1CCS[C@@H](C)[C@@H]1C ZINC000336680750 167325333 /nfs/dbraw/zinc/32/53/33/167325333.db2.gz GSVXQKGAJCINBW-QWRGUYRKSA-N 0 3 233.446 2.954 20 0 BFADHN CC(C)SCCN1CCOCC12CCCC2 ZINC000374864342 167325466 /nfs/dbraw/zinc/32/54/66/167325466.db2.gz RIZYUHHUQFNZCN-UHFFFAOYSA-N 0 3 243.416 2.773 20 0 BFADHN CC(C)SCCN1CCOC2(CCCC2)C1 ZINC000374340055 167326428 /nfs/dbraw/zinc/32/64/28/167326428.db2.gz ZRTQRZMXDZNRFG-UHFFFAOYSA-N 0 3 243.416 2.773 20 0 BFADHN CC(C)SCCN1CC[C@](C)(F)C1 ZINC000336645793 167327264 /nfs/dbraw/zinc/32/72/64/167327264.db2.gz ZIYQVQWEQDVWCM-JTQLQIEISA-N 0 3 205.342 2.562 20 0 BFADHN Cc1cccc(CCN(C)CC2=CCSC2)n1 ZINC000662267545 409568236 /nfs/dbraw/zinc/56/82/36/409568236.db2.gz VDVBGYFOWCPDFZ-UHFFFAOYSA-N 0 3 248.395 2.538 20 0 BFADHN CC(C)Cn1nccc1CNC1(C2CCC2)CC1 ZINC000651958631 409692311 /nfs/dbraw/zinc/69/23/11/409692311.db2.gz KUFZYQUPEQMNEJ-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@@]1(NCc2ccncc2F)CC=CCC1 ZINC000335240351 487610577 /nfs/dbraw/zinc/61/05/77/487610577.db2.gz MBEZSRLZOTYBIB-CYBMUJFWSA-N 0 3 220.291 2.809 20 0 BFADHN Cc1ncsc1CN(C)C[C@@H]1CC1(C)C ZINC000662342884 409653547 /nfs/dbraw/zinc/65/35/47/409653547.db2.gz FTEKOPGPXGMSCY-JTQLQIEISA-N 0 3 224.373 2.929 20 0 BFADHN Cc1nc(CN2C[C@@H]3CCCC[C@@H]3C2)co1 ZINC000660724883 409654082 /nfs/dbraw/zinc/65/40/82/409654082.db2.gz WYGJHAIEWLEGEL-TXEJJXNPSA-N 0 3 220.316 2.605 20 0 BFADHN COc1cc(CN2CC3CC2(C)C3)ccc1C ZINC000662385312 409690179 /nfs/dbraw/zinc/69/01/79/409690179.db2.gz RSXDHOJFSRUJDJ-UHFFFAOYSA-N 0 3 231.339 2.988 20 0 BFADHN CC[C@@H](C)N1CCN(CCC=C(C)C)CC1 ZINC000660740064 409690516 /nfs/dbraw/zinc/69/05/16/409690516.db2.gz ZIAIMCAQFGBVMX-CQSZACIVSA-N 0 3 224.392 2.759 20 0 BFADHN CC(C)N(Cc1cn(C)cn1)C1CC(C)(C)C1 ZINC000660759414 409723542 /nfs/dbraw/zinc/72/35/42/409723542.db2.gz ASYMWSFSNLLSEA-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN CC(C)SCCN[C@@H](C)c1cncs1 ZINC000286890030 167331412 /nfs/dbraw/zinc/33/14/12/167331412.db2.gz DAZSFIMRCCAHDY-VIFPVBQESA-N 0 3 230.402 2.935 20 0 BFADHN CCCn1cc(CNC2(C3CCC3)CC2)cn1 ZINC000651959252 409730384 /nfs/dbraw/zinc/73/03/84/409730384.db2.gz FRLZBYPHTCMFTG-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN CC(C)SCCN[C@@H](CO)c1ccsc1 ZINC000336758942 167331821 /nfs/dbraw/zinc/33/18/21/167331821.db2.gz KZQHJIYFUCIGKL-NSHDSACASA-N 0 3 245.413 2.513 20 0 BFADHN CC[C@@H](NCc1cc(OC)no1)[C@H]1CC1(C)C ZINC000660824741 409802277 /nfs/dbraw/zinc/80/22/77/409802277.db2.gz QJFWOUBVJDDYNX-GHMZBOCLSA-N 0 3 238.331 2.598 20 0 BFADHN CC[C@@H](NCc1cc(OC)no1)[C@@H]1CC1(C)C ZINC000660824746 409802602 /nfs/dbraw/zinc/80/26/02/409802602.db2.gz QJFWOUBVJDDYNX-WDEREUQCSA-N 0 3 238.331 2.598 20 0 BFADHN CC(C)=CCN1CCN(C2CCCC2)CC1 ZINC000045768066 409880535 /nfs/dbraw/zinc/88/05/35/409880535.db2.gz BBCHYMUEKHRSQC-UHFFFAOYSA-N 0 3 222.376 2.513 20 0 BFADHN C[C@@H](CF)NC[C@H]1C[C@@H]1c1ccccc1 ZINC000308946555 409967222 /nfs/dbraw/zinc/96/72/22/409967222.db2.gz SHVADNMSNHUUCT-CYZMBNFOSA-N 0 3 207.292 2.738 20 0 BFADHN CSc1cccc(CN(C)[C@H](C)CCO)c1 ZINC000660920983 409968990 /nfs/dbraw/zinc/96/89/90/409968990.db2.gz YTLUCAPMKXCXJT-LLVKDONJSA-N 0 3 239.384 2.611 20 0 BFADHN CN(Cc1cnc2ccccc2n1)C(C)(C)C ZINC000660902821 409948249 /nfs/dbraw/zinc/94/82/49/409948249.db2.gz LLXZLVFQHDFTNO-UHFFFAOYSA-N 0 3 229.327 2.860 20 0 BFADHN COc1ccc(CN(C)[C@@H](C)CC(C)C)cn1 ZINC000674751759 487611829 /nfs/dbraw/zinc/61/18/29/487611829.db2.gz SHEHJVDLHIGCOX-LBPRGKRZSA-N 0 3 236.359 2.957 20 0 BFADHN Cc1ncc(CN(CC(C)C)C(C)C)n1C ZINC000667530528 487612492 /nfs/dbraw/zinc/61/24/92/487612492.db2.gz AAJKKBZFSDODCL-UHFFFAOYSA-N 0 3 223.364 2.595 20 0 BFADHN Brc1ccc(CNC2CCC2)o1 ZINC000052206954 166097245 /nfs/dbraw/zinc/09/72/45/166097245.db2.gz MMYXWEJNQJXGNG-UHFFFAOYSA-N 0 3 230.105 2.684 20 0 BFADHN C[C@@H](COCC1CC1)NCc1ccccc1 ZINC000651981402 410120523 /nfs/dbraw/zinc/12/05/23/410120523.db2.gz LAQGZJMMOXHMTR-LBPRGKRZSA-N 0 3 219.328 2.591 20 0 BFADHN Cc1ccc(CN[C@@H](C)COCC2CC2)s1 ZINC000651981703 410121673 /nfs/dbraw/zinc/12/16/73/410121673.db2.gz YLNLPYKOEJIYFA-JTQLQIEISA-N 0 3 239.384 2.961 20 0 BFADHN C[C@@H](N[C@@H](C)COCC1CC1)c1ccccn1 ZINC000651983283 410128275 /nfs/dbraw/zinc/12/82/75/410128275.db2.gz VWBKNMYGHZVVIE-NWDGAFQWSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@@H](C)N(CC)CC(=O)NC1CCCCC1 ZINC000661105147 410241854 /nfs/dbraw/zinc/24/18/54/410241854.db2.gz GAYHYWSBSIKHMJ-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN c1cc(CN[C@@H]2CNc3ccccc3C2)cs1 ZINC000650716608 410351211 /nfs/dbraw/zinc/35/12/11/410351211.db2.gz DIJIXCLDAFIGPG-ZDUSSCGKSA-N 0 3 244.363 2.875 20 0 BFADHN CC(C)Oc1ncccc1CNC[C@@H]1C[C@@H]1C ZINC000683110504 487615330 /nfs/dbraw/zinc/61/53/30/487615330.db2.gz ROJMYPYFPKEHET-AAEUAGOBSA-N 0 3 234.343 2.614 20 0 BFADHN CC(C)(C)c1ccn(CCN2CCCCC2)n1 ZINC000664043850 410444888 /nfs/dbraw/zinc/44/48/88/410444888.db2.gz IRPLRCCDTFLDBC-UHFFFAOYSA-N 0 3 235.375 2.667 20 0 BFADHN COCC[C@@H](C)N1CC(C)(C)[C@@H]1c1cccnc1 ZINC000664826586 410638108 /nfs/dbraw/zinc/63/81/08/410638108.db2.gz UHPQHPXIYKZBIC-OCCSQVGLSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@H](CO)CN1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661438294 410659012 /nfs/dbraw/zinc/65/90/12/410659012.db2.gz SZTVBMQQNKONNL-GXTWGEPZSA-N 0 3 249.354 2.825 20 0 BFADHN CC(C)C1(NCc2nc3ccccc3n2C)CC1 ZINC000292858978 166752965 /nfs/dbraw/zinc/75/29/65/166752965.db2.gz ANAWDOXMRXNUTL-UHFFFAOYSA-N 0 3 243.354 2.852 20 0 BFADHN COc1ccnc(CN2CC[C@H](C3CCC3)C2)c1 ZINC000665286942 410771626 /nfs/dbraw/zinc/77/16/26/410771626.db2.gz YKHAUOWSDITWSV-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ncccc1CN1CC[C@H](C2CCC2)C1 ZINC000665289935 410778906 /nfs/dbraw/zinc/77/89/06/410778906.db2.gz CDTFPVGCJMIOSO-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN CCc1ncc(CN[C@H]2CCS[C@H]2C)s1 ZINC000308909285 410813754 /nfs/dbraw/zinc/81/37/54/410813754.db2.gz FNHFPYFOFNDPIX-WPRPVWTQSA-N 0 3 242.413 2.689 20 0 BFADHN c1coc([C@H]2N(CC3CC3)CC23CCOCC3)c1 ZINC000661560609 410822312 /nfs/dbraw/zinc/82/23/12/410822312.db2.gz HOWNRQSWTWUESG-CQSZACIVSA-N 0 3 247.338 2.843 20 0 BFADHN CC(C)CNCc1nc(C2CC2)cs1 ZINC000068911507 167009213 /nfs/dbraw/zinc/00/92/13/167009213.db2.gz ZCCAMQKMFIRQNS-UHFFFAOYSA-N 0 3 210.346 2.766 20 0 BFADHN CC(C)CNCc1nccn1Cc1ccccc1 ZINC000006687850 167010802 /nfs/dbraw/zinc/01/08/02/167010802.db2.gz MZJXTIBYCYVGCU-UHFFFAOYSA-N 0 3 243.354 2.677 20 0 BFADHN CC(C)NCc1cc(O)ccc1Br ZINC000083372239 167116612 /nfs/dbraw/zinc/11/66/12/167116612.db2.gz NVQLWOHDYWCWTG-UHFFFAOYSA-N 0 3 244.132 2.653 20 0 BFADHN CC(C)NCc1nccn1CCc1ccccc1 ZINC000051014834 167122536 /nfs/dbraw/zinc/12/25/36/167122536.db2.gz PTYNLJCMCWMBJD-UHFFFAOYSA-N 0 3 243.354 2.624 20 0 BFADHN CC(C)N[C@H](C)c1nc2ccccc2n1C ZINC000019880903 167138710 /nfs/dbraw/zinc/13/87/10/167138710.db2.gz QVCCOMSRDSYFMU-SNVBAGLBSA-N 0 3 217.316 2.632 20 0 BFADHN CC(C)OC(=O)CCN[C@@H](C)c1cccs1 ZINC000182546535 167157315 /nfs/dbraw/zinc/15/73/15/167157315.db2.gz XWUNXGIAJDZHRW-JTQLQIEISA-N 0 3 241.356 2.740 20 0 BFADHN CC(C)OCCCN(C)Cc1ccco1 ZINC000171497265 167208767 /nfs/dbraw/zinc/20/87/67/167208767.db2.gz PJOUEAYYHCXPGZ-UHFFFAOYSA-N 0 3 211.305 2.527 20 0 BFADHN CC(C)OCCCNCc1ccc(Cl)o1 ZINC000037487059 167222566 /nfs/dbraw/zinc/22/25/66/167222566.db2.gz YTTUOEJHSHLKPO-UHFFFAOYSA-N 0 3 231.723 2.838 20 0 BFADHN CC(C)OCCCNCc1ccc(F)cc1F ZINC000020197853 167222930 /nfs/dbraw/zinc/22/29/30/167222930.db2.gz RLFJNUOYXKWQAR-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CC(C)OCCCNCc1cc(F)cc(F)c1 ZINC000083371482 167223280 /nfs/dbraw/zinc/22/32/80/167223280.db2.gz YLIFVAGAIXIMND-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CC(C)OCCN1CCC[C@@H]1c1cccnc1 ZINC000336681467 167231577 /nfs/dbraw/zinc/23/15/77/167231577.db2.gz CUALNPSMDMDJPB-CQSZACIVSA-N 0 3 234.343 2.644 20 0 BFADHN CC(C)OCCN1CCC(c2ccccn2)CC1 ZINC000372214989 167232203 /nfs/dbraw/zinc/23/22/03/167232203.db2.gz BEBUXLCKXRSPNY-UHFFFAOYSA-N 0 3 248.370 2.686 20 0 BFADHN CC(C)OCCN1CC[C@@H](Nc2ccccc2)C1 ZINC000102845330 167234569 /nfs/dbraw/zinc/23/45/69/167234569.db2.gz SCQPJACXVSQVFD-OAHLLOKOSA-N 0 3 248.370 2.598 20 0 BFADHN CC(C)OCCN1C[C@H](C)C[C@H]1c1cccnc1 ZINC000368418838 167236364 /nfs/dbraw/zinc/23/63/64/167236364.db2.gz GZXYMAYUGAGOND-HIFRSBDPSA-N 0 3 248.370 2.890 20 0 BFADHN CC(C)OCCN[C@H]1CCCc2c(O)cccc21 ZINC000192346107 167247160 /nfs/dbraw/zinc/24/71/60/167247160.db2.gz QEEYAUUIAUOHIE-AWEZNQCLSA-N 0 3 249.354 2.784 20 0 BFADHN CC(C)OC[C@@H](C)NCc1cc(F)cc(F)c1 ZINC000337348624 167257140 /nfs/dbraw/zinc/25/71/40/167257140.db2.gz ZFKKLKSSPUUKKG-SNVBAGLBSA-N 0 3 243.297 2.868 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H](C)c1ccccn1 ZINC000336744989 167265975 /nfs/dbraw/zinc/26/59/75/167265975.db2.gz QKBAFHPWYFRVEG-RYUDHWBXSA-N 0 3 222.332 2.546 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H](c1cccnc1)C1CC1 ZINC000337282202 167266590 /nfs/dbraw/zinc/26/65/90/167266590.db2.gz ICTYRAHHBQMZHZ-SWLSCSKDSA-N 0 3 248.370 2.936 20 0 BFADHN CC(C)O[C@@H]1C[C@@H](NCc2ccco2)C1(C)C ZINC000185186753 167281124 /nfs/dbraw/zinc/28/11/24/167281124.db2.gz VQJSRAGKHVZPIV-CHWSQXEVSA-N 0 3 237.343 2.961 20 0 BFADHN CC(C)Oc1ccc([C@H](C)N[C@@H]2CCOC2)cc1 ZINC000112758593 167301593 /nfs/dbraw/zinc/30/15/93/167301593.db2.gz RJRMEDKRSLZYJN-GXTWGEPZSA-N 0 3 249.354 2.913 20 0 BFADHN CC(C)Oc1cccc(CNC[C@H]2CCCO2)c1 ZINC000032104797 167307407 /nfs/dbraw/zinc/30/74/07/167307407.db2.gz HHZVUTIZOPBDEG-OAHLLOKOSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)Oc1ccccc1CN[C@H]1C[C@@H]1C ZINC000052273640 167310843 /nfs/dbraw/zinc/31/08/43/167310843.db2.gz HEQNFRCADDCGOT-AAEUAGOBSA-N 0 3 219.328 2.972 20 0 BFADHN CC(C)SCCN[C@H](C)c1cccnc1 ZINC000283030985 167332694 /nfs/dbraw/zinc/33/26/94/167332694.db2.gz HRDCSJCGYWMVNU-LLVKDONJSA-N 0 3 224.373 2.874 20 0 BFADHN CC(C)[C@@H](C)N(Cc1ccc(CO)o1)C1CC1 ZINC000156982043 167371084 /nfs/dbraw/zinc/37/10/84/167371084.db2.gz GQFYEXWNUOGIKN-LLVKDONJSA-N 0 3 237.343 2.781 20 0 BFADHN CC(C)[C@@H](CCO)NCc1sccc1Cl ZINC000278521704 167393122 /nfs/dbraw/zinc/39/31/22/167393122.db2.gz QIVBQTBKQMWOHE-SNVBAGLBSA-N 0 3 247.791 2.898 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1csc(C)c1 ZINC000651337879 410924327 /nfs/dbraw/zinc/92/43/27/410924327.db2.gz CRWNVXPWBXOGMF-MVWJERBFSA-N 0 3 225.357 2.885 20 0 BFADHN C[C@H](CNCc1cccc(O)c1)C(F)(F)F ZINC000309362207 410928245 /nfs/dbraw/zinc/92/82/45/410928245.db2.gz OXEWDAZYWPJWEH-MRVPVSSYSA-N 0 3 233.233 2.680 20 0 BFADHN CN(C)C1(CNc2nccc3sccc32)CC1 ZINC000397832599 410935651 /nfs/dbraw/zinc/93/56/51/410935651.db2.gz DIPCTNOHDGKNPN-UHFFFAOYSA-N 0 3 247.367 2.802 20 0 BFADHN CC(C)[C@H]1C[C@H](NCc2cccnc2)CS1 ZINC000277355875 167503692 /nfs/dbraw/zinc/50/36/92/167503692.db2.gz WCTWWORAFYCBEO-QWHCGFSZSA-N 0 3 236.384 2.701 20 0 BFADHN CN(CCC(C)(C)C)C(=O)C1(N)CCCCC1 ZINC000399726928 411061040 /nfs/dbraw/zinc/06/10/40/411061040.db2.gz HZHPNSGYHJCZNO-UHFFFAOYSA-N 0 3 240.391 2.543 20 0 BFADHN Cc1ncc([C@H](C)NC[C@H]2CC[C@@H](C)O2)s1 ZINC000651499438 411073482 /nfs/dbraw/zinc/07/34/82/411073482.db2.gz TZCCLELSULXQFN-WCABBAIRSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H]2CC[C@@H](C)O2)c(C)n1 ZINC000651499421 411074058 /nfs/dbraw/zinc/07/40/58/411074058.db2.gz NJGOIFRIEUMUQX-MBNYWOFBSA-N 0 3 248.370 2.917 20 0 BFADHN CCC1(CN[C@@H](C)c2cnc(C)s2)COC1 ZINC000651460825 411043181 /nfs/dbraw/zinc/04/31/81/411043181.db2.gz GRWNPFPPIFGRGU-VIFPVBQESA-N 0 3 240.372 2.529 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC[C@H]2CC)o1 ZINC000651462440 411044374 /nfs/dbraw/zinc/04/43/74/411044374.db2.gz FRJSMYSTUZHWCE-ZYHUDNBSSA-N 0 3 222.332 2.905 20 0 BFADHN COC[C@H](CC(C)(C)C)NCc1ccoc1 ZINC000651685435 411135999 /nfs/dbraw/zinc/13/59/99/411135999.db2.gz SWXUEAWXWAZWIS-LBPRGKRZSA-N 0 3 225.332 2.820 20 0 BFADHN CC(C)(C)OCCNCc1cc2cnccc2o1 ZINC000651692629 411136812 /nfs/dbraw/zinc/13/68/12/411136812.db2.gz MFJIHMBFCZQDOX-UHFFFAOYSA-N 0 3 248.326 2.733 20 0 BFADHN CC1CC(NCc2cc3cnccc3o2)C1 ZINC000651729589 411139238 /nfs/dbraw/zinc/13/92/38/411139238.db2.gz KYRDBGOQAPQXGD-UHFFFAOYSA-N 0 3 216.284 2.716 20 0 BFADHN CC[C@]1(C)CCC[C@@H]1NCc1cc(C)ncn1 ZINC000651744923 411140772 /nfs/dbraw/zinc/14/07/72/411140772.db2.gz UKVDZPXFRMRAMN-UONOGXRCSA-N 0 3 233.359 2.843 20 0 BFADHN CCOCCN(C)Cc1cccc2c1CCC2 ZINC000651757078 411141560 /nfs/dbraw/zinc/14/15/60/411141560.db2.gz XWBZPLOBECCNGY-UHFFFAOYSA-N 0 3 233.355 2.644 20 0 BFADHN C[C@H](CF)NCc1cccc2c1CCC2 ZINC000651812345 411144865 /nfs/dbraw/zinc/14/48/65/411144865.db2.gz VMXYCBXUGOBVIO-SNVBAGLBSA-N 0 3 207.292 2.623 20 0 BFADHN CCc1cccc(CN2CC3(C2)CCCOC3)c1 ZINC000651828500 411146517 /nfs/dbraw/zinc/14/65/17/411146517.db2.gz MWMKHHZZFJLDSD-UHFFFAOYSA-N 0 3 245.366 2.861 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1cc2cnccc2o1 ZINC000651845980 411147306 /nfs/dbraw/zinc/14/73/06/411147306.db2.gz BSJAPIPCQVCKPK-JOYOIKCWSA-N 0 3 248.351 2.811 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](OC(C)C)C2)cn1 ZINC000651897637 411149724 /nfs/dbraw/zinc/14/97/24/411149724.db2.gz GLNUUZYNHRKAIS-OAHLLOKOSA-N 0 3 248.370 2.779 20 0 BFADHN Cc1cnn(C)c1CN[C@@H]1C[C@H]1CC(C)(C)C ZINC000651911613 411150159 /nfs/dbraw/zinc/15/01/59/411150159.db2.gz MCKLVFKHAMNOGI-NWDGAFQWSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1nocc1CN[C@@H]1C[C@H]1CC(C)(C)C ZINC000651911732 411150220 /nfs/dbraw/zinc/15/02/20/411150220.db2.gz UWMZEGXCOLFJLV-CMPLNLGQSA-N 0 3 222.332 2.897 20 0 BFADHN CCc1nn(C)cc1CN[C@@H]1C[C@H]1CC(C)(C)C ZINC000651911778 411150257 /nfs/dbraw/zinc/15/02/57/411150257.db2.gz YRTNADRHYXUUCG-SMDDNHRTSA-N 0 3 249.402 2.897 20 0 BFADHN C[C@@H]1C[C@H](C)N1CCc1noc2ccccc21 ZINC000653650499 411157725 /nfs/dbraw/zinc/15/77/25/411157725.db2.gz CHIRKVPXFBFCMH-PHIMTYICSA-N 0 3 230.311 2.853 20 0 BFADHN c1ccc([C@H]2CC[C@H](CNC3CSC3)O2)cc1 ZINC000652036804 411087517 /nfs/dbraw/zinc/08/75/17/411087517.db2.gz WIHNUDYNYLUEMB-ZIAGYGMSSA-N 0 3 249.379 2.612 20 0 BFADHN CSc1ccc(CN(C)[C@H](C)CCO)s1 ZINC000652049185 411095081 /nfs/dbraw/zinc/09/50/81/411095081.db2.gz BEOWINCUDXFPEE-SECBINFHSA-N 0 3 245.413 2.673 20 0 BFADHN C[C@@H](CCCO)N[C@@H](C)c1cc2cnccc2o1 ZINC000657903359 411161213 /nfs/dbraw/zinc/16/12/13/411161213.db2.gz BNPNLJXPSPZIHG-QWRGUYRKSA-N 0 3 248.326 2.639 20 0 BFADHN C[C@H](CCO)N(C)Cc1cc(F)cc(Cl)c1 ZINC000652049399 411096209 /nfs/dbraw/zinc/09/62/09/411096209.db2.gz GWKRFQWPEXZEBK-SECBINFHSA-N 0 3 245.725 2.682 20 0 BFADHN Cc1cc(Cl)cc(CN(C)[C@@H](C)CCO)c1 ZINC000652050016 411097535 /nfs/dbraw/zinc/09/75/35/411097535.db2.gz UAXMUBCRQFRXOF-NSHDSACASA-N 0 3 241.762 2.851 20 0 BFADHN Cc1occc1CN1CC[C@]2(CC2(F)F)C1 ZINC000652064476 411103712 /nfs/dbraw/zinc/10/37/12/411103712.db2.gz OWNPQAWPWIJFIU-NSHDSACASA-N 0 3 227.254 2.819 20 0 BFADHN CN(CCCc1cnn(C)c1)Cc1ccsc1 ZINC000047660241 411161715 /nfs/dbraw/zinc/16/17/15/411161715.db2.gz BINCLTONKAZAIR-UHFFFAOYSA-N 0 3 249.383 2.546 20 0 BFADHN CC[C@@H](COCC1CC1)N[C@H](C)c1cccnc1 ZINC000658090655 411242596 /nfs/dbraw/zinc/24/25/96/411242596.db2.gz LVGYPXBSLYVFPN-DOMZBBRYSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)=CCCN1CCS[C@H]2COCC[C@@H]21 ZINC000660016541 411176907 /nfs/dbraw/zinc/17/69/07/411176907.db2.gz GRXCRENRIOXIAN-STQMWFEESA-N 0 3 241.400 2.549 20 0 BFADHN C[C@H](N[C@@H](C)C(C)(C)O)c1cc2cnccc2o1 ZINC000657946033 411176897 /nfs/dbraw/zinc/17/68/97/411176897.db2.gz OVKVJLCURODXKD-UWVGGRQHSA-N 0 3 248.326 2.638 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1ccc(=O)[nH]n1 ZINC000652240865 411178010 /nfs/dbraw/zinc/17/80/10/411178010.db2.gz NYVLUQRZOSUQQI-JFGNBEQYSA-N 0 3 249.358 2.658 20 0 BFADHN C[C@H](NCCC[C@H]1CCOC1)c1cscn1 ZINC000401946339 411181161 /nfs/dbraw/zinc/18/11/61/411181161.db2.gz WHMQJNDBQSLTQB-QWRGUYRKSA-N 0 3 240.372 2.610 20 0 BFADHN C[C@@H](Cc1ccc(Cl)cc1)NCc1ncc[nH]1 ZINC000049714932 411243357 /nfs/dbraw/zinc/24/33/57/411243357.db2.gz HYBAKEVHWFRLRA-JTQLQIEISA-N 0 3 249.745 2.784 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc3c(n2)CCC3)C1 ZINC000656399157 411243380 /nfs/dbraw/zinc/24/33/80/411243380.db2.gz OVDCERDJSQMMTG-GFCCVEGCSA-N 0 3 230.355 2.802 20 0 BFADHN CC[C@@H](NC1(C2CCC2)CC1)c1ccn(C)n1 ZINC000652272180 411184547 /nfs/dbraw/zinc/18/45/47/411184547.db2.gz CAIUXRDOEHFBNG-GFCCVEGCSA-N 0 3 233.359 2.794 20 0 BFADHN Cc1cc([C@@H](C)NC2(C3CCC3)CC2)nn1C ZINC000652273131 411185177 /nfs/dbraw/zinc/18/51/77/411185177.db2.gz CQLKPBHLFXDNHG-LLVKDONJSA-N 0 3 233.359 2.712 20 0 BFADHN CCN(Cc1ccc2c(n1)CCC2)C1CC1 ZINC000656411940 411243977 /nfs/dbraw/zinc/24/39/77/411243977.db2.gz CRLAZTPYGHQTIG-UHFFFAOYSA-N 0 3 216.328 2.555 20 0 BFADHN c1cc2n(n1)CCC[C@@H]2N[C@@H]1CC12CCCC2 ZINC000657990613 411191692 /nfs/dbraw/zinc/19/16/92/411191692.db2.gz QLUZGNIYUOSWPE-WCQYABFASA-N 0 3 231.343 2.640 20 0 BFADHN Cc1cscc1CN[C@@H]1COC2(CCC2)C1 ZINC000657994815 411192095 /nfs/dbraw/zinc/19/20/95/411192095.db2.gz XLJIWVZWXVDHCL-LBPRGKRZSA-N 0 3 237.368 2.858 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H](CO)CCF)c2ccccc21 ZINC000652300922 411192235 /nfs/dbraw/zinc/19/22/35/411192235.db2.gz OIIXUEMXTIWGFU-JTNHKYCSSA-N 0 3 237.318 2.545 20 0 BFADHN CC[C@H](N[C@@H](CO)CCF)c1ccsc1 ZINC000652300504 411192464 /nfs/dbraw/zinc/19/24/64/411192464.db2.gz FYGJQWQNUNCHBU-MNOVXSKESA-N 0 3 231.336 2.509 20 0 BFADHN Cc1ccc(C)c([C@@H](C)N[C@H](CO)CCF)c1 ZINC000652306010 411196225 /nfs/dbraw/zinc/19/62/25/411196225.db2.gz MWGLMNUFKROJQL-OLZOCXBDSA-N 0 3 239.334 2.675 20 0 BFADHN Cc1ccc(F)cc1CN1C[C@H]2COC[C@@]2(C)C1 ZINC000661700844 411196787 /nfs/dbraw/zinc/19/67/87/411196787.db2.gz HSOSPCHCXTUCFA-DZGCQCFKSA-N 0 3 249.329 2.602 20 0 BFADHN CC[C@H](CN[C@H](C)c1cc2cnccc2o1)OC ZINC000658018335 411198301 /nfs/dbraw/zinc/19/83/01/411198301.db2.gz APMRQIBYCVJMKP-ZYHUDNBSSA-N 0 3 248.326 2.903 20 0 BFADHN C[C@]12COC[C@@H]1CN(C/C=C\c1ccccc1)C2 ZINC000661701243 411198611 /nfs/dbraw/zinc/19/86/11/411198611.db2.gz LPBYSSYYWUKWTN-QBGJIXDNSA-N 0 3 243.350 2.668 20 0 BFADHN FC1(CCN[C@H]2CCCn3nccc32)CCC1 ZINC000658015739 411198731 /nfs/dbraw/zinc/19/87/31/411198731.db2.gz UPIAFSXPMDPMJS-NSHDSACASA-N 0 3 237.322 2.590 20 0 BFADHN FC1(CCN[C@@H]2CCCn3nccc32)CCC1 ZINC000658015737 411198991 /nfs/dbraw/zinc/19/89/91/411198991.db2.gz UPIAFSXPMDPMJS-LLVKDONJSA-N 0 3 237.322 2.590 20 0 BFADHN C[C@H](NCc1ncc[nH]1)C1CCC(F)(F)CC1 ZINC000653870133 411201992 /nfs/dbraw/zinc/20/19/92/411201992.db2.gz KZEZVOPRMDMXGA-VIFPVBQESA-N 0 3 243.301 2.713 20 0 BFADHN CC[C@H](NC1CC2(CCC2)C1)c1nccn1C ZINC000652327961 411202315 /nfs/dbraw/zinc/20/23/15/411202315.db2.gz PJEMSXQBVNDBCS-LBPRGKRZSA-N 0 3 233.359 2.794 20 0 BFADHN c1cc2n(n1)CCC[C@@H]2NCC1=CCCCC1 ZINC000658024735 411202703 /nfs/dbraw/zinc/20/27/03/411202703.db2.gz ORFUYGHNFLPXQD-ZDUSSCGKSA-N 0 3 231.343 2.808 20 0 BFADHN c1cc2n(n1)CCC[C@H]2NCC1=CCCCC1 ZINC000658024733 411202814 /nfs/dbraw/zinc/20/28/14/411202814.db2.gz ORFUYGHNFLPXQD-CYBMUJFWSA-N 0 3 231.343 2.808 20 0 BFADHN CC[C@H](NCc1cccnc1C)[C@@H]1CCCCO1 ZINC000653873707 411203925 /nfs/dbraw/zinc/20/39/25/411203925.db2.gz VPWRUAJKYOVGAZ-GJZGRUSLSA-N 0 3 248.370 2.827 20 0 BFADHN CC1(C)CC(CNCc2cc3n(n2)CCCC3)C1 ZINC000655762466 411205343 /nfs/dbraw/zinc/20/53/43/411205343.db2.gz FZHGHOOVXCXALU-UHFFFAOYSA-N 0 3 247.386 2.745 20 0 BFADHN CC[C@H](NCc1ccccn1)[C@@H]1CCCCO1 ZINC000653876750 411206517 /nfs/dbraw/zinc/20/65/17/411206517.db2.gz GYJNYXSVPUOASG-KBPBESRZSA-N 0 3 234.343 2.519 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H]1CCCn2nccc21 ZINC000658033740 411207029 /nfs/dbraw/zinc/20/70/29/411207029.db2.gz AAYXFJHTAAEOPY-FRRDWIJNSA-N 0 3 233.359 2.742 20 0 BFADHN CC(C)=CCN1C[C@H](c2cnn(C)c2)C(C)(C)C1 ZINC000653710642 411207580 /nfs/dbraw/zinc/20/75/80/411207580.db2.gz QAEUCUQYYYOKDD-CQSZACIVSA-N 0 3 247.386 2.812 20 0 BFADHN CCn1ccc(CN[C@@H](C)C(C)(C)C(F)F)n1 ZINC000658034668 411209580 /nfs/dbraw/zinc/20/95/80/411209580.db2.gz MKJCHGGPULVVPN-VIFPVBQESA-N 0 3 245.317 2.672 20 0 BFADHN COC1([C@H](C)NCc2ccc(C)c(C)n2)CCC1 ZINC000658035454 411211482 /nfs/dbraw/zinc/21/14/82/411211482.db2.gz NIRAHQJBNURNKQ-ZDUSSCGKSA-N 0 3 248.370 2.746 20 0 BFADHN C[C@H]1C[C@@H](N[C@H]2CC[C@@H](C)[C@@H](C)C2)c2ncnn21 ZINC000658102419 411246114 /nfs/dbraw/zinc/24/61/14/411246114.db2.gz PISPLPSQETZSLE-QNWJLWSRSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@@H](O)CN(C/C=C\c1ccccc1)C1CC1 ZINC000653895904 411213112 /nfs/dbraw/zinc/21/31/12/411213112.db2.gz HIDZBLBFCHPIKI-DMTLFAOVSA-N 0 3 231.339 2.545 20 0 BFADHN C[C@H]1C[C@@H](N[C@@H]2C[C@H](C)CC[C@@H]2C)c2ncnn21 ZINC000658102225 411246180 /nfs/dbraw/zinc/24/61/80/411246180.db2.gz OYQDBWLDFTUCKE-KSSYENDESA-N 0 3 248.374 2.698 20 0 BFADHN Fc1cccnc1N[C@@H]1CCCN2CCCC[C@@H]12 ZINC000656228366 411214098 /nfs/dbraw/zinc/21/40/98/411214098.db2.gz WHDAYCRGOYVHCI-OLZOCXBDSA-N 0 3 249.333 2.650 20 0 BFADHN CC(C)(C)CCN1CC2(CC2(F)F)C1 ZINC000656265532 411221224 /nfs/dbraw/zinc/22/12/24/411221224.db2.gz RESXOVLXCIZLNT-UHFFFAOYSA-N 0 3 203.276 2.764 20 0 BFADHN FC1(F)CC12CN(CC1CCCCC1)C2 ZINC000656265869 411221387 /nfs/dbraw/zinc/22/13/87/411221387.db2.gz LYQMJRDLYMJSPG-UHFFFAOYSA-N 0 3 215.287 2.908 20 0 BFADHN FC1(F)CC12CN(C[C@H]1CC=CCC1)C2 ZINC000656266450 411221697 /nfs/dbraw/zinc/22/16/97/411221697.db2.gz XOTGLDJYCUQCDI-JTQLQIEISA-N 0 3 213.271 2.684 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H]1COC(C)(C)C1 ZINC000658057606 411223480 /nfs/dbraw/zinc/22/34/80/411223480.db2.gz IZDBEDRIBHOLMI-YPMHNXCESA-N 0 3 248.370 2.862 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@H]1COC(C)(C)C1 ZINC000658057603 411223910 /nfs/dbraw/zinc/22/39/10/411223910.db2.gz IZDBEDRIBHOLMI-DGCLKSJQSA-N 0 3 248.370 2.862 20 0 BFADHN CC(C)[C@@H](N[C@@H]1COC(C)(C)C1)c1cccnc1 ZINC000658057868 411224257 /nfs/dbraw/zinc/22/42/57/411224257.db2.gz JSQKZYKWDUURSI-UONOGXRCSA-N 0 3 248.370 2.936 20 0 BFADHN CCc1ccc(CN(C[C@@H](C)O)C2CC2)s1 ZINC000656279642 411225983 /nfs/dbraw/zinc/22/59/83/411225983.db2.gz PVVPABKYDGJYRN-SNVBAGLBSA-N 0 3 239.384 2.656 20 0 BFADHN c1cn2c(n1)[C@H](NC1CCCCC1)CCC2 ZINC000655684779 411226899 /nfs/dbraw/zinc/22/68/99/411226899.db2.gz WVSJCUUFEZNSJQ-GFCCVEGCSA-N 0 3 219.332 2.640 20 0 BFADHN Cc1nc(C)c(CN[C@@H](C)C2CCCC2)o1 ZINC000309765383 411226909 /nfs/dbraw/zinc/22/69/09/411226909.db2.gz JKSVOUZMXHCZDF-VIFPVBQESA-N 0 3 222.332 2.960 20 0 BFADHN C[C@H](O)CN(Cc1cc2ccccc2[nH]1)C1CC1 ZINC000656278014 411228734 /nfs/dbraw/zinc/22/87/34/411228734.db2.gz DYSRZRFPZJSWFI-NSHDSACASA-N 0 3 244.338 2.513 20 0 BFADHN CCC(C)(C)[C@@H](C)N[C@@H](C)c1ccn(C)n1 ZINC000658113204 411247540 /nfs/dbraw/zinc/24/75/40/411247540.db2.gz DZSGBWPLHJWRSB-WDEREUQCSA-N 0 3 223.364 2.895 20 0 BFADHN CC[C@@H](F)CN1CCN(C)C2(CCCCC2)C1 ZINC000653722219 411247620 /nfs/dbraw/zinc/24/76/20/411247620.db2.gz QKVGLQSOJJFPFW-CYBMUJFWSA-N 0 3 242.382 2.685 20 0 BFADHN CCc1cnn(CCN(C)Cc2ccccc2)c1 ZINC000655689758 411234350 /nfs/dbraw/zinc/23/43/50/411234350.db2.gz NCCPWGFCTPPCKY-UHFFFAOYSA-N 0 3 243.354 2.578 20 0 BFADHN c1csc(CCN[C@@H]2CCCn3ccnc32)c1 ZINC000655690316 411234958 /nfs/dbraw/zinc/23/49/58/411234958.db2.gz LHJKMPAKFIYAKO-GFCCVEGCSA-N 0 3 247.367 2.612 20 0 BFADHN Cc1ccc(CN[C@H]2CC[C@@H](C)SC2)cn1 ZINC000655695097 411239625 /nfs/dbraw/zinc/23/96/25/411239625.db2.gz QIZWDBOETKEXPE-YPMHNXCESA-N 0 3 236.384 2.764 20 0 BFADHN COc1ccc(F)cc1CNC[C@H](C)C1CC1 ZINC000310565453 411240943 /nfs/dbraw/zinc/24/09/43/411240943.db2.gz XIQWFOUGMPJBSD-JTQLQIEISA-N 0 3 237.318 2.970 20 0 BFADHN C[C@H](N[C@H]1CCN(C(C)(C)C)C1)c1ccco1 ZINC000658091360 411242228 /nfs/dbraw/zinc/24/22/28/411242228.db2.gz LYLCGMORCUSJCG-RYUDHWBXSA-N 0 3 236.359 2.803 20 0 BFADHN Cc1cc([C@H](NC/C=C/CO)C2CC2)ccc1F ZINC000658137874 411261994 /nfs/dbraw/zinc/26/19/94/411261994.db2.gz JRVAWVPWASRQBB-XYBNCVKDSA-N 0 3 249.329 2.723 20 0 BFADHN Cc1ccc([C@H](NC/C=C/CO)C2CCCC2)o1 ZINC000658138941 411262880 /nfs/dbraw/zinc/26/28/80/411262880.db2.gz NYIRVZPOZXJZGN-MBVDDHJVSA-N 0 3 249.354 2.957 20 0 BFADHN COc1ccc([C@@H](NC/C=C\CO)C(C)C)cc1 ZINC000658139388 411263818 /nfs/dbraw/zinc/26/38/18/411263818.db2.gz PFEJRFCSFLQGJF-XVWMLYKFSA-N 0 3 249.354 2.530 20 0 BFADHN Cc1ccc2cc([C@H](C)NC/C=C\CO)oc2c1 ZINC000658142791 411265651 /nfs/dbraw/zinc/26/56/51/411265651.db2.gz YWUKMZUWXGILLP-MVZIDQBPSA-N 0 3 245.322 2.940 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NC/C=C/CO)CCC2 ZINC000658142719 411265742 /nfs/dbraw/zinc/26/57/42/411265742.db2.gz YMHGUQTYKIOXRX-FAAWYNLUSA-N 0 3 231.339 2.511 20 0 BFADHN CC(C)(C)C1CCN(Cc2ccc(N)nc2)CC1 ZINC000050814476 411266984 /nfs/dbraw/zinc/26/69/84/411266984.db2.gz YVFUCGGVLMZVMG-UHFFFAOYSA-N 0 3 247.386 2.922 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1ncc[nH]1 ZINC000070646357 411271534 /nfs/dbraw/zinc/27/15/34/411271534.db2.gz PITHOYSFBNYOGO-QWRGUYRKSA-N 0 3 209.337 2.714 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](C)c2cnccc2C)C1 ZINC000658159633 411273177 /nfs/dbraw/zinc/27/31/77/411273177.db2.gz AQAOXFSCKBTFTQ-AVGNSLFASA-N 0 3 234.343 2.608 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H](C)c2cnccc2C)C1 ZINC000658159634 411273259 /nfs/dbraw/zinc/27/32/59/411273259.db2.gz AQAOXFSCKBTFTQ-JHJVBQTASA-N 0 3 234.343 2.608 20 0 BFADHN Cc1ncsc1CN[C@H]1CC[C@@H](C)SC1 ZINC000655716950 411273401 /nfs/dbraw/zinc/27/34/01/411273401.db2.gz QSLKPABEUGVTEF-SCZZXKLOSA-N 0 3 242.413 2.825 20 0 BFADHN CO[C@H](CNCc1ccoc1)Cc1ccccc1 ZINC000648653857 411273683 /nfs/dbraw/zinc/27/36/83/411273683.db2.gz QRYKWFHJIYBWHD-HNNXBMFYSA-N 0 3 245.322 2.627 20 0 BFADHN Cc1ncc(CN[C@H]2CC[C@H](C)SC2)s1 ZINC000655717051 411274755 /nfs/dbraw/zinc/27/47/55/411274755.db2.gz TUXKCKWCCRATKI-WPRPVWTQSA-N 0 3 242.413 2.825 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@H](C)SC2)s1 ZINC000655717050 411274804 /nfs/dbraw/zinc/27/48/04/411274804.db2.gz TUXKCKWCCRATKI-WCBMZHEXSA-N 0 3 242.413 2.825 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@@H](C)SC2)s1 ZINC000655717048 411274981 /nfs/dbraw/zinc/27/49/81/411274981.db2.gz TUXKCKWCCRATKI-PSASIEDQSA-N 0 3 242.413 2.825 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](C)c2ccsc2)C1 ZINC000658163237 411276368 /nfs/dbraw/zinc/27/63/68/411276368.db2.gz MXTZWEOWDOFABR-DLOVCJGASA-N 0 3 225.357 2.966 20 0 BFADHN CC[C@@H](C)N[C@@H](COC)c1cccc(OC)c1 ZINC000658165467 411277405 /nfs/dbraw/zinc/27/74/05/411277405.db2.gz QIGHKQAVRMALJX-RISCZKNCSA-N 0 3 237.343 2.771 20 0 BFADHN CC/C=C/CN[C@H](C)c1cnc(C)nc1C ZINC000296469934 167857229 /nfs/dbraw/zinc/85/72/29/167857229.db2.gz NSQSHIUHTFEELX-VQCYPWCPSA-N 0 3 219.332 2.710 20 0 BFADHN C[C@H](NCCO[C@H]1CC1(F)F)c1ccsc1 ZINC000658168365 411279203 /nfs/dbraw/zinc/27/92/03/411279203.db2.gz YCNZRQLPYYSSQS-WPRPVWTQSA-N 0 3 247.310 2.823 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NC1(CC(C)C)CC1 ZINC000658321406 411333159 /nfs/dbraw/zinc/33/31/59/411333159.db2.gz QGANRHPATKZFRO-SNVBAGLBSA-N 0 3 221.348 2.947 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H]1CCCn2ccnc21 ZINC000655775959 411280546 /nfs/dbraw/zinc/28/05/46/411280546.db2.gz FDKXVTVFOJCZAJ-FRRDWIJNSA-N 0 3 233.359 2.742 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cccnc1)C1CCC1 ZINC000660174120 411281167 /nfs/dbraw/zinc/28/11/67/411281167.db2.gz KJDIABKNTWHNKL-RISCZKNCSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@@]1(C)CC1(C)C ZINC000658304427 411328506 /nfs/dbraw/zinc/32/85/06/411328506.db2.gz PETSABUHMRRCLE-MFKMUULPSA-N 0 3 219.332 2.624 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@]1(C)CC1(C)C ZINC000658305518 411328769 /nfs/dbraw/zinc/32/87/69/411328769.db2.gz TXAFAJZOQZNRNB-GXFFZTMASA-N 0 3 219.332 2.624 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@]1(C)CC1(C)C ZINC000658305517 411328785 /nfs/dbraw/zinc/32/87/85/411328785.db2.gz TXAFAJZOQZNRNB-GWCFXTLKSA-N 0 3 219.332 2.624 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCC(C)(C)F ZINC000658191255 411286475 /nfs/dbraw/zinc/28/64/75/411286475.db2.gz NZYNYSFNHLHJLC-SNVBAGLBSA-N 0 3 227.327 2.692 20 0 BFADHN Cc1nccnc1[C@H](C)NCCC(C)(C)F ZINC000658191389 411286499 /nfs/dbraw/zinc/28/64/99/411286499.db2.gz RDOMRRCQPKRZMV-VIFPVBQESA-N 0 3 225.311 2.574 20 0 BFADHN C[C@H]1C[C@@H](N[C@@H]2CCOC2)c2ccccc21 ZINC000129422807 411286613 /nfs/dbraw/zinc/28/66/13/411286613.db2.gz ROSNCXYHSJBGKC-MISXGVKJSA-N 0 3 217.312 2.613 20 0 BFADHN CCn1ccnc1[C@H](C)NCCC(C)(C)F ZINC000658191254 411286969 /nfs/dbraw/zinc/28/69/69/411286969.db2.gz NZYNYSFNHLHJLC-JTQLQIEISA-N 0 3 227.327 2.692 20 0 BFADHN C[C@H]1C[C@@H](NCCC(C)(C)F)c2nccn21 ZINC000658190915 411286983 /nfs/dbraw/zinc/28/69/83/411286983.db2.gz HQUSDSIVELGATH-VHSXEESVSA-N 0 3 225.311 2.617 20 0 BFADHN C[C@H]1C[C@H]1CN1CC(CC(F)(F)F)C1 ZINC000656689024 411328984 /nfs/dbraw/zinc/32/89/84/411328984.db2.gz ZEFKWJBKZHQMDM-CBAPKCEASA-N 0 3 207.239 2.527 20 0 BFADHN CC[C@H](NCCC(C)(C)F)c1nccn1C ZINC000658192628 411288280 /nfs/dbraw/zinc/28/82/80/411288280.db2.gz OAWULKYIIASGMJ-JTQLQIEISA-N 0 3 227.327 2.599 20 0 BFADHN Cc1ncc([C@@H](C)NCCC(C)(C)F)c(C)n1 ZINC000658192979 411288285 /nfs/dbraw/zinc/28/82/85/411288285.db2.gz PPGHGDBBYVVFAC-SECBINFHSA-N 0 3 239.338 2.882 20 0 BFADHN CC(C)n1cc([C@H](C)NCCc2ccoc2)cn1 ZINC000658197429 411290476 /nfs/dbraw/zinc/29/04/76/411290476.db2.gz GKSGUTCGTBLQTR-LBPRGKRZSA-N 0 3 247.342 2.950 20 0 BFADHN c1cc(CCN[C@@H]2CCCc3cccnc32)co1 ZINC000658203689 411292172 /nfs/dbraw/zinc/29/21/72/411292172.db2.gz YKCKREIQUZGSEV-CQSZACIVSA-N 0 3 242.322 2.884 20 0 BFADHN C[C@@H]1C[C@H](NC[C@@H]2CCC[C@@H]3C[C@@H]32)c2nccn21 ZINC000658205793 411292613 /nfs/dbraw/zinc/29/26/13/411292613.db2.gz JRUFBSOITHIZDC-DGTMBMJNSA-N 0 3 245.370 2.915 20 0 BFADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1ccoc1 ZINC000658216236 411296173 /nfs/dbraw/zinc/29/61/73/411296173.db2.gz APRYOPRDVYNZHN-WCBMZHEXSA-N 0 3 215.243 2.976 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H](C)O1)c1ccoc1 ZINC000658217561 411296640 /nfs/dbraw/zinc/29/66/40/411296640.db2.gz FPMIWISYHOQHSS-MDZLAQPJSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1cncs1 ZINC000658216766 411296942 /nfs/dbraw/zinc/29/69/42/411296942.db2.gz CPKXADAYVDNVEL-IONNQARKSA-N 0 3 232.299 2.839 20 0 BFADHN FC(F)C1CN(C[C@@H]2CC=CCC2)C1 ZINC000656690375 411329893 /nfs/dbraw/zinc/32/98/93/411329893.db2.gz NDORTSRFZBFDJO-SECBINFHSA-N 0 3 201.260 2.540 20 0 BFADHN CC[C@@H](NCc1nccc(OC)c1F)C(C)C ZINC000658225741 411302403 /nfs/dbraw/zinc/30/24/03/411302403.db2.gz KAHHRDDOVALBSZ-SNVBAGLBSA-N 0 3 240.322 2.754 20 0 BFADHN C[C@H](N[C@]1(C)CC1(C)C)c1cccnc1 ZINC000658309534 411330750 /nfs/dbraw/zinc/33/07/50/411330750.db2.gz WZXJGJAEPHWRJU-GXFFZTMASA-N 0 3 204.317 2.921 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccccn1)C1CCC1 ZINC000660244951 411304572 /nfs/dbraw/zinc/30/45/72/411304572.db2.gz VYGFCGYQPPKNSQ-BXUZGUMPSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@@H](N[C@H](C)c1cc2n(n1)CCC2)C1CC1 ZINC000658236404 411306098 /nfs/dbraw/zinc/30/60/98/411306098.db2.gz VKAGDYGMBIMRDO-ZWNOBZJWSA-N 0 3 233.359 2.669 20 0 BFADHN COc1cccc(SCCN(C)C2CC2)c1 ZINC000659841827 411331237 /nfs/dbraw/zinc/33/12/37/411331237.db2.gz GSDBAOUBDTZWMD-UHFFFAOYSA-N 0 3 237.368 2.882 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CCC1CCC1 ZINC000659841942 411331301 /nfs/dbraw/zinc/33/13/01/411331301.db2.gz VNXHRZAXKGKMEA-WCQYABFASA-N 0 3 211.349 2.676 20 0 BFADHN CC1CCN(Cc2cnc3ccccc3n2)CC1 ZINC000659828286 411311875 /nfs/dbraw/zinc/31/18/75/411311875.db2.gz FYGJEQLTRQYWDN-UHFFFAOYSA-N 0 3 241.338 2.862 20 0 BFADHN O[C@@H]1C[C@H](N[C@H]2CCCc3occc32)C12CCC2 ZINC000658248055 411312669 /nfs/dbraw/zinc/31/26/69/411312669.db2.gz BNSDCSXYBKUMHE-FPMFFAJLSA-N 0 3 247.338 2.550 20 0 BFADHN O[C@@H]1C[C@@H](N[C@H]2CCCc3occc32)C12CCC2 ZINC000658248056 411312780 /nfs/dbraw/zinc/31/27/80/411312780.db2.gz BNSDCSXYBKUMHE-IACUBPJLSA-N 0 3 247.338 2.550 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H](CO)CC2CC2)o1 ZINC000658251545 411314433 /nfs/dbraw/zinc/31/44/33/411314433.db2.gz XMPGNDQGAFQOFK-WCQYABFASA-N 0 3 237.343 2.647 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](O)C12CCC2)c1ccsc1 ZINC000658251442 411314560 /nfs/dbraw/zinc/31/45/60/411314560.db2.gz HHGIXPXCKILUDF-MVWJERBFSA-N 0 3 237.368 2.702 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@H](O)C23CCC3)o1 ZINC000658251225 411314611 /nfs/dbraw/zinc/31/46/11/411314611.db2.gz DXTAAXFEYLATMW-UHTWSYAYSA-N 0 3 235.327 2.542 20 0 BFADHN COC[C@@H](NC[C@@H]1C[C@H]2C[C@H]2C1)c1ccc(C)o1 ZINC000660250485 411314972 /nfs/dbraw/zinc/31/49/72/411314972.db2.gz HJWOXASKWWJHIY-XJFOESAGSA-N 0 3 249.354 2.911 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](O)C12CCC2)c1cccs1 ZINC000658255427 411316028 /nfs/dbraw/zinc/31/60/28/411316028.db2.gz UDVOZJAHAZCCKU-MVWJERBFSA-N 0 3 237.368 2.702 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C12CCC2)c1ccc(F)cc1 ZINC000658255771 411316259 /nfs/dbraw/zinc/31/62/59/411316259.db2.gz VTGWVSXSJOCSHT-LEWSCRJBSA-N 0 3 249.329 2.780 20 0 BFADHN COCCN(CCC=C(C)C)Cc1ccccn1 ZINC000660550416 411317172 /nfs/dbraw/zinc/31/71/72/411317172.db2.gz GTNKGIBGTXLGGL-UHFFFAOYSA-N 0 3 248.370 2.886 20 0 BFADHN CCc1ccccc1CN[C@H]1C[C@H](O)C12CCC2 ZINC000661832118 411317295 /nfs/dbraw/zinc/31/72/95/411317295.db2.gz XHFPWURKLAHIJX-GJZGRUSLSA-N 0 3 245.366 2.642 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NC[C@@H]1C(C)(C)C1(F)F ZINC000658280139 411320619 /nfs/dbraw/zinc/32/06/19/411320619.db2.gz GLXRZCBCKSDROS-OIBJUYFYSA-N 0 3 243.301 2.660 20 0 BFADHN CC1(C)CCN(Cc2cnc3ccccn23)CC1 ZINC000341185233 167921729 /nfs/dbraw/zinc/92/17/29/167921729.db2.gz FFFVAWQTFCWCHJ-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN COc1ccc(CN2C[C@@H](C)C[C@@H]2C)cc1O ZINC000162910646 411332724 /nfs/dbraw/zinc/33/27/24/411332724.db2.gz JRNIGOOVCNGNOJ-QWRGUYRKSA-N 0 3 235.327 2.631 20 0 BFADHN O[C@@H]1CCCC[C@H]1N1CC[C@@H]1c1cccc(F)c1 ZINC000656700300 411325597 /nfs/dbraw/zinc/32/55/97/411325597.db2.gz SVYJPWXJZSDHOE-RBSFLKMASA-N 0 3 249.329 2.876 20 0 BFADHN CC[C@@H]1CN(CC2CCCCC2)CCO1 ZINC000045752810 411248799 /nfs/dbraw/zinc/24/87/99/411248799.db2.gz AUKASQWQWQJVED-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CC[C@H](C)CCN[C@@H](C)c1nccn1CC ZINC000658121854 411251028 /nfs/dbraw/zinc/25/10/28/411251028.db2.gz RBPPRXDPBCXVEU-RYUDHWBXSA-N 0 3 223.364 2.990 20 0 BFADHN COc1cccc(OC)c1CN[C@@H](C)C(C)C ZINC000658121755 411251245 /nfs/dbraw/zinc/25/12/45/411251245.db2.gz QNGVSPJFHUCWFM-NSHDSACASA-N 0 3 237.343 2.838 20 0 BFADHN COC1(CN2CC3(CC(F)C3)C2)CCCCC1 ZINC000656695525 411333039 /nfs/dbraw/zinc/33/30/39/411333039.db2.gz JAIVFSOXMJTOTP-UHFFFAOYSA-N 0 3 241.350 2.770 20 0 BFADHN CCCCn1cc(CNC2CCCCC2)nn1 ZINC000656442933 411251297 /nfs/dbraw/zinc/25/12/97/411251297.db2.gz KHYQQKZJZLPVMM-UHFFFAOYSA-N 0 3 236.363 2.501 20 0 BFADHN CC[C@@H](C)CCN[C@H](C)c1nccn1CC ZINC000658121855 411251412 /nfs/dbraw/zinc/25/14/12/411251412.db2.gz RBPPRXDPBCXVEU-VXGBXAGGSA-N 0 3 223.364 2.990 20 0 BFADHN CC[C@@H](C)CCN[C@@H](C)c1ccncn1 ZINC000658122402 411251429 /nfs/dbraw/zinc/25/14/29/411251429.db2.gz FUIXOWWDVVSRKN-MNOVXSKESA-N 0 3 207.321 2.563 20 0 BFADHN CC[C@@H](NCC[C@@H](C)CC)c1ccn(C)n1 ZINC000658122283 411251765 /nfs/dbraw/zinc/25/17/65/411251765.db2.gz TVMRTMBTHIDGTG-NWDGAFQWSA-N 0 3 223.364 2.897 20 0 BFADHN COCCCN(C)Cc1ccc(Cl)c(F)c1 ZINC000127770030 411252383 /nfs/dbraw/zinc/25/23/83/411252383.db2.gz PHSVNKWXVFHDOP-UHFFFAOYSA-N 0 3 245.725 2.947 20 0 BFADHN CC(C)NC[C@@H](OC1CCCC1)C(F)(F)F ZINC000658125913 411252759 /nfs/dbraw/zinc/25/27/59/411252759.db2.gz VVOLNJOMHFJCEZ-SNVBAGLBSA-N 0 3 239.281 2.875 20 0 BFADHN CC1(C)CC[C@@H]1NCc1cn2ccccc2n1 ZINC000284206014 167936768 /nfs/dbraw/zinc/93/67/68/167936768.db2.gz GSKKMMXXHUQWJM-LBPRGKRZSA-N 0 3 229.327 2.613 20 0 BFADHN CC(F)(F)CCCN[C@@H]1CCCn2ccnc21 ZINC000655771430 411254149 /nfs/dbraw/zinc/25/41/49/411254149.db2.gz KSEGZYVQWJTAFQ-SNVBAGLBSA-N 0 3 243.301 2.743 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CCc2n[nH]cc2C1 ZINC000660137242 411254572 /nfs/dbraw/zinc/25/45/72/411254572.db2.gz PPTZCCJBQRIJOT-VXGBXAGGSA-N 0 3 233.359 2.594 20 0 BFADHN CCc1ccc([C@H](C)NC/C=C/CO)s1 ZINC000658131842 411255225 /nfs/dbraw/zinc/25/52/25/411255225.db2.gz DWDBYJLSKSQTGV-YEZKRMTDSA-N 0 3 225.357 2.510 20 0 BFADHN CC[C@H](NC/C=C/CO)c1cccc(Cl)c1 ZINC000658132090 411255340 /nfs/dbraw/zinc/25/53/40/411255340.db2.gz IPXVBEHUKMELBS-OOPCZODUSA-N 0 3 239.746 2.929 20 0 BFADHN Cc1nn(-c2ccc(C)cc2)cc1CNC(C)C ZINC000655704163 411255338 /nfs/dbraw/zinc/25/53/38/411255338.db2.gz RMUOXUISOSQILX-UHFFFAOYSA-N 0 3 243.354 2.987 20 0 BFADHN Cc1cc(C)cc([C@@H](C)NC/C=C\CO)c1 ZINC000658131935 411255478 /nfs/dbraw/zinc/25/54/78/411255478.db2.gz FIBMZDPZQFWCIG-DSYXLKISSA-N 0 3 219.328 2.503 20 0 BFADHN CCC[C@H](NC/C=C/CO)c1ccccc1 ZINC000658132163 411256047 /nfs/dbraw/zinc/25/60/47/411256047.db2.gz JELCXHVCPFVNMW-UZYOAWRESA-N 0 3 219.328 2.666 20 0 BFADHN CC(C)[C@H](NC/C=C/CO)c1ccccc1 ZINC000658132600 411256144 /nfs/dbraw/zinc/25/61/44/411256144.db2.gz AAMXIFBHLLKACQ-UZYOAWRESA-N 0 3 219.328 2.522 20 0 BFADHN CC[C@@H](NC/C=C/CO)c1ccc(Cl)cc1 ZINC000658132820 411256265 /nfs/dbraw/zinc/25/62/65/411256265.db2.gz NQZCWRGBSALBKL-ITDFMYJTSA-N 0 3 239.746 2.929 20 0 BFADHN CCC[C@H](NC/C=C\CO)c1ccsc1 ZINC000658132950 411256554 /nfs/dbraw/zinc/25/65/54/411256554.db2.gz BSMJSBHTRDWJHK-MVZIDQBPSA-N 0 3 225.357 2.727 20 0 BFADHN c1c(CNC2CCCC2)nnn1C1CCCCC1 ZINC000656438391 411256858 /nfs/dbraw/zinc/25/68/58/411256858.db2.gz WFWIIFAEEFAIAB-UHFFFAOYSA-N 0 3 248.374 2.816 20 0 BFADHN CC(C)Oc1ccc([C@H](C)NC/C=C\CO)cc1 ZINC000658134803 411259170 /nfs/dbraw/zinc/25/91/70/411259170.db2.gz RWDFACXQBURAMJ-ZFDPJTLLSA-N 0 3 249.354 2.673 20 0 BFADHN CC[C@H](NC/C=C\CO)c1ccc(Cl)s1 ZINC000658134085 411259544 /nfs/dbraw/zinc/25/95/44/411259544.db2.gz PETARYCCBDSESF-TYRPZCRBSA-N 0 3 245.775 2.991 20 0 BFADHN CC(C)C[C@@H](NC/C=C/CO)c1cccs1 ZINC000658134834 411259584 /nfs/dbraw/zinc/25/95/84/411259584.db2.gz SBAWITKBCFJXLN-AAOUONPWSA-N 0 3 239.384 2.973 20 0 BFADHN Cc1nc(CN2CCC[C@H]2C(C)C)co1 ZINC000659858322 411350112 /nfs/dbraw/zinc/35/01/12/411350112.db2.gz RGEDMXITWRYTCN-LBPRGKRZSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@H](N[C@H]1C[C@H](O)C1)c1cccc(Cl)c1 ZINC000658350580 411352139 /nfs/dbraw/zinc/35/21/39/411352139.db2.gz GIUCKYDNHNIVIU-JHJVBQTASA-N 0 3 239.746 2.904 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@@H]2COC[C@@H]2C1 ZINC000662039619 411353067 /nfs/dbraw/zinc/35/30/67/411353067.db2.gz YSHFZUWMGYTXEW-KGLIPLIRSA-N 0 3 249.329 2.602 20 0 BFADHN CC(C)c1ccc([C@@H](C)N[C@H]2C[C@H](O)C2)cc1 ZINC000658352892 411356119 /nfs/dbraw/zinc/35/61/19/411356119.db2.gz ZTMQEUDLQVIPCR-KCPJHIHWSA-N 0 3 233.355 2.984 20 0 BFADHN COc1cccc(CN[C@H](C)[C@@H](C)OC)c1C ZINC000658354315 411356515 /nfs/dbraw/zinc/35/65/15/411356515.db2.gz VAEPQBLDIVBCSL-VXGBXAGGSA-N 0 3 237.343 2.517 20 0 BFADHN Fc1ccc2c(c1)[C@H](N1CCC3(COC3)C1)CC2 ZINC000662074115 411356900 /nfs/dbraw/zinc/35/69/00/411356900.db2.gz COEDOGYHVZHMDD-CQSZACIVSA-N 0 3 247.313 2.535 20 0 BFADHN CC[C@H]1CCCN1Cc1nc(C)oc1C ZINC000659872872 411357760 /nfs/dbraw/zinc/35/77/60/411357760.db2.gz HKAHVUCZLPEBED-NSHDSACASA-N 0 3 208.305 2.666 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCC2(COC2)C1 ZINC000662076122 411358389 /nfs/dbraw/zinc/35/83/89/411358389.db2.gz TXWVZPNWYPIUPJ-NSHDSACASA-N 0 3 235.302 2.609 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H]2CC3CCC2CC3)[n-]1 ZINC000660626832 411359653 /nfs/dbraw/zinc/35/96/53/411359653.db2.gz ZPFDKODOQKJDDY-XKGSQUFQSA-N 0 3 248.374 2.596 20 0 BFADHN CC1(C)CCCN1Cc1cc(C2CC2)no1 ZINC000659877882 411360640 /nfs/dbraw/zinc/36/06/40/411360640.db2.gz VBUSDGOLQRQCDQ-UHFFFAOYSA-N 0 3 220.316 2.926 20 0 BFADHN Cc1cc(CN)cc(NC(=O)C2CC3(CC3)C2)c1 ZINC000658934082 411362485 /nfs/dbraw/zinc/36/24/85/411362485.db2.gz ZQFXLHLDPGSLSO-UHFFFAOYSA-N 0 3 244.338 2.582 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CCc2nccnc2C1 ZINC000662118877 411362977 /nfs/dbraw/zinc/36/29/77/411362977.db2.gz GHQPDNRHHZODNI-OLZOCXBDSA-N 0 3 245.370 2.661 20 0 BFADHN CC(C)c1cccc(CN(C)C2CC(O)C2)c1 ZINC000660278618 411365456 /nfs/dbraw/zinc/36/54/56/411365456.db2.gz PHASNZVPLCNBRJ-UHFFFAOYSA-N 0 3 233.355 2.765 20 0 BFADHN CCCC[C@@H](C)N[C@@H](C)c1nccn1C ZINC000070008236 411365830 /nfs/dbraw/zinc/36/58/30/411365830.db2.gz IIHSTLDDURIBPM-MNOVXSKESA-N 0 3 209.337 2.649 20 0 BFADHN Cc1nc(CN2CCCC[C@H]2CC2CCC2)n[nH]1 ZINC000662158733 411368991 /nfs/dbraw/zinc/36/89/91/411368991.db2.gz WMCDIJAUSAMEQU-ZDUSSCGKSA-N 0 3 248.374 2.658 20 0 BFADHN CC(C)CC1(N[C@H](C)c2nccn2C)CC1 ZINC000658322034 411333511 /nfs/dbraw/zinc/33/35/11/411333511.db2.gz ZEORMPOKXKVWMS-LLVKDONJSA-N 0 3 221.348 2.649 20 0 BFADHN CC(C)CC1(N[C@@H](C)c2nccn2C)CC1 ZINC000658322035 411333901 /nfs/dbraw/zinc/33/39/01/411333901.db2.gz ZEORMPOKXKVWMS-NSHDSACASA-N 0 3 221.348 2.649 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCCc1ccco1 ZINC000658324901 411334343 /nfs/dbraw/zinc/33/43/43/411334343.db2.gz GHNKFYJRYGCYAU-GFCCVEGCSA-N 0 3 247.342 2.779 20 0 BFADHN CC[C@H](NCCCc1ccco1)c1nccn1C ZINC000658325961 411335201 /nfs/dbraw/zinc/33/52/01/411335201.db2.gz WKHMNKQJUPRWRX-ZDUSSCGKSA-N 0 3 247.342 2.687 20 0 BFADHN COc1nc(C)cc(C)c1CN1CC[C@H]2C[C@H]2C1 ZINC000661967437 411336054 /nfs/dbraw/zinc/33/60/54/411336054.db2.gz OXFMCEUMWQHXIN-STQMWFEESA-N 0 3 246.354 2.549 20 0 BFADHN COc1cc(CN2CC[C@H]3C[C@H]3C2)ccc1C ZINC000661968095 411336684 /nfs/dbraw/zinc/33/66/84/411336684.db2.gz UMHKUDQAVYLNII-KBPBESRZSA-N 0 3 231.339 2.845 20 0 BFADHN C[C@@H](NC[C@H]1CCOC1(C)C)c1ccccn1 ZINC000658331830 411339169 /nfs/dbraw/zinc/33/91/69/411339169.db2.gz PXLXHXFONQMOHC-VXGBXAGGSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@H](NC[C@@H]1CCOC1(C)C)c1nccs1 ZINC000658332120 411339385 /nfs/dbraw/zinc/33/93/85/411339385.db2.gz RMEOZZDOWAUGFY-UWVGGRQHSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CC[C@@H]3C[C@@H]3C2)c2nccn21 ZINC000403234187 411339576 /nfs/dbraw/zinc/33/95/76/411339576.db2.gz PHXAZEVHJZNYSY-VEGXAWMVSA-N 0 3 231.343 2.667 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](c1ccccc1)C1CC1 ZINC000658331939 411340081 /nfs/dbraw/zinc/34/00/81/411340081.db2.gz NJEPKEZLCZCJGH-ILXRZTDVSA-N 0 3 231.339 2.905 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1cc[nH]n1 ZINC000167433710 411340637 /nfs/dbraw/zinc/34/06/37/411340637.db2.gz FUVILGOJAYCAPE-LLVKDONJSA-N 0 3 207.321 2.634 20 0 BFADHN COc1ccc(CN2CC[C@H]3C[C@H]3C2)cc1F ZINC000661974728 411341742 /nfs/dbraw/zinc/34/17/42/411341742.db2.gz WKABVECSGBHPFV-RYUDHWBXSA-N 0 3 235.302 2.676 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCSc2ccccc21 ZINC000658334864 411343647 /nfs/dbraw/zinc/34/36/47/411343647.db2.gz VYTJYMDUYLYWHQ-BNOWGMLFSA-N 0 3 249.379 2.991 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCCc2sccc21 ZINC000658334676 411343678 /nfs/dbraw/zinc/34/36/78/411343678.db2.gz RMXKLXQBWAOOGW-UHTWSYAYSA-N 0 3 237.368 2.893 20 0 BFADHN CC(C)[C@@H]1CCC[C@H]1N[C@@H](C)c1nccn1C ZINC000658335697 411343716 /nfs/dbraw/zinc/34/37/16/411343716.db2.gz FILBZUJCAWHULG-RWMBFGLXSA-N 0 3 235.375 2.895 20 0 BFADHN FC(F)(F)[C@@H]1CCCC[C@@H]1NCc1cn[nH]c1 ZINC000070266696 411344084 /nfs/dbraw/zinc/34/40/84/411344084.db2.gz YZGACVNABMCPAV-ZJUUUORDSA-N 0 3 247.264 2.620 20 0 BFADHN C[C@H](N[C@H]1[C@@H]2CCC[C@@H]2C1(C)C)c1nccn1C ZINC000658337012 411344313 /nfs/dbraw/zinc/34/43/13/411344313.db2.gz BJAAZOUVFJWRLA-RNJOBUHISA-N 0 3 247.386 2.895 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1NCc1cc(Cl)cs1 ZINC000168191379 168000423 /nfs/dbraw/zinc/00/04/23/168000423.db2.gz DFVIYUFLCWCROV-UWVGGRQHSA-N 0 3 245.775 2.651 20 0 BFADHN CC1(C)[C@H](NCc2ccccc2Cl)C[C@@H]1O ZINC000163307563 168001338 /nfs/dbraw/zinc/00/13/38/168001338.db2.gz SHXBTCLEJYSBBY-NEPJUHHUSA-N 0 3 239.746 2.589 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NCc1ccc(Cl)s1 ZINC000163376918 168009305 /nfs/dbraw/zinc/00/93/05/168009305.db2.gz RIIPMWAOEFGVAX-RKDXNWHRSA-N 0 3 245.775 2.651 20 0 BFADHN CC1(C)[C@H](NCc2ccccc2F)[C@@H]2CCO[C@@H]21 ZINC000085629570 168014312 /nfs/dbraw/zinc/01/43/12/168014312.db2.gz OSDADOOSHGRPJW-YUTCNCBUSA-N 0 3 249.329 2.729 20 0 BFADHN CC1(CN2C[C@@]3(CCCO3)[C@@H]2C2CC2)CCC1 ZINC000354703190 168026580 /nfs/dbraw/zinc/02/65/80/168026580.db2.gz JRCMXJUUCSOVBK-ZFWWWQNUSA-N 0 3 235.371 2.820 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H](C)CC[C@@H]1C)c1nccn1C ZINC000658340206 411347386 /nfs/dbraw/zinc/34/73/86/411347386.db2.gz ZJYPYOBZQBPRTD-YVECIDJPSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ccc2cc([C@H](C)N[C@H]3C[C@H](O)C3)oc2c1 ZINC000658347749 411347979 /nfs/dbraw/zinc/34/79/79/411347979.db2.gz GVQUKGLXNDVLSP-DRZSPHRISA-N 0 3 245.322 2.915 20 0 BFADHN CC(C)CC[C@H](N[C@H]1C[C@H](O)C1)c1ccoc1 ZINC000658348213 411348902 /nfs/dbraw/zinc/34/89/02/411348902.db2.gz NBAHGYLCTQJNDH-IHRRRGAJSA-N 0 3 237.343 2.870 20 0 BFADHN CC(C)(C)C1CN(Cc2cnc3ccccn23)C1 ZINC000656834048 411377795 /nfs/dbraw/zinc/37/77/95/411377795.db2.gz BODPYLNXEHUZMN-UHFFFAOYSA-N 0 3 243.354 2.812 20 0 BFADHN Cc1ccncc1CN1CCC(C)=C(C)C1 ZINC000280868239 168084537 /nfs/dbraw/zinc/08/45/37/168084537.db2.gz NOPGBWOEHRYCQV-UHFFFAOYSA-N 0 3 216.328 2.932 20 0 BFADHN Cc1ncsc1CN1CCC(C)=C(C)C1 ZINC000280828748 168084802 /nfs/dbraw/zinc/08/48/02/168084802.db2.gz KUMZCKNXRHGWGB-UHFFFAOYSA-N 0 3 222.357 2.994 20 0 BFADHN CC1=C(C)CN(Cc2cccnc2)CC1 ZINC000335707522 168085584 /nfs/dbraw/zinc/08/55/84/168085584.db2.gz GXBHBUZEFUNALG-UHFFFAOYSA-N 0 3 202.301 2.624 20 0 BFADHN CC1=C(c2ccco2)CN(Cc2cnc[nH]2)CC1 ZINC000336476914 168090174 /nfs/dbraw/zinc/09/01/74/168090174.db2.gz PLZHLBYSUHEPEQ-UHFFFAOYSA-N 0 3 243.310 2.682 20 0 BFADHN CC1=CCCN(Cc2cn(C)nc2C(C)(C)C)C1 ZINC000280857106 168100549 /nfs/dbraw/zinc/10/05/49/168100549.db2.gz AUEDEZUMSTYRGA-UHFFFAOYSA-N 0 3 247.386 2.870 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CN(C)Cc1ccnn1C ZINC000355290375 168101497 /nfs/dbraw/zinc/10/14/97/168101497.db2.gz LSXUPNYHBLLAJG-HIFRSBDPSA-N 0 3 247.386 2.844 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CNCc1ccn(C)n1 ZINC000358977821 168103484 /nfs/dbraw/zinc/10/34/84/168103484.db2.gz FPRZCSNHHFPGPX-GXTWGEPZSA-N 0 3 233.359 2.502 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CNCc1ccn(C)n1 ZINC000358977823 168104360 /nfs/dbraw/zinc/10/43/60/168104360.db2.gz FPRZCSNHHFPGPX-JSGCOSHPSA-N 0 3 233.359 2.502 20 0 BFADHN CC1=CCN(CCNCc2ccccc2F)CC1 ZINC000358168239 168112852 /nfs/dbraw/zinc/11/28/52/168112852.db2.gz QDCFONAVGUWBHG-UHFFFAOYSA-N 0 3 248.345 2.567 20 0 BFADHN CC1=CCN(CCOCCC(C)C)CC1 ZINC000339628942 168112937 /nfs/dbraw/zinc/11/29/37/168112937.db2.gz PWHPNSJGVPWFOO-UHFFFAOYSA-N 0 3 211.349 2.701 20 0 BFADHN CC1=CCN(CCOc2ccc(F)cc2)CC1 ZINC000339623484 168112969 /nfs/dbraw/zinc/11/29/69/168112969.db2.gz FDVRGFKSCZABAD-UHFFFAOYSA-N 0 3 235.302 2.857 20 0 BFADHN Cc1ccncc1CN1CC=C(C)CC1 ZINC000270317222 168116001 /nfs/dbraw/zinc/11/60/01/168116001.db2.gz JUFNGEMTOGOOCF-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN CC1=CC[C@@H](NCc2cccc(N(C)C)n2)CC1 ZINC000293516341 168118693 /nfs/dbraw/zinc/11/86/93/168118693.db2.gz CONDFWTZIWFQBE-CYBMUJFWSA-N 0 3 245.370 2.736 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CC=C(C)CC1 ZINC000284293509 168119833 /nfs/dbraw/zinc/11/98/33/168119833.db2.gz YEYZDWMSYCXFID-GFCCVEGCSA-N 0 3 220.316 2.880 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CN(C)Cc2ccnn2C)C1 ZINC000356390672 168124235 /nfs/dbraw/zinc/12/42/35/168124235.db2.gz JBBAGFJMFAJUOT-TZMCWYRMSA-N 0 3 247.386 2.844 20 0 BFADHN Cc1noc(C)c1CN1CC(C)=C[C@H](C)C1 ZINC000334149027 168128087 /nfs/dbraw/zinc/12/80/87/168128087.db2.gz DVNVJZDJPQXPMY-VIFPVBQESA-N 0 3 220.316 2.689 20 0 BFADHN CC1CC(N[C@@H](CO)c2ccc(Cl)cc2)C1 ZINC000336781767 168146338 /nfs/dbraw/zinc/14/63/38/168146338.db2.gz VPGQIVUHFJMYIR-LDUAJXOISA-N 0 3 239.746 2.762 20 0 BFADHN CC1CCC(CN(C)Cc2cnccn2)CC1 ZINC000092591840 168153114 /nfs/dbraw/zinc/15/31/14/168153114.db2.gz BCAVHMXGOVEIKK-UHFFFAOYSA-N 0 3 233.359 2.735 20 0 BFADHN CC1CCC(CN2CCOCC2(C)C)CC1 ZINC000352647235 168153525 /nfs/dbraw/zinc/15/35/25/168153525.db2.gz YGARUCVNGBSBFU-UHFFFAOYSA-N 0 3 225.376 2.924 20 0 BFADHN CC1CCC(NCc2nccs2)CC1 ZINC000041011550 168164810 /nfs/dbraw/zinc/16/48/10/168164810.db2.gz NUYBMVPPPCYDKZ-UHFFFAOYSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@@H](NCC1(O)CCC(C)CC1)c1cccnc1 ZINC000087044777 168166283 /nfs/dbraw/zinc/16/62/83/168166283.db2.gz IHJAROASOTWJGH-JVWICGRDSA-N 0 3 248.370 2.673 20 0 BFADHN CCCCCC(=O)N(C)CCN1CCCCC1 ZINC000652987249 411388476 /nfs/dbraw/zinc/38/84/76/411388476.db2.gz ASHSFEUXPPCJSI-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN Cc1ncncc1[C@@H](C)NC1CC2(CCC2)C1 ZINC000655780795 411388953 /nfs/dbraw/zinc/38/89/53/411388953.db2.gz JOJNXACPHQOUTD-LLVKDONJSA-N 0 3 231.343 2.768 20 0 BFADHN CCN(C(=O)CNC(C)C)c1cccc(C)c1C ZINC000659293259 411389318 /nfs/dbraw/zinc/38/93/18/411389318.db2.gz UULBJELUCNOJBU-UHFFFAOYSA-N 0 3 248.370 2.654 20 0 BFADHN CCC(=O)CCCN1CCC[C@@H]1c1ccc[nH]1 ZINC000295635428 168197736 /nfs/dbraw/zinc/19/77/36/168197736.db2.gz CVDGOBOOKPJUII-CQSZACIVSA-N 0 3 234.343 2.911 20 0 BFADHN CC(C)(C)c1cccc(CN2CC[C@H](CO)C2)c1 ZINC000659946871 411389454 /nfs/dbraw/zinc/38/94/54/411389454.db2.gz JBUOOGWTHLSFLO-AWEZNQCLSA-N 0 3 247.382 2.798 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cc(C2CC2)no1 ZINC000659945272 411389536 /nfs/dbraw/zinc/38/95/36/411389536.db2.gz ANJWWSMJSSLEAU-VHSXEESVSA-N 0 3 220.316 2.782 20 0 BFADHN CCC(=O)CCN(C)CCOCc1ccccc1 ZINC000190275120 168200530 /nfs/dbraw/zinc/20/05/30/168200530.db2.gz AJOOOPACFNPVFY-UHFFFAOYSA-N 0 3 249.354 2.504 20 0 BFADHN CCC(=O)CCN(CC)Cc1ccc(OC)cc1 ZINC000190191758 168200594 /nfs/dbraw/zinc/20/05/94/168200594.db2.gz ALSBOACHXJDZBL-UHFFFAOYSA-N 0 3 249.354 2.886 20 0 BFADHN CCC(=O)CCN1CCCC[C@H]1c1cccn1C ZINC000190261983 168202515 /nfs/dbraw/zinc/20/25/15/168202515.db2.gz JVVLUUZHTHTOFK-HNNXBMFYSA-N 0 3 248.370 2.921 20 0 BFADHN CC(C)CO[C@H]1CCN([C@H](C)c2ccccn2)C1 ZINC000247787964 411390625 /nfs/dbraw/zinc/39/06/25/411390625.db2.gz YTEZCJLTPOBERS-KGLIPLIRSA-N 0 3 248.370 2.890 20 0 BFADHN CC(C)CC(CC(C)C)NC(=O)CNC(C)C ZINC000659257724 411383021 /nfs/dbraw/zinc/38/30/21/411383021.db2.gz HWBGTNVTHDCCRD-UHFFFAOYSA-N 0 3 242.407 2.561 20 0 BFADHN CCC(C)(C)CCN1C[C@@H](C)O[C@@H](C)C1 ZINC000348926517 168276268 /nfs/dbraw/zinc/27/62/68/168276268.db2.gz IFYXDYGIBPDJJP-TXEJJXNPSA-N 0 3 213.365 2.922 20 0 BFADHN CCC(C)(C)CCN1CCO[C@@H](C)[C@@H]1C ZINC000361678923 168277181 /nfs/dbraw/zinc/27/71/81/168277181.db2.gz RVDXCDNZMWIOKV-RYUDHWBXSA-N 0 3 213.365 2.922 20 0 BFADHN CCC(C)(C)CNCc1ccc(COC)o1 ZINC000277130351 168281399 /nfs/dbraw/zinc/28/13/99/168281399.db2.gz ZWNYIWXGDARZPE-UHFFFAOYSA-N 0 3 225.332 2.952 20 0 BFADHN CCC(C)(C)CN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000345365620 168282306 /nfs/dbraw/zinc/28/23/06/168282306.db2.gz YSZZBVCCKHJPNC-GHMZBOCLSA-N 0 3 221.348 2.915 20 0 BFADHN CCC(C)(C)N(C)CC(=O)N1CCCCCC1 ZINC000357740226 168286996 /nfs/dbraw/zinc/28/69/96/168286996.db2.gz PBRFQNTVGOHFFJ-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CCC(C)(C)N(C)Cc1cnc2ccccn12 ZINC000353534161 168287922 /nfs/dbraw/zinc/28/79/22/168287922.db2.gz SYCSNBGLDZGXID-UHFFFAOYSA-N 0 3 231.343 2.955 20 0 BFADHN CCC(C)(C)N(C)Cc1cnccc1OC ZINC000287973191 168288329 /nfs/dbraw/zinc/28/83/29/168288329.db2.gz ZNBJYCDWCMMTRK-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CCC(C)(C)[N@H+](C)Cc1nc(C)ccc1[O-] ZINC000132738657 168288343 /nfs/dbraw/zinc/28/83/43/168288343.db2.gz SLLHFEWWLCTELF-UHFFFAOYSA-N 0 3 222.332 2.716 20 0 BFADHN CCC(C)(C)[N@@H+](C)Cc1nc(C)ccc1[O-] ZINC000132738657 168288345 /nfs/dbraw/zinc/28/83/45/168288345.db2.gz SLLHFEWWLCTELF-UHFFFAOYSA-N 0 3 222.332 2.716 20 0 BFADHN CCC(C)(C)N(C)Cc1cncc(OC)c1 ZINC000289999468 168288596 /nfs/dbraw/zinc/28/85/96/168288596.db2.gz RQQXOJBBAYSWPA-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CCC(C)(C)NC(=O)CN(C(C)C)C1CCC1 ZINC000119724478 168289689 /nfs/dbraw/zinc/28/96/89/168289689.db2.gz UZLONVBOALRHOU-UHFFFAOYSA-N 0 3 240.391 2.554 20 0 BFADHN CCC(C)(C)NC(=O)CN[C@@H](C)c1ccccc1 ZINC000042211554 168290123 /nfs/dbraw/zinc/29/01/23/168290123.db2.gz OHLYSSQIABCLEN-LBPRGKRZSA-N 0 3 248.370 2.642 20 0 BFADHN CCC(C)(C)NC(=O)CN1CCCCC[C@H]1C ZINC000358407756 168290631 /nfs/dbraw/zinc/29/06/31/168290631.db2.gz FPYYFPVXFHDVJE-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CCC(C)(C)NCc1ccc(COC)o1 ZINC000126517484 168299130 /nfs/dbraw/zinc/29/91/30/168299130.db2.gz PVSNTPPHNUTVRW-UHFFFAOYSA-N 0 3 211.305 2.704 20 0 BFADHN CCC(C)(C)NCc1cccc(O)c1OC ZINC000272503033 168299149 /nfs/dbraw/zinc/29/91/49/168299149.db2.gz VURDTOIFISDDIN-UHFFFAOYSA-N 0 3 223.316 2.679 20 0 BFADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1ccsc1 ZINC000273206050 168300148 /nfs/dbraw/zinc/30/01/48/168300148.db2.gz KQMIGCIWLJBQIC-VIFPVBQESA-N 0 3 240.372 2.853 20 0 BFADHN CC[C@H]1C[C@@H](NCc2cc(C)ccc2OC)CO1 ZINC000657298545 411455533 /nfs/dbraw/zinc/45/55/33/411455533.db2.gz SFOQMOWZRUUGOS-KGLIPLIRSA-N 0 3 249.354 2.661 20 0 BFADHN CCC(C)(CC)NC(=O)C[C@H](N)c1ccccc1 ZINC000227601411 168306791 /nfs/dbraw/zinc/30/67/91/168306791.db2.gz RZADYLOAUYUTCQ-ZDUSSCGKSA-N 0 3 248.370 2.771 20 0 BFADHN CCc1ccc(CN[C@H]2CO[C@@H](CC)C2)s1 ZINC000657310690 411459210 /nfs/dbraw/zinc/45/92/10/411459210.db2.gz QXVBREWFMXNMEE-MNOVXSKESA-N 0 3 239.384 2.968 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3CCC[C@@H]32)nc(C)n1 ZINC000659954894 411397958 /nfs/dbraw/zinc/39/79/58/411397958.db2.gz DNGNBOOMELWZEF-ZFWWWQNUSA-N 0 3 245.370 2.858 20 0 BFADHN c1ccc(CN[C@@H]2CCCC23CCC3)nc1 ZINC000394842042 411398569 /nfs/dbraw/zinc/39/85/69/411398569.db2.gz ZKLVWFJCKNWYJB-CYBMUJFWSA-N 0 3 216.328 2.894 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1cc(OC)no1 ZINC000660306399 411398986 /nfs/dbraw/zinc/39/89/86/411398986.db2.gz PMURXLYZFKZJGO-PWSUYJOCSA-N 0 3 238.331 2.742 20 0 BFADHN COc1cc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)on1 ZINC000660306498 411399478 /nfs/dbraw/zinc/39/94/78/411399478.db2.gz QBNNPCWYLKXZQJ-CKYFFXLPSA-N 0 3 238.331 2.598 20 0 BFADHN C/C=C/CNCc1ccc(O[C@H](C)COC)cc1 ZINC000623740484 411402939 /nfs/dbraw/zinc/40/29/39/411402939.db2.gz XXOXQDDDFZDUCN-MUBLQREKSA-N 0 3 249.354 2.766 20 0 BFADHN CCCCN(CCCC)Cc1cn(C)cn1 ZINC000659815760 411461646 /nfs/dbraw/zinc/46/16/46/411461646.db2.gz JLJHCYIGWOVVQM-UHFFFAOYSA-N 0 3 223.364 2.822 20 0 BFADHN CC[C@H]1C[C@@H](N[C@@H](C)c2ccccn2)CCO1 ZINC000070345809 411406437 /nfs/dbraw/zinc/40/64/37/411406437.db2.gz YGHWCJHGGDJODN-AVGNSLFASA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CCOC1)CCCO2 ZINC000182386685 411408720 /nfs/dbraw/zinc/40/87/20/411408720.db2.gz ZYYSECQCVKPODP-TZMCWYRMSA-N 0 3 247.338 2.587 20 0 BFADHN CC(C)(C)NCc1ccncc1Br ZINC000306884425 411462124 /nfs/dbraw/zinc/46/21/24/411462124.db2.gz QHDSKLMPNMJDQO-UHFFFAOYSA-N 0 3 243.148 2.732 20 0 BFADHN CCc1ncc(CN2[C@H](CC)CC[C@@H]2C)cn1 ZINC000414441187 411418155 /nfs/dbraw/zinc/41/81/55/411418155.db2.gz JDZNFDLMJHJCFN-WCQYABFASA-N 0 3 233.359 2.802 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N1CC[C@H](CO)C1 ZINC000266944790 411419106 /nfs/dbraw/zinc/41/91/06/411419106.db2.gz SIBARBIPDZHRMH-FZMZJTMJSA-N 0 3 237.318 2.591 20 0 BFADHN CCc1ncc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)cn1 ZINC000414442818 411419309 /nfs/dbraw/zinc/41/93/09/411419309.db2.gz YNYURSRYDIWSGW-UPJWGTAASA-N 0 3 247.386 2.905 20 0 BFADHN CN(Cc1cc2ccccc2o1)CC(C)(C)O ZINC000266945283 411419462 /nfs/dbraw/zinc/41/94/62/411419462.db2.gz RIMYDCDIDZSALL-UHFFFAOYSA-N 0 3 233.311 2.636 20 0 BFADHN C[C@@H]1C[C@H](CNCc2ccccc2F)[C@@H](C)O1 ZINC000414521079 411426305 /nfs/dbraw/zinc/42/63/05/411426305.db2.gz VUAHJQYTYDEABZ-NQBHXWOUSA-N 0 3 237.318 2.729 20 0 BFADHN C[C@H](N[C@H]1CCCc2cn[nH]c21)c1ccco1 ZINC000271447664 411430697 /nfs/dbraw/zinc/43/06/97/411430697.db2.gz DADASVWLYMIRHN-ONGXEEELSA-N 0 3 231.299 2.731 20 0 BFADHN C[C@@H](N[C@@H]1CCCc2cn[nH]c21)c1ccco1 ZINC000271447663 411430808 /nfs/dbraw/zinc/43/08/08/411430808.db2.gz DADASVWLYMIRHN-MWLCHTKSSA-N 0 3 231.299 2.731 20 0 BFADHN CO[C@@H](C)CN1CC[C@H](c2cccc(F)c2)C1 ZINC000272796755 411435156 /nfs/dbraw/zinc/43/51/56/411435156.db2.gz KGISFVFDSFASAO-AAEUAGOBSA-N 0 3 237.318 2.650 20 0 BFADHN CC[C@H](CC(F)F)CN(C)Cc1cnn(C)c1 ZINC000659816775 411463241 /nfs/dbraw/zinc/46/32/41/411463241.db2.gz DUJLRVHTWXHFSE-SNVBAGLBSA-N 0 3 245.317 2.533 20 0 BFADHN CCC[C@@H](C)NCc1nccn1C(C)C ZINC000657283179 411442038 /nfs/dbraw/zinc/44/20/38/411442038.db2.gz AOZPJAXANWXNMU-LLVKDONJSA-N 0 3 209.337 2.742 20 0 BFADHN CCC[C@H](C)NCc1cc(C(F)(F)F)nn1C ZINC000657284563 411443077 /nfs/dbraw/zinc/44/30/77/411443077.db2.gz QDHBZVUGSZFANZ-QMMMGPOBSA-N 0 3 249.280 2.717 20 0 BFADHN CC(C)C(C)(C)NCc1cc2n(n1)CCCC2 ZINC000655734477 411443227 /nfs/dbraw/zinc/44/32/27/411443227.db2.gz JHBCOCODBBBEHE-UHFFFAOYSA-N 0 3 235.375 2.744 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCS[C@@H]1C ZINC000306356883 411443489 /nfs/dbraw/zinc/44/34/89/411443489.db2.gz UDUBANWDWDOQFX-NXEZZACHSA-N 0 3 211.330 2.572 20 0 BFADHN c1cn2c(n1)[C@@H](NCC13CCC(CC1)C3)CCC2 ZINC000655736110 411443567 /nfs/dbraw/zinc/44/35/67/411443567.db2.gz DDVZBWVAJBJWKL-OWYJLGKBSA-N 0 3 245.370 2.888 20 0 BFADHN COCc1csc(CN[C@H]2CC2(C)C)c1 ZINC000657325427 411464651 /nfs/dbraw/zinc/46/46/51/411464651.db2.gz FJXRZSFHWXPCJU-NSHDSACASA-N 0 3 225.357 2.783 20 0 BFADHN CC(C)CCNCc1nccn1C(C)C ZINC000657285814 411443930 /nfs/dbraw/zinc/44/39/30/411443930.db2.gz CWXQGZUGVGOMOY-UHFFFAOYSA-N 0 3 209.337 2.600 20 0 BFADHN CC(C)CC[C@H](C)NCc1cc2n(n1)CCC2 ZINC000657286056 411443937 /nfs/dbraw/zinc/44/39/37/411443937.db2.gz FOEQQVISQLSOIG-LBPRGKRZSA-N 0 3 235.375 2.744 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)n1 ZINC000657285338 411444341 /nfs/dbraw/zinc/44/43/41/411444341.db2.gz DJBPAPMACNOCAL-ADEWGFFLSA-N 0 3 221.348 2.632 20 0 BFADHN Cc1c[nH]c(CNCCc2ccc(Cl)cc2)n1 ZINC000657285769 411444546 /nfs/dbraw/zinc/44/45/46/411444546.db2.gz AQXRCHKNWCFEEI-UHFFFAOYSA-N 0 3 249.745 2.704 20 0 BFADHN CC(C)C[C@H](C)N[C@H]1CCCn2ccnc21 ZINC000655738496 411444582 /nfs/dbraw/zinc/44/45/82/411444582.db2.gz VYMFPZULHCRAIL-RYUDHWBXSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccccc2Cl)CO1 ZINC000657285928 411444911 /nfs/dbraw/zinc/44/49/11/411444911.db2.gz QMGUTKLAQMIPNR-RYUDHWBXSA-N 0 3 239.746 2.997 20 0 BFADHN c1cn2c(n1)[C@H](N[C@H]1CC3CCC1CC3)CCC2 ZINC000655744892 411447155 /nfs/dbraw/zinc/44/71/55/411447155.db2.gz WLYGWOJJNDHLMV-PQAZSJQKSA-N 0 3 245.370 2.886 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@H]1CCC(F)(F)C1 ZINC000655745678 411447184 /nfs/dbraw/zinc/44/71/84/411447184.db2.gz BSKPOSDTTQTHRP-ZJUUUORDSA-N 0 3 241.285 2.623 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2cccs2)C1 ZINC000279444176 411447702 /nfs/dbraw/zinc/44/77/02/411447702.db2.gz YVDDOUNKBJAPGP-LBPRGKRZSA-N 0 3 225.357 2.749 20 0 BFADHN CC(C)C1(CNCc2cc3n(n2)CCCC3)CC1 ZINC000655746068 411448410 /nfs/dbraw/zinc/44/84/10/411448410.db2.gz LYARSCVTZABAHL-UHFFFAOYSA-N 0 3 247.386 2.745 20 0 BFADHN Cc1ncc(CN[C@H](C)c2ccccc2)n1C ZINC000657290775 411451475 /nfs/dbraw/zinc/45/14/75/411451475.db2.gz QPAGTXCVWQHKND-LLVKDONJSA-N 0 3 229.327 2.579 20 0 BFADHN CO[C@@H](CN(C)Cc1ccsc1)C1CCC1 ZINC000659821980 411464232 /nfs/dbraw/zinc/46/42/32/411464232.db2.gz QZBMOKXQTZZBHT-ZDUSSCGKSA-N 0 3 239.384 2.995 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccc(Cl)cc2)CO1 ZINC000657291040 411452377 /nfs/dbraw/zinc/45/23/77/411452377.db2.gz RINPUOWRUBCFHB-QWHCGFSZSA-N 0 3 239.746 2.997 20 0 BFADHN CC[C@H]1C[C@@H](NCCOc2cccc(C)c2)CO1 ZINC000657291683 411453599 /nfs/dbraw/zinc/45/35/99/411453599.db2.gz BDNXBYQWFZZSHL-KGLIPLIRSA-N 0 3 249.354 2.531 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2COC(C)(C)C2)cc1 ZINC000659828084 411466882 /nfs/dbraw/zinc/46/68/82/411466882.db2.gz WXCMJUHEBGGHAM-AWEZNQCLSA-N 0 3 233.355 2.994 20 0 BFADHN C[C@@H]1CCCC[C@H]1CNCc1cc2n(n1)CCC2 ZINC000657328920 411467025 /nfs/dbraw/zinc/46/70/25/411467025.db2.gz HJRJGZPTXVBBRP-OLZOCXBDSA-N 0 3 247.386 2.745 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CNCc1cc2n(n1)CCC2 ZINC000657328919 411467064 /nfs/dbraw/zinc/46/70/64/411467064.db2.gz HJRJGZPTXVBBRP-CHWSQXEVSA-N 0 3 247.386 2.745 20 0 BFADHN C[C@H]1CCCC[C@@H]1CNCc1cc2n(n1)CCC2 ZINC000657328921 411467101 /nfs/dbraw/zinc/46/71/01/411467101.db2.gz HJRJGZPTXVBBRP-QWHCGFSZSA-N 0 3 247.386 2.745 20 0 BFADHN C[C@H]1CCCC[C@H]1CNCc1cc2n(n1)CCC2 ZINC000657328922 411467170 /nfs/dbraw/zinc/46/71/70/411467170.db2.gz HJRJGZPTXVBBRP-STQMWFEESA-N 0 3 247.386 2.745 20 0 BFADHN CCOc1ccc(CN[C@@H]2CO[C@@H](CC)C2)cc1 ZINC000657329411 411467420 /nfs/dbraw/zinc/46/74/20/411467420.db2.gz QPVKOPLZEBQSMB-KBPBESRZSA-N 0 3 249.354 2.742 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cn(C2CCC2)nn1 ZINC000657328819 411467549 /nfs/dbraw/zinc/46/75/49/411467549.db2.gz FBSWSYCYNZFTHH-MNOVXSKESA-N 0 3 236.363 2.527 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccc(F)c(F)c2)CO1 ZINC000657328735 411467590 /nfs/dbraw/zinc/46/75/90/411467590.db2.gz DNEMUNWLUJYHSE-GHMZBOCLSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1c[nH]c(CN[C@]2(C)CCCC[C@@H]2C)n1 ZINC000657489293 411532610 /nfs/dbraw/zinc/53/26/10/411532610.db2.gz YIHWOBRDJUTEBP-GXFFZTMASA-N 0 3 221.348 2.777 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1CC=CCC1 ZINC000657332195 411468301 /nfs/dbraw/zinc/46/83/01/411468301.db2.gz YJAVTLSSFQPLLZ-CYBMUJFWSA-N 0 3 233.359 2.910 20 0 BFADHN C(CN1CCN(C2CCCC2)CC1)C1CCC1 ZINC000659837313 411469257 /nfs/dbraw/zinc/46/92/57/411469257.db2.gz ZPVXPARZTJXVNY-UHFFFAOYSA-N 0 3 236.403 2.737 20 0 BFADHN CC[C@H](C)N(C)Cc1cnc2ccccc2n1 ZINC000660064910 411532677 /nfs/dbraw/zinc/53/26/77/411532677.db2.gz YFEPERUYAWJYHK-NSHDSACASA-N 0 3 229.327 2.860 20 0 BFADHN COCC1(CNCc2ccc([C@H]3C[C@@H]3C)o2)CC1 ZINC000655837967 411532795 /nfs/dbraw/zinc/53/27/95/411532795.db2.gz HMRZCYKFHGZAHN-AAEUAGOBSA-N 0 3 249.354 2.919 20 0 BFADHN CCCN(CCC)Cc1cc(C)nc(C)n1 ZINC000659838226 411471273 /nfs/dbraw/zinc/47/12/73/411471273.db2.gz GYTPNQOFUVQAIG-UHFFFAOYSA-N 0 3 221.348 2.715 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2ccc(OC)cc2)CO1 ZINC000657335856 411471506 /nfs/dbraw/zinc/47/15/06/411471506.db2.gz JTTGSUKBHYPYDC-KWCYVHTRSA-N 0 3 249.354 2.913 20 0 BFADHN COCC1(CNCc2cscc2Cl)CC1 ZINC000655838044 411532802 /nfs/dbraw/zinc/53/28/02/411532802.db2.gz ZGOQQJOMIXETFA-UHFFFAOYSA-N 0 3 245.775 2.918 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H]2CCc3c2cccc3F)CO1 ZINC000657336756 411472155 /nfs/dbraw/zinc/47/21/55/411472155.db2.gz WBLLOJPDQNTRBV-UEKVPHQBSA-N 0 3 249.329 2.970 20 0 BFADHN CCOc1ccc(CN[C@H]2CCCOC2)c(C)c1 ZINC000657352232 411472472 /nfs/dbraw/zinc/47/24/72/411472472.db2.gz GGOQEHUXSHVVIE-AWEZNQCLSA-N 0 3 249.354 2.662 20 0 BFADHN CCOc1ccc(CN[C@@H]2CCCOC2)c(C)c1 ZINC000657352233 411472957 /nfs/dbraw/zinc/47/29/57/411472957.db2.gz GGOQEHUXSHVVIE-CQSZACIVSA-N 0 3 249.354 2.662 20 0 BFADHN CCN(Cc1cc(C)nc(C)n1)C1CCCC1 ZINC000659843231 411473314 /nfs/dbraw/zinc/47/33/14/411473314.db2.gz OGGSCVJMRKDWOH-UHFFFAOYSA-N 0 3 233.359 2.858 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H]2CCc3ccc(F)cc32)CO1 ZINC000657353914 411473886 /nfs/dbraw/zinc/47/38/86/411473886.db2.gz LBPQKRVXKRXWOJ-NFAWXSAZSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1c[nH]c(CNC[C@@H](C)c2ccc(F)cc2)n1 ZINC000657347692 411474763 /nfs/dbraw/zinc/47/47/63/411474763.db2.gz DYFSFPFSBIHXRF-SNVBAGLBSA-N 0 3 247.317 2.751 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H]2CCc3c2cccc3O)CO1 ZINC000657351570 411476184 /nfs/dbraw/zinc/47/61/84/411476184.db2.gz QDHYDRYUUYBIMA-MISXGVKJSA-N 0 3 247.338 2.537 20 0 BFADHN CCN(CCOC)[C@@H]1C[C@@H]1c1ccccc1 ZINC000659854885 411478178 /nfs/dbraw/zinc/47/81/78/411478178.db2.gz YKCBXNMVKFQNHJ-ZIAGYGMSSA-N 0 3 219.328 2.511 20 0 BFADHN COc1cc(CN2CCC[C@H](C)CC2)sn1 ZINC000659858018 411480183 /nfs/dbraw/zinc/48/01/83/411480183.db2.gz RTHHOJDHXDYEER-JTQLQIEISA-N 0 3 240.372 2.774 20 0 BFADHN CCCN(CC(C)C)[C@H](C)c1nnc(CC)[nH]1 ZINC000659860242 411481577 /nfs/dbraw/zinc/48/15/77/411481577.db2.gz UVOFMKZAVJJADA-LLVKDONJSA-N 0 3 238.379 2.796 20 0 BFADHN CCC[N@H+](CC(C)C)[C@H](C)c1nnc(CC)[n-]1 ZINC000659860242 411481579 /nfs/dbraw/zinc/48/15/79/411481579.db2.gz UVOFMKZAVJJADA-LLVKDONJSA-N 0 3 238.379 2.796 20 0 BFADHN CCC[N@@H+](CC(C)C)[C@H](C)c1nnc(CC)[n-]1 ZINC000659860242 411481581 /nfs/dbraw/zinc/48/15/81/411481581.db2.gz UVOFMKZAVJJADA-LLVKDONJSA-N 0 3 238.379 2.796 20 0 BFADHN Cc1c[nH]c(CNCCCC(C)(C)C)n1 ZINC000657369856 411483637 /nfs/dbraw/zinc/48/36/37/411483637.db2.gz HOFMATMPUKFWFU-UHFFFAOYSA-N 0 3 209.337 2.634 20 0 BFADHN CCN(Cc1ccoc1)C[C@H](OC)C1CCC1 ZINC000659871052 411484431 /nfs/dbraw/zinc/48/44/31/411484431.db2.gz MBOUCSGYPAKJBK-AWEZNQCLSA-N 0 3 237.343 2.917 20 0 BFADHN CCc1ccccc1CN[C@@H]1CO[C@H](CC)C1 ZINC000657372316 411485061 /nfs/dbraw/zinc/48/50/61/411485061.db2.gz SJWJULQDBCDBDH-LSDHHAIUSA-N 0 3 233.355 2.906 20 0 BFADHN C[C@@H](CN(C)Cc1cn(C)cn1)c1ccccc1 ZINC000659873476 411485839 /nfs/dbraw/zinc/48/58/39/411485839.db2.gz IQUFIZGTTJPSPB-ZDUSSCGKSA-N 0 3 243.354 2.656 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@@H]2C2CCCCC2)n1C ZINC000657379658 411487372 /nfs/dbraw/zinc/48/73/72/411487372.db2.gz CMIQMMKEUUTIMW-HUUCEWRRSA-N 0 3 247.386 2.787 20 0 BFADHN Cc1cccc(NC(=O)CN(C)[C@@H](C)C2CC2)c1 ZINC000121027187 411490945 /nfs/dbraw/zinc/49/09/45/411490945.db2.gz MWAYTKIAHCXNRL-LBPRGKRZSA-N 0 3 246.354 2.664 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1cccnc1 ZINC000453618014 411493929 /nfs/dbraw/zinc/49/39/29/411493929.db2.gz UQIMICIQXOHEFH-KBPBESRZSA-N 0 3 218.344 2.996 20 0 BFADHN COCC1(NCc2cc3ccc(C)cc3[nH]2)CC1 ZINC000657400000 411496016 /nfs/dbraw/zinc/49/60/16/411496016.db2.gz OLOCYXLMUQVBFQ-UHFFFAOYSA-N 0 3 244.338 2.745 20 0 BFADHN Cc1c[nH]c(CNCCc2c(C)cccc2C)n1 ZINC000657400752 411496288 /nfs/dbraw/zinc/49/62/88/411496288.db2.gz VNYPHEJNRFJAFZ-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H]2COc3ccc(C)cc32)CO1 ZINC000657401437 411496621 /nfs/dbraw/zinc/49/66/21/411496621.db2.gz VSTAXBJIVZSKRX-YRGRVCCFSA-N 0 3 247.338 2.586 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H]2COc3ccc(C)cc32)CO1 ZINC000657401434 411496778 /nfs/dbraw/zinc/49/67/78/411496778.db2.gz VSTAXBJIVZSKRX-OUCADQQQSA-N 0 3 247.338 2.586 20 0 BFADHN Cc1nc(CNC(C(C)C)C(C)C)[nH]c1C ZINC000657402952 411497595 /nfs/dbraw/zinc/49/75/95/411497595.db2.gz MLFAIOXRTFCSCN-UHFFFAOYSA-N 0 3 223.364 2.797 20 0 BFADHN Cc1nc(CN[C@H](C)CC(C)C)[nH]c1C ZINC000657414672 411498486 /nfs/dbraw/zinc/49/84/86/411498486.db2.gz SUTHRLIJLCHYHT-SECBINFHSA-N 0 3 209.337 2.551 20 0 BFADHN c1cc(CNCC2(C3CC3)CC2)n(CC2CC2)n1 ZINC000657415764 411499622 /nfs/dbraw/zinc/49/96/22/411499622.db2.gz NAHGLVXATSQMKD-UHFFFAOYSA-N 0 3 245.370 2.573 20 0 BFADHN CC(C)n1ccnc1CN[C@H](C)C1CCC1 ZINC000657417771 411501274 /nfs/dbraw/zinc/50/12/74/411501274.db2.gz XBWMPCCULYQZMY-LLVKDONJSA-N 0 3 221.348 2.742 20 0 BFADHN C([C@@H]1CC[C@H]2C[C@H]2C1)N1CCN(C2CCC2)CC1 ZINC000659929217 411501415 /nfs/dbraw/zinc/50/14/15/411501415.db2.gz QRYNIQMJWCYBAJ-QLFBSQMISA-N 0 3 248.414 2.593 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2cn(C)cn2)CC1 ZINC000659931913 411501737 /nfs/dbraw/zinc/50/17/37/411501737.db2.gz UMYSBCNSEFANMM-ZDUSSCGKSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1)c1ccc2c(c1)CCC2 ZINC000131371867 411502325 /nfs/dbraw/zinc/50/23/25/411502325.db2.gz OURIDTAAOFPHLV-IAQYHMDHSA-N 0 3 231.339 2.615 20 0 BFADHN Cc1nc(CNCCCc2cccs2)[nH]c1C ZINC000657423300 411502774 /nfs/dbraw/zinc/50/27/74/411502774.db2.gz DRONITIGHDYZRU-UHFFFAOYSA-N 0 3 249.383 2.810 20 0 BFADHN Cn1ccc(CNC2CCC(C3CCC3)CC2)n1 ZINC000657421796 411502992 /nfs/dbraw/zinc/50/29/92/411502992.db2.gz KGCLELTXUIUSPE-UHFFFAOYSA-N 0 3 247.386 2.869 20 0 BFADHN CC[C@H]1CCCN(Cc2cc(OC)no2)CC1 ZINC000659935737 411503387 /nfs/dbraw/zinc/50/33/87/411503387.db2.gz MCTGLCCDURHEEV-NSHDSACASA-N 0 3 238.331 2.695 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@H]1CO[C@H](CC)C1 ZINC000657425760 411504580 /nfs/dbraw/zinc/50/45/80/411504580.db2.gz ONSIQUAXXBLKTC-IACUBPJLSA-N 0 3 248.370 2.862 20 0 BFADHN Cc1c[nH]c(CNC2(C)CCC(F)(F)CC2)n1 ZINC000657431389 411507771 /nfs/dbraw/zinc/50/77/71/411507771.db2.gz GIVZJBCFFKFETP-UHFFFAOYSA-N 0 3 243.301 2.776 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)[C@@H]2C)nc(C)n1 ZINC000659950680 411508627 /nfs/dbraw/zinc/50/86/27/411508627.db2.gz QUOXGTILLSUFJA-PWSUYJOCSA-N 0 3 233.359 2.714 20 0 BFADHN C[C@@H]1CCCN(CCO[C@@H]2CC2(F)F)[C@@H]1C ZINC000659948884 411508639 /nfs/dbraw/zinc/50/86/39/411508639.db2.gz DNNUVPSVWSDWAX-GMTAPVOTSA-N 0 3 233.302 2.531 20 0 BFADHN Cc1ccc2cc(CNCCOC(C)C)[nH]c2c1 ZINC000657437094 411508694 /nfs/dbraw/zinc/50/86/94/411508694.db2.gz YNNWDHATPNQDKE-UHFFFAOYSA-N 0 3 246.354 2.991 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2cc3n(n2)CCC3)CC1 ZINC000657443021 411511106 /nfs/dbraw/zinc/51/11/06/411511106.db2.gz WHWYRAWFURAJDH-OLZOCXBDSA-N 0 3 247.386 2.888 20 0 BFADHN COc1cc(Cl)c(CNC(C)C)cc1OC ZINC000066148415 411511170 /nfs/dbraw/zinc/51/11/70/411511170.db2.gz RFDZJZHCEYVZDI-UHFFFAOYSA-N 0 3 243.734 2.855 20 0 BFADHN Cc1c[nH]c(CNC2CCC3(CC3)CC2)n1 ZINC000657450702 411511579 /nfs/dbraw/zinc/51/15/79/411511579.db2.gz SWGORMZURDDGTG-UHFFFAOYSA-N 0 3 219.332 2.531 20 0 BFADHN CN(Cc1cnc2ccccc2n1)C1CCC1 ZINC000659957668 411512443 /nfs/dbraw/zinc/51/24/43/411512443.db2.gz KWQMQRBVSMHSCE-UHFFFAOYSA-N 0 3 227.311 2.614 20 0 BFADHN CC(C)C1CCN(CCO[C@H]2CC2(F)F)CC1 ZINC000659957157 411512570 /nfs/dbraw/zinc/51/25/70/411512570.db2.gz PXVZGKCUDNDHQY-LBPRGKRZSA-N 0 3 247.329 2.779 20 0 BFADHN CC(C)=CCCN1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000660443650 411514390 /nfs/dbraw/zinc/51/43/90/411514390.db2.gz JXXHITQJXWKMPG-JSGCOSHPSA-N 0 3 223.360 2.842 20 0 BFADHN CC(C)n1ccnc1CNCCC1(C)CC1 ZINC000657453272 411514678 /nfs/dbraw/zinc/51/46/78/411514678.db2.gz UFZLTELKPOOVDD-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN CC[C@]1(C)CCCN(CCO[C@@H]2CC2(F)F)C1 ZINC000659974304 411515002 /nfs/dbraw/zinc/51/50/02/411515002.db2.gz HGMUZJDFFCXKRS-VXGBXAGGSA-N 0 3 247.329 2.923 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2cn(C3CCC3)nn2)C1 ZINC000657456909 411515701 /nfs/dbraw/zinc/51/57/01/411515701.db2.gz AYYOESBCNOWLHA-VXGBXAGGSA-N 0 3 248.374 2.529 20 0 BFADHN COC[C@@H](NCc1cccc(C)c1OC)C1CC1 ZINC000655810995 411515996 /nfs/dbraw/zinc/51/59/96/411515996.db2.gz PWKPZRAORNDTPJ-CQSZACIVSA-N 0 3 249.354 2.518 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2cn(C3CCC3)nn2)C1 ZINC000657456906 411516568 /nfs/dbraw/zinc/51/65/68/411516568.db2.gz AYYOESBCNOWLHA-NEPJUHHUSA-N 0 3 248.374 2.529 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2ccsc2)CO1 ZINC000657461202 411517237 /nfs/dbraw/zinc/51/72/37/411517237.db2.gz FCDVTNLJEWADNZ-MVWJERBFSA-N 0 3 225.357 2.966 20 0 BFADHN Oc1ccc2c(c1)CN(CCC1CCC1)CC2 ZINC000660078263 411534892 /nfs/dbraw/zinc/53/48/92/411534892.db2.gz SVRGYPAPGBWMRQ-UHFFFAOYSA-N 0 3 231.339 2.941 20 0 BFADHN CO[C@H]1CCN(Cc2cccc(SC)c2)C1 ZINC000659985991 411519300 /nfs/dbraw/zinc/51/93/00/411519300.db2.gz DSASRPUHORPTJV-LBPRGKRZSA-N 0 3 237.368 2.629 20 0 BFADHN CCOc1ccc(CN[C@H](C)CF)c(C)c1 ZINC000657467159 411520355 /nfs/dbraw/zinc/52/03/55/411520355.db2.gz XVQGMYCLGDGHEL-LLVKDONJSA-N 0 3 225.307 2.841 20 0 BFADHN CC[C@H](CC(F)F)CN(C)Cc1cnccn1 ZINC000659991631 411522435 /nfs/dbraw/zinc/52/24/35/411522435.db2.gz XROORFXUKAXYND-SNVBAGLBSA-N 0 3 243.301 2.590 20 0 BFADHN c1coc(CN[C@@H](C2CC2)[C@@H]2CCCCO2)c1 ZINC000655809790 411522738 /nfs/dbraw/zinc/52/27/38/411522738.db2.gz CNONOUXRMRQQGP-KBPBESRZSA-N 0 3 235.327 2.717 20 0 BFADHN Cc1cnc(CN[C@H](C)Cc2ccccn2)s1 ZINC000655843943 411535028 /nfs/dbraw/zinc/53/50/28/411535028.db2.gz XEMQUZYGDKGJQS-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN CCOc1cccc(CNC2(C)CC=CC2)n1 ZINC000655814878 411523887 /nfs/dbraw/zinc/52/38/87/411523887.db2.gz SALPYVMCYIWVHE-UHFFFAOYSA-N 0 3 232.327 2.679 20 0 BFADHN CC[C@H]1CC[C@H](NCc2nc(C)c[nH]2)CC1 ZINC000657473002 411524325 /nfs/dbraw/zinc/52/43/25/411524325.db2.gz DPUSVDCPBCPXID-HAQNSBGRSA-N 0 3 221.348 2.777 20 0 BFADHN COCC1(CN[C@H](C)c2ccccc2F)CC1 ZINC000655820811 411525440 /nfs/dbraw/zinc/52/54/40/411525440.db2.gz LYZPUWZIXVCHBH-LLVKDONJSA-N 0 3 237.318 2.903 20 0 BFADHN CCc1cccc2cc(CN[C@H]3C[C@@H](O)C3)oc21 ZINC000657484893 411529691 /nfs/dbraw/zinc/52/96/91/411529691.db2.gz PZQHLKGNUIUBCY-BETUJISGSA-N 0 3 245.322 2.608 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2CCC[C@H]2C2CC2)n1 ZINC000657491278 411531948 /nfs/dbraw/zinc/53/19/48/411531948.db2.gz WYDLPAAZJYIIQA-OLZOCXBDSA-N 0 3 233.359 2.634 20 0 BFADHN CCCCNC(=O)Nc1cccc(CN(C)C)c1 ZINC000044292978 411466000 /nfs/dbraw/zinc/46/60/00/411466000.db2.gz ZFSOVVLSFPLCFW-UHFFFAOYSA-N 0 3 249.358 2.670 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2CCC[C@@H](C)C2)n1 ZINC000657328465 411466026 /nfs/dbraw/zinc/46/60/26/411466026.db2.gz WPGZUOCKIAYZDG-PWSUYJOCSA-N 0 3 221.348 2.634 20 0 BFADHN CO[C@@H]1CCC[C@H](NCc2ccc(C)c(C)n2)C1 ZINC000314044146 411466153 /nfs/dbraw/zinc/46/61/53/411466153.db2.gz NRDYQZCEVHOAIJ-DZGCQCFKSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CCC[C@H](C)C2)n1 ZINC000657328463 411466572 /nfs/dbraw/zinc/46/65/72/411466572.db2.gz WPGZUOCKIAYZDG-CMPLNLGQSA-N 0 3 221.348 2.634 20 0 BFADHN CCN1CC[C@@H](NCc2ccc(Cl)s2)C1 ZINC000328282395 170012491 /nfs/dbraw/zinc/01/24/91/170012491.db2.gz SGNLPNZQBOVVKB-SECBINFHSA-N 0 3 244.791 2.585 20 0 BFADHN Cc1nc(CNCC2CCC(F)CC2)[nH]c1C ZINC000657500472 411538496 /nfs/dbraw/zinc/53/84/96/411538496.db2.gz OMGVESKPRLYFSL-UHFFFAOYSA-N 0 3 239.338 2.644 20 0 BFADHN CC(C)n1ccnc1CN[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000657501524 411538499 /nfs/dbraw/zinc/53/84/99/411538499.db2.gz YHZSQKZHUOZKJD-JHJVBQTASA-N 0 3 233.359 2.742 20 0 BFADHN CCOc1ccc(CN[C@H]2CO[C@@H](C)C2)c(C)c1 ZINC000657501398 411538581 /nfs/dbraw/zinc/53/85/81/411538581.db2.gz XSGVNXAGVBOJPU-GXTWGEPZSA-N 0 3 249.354 2.661 20 0 BFADHN CCN1CC[C@H](N[C@@H](C)c2ccccc2F)C1 ZINC000336888280 170022191 /nfs/dbraw/zinc/02/21/91/170022191.db2.gz FBLUXWQMAUMQJP-RYUDHWBXSA-N 0 3 236.334 2.571 20 0 BFADHN CCN1C[C@@H](C)N(Cc2ccsc2)C[C@H]1C ZINC000353893177 170028171 /nfs/dbraw/zinc/02/81/71/170028171.db2.gz ZMIVSYUXSPJGOW-VXGBXAGGSA-N 0 3 238.400 2.663 20 0 BFADHN c1ccc(COCCN2[C@H]3C=CC[C@@H]2CC3)cc1 ZINC000660121892 411540203 /nfs/dbraw/zinc/54/02/03/411540203.db2.gz PXVVHTLFAWCCTP-JKSUJKDBSA-N 0 3 243.350 2.996 20 0 BFADHN CC[C@H](CC(F)F)CN1CCN(CC)[C@H](C)C1 ZINC000660126404 411541599 /nfs/dbraw/zinc/54/15/99/411541599.db2.gz PHIKHGKRGHBGPV-VXGBXAGGSA-N 0 3 248.361 2.694 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCN(CC)[C@@H](C)C1 ZINC000660126403 411541867 /nfs/dbraw/zinc/54/18/67/411541867.db2.gz PHIKHGKRGHBGPV-RYUDHWBXSA-N 0 3 248.361 2.694 20 0 BFADHN CCC[C@H]1CN(CCC2CCC2)CCO1 ZINC000660133105 411542845 /nfs/dbraw/zinc/54/28/45/411542845.db2.gz OJKGEGVUBRTAOA-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN CO[C@H](CN(C)[C@@H](C)c1ccncc1)C1CCC1 ZINC000660139747 411543629 /nfs/dbraw/zinc/54/36/29/411543629.db2.gz FOINVHSORHQCRA-SWLSCSKDSA-N 0 3 248.370 2.890 20 0 BFADHN CO[C@@H](CN(C)[C@H](C)c1ccncc1)C1CCC1 ZINC000660139745 411544163 /nfs/dbraw/zinc/54/41/63/411544163.db2.gz FOINVHSORHQCRA-DOMZBBRYSA-N 0 3 248.370 2.890 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1C[C@H]1C1CCC1 ZINC000657495100 411535565 /nfs/dbraw/zinc/53/55/65/411535565.db2.gz GOSUHFHTASUVRA-KBPBESRZSA-N 0 3 247.386 2.990 20 0 BFADHN CCNC(=O)[C@@H](C)N[C@H](CC)c1cccc(C)c1 ZINC000285470832 170089031 /nfs/dbraw/zinc/08/90/31/170089031.db2.gz AWBWJQMDKKUUOT-TZMCWYRMSA-N 0 3 248.370 2.560 20 0 BFADHN CCNC(=O)[C@H](C)N[C@@H](CC)c1cccc(C)c1 ZINC000285470827 170096256 /nfs/dbraw/zinc/09/62/56/170096256.db2.gz AWBWJQMDKKUUOT-JSGCOSHPSA-N 0 3 248.370 2.560 20 0 BFADHN CCc1cccc(CN[C@H]2CO[C@@H](CC)C2)c1 ZINC000657502137 411537442 /nfs/dbraw/zinc/53/74/42/411537442.db2.gz FSSHRXYUBIWSPV-CABCVRRESA-N 0 3 233.355 2.906 20 0 BFADHN CCOc1ccc(CN[C@@H]2CO[C@@H](C)C2)c(C)c1 ZINC000657501401 411538252 /nfs/dbraw/zinc/53/82/52/411538252.db2.gz XSGVNXAGVBOJPU-JSGCOSHPSA-N 0 3 249.354 2.661 20 0 BFADHN CCNC1(c2nc(C)cs2)CCCC1 ZINC000041061052 170108799 /nfs/dbraw/zinc/10/87/99/170108799.db2.gz FRJVMYNQCKETRU-UHFFFAOYSA-N 0 3 210.346 2.830 20 0 BFADHN Cc1ccc(CN[C@@H]2CCN(C(C)(C)C)C2)o1 ZINC000657561068 411551508 /nfs/dbraw/zinc/55/15/08/411551508.db2.gz CULBGKACYHENCT-GFCCVEGCSA-N 0 3 236.359 2.550 20 0 BFADHN CC[C@@H](COCC1CC1)NCc1ccc(C)cn1 ZINC000657563063 411552096 /nfs/dbraw/zinc/55/20/96/411552096.db2.gz SAUVOCBAENDRDS-AWEZNQCLSA-N 0 3 248.370 2.685 20 0 BFADHN CCNCc1ccc(C(F)(F)F)s1 ZINC000336646505 170126570 /nfs/dbraw/zinc/12/65/70/170126570.db2.gz CIWFLMORBICXJK-UHFFFAOYSA-N 0 3 209.236 2.876 20 0 BFADHN C[C@H]1C[C@H](NCC2CCC(C)CC2)c2ncnn21 ZINC000657568027 411553298 /nfs/dbraw/zinc/55/32/98/411553298.db2.gz BMPZYIOEZYGDKJ-MVKMKZAISA-N 0 3 248.374 2.700 20 0 BFADHN CCNCc1cccc(Br)c1OC ZINC000042411696 170128187 /nfs/dbraw/zinc/12/81/87/170128187.db2.gz CUYSSQIWGHYYAI-UHFFFAOYSA-N 0 3 244.132 2.567 20 0 BFADHN CCNCc1cccc(OCC)c1OC(F)F ZINC000034652260 170128223 /nfs/dbraw/zinc/12/82/23/170128223.db2.gz QILJJNDZUUCDEJ-UHFFFAOYSA-N 0 3 245.269 2.796 20 0 BFADHN CCNCc1cscc1C(F)(F)F ZINC000336643366 170129904 /nfs/dbraw/zinc/12/99/04/170129904.db2.gz HILWSVBGDPHKPS-UHFFFAOYSA-N 0 3 209.236 2.876 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1n[nH]cc1C ZINC000657577398 411553817 /nfs/dbraw/zinc/55/38/17/411553817.db2.gz DIHPGIGMXYFPEA-SNVBAGLBSA-N 0 3 209.337 2.632 20 0 BFADHN CCN[C@@H](C)c1cnn(C(C)(C)C)c1C ZINC000040932781 170143300 /nfs/dbraw/zinc/14/33/00/170143300.db2.gz AWBGGKRESAZTML-VIFPVBQESA-N 0 3 209.337 2.617 20 0 BFADHN C[C@H]1CC[C@@](C)(CNCc2cc[nH]n2)C1(C)C ZINC000657589517 411555874 /nfs/dbraw/zinc/55/58/74/411555874.db2.gz LJDRRSPKMMFJFJ-FZMZJTMJSA-N 0 3 235.375 2.962 20 0 BFADHN CN(C)c1ccc(CN2CCC3(CC3)CC2)cn1 ZINC000660144265 411545063 /nfs/dbraw/zinc/54/50/63/411545063.db2.gz CEORJNZQFDWHKQ-UHFFFAOYSA-N 0 3 245.370 2.524 20 0 BFADHN CCN[C@H](C)c1cc(OC)c(OC)cc1F ZINC000061561406 170158995 /nfs/dbraw/zinc/15/89/95/170158995.db2.gz AJWXDJSHYTYZPY-MRVPVSSYSA-N 0 3 227.279 2.513 20 0 BFADHN Cc1noc(C)c1CNC[C@@H](C)c1ccccn1 ZINC000657532630 411545343 /nfs/dbraw/zinc/54/53/43/411545343.db2.gz KWARWODUIXLODN-SNVBAGLBSA-N 0 3 245.326 2.580 20 0 BFADHN CO[C@@H](CN1CC2(C1)CC(F)(F)C2)C1CCC1 ZINC000660145941 411545483 /nfs/dbraw/zinc/54/54/83/411545483.db2.gz AYMPPZLBMWMAET-NSHDSACASA-N 0 3 245.313 2.533 20 0 BFADHN CCN[C@H]1CCCOc2cc(F)ccc21 ZINC000087016192 170166446 /nfs/dbraw/zinc/16/64/46/170166446.db2.gz HWPZGXWIIBGFMI-NSHDSACASA-N 0 3 209.264 2.649 20 0 BFADHN CCN[C@H]1CCCOc2ccc(F)cc21 ZINC000035652877 170167162 /nfs/dbraw/zinc/16/71/62/170167162.db2.gz LDDPDIHPZVVLAW-NSHDSACASA-N 0 3 209.264 2.649 20 0 BFADHN CCN[C@H]1CCSc2c(F)cccc21 ZINC000035149330 170168942 /nfs/dbraw/zinc/16/89/42/170168942.db2.gz XVEIBMQVXRQBNV-JTQLQIEISA-N 0 3 211.305 2.972 20 0 BFADHN Fc1ccc(CN[C@@]23C[C@@H]2CCC3)c(F)c1 ZINC000657548753 411546246 /nfs/dbraw/zinc/54/62/46/411546246.db2.gz IQILCHLENGJECJ-GWCFXTLKSA-N 0 3 223.266 2.997 20 0 BFADHN Fc1ccccc1CN[C@@]12C[C@@H]1CCC2 ZINC000657548662 411546425 /nfs/dbraw/zinc/54/64/25/411546425.db2.gz ATZKLXLRNZDSBS-AAEUAGOBSA-N 0 3 205.276 2.858 20 0 BFADHN COc1ccccc1CN[C@]12C[C@H]1CCC2 ZINC000657548993 411546751 /nfs/dbraw/zinc/54/67/51/411546751.db2.gz WQFTWQSFEXWOEC-TZMCWYRMSA-N 0 3 217.312 2.727 20 0 BFADHN COc1cccc(CN[C@]23C[C@H]2CCC3)c1OC ZINC000657548941 411546814 /nfs/dbraw/zinc/54/68/14/411546814.db2.gz STILFHNKARHHMA-IUODEOHRSA-N 0 3 247.338 2.736 20 0 BFADHN Cc1cc(C)cc(N(C)C(=O)[C@H](N)CC(C)C)c1 ZINC000130108255 411546820 /nfs/dbraw/zinc/54/68/20/411546820.db2.gz ONCAMYMQHZSAOS-CQSZACIVSA-N 0 3 248.370 2.640 20 0 BFADHN Cn1nc(CN[C@]23C[C@H]2CCC3)c2ccccc21 ZINC000657549398 411546832 /nfs/dbraw/zinc/54/68/32/411546832.db2.gz CZPPLTPGTDWPKK-IAQYHMDHSA-N 0 3 241.338 2.606 20 0 BFADHN CC[C@@H](COCC1CC1)NCc1ccncc1C ZINC000657561155 411547643 /nfs/dbraw/zinc/54/76/43/411547643.db2.gz GABZZUITWRVRBP-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN c1c2cccnc2oc1CN[C@]12C[C@H]1CCC2 ZINC000657552955 411548718 /nfs/dbraw/zinc/54/87/18/411548718.db2.gz QVXBQXKREQEKPN-BXUZGUMPSA-N 0 3 228.295 2.860 20 0 BFADHN CC[C@@H](COCC1CC1)NCc1occc1C ZINC000657560986 411549601 /nfs/dbraw/zinc/54/96/01/411549601.db2.gz FCIBCEAUXOBLHT-ZDUSSCGKSA-N 0 3 237.343 2.883 20 0 BFADHN Fc1cccc(CN[C@@H]2COC3(CCC3)C2)c1 ZINC000657630075 411566501 /nfs/dbraw/zinc/56/65/01/411566501.db2.gz SHIQSVRTEZEHTG-ZDUSSCGKSA-N 0 3 235.302 2.627 20 0 BFADHN CCOC(=O)C[C@](C)(NC/C=C/Cl)C(C)C ZINC000186677214 170234654 /nfs/dbraw/zinc/23/46/54/170234654.db2.gz RVMDNQYGWDWCJI-SYTKJHMZSA-N 0 3 247.766 2.696 20 0 BFADHN CCc1ncc(CNCC[C@@H](C)CC)cn1 ZINC000657586848 411557432 /nfs/dbraw/zinc/55/74/32/411557432.db2.gz MVJGJRXFKJIXPA-NSHDSACASA-N 0 3 221.348 2.565 20 0 BFADHN CC[C@@H](C)CCNCc1cn(C2CCC2)nn1 ZINC000657587264 411557862 /nfs/dbraw/zinc/55/78/62/411557862.db2.gz TWDYXJVHXVEZPW-LLVKDONJSA-N 0 3 236.363 2.529 20 0 BFADHN CC[C@H](C)CC[NH2+]Cc1nc(C)ccc1[O-] ZINC000657587480 411558014 /nfs/dbraw/zinc/55/80/14/411558014.db2.gz WBMVNJMTIJGBDD-JTQLQIEISA-N 0 3 222.332 2.621 20 0 BFADHN CC[C@H](C)CCNCc1cn2cccc(F)c2n1 ZINC000657586870 411558025 /nfs/dbraw/zinc/55/80/25/411558025.db2.gz NKQXQSZLBHNJGL-NSHDSACASA-N 0 3 249.333 2.999 20 0 BFADHN CCOC(=O)[C@@H](C)N1CCC[C@H](C(C)(C)C)C1 ZINC000361543280 170247236 /nfs/dbraw/zinc/24/72/36/170247236.db2.gz MPJCZBFSPLHDHC-NEPJUHHUSA-N 0 3 241.375 2.696 20 0 BFADHN CCOC(=O)[C@@H](CC)N(C)C[C@H]1CC=CCC1 ZINC000354080525 170250239 /nfs/dbraw/zinc/25/02/39/170250239.db2.gz AEOHKWKKFWOOEO-QWHCGFSZSA-N 0 3 239.359 2.616 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1CCC(C)(C)CC ZINC000362258113 170258022 /nfs/dbraw/zinc/25/80/22/170258022.db2.gz NSFVOJSDDPBVPT-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1CC[C@H](C)[C@@H]1C ZINC000360027215 170270485 /nfs/dbraw/zinc/27/04/85/170270485.db2.gz GETGUVGIEWUMOA-AVGNSLFASA-N 0 3 241.375 2.695 20 0 BFADHN C[C@@H](N[C@@H](C)C1(CO)CC1)c1ccccc1F ZINC000657608824 411561896 /nfs/dbraw/zinc/56/18/96/411561896.db2.gz YRKXGHAFMVWIOC-MNOVXSKESA-N 0 3 237.318 2.637 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccc1F)C1(CO)CC1 ZINC000657608826 411561944 /nfs/dbraw/zinc/56/19/44/411561944.db2.gz YRKXGHAFMVWIOC-WDEREUQCSA-N 0 3 237.318 2.637 20 0 BFADHN CCO[C@H]1C[C@H](NCc2cccc(C)c2F)C1 ZINC000657611451 411563361 /nfs/dbraw/zinc/56/33/61/411563361.db2.gz MZIBHCPVRRIOSH-JOCQHMNTSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H]1c2ccccc2C[C@H]1NCc1ccccn1 ZINC000657616436 411563711 /nfs/dbraw/zinc/56/37/11/411563711.db2.gz PMYZNUVIKWDYGZ-BLLLJJGKSA-N 0 3 238.334 2.900 20 0 BFADHN C[C@@H](NCc1cc2ccccc2o1)C1(CO)CC1 ZINC000657604822 411563819 /nfs/dbraw/zinc/56/38/19/411563819.db2.gz XTFHJBKSSJNWDU-LLVKDONJSA-N 0 3 245.322 2.683 20 0 BFADHN C[C@@H](NCc1ccccc1Cl)C1(CO)CC1 ZINC000657604963 411564126 /nfs/dbraw/zinc/56/41/26/411564126.db2.gz NHLPFEFFRZOHFH-SNVBAGLBSA-N 0 3 239.746 2.591 20 0 BFADHN Cc1ccccc1CNCCO[C@@H]1CC1(F)F ZINC000657617852 411564594 /nfs/dbraw/zinc/56/45/94/411564594.db2.gz NJLPROBXVFVRBG-GFCCVEGCSA-N 0 3 241.281 2.509 20 0 BFADHN Cc1sccc1CNCCO[C@H]1CC1(F)F ZINC000657618289 411564629 /nfs/dbraw/zinc/56/46/29/411564629.db2.gz FMBZGPOEIKXQNX-JTQLQIEISA-N 0 3 247.310 2.570 20 0 BFADHN C[C@H](NCCc1ccoc1)c1cncc(F)c1 ZINC000657653285 411570654 /nfs/dbraw/zinc/57/06/54/411570654.db2.gz IPPVQMAYCARDNX-JTQLQIEISA-N 0 3 234.274 2.707 20 0 BFADHN COc1cccc(CNC[C@@H]2CCC[C@H]3C[C@H]32)n1 ZINC000657654967 411571327 /nfs/dbraw/zinc/57/13/27/411571327.db2.gz ASRGBKNEAXRLBB-SGMGOOAPSA-N 0 3 246.354 2.616 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000657656887 411571525 /nfs/dbraw/zinc/57/15/25/411571525.db2.gz MDQHAAJICKSFGJ-MELADBBJSA-N 0 3 247.386 2.990 20 0 BFADHN CCOC(=O)c1coc(CN(CC)C(C)C)c1 ZINC000353364088 170304096 /nfs/dbraw/zinc/30/40/96/170304096.db2.gz NVVDOQLCKMLOCM-UHFFFAOYSA-N 0 3 239.315 2.687 20 0 BFADHN Cc1nc(CNC[C@@H]2CCC[C@@H]3C[C@@H]32)[nH]c1C ZINC000657656555 411571608 /nfs/dbraw/zinc/57/16/08/411571608.db2.gz KBEAIXNOMNTYDU-AGIUHOORSA-N 0 3 233.359 2.552 20 0 BFADHN CCOC(C)(C)CNCc1cccc(F)c1 ZINC000166942286 170312217 /nfs/dbraw/zinc/31/22/17/170312217.db2.gz FEQXJJNQKBHLLG-UHFFFAOYSA-N 0 3 225.307 2.730 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1cncc(F)c1 ZINC000188692508 170314158 /nfs/dbraw/zinc/31/41/58/170314158.db2.gz VIEQRFQVSFAZBR-JTQLQIEISA-N 0 3 240.322 2.686 20 0 BFADHN CCOC1(CNCc2cccnc2)CCCC1 ZINC000292872172 170318492 /nfs/dbraw/zinc/31/84/92/170318492.db2.gz DEYZEVHYPDGPRH-UHFFFAOYSA-N 0 3 234.343 2.521 20 0 BFADHN CCc1ccc(CNC[C@H]2CCC[C@@H](C)O2)o1 ZINC000657667232 411573196 /nfs/dbraw/zinc/57/31/96/411573196.db2.gz PYMPUPBXEOWNQP-DGCLKSJQSA-N 0 3 237.343 2.889 20 0 BFADHN C[C@]1(CNCc2ccccc2F)CC1(F)F ZINC000657667600 411573208 /nfs/dbraw/zinc/57/32/08/411573208.db2.gz ANYLXOYQNADVKY-LLVKDONJSA-N 0 3 229.245 2.961 20 0 BFADHN CCOC1CC(CN(C)Cc2ccoc2C)C1 ZINC000285367025 170322999 /nfs/dbraw/zinc/32/29/99/170322999.db2.gz ARECUBBYRJTNTN-UHFFFAOYSA-N 0 3 237.343 2.835 20 0 BFADHN CCOC1CC(CN(C)Cc2ccco2)C1 ZINC000285079805 170323045 /nfs/dbraw/zinc/32/30/45/170323045.db2.gz FSIHGCLQSLEAOT-UHFFFAOYSA-N 0 3 223.316 2.527 20 0 BFADHN CCOC1CC(CCNCc2ccco2)C1 ZINC000188651721 170323531 /nfs/dbraw/zinc/32/35/31/170323531.db2.gz VUVICMIZKRXEPZ-UHFFFAOYSA-N 0 3 223.316 2.574 20 0 BFADHN CCOC1CC(N(C)Cc2ccccc2OC)C1 ZINC000278732288 170327978 /nfs/dbraw/zinc/32/79/78/170327978.db2.gz VUTAEYJJVJUOMC-UHFFFAOYSA-N 0 3 249.354 2.695 20 0 BFADHN CCOC1CC(N(C)Cc2ccsc2)C1 ZINC000290432473 170328348 /nfs/dbraw/zinc/32/83/48/170328348.db2.gz LKWKMAVYMROFJV-UHFFFAOYSA-N 0 3 225.357 2.747 20 0 BFADHN C[C@@]1(CCNCc2cncs2)CC1(F)F ZINC000657669809 411574375 /nfs/dbraw/zinc/57/43/75/411574375.db2.gz HAKFEINRVGWADF-SECBINFHSA-N 0 3 232.299 2.668 20 0 BFADHN C[C@]1(CCNCc2ccccn2)CC1(F)F ZINC000657669986 411574578 /nfs/dbraw/zinc/57/45/78/411574578.db2.gz CJZLNFGOKHUFGT-NSHDSACASA-N 0 3 226.270 2.607 20 0 BFADHN C[C@]1(CNCc2ccsc2)CC1(F)F ZINC000657670292 411574583 /nfs/dbraw/zinc/57/45/83/411574583.db2.gz SOGALRGKLRICKI-SECBINFHSA-N 0 3 217.284 2.883 20 0 BFADHN Cc1noc(C)c1CNCC[C@@]1(C)CC1(F)F ZINC000657672009 411575254 /nfs/dbraw/zinc/57/52/54/411575254.db2.gz WICVLAHDBVKVEX-NSHDSACASA-N 0 3 244.285 2.816 20 0 BFADHN Cc1cnc(CNCC[C@]2(C)CC2(F)F)s1 ZINC000657671571 411575425 /nfs/dbraw/zinc/57/54/25/411575425.db2.gz VZKIMPKTBQNYJC-SNVBAGLBSA-N 0 3 246.326 2.977 20 0 BFADHN CCOCC(C)(C)CNCc1csc(C)n1 ZINC000288585893 170351612 /nfs/dbraw/zinc/35/16/12/170351612.db2.gz OFGCSRSSCYUYGP-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN C[C@@]1(CCNCc2nccs2)CC1(F)F ZINC000657672079 411575563 /nfs/dbraw/zinc/57/55/63/411575563.db2.gz YHHSSMIWEAKNRT-SECBINFHSA-N 0 3 232.299 2.668 20 0 BFADHN COc1ccnc(CN[C@@H](C)CC(C)C)c1F ZINC000657673714 411575719 /nfs/dbraw/zinc/57/57/19/411575719.db2.gz UAQDKEKVXACCEU-JTQLQIEISA-N 0 3 240.322 2.754 20 0 BFADHN CCOCC(C)(C)NCc1ccccc1F ZINC000293148461 170354828 /nfs/dbraw/zinc/35/48/28/170354828.db2.gz DPCHJOFYLVJYID-UHFFFAOYSA-N 0 3 225.307 2.730 20 0 BFADHN CCOc1cccc(CNCCC(C)(C)F)n1 ZINC000657645546 411568562 /nfs/dbraw/zinc/56/85/62/411568562.db2.gz ZPZOSIRIYULUGC-UHFFFAOYSA-N 0 3 240.322 2.708 20 0 BFADHN CCOCCCN(C)[C@H](C)c1ccncc1 ZINC000119788879 170370162 /nfs/dbraw/zinc/37/01/62/170370162.db2.gz LDNYAVOIBBNFDF-GFCCVEGCSA-N 0 3 222.332 2.501 20 0 BFADHN CCOCCCN1CCS[C@H]2CCCC[C@@H]21 ZINC000336665048 170375147 /nfs/dbraw/zinc/37/51/47/170375147.db2.gz FFKORTRBYBNRLW-STQMWFEESA-N 0 3 243.416 2.773 20 0 BFADHN CCOCCCN1CCS[C@H]2CCCC[C@H]21 ZINC000336665046 170375306 /nfs/dbraw/zinc/37/53/06/170375306.db2.gz FFKORTRBYBNRLW-OLZOCXBDSA-N 0 3 243.416 2.773 20 0 BFADHN Cc1cnc(CNCCC(C)(C)F)s1 ZINC000657645147 411569114 /nfs/dbraw/zinc/56/91/14/411569114.db2.gz MBUPTYZCFJMWQF-UHFFFAOYSA-N 0 3 216.325 2.679 20 0 BFADHN CCOCCCNCc1cc(F)c(F)c(F)c1 ZINC000062705709 170380321 /nfs/dbraw/zinc/38/03/21/170380321.db2.gz SLEZGGPPZAWTEI-UHFFFAOYSA-N 0 3 247.260 2.620 20 0 BFADHN CCOCCCN[C@@H](C)c1cc(C)c(C)o1 ZINC000336783062 170381052 /nfs/dbraw/zinc/38/10/52/170381052.db2.gz ZISXKAIVOLHLCU-NSHDSACASA-N 0 3 225.332 2.974 20 0 BFADHN CCOCCCNCc1ccc(Cl)cc1F ZINC000083374609 170381831 /nfs/dbraw/zinc/38/18/31/170381831.db2.gz KWFAKZHDEJYLIA-UHFFFAOYSA-N 0 3 245.725 2.995 20 0 BFADHN CCN(CCC=C(C)C)C[C@@H](O)C(F)(F)F ZINC000660327520 411569583 /nfs/dbraw/zinc/56/95/83/411569583.db2.gz AFVMTBZAMBRFBN-SNVBAGLBSA-N 0 3 239.281 2.588 20 0 BFADHN CCOCCN(C)[C@@H](C)c1sc(C)nc1C ZINC000106936642 170391219 /nfs/dbraw/zinc/39/12/19/170391219.db2.gz DJOLTXLKNUVLKI-JTQLQIEISA-N 0 3 242.388 2.789 20 0 BFADHN CCOCCN1CCC(c2ccc(O)cc2)CC1 ZINC000265943300 170398810 /nfs/dbraw/zinc/39/88/10/170398810.db2.gz GFLMSFNCPQAWPU-UHFFFAOYSA-N 0 3 249.354 2.608 20 0 BFADHN CCOCCN1CCC[C@H]1c1cccc(F)c1 ZINC000052455802 170399792 /nfs/dbraw/zinc/39/97/92/170399792.db2.gz FWUHWFAHYVELNF-AWEZNQCLSA-N 0 3 237.318 2.999 20 0 BFADHN CC(C)c1cccc(CN[C@H](CO)CCF)c1 ZINC000663331021 411626644 /nfs/dbraw/zinc/62/66/44/411626644.db2.gz LGHIUPMBLKHIKO-AWEZNQCLSA-N 0 3 239.334 2.620 20 0 BFADHN C1=CCC(COc2ccc3c(c2)OCCNC3)C1 ZINC000663337021 411627997 /nfs/dbraw/zinc/62/79/97/411627997.db2.gz HXZTUBJPIQCZOU-UHFFFAOYSA-N 0 3 245.322 2.514 20 0 BFADHN Cc1ccc(CNCCOCCF)c(Cl)c1 ZINC000663338714 411630102 /nfs/dbraw/zinc/63/01/02/411630102.db2.gz NGRVCCAZCOBJFU-UHFFFAOYSA-N 0 3 245.725 2.724 20 0 BFADHN CCOCCNC/C(C)=C/c1ccccc1 ZINC000102422985 170411614 /nfs/dbraw/zinc/41/16/14/170411614.db2.gz ZNFCFEYPUUGPKJ-ACCUITESSA-N 0 3 219.328 2.716 20 0 BFADHN CCc1nc([C@@H](C)N2CC[C@@H](C3CCC3)C2)n[nH]1 ZINC000660813359 411644455 /nfs/dbraw/zinc/64/44/55/411644455.db2.gz IJSUXACLADCLOU-ZYHUDNBSSA-N 0 3 248.374 2.550 20 0 BFADHN Cc1ccc(CNC[C@H]2CCC[C@@H](C)O2)o1 ZINC000657666355 411578008 /nfs/dbraw/zinc/57/80/08/411578008.db2.gz SRNYNTIBJKNJAL-ZYHUDNBSSA-N 0 3 223.316 2.635 20 0 BFADHN COc1cc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)on1 ZINC000660392636 411578118 /nfs/dbraw/zinc/57/81/18/411578118.db2.gz GLSVRCKXODNSQO-GMTAPVOTSA-N 0 3 238.331 2.550 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)co1 ZINC000660391701 411578228 /nfs/dbraw/zinc/57/82/28/411578228.db2.gz BAWKVNPBKIYHQK-VWYCJHECSA-N 0 3 222.332 2.849 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1ccc(F)cc1 ZINC000044688780 170431906 /nfs/dbraw/zinc/43/19/06/170431906.db2.gz FBVCNWCDGGNPRQ-MNOVXSKESA-N 0 3 225.307 2.901 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)nc(C)n1 ZINC000660394838 411578887 /nfs/dbraw/zinc/57/88/87/411578887.db2.gz ZLNRUKWJBQGOAL-WZRBSPASSA-N 0 3 247.386 2.960 20 0 BFADHN CCn1ccc(CN(C)[C@@H]2COC(C)(C)C2)c1 ZINC000660397067 411579074 /nfs/dbraw/zinc/57/90/74/411579074.db2.gz RJSDXGBFPSTLFV-ZDUSSCGKSA-N 0 3 236.359 2.507 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](C)[C@H]2C)nc(C)n1 ZINC000660394836 411579182 /nfs/dbraw/zinc/57/91/82/411579182.db2.gz ZLNRUKWJBQGOAL-NTZNESFSSA-N 0 3 247.386 2.960 20 0 BFADHN CC[C@](C)(NCc1cn(C(C)C)nn1)C1CC1 ZINC000657690802 411579219 /nfs/dbraw/zinc/57/92/19/411579219.db2.gz XDAZAWLCYCVWRB-ZDUSSCGKSA-N 0 3 236.363 2.527 20 0 BFADHN CC[C@](C)(NCc1cscn1)C1CC1 ZINC000657690587 411579299 /nfs/dbraw/zinc/57/92/99/411579299.db2.gz WLXSEZDTUZDFGC-NSHDSACASA-N 0 3 210.346 2.811 20 0 BFADHN CC[C@](C)(NCc1nc(C)c(C)[nH]1)C1CC1 ZINC000657689015 411579533 /nfs/dbraw/zinc/57/95/33/411579533.db2.gz MOVQAGZGAHOAGA-ZDUSSCGKSA-N 0 3 221.348 2.695 20 0 BFADHN CCn1ccc(CN(C)[C@H]2COC(C)(C)C2)c1 ZINC000660397066 411579553 /nfs/dbraw/zinc/57/95/53/411579553.db2.gz RJSDXGBFPSTLFV-CYBMUJFWSA-N 0 3 236.359 2.507 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1cc(C2CC2)no1 ZINC000660409872 411580489 /nfs/dbraw/zinc/58/04/89/411580489.db2.gz INYRSLZSKAWHGU-BXKDBHETSA-N 0 3 220.316 2.830 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1coc(C)n1 ZINC000660409847 411580557 /nfs/dbraw/zinc/58/05/57/411580557.db2.gz ICPJABVLWLVZQQ-CMPLNLGQSA-N 0 3 208.305 2.651 20 0 BFADHN CCc1nocc1CN[C@@H]1CCC[C@H]1CC ZINC000660409102 411580720 /nfs/dbraw/zinc/58/07/20/411580720.db2.gz BDECESUXTQLXCM-ZWNOBZJWSA-N 0 3 222.332 2.905 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1nc(C)oc1C ZINC000660410619 411580972 /nfs/dbraw/zinc/58/09/72/411580972.db2.gz QFKMPJRHRIKCCF-NEPJUHHUSA-N 0 3 222.332 2.960 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCc1cn[nH]c1)[C@H](C)C2 ZINC000657695790 411581122 /nfs/dbraw/zinc/58/11/22/411581122.db2.gz AYGPYHDYWNHPDE-ABAIWWIYSA-N 0 3 241.338 2.741 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H](O)C23CCC3)cc1C ZINC000657702356 411583172 /nfs/dbraw/zinc/58/31/72/411583172.db2.gz FGNOCFRZDPBBTE-CABCVRRESA-N 0 3 245.366 2.697 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@H](O)C23CCC3)cc1C ZINC000657702357 411583292 /nfs/dbraw/zinc/58/32/92/411583292.db2.gz FGNOCFRZDPBBTE-GJZGRUSLSA-N 0 3 245.366 2.697 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2C[C@H](O)C23CCC3)c1 ZINC000657704369 411583665 /nfs/dbraw/zinc/58/36/65/411583665.db2.gz FPELNHMRYWQGJU-KGLIPLIRSA-N 0 3 249.329 2.527 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H](O)C23CCC3)ccc1F ZINC000657704928 411583669 /nfs/dbraw/zinc/58/36/69/411583669.db2.gz JTJQXDCQSINFPQ-KGLIPLIRSA-N 0 3 249.329 2.527 20 0 BFADHN COc1cc(CN(C)C2(C)CCCC2)sn1 ZINC000660434364 411584420 /nfs/dbraw/zinc/58/44/20/411584420.db2.gz JTTOLJJAQNOHLP-UHFFFAOYSA-N 0 3 240.372 2.916 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](O)C12CCC2)c1ccccc1F ZINC000657708578 411585300 /nfs/dbraw/zinc/58/53/00/411585300.db2.gz LURXERPGNFJJKD-ZLKJLUDKSA-N 0 3 249.329 2.780 20 0 BFADHN Cc1c(CN(C)CCCC2CCC2)cnn1C ZINC000660442316 411585337 /nfs/dbraw/zinc/58/53/37/411585337.db2.gz SNIJOPMEOPVMCV-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1cccc(CN[C@H]2C[C@H](O)C23CCC3)c1F ZINC000657709857 411585703 /nfs/dbraw/zinc/58/57/03/411585703.db2.gz RWQBVTWZTCKBEW-STQMWFEESA-N 0 3 249.329 2.527 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@@H](O)C23CCC3)c1F ZINC000657709854 411585978 /nfs/dbraw/zinc/58/59/78/411585978.db2.gz RWQBVTWZTCKBEW-CHWSQXEVSA-N 0 3 249.329 2.527 20 0 BFADHN CO[C@@H](CN(C)Cc1cnccc1C)C1CCC1 ZINC000660453955 411587096 /nfs/dbraw/zinc/58/70/96/411587096.db2.gz XCPTZKSIBOOQQD-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN CO[C@H](CN(C)Cc1cnccc1C)C1CCC1 ZINC000660453959 411587239 /nfs/dbraw/zinc/58/72/39/411587239.db2.gz XCPTZKSIBOOQQD-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN CC(=O)Nc1ccccc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000657733923 411587281 /nfs/dbraw/zinc/58/72/81/411587281.db2.gz UMWLEQRNOQCMIM-NHAGDIPZSA-N 0 3 244.338 2.533 20 0 BFADHN CC1(C)[C@@H](CNCc2ccco2)C1(F)F ZINC000657735712 411587703 /nfs/dbraw/zinc/58/77/03/411587703.db2.gz BTCRAGHGICGOLT-SECBINFHSA-N 0 3 215.243 2.661 20 0 BFADHN Cn1ncc(C2CCC2)c1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000657734910 411587810 /nfs/dbraw/zinc/58/78/10/411587810.db2.gz VLWLXQFONBZGBJ-JYAVWHMHSA-N 0 3 245.370 2.576 20 0 BFADHN Cc1ccoc1CNC[C@@H]1C(C)(C)C1(F)F ZINC000657739377 411588875 /nfs/dbraw/zinc/58/88/75/411588875.db2.gz YNSQFCNTQHBRBE-SNVBAGLBSA-N 0 3 229.270 2.969 20 0 BFADHN CC1=CCCN(CCOCc2ccccc2)C1 ZINC000660461718 411589123 /nfs/dbraw/zinc/58/91/23/411589123.db2.gz HOIGGZXEDKVZAB-UHFFFAOYSA-N 0 3 231.339 2.855 20 0 BFADHN C[C@@H](NCc1cocn1)C1CCC(F)CC1 ZINC000657743672 411589690 /nfs/dbraw/zinc/58/96/90/411589690.db2.gz IXZHNHNOADBQEJ-KPPDAEKUSA-N 0 3 226.295 2.681 20 0 BFADHN CC(NCc1ccncc1F)(C1CC1)C1CC1 ZINC000657754642 411590009 /nfs/dbraw/zinc/59/00/09/411590009.db2.gz BNNSXVDKUMQDNV-UHFFFAOYSA-N 0 3 234.318 2.889 20 0 BFADHN Cn1cc(CN[C@]2(C)CC2(C)C)c(C(F)F)n1 ZINC000657760984 411590781 /nfs/dbraw/zinc/59/07/81/411590781.db2.gz CTTRBUKVPRECHT-GFCCVEGCSA-N 0 3 243.301 2.636 20 0 BFADHN Cc1ncccc1CN[C@]1(C)CC1(C)C ZINC000657761381 411590893 /nfs/dbraw/zinc/59/08/93/411590893.db2.gz JQENVKICVOFNLM-CYBMUJFWSA-N 0 3 204.317 2.668 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1cn2cccc(F)c2n1 ZINC000657760894 411590935 /nfs/dbraw/zinc/59/09/35/411590935.db2.gz BQBHQMZEWDQCLN-CQSZACIVSA-N 0 3 247.317 2.752 20 0 BFADHN COc1cc(C)nc(CN[C@@]2(C)CC2(C)C)c1 ZINC000657761404 411591811 /nfs/dbraw/zinc/59/18/11/411591811.db2.gz KDCHCPUTWMCGSZ-AWEZNQCLSA-N 0 3 234.343 2.677 20 0 BFADHN CC(C)(C)n1cc(CNCC2=CCCC2)cn1 ZINC000657749288 411592571 /nfs/dbraw/zinc/59/25/71/411592571.db2.gz UFWHFGBFFNYILN-UHFFFAOYSA-N 0 3 233.359 2.838 20 0 BFADHN Cn1cnc2cc(CNCC3=CCCC3)ccc21 ZINC000657749927 411592706 /nfs/dbraw/zinc/59/27/06/411592706.db2.gz UCRCUXDLQWHIHW-UHFFFAOYSA-N 0 3 241.338 2.773 20 0 BFADHN CC(C)CC1(NCc2cc3n(n2)CCCC3)CC1 ZINC000657774784 411594371 /nfs/dbraw/zinc/59/43/71/411594371.db2.gz LAVREYJNAZSUCO-UHFFFAOYSA-N 0 3 247.386 2.888 20 0 BFADHN CC(C)CC1(NCc2ccncc2F)CC1 ZINC000657775229 411594388 /nfs/dbraw/zinc/59/43/88/411594388.db2.gz PHDURRKYWXJUIB-UHFFFAOYSA-N 0 3 222.307 2.889 20 0 BFADHN CC(C)CC1(NCc2cncs2)CC1 ZINC000657774924 411594394 /nfs/dbraw/zinc/59/43/94/411594394.db2.gz OBBKKBHVVAKLLS-UHFFFAOYSA-N 0 3 210.346 2.811 20 0 BFADHN CO[C@@]1(C)C[C@H]1NC/C(C)=C/c1ccccc1 ZINC000657788388 411596172 /nfs/dbraw/zinc/59/61/72/411596172.db2.gz OMBYIBBZSSRWNE-NZETUCKYSA-N 0 3 231.339 2.857 20 0 BFADHN c1cc([C@H]2CCCCN2C[C@@H]2C[C@H]3C[C@H]3C2)n[nH]1 ZINC000660533875 411596437 /nfs/dbraw/zinc/59/64/37/411596437.db2.gz XXPJAAICRJIZLT-QVHKTLOISA-N 0 3 245.370 2.983 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc2occc2c1 ZINC000657789457 411596756 /nfs/dbraw/zinc/59/67/56/411596756.db2.gz DZEUTNXXTRWQIM-KGLIPLIRSA-N 0 3 231.295 2.700 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc(SC)s1 ZINC000657790092 411596937 /nfs/dbraw/zinc/59/69/37/411596937.db2.gz MZULOMOTIBGWHX-KOLCDFICSA-N 0 3 243.397 2.737 20 0 BFADHN CO[C@H](C)CN(C)[C@@H]1C[C@@H]1c1ccccc1 ZINC000660553493 411599100 /nfs/dbraw/zinc/59/91/00/411599100.db2.gz ZDTUKZSCWPBAHO-MRVWCRGKSA-N 0 3 219.328 2.509 20 0 BFADHN Cn1ncc(C2CCC2)c1CNC1CC(C)(C)C1 ZINC000657801735 411599379 /nfs/dbraw/zinc/59/93/79/411599379.db2.gz HCUWSDDBYOWNNF-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@@H](C)O[C@H]2C)c1C ZINC000657802974 411599448 /nfs/dbraw/zinc/59/94/48/411599448.db2.gz GXEGYODKXDATFN-SCDSUCTJSA-N 0 3 249.354 2.659 20 0 BFADHN COc1cccc(CNCc2cc[nH]c2)c1C ZINC000657803867 411600204 /nfs/dbraw/zinc/60/02/04/411600204.db2.gz AMQSAMNFKALYJV-UHFFFAOYSA-N 0 3 230.311 2.622 20 0 BFADHN O[C@H]1C[C@H](NCc2cc(Cl)cc(Cl)c2)C1 ZINC000657809738 411602581 /nfs/dbraw/zinc/60/25/81/411602581.db2.gz NLLOODXQCWKTCZ-XYPYZODXSA-N 0 3 246.137 2.606 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1cc2cnccc2o1 ZINC000657814344 411603690 /nfs/dbraw/zinc/60/36/90/411603690.db2.gz AFFNLQJATQNXII-ZJUUUORDSA-N 0 3 234.299 2.513 20 0 BFADHN C[C@@H](O)[C@H](NCc1cccs1)c1ccccc1 ZINC000657817125 411606022 /nfs/dbraw/zinc/60/60/22/411606022.db2.gz MLWDXOFBGNTMJN-RISCZKNCSA-N 0 3 247.363 2.960 20 0 BFADHN c1csc(CN[C@H]2CCCn3nccc32)c1 ZINC000657821390 411606859 /nfs/dbraw/zinc/60/68/59/411606859.db2.gz RDGBVZVDJWOXDE-NSHDSACASA-N 0 3 233.340 2.569 20 0 BFADHN Cc1cccc(CN[C@H]2CCCn3nccc32)c1 ZINC000657829219 411608512 /nfs/dbraw/zinc/60/85/12/411608512.db2.gz ASPTVXFHUONYCJ-AWEZNQCLSA-N 0 3 241.338 2.816 20 0 BFADHN c1csc(CN[C@H]2CCCSCC2)n1 ZINC000657844781 411610528 /nfs/dbraw/zinc/61/05/28/411610528.db2.gz YKRAWHSLQSAGIW-VIFPVBQESA-N 0 3 228.386 2.518 20 0 BFADHN CCC1(NCc2cc(C)nc(C)n2)CCCC1 ZINC000660672244 411616317 /nfs/dbraw/zinc/61/63/17/411616317.db2.gz TYLKKIOXGUXWGO-UHFFFAOYSA-N 0 3 233.359 2.906 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2C[C@H]3C[C@H]3C2)nc1 ZINC000660683982 411617475 /nfs/dbraw/zinc/61/74/75/411617475.db2.gz NMJWJUWZAIQECV-BTTYYORXSA-N 0 3 230.355 2.868 20 0 BFADHN Cc1nocc1CN1[C@@H](C)CCC1(C)C ZINC000660754405 411621120 /nfs/dbraw/zinc/62/11/20/411621120.db2.gz HIFQKEUSFBIYTM-VIFPVBQESA-N 0 3 208.305 2.746 20 0 BFADHN C[C@H](NCCOCCF)c1ccc(Cl)cc1 ZINC000663310969 411621422 /nfs/dbraw/zinc/62/14/22/411621422.db2.gz OZUHFPUKPYMOOL-JTQLQIEISA-N 0 3 245.725 2.977 20 0 BFADHN CC[C@@H](NCCOCCF)c1ccc(F)cc1 ZINC000663311541 411621634 /nfs/dbraw/zinc/62/16/34/411621634.db2.gz XVEJSLFIXRHSRX-CYBMUJFWSA-N 0 3 243.297 2.853 20 0 BFADHN CC[C@@H](C)N(CC)Cc1cc(OC)ns1 ZINC000661105534 411710039 /nfs/dbraw/zinc/71/00/39/411710039.db2.gz YOIZDGQYORWHEN-SECBINFHSA-N 0 3 228.361 2.772 20 0 BFADHN Cc1cc([C@@H](C)NCCOCCF)cs1 ZINC000663460198 411661977 /nfs/dbraw/zinc/66/19/77/411661977.db2.gz KWNQMUZWJOCILG-SNVBAGLBSA-N 0 3 231.336 2.693 20 0 BFADHN CC[C@H](NCCOCCF)c1ccsc1 ZINC000663459729 411662168 /nfs/dbraw/zinc/66/21/68/411662168.db2.gz GDPLTFHANWNHCB-NSHDSACASA-N 0 3 231.336 2.775 20 0 BFADHN C[C@H]1C[C@H](NCCOCCF)c2ccccc21 ZINC000663460346 411662645 /nfs/dbraw/zinc/66/26/45/411662645.db2.gz NFVGWBGNHOEQQB-FZMZJTMJSA-N 0 3 237.318 2.811 20 0 BFADHN FCCOCCN[C@H]1CCCc2sccc21 ZINC000663463313 411664975 /nfs/dbraw/zinc/66/49/75/411664975.db2.gz KWTAVAJEERNRRZ-NSHDSACASA-N 0 3 243.347 2.701 20 0 BFADHN CC1(C)CC[C@@H](CN2CC[C@H](C(F)F)C2)O1 ZINC000663464274 411665409 /nfs/dbraw/zinc/66/54/09/411665409.db2.gz VCBPNICKFMZFBF-UWVGGRQHSA-N 0 3 233.302 2.531 20 0 BFADHN CCc1ccc([C@@H](C)NCCOCCF)s1 ZINC000663465027 411665438 /nfs/dbraw/zinc/66/54/38/411665438.db2.gz ULYHKNRIAAYJFM-SNVBAGLBSA-N 0 3 245.363 2.947 20 0 BFADHN CCc1ccc([C@@H](C)NCCOCCF)cc1 ZINC000663463403 411665832 /nfs/dbraw/zinc/66/58/32/411665832.db2.gz MNGSLUPHGKAMFZ-GFCCVEGCSA-N 0 3 239.334 2.886 20 0 BFADHN CC(C)=CCCN1CCOC[C@H]1C1CCC1 ZINC000660904663 411667709 /nfs/dbraw/zinc/66/77/09/411667709.db2.gz OUIHRDSLQGIRTR-AWEZNQCLSA-N 0 3 223.360 2.844 20 0 BFADHN CC(C)N[C@H]1CCc2ccccc2-n2ccnc21 ZINC000663473450 411667925 /nfs/dbraw/zinc/66/79/25/411667925.db2.gz FWFMLIQLFMRKOT-ZDUSSCGKSA-N 0 3 241.338 2.858 20 0 BFADHN CC[C@@H]1CN(CCC=C(C)C)[C@@H](C)CO1 ZINC000660912378 411668961 /nfs/dbraw/zinc/66/89/61/411668961.db2.gz JYMRQFYPEGUWNH-QWHCGFSZSA-N 0 3 211.349 2.842 20 0 BFADHN c1c(CNC2(C3CCC3)CC2)onc1C1CC1 ZINC000660919471 411672372 /nfs/dbraw/zinc/67/23/72/411672372.db2.gz GWIRRLVSRJDWKF-UHFFFAOYSA-N 0 3 232.327 2.974 20 0 BFADHN CCCN1CCN(CCC)c2ccc(O)cc2C1 ZINC000661026507 411691543 /nfs/dbraw/zinc/69/15/43/411691543.db2.gz UGJPRIMRWAFHKO-UHFFFAOYSA-N 0 3 248.370 2.834 20 0 BFADHN CN(CCCC1CCCC1)Cc1cnc[nH]1 ZINC000661085324 411696570 /nfs/dbraw/zinc/69/65/70/411696570.db2.gz LGFVHBHPEULFAL-UHFFFAOYSA-N 0 3 221.348 2.812 20 0 BFADHN CCCn1ncnc1CN[C@@H](C)C(C)(C)CC ZINC000661231217 411736868 /nfs/dbraw/zinc/73/68/68/411736868.db2.gz JMCKNBBCEJUPIS-NSHDSACASA-N 0 3 238.379 2.602 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@H]1CC[C@H](C)O1)CC2 ZINC000661371488 411756568 /nfs/dbraw/zinc/75/65/68/411756568.db2.gz KTQDGPUGPDEZAJ-XJKSGUPXSA-N 0 3 245.366 2.921 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@@H]1CC[C@H](C)O1)CC2 ZINC000661371485 411757149 /nfs/dbraw/zinc/75/71/49/411757149.db2.gz KTQDGPUGPDEZAJ-BBRMVZONSA-N 0 3 245.366 2.921 20 0 BFADHN Cc1nccc(CN2CCC(C3CCC3)CC2)n1 ZINC000661375132 411758897 /nfs/dbraw/zinc/75/88/97/411758897.db2.gz UXHGUKWUSXXRPV-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@H]1CCCCO1)CC2 ZINC000661376134 411760244 /nfs/dbraw/zinc/76/02/44/411760244.db2.gz VSXABJFWRLPHKX-MRXNPFEDSA-N 0 3 245.366 2.922 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@H]1CCCO1)CC2 ZINC000661375710 411761137 /nfs/dbraw/zinc/76/11/37/411761137.db2.gz PXXXXWRSHVRXSK-OAHLLOKOSA-N 0 3 231.339 2.532 20 0 BFADHN CC[C@@H](O)CN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661434237 411773909 /nfs/dbraw/zinc/77/39/09/411773909.db2.gz KKOJYNJEGJXSBS-OCCSQVGLSA-N 0 3 249.354 2.968 20 0 BFADHN CCCCCN1CC2(CCC2)OC[C@H]1C ZINC000661470065 411782327 /nfs/dbraw/zinc/78/23/27/411782327.db2.gz QVMNRPHVXHSLHG-GFCCVEGCSA-N 0 3 211.349 2.820 20 0 BFADHN C[C@@H]1COC2(CCC2)CN1CCc1ccccc1 ZINC000661469301 411782467 /nfs/dbraw/zinc/78/24/67/411782467.db2.gz LKNFMHOTRQQLJN-CQSZACIVSA-N 0 3 245.366 2.873 20 0 BFADHN C[C@H]1COC2(CCC2)CN1CCc1ccccc1 ZINC000661469300 411783048 /nfs/dbraw/zinc/78/30/48/411783048.db2.gz LKNFMHOTRQQLJN-AWEZNQCLSA-N 0 3 245.366 2.873 20 0 BFADHN CC(C)N1CCCC[C@H]1Cn1cc(Cl)cn1 ZINC000663960104 411791203 /nfs/dbraw/zinc/79/12/03/411791203.db2.gz VDUHMVVSJGWSAP-LBPRGKRZSA-N 0 3 241.766 2.799 20 0 BFADHN FCCCN1CCC(c2ccco2)CC1 ZINC000661162281 411723564 /nfs/dbraw/zinc/72/35/64/411723564.db2.gz OEMWUZWZFKWWJU-UHFFFAOYSA-N 0 3 211.280 2.819 20 0 BFADHN C[C@H](O)CCCN1CCC(c2ccco2)CC1 ZINC000661161547 411723960 /nfs/dbraw/zinc/72/39/60/411723960.db2.gz UFAACSLPPRHCGB-LBPRGKRZSA-N 0 3 237.343 2.620 20 0 BFADHN C[C@]1(C2CC2)CN(CCC2CCC2)CCO1 ZINC000661170348 411725715 /nfs/dbraw/zinc/72/57/15/411725715.db2.gz FZWPCKKXGBHULI-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN Fc1ccc([C@H]2CCN2C[C@@H]2CCCOC2)cc1 ZINC000661513892 411794769 /nfs/dbraw/zinc/79/47/69/411794769.db2.gz UULVMMJFLIQBGO-SWLSCSKDSA-N 0 3 249.329 2.999 20 0 BFADHN CCC(CC)CN1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000661537944 411797636 /nfs/dbraw/zinc/79/76/36/411797636.db2.gz GWQUQPRTOGXARF-GHMZBOCLSA-N 0 3 211.349 2.580 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1CC[C@@]2(O)C[C@H]2C1 ZINC000661716781 411855609 /nfs/dbraw/zinc/85/56/09/411855609.db2.gz DPGNQJXTAWCULP-NWANDNLSSA-N 0 3 249.329 2.734 20 0 BFADHN CC(C)(C)O[C@H]1C[C@@H](NCCF)C12CCC2 ZINC000661842776 411900250 /nfs/dbraw/zinc/90/02/50/411900250.db2.gz SUVYRRZPJZZGHO-MNOVXSKESA-N 0 3 229.339 2.672 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2cc(OC)no2)C1 ZINC000661859841 411906916 /nfs/dbraw/zinc/90/69/16/411906916.db2.gz IOUJVLZWRXYDEZ-GHMZBOCLSA-N 0 3 238.331 2.694 20 0 BFADHN CCOc1ccc(CN2CC[C@H]3C[C@H]3C2)cc1 ZINC000661976208 411932145 /nfs/dbraw/zinc/93/21/45/411932145.db2.gz UDUHVTKYULJGPP-KBPBESRZSA-N 0 3 231.339 2.927 20 0 BFADHN C[C@@H](O)[C@H]1CCCN1Cc1sccc1Cl ZINC000661764671 411873209 /nfs/dbraw/zinc/87/32/09/411873209.db2.gz ISDQJHMUFIUTAB-PSASIEDQSA-N 0 3 245.775 2.747 20 0 BFADHN C[C@@H](O)[C@H]1CCCN1Cc1ccc(Cl)cc1 ZINC000661768221 411878477 /nfs/dbraw/zinc/87/84/77/411878477.db2.gz UVNPJPYVKPZZLL-ZWNOBZJWSA-N 0 3 239.746 2.685 20 0 BFADHN CCc1cc(N2CCO[C@@](C)(C3CC3)C2)ccn1 ZINC000664179431 411883257 /nfs/dbraw/zinc/88/32/57/411883257.db2.gz UZSWUZCFPLXUSC-OAHLLOKOSA-N 0 3 246.354 2.649 20 0 BFADHN c1cc(C2CCN([C@@H]3C=CCCC3)CC2)no1 ZINC000662047103 411966095 /nfs/dbraw/zinc/96/60/95/411966095.db2.gz YPNZDEYVSPEVJX-CYBMUJFWSA-N 0 3 232.327 2.963 20 0 BFADHN COc1cc(CNC2(CC(C)C)CC2)sn1 ZINC000662067175 411969158 /nfs/dbraw/zinc/96/91/58/411969158.db2.gz VHJSWJSSSPXVIZ-UHFFFAOYSA-N 0 3 240.372 2.820 20 0 BFADHN Cc1noc(C)c1CNC1(CC(C)C)CC1 ZINC000662066648 411969305 /nfs/dbraw/zinc/96/93/05/411969305.db2.gz PAAJGLMVNYPASJ-UHFFFAOYSA-N 0 3 222.332 2.960 20 0 BFADHN CCc1nc([C@H](C)NC2(CC(C)C)CC2)n[nH]1 ZINC000662066441 411969756 /nfs/dbraw/zinc/96/97/56/411969756.db2.gz LZNBRVREDOUXHW-JTQLQIEISA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@H](C)[NH2+]C2(CC(C)C)CC2)[n-]1 ZINC000662066441 411969761 /nfs/dbraw/zinc/96/97/61/411969761.db2.gz LZNBRVREDOUXHW-JTQLQIEISA-N 0 3 236.363 2.596 20 0 BFADHN Cc1nc(C)c(CNC2(CC(C)C)CC2)o1 ZINC000662067144 411970109 /nfs/dbraw/zinc/97/01/09/411970109.db2.gz URQIOXZQNBKAIJ-UHFFFAOYSA-N 0 3 222.332 2.960 20 0 BFADHN Cc1cnc(CN(CCC2CC2)CC2CC2)nc1 ZINC000662177182 412019602 /nfs/dbraw/zinc/01/96/02/412019602.db2.gz AYFKTDKGEFXYDP-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN c1cnc2c(n1)CCN(CC1CCCCCC1)C2 ZINC000662120623 411992271 /nfs/dbraw/zinc/99/22/71/411992271.db2.gz HIPGFZDDEYZQGJ-UHFFFAOYSA-N 0 3 245.370 2.805 20 0 BFADHN CC[C@@H](NCc1ccc(C)cc1)c1cnn(C)c1 ZINC000154517909 170902274 /nfs/dbraw/zinc/90/22/74/170902274.db2.gz IPQMHYFMOYNKFY-OAHLLOKOSA-N 0 3 243.354 2.969 20 0 BFADHN CC[C@@H](NCc1csc(C)c1)[C@H](O)C(F)F ZINC000295044932 170905561 /nfs/dbraw/zinc/90/55/61/170905561.db2.gz MZVSXXUFHFEWHG-ZJUUUORDSA-N 0 3 249.326 2.551 20 0 BFADHN Cn1cncc1CN1CCCC[C@@H]1CC1CCC1 ZINC000662157415 412010246 /nfs/dbraw/zinc/01/02/46/412010246.db2.gz PAXYEXFLTUCSDR-CQSZACIVSA-N 0 3 247.386 2.965 20 0 BFADHN Cn1ccnc1CN1CCCC[C@H]1CC1CCC1 ZINC000662157618 412011479 /nfs/dbraw/zinc/01/14/79/412011479.db2.gz QFJZCQAFRYNINF-AWEZNQCLSA-N 0 3 247.386 2.965 20 0 BFADHN CCC[C@@H](C)N[C@@H](CO)c1ccc(F)cc1F ZINC000662163357 412012636 /nfs/dbraw/zinc/01/26/36/412012636.db2.gz OSFAUGBSKKIEIS-RNCFNFMXSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1cn(C)cn1 ZINC000662160866 412013619 /nfs/dbraw/zinc/01/36/19/412013619.db2.gz NQRFQJQAIFMARK-WCQYABFASA-N 0 3 221.348 2.573 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C3CCC3)C2)on1 ZINC000662035862 411953728 /nfs/dbraw/zinc/95/37/28/411953728.db2.gz BENRHKNHJIFIKK-CYBMUJFWSA-N 0 3 234.343 2.995 20 0 BFADHN CCC(CC)CCCN(C)CC(=O)N(C)CC ZINC000662039165 411956372 /nfs/dbraw/zinc/95/63/72/411956372.db2.gz OYZSISUFBXCHLJ-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@@H]2COC[C@@H]2C1 ZINC000662039952 411957202 /nfs/dbraw/zinc/95/72/02/411957202.db2.gz PZNFFLWWDFJSIT-BNOWGMLFSA-N 0 3 249.329 2.855 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@H]2COC[C@H]2C1 ZINC000662039954 411958208 /nfs/dbraw/zinc/95/82/08/411958208.db2.gz PZNFFLWWDFJSIT-KWCYVHTRSA-N 0 3 249.329 2.855 20 0 BFADHN COCC[C@H](C)N1CC(C)(C)[C@H]1c1cccnc1 ZINC000664826584 412026009 /nfs/dbraw/zinc/02/60/09/412026009.db2.gz UHPQHPXIYKZBIC-GXTWGEPZSA-N 0 3 248.370 2.890 20 0 BFADHN CC1(C)CN(C[C@@H]2CCOC2)[C@H]1c1cccnc1 ZINC000664823848 412027657 /nfs/dbraw/zinc/02/76/57/412027657.db2.gz QAXJCUCMGHNBGC-JSGCOSHPSA-N 0 3 246.354 2.501 20 0 BFADHN COCC[C@H](C)N1CC(C)(C)[C@@H]1c1ccncc1 ZINC000664834170 412029246 /nfs/dbraw/zinc/02/92/46/412029246.db2.gz PWDYIUJWXWZWQX-JSGCOSHPSA-N 0 3 248.370 2.890 20 0 BFADHN CC1(C)CN(C[C@@H]2CCOC2)[C@@H]1c1ccncc1 ZINC000664832339 412029948 /nfs/dbraw/zinc/02/99/48/412029948.db2.gz IQNPVPOVLNTIOH-GXTWGEPZSA-N 0 3 246.354 2.501 20 0 BFADHN CN(CC1=CCSC1)[C@H]1C=CCCC1 ZINC000662268834 412060154 /nfs/dbraw/zinc/06/01/54/412060154.db2.gz MWSNMGRYEMNIOP-LBPRGKRZSA-N 0 3 209.358 2.700 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cnoc1C ZINC000309178182 171001568 /nfs/dbraw/zinc/00/15/68/171001568.db2.gz NGTVTXMZPXKTJE-ZYHUDNBSSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc(OC)c(OC)c2)C1 ZINC000091929952 171012429 /nfs/dbraw/zinc/01/24/29/171012429.db2.gz KSWSOHNSCCDAAY-GFCCVEGCSA-N 0 3 249.354 2.936 20 0 BFADHN CC[C@@H]1CCN(Cc2cn3cc(C)ccc3n2)C1 ZINC000125447638 171012815 /nfs/dbraw/zinc/01/28/15/171012815.db2.gz FKPXNHMCAPRYNC-CYBMUJFWSA-N 0 3 243.354 2.875 20 0 BFADHN CC[C@@H]1CCN(Cc2cccc(C(=O)OC)c2)C1 ZINC000125411453 171013104 /nfs/dbraw/zinc/01/31/04/171013104.db2.gz BSAGIYADCYFJPO-GFCCVEGCSA-N 0 3 247.338 2.705 20 0 BFADHN CC[C@@H]1CCN1CCc1ccccc1F ZINC000292295512 171022756 /nfs/dbraw/zinc/02/27/56/171022756.db2.gz CVFNJGCPBGIYJM-GFCCVEGCSA-N 0 3 207.292 2.853 20 0 BFADHN CC[C@@H]1CCN1Cc1ccccc1OC ZINC000292786508 171023451 /nfs/dbraw/zinc/02/34/51/171023451.db2.gz MADXHBONXVBRGJ-GFCCVEGCSA-N 0 3 205.301 2.680 20 0 BFADHN CC[C@@H]1CC[C@@H](C)[N@@H+]1Cc1nc(C)ccc1[O-] ZINC000136819416 171038516 /nfs/dbraw/zinc/03/85/16/171038516.db2.gz UPOVNRBVEUZEHH-VXGBXAGGSA-N 0 3 234.343 2.859 20 0 BFADHN CC[C@@H]1CC[C@@H](C)[N@H+]1Cc1nc(C)ccc1[O-] ZINC000136819416 171038519 /nfs/dbraw/zinc/03/85/19/171038519.db2.gz UPOVNRBVEUZEHH-VXGBXAGGSA-N 0 3 234.343 2.859 20 0 BFADHN CC[C@@H]1CC[C@H](C)[N@@H+]1Cc1nc(C)ccc1[O-] ZINC000136819321 171054749 /nfs/dbraw/zinc/05/47/49/171054749.db2.gz UPOVNRBVEUZEHH-NWDGAFQWSA-N 0 3 234.343 2.859 20 0 BFADHN CC[C@@H]1CC[C@H](C)[N@H+]1Cc1nc(C)ccc1[O-] ZINC000136819321 171054752 /nfs/dbraw/zinc/05/47/52/171054752.db2.gz UPOVNRBVEUZEHH-NWDGAFQWSA-N 0 3 234.343 2.859 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1CC(=O)NC(C)(C)CC ZINC000357552386 171054998 /nfs/dbraw/zinc/05/49/98/171054998.db2.gz MKRMARCWOIESCX-NWDGAFQWSA-N 0 3 240.391 2.554 20 0 BFADHN CC[C@@H]1CN(Cc2cc3ccccc3o2)C[C@H]1O ZINC000270865142 171087696 /nfs/dbraw/zinc/08/76/96/171087696.db2.gz IPDKZRGZPKLNFY-BXUZGUMPSA-N 0 3 245.322 2.636 20 0 BFADHN CC[C@@H]1CN(Cc2ccc(C)nc2C)CCCO1 ZINC000336485255 171087856 /nfs/dbraw/zinc/08/78/56/171087856.db2.gz CYQYSHOIBISRLB-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CC[C@@H]1CN(Cc2ncccc2C)CCS1 ZINC000093177547 171090751 /nfs/dbraw/zinc/09/07/51/171090751.db2.gz KNRJQSLRFXKQCH-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN CC[C@@H]1COCCN1C[C@H]1CCC(F)(F)C1 ZINC000335152757 171105615 /nfs/dbraw/zinc/10/56/15/171105615.db2.gz DYMWYDOSGZLEJO-WDEREUQCSA-N 0 3 233.302 2.533 20 0 BFADHN CC[C@@H]1C[C@H](CN(C)Cc2ccoc2)CCO1 ZINC000357003637 171128882 /nfs/dbraw/zinc/12/88/82/171128882.db2.gz ZNPYTMCDMSGWOI-TZMCWYRMSA-N 0 3 237.343 2.917 20 0 BFADHN CC[C@@H]1[C@@H](C)CC[N@@H+]1Cc1nc(C)ccc1[O-] ZINC000274973209 171156482 /nfs/dbraw/zinc/15/64/82/171156482.db2.gz WNENJYCVOASPSY-GXFFZTMASA-N 0 3 234.343 2.716 20 0 BFADHN CC[C@@H]1[C@@H](C)CC[N@H+]1Cc1nc(C)ccc1[O-] ZINC000274973209 171156484 /nfs/dbraw/zinc/15/64/84/171156484.db2.gz WNENJYCVOASPSY-GXFFZTMASA-N 0 3 234.343 2.716 20 0 BFADHN CC[C@@](C)(CN)c1nc(-c2ccccc2F)no1 ZINC000211559252 171167389 /nfs/dbraw/zinc/16/73/89/171167389.db2.gz ZISGKNWHWSSHTH-ZDUSSCGKSA-N 0 3 249.289 2.502 20 0 BFADHN CC[C@@](C)(NCCC(C)(C)O)c1nccs1 ZINC000267437451 171174815 /nfs/dbraw/zinc/17/48/15/171174815.db2.gz OANYHOVZDLTUBX-GFCCVEGCSA-N 0 3 242.388 2.519 20 0 BFADHN CC[C@@](C)(O)CN1Cc2ccccc2[C@H]1C ZINC000336541589 171180436 /nfs/dbraw/zinc/18/04/36/171180436.db2.gz ABDZBBSDGGIWBW-BXUZGUMPSA-N 0 3 219.328 2.724 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1ccccc1OC ZINC000087013342 171185791 /nfs/dbraw/zinc/18/57/91/171185791.db2.gz IMTSCVWJCDOOOB-BXUZGUMPSA-N 0 3 237.343 2.507 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cncs2)C1 ZINC000335017198 171202253 /nfs/dbraw/zinc/20/22/53/171202253.db2.gz OPOHNJTYDNYHHP-NSHDSACASA-N 0 3 210.346 2.765 20 0 BFADHN CC[C@@]1(C)CC[N@H+](Cc2nc(C)ccc2[O-])C1 ZINC000354108146 171202630 /nfs/dbraw/zinc/20/26/30/171202630.db2.gz UJXCOZUBBONALL-AWEZNQCLSA-N 0 3 234.343 2.718 20 0 BFADHN CC[C@@]1(C)CC[N@@H+](Cc2nc(C)ccc2[O-])C1 ZINC000354108146 171202632 /nfs/dbraw/zinc/20/26/32/171202632.db2.gz UJXCOZUBBONALL-AWEZNQCLSA-N 0 3 234.343 2.718 20 0 BFADHN CC[C@@]1(C)COCCN1CCc1ccccc1 ZINC000340875312 171204940 /nfs/dbraw/zinc/20/49/40/171204940.db2.gz HYZKOUBLOBNDDF-HNNXBMFYSA-N 0 3 233.355 2.730 20 0 BFADHN CC[C@@]1(CO)CCCN(Cc2occc2C)C1 ZINC000275159792 171211726 /nfs/dbraw/zinc/21/17/26/171211726.db2.gz XIFYOQXAJRBYKV-CQSZACIVSA-N 0 3 237.343 2.573 20 0 BFADHN CC[C@H](C(N)=O)N1C[C@@H](C)CC(C)(C)C1 ZINC000336499204 171220168 /nfs/dbraw/zinc/22/01/68/171220168.db2.gz PFONJBJKIIUTOX-VHSXEESVSA-N 0 3 212.337 2.668 20 0 BFADHN CC[C@H](C(=O)N(C)[C@H](C)c1ccccc1)N(C)C ZINC000298238258 171222665 /nfs/dbraw/zinc/22/26/65/171222665.db2.gz LNUKVRAPEMCWIJ-TZMCWYRMSA-N 0 3 248.370 2.546 20 0 BFADHN CC[C@H](C(=O)N1CCC[C@H]1CC)N(CC)CC ZINC000359792651 171223770 /nfs/dbraw/zinc/22/37/70/171223770.db2.gz IBWFQWZGPQKJDN-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H](C(=O)OC(C)(C)C)N1CC[C@H](C)[C@H]1C ZINC000361826129 171240077 /nfs/dbraw/zinc/24/00/77/171240077.db2.gz SJKRJEPELYHFET-QJPTWQEYSA-N 0 3 241.375 2.837 20 0 BFADHN CC[C@H](C)CN(C)Cc1cc(OC)ccn1 ZINC000264689059 171274882 /nfs/dbraw/zinc/27/48/82/171274882.db2.gz DBVUYPYODOYHHD-NSHDSACASA-N 0 3 222.332 2.568 20 0 BFADHN CC[C@H](C)CN(C)Cc1ccc(C(=O)OC)o1 ZINC000111254346 171274949 /nfs/dbraw/zinc/27/49/49/171274949.db2.gz IHJIMNGEBVLVJW-JTQLQIEISA-N 0 3 239.315 2.544 20 0 BFADHN CC[C@H](C)CN1CCC[C@H]1c1nc(C)no1 ZINC000353541434 171281816 /nfs/dbraw/zinc/28/18/16/171281816.db2.gz HQHWWKSLPPHOGI-ONGXEEELSA-N 0 3 223.320 2.561 20 0 BFADHN CC[C@H](C)CN1C[C@@]2(CCCO2)[C@@H]1C1CC1 ZINC000354717438 171282521 /nfs/dbraw/zinc/28/25/21/171282521.db2.gz YNAVBBBWDJIOKX-UBHSHLNASA-N 0 3 223.360 2.676 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1n[nH]cc1C ZINC000289658932 171298203 /nfs/dbraw/zinc/29/82/03/171298203.db2.gz YVORNXUHCGJYJM-ONGXEEELSA-N 0 3 209.337 2.632 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1ncc(C)n1C ZINC000336746110 171298404 /nfs/dbraw/zinc/29/84/04/171298404.db2.gz WFJXJUPNSOSSFF-QWRGUYRKSA-N 0 3 223.364 2.643 20 0 BFADHN CC[C@H](C)NC1(C(=O)Nc2ccccc2)CC1 ZINC000337416479 171339731 /nfs/dbraw/zinc/33/97/31/171339731.db2.gz NVXMOGVXSDAPGB-NSHDSACASA-N 0 3 232.327 2.546 20 0 BFADHN CC[C@H](C)NCc1cnc([C@@H]2CCCO2)s1 ZINC000082416812 171344050 /nfs/dbraw/zinc/34/40/50/171344050.db2.gz AUDLZTIJVLKYRI-ONGXEEELSA-N 0 3 240.372 2.883 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1nnc(-c2ccco2)o1 ZINC000183033164 171366465 /nfs/dbraw/zinc/36/64/65/171366465.db2.gz JXWPIHZRASCGPF-VHSXEESVSA-N 0 3 249.314 2.854 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1cc(C)n(C)n1 ZINC000310024994 171366634 /nfs/dbraw/zinc/36/66/34/171366634.db2.gz OTPVKTKFJHIHSF-MVWJERBFSA-N 0 3 223.364 2.814 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1scnc1C)OC ZINC000294138022 171368396 /nfs/dbraw/zinc/36/83/96/171368396.db2.gz GCVWQTAFFZYMCO-GXSJLCMTSA-N 0 3 242.388 2.602 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@H](C)c1ccco1)OC ZINC000294146341 171368932 /nfs/dbraw/zinc/36/89/32/171368932.db2.gz GZWDZPDBFABQQQ-DMDPSCGWSA-N 0 3 225.332 2.991 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)N[C@H](C)c1ccc(C)cc1 ZINC000122099640 171371126 /nfs/dbraw/zinc/37/11/26/171371126.db2.gz OLJFGWIDIULQMV-OUCADQQQSA-N 0 3 248.370 2.546 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@H](C)c1ccsc1 ZINC000252711022 171377941 /nfs/dbraw/zinc/37/79/41/171377941.db2.gz IHYSOEMPQSAJTQ-UMNHJUIQSA-N 0 3 227.373 2.806 20 0 BFADHN CC[C@H](C)[C@@H](O)CNCc1ccsc1C ZINC000293683446 171378520 /nfs/dbraw/zinc/37/85/20/171378520.db2.gz VWCUBFGOFDZZFG-CABZTGNLSA-N 0 3 227.373 2.553 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@H](C)c1ccccc1F ZINC000268881565 171378638 /nfs/dbraw/zinc/37/86/38/171378638.db2.gz LWYZNSYUWZQIJA-WDMOLILDSA-N 0 3 239.334 2.883 20 0 BFADHN CC12CC(C1)CN2CCc1ccccc1F ZINC000662385143 412137895 /nfs/dbraw/zinc/13/78/95/412137895.db2.gz LIFSBNVHVJXZNO-UHFFFAOYSA-N 0 3 219.303 2.853 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cn2ccccc2n1 ZINC000042556015 171389992 /nfs/dbraw/zinc/38/99/92/171389992.db2.gz UYXDASGBXIFJPH-RYUDHWBXSA-N 0 3 231.343 2.859 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](c1nccn1C)C1CC1 ZINC000353864941 171390382 /nfs/dbraw/zinc/39/03/82/171390382.db2.gz HGXONPDJAWCWGM-GMXVVIOVSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1nccnc1C ZINC000187831804 171390506 /nfs/dbraw/zinc/39/05/06/171390506.db2.gz MXWDDJRVLRZCJP-NHCYSSNCSA-N 0 3 221.348 2.870 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)N(C)c1cc(C)cc(C)c1 ZINC000049988773 171393243 /nfs/dbraw/zinc/39/32/43/171393243.db2.gz CZBVZEDLXRWBJF-JSGCOSHPSA-N 0 3 248.370 2.640 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)N[C@@H](C)CCCC(C)C ZINC000040858540 171395258 /nfs/dbraw/zinc/39/52/58/171395258.db2.gz LCVJGUBELAJMDH-AVGNSLFASA-N 0 3 242.407 2.691 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)Nc1ccc(C)cc1C ZINC000011959709 171396203 /nfs/dbraw/zinc/39/62/03/171396203.db2.gz DNWDSYNJIWCUMJ-GWCFXTLKSA-N 0 3 234.343 2.615 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)Nc1ccc(Cl)cc1 ZINC000011959844 171396494 /nfs/dbraw/zinc/39/64/94/171396494.db2.gz VJDCEQQQZSYJEV-KWQFWETISA-N 0 3 240.734 2.652 20 0 BFADHN COCC1(NCc2cccnc2)CCCCC1 ZINC000662338281 412108263 /nfs/dbraw/zinc/10/82/63/412108263.db2.gz JAAHKGLAHOZYCF-UHFFFAOYSA-N 0 3 234.343 2.521 20 0 BFADHN COc1cc(C)nc(CN(C)C[C@@H]2CC2(C)C)c1 ZINC000662343692 412111520 /nfs/dbraw/zinc/11/15/20/412111520.db2.gz CHBHAAUWRNESGG-LBPRGKRZSA-N 0 3 248.370 2.877 20 0 BFADHN C[C@H](c1cnccn1)N(C)C[C@@H]1CC1(C)C ZINC000662345852 412116999 /nfs/dbraw/zinc/11/69/99/412116999.db2.gz MQNLYVZOWULFIU-MNOVXSKESA-N 0 3 219.332 2.516 20 0 BFADHN COc1ccnc(CN(C)C[C@H]2CC2(C)C)c1 ZINC000662349017 412120309 /nfs/dbraw/zinc/12/03/09/412120309.db2.gz ZLFGBPGQGHRPPM-LLVKDONJSA-N 0 3 234.343 2.568 20 0 BFADHN CC[C@H](C)N1C[C@H](C)O[C@](C)(C(F)F)C1 ZINC000662358960 412123904 /nfs/dbraw/zinc/12/39/04/412123904.db2.gz GRTSRWFHVHBUNJ-QXEWZRGKSA-N 0 3 221.291 2.529 20 0 BFADHN CCC[C@H](C)N1C[C@H](C)O[C@](C)(C(F)F)C1 ZINC000662360004 412124096 /nfs/dbraw/zinc/12/40/96/412124096.db2.gz OPECRFLYDFYLMZ-NHCYSSNCSA-N 0 3 235.318 2.920 20 0 BFADHN C[C@@H]1CN(CC2CC=CC2)C[C@](C)(C(F)F)O1 ZINC000662359417 412124362 /nfs/dbraw/zinc/12/43/62/412124362.db2.gz ZEWLFDFNGRQYHZ-ZWNOBZJWSA-N 0 3 245.313 2.697 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1cccc(C(C)C)c1 ZINC000662362596 412124506 /nfs/dbraw/zinc/12/45/06/412124506.db2.gz QSLLRGUVKDNWHE-CYBMUJFWSA-N 0 3 234.343 2.747 20 0 BFADHN C[C@@H](c1cccnc1)N1CC2CC1(C)C2 ZINC000662383635 412135630 /nfs/dbraw/zinc/13/56/30/412135630.db2.gz NILOXCGGVCCYMK-ZBOXLXRLSA-N 0 3 202.301 2.627 20 0 BFADHN C[C@H](c1cccnc1)N1CC2CC1(C)C2 ZINC000662383634 412136602 /nfs/dbraw/zinc/13/66/02/412136602.db2.gz NILOXCGGVCCYMK-XSRFYTQQSA-N 0 3 202.301 2.627 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1cc(C)ccc1Cl ZINC000662465998 412184704 /nfs/dbraw/zinc/18/47/04/412184704.db2.gz PBGWDJVLMPMGQY-SNVBAGLBSA-N 0 3 240.734 2.585 20 0 BFADHN CC[C@H](N)C(=O)N[C@@H](CC(C)C)c1ccccc1 ZINC000037508856 171481124 /nfs/dbraw/zinc/48/11/24/171481124.db2.gz AWXXDMHYRYBJHV-KBPBESRZSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1cncc([C@@H](C)NCc2cccc(N)c2)c1 ZINC000665233060 412171815 /nfs/dbraw/zinc/17/18/15/412171815.db2.gz ZZHZPVBPQZGAFP-GFCCVEGCSA-N 0 3 241.338 2.823 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1cccc(C(F)F)c1 ZINC000662559882 412219155 /nfs/dbraw/zinc/21/91/55/412219155.db2.gz DDEGNGPYGPSRGQ-SNVBAGLBSA-N 0 3 242.269 2.561 20 0 BFADHN COc1cncc(CN2CC[C@H](C3CCC3)C2)c1 ZINC000665290002 412235899 /nfs/dbraw/zinc/23/58/99/412235899.db2.gz FHFUTODERMSVSA-AWEZNQCLSA-N 0 3 246.354 2.712 20 0 BFADHN Fc1cncc(CN2CC[C@H](C3CCC3)C2)c1 ZINC000665290239 412236092 /nfs/dbraw/zinc/23/60/92/412236092.db2.gz PKZUTZCNUDQROT-ZDUSSCGKSA-N 0 3 234.318 2.843 20 0 BFADHN CC(C)c1nc(CN2CC[C@H](C3CCC3)C2)n[nH]1 ZINC000665290097 412236185 /nfs/dbraw/zinc/23/61/85/412236185.db2.gz IZWWRVBUXTWKLM-LBPRGKRZSA-N 0 3 248.374 2.550 20 0 BFADHN CC[C@](C)(NCCCOC)c1nc(C)cs1 ZINC000173938276 171698610 /nfs/dbraw/zinc/69/86/10/171698610.db2.gz YHNCEDVPZKXUMT-LBPRGKRZSA-N 0 3 242.388 2.703 20 0 BFADHN CCc1c[nH]c(SCCN2CCCCC2)n1 ZINC000128828592 171745997 /nfs/dbraw/zinc/74/59/97/171745997.db2.gz BEGWXUQLGXBOLL-UHFFFAOYSA-N 0 3 239.388 2.550 20 0 BFADHN OC1(CCN2CC[C@H]2c2cccc(F)c2)CCC1 ZINC000663056026 412377186 /nfs/dbraw/zinc/37/71/86/412377186.db2.gz GTYYAGKMGFZNPS-AWEZNQCLSA-N 0 3 249.329 2.878 20 0 BFADHN CCc1ccc(CN2CC[C@@H](C)[C@H](OC)C2)o1 ZINC000188307214 171802027 /nfs/dbraw/zinc/80/20/27/171802027.db2.gz ZATYYBOFEVCFBL-BXUZGUMPSA-N 0 3 237.343 2.699 20 0 BFADHN Cc1cccc([C@@H](C)NCCOCCF)c1 ZINC000663309589 412414619 /nfs/dbraw/zinc/41/46/19/412414619.db2.gz BDMNFXUEIDGIEY-GFCCVEGCSA-N 0 3 225.307 2.632 20 0 BFADHN CCc1ccc(CN[C@@H](CO)CC(C)(C)C)o1 ZINC000305217023 171809899 /nfs/dbraw/zinc/80/98/99/171809899.db2.gz RNJJUWVSHUJWRN-LLVKDONJSA-N 0 3 239.359 2.729 20 0 BFADHN CCc1ccc(NC(=O)[C@]2(C)CCCCN2)cc1 ZINC000136132827 171820431 /nfs/dbraw/zinc/82/04/31/171820431.db2.gz YCSCBCDQTWQCLU-HNNXBMFYSA-N 0 3 246.354 2.720 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](OC)C2CC2)o1 ZINC000293827779 171831207 /nfs/dbraw/zinc/83/12/07/171831207.db2.gz WVLNZJXOEXHJQE-YGRLFVJLSA-N 0 3 237.343 2.918 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H]2C[C@H](O)C2(C)C)o1 ZINC000187672505 171831726 /nfs/dbraw/zinc/83/17/26/171831726.db2.gz AVQDQMBZVPNGAB-WQAKAFBOSA-N 0 3 237.343 2.652 20 0 BFADHN CCc1nc(CNCC(C)(C)C)cs1 ZINC000049592733 171983861 /nfs/dbraw/zinc/98/38/61/171983861.db2.gz LSFUKENECRGBEM-UHFFFAOYSA-N 0 3 212.362 2.841 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@@H]2CC=C(C)CC2)[n-]1 ZINC000285087019 172002882 /nfs/dbraw/zinc/00/28/82/172002882.db2.gz JUUNSGRZFVOCEM-GHMZBOCLSA-N 0 3 234.347 2.517 20 0 BFADHN CCc1nnc([C@@H](C)N[C@@H]2CC=C(C)CC2)[nH]1 ZINC000285087019 172002883 /nfs/dbraw/zinc/00/28/83/172002883.db2.gz JUUNSGRZFVOCEM-GHMZBOCLSA-N 0 3 234.347 2.517 20 0 BFADHN CCc1nc([C@H](C)N[C@H](C)CCOC)cs1 ZINC000124508922 172007231 /nfs/dbraw/zinc/00/72/31/172007231.db2.gz MIZOJCXUIOVCQL-ZJUUUORDSA-N 0 3 242.388 2.781 20 0 BFADHN CCc1nc2cc(N[C@H]3CCN(C)C3)ccc2o1 ZINC000061989273 172012591 /nfs/dbraw/zinc/01/25/91/172012591.db2.gz RCEPBELMVSQTCO-NSHDSACASA-N 0 3 245.326 2.506 20 0 BFADHN CCc1ncc(CN2CC[C@H](SC)C2)s1 ZINC000295138965 172016484 /nfs/dbraw/zinc/01/64/84/172016484.db2.gz ZERZTQBAQWUFKM-VIFPVBQESA-N 0 3 242.413 2.643 20 0 BFADHN CCc1ncc(CN[C@H]2CSC2(C)C)s1 ZINC000282821826 172019976 /nfs/dbraw/zinc/01/99/76/172019976.db2.gz NKHLMJYYZVGWMO-VIFPVBQESA-N 0 3 242.413 2.689 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CC=C(C)CC1 ZINC000271195953 172037785 /nfs/dbraw/zinc/03/77/85/172037785.db2.gz RGJMVYZCJGWQNG-UHFFFAOYSA-N 0 3 247.386 2.697 20 0 BFADHN CCc1noc(C)c1CNC1(C(C)C)CC1 ZINC000339512755 172078858 /nfs/dbraw/zinc/07/88/58/172078858.db2.gz RVGWHKVAJPTPTN-UHFFFAOYSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CNC1CCSCC1 ZINC000292828125 172079060 /nfs/dbraw/zinc/07/90/60/172079060.db2.gz AIMHGZUFOCDGOI-UHFFFAOYSA-N 0 3 240.372 2.531 20 0 BFADHN CCc1noc(C)c1CN[C@H](C)C1CCC1 ZINC000340441447 172079524 /nfs/dbraw/zinc/07/95/24/172079524.db2.gz YLWBLCRHIWUMNQ-SECBINFHSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CNCC1(C)CCC1 ZINC000339438714 172079800 /nfs/dbraw/zinc/07/98/00/172079800.db2.gz PBMHIHCKFMEDNB-UHFFFAOYSA-N 0 3 222.332 2.825 20 0 BFADHN CCc1nocc1CNC[C@@H]1CC=CCC1 ZINC000339203326 172099260 /nfs/dbraw/zinc/09/92/60/172099260.db2.gz IOHXSFBFHNIAPB-LLVKDONJSA-N 0 3 220.316 2.683 20 0 BFADHN CCc1nocc1CNC[C@@H]1CCC(F)(F)C1 ZINC000339387011 172099671 /nfs/dbraw/zinc/09/96/71/172099671.db2.gz ZWODYJMUGOSATO-SECBINFHSA-N 0 3 244.285 2.762 20 0 BFADHN CCc1nocc1CNc1cc(C)cc(C)n1 ZINC000339317164 172101580 /nfs/dbraw/zinc/10/15/80/172101580.db2.gz PHYBPGDBPXHALC-UHFFFAOYSA-N 0 3 231.299 2.861 20 0 BFADHN CCc1nocc1CN[C@H]1CCC[C@@H](C)C1 ZINC000339201961 172102283 /nfs/dbraw/zinc/10/22/83/172102283.db2.gz PDCZHYMBWLPIBZ-PWSUYJOCSA-N 0 3 222.332 2.905 20 0 BFADHN CCn1cc(CN(C)C[C@@H]2CC=CCC2)cn1 ZINC000353917257 172160449 /nfs/dbraw/zinc/16/04/49/172160449.db2.gz UTQCDHNAMWLJNU-CYBMUJFWSA-N 0 3 233.359 2.691 20 0 BFADHN CCn1cc(CN(CC2CC2)C2CCC2)cn1 ZINC000355732505 172161702 /nfs/dbraw/zinc/16/17/02/172161702.db2.gz PFAMUGLCHYECAL-UHFFFAOYSA-N 0 3 233.359 2.668 20 0 BFADHN CCn1cc(CN2CC(C)(C)[C@@H]2C(C)C)cn1 ZINC000353744641 172163006 /nfs/dbraw/zinc/16/30/06/172163006.db2.gz GUMMQCGZAQRSMV-ZDUSSCGKSA-N 0 3 235.375 2.769 20 0 BFADHN CCn1cc(CN2CC[C@](C)(CC)C2)cn1 ZINC000354107126 172165237 /nfs/dbraw/zinc/16/52/37/172165237.db2.gz OBNRQYDADFCQOG-ZDUSSCGKSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1cc(CN2CC[C@@H](C)C(C)(C)C2)cn1 ZINC000355504990 172165458 /nfs/dbraw/zinc/16/54/58/172165458.db2.gz CGQNSUCLBAIMOW-GFCCVEGCSA-N 0 3 235.375 2.771 20 0 BFADHN CCn1cc(CNC/C=C/c2ccccc2)cn1 ZINC000264847707 172170151 /nfs/dbraw/zinc/17/01/51/172170151.db2.gz YJZLNEQNLATFNG-RMKNXTFCSA-N 0 3 241.338 2.706 20 0 BFADHN CCn1cc(CNCc2cccc(C)c2C)cn1 ZINC000265461086 172172208 /nfs/dbraw/zinc/17/22/08/172172208.db2.gz GQGCAOQJGQXLCK-UHFFFAOYSA-N 0 3 243.354 2.810 20 0 BFADHN CN(Cc1cn[nH]c1)C(C)(C)c1ccccc1 ZINC000671309093 487624204 /nfs/dbraw/zinc/62/42/04/487624204.db2.gz AZGKIDROUUSDLV-UHFFFAOYSA-N 0 3 229.327 2.777 20 0 BFADHN CCn1cccc1CN(C)CCc1ccccn1 ZINC000267474477 172203017 /nfs/dbraw/zinc/20/30/17/172203017.db2.gz WJEKOCMEPUFPKZ-UHFFFAOYSA-N 0 3 243.354 2.578 20 0 BFADHN CCn1ccnc1CN[C@H]1CC2CCC1CC2 ZINC000336658586 172224699 /nfs/dbraw/zinc/22/46/99/172224699.db2.gz OIWKNDICQKFBET-BPCQOVAHSA-N 0 3 233.359 2.571 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H](C)C(C)C ZINC000295235831 172228238 /nfs/dbraw/zinc/22/82/38/172228238.db2.gz OKYZATPOSAOMFA-GHMZBOCLSA-N 0 3 209.337 2.598 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCc1ccsc1 ZINC000296214822 172228544 /nfs/dbraw/zinc/22/85/44/172228544.db2.gz ZPJXZBKMNPUYPH-LLVKDONJSA-N 0 3 249.383 2.858 20 0 BFADHN CCn1ccnc1[C@H](C)NCC(C)(C)C ZINC000295170349 172229460 /nfs/dbraw/zinc/22/94/60/172229460.db2.gz FZJJEQHYALUJHE-JTQLQIEISA-N 0 3 209.337 2.600 20 0 BFADHN CCn1ccnc1[C@H](C)NCCCC(C)(F)F ZINC000297188246 172229536 /nfs/dbraw/zinc/22/95/36/172229536.db2.gz FEJQGPRWQLXDBZ-JTQLQIEISA-N 0 3 245.317 2.989 20 0 BFADHN CCn1ccnc1[C@H](C)NCCCC(F)(F)F ZINC000296237637 172230031 /nfs/dbraw/zinc/23/00/31/172230031.db2.gz APRMHQSJKOTLED-VIFPVBQESA-N 0 3 249.280 2.896 20 0 BFADHN CCn1nccc1CN1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000355072149 172269532 /nfs/dbraw/zinc/26/95/32/172269532.db2.gz CVPOSCUTGLHBBY-AGIUHOORSA-N 0 3 235.375 2.769 20 0 BFADHN CCn1nccc1CNCc1sccc1C ZINC000020351293 172271559 /nfs/dbraw/zinc/27/15/59/172271559.db2.gz WNLLAYGMTIJIGG-UHFFFAOYSA-N 0 3 235.356 2.563 20 0 BFADHN CN(C)Cc1cccc(NCc2cccnc2)c1 ZINC000036979648 172504411 /nfs/dbraw/zinc/50/44/11/172504411.db2.gz WBLQCNPSAVQQEZ-UHFFFAOYSA-N 0 3 241.338 2.755 20 0 BFADHN CN(CCc1ccccc1Cl)[C@@H]1CCOC1 ZINC000106879674 172785171 /nfs/dbraw/zinc/78/51/71/172785171.db2.gz ZXKCODHBQHWMHH-GFCCVEGCSA-N 0 3 239.746 2.603 20 0 BFADHN CN1CCCC[C@H]1CNc1ccc(Cl)cn1 ZINC000044563100 173010073 /nfs/dbraw/zinc/01/00/73/173010073.db2.gz XBEYOOPNKVFBIT-NSHDSACASA-N 0 3 239.750 2.631 20 0 BFADHN CN1CCC[C@H]1CNCc1ccccc1Cl ZINC000163687503 173055294 /nfs/dbraw/zinc/05/52/94/173055294.db2.gz PXIMSHJLDVNGCQ-LBPRGKRZSA-N 0 3 238.762 2.524 20 0 BFADHN CN1CCC[C@H]1CNCc1cc2ccccc2o1 ZINC000221150879 173055716 /nfs/dbraw/zinc/05/57/16/173055716.db2.gz PFBZFKPJMJYSDM-ZDUSSCGKSA-N 0 3 244.338 2.617 20 0 BFADHN CN1CCC[C@H]1CNCc1sccc1Cl ZINC000193047672 173056143 /nfs/dbraw/zinc/05/61/43/173056143.db2.gz HDJACBYCIPKDRM-VIFPVBQESA-N 0 3 244.791 2.585 20 0 BFADHN CNCc1cccc(NC(=O)CC2CCC2)c1 ZINC000226205881 173389618 /nfs/dbraw/zinc/38/96/18/173389618.db2.gz FFMTVYLADGXBAT-UHFFFAOYSA-N 0 3 232.327 2.535 20 0 BFADHN CNCc1ccccc1NC(=O)C1CCCCC1 ZINC000036280492 173390530 /nfs/dbraw/zinc/39/05/30/173390530.db2.gz JLXBFWDGXHQIRG-UHFFFAOYSA-N 0 3 246.354 2.925 20 0 BFADHN CNCc1ccccc1NC(=O)[C@H](C)C(C)C ZINC000070125446 173390628 /nfs/dbraw/zinc/39/06/28/173390628.db2.gz YLZVMMPPEJRENF-LLVKDONJSA-N 0 3 234.343 2.637 20 0 BFADHN COC(C)(C)CCN(C)[C@@H](C)c1ccncc1 ZINC000336698614 173936689 /nfs/dbraw/zinc/93/66/89/173936689.db2.gz WSWIBHKDOKZCOO-LBPRGKRZSA-N 0 3 236.359 2.890 20 0 BFADHN COC(C)(C)C[C@H](C)N[C@@H](C)c1cncs1 ZINC000121962645 173948409 /nfs/dbraw/zinc/94/84/09/173948409.db2.gz GXNVDFWSXQPGAM-UWVGGRQHSA-N 0 3 242.388 2.997 20 0 BFADHN COC(CN[C@@H](c1ccccc1)C1CCC1)OC ZINC000161786834 173962757 /nfs/dbraw/zinc/96/27/57/173962757.db2.gz GBEKNIGFFYTOAX-HNNXBMFYSA-N 0 3 249.354 2.736 20 0 BFADHN COC1(CN[C@@H](C)c2cc(C)ccn2)CCC1 ZINC000353680746 174003056 /nfs/dbraw/zinc/00/30/56/174003056.db2.gz KWESDTRIWWGMPU-LBPRGKRZSA-N 0 3 234.343 2.610 20 0 BFADHN COC1(CN[C@@H]2CCC[C@@H]2F)CCCCC1 ZINC000339589220 174003702 /nfs/dbraw/zinc/00/37/02/174003702.db2.gz GGEJBTQDIKYIFS-NWDGAFQWSA-N 0 3 229.339 2.816 20 0 BFADHN COC1(CN[C@H](C)c2cnccc2C)CCCC1 ZINC000297195837 174004981 /nfs/dbraw/zinc/00/49/81/174004981.db2.gz FSCORJUBHGKEAV-CYBMUJFWSA-N 0 3 248.370 3.000 20 0 BFADHN COC1(C[C@@H](C)NCc2nccs2)CCC1 ZINC000277174586 174008255 /nfs/dbraw/zinc/00/82/55/174008255.db2.gz SGYRSISWDJCZBK-SNVBAGLBSA-N 0 3 240.372 2.580 20 0 BFADHN COC1(C[C@H](C)NCc2ccoc2)CCC1 ZINC000277110488 174008931 /nfs/dbraw/zinc/00/89/31/174008931.db2.gz MKBXUQZBQXAQQW-NSHDSACASA-N 0 3 223.316 2.717 20 0 BFADHN COC1CC(N(C)Cc2ccsc2C)C1 ZINC000353465829 174017433 /nfs/dbraw/zinc/01/74/33/174017433.db2.gz ZMAMLVMVPZJTNE-UHFFFAOYSA-N 0 3 225.357 2.666 20 0 BFADHN COCC(C)(C)CNCc1sccc1OC ZINC000291784079 174074777 /nfs/dbraw/zinc/07/47/77/174074777.db2.gz VFZQUTHNKOZISO-UHFFFAOYSA-N 0 3 243.372 2.519 20 0 BFADHN COCC(C)(C)NCc1ccc(F)cc1Cl ZINC000122353363 174082194 /nfs/dbraw/zinc/08/21/94/174082194.db2.gz MJCFWQJBAOMRJB-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN COCC(C)(C)NC/C=C\c1ccccc1 ZINC000336703591 174082891 /nfs/dbraw/zinc/08/28/91/174082891.db2.gz IXCHVLXDKNAOPG-YFHOEESVSA-N 0 3 219.328 2.714 20 0 BFADHN COCC1(C)CCN(Cc2cnccc2C)CC1 ZINC000358769928 174092190 /nfs/dbraw/zinc/09/21/90/174092190.db2.gz NPMUIPAUQOHGPF-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN COCC1(CNCc2ccccn2)CCCCC1 ZINC000289653462 174104291 /nfs/dbraw/zinc/10/42/91/174104291.db2.gz QODUOQBPXWDDNI-UHFFFAOYSA-N 0 3 248.370 2.768 20 0 BFADHN COCC1(NCc2ccc(Cl)cc2)CCC1 ZINC000284174157 174109964 /nfs/dbraw/zinc/10/99/64/174109964.db2.gz XCXFSRDWDXZICA-UHFFFAOYSA-N 0 3 239.746 2.999 20 0 BFADHN COCC1=CCN(Cc2ccsc2C)CC1 ZINC000294525932 174117404 /nfs/dbraw/zinc/11/74/04/174117404.db2.gz CVXWEOGPKOECBG-UHFFFAOYSA-N 0 3 237.368 2.835 20 0 BFADHN COCC1CCN(Cc2ccccc2F)CC1 ZINC000112352769 174119841 /nfs/dbraw/zinc/11/98/41/174119841.db2.gz DTVRNINJPUGHEJ-UHFFFAOYSA-N 0 3 237.318 2.684 20 0 BFADHN COCC1CCN(Cc2cccs2)CC1 ZINC000093103537 174120536 /nfs/dbraw/zinc/12/05/36/174120536.db2.gz AYLLTIONFSZRFU-UHFFFAOYSA-N 0 3 225.357 2.607 20 0 BFADHN COCCC(C)(C)CNCc1nc(C)cs1 ZINC000135004533 174143590 /nfs/dbraw/zinc/14/35/90/174143590.db2.gz ZZQONFYAQLSFTE-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN COCCC1(CNCc2ccco2)CCCC1 ZINC000151815652 174156184 /nfs/dbraw/zinc/15/61/84/174156184.db2.gz NLUZWZIZLIAYPI-UHFFFAOYSA-N 0 3 237.343 2.966 20 0 BFADHN COCCC1(CN[C@H](C)c2nccs2)CC1 ZINC000090536117 174156826 /nfs/dbraw/zinc/15/68/26/174156826.db2.gz FHAVWHGWORSVCQ-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN COCCCCCN1CCOC[C@H]1CC(C)C ZINC000113998197 174178930 /nfs/dbraw/zinc/17/89/30/174178930.db2.gz LJGRBDGTKLSJRU-CQSZACIVSA-N 0 3 243.391 2.550 20 0 BFADHN COCCCCCN1CCc2sccc2C1 ZINC000171498085 174179768 /nfs/dbraw/zinc/17/97/68/174179768.db2.gz YASPKDDITRTQIK-UHFFFAOYSA-N 0 3 239.384 2.923 20 0 BFADHN COCCCCCN[C@@H](C)c1cncs1 ZINC000122675081 174182801 /nfs/dbraw/zinc/18/28/01/174182801.db2.gz GPQHBNSHQSDYJE-JTQLQIEISA-N 0 3 228.361 2.610 20 0 BFADHN COCCCCCN[C@H](C)c1cscn1 ZINC000127838311 174184358 /nfs/dbraw/zinc/18/43/58/174184358.db2.gz QQJZLGCWMRYJNG-SNVBAGLBSA-N 0 3 228.361 2.610 20 0 BFADHN COCCCCCN[C@H](C)c1cncs1 ZINC000122675324 174184739 /nfs/dbraw/zinc/18/47/39/174184739.db2.gz GPQHBNSHQSDYJE-SNVBAGLBSA-N 0 3 228.361 2.610 20 0 BFADHN COCCCCN(C)[C@@H](C)c1ccco1 ZINC000117875201 174187239 /nfs/dbraw/zinc/18/72/39/174187239.db2.gz GTPURYNYISYUNP-NSHDSACASA-N 0 3 211.305 2.699 20 0 BFADHN COCCCCN(C)[C@H](C)c1ccncc1 ZINC000119789533 174187796 /nfs/dbraw/zinc/18/77/96/174187796.db2.gz KMEAKOJIZCBXDR-GFCCVEGCSA-N 0 3 222.332 2.501 20 0 BFADHN COCCCCN(C)[C@@H](C)c1cccnc1 ZINC000119796317 174188288 /nfs/dbraw/zinc/18/82/88/174188288.db2.gz XIFARKFGYXEXFV-LBPRGKRZSA-N 0 3 222.332 2.501 20 0 BFADHN COCCCCN(C)Cc1ccsc1 ZINC000117871316 174188976 /nfs/dbraw/zinc/18/89/76/174188976.db2.gz UCGMUMBSSUQHEU-UHFFFAOYSA-N 0 3 213.346 2.607 20 0 BFADHN COCCCCN1CC=C(C(F)(F)F)CC1 ZINC000336683204 174190394 /nfs/dbraw/zinc/19/03/94/174190394.db2.gz SVLBELUXHJPWIY-UHFFFAOYSA-N 0 3 237.265 2.607 20 0 BFADHN COCCCCNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000044655327 174199513 /nfs/dbraw/zinc/19/95/13/174199513.db2.gz WYVBKXQRUSFMAQ-YPMHNXCESA-N 0 3 237.343 2.919 20 0 BFADHN COCCCCNCc1ccccc1Cl ZINC000044655069 174199973 /nfs/dbraw/zinc/19/99/73/174199973.db2.gz NPYMMXFMHGIBHJ-UHFFFAOYSA-N 0 3 227.735 2.856 20 0 BFADHN COCCCN(C)[C@H](C)c1sc(C)nc1C ZINC000106877184 174220316 /nfs/dbraw/zinc/22/03/16/174220316.db2.gz MOCVMNJLWKNPSC-SNVBAGLBSA-N 0 3 242.388 2.789 20 0 BFADHN COCCCN1Cc2ccccc2[C@@H]1C ZINC000336644588 174234457 /nfs/dbraw/zinc/23/44/57/174234457.db2.gz GSDWQYPNFOFYQN-NSHDSACASA-N 0 3 205.301 2.600 20 0 BFADHN COCCCNCc1ccc(Cl)cc1F ZINC000083358391 174247950 /nfs/dbraw/zinc/24/79/50/174247950.db2.gz AYSFQCMYRNSFBV-UHFFFAOYSA-N 0 3 231.698 2.605 20 0 BFADHN COCCCNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000020142245 174247996 /nfs/dbraw/zinc/24/79/96/174247996.db2.gz CFDZIWKQWDPJGU-JQWIXIFHSA-N 0 3 223.316 2.529 20 0 BFADHN COCCCNCc1cc2cc(OC)ccc2o1 ZINC000122907786 174248733 /nfs/dbraw/zinc/24/87/33/174248733.db2.gz WFRFROLHAFOLQQ-UHFFFAOYSA-N 0 3 249.310 2.568 20 0 BFADHN COCCCN[C@@H](C)c1cc(C)c(C)o1 ZINC000282424569 174248959 /nfs/dbraw/zinc/24/89/59/174248959.db2.gz YSZWMCHZPICFQD-JTQLQIEISA-N 0 3 211.305 2.584 20 0 BFADHN COCCCOc1cccc(CN2CC(C)C2)c1 ZINC000338954288 174256679 /nfs/dbraw/zinc/25/66/79/174256679.db2.gz PGJWKSQMAONHOQ-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN COCCC[C@@H](C)N[C@H](C)c1ccncc1F ZINC000338014661 174261770 /nfs/dbraw/zinc/26/17/70/174261770.db2.gz WEEZPVDCBWDGCN-GHMZBOCLSA-N 0 3 240.322 2.686 20 0 BFADHN COCCC[C@H](C)NCc1ccccc1F ZINC000178160196 174263889 /nfs/dbraw/zinc/26/38/89/174263889.db2.gz UCIMIRKFPSKDRE-NSHDSACASA-N 0 3 225.307 2.730 20 0 BFADHN COCCN(C)Cc1cc2c(cc(C)cc2C)[nH]1 ZINC000360811243 174281100 /nfs/dbraw/zinc/28/11/00/174281100.db2.gz GSKMOYGFBUSUFV-UHFFFAOYSA-N 0 3 246.354 2.863 20 0 BFADHN COCCN(C)Cc1ccc2nc(C)ccc2c1 ZINC000275735031 174281686 /nfs/dbraw/zinc/28/16/86/174281686.db2.gz PZSHEPQGPQUXTE-UHFFFAOYSA-N 0 3 244.338 2.621 20 0 BFADHN COCCN(C)[C@H]1CCCc2cc(OC)ccc21 ZINC000338735334 174283457 /nfs/dbraw/zinc/28/34/57/174283457.db2.gz VREVAZFCTUFWFU-HNNXBMFYSA-N 0 3 249.354 2.651 20 0 BFADHN COCCN(C)c1c(C)cnc2c(C)cccc21 ZINC000360644000 174284038 /nfs/dbraw/zinc/28/40/38/174284038.db2.gz DFGMBEOYGWERRD-UHFFFAOYSA-N 0 3 244.338 2.934 20 0 BFADHN COCCN(Cc1ccccn1)[C@@H]1CC[C@@H](C)C1 ZINC000276797139 174300030 /nfs/dbraw/zinc/30/00/30/174300030.db2.gz LTNQCUOJPHPRKP-UKRRQHHQSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN(Cc1ccncc1)[C@@H]1CC[C@H](C)C1 ZINC000361641072 174300733 /nfs/dbraw/zinc/30/07/33/174300733.db2.gz XLLHRMTWJSZBJO-DZGCQCFKSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN(Cc1scnc1C)CC(C)C ZINC000092563929 174302785 /nfs/dbraw/zinc/30/27/85/174302785.db2.gz VJUMMEVVENHHDX-UHFFFAOYSA-N 0 3 242.388 2.556 20 0 BFADHN COCCN1CCC(c2ccsc2)CC1 ZINC000275029782 174305258 /nfs/dbraw/zinc/30/52/58/174305258.db2.gz VXQMLWOKLVTWBB-UHFFFAOYSA-N 0 3 225.357 2.574 20 0 BFADHN COCCN1C[C@@H](C)C[C@H]1c1ccccc1F ZINC000075900412 174316803 /nfs/dbraw/zinc/31/68/03/174316803.db2.gz AJKPVQJOYZIDLI-FZMZJTMJSA-N 0 3 237.318 2.855 20 0 BFADHN COCCN1Cc2ccccc2C2(CCC2)C1 ZINC000375690250 174318451 /nfs/dbraw/zinc/31/84/51/174318451.db2.gz PRSJBVNMMSDUCM-UHFFFAOYSA-N 0 3 231.339 2.570 20 0 BFADHN COCCN1[C@H](C)Cc2cc(F)ccc2[C@H]1C ZINC000354833572 174319630 /nfs/dbraw/zinc/31/96/30/174319630.db2.gz OJBLWQAPYCVCBC-GHMZBOCLSA-N 0 3 237.318 2.780 20 0 BFADHN COCCNCc1csc2ccccc12 ZINC000049943212 174337136 /nfs/dbraw/zinc/33/71/36/174337136.db2.gz GLGPFEYAWZGGIK-UHFFFAOYSA-N 0 3 221.325 2.637 20 0 BFADHN COCCN[C@@H](C)c1cccc(Cl)c1 ZINC000019881689 174337756 /nfs/dbraw/zinc/33/77/56/174337756.db2.gz OSXFJVCCRWBODK-VIFPVBQESA-N 0 3 213.708 2.637 20 0 BFADHN COCCN[C@@H](CCC(C)C)c1ccoc1 ZINC000336763635 174338586 /nfs/dbraw/zinc/33/85/86/174338586.db2.gz MLYKXOLKNODLJP-ZDUSSCGKSA-N 0 3 225.332 2.993 20 0 BFADHN COCCN[C@H](C)c1cccc(C)c1C ZINC000152103419 174339794 /nfs/dbraw/zinc/33/97/94/174339794.db2.gz BLSUDPHCPYEHRB-GFCCVEGCSA-N 0 3 207.317 2.600 20 0 BFADHN COCCN[C@]1(c2ccc(Cl)cc2)C[C@@H]1C ZINC000336723308 174340816 /nfs/dbraw/zinc/34/08/16/174340816.db2.gz AQKSNGQEYGWCBH-GXFFZTMASA-N 0 3 239.746 2.811 20 0 BFADHN COCC[C@@H](C)Nc1cccc(CN(C)C)c1 ZINC000045021331 174386863 /nfs/dbraw/zinc/38/68/63/174386863.db2.gz PUGROGYMFOZYBR-GFCCVEGCSA-N 0 3 236.359 2.585 20 0 BFADHN COCC[C@@H](NCC1CC1)c1ccc(C)o1 ZINC000309947727 174394478 /nfs/dbraw/zinc/39/44/78/174394478.db2.gz DWEMHVOKNBXPDR-GFCCVEGCSA-N 0 3 223.316 2.665 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1ccnn1CC1CC1 ZINC000667568272 487628862 /nfs/dbraw/zinc/62/88/62/487628862.db2.gz ZGCCXZNXXDIWRX-QWHCGFSZSA-N 0 3 247.386 2.914 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1ccc(F)cc1 ZINC000164069595 174834813 /nfs/dbraw/zinc/83/48/13/174834813.db2.gz BBIRSBMBJCBBAS-ZJUUUORDSA-N 0 3 211.280 2.511 20 0 BFADHN CO[C@H](CNC/C=C/c1ccc(F)cc1)C1CC1 ZINC000292908791 174866989 /nfs/dbraw/zinc/86/69/89/174866989.db2.gz FFYFWISECWXUAG-XYBNCVKDSA-N 0 3 249.329 2.854 20 0 BFADHN COc1cc2c(cc1CNC(C)C)O[C@@H](C)C2 ZINC000072658590 175021275 /nfs/dbraw/zinc/02/12/75/175021275.db2.gz NUSTYOQTOKXEOE-JTQLQIEISA-N 0 3 235.327 2.517 20 0 BFADHN COc1ccc(C)cc1CN[C@H](C)[C@H]1CCCO1 ZINC000035250300 175075690 /nfs/dbraw/zinc/07/56/90/175075690.db2.gz XIYJLHHMMADQEA-TZMCWYRMSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccc(C)cc1CNCC[C@H](C)F ZINC000339493629 175076189 /nfs/dbraw/zinc/07/61/89/175076189.db2.gz RFGQWPAVTYYOOG-NSHDSACASA-N 0 3 225.307 2.841 20 0 BFADHN COc1ccc(C)cc1CNCCc1ccco1 ZINC000035162032 175076341 /nfs/dbraw/zinc/07/63/41/175076341.db2.gz KXIKRIVWOVRHOF-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)NC[C@@H](C)OC ZINC000182421346 175078868 /nfs/dbraw/zinc/07/88/68/175078868.db2.gz LNLFYFGZEQEIME-VXGBXAGGSA-N 0 3 237.343 2.689 20 0 BFADHN COc1ccc(C2(NCCCF)CC2)cc1 ZINC000336668688 175084098 /nfs/dbraw/zinc/08/40/98/175084098.db2.gz GGPJBNWIGSJCPI-UHFFFAOYSA-N 0 3 223.291 2.634 20 0 BFADHN COc1ccc(CN(C)C2CCC2)cc1F ZINC000173917793 175094825 /nfs/dbraw/zinc/09/48/25/175094825.db2.gz KOCWTHLNNSLJEC-UHFFFAOYSA-N 0 3 223.291 2.819 20 0 BFADHN COc1ccc(CN(C)CCOCC2CC2)cc1 ZINC000158295845 175095164 /nfs/dbraw/zinc/09/51/64/175095164.db2.gz RPLSEXBNBZYGNC-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN COc1ccc(CN(C[C@@H](C)OC)C2CC2)cc1 ZINC000182008490 175096720 /nfs/dbraw/zinc/09/67/20/175096720.db2.gz KLPVRFIIOAYOAS-GFCCVEGCSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccc(CN(C[C@H](C)OC)C2CC2)cc1 ZINC000182008470 175097467 /nfs/dbraw/zinc/09/74/67/175097467.db2.gz KLPVRFIIOAYOAS-LBPRGKRZSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccc(CN2CCC(C)(C)CC2)nc1 ZINC000338237380 175098368 /nfs/dbraw/zinc/09/83/68/175098368.db2.gz IYLWYGPJOJYXJM-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccc(CN2CCCCC[C@@H]2C)nc1 ZINC000338283778 175098869 /nfs/dbraw/zinc/09/88/69/175098869.db2.gz SLNWRZDEKLQPNT-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN COc1ccc(CN2CCC[C@H](C)[C@H]2C)nc1 ZINC000272027868 175100041 /nfs/dbraw/zinc/10/00/41/175100041.db2.gz WDKJKHJMCAIBEA-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc(CN2CCC[C@H]2C)cc1F ZINC000174414657 175100448 /nfs/dbraw/zinc/10/04/48/175100448.db2.gz SEVSHVFJIICNRC-SNVBAGLBSA-N 0 3 223.291 2.819 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C(C)(C)C2)nc1 ZINC000338304375 175100983 /nfs/dbraw/zinc/10/09/83/175100983.db2.gz OAHJUEKBEZPABB-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccc(CN2CC[C@@H](C(C)C)C2)nc1 ZINC000338273382 175101815 /nfs/dbraw/zinc/10/18/15/175101815.db2.gz AUXUWCVDNHZWPL-GFCCVEGCSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)[C@@H](C)C2)nc1 ZINC000271998974 175101972 /nfs/dbraw/zinc/10/19/72/175101972.db2.gz HWNJZYZVSWDLAA-NEPJUHHUSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccc(CN2CC[C@H](OC)C[C@@H]2C)cc1 ZINC000281836399 175102749 /nfs/dbraw/zinc/10/27/49/175102749.db2.gz YDVSTGLRELMZMV-WFASDCNBSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccc(CNC2CC(C)C2)c(OC)c1 ZINC000135563975 175105213 /nfs/dbraw/zinc/10/52/13/175105213.db2.gz BLFVBTCJSNJYQO-UHFFFAOYSA-N 0 3 235.327 2.592 20 0 BFADHN COc1ccc(CNC[C@@H](C)SC)cc1F ZINC000128092932 175107411 /nfs/dbraw/zinc/10/74/11/175107411.db2.gz ZJCYNWCIDBJHNQ-SECBINFHSA-N 0 3 243.347 2.675 20 0 BFADHN COc1ccc(CNCc2cccc(O)c2)cc1 ZINC000019909277 175108504 /nfs/dbraw/zinc/10/85/04/175108504.db2.gz QZGUIGFDMALVNL-UHFFFAOYSA-N 0 3 243.306 2.691 20 0 BFADHN COc1ccc(CN[C@@H]2CC[C@H]2SC)cc1 ZINC000309841354 175110616 /nfs/dbraw/zinc/11/06/16/175110616.db2.gz DJBXOIRBVAAOJD-CHWSQXEVSA-N 0 3 237.368 2.679 20 0 BFADHN COc1ccc(CN[C@H]2CCCC2(C)C)nc1 ZINC000276882973 175112421 /nfs/dbraw/zinc/11/24/21/175112421.db2.gz JAEZQBLYZMSJNL-ZDUSSCGKSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccc(C[C@@H](C)N[C@@H](C)CF)cc1 ZINC000290245931 175115926 /nfs/dbraw/zinc/11/59/26/175115926.db2.gz VEHKFOXTDYOXDL-MNOVXSKESA-N 0 3 225.307 2.574 20 0 BFADHN COc1ccc(F)c(CN2CCC[C@@H]2C)c1 ZINC000295399886 175123934 /nfs/dbraw/zinc/12/39/34/175123934.db2.gz QQKHEUIWDHONMZ-JTQLQIEISA-N 0 3 223.291 2.819 20 0 BFADHN COc1ccc(F)c(CN[C@H](C)CSC)c1 ZINC000293536514 175125889 /nfs/dbraw/zinc/12/58/89/175125889.db2.gz JUSLYLJKHLYVKN-SECBINFHSA-N 0 3 243.347 2.675 20 0 BFADHN COc1ccc(F)cc1CN[C@H]1CC[C@H](F)C1 ZINC000361117849 175129520 /nfs/dbraw/zinc/12/95/20/175129520.db2.gz PCPHUIJCRXRIQX-RYUDHWBXSA-N 0 3 241.281 2.815 20 0 BFADHN COc1ccc(F)cc1CN[C@@H]1CC[C@H](F)C1 ZINC000361117848 175129552 /nfs/dbraw/zinc/12/95/52/175129552.db2.gz PCPHUIJCRXRIQX-NWDGAFQWSA-N 0 3 241.281 2.815 20 0 BFADHN COc1ccc(F)cc1CN[C@@H]1CC[C@@H]1C ZINC000336651065 175129702 /nfs/dbraw/zinc/12/97/02/175129702.db2.gz HUVDPRKYFXHNNS-JOYOIKCWSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)cc1CNCC[C@@H](C)F ZINC000339487814 175129735 /nfs/dbraw/zinc/12/97/35/175129735.db2.gz XAQAFVPVHDCZMG-SECBINFHSA-N 0 3 229.270 2.672 20 0 BFADHN COc1ccc(OC)c(CN[C@@H](C)C2CC2)c1 ZINC000035136413 175165226 /nfs/dbraw/zinc/16/52/26/175165226.db2.gz JHNMPIIZEJDXJG-JTQLQIEISA-N 0 3 235.327 2.592 20 0 BFADHN COc1ccc(OC)c(CN[C@@H]2CC[C@@H]2C)c1 ZINC000336702032 175165544 /nfs/dbraw/zinc/16/55/44/175165544.db2.gz KXGOGUXSCKICLV-GXFFZTMASA-N 0 3 235.327 2.592 20 0 BFADHN COc1ccc([C@@H](C)N[C@H]2CCCOC2)cc1 ZINC000112793384 175177938 /nfs/dbraw/zinc/17/79/38/175177938.db2.gz FAJJHJBPNJBIBW-YPMHNXCESA-N 0 3 235.327 2.525 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2CCO[C@@H]2C)cc1 ZINC000120686243 175189493 /nfs/dbraw/zinc/18/94/93/175189493.db2.gz QHXBXLLBMZCERY-MISXGVKJSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccc([C@H](C)NC[C@H]2CC[C@H](C)O2)cc1 ZINC000128517111 175189913 /nfs/dbraw/zinc/18/99/13/175189913.db2.gz HDCPZFHEMPAPSH-SLEUVZQESA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccc([C@H](C)N[C@H](C)c2cn[nH]c2)cc1 ZINC000222512371 175190120 /nfs/dbraw/zinc/19/01/20/175190120.db2.gz ZYFBHFXTLQLBEK-WDEREUQCSA-N 0 3 245.326 2.830 20 0 BFADHN COc1ccc2c(c1)OCCN(CCC1CC1)C2 ZINC000338636364 175212757 /nfs/dbraw/zinc/21/27/57/175212757.db2.gz HRTQMIKIUHVMGA-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN COc1ccc2oc(CNC3CC3)cc2c1 ZINC000073711149 175231050 /nfs/dbraw/zinc/23/10/50/175231050.db2.gz OZXXPUBKFDGSPI-UHFFFAOYSA-N 0 3 217.268 2.693 20 0 BFADHN COc1cccc(CN(C)C[C@@H]2CCC=CO2)c1 ZINC000278261281 175255756 /nfs/dbraw/zinc/25/57/56/175255756.db2.gz QMVNKOYOQHDNNV-HNNXBMFYSA-N 0 3 247.338 2.820 20 0 BFADHN COc1cccc(CN(CC(C)C)C2CC2)n1 ZINC000171859672 175256773 /nfs/dbraw/zinc/25/67/73/175256773.db2.gz UTRVOWYYFUNGIG-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cccc(CN2CC[C@H](C(C)C)C2)n1 ZINC000361555982 175260120 /nfs/dbraw/zinc/26/01/20/175260120.db2.gz DHOIBGQWVZLCCT-LBPRGKRZSA-N 0 3 234.343 2.568 20 0 BFADHN COc1cccc(CN2C[C@H](C)[C@@H]2C)c1 ZINC000293433020 175261240 /nfs/dbraw/zinc/26/12/40/175261240.db2.gz NZUNDYDFLQTHBO-QWRGUYRKSA-N 0 3 205.301 2.535 20 0 BFADHN COc1cccc(CNCCC2(C)CC2)c1OC ZINC000280619729 175263032 /nfs/dbraw/zinc/26/30/32/175263032.db2.gz PJGZNUFABWGORV-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN COc1cccc(CNC2CCC3(CC3)CC2)n1 ZINC000277170820 175263154 /nfs/dbraw/zinc/26/31/54/175263154.db2.gz HUZYJMUZVWOLRV-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN COc1cccc(CNCCC(C)(C)C)n1 ZINC000127762606 175263163 /nfs/dbraw/zinc/26/31/63/175263163.db2.gz VEBNSZSATWUDER-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN COc1cccc(CNCC[C@H](C)F)c1OC ZINC000339482103 175264435 /nfs/dbraw/zinc/26/44/35/175264435.db2.gz GDQURZDLQRJUOS-JTQLQIEISA-N 0 3 241.306 2.542 20 0 BFADHN COc1cccc(CN[C@@H]2CSC2(C)C)c1 ZINC000282611224 175266715 /nfs/dbraw/zinc/26/67/15/175266715.db2.gz DVHJROMBOXNRCN-GFCCVEGCSA-N 0 3 237.368 2.679 20 0 BFADHN COc1cccc(CN[C@@H]2CC[C@H]2C)c1OC ZINC000310221113 175266757 /nfs/dbraw/zinc/26/67/57/175266757.db2.gz XCFAQZQIJGXWKJ-ZYHUDNBSSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc(CN[C@H](C)COC(C)C)c1 ZINC000337334895 175267919 /nfs/dbraw/zinc/26/79/19/175267919.db2.gz KZNVEXBLICCDSX-GFCCVEGCSA-N 0 3 237.343 2.598 20 0 BFADHN COc1cccc(OC)c1CNC[C@H]1CC1(C)C ZINC000352749276 175281801 /nfs/dbraw/zinc/28/18/01/175281801.db2.gz LVOZJARVXMAUJP-LLVKDONJSA-N 0 3 249.354 2.840 20 0 BFADHN COc1cccc(OC)c1CNC1CC(C)C1 ZINC000352754429 175281978 /nfs/dbraw/zinc/28/19/78/175281978.db2.gz IAMHQKDRWABGKX-UHFFFAOYSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc(OC)c1CNCCC1(C)CC1 ZINC000352708380 175281996 /nfs/dbraw/zinc/28/19/96/175281996.db2.gz WDMHRCWSABXEBD-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN COc1cccc(OC)c1CNCC1(C)CCC1 ZINC000352764623 175282077 /nfs/dbraw/zinc/28/20/77/175282077.db2.gz IARBVBZJGYTCBH-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN COc1cccc([C@@H](C)N2CCOC[C@@H](C)C2)c1 ZINC000336528017 175286859 /nfs/dbraw/zinc/28/68/59/175286859.db2.gz AEIBRUJEWSURSE-QWHCGFSZSA-N 0 3 249.354 2.725 20 0 BFADHN COc1cccc([C@@H](C)N(C)C[C@@H](C)OC)c1 ZINC000353208090 175287095 /nfs/dbraw/zinc/28/70/95/175287095.db2.gz WLQBXZUKSVDYBH-VXGBXAGGSA-N 0 3 237.343 2.723 20 0 BFADHN COc1cccc([C@H](C)NCc2ccn(C)c2)c1 ZINC000091008516 175293045 /nfs/dbraw/zinc/29/30/45/175293045.db2.gz OROHOLNHOLPDJM-LBPRGKRZSA-N 0 3 244.338 2.885 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2CCO[C@H]2C)c1 ZINC000120349665 175293140 /nfs/dbraw/zinc/29/31/40/175293140.db2.gz MLYOAUDXSKMBEP-COPLHBTASA-N 0 3 235.327 2.523 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2C[C@@H](C)O[C@@H]2C)c1 ZINC000296673787 175293417 /nfs/dbraw/zinc/29/34/17/175293417.db2.gz HGUVNRIQMGEIRX-NWJSVONSSA-N 0 3 249.354 2.912 20 0 BFADHN COc1ccccc1C(C)(C)NC[C@H]1CCCO1 ZINC000264068081 175319836 /nfs/dbraw/zinc/31/98/36/175319836.db2.gz AEHKQBMEMVIANI-GFCCVEGCSA-N 0 3 249.354 2.699 20 0 BFADHN COc1ccccc1CN1CCCOC(C)(C)C1 ZINC000371332902 175327693 /nfs/dbraw/zinc/32/76/93/175327693.db2.gz RUNABSTXLTXQLB-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccccc1CNC[C@](C)(OC)C1CC1 ZINC000291076754 175329814 /nfs/dbraw/zinc/32/98/14/175329814.db2.gz SZEJWNPGJRAWHL-HNNXBMFYSA-N 0 3 249.354 2.600 20 0 BFADHN COc1ccccc1CN[C@H]1CSC1(C)C ZINC000282880692 175331516 /nfs/dbraw/zinc/33/15/16/175331516.db2.gz RWAZJQRWEMYTBC-LBPRGKRZSA-N 0 3 237.368 2.679 20 0 BFADHN COc1ccccc1C[C@H](C)N[C@@H](C)CF ZINC000290253251 175333420 /nfs/dbraw/zinc/33/34/20/175333420.db2.gz VYLDJVDNSIBHJQ-QWRGUYRKSA-N 0 3 225.307 2.574 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H](C)CCCO ZINC000094941566 175346181 /nfs/dbraw/zinc/34/61/81/175346181.db2.gz RWUCGBGTCLXPQS-VXGBXAGGSA-N 0 3 237.343 2.507 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1CCCC[C@H]1O ZINC000149273838 175346561 /nfs/dbraw/zinc/34/65/61/175346561.db2.gz UITBIJHZHDGPMY-MRVWCRGKSA-N 0 3 249.354 2.649 20 0 BFADHN COc1ccccc1[C@H](C)NCC(C)(C)OC ZINC000122223801 175351257 /nfs/dbraw/zinc/35/12/57/175351257.db2.gz MBCQTFAIQLFDAI-NSHDSACASA-N 0 3 237.343 2.771 20 0 BFADHN COc1ccccc1[C@H](C)NCc1[nH]ncc1C ZINC000290077349 175351753 /nfs/dbraw/zinc/35/17/53/175351753.db2.gz YSIKIXIBMGONRE-NSHDSACASA-N 0 3 245.326 2.578 20 0 BFADHN COc1ccnc(CN2CCC[C@@H](C)[C@H]2C)c1 ZINC000266034676 175358767 /nfs/dbraw/zinc/35/87/67/175358767.db2.gz TZRPHPQNTWWMRR-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN2CCC[C@H]2C2CCC2)c1 ZINC000106934443 175359349 /nfs/dbraw/zinc/35/93/49/175359349.db2.gz VXNLNPFHRVZEMD-HNNXBMFYSA-N 0 3 246.354 2.855 20 0 BFADHN COc1ccnc(CN2C[C@H](C)C[C@H](C)C2)c1 ZINC000106859329 175359863 /nfs/dbraw/zinc/35/98/63/175359863.db2.gz YBHOODQURPTJIV-TXEJJXNPSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccncc1CN1C[C@@H](C)CC[C@H]1C ZINC000287629891 175370538 /nfs/dbraw/zinc/37/05/38/175370538.db2.gz FQYGNDOHNMZINS-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CNC1CCC2(CC2)CC1 ZINC000340409356 175371746 /nfs/dbraw/zinc/37/17/46/175371746.db2.gz VDVQDRCYSODAMT-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN COc1ccncc1CNC1CCCCCC1 ZINC000292775018 175372514 /nfs/dbraw/zinc/37/25/14/175372514.db2.gz SZWWWZQDVDGNCQ-UHFFFAOYSA-N 0 3 234.343 2.903 20 0 BFADHN COc1ccncc1CN[C@H](C)CCC1CC1 ZINC000294362099 175373914 /nfs/dbraw/zinc/37/39/14/175373914.db2.gz QKAFVEOKLJBINA-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccsc1CN[C@@H]1CC1(C)C ZINC000268762633 175377710 /nfs/dbraw/zinc/37/77/10/175377710.db2.gz AHSRWURTLNVHPA-SNVBAGLBSA-N 0 3 211.330 2.645 20 0 BFADHN COc1ccsc1CN[C@@H]1CC[C@H](F)C1 ZINC000308967887 175378453 /nfs/dbraw/zinc/37/84/53/175378453.db2.gz XCMHPDOEJRUTCU-DTWKUNHWSA-N 0 3 229.320 2.737 20 0 BFADHN COc1ccsc1CN[C@H]1CSC[C@@H]1C ZINC000308936054 175379355 /nfs/dbraw/zinc/37/93/55/175379355.db2.gz KVUWAHFIFILKOS-IUCAKERBSA-N 0 3 243.397 2.598 20 0 BFADHN COc1ccsc1[C@@H](C)NC[C@@H]1CCCO1 ZINC000186078350 175380108 /nfs/dbraw/zinc/38/01/08/175380108.db2.gz BHZHVJGMOSHYNY-ZJUUUORDSA-N 0 3 241.356 2.586 20 0 BFADHN COc1ccsc1[C@H](C)N[C@H]1CCCOC1 ZINC000274599071 175380422 /nfs/dbraw/zinc/38/04/22/175380422.db2.gz PGGFSVOULCEPIX-UWVGGRQHSA-N 0 3 241.356 2.586 20 0 BFADHN COc1ccsc1[C@H](C)N[C@H](C)[C@@H](C)OC ZINC000290089724 175380740 /nfs/dbraw/zinc/38/07/40/175380740.db2.gz HGZAICPSJWCVNQ-KXUCPTDWSA-N 0 3 243.372 2.831 20 0 BFADHN COc1cncc(CN2CCCC[C@@H](C)C2)c1 ZINC000289606213 175384657 /nfs/dbraw/zinc/38/46/57/175384657.db2.gz ALQGDQXOSRRFEW-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cncc(CN2CCC[C@H]3CCC[C@@H]32)c1 ZINC000290420790 175385268 /nfs/dbraw/zinc/38/52/68/175385268.db2.gz USJLAYQIBKOSFZ-HIFRSBDPSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cncc(CN2CCC[C@@H]2C2CCC2)c1 ZINC000290327950 175385463 /nfs/dbraw/zinc/38/54/63/175385463.db2.gz OSZAKTIOPQDEHB-OAHLLOKOSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cncc(CN2CC[C@@H](CC3CC3)C2)c1 ZINC000339683723 175385555 /nfs/dbraw/zinc/38/55/55/175385555.db2.gz OMJCROOJPKBRRQ-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cncc(CN2CCC[C@@H](C)[C@@H]2C)c1 ZINC000290179156 175385585 /nfs/dbraw/zinc/38/55/85/175385585.db2.gz FEMPZMKWGBTGTE-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN2C[C@@H](C)CC[C@@H]2C)c1 ZINC000290408586 175385965 /nfs/dbraw/zinc/38/59/65/175385965.db2.gz UAYRBUBYJMXPEA-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ncc(CN(C(C)C)C2CCC2)c(C)n1 ZINC000337198146 175398209 /nfs/dbraw/zinc/39/82/09/175398209.db2.gz ZKMGBOIWLLVGSA-UHFFFAOYSA-N 0 3 249.358 2.557 20 0 BFADHN COc1ncc(CN(C)C2(C)CCCC2)c(C)n1 ZINC000337266674 175398523 /nfs/dbraw/zinc/39/85/23/175398523.db2.gz ZJLSBLBICLBGTQ-UHFFFAOYSA-N 0 3 249.358 2.558 20 0 BFADHN COc1ncc(CN2[C@H](C)CCC[C@H]2C)c(C)n1 ZINC000337194912 175399213 /nfs/dbraw/zinc/39/92/13/175399213.db2.gz VRCWLPZRPKRBHI-GHMZBOCLSA-N 0 3 249.358 2.557 20 0 BFADHN CSC(C)(C)CN[C@H]1C[C@@H](C)n2ccnc21 ZINC000294129652 175442823 /nfs/dbraw/zinc/44/28/23/175442823.db2.gz OMPLXNSTNCBRQP-ZJUUUORDSA-N 0 3 239.388 2.620 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1cc(F)cc(F)c1 ZINC000336715746 175569536 /nfs/dbraw/zinc/56/95/36/175569536.db2.gz VQSRBRZEJSNYBM-RYUDHWBXSA-N 0 3 243.322 2.948 20 0 BFADHN C[C@@H](CCO)N[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000151944735 175682848 /nfs/dbraw/zinc/68/28/48/175682848.db2.gz NTDJHXTYISSSNR-YUMQZZPRSA-N 0 3 247.260 2.525 20 0 BFADHN C[C@@H](CO)NC(c1ccccc1)c1ccccc1 ZINC000035602573 175756090 /nfs/dbraw/zinc/75/60/90/175756090.db2.gz JZKKJEWHYVFNQP-ZDUSSCGKSA-N 0 3 241.334 2.746 20 0 BFADHN CCCN(Cc1ccnn1CC1CC1)C(C)C ZINC000668295174 487631982 /nfs/dbraw/zinc/63/19/82/487631982.db2.gz LIBNMVIDUBCDCP-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@@H](NCC1CC(F)(F)C1)c1cscn1 ZINC000293879657 175869620 /nfs/dbraw/zinc/86/96/20/175869620.db2.gz YQDCEWAEBHZNIL-SSDOTTSWSA-N 0 3 232.299 2.839 20 0 BFADHN C[C@@H](NCCN1CC=CCC1)c1ccccc1F ZINC000281320155 175880209 /nfs/dbraw/zinc/88/02/09/175880209.db2.gz ZTZANJPBKQOLLX-CYBMUJFWSA-N 0 3 248.345 2.738 20 0 BFADHN C[C@@H](NCc1cccc(F)c1)c1cc[nH]c(=O)c1 ZINC000339459572 175899393 /nfs/dbraw/zinc/89/93/93/175899393.db2.gz AZBGUGHDIXEFCR-SNVBAGLBSA-N 0 3 246.285 2.777 20 0 BFADHN C[C@@H](N[C@@H]1CCC1(C)C)c1ccccn1 ZINC000284227425 175913338 /nfs/dbraw/zinc/91/33/38/175913338.db2.gz HNRUEASAPXKSBN-ZYHUDNBSSA-N 0 3 204.317 2.921 20 0 BFADHN C[C@@H](O)CCCN(C)[C@@H](C)c1cccc(O)c1 ZINC000336692224 176019015 /nfs/dbraw/zinc/01/90/15/176019015.db2.gz HSTBJRHGNUTZRA-NEPJUHHUSA-N 0 3 237.343 2.546 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)CCCF ZINC000137297946 176019286 /nfs/dbraw/zinc/01/92/86/176019286.db2.gz ABJPIVZSTUBVNH-JTQLQIEISA-N 0 3 211.280 2.745 20 0 BFADHN C[C@@H](NCc1ccon1)[C@@H](C)c1ccccc1 ZINC000268888584 176022928 /nfs/dbraw/zinc/02/29/28/176022928.db2.gz CPLHKAMWJWGFOG-VXGBXAGGSA-N 0 3 230.311 2.956 20 0 BFADHN C[C@@H](c1ccccc1F)N1CCC[C@H](O)CC1 ZINC000293001434 176024540 /nfs/dbraw/zinc/02/45/40/176024540.db2.gz CXSYEPDFYUMFRW-RYUDHWBXSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H](c1ccccc1F)N1CCC(C)(O)CC1 ZINC000177308335 176025576 /nfs/dbraw/zinc/02/55/76/176025576.db2.gz GIBIFFCRYUEVOO-NSHDSACASA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCc1nccs1 ZINC000096483886 176026942 /nfs/dbraw/zinc/02/69/42/176026942.db2.gz OYZAFCMXRGJKAR-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN C[C@@H](c1ccccn1)N1CC[C@]2(C1)CCCOC2 ZINC000341994773 176028157 /nfs/dbraw/zinc/02/81/57/176028157.db2.gz RNEXCSVVCNQJLX-ZFWWWQNUSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@@H](c1cccnc1)N1CCCSCC1 ZINC000270518956 176028923 /nfs/dbraw/zinc/02/89/23/176028923.db2.gz DQOSICINCULDIY-NSHDSACASA-N 0 3 222.357 2.582 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCc1nccs1 ZINC000269879269 176029137 /nfs/dbraw/zinc/02/91/37/176029137.db2.gz LCWLOUYBIFUSOE-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCc1ccncc1 ZINC000272343979 176034728 /nfs/dbraw/zinc/03/47/28/176034728.db2.gz ILJMNPAFHODVQT-ZDUSSCGKSA-N 0 3 241.338 2.712 20 0 BFADHN C[C@@H](c1cnccn1)N1CCC2(CCC2)CC1 ZINC000370738748 176035550 /nfs/dbraw/zinc/03/55/50/176035550.db2.gz IHOKYALHLHRIHL-LBPRGKRZSA-N 0 3 231.343 2.804 20 0 BFADHN C[C@@H]1CC(NCc2ccccc2F)C[C@@H](C)O1 ZINC000122757475 176055053 /nfs/dbraw/zinc/05/50/53/176055053.db2.gz ZXBZJRHQXNGTMC-GHMZBOCLSA-N 0 3 237.318 2.871 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CCOC[C@H]1C ZINC000346227845 176076459 /nfs/dbraw/zinc/07/64/59/176076459.db2.gz YAQKJACJCRWTKS-JHJVBQTASA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H]1CCCC[C@@]1(C)NCc1ncccn1 ZINC000308711640 176083807 /nfs/dbraw/zinc/08/38/07/176083807.db2.gz OWBMIVRNAFBJDO-DGCLKSJQSA-N 0 3 219.332 2.535 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc(F)cn2)[C@@H]1C ZINC000336432992 176100798 /nfs/dbraw/zinc/10/07/98/176100798.db2.gz INXYOUSZCVCMDW-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CCCN(Cc2cccc3nccn32)[C@@H]1C ZINC000179942022 176101376 /nfs/dbraw/zinc/10/13/76/176101376.db2.gz YROSABSKFRUNPC-CHWSQXEVSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1CCCN1Cc1cccc2c1OCCCO2 ZINC000124287507 176110239 /nfs/dbraw/zinc/11/02/39/176110239.db2.gz HOAKSLRXTXRBCO-GFCCVEGCSA-N 0 3 247.338 2.832 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2ccon2)[C@@H]1C ZINC000088052698 176123601 /nfs/dbraw/zinc/12/36/01/176123601.db2.gz QTVIQXXVASGCQR-CKYFFXLPSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2ncc(Cl)n2C)C1 ZINC000037255055 176123944 /nfs/dbraw/zinc/12/39/44/176123944.db2.gz ZYFDJXYUDNWXCP-NXEZZACHSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2nccn2C(F)F)C1 ZINC000037255063 176124094 /nfs/dbraw/zinc/12/40/94/176124094.db2.gz JWOBNNIEVAWODB-NXEZZACHSA-N 0 3 243.301 2.947 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCOC[C@H]2C)C1 ZINC000356958900 176137078 /nfs/dbraw/zinc/13/70/78/176137078.db2.gz VZCXZHPFXUPLIW-UPJWGTAASA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCOC(C)(C)C2)C1 ZINC000356973108 176137102 /nfs/dbraw/zinc/13/71/02/176137102.db2.gz IDLPYCXDTAKNSE-OLZOCXBDSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2cocn2)C1 ZINC000179547738 176139300 /nfs/dbraw/zinc/13/93/00/176139300.db2.gz RXQACIBJVSTGFN-MNOVXSKESA-N 0 3 208.305 2.591 20 0 BFADHN C[C@@H]1CCC[C@H](N(C)Cc2ccc(CO)o2)C1 ZINC000169059538 176140138 /nfs/dbraw/zinc/14/01/38/176140138.db2.gz YJUNHBNSDNUFNL-NEPJUHHUSA-N 0 3 237.343 2.782 20 0 BFADHN C[C@@H]1CCC[C@H](N[C@@H](CCO)c2ccco2)C1 ZINC000186523014 176144518 /nfs/dbraw/zinc/14/45/18/176144518.db2.gz MLZZYLKCQJLZSM-AGIUHOORSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1c(Cl)cnn1C ZINC000336782460 176148264 /nfs/dbraw/zinc/14/82/64/176148264.db2.gz XSLXPJLUMOIEJJ-ZJUUUORDSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@@H]1CCN(CCC(F)(F)F)C[C@@H]1C ZINC000122981945 176168759 /nfs/dbraw/zinc/16/87/59/176168759.db2.gz BIQXOSJFQFMRNA-BDAKNGLRSA-N 0 3 209.255 2.917 20 0 BFADHN C[C@@H]1CCN(CCCO)[C@H]1c1cccc(F)c1 ZINC000266937548 176169706 /nfs/dbraw/zinc/16/97/06/176169706.db2.gz OWADQVBKHNPSBM-BXUZGUMPSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(CO)o2)CC1(C)C ZINC000273734510 176174823 /nfs/dbraw/zinc/17/48/23/176174823.db2.gz KTBULUHTOJRACC-LLVKDONJSA-N 0 3 237.343 2.640 20 0 BFADHN C[C@@H]1CCN(Cc2ccc3occc3c2)C[C@H]1O ZINC000336394482 176176122 /nfs/dbraw/zinc/17/61/22/176176122.db2.gz MEWXLBWVCMYDCM-BXUZGUMPSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@@H]1CCN(Cc2ccccn2)CC1(C)C ZINC000273653656 176176359 /nfs/dbraw/zinc/17/63/59/176176359.db2.gz HBPKZWBGSYSCRQ-GFCCVEGCSA-N 0 3 218.344 2.950 20 0 BFADHN C[C@@H]1CCN(Cc2ccnc(N)c2)CC1(C)C ZINC000278853922 176177521 /nfs/dbraw/zinc/17/75/21/176177521.db2.gz MGHGMNZKIVEYJH-LLVKDONJSA-N 0 3 233.359 2.532 20 0 BFADHN C[C@@H]1CCN(Cc2ccnn2C2CCCC2)C1 ZINC000336221266 176178409 /nfs/dbraw/zinc/17/84/09/176178409.db2.gz VTAWPDCCTXOWOW-GFCCVEGCSA-N 0 3 233.359 2.840 20 0 BFADHN C[C@@H]1CCN(Cc2ccnn2-c2ccccc2)C1 ZINC000279775870 176178456 /nfs/dbraw/zinc/17/84/56/176178456.db2.gz GXROQEQYKBUCTF-CYBMUJFWSA-N 0 3 241.338 2.714 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1ccc(F)cn1 ZINC000336508895 176200136 /nfs/dbraw/zinc/20/01/36/176200136.db2.gz QMSYTNHDXLYNRA-NXEZZACHSA-N 0 3 208.280 2.594 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2ccc(F)c(F)c2)O1 ZINC000128439837 176206192 /nfs/dbraw/zinc/20/61/92/176206192.db2.gz YOIGFGJGFBABDE-KOLCDFICSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1CC[C@@H]([NH2+]Cc2ccc([O-])c(F)c2)C1 ZINC000164617686 176212880 /nfs/dbraw/zinc/21/28/80/176212880.db2.gz LWBIHUPUMDXLPW-MWLCHTKSSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cn3ccsc3n2)C1 ZINC000121979452 176213487 /nfs/dbraw/zinc/21/34/87/176213487.db2.gz OAGQBDXFOOKBQQ-NXEZZACHSA-N 0 3 235.356 2.674 20 0 BFADHN C[C@@H]1CC[C@@H](N[C@H]2CCCc3c[nH]nc32)C1 ZINC000336783081 176214145 /nfs/dbraw/zinc/21/41/45/176214145.db2.gz ZJURVSIGFZJKRZ-JLLWLGSASA-N 0 3 219.332 2.565 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1c[nH]c(-c2ccccc2)n1 ZINC000353144769 176217142 /nfs/dbraw/zinc/21/71/42/176217142.db2.gz VKBYWGNUIFPRBR-RISCZKNCSA-N 0 3 241.338 2.965 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1cc(F)ccc1F ZINC000336708907 176217264 /nfs/dbraw/zinc/21/72/64/176217264.db2.gz SMKOLONPOUDPGJ-PELKAZGASA-N 0 3 211.255 2.853 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C1)c1nnc2ccccn21 ZINC000227191204 176234124 /nfs/dbraw/zinc/23/41/24/176234124.db2.gz MVZWFTQHTADCMW-UTUOFQBUSA-N 0 3 244.342 2.569 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1cnn(-c2ccccc2)c1 ZINC000310951372 176236988 /nfs/dbraw/zinc/23/69/88/176236988.db2.gz RGEHVVCUCSWLKJ-IUODEOHRSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@@H]1CN(C)C[C@H]1c1nc(C2CCCCC2)no1 ZINC000359911164 176278012 /nfs/dbraw/zinc/27/80/12/176278012.db2.gz KWPFIXZGTBDMRW-ZYHUDNBSSA-N 0 3 249.358 2.782 20 0 BFADHN C[C@@H]1CN(CCCCCF)[C@H](C)[C@@H](C)O1 ZINC000342177834 176288581 /nfs/dbraw/zinc/28/85/81/176288581.db2.gz WUSPMLWPRRWITH-IJLUTSLNSA-N 0 3 217.328 2.624 20 0 BFADHN C[C@@H]1CN(CCCc2ccsc2)CCCO1 ZINC000360901925 176289307 /nfs/dbraw/zinc/28/93/07/176289307.db2.gz QAZDRFPCYSRWMK-GFCCVEGCSA-N 0 3 239.384 2.792 20 0 BFADHN C[C@@H]1CN(C[C@@H](O)c2cc3ccccc3o2)[C@@H]1C ZINC000293533745 176297706 /nfs/dbraw/zinc/29/77/06/176297706.db2.gz XHTKCFKSFJSAIJ-NQBHXWOUSA-N 0 3 245.322 2.806 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC(F)(F)C2)[C@H](C)CO1 ZINC000340285647 176299739 /nfs/dbraw/zinc/29/97/39/176299739.db2.gz RYBXFTUSSSLWHQ-MXWKQRLJSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(F)cn2)C[C@H]1C ZINC000276292092 176305182 /nfs/dbraw/zinc/30/51/82/176305182.db2.gz VVPFBLGFDQPAIP-GHMZBOCLSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@@H]1CN(Cc2cn3ccccc3n2)C(C)(C)C1 ZINC000266957604 176309393 /nfs/dbraw/zinc/30/93/93/176309393.db2.gz WSBXFATZBTUUMD-LBPRGKRZSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1CCN(Cc2cncc(F)c2)C[C@H]1C ZINC000275174980 176310442 /nfs/dbraw/zinc/31/04/42/176310442.db2.gz YSJCCWTYJGVBHZ-GHMZBOCLSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@@H]1COCC[C@@H]1NCc1ccsc1Cl ZINC000335607916 176340932 /nfs/dbraw/zinc/34/09/32/176340932.db2.gz QMOIYACPUWQYFV-SCZZXKLOSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@@H]1COCC[C@@H]1NCc1sccc1Cl ZINC000278766906 176341505 /nfs/dbraw/zinc/34/15/05/176341505.db2.gz OIEFUMVZHMOKTA-SCZZXKLOSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2CCOC[C@H]2C)o1 ZINC000278030110 176341780 /nfs/dbraw/zinc/34/17/80/176341780.db2.gz WXGLOAYRKORICA-RFHZTLPTSA-N 0 3 249.354 2.918 20 0 BFADHN C[C@@H]1CSC[C@H]1N[C@H]1CCCc2occc21 ZINC000304407660 176355444 /nfs/dbraw/zinc/35/54/44/176355444.db2.gz IDBNCZZQEINKCN-ADEWGFFLSA-N 0 3 237.368 2.998 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cncc(F)c2)C1 ZINC000274370859 176361446 /nfs/dbraw/zinc/36/14/46/176361446.db2.gz QADVSDLHMZDSRE-GHMZBOCLSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@@H]1C[C@@H](NCCCO)c2ccsc2S1 ZINC000336642666 176375079 /nfs/dbraw/zinc/37/50/79/176375079.db2.gz ARJNMHICOZNMKO-PSASIEDQSA-N 0 3 243.397 2.646 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCC2(C)C)c2nccn21 ZINC000345357597 176379467 /nfs/dbraw/zinc/37/94/67/176379467.db2.gz PDGCAAJFPHVOBY-MXWKQRLJSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@H]1COCc2ccccc21 ZINC000300230983 176398064 /nfs/dbraw/zinc/39/80/64/176398064.db2.gz FNTPBNONZHEEBU-QKCSRTOESA-N 0 3 217.312 2.504 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1ccc2ncccc2c1 ZINC000134247109 176472538 /nfs/dbraw/zinc/47/25/38/176472538.db2.gz YONMECWCFOJBQR-FZMZJTMJSA-N 0 3 242.322 2.502 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@H]1CCc2cc(F)ccc21 ZINC000245942764 176474070 /nfs/dbraw/zinc/47/40/70/176474070.db2.gz MVABFNIJINXADV-HERUPUMHSA-N 0 3 235.302 2.580 20 0 BFADHN C[C@H](F)CCN[C@@H](C)c1cncc(F)c1 ZINC000309014041 176741007 /nfs/dbraw/zinc/74/10/07/176741007.db2.gz SWQNSXKTGHMKDN-IUCAKERBSA-N 0 3 214.259 2.619 20 0 BFADHN CCOc1ccc(CN(C)[C@@H](C)C(C)C)nc1 ZINC000674860889 487635290 /nfs/dbraw/zinc/63/52/90/487635290.db2.gz UMOIYVJQVVGMRW-LBPRGKRZSA-N 0 3 236.359 2.957 20 0 BFADHN CCC[C@@H](C)CN1CCCc2n[nH]cc2C1 ZINC000668842317 487635527 /nfs/dbraw/zinc/63/55/27/487635527.db2.gz ZCMBMZLCSZWIJW-LLVKDONJSA-N 0 3 221.348 2.594 20 0 BFADHN C[C@H](NCCCO)c1ccc(-c2ccccc2)o1 ZINC000268716282 176844716 /nfs/dbraw/zinc/84/47/16/176844716.db2.gz MRPKRCVIMXBGQE-LBPRGKRZSA-N 0 3 245.322 2.980 20 0 BFADHN C[C@H](NCCCc1ccccc1)c1ncc[nH]1 ZINC000139082531 176845821 /nfs/dbraw/zinc/84/58/21/176845821.db2.gz XNDYQUGPHCMOKH-LBPRGKRZSA-N 0 3 229.327 2.693 20 0 BFADHN C[C@H](NCCc1ccccc1O)c1ccco1 ZINC000154975652 176854193 /nfs/dbraw/zinc/85/41/93/176854193.db2.gz CRTXCIXKBCPCDV-NSHDSACASA-N 0 3 231.295 2.879 20 0 BFADHN C[C@@H](CN[C@@H](C)c1cc(F)cc(F)c1)N(C)C ZINC000094827121 176865175 /nfs/dbraw/zinc/86/51/75/176865175.db2.gz JLQCLVNBERUDQQ-UWVGGRQHSA-N 0 3 242.313 2.566 20 0 BFADHN C[C@H](NCc1nccs1)[C@H]1CC1(C)C ZINC000308551491 176883812 /nfs/dbraw/zinc/88/38/12/176883812.db2.gz XQKNREJJWXRTLH-DTWKUNHWSA-N 0 3 210.346 2.667 20 0 BFADHN C[C@H](N[C@@H]1CCOC(C)(C)C1)c1ccccn1 ZINC000070345802 176899368 /nfs/dbraw/zinc/89/93/68/176899368.db2.gz YXMABZHVPMMWCN-NWDGAFQWSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@H](N[C@@H]1COc2cc(F)cc(F)c21)C1CC1 ZINC000377176437 176905461 /nfs/dbraw/zinc/90/54/61/176905461.db2.gz ALULACYQRPKRNF-WRWORJQWSA-N 0 3 239.265 2.786 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](O)C1(C)C)c1ccc(F)cc1 ZINC000129201153 176905909 /nfs/dbraw/zinc/90/59/09/176905909.db2.gz ZTECHTVIVKPRRH-BIMULSAOSA-N 0 3 237.318 2.636 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@@H]([C@H](C)O)C1 ZINC000356450303 177009995 /nfs/dbraw/zinc/00/99/95/177009995.db2.gz XJHHUSXYWMRRES-NTZNESFSSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](O)[C@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC000356450295 177016354 /nfs/dbraw/zinc/01/63/54/177016354.db2.gz XJHHUSXYWMRRES-GVXVVHGQSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](OC1CCN(C)CC1)c1ccc(F)cc1 ZINC000028528087 177020303 /nfs/dbraw/zinc/02/03/03/177020303.db2.gz KPCIUQMXEVBUGK-NSHDSACASA-N 0 3 237.318 2.998 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1C[C@H](O)C(C)(C)C1 ZINC000336251252 177034089 /nfs/dbraw/zinc/03/40/89/177034089.db2.gz KDNITRXHROQWJM-MFKMUULPSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](c1ccccc1F)N(C)CC(C)(C)O ZINC000062016101 177041065 /nfs/dbraw/zinc/04/10/65/177041065.db2.gz LAMWFUIBMIFESY-SNVBAGLBSA-N 0 3 225.307 2.589 20 0 BFADHN C[C@H](c1ccccc1Cl)N1CC[C@H](CO)C1 ZINC000082946422 177041503 /nfs/dbraw/zinc/04/15/03/177041503.db2.gz KZVSBWRHXANZGI-MNOVXSKESA-N 0 3 239.746 2.715 20 0 BFADHN C[C@H](c1ccccc1F)N(CCO)CC1CC1 ZINC000352450805 177041533 /nfs/dbraw/zinc/04/15/33/177041533.db2.gz UCVITHGURQQUGO-LLVKDONJSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@H](c1ccccc1F)N1CCC(CO)CC1 ZINC000051854047 177041797 /nfs/dbraw/zinc/04/17/97/177041797.db2.gz WUPYXWUOSWZILE-LLVKDONJSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCCCCCO ZINC000091701812 177042847 /nfs/dbraw/zinc/04/28/47/177042847.db2.gz ACBZHNVPZZTROI-CYBMUJFWSA-N 0 3 236.359 2.627 20 0 BFADHN C[C@H](c1ccco1)N(C)CCc1ccccn1 ZINC000123005350 177048101 /nfs/dbraw/zinc/04/81/01/177048101.db2.gz OHHJOPDURBMTKZ-GFCCVEGCSA-N 0 3 230.311 2.910 20 0 BFADHN C[C@H](c1cccs1)N(C)CCC1OCCO1 ZINC000123829092 177049197 /nfs/dbraw/zinc/04/91/97/177049197.db2.gz WSCIMXDWHOXRFC-SNVBAGLBSA-N 0 3 241.356 2.504 20 0 BFADHN C[C@H]1CC(NCc2ccccc2F)C[C@H](C)O1 ZINC000122757237 177065067 /nfs/dbraw/zinc/06/50/67/177065067.db2.gz ZXBZJRHQXNGTMC-QWRGUYRKSA-N 0 3 237.318 2.871 20 0 BFADHN C[C@H]1CC(N[C@H]2CCn3ccnc32)C[C@H](C)C1 ZINC000345353180 177066032 /nfs/dbraw/zinc/06/60/32/177066032.db2.gz PYONRWSACDQJIH-WZRBSPASSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H]1CC(O)C[C@H](C)N1Cc1ccc(F)cc1 ZINC000191250330 177066095 /nfs/dbraw/zinc/06/60/95/177066095.db2.gz PPNXKGVWLFDFNS-QWRGUYRKSA-N 0 3 237.318 2.559 20 0 BFADHN C[C@H]1CC2(CCC2)CN1Cc1ccc(F)nc1 ZINC000365444135 177067966 /nfs/dbraw/zinc/06/79/66/177067966.db2.gz RHFPOKUREBYFNS-NSHDSACASA-N 0 3 234.318 2.985 20 0 BFADHN C[C@H]1CCCCN1CCNc1ccc(F)cn1 ZINC000286186637 177075067 /nfs/dbraw/zinc/07/50/67/177075067.db2.gz QOVPULOZVRAPBN-NSHDSACASA-N 0 3 237.322 2.507 20 0 BFADHN C[C@H]1CCCC[C@@H]1N(C)Cc1ccon1 ZINC000157532428 177080789 /nfs/dbraw/zinc/08/07/89/177080789.db2.gz NMDMMMWZSLYNED-JQWIXIFHSA-N 0 3 208.305 2.685 20 0 BFADHN C[C@H]1CCCC[C@@]1(C)NCc1cnns1 ZINC000308688764 177085172 /nfs/dbraw/zinc/08/51/72/177085172.db2.gz CIQIMSHRGLSFPF-GXSJLCMTSA-N 0 3 225.361 2.597 20 0 BFADHN C[C@@H]1CCC[C@H](C)[C@H]1NCc1nnc(C2CC2)[nH]1 ZINC000331524145 177118576 /nfs/dbraw/zinc/11/85/76/177118576.db2.gz OELNAXKQBNKVSO-IWIIMEHWSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H]1CCC[C@@H](CN2CCOCC2(C)C)C1 ZINC000357004135 177118989 /nfs/dbraw/zinc/11/89/89/177118989.db2.gz PEFDMYRVVFXJIY-QWHCGFSZSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H](C)C1)c1cnccn1 ZINC000152106081 177119206 /nfs/dbraw/zinc/11/92/06/177119206.db2.gz FNTQRXTYNFBUSV-RWMBFGLXSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@H]1CCC[C@H](C)C1NCc1nnc(C2CC2)[nH]1 ZINC000331524152 177130540 /nfs/dbraw/zinc/13/05/40/177130540.db2.gz OELNAXKQBNKVSO-UWVGGRQHSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H]1CCN(CC(N)=O)CC12CCCCC2 ZINC000335745098 177157281 /nfs/dbraw/zinc/15/72/81/177157281.db2.gz LDNBBRKPNDNXTI-NSHDSACASA-N 0 3 224.348 2.814 20 0 BFADHN C[C@H]1CCN(CCc2cscn2)CCS1 ZINC000296153307 177162278 /nfs/dbraw/zinc/16/22/78/177162278.db2.gz YCOQEYLPWGYHRH-JTQLQIEISA-N 0 3 242.413 2.513 20 0 BFADHN C[C@H]1CCN(Cc2ccc(CO)o2)CC1(C)C ZINC000273734513 177163535 /nfs/dbraw/zinc/16/35/35/177163535.db2.gz KTBULUHTOJRACC-NSHDSACASA-N 0 3 237.343 2.640 20 0 BFADHN C[C@H]1CCN(Cc2ccc3occc3c2)C[C@H]1O ZINC000336394490 177165719 /nfs/dbraw/zinc/16/57/19/177165719.db2.gz MEWXLBWVCMYDCM-SMDDNHRTSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@H]1CN(Cc2cnc3ccccn23)CC[C@@H]1C ZINC000123012840 177166276 /nfs/dbraw/zinc/16/62/76/177166276.db2.gz YKRSUKPLDKKRGD-STQMWFEESA-N 0 3 243.354 2.812 20 0 BFADHN C[C@@H](c1cccnc1)N1CCS[C@@H](C)CC1 ZINC000276959437 177170267 /nfs/dbraw/zinc/17/02/67/177170267.db2.gz PUIMUDMPOWZSSI-RYUDHWBXSA-N 0 3 236.384 2.970 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1cccc2nccn21 ZINC000180310520 177184950 /nfs/dbraw/zinc/18/49/50/177184950.db2.gz GLAWIGCDIUYETO-QWHCGFSZSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@H]1CC[C@@H](NCc2cn3ccccc3n2)C1 ZINC000135132022 177193380 /nfs/dbraw/zinc/19/33/80/177193380.db2.gz PMUWJGMIEUYQQN-NWDGAFQWSA-N 0 3 229.327 2.613 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1cnn(-c2ccccc2)c1 ZINC000310951376 177195763 /nfs/dbraw/zinc/19/57/63/177195763.db2.gz RGEHVVCUCSWLKJ-WFASDCNBSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1ccc2c(c1)OCCO2 ZINC000122943824 177200265 /nfs/dbraw/zinc/20/02/65/177200265.db2.gz MIYXHELJLZMRAE-RYUDHWBXSA-N 0 3 247.338 2.831 20 0 BFADHN C[C@H]1CN(CCCc2ccsc2)CCCO1 ZINC000360901926 177251968 /nfs/dbraw/zinc/25/19/68/177251968.db2.gz QAZDRFPCYSRWMK-LBPRGKRZSA-N 0 3 239.384 2.792 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCC(F)(F)C2)C[C@H](C)O1 ZINC000338252126 177258741 /nfs/dbraw/zinc/25/87/41/177258741.db2.gz GEZDXKPSBJDLFN-TUAOUCFPSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1ccc(-n2cccn2)cc1 ZINC000293062982 177261735 /nfs/dbraw/zinc/26/17/35/177261735.db2.gz SJVZGRVTRHILHI-QWHCGFSZSA-N 0 3 241.338 2.713 20 0 BFADHN C[C@H]1CN(Cc2cccc(-n3cccn3)c2)[C@H]1C ZINC000339576647 177263579 /nfs/dbraw/zinc/26/35/79/177263579.db2.gz SGTQVSIOFRNOCG-STQMWFEESA-N 0 3 241.338 2.713 20 0 BFADHN C[C@H]1CN(Cc2nc3ccccc3n2C)C[C@@H]1C ZINC000267837491 177270221 /nfs/dbraw/zinc/27/02/21/177270221.db2.gz SRMZCUICLNPABR-RYUDHWBXSA-N 0 3 243.354 2.661 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCCO[C@@H](C)C1 ZINC000245400528 177275158 /nfs/dbraw/zinc/27/51/58/177275158.db2.gz CGSLQDMJJRKVGW-NWDGAFQWSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCC(F)(F)C2)[C@@H](C)CO1 ZINC000338252102 177301701 /nfs/dbraw/zinc/30/17/01/177301701.db2.gz FJAHVTHURHVCRX-TUAOUCFPSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1CN(CCCCOC(C)(C)C)[C@@H](C)CO1 ZINC000354902910 177301857 /nfs/dbraw/zinc/30/18/57/177301857.db2.gz XUZWLCRDHATZRL-QWHCGFSZSA-N 0 3 243.391 2.691 20 0 BFADHN C[C@H]1CSCCN1CCc1ccccc1 ZINC000172588305 177304886 /nfs/dbraw/zinc/30/48/86/177304886.db2.gz JVWJIPZJOPNKGK-LBPRGKRZSA-N 0 3 221.369 2.666 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1ccc(Cl)nc1 ZINC000286213060 177307262 /nfs/dbraw/zinc/30/72/62/177307262.db2.gz YJGCXKVTVHTBBR-WCBMZHEXSA-N 0 3 242.775 2.576 20 0 BFADHN C[C@H]1C[C@@H](CN(C)Cc2ccco2)CCO1 ZINC000352743501 177324155 /nfs/dbraw/zinc/32/41/55/177324155.db2.gz IJBDPRJTIWGSBW-RYUDHWBXSA-N 0 3 223.316 2.527 20 0 BFADHN C[C@H]1C[C@@H](NCC2CCCC2)c2nccn21 ZINC000334474440 177330532 /nfs/dbraw/zinc/33/05/32/177330532.db2.gz MRXFNZNEZLYLIU-CMPLNLGQSA-N 0 3 219.332 2.669 20 0 BFADHN C[C@H]1C[C@@H](NCCCC(F)(F)F)c2nccn21 ZINC000294215321 177331162 /nfs/dbraw/zinc/33/11/62/177331162.db2.gz UOJNNZRDNSPDFO-DTWKUNHWSA-N 0 3 247.264 2.821 20 0 BFADHN C[C@H]1C[C@@H](NCc2cccc(F)c2F)CCO1 ZINC000219890653 177332335 /nfs/dbraw/zinc/33/23/35/177332335.db2.gz KWZPWRPUMPQFAR-ONGXEEELSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H](CO)N[C@@H]1C[C@H](C)Sc2sccc21 ZINC000135074620 177333896 /nfs/dbraw/zinc/33/38/96/177333896.db2.gz JSLGWWDDNCUDDV-KHQFGBGNSA-N 0 3 243.397 2.644 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1c(F)cccc1F ZINC000136252401 177345576 /nfs/dbraw/zinc/34/55/76/177345576.db2.gz VMVHHDUVJDIAEW-DTWKUNHWSA-N 0 3 211.255 2.710 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN2CCCN(C)CC2)o1 ZINC000269326983 177351513 /nfs/dbraw/zinc/35/15/13/177351513.db2.gz AHTBRHVCYWKWPR-JSGCOSHPSA-N 0 3 248.370 2.541 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNC2CCC(O)CC2)o1 ZINC000019918584 177351518 /nfs/dbraw/zinc/35/15/18/177351518.db2.gz QBYLTUKFEJDOPF-BBCYWQGDSA-N 0 3 249.354 2.796 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCCC2(O)CCC2)o1 ZINC000283822220 177351621 /nfs/dbraw/zinc/35/16/21/177351621.db2.gz CZAXBAYTBAFHAX-AAEUAGOBSA-N 0 3 249.354 2.798 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN(C)CC(C)(C)O)o1 ZINC000264143095 177351913 /nfs/dbraw/zinc/35/19/13/177351913.db2.gz YXJZTPFMBKFLBN-JQWIXIFHSA-N 0 3 237.343 2.606 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN(C)CCCCO)o1 ZINC000264010050 177352121 /nfs/dbraw/zinc/35/21/21/177352121.db2.gz IRZLOGMBCWSXRU-AAEUAGOBSA-N 0 3 237.343 2.607 20 0 BFADHN C[C@H]1C[C@@]1(NCCCO)c1ccc(Cl)cc1 ZINC000309799972 177352509 /nfs/dbraw/zinc/35/25/09/177352509.db2.gz QZBDHTOIUDBBBG-GWCFXTLKSA-N 0 3 239.746 2.547 20 0 BFADHN C[C@H]1C[C@H](NCCCO)c2ccsc2S1 ZINC000122034793 177368655 /nfs/dbraw/zinc/36/86/55/177368655.db2.gz ARJNMHICOZNMKO-WPRPVWTQSA-N 0 3 243.397 2.646 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(Cl)o2)CS1 ZINC000307437584 177370977 /nfs/dbraw/zinc/37/09/77/177370977.db2.gz UREXGAUSLMIMNF-YUMQZZPRSA-N 0 3 231.748 2.917 20 0 BFADHN C[C@H]1C[C@H](NCc2cnn(C)c2)c2ccccc21 ZINC000264535556 177371934 /nfs/dbraw/zinc/37/19/34/177371934.db2.gz MKNQXVICMRSZSC-NHYWBVRUSA-N 0 3 241.338 2.758 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1ccccn1 ZINC000252161841 177371984 /nfs/dbraw/zinc/37/19/84/177371984.db2.gz PYDHUZPPFMEPOH-YVECIDJPSA-N 0 3 234.343 2.688 20 0 BFADHN C[C@H]1C[C@H]1CNCc1c(F)cccc1F ZINC000136252459 177382079 /nfs/dbraw/zinc/38/20/79/177382079.db2.gz VMVHHDUVJDIAEW-IUCAKERBSA-N 0 3 211.255 2.710 20 0 BFADHN C[C@H]1C[C@H]1NCc1ccc(Cl)c(F)c1 ZINC000052275546 177385563 /nfs/dbraw/zinc/38/55/63/177385563.db2.gz RHOXMEHWLAIGFE-WRWORJQWSA-N 0 3 213.683 2.977 20 0 BFADHN C[C@H]1C[C@H]1NCc1cc(F)c(F)c(F)c1 ZINC000062708815 177385835 /nfs/dbraw/zinc/38/58/35/177385835.db2.gz RBIIDNKJWAFMSL-QUBYGPBYSA-N 0 3 215.218 2.602 20 0 BFADHN C[C@H]1Cc2cc(F)ccc2[C@H](C)N1CCCO ZINC000354836504 177388606 /nfs/dbraw/zinc/38/86/06/177388606.db2.gz QAZSJBBQZSDFPQ-QWRGUYRKSA-N 0 3 237.318 2.516 20 0 BFADHN CCOc1ccc(CN2CC[C@H](C)[C@H]2C)nc1 ZINC000674866623 487638295 /nfs/dbraw/zinc/63/82/95/487638295.db2.gz CYLQSTZJBBFATH-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN CCOc1ccc(CN2C[C@H](C)C[C@@H]2C)nc1 ZINC000674865160 487637943 /nfs/dbraw/zinc/63/79/43/487637943.db2.gz VOXVSJSPEUKLNE-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN CCOc1ccc(CN(CC)C2CCC2)nc1 ZINC000674864803 487638025 /nfs/dbraw/zinc/63/80/25/487638025.db2.gz ZBPIDORTKBEWCU-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN COCC[C@@H](C)N1CC[C@H]1c1cccc(F)c1 ZINC000674866904 487638059 /nfs/dbraw/zinc/63/80/59/487638059.db2.gz XWFSWZNXNHYDBC-RISCZKNCSA-N 0 3 237.318 2.998 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](CC)C2)nc1 ZINC000674868223 487639249 /nfs/dbraw/zinc/63/92/49/487639249.db2.gz OQZNQLOHOZFLRH-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CC(C)(C)Oc1ccc(CN[C@@H]2CCOC2)cc1 ZINC000674880623 487642285 /nfs/dbraw/zinc/64/22/85/487642285.db2.gz RJJZKYYCTKKRML-CYBMUJFWSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1)c1ccc2c(c1)CCCC2 ZINC000453361924 224896626 /nfs/dbraw/zinc/89/66/26/224896626.db2.gz LLDFZACBWZBGHA-KNXALSJPSA-N 0 3 245.366 2.739 20 0 BFADHN Cc1cccnc1CNC/C=C\c1ccncc1 ZINC000487274329 225294443 /nfs/dbraw/zinc/29/44/43/225294443.db2.gz GDJIYOLSAPRGLO-HYXAFXHYSA-N 0 3 239.322 2.588 20 0 BFADHN Cc1ncsc1CNC/C=C\c1ccncc1 ZINC000487267266 225294652 /nfs/dbraw/zinc/29/46/52/225294652.db2.gz BLTCLHINNVLWDM-IHWYPQMZSA-N 0 3 245.351 2.650 20 0 BFADHN C[C@H]1C[C@H]1CN(C)Cc1cc(Cl)cn1C ZINC000488361675 225387477 /nfs/dbraw/zinc/38/74/77/225387477.db2.gz XFIBETPMPGZWDW-UWVGGRQHSA-N 0 3 226.751 2.766 20 0 BFADHN COCCN(Cc1cccnc1)[C@H]1CCC[C@H]1C ZINC000488002281 225340837 /nfs/dbraw/zinc/34/08/37/225340837.db2.gz XHGBWRFDXUPZMA-HIFRSBDPSA-N 0 3 248.370 2.719 20 0 BFADHN CN(CCCOC(C)(C)C)Cc1ccco1 ZINC000674902559 487645591 /nfs/dbraw/zinc/64/55/91/487645591.db2.gz GSIGZNGUXFNODK-UHFFFAOYSA-N 0 3 225.332 2.917 20 0 BFADHN CC(C)c1nc(C2C[C@H]3CC[C@@H](C2)N3C)no1 ZINC000680778805 487647096 /nfs/dbraw/zinc/64/70/96/487647096.db2.gz VQAILHWMQBNZMC-FGWVZKOKSA-N 0 3 235.331 2.533 20 0 BFADHN Cc1cc(CN)cc(NC(=O)/C=C\C(C)(C)C)c1 ZINC000493322735 226185006 /nfs/dbraw/zinc/18/50/06/226185006.db2.gz NNDBZJXHRLNWGD-WAYWQWQTSA-N 0 3 246.354 2.995 20 0 BFADHN Cc1cccc2nc(CN[C@@H]3C[C@H](C)[C@@H]3C)cn21 ZINC000495083104 226276395 /nfs/dbraw/zinc/27/63/95/226276395.db2.gz YBXAIYLEKWOFEF-VHRBIJSZSA-N 0 3 243.354 2.777 20 0 BFADHN Fc1ccccc1CNCCC[C@H]1CCOC1 ZINC000400580032 226346875 /nfs/dbraw/zinc/34/68/75/226346875.db2.gz ZBZDLVATXQGXAE-LBPRGKRZSA-N 0 3 237.318 2.732 20 0 BFADHN COCCN(C/C=C/c1ccccc1)C1CC1 ZINC000507157053 226366093 /nfs/dbraw/zinc/36/60/93/226366093.db2.gz ACVKWYLSUXFZGR-VMPITWQZSA-N 0 3 231.339 2.811 20 0 BFADHN COCCN(C/C=C/c1ccc(F)cc1)C1CC1 ZINC000507156685 226366377 /nfs/dbraw/zinc/36/63/77/226366377.db2.gz UNUXXKIWGCLPJV-NSCUHMNNSA-N 0 3 249.329 2.950 20 0 BFADHN COCCN(Cc1cccc2[nH]ccc21)C1CC1 ZINC000507153182 226366499 /nfs/dbraw/zinc/36/64/99/226366499.db2.gz ALALFNWVCLFPDC-UHFFFAOYSA-N 0 3 244.338 2.779 20 0 BFADHN C[C@@H]1CCN(CCCc2ccncc2)C[C@H]1F ZINC000680887065 487666226 /nfs/dbraw/zinc/66/62/26/487666226.db2.gz BNLVOAMJSSZLAO-TZMCWYRMSA-N 0 3 236.334 2.694 20 0 BFADHN C[C@@H]1CCN(CCCc2ccncc2)C[C@@H]1F ZINC000680887063 487666298 /nfs/dbraw/zinc/66/62/98/487666298.db2.gz BNLVOAMJSSZLAO-OCCSQVGLSA-N 0 3 236.334 2.694 20 0 BFADHN CC1(CNCc2cnc3ccccc3n2)CC1 ZINC000232902451 487667694 /nfs/dbraw/zinc/66/76/94/487667694.db2.gz JPNSGIAJVZYWPE-UHFFFAOYSA-N 0 3 227.311 2.520 20 0 BFADHN CCCN(CC(=O)OC)C[C@H]1CCCC[C@@H]1C ZINC000526074035 262759337 /nfs/dbraw/zinc/75/93/37/262759337.db2.gz FXBKMMUSEXWZBB-QWHCGFSZSA-N 0 3 241.375 2.698 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccsc2)C12CCC2 ZINC000308336079 229227096 /nfs/dbraw/zinc/22/70/96/229227096.db2.gz CXBAQJQSHHDOQV-RYUDHWBXSA-N 0 3 237.368 2.795 20 0 BFADHN C[C@@H]1CCCC[C@@]1(C)NCc1cocn1 ZINC000308715624 229244793 /nfs/dbraw/zinc/24/47/93/229244793.db2.gz PMFZFQYASABAFO-ZYHUDNBSSA-N 0 3 208.305 2.733 20 0 BFADHN CCCN1CC[C@H](N[C@H](C)c2ccc(C)o2)C1 ZINC000328379612 487672501 /nfs/dbraw/zinc/67/25/01/487672501.db2.gz UXIZAOAWRDJLJL-OLZOCXBDSA-N 0 3 236.359 2.723 20 0 BFADHN Cc1nocc1CNC[C@H](C)CC(F)(F)F ZINC000402778840 229265320 /nfs/dbraw/zinc/26/53/20/229265320.db2.gz OJJKGZDKZOXZPU-SSDOTTSWSA-N 0 3 236.237 2.661 20 0 BFADHN CCCC[C@H](CC)CNCc1ncnn1CC ZINC000232998668 487680770 /nfs/dbraw/zinc/68/07/70/487680770.db2.gz CEWVODGEBJOOIU-LBPRGKRZSA-N 0 3 238.379 2.604 20 0 BFADHN CC[C@@H](C)N1CCC[C@@](OC)(C(F)(F)F)C1 ZINC000669693511 487682992 /nfs/dbraw/zinc/68/29/92/487682992.db2.gz YHMQJDFCNCWICD-ZJUUUORDSA-N 0 3 239.281 2.828 20 0 BFADHN Cl/C=C\CN[C@@H]1CC[C@@H]2SCCS[C@@H]12 ZINC000898432317 584134997 /nfs/dbraw/zinc/13/49/97/584134997.db2.gz FCDCPXIELDGBOT-KYSSZFIJSA-N 0 3 249.832 2.708 20 0 BFADHN Clc1ccc(CN[C@@H]2CCOC2)cc1Cl ZINC000087783034 487684748 /nfs/dbraw/zinc/68/47/48/487684748.db2.gz DHTQEQQSGCLLFO-SECBINFHSA-N 0 3 246.137 2.872 20 0 BFADHN CC(C)CO[C@H]1CCN([C@@H](C)c2ccncc2)C1 ZINC000675250058 487684462 /nfs/dbraw/zinc/68/44/62/487684462.db2.gz DWEMGEDUNHLJME-ZFWWWQNUSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@@H]1CC[C@]2(CCN(Cc3cccnc3N)C2)C1 ZINC000336275957 487693726 /nfs/dbraw/zinc/69/37/26/487693726.db2.gz BRUMHDXDMNYEFA-DOMZBBRYSA-N 0 3 245.370 2.676 20 0 BFADHN C[C@H]1CCC[C@H](NCc2ccon2)CC1 ZINC000088052623 487696185 /nfs/dbraw/zinc/69/61/85/487696185.db2.gz QHGOVJBTJOYYJZ-QWRGUYRKSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@H](NCCc1ccc(CO)cc1)c1ccoc1 ZINC000678929494 487695909 /nfs/dbraw/zinc/69/59/09/487695909.db2.gz NMBKNPFKXHNQMI-LBPRGKRZSA-N 0 3 245.322 2.665 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](CO)CC2CC2)oc1C ZINC000669701537 487697583 /nfs/dbraw/zinc/69/75/83/487697583.db2.gz BOOUZQPSJIFFTP-GXFFZTMASA-N 0 3 237.343 2.708 20 0 BFADHN CC[C@H](O)CCCNCc1cccc(C)c1F ZINC000398596907 262763955 /nfs/dbraw/zinc/76/39/55/262763955.db2.gz JHKIDIBKMZPKJV-ZDUSSCGKSA-N 0 3 239.334 2.775 20 0 BFADHN COc1ccc(CNC2CC(C)(C)C2)c(OC)c1 ZINC000395306591 262786156 /nfs/dbraw/zinc/78/61/56/262786156.db2.gz VDESTONYDZJMFL-UHFFFAOYSA-N 0 3 249.354 2.982 20 0 BFADHN CCCN(C[C@@H]1CCCCO1)CC1(F)CC1 ZINC000526971198 262799961 /nfs/dbraw/zinc/79/99/61/262799961.db2.gz VWNZMOXDOWZVFA-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN CC1(C)C[C@H](N[C@H]2CCc3c2cccc3F)CO1 ZINC000396509574 262804710 /nfs/dbraw/zinc/80/47/10/262804710.db2.gz AOXQMKWIRRBDTG-HZMBPMFUSA-N 0 3 249.329 2.970 20 0 BFADHN CC1(C)C[C@@H](NC/C=C/c2ccccc2)CO1 ZINC000396578730 262806573 /nfs/dbraw/zinc/80/65/73/262806573.db2.gz GVFZCMAKJILOIO-VIIAAUOXSA-N 0 3 231.339 2.857 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1COC(C)(C)C1 ZINC000396898892 262825738 /nfs/dbraw/zinc/82/57/38/262825738.db2.gz VIVXOAMXJJCRDH-VXGBXAGGSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2COC(C)(C)C2)o1 ZINC000396908595 262826051 /nfs/dbraw/zinc/82/60/51/262826051.db2.gz LJPXTHNOQXFNFN-WDEREUQCSA-N 0 3 223.316 2.806 20 0 BFADHN FC1(CN2CC[C@H](COc3ccccc3)C2)CC1 ZINC000527233992 262835041 /nfs/dbraw/zinc/83/50/41/262835041.db2.gz SNEYMLZWTDPVDG-ZDUSSCGKSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1ccc(CN[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)c(C)n1 ZINC000528758676 262850735 /nfs/dbraw/zinc/85/07/35/262850735.db2.gz LAKXUNOYRASOIB-NHULGOKLSA-N 0 3 248.370 2.600 20 0 BFADHN C[C@@H](N[C@H](CCO)c1ccco1)[C@@H]1C[C@H]1C1CC1 ZINC000527694371 262878928 /nfs/dbraw/zinc/87/89/28/262878928.db2.gz ZEJFDURBEFDIAK-VZZFWQQMSA-N 0 3 249.354 2.727 20 0 BFADHN Cc1nc(CNCC[C@@H]2CC=CCC2)[nH]c1C ZINC000527791260 262882231 /nfs/dbraw/zinc/88/22/31/262882231.db2.gz SHTOUSZYQQDAAA-CYBMUJFWSA-N 0 3 233.359 2.863 20 0 BFADHN CC(C)O[C@@]1(C)C[C@H]1NCc1cccs1 ZINC000527801196 262883347 /nfs/dbraw/zinc/88/33/47/262883347.db2.gz SCLWGGGUTABYLL-NEPJUHHUSA-N 0 3 225.357 2.794 20 0 BFADHN CCc1cnc(CN[C@@H](C)[C@H]2CC23CC3)s1 ZINC000527868693 262886337 /nfs/dbraw/zinc/88/63/37/262886337.db2.gz SVNKEOWGQYEIJF-GXSJLCMTSA-N 0 3 236.384 2.984 20 0 BFADHN C[C@H](N[C@@H](c1nccn1C)C1CC1)[C@@H]1CC12CC2 ZINC000527896894 262892713 /nfs/dbraw/zinc/89/27/13/262892713.db2.gz MGRLINWVLKLDMT-WCFLWFBJSA-N 0 3 245.370 2.649 20 0 BFADHN CCc1nc(CN[C@@H]2C[C@H]3C[C@H]3C2)cs1 ZINC000397620790 262927810 /nfs/dbraw/zinc/92/78/10/262927810.db2.gz HEFDYAOXTLMSSD-ILWJIGKKSA-N 0 3 222.357 2.594 20 0 BFADHN Cc1cc(CNC[C@H]2CC[C@@H](C)O2)ccc1F ZINC000398030536 262942088 /nfs/dbraw/zinc/94/20/88/262942088.db2.gz JNGRFWGUBCWDOX-DGCLKSJQSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@H](C)CC[C@@H]2C)o1 ZINC000398053012 262942459 /nfs/dbraw/zinc/94/24/59/262942459.db2.gz GMOKEYDGFAEWAZ-GBIKHYSHSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1ccc(F)cc1F ZINC000220642579 490072362 /nfs/dbraw/zinc/07/23/62/490072362.db2.gz NWCZJRCECNRBSB-PELKAZGASA-N 0 3 243.322 2.948 20 0 BFADHN COc1ccc(CN2CCC3(CCC3)CC2)cn1 ZINC000671728811 487711282 /nfs/dbraw/zinc/71/12/82/487711282.db2.gz PCSWUDDUBQCEHD-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN Cc1ncc(CN2CCC3(CCC3)CC2)cn1 ZINC000671728442 487711523 /nfs/dbraw/zinc/71/15/23/487711523.db2.gz NIXFVTAJMAAZEN-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1ncccc1C(F)(F)F ZINC000671730852 487711978 /nfs/dbraw/zinc/71/19/78/487711978.db2.gz QTVYXZDCUHAEHW-SCZZXKLOSA-N 0 3 244.260 2.989 20 0 BFADHN CC[C@@H]1Cc2ccccc2CN1Cc1cn[nH]c1 ZINC000681084064 487716950 /nfs/dbraw/zinc/71/69/50/487716950.db2.gz KIIONJUXVZGZMW-OAHLLOKOSA-N 0 3 241.338 2.747 20 0 BFADHN C[C@@H](CN(C)Cc1c[nH]cn1)c1ccc(F)cc1 ZINC000668422200 487727039 /nfs/dbraw/zinc/72/70/39/487727039.db2.gz TWFFYGZUIFKCHG-NSHDSACASA-N 0 3 247.317 2.784 20 0 BFADHN C[C@@H](CN(C)Cc1cnc[nH]1)c1ccc(F)cc1 ZINC000668422200 487727042 /nfs/dbraw/zinc/72/70/42/487727042.db2.gz TWFFYGZUIFKCHG-NSHDSACASA-N 0 3 247.317 2.784 20 0 BFADHN C[C@@H](CC1CCC1)N[C@H](CCO)c1ccco1 ZINC000681108629 487728661 /nfs/dbraw/zinc/72/86/61/487728661.db2.gz HYOLZZQNFLCWBR-WCQYABFASA-N 0 3 237.343 2.871 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H]1CCCc2ccc(O)cc21 ZINC000284929003 487732328 /nfs/dbraw/zinc/73/23/28/487732328.db2.gz DKYVPLKZBPJFAW-PGUXBMHVSA-N 0 3 249.354 2.783 20 0 BFADHN C[C@@H]1CCN(CCCC2CCOCC2)C[C@H]1F ZINC000681121770 487733961 /nfs/dbraw/zinc/73/39/61/487733961.db2.gz YSJRVCNMOQQVPH-TZMCWYRMSA-N 0 3 243.366 2.873 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1cccc(F)c1F ZINC000379466500 487734087 /nfs/dbraw/zinc/73/40/87/487734087.db2.gz XYHIOUOIHYZEAA-LDYMZIIASA-N 0 3 243.322 2.948 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H](C)c1ccc(C)nc1C ZINC000681141226 487736605 /nfs/dbraw/zinc/73/66/05/487736605.db2.gz HYBBNKNATOIYNM-BUHQSOCUSA-N 0 3 248.370 2.676 20 0 BFADHN COCCN(Cc1ccc(C)nc1)C1CCCC1 ZINC000680930799 487745170 /nfs/dbraw/zinc/74/51/70/487745170.db2.gz GAQZGJPJPFNYQH-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CCC[C@@H]1CCCC[C@H]1NCc1cnns1 ZINC000649451011 487748801 /nfs/dbraw/zinc/74/88/01/487748801.db2.gz MPFHHBBEUGEABR-ZYHUDNBSSA-N 0 3 239.388 2.987 20 0 BFADHN Cc1ccnc(CN([C@@H](C)CO)C2CCCC2)c1 ZINC000672554660 487755661 /nfs/dbraw/zinc/75/56/61/487755661.db2.gz AKWMGHCELHZTQM-ZDUSSCGKSA-N 0 3 248.370 2.515 20 0 BFADHN FC(F)O[C@H]1CCCN(CC2=CCCC2)C1 ZINC000645851416 487758411 /nfs/dbraw/zinc/75/84/11/487758411.db2.gz MMTKBUPYNHEVQV-NSHDSACASA-N 0 3 231.286 2.800 20 0 BFADHN COc1c(C)cnc(CN[C@H]2CCC2(C)C)c1C ZINC000385141927 487765706 /nfs/dbraw/zinc/76/57/06/487765706.db2.gz WXQMXAGSYRDSLB-ZDUSSCGKSA-N 0 3 248.370 2.985 20 0 BFADHN Cc1cccn2c(CN(C)C3CCC3)cnc12 ZINC000093475852 487768643 /nfs/dbraw/zinc/76/86/43/487768643.db2.gz ZHBBPTOMPJCFSV-UHFFFAOYSA-N 0 3 229.327 2.627 20 0 BFADHN COCC1(NCc2ccccc2C2CC2)CC1 ZINC000675763529 487775449 /nfs/dbraw/zinc/77/54/49/487775449.db2.gz PWFBJLFMOBWBIZ-UHFFFAOYSA-N 0 3 231.339 2.833 20 0 BFADHN C[C@H](Cc1cnccn1)N[C@@H](C)c1ccsc1 ZINC000675785740 487775945 /nfs/dbraw/zinc/77/59/45/487775945.db2.gz XRYVFPQIIAYPCC-MNOVXSKESA-N 0 3 247.367 2.820 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H]2CCCN(C)C2)o1 ZINC000675765309 487776227 /nfs/dbraw/zinc/77/62/27/487776227.db2.gz BSPLKQCMOLMMDD-NEPJUHHUSA-N 0 3 236.359 2.587 20 0 BFADHN Cc1nc(C)c(CN(C)CCCF)s1 ZINC000887138950 585447192 /nfs/dbraw/zinc/44/71/92/585447192.db2.gz SJXZOVKLZJUEJZ-UHFFFAOYSA-N 0 3 216.325 2.551 20 0 BFADHN Cc1occc1CN1CCC[C@H](c2cc[nH]n2)C1 ZINC000666296899 487780596 /nfs/dbraw/zinc/78/05/96/487780596.db2.gz FKOMGOIXRHCSHK-ZDUSSCGKSA-N 0 3 245.326 2.691 20 0 BFADHN CC[C@H](Cc1cccs1)NCc1nccn1C ZINC000675811894 487781261 /nfs/dbraw/zinc/78/12/61/487781261.db2.gz BMZRZSGLKWYLMP-LLVKDONJSA-N 0 3 249.383 2.593 20 0 BFADHN CCCN(CCF)CCc1cccs1 ZINC000766939507 585512068 /nfs/dbraw/zinc/51/20/68/585512068.db2.gz PGEMBBAYOMLRKH-UHFFFAOYSA-N 0 3 215.337 2.972 20 0 BFADHN CC(C)(C)[C@@H]1CCN(Cc2cnc3n2CCC3)C1 ZINC000667972697 487787613 /nfs/dbraw/zinc/78/76/13/487787613.db2.gz YCIWHCHOMRZDGL-GFCCVEGCSA-N 0 3 247.386 2.697 20 0 BFADHN Cc1ccc(CN[C@H]2CC[C@@H]2C2CC2)nc1C ZINC000459683244 487788498 /nfs/dbraw/zinc/78/84/98/487788498.db2.gz ZODKYHGNGSVXDD-CABCVRRESA-N 0 3 230.355 2.977 20 0 BFADHN Cc1cc(CN[C@H](C)c2cccc(C)c2)n[nH]1 ZINC000675893769 487788631 /nfs/dbraw/zinc/78/86/31/487788631.db2.gz VAAVLPGNKCQDMY-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN CO[C@H]1CCCN(Cc2ccoc2C)CC1 ZINC000666356118 487793287 /nfs/dbraw/zinc/79/32/87/487793287.db2.gz MVZRIKAGBLEIIC-ZDUSSCGKSA-N 0 3 223.316 2.589 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1N[C@@H](C)c1ccn(C)n1 ZINC000459745136 487793345 /nfs/dbraw/zinc/79/33/45/487793345.db2.gz IERKTKXFVCVDDF-GMXVVIOVSA-N 0 3 221.348 2.505 20 0 BFADHN Cc1occc1CN1CCC([C@H]2CCOC2)CC1 ZINC000666356148 487793401 /nfs/dbraw/zinc/79/34/01/487793401.db2.gz VKUPTPLFHQSZQY-HNNXBMFYSA-N 0 3 249.354 2.837 20 0 BFADHN CCCN1CC[C@@H](N[C@@H]2CCCc3occc32)C1 ZINC000385679431 487795834 /nfs/dbraw/zinc/79/58/34/487795834.db2.gz CJYHSAVOWXWMPG-TZMCWYRMSA-N 0 3 248.370 2.731 20 0 BFADHN COCCC1CN(Cc2cccc3[nH]ccc32)C1 ZINC000668471927 487795920 /nfs/dbraw/zinc/79/59/20/487795920.db2.gz AKNQZYKVNNUAJH-UHFFFAOYSA-N 0 3 244.338 2.636 20 0 BFADHN COCCC1CN(Cc2ccc(C)s2)C1 ZINC000668471916 487795955 /nfs/dbraw/zinc/79/59/55/487795955.db2.gz AAWIDBPACMOYEE-UHFFFAOYSA-N 0 3 225.357 2.525 20 0 BFADHN FCCCN[C@@H](Cn1cccn1)c1ccccc1 ZINC000675965664 487796131 /nfs/dbraw/zinc/79/61/31/487796131.db2.gz LYUZRPFTAWEBPK-AWEZNQCLSA-N 0 3 247.317 2.574 20 0 BFADHN C[C@H](NC1CCC(C(F)F)CC1)c1ncc[nH]1 ZINC000414179945 487797427 /nfs/dbraw/zinc/79/74/27/487797427.db2.gz LAENYZUSAMWFCK-IDKOKCKLSA-N 0 3 243.301 2.884 20 0 BFADHN c1cc2c(c(CN3CCSCC3)c1)CCC2 ZINC000651611997 487798315 /nfs/dbraw/zinc/79/83/15/487798315.db2.gz VNUYVAQWNAUIJP-UHFFFAOYSA-N 0 3 233.380 2.724 20 0 BFADHN CCc1ccc(CN2CC(CCOC)C2)cc1 ZINC000668473764 487799447 /nfs/dbraw/zinc/79/94/47/487799447.db2.gz AUSMCSFVCOKLKY-UHFFFAOYSA-N 0 3 233.355 2.717 20 0 BFADHN COCCC1CN(Cc2cccc3cc[nH]c32)C1 ZINC000668472568 487798999 /nfs/dbraw/zinc/79/89/99/487798999.db2.gz LVLNOWIWRHZDOP-UHFFFAOYSA-N 0 3 244.338 2.636 20 0 BFADHN COCCC1CN(Cc2ccc(C)cc2C)C1 ZINC000668473754 487799526 /nfs/dbraw/zinc/79/95/26/487799526.db2.gz AOCKUCAVNBWOJP-UHFFFAOYSA-N 0 3 233.355 2.772 20 0 BFADHN c1coc([C@H]2CCCN2[C@@H]2CCCOC2)c1 ZINC000674302932 487800908 /nfs/dbraw/zinc/80/09/08/487800908.db2.gz RNUGJNXBHWRIEN-VXGBXAGGSA-N 0 3 221.300 2.596 20 0 BFADHN CCCCOCCN(C)CCOC(C)(C)C ZINC000676043641 487804840 /nfs/dbraw/zinc/80/48/40/487804840.db2.gz QIJKYKOBYAAYRG-UHFFFAOYSA-N 0 3 231.380 2.550 20 0 BFADHN C[C@@H]1COCCCN1Cc1cccc2c1CCC2 ZINC000651642975 487809658 /nfs/dbraw/zinc/80/96/58/487809658.db2.gz MOOYHPIPSQDPNQ-CYBMUJFWSA-N 0 3 245.366 2.786 20 0 BFADHN COc1cccc(CN[C@H](C)[C@@H]2CC2(F)F)c1 ZINC000666444086 487809607 /nfs/dbraw/zinc/80/96/07/487809607.db2.gz BNMZJDZBUDZFSX-SKDRFNHKSA-N 0 3 241.281 2.829 20 0 BFADHN Fc1cnccc1CNC1CCCCC1 ZINC000083094044 487809961 /nfs/dbraw/zinc/80/99/61/487809961.db2.gz PGIYPMMDYYKUKF-UHFFFAOYSA-N 0 3 208.280 2.643 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C3CCC3)C2)cn1 ZINC000666487684 487819335 /nfs/dbraw/zinc/81/93/35/487819335.db2.gz GGSAQFDOTNWDJH-CQSZACIVSA-N 0 3 245.370 2.661 20 0 BFADHN CC[C@H](C)[C@@](C)(O)CN[C@H](C)c1cncs1 ZINC000252712744 322943493 /nfs/dbraw/zinc/94/34/93/322943493.db2.gz NFVSSQLFMWJRMU-UMNHJUIQSA-N 0 3 242.388 2.591 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1ccoc1 ZINC000224191586 487825718 /nfs/dbraw/zinc/82/57/18/487825718.db2.gz HXKFGWILPLQZJX-CYZMBNFOSA-N 0 3 223.316 2.888 20 0 BFADHN Cc1ncc([C@H](C)N2CCC(C)CC2)c(C)n1 ZINC000681640698 487829416 /nfs/dbraw/zinc/82/94/16/487829416.db2.gz CWOYTLHHJVNJNB-LBPRGKRZSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H]1CN([C@H]2CCc3ccccc32)CCO1 ZINC000681657381 487831273 /nfs/dbraw/zinc/83/12/73/487831273.db2.gz DBCNEEYAOYFKNB-HIFRSBDPSA-N 0 3 231.339 2.785 20 0 BFADHN C[C@@H]1CN(CC[C@@H]2CC2(Cl)Cl)[C@H]1C ZINC000823189412 587207444 /nfs/dbraw/zinc/20/74/44/587207444.db2.gz BDRXPGFWRZHLMS-HRDYMLBCSA-N 0 3 222.159 2.911 20 0 BFADHN CSCCCN(C)CCC(=O)CC(C)C ZINC000828462175 587443671 /nfs/dbraw/zinc/44/36/71/587443671.db2.gz MPLBCRIFBNEWFM-UHFFFAOYSA-N 0 3 231.405 2.677 20 0 BFADHN COCc1csc(CN2[C@H](C)C[C@@H]2C)c1 ZINC000668091037 487834536 /nfs/dbraw/zinc/83/45/36/487834536.db2.gz YMASXTLKOTVEFD-AOOOYVTPSA-N 0 3 225.357 2.877 20 0 BFADHN Cc1ccc(CN[C@H]2COCC2(C)C)cc1 ZINC000313071698 322947376 /nfs/dbraw/zinc/94/73/76/322947376.db2.gz FLSKLVCNUBPJMN-ZDUSSCGKSA-N 0 3 219.328 2.510 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@@]2(C)CC)n1 ZINC000651830543 487838708 /nfs/dbraw/zinc/83/87/08/487838708.db2.gz XGDOVEYJLNHXRN-ZIAGYGMSSA-N 0 3 235.375 2.961 20 0 BFADHN CCc1cccc2cc(CN(C)CCCO)oc21 ZINC000668103434 487838951 /nfs/dbraw/zinc/83/89/51/487838951.db2.gz KZQVPDPHRAUYKX-UHFFFAOYSA-N 0 3 247.338 2.809 20 0 BFADHN CC(C)(C)n1cc(CNC2CC(C)(C)C2)cn1 ZINC000395175080 487841298 /nfs/dbraw/zinc/84/12/98/487841298.db2.gz JSDKCSNJWSBJAT-UHFFFAOYSA-N 0 3 235.375 2.916 20 0 BFADHN C(c1noc2c1CCCC2)N1CC[C@@H]2CCC[C@@H]21 ZINC000681709217 487845749 /nfs/dbraw/zinc/84/57/49/487845749.db2.gz DZHMXENHJQKXAC-FZMZJTMJSA-N 0 3 246.354 2.928 20 0 BFADHN COC[C@@H](C)N1CCC(CC(F)(F)F)CC1 ZINC000341981542 487846918 /nfs/dbraw/zinc/84/69/18/487846918.db2.gz CHCOJABGSCIQAN-SECBINFHSA-N 0 3 239.281 2.686 20 0 BFADHN CCOc1ccc(CN2CC[C@H]3CCC[C@H]32)nc1 ZINC000681710514 487846512 /nfs/dbraw/zinc/84/65/12/487846512.db2.gz TUHQZKYROWXEAF-IUODEOHRSA-N 0 3 246.354 2.855 20 0 BFADHN CCSCCN[C@@H]1CCCC[C@@H]1F ZINC000877682977 590390797 /nfs/dbraw/zinc/39/07/97/590390797.db2.gz WFTIIJLCHBXBFN-VHSXEESVSA-N 0 3 205.342 2.610 20 0 BFADHN CCCn1nccc1CNC1CC(C)(C)C1 ZINC000395277417 487847541 /nfs/dbraw/zinc/84/75/41/487847541.db2.gz YKPXEPUMUPTRCO-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN CCCN(CC)Cc1nn(C)c2ccccc12 ZINC000676414505 487850051 /nfs/dbraw/zinc/85/00/51/487850051.db2.gz UAEUHGIQMISQAB-UHFFFAOYSA-N 0 3 231.343 2.805 20 0 BFADHN C[C@H](CN(C)CC(=O)NC(C)(C)C)C(C)(C)C ZINC000670261738 487855684 /nfs/dbraw/zinc/85/56/84/487855684.db2.gz HPYIXNIWUUZEHZ-LLVKDONJSA-N 0 3 242.407 2.515 20 0 BFADHN CC[C@H]1CN(C[C@@H](C)C(C)(C)C)CCO1 ZINC000670262105 487858030 /nfs/dbraw/zinc/85/80/30/487858030.db2.gz QSNRFLZZPISENR-NEPJUHHUSA-N 0 3 213.365 2.779 20 0 BFADHN C[C@@H](CN1CCN(CC(F)F)CC1)C(C)(C)C ZINC000670262932 487860996 /nfs/dbraw/zinc/86/09/96/487860996.db2.gz JSXVSYYXQRIELJ-NSHDSACASA-N 0 3 248.361 2.551 20 0 BFADHN CSc1cc(C)ccc1CN[C@H](C)[C@@H](C)O ZINC000566112344 322957595 /nfs/dbraw/zinc/95/75/95/322957595.db2.gz MYNKOWYSBAWGMZ-GHMZBOCLSA-N 0 3 239.384 2.576 20 0 BFADHN CSCC(C)(C)NCc1cc(C)ccn1 ZINC000934668358 641240657 /nfs/dbraw/zinc/24/06/57/641240657.db2.gz UJRXKLLOOLZMJF-UHFFFAOYSA-N 0 3 224.373 2.621 20 0 BFADHN CSCC(C)(C)NCc1nc(C)c(C)s1 ZINC000934671542 641241243 /nfs/dbraw/zinc/24/12/43/641241243.db2.gz YZUVIKCAJNHCQV-UHFFFAOYSA-N 0 3 244.429 2.991 20 0 BFADHN COC[C@H](NC[C@@H]1C[C@@H]1C)c1cccc(OC)c1 ZINC000668512992 487874417 /nfs/dbraw/zinc/87/44/17/487874417.db2.gz VPGDSMARSFTIKO-WHOFXGATSA-N 0 3 249.354 2.628 20 0 BFADHN COc1ccc(CN(C)CCCCCF)cn1 ZINC000671242322 487875744 /nfs/dbraw/zinc/87/57/44/487875744.db2.gz BUPUTYLMKLPADS-UHFFFAOYSA-N 0 3 240.322 2.662 20 0 BFADHN COCc1csc(CN2CC[C@H]2C2CC2)c1 ZINC000668208493 487876636 /nfs/dbraw/zinc/87/66/36/487876636.db2.gz BEUXQEUWKFNCGV-ZDUSSCGKSA-N 0 3 237.368 2.879 20 0 BFADHN FCCCCCN1CCC[C@H](OC(F)F)C1 ZINC000671243040 487877746 /nfs/dbraw/zinc/87/77/46/487877746.db2.gz KHZRKGVXMBXYMT-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN COc1ccccc1C1(NCC(C)C)CC1 ZINC000645999240 487880674 /nfs/dbraw/zinc/88/06/74/487880674.db2.gz JKFOOIMFCDDJBP-UHFFFAOYSA-N 0 3 219.328 2.930 20 0 BFADHN OC1(CN2CC[C@@H]2c2cccc(F)c2)CCCC1 ZINC000676627239 487881117 /nfs/dbraw/zinc/88/11/17/487881117.db2.gz RFAAYRWSDSJVAQ-CQSZACIVSA-N 0 3 249.329 2.878 20 0 BFADHN CC(C)CCO[C@@H]1CCN(CCOC(C)C)C1 ZINC000083247381 487885477 /nfs/dbraw/zinc/88/54/77/487885477.db2.gz LMCIFXFBMYOUBX-CQSZACIVSA-N 0 3 243.391 2.548 20 0 BFADHN C[C@H](CN1CC(C)C1)c1ccc(F)cc1 ZINC000670270629 487886675 /nfs/dbraw/zinc/88/66/75/487886675.db2.gz DEJPEDUAOTWGGC-LLVKDONJSA-N 0 3 207.292 2.881 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1cnn(C)c1 ZINC000652241697 487888235 /nfs/dbraw/zinc/88/82/35/487888235.db2.gz WPZLURPEZMPXNH-GMXVVIOVSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ncc([C@@H](C)N(C)CC(C)C)c(C)n1 ZINC000682068464 487889845 /nfs/dbraw/zinc/88/98/45/487889845.db2.gz AHAUSHRWCQUYON-LLVKDONJSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1ncc([C@H](C)N2CC[C@H](C3CC3)C2)c(C)n1 ZINC000682071739 487893223 /nfs/dbraw/zinc/89/32/23/487893223.db2.gz ITFKBYCVEZUQPG-FZMZJTMJSA-N 0 3 245.370 2.886 20 0 BFADHN Cc1cccn2cc(CN3C[C@H](C)[C@H](C)C3)nc12 ZINC000674492794 487896718 /nfs/dbraw/zinc/89/67/18/487896718.db2.gz ALZMPGBVSCXYSA-BETUJISGSA-N 0 3 243.354 2.731 20 0 BFADHN CCCC[C@H](CC)CCN1CC[C@](F)(CO)C1 ZINC000670273501 487897221 /nfs/dbraw/zinc/89/72/21/487897221.db2.gz CCZYHFNZDDAFRS-UONOGXRCSA-N 0 3 245.382 2.999 20 0 BFADHN COc1cncc(CN2CCC3(CCC3)CC2)c1 ZINC000676707422 487897322 /nfs/dbraw/zinc/89/73/22/487897322.db2.gz HPJKATXNDACDJJ-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN Cc1ccc(CN2CCCOC[C@@H]2C)cc1 ZINC000676712342 487900370 /nfs/dbraw/zinc/90/03/70/487900370.db2.gz KPRYYGWMJXLVFP-ZDUSSCGKSA-N 0 3 219.328 2.606 20 0 BFADHN C[C@H](c1cccs1)N(C)CCc1cnccn1 ZINC000682077328 487901896 /nfs/dbraw/zinc/90/18/96/487901896.db2.gz PKOWXUPZFDVDBD-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN CCc1ccccc1CN(CCCO)C1CC1 ZINC000682083100 487906109 /nfs/dbraw/zinc/90/61/09/487906109.db2.gz FCPVJHNNZQIACE-UHFFFAOYSA-N 0 3 233.355 2.596 20 0 BFADHN CC(C)OCCCNCc1ccncc1Cl ZINC000083371089 487909813 /nfs/dbraw/zinc/90/98/13/487909813.db2.gz PZBKNXDRGCZZPH-UHFFFAOYSA-N 0 3 242.750 2.640 20 0 BFADHN C[C@@H](c1ccncc1)N1CC[C@]2(C1)CCCOC2 ZINC000671254355 487911253 /nfs/dbraw/zinc/91/12/53/487911253.db2.gz FFSJVGNOSNKINA-ZFWWWQNUSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@]2(C1)CCCOC2 ZINC000671254353 487911545 /nfs/dbraw/zinc/91/15/45/487911545.db2.gz FFSJVGNOSNKINA-HIFRSBDPSA-N 0 3 246.354 2.645 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2ncc[nH]2)CC1 ZINC000083435887 487912909 /nfs/dbraw/zinc/91/29/09/487912909.db2.gz MZXYXXFEIRPFNB-RYUDHWBXSA-N 0 3 221.348 2.858 20 0 BFADHN COC[C@@H](C)CN(Cc1ccc(C)o1)C1CC1 ZINC000682150735 487913921 /nfs/dbraw/zinc/91/39/21/487913921.db2.gz KVHYPAZRTRDSMK-NSHDSACASA-N 0 3 237.343 2.835 20 0 BFADHN COC[C@@H](C)CN1CC[C@H]1c1cccc(F)c1 ZINC000682155822 487917599 /nfs/dbraw/zinc/91/75/99/487917599.db2.gz CYLGNYSQSLPOEK-FZMZJTMJSA-N 0 3 237.318 2.855 20 0 BFADHN CC(C)CC[C@H](NCc1cocn1)C1CC1 ZINC000309398124 487919151 /nfs/dbraw/zinc/91/91/51/487919151.db2.gz VRNSRJJRHMYZKO-ZDUSSCGKSA-N 0 3 222.332 2.979 20 0 BFADHN CC[C@H](C)NCc1nc2c(s1)CCCC2 ZINC000049819827 487921919 /nfs/dbraw/zinc/92/19/19/487921919.db2.gz GAQHBRWNZWCSHS-VIFPVBQESA-N 0 3 224.373 2.910 20 0 BFADHN CC(C)O[C@@H]1CCCN(Cc2ccc(O)cc2)C1 ZINC000404572056 487927403 /nfs/dbraw/zinc/92/74/03/487927403.db2.gz IJORUCFZPVYMFE-OAHLLOKOSA-N 0 3 249.354 2.782 20 0 BFADHN Cc1ccc(F)cc1CN[C@H]1COC(C)(C)C1 ZINC000396478852 487935181 /nfs/dbraw/zinc/93/51/81/487935181.db2.gz AGMHJKYAAABRQU-CYBMUJFWSA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)OCC(C)(C)NCc1ccc(O)cc1 ZINC000396489586 487936246 /nfs/dbraw/zinc/93/62/46/487936246.db2.gz QHAYSTJVZTWITQ-UHFFFAOYSA-N 0 3 237.343 2.685 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CS[C@H](C)C2)s1 ZINC000666207443 487940000 /nfs/dbraw/zinc/94/00/00/487940000.db2.gz KFKFTMMPYKDOII-KHQFGBGNSA-N 0 3 242.413 2.996 20 0 BFADHN Cc1ccc(C)c(CN[C@@H]2COC(C)(C)C2)c1 ZINC000396530347 487940165 /nfs/dbraw/zinc/94/01/65/487940165.db2.gz IUNLYVBHZONDQY-AWEZNQCLSA-N 0 3 233.355 2.961 20 0 BFADHN CN(CCOC(C)(C)C)Cc1ccc(O)cc1 ZINC000682405735 487944872 /nfs/dbraw/zinc/94/48/72/487944872.db2.gz ZXBFHNSJCHDZIR-UHFFFAOYSA-N 0 3 237.343 2.639 20 0 BFADHN C[C@@H]1CCN(Cc2c[nH]cn2)[C@@H]2CCCC[C@@H]12 ZINC000684617694 487946332 /nfs/dbraw/zinc/94/63/32/487946332.db2.gz WBMPXOWRTTWLIB-KWCYVHTRSA-N 0 3 233.359 2.810 20 0 BFADHN C[C@@H]1CCN(Cc2cnc[nH]2)[C@@H]2CCCC[C@@H]12 ZINC000684617694 487946335 /nfs/dbraw/zinc/94/63/35/487946335.db2.gz WBMPXOWRTTWLIB-KWCYVHTRSA-N 0 3 233.359 2.810 20 0 BFADHN c1ccc2c(c1)CC[C@H]2N[C@H]1CO[C@H](C2CC2)C1 ZINC000668567835 487948063 /nfs/dbraw/zinc/94/80/63/487948063.db2.gz LXBAFVGPQWRGDD-BMFZPTHFSA-N 0 3 243.350 2.831 20 0 BFADHN CC1(C)CCC[C@H](CNCc2cnccn2)C1 ZINC000573067278 322948682 /nfs/dbraw/zinc/94/86/82/322948682.db2.gz CHAMMPVCAZMDMT-LBPRGKRZSA-N 0 3 233.359 2.783 20 0 BFADHN CCO[C@@H]1C[C@H]1NC/C(C)=C/c1ccccc1 ZINC000559817027 322980022 /nfs/dbraw/zinc/98/00/22/322980022.db2.gz OBWGOWQFRIBUAE-PRYPJVTBSA-N 0 3 231.339 2.857 20 0 BFADHN CCC[C@H](N[C@@H](C)c1nccc(N)n1)C1CCC1 ZINC000566429290 322994570 /nfs/dbraw/zinc/99/45/70/322994570.db2.gz SDIQHGAAAUTYCH-JQWIXIFHSA-N 0 3 248.374 2.509 20 0 BFADHN OCc1ccc(CN[C@@H]2CC[C@H]3CCC[C@@H]3C2)o1 ZINC000525181909 323001214 /nfs/dbraw/zinc/00/12/14/323001214.db2.gz FAXJKDHWCNDRKN-JHJVBQTASA-N 0 3 249.354 2.830 20 0 BFADHN Cc1ncc(CNC(C)(C)c2cccs2)n1C ZINC000566498652 323003350 /nfs/dbraw/zinc/00/33/50/323003350.db2.gz FISWJSZRZHEKSJ-UHFFFAOYSA-N 0 3 249.383 2.815 20 0 BFADHN CCCC[C@H](CC)CNC(=O)[C@H](CC)N(C)C ZINC000126372161 323003484 /nfs/dbraw/zinc/00/34/84/323003484.db2.gz KGQZMLJYORFXRH-STQMWFEESA-N 0 3 242.407 2.659 20 0 BFADHN COC[C@@H](NC1CC2(CCC2)C1)c1ccco1 ZINC000448058137 323004821 /nfs/dbraw/zinc/00/48/21/323004821.db2.gz JNCZSHDDJHJLIY-GFCCVEGCSA-N 0 3 235.327 2.889 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H]1CCCNc2ccccc21 ZINC000561959362 323009283 /nfs/dbraw/zinc/00/92/83/323009283.db2.gz BQWZAEVSZVTQDX-RRFJBIMHSA-N 0 3 246.354 2.700 20 0 BFADHN C[C@@H](O)CC(C)(C)CN[C@@H](C)c1ccoc1 ZINC000130222122 323010492 /nfs/dbraw/zinc/01/04/92/323010492.db2.gz RLZQBKAZVINKAL-MNOVXSKESA-N 0 3 225.332 2.727 20 0 BFADHN C[C@H](O)CC(C)(C)CN[C@@H](C)c1ccoc1 ZINC000130221890 323010509 /nfs/dbraw/zinc/01/05/09/323010509.db2.gz RLZQBKAZVINKAL-QWRGUYRKSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1CC1Cc2ccccc2C1 ZINC000562200032 323013259 /nfs/dbraw/zinc/01/32/59/323013259.db2.gz BIEFIIANVXJTGV-OLZOCXBDSA-N 0 3 245.366 2.511 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCO[C@@](C)(c2ccccc2)C1 ZINC000562330049 323016290 /nfs/dbraw/zinc/01/62/90/323016290.db2.gz SXYBXQJTLNAICR-IJEWVQPXSA-N 0 3 245.366 2.890 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCO[C@](C)(c2ccccc2)C1 ZINC000562330047 323016331 /nfs/dbraw/zinc/01/63/31/323016331.db2.gz SXYBXQJTLNAICR-FMKPAKJESA-N 0 3 245.366 2.890 20 0 BFADHN CCOC(=O)CCN(C)Cc1ccccc1CC ZINC000562479895 323021238 /nfs/dbraw/zinc/02/12/38/323021238.db2.gz AHOLLKJGEAHBHO-UHFFFAOYSA-N 0 3 249.354 2.634 20 0 BFADHN C[C@@H](NCc1ccno1)[C@@H]1CCCC[C@H]1C ZINC000562543124 323023564 /nfs/dbraw/zinc/02/35/64/323023564.db2.gz KVOXTDMOAIEJOI-NQBHXWOUSA-N 0 3 222.332 2.979 20 0 BFADHN COCC1(C)CCN(Cc2ccncc2C)CC1 ZINC000562654377 323026245 /nfs/dbraw/zinc/02/62/45/323026245.db2.gz DZYQNDBIWLVZET-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN CC[C@]1(C)CN(CCc2ccccc2)CCO1 ZINC000135619157 323030020 /nfs/dbraw/zinc/03/00/20/323030020.db2.gz IHLHUHJQCHYCFG-OAHLLOKOSA-N 0 3 233.355 2.730 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2ccoc2)nc1 ZINC000562784307 323030577 /nfs/dbraw/zinc/03/05/77/323030577.db2.gz RPUOUYXOMFWZNK-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc2ncccc2c1 ZINC000569659935 323035926 /nfs/dbraw/zinc/03/59/26/323035926.db2.gz VLMDLBAPWXZMPJ-CABCVRRESA-N 0 3 242.322 2.502 20 0 BFADHN FC1(CN2CCC[C@@]3(CCSC3)C2)CC1 ZINC000569794535 323040988 /nfs/dbraw/zinc/04/09/88/323040988.db2.gz GPJWOKXDIHEQRK-LLVKDONJSA-N 0 3 229.364 2.708 20 0 BFADHN COC(=O)c1ccc(CN(C)C(C)(C)C)s1 ZINC000569841093 323042232 /nfs/dbraw/zinc/04/22/32/323042232.db2.gz OUZPHYXNEOIJID-UHFFFAOYSA-N 0 3 241.356 2.765 20 0 BFADHN CC1(CN2CCOC[C@@H]2C2CCC2)CCC1 ZINC000570159594 323051341 /nfs/dbraw/zinc/05/13/41/323051341.db2.gz KAFXPCRZLIPOPT-CYBMUJFWSA-N 0 3 223.360 2.678 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2ccoc2)C1(C)C ZINC000224538947 323052605 /nfs/dbraw/zinc/05/26/05/323052605.db2.gz YYPMWQJDSIJXFL-ZMLRMANQSA-N 0 3 223.316 2.744 20 0 BFADHN CC[C@@H](C(=O)N[C@@H](C)CCC(C)(C)C)N(C)C ZINC000153758440 323053303 /nfs/dbraw/zinc/05/33/03/323053303.db2.gz BYOBQVCXKVIZKE-RYUDHWBXSA-N 0 3 242.407 2.658 20 0 BFADHN COCCC[C@@H](C)N[C@H](C)c1cscn1 ZINC000570583668 323064079 /nfs/dbraw/zinc/06/40/79/323064079.db2.gz KBUKXTUPYHSEOK-NXEZZACHSA-N 0 3 228.361 2.609 20 0 BFADHN C[C@H](NCC1CCC1)c1cn2ccccc2n1 ZINC000570696707 323067704 /nfs/dbraw/zinc/06/77/04/323067704.db2.gz RRKCCJVCNDFUKF-NSHDSACASA-N 0 3 229.327 2.785 20 0 BFADHN CC(C)n1ccc(CN[C@@H]2CCCC23CC3)n1 ZINC000570739400 323069193 /nfs/dbraw/zinc/06/91/93/323069193.db2.gz AQYZEIMYHGNVGH-CYBMUJFWSA-N 0 3 233.359 2.886 20 0 BFADHN CCCCCN(CC(=O)OCC)C1CCCC1 ZINC000531438852 323089778 /nfs/dbraw/zinc/08/97/78/323089778.db2.gz BXZHNMJPMSORAE-UHFFFAOYSA-N 0 3 241.375 2.984 20 0 BFADHN CC(C)n1nccc1CN[C@H]1CCC[C@@H](F)C1 ZINC000563121823 323093628 /nfs/dbraw/zinc/09/36/28/323093628.db2.gz GRBGWSUAOMGZPX-NEPJUHHUSA-N 0 3 239.338 2.834 20 0 BFADHN COc1ccsc1[C@H](C)N[C@@H]1CO[C@H](C)C1 ZINC000563122940 323094029 /nfs/dbraw/zinc/09/40/29/323094029.db2.gz AXQROXVYTFGELZ-UTLUCORTSA-N 0 3 241.356 2.585 20 0 BFADHN C[C@@H]1[C@H](NCc2ccno2)C[C@H]1c1ccccc1 ZINC000567888529 323096601 /nfs/dbraw/zinc/09/66/01/323096601.db2.gz IPOPODGTNLRQEP-NILFDRSVSA-N 0 3 242.322 2.956 20 0 BFADHN CCOC(=O)[C@@H](CC)N1CC[C@@H](C(C)(C)C)C1 ZINC000230141994 323100957 /nfs/dbraw/zinc/10/09/57/323100957.db2.gz UDARWFNYPSDXQX-VXGBXAGGSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)N[C@H](C)CCCC(C)C ZINC000040858790 323111040 /nfs/dbraw/zinc/11/10/40/323111040.db2.gz LCVJGUBELAJMDH-UPJWGTAASA-N 0 3 242.407 2.691 20 0 BFADHN CCCN(C)Cc1cc2c(cc1OC)C[C@H](C)O2 ZINC000534333664 323116202 /nfs/dbraw/zinc/11/62/02/323116202.db2.gz KZWQJIPPTFBFSY-NSHDSACASA-N 0 3 249.354 2.860 20 0 BFADHN Cc1ncc(CN(C2CC2)C2CCCC2)cn1 ZINC000534334150 323117732 /nfs/dbraw/zinc/11/77/32/323117732.db2.gz VARIKMIUTBRFGF-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN O=C1CCN(CC2CCCC2)C2(CCC2)C1 ZINC000563363763 323121082 /nfs/dbraw/zinc/12/10/82/323121082.db2.gz BIVRJLFBMXHUJA-UHFFFAOYSA-N 0 3 221.344 2.764 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(F)c(Cl)c1 ZINC000309959909 323122509 /nfs/dbraw/zinc/12/25/09/323122509.db2.gz KLECCPLVVFELFK-VXGBXAGGSA-N 0 3 243.709 2.746 20 0 BFADHN CCc1ncc(CNCCOC(C)(C)C)s1 ZINC000309963625 323122555 /nfs/dbraw/zinc/12/25/55/323122555.db2.gz MKKGCCDGEHHJNL-UHFFFAOYSA-N 0 3 242.388 2.610 20 0 BFADHN CC[C@@H](C)CN(CC)[C@H](C)C(=O)N(CC)CC ZINC000245896674 323125212 /nfs/dbraw/zinc/12/52/12/323125212.db2.gz SPKCTLGMMMDWHB-CHWSQXEVSA-N 0 3 242.407 2.611 20 0 BFADHN COc1ncccc1CCN[C@@H](C)c1ccoc1 ZINC000571129412 323136203 /nfs/dbraw/zinc/13/62/03/323136203.db2.gz OQILGKHSWSELHW-NSHDSACASA-N 0 3 246.310 2.577 20 0 BFADHN CC(C)C[C@@H](C)Cn1cc2c(n1)[C@H](N)CCC2 ZINC000571396902 323143536 /nfs/dbraw/zinc/14/35/36/323143536.db2.gz QYWMADXDXJBLKV-DGCLKSJQSA-N 0 3 235.375 2.901 20 0 BFADHN CC(C)C[C@H](C)Cn1cc2c(n1)[C@@H](N)CCC2 ZINC000571396901 323143549 /nfs/dbraw/zinc/14/35/49/323143549.db2.gz QYWMADXDXJBLKV-AAEUAGOBSA-N 0 3 235.375 2.901 20 0 BFADHN CCc1cccc(CNCc2cnn(C)c2C)c1 ZINC000571452924 323145127 /nfs/dbraw/zinc/14/51/27/323145127.db2.gz LRLVLXVFOADKMH-UHFFFAOYSA-N 0 3 243.354 2.581 20 0 BFADHN C[C@@H](N(C)Cc1ccc(CO)o1)C(C)(C)C ZINC000161160571 323149451 /nfs/dbraw/zinc/14/94/51/323149451.db2.gz IFWOHRYKFFOUHU-SNVBAGLBSA-N 0 3 225.332 2.638 20 0 BFADHN CCn1cc([C@H](C)NCCc2ccc(C)o2)cn1 ZINC000531940058 323155397 /nfs/dbraw/zinc/15/53/97/323155397.db2.gz UMYFBSHFAFPPNP-LBPRGKRZSA-N 0 3 247.342 2.698 20 0 BFADHN COc1nc2ccccc2cc1CNC1CCC1 ZINC000535008171 323163084 /nfs/dbraw/zinc/16/30/84/323163084.db2.gz BPMSJMLORCSGES-UHFFFAOYSA-N 0 3 242.322 2.886 20 0 BFADHN C[C@H](c1ccc(F)cc1)N(C)CCn1cccn1 ZINC000049983032 323165716 /nfs/dbraw/zinc/16/57/16/323165716.db2.gz ASJHPMDMASSAMB-GFCCVEGCSA-N 0 3 247.317 2.715 20 0 BFADHN CCC[C@H](NCC1(CCO)CC1)c1ccccn1 ZINC000188054471 323169704 /nfs/dbraw/zinc/16/97/04/323169704.db2.gz JTMVSJXWVCXQHZ-ZDUSSCGKSA-N 0 3 248.370 2.675 20 0 BFADHN CN(Cc1cccc(OC(F)F)c1)C1CC1 ZINC000050959939 323173186 /nfs/dbraw/zinc/17/31/86/323173186.db2.gz SJMABRMKONFZAW-UHFFFAOYSA-N 0 3 227.254 2.882 20 0 BFADHN Cc1c(CN(C)CCCC(C)C)cnn1C ZINC000563853357 323180805 /nfs/dbraw/zinc/18/08/05/323180805.db2.gz ROWZEURVQUCGTD-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN CCC1CC(NCc2cccc(C)n2)C1 ZINC000308899283 323181193 /nfs/dbraw/zinc/18/11/93/323181193.db2.gz AZRJPKSDUSSLEN-UHFFFAOYSA-N 0 3 204.317 2.668 20 0 BFADHN CN(Cc1ccc2cc[nH]c2c1)CC(C)(C)CO ZINC000529589519 323184623 /nfs/dbraw/zinc/18/46/23/323184623.db2.gz SYUCBDPNXRMARJ-UHFFFAOYSA-N 0 3 246.354 2.618 20 0 BFADHN CO[C@@H](C)CNCc1ccc(Cl)c(C)c1 ZINC000191144031 323184890 /nfs/dbraw/zinc/18/48/90/323184890.db2.gz SVQVTFPATWJPRE-JTQLQIEISA-N 0 3 227.735 2.773 20 0 BFADHN Cc1cccc(CN[C@H](C)C2(C)CC2)n1 ZINC000051575030 323189924 /nfs/dbraw/zinc/18/99/24/323189924.db2.gz OLUMXHZPBYJZBW-LLVKDONJSA-N 0 3 204.317 2.668 20 0 BFADHN CCc1ccccc1CNCC1(F)CC1 ZINC000309035404 323197325 /nfs/dbraw/zinc/19/73/25/323197325.db2.gz HTTVEWYOBAJBNF-UHFFFAOYSA-N 0 3 207.292 2.841 20 0 BFADHN CCc1cnc(CNC2(C3CC3)CC2)s1 ZINC000309039964 323197696 /nfs/dbraw/zinc/19/76/96/323197696.db2.gz KPNZRTALEFFKOK-UHFFFAOYSA-N 0 3 222.357 2.738 20 0 BFADHN CCc1ccc(CN(C)[C@H](C)COC)s1 ZINC000536650489 323199530 /nfs/dbraw/zinc/19/95/30/323199530.db2.gz FPMXFIKDKUBBEL-SNVBAGLBSA-N 0 3 227.373 2.777 20 0 BFADHN CC[C@H](CN1CCN(C)C[C@H]1C)c1ccccc1 ZINC000536671843 323200466 /nfs/dbraw/zinc/20/04/66/323200466.db2.gz QXIZETNMZXKAMZ-HUUCEWRRSA-N 0 3 246.398 2.816 20 0 BFADHN C/C(=C/c1ccccc1)CN1CCN(C)C[C@@H]1C ZINC000536672074 323200563 /nfs/dbraw/zinc/20/05/63/323200563.db2.gz GSSDUQCOGVQUEE-SZGZABIGSA-N 0 3 244.382 2.726 20 0 BFADHN c1nc(C2CC2)nc2c1CN(C1CCCC1)CC2 ZINC000528740804 323201060 /nfs/dbraw/zinc/20/10/60/323201060.db2.gz SZVJYPXPVJVNQW-UHFFFAOYSA-N 0 3 243.354 2.655 20 0 BFADHN COCCN(C)Cc1cccc(OC(C)C)c1 ZINC000054361606 323204453 /nfs/dbraw/zinc/20/44/53/323204453.db2.gz GBAOGTYBNVQFNY-UHFFFAOYSA-N 0 3 237.343 2.552 20 0 BFADHN Cc1nc(CCN[C@H](C)c2ccncc2)c(C)o1 ZINC000536770175 323204890 /nfs/dbraw/zinc/20/48/90/323204890.db2.gz DIMGOMFFUSFRCS-SNVBAGLBSA-N 0 3 245.326 2.580 20 0 BFADHN CCCOc1cccc(CNC2(COC)CC2)c1 ZINC000532209942 323209918 /nfs/dbraw/zinc/20/99/18/323209918.db2.gz SGEXDEZSIZHLNT-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN COc1ccccc1CN1CCC[C@H](C)C1 ZINC000102667909 323211507 /nfs/dbraw/zinc/21/15/07/323211507.db2.gz OUMVRXIKEMDYCQ-LBPRGKRZSA-N 0 3 219.328 2.927 20 0 BFADHN CCCC1(CN[C@H](C)c2ccncn2)CC1 ZINC000395911508 323262569 /nfs/dbraw/zinc/26/25/69/323262569.db2.gz PBBMBNZOFWFTRN-LLVKDONJSA-N 0 3 219.332 2.708 20 0 BFADHN C[C@H](NC[C@H]1CCCC[C@H]1C)c1ccncn1 ZINC000395917165 323263467 /nfs/dbraw/zinc/26/34/67/323263467.db2.gz RQHFLHFYVGBIJG-FRRDWIJNSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@@H](NCCC(C)(C)C1CC1)c1ccncn1 ZINC000395914167 323263777 /nfs/dbraw/zinc/26/37/77/323263777.db2.gz QMLQHBVGAOESOS-LLVKDONJSA-N 0 3 233.359 2.954 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H](C)c1ccncn1 ZINC000395918459 323264605 /nfs/dbraw/zinc/26/46/05/323264605.db2.gz SIIMYJJNALRBND-DMDPSCGWSA-N 0 3 219.332 2.562 20 0 BFADHN C[C@H](NCC1CCC(C)CC1)c1ccncn1 ZINC000395913468 323266134 /nfs/dbraw/zinc/26/61/34/323266134.db2.gz QDKHDTWWEILYJT-CPCZMJQVSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1ccncn1 ZINC000395922495 323267499 /nfs/dbraw/zinc/26/74/99/323267499.db2.gz UJNYCPRQAUPMNC-VOAKCMCISA-N 0 3 233.359 2.952 20 0 BFADHN Cc1ccc([C@H](C)NCCN2CC[C@H](C)C2)o1 ZINC000532530999 323274220 /nfs/dbraw/zinc/27/42/20/323274220.db2.gz BSGDSRCOXKLMIA-AAEUAGOBSA-N 0 3 236.359 2.580 20 0 BFADHN CCn1cncc1CN1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000418011100 323313107 /nfs/dbraw/zinc/31/31/07/323313107.db2.gz KHYSRHZNQWMUGN-AGIUHOORSA-N 0 3 235.375 2.769 20 0 BFADHN CC1(C)CC(CNCc2ccccn2)C1 ZINC000309157014 323320632 /nfs/dbraw/zinc/32/06/32/323320632.db2.gz XRCQDHYRWLZMQC-UHFFFAOYSA-N 0 3 204.317 2.607 20 0 BFADHN CC(C)n1nccc1CNCCCC1CC1 ZINC000309372169 323349194 /nfs/dbraw/zinc/34/91/94/323349194.db2.gz QQKXSTBIXTVPSA-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN CCCCCNC(=O)CN(C)[C@H](C)C(C)(C)C ZINC000532928419 323397356 /nfs/dbraw/zinc/39/73/56/323397356.db2.gz JSXYUNLGQTWRPT-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCC[C@H]3C[C@H]32)c2nccn21 ZINC000572332664 323599435 /nfs/dbraw/zinc/59/94/35/323599435.db2.gz GLHFEOHTSKQWHI-FHUSYTEZSA-N 0 3 231.343 2.667 20 0 BFADHN FC1(CNCCc2cccc3[nH]ccc32)CC1 ZINC000572373920 323612524 /nfs/dbraw/zinc/61/25/24/323612524.db2.gz FJLDWJPAQCFTDO-UHFFFAOYSA-N 0 3 232.302 2.802 20 0 BFADHN CCc1cccc(CN[C@@]23C[C@@H]2COC3(C)C)c1 ZINC000527762204 323683193 /nfs/dbraw/zinc/68/31/93/323683193.db2.gz IKNIBHWADNOHMU-ZBFHGGJFSA-N 0 3 245.366 2.906 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1ccc(F)c(C)c1 ZINC000527782974 323689753 /nfs/dbraw/zinc/68/97/53/323689753.db2.gz CRPOVKTWHHMDDC-KGLIPLIRSA-N 0 3 237.318 2.791 20 0 BFADHN Clc1ccccc1CNCC12CC(C1)CO2 ZINC000527785641 323691739 /nfs/dbraw/zinc/69/17/39/323691739.db2.gz BHMOBQJQYKFMMA-UHFFFAOYSA-N 0 3 237.730 2.609 20 0 BFADHN Cc1nocc1CNCC[C@H]1CC=CCC1 ZINC000527787110 323694021 /nfs/dbraw/zinc/69/40/21/323694021.db2.gz HLKVRCGJNGCKHS-LBPRGKRZSA-N 0 3 220.316 2.819 20 0 BFADHN CCc1nc(CN[C@H](C)[C@H]2CC23CC3)cs1 ZINC000527862298 323702178 /nfs/dbraw/zinc/70/21/78/323702178.db2.gz OGGFGAIUKSAXIK-MWLCHTKSSA-N 0 3 236.384 2.984 20 0 BFADHN C[C@@H](NCc1cn2ccccc2n1)[C@H]1CC12CC2 ZINC000527847337 323704921 /nfs/dbraw/zinc/70/49/21/323704921.db2.gz OHYICVVFQZTAPH-DGCLKSJQSA-N 0 3 241.338 2.613 20 0 BFADHN C[C@H](NCc1cnc(C2CC2)o1)[C@H]1CC12CC2 ZINC000527907211 323716877 /nfs/dbraw/zinc/71/68/77/323716877.db2.gz UKXUGVBTGKIKJC-JOYOIKCWSA-N 0 3 232.327 2.830 20 0 BFADHN CCCc1ncc(CN[C@H](C)[C@@H]2CC23CC3)o1 ZINC000527907235 323717149 /nfs/dbraw/zinc/71/71/49/323717149.db2.gz UUJXUXCVHDTZAR-PWSUYJOCSA-N 0 3 234.343 2.905 20 0 BFADHN C[C@H](NC1CC(C)C1)c1ncccc1F ZINC000528036061 323743486 /nfs/dbraw/zinc/74/34/86/323743486.db2.gz OJDDDLNMDUKSKB-KYHHOPLUSA-N 0 3 208.280 2.670 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)c1 ZINC000528008401 323748415 /nfs/dbraw/zinc/74/84/15/323748415.db2.gz TZYLKDCCKPQHGP-MCZMQQNQSA-N 0 3 248.370 2.853 20 0 BFADHN Cc1cccc(NCCN[C@H](C)c2ccoc2)n1 ZINC000536910809 323896192 /nfs/dbraw/zinc/89/61/92/323896192.db2.gz PWXLOUOLVDVSFS-GFCCVEGCSA-N 0 3 245.326 2.746 20 0 BFADHN CC1(C)C[C@@H]1CNCc1ccncc1Cl ZINC000232938360 324014655 /nfs/dbraw/zinc/01/46/55/324014655.db2.gz PKRYTDHBUONWSH-SNVBAGLBSA-N 0 3 224.735 2.871 20 0 BFADHN CCC[C@H](C)CN1CCC[C@H]1C(=O)OC(C)C ZINC000537180879 324026950 /nfs/dbraw/zinc/02/69/50/324026950.db2.gz ZQRZZYGVNPDMSJ-STQMWFEESA-N 0 3 241.375 2.839 20 0 BFADHN CC(C)[C@H]1CCCC[C@H]1NCc1ccon1 ZINC000122095186 324027498 /nfs/dbraw/zinc/02/74/98/324027498.db2.gz AODNGICAMNETGT-CHWSQXEVSA-N 0 3 222.332 2.979 20 0 BFADHN COc1cccc(CNCCOC(C)(C)C)c1 ZINC000122185013 324033169 /nfs/dbraw/zinc/03/31/69/324033169.db2.gz IKLJWMONVIVSGU-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN COCC(C)(C)NCc1cc(C)sc1C ZINC000122346736 324039037 /nfs/dbraw/zinc/03/90/37/324039037.db2.gz BJSWPZSNWHHIFJ-UHFFFAOYSA-N 0 3 227.373 2.880 20 0 BFADHN CCc1noc(CC)c1CN[C@@H](C)C(C)C ZINC000122854571 324055568 /nfs/dbraw/zinc/05/55/68/324055568.db2.gz YTFICOIVTXQEDJ-JTQLQIEISA-N 0 3 224.348 2.934 20 0 BFADHN CC[C@@H](NC1(COC)CC1)c1ccc(OC)cc1 ZINC000537447789 324072444 /nfs/dbraw/zinc/07/24/44/324072444.db2.gz NQCKKKYOVNIKDT-CQSZACIVSA-N 0 3 249.354 2.915 20 0 BFADHN CCC[C@@H]1CCCC[C@@H]1NCc1ccn(C)n1 ZINC000516500947 324117701 /nfs/dbraw/zinc/11/77/01/324117701.db2.gz BBFWCHGPVGXGKQ-OCCSQVGLSA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)Cn1cc(CN2CC[C@H]2C2CC2)cn1 ZINC000449424782 324140278 /nfs/dbraw/zinc/14/02/78/324140278.db2.gz GAJGPDWKMBOPJW-AWEZNQCLSA-N 0 3 233.359 2.523 20 0 BFADHN OCCCN1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000459575745 324148806 /nfs/dbraw/zinc/14/88/06/324148806.db2.gz KNXPSRDHPHEQEJ-OAHLLOKOSA-N 0 3 245.366 2.986 20 0 BFADHN C[C@H]1CN(Cc2ccc3cc[nH]c3c2)CCCO1 ZINC000529531428 324327181 /nfs/dbraw/zinc/32/71/81/324327181.db2.gz SMRXDCGJSFZWEG-LBPRGKRZSA-N 0 3 244.338 2.779 20 0 BFADHN CC1(NCc2ccc(F)cn2)CCCC1 ZINC000529535801 324329169 /nfs/dbraw/zinc/32/91/69/324329169.db2.gz WGTDFEQXMRXVIE-UHFFFAOYSA-N 0 3 208.280 2.643 20 0 BFADHN Fc1ccc(CNCCC2=CCCC2)nc1 ZINC000529545344 324335104 /nfs/dbraw/zinc/33/51/04/324335104.db2.gz QMOBKGQLFNXJHA-UHFFFAOYSA-N 0 3 220.291 2.811 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1ccc(F)cn1 ZINC000529558448 324341813 /nfs/dbraw/zinc/34/18/13/324341813.db2.gz RYOVFYXNYQSMTD-WDEREUQCSA-N 0 3 222.307 2.747 20 0 BFADHN CC1(C)C(CNCc2ccc(F)cn2)C1(C)C ZINC000529557602 324341895 /nfs/dbraw/zinc/34/18/95/324341895.db2.gz NWEJYCFUBOTIRW-UHFFFAOYSA-N 0 3 236.334 2.993 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2ccc(F)cn2)C1 ZINC000529560114 324342572 /nfs/dbraw/zinc/34/25/72/324342572.db2.gz YJAPIYLCKHMJLB-WDEREUQCSA-N 0 3 222.307 2.747 20 0 BFADHN Cc1cc(CN2CC(C3CCC3)C2)ccn1 ZINC000529568441 324345688 /nfs/dbraw/zinc/34/56/88/324345688.db2.gz ZHLVUNVVWMWYSZ-UHFFFAOYSA-N 0 3 216.328 2.622 20 0 BFADHN CCc1ncc(CN[C@H](C)C2CC(F)(F)C2)o1 ZINC000529595667 324357267 /nfs/dbraw/zinc/35/72/67/324357267.db2.gz KVBDWOPTJXMART-MRVPVSSYSA-N 0 3 244.285 2.760 20 0 BFADHN COCC1(C)CN(Cc2ccc3cc[nH]c3c2)C1 ZINC000529610393 324363988 /nfs/dbraw/zinc/36/39/88/324363988.db2.gz YXLGTEHJWYNFFM-UHFFFAOYSA-N 0 3 244.338 2.636 20 0 BFADHN CC[C@@H](N[C@@H](CO)c1ccccc1OC)C1CC1 ZINC000537981689 324603307 /nfs/dbraw/zinc/60/33/07/324603307.db2.gz VPEVABYIELXGLC-KGLIPLIRSA-N 0 3 249.354 2.507 20 0 BFADHN O[C@H]1CCCC[C@@H]1CN[C@H]1CCCc2occc21 ZINC000124055317 324608934 /nfs/dbraw/zinc/60/89/34/324608934.db2.gz SIOLOAGCXBYVHA-XBFCOCLRSA-N 0 3 249.354 2.798 20 0 BFADHN CC[C@H]1COCCN1CCC(C)(C)C1CC1 ZINC000653557538 487964545 /nfs/dbraw/zinc/96/45/45/487964545.db2.gz ZBMIXXBKVGLABW-ZDUSSCGKSA-N 0 3 225.376 2.924 20 0 BFADHN COc1cccc(CN[C@H](C)C2(C)CC2)c1OC ZINC000126974237 324735201 /nfs/dbraw/zinc/73/52/01/324735201.db2.gz CVTRVEVZBCICJY-LLVKDONJSA-N 0 3 249.354 2.982 20 0 BFADHN COCC(C)(C)NCc1ccc(Cl)s1 ZINC000066996073 324776271 /nfs/dbraw/zinc/77/62/71/324776271.db2.gz RKLLGVSIAKBQNZ-UHFFFAOYSA-N 0 3 233.764 2.916 20 0 BFADHN CCC[C@@H](NCCCOC)c1ccccn1 ZINC000127518304 324771859 /nfs/dbraw/zinc/77/18/59/324771859.db2.gz XDOIDGBIEZYDFA-GFCCVEGCSA-N 0 3 222.332 2.549 20 0 BFADHN Cc1ncc([C@H](C)N2C[C@H]3CCC[C@@H]32)c(C)n1 ZINC000682439280 487965215 /nfs/dbraw/zinc/96/52/15/487965215.db2.gz PCSGPLZUUJWSPT-SUHUHFCYSA-N 0 3 231.343 2.639 20 0 BFADHN COCC1(N[C@@H](C)c2cc(C)ccc2OC)CC1 ZINC000539652013 324850181 /nfs/dbraw/zinc/85/01/81/324850181.db2.gz BTZWFIGNRXKULW-LBPRGKRZSA-N 0 3 249.354 2.833 20 0 BFADHN CC(C)(C)n1cc(CNC2(C3CC3)CC2)cn1 ZINC000540612926 324908264 /nfs/dbraw/zinc/90/82/64/324908264.db2.gz QPNPPTYMHCUYDH-UHFFFAOYSA-N 0 3 233.359 2.670 20 0 BFADHN CCC(C)(C)NCc1nc2ccccc2n1C ZINC000070165931 324996976 /nfs/dbraw/zinc/99/69/76/324996976.db2.gz JFDLARYAYOBZAA-UHFFFAOYSA-N 0 3 231.343 2.852 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@H](C)c1cnccn1 ZINC000070479475 325011355 /nfs/dbraw/zinc/01/13/55/325011355.db2.gz DPDDGBCTLVXKIC-GHMZBOCLSA-N 0 3 247.367 2.820 20 0 BFADHN Cc1ncc(C[NH2+][C@H]2CCCCC2(C)C)c(=O)[n-]1 ZINC000542498854 325031147 /nfs/dbraw/zinc/03/11/47/325031147.db2.gz LABPAJNNXPXPRL-LBPRGKRZSA-N 0 3 249.358 2.549 20 0 BFADHN CCOCCN(C)Cc1ccnc2ccccc12 ZINC000542782910 325047143 /nfs/dbraw/zinc/04/71/43/325047143.db2.gz QTMUJTPGJCNSRD-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN CC(C)Cn1cc(CNC2(C3CC3)CC2)cn1 ZINC000542925083 325060066 /nfs/dbraw/zinc/06/00/66/325060066.db2.gz QXTNMFONHDRBLU-UHFFFAOYSA-N 0 3 233.359 2.571 20 0 BFADHN COc1cc(C)ccc1[C@@H](C)NCC1(O)CCC1 ZINC000130793175 325088743 /nfs/dbraw/zinc/08/87/43/325088743.db2.gz KHTFIIYYQSWUQI-GFCCVEGCSA-N 0 3 249.354 2.569 20 0 BFADHN CCOCCCCNCc1ccc(CC)o1 ZINC000130814257 325091418 /nfs/dbraw/zinc/09/14/18/325091418.db2.gz YKWBJLBNNYZJMT-UHFFFAOYSA-N 0 3 225.332 2.748 20 0 BFADHN CCC[C@@H]1CN(CC2CCCC2)CCO1 ZINC000131264773 325132191 /nfs/dbraw/zinc/13/21/91/325132191.db2.gz MBIIKQRYCXZCEU-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CC[C@@H](NC(C1CC1)C1CC1)c1ccn(C)n1 ZINC000544568535 325149349 /nfs/dbraw/zinc/14/93/49/325149349.db2.gz JOUXDNNOBXIXGK-GFCCVEGCSA-N 0 3 233.359 2.649 20 0 BFADHN CCOCCCN[C@@H](C)c1cnn(C(C)C)c1 ZINC000131587617 325166470 /nfs/dbraw/zinc/16/64/70/325166470.db2.gz ODCOITXCHJEFLV-LBPRGKRZSA-N 0 3 239.363 2.541 20 0 BFADHN CC(C)(CF)NCc1cccc(-n2cccn2)c1 ZINC000545312779 325210871 /nfs/dbraw/zinc/21/08/71/325210871.db2.gz CUQBBKGHAWZIGW-UHFFFAOYSA-N 0 3 247.317 2.710 20 0 BFADHN CCCN(Cc1cccnc1C)C1CC1 ZINC000133254214 325279635 /nfs/dbraw/zinc/27/96/35/325279635.db2.gz AVPVRRUZQICVRH-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN COC1([C@H](C)N[C@H](C)c2ccoc2)CCC1 ZINC000564304719 325291966 /nfs/dbraw/zinc/29/19/66/325291966.db2.gz AANHMUYUIPCQTB-MNOVXSKESA-N 0 3 223.316 2.888 20 0 BFADHN COC[C@@H](N[C@@H](C)c1cncc(C)c1)C(C)C ZINC000546645787 325298086 /nfs/dbraw/zinc/29/80/86/325298086.db2.gz XWDHYJOBDSPCDG-GXTWGEPZSA-N 0 3 236.359 2.712 20 0 BFADHN CC(C)[C@@H](N[C@@H](CCO)c1ccco1)C1CC1 ZINC000546692402 325301821 /nfs/dbraw/zinc/30/18/21/325301821.db2.gz NJCKVVPVSMMMFK-GXTWGEPZSA-N 0 3 237.343 2.727 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@H](CCO)c1ccco1 ZINC000547399108 325350849 /nfs/dbraw/zinc/35/08/49/325350849.db2.gz LUQLUYYQLJHVJR-JHJVBQTASA-N 0 3 237.343 2.871 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2ccc(C)cn2)C1(C)C ZINC000134381343 325356653 /nfs/dbraw/zinc/35/66/53/325356653.db2.gz YHBGEVTUOOZXCJ-UKRRQHHQSA-N 0 3 248.370 2.683 20 0 BFADHN CC[C@](C)(CCO)NCc1ccccc1Cl ZINC000134469630 325360232 /nfs/dbraw/zinc/36/02/32/325360232.db2.gz PEFZIFLIEKKIPC-CYBMUJFWSA-N 0 3 241.762 2.981 20 0 BFADHN CC(C)[C@H](NCCCF)c1ccc(F)cn1 ZINC000134638323 325369444 /nfs/dbraw/zinc/36/94/44/325369444.db2.gz FCNPGSRDNORAAF-LBPRGKRZSA-N 0 3 228.286 2.867 20 0 BFADHN C[C@@H]1C[C@H](C)N1CCc1ccccc1F ZINC000547769468 325391677 /nfs/dbraw/zinc/39/16/77/325391677.db2.gz XJMDASYJLLRLCF-PHIMTYICSA-N 0 3 207.292 2.851 20 0 BFADHN CC(C)CCN1CCN(c2ccccc2)CC1 ZINC000078633751 325425838 /nfs/dbraw/zinc/42/58/38/325425838.db2.gz FGSJEQSOJCOSPU-UHFFFAOYSA-N 0 3 232.371 2.855 20 0 BFADHN [O-]c1ccc(C[NH2+][C@H]2CCC[C@H]3C[C@H]32)cc1F ZINC000549033856 325494966 /nfs/dbraw/zinc/49/49/66/325494966.db2.gz BVNNNKQKTDCSSO-LOWVWBTDSA-N 0 3 235.302 2.810 20 0 BFADHN CCCCN(C(=O)CNC1CC1)c1ccccc1 ZINC000081581262 325508246 /nfs/dbraw/zinc/50/82/46/325508246.db2.gz NKICRRRJMYCCOC-UHFFFAOYSA-N 0 3 246.354 2.572 20 0 BFADHN Cc1csc([C@H](C)N[C@H](C)[C@H]2CCOC2)n1 ZINC000247069525 325585882 /nfs/dbraw/zinc/58/58/82/325585882.db2.gz QCCNJUBMLOLLGS-VWYCJHECSA-N 0 3 240.372 2.527 20 0 BFADHN COc1cccc(OC)c1CNCCCC1CC1 ZINC000552161327 325602136 /nfs/dbraw/zinc/60/21/36/325602136.db2.gz KGLVZKAEUFOSIZ-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN c1cc(-c2ccccc2)oc1CN[C@@H]1CCOC1 ZINC000088684715 325661324 /nfs/dbraw/zinc/66/13/24/325661324.db2.gz XYOLULANARRAMR-CYBMUJFWSA-N 0 3 243.306 2.825 20 0 BFADHN CC(C)c1cccc(N(C)C(=O)CNC2CC2)c1 ZINC000564792416 325809376 /nfs/dbraw/zinc/80/93/76/325809376.db2.gz ISGPHOJIZZXMHK-UHFFFAOYSA-N 0 3 246.354 2.525 20 0 BFADHN Cc1nc(CNC[C@@H]2CCCC[C@@H]2C)[nH]c1C ZINC000566496767 326058551 /nfs/dbraw/zinc/05/85/51/326058551.db2.gz NKRNZLANKCONBM-GWCFXTLKSA-N 0 3 235.375 2.942 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3[C@@H]4CCC[C@@H]43)cn2c1 ZINC000566500615 326059736 /nfs/dbraw/zinc/05/97/36/326059736.db2.gz OPBSQBHGTNTRSD-AGGWBTHJSA-N 0 3 241.338 2.531 20 0 BFADHN Cc1cc([C@@H](C)NC2CC(C)(C)C2)nn1C ZINC000566502943 326060343 /nfs/dbraw/zinc/06/03/43/326060343.db2.gz DNMBDXDFLJFDGX-SNVBAGLBSA-N 0 3 221.348 2.568 20 0 BFADHN CCOc1cccc(CN(C)[C@H](C)CC)n1 ZINC000154564408 326151412 /nfs/dbraw/zinc/15/14/12/326151412.db2.gz IBCVWTCEUDVYTA-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN CC(C)n1ncc2cc(CN3CCCC3)cnc21 ZINC000154664761 326156530 /nfs/dbraw/zinc/15/65/30/326156530.db2.gz APKZEGUAVZHNSN-UHFFFAOYSA-N 0 3 244.342 2.608 20 0 BFADHN C[C@H](NCC1CC(C)(C)C1)c1nccn1C ZINC000309574556 326159671 /nfs/dbraw/zinc/15/96/71/326159671.db2.gz ONTDVMFYHFNNHF-JTQLQIEISA-N 0 3 221.348 2.507 20 0 BFADHN Cc1nnc(CN[C@@H]2CC(C)(C)C[C@@H]2C)s1 ZINC000309757327 326166512 /nfs/dbraw/zinc/16/65/12/326166512.db2.gz HVDFFMVXYUSZHT-WCBMZHEXSA-N 0 3 239.388 2.761 20 0 BFADHN COC(=O)c1cc(CN(C)C(C)(C)C)cs1 ZINC000567499286 326204813 /nfs/dbraw/zinc/20/48/13/326204813.db2.gz QOURJCMMROIQRB-UHFFFAOYSA-N 0 3 241.356 2.765 20 0 BFADHN COC[C@H](C)CN[C@@H]1CSc2ccccc21 ZINC000156095571 326218677 /nfs/dbraw/zinc/21/86/77/326218677.db2.gz IPNOOFALTBHIGA-ZYHUDNBSSA-N 0 3 237.368 2.706 20 0 BFADHN CCCN(Cc1ccnn1CC)CC1CC1 ZINC000156407997 326231883 /nfs/dbraw/zinc/23/18/83/326231883.db2.gz PJNGLEGTLWJNKC-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1cc(CN([C@@H](C)C(C)C)C2CC2)cn1 ZINC000156977943 326250893 /nfs/dbraw/zinc/25/08/93/326250893.db2.gz QTJQSBGDPOEAOB-LBPRGKRZSA-N 0 3 235.375 2.912 20 0 BFADHN C[C@H](NC(=O)CN(C)C(C)(C)C)c1ccccc1 ZINC000567810935 326260886 /nfs/dbraw/zinc/26/08/86/326260886.db2.gz MUOAFSHANGCPQV-LBPRGKRZSA-N 0 3 248.370 2.594 20 0 BFADHN C[C@@H](CCc1ccccc1F)NCc1ccno1 ZINC000567888577 326277130 /nfs/dbraw/zinc/27/71/30/326277130.db2.gz JSCHSHLJKVZGQO-NSHDSACASA-N 0 3 248.301 2.925 20 0 BFADHN Cc1ccc(CCCNC2(CF)CCC2)cn1 ZINC000567906119 326280985 /nfs/dbraw/zinc/28/09/85/326280985.db2.gz HNFFWDOOSHRERN-UHFFFAOYSA-N 0 3 236.334 2.804 20 0 BFADHN CCCCN(CC)Cc1cnc2ccccn12 ZINC000157610755 326286614 /nfs/dbraw/zinc/28/66/14/326286614.db2.gz UXBLZRXXLUDKTO-UHFFFAOYSA-N 0 3 231.343 2.956 20 0 BFADHN C[C@@H]1CCCN(Cc2cccc3c2OCO3)C1 ZINC000157681379 326290143 /nfs/dbraw/zinc/29/01/43/326290143.db2.gz WLOPYSWLUNPDNP-LLVKDONJSA-N 0 3 233.311 2.647 20 0 BFADHN Cc1nc2ccccn2c1CN1CCCCC1 ZINC000157724266 326292807 /nfs/dbraw/zinc/29/28/07/326292807.db2.gz OAWYIFGHKMUWEG-UHFFFAOYSA-N 0 3 229.327 2.629 20 0 BFADHN CCCCN1CCc2cccc(F)c2C1 ZINC000157885868 326299437 /nfs/dbraw/zinc/29/94/37/326299437.db2.gz FUACMCYVNKKDAF-UHFFFAOYSA-N 0 3 207.292 2.984 20 0 BFADHN CCCn1cc(CN(C)[C@@H](C)C2CC2)cn1 ZINC000158066817 326306860 /nfs/dbraw/zinc/30/68/60/326306860.db2.gz NOUFPTRYNYNOKE-NSHDSACASA-N 0 3 221.348 2.523 20 0 BFADHN N#Cc1ccc(CN2CCC[C@@H]2C2CCC2)nc1 ZINC000568169706 326319330 /nfs/dbraw/zinc/31/93/30/326319330.db2.gz RKGZXTCNZVCHPL-OAHLLOKOSA-N 0 3 241.338 2.718 20 0 BFADHN CCC[C@@H](NC[C@H]1CCCO1)c1ccccn1 ZINC000158459567 326322931 /nfs/dbraw/zinc/32/29/31/326322931.db2.gz BYYGSASQWJJIDO-CHWSQXEVSA-N 0 3 234.343 2.691 20 0 BFADHN CC[C@H]1CN(C)CCN1Cc1ccsc1C ZINC000568305823 326343688 /nfs/dbraw/zinc/34/36/88/326343688.db2.gz BCYLRMFKGHLOPT-ZDUSSCGKSA-N 0 3 238.400 2.583 20 0 BFADHN CCN(Cc1cnc2ccccn12)C(C)C ZINC000159097903 326349688 /nfs/dbraw/zinc/34/96/88/326349688.db2.gz GHHBDZPHLPZTSL-UHFFFAOYSA-N 0 3 217.316 2.565 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@H](C)c2ccoc2)C1 ZINC000568367796 326350192 /nfs/dbraw/zinc/35/01/92/326350192.db2.gz BNUCUOFAYXVOEL-RTXFEEFZSA-N 0 3 223.316 2.886 20 0 BFADHN CCC[C@H](NCC1(C)COC1)c1ccccn1 ZINC000159125106 326350619 /nfs/dbraw/zinc/35/06/19/326350619.db2.gz FVCRHNDFSRMMIB-LBPRGKRZSA-N 0 3 234.343 2.549 20 0 BFADHN Cc1ncc(CN[C@H](C)Cc2ccsc2)o1 ZINC000568482814 326358866 /nfs/dbraw/zinc/35/88/66/326358866.db2.gz XSEHNTMGLZQIDB-SECBINFHSA-N 0 3 236.340 2.765 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1snnc1C ZINC000568516658 326362085 /nfs/dbraw/zinc/36/20/85/326362085.db2.gz IOBRJKBXPCBYNF-SCZZXKLOSA-N 0 3 225.361 2.609 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCC23CC3)c2nccn21 ZINC000568534150 326364390 /nfs/dbraw/zinc/36/43/90/326364390.db2.gz WIYWUZWVHQIASR-UTUOFQBUSA-N 0 3 231.343 2.811 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCC23CC3)c2nccn21 ZINC000568534151 326364448 /nfs/dbraw/zinc/36/44/48/326364448.db2.gz WIYWUZWVHQIASR-WOPDTQHZSA-N 0 3 231.343 2.811 20 0 BFADHN CC/C=C/CN[C@H](C)c1ccnc(OC)c1 ZINC000568664614 326371848 /nfs/dbraw/zinc/37/18/48/326371848.db2.gz WZQGBOIMQXPCFV-MVIFTORASA-N 0 3 220.316 2.707 20 0 BFADHN CC/C=C\CN[C@H](C)c1ccnc(OC)c1 ZINC000568664613 326371885 /nfs/dbraw/zinc/37/18/85/326371885.db2.gz WZQGBOIMQXPCFV-ISALQUGTSA-N 0 3 220.316 2.707 20 0 BFADHN CC1CCN(Cc2ccc3c(n2)CCC3)CC1 ZINC000568847971 326379233 /nfs/dbraw/zinc/37/92/33/326379233.db2.gz WTHJQXUABQBDNY-UHFFFAOYSA-N 0 3 230.355 2.802 20 0 BFADHN CC(C)=CCNCc1cccc(-n2cccn2)c1 ZINC000569062499 326388298 /nfs/dbraw/zinc/38/82/98/326388298.db2.gz QXGHFKYHBFFHGD-UHFFFAOYSA-N 0 3 241.338 2.928 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](c1ccccc1)C1CC1 ZINC000569072605 326388752 /nfs/dbraw/zinc/38/87/52/326388752.db2.gz ZSUMZNOGWHXXRU-RRFJBIMHSA-N 0 3 231.339 2.905 20 0 BFADHN C[C@@H](NC1CCCC1)c1cn2ccccc2n1 ZINC000569120076 326391472 /nfs/dbraw/zinc/39/14/72/326391472.db2.gz ZHLGLXDIJZWCIC-LLVKDONJSA-N 0 3 229.327 2.928 20 0 BFADHN CC(C)[C@@H](NCc1cn2ccsc2n1)C1CC1 ZINC000324325793 326415047 /nfs/dbraw/zinc/41/50/47/326415047.db2.gz ZHXWAUSTRPNFDD-GFCCVEGCSA-N 0 3 249.383 2.920 20 0 BFADHN CCC[C@H](NCc1cnccn1)C1CCC1 ZINC000324889622 326419344 /nfs/dbraw/zinc/41/93/44/326419344.db2.gz FZZONSGGTDFZET-ZDUSSCGKSA-N 0 3 219.332 2.535 20 0 BFADHN N[C@@H]1CCCc2cn(CCC3CCCC3)nc21 ZINC000573110919 326430332 /nfs/dbraw/zinc/43/03/32/326430332.db2.gz KTIBNMFHJULIBH-CYBMUJFWSA-N 0 3 233.359 2.800 20 0 BFADHN Fc1cccc(CNCCOCC2CCC2)c1 ZINC000573149025 326432328 /nfs/dbraw/zinc/43/23/28/326432328.db2.gz ZHUJZVFUKSQASV-UHFFFAOYSA-N 0 3 237.318 2.732 20 0 BFADHN FCC[C@@H]1CCCN(CC2(F)CC2)C1 ZINC000668592071 487976849 /nfs/dbraw/zinc/97/68/49/487976849.db2.gz LLWBPFZBQHOIGS-JTQLQIEISA-N 0 3 203.276 2.560 20 0 BFADHN C/C(=C/c1ccccc1)CN1CC[C@@]2(O)C[C@H]2C1 ZINC000668599018 487987599 /nfs/dbraw/zinc/98/75/99/487987599.db2.gz QRJIOYCFGKNXCG-KTDOTMJKSA-N 0 3 243.350 2.547 20 0 BFADHN CCN1CCN(Cc2cccc(C)c2)C[C@H]1C ZINC000677191348 487994699 /nfs/dbraw/zinc/99/46/99/487994699.db2.gz AHDFGOJHPHNZBM-CQSZACIVSA-N 0 3 232.371 2.521 20 0 BFADHN Cc1ccc(CN[C@@H]2CCS[C@@H]2C)o1 ZINC000235651662 487998977 /nfs/dbraw/zinc/99/89/77/487998977.db2.gz BSDFMZBXBIINCU-MWLCHTKSSA-N 0 3 211.330 2.572 20 0 BFADHN CCCC[C@H](CC)Cn1cc([C@@H](N)CC)nn1 ZINC000229934958 487999438 /nfs/dbraw/zinc/99/94/38/487999438.db2.gz SJNWYHMHGZMUNR-RYUDHWBXSA-N 0 3 238.379 2.904 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H](CO)CC2CC2)o1 ZINC000677245729 488007915 /nfs/dbraw/zinc/00/79/15/488007915.db2.gz RQKWKTWAYMMDHV-OSMZGAPFSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@H](CO)CC2CC2)o1 ZINC000677245731 488007943 /nfs/dbraw/zinc/00/79/43/488007943.db2.gz RQKWKTWAYMMDHV-SCDSUCTJSA-N 0 3 249.354 2.654 20 0 BFADHN CCC[C@H](N)c1cn(CC2CCCCC2)nn1 ZINC000229953373 488008816 /nfs/dbraw/zinc/00/88/16/488008816.db2.gz XIDBESFFHAWRNA-LBPRGKRZSA-N 0 3 236.363 2.658 20 0 BFADHN CCc1ccc(CN[C@@H](C)Cc2ccccn2)o1 ZINC000655842667 488011463 /nfs/dbraw/zinc/01/14/63/488011463.db2.gz DMEMOACHWNMEFK-LBPRGKRZSA-N 0 3 244.338 2.958 20 0 BFADHN CN(Cc1cnc2n1CCC2)C1CCCCC1 ZINC000667471893 488017340 /nfs/dbraw/zinc/01/73/40/488017340.db2.gz PGAVLHTUHWZFOF-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cnc2n1CCC2 ZINC000667471545 488017428 /nfs/dbraw/zinc/01/74/28/488017428.db2.gz BNAXIMFHWCEAMV-GFCCVEGCSA-N 0 3 233.359 2.594 20 0 BFADHN C[C@H]1CCCN(Cc2ccnn2CC2CC2)C1 ZINC000667471572 488017469 /nfs/dbraw/zinc/01/74/69/488017469.db2.gz CTDWXOGYEJTGGE-LBPRGKRZSA-N 0 3 233.359 2.525 20 0 BFADHN CCCn1nccc1CN1CCCC[C@H]1C ZINC000667473308 488018539 /nfs/dbraw/zinc/01/85/39/488018539.db2.gz FUZRKFXZWNLSIM-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN CNCc1ccccc1NC(=O)[C@@H]1CC=CCC1 ZINC000036280923 488022527 /nfs/dbraw/zinc/02/25/27/488022527.db2.gz ONMRGLJRVMCZLK-GFCCVEGCSA-N 0 3 244.338 2.701 20 0 BFADHN CCc1ccc(CNCC(C)(C)CCOC)o1 ZINC000185283907 488022888 /nfs/dbraw/zinc/02/28/88/488022888.db2.gz SDDNMUQAZJRKGN-UHFFFAOYSA-N 0 3 239.359 2.994 20 0 BFADHN COc1ccccc1CN1CC[C@@H](C)O[C@@H](C)C1 ZINC000668625363 488025919 /nfs/dbraw/zinc/02/59/19/488025919.db2.gz OPLOLYXLDIHNJF-OLZOCXBDSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1COC(C)(C)C1 ZINC000396827150 488028091 /nfs/dbraw/zinc/02/80/91/488028091.db2.gz CVRXZLIVXWPCNY-KWQFWETISA-N 0 3 238.331 2.510 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@@H]1COC(C)(C)C1 ZINC000396827149 488028109 /nfs/dbraw/zinc/02/81/09/488028109.db2.gz CVRXZLIVXWPCNY-KCJUWKMLSA-N 0 3 238.331 2.510 20 0 BFADHN CCCn1nccc1CN(C)[C@H](C)C(C)C ZINC000667499149 488030328 /nfs/dbraw/zinc/03/03/28/488030328.db2.gz AQKCQHUSMHHRPS-GFCCVEGCSA-N 0 3 223.364 2.769 20 0 BFADHN Cc1ccsc1[C@@H](C)NCCOCCF ZINC000663462610 488030405 /nfs/dbraw/zinc/03/04/05/488030405.db2.gz FXQQOLHRGYQRBG-SNVBAGLBSA-N 0 3 231.336 2.693 20 0 BFADHN CCCCN(Cc1cnn2c1CCC2)C1CC1 ZINC000667500882 488030861 /nfs/dbraw/zinc/03/08/61/488030861.db2.gz DZPZGCWZCQJTMS-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2cc(C)ncn2)C1 ZINC000677425479 488034513 /nfs/dbraw/zinc/03/45/13/488034513.db2.gz UMXKWEYHILXAQS-QWHCGFSZSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1cc(CNC2C[C@H](C)C[C@@H](C)C2)ncn1 ZINC000677424825 488034427 /nfs/dbraw/zinc/03/44/27/488034427.db2.gz NRWHJAIQUVMSOO-GHMZBOCLSA-N 0 3 233.359 2.699 20 0 BFADHN CCC(CC)CN(CC)Cc1cnc(C)n1C ZINC000667515853 488035067 /nfs/dbraw/zinc/03/50/67/488035067.db2.gz PHWFXKKZWRXRSC-UHFFFAOYSA-N 0 3 237.391 2.987 20 0 BFADHN COc1ccc([C@@H](C)N[C@@H]2C[C@H]3CC[C@@H]2O3)cc1 ZINC000268969887 488041904 /nfs/dbraw/zinc/04/19/04/488041904.db2.gz ZPFVXXYSGIDLKQ-QZKOUSHUSA-N 0 3 247.338 2.666 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCC[C@@H]1CCOC1 ZINC000682806081 488043714 /nfs/dbraw/zinc/04/37/14/488043714.db2.gz WUQAZPJAQWQXOC-UONOGXRCSA-N 0 3 248.370 2.891 20 0 BFADHN CN(Cc1ccnn1CC1CC1)CC1CCCC1 ZINC000667564577 488048014 /nfs/dbraw/zinc/04/80/14/488048014.db2.gz QUEBCQCCDRDOQQ-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]2C(C)(C)C)n1C ZINC000667590051 488053430 /nfs/dbraw/zinc/05/34/30/488053430.db2.gz JYFNYVJKNPEYRX-CYBMUJFWSA-N 0 3 235.375 2.739 20 0 BFADHN Cn1ccnc1NCC(C)(C)c1ccccc1 ZINC000050606036 488054221 /nfs/dbraw/zinc/05/42/21/488054221.db2.gz RXZUAKQLTZGVDE-UHFFFAOYSA-N 0 3 229.327 2.810 20 0 BFADHN Cc1ccc2cc(CN(C)[C@@H]3CCOC3)[nH]c2c1 ZINC000667597579 488056103 /nfs/dbraw/zinc/05/61/03/488056103.db2.gz RUYBBXHSJYNNJK-CQSZACIVSA-N 0 3 244.338 2.697 20 0 BFADHN CC1(C)C(C(=O)Nc2cccc(CN)c2)C1(C)C ZINC000091481362 488056595 /nfs/dbraw/zinc/05/65/95/488056595.db2.gz TXVPHEJSOUYGAH-UHFFFAOYSA-N 0 3 246.354 2.766 20 0 BFADHN C[C@H]1CCCN1Cc1c(C2CCC2)cnn1C ZINC000667619118 488060265 /nfs/dbraw/zinc/06/02/65/488060265.db2.gz SQPVJGLXLYOUOJ-NSHDSACASA-N 0 3 233.359 2.672 20 0 BFADHN CC(C)C[C@H]1CCN(Cc2cnc3n2CCC3)C1 ZINC000667621149 488061964 /nfs/dbraw/zinc/06/19/64/488061964.db2.gz ADUOTIWYGGHJPX-CYBMUJFWSA-N 0 3 247.386 2.697 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](C)C(C)C)nn1C ZINC000393785353 488069499 /nfs/dbraw/zinc/06/94/99/488069499.db2.gz UAFFXJZMDYVQDS-CMPLNLGQSA-N 0 3 223.364 2.671 20 0 BFADHN CCCn1nccc1CN1C[C@@H](C)C[C@H]1CC ZINC000667650757 488072438 /nfs/dbraw/zinc/07/24/38/488072438.db2.gz CTRHVZXXQPCGAH-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1ccc2cc(CN(C)CC[C@@H](C)O)[nH]c2c1 ZINC000667649617 488072690 /nfs/dbraw/zinc/07/26/90/488072690.db2.gz YYEJWYIQPBTBMP-GFCCVEGCSA-N 0 3 246.354 2.679 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1ccnn1CC1CC1 ZINC000667651377 488074439 /nfs/dbraw/zinc/07/44/39/488074439.db2.gz UUAKAOAJPKOCLC-OCCSQVGLSA-N 0 3 247.386 2.914 20 0 BFADHN CC[C@@]1(C)CCN(Cc2oc(C)nc2C)C1 ZINC000685566516 488076720 /nfs/dbraw/zinc/07/67/20/488076720.db2.gz NDFWGYZPZZASNW-ZDUSSCGKSA-N 0 3 222.332 2.913 20 0 BFADHN COC1(CN2CC[C@@H](C)[C@@H](F)C2)CCCC1 ZINC000682997473 488081931 /nfs/dbraw/zinc/08/19/31/488081931.db2.gz SPWXJYLBFZQSKJ-NEPJUHHUSA-N 0 3 229.339 2.626 20 0 BFADHN CCN(CCCO)Cc1cc2c(cccc2C)[nH]1 ZINC000667668503 488082309 /nfs/dbraw/zinc/08/23/09/488082309.db2.gz PPLDRDMGOVWDBE-UHFFFAOYSA-N 0 3 246.354 2.681 20 0 BFADHN C[C@H]1CCSCCN1Cc1ccc(O)cc1 ZINC000683000980 488082966 /nfs/dbraw/zinc/08/29/66/488082966.db2.gz KMXHFKNLJZIJRZ-NSHDSACASA-N 0 3 237.368 2.720 20 0 BFADHN OC[C@@H]1CCCCCN1Cc1ccc(F)cc1 ZINC000159736999 488083863 /nfs/dbraw/zinc/08/38/63/488083863.db2.gz PCFKLXJUMWVILP-AWEZNQCLSA-N 0 3 237.318 2.563 20 0 BFADHN C[C@@]1(O)CCCN(Cc2ccc(Cl)cc2)C1 ZINC000124841300 488084468 /nfs/dbraw/zinc/08/44/68/488084468.db2.gz OORXAAHFKOSRAZ-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CC[C@H](C2CC2)C1 ZINC000667681655 488084589 /nfs/dbraw/zinc/08/45/89/488084589.db2.gz NQPLEYULCRJIBT-ZDUSSCGKSA-N 0 3 245.370 2.529 20 0 BFADHN Cc1ccnc(CN(C)[C@@H](C)C2CC2)c1 ZINC000683002831 488084350 /nfs/dbraw/zinc/08/43/50/488084350.db2.gz GYMXQGSOCQPJPG-NSHDSACASA-N 0 3 204.317 2.620 20 0 BFADHN CC[C@]1(C)CCN(Cc2c(C3CC3)cnn2C)C1 ZINC000667682251 488085263 /nfs/dbraw/zinc/08/52/63/488085263.db2.gz FEQSYVWVKVLCDC-OAHLLOKOSA-N 0 3 247.386 2.920 20 0 BFADHN Cc1ccnc(CN2CCC(C)CC2)c1 ZINC000683004285 488086982 /nfs/dbraw/zinc/08/69/82/488086982.db2.gz XEPARVHIPCPDPC-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cnn2c1CCC2 ZINC000667688140 488088150 /nfs/dbraw/zinc/08/81/50/488088150.db2.gz GQYBQRZPDIGYRO-GFCCVEGCSA-N 0 3 235.375 2.697 20 0 BFADHN Cc1ccc2c(c1)CN(CC1CCOCC1)C2 ZINC000335291631 488088844 /nfs/dbraw/zinc/08/88/44/488088844.db2.gz OHSBVTMPZSKMSZ-UHFFFAOYSA-N 0 3 231.339 2.737 20 0 BFADHN Cc1ccnc(CN2CCC3(CCC3)C2)c1 ZINC000683010738 488090161 /nfs/dbraw/zinc/09/01/61/488090161.db2.gz FLWCHFQQPKJVOT-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN C[C@H](N(C)Cc1c(C2CC2)cnn1C)C1(C)CC1 ZINC000667695869 488090354 /nfs/dbraw/zinc/09/03/54/488090354.db2.gz FEGGZNFMKHWKLA-NSHDSACASA-N 0 3 247.386 2.918 20 0 BFADHN C[C@@H]1CCN(Cc2cnc3n2CCC3)C[C@@H](C)C1 ZINC000667694837 488090761 /nfs/dbraw/zinc/09/07/61/488090761.db2.gz AGRAVXBSQOMCBE-OLZOCXBDSA-N 0 3 247.386 2.697 20 0 BFADHN CC[C@H]1CCN(Cc2c(C3CCC3)cnn2C)C1 ZINC000667697758 488091601 /nfs/dbraw/zinc/09/16/01/488091601.db2.gz LHPRQTCAJJLHCE-LBPRGKRZSA-N 0 3 247.386 2.920 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1ccnn1CC1CC1 ZINC000667698892 488092532 /nfs/dbraw/zinc/09/25/32/488092532.db2.gz PSTVLOZGRXYRQI-RYUDHWBXSA-N 0 3 233.359 2.666 20 0 BFADHN COCC1(C)CCN(Cc2cc(C)ccn2)CC1 ZINC000683019426 488094223 /nfs/dbraw/zinc/09/42/23/488094223.db2.gz LKABXNAKHQMWBA-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1ccnc(CN2CC[C@H](C)[C@@H](C)C2)c1 ZINC000683019684 488096528 /nfs/dbraw/zinc/09/65/28/488096528.db2.gz PHOGOUATHHDDDD-STQMWFEESA-N 0 3 218.344 2.868 20 0 BFADHN c1nc(C2CC2)sc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000668676735 488098638 /nfs/dbraw/zinc/09/86/38/488098638.db2.gz FJOIJRBJEAIKSS-GHMZBOCLSA-N 0 3 234.368 2.862 20 0 BFADHN COCC1(N(C)CCCOc2ccccc2)CC1 ZINC000677725090 488102765 /nfs/dbraw/zinc/10/27/65/488102765.db2.gz BENOWQMYFJPJIE-UHFFFAOYSA-N 0 3 249.354 2.566 20 0 BFADHN COCC1(N(C)Cc2ccc3c(c2)CCC3)CC1 ZINC000677725048 488102692 /nfs/dbraw/zinc/10/26/92/488102692.db2.gz ALBKJOLWZUCLRM-UHFFFAOYSA-N 0 3 245.366 2.786 20 0 BFADHN CCc1ccc(CN(C)C2(COC)CC2)cc1 ZINC000677727063 488103453 /nfs/dbraw/zinc/10/34/53/488103453.db2.gz RXGUQDIBHQKVSA-UHFFFAOYSA-N 0 3 233.355 2.860 20 0 BFADHN COCC1(N(C)Cc2ccccc2C)CC1 ZINC000677728211 488105011 /nfs/dbraw/zinc/10/50/11/488105011.db2.gz QYHRXRNQRIIQOF-UHFFFAOYSA-N 0 3 219.328 2.606 20 0 BFADHN c1c2cnccc2oc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000668678979 488105666 /nfs/dbraw/zinc/10/56/66/488105666.db2.gz WCFQBTFGAMWOPS-ZYHUDNBSSA-N 0 3 228.295 2.670 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H](C(F)F)C2)s1 ZINC000677729470 488106270 /nfs/dbraw/zinc/10/62/70/488106270.db2.gz GIXRTZOUIPCLPN-VIFPVBQESA-N 0 3 246.326 2.847 20 0 BFADHN C[C@@H](c1ccncc1)N1CCSC[C@H](C)C1 ZINC000683101906 488109233 /nfs/dbraw/zinc/10/92/33/488109233.db2.gz PLLCWHGCNQIYAB-NEPJUHHUSA-N 0 3 236.384 2.828 20 0 BFADHN COCCCCCN1CC2(C1)CC(F)(F)C2 ZINC000677732820 488111783 /nfs/dbraw/zinc/11/17/83/488111783.db2.gz OUIPRUSGHWUZDB-UHFFFAOYSA-N 0 3 233.302 2.534 20 0 BFADHN Fc1ccc(CN2CC[C@@H](C(F)F)C2)cc1 ZINC000677733692 488111471 /nfs/dbraw/zinc/11/14/71/488111471.db2.gz RNWBVZCIJLVZIF-SNVBAGLBSA-N 0 3 229.245 2.913 20 0 BFADHN C[C@H](N[C@@H]1CO[C@@H](C2CC2)C1)c1cccs1 ZINC000667764868 488112492 /nfs/dbraw/zinc/11/24/92/488112492.db2.gz KGMFSOIGQVSNPW-ZMLRMANQSA-N 0 3 237.368 2.966 20 0 BFADHN CC1(CN2CCOC(C3CC3)(C3CC3)C2)CC1 ZINC000668684683 488113953 /nfs/dbraw/zinc/11/39/53/488113953.db2.gz QKEVIYJKROTLMM-UHFFFAOYSA-N 0 3 235.371 2.678 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN(C)Cc2cn[nH]c2)C1 ZINC000685837471 488118512 /nfs/dbraw/zinc/11/85/12/488118512.db2.gz AKRSCERCCYORTP-WCQYABFASA-N 0 3 233.359 2.834 20 0 BFADHN Cc1cc(CN2CCC[C@H](C3CCC3)C2)ncn1 ZINC000662042297 488120382 /nfs/dbraw/zinc/12/03/82/488120382.db2.gz DYPRJNPXJKVEIS-AWEZNQCLSA-N 0 3 245.370 2.797 20 0 BFADHN CCCn1nccc1CNCC1(CCC)CC1 ZINC000233405865 488126768 /nfs/dbraw/zinc/12/67/68/488126768.db2.gz LQXKMBMCZKHSJP-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN Cc1occc1CN[C@H]1CCC(F)(F)C1 ZINC000321074841 488126894 /nfs/dbraw/zinc/12/68/94/488126894.db2.gz OCGGLTLLBGJUBA-JTQLQIEISA-N 0 3 215.243 2.865 20 0 BFADHN Cc1ccsc1CN[C@H]1CO[C@H](C2CC2)C1 ZINC000667816970 488127724 /nfs/dbraw/zinc/12/77/24/488127724.db2.gz ILZYTSRKJRKSHE-NEPJUHHUSA-N 0 3 237.368 2.714 20 0 BFADHN CCc1ccc(CN[C@H]2CO[C@@H](C3CC3)C2)cc1 ZINC000667817537 488127955 /nfs/dbraw/zinc/12/79/55/488127955.db2.gz YYYWYCVCTJGNPA-HZPDHXFCSA-N 0 3 245.366 2.906 20 0 BFADHN CCC[C@@H](N[C@@H](COC)C1CC1)c1ccccn1 ZINC000675817891 488127985 /nfs/dbraw/zinc/12/79/85/488127985.db2.gz YLRFRRXBEBOSGY-CABCVRRESA-N 0 3 248.370 2.937 20 0 BFADHN C/C(=C\c1ccccc1)CNC1([C@H](C)O)CC1 ZINC000668697978 488128861 /nfs/dbraw/zinc/12/88/61/488128861.db2.gz QLHPCRNGGVNARY-XSNHNAGMSA-N 0 3 231.339 2.593 20 0 BFADHN CC[C@H](N[C@H](C)c1ncc[nH]1)[C@H]1CC1(C)C ZINC000397651502 488129411 /nfs/dbraw/zinc/12/94/11/488129411.db2.gz LVPZAIUZNZZMAU-MXWKQRLJSA-N 0 3 221.348 2.885 20 0 BFADHN CC[C@H](N[C@@H](C)c1ncc[nH]1)[C@H]1CC1(C)C ZINC000397651486 488129451 /nfs/dbraw/zinc/12/94/51/488129451.db2.gz LVPZAIUZNZZMAU-AXFHLTTASA-N 0 3 221.348 2.885 20 0 BFADHN c1nc(CNC[C@@H]2CCc3ccccc3C2)co1 ZINC000683201931 488129753 /nfs/dbraw/zinc/12/97/53/488129753.db2.gz BFVYPUXVXDGALI-GFCCVEGCSA-N 0 3 242.322 2.569 20 0 BFADHN CCCn1nccc1CN1C[C@H](C)[C@@H](C)[C@@H]1C ZINC000668242894 487540520 /nfs/dbraw/zinc/54/05/20/487540520.db2.gz NMKHTHYZZHEJND-XQQFMLRXSA-N 0 3 235.375 2.769 20 0 BFADHN CCCn1nccc1CN1C[C@H](C)[C@@H](C)[C@H]1C ZINC000668242895 487540668 /nfs/dbraw/zinc/54/06/68/487540668.db2.gz NMKHTHYZZHEJND-YNEHKIRRSA-N 0 3 235.375 2.769 20 0 BFADHN CCC(C)(C)[C@@H](C)N[C@@H](C)c1cnccn1 ZINC000658113714 487545064 /nfs/dbraw/zinc/54/50/64/487545064.db2.gz IXLDAEXBRSCZSS-WDEREUQCSA-N 0 3 221.348 2.952 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@H](C)c1ccncn1 ZINC000658113664 487545247 /nfs/dbraw/zinc/54/52/47/487545247.db2.gz IFEYJRLDOFQMJC-MNOVXSKESA-N 0 3 221.348 2.952 20 0 BFADHN CC[C@@H](C)CN(C)Cc1oc(C)nc1C ZINC000685564576 487547010 /nfs/dbraw/zinc/54/70/10/487547010.db2.gz ZAIDLGOPNIWGBL-SECBINFHSA-N 0 3 210.321 2.769 20 0 BFADHN Cc1nc(C)c(CN2C[C@@H](C)CC[C@H]2C)o1 ZINC000685565563 487547475 /nfs/dbraw/zinc/54/74/75/487547475.db2.gz GFTYFHBNEYMWEQ-VHSXEESVSA-N 0 3 222.332 2.912 20 0 BFADHN CCN(CCC(F)(F)F)C[C@H]1CC[C@@H](C)O1 ZINC000682757681 487549155 /nfs/dbraw/zinc/54/91/55/487549155.db2.gz VEXNCHNWBCZASK-NXEZZACHSA-N 0 3 239.281 2.828 20 0 BFADHN Cc1nc(C)c(CN(CC2CC2)C(C)C)o1 ZINC000685567301 487550039 /nfs/dbraw/zinc/55/00/39/487550039.db2.gz UNSXSXHYTSPOKD-UHFFFAOYSA-N 0 3 222.332 2.912 20 0 BFADHN Cc1ncccc1CN1CCC2(CC2)CC1 ZINC000685569994 487551581 /nfs/dbraw/zinc/55/15/81/487551581.db2.gz QLZKMMWQOKSBNW-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN Cc1nc(C)c(CN2CCCC3(CC3)C2)o1 ZINC000685573604 487553597 /nfs/dbraw/zinc/55/35/97/487553597.db2.gz XLRUYUGKBZMJPP-UHFFFAOYSA-N 0 3 220.316 2.667 20 0 BFADHN C[C@@H]1CCCCN1C(=O)Nc1cccc(CN)c1 ZINC000036720784 487554124 /nfs/dbraw/zinc/55/41/24/487554124.db2.gz ZFZXYEIPXDZEEE-LLVKDONJSA-N 0 3 247.342 2.552 20 0 BFADHN Cc1nc(C)c(CN2CCCC[C@H](C)C2)o1 ZINC000685573383 487554234 /nfs/dbraw/zinc/55/42/34/487554234.db2.gz LDBPRAAVPMXCJR-JTQLQIEISA-N 0 3 222.332 2.913 20 0 BFADHN CCCn1nccc1CN1CC(C)(C)C[C@@H]1C ZINC000668240259 487531186 /nfs/dbraw/zinc/53/11/86/487531186.db2.gz BRHUYUKUDXCSAJ-LBPRGKRZSA-N 0 3 235.375 2.914 20 0 BFADHN CCN(Cc1cnn2c1CCC2)C1CC(C)(C)C1 ZINC000668240814 487531903 /nfs/dbraw/zinc/53/19/03/487531903.db2.gz SPOVBKXJDNCXFC-UHFFFAOYSA-N 0 3 247.386 2.840 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1c(C2CC2)cnn1C ZINC000668240636 487532076 /nfs/dbraw/zinc/53/20/76/487532076.db2.gz NSEWOXVDYGCGEL-NSHDSACASA-N 0 3 247.386 2.918 20 0 BFADHN FC1(CN2CCC[C@H](C[C@@H]3CCOC3)C2)CC1 ZINC000685464311 487532354 /nfs/dbraw/zinc/53/23/54/487532354.db2.gz VHHGXJBOOHIERJ-OLZOCXBDSA-N 0 3 241.350 2.627 20 0 BFADHN COc1cccc(CN[C@H](C)C(C)C)c1F ZINC000160460982 488134776 /nfs/dbraw/zinc/13/47/76/488134776.db2.gz LHBXTCVMOJIWAV-SNVBAGLBSA-N 0 3 225.307 2.968 20 0 BFADHN C[C@@H](N[C@@H](C)C1(CO)CC1)c1cccc(F)c1 ZINC000658160476 487556984 /nfs/dbraw/zinc/55/69/84/487556984.db2.gz NRYCBICCGYSSJQ-MNOVXSKESA-N 0 3 237.318 2.637 20 0 BFADHN C[C@@H](N[C@H](C)C1(CO)CC1)c1cccc(F)c1 ZINC000658160473 487556925 /nfs/dbraw/zinc/55/69/25/487556925.db2.gz NRYCBICCGYSSJQ-GHMZBOCLSA-N 0 3 237.318 2.637 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1ccnn1CC1CC1 ZINC000668240995 487532860 /nfs/dbraw/zinc/53/28/60/487532860.db2.gz YOYFASOLNALDPJ-GFCCVEGCSA-N 0 3 247.386 2.914 20 0 BFADHN C[C@@H](O)C1(NCc2ccc(C(F)F)cc2)CC1 ZINC000668702357 488137878 /nfs/dbraw/zinc/13/78/78/488137878.db2.gz IJJVNRRZXBPKEQ-SECBINFHSA-N 0 3 241.281 2.627 20 0 BFADHN CC(C)C[C@H](C)CN[C@H](C)c1nccn1C ZINC000668746975 487470912 /nfs/dbraw/zinc/47/09/12/487470912.db2.gz ACWZWJGIPIVILJ-NWDGAFQWSA-N 0 3 223.364 2.753 20 0 BFADHN CCN(Cc1csc(C)c1)CC(C)(C)O ZINC000294751134 487474164 /nfs/dbraw/zinc/47/41/64/487474164.db2.gz IEQZHAQOJRKEKU-UHFFFAOYSA-N 0 3 227.373 2.649 20 0 BFADHN CC1=CC[C@@H](N[C@@H](C)c2nccn2C)CC1 ZINC000668748270 487476379 /nfs/dbraw/zinc/47/63/79/487476379.db2.gz RXNOQTFLIPDFJL-NWDGAFQWSA-N 0 3 219.332 2.570 20 0 BFADHN Cc1ncc([C@H](C)N2CCC[C@H](C)C2)c(C)n1 ZINC000681640110 487479277 /nfs/dbraw/zinc/47/92/77/487479277.db2.gz WTLGZCMNBPIXAX-JQWIXIFHSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H](CCNCc1cnccn1)c1ccccc1 ZINC000061910139 487488819 /nfs/dbraw/zinc/48/88/19/487488819.db2.gz AZXFZCYLDFYSLP-CYBMUJFWSA-N 0 3 241.338 2.760 20 0 BFADHN CCC[C@@]1(CO)CCN([C@H](C)c2ccncc2)C1 ZINC000685056797 487498607 /nfs/dbraw/zinc/49/86/07/487498607.db2.gz PSGXUMKCDLRCFX-UKRRQHHQSA-N 0 3 248.370 2.627 20 0 BFADHN CC(C)[C@H]1CC[C@H]1NCc1ccn(C(C)C)n1 ZINC000521921600 487498741 /nfs/dbraw/zinc/49/87/41/487498741.db2.gz JRGSKLSMGISBFG-ZIAGYGMSSA-N 0 3 235.375 2.988 20 0 BFADHN Fc1cccc([C@@H]2CCN2C[C@@H]2CCOC2)c1 ZINC000674011555 487499622 /nfs/dbraw/zinc/49/96/22/487499622.db2.gz VQXALDXRZBWRLE-FZMZJTMJSA-N 0 3 235.302 2.609 20 0 BFADHN Fc1cccc([C@H]2CCN2CC2CCOCC2)c1 ZINC000674011553 487500382 /nfs/dbraw/zinc/50/03/82/487500382.db2.gz VQJYJOMXPHWZBJ-OAHLLOKOSA-N 0 3 249.329 2.999 20 0 BFADHN CC(C)C1CCN(Cc2ccc(N)nc2)CC1 ZINC000220378306 487500826 /nfs/dbraw/zinc/50/08/26/487500826.db2.gz IPBRFVLAXIOXTQ-UHFFFAOYSA-N 0 3 233.359 2.532 20 0 BFADHN CO[C@@H]1CCCN([C@@H](C)c2ccccn2)CC1 ZINC000679953107 487502597 /nfs/dbraw/zinc/50/25/97/487502597.db2.gz LVQHGNOOCWIZJS-QWHCGFSZSA-N 0 3 234.343 2.644 20 0 BFADHN CO[C@H]1CCCN([C@H](C)c2ccccn2)CC1 ZINC000679953105 487502944 /nfs/dbraw/zinc/50/29/44/487502944.db2.gz LVQHGNOOCWIZJS-OLZOCXBDSA-N 0 3 234.343 2.644 20 0 BFADHN FC(F)C1CCC(NCc2ccon2)CC1 ZINC000381486153 487509718 /nfs/dbraw/zinc/50/97/18/487509718.db2.gz IVHZYXABCOEAEV-UHFFFAOYSA-N 0 3 230.258 2.588 20 0 BFADHN Cc1csc(CCN[C@@H](C)c2ccccn2)n1 ZINC000063132406 487513961 /nfs/dbraw/zinc/51/39/61/487513961.db2.gz YKIJRTYHSIYNQA-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]2C2CC2)s1 ZINC000678515837 487520559 /nfs/dbraw/zinc/52/05/59/487520559.db2.gz ADNZGPHJZDKKKZ-LLVKDONJSA-N 0 3 222.357 2.826 20 0 BFADHN CCn1nccc1CN1CCC2(CCC2)CC1 ZINC000685444236 487524578 /nfs/dbraw/zinc/52/45/78/487524578.db2.gz NNVSGUTUEMRRRM-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN CCC[C@@H](NCc1cocn1)[C@@H]1CC1(C)C ZINC000397793837 488150179 /nfs/dbraw/zinc/15/01/79/488150179.db2.gz JDDVFEHKURRJOY-NWDGAFQWSA-N 0 3 222.332 2.979 20 0 BFADHN CCC1CN(Cc2cc(COC)cs2)C1 ZINC000668229873 490041825 /nfs/dbraw/zinc/04/18/25/490041825.db2.gz WUUUEJNSOJUOAV-UHFFFAOYSA-N 0 3 225.357 2.736 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccccc1F ZINC000178309669 487609596 /nfs/dbraw/zinc/60/95/96/487609596.db2.gz FWENCXXNLRGANS-MHWZDGSBSA-N 0 3 235.302 2.796 20 0 BFADHN CCc1ccc(CN[C@@H](C)C[C@H]2CCOC2)o1 ZINC000675477759 487738848 /nfs/dbraw/zinc/73/88/48/487738848.db2.gz KWMLSBMISWVBBY-NWDGAFQWSA-N 0 3 237.343 2.747 20 0 BFADHN c1ccc2c(c1)[nH]nc2CN1CCCC2(CC2)C1 ZINC000681167964 487741139 /nfs/dbraw/zinc/74/11/39/487741139.db2.gz GKHRJBQGORMWDT-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN c1ccc2c(c1)n[nH]c2CN1CCCC2(CC2)C1 ZINC000681167964 487741144 /nfs/dbraw/zinc/74/11/44/487741144.db2.gz GKHRJBQGORMWDT-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN COCC(C)(C)CN(C)Cc1ccsc1 ZINC000683159152 488122687 /nfs/dbraw/zinc/12/26/87/488122687.db2.gz WYKAUAWLEFGKLB-UHFFFAOYSA-N 0 3 227.373 2.853 20 0 BFADHN CC1CN(Cc2cccc3cnccc32)C1 ZINC000670270741 487889162 /nfs/dbraw/zinc/88/91/62/487889162.db2.gz PVLGHKSNVYYUEQ-UHFFFAOYSA-N 0 3 212.296 2.687 20 0 BFADHN COc1cc(F)cc(CN2CC[C@H]3C[C@H]3C2)c1 ZINC000668678348 488104189 /nfs/dbraw/zinc/10/41/89/488104189.db2.gz ITIQNLPOEKYHCH-RYUDHWBXSA-N 0 3 235.302 2.676 20 0 BFADHN C[C@@H]1C[C@H]1CN(C)Cc1nc2ccccc2o1 ZINC000683109315 488110709 /nfs/dbraw/zinc/11/07/09/488110709.db2.gz HLJCRADNONICCY-MNOVXSKESA-N 0 3 230.311 2.916 20 0 BFADHN C[C@H]1C[C@H]1CN1CC(c2nc3ccccc3[nH]2)C1 ZINC000683110903 488112589 /nfs/dbraw/zinc/11/25/89/488112589.db2.gz UZWNMSUDPJUBPV-QWRGUYRKSA-N 0 3 241.338 2.618 20 0 BFADHN c1nc2ccccn2c1CN[C@@H]1CC12CCCC2 ZINC000683205934 488130340 /nfs/dbraw/zinc/13/03/40/488130340.db2.gz SOFITKZMLNVSRR-CYBMUJFWSA-N 0 3 241.338 2.757 20 0 BFADHN C[C@@H](O)C1(NCc2ccc3c(c2)CCCC3)CC1 ZINC000668700752 488135003 /nfs/dbraw/zinc/13/50/03/488135003.db2.gz LZTLODFFPAFICG-GFCCVEGCSA-N 0 3 245.366 2.568 20 0 BFADHN CC[C@@H](COCC1CC1)NCc1cccnc1C ZINC000657562188 488135415 /nfs/dbraw/zinc/13/54/15/488135415.db2.gz UAXCZFMBELJHAL-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN COc1cccc(CNCCC2CC2)c1F ZINC000160466725 488136159 /nfs/dbraw/zinc/13/61/59/488136159.db2.gz XKSRLBAKFYYQDM-UHFFFAOYSA-N 0 3 223.291 2.724 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C2CC2)C1)c1cccc(O)c1 ZINC000667853569 488139758 /nfs/dbraw/zinc/13/97/58/488139758.db2.gz HDCGREQKKOUZMC-XEGUGMAKSA-N 0 3 247.338 2.610 20 0 BFADHN CC(C)[C@H](C)N[C@@H](CO)c1ccc(F)cc1F ZINC000662162683 488141345 /nfs/dbraw/zinc/14/13/45/488141345.db2.gz LYADWUSBEMRILP-ZANVPECISA-N 0 3 243.297 2.632 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1ccc2cccnc2c1 ZINC000677853508 488141644 /nfs/dbraw/zinc/14/16/44/488141644.db2.gz GZJJCAZTOUEHMO-BXUZGUMPSA-N 0 3 242.322 2.502 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1ccc2cccnc2c1 ZINC000677853510 488141737 /nfs/dbraw/zinc/14/17/37/488141737.db2.gz GZJJCAZTOUEHMO-RISCZKNCSA-N 0 3 242.322 2.502 20 0 BFADHN Cc1ccc(CN2CC[C@H]3COC[C@@H]3C2)cc1F ZINC000668706151 488145618 /nfs/dbraw/zinc/14/56/18/488145618.db2.gz PWPSNAFYQNIEKF-KBPBESRZSA-N 0 3 249.329 2.602 20 0 BFADHN CC[C@H](N[C@H]1CO[C@@H](C2CC2)C1)c1ccncc1 ZINC000667874206 488145954 /nfs/dbraw/zinc/14/59/54/488145954.db2.gz ADNJMUDUTSNTEH-QLFBSQMISA-N 0 3 246.354 2.690 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@H](C)[C@@H]1C[C@@H]1C ZINC000666087401 488147698 /nfs/dbraw/zinc/14/76/98/488147698.db2.gz PBFVVNDLFXPGBV-CYZMBNFOSA-N 0 3 248.370 2.841 20 0 BFADHN CCCn1nccc1CNCCC=C(C)C ZINC000397779356 488148092 /nfs/dbraw/zinc/14/80/92/488148092.db2.gz YMRSSFYBKASNIA-UHFFFAOYSA-N 0 3 221.348 2.739 20 0 BFADHN FC1(F)CC2(CN(CC[C@H]3CCCCO3)C2)C1 ZINC000680377568 488150719 /nfs/dbraw/zinc/15/07/19/488150719.db2.gz SXNUWQSIHOGQMM-LLVKDONJSA-N 0 3 245.313 2.677 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2CCO)cs1 ZINC000294605399 488156254 /nfs/dbraw/zinc/15/62/54/488156254.db2.gz VBTTYEJGQXRRPJ-CYBMUJFWSA-N 0 3 239.384 2.793 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1cn(CC)nn1 ZINC000404419131 488157143 /nfs/dbraw/zinc/15/71/43/488157143.db2.gz AETAOWIUGIJIDM-VXGBXAGGSA-N 0 3 238.379 2.602 20 0 BFADHN c1cc(CN2CCC[C@@H]3CCCC[C@@H]32)no1 ZINC000077247566 488161168 /nfs/dbraw/zinc/16/11/68/488161168.db2.gz QDHKRSUAWYLIBY-AAEUAGOBSA-N 0 3 220.316 2.829 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000667932407 488162030 /nfs/dbraw/zinc/16/20/30/488162030.db2.gz FODPVSNVIHCOQA-HZSPNIEDSA-N 0 3 247.386 2.817 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN2CCC3(COC3)C2)o1 ZINC000668720224 488162743 /nfs/dbraw/zinc/16/27/43/488162743.db2.gz OCJNTJJTPAXBIZ-WCQYABFASA-N 0 3 247.338 2.625 20 0 BFADHN C/C(=C/c1ccccc1)CN1CCC2(COC2)C1 ZINC000668720696 488163143 /nfs/dbraw/zinc/16/31/43/488163143.db2.gz ZYIJTRKMBFBDSP-ZROIWOOFSA-N 0 3 243.350 2.812 20 0 BFADHN Fc1ccc(/C=C\CN2CCC3(COC3)C2)cc1 ZINC000668720343 488163208 /nfs/dbraw/zinc/16/32/08/488163208.db2.gz RSCNIWZUJONQHZ-UPHRSURJSA-N 0 3 247.313 2.561 20 0 BFADHN CCn1cc(CN[C@H]2CCCC23CCCC3)nn1 ZINC000404489344 488164484 /nfs/dbraw/zinc/16/44/84/488164484.db2.gz GMZSMYSXSOHMBV-ZDUSSCGKSA-N 0 3 248.374 2.501 20 0 BFADHN CCOCCN(C)Cc1cc2c(cccc2C)[nH]1 ZINC000667949606 488165698 /nfs/dbraw/zinc/16/56/98/488165698.db2.gz KJKDDTWYDBJPGJ-UHFFFAOYSA-N 0 3 246.354 2.945 20 0 BFADHN Cc1ncc(CNC[C@H](C)C(C)(C)C)o1 ZINC000397913102 488170758 /nfs/dbraw/zinc/17/07/58/488170758.db2.gz IUBYQQHGDAVYPG-VIFPVBQESA-N 0 3 210.321 2.755 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccnc2ccccc12 ZINC000683476678 488171974 /nfs/dbraw/zinc/17/19/74/488171974.db2.gz MJISXKDRUCEIAL-HUUCEWRRSA-N 0 3 242.322 2.502 20 0 BFADHN CCC(C)(CC)NC(=O)Nc1cccc(CN)c1 ZINC000230966896 488175357 /nfs/dbraw/zinc/17/53/57/488175357.db2.gz CKGDFZUGEXDIOP-UHFFFAOYSA-N 0 3 249.358 2.846 20 0 BFADHN Cc1ncc(CN2CC(C)(C)CC(C)(C)C2)n1C ZINC000667996437 488179562 /nfs/dbraw/zinc/17/95/62/488179562.db2.gz NNJFYBSRRQRBII-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN CN(C)CCOc1ccc(-c2ccncc2)cc1 ZINC000167670431 488184522 /nfs/dbraw/zinc/18/45/22/488184522.db2.gz WMZUJMCFIGOZNX-UHFFFAOYSA-N 0 3 242.322 2.689 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@@H]1C[C@H]1CC(C)C ZINC000666164144 488185066 /nfs/dbraw/zinc/18/50/66/488185066.db2.gz HBITUBCBUYRACL-UFGOTCBOSA-N 0 3 221.348 2.803 20 0 BFADHN c1cc(CN2CC[C@H]3CCC[C@@H]32)n(CC2CC2)n1 ZINC000668013509 488185295 /nfs/dbraw/zinc/18/52/95/488185295.db2.gz ZVALYULTUDGWJC-HIFRSBDPSA-N 0 3 245.370 2.668 20 0 BFADHN c1cc(CN2CC[C@H]3CCC[C@H]32)n(CC2CC2)n1 ZINC000668013510 488185594 /nfs/dbraw/zinc/18/55/94/488185594.db2.gz ZVALYULTUDGWJC-UKRRQHHQSA-N 0 3 245.370 2.668 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@H](C)c1ncc[nH]1 ZINC000453277563 488187647 /nfs/dbraw/zinc/18/76/47/488187647.db2.gz BAESWDYQUIGJHH-IJLUTSLNSA-N 0 3 221.348 2.887 20 0 BFADHN C[C@@H]1C[C@H](C)[C@H](C)N(Cc2cnc3n2CCC3)C1 ZINC000668047483 488195200 /nfs/dbraw/zinc/19/52/00/488195200.db2.gz CKLAOBQZCTZPRY-AGIUHOORSA-N 0 3 247.386 2.696 20 0 BFADHN C[C@H]1CC2(CCC2)CN1Cc1cnn2c1CCC2 ZINC000668064030 488197234 /nfs/dbraw/zinc/19/72/34/488197234.db2.gz CXNMSDHHDASHAD-LBPRGKRZSA-N 0 3 245.370 2.594 20 0 BFADHN Cc1cscc1CN[C@@H]1CO[C@@H](C2CC2)C1 ZINC000668076175 488198042 /nfs/dbraw/zinc/19/80/42/488198042.db2.gz IVYPKPBHGKRNLU-QWHCGFSZSA-N 0 3 237.368 2.714 20 0 BFADHN C[C@@H](N[C@H]1CC2CCC1CC2)c1ccncn1 ZINC000335916835 488200453 /nfs/dbraw/zinc/20/04/53/488200453.db2.gz KJSBMMXTLIUKQN-NPZBDFSRSA-N 0 3 231.343 2.706 20 0 BFADHN CN(Cc1cn[nH]c1)[C@H]1CCc2ccccc21 ZINC000678131765 488201367 /nfs/dbraw/zinc/20/13/67/488201367.db2.gz NUSMYTYSFPXJTG-AWEZNQCLSA-N 0 3 227.311 2.529 20 0 BFADHN c1csc([C@H]2CCCN2Cc2cn[nH]c2)c1 ZINC000678125150 488201513 /nfs/dbraw/zinc/20/15/13/488201513.db2.gz NCBJQCJPJOQVJG-LLVKDONJSA-N 0 3 233.340 2.808 20 0 BFADHN CN(Cc1n[nH]c2ccccc21)[C@@H]1CCSC1 ZINC000678137922 488204054 /nfs/dbraw/zinc/20/40/54/488204054.db2.gz YQDDBQRYIRVEFO-SNVBAGLBSA-N 0 3 247.367 2.500 20 0 BFADHN CN(Cc1[nH]nc2ccccc21)[C@@H]1CCSC1 ZINC000678137922 488204056 /nfs/dbraw/zinc/20/40/56/488204056.db2.gz YQDDBQRYIRVEFO-SNVBAGLBSA-N 0 3 247.367 2.500 20 0 BFADHN CCN(Cc1cn2cccc(F)c2n1)CC(C)C ZINC000678140078 488204803 /nfs/dbraw/zinc/20/48/03/488204803.db2.gz HYZDAXMHJMEECM-UHFFFAOYSA-N 0 3 249.333 2.951 20 0 BFADHN C[C@H](N[C@@H]1CO[C@@H](C2CC2)C1)c1ccsc1 ZINC000668109502 488204956 /nfs/dbraw/zinc/20/49/56/488204956.db2.gz FGKMENVFZNIWRP-TVYUQYBPSA-N 0 3 237.368 2.966 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H]1CCc2ccc(C)cc21 ZINC000683693567 488207526 /nfs/dbraw/zinc/20/75/26/488207526.db2.gz FJHLYHRBUJUUIW-RBSFLKMASA-N 0 3 231.339 2.749 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1CCCOc2ccccc21 ZINC000683693561 488207894 /nfs/dbraw/zinc/20/78/94/488207894.db2.gz FFNWNKRKNQFQEV-VNHYZAJKSA-N 0 3 247.338 2.667 20 0 BFADHN Cc1cc([C@H](C)N[C@H](CO)CC2CC2)c(C)o1 ZINC000683692429 488207988 /nfs/dbraw/zinc/20/79/88/488207988.db2.gz RHVLVSPJASRDFL-GWCFXTLKSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@H](C)C2)ncn1 ZINC000678156612 488209200 /nfs/dbraw/zinc/20/92/00/488209200.db2.gz HMKRQVUNVWOHPA-AAEUAGOBSA-N 0 3 233.359 2.701 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@@H](C)C2)ncn1 ZINC000678156617 488209847 /nfs/dbraw/zinc/20/98/47/488209847.db2.gz HMKRQVUNVWOHPA-DGCLKSJQSA-N 0 3 233.359 2.701 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cc2c(cccc2C)[nH]1 ZINC000668151296 488210027 /nfs/dbraw/zinc/21/00/27/488210027.db2.gz ZWKKFHHDAKMEQX-LBPRGKRZSA-N 0 3 246.354 2.943 20 0 BFADHN CN(CC1(C)CCC1)[C@H]1CCCc2c1cnn2C ZINC000683701848 488210054 /nfs/dbraw/zinc/21/00/54/488210054.db2.gz YRGBCLXSKCDXOS-ZDUSSCGKSA-N 0 3 247.386 2.920 20 0 BFADHN CC[C@]1(NCc2ccc(C)c(OC)c2)CCOC1 ZINC000683704619 488210708 /nfs/dbraw/zinc/21/07/08/488210708.db2.gz CEFLPNYHTQQYAB-HNNXBMFYSA-N 0 3 249.354 2.662 20 0 BFADHN CCCN1CC[C@@H](N[C@@H](C)c2ccc(C)o2)C1 ZINC000328379613 488212569 /nfs/dbraw/zinc/21/25/69/488212569.db2.gz UXIZAOAWRDJLJL-QWHCGFSZSA-N 0 3 236.359 2.723 20 0 BFADHN Cc1ncc(CN2CCC(C)(C3CC3)CC2)n1C ZINC000668178073 488214562 /nfs/dbraw/zinc/21/45/62/488214562.db2.gz MOYHVAUNQGNOIX-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN c1n[nH]cc1CN(CC1CCCCC1)C1CC1 ZINC000678194580 488215644 /nfs/dbraw/zinc/21/56/44/488215644.db2.gz ABDHWNJVZSHNSD-UHFFFAOYSA-N 0 3 233.359 2.954 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cnc2n1CCC2 ZINC000668180928 488215762 /nfs/dbraw/zinc/21/57/62/488215762.db2.gz CPLCCDRHKRMALM-LBPRGKRZSA-N 0 3 235.375 2.840 20 0 BFADHN Cc1ncc(CN(C2CCC2)C2CCC2)n1C ZINC000668189034 488217606 /nfs/dbraw/zinc/21/76/06/488217606.db2.gz XDHUKMOBCNHGDH-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN CC[C@H]1CN(Cc2cccc(N)c2)C[C@H](CC)O1 ZINC000398553430 488219255 /nfs/dbraw/zinc/21/92/55/488219255.db2.gz CVILPNKBSDZVJF-GJZGRUSLSA-N 0 3 248.370 2.658 20 0 BFADHN CN(CCc1ccc(Cl)cc1)Cc1cn[nH]c1 ZINC000678223512 488220484 /nfs/dbraw/zinc/22/04/84/488220484.db2.gz HYIQOVBRCZGCRI-UHFFFAOYSA-N 0 3 249.745 2.738 20 0 BFADHN Cc1ccc(CN2CCC[C@H](n3ccnc3)C2)o1 ZINC000678234627 488222796 /nfs/dbraw/zinc/22/27/96/488222796.db2.gz HKDBMRIKLOEUIX-ZDUSSCGKSA-N 0 3 245.326 2.622 20 0 BFADHN CCOc1cccc(CN2CCC[C@@H]2C2CC2)n1 ZINC000678240364 488224252 /nfs/dbraw/zinc/22/42/52/488224252.db2.gz XJMGKGNSFUASHQ-CQSZACIVSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@@H]1CCCN1Cc1nn(C)c2ccccc12 ZINC000678259937 488227822 /nfs/dbraw/zinc/22/78/22/488227822.db2.gz MWLBNROMNMXRDE-LLVKDONJSA-N 0 3 229.327 2.558 20 0 BFADHN c1ccc2c(c1)CN(C[C@@H]1CCSC1)CCO2 ZINC000683826960 488228082 /nfs/dbraw/zinc/22/80/82/488228082.db2.gz AOBUQKFFAPHSKE-LBPRGKRZSA-N 0 3 249.379 2.634 20 0 BFADHN Fc1ccc([C@H]2CCN(Cc3cn[nH]c3)C2)cc1 ZINC000678262070 488228737 /nfs/dbraw/zinc/22/87/37/488228737.db2.gz DZZISNGZGQOEIR-ZDUSSCGKSA-N 0 3 245.301 2.538 20 0 BFADHN C/C(=C/c1ccccc1)CN(CCCO)C1CC1 ZINC000678263160 488229473 /nfs/dbraw/zinc/22/94/73/488229473.db2.gz DMBXUOUNLRQQIZ-OWBHPGMISA-N 0 3 245.366 2.937 20 0 BFADHN Cc1ncc(CN2C[C@H](C(C)C)[C@H]2C(C)C)n1C ZINC000668220475 488229987 /nfs/dbraw/zinc/22/99/87/488229987.db2.gz RONAYFBNTHIQKD-HUUCEWRRSA-N 0 3 249.402 2.841 20 0 BFADHN c1n[nH]cc1CN1CCC2(C1)CCCCC2 ZINC000678279075 488236257 /nfs/dbraw/zinc/23/62/57/488236257.db2.gz HFINHFDTBGXJBA-UHFFFAOYSA-N 0 3 219.332 2.566 20 0 BFADHN Cc1nc(C)c(CN2C[C@@H](C)C[C@H](C)C2)o1 ZINC000683897207 488238716 /nfs/dbraw/zinc/23/87/16/488238716.db2.gz RZFSLFRPFMVUJC-UWVGGRQHSA-N 0 3 222.332 2.769 20 0 BFADHN Cn1cnc(CN(CC2CCCCC2)C2CC2)c1 ZINC000683898455 488240169 /nfs/dbraw/zinc/24/01/69/488240169.db2.gz JLDUDWVBXQXKAJ-UHFFFAOYSA-N 0 3 247.386 2.965 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1ccc(C)nc1C ZINC000678426818 488254039 /nfs/dbraw/zinc/25/40/39/488254039.db2.gz JHVXBPRIKHLSJL-QWHCGFSZSA-N 0 3 236.359 2.774 20 0 BFADHN CCCCN(CCO)Cc1cc2ccccc2[nH]1 ZINC000684019108 488255571 /nfs/dbraw/zinc/25/55/71/488255571.db2.gz KCBODOYKSVGOIO-UHFFFAOYSA-N 0 3 246.354 2.762 20 0 BFADHN COC(C)(C)CN[C@H](C)c1ccc(C)nc1C ZINC000678429720 488255807 /nfs/dbraw/zinc/25/58/07/488255807.db2.gz XQBIPSSSQHBBLI-LLVKDONJSA-N 0 3 236.359 2.774 20 0 BFADHN Cc1ccc([C@@H](C)NCc2ccn(C)c2)c(C)n1 ZINC000678429733 488255886 /nfs/dbraw/zinc/25/58/86/488255886.db2.gz XUZYMNWBXZSSBS-GFCCVEGCSA-N 0 3 243.354 2.888 20 0 BFADHN CC[C@@]1(O)CCN(Cc2cc3ccccc3[nH]2)C1 ZINC000684025547 488256981 /nfs/dbraw/zinc/25/69/81/488256981.db2.gz OQWOQSLAFNSODU-OAHLLOKOSA-N 0 3 244.338 2.515 20 0 BFADHN Cc1cccc(C2=CCN(C[C@@H](C)CO)CC2)c1 ZINC000678467610 488257658 /nfs/dbraw/zinc/25/76/58/488257658.db2.gz XCKCNIKYBGWIPV-CQSZACIVSA-N 0 3 245.366 2.713 20 0 BFADHN C[C@@H](CN[C@@H](C)c1nccs1)c1ccncc1 ZINC000678544297 488265351 /nfs/dbraw/zinc/26/53/51/488265351.db2.gz YNYXRSVLKQDJRV-QWRGUYRKSA-N 0 3 247.367 2.992 20 0 BFADHN C[C@H](CC1CCC1)N[C@H]1CCCc2c[nH]nc21 ZINC000678599254 488270096 /nfs/dbraw/zinc/27/00/96/488270096.db2.gz YTFTUQCXFCLHFS-MFKMUULPSA-N 0 3 233.359 2.955 20 0 BFADHN C[C@H](N[C@H](CO)CC1CC1)c1ccccc1F ZINC000678605012 488270963 /nfs/dbraw/zinc/27/09/63/488270963.db2.gz BLLDXAMWOSRQDF-JQWIXIFHSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2ccsc2)ncn1 ZINC000678605235 488271458 /nfs/dbraw/zinc/27/14/58/488271458.db2.gz OFTYAGKGAUBHDF-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](CO)CC2CC2)c(C)n1 ZINC000678606856 488272114 /nfs/dbraw/zinc/27/21/14/488272114.db2.gz LQKGCTFLZKIJST-OCCSQVGLSA-N 0 3 248.370 2.510 20 0 BFADHN COCC1(C)CCN([C@H](C)c2ccncc2)CC1 ZINC000678634102 488275069 /nfs/dbraw/zinc/27/50/69/488275069.db2.gz BVRWXHCFXHJCNQ-CYBMUJFWSA-N 0 3 248.370 2.891 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc2c(c1)CCC(=O)N2 ZINC000678750836 488280077 /nfs/dbraw/zinc/28/00/77/488280077.db2.gz ZFSBSMBGNKTQKY-DLRQAJBASA-N 0 3 244.338 2.798 20 0 BFADHN COC1(C)CCN([C@@H](C)c2ccncc2)CC1 ZINC000678799206 488284618 /nfs/dbraw/zinc/28/46/18/488284618.db2.gz XXUASRCWAOZLLC-LBPRGKRZSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@H]1CN(Cc2nc3c(s2)CCC3)C[C@@H]1C ZINC000678831572 488288697 /nfs/dbraw/zinc/28/86/97/488288697.db2.gz LQFLVTFVSJYVQW-UWVGGRQHSA-N 0 3 236.384 2.720 20 0 BFADHN C[C@@H]1CN(Cc2nc3c(s2)CCC3)C[C@H]1C ZINC000678831570 488289203 /nfs/dbraw/zinc/28/92/03/488289203.db2.gz LQFLVTFVSJYVQW-NXEZZACHSA-N 0 3 236.384 2.720 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2nc3c(s2)CCC3)C1 ZINC000678832394 488289399 /nfs/dbraw/zinc/28/93/99/488289399.db2.gz CJAWWXHIEVAVCX-UWVGGRQHSA-N 0 3 236.384 2.862 20 0 BFADHN Cc1ccnc(CN2CCC(C)=C(C)C2)c1 ZINC000684629530 488335989 /nfs/dbraw/zinc/33/59/89/488335989.db2.gz RBESUVKROHFYLE-UHFFFAOYSA-N 0 3 216.328 2.932 20 0 BFADHN CC(C)c1nnc(CNC(C(C)C)C(C)C)o1 ZINC000678901184 488295192 /nfs/dbraw/zinc/29/51/92/488295192.db2.gz IFUSMVGANZXCKR-UHFFFAOYSA-N 0 3 239.363 2.963 20 0 BFADHN Cc1cccc([C@@H](C)CNCc2cn(C)cn2)c1 ZINC000673602487 488296846 /nfs/dbraw/zinc/29/68/46/488296846.db2.gz ITFAVCCRJJHFLL-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN CC(C)CCN(C)Cc1ccnn1C(C)C ZINC000684356334 488299848 /nfs/dbraw/zinc/29/98/48/488299848.db2.gz SUSHQNYTQURPOZ-UHFFFAOYSA-N 0 3 223.364 2.942 20 0 BFADHN COCC1(N[C@@H]2CCc3c2cccc3C)CC1 ZINC000679073549 488304415 /nfs/dbraw/zinc/30/44/15/488304415.db2.gz DPYNNLYFSNSQIE-CQSZACIVSA-N 0 3 231.339 2.751 20 0 BFADHN CC(C)C1CN(Cc2c[nH]nc2C(C)(C)C)C1 ZINC000684408987 488308413 /nfs/dbraw/zinc/30/84/13/488308413.db2.gz ONRSYONBTRGICS-UHFFFAOYSA-N 0 3 235.375 2.795 20 0 BFADHN Cc1nccc(CN2C3CCCC2CCC3)n1 ZINC000684616339 488331938 /nfs/dbraw/zinc/33/19/38/488331938.db2.gz CUANEEQGBSJNDE-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN C[C@@H](CCc1ccccc1)N(C)Cc1c[nH]cn1 ZINC000684615739 488332305 /nfs/dbraw/zinc/33/23/05/488332305.db2.gz BBJCQNXSRGEPIU-ZDUSSCGKSA-N 0 3 243.354 2.863 20 0 BFADHN C[C@@H](CCc1ccccc1)N(C)Cc1cnc[nH]1 ZINC000684615739 488332306 /nfs/dbraw/zinc/33/23/06/488332306.db2.gz BBJCQNXSRGEPIU-ZDUSSCGKSA-N 0 3 243.354 2.863 20 0 BFADHN Clc1cccc(OCCN2CC=CCC2)c1 ZINC000679324064 488337842 /nfs/dbraw/zinc/33/78/42/488337842.db2.gz NKROOCFVVSIVEC-UHFFFAOYSA-N 0 3 237.730 2.981 20 0 BFADHN c1cnn(-c2ccc(CN3CC=CCC3)cc2)c1 ZINC000679324395 488337934 /nfs/dbraw/zinc/33/79/34/488337934.db2.gz RCSBTQFUXXMOQG-UHFFFAOYSA-N 0 3 239.322 2.634 20 0 BFADHN COc1c(O)cccc1CN1CCC[C@H]1C1CC1 ZINC000679332005 488340731 /nfs/dbraw/zinc/34/07/31/488340731.db2.gz OUMSONWSFXMINW-ZDUSSCGKSA-N 0 3 247.338 2.775 20 0 BFADHN COc1ccc(CN2CC=CCC2)cc1C ZINC000679359601 488345565 /nfs/dbraw/zinc/34/55/65/488345565.db2.gz OOVRNIDMYQWALQ-UHFFFAOYSA-N 0 3 217.312 2.766 20 0 BFADHN COCCCN1C[C@H](C(F)(F)F)CC[C@@H]1C ZINC000679545199 488356562 /nfs/dbraw/zinc/35/65/62/488356562.db2.gz JESDJQLZZFLEQC-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN c1ccc([C@H](NC2CSC2)[C@H]2CCCO2)cc1 ZINC000679625149 488360086 /nfs/dbraw/zinc/36/00/86/488360086.db2.gz CWRQREHQDCHXKP-KGLIPLIRSA-N 0 3 249.379 2.612 20 0 BFADHN CO[C@H](C)CN(Cc1ccc(C)o1)C1CC1 ZINC000679852141 488369043 /nfs/dbraw/zinc/36/90/43/488369043.db2.gz ZDJDISJUACLMDK-LLVKDONJSA-N 0 3 223.316 2.587 20 0 BFADHN C[C@@H](CO)CN1CC=C(c2ccc(F)cc2)CC1 ZINC000120501375 490062541 /nfs/dbraw/zinc/06/25/41/490062541.db2.gz LTENVCIGKBJRAS-GFCCVEGCSA-N 0 3 249.329 2.543 20 0 BFADHN c1cc2cccc(CN3CCCOCC3)c2o1 ZINC000336215628 490117525 /nfs/dbraw/zinc/11/75/25/490117525.db2.gz LKIXUJQNQUERGR-UHFFFAOYSA-N 0 3 231.295 2.655 20 0 BFADHN CC(C)n1cc([C@@H](C)NC2CC=CC2)cn1 ZINC000122111770 490125964 /nfs/dbraw/zinc/12/59/64/490125964.db2.gz MLSNLKBFTWCAEE-LLVKDONJSA-N 0 3 219.332 2.833 20 0 BFADHN C[C@H]1N(CCC2CCC2)CCOC1(C)C ZINC000660006999 490131488 /nfs/dbraw/zinc/13/14/88/490131488.db2.gz CUWJIHDPZDDCQC-LLVKDONJSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@H](NCc1csc(N(C)C)n1)C(C)C ZINC000122331174 490133478 /nfs/dbraw/zinc/13/34/78/490133478.db2.gz AXBJNFCBHYEJIM-NSHDSACASA-N 0 3 241.404 2.733 20 0 BFADHN c1cn(-c2ccccc2)c(CNC2CC=CC2)n1 ZINC000682941706 490145563 /nfs/dbraw/zinc/14/55/63/490145563.db2.gz GXJCUOQAWCFCEI-UHFFFAOYSA-N 0 3 239.322 2.681 20 0 BFADHN CO[C@H]1CCC[C@H](NCc2ccccc2F)C1 ZINC000070325892 490206931 /nfs/dbraw/zinc/20/69/31/490206931.db2.gz CZHXRVDXZITWJT-STQMWFEESA-N 0 3 237.318 2.873 20 0 BFADHN CO[C@H]1CCC[C@@H](N[C@@H](C)c2ccccn2)C1 ZINC000070326399 490208598 /nfs/dbraw/zinc/20/85/98/490208598.db2.gz GODFWMRGYOTNSI-XQQFMLRXSA-N 0 3 234.343 2.690 20 0 BFADHN C(NCC1CC1)c1nc2c(s1)CCCC2 ZINC000070627149 490231099 /nfs/dbraw/zinc/23/10/99/490231099.db2.gz NMZLVWWGENWGAL-UHFFFAOYSA-N 0 3 222.357 2.522 20 0 BFADHN Cc1n[nH]cc1CNCc1ccc(C2CC2)cc1 ZINC000094519238 490240847 /nfs/dbraw/zinc/24/08/47/490240847.db2.gz HESKEGAZYNXWEH-UHFFFAOYSA-N 0 3 241.338 2.885 20 0 BFADHN Cc1nc([C@@H](C)N2CCC[C@H](C3CCC3)C2)n[nH]1 ZINC000662043868 490247442 /nfs/dbraw/zinc/24/74/42/490247442.db2.gz MWUBVBKJPIHSGT-MFKMUULPSA-N 0 3 248.374 2.686 20 0 BFADHN C[C@@H](CNCc1ccco1)N(C)c1ccccc1 ZINC000069681699 490267640 /nfs/dbraw/zinc/26/76/40/490267640.db2.gz JAMXMFRNLOYVIS-ZDUSSCGKSA-N 0 3 244.338 2.894 20 0 BFADHN Cc1cncc([C@H](C)N[C@H](C)[C@@H]2CCCCO2)c1 ZINC000274353528 490289162 /nfs/dbraw/zinc/28/91/62/490289162.db2.gz GWHWDERRNQUHJF-GUTXKFCHSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1cc2cnccc2o1 ZINC000657888140 490292657 /nfs/dbraw/zinc/29/26/57/490292657.db2.gz OFWLQMRQHNCOEV-CMPLNLGQSA-N 0 3 248.326 2.639 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1cnc(C2CC2)nc1 ZINC000335140597 490390211 /nfs/dbraw/zinc/39/02/11/490390211.db2.gz ULOUOHKFTBMGKD-NWDGAFQWSA-N 0 3 245.370 2.974 20 0 BFADHN CN(Cc1c[nH]cn1)CC1CCC(F)(F)CC1 ZINC000661093528 490394885 /nfs/dbraw/zinc/39/48/85/490394885.db2.gz QNIHIELISODCGW-UHFFFAOYSA-N 0 3 243.301 2.667 20 0 BFADHN CN(Cc1cnc[nH]1)CC1CCC(F)(F)CC1 ZINC000661093528 490394888 /nfs/dbraw/zinc/39/48/88/490394888.db2.gz QNIHIELISODCGW-UHFFFAOYSA-N 0 3 243.301 2.667 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@H]1C)c1ncc[nH]1 ZINC000124701028 490398500 /nfs/dbraw/zinc/39/85/00/490398500.db2.gz RFXRUNFWXBPFPB-WHOHXGKFSA-N 0 3 221.348 2.885 20 0 BFADHN CC(C)CC[C@H](C)N[C@@H](C)c1ncc[nH]1 ZINC000124758289 490401665 /nfs/dbraw/zinc/40/16/65/490401665.db2.gz BMKBKQGOKIQCPO-QWRGUYRKSA-N 0 3 209.337 2.885 20 0 BFADHN CCn1nccc1CN[C@H]1CCc2ccccc21 ZINC000125147328 490416774 /nfs/dbraw/zinc/41/67/74/490416774.db2.gz FZHZSKOZEFYPHM-HNNXBMFYSA-N 0 3 241.338 2.680 20 0 BFADHN CCc1ccc([C@H](C)NCC2(O)CCCC2)o1 ZINC000305105817 490434078 /nfs/dbraw/zinc/43/40/78/490434078.db2.gz CYSLMLVAQYVAIZ-NSHDSACASA-N 0 3 237.343 2.798 20 0 BFADHN Cn1cc(CNCC(C)(C)C)c(C(F)(F)F)n1 ZINC000130654759 490434748 /nfs/dbraw/zinc/43/47/48/490434748.db2.gz DSYQPGQSQVSHLB-UHFFFAOYSA-N 0 3 249.280 2.575 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCCOCCF)CC2 ZINC000663464442 490437017 /nfs/dbraw/zinc/43/70/17/490437017.db2.gz QSHADAPYOLXEAE-CQSZACIVSA-N 0 3 237.318 2.558 20 0 BFADHN O[C@@H](CC1CCCC1)CN1CCC(F)(F)CC1 ZINC000405189028 490449097 /nfs/dbraw/zinc/44/90/97/490449097.db2.gz ROIIWRUNIMFMAC-LBPRGKRZSA-N 0 3 247.329 2.659 20 0 BFADHN CN(Cc1cccc(Cl)c1)C[C@@H](O)C1CC1 ZINC000079770183 490461037 /nfs/dbraw/zinc/46/10/37/490461037.db2.gz JFBXXNUIXAYRDW-CYBMUJFWSA-N 0 3 239.746 2.543 20 0 BFADHN Cc1nc([C@@H](C)NCCCF)c(C)s1 ZINC000130966451 490465995 /nfs/dbraw/zinc/46/59/95/490465995.db2.gz DQQPYPJVTPAQDU-SSDOTTSWSA-N 0 3 216.325 2.770 20 0 BFADHN CC(C)(C)CCNC(=O)Nc1cccc(CN)c1 ZINC000124194285 490490412 /nfs/dbraw/zinc/49/04/12/490490412.db2.gz YEYDOXPOLSAUQY-UHFFFAOYSA-N 0 3 249.358 2.703 20 0 BFADHN COc1ccc(CNC2CC=CC2)c(OC)c1 ZINC000125247984 490497197 /nfs/dbraw/zinc/49/71/97/490497197.db2.gz FGKHMGKEAYYQEX-UHFFFAOYSA-N 0 3 233.311 2.512 20 0 BFADHN COC[C@@H](Nc1cccc(CN(C)C)c1)C1CC1 ZINC000126168193 490505860 /nfs/dbraw/zinc/50/58/60/490505860.db2.gz FRANKTNWMWSPTL-OAHLLOKOSA-N 0 3 248.370 2.585 20 0 BFADHN Cc1ncc([C@H](C)NCCc2ccco2)s1 ZINC000126943296 490526730 /nfs/dbraw/zinc/52/67/30/490526730.db2.gz BIQMFTZSWWGZAA-VIFPVBQESA-N 0 3 236.340 2.938 20 0 BFADHN Fc1ccccc1C1(NC2CCOCC2)CCC1 ZINC000647332811 490562962 /nfs/dbraw/zinc/56/29/62/490562962.db2.gz FNSGUAQDQOOLDZ-UHFFFAOYSA-N 0 3 249.329 2.974 20 0 BFADHN CC(C)C[C@H](C)CNCc1ccccn1 ZINC000300862614 490571601 /nfs/dbraw/zinc/57/16/01/490571601.db2.gz GQBHKOATXCQZQU-LBPRGKRZSA-N 0 3 206.333 2.853 20 0 BFADHN CC[C@H](Cc1ccccc1)NCc1ccon1 ZINC000129031732 490597880 /nfs/dbraw/zinc/59/78/80/490597880.db2.gz VJNGCGIILFLCFA-CYBMUJFWSA-N 0 3 230.311 2.786 20 0 BFADHN CCC(CC)COc1ccccc1CN ZINC000129068267 490598658 /nfs/dbraw/zinc/59/86/58/490598658.db2.gz SOMUGZHNEPUTGN-UHFFFAOYSA-N 0 3 207.317 2.960 20 0 BFADHN CCCCOc1ccc(CN[C@H]2CCOC2)cc1 ZINC000131166173 490619986 /nfs/dbraw/zinc/61/99/86/490619986.db2.gz TVCAJRNVECTXIQ-AWEZNQCLSA-N 0 3 249.354 2.744 20 0 BFADHN Fc1ccc([C@@H](N[C@H]2CCOC2)C2CC2)cc1 ZINC000131177659 490622611 /nfs/dbraw/zinc/62/26/11/490622611.db2.gz PFCHOTNMJHNTQP-KBPBESRZSA-N 0 3 235.302 2.655 20 0 BFADHN CC(C)Cc1ccc(CN[C@H]2CCOC2)cc1 ZINC000131264561 490627589 /nfs/dbraw/zinc/62/75/89/490627589.db2.gz UFYODPWOHCVEJJ-HNNXBMFYSA-N 0 3 233.355 2.764 20 0 BFADHN Cc1csc([C@H](C)N[C@H]2CC[C@H]2C)n1 ZINC000306308050 490633534 /nfs/dbraw/zinc/63/35/34/490633534.db2.gz RIHLVFCTWJDMTN-JEZHCXPESA-N 0 3 210.346 2.901 20 0 BFADHN CC(C)C[C@@H]1CCCN(Cc2cncnc2)C1 ZINC000131794318 490658937 /nfs/dbraw/zinc/65/89/37/490658937.db2.gz VOYHYVDUDLPQMX-ZDUSSCGKSA-N 0 3 233.359 2.735 20 0 BFADHN Cc1occc1CN[C@@H]1CCS[C@@H]1C ZINC000307298000 490659438 /nfs/dbraw/zinc/65/94/38/490659438.db2.gz QCNVPYWRMWKQAC-MWLCHTKSSA-N 0 3 211.330 2.572 20 0 BFADHN c1cnc2c(c1)CCC[C@H]2N[C@@H]1CCCSC1 ZINC000136350949 491056257 /nfs/dbraw/zinc/05/62/57/491056257.db2.gz ZKPVLCFALHPFJX-CHWSQXEVSA-N 0 3 248.395 2.944 20 0 BFADHN CCC1(CNCc2nccs2)CCC1 ZINC000227763332 491064784 /nfs/dbraw/zinc/06/47/84/491064784.db2.gz JCMOXCWCVWJDBB-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN c1ccc2c(c1)CSC[C@H]2N[C@@H]1CCCOC1 ZINC000109545819 491078891 /nfs/dbraw/zinc/07/88/91/491078891.db2.gz VYQSTVZCGIALNE-TZMCWYRMSA-N 0 3 249.379 2.743 20 0 BFADHN C[C@@H](NCCN1CCCC[C@H]1C)c1ccccn1 ZINC000270923541 491074482 /nfs/dbraw/zinc/07/44/82/491074482.db2.gz OFQBODMERLSDGO-ZIAGYGMSSA-N 0 3 247.386 2.607 20 0 BFADHN CCC1(CNCc2nn(C)c3ccccc23)CC1 ZINC000226784010 491050618 /nfs/dbraw/zinc/05/06/18/491050618.db2.gz LQKCIFHFUFSAJH-UHFFFAOYSA-N 0 3 243.354 2.853 20 0 BFADHN Cc1n[nH]cc1CN[C@@H]1C[C@H]1CC(C)(C)C ZINC000651911967 491078532 /nfs/dbraw/zinc/07/85/32/491078532.db2.gz GDKUKFUHUPEDHE-CMPLNLGQSA-N 0 3 221.348 2.632 20 0 BFADHN C[C@@]1(CNCc2ccoc2)CCCS1 ZINC000087620525 491091139 /nfs/dbraw/zinc/09/11/39/491091139.db2.gz XZYAILUZKHAXOC-NSHDSACASA-N 0 3 211.330 2.655 20 0 BFADHN Cc1noc([C@@H]2CCCCN2CC2CC=CC2)n1 ZINC000660547785 491092164 /nfs/dbraw/zinc/09/21/64/491092164.db2.gz FDXFHTIMKZRZON-ZDUSSCGKSA-N 0 3 247.342 2.871 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)O)c1ccc(F)c(F)c1 ZINC000087817654 491092532 /nfs/dbraw/zinc/09/25/32/491092532.db2.gz DPJUJVPXTRWAHU-RKDXNWHRSA-N 0 3 243.297 2.775 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H](C)C(C)(C)O ZINC000087817341 491092570 /nfs/dbraw/zinc/09/25/70/491092570.db2.gz STRVPHIQZIESNH-WDEREUQCSA-N 0 3 237.343 2.505 20 0 BFADHN C[C@@H](N[C@@H](C)c1cc(F)ccc1F)C(C)(C)O ZINC000087817555 491092584 /nfs/dbraw/zinc/09/25/84/491092584.db2.gz MCOAVGXWQGLKGF-DTWKUNHWSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@H](N[C@@H](C)C(C)(C)O)c1cc(F)ccc1F ZINC000087817553 491092600 /nfs/dbraw/zinc/09/26/00/491092600.db2.gz MCOAVGXWQGLKGF-IUCAKERBSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H]1CC(NCc2ccon2)C[C@@H](C)C1 ZINC000088052742 491094198 /nfs/dbraw/zinc/09/41/98/491094198.db2.gz VKMROXJHEWDQAN-UWVGGRQHSA-N 0 3 208.305 2.589 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H](C)[C@H]2C)o1 ZINC000685566124 491101109 /nfs/dbraw/zinc/10/11/09/491101109.db2.gz DQSOMWNNROBHMF-WCBMZHEXSA-N 0 3 208.305 2.522 20 0 BFADHN CCOc1ccc(CN(CC)CC2CC2)nc1 ZINC000088520681 491104108 /nfs/dbraw/zinc/10/41/08/491104108.db2.gz NDRSWVOHODBGKC-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@@H](C)CCNCc1csc(C)n1 ZINC000394443043 491111231 /nfs/dbraw/zinc/11/12/31/491111231.db2.gz FMEFGBHEVLKALY-SECBINFHSA-N 0 3 212.362 2.977 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000638087392 491111833 /nfs/dbraw/zinc/11/18/33/491111833.db2.gz RYOOEFYLTUWHJE-MJBXVCDLSA-N 0 3 245.370 2.603 20 0 BFADHN C[C@H](CCO)N[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000124021935 491121443 /nfs/dbraw/zinc/12/14/43/491121443.db2.gz KVVWNGXNGOITNR-BDAKNGLRSA-N 0 3 245.725 2.901 20 0 BFADHN Fc1cccnc1CN[C@H](C1CC1)C1CCC1 ZINC000519318473 491123204 /nfs/dbraw/zinc/12/32/04/491123204.db2.gz FCIKEGNRVLDJEW-AWEZNQCLSA-N 0 3 234.318 2.889 20 0 BFADHN C[C@@H](NC1CCC2(CC2)CC1)c1nccn1C ZINC000336253901 491124107 /nfs/dbraw/zinc/12/41/07/491124107.db2.gz VSGFPWBHBVEHIX-LLVKDONJSA-N 0 3 233.359 2.794 20 0 BFADHN Cc1ncc([C@H](C)NCCc2ccccn2)s1 ZINC000090399097 491124782 /nfs/dbraw/zinc/12/47/82/491124782.db2.gz SPGQIRSYQPSUES-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN Cc1ncc([C@@H](C)NC[C@@]2(C)CCCO2)s1 ZINC000090419117 491126718 /nfs/dbraw/zinc/12/67/18/491126718.db2.gz WVBPVJYGQGZJBW-BXKDBHETSA-N 0 3 240.372 2.671 20 0 BFADHN C[C@H](CCc1ccco1)N[C@@H](C)c1ncc[nH]1 ZINC000139092101 491130377 /nfs/dbraw/zinc/13/03/77/491130377.db2.gz AIPSHZYLPMVIMZ-MNOVXSKESA-N 0 3 233.315 2.675 20 0 BFADHN C[C@H](N[C@@H]1CCCc2cccnc21)[C@H]1CCCO1 ZINC000091660465 491131266 /nfs/dbraw/zinc/13/12/66/491131266.db2.gz ODZDSFGHRYLTNI-IACUBPJLSA-N 0 3 246.354 2.616 20 0 BFADHN c1cnc2c(c1)CCC[C@@H]2NC[C@H]1CCCCO1 ZINC000091661385 491131343 /nfs/dbraw/zinc/13/13/43/491131343.db2.gz IPZKXSAGQGCJLP-KGLIPLIRSA-N 0 3 246.354 2.618 20 0 BFADHN Cc1ncc(CN[C@@H](C)CC2CCC2)o1 ZINC000397900930 491132586 /nfs/dbraw/zinc/13/25/86/491132586.db2.gz BPKTXXVWLINTIJ-VIFPVBQESA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@H](C)C[C@H](CO)N[C@@H](C)c1ccco1 ZINC000647336011 491133220 /nfs/dbraw/zinc/13/32/20/491133220.db2.gz HAAVONJXAKRZLV-SDDRHHMPSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CCSC2)s1 ZINC000306528110 491133451 /nfs/dbraw/zinc/13/34/51/491133451.db2.gz ZPKHDINTQUVMFM-IONNQARKSA-N 0 3 228.386 2.608 20 0 BFADHN C[C@H](NC1CC1)c1ccc(Br)cn1 ZINC000307696095 491134270 /nfs/dbraw/zinc/13/42/70/491134270.db2.gz JXNKEJGBLZPNCA-ZETCQYMHSA-N 0 3 241.132 2.657 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1csc(C)n1 ZINC000308740893 491137813 /nfs/dbraw/zinc/13/78/13/491137813.db2.gz ORVSCHWSVDIOJW-ONGXEEELSA-N 0 3 210.346 2.730 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc(OC)c(OC)c1 ZINC000223694046 491138187 /nfs/dbraw/zinc/13/81/87/491138187.db2.gz HECFYXMSEFPBDL-MVIFTORASA-N 0 3 235.327 2.931 20 0 BFADHN CC[C@@H](NC[C@@H](C)C1CC1)c1ccn(C)n1 ZINC000309533754 491150065 /nfs/dbraw/zinc/15/00/65/491150065.db2.gz DQQYHNYWNLMPIR-ZYHUDNBSSA-N 0 3 221.348 2.507 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NC[C@@H]1CCCCS1 ZINC000309587610 491151250 /nfs/dbraw/zinc/15/12/50/491151250.db2.gz SMVPIKQZDIYAIW-ONGXEEELSA-N 0 3 239.388 2.654 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@@H]1NCc1cocn1 ZINC000309716024 491154890 /nfs/dbraw/zinc/15/48/90/491154890.db2.gz SWKQAIAQQIHZEC-WZRBSPASSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@H]1CCSC1 ZINC000224442425 491155030 /nfs/dbraw/zinc/15/50/30/491155030.db2.gz PJOHFHGZCBDUKE-GZMMTYOYSA-N 0 3 240.372 2.695 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1oc(C)nc1C ZINC000309704217 491155411 /nfs/dbraw/zinc/15/54/11/491155411.db2.gz NTESWRKLEIZKCV-NEPJUHHUSA-N 0 3 222.332 2.960 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CNCc1cocn1 ZINC000309794757 491156698 /nfs/dbraw/zinc/15/66/98/491156698.db2.gz PWUOMXJHISHNEY-DGCLKSJQSA-N 0 3 220.316 2.757 20 0 BFADHN C[C@H](N[C@@H](C)C(C)(C)C)c1nccn1C ZINC000133883920 491160883 /nfs/dbraw/zinc/16/08/83/491160883.db2.gz ZIMLUGDEHNVFKT-UWVGGRQHSA-N 0 3 209.337 2.505 20 0 BFADHN CC(C)=CCNCc1cnn(CC(F)(F)F)c1 ZINC000310328200 491161019 /nfs/dbraw/zinc/16/10/19/491161019.db2.gz ZLXTWOKFYPYYOA-UHFFFAOYSA-N 0 3 247.264 2.501 20 0 BFADHN CCOc1ccc(CN2C[C@@H](C)C[C@H](C)C2)nc1 ZINC000083595667 491162276 /nfs/dbraw/zinc/16/22/76/491162276.db2.gz OVQKRNFENYOSHD-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@@H]1CCC[C@H]1Nc1ccnc(CO)c1 ZINC000858443160 582460868 /nfs/dbraw/zinc/46/08/68/582460868.db2.gz PWMXGIFOBXXXQG-ZWNOBZJWSA-N 0 3 220.316 2.565 20 0 BFADHN COCCCCCN[C@@H](C)c1ccns1 ZINC000860053333 582499247 /nfs/dbraw/zinc/49/92/47/582499247.db2.gz AIPXJMZSRJPEGZ-JTQLQIEISA-N 0 3 228.361 2.610 20 0 BFADHN CSC[C@@H]1CCCN1C/C=C/c1ccncc1 ZINC000494980164 533750653 /nfs/dbraw/zinc/75/06/53/533750653.db2.gz YLHRQEBZDKLWAW-PMUGQKEBSA-N 0 3 248.395 2.922 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2COc3ccc(F)cc32)[C@H]1C ZINC000494930638 533964530 /nfs/dbraw/zinc/96/45/30/533964530.db2.gz AERGJCXKRGEHNK-FIDNZITISA-N 0 3 235.302 2.893 20 0 BFADHN CCC[C@H](C)CN1CCC[C@@H]1c1nc(C)no1 ZINC000348811650 535609700 /nfs/dbraw/zinc/60/97/00/535609700.db2.gz CCEQKWCDTTWLBL-CMPLNLGQSA-N 0 3 237.347 2.951 20 0 BFADHN CCCN(CCC)Cc1cn(C)nc1C1CC1 ZINC000891449483 582688831 /nfs/dbraw/zinc/68/88/31/582688831.db2.gz XLJCLOOWTLASQR-UHFFFAOYSA-N 0 3 235.375 2.920 20 0 BFADHN CC[C@@H](N[C@@H](C)C1CC1)c1nccs1 ZINC000070633874 535807746 /nfs/dbraw/zinc/80/77/46/535807746.db2.gz UQBZRQGITWULDV-WCBMZHEXSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@@H]1OCC[C@]1(C)N[C@H]1CCc2ccc(F)cc21 ZINC000494250009 534206283 /nfs/dbraw/zinc/20/62/83/534206283.db2.gz FQPQDYSQFOWMRV-LKTVYLICSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H]1OCC[C@]1(C)N[C@@H]1CCc2ccc(F)cc21 ZINC000494250011 534206373 /nfs/dbraw/zinc/20/63/73/534206373.db2.gz FQPQDYSQFOWMRV-VQISRLSMSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1ncc(CNC/C=C\c2ccncc2)s1 ZINC000487310869 534738492 /nfs/dbraw/zinc/73/84/92/534738492.db2.gz ZLSSRCXDILHMBA-IHWYPQMZSA-N 0 3 245.351 2.650 20 0 BFADHN Cc1ncc(CNC/C=C/c2ccncc2)s1 ZINC000487310870 534739737 /nfs/dbraw/zinc/73/97/37/534739737.db2.gz ZLSSRCXDILHMBA-NSCUHMNNSA-N 0 3 245.351 2.650 20 0 BFADHN C/C(=C\c1ccccc1)CN(CCO)C1CC1 ZINC000507110366 527532290 /nfs/dbraw/zinc/53/22/90/527532290.db2.gz JPOUZEBXKHDZIX-ACCUITESSA-N 0 3 231.339 2.547 20 0 BFADHN CC(C)C(CN[C@H](C)c1ncc[nH]1)C(C)C ZINC000304439671 527589501 /nfs/dbraw/zinc/58/95/01/527589501.db2.gz VEIVAJVYFIIHRX-LLVKDONJSA-N 0 3 223.364 2.989 20 0 BFADHN CCC1CCN([C@@H](CC(C)C)C(=O)OC)CC1 ZINC000509328155 527870050 /nfs/dbraw/zinc/87/00/50/527870050.db2.gz PLNAQYMQOFUEFY-ZDUSSCGKSA-N 0 3 241.375 2.696 20 0 BFADHN CCN1C[C@@H](C)[C@@H](Nc2ccc(C)cc2F)C1 ZINC000482760262 527933121 /nfs/dbraw/zinc/93/31/21/527933121.db2.gz KGCUXVSYETWVBC-RISCZKNCSA-N 0 3 236.334 2.886 20 0 BFADHN CC(C)OCCCN(C)C/C=C\c1ccncc1 ZINC000494998601 527934921 /nfs/dbraw/zinc/93/49/21/527934921.db2.gz RMPDJRWFOXDQHO-XQRVVYSFSA-N 0 3 248.370 2.842 20 0 BFADHN CC1CCC(CN(C)Cc2cnn(C)c2)CC1 ZINC000172873071 528177265 /nfs/dbraw/zinc/17/72/65/528177265.db2.gz LJBOLZHSKHKBRA-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN CCC(C)(C)N(C)CC(=O)NCCC(C)(C)C ZINC000512280496 528538832 /nfs/dbraw/zinc/53/88/32/528538832.db2.gz IECHSXPWRDYGCU-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@H]1C[C@H]1C[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000892571634 582916972 /nfs/dbraw/zinc/91/69/72/582916972.db2.gz PGHPOTPLYPBPFK-SCZZXKLOSA-N 0 3 225.719 2.791 20 0 BFADHN CC(C)[C@@H]1CC[C@@H](NCc2ccn(C)n2)C[C@H]1C ZINC000498349469 528707779 /nfs/dbraw/zinc/70/77/79/528707779.db2.gz CLDMNTZPWWSMHC-NFAWXSAZSA-N 0 3 249.402 2.971 20 0 BFADHN CC(C)[C@@H]1CC[C@H](NCc2ccn(C)n2)C[C@H]1C ZINC000498349468 528708695 /nfs/dbraw/zinc/70/86/95/528708695.db2.gz CLDMNTZPWWSMHC-IPYPFGDCSA-N 0 3 249.402 2.971 20 0 BFADHN CCN(Cc1ccn(C)n1)C1CCCCC1 ZINC000505249580 528856372 /nfs/dbraw/zinc/85/63/72/528856372.db2.gz RSABZJWHSGAQHR-UHFFFAOYSA-N 0 3 221.348 2.575 20 0 BFADHN CCCC[C@H](C)C(=O)NCCN(C)CCCC ZINC000503599274 528883652 /nfs/dbraw/zinc/88/36/52/528883652.db2.gz IDPJGARSKJRMIQ-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN CC1(C)CC[C@H](CNC/C=C\c2ccncc2)O1 ZINC000487579432 529042494 /nfs/dbraw/zinc/04/24/94/529042494.db2.gz WDJLDUSZHKFGHC-VQTKUKTRSA-N 0 3 246.354 2.642 20 0 BFADHN CC[C@H]1CCCC[C@H]1CNCc1cc[nH]n1 ZINC000401775960 529209364 /nfs/dbraw/zinc/20/93/64/529209364.db2.gz YZIJNDWEUNJXBU-RYUDHWBXSA-N 0 3 221.348 2.716 20 0 BFADHN CC[C@@H](O)CN[C@@H]1CCCOc2ccc(C)cc21 ZINC000421877188 529233365 /nfs/dbraw/zinc/23/33/65/529233365.db2.gz LKWHGHATCIQVMS-TZMCWYRMSA-N 0 3 249.354 2.569 20 0 BFADHN C[C@H](N[C@H]1CCC(F)(F)C1)c1ccncc1 ZINC000393739372 582920797 /nfs/dbraw/zinc/92/07/97/582920797.db2.gz ABDZGGWUVMIXDJ-ONGXEEELSA-N 0 3 226.270 2.920 20 0 BFADHN CC[C@@H]1CCCN(Cc2cc(C)n(C)n2)CC1 ZINC000487379791 529298665 /nfs/dbraw/zinc/29/86/65/529298665.db2.gz QHFKOEBCTUQCOW-CYBMUJFWSA-N 0 3 235.375 2.741 20 0 BFADHN C[C@@H](N[C@H]1CCC(F)(F)C1)c1ccncc1 ZINC000393739365 582920943 /nfs/dbraw/zinc/92/09/43/582920943.db2.gz ABDZGGWUVMIXDJ-KOLCDFICSA-N 0 3 226.270 2.920 20 0 BFADHN CCCN1CCN(c2ccc(C)cc2)CC1 ZINC000040899754 534933300 /nfs/dbraw/zinc/93/33/00/534933300.db2.gz OJWWYQSUFHDYCM-UHFFFAOYSA-N 0 3 218.344 2.527 20 0 BFADHN CC(C)CC[C@@](C)(O)CNCc1ccco1 ZINC000164340635 534937119 /nfs/dbraw/zinc/93/71/19/534937119.db2.gz VCUCFZAMNMAZMA-CYBMUJFWSA-N 0 3 225.332 2.556 20 0 BFADHN C[C@@H](N[C@@H]1CCC(F)(F)C1)c1ccncc1 ZINC000393739368 582921059 /nfs/dbraw/zinc/92/10/59/582921059.db2.gz ABDZGGWUVMIXDJ-MWLCHTKSSA-N 0 3 226.270 2.920 20 0 BFADHN CCC(CC)N(CCOC)Cc1cccnc1 ZINC000077482992 535049958 /nfs/dbraw/zinc/04/99/58/535049958.db2.gz FJRGRNQEBKUSRR-UHFFFAOYSA-N 0 3 236.359 2.719 20 0 BFADHN CC(C)Sc1ccc(CN(C)CCO)cc1 ZINC000258880747 535116972 /nfs/dbraw/zinc/11/69/72/535116972.db2.gz BPZJYFXDEXRKCM-UHFFFAOYSA-N 0 3 239.384 2.611 20 0 BFADHN CC1(CNCc2cccc3c2OCO3)CCC1 ZINC000226787442 535153064 /nfs/dbraw/zinc/15/30/64/535153064.db2.gz UKPJCBJDJDJYJY-UHFFFAOYSA-N 0 3 233.311 2.695 20 0 BFADHN CCCCN(C(=O)[C@H](N)CC)c1ccccc1 ZINC000081581302 535188314 /nfs/dbraw/zinc/18/83/14/535188314.db2.gz RUAHFXOQAASQSH-CYBMUJFWSA-N 0 3 234.343 2.557 20 0 BFADHN CC/C=C/CCN1CCOCC12CCC2 ZINC000184682844 535232567 /nfs/dbraw/zinc/23/25/67/535232567.db2.gz MWAAFEGWJYRQQC-ONEGZZNKSA-N 0 3 209.333 2.598 20 0 BFADHN CC1=C(c2ccco2)CN(C[C@@H]2CCCO2)CC1 ZINC000335928171 535240718 /nfs/dbraw/zinc/24/07/18/535240718.db2.gz CRZSBLKEEQTMCJ-ZDUSSCGKSA-N 0 3 247.338 2.938 20 0 BFADHN CCOc1cccc(CNC2(C)CCCC2)n1 ZINC000343113870 535456220 /nfs/dbraw/zinc/45/62/20/535456220.db2.gz ZVTLJSFKAWGCOT-UHFFFAOYSA-N 0 3 234.343 2.903 20 0 BFADHN CC[C@@H](C)N(C)C(=O)[C@H](C)N1CCCCCC1 ZINC000172100231 535546177 /nfs/dbraw/zinc/54/61/77/535546177.db2.gz YKJUZWITXPANNH-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN CCCn1cc(CN2CC[C@H](CC)C2)cn1 ZINC000180569331 535610603 /nfs/dbraw/zinc/61/06/03/535610603.db2.gz DYDJASRLZLYFPS-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccncc1F ZINC000336219956 535820610 /nfs/dbraw/zinc/82/06/10/535820610.db2.gz TUNPGTOOKGZTLU-LLVKDONJSA-N 0 3 208.280 2.595 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](C)c1ccncc1OC ZINC000348318467 535823700 /nfs/dbraw/zinc/82/37/00/535823700.db2.gz YFERGEQPFALDRX-LOWVWBTDSA-N 0 3 234.343 2.929 20 0 BFADHN CC[C@H](NCCOC(C)C)c1ccc(F)cn1 ZINC000168874550 535902345 /nfs/dbraw/zinc/90/23/45/535902345.db2.gz IYWQUMFOSPNFQC-LBPRGKRZSA-N 0 3 240.322 2.686 20 0 BFADHN CC[C@H](N[C@@H]1CCO[C@H](C)C1)c1nccs1 ZINC000070717500 535939495 /nfs/dbraw/zinc/93/94/95/535939495.db2.gz VFLDWCMUNUCTIM-MXWKQRLJSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H](N[C@H]1CCOC1)c1cccs1 ZINC000070968558 535947095 /nfs/dbraw/zinc/94/70/95/535947095.db2.gz JYVJZGDZLVSCMX-UWVGGRQHSA-N 0 3 211.330 2.578 20 0 BFADHN CC[C@@]1(C)CCN([C@@H](C)c2cnc(C)cn2)C1 ZINC000336109814 536051354 /nfs/dbraw/zinc/05/13/54/536051354.db2.gz NMYLCNSYFMUPRK-JSGCOSHPSA-N 0 3 233.359 2.968 20 0 BFADHN CC[C@H](C(=O)OC(C)(C)C)N1CCC[C@H]1C ZINC000248830994 536100648 /nfs/dbraw/zinc/10/06/48/536100648.db2.gz IKHWXFLGKITHQR-GHMZBOCLSA-N 0 3 227.348 2.591 20 0 BFADHN CCc1ccc(CN2CC[C@@H](C)[C@@H](OC)C2)o1 ZINC000249260986 536178885 /nfs/dbraw/zinc/17/88/85/536178885.db2.gz ZATYYBOFEVCFBL-RISCZKNCSA-N 0 3 237.343 2.699 20 0 BFADHN CCc1ccc(CN2CC[C@@H]2C(C)C)cn1 ZINC000347969811 536179086 /nfs/dbraw/zinc/17/90/86/536179086.db2.gz WGEWICZISFEHOD-CQSZACIVSA-N 0 3 218.344 2.874 20 0 BFADHN CN(CCC1CCCCC1)Cc1cncn1C ZINC000177038123 536322621 /nfs/dbraw/zinc/32/26/21/536322621.db2.gz NMUWTUXJYBBXJM-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN CN(Cc1cc2ccccc2o1)CC1(C)COC1 ZINC000350260510 536461818 /nfs/dbraw/zinc/46/18/18/536461818.db2.gz WNNHGTBEZIYJDG-UHFFFAOYSA-N 0 3 245.322 2.901 20 0 BFADHN COC1CC(N(C)Cc2ccc(C)s2)C1 ZINC000348707630 536717233 /nfs/dbraw/zinc/71/72/33/536717233.db2.gz JMPMHZQXILVEJG-UHFFFAOYSA-N 0 3 225.357 2.666 20 0 BFADHN COC1CC(N[C@@H](C)c2cc(C)oc2C)C1 ZINC000220903668 536721399 /nfs/dbraw/zinc/72/13/99/536721399.db2.gz TXTDPGDCEPCDSC-GCVQQVDUSA-N 0 3 223.316 2.724 20 0 BFADHN COCc1ccc(CN[C@@H](C)CC2CC2)o1 ZINC000166695175 536778834 /nfs/dbraw/zinc/77/88/34/536778834.db2.gz SNLUMUDLGKWALP-JTQLQIEISA-N 0 3 223.316 2.704 20 0 BFADHN CO[C@H]1C[C@@H](NCc2ccsc2)C1(C)C ZINC000227827942 536802365 /nfs/dbraw/zinc/80/23/65/536802365.db2.gz LBVAEZUUQRRHAH-MNOVXSKESA-N 0 3 225.357 2.651 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2C[C@H]2C(F)F)cc1 ZINC000348275863 536905205 /nfs/dbraw/zinc/90/52/05/536905205.db2.gz MPHAIFWWPHWQRK-XXILOJSOSA-N 0 3 241.281 2.999 20 0 BFADHN Cc1ncc([C@@H](C)NCCOC(C)C)s1 ZINC000134948126 538114115 /nfs/dbraw/zinc/11/41/15/538114115.db2.gz IHDOYNFGOQAXQU-SECBINFHSA-N 0 3 228.361 2.527 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ccnc(OC)c1F ZINC000892676814 582950019 /nfs/dbraw/zinc/95/00/19/582950019.db2.gz PLWGLKCBOREDAS-ZJUUUORDSA-N 0 3 240.322 2.754 20 0 BFADHN CCCCN(CCCC)Cc1cncnc1 ZINC000158060830 538850332 /nfs/dbraw/zinc/85/03/32/538850332.db2.gz NZLAIGQDAVPTJZ-UHFFFAOYSA-N 0 3 221.348 2.879 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NC1CC(C)C1 ZINC000231788306 566281113 /nfs/dbraw/zinc/28/11/13/566281113.db2.gz XSKCQXPPQUSLOF-JKDSDDBFSA-N 0 3 208.305 2.741 20 0 BFADHN COC[C@H](Nc1cc(C)cc(C)n1)C1CC1 ZINC000134352066 566327233 /nfs/dbraw/zinc/32/72/33/566327233.db2.gz DQJJLVJVQNWQCV-LBPRGKRZSA-N 0 3 220.316 2.535 20 0 BFADHN CCc1nn(C)cc1CN(CCC(C)C)C1CC1 ZINC000179678285 566339322 /nfs/dbraw/zinc/33/93/22/566339322.db2.gz KRXSIPFCYAWBLR-UHFFFAOYSA-N 0 3 249.402 2.993 20 0 BFADHN COCc1cccc(CN[C@@H]2CCC[C@H]2F)c1 ZINC000339582640 566373580 /nfs/dbraw/zinc/37/35/80/566373580.db2.gz GZCVANFTKVKVCU-ZIAGYGMSSA-N 0 3 237.318 2.813 20 0 BFADHN COc1ccc(CNCC(C)(C)SC)o1 ZINC000893074335 583041854 /nfs/dbraw/zinc/04/18/54/583041854.db2.gz MUYRWCUGVXYJIE-UHFFFAOYSA-N 0 3 229.345 2.519 20 0 BFADHN Cc1ncoc1CN(C)C1CCCCC1 ZINC000893872203 583237569 /nfs/dbraw/zinc/23/75/69/583237569.db2.gz VFELWFNIVLJGBN-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN Cc1ccnc(N)c1CN(C)[C@@H](C)C(C)(C)C ZINC000893952999 583261995 /nfs/dbraw/zinc/26/19/95/583261995.db2.gz PAGXWYOXSFQAOD-NSHDSACASA-N 0 3 235.375 2.839 20 0 BFADHN Cc1n[nH]c(CN2C[C@H](C)CC[C@@H]2C)c1C ZINC000894035476 583279628 /nfs/dbraw/zinc/27/96/28/583279628.db2.gz YWYNNPAXNWMXDL-ZJUUUORDSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1ncoc1CN1CCCC(C)(C)CC1 ZINC000894052688 583289912 /nfs/dbraw/zinc/28/99/12/583289912.db2.gz KOYBPGFREUPSQQ-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1ncoc1CN[C@@H]1CCC[C@H](C)C1 ZINC000894166611 583323420 /nfs/dbraw/zinc/32/34/20/583323420.db2.gz YRKBAGIWTYUCES-GXSJLCMTSA-N 0 3 208.305 2.651 20 0 BFADHN Cn1ccc(CN[C@@H]2CC[C@H]3CCCC[C@@H]3C2)n1 ZINC000112339644 588794806 /nfs/dbraw/zinc/79/48/06/588794806.db2.gz HMNAXHSRSVUGAX-MGPQQGTHSA-N 0 3 247.386 2.869 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2ccnc(F)c2)C1 ZINC000859062704 582480316 /nfs/dbraw/zinc/48/03/16/582480316.db2.gz CNJPOSIIADZXKH-PHIMTYICSA-N 0 3 222.307 2.699 20 0 BFADHN O=C(OCCN1CCCCC1)C1CCCCC1 ZINC000143285665 582564941 /nfs/dbraw/zinc/56/49/41/582564941.db2.gz VMDXQQAKGSKKQT-UHFFFAOYSA-N 0 3 239.359 2.596 20 0 BFADHN Cc1c[nH]nc1CNCC[C@]1(C)C[C@]1(F)Cl ZINC000846039180 582644001 /nfs/dbraw/zinc/64/40/01/582644001.db2.gz RSGMVZOBDSEYEU-GHMZBOCLSA-N 0 3 245.729 2.512 20 0 BFADHN CCn1cc(CN2CCCCCC2)c(C)n1 ZINC000891444056 582686568 /nfs/dbraw/zinc/68/65/68/582686568.db2.gz LTZURFDLYSHCMC-UHFFFAOYSA-N 0 3 221.348 2.587 20 0 BFADHN COc1ccc(CN2C[C@@H](C)C[C@H](C)C2)o1 ZINC000891449156 582688232 /nfs/dbraw/zinc/68/82/32/582688232.db2.gz MUHZADCANWCBMM-QWRGUYRKSA-N 0 3 223.316 2.766 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cn3ccnc3s2)C1 ZINC000891449151 582688283 /nfs/dbraw/zinc/68/82/83/582688283.db2.gz MRYURUKKHMISBE-QWRGUYRKSA-N 0 3 249.383 2.874 20 0 BFADHN CCn1cc(CN2C[C@H](C)C[C@H](C)C2)c(C)n1 ZINC000891449380 582688920 /nfs/dbraw/zinc/68/89/20/582688920.db2.gz WDXLLKVAVJUENP-TXEJJXNPSA-N 0 3 235.375 2.689 20 0 BFADHN CCCN(CCC)Cc1c2c(nn1C)CCC2 ZINC000891449606 582688925 /nfs/dbraw/zinc/68/89/25/582688925.db2.gz ZFCAOUQCBNPZDD-UHFFFAOYSA-N 0 3 235.375 2.531 20 0 BFADHN CC(C)N(C)Cc1cnn(CC2CCC2)c1 ZINC000891450275 582689662 /nfs/dbraw/zinc/68/96/62/582689662.db2.gz FYNPJQDDDYSTBX-UHFFFAOYSA-N 0 3 221.348 2.523 20 0 BFADHN Cn1nc2c(c1CN1CCCCCCC1)CCC2 ZINC000891457236 582690697 /nfs/dbraw/zinc/69/06/97/582690697.db2.gz QDFCMDBCTUBLGS-UHFFFAOYSA-N 0 3 247.386 2.675 20 0 BFADHN Cc1cc(N)nc(NC[C@H](C)c2ccccc2)n1 ZINC000891510599 582694726 /nfs/dbraw/zinc/69/47/26/582694726.db2.gz HEBWHVDKLBKSDK-JTQLQIEISA-N 0 3 242.326 2.583 20 0 BFADHN CC(C)CN(C)Cc1ccnn1C1CCC1 ZINC000891577285 582698124 /nfs/dbraw/zinc/69/81/24/582698124.db2.gz BACCKIXFWRYQGT-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CCN(C)Cc1ccc(CC(C)C)nc1 ZINC000891571781 582698213 /nfs/dbraw/zinc/69/82/13/582698213.db2.gz FCFFSLNFRMFJPI-UHFFFAOYSA-N 0 3 206.333 2.732 20 0 BFADHN CCCN(C)C[C@@H]1C[C@@]1(C)Br ZINC000891578671 582698609 /nfs/dbraw/zinc/69/86/09/582698609.db2.gz NQXZXXKOHXKSKP-DTWKUNHWSA-N 0 3 220.154 2.502 20 0 BFADHN CCCN(C)C[C@@H]1C[C@]1(C)Br ZINC000891578674 582698657 /nfs/dbraw/zinc/69/86/57/582698657.db2.gz NQXZXXKOHXKSKP-IUCAKERBSA-N 0 3 220.154 2.502 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cn(C)nc1C1CC1 ZINC000891604978 582700749 /nfs/dbraw/zinc/70/07/49/582700749.db2.gz VEAFRLJMCKOVTA-NSHDSACASA-N 0 3 235.375 2.774 20 0 BFADHN Cc1cc(CN(C(C)C)C2CC2)cnc1F ZINC000891661609 582707654 /nfs/dbraw/zinc/70/76/54/582707654.db2.gz HLRIPAFRWHOCPJ-UHFFFAOYSA-N 0 3 222.307 2.902 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnc(F)c(C)c1 ZINC000891778753 582719201 /nfs/dbraw/zinc/71/92/01/582719201.db2.gz BHRCMCJCVUTUPV-GFCCVEGCSA-N 0 3 222.307 2.904 20 0 BFADHN CCc1[nH]nc(Cl)c1CN1CCC[C@H]1CC ZINC000891778803 582719492 /nfs/dbraw/zinc/71/94/92/582719492.db2.gz DEOSTWAAOBJKKR-SECBINFHSA-N 0 3 241.766 3.000 20 0 BFADHN C[C@@H]1CCCN(Cc2cnn(C)c2C2CC2)[C@@H]1C ZINC000891863387 582731187 /nfs/dbraw/zinc/73/11/87/582731187.db2.gz WGPBXLOXATYIIF-VXGBXAGGSA-N 0 3 247.386 2.918 20 0 BFADHN c1cn(C2CC2)c(CN2CCC[C@@H]2C2CCC2)n1 ZINC000891867826 582732234 /nfs/dbraw/zinc/73/22/34/582732234.db2.gz BMEBLVOEUCFUAV-CQSZACIVSA-N 0 3 245.370 2.983 20 0 BFADHN Cc1cc(CN2CCCC2(C)C)cnc1F ZINC000891869889 582732822 /nfs/dbraw/zinc/73/28/22/582732822.db2.gz ONBSSZAZHSJYTI-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN COc1ccc(CN2CCC[C@H]2C2CC2)o1 ZINC000891932318 582739727 /nfs/dbraw/zinc/73/97/27/582739727.db2.gz AJDTZSBHBQRHFQ-LBPRGKRZSA-N 0 3 221.300 2.663 20 0 BFADHN CCn1cc(CN(C)C(C)(C)CC)c(C)n1 ZINC000892005767 582759511 /nfs/dbraw/zinc/75/95/11/582759511.db2.gz XVTVFLIWSVSTTM-UHFFFAOYSA-N 0 3 223.364 2.832 20 0 BFADHN C[C@@H]1CCCN1Cc1cccc2[nH]c(=O)oc21 ZINC000892018401 582762305 /nfs/dbraw/zinc/76/23/05/582762305.db2.gz BLHVJQHRWGPWLU-SECBINFHSA-N 0 3 232.283 2.518 20 0 BFADHN CC1(C)CCN(Cc2cn3ccnc3s2)C1 ZINC000892021182 582762888 /nfs/dbraw/zinc/76/28/88/582762888.db2.gz CKNOJCICUCCIRN-UHFFFAOYSA-N 0 3 235.356 2.628 20 0 BFADHN CC(C)CCCCN(C)Cc1cn[nH]c1 ZINC000892047678 582773250 /nfs/dbraw/zinc/77/32/50/582773250.db2.gz BWFFCZGSMQOZIO-UHFFFAOYSA-N 0 3 209.337 2.668 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1ccc(OC)o1 ZINC000892068970 582784605 /nfs/dbraw/zinc/78/46/05/582784605.db2.gz WXWOIRTVEORZCN-WDEREUQCSA-N 0 3 223.316 2.909 20 0 BFADHN Cc1cc(CN2C[C@@H](C)[C@H](C)C2)cnc1F ZINC000892068202 582785093 /nfs/dbraw/zinc/78/50/93/582785093.db2.gz GWXLMBKGCRAHIE-GHMZBOCLSA-N 0 3 222.307 2.617 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@H](C)C2)cnc1F ZINC000892068203 582785391 /nfs/dbraw/zinc/78/53/91/582785391.db2.gz GWXLMBKGCRAHIE-PHIMTYICSA-N 0 3 222.307 2.617 20 0 BFADHN CC1=CCN(C[C@@H]2C[C@@]2(C)Br)CC1 ZINC000892069936 582786351 /nfs/dbraw/zinc/78/63/51/582786351.db2.gz QPNXWYVANIYCOR-WDEREUQCSA-N 0 3 244.176 2.812 20 0 BFADHN CCN(Cc1cnc(F)cc1C)C1CCC1 ZINC000892086513 582787777 /nfs/dbraw/zinc/78/77/77/582787777.db2.gz QMBXIZVGVYAEIQ-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN COc1ccc(CN(C)[C@@H](C)CCSC)o1 ZINC000892083798 582788033 /nfs/dbraw/zinc/78/80/33/582788033.db2.gz JTMSGBOTMVQTQP-JTQLQIEISA-N 0 3 243.372 2.862 20 0 BFADHN CCc1[nH]nc(Cl)c1CN1C[C@@H](C)C[C@H]1C ZINC000892103661 582791405 /nfs/dbraw/zinc/79/14/05/582791405.db2.gz LXLCCTKITNCYGA-DTWKUNHWSA-N 0 3 241.766 2.856 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2ccnn2C2CCC2)C1 ZINC000892104970 582792251 /nfs/dbraw/zinc/79/22/51/582792251.db2.gz WJBCUAGHMQGKRY-NEPJUHHUSA-N 0 3 233.359 2.838 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@H]2C)o1 ZINC000892104559 582792454 /nfs/dbraw/zinc/79/24/54/582792454.db2.gz UAWIMVDKAVCPFY-NXEZZACHSA-N 0 3 209.289 2.519 20 0 BFADHN CCn1cc(CN2CC[C@](C)(CC)C2)c(C)n1 ZINC000892146496 582801620 /nfs/dbraw/zinc/80/16/20/582801620.db2.gz OEOAAGALKFGDBI-AWEZNQCLSA-N 0 3 235.375 2.833 20 0 BFADHN CC[C@@H]1CCN(Cc2cnn(CC3CCC3)c2)C1 ZINC000892224091 582809707 /nfs/dbraw/zinc/80/97/07/582809707.db2.gz QMJYKXGFSWYPBT-CYBMUJFWSA-N 0 3 247.386 2.915 20 0 BFADHN CC[C@H]1CCN(Cc2ccnn2C2CCC2)C1 ZINC000892223380 582809796 /nfs/dbraw/zinc/80/97/96/582809796.db2.gz HVUZJTHIKMJBEA-LBPRGKRZSA-N 0 3 233.359 2.840 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cnn(C2CCC2)c1 ZINC000892224052 582809935 /nfs/dbraw/zinc/80/99/35/582809935.db2.gz PKOHNYMQIRJZKY-TXEJJXNPSA-N 0 3 233.359 2.981 20 0 BFADHN CC[C@@H]1CCN(Cc2ccnn2C2CCC2)C1 ZINC000892223379 582810258 /nfs/dbraw/zinc/81/02/58/582810258.db2.gz HVUZJTHIKMJBEA-GFCCVEGCSA-N 0 3 233.359 2.840 20 0 BFADHN OC1(CN2CC(c3ccccc3)C2)CCCCC1 ZINC000122008432 582842605 /nfs/dbraw/zinc/84/26/05/582842605.db2.gz QXZSLYYETBIAST-UHFFFAOYSA-N 0 3 245.366 2.781 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cnn(C)c1C1CC1 ZINC000892402561 582876553 /nfs/dbraw/zinc/87/65/53/582876553.db2.gz CTUAZFNBWZUEQN-CYBMUJFWSA-N 0 3 233.359 2.528 20 0 BFADHN CCOc1ccc(CN2CC[C@@H]2C(C)C)o1 ZINC000892402592 582876596 /nfs/dbraw/zinc/87/65/96/582876596.db2.gz CYUZRFZKFSWTFY-GFCCVEGCSA-N 0 3 223.316 2.909 20 0 BFADHN CCC[C@@H](C)NCc1ccnc(OC)c1F ZINC000892442301 582888170 /nfs/dbraw/zinc/88/81/70/582888170.db2.gz ZSHNZVNNIPKQHW-SECBINFHSA-N 0 3 226.295 2.508 20 0 BFADHN COC[C@@H](C)NCc1ccc(C(F)F)c(F)c1 ZINC000892444376 582888876 /nfs/dbraw/zinc/88/88/76/582888876.db2.gz QRDJHVNBGNGANS-MRVPVSSYSA-N 0 3 247.260 2.888 20 0 BFADHN COc1nccc(CNCC2CCCC2)c1F ZINC000892510549 582902298 /nfs/dbraw/zinc/90/22/98/582902298.db2.gz MSDMGCPSVWIFRZ-UHFFFAOYSA-N 0 3 238.306 2.509 20 0 BFADHN C[C@H]1C[C@H]1C[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000892571642 582917522 /nfs/dbraw/zinc/91/75/22/582917522.db2.gz PGHPOTPLYPBPFK-WPRPVWTQSA-N 0 3 225.719 2.791 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1C=CCC1 ZINC000850510072 582923482 /nfs/dbraw/zinc/92/34/82/582923482.db2.gz RHGDLZBAXQMAEW-NWDGAFQWSA-N 0 3 202.301 2.759 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H]1C=CCC1 ZINC000850510071 582923520 /nfs/dbraw/zinc/92/35/20/582923520.db2.gz RHGDLZBAXQMAEW-NEPJUHHUSA-N 0 3 202.301 2.759 20 0 BFADHN CC(=O)OC[C@@H](C)N[C@@H](C)c1ccc(C)s1 ZINC000892669547 582946642 /nfs/dbraw/zinc/94/66/42/582946642.db2.gz UCTBDKGOASSSJM-SCZZXKLOSA-N 0 3 241.356 2.659 20 0 BFADHN Cc1ccc2[nH]c(CN[C@H]3CC[C@@H]3C)nc2c1 ZINC000783243006 582952543 /nfs/dbraw/zinc/95/25/43/582952543.db2.gz IXVXJXBMRSXVPV-QWRGUYRKSA-N 0 3 229.327 2.759 20 0 BFADHN Cc1ccc2nc(CN[C@H]3CC[C@@H]3C)[nH]c2c1 ZINC000783243006 582952544 /nfs/dbraw/zinc/95/25/44/582952544.db2.gz IXVXJXBMRSXVPV-QWRGUYRKSA-N 0 3 229.327 2.759 20 0 BFADHN COC(CCN1C[C@@H](C)[C@@H]1c1ccccc1)OC ZINC000851800771 582967959 /nfs/dbraw/zinc/96/79/59/582967959.db2.gz QQDZWUJHLWXMEE-IUODEOHRSA-N 0 3 249.354 2.688 20 0 BFADHN COCC[C@H](C)[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000892784151 582982196 /nfs/dbraw/zinc/98/21/96/582982196.db2.gz HFIMUFYWLISDKS-VIFPVBQESA-N 0 3 243.734 2.560 20 0 BFADHN CO[C@H](C)CC[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000892806211 582987498 /nfs/dbraw/zinc/98/74/98/582987498.db2.gz BEASXHZFWPIIJN-SECBINFHSA-N 0 3 243.734 2.560 20 0 BFADHN CCC[C@@H](CC)NCc1ccnc(OC)c1F ZINC000892941849 583013488 /nfs/dbraw/zinc/01/34/88/583013488.db2.gz LJXVDRJFRGTYHV-LLVKDONJSA-N 0 3 240.322 2.898 20 0 BFADHN CC(=O)OC[C@@H](C)N[C@H](C)c1cccc(C)c1C ZINC000892949340 583016346 /nfs/dbraw/zinc/01/63/46/583016346.db2.gz RWMZFCAJPUBJOA-DGCLKSJQSA-N 0 3 249.354 2.906 20 0 BFADHN Cc1oc(C(C)(C)C)cc1CN[C@H](C)CCO ZINC000893005067 583029630 /nfs/dbraw/zinc/02/96/30/583029630.db2.gz PKEGKGIRKORZSS-SNVBAGLBSA-N 0 3 239.359 2.746 20 0 BFADHN CSCCC[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000893009838 583029676 /nfs/dbraw/zinc/02/96/76/583029676.db2.gz SUSPVYNAIIFNPR-UHFFFAOYSA-N 0 3 245.775 2.888 20 0 BFADHN COc1ccc(CN[C@H]2CCCC(F)(F)C2)o1 ZINC000893114648 583051756 /nfs/dbraw/zinc/05/17/56/583051756.db2.gz XBXRDPGWMPUCIC-VIFPVBQESA-N 0 3 245.269 2.956 20 0 BFADHN CC(C)Cc1ccc(CNCCCF)cn1 ZINC000893123294 583052689 /nfs/dbraw/zinc/05/26/89/583052689.db2.gz GEFVNZZVUBLUBQ-UHFFFAOYSA-N 0 3 224.323 2.729 20 0 BFADHN Cn1cc(CNC2CC=CC2)c(C2CCCC2)n1 ZINC000893132982 583055011 /nfs/dbraw/zinc/05/50/11/583055011.db2.gz XAJVFJZFZTZGDP-UHFFFAOYSA-N 0 3 245.370 2.886 20 0 BFADHN COc1ccc(CN[C@@H]2CCC(F)(F)C2)o1 ZINC000893153525 583058248 /nfs/dbraw/zinc/05/82/48/583058248.db2.gz TVWBMNHJNDTSEB-MRVPVSSYSA-N 0 3 231.242 2.566 20 0 BFADHN Cc1cc(F)ncc1CN[C@@H]1CCC(F)(F)C1 ZINC000893152281 583058356 /nfs/dbraw/zinc/05/83/56/583058356.db2.gz TYTBAGSTCZSAMX-SNVBAGLBSA-N 0 3 244.260 2.807 20 0 BFADHN Cc1cc(F)ncc1CN[C@H]1CCC(F)(F)C1 ZINC000893152278 583058433 /nfs/dbraw/zinc/05/84/33/583058433.db2.gz TYTBAGSTCZSAMX-JTQLQIEISA-N 0 3 244.260 2.807 20 0 BFADHN Cc1oc(C(C)(C)C)cc1CN[C@H]1CCOC1 ZINC000893183254 583066476 /nfs/dbraw/zinc/06/64/76/583066476.db2.gz VGWZXBYHVWFJKX-LBPRGKRZSA-N 0 3 237.343 2.764 20 0 BFADHN COc1ccc(CNCC2(C3CC3)CC2)o1 ZINC000893188794 583067531 /nfs/dbraw/zinc/06/75/31/583067531.db2.gz HURXGBXQHDBQII-UHFFFAOYSA-N 0 3 221.300 2.568 20 0 BFADHN COc1ccc(CN[C@@H]2CCC(C)(C)C2)nc1 ZINC000893219342 583073390 /nfs/dbraw/zinc/07/33/90/583073390.db2.gz GALOOAWQGNEIRE-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@@H]1CCCC[C@@H]1NCc1cn(C)nc1Cl ZINC000856764269 588876330 /nfs/dbraw/zinc/87/63/30/588876330.db2.gz UFLPSODWUNJCNP-KOLCDFICSA-N 0 3 241.766 2.742 20 0 BFADHN Cn1cc(CNCCC2CCCC2)c(Cl)n1 ZINC000856765911 588876434 /nfs/dbraw/zinc/87/64/34/588876434.db2.gz REEASBWKJMAULO-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN Cn1cc(CNCCC(C)(C)C)c(Cl)n1 ZINC000856765581 588876448 /nfs/dbraw/zinc/87/64/48/588876448.db2.gz JZQAGZOFBZRASS-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN Cn1cc(CNC2(C)CCCCC2)c(Cl)n1 ZINC000856768562 588876565 /nfs/dbraw/zinc/87/65/65/588876565.db2.gz KAXUNLZISSWGQU-UHFFFAOYSA-N 0 3 241.766 2.886 20 0 BFADHN C[C@@H]1CSC[C@H]1N[C@H]1CCCc2cccnc21 ZINC000397856375 583110030 /nfs/dbraw/zinc/11/00/30/583110030.db2.gz WRKBNHMGTPEYKN-KGYLQXTDSA-N 0 3 248.395 2.800 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cn(C)nc1Cl ZINC000856773184 588877120 /nfs/dbraw/zinc/87/71/20/588877120.db2.gz SEICBFDGQRBDLE-KOLCDFICSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@H](CC(C)(C)C)NCc1cn(C)nc1Cl ZINC000856789356 588877773 /nfs/dbraw/zinc/87/77/73/588877773.db2.gz COMQTDHUFAJCTE-SECBINFHSA-N 0 3 243.782 2.988 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1cn(C)nc1Cl ZINC000856772599 583123735 /nfs/dbraw/zinc/12/37/35/583123735.db2.gz YZOAZGAKRBNKNT-UWVGGRQHSA-N 0 3 243.782 2.988 20 0 BFADHN CC[C@H](C)CCNCc1cn(C)nc1Cl ZINC000856794785 588878343 /nfs/dbraw/zinc/87/83/43/588878343.db2.gz UVMKDZRXZRJGEJ-VIFPVBQESA-N 0 3 229.755 2.599 20 0 BFADHN c1cnc2c(c1)CC[C@H]2NCC[C@H]1CCCCO1 ZINC000223768614 583180745 /nfs/dbraw/zinc/18/07/45/583180745.db2.gz ARCYVBVCTNULRR-ZIAGYGMSSA-N 0 3 246.354 2.618 20 0 BFADHN c1cnc2c(c1)CC[C@@H]2NCC[C@H]1CCCCO1 ZINC000223768564 583180766 /nfs/dbraw/zinc/18/07/66/583180766.db2.gz ARCYVBVCTNULRR-KGLIPLIRSA-N 0 3 246.354 2.618 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cn(C)nc1Cl ZINC000857023129 588883019 /nfs/dbraw/zinc/88/30/19/588883019.db2.gz YXBWJPBSIQCFCE-ONGXEEELSA-N 0 3 241.766 2.694 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cn(C)nc1Cl ZINC000857023128 588883122 /nfs/dbraw/zinc/88/31/22/588883122.db2.gz YXBWJPBSIQCFCE-MWLCHTKSSA-N 0 3 241.766 2.694 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1nccn1C1CC1 ZINC000893676814 583195368 /nfs/dbraw/zinc/19/53/68/583195368.db2.gz OEZNURWHPZYVJD-DGCLKSJQSA-N 0 3 233.359 2.838 20 0 BFADHN C[C@@H]1CN(Cc2cn(C)nc2Cl)[C@@H](C)[C@H]1C ZINC000857046245 588884318 /nfs/dbraw/zinc/88/43/18/588884318.db2.gz ATEPDQIBWLHDPM-UTLUCORTSA-N 0 3 241.766 2.550 20 0 BFADHN c1[nH]nc2cc(CN3CC(CC4CC4)C3)ccc12 ZINC000857066639 588884960 /nfs/dbraw/zinc/88/49/60/588884960.db2.gz QMWQXDXVPXSVIW-UHFFFAOYSA-N 0 3 241.338 2.795 20 0 BFADHN Cc1nc(C)c(CN2CC(CC3CC3)C2)s1 ZINC000857066736 588885410 /nfs/dbraw/zinc/88/54/10/588885410.db2.gz SYJQJKVFXJPLKJ-UHFFFAOYSA-N 0 3 236.384 2.992 20 0 BFADHN Cc1cc(NC(=O)C(C)C(F)(F)F)cnc1C ZINC000893815198 583226223 /nfs/dbraw/zinc/22/62/23/583226223.db2.gz PYYXFYZHFWDHDK-ZETCQYMHSA-N 0 3 246.232 2.835 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cc(C)co2)C1 ZINC000893862964 583233341 /nfs/dbraw/zinc/23/33/41/583233341.db2.gz ASNOVEHUNRECFX-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN OC[C@@H]1CCCN(C/C=C/c2cccc(F)c2)C1 ZINC000893863699 583234133 /nfs/dbraw/zinc/23/41/33/583234133.db2.gz LCNHYOLBWIVBKQ-JBQRSBCVSA-N 0 3 249.329 2.543 20 0 BFADHN CCCN(CC)Cc1cnc2onc(C)c2c1 ZINC000893864722 583234242 /nfs/dbraw/zinc/23/42/42/583234242.db2.gz ZHXAMNAQJZMOBB-UHFFFAOYSA-N 0 3 233.315 2.763 20 0 BFADHN OC[C@H]1CCCN(C/C=C\c2cccc(F)c2)C1 ZINC000893863696 583235003 /nfs/dbraw/zinc/23/50/03/583235003.db2.gz LCNHYOLBWIVBKQ-DQWBNOTDSA-N 0 3 249.329 2.543 20 0 BFADHN C[C@H]1CCCCN1Cc1ccc2oc(=O)oc2c1 ZINC000893872891 583238880 /nfs/dbraw/zinc/23/88/80/583238880.db2.gz XORAIUDKYZVDJC-JTQLQIEISA-N 0 3 247.294 2.760 20 0 BFADHN CCN(C/C=C\c1cccc(F)c1)CCOC ZINC000893927240 583245631 /nfs/dbraw/zinc/24/56/31/583245631.db2.gz DJWJCZIBZFKPFC-ALCCZGGFSA-N 0 3 237.318 2.807 20 0 BFADHN CCC[C@H](C)N(C)Cc1[nH]nc(C)c1C ZINC000893945903 583257939 /nfs/dbraw/zinc/25/79/39/583257939.db2.gz LAPAQRNEMFIZKM-VIFPVBQESA-N 0 3 209.337 2.647 20 0 BFADHN CCC[C@H](C)N(C)Cc1n[nH]c(C)c1C ZINC000893945903 583257941 /nfs/dbraw/zinc/25/79/41/583257941.db2.gz LAPAQRNEMFIZKM-VIFPVBQESA-N 0 3 209.337 2.647 20 0 BFADHN Cc1n[nH]c(CN(C)[C@@H](C)C(C)(C)C)c1C ZINC000893952996 583261223 /nfs/dbraw/zinc/26/12/23/583261223.db2.gz OYJARGMSZFHJIB-NSHDSACASA-N 0 3 223.364 2.893 20 0 BFADHN Cc1[nH]nc(CN(C)[C@@H](C)C(C)(C)C)c1C ZINC000893952996 583261225 /nfs/dbraw/zinc/26/12/25/583261225.db2.gz OYJARGMSZFHJIB-NSHDSACASA-N 0 3 223.364 2.893 20 0 BFADHN Cc1ccnc(N)c1CN(CC(C)C)C1CC1 ZINC000893952513 583261612 /nfs/dbraw/zinc/26/16/12/583261612.db2.gz JUGXACGWYJMZEK-UHFFFAOYSA-N 0 3 233.359 2.593 20 0 BFADHN CC[C@H](C)CN(C)Cc1[nH]nc(C)c1C ZINC000893960423 583262349 /nfs/dbraw/zinc/26/23/49/583262349.db2.gz XENPKBNRZMNEDJ-VIFPVBQESA-N 0 3 209.337 2.504 20 0 BFADHN CC[C@H](C)CN(C)Cc1n[nH]c(C)c1C ZINC000893960423 583262354 /nfs/dbraw/zinc/26/23/54/583262354.db2.gz XENPKBNRZMNEDJ-VIFPVBQESA-N 0 3 209.337 2.504 20 0 BFADHN Cc1coc(CN2CCC(c3cn[nH]c3)CC2)c1 ZINC000893974912 583264909 /nfs/dbraw/zinc/26/49/09/583264909.db2.gz XLATTYLYSXSAJV-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN Cc1n[nH]c(CN(C)CC2CCCC2)c1C ZINC000893981425 583266682 /nfs/dbraw/zinc/26/66/82/583266682.db2.gz TVUDRISXCZDHKS-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1[nH]nc(CN(C)CC2CCCC2)c1C ZINC000893981425 583266683 /nfs/dbraw/zinc/26/66/83/583266683.db2.gz TVUDRISXCZDHKS-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@H]1CN(C/C=C\c2cccc(F)c2)CCCO1 ZINC000893995843 583268317 /nfs/dbraw/zinc/26/83/17/583268317.db2.gz QKXQQESLVYTSNB-RJNRQISESA-N 0 3 249.329 2.950 20 0 BFADHN Cc1ncoc1CN1CCCc2ccccc2C1 ZINC000894002582 583272788 /nfs/dbraw/zinc/27/27/88/583272788.db2.gz SDTLOBJYUOTWDW-UHFFFAOYSA-N 0 3 242.322 2.931 20 0 BFADHN Cc1n[nH]c(CN2CC[C@H](CC(C)C)C2)c1C ZINC000894019711 583273442 /nfs/dbraw/zinc/27/34/42/583273442.db2.gz BEDLBKGNQPXLFI-CYBMUJFWSA-N 0 3 235.375 2.895 20 0 BFADHN CCC1(CC)CCN(Cc2ocnc2C)C1 ZINC000894020469 583274471 /nfs/dbraw/zinc/27/44/71/583274471.db2.gz NUMHWCYNWFKUPA-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1n[nH]c(CN2CC[C@@H](c3ccco3)C2)c1C ZINC000894020197 583274789 /nfs/dbraw/zinc/27/47/89/583274789.db2.gz FYKJPMSXEAABJJ-GFCCVEGCSA-N 0 3 245.326 2.609 20 0 BFADHN Cc1[nH]nc(CN2CC[C@@H](c3ccco3)C2)c1C ZINC000894020197 583274791 /nfs/dbraw/zinc/27/47/91/583274791.db2.gz FYKJPMSXEAABJJ-GFCCVEGCSA-N 0 3 245.326 2.609 20 0 BFADHN CC1(C)CCN(Cc2ccc3oc(=O)oc3c2)C1 ZINC000894020128 583274834 /nfs/dbraw/zinc/27/48/34/583274834.db2.gz MYEAKQHMPVYOBA-UHFFFAOYSA-N 0 3 247.294 2.618 20 0 BFADHN OCCCN(C/C=C/c1cccc(F)c1)C1CC1 ZINC000894021088 583275259 /nfs/dbraw/zinc/27/52/59/583275259.db2.gz OJNXEWOPDRUKHI-GORDUTHDSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1n[nH]c(CN2CC[C@@H](C)[C@@H](C)C2)c1C ZINC000894034653 583278842 /nfs/dbraw/zinc/27/88/42/583278842.db2.gz UBWXNCYSUWWLNT-ZJUUUORDSA-N 0 3 221.348 2.504 20 0 BFADHN Cc1ncoc1CN1CCCC[C@@H]1C(C)C ZINC000894026881 583280423 /nfs/dbraw/zinc/28/04/23/583280423.db2.gz KAFFEUMSVNNPNQ-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1noc2ncc(CN3C[C@@H](C)C[C@H]3C)cc12 ZINC000894045432 583286890 /nfs/dbraw/zinc/28/68/90/583286890.db2.gz OQPFMBGWSFINGM-VHSXEESVSA-N 0 3 245.326 2.762 20 0 BFADHN C[C@@]1(O)CCCN(C/C=C\c2cccc(F)c2)C1 ZINC000894045354 583286950 /nfs/dbraw/zinc/28/69/50/583286950.db2.gz ZTKUYINZKNRTDV-OMVNSRBRSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1noc2ncc(CN3C[C@H](C)C[C@H]3C)cc12 ZINC000894045430 583287002 /nfs/dbraw/zinc/28/70/02/583287002.db2.gz OQPFMBGWSFINGM-NXEZZACHSA-N 0 3 245.326 2.762 20 0 BFADHN CCC[C@H]1CCCN(Cc2c(C)ccnc2N)C1 ZINC000894064884 583293390 /nfs/dbraw/zinc/29/33/90/583293390.db2.gz MZQBPGCCZNPMRL-ZDUSSCGKSA-N 0 3 247.386 2.984 20 0 BFADHN Cc1ncoc1CN1CC[C@H]1Cc1ccccc1 ZINC000894064906 583293686 /nfs/dbraw/zinc/29/36/86/583293686.db2.gz OCFDRYJVLMMSOO-AWEZNQCLSA-N 0 3 242.322 2.800 20 0 BFADHN OCCCCNCc1ccc(C(F)F)c(F)c1 ZINC000894081962 583294385 /nfs/dbraw/zinc/29/43/85/583294385.db2.gz LIMTWXXYEUVKJY-UHFFFAOYSA-N 0 3 247.260 2.625 20 0 BFADHN CC[C@]1(C)CCN(Cc2c(C)ccnc2N)C1 ZINC000894086165 583295690 /nfs/dbraw/zinc/29/56/90/583295690.db2.gz DEORHVCCYQTIKS-CQSZACIVSA-N 0 3 233.359 2.594 20 0 BFADHN Cc1ncoc1CN(C)[C@@H](C)C1(C)CC1 ZINC000894089724 583298068 /nfs/dbraw/zinc/29/80/68/583298068.db2.gz WJSGIIVNCYXDNO-JTQLQIEISA-N 0 3 208.305 2.603 20 0 BFADHN Cc1coc(CN2CCC[C@@H]3COCC[C@H]32)c1 ZINC000894087357 583298320 /nfs/dbraw/zinc/29/83/20/583298320.db2.gz BQPLYDHMXVTVMW-TZMCWYRMSA-N 0 3 235.327 2.589 20 0 BFADHN CN(CCC1CC1)Cc1ccc2oc(=O)oc2c1 ZINC000894095098 583300882 /nfs/dbraw/zinc/30/08/82/583300882.db2.gz PSHLVADAADLTGV-UHFFFAOYSA-N 0 3 247.294 2.618 20 0 BFADHN COc1ccc(CN2[C@H](C)C[C@H]2C)c(C)c1 ZINC000894138614 583315359 /nfs/dbraw/zinc/31/53/59/583315359.db2.gz HBYKMUNXSAJNFH-VXGBXAGGSA-N 0 3 219.328 2.986 20 0 BFADHN Cc1c(Cl)cccc1CN1C[C@@H](O)C[C@@H]1C ZINC000877467090 583316406 /nfs/dbraw/zinc/31/64/06/583316406.db2.gz ABBNBWLAILHRTI-CABZTGNLSA-N 0 3 239.746 2.604 20 0 BFADHN COc1ccc(CNC[C@@H]2CC[C@H](C)C2)nc1 ZINC000894174286 583326577 /nfs/dbraw/zinc/32/65/77/583326577.db2.gz JYEHCAZAEVWYON-NWDGAFQWSA-N 0 3 234.343 2.616 20 0 BFADHN CO[C@@](C)(CN1CCC[C@@](C)(F)C1)C1CC1 ZINC000877557096 583330196 /nfs/dbraw/zinc/33/01/96/583330196.db2.gz FXZVAGCLZWQKCG-OLZOCXBDSA-N 0 3 229.339 2.626 20 0 BFADHN Cc1ncoc1CN[C@H](C)Cc1ccccc1F ZINC000894199280 583336666 /nfs/dbraw/zinc/33/66/66/583336666.db2.gz LRWBSEDCHXMFNO-SNVBAGLBSA-N 0 3 248.301 2.843 20 0 BFADHN Cc1ncoc1CNCCc1ccc(C)cc1C ZINC000894206303 583348438 /nfs/dbraw/zinc/34/84/38/583348438.db2.gz GSXRRUGIRBNDAR-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN C[C@@H](CN1CC[S@@](=O)CC1(C)C)C(C)(C)C ZINC000878476454 583375284 /nfs/dbraw/zinc/37/52/84/583375284.db2.gz KFZLUCLOUOJINC-MEDUHNTESA-N 0 3 245.432 2.512 20 0 BFADHN Clc1ccc(CCCNCc2c[nH]cn2)cc1 ZINC000894243226 583388481 /nfs/dbraw/zinc/38/84/81/583388481.db2.gz ISDKPONNEJWDJN-UHFFFAOYSA-N 0 3 249.745 2.786 20 0 BFADHN Cc1ncoc1CN[C@H]1CC[C@H](C)CC1 ZINC000894253106 583393531 /nfs/dbraw/zinc/39/35/31/583393531.db2.gz WTKRMDXPPULKGK-HOMQSWHASA-N 0 3 208.305 2.651 20 0 BFADHN CCSCC[C@@H](C)NCc1ocnc1C ZINC000894297023 583414393 /nfs/dbraw/zinc/41/43/93/583414393.db2.gz BAFIFASYLUVESO-SECBINFHSA-N 0 3 228.361 2.604 20 0 BFADHN CCCCCN(CCCCC)CN1CCC1=O ZINC000857231537 588897086 /nfs/dbraw/zinc/89/70/86/588897086.db2.gz MLBIAZPFJQACLG-UHFFFAOYSA-N 0 3 240.391 2.859 20 0 BFADHN Cc1ncoc1CN[C@@H]1CCCC(F)(F)C1 ZINC000894331097 583425383 /nfs/dbraw/zinc/42/53/83/583425383.db2.gz AWNSYFBRNHODRR-SECBINFHSA-N 0 3 230.258 2.651 20 0 BFADHN CCOc1ccc(CN[C@H]2CSC[C@H]2C)o1 ZINC000894412348 583451617 /nfs/dbraw/zinc/45/16/17/583451617.db2.gz GMUVJGYMGBLQOQ-KOLCDFICSA-N 0 3 241.356 2.519 20 0 BFADHN CCOCCN(C)C/C=C\c1cccc(F)c1 ZINC000894425594 583455468 /nfs/dbraw/zinc/45/54/68/583455468.db2.gz YKRGVCRZMJOAJC-ALCCZGGFSA-N 0 3 237.318 2.807 20 0 BFADHN Cc1coc(CNc2cc(CN(C)C)ccn2)c1 ZINC000894424138 583456042 /nfs/dbraw/zinc/45/60/42/583456042.db2.gz BPVHSOKNRKGIGQ-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN CS[C@H](CNCc1ocnc1C)C(C)(C)C ZINC000894446303 583460495 /nfs/dbraw/zinc/46/04/95/583460495.db2.gz YXJOKUDTAHGLJT-LLVKDONJSA-N 0 3 242.388 2.850 20 0 BFADHN Cc1n[nH]c(CN2C[C@@H](C)CC(C)(C)C2)c1C ZINC000894444365 583461317 /nfs/dbraw/zinc/46/13/17/583461317.db2.gz HUWNMJRMAXFIIS-JTQLQIEISA-N 0 3 235.375 2.895 20 0 BFADHN Cc1coc(CN(C)CCc2ccccn2)c1 ZINC000894450341 583462887 /nfs/dbraw/zinc/46/28/87/583462887.db2.gz YYODHFMLRVWQCJ-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN Cc1ncoc1CN1CC[C@H](C)C(C)(C)C1 ZINC000894463982 583465615 /nfs/dbraw/zinc/46/56/15/583465615.db2.gz FIUVREUVGTVMQP-JTQLQIEISA-N 0 3 222.332 2.851 20 0 BFADHN C[C@H](CO)N(C)Cc1ccc(C(F)F)c(F)c1 ZINC000894465445 583466144 /nfs/dbraw/zinc/46/61/44/583466144.db2.gz VYQHQRUOAVIAJW-MRVPVSSYSA-N 0 3 247.260 2.576 20 0 BFADHN Cc1ncoc1CN[C@@H]1CC[C@H](C)[C@@H](C)C1 ZINC000894461027 583467333 /nfs/dbraw/zinc/46/73/33/583467333.db2.gz JTFUAEPXIHGWPX-JBLDHEPKSA-N 0 3 222.332 2.897 20 0 BFADHN CCN(CCC1CC1)Cc1[nH]nc(C)c1C ZINC000894467126 583468568 /nfs/dbraw/zinc/46/85/68/583468568.db2.gz WAHDAAFVAUVSLM-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN CCN(CCC1CC1)Cc1n[nH]c(C)c1C ZINC000894467126 583468570 /nfs/dbraw/zinc/46/85/70/583468570.db2.gz WAHDAAFVAUVSLM-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1nccn1C1CC1 ZINC000894500551 583474331 /nfs/dbraw/zinc/47/43/31/583474331.db2.gz VWCZZHVLDMIMQN-RYUDHWBXSA-N 0 3 233.359 2.981 20 0 BFADHN COc1ccc(CNC[C@@H]2CCCSC2)o1 ZINC000894514346 583476035 /nfs/dbraw/zinc/47/60/35/583476035.db2.gz YNMJGUGDFMQTKS-JTQLQIEISA-N 0 3 241.356 2.521 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN1CC(C2CC2)C1 ZINC000894565507 583484171 /nfs/dbraw/zinc/48/41/71/583484171.db2.gz LEIYDPFXYYJSMY-UHFFFAOYSA-N 0 3 247.386 2.923 20 0 BFADHN CCOc1ccc(CNCCCCF)o1 ZINC000894564723 583484257 /nfs/dbraw/zinc/48/42/57/583484257.db2.gz UOPMTMOOESHSEK-UHFFFAOYSA-N 0 3 215.268 2.518 20 0 BFADHN c1cc2c(c(CN3CC(C4CC4)C3)n1)CCCC2 ZINC000894565444 583484547 /nfs/dbraw/zinc/48/45/47/583484547.db2.gz IWYUNPCWNNJERT-UHFFFAOYSA-N 0 3 242.366 2.802 20 0 BFADHN C[C@]1(Br)C[C@H]1CN1CC(C2CC2)C1 ZINC000894566635 583484967 /nfs/dbraw/zinc/48/49/67/583484967.db2.gz RJAKJQBZCPDKLZ-QWRGUYRKSA-N 0 3 244.176 2.502 20 0 BFADHN Cc1n[nH]c(CN(C)C2(C)CCCC2)c1C ZINC000894589670 583487349 /nfs/dbraw/zinc/48/73/49/583487349.db2.gz XWCSNFAPSZXTOV-UHFFFAOYSA-N 0 3 221.348 2.791 20 0 BFADHN CCC1(CC)[C@H](N[C@@H](C)CF)C[C@@H]1OC ZINC000894600437 583490470 /nfs/dbraw/zinc/49/04/70/583490470.db2.gz HBTOOKNWSLUVFE-AXFHLTTASA-N 0 3 217.328 2.528 20 0 BFADHN CO[C@]1(C)CCCN(Cc2cc(C)co2)C1 ZINC000894597589 583493055 /nfs/dbraw/zinc/49/30/55/583493055.db2.gz NUDADGZJVNGBPM-CYBMUJFWSA-N 0 3 223.316 2.589 20 0 BFADHN COC[C@@H]1CCN1CCc1ccc(C)c(C)c1 ZINC000894612185 583494804 /nfs/dbraw/zinc/49/48/04/583494804.db2.gz DZBKZBDXPHNXOT-HNNXBMFYSA-N 0 3 233.355 2.567 20 0 BFADHN Cc1ncoc1CN(CC1CC1)C1CCC1 ZINC000894635010 583498488 /nfs/dbraw/zinc/49/84/88/583498488.db2.gz GMSPBGNSQVWZRW-UHFFFAOYSA-N 0 3 220.316 2.748 20 0 BFADHN CC[C@H]1CN(Cc2cc(C)co2)CCCO1 ZINC000894652109 583501507 /nfs/dbraw/zinc/50/15/07/583501507.db2.gz FPPALZLFTZATAN-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN CO[C@H]1CCN(Cc2cc(C)co2)[C@H](C)C1 ZINC000894660805 583504131 /nfs/dbraw/zinc/50/41/31/583504131.db2.gz STPRAVGRUNRCDV-NEPJUHHUSA-N 0 3 223.316 2.587 20 0 BFADHN CC(C)[C@H](N[C@@H]1COC(C)(C)C1)c1ccccn1 ZINC000841863449 583506000 /nfs/dbraw/zinc/50/60/00/583506000.db2.gz MAMMIOXTNIHMEB-JSGCOSHPSA-N 0 3 248.370 2.936 20 0 BFADHN CC(C)[C@@H](N[C@@H]1COC(C)(C)C1)c1ccccn1 ZINC000841863416 583506362 /nfs/dbraw/zinc/50/63/62/583506362.db2.gz MAMMIOXTNIHMEB-GXTWGEPZSA-N 0 3 248.370 2.936 20 0 BFADHN Cc1ncccc1CN1CCC[C@@H](CF)C1 ZINC000880237924 583506811 /nfs/dbraw/zinc/50/68/11/583506811.db2.gz KTOLLMLSROOXQX-LBPRGKRZSA-N 0 3 222.307 2.572 20 0 BFADHN Cc1coc(CN(CC2CCC2)[C@@H](C)CO)c1 ZINC000894687877 583507220 /nfs/dbraw/zinc/50/72/20/583507220.db2.gz VRFHXERUBFFSBE-LBPRGKRZSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1coc(CN(CC2CCC2)[C@H](C)CO)c1 ZINC000894687876 583507301 /nfs/dbraw/zinc/50/73/01/583507301.db2.gz VRFHXERUBFFSBE-GFCCVEGCSA-N 0 3 237.343 2.571 20 0 BFADHN Oc1ccc(CN2CC[C@H]2C2CC2)cc1F ZINC000894689466 583508595 /nfs/dbraw/zinc/50/85/95/583508595.db2.gz MQUADYWBXUJJSN-LBPRGKRZSA-N 0 3 221.275 2.516 20 0 BFADHN c1cc2c(c(CN3CC[C@H]3C3CC3)n1)CCCC2 ZINC000894690023 583509096 /nfs/dbraw/zinc/50/90/96/583509096.db2.gz PMXVNAFKBNUZBZ-INIZCTEOSA-N 0 3 242.366 2.945 20 0 BFADHN Cc1nocc1CNC1C[C@@H]2CCC[C@H]2C1 ZINC000894697074 583509922 /nfs/dbraw/zinc/50/99/22/583509922.db2.gz IHMUYXVZYOHKCD-QWRGUYRKSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1nocc1CNC1C[C@H]2CCC[C@@H]2C1 ZINC000894697071 583509925 /nfs/dbraw/zinc/50/99/25/583509925.db2.gz IHMUYXVZYOHKCD-GHMZBOCLSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1cc(CN2CCC[C@H](CF)C2)ccn1 ZINC000880238734 583512081 /nfs/dbraw/zinc/51/20/81/583512081.db2.gz WOHASFAIBPBAIO-CYBMUJFWSA-N 0 3 222.307 2.572 20 0 BFADHN COCC(C)(C)CCCNCc1ocnc1C ZINC000894780323 583520398 /nfs/dbraw/zinc/52/03/98/583520398.db2.gz AEUMIUQKTUVMTA-UHFFFAOYSA-N 0 3 240.347 2.525 20 0 BFADHN Cc1ncoc1CN1CCC[C@@H](C2CC2)C1 ZINC000894786236 583521854 /nfs/dbraw/zinc/52/18/54/583521854.db2.gz DEXJTMVGENSGLP-GFCCVEGCSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1ccnc(N)c1CN1CC(C)(C)[C@H]1C1CC1 ZINC000894792974 583522651 /nfs/dbraw/zinc/52/26/51/583522651.db2.gz AXLLESUILYYQHR-CYBMUJFWSA-N 0 3 245.370 2.593 20 0 BFADHN CO[C@]1(C(F)(F)F)CCN(CC2=CCCC2)C1 ZINC000880307103 583528513 /nfs/dbraw/zinc/52/85/13/583528513.db2.gz HQBFDYLHDJCFQG-LLVKDONJSA-N 0 3 249.276 2.750 20 0 BFADHN CCN(C/C=C\c1cccc(F)c1)[C@@H](C)CO ZINC000894863285 583536265 /nfs/dbraw/zinc/53/62/65/583536265.db2.gz WFXDLJGQACWQFL-HWPRWLFBSA-N 0 3 237.318 2.542 20 0 BFADHN COc1ccc(CN[C@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000894865851 583537026 /nfs/dbraw/zinc/53/70/26/583537026.db2.gz OHOIOUJWZWWXCT-USWWRNFRSA-N 0 3 221.300 2.566 20 0 BFADHN Fc1cccc2c1CCC[C@@H]2NCc1cnc[nH]1 ZINC000894868257 583538196 /nfs/dbraw/zinc/53/81/96/583538196.db2.gz YNEOJBMPEYAOGQ-AWEZNQCLSA-N 0 3 245.301 2.716 20 0 BFADHN CS[C@@H]1CCN(Cc2cc(C)co2)C1 ZINC000894879548 583542876 /nfs/dbraw/zinc/54/28/76/583542876.db2.gz AXEJAVVGGIHKEW-LLVKDONJSA-N 0 3 211.330 2.525 20 0 BFADHN Cc1coc(CN2CCSC[C@H]2C2CC2)c1 ZINC000894906075 583553627 /nfs/dbraw/zinc/55/36/27/583553627.db2.gz WQQHDVAWVYIKLK-ZDUSSCGKSA-N 0 3 237.368 2.915 20 0 BFADHN CCc1onc(C)c1CN[C@@H](C)[C@@H]1CC1(F)F ZINC000894915170 583555394 /nfs/dbraw/zinc/55/53/94/583555394.db2.gz JYVBJWZXTJCADC-WPRPVWTQSA-N 0 3 244.285 2.679 20 0 BFADHN CC[C@H](C)N1CCN(Cc2cc(C)co2)CC1 ZINC000894918310 583555441 /nfs/dbraw/zinc/55/54/41/583555441.db2.gz VUWIOICLZJNDRJ-ZDUSSCGKSA-N 0 3 236.359 2.504 20 0 BFADHN Cc1ncoc1CN[C@H](C1CC1)C1CCC1 ZINC000894970474 583560451 /nfs/dbraw/zinc/56/04/51/583560451.db2.gz ZPPFLPVYNNGJAE-ZDUSSCGKSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1[nH]nc(CN2CC(C3CCCC3)C2)c1C ZINC000894978534 583563024 /nfs/dbraw/zinc/56/30/24/583563024.db2.gz IEQZCMMBUPCZDR-UHFFFAOYSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1n[nH]c(CN2CC(C)(C)C[C@H]2C)c1C ZINC000894997911 583566454 /nfs/dbraw/zinc/56/64/54/583566454.db2.gz BISIVUVAUIDNNR-SECBINFHSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN[C@H]1CC12CC2 ZINC000895009031 583567554 /nfs/dbraw/zinc/56/75/54/583567554.db2.gz NYRZWRMAMRJQDS-ZDUSSCGKSA-N 0 3 233.359 2.723 20 0 BFADHN CC[C@H](NCc1ocnc1C)[C@H]1CC1(C)C ZINC000895023845 583569365 /nfs/dbraw/zinc/56/93/65/583569365.db2.gz FUNGDJHTJOQKIC-MNOVXSKESA-N 0 3 222.332 2.897 20 0 BFADHN COCCCCN(C)Cc1ccc(SC)o1 ZINC000895023936 583569843 /nfs/dbraw/zinc/56/98/43/583569843.db2.gz BKNFIOIXQPWTEA-UHFFFAOYSA-N 0 3 243.372 2.860 20 0 BFADHN Cc1ccc(CCN[C@H]2CCCc3c[nH]nc32)o1 ZINC000857846973 588912695 /nfs/dbraw/zinc/91/26/95/588912695.db2.gz JIBFPGNIJPOKNT-ZDUSSCGKSA-N 0 3 245.326 2.521 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1cnc(C)s1 ZINC000188685003 583575075 /nfs/dbraw/zinc/57/50/75/583575075.db2.gz VJPQSARSRNTFPF-VIFPVBQESA-N 0 3 242.388 2.917 20 0 BFADHN C[C@@H](CCc1ccco1)NCc1cccnc1F ZINC000793279302 583579940 /nfs/dbraw/zinc/57/99/40/583579940.db2.gz CVILUHLQHVHRBN-NSHDSACASA-N 0 3 248.301 2.925 20 0 BFADHN CC(C)SCCN[C@H]1CCCc2c[nH]nc21 ZINC000857865683 588913987 /nfs/dbraw/zinc/91/39/87/588913987.db2.gz NYAOPDPADJLBQG-NSHDSACASA-N 0 3 239.388 2.518 20 0 BFADHN CCc1onc(C)c1CNC[C@@H](C)CC(F)F ZINC000895092209 583587220 /nfs/dbraw/zinc/58/72/20/583587220.db2.gz XYLBZUOKFULONP-QMMMGPOBSA-N 0 3 246.301 2.926 20 0 BFADHN CCC1(CN[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000857857119 588914103 /nfs/dbraw/zinc/91/41/03/588914103.db2.gz AHIKBOOYQLISLN-LLVKDONJSA-N 0 3 219.332 2.567 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCC1(C2CC2)CC1 ZINC000857858488 588914134 /nfs/dbraw/zinc/91/41/34/588914134.db2.gz NNVJKKREPUHZTP-LBPRGKRZSA-N 0 3 231.343 2.567 20 0 BFADHN CCCC1(CN[C@H]2CCCc3c[nH]nc32)CC1 ZINC000857857423 588914303 /nfs/dbraw/zinc/91/43/03/588914303.db2.gz DNRGBCUHTNVVDN-LBPRGKRZSA-N 0 3 233.359 2.957 20 0 BFADHN CC(C)Cc1ccc(CN[C@H]2CO[C@@H](C)C2)cn1 ZINC000895123411 583596928 /nfs/dbraw/zinc/59/69/28/583596928.db2.gz KAXMFPCUQWTLNL-SWLSCSKDSA-N 0 3 248.370 2.547 20 0 BFADHN CC1(CCN[C@H]2CCCc3c[nH]nc32)CC1 ZINC000857864681 588915320 /nfs/dbraw/zinc/91/53/20/588915320.db2.gz JMGQSPBFUYJHEX-NSHDSACASA-N 0 3 219.332 2.567 20 0 BFADHN Nc1cc(N2CCC[C@@H](CF)C2)c(Cl)cn1 ZINC000895132064 583601203 /nfs/dbraw/zinc/60/12/03/583601203.db2.gz OKVBWJGZCCBIEG-QMMMGPOBSA-N 0 3 243.713 2.503 20 0 BFADHN CS[C@@H]1CCCC[C@H]1NCc1ocnc1C ZINC000895134139 583601496 /nfs/dbraw/zinc/60/14/96/583601496.db2.gz VWIJJQZIKMUYDJ-ZYHUDNBSSA-N 0 3 240.372 2.747 20 0 BFADHN Cc1cc(CN2CCCCC[C@H]2CO)c(C)o1 ZINC000895151168 583606240 /nfs/dbraw/zinc/60/62/40/583606240.db2.gz IYCDJGWHSHFFDD-AWEZNQCLSA-N 0 3 237.343 2.633 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2N[C@H]1CC12CCCC2 ZINC000857867215 588915870 /nfs/dbraw/zinc/91/58/70/588915870.db2.gz ZQPSULLOOWIWRX-NEPJUHHUSA-N 0 3 231.343 2.709 20 0 BFADHN CC1(C)C[C@]1(C)N[C@@H]1CCCc2c[nH]nc21 ZINC000857870320 588915934 /nfs/dbraw/zinc/91/59/34/588915934.db2.gz DSZMWPRAHUBJRB-MFKMUULPSA-N 0 3 219.332 2.565 20 0 BFADHN COc1ccc(CNC2(C3CCC3)CC2)o1 ZINC000895161640 583610356 /nfs/dbraw/zinc/61/03/56/583610356.db2.gz SOMDEMQARQDLMY-UHFFFAOYSA-N 0 3 221.300 2.711 20 0 BFADHN Cn1ncc(CNC2(C3CCC3)CC2)c1C1CC1 ZINC000895162547 583610695 /nfs/dbraw/zinc/61/06/95/583610695.db2.gz WUZJVBHSCWDWLY-UHFFFAOYSA-N 0 3 245.370 2.720 20 0 BFADHN COc1ccc(CNC2CC3(CCC3)C2)nc1 ZINC000895185301 583619317 /nfs/dbraw/zinc/61/93/17/583619317.db2.gz LAVJBTZNSXXERT-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN Cc1coc(CN2C[C@@H]3CCCC[C@@H]32)c1 ZINC000895193371 583622668 /nfs/dbraw/zinc/62/26/68/583622668.db2.gz WUIKGNYZKSNCGX-AAEUAGOBSA-N 0 3 205.301 2.962 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NC[C@@H]1C[C@H]1C1CCC1 ZINC000857870464 588917427 /nfs/dbraw/zinc/91/74/27/588917427.db2.gz XMMKBRZFVHBYIH-MELADBBJSA-N 0 3 245.370 2.813 20 0 BFADHN CCCN(Cc1c2c(nn1C)CCC2)C(C)C ZINC000895204924 583625014 /nfs/dbraw/zinc/62/50/14/583625014.db2.gz KQCBJHGSBAVYLW-UHFFFAOYSA-N 0 3 235.375 2.529 20 0 BFADHN Cc1ccnc(NCc2cnc[nH]2)c1C1CC1 ZINC000895250813 583633250 /nfs/dbraw/zinc/63/32/50/583633250.db2.gz CRRXIFUVDCRJAD-UHFFFAOYSA-N 0 3 228.299 2.603 20 0 BFADHN CC[C@@](C)(NCc1ocnc1C)C1CC1 ZINC000895277040 583635323 /nfs/dbraw/zinc/63/53/23/583635323.db2.gz CFXVLTJNXJTWFV-GFCCVEGCSA-N 0 3 208.305 2.651 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NC1(C2CCC2)CC1 ZINC000857872294 588917924 /nfs/dbraw/zinc/91/79/24/588917924.db2.gz KEHIRHLVEQEABL-GFCCVEGCSA-N 0 3 231.343 2.709 20 0 BFADHN CC1=NO[C@@H](CNCc2ccc3occc3c2)C1 ZINC000895304084 583645239 /nfs/dbraw/zinc/64/52/39/583645239.db2.gz FSSPXCNCRPWCJZ-CYBMUJFWSA-N 0 3 244.294 2.687 20 0 BFADHN CC1=NO[C@@H](CNCc2ccc(C)cc2C)C1 ZINC000895310055 583646276 /nfs/dbraw/zinc/64/62/76/583646276.db2.gz FXEPAAPEMBZDMR-CQSZACIVSA-N 0 3 232.327 2.558 20 0 BFADHN CC1=NO[C@@H](CNCc2cc(C)cc(C)c2)C1 ZINC000895311085 583647078 /nfs/dbraw/zinc/64/70/78/583647078.db2.gz OEPYCPBYEVTUEU-CQSZACIVSA-N 0 3 232.327 2.558 20 0 BFADHN CCCc1ccc(CNC[C@H]2CC(C)=NO2)cc1 ZINC000895312527 583648603 /nfs/dbraw/zinc/64/86/03/583648603.db2.gz XHDROALYOGPVAE-OAHLLOKOSA-N 0 3 246.354 2.894 20 0 BFADHN C[C@H](CC(C)(C)C)N1CCc2n[nH]cc2C1 ZINC000857877585 588918784 /nfs/dbraw/zinc/91/87/84/588918784.db2.gz NHFUPWYZBVMYEH-SNVBAGLBSA-N 0 3 221.348 2.593 20 0 BFADHN C[C@@H](CNCc1ccoc1)N(C)c1ccccc1 ZINC000727465522 583656025 /nfs/dbraw/zinc/65/60/25/583656025.db2.gz AFGGGYOWGZFSGR-ZDUSSCGKSA-N 0 3 244.338 2.894 20 0 BFADHN Cc1cc(CN[C@H]2CCc3cc(C)ccc32)[nH]n1 ZINC000727468182 583656342 /nfs/dbraw/zinc/65/63/42/583656342.db2.gz GIEBKBRIFHPULK-HNNXBMFYSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1cc(CN[C@H]2CCc3cc(C)ccc32)n[nH]1 ZINC000727468182 583656344 /nfs/dbraw/zinc/65/63/44/583656344.db2.gz GIEBKBRIFHPULK-HNNXBMFYSA-N 0 3 241.338 2.804 20 0 BFADHN CN(C/C=C/c1cccc(F)c1)C1(CO)CCC1 ZINC000895358452 583658408 /nfs/dbraw/zinc/65/84/08/583658408.db2.gz YPAKNVASEXQHLQ-ZZXKWVIFSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1n[nH]c(CN(C)C[C@@H]2CC2(C)C)c1C ZINC000895359625 583659130 /nfs/dbraw/zinc/65/91/30/583659130.db2.gz ZVUKTLRYAUEHLY-NSHDSACASA-N 0 3 221.348 2.504 20 0 BFADHN Cc1[nH]nc(CN(C)C[C@@H]2CC2(C)C)c1C ZINC000895359625 583659131 /nfs/dbraw/zinc/65/91/31/583659131.db2.gz ZVUKTLRYAUEHLY-NSHDSACASA-N 0 3 221.348 2.504 20 0 BFADHN Cc1n[nH]c(CN(C)C[C@H]2CC2(C)C)c1C ZINC000895359624 583659387 /nfs/dbraw/zinc/65/93/87/583659387.db2.gz ZVUKTLRYAUEHLY-LLVKDONJSA-N 0 3 221.348 2.504 20 0 BFADHN Cc1[nH]nc(CN(C)C[C@H]2CC2(C)C)c1C ZINC000895359624 583659388 /nfs/dbraw/zinc/65/93/88/583659388.db2.gz ZVUKTLRYAUEHLY-LLVKDONJSA-N 0 3 221.348 2.504 20 0 BFADHN CC1(C)CCC[C@@H]1CCNCc1c[nH]cn1 ZINC000895387485 583663048 /nfs/dbraw/zinc/66/30/48/583663048.db2.gz CMNDGIDYSOFZFY-LLVKDONJSA-N 0 3 221.348 2.716 20 0 BFADHN CN(C/C=C\c1cccc(F)c1)CC1(C)COC1 ZINC000895391734 583663710 /nfs/dbraw/zinc/66/37/10/583663710.db2.gz DEMLYQOGZLPIDC-XQRVVYSFSA-N 0 3 249.329 2.807 20 0 BFADHN CC[C@](C)(NCc1ccc(OC)o1)C1CC1 ZINC000895394691 583664575 /nfs/dbraw/zinc/66/45/75/583664575.db2.gz BTZGZLYTFBJZGE-ZDUSSCGKSA-N 0 3 223.316 2.957 20 0 BFADHN Cc1ncoc1CNC[C@H]1CCCC12CC2 ZINC000895415042 583667498 /nfs/dbraw/zinc/66/74/98/583667498.db2.gz RVNVRKSKIBIUII-LLVKDONJSA-N 0 3 220.316 2.653 20 0 BFADHN CCOc1ccc(CN[C@H]2[C@@H]3CCC[C@@H]32)o1 ZINC000895433110 583672087 /nfs/dbraw/zinc/67/20/87/583672087.db2.gz HAKNPAGGVIKXJV-PJXYFTJBSA-N 0 3 221.300 2.566 20 0 BFADHN COc1nccc(CN[C@@]2(C)CC2(C)C)c1F ZINC000895443628 583674144 /nfs/dbraw/zinc/67/41/44/583674144.db2.gz XQDWFCWXHDSQRB-ZDUSSCGKSA-N 0 3 238.306 2.508 20 0 BFADHN Cc1coc(CN2CC[C@H]3CSC[C@H]3C2)c1 ZINC000895460466 583678049 /nfs/dbraw/zinc/67/80/49/583678049.db2.gz GUXYNFXYMAXDPA-NWDGAFQWSA-N 0 3 237.368 2.773 20 0 BFADHN CN(Cc1cnn(C)c1C1CC1)C[C@@H]1CC1(C)C ZINC000895482740 583680871 /nfs/dbraw/zinc/68/08/71/583680871.db2.gz VTXMZCAANKSSOM-ZDUSSCGKSA-N 0 3 247.386 2.775 20 0 BFADHN Cc1cc(CN2CCC(F)CC2)c(C)o1 ZINC000895547415 583686564 /nfs/dbraw/zinc/68/65/64/583686564.db2.gz XWVPQPWOMMGILL-UHFFFAOYSA-N 0 3 211.280 2.830 20 0 BFADHN C=C/C=C\CCNCc1ccc(C(=O)OCC)o1 ZINC000895540759 583688050 /nfs/dbraw/zinc/68/80/50/583688050.db2.gz ICMNBCGRRASULM-WAYWQWQTSA-N 0 3 249.310 2.678 20 0 BFADHN FC[C@H]1CCCN(Cc2cnn3ccccc23)C1 ZINC000895546584 583689773 /nfs/dbraw/zinc/68/97/73/583689773.db2.gz QEDFASRXLULAHY-GFCCVEGCSA-N 0 3 247.317 2.516 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](CF)C2)o1 ZINC000895546801 583689786 /nfs/dbraw/zinc/68/97/86/583689786.db2.gz UKHWLEAEIDFMMT-NSHDSACASA-N 0 3 211.280 2.770 20 0 BFADHN FC1CCN(Cc2cc3cnccc3o2)CC1 ZINC000895545810 583689794 /nfs/dbraw/zinc/68/97/94/583689794.db2.gz HTSKTDIWJXXPBS-UHFFFAOYSA-N 0 3 234.274 2.762 20 0 BFADHN Cc1ccc(CN2CCC[C@H](CF)C2)o1 ZINC000895546800 583689797 /nfs/dbraw/zinc/68/97/97/583689797.db2.gz UKHWLEAEIDFMMT-LLVKDONJSA-N 0 3 211.280 2.770 20 0 BFADHN Cc1ccsc1CN1CCC(F)CC1 ZINC000895551797 583690621 /nfs/dbraw/zinc/69/06/21/583690621.db2.gz ZMTHLZUIUJWBQA-UHFFFAOYSA-N 0 3 213.321 2.990 20 0 BFADHN COc1ccc(CN2CCC(F)CC2)cc1C ZINC000895551487 583690712 /nfs/dbraw/zinc/69/07/12/583690712.db2.gz XKPDXZWZYBFMKG-UHFFFAOYSA-N 0 3 237.318 2.938 20 0 BFADHN CC(C)Cc1ccc(CN2C[C@@H]3C[C@@H]3C2)cn1 ZINC000895559741 583690993 /nfs/dbraw/zinc/69/09/93/583690993.db2.gz PSXHFJDPNAJJRR-OKILXGFUSA-N 0 3 230.355 2.732 20 0 BFADHN COc1ccc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)o1 ZINC000895559557 583691249 /nfs/dbraw/zinc/69/12/49/583691249.db2.gz BOORTOWOOULBBR-GRYCIOLGSA-N 0 3 235.327 2.814 20 0 BFADHN Cc1nn(C(C)C)cc1CN1CC[C@H](CF)C1 ZINC000895572585 583692016 /nfs/dbraw/zinc/69/20/16/583692016.db2.gz JIGJTLRADHPFTM-GFCCVEGCSA-N 0 3 239.338 2.564 20 0 BFADHN CCOc1cccc(CN2CC[C@@H](CF)C2)c1 ZINC000895574163 583692742 /nfs/dbraw/zinc/69/27/42/583692742.db2.gz NUNUVFSARFDUHB-ZDUSSCGKSA-N 0 3 237.318 2.877 20 0 BFADHN Clc1ccc2c(n1)[C@@H](NCC1CC1)CC2 ZINC000857902368 588922154 /nfs/dbraw/zinc/92/21/54/588922154.db2.gz RJUJWFFLVWRQFW-JTQLQIEISA-N 0 3 222.719 2.722 20 0 BFADHN C/C=C/CNCc1cc(C)nc2c1CCCC2 ZINC000895631999 583698948 /nfs/dbraw/zinc/69/89/48/583698948.db2.gz VJOVGFHYOBOFRK-ONEGZZNKSA-N 0 3 230.355 2.935 20 0 BFADHN CC(C)(NCc1ccco1)[C@H](O)c1ccccc1 ZINC000895657863 583701649 /nfs/dbraw/zinc/70/16/49/583701649.db2.gz FZMMRAMALZCHSG-CQSZACIVSA-N 0 3 245.322 2.881 20 0 BFADHN Cc1cc(CN[C@@]23C[C@@H]2CCCC3)cnc1F ZINC000895753026 583720100 /nfs/dbraw/zinc/72/01/00/583720100.db2.gz MZQJIWRYFYLBPW-JSGCOSHPSA-N 0 3 234.318 2.951 20 0 BFADHN Cc1ccc2ccnc(NC[C@@H]3CCN3C)c2c1 ZINC000895769216 583724410 /nfs/dbraw/zinc/72/44/10/583724410.db2.gz OJYPGNFYKUKPTJ-ZDUSSCGKSA-N 0 3 241.338 2.659 20 0 BFADHN COC(OC)[C@H](C)N[C@@H](C)CSC(C)(C)C ZINC000895794925 583730225 /nfs/dbraw/zinc/73/02/25/583730225.db2.gz SHFLOZHUARKTSY-UWVGGRQHSA-N 0 3 249.420 2.504 20 0 BFADHN COc1cc2c(cc1O)CCN(CC1(C)CC1)C2 ZINC000895887615 583739092 /nfs/dbraw/zinc/73/90/92/583739092.db2.gz DXVJZVZFGZZIFN-UHFFFAOYSA-N 0 3 247.338 2.559 20 0 BFADHN CN(CCCF)CC1=Cc2ccccc2OC1 ZINC000895898534 583740795 /nfs/dbraw/zinc/74/07/95/583740795.db2.gz WQGYBVWDIPZGEE-UHFFFAOYSA-N 0 3 235.302 2.754 20 0 BFADHN CCOc1cccc(CN(C)CCCF)c1 ZINC000895898572 583741247 /nfs/dbraw/zinc/74/12/47/583741247.db2.gz XWUVQIKNYSKWCT-UHFFFAOYSA-N 0 3 225.307 2.877 20 0 BFADHN CO[C@H]1CC[C@@H](C)N(Cc2ccoc2C)C1 ZINC000895929343 583748292 /nfs/dbraw/zinc/74/82/92/583748292.db2.gz YJVPCIIIEBYVME-MFKMUULPSA-N 0 3 223.316 2.587 20 0 BFADHN COCC1CN(Cc2cccc3ccccc32)C1 ZINC000895971264 583755915 /nfs/dbraw/zinc/75/59/15/583755915.db2.gz KFYNGJPXODSRJK-UHFFFAOYSA-N 0 3 241.334 2.918 20 0 BFADHN COCC1CN(Cc2ccc3ccccc3c2)C1 ZINC000895972451 583756756 /nfs/dbraw/zinc/75/67/56/583756756.db2.gz YOHCRDPBLIMTMV-UHFFFAOYSA-N 0 3 241.334 2.918 20 0 BFADHN COCC1CN(Cc2cccc(C3CC3)c2)C1 ZINC000895974219 583756782 /nfs/dbraw/zinc/75/67/82/583756782.db2.gz AUUHLVNHCWWJET-UHFFFAOYSA-N 0 3 231.339 2.642 20 0 BFADHN C=C/C=C/CCNCc1c(C)noc1CC ZINC000895996490 583759690 /nfs/dbraw/zinc/75/96/90/583759690.db2.gz XEGWPBYOMISIKB-VOTSOKGWSA-N 0 3 220.316 2.767 20 0 BFADHN COc1ccc(CNCC2(CC3CC3)CC2)o1 ZINC000895993279 583760935 /nfs/dbraw/zinc/76/09/35/583760935.db2.gz AKBNVEBATZAFJR-UHFFFAOYSA-N 0 3 235.327 2.958 20 0 BFADHN COc1ccc(CNCC2(CC3CC3)CC2)nc1 ZINC000895995508 583761584 /nfs/dbraw/zinc/76/15/84/583761584.db2.gz RWFPWPVTEUTFHJ-UHFFFAOYSA-N 0 3 246.354 2.760 20 0 BFADHN COc1ccc(CNC[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)o1 ZINC000896046277 583765483 /nfs/dbraw/zinc/76/54/83/583765483.db2.gz CRMFUJBCNIGHHC-DJIHRAIXSA-N 0 3 235.327 2.670 20 0 BFADHN CCC[C@@H](C)CCNCc1ccc(CO)o1 ZINC000883251332 583766106 /nfs/dbraw/zinc/76/61/06/583766106.db2.gz KZNIUKPYOLFGGY-LLVKDONJSA-N 0 3 225.332 2.688 20 0 BFADHN C=C/C=C/CCN[C@@H](C)c1nccn1CC ZINC000883268911 583772721 /nfs/dbraw/zinc/77/27/21/583772721.db2.gz VXXOZAIQMZOBGA-SYTKJHMZSA-N 0 3 219.332 2.686 20 0 BFADHN CCN1CC[C@H]1CN[C@H](C)c1cc(C)c(C)o1 ZINC000883355853 583779942 /nfs/dbraw/zinc/77/99/42/583779942.db2.gz ZCSDCURARWSHKQ-YPMHNXCESA-N 0 3 236.359 2.641 20 0 BFADHN CC[C@H]1CCCN1C[C@H](O)c1cccc(F)c1 ZINC000727832434 583781487 /nfs/dbraw/zinc/78/14/87/583781487.db2.gz BLVWQVMFOXZYNI-KBPBESRZSA-N 0 3 237.318 2.734 20 0 BFADHN COc1cccc(C)c1CN1CCO[C@H](C)CC1 ZINC000896578396 583814548 /nfs/dbraw/zinc/81/45/48/583814548.db2.gz UMZTXUUHDJNKSX-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN COc1cccc(C)c1CN1CCO[C@@H](C)CC1 ZINC000896578397 583814872 /nfs/dbraw/zinc/81/48/72/583814872.db2.gz UMZTXUUHDJNKSX-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@H]1CCN(C/C=C\c2ccc(F)cc2)CCO1 ZINC000896583948 583815824 /nfs/dbraw/zinc/81/58/24/583815824.db2.gz JYHVMFGFAHNQKM-ZRMMWKCHSA-N 0 3 249.329 2.950 20 0 BFADHN C[C@@H](N[C@H](c1ncccn1)C1CC1)C1CCC1 ZINC000896600240 583817315 /nfs/dbraw/zinc/81/73/15/583817315.db2.gz VCCADYOTNKEIAU-MFKMUULPSA-N 0 3 231.343 2.706 20 0 BFADHN CC[C@](C)(CN[C@H](c1ccccn1)C1CC1)OC ZINC000896610621 583817396 /nfs/dbraw/zinc/81/73/96/583817396.db2.gz XKNDSJUEJLXCID-LSDHHAIUSA-N 0 3 248.370 2.937 20 0 BFADHN CSC(C)(C)CCN1CCn2cccc2C1 ZINC000896762023 583831417 /nfs/dbraw/zinc/83/14/17/583831417.db2.gz ZHWBSEQPGGSAKF-UHFFFAOYSA-N 0 3 238.400 2.835 20 0 BFADHN COc1ccc(CCNCc2ccoc2)cc1F ZINC000728302047 583856558 /nfs/dbraw/zinc/85/65/58/583856558.db2.gz QOWQPVYBIBFNLV-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN Fc1cc(CNC2CC3(CCC3)C2)ccn1 ZINC000858184291 588937608 /nfs/dbraw/zinc/93/76/08/588937608.db2.gz OONBSLUMJLGOPF-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN Fc1cc(CNC2(C3CCC3)CC2)ccn1 ZINC000858184871 588937630 /nfs/dbraw/zinc/93/76/30/588937630.db2.gz VXUSYGUDLOBUQA-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN FC1(CN2CCC(F)(C3CC3)CC2)CC1 ZINC000896945306 583863091 /nfs/dbraw/zinc/86/30/91/583863091.db2.gz CHZCUMSYSAOMHI-UHFFFAOYSA-N 0 3 215.287 2.703 20 0 BFADHN CCO[C@H]1CCN(C/C=C\c2ccc(F)cc2)C1 ZINC000897068894 583876871 /nfs/dbraw/zinc/87/68/71/583876871.db2.gz OFVCAEJOXOTDTN-JZIMQPMSSA-N 0 3 249.329 2.950 20 0 BFADHN CO[C@H]1CC[C@@H]1N(C)c1ccnc2ccccc21 ZINC000897149215 583884488 /nfs/dbraw/zinc/88/44/88/583884488.db2.gz QJYMVHQUCFYVTP-GJZGRUSLSA-N 0 3 242.322 2.848 20 0 BFADHN Nc1cc(N[C@@H]2C[C@H]3CCC[C@@H]32)c(Cl)cn1 ZINC000897176174 583889015 /nfs/dbraw/zinc/88/90/15/583889015.db2.gz PGNPVKPBYUTQDU-KHQFGBGNSA-N 0 3 237.734 2.918 20 0 BFADHN FC1(CN2CCC[C@@H](C3CCOCC3)C2)CC1 ZINC000897269671 583907892 /nfs/dbraw/zinc/90/78/92/583907892.db2.gz MHZGOYNLHGGTLX-CYBMUJFWSA-N 0 3 241.350 2.627 20 0 BFADHN C[C@H](C[NH2+]Cc1cncc([O-])c1)C(C)(C)C ZINC000897314918 583918211 /nfs/dbraw/zinc/91/82/11/583918211.db2.gz DFTOCOHXYAIDHQ-SNVBAGLBSA-N 0 3 222.332 2.559 20 0 BFADHN C[C@@H]1CCC[C@@H]([NH2+]Cc2cncc([O-])c2)[C@H]1C ZINC000897315343 583919208 /nfs/dbraw/zinc/91/92/08/583919208.db2.gz KEKGKJQMHLCGMK-UHIISALHSA-N 0 3 234.343 2.702 20 0 BFADHN COCCCCN(C)C[C@@H]1CC1(Cl)Cl ZINC000842173788 583923696 /nfs/dbraw/zinc/92/36/96/583923696.db2.gz UDBZHUTUENHWBJ-VIFPVBQESA-N 0 3 240.174 2.539 20 0 BFADHN CCN(CC)CCOC(=O)C(C)(C)C(C)C ZINC000144024025 583925577 /nfs/dbraw/zinc/92/55/77/583925577.db2.gz SRXXFIUFZIJVMK-UHFFFAOYSA-N 0 3 229.364 2.554 20 0 BFADHN C[C@@H]1CCC[C@H](Nc2ccnc(CO)c2)[C@H]1C ZINC000858311881 588943914 /nfs/dbraw/zinc/94/39/14/588943914.db2.gz OEDFSUCAPIFZSA-SUNKGSAMSA-N 0 3 234.343 2.811 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CC[C@@H]1C(C)C ZINC000872135550 583947828 /nfs/dbraw/zinc/94/78/28/583947828.db2.gz WWKUYEZUTZYVAM-XQQFMLRXSA-N 0 3 233.359 2.870 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CC[C@H]1C(C)C ZINC000872135528 583948094 /nfs/dbraw/zinc/94/80/94/583948094.db2.gz WWKUYEZUTZYVAM-AVGNSLFASA-N 0 3 233.359 2.870 20 0 BFADHN Cc1cccc2c1C[C@H](NCc1ccoc1)CO2 ZINC000858216191 588939049 /nfs/dbraw/zinc/93/90/49/588939049.db2.gz VKWBXPPICIYISV-ZDUSSCGKSA-N 0 3 243.306 2.681 20 0 BFADHN Cn1ccnc1[C@H](NCC(C)(C)C1CC1)C1CC1 ZINC000897436260 583976735 /nfs/dbraw/zinc/97/67/35/583976735.db2.gz WPVCZVJKZJHNKF-CYBMUJFWSA-N 0 3 247.386 2.897 20 0 BFADHN Cn1ccnc1[C@@H](NCC(C)(C)C1CC1)C1CC1 ZINC000897436272 583976787 /nfs/dbraw/zinc/97/67/87/583976787.db2.gz WPVCZVJKZJHNKF-ZDUSSCGKSA-N 0 3 247.386 2.897 20 0 BFADHN Cn1c(Cl)cnc1CNCC(C)(C)C1CC1 ZINC000897437780 583983479 /nfs/dbraw/zinc/98/34/79/583983479.db2.gz OSJVTLFUJHWWSW-UHFFFAOYSA-N 0 3 241.766 2.599 20 0 BFADHN Cc1nocc1CNCC(C)(C)C1CC1 ZINC000897438021 583983511 /nfs/dbraw/zinc/98/35/11/583983511.db2.gz SAAJEELDMFXPTI-UHFFFAOYSA-N 0 3 208.305 2.509 20 0 BFADHN C[C@H](NCC(C)(C)C1CC1)c1cnccn1 ZINC000897438266 583983576 /nfs/dbraw/zinc/98/35/76/583983576.db2.gz UJWHIDHKUIEKEX-JTQLQIEISA-N 0 3 219.332 2.563 20 0 BFADHN Cn1ncc(Cl)c1CNCC(C)(C)C1CC1 ZINC000897438942 583984015 /nfs/dbraw/zinc/98/40/15/583984015.db2.gz LIPBICZGQJAEEQ-UHFFFAOYSA-N 0 3 241.766 2.599 20 0 BFADHN COCc1ccc(CNCC(C)(C)C2CC2)o1 ZINC000897439467 583984187 /nfs/dbraw/zinc/98/41/87/583984187.db2.gz XKHBZXXFUIOVRC-UHFFFAOYSA-N 0 3 237.343 2.952 20 0 BFADHN Cc1nc(CNCC(C)(C)C2CC2)cs1 ZINC000897443164 583984867 /nfs/dbraw/zinc/98/48/67/583984867.db2.gz SLJXQFKAMXLAGU-UHFFFAOYSA-N 0 3 224.373 2.977 20 0 BFADHN CC(C)(CNCc1cscn1)C1CC1 ZINC000897443179 583985011 /nfs/dbraw/zinc/98/50/11/583985011.db2.gz SWXFWLCMEBDAJY-UHFFFAOYSA-N 0 3 210.346 2.669 20 0 BFADHN C[C@@H]1CCC[C@H](CNc2ccnc(CO)c2)C1 ZINC000858361301 588949038 /nfs/dbraw/zinc/94/90/38/588949038.db2.gz MSSFDGAXSVOVSS-NEPJUHHUSA-N 0 3 234.343 2.812 20 0 BFADHN C[C@@H](Cc1cccc(O)c1)NCc1ccoc1 ZINC000729680927 584022385 /nfs/dbraw/zinc/02/23/85/584022385.db2.gz HHLKPFQDCZTCOR-NSHDSACASA-N 0 3 231.295 2.706 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1nn(C)cc1C(F)F ZINC000865808955 584031786 /nfs/dbraw/zinc/03/17/86/584031786.db2.gz VFWMQTOOWCWMET-WPRPVWTQSA-N 0 3 243.301 2.636 20 0 BFADHN Cn1cccc1CNC1([C@@H]2CCCCO2)CCC1 ZINC000865857783 584032203 /nfs/dbraw/zinc/03/22/03/584032203.db2.gz URDXJPADGVMGSW-AWEZNQCLSA-N 0 3 248.370 2.607 20 0 BFADHN Cc1cc(CN[C@H]2CCSC(C)(C)C2)no1 ZINC000897792674 584048587 /nfs/dbraw/zinc/04/85/87/584048587.db2.gz FIEXYVGOCCFJGL-JTQLQIEISA-N 0 3 240.372 2.747 20 0 BFADHN CC1(C)C[C@@H](NCc2ccns2)CCS1 ZINC000897794265 584048950 /nfs/dbraw/zinc/04/89/50/584048950.db2.gz UFZMSCFJNQWIRV-VIFPVBQESA-N 0 3 242.413 2.907 20 0 BFADHN C[C@@H]1CC[C@@H](Nc2ccnc(CO)c2)[C@H](C)C1 ZINC000858410714 588952479 /nfs/dbraw/zinc/95/24/79/588952479.db2.gz XPIZZRXLQNZJKA-JTNHKYCSSA-N 0 3 234.343 2.811 20 0 BFADHN CC[C@H]1CCC[C@@H](Nc2ccnc(CO)c2)C1 ZINC000858419454 588952877 /nfs/dbraw/zinc/95/28/77/588952877.db2.gz MIOJEJCMMNUXHB-NWDGAFQWSA-N 0 3 234.343 2.955 20 0 BFADHN Cc1ccc(CN[C@H](C)Cc2cccnc2)o1 ZINC000897970676 584061616 /nfs/dbraw/zinc/06/16/16/584061616.db2.gz UFJISSJGATZFHS-LLVKDONJSA-N 0 3 230.311 2.704 20 0 BFADHN CCCCC1(NCc2[nH]nc(C)c2C)CC1 ZINC000897935437 584062036 /nfs/dbraw/zinc/06/20/36/584062036.db2.gz XPCZPXAOTCHULX-UHFFFAOYSA-N 0 3 221.348 2.839 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897954073 584063918 /nfs/dbraw/zinc/06/39/18/584063918.db2.gz XQFJNVFTMKVTCN-NQBHXWOUSA-N 0 3 220.316 2.570 20 0 BFADHN c1cc2c(c(CN[C@@H]3C[C@@H]4CCC[C@H]34)c1)OCO2 ZINC000897953072 584064027 /nfs/dbraw/zinc/06/40/27/584064027.db2.gz MGRMBKJWJOLNCX-WCFLWFBJSA-N 0 3 245.322 2.694 20 0 BFADHN c1c(CN[C@@H]2C[C@H]3CCC[C@H]32)onc1C1CC1 ZINC000897958611 584066470 /nfs/dbraw/zinc/06/64/70/584066470.db2.gz LEMXHJNROSEBOF-MPKXVKKWSA-N 0 3 232.327 2.830 20 0 BFADHN c1cc(CN[C@@H]2C[C@H]3CCC[C@@H]32)nc2c1CCC2 ZINC000897959284 584066792 /nfs/dbraw/zinc/06/67/92/584066792.db2.gz RTYVOPZFHMPOMN-IVMMDQJWSA-N 0 3 242.366 2.849 20 0 BFADHN CC(C)Cn1nccc1CN[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897959057 584066870 /nfs/dbraw/zinc/06/68/70/584066870.db2.gz POYAXYKFGGBVJT-BPLDGKMQSA-N 0 3 247.386 2.817 20 0 BFADHN c1nc(C2CC2)ncc1CN[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897960110 584068253 /nfs/dbraw/zinc/06/82/53/584068253.db2.gz ZCPMWZGESCFAPB-HZSPNIEDSA-N 0 3 243.354 2.632 20 0 BFADHN CCc1ccc(CN[C@H](C)Cc2cccnc2)o1 ZINC000897969112 584070040 /nfs/dbraw/zinc/07/00/40/584070040.db2.gz FBUGIUZFMRRYIV-GFCCVEGCSA-N 0 3 244.338 2.958 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC2CC(CCO)C2)o1 ZINC000898008301 584070921 /nfs/dbraw/zinc/07/09/21/584070921.db2.gz RJUHFKYCIQQQMR-MLCFOIATSA-N 0 3 249.354 2.654 20 0 BFADHN CC[C@H]1CCC[C@@H](CNCc2cn(C)cn2)C1 ZINC000898045445 584073464 /nfs/dbraw/zinc/07/34/64/584073464.db2.gz NPAFEFSPHFDLQG-QWHCGFSZSA-N 0 3 235.375 2.726 20 0 BFADHN CC[C@@H]1CCC[C@@H](CNCc2cn(C)cn2)C1 ZINC000898045443 584073872 /nfs/dbraw/zinc/07/38/72/584073872.db2.gz NPAFEFSPHFDLQG-CHWSQXEVSA-N 0 3 235.375 2.726 20 0 BFADHN Cc1nocc1CNCC[C@@H]1CCC(F)(F)C1 ZINC000898014609 584074323 /nfs/dbraw/zinc/07/43/23/584074323.db2.gz SINXWKAOADPJRI-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCC=CCCC1 ZINC000898031779 584077607 /nfs/dbraw/zinc/07/76/07/584077607.db2.gz IIUCMWBATIVGJF-CYBMUJFWSA-N 0 3 233.359 2.944 20 0 BFADHN FC1(F)CCC(CCNCc2ncc[nH]2)CC1 ZINC000898031225 584077752 /nfs/dbraw/zinc/07/77/52/584077752.db2.gz CUJBOIPUPRPDPE-UHFFFAOYSA-N 0 3 243.301 2.715 20 0 BFADHN c1cc(CNC[C@H]2CCC=CCCC2)no1 ZINC000898032866 584078039 /nfs/dbraw/zinc/07/80/39/584078039.db2.gz YRFODTKHMYJAKU-LBPRGKRZSA-N 0 3 220.316 2.901 20 0 BFADHN CCCC[C@H](C)[C@H](C)NCc1cn(C)cn1 ZINC000898043401 584080392 /nfs/dbraw/zinc/08/03/92/584080392.db2.gz NXEXQLMOLFUSAV-RYUDHWBXSA-N 0 3 223.364 2.725 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CNCc2cn(C)cn2)C1 ZINC000898043298 584080469 /nfs/dbraw/zinc/08/04/69/584080469.db2.gz LBORJQXODYKWQL-YPMHNXCESA-N 0 3 233.359 2.502 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)NCc1cn(C)cn1 ZINC000898043551 584081461 /nfs/dbraw/zinc/08/14/61/584081461.db2.gz TWUGVZFPGCIWKS-OLZOCXBDSA-N 0 3 237.391 2.971 20 0 BFADHN Cc1ccoc1CNC[C@]12C[C@H]1COC21CCC1 ZINC000898065117 584081803 /nfs/dbraw/zinc/08/18/03/584081803.db2.gz CZZDHBADTBSNAM-GXTWGEPZSA-N 0 3 247.338 2.637 20 0 BFADHN Cc1ccoc1CN[C@H]1CCOC12CCCC2 ZINC000898112679 584083516 /nfs/dbraw/zinc/08/35/16/584083516.db2.gz PCLFUVDKXKBUJX-ZDUSSCGKSA-N 0 3 235.327 2.779 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1cnn(CC)n1 ZINC000858444550 588955598 /nfs/dbraw/zinc/95/55/98/588955598.db2.gz YVVYDMXKDGZUQI-RYUDHWBXSA-N 0 3 238.379 2.602 20 0 BFADHN CCCC[C@H](C)[C@H](C)NCc1cnn(CC)n1 ZINC000858445053 588955669 /nfs/dbraw/zinc/95/56/69/588955669.db2.gz YWTVZBGVEXZZIH-RYUDHWBXSA-N 0 3 238.379 2.602 20 0 BFADHN CCSCCN1CC(Cc2ccoc2)C1 ZINC000898160429 584087956 /nfs/dbraw/zinc/08/79/56/584087956.db2.gz FMDGJFQHHIMROT-UHFFFAOYSA-N 0 3 225.357 2.507 20 0 BFADHN CC[C@H](C)CN1CC(Cc2ccoc2)C1 ZINC000898160753 584088330 /nfs/dbraw/zinc/08/83/30/584088330.db2.gz RXPOORGAHCOKPO-NSHDSACASA-N 0 3 207.317 2.800 20 0 BFADHN OCCc1ccc(CNCc2cccs2)cc1 ZINC000898172494 584088679 /nfs/dbraw/zinc/08/86/79/584088679.db2.gz LFJQCCOWTDLGMA-UHFFFAOYSA-N 0 3 247.363 2.573 20 0 BFADHN O[C@H](CCNCc1coc2ccccc12)C1CC1 ZINC000898203472 584090544 /nfs/dbraw/zinc/09/05/44/584090544.db2.gz VMLWTBFRIHDPCV-CQSZACIVSA-N 0 3 245.322 2.683 20 0 BFADHN O[C@@H](CCNCc1ccsc1Cl)C1CC1 ZINC000898204613 584091184 /nfs/dbraw/zinc/09/11/84/584091184.db2.gz XNJNFQBWZRPFCR-JTQLQIEISA-N 0 3 245.775 2.652 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CC[C@H](O)[C@H](F)C2)C1 ZINC000898188273 584094184 /nfs/dbraw/zinc/09/41/84/584094184.db2.gz PSWIJPKRCMFSBV-RQJABVFESA-N 0 3 243.366 2.608 20 0 BFADHN Cc1ncccc1CCN[C@@H](C)c1ccccn1 ZINC000898254951 584101472 /nfs/dbraw/zinc/10/14/72/584101472.db2.gz GVOMGFSZGLHIGE-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1ncccc1CCN[C@H](C)c1ccccn1 ZINC000898254950 584101622 /nfs/dbraw/zinc/10/16/22/584101622.db2.gz GVOMGFSZGLHIGE-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@@H]1CC=CC[C@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000898224018 584102051 /nfs/dbraw/zinc/10/20/51/584102051.db2.gz MNVGLXYFKJQYHW-ZWNOBZJWSA-N 0 3 235.302 2.976 20 0 BFADHN CSCC(C)(C)NCc1cnn2ccccc12 ZINC000898305664 584109055 /nfs/dbraw/zinc/10/90/55/584109055.db2.gz MYZXFNFVMIQBPI-UHFFFAOYSA-N 0 3 249.383 2.566 20 0 BFADHN CCC[C@H](CN[C@H](c1ccncc1)C1CC1)OC ZINC000898319226 584110792 /nfs/dbraw/zinc/11/07/92/584110792.db2.gz AYJDIHKXZHOOHS-CABCVRRESA-N 0 3 248.370 2.937 20 0 BFADHN Cc1cccc(CN[C@H]2COC[C@@H]2C2CC2)c1F ZINC000898379679 584113252 /nfs/dbraw/zinc/11/32/52/584113252.db2.gz HWTOTGDEMYQXND-KGLIPLIRSA-N 0 3 249.329 2.649 20 0 BFADHN Cc1cc([C@H](C)NCC[C@H]2CCSC2)no1 ZINC000866403501 584118534 /nfs/dbraw/zinc/11/85/34/584118534.db2.gz QQBNKXYPPAFASI-QWRGUYRKSA-N 0 3 240.372 2.777 20 0 BFADHN CC1(CN2CCOc3cc(N)ccc3C2)CCC1 ZINC000873218238 584127394 /nfs/dbraw/zinc/12/73/94/584127394.db2.gz AAMHZOGPUGMESZ-UHFFFAOYSA-N 0 3 246.354 2.653 20 0 BFADHN Cc1sccc1CNC/C=C/Cl ZINC000898429032 584133232 /nfs/dbraw/zinc/13/32/32/584133232.db2.gz LRXNAARFISYCHQ-DUXPYHPUSA-N 0 3 201.722 2.899 20 0 BFADHN Cc1cc(CNC2(C)C(C)(C)C2(C)C)on1 ZINC000898413291 584131431 /nfs/dbraw/zinc/13/14/31/584131431.db2.gz SVACKCDGRNFKJQ-UHFFFAOYSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ncoc1CNC1(C)C(C)(C)C1(C)C ZINC000898413018 584131707 /nfs/dbraw/zinc/13/17/07/584131707.db2.gz IWGZTKLKPNBEQF-UHFFFAOYSA-N 0 3 222.332 2.897 20 0 BFADHN CC1(C)C(C)(C)C1(C)NCc1ccccn1 ZINC000898414881 584132473 /nfs/dbraw/zinc/13/24/73/584132473.db2.gz UXVKORFYTFYQRG-UHFFFAOYSA-N 0 3 218.344 2.996 20 0 BFADHN CO[C@@H](CNC/C=C/Cl)Cc1ccccc1 ZINC000898429316 584132487 /nfs/dbraw/zinc/13/24/87/584132487.db2.gz MPLJPMPYVNBPDF-OQHXTRMZSA-N 0 3 239.746 2.586 20 0 BFADHN Cl/C=C/CN[C@@H]1Cc2[nH]c3ccccc3c2C1 ZINC000898429518 584133520 /nfs/dbraw/zinc/13/35/20/584133520.db2.gz PCOSXTDHZFNIJV-YVGDHZEHSA-N 0 3 246.741 2.977 20 0 BFADHN Cl/C=C/CNCc1cccc2c1CCOC2 ZINC000898429911 584134025 /nfs/dbraw/zinc/13/40/25/584134025.db2.gz YYROPWPYKXCILM-QHHAFSJGSA-N 0 3 237.730 2.601 20 0 BFADHN Fc1ccc(CCNC/C=C\Cl)cc1F ZINC000898429779 584134191 /nfs/dbraw/zinc/13/41/91/584134191.db2.gz XLDIUJNEFOSWCH-KTAJNNJTSA-N 0 3 231.673 2.849 20 0 BFADHN COC[C@H](Cc1ccccc1)NC/C=C/Cl ZINC000898432497 584135027 /nfs/dbraw/zinc/13/50/27/584135027.db2.gz GFWSRLWQUUTJPC-LJLILKBBSA-N 0 3 239.746 2.586 20 0 BFADHN Cc1ccc(CCCNC/C=C\Cl)cn1 ZINC000898434456 584135857 /nfs/dbraw/zinc/13/58/57/584135857.db2.gz VUOKUUQLTHODIX-CLTKARDFSA-N 0 3 224.735 2.665 20 0 BFADHN Fc1cccc(OCCCNCc2ccco2)c1 ZINC000898445732 584136812 /nfs/dbraw/zinc/13/68/12/584136812.db2.gz LRCMMFGLUSQUGF-UHFFFAOYSA-N 0 3 249.285 2.977 20 0 BFADHN C[C@@H](CCCO)N[C@@H](c1ccccn1)C1CCC1 ZINC000858484631 588959766 /nfs/dbraw/zinc/95/97/66/588959766.db2.gz WQAFQPCBIGDORO-SWLSCSKDSA-N 0 3 248.370 2.673 20 0 BFADHN Cc1ccc(CNC2(C3CCCCC3)CC2)nn1 ZINC000898461703 584138850 /nfs/dbraw/zinc/13/88/50/584138850.db2.gz HMDNABUDFQXJRV-UHFFFAOYSA-N 0 3 245.370 2.988 20 0 BFADHN Cc1cscc1CNc1ccnc(CO)c1 ZINC000858518288 588962387 /nfs/dbraw/zinc/96/23/87/588962387.db2.gz OKBBGEFSHQLCPH-UHFFFAOYSA-N 0 3 234.324 2.556 20 0 BFADHN C/C=C\CN[C@H]1CCc2nc(Cl)ccc21 ZINC000858537551 588963664 /nfs/dbraw/zinc/96/36/64/588963664.db2.gz OHPLZXGJEORRBC-ZMWSMAPVSA-N 0 3 222.719 2.888 20 0 BFADHN OCc1cc(NC(C2CCC2)C2CCC2)ccn1 ZINC000858543681 588964144 /nfs/dbraw/zinc/96/41/44/588964144.db2.gz NKDVNXGSWNGCFA-UHFFFAOYSA-N 0 3 246.354 2.955 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNc1ccnc(CO)c1 ZINC000858558671 588965611 /nfs/dbraw/zinc/96/56/11/588965611.db2.gz WWNCHYFZPPTYKI-NEPJUHHUSA-N 0 3 234.343 2.812 20 0 BFADHN CCN(Cc1occc1C)c1ccnc(CO)c1 ZINC000858569638 588967337 /nfs/dbraw/zinc/96/73/37/588967337.db2.gz MMKHEBMPVPMJST-UHFFFAOYSA-N 0 3 246.310 2.502 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](C)c1ccns1 ZINC000858575669 588967906 /nfs/dbraw/zinc/96/79/06/588967906.db2.gz CTKHKYFRNTVDDG-IVZWLZJFSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@H]1CCN1C[C@@H](O)c1cc2ccccc2o1 ZINC000899894225 584248284 /nfs/dbraw/zinc/24/82/84/584248284.db2.gz VFNGUSKLTSCQIN-CMPLNLGQSA-N 0 3 231.295 2.560 20 0 BFADHN CCNCc1cc(-c2ccc(F)cc2)no1 ZINC000901385078 584436233 /nfs/dbraw/zinc/43/62/33/584436233.db2.gz LODLFQVXMBYAJZ-UHFFFAOYSA-N 0 3 220.247 2.590 20 0 BFADHN CNC(C)(C)c1cc(-c2ccccc2OC)no1 ZINC000901385302 584436248 /nfs/dbraw/zinc/43/62/48/584436248.db2.gz QFZQUTJATOOIDZ-UHFFFAOYSA-N 0 3 246.310 2.805 20 0 BFADHN CC[C@@](C)(N)c1cc(-c2ccc(OC)cc2)no1 ZINC000901386891 584436504 /nfs/dbraw/zinc/43/65/04/584436504.db2.gz NXTAMRZSFSLYJU-CQSZACIVSA-N 0 3 246.310 2.934 20 0 BFADHN CN(C)CCc1cc(-c2cccs2)no1 ZINC000901387885 584436653 /nfs/dbraw/zinc/43/66/53/584436653.db2.gz NQOAGHHHWJJTFZ-UHFFFAOYSA-N 0 3 222.313 2.507 20 0 BFADHN CN(C)CCSCc1ccccc1CF ZINC000901510071 584457176 /nfs/dbraw/zinc/45/71/76/584457176.db2.gz XZQKPLAVUWPGEQ-UHFFFAOYSA-N 0 3 227.348 2.951 20 0 BFADHN CN(C)CCSC1CCC(F)(F)CC1 ZINC000901735718 584488825 /nfs/dbraw/zinc/48/88/25/584488825.db2.gz JJACJOANYJFLCH-UHFFFAOYSA-N 0 3 223.332 2.859 20 0 BFADHN CN1CC[C@H](Cc2nc(C3CCCCC3)no2)C1 ZINC000901916452 584503783 /nfs/dbraw/zinc/50/37/83/584503783.db2.gz HMNCYRVPVOOTRI-LLVKDONJSA-N 0 3 249.358 2.612 20 0 BFADHN CCn1cnc(CN[C@@H](C)CCC(C)C)c1 ZINC000902026912 584513122 /nfs/dbraw/zinc/51/31/22/584513122.db2.gz BYPKJKAPEZXBIV-LBPRGKRZSA-N 0 3 223.364 2.817 20 0 BFADHN CCn1cnc(CNCC2CCCCC2)c1 ZINC000902029189 584513197 /nfs/dbraw/zinc/51/31/97/584513197.db2.gz UVCXXVWCCMXAQO-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CCn1cnc(CN[C@H](C)Cc2cccs2)c1 ZINC000902049433 584517164 /nfs/dbraw/zinc/51/71/64/584517164.db2.gz MSQFCMFHHOGILK-LLVKDONJSA-N 0 3 249.383 2.685 20 0 BFADHN CCn1cnc(CNCCCC2CCCC2)c1 ZINC000902051472 584517915 /nfs/dbraw/zinc/51/79/15/584517915.db2.gz NZPVANPGOWZELM-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1cn(CC)cn1 ZINC000902053639 584518427 /nfs/dbraw/zinc/51/84/27/584518427.db2.gz LLJGYOYDKCMYHP-JSGCOSHPSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1cnc(CNC[C@H](C)c2ccccc2)c1 ZINC000902034158 584519195 /nfs/dbraw/zinc/51/91/95/584519195.db2.gz KYKCZCFMQUPPJO-ZDUSSCGKSA-N 0 3 243.354 2.796 20 0 BFADHN CCNc1ccccc1CN[C@@H](CC)COC ZINC000902261399 584545089 /nfs/dbraw/zinc/54/50/89/584545089.db2.gz ZOMHGANLVWBBST-ZDUSSCGKSA-N 0 3 236.359 2.633 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2cn(CC)cn2)C1 ZINC000902263705 584545314 /nfs/dbraw/zinc/54/53/14/584545314.db2.gz CJHZWKHJJOZVJU-STQMWFEESA-N 0 3 235.375 2.961 20 0 BFADHN CCNc1ccccc1CN[C@H](CC)COC ZINC000902261398 584545350 /nfs/dbraw/zinc/54/53/50/584545350.db2.gz ZOMHGANLVWBBST-CYBMUJFWSA-N 0 3 236.359 2.633 20 0 BFADHN CNc1ccc(C)cc1CNCC(C)(C)OC ZINC000902278076 584550926 /nfs/dbraw/zinc/55/09/26/584550926.db2.gz DEZLNBWASJSMGF-UHFFFAOYSA-N 0 3 236.359 2.551 20 0 BFADHN CNc1ccccc1CN[C@H]1C[C@@H](OC)C1(C)C ZINC000902306650 584554235 /nfs/dbraw/zinc/55/42/35/584554235.db2.gz WVWVEJXOYQGLMJ-UONOGXRCSA-N 0 3 248.370 2.631 20 0 BFADHN CCCN(Cc1ccnc(F)c1)CC1CC1 ZINC000859056354 588994827 /nfs/dbraw/zinc/99/48/27/588994827.db2.gz QBVBUQBHQIACTK-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H](N[C@@H]1Cc2ccccc2[C@H]1N)c1ccoc1 ZINC000902439257 584597177 /nfs/dbraw/zinc/59/71/77/584597177.db2.gz QOMBLPMGWNKVFN-VCTAVGKDSA-N 0 3 242.322 2.555 20 0 BFADHN CNc1ccc(C)cc1CN[C@]1(C)CCCOC1 ZINC000902452522 584607204 /nfs/dbraw/zinc/60/72/04/584607204.db2.gz KNZMABPJSMSROA-OAHLLOKOSA-N 0 3 248.370 2.695 20 0 BFADHN CC(C)(C)[C@H]1CC[C@H]1NCc1csc(N)n1 ZINC000902497843 584619414 /nfs/dbraw/zinc/61/94/14/584619414.db2.gz AFYKPZJWALFVRU-VHSXEESVSA-N 0 3 239.388 2.640 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2csc(N)n2)[C@@H]1C ZINC000902499682 584620007 /nfs/dbraw/zinc/62/00/07/584620007.db2.gz QGALNPJZMDGMFU-KKZNHRDASA-N 0 3 239.388 2.640 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@H]1NCc1csc(N)n1 ZINC000902498671 584620031 /nfs/dbraw/zinc/62/00/31/584620031.db2.gz IBTPWKQWTZYATR-PSASIEDQSA-N 0 3 239.388 2.640 20 0 BFADHN CC[C@H](NCc1csc(N)n1)C1CCCC1 ZINC000902503450 584620738 /nfs/dbraw/zinc/62/07/38/584620738.db2.gz XRPUTZFLAGFWOE-NSHDSACASA-N 0 3 239.388 2.784 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1ccnc(F)c1 ZINC000859091591 589000349 /nfs/dbraw/zinc/00/03/49/589000349.db2.gz RTKVTTKIENWKAM-GFCCVEGCSA-N 0 3 222.307 2.841 20 0 BFADHN CCn1cnc(CN[C@@H](C)CCC2CC2)c1 ZINC000902485671 584625279 /nfs/dbraw/zinc/62/52/79/584625279.db2.gz MIRQFNQNFNMVOF-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cnc(CNC[C@@H]2CCC[C@@H]2C2CC2)c1 ZINC000902594642 584635604 /nfs/dbraw/zinc/63/56/04/584635604.db2.gz DIHBLHJFWLWPSQ-DZGCQCFKSA-N 0 3 247.386 2.819 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1cc(C)ccc1NC ZINC000902596538 584635966 /nfs/dbraw/zinc/63/59/66/584635966.db2.gz OGIIKTDWQVNZSA-CABCVRRESA-N 0 3 248.370 2.694 20 0 BFADHN CNc1ccc(C)cc1CN[C@H](C)[C@@H](C)OC ZINC000902572449 584636891 /nfs/dbraw/zinc/63/68/91/584636891.db2.gz VISQWWPNBRUWKR-VXGBXAGGSA-N 0 3 236.359 2.550 20 0 BFADHN CCNc1ccccc1CNC[C@H]1CC[C@@H](C)O1 ZINC000902585271 584638928 /nfs/dbraw/zinc/63/89/28/584638928.db2.gz DAVKMRFYBNIKLB-TZMCWYRMSA-N 0 3 248.370 2.776 20 0 BFADHN CCn1cnc(CN[C@@]2(C)CCCC[C@H]2C)c1 ZINC000902584973 584639298 /nfs/dbraw/zinc/63/92/98/584639298.db2.gz AQOXLIRRHCBISE-OCCSQVGLSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1cnc(CN[C@H](C)CC(C)(C)C)c1 ZINC000902586804 584639923 /nfs/dbraw/zinc/63/99/23/584639923.db2.gz ICWFJNUORZYJCJ-LLVKDONJSA-N 0 3 223.364 2.817 20 0 BFADHN CCn1cnc(CN[C@@H](C)CC(C)(C)C)c1 ZINC000902586806 584640034 /nfs/dbraw/zinc/64/00/34/584640034.db2.gz ICWFJNUORZYJCJ-NSHDSACASA-N 0 3 223.364 2.817 20 0 BFADHN CCn1cnc(CNCC2(CC3CC3)CC2)c1 ZINC000902641907 584645029 /nfs/dbraw/zinc/64/50/29/584645029.db2.gz CAUZHKMKOGMRKR-UHFFFAOYSA-N 0 3 233.359 2.573 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1ccccc1F ZINC000902681578 584655449 /nfs/dbraw/zinc/65/54/49/584655449.db2.gz BYIXKVLVEKSPEF-SIGWFZQWSA-N 0 3 247.313 2.652 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H](c2ccncc2)C2CC2)[C@H](C)O1 ZINC000902718655 584658091 /nfs/dbraw/zinc/65/80/91/584658091.db2.gz HOHMOYGMLHWGNI-FDRIWYBQSA-N 0 3 246.354 2.688 20 0 BFADHN C[C@H](NCC[C@H](O)C1CC1)c1ccccc1F ZINC000902704436 584659227 /nfs/dbraw/zinc/65/92/27/584659227.db2.gz YWKXBRVQMOLZFW-HZMBPMFUSA-N 0 3 237.318 2.637 20 0 BFADHN c1coc(CN[C@@H]2C[C@H]3CCC[C@H]3C2)c1 ZINC000902720615 584659980 /nfs/dbraw/zinc/65/99/80/584659980.db2.gz MYVOUPSZUJFZPY-ZSBIGDGJSA-N 0 3 205.301 2.948 20 0 BFADHN COc1ncccc1CNC1C[C@@H]2CCC[C@H]2C1 ZINC000902741110 584663916 /nfs/dbraw/zinc/66/39/16/584663916.db2.gz LOYLGKKGTZYTLN-RYUDHWBXSA-N 0 3 246.354 2.759 20 0 BFADHN Cc1cn(C)nc1COc1cc(C)nc(C)c1C ZINC000902749363 584664645 /nfs/dbraw/zinc/66/46/45/584664645.db2.gz WRFJRQAOZIJFFZ-UHFFFAOYSA-N 0 3 245.326 2.628 20 0 BFADHN CCc1cc(CN[C@@H]2C[C@H]3CCC[C@H]3C2)on1 ZINC000902817023 584678186 /nfs/dbraw/zinc/67/81/86/584678186.db2.gz UIJZVMPGCGJELB-PTEHBNRSSA-N 0 3 234.343 2.905 20 0 BFADHN CCN(Cc1ccnc(F)c1)C1CCCC1 ZINC000859076977 588998298 /nfs/dbraw/zinc/99/82/98/588998298.db2.gz OBVGVWJUYWTFJY-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2N[C@@H]1C[C@H]2CCC[C@H]2C1 ZINC000902882430 584688387 /nfs/dbraw/zinc/68/83/87/584688387.db2.gz VDNGBDUNYRSTQD-ZMJPVWNMSA-N 0 3 245.370 2.955 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@@H]2C[C@H]3CCC[C@H]3C2)[n-]1 ZINC000902889905 584689585 /nfs/dbraw/zinc/68/95/85/584689585.db2.gz SGYKZJJZDIBTNF-WISYIIOYSA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nnc([C@@H](C)N[C@@H]2C[C@H]3CCC[C@H]3C2)[nH]1 ZINC000902889905 584689587 /nfs/dbraw/zinc/68/95/87/584689587.db2.gz SGYKZJJZDIBTNF-WISYIIOYSA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nnc([C@H](C)[NH2+]C2C[C@H]3CCC[C@@H]3C2)[n-]1 ZINC000902889904 584689681 /nfs/dbraw/zinc/68/96/81/584689681.db2.gz SGYKZJJZDIBTNF-HBNTYKKESA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nnc([C@H](C)NC2C[C@H]3CCC[C@@H]3C2)[nH]1 ZINC000902889904 584689682 /nfs/dbraw/zinc/68/96/82/584689682.db2.gz SGYKZJJZDIBTNF-HBNTYKKESA-N 0 3 248.374 2.596 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccnc(F)c1 ZINC000859117511 589004196 /nfs/dbraw/zinc/00/41/96/589004196.db2.gz NAYAFQBXEAIWHD-LLVKDONJSA-N 0 3 208.280 2.595 20 0 BFADHN F[C@@H]1CCCN(CC[C@@H]2C[C@H]2C2CC2)C1 ZINC000902991315 584709793 /nfs/dbraw/zinc/70/97/93/584709793.db2.gz NZYYOPZQUGJOOK-UPJWGTAASA-N 0 3 211.324 2.857 20 0 BFADHN F[C@H]1CCCN(CC[C@@H]2C[C@H]2C2CC2)C1 ZINC000902991314 584710261 /nfs/dbraw/zinc/71/02/61/584710261.db2.gz NZYYOPZQUGJOOK-AGIUHOORSA-N 0 3 211.324 2.857 20 0 BFADHN F[C@H]1CCCN(C[C@H]2CCC(F)(F)C2)C1 ZINC000902993303 584711318 /nfs/dbraw/zinc/71/13/18/584711318.db2.gz SLQMVWCBHURUKU-UWVGGRQHSA-N 0 3 221.266 2.856 20 0 BFADHN CCCn1cc([C@@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)nn1 ZINC000903017639 584713342 /nfs/dbraw/zinc/71/33/42/584713342.db2.gz QNAUBCOTWAQYRS-NDBYEHHHSA-N 0 3 248.374 2.527 20 0 BFADHN CCn1ccc(CN[C@@H]2C[C@H]3CCC[C@H]3C2)n1 ZINC000903019804 584713623 /nfs/dbraw/zinc/71/36/23/584713623.db2.gz RNENIYJRRHVFES-DABQJJPHSA-N 0 3 233.359 2.571 20 0 BFADHN CCCCCCN1CC[C@H](Nc2ncccn2)C1 ZINC000903028075 584722129 /nfs/dbraw/zinc/72/21/29/584722129.db2.gz YYAPRYQAUOWGCL-ZDUSSCGKSA-N 0 3 248.374 2.543 20 0 BFADHN C=CC=CCCN[C@@H]1CCCc2c[nH]nc21 ZINC000903086728 584725187 /nfs/dbraw/zinc/72/51/87/584725187.db2.gz IOPIGRJUDYECHE-VSQXVHSFSA-N 0 3 217.316 2.509 20 0 BFADHN CC1(C)C[C@]1(C)CN[C@@H]1CCCc2c[nH]nc21 ZINC000903088448 584725354 /nfs/dbraw/zinc/72/53/54/584725354.db2.gz QWTJGLHAMAXISB-BXUZGUMPSA-N 0 3 233.359 2.813 20 0 BFADHN CO[C@H](C)CCN[C@@H](c1ccccn1)C1CC1 ZINC000903090304 584725897 /nfs/dbraw/zinc/72/58/97/584725897.db2.gz YKNGHHYWNWVBDP-BXUZGUMPSA-N 0 3 234.343 2.547 20 0 BFADHN CO[C@H]1CCC[C@H]1NC1(c2cccc(F)c2)CC1 ZINC000903138570 584730495 /nfs/dbraw/zinc/73/04/95/584730495.db2.gz XBTXCKJPSCOJSK-KGLIPLIRSA-N 0 3 249.329 2.972 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC[C@@H]1C[C@H]1C1CC1 ZINC000903161206 584731370 /nfs/dbraw/zinc/73/13/70/584731370.db2.gz DKFSRAWILVJQIE-BNOWGMLFSA-N 0 3 247.386 2.990 20 0 BFADHN C[C@@H](CC(C)(C)O)N[C@@H](c1ccccn1)C1CC1 ZINC000903178077 584733191 /nfs/dbraw/zinc/73/31/91/584733191.db2.gz DYZKBOFHRSZGGE-SMDDNHRTSA-N 0 3 248.370 2.672 20 0 BFADHN c1ccc([C@@H](N[C@H]2CC23CC3)C2CC2)nc1 ZINC000903193408 584733899 /nfs/dbraw/zinc/73/38/99/584733899.db2.gz WNLQHCZCVYIDHU-STQMWFEESA-N 0 3 214.312 2.675 20 0 BFADHN CC(C)[C@H]1CC[C@H]1NCc1cc(N(C)C)ccn1 ZINC000903198846 584734863 /nfs/dbraw/zinc/73/48/63/584734863.db2.gz PYJKDHOUUARORD-HUUCEWRRSA-N 0 3 247.386 2.672 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1nc2c(s1)CCC2 ZINC000903191362 584735911 /nfs/dbraw/zinc/73/59/11/584735911.db2.gz UZSREBYXWYYAKM-UWVGGRQHSA-N 0 3 236.384 2.910 20 0 BFADHN CCC[C@H](CCO)N[C@H](c1ccccn1)C1CC1 ZINC000903209230 584736912 /nfs/dbraw/zinc/73/69/12/584736912.db2.gz IRHVBCFBHSBGMQ-HIFRSBDPSA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@H](C[C@H](C)O)N[C@H](c1ccccn1)C1CC1 ZINC000903211784 584737030 /nfs/dbraw/zinc/73/70/30/584737030.db2.gz FGTHKYALRXSXLW-LNSITVRQSA-N 0 3 248.370 2.672 20 0 BFADHN CCC[C@@H](CCO)N[C@H](c1ccccn1)C1CC1 ZINC000903209232 584737111 /nfs/dbraw/zinc/73/71/11/584737111.db2.gz IRHVBCFBHSBGMQ-ZFWWWQNUSA-N 0 3 248.370 2.673 20 0 BFADHN Cc1nonc1CN[C@@H]1[C@H](C)CC[C@@H](C)[C@H]1C ZINC000903216820 584737239 /nfs/dbraw/zinc/73/72/39/584737239.db2.gz JABJLDXXBPJUTO-VWMGYNLJSA-N 0 3 237.347 2.538 20 0 BFADHN C[C@H](NCC(C)(C)C1CC1)c1ccn(C)n1 ZINC000903240922 584738101 /nfs/dbraw/zinc/73/81/01/584738101.db2.gz ZZEWEZBIKUJQLB-JTQLQIEISA-N 0 3 221.348 2.507 20 0 BFADHN CC(C)(CN[C@H]1CCCc2c[nH]nc21)C1CC1 ZINC000903240796 584738131 /nfs/dbraw/zinc/73/81/31/584738131.db2.gz XPRMUSKDGVGMSR-LBPRGKRZSA-N 0 3 233.359 2.813 20 0 BFADHN CC[C@@H](NCC(C)(C)C1CC1)c1nccn1C ZINC000903243794 584738178 /nfs/dbraw/zinc/73/81/78/584738178.db2.gz ITHGQSZNMSCCKZ-GFCCVEGCSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@@H](NCC(C)(C)C1CC1)c1ccn(C)n1 ZINC000903240923 584738185 /nfs/dbraw/zinc/73/81/85/584738185.db2.gz ZZEWEZBIKUJQLB-SNVBAGLBSA-N 0 3 221.348 2.507 20 0 BFADHN C[C@@H]1C[C@@H](NCC(C)(C)C2CC2)c2nccn21 ZINC000903239216 584738339 /nfs/dbraw/zinc/73/83/39/584738339.db2.gz BELXUQFHTGCJRY-ZYHUDNBSSA-N 0 3 233.359 2.915 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1cnn(C)c1 ZINC000903254919 584739578 /nfs/dbraw/zinc/73/95/78/584739578.db2.gz ULWGPHGEHSJNJB-RGCYKPLRSA-N 0 3 233.359 2.505 20 0 BFADHN CCn1cc([C@@H](C)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)cn1 ZINC000903253623 584739655 /nfs/dbraw/zinc/73/96/55/584739655.db2.gz KYYRTGYLVGLOAA-NBUWXKEQSA-N 0 3 233.359 2.598 20 0 BFADHN C[C@H](NCC[C@@H]1CCCC[C@@H]1O)c1ccco1 ZINC000903258355 584740058 /nfs/dbraw/zinc/74/00/58/584740058.db2.gz AVJWJQJOBCZCJI-AVGNSLFASA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](NCC1(C2(O)CCC2)CC1)c1ccoc1 ZINC000903259768 584740370 /nfs/dbraw/zinc/74/03/70/584740370.db2.gz PBZCXWQCFJGVHD-NSHDSACASA-N 0 3 235.327 2.625 20 0 BFADHN C[C@@H]1C[C@H](Nc2cccc(C3CC3)n2)CCN1C ZINC000903267534 584741313 /nfs/dbraw/zinc/74/13/13/584741313.db2.gz CPQHOQFVFFHNAF-DGCLKSJQSA-N 0 3 245.370 2.854 20 0 BFADHN Cc1nonc1[C@H](C)N[C@H]1CCCC2(CC2)C1 ZINC000903279114 584742373 /nfs/dbraw/zinc/74/23/73/584742373.db2.gz KHQGMVOBYYOSKL-ONGXEEELSA-N 0 3 235.331 2.751 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2CCN(C)[C@@H]2C)o1 ZINC000903300258 584743599 /nfs/dbraw/zinc/74/35/99/584743599.db2.gz GFWYHWIEQFEYKP-DMDPSCGWSA-N 0 3 236.359 2.585 20 0 BFADHN CCC[C@H](N[C@@H]1CCN(C)[C@@H]1C)c1ccccn1 ZINC000903301584 584744951 /nfs/dbraw/zinc/74/49/51/584744951.db2.gz SCXGRPYGSUOWHQ-NFAWXSAZSA-N 0 3 247.386 2.605 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c(C)o1 ZINC000903287802 584745924 /nfs/dbraw/zinc/74/59/24/584745924.db2.gz GLLCMXBSAXZMFY-GKEDWUBWSA-N 0 3 247.338 2.723 20 0 BFADHN CN1CC[C@H]1CN[C@@H]1CC(C)(C)Cc2occc21 ZINC000903322068 584746827 /nfs/dbraw/zinc/74/68/27/584746827.db2.gz KRQLWYWZELGTJX-WCQYABFASA-N 0 3 248.370 2.587 20 0 BFADHN c1cnc([C@H](N[C@H]2CC[C@H]2C2CC2)C2CC2)nc1 ZINC000903322012 584747127 /nfs/dbraw/zinc/74/71/27/584747127.db2.gz FVLRNTVZNMYENA-MELADBBJSA-N 0 3 243.354 2.706 20 0 BFADHN CC[C@H](N[C@H](c1ncccn1)C1CC1)[C@@H]1C[C@@H]1C ZINC000903323954 584747428 /nfs/dbraw/zinc/74/74/28/584747428.db2.gz PDMMYDFLRGHUEE-GHYVTOPFSA-N 0 3 245.370 2.952 20 0 BFADHN CCCCC1(N[C@@H](C)c2ccc(=O)[nH]n2)CC1 ZINC000903310841 584748142 /nfs/dbraw/zinc/74/81/42/584748142.db2.gz LTGXOXWAFDDZJT-JTQLQIEISA-N 0 3 235.331 2.556 20 0 BFADHN CCCCC1(N[C@H](C)c2cnn(C)c2)CC1 ZINC000903310182 584748303 /nfs/dbraw/zinc/74/83/03/584748303.db2.gz ITGRYQMTZBRIOQ-LLVKDONJSA-N 0 3 221.348 2.794 20 0 BFADHN CCCCC1(N[C@H](C)c2ccn(C)n2)CC1 ZINC000903313517 584748622 /nfs/dbraw/zinc/74/86/22/584748622.db2.gz SAJPSHBYKMPUAX-LLVKDONJSA-N 0 3 221.348 2.794 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](c1ncccn1)C1CC1 ZINC000903333130 584750901 /nfs/dbraw/zinc/75/09/01/584750901.db2.gz PROGJLJBESHZLH-GVXVVHGQSA-N 0 3 233.359 2.952 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](c1ccccn1)C1CC1 ZINC000903339815 584752286 /nfs/dbraw/zinc/75/22/86/584752286.db2.gz NFWFXGUSTOUHFD-WDMOLILDSA-N 0 3 234.343 2.546 20 0 BFADHN CCOC[C@@H](C)N[C@H](c1ccccn1)C1CC1 ZINC000903339810 584752330 /nfs/dbraw/zinc/75/23/30/584752330.db2.gz NCJQNBDZQXASOY-RISCZKNCSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@@H](NC[C@H]1CCC=CCCC1)c1cnnn1C ZINC000903347038 584753150 /nfs/dbraw/zinc/75/31/50/584753150.db2.gz YXBBWYOWZMTKGI-OLZOCXBDSA-N 0 3 248.374 2.602 20 0 BFADHN CCC[C@H](C)N[C@H](c1ncccn1)C1CC1 ZINC000903330275 584753869 /nfs/dbraw/zinc/75/38/69/584753869.db2.gz GVMSKWMHXXGIOB-JQWIXIFHSA-N 0 3 219.332 2.706 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2COC[C@@H]2C)ccc1F ZINC000903396030 584757122 /nfs/dbraw/zinc/75/71/22/584757122.db2.gz OTBVEIIADLHRPQ-MJVIPROJSA-N 0 3 237.318 2.820 20 0 BFADHN C[C@@H](NCC[C@@H](O)C1CC1)c1ccsc1 ZINC000903405739 584758372 /nfs/dbraw/zinc/75/83/72/584758372.db2.gz RAPKVDLCQYPMHZ-BXKDBHETSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@H](NCC[C@@H](O)C1CC1)c1cccc(F)c1 ZINC000903404355 584758432 /nfs/dbraw/zinc/75/84/32/584758432.db2.gz JCVDPSZSQDMWAU-IINYFYTJSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1cc([C@H](C)NCC[C@@H](O)C2CC2)c(C)o1 ZINC000903409147 584759224 /nfs/dbraw/zinc/75/92/24/584759224.db2.gz VVZPCKSMHDAZLM-IINYFYTJSA-N 0 3 237.343 2.708 20 0 BFADHN CCCn1cc([C@@H](C)N[C@@H]2CC=CC[C@H]2C)nn1 ZINC000903413469 584760003 /nfs/dbraw/zinc/76/00/03/584760003.db2.gz MTHXYCQIACJVLZ-JHJVBQTASA-N 0 3 248.374 2.693 20 0 BFADHN C[C@H](N[C@@H]1COC[C@@H]1C1CC1)c1cccc(F)c1 ZINC000903435276 584762338 /nfs/dbraw/zinc/76/23/38/584762338.db2.gz CCHSRFJCQUZYDD-COLVAYQJSA-N 0 3 249.329 2.901 20 0 BFADHN CC[C@H](N[C@@H]1COC[C@@H]1C1CC1)c1ccncc1 ZINC000903440214 584762998 /nfs/dbraw/zinc/76/29/98/584762998.db2.gz LNGLJHFWFFQVAK-QLFBSQMISA-N 0 3 246.354 2.547 20 0 BFADHN C[C@@H](NC1(C)C(C)(C)C1(C)C)c1ccnn1C ZINC000903442338 584767544 /nfs/dbraw/zinc/76/75/44/584767544.db2.gz NETNNUTVZLXXNE-SNVBAGLBSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H]([NH2+]C/C=C\Cl)c1cc(F)ccc1[O-] ZINC000903443093 584767797 /nfs/dbraw/zinc/76/77/97/584767797.db2.gz BHONDIDEMSSCIP-YAPUSRSVSA-N 0 3 229.682 2.934 20 0 BFADHN C[C@@H](NC/C=C\Cl)C1(Br)CC1 ZINC000903446263 584770095 /nfs/dbraw/zinc/77/00/95/584770095.db2.gz XICMKRVFDWCDEB-BIPIGHIPSA-N 0 3 238.556 2.645 20 0 BFADHN C[C@@H](NC1(C2CCCCC2)CC1)c1cnnn1C ZINC000903448956 584771521 /nfs/dbraw/zinc/77/15/21/584771521.db2.gz UMTHSZPRCDFLOO-LLVKDONJSA-N 0 3 248.374 2.579 20 0 BFADHN COc1ccc(C)cc1CN1CC[C@@H]2C[C@@H]21 ZINC000761089464 584909446 /nfs/dbraw/zinc/90/94/46/584909446.db2.gz RSYAJMHUDAECNA-YPMHNXCESA-N 0 3 217.312 2.598 20 0 BFADHN CC[C@@](C)(NCc1cn[nH]c1)c1ccccc1 ZINC000730817460 584916813 /nfs/dbraw/zinc/91/68/13/584916813.db2.gz NYRZWZIEIHRTKM-CQSZACIVSA-N 0 3 229.327 2.825 20 0 BFADHN CCCN1CCN(Cc2ccc(CC)cc2)CC1 ZINC000732590234 584938892 /nfs/dbraw/zinc/93/88/92/584938892.db2.gz IZGATASBOSFHFG-UHFFFAOYSA-N 0 3 246.398 2.777 20 0 BFADHN CCc1nc(CN[C@H](CC)[C@@H]2C[C@@H]2C)co1 ZINC000840759319 584945505 /nfs/dbraw/zinc/94/55/05/584945505.db2.gz HOXADQMWRNAUGR-MVWJERBFSA-N 0 3 222.332 2.761 20 0 BFADHN CCc1nc(CN[C@H](CC)[C@H]2C[C@@H]2C)co1 ZINC000840759337 584945520 /nfs/dbraw/zinc/94/55/20/584945520.db2.gz HOXADQMWRNAUGR-ZMLRMANQSA-N 0 3 222.332 2.761 20 0 BFADHN C[C@@H](c1ccccc1)[C@H](CO)NCc1ccoc1 ZINC000734846043 584968898 /nfs/dbraw/zinc/96/88/98/584968898.db2.gz NJQFPKLOQHLTIC-WFASDCNBSA-N 0 3 245.322 2.534 20 0 BFADHN CCN(CC)CCOC(=O)c1ccsc1C ZINC000838104912 585046142 /nfs/dbraw/zinc/04/61/42/585046142.db2.gz JBVPIRQLKHHRPY-UHFFFAOYSA-N 0 3 241.356 2.555 20 0 BFADHN C[C@H](c1cccs1)N(C)C[C@@H](O)C1CC1 ZINC000838792344 585068418 /nfs/dbraw/zinc/06/84/18/585068418.db2.gz JLXRIGBSUMFEDJ-MWLCHTKSSA-N 0 3 225.357 2.512 20 0 BFADHN C[C@@H](c1cccs1)N(C)C[C@@H](O)C1CC1 ZINC000838792342 585068480 /nfs/dbraw/zinc/06/84/80/585068480.db2.gz JLXRIGBSUMFEDJ-GXSJLCMTSA-N 0 3 225.357 2.512 20 0 BFADHN CCOC(=O)CC(C)(C)CN1CCC=C(C)C1 ZINC000839074291 585089746 /nfs/dbraw/zinc/08/97/46/585089746.db2.gz TZFUVZKBZHBJJM-UHFFFAOYSA-N 0 3 239.359 2.618 20 0 BFADHN CN(C)c1cc(CN(C)C2CC(C)(C)C2)ccn1 ZINC000839192374 585097524 /nfs/dbraw/zinc/09/75/24/585097524.db2.gz PNEOUTULRDJNQQ-UHFFFAOYSA-N 0 3 247.386 2.768 20 0 BFADHN C[C@@H](CN(C)C/C=C/Cl)C(=O)OC(C)(C)C ZINC000839198456 585099406 /nfs/dbraw/zinc/09/94/06/585099406.db2.gz CBZZWNWXNVSQGM-FGEFZZPRSA-N 0 3 247.766 2.649 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1cc(C)co1)C1CC1 ZINC000886144751 585108945 /nfs/dbraw/zinc/10/89/45/585108945.db2.gz GSSDDOKYYWIBQC-BXUZGUMPSA-N 0 3 237.343 2.881 20 0 BFADHN Cc1coc(CNCC[C@@H](O)C2CCCC2)c1 ZINC000886180905 585111048 /nfs/dbraw/zinc/11/10/48/585111048.db2.gz AAAHOTSJRCKJQM-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN CCCOC(=O)[C@@H](C)N(C(CC)CC)C1CC1 ZINC000741776634 585117990 /nfs/dbraw/zinc/11/79/90/585117990.db2.gz CEXACSKJDZKVKF-LLVKDONJSA-N 0 3 241.375 2.981 20 0 BFADHN CCCCOC(=O)[C@@H](C)N1CCC[C@H]1C1CC1 ZINC000741782941 585118395 /nfs/dbraw/zinc/11/83/95/585118395.db2.gz OSEPBQUSNYEFEV-YPMHNXCESA-N 0 3 239.359 2.593 20 0 BFADHN CCCCCCN(C)CC(=O)N(C)C1CCC1 ZINC000742130423 585122212 /nfs/dbraw/zinc/12/22/12/585122212.db2.gz WQFSVRJEGFVAIL-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@H](CNCc1ccoc1)Oc1ccccc1F ZINC000742381436 585125607 /nfs/dbraw/zinc/12/56/07/585125607.db2.gz TWYYJFXKWZIEDE-LLVKDONJSA-N 0 3 249.285 2.976 20 0 BFADHN Cc1cc(N[C@@H]2CC[C@H]3CCC[C@H]3C2)nc(N)n1 ZINC000742418189 585125911 /nfs/dbraw/zinc/12/59/11/585125911.db2.gz MBYZLUSUQXMDJT-GRYCIOLGSA-N 0 3 246.358 2.748 20 0 BFADHN Cc1cc(N[C@@H]2CC[C@@H]3CCC[C@@H]3C2)nc(N)n1 ZINC000742418191 585126161 /nfs/dbraw/zinc/12/61/61/585126161.db2.gz MBYZLUSUQXMDJT-QJPTWQEYSA-N 0 3 246.358 2.748 20 0 BFADHN CCCCC[C@H](C)CC(=O)NC[C@H](C)N(C)C ZINC000742532452 585127188 /nfs/dbraw/zinc/12/71/88/585127188.db2.gz MEFKQDKHKPQSPX-STQMWFEESA-N 0 3 242.407 2.659 20 0 BFADHN CCCCC[C@H](C)CC(=O)NC[C@@H](C)N(C)C ZINC000742532451 585127297 /nfs/dbraw/zinc/12/72/97/585127297.db2.gz MEFKQDKHKPQSPX-QWHCGFSZSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@H]1CCC[C@H](C)CN1Cn1cc[nH]c1=S ZINC000743371608 585136387 /nfs/dbraw/zinc/13/63/87/585136387.db2.gz WXHLQCACDLFHPR-WDEREUQCSA-N 0 3 239.388 2.640 20 0 BFADHN CC(C)[C@@H](C)N(C)Cn1ccc(C(F)(F)F)n1 ZINC000744147136 585144641 /nfs/dbraw/zinc/14/46/41/585144641.db2.gz OGLDHUSOBDFAHG-SECBINFHSA-N 0 3 249.280 2.836 20 0 BFADHN CCC[C@@H]1[C@H](C)CCCN1Cc1nonc1C ZINC000744413999 585149889 /nfs/dbraw/zinc/14/98/89/585149889.db2.gz CEDSQJQOVOKUFA-ZWNOBZJWSA-N 0 3 237.347 2.779 20 0 BFADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1nonc1C ZINC000744413997 585149901 /nfs/dbraw/zinc/14/99/01/585149901.db2.gz CEDSQJQOVOKUFA-GXFFZTMASA-N 0 3 237.347 2.779 20 0 BFADHN CCC[C@H]1[C@@H](C)CCCN1Cc1nonc1C ZINC000744413996 585149955 /nfs/dbraw/zinc/14/99/55/585149955.db2.gz CEDSQJQOVOKUFA-GWCFXTLKSA-N 0 3 237.347 2.779 20 0 BFADHN c1ccc(CCN(C[C@@H]2CCCO2)C2CC2)cc1 ZINC000746123611 585163378 /nfs/dbraw/zinc/16/33/78/585163378.db2.gz RAOCPVDZXOXOCN-INIZCTEOSA-N 0 3 245.366 2.873 20 0 BFADHN CCCCOC(=O)[C@@H](C)N1C[C@@H](C)CC1(C)C ZINC000746677552 585171748 /nfs/dbraw/zinc/17/17/48/585171748.db2.gz CNQJVQOHCOEZCX-NWDGAFQWSA-N 0 3 241.375 2.839 20 0 BFADHN CCCCOC(=O)[C@H](C)N1C[C@H](C)CC1(C)C ZINC000746677551 585172117 /nfs/dbraw/zinc/17/21/17/585172117.db2.gz CNQJVQOHCOEZCX-NEPJUHHUSA-N 0 3 241.375 2.839 20 0 BFADHN CCCCOC(=O)[C@@H](C)N1C[C@H](C)CC1(C)C ZINC000746677555 585172124 /nfs/dbraw/zinc/17/21/24/585172124.db2.gz CNQJVQOHCOEZCX-VXGBXAGGSA-N 0 3 241.375 2.839 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CCc1cccs1 ZINC000749436761 585202286 /nfs/dbraw/zinc/20/22/86/585202286.db2.gz QBSGOUONFAEEDK-VXGBXAGGSA-N 0 3 239.384 2.790 20 0 BFADHN C/C=C(\C)C(=O)Nc1ccccc1CN(C)C ZINC000751351568 585226954 /nfs/dbraw/zinc/22/69/54/585226954.db2.gz LQIBWUANMDVREA-VZUCSPMQSA-N 0 3 232.327 2.653 20 0 BFADHN CCC[C@H](C)CCCN1CCN(C)[C@H](C)C1 ZINC000859216553 589020266 /nfs/dbraw/zinc/02/02/66/589020266.db2.gz LQKFXDYWKBCETF-UONOGXRCSA-N 0 3 226.408 2.839 20 0 BFADHN CCCCCC(C)(C)C(=O)NC[C@H](C)N(C)C ZINC000753285768 585256377 /nfs/dbraw/zinc/25/63/77/585256377.db2.gz AVYSABZXXOYFBG-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@H](CN(C)Cc1ccoc1)c1nccs1 ZINC000753595500 585263186 /nfs/dbraw/zinc/26/31/86/585263186.db2.gz KHBOPGUJWOMNFM-JTQLQIEISA-N 0 3 236.340 2.972 20 0 BFADHN COc1cc(C)c([C@H](C)N[C@H]2CCOC2)cc1C ZINC000753686858 585266240 /nfs/dbraw/zinc/26/62/40/585266240.db2.gz LNUGTZILZDZZHB-STQMWFEESA-N 0 3 249.354 2.752 20 0 BFADHN CN(C)c1cc(CN(C)CC(C)(C)C)ccn1 ZINC000754029310 585275743 /nfs/dbraw/zinc/27/57/43/585275743.db2.gz FKFXXIBQJFPZAG-UHFFFAOYSA-N 0 3 235.375 2.626 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2ccnc(N(C)C)c2)C1 ZINC000754052821 585276314 /nfs/dbraw/zinc/27/63/14/585276314.db2.gz ZZABATJAZUCWMV-STQMWFEESA-N 0 3 247.386 2.768 20 0 BFADHN CCCC[C@@H]1CCC[C@H]1NCc1nonc1C ZINC000755047040 585293934 /nfs/dbraw/zinc/29/39/34/585293934.db2.gz DOAZSPXSIZIJTH-VXGBXAGGSA-N 0 3 237.347 2.827 20 0 BFADHN CC[C@H]1CC(=O)N(CN(CC)[C@@H](C)C(C)C)C1 ZINC000755913376 585310255 /nfs/dbraw/zinc/31/02/55/585310255.db2.gz OPKZVNAHRCHNRI-STQMWFEESA-N 0 3 240.391 2.569 20 0 BFADHN C[C@@H]1CCN(Cc2ccoc2)CCS1 ZINC000756147560 585315333 /nfs/dbraw/zinc/31/53/33/585315333.db2.gz SUPOAZZTSVHNHS-SNVBAGLBSA-N 0 3 211.330 2.607 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2CC=C(C)CC2)c1 ZINC000756641761 585322007 /nfs/dbraw/zinc/32/20/07/585322007.db2.gz WMOXWBIEXSPOID-MRXNPFEDSA-N 0 3 245.366 2.989 20 0 BFADHN Clc1cccc(OCCN2CC[C@H]3C[C@H]32)c1 ZINC000839535612 585329500 /nfs/dbraw/zinc/32/95/00/585329500.db2.gz YUQQJXHQUUAHNV-GXFFZTMASA-N 0 3 237.730 2.813 20 0 BFADHN CC(C)(C)[C@H]1CCN(Cc2ccoc2)C[C@H]1O ZINC000757867815 585346175 /nfs/dbraw/zinc/34/61/75/585346175.db2.gz WGDFLVGALGBKKG-QWHCGFSZSA-N 0 3 237.343 2.509 20 0 BFADHN CC(C)[C@@H]1CCN1C[C@H](O)c1cccc(F)c1 ZINC000759070200 585363946 /nfs/dbraw/zinc/36/39/46/585363946.db2.gz LQLVPGITSBGMNK-KBPBESRZSA-N 0 3 237.318 2.589 20 0 BFADHN CCCCN(C)CCNC(=O)C=C(CC)CC ZINC000759205231 585365514 /nfs/dbraw/zinc/36/55/14/585365514.db2.gz BKVGRBNKNJGWRJ-UHFFFAOYSA-N 0 3 240.391 2.581 20 0 BFADHN FCCN1CC[C@@H](Cc2ccc(F)cc2)C1 ZINC000759341493 585369190 /nfs/dbraw/zinc/36/91/90/585369190.db2.gz ACCQVEXMTGUDRI-LBPRGKRZSA-N 0 3 225.282 2.660 20 0 BFADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1CCF ZINC000759346501 585370012 /nfs/dbraw/zinc/37/00/12/585370012.db2.gz YROJTYISEIEAAV-CMPLNLGQSA-N 0 3 225.282 2.973 20 0 BFADHN CCO[C@H]1C[C@@H](N(C)CCF)C1(CC)CC ZINC000759347458 585370762 /nfs/dbraw/zinc/37/07/62/585370762.db2.gz WKVOSRURYNPZNL-NEPJUHHUSA-N 0 3 231.355 2.872 20 0 BFADHN Cc1nonc1CN1CCCC12CCCCC2 ZINC000759387150 585372004 /nfs/dbraw/zinc/37/20/04/585372004.db2.gz OFLSSMHBBVQQSK-UHFFFAOYSA-N 0 3 235.331 2.677 20 0 BFADHN FC(F)(F)[C@H]1CCN([C@H]2C=CCCC2)C1 ZINC000761049375 585404304 /nfs/dbraw/zinc/40/43/04/585404304.db2.gz PVICADUIZVNQDV-UWVGGRQHSA-N 0 3 219.250 2.979 20 0 BFADHN CC(C)OCCCN1CC[C@H](C(F)(F)F)C1 ZINC000761052627 585404658 /nfs/dbraw/zinc/40/46/58/585404658.db2.gz PFMKBUCTGTZMAL-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN Cc1cc(CN2CCN(C)[C@H](C)C2)c(C)s1 ZINC000761066965 585405010 /nfs/dbraw/zinc/40/50/10/585405010.db2.gz DOUVUDQCMYHHTD-SNVBAGLBSA-N 0 3 238.400 2.501 20 0 BFADHN Cc1cccc([C@H](C)N2CCN(C)[C@H](C)C2)c1 ZINC000761078119 585406011 /nfs/dbraw/zinc/40/60/11/585406011.db2.gz WJHXQCDFTHGIAE-KGLIPLIRSA-N 0 3 232.371 2.692 20 0 BFADHN CCc1cccc(OCCN2CC[C@@H]3C[C@@H]32)c1 ZINC000761092157 585408420 /nfs/dbraw/zinc/40/84/20/585408420.db2.gz MKEBVIYZPDMQLW-HIFRSBDPSA-N 0 3 231.339 2.722 20 0 BFADHN C(CN1CC[C@H]2C[C@H]21)OC1CCCCCC1 ZINC000761096177 585408998 /nfs/dbraw/zinc/40/89/98/585408998.db2.gz SEGXXSIEYCUTNG-GXTWGEPZSA-N 0 3 223.360 2.820 20 0 BFADHN FC(F)[C@H]1CCN(C[C@@H]2CC2(Cl)Cl)C1 ZINC000761113937 585410661 /nfs/dbraw/zinc/41/06/61/585410661.db2.gz SEDVTUHXYKQNCH-BQBZGAKWSA-N 0 3 244.112 2.767 20 0 BFADHN C[C@H]1CCN(Cn2cnnc2)CC12CCCCC2 ZINC000764954713 585478089 /nfs/dbraw/zinc/47/80/89/585478089.db2.gz NMSNVKCMBYXDDL-ZDUSSCGKSA-N 0 3 248.374 2.528 20 0 BFADHN CC1CCN(Cc2cccc3nsnc32)CC1 ZINC000766009512 585495800 /nfs/dbraw/zinc/49/58/00/585495800.db2.gz VHPJKANOOILBOK-UHFFFAOYSA-N 0 3 247.367 2.923 20 0 BFADHN C[C@@]1(CNCc2ccoc2)CCO[C@H]1C1CC1 ZINC000767195529 585515465 /nfs/dbraw/zinc/51/54/65/585515465.db2.gz KHHUMLAEPCZWTL-KBPBESRZSA-N 0 3 235.327 2.574 20 0 BFADHN CN(CCCc1ccccc1)CCC(=O)C1CC1 ZINC000767351107 585517398 /nfs/dbraw/zinc/51/73/98/585517398.db2.gz VPFIRWAUJPQUTP-UHFFFAOYSA-N 0 3 245.366 2.920 20 0 BFADHN Cc1cc(CNC[C@H](O)CC(C)(C)C)c(C)o1 ZINC000767369328 585518115 /nfs/dbraw/zinc/51/81/15/585518115.db2.gz AVCSUDQPAFCLFH-CYBMUJFWSA-N 0 3 239.359 2.783 20 0 BFADHN CO[C@H]1C[C@H](NCc2cc(C)oc2C)C1(C)C ZINC000767374089 585518416 /nfs/dbraw/zinc/51/84/16/585518416.db2.gz WBXYQAZGEKKNTM-STQMWFEESA-N 0 3 237.343 2.800 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1Cc1nonc1C ZINC000767907610 585528204 /nfs/dbraw/zinc/52/82/04/585528204.db2.gz PQCHUFSSRWCVFU-ZWNOBZJWSA-N 0 3 237.347 2.779 20 0 BFADHN Cc1nc2sccn2c1CN(C)[C@H](C)C1CC1 ZINC000768419934 585538355 /nfs/dbraw/zinc/53/83/55/585538355.db2.gz AGPCUBDUCBTJRO-SNVBAGLBSA-N 0 3 249.383 2.935 20 0 BFADHN CC1(C)CCN1CCc1ccc(F)c(F)c1 ZINC000768554588 585540259 /nfs/dbraw/zinc/54/02/59/585540259.db2.gz KRXZNNAMHISFSL-UHFFFAOYSA-N 0 3 225.282 2.992 20 0 BFADHN CCOCCN(CC)Cc1ccc2c(c1)COC2 ZINC000769140433 585549888 /nfs/dbraw/zinc/54/98/88/585549888.db2.gz OUXPDUFJQLKGPS-UHFFFAOYSA-N 0 3 249.354 2.575 20 0 BFADHN c1ccc2[nH]c(CN3CCC[C@H]3C3CC3)nc2c1 ZINC000769329785 585553963 /nfs/dbraw/zinc/55/39/63/585553963.db2.gz MWASTTXVXQKNRQ-AWEZNQCLSA-N 0 3 241.338 2.937 20 0 BFADHN CCc1ccc(CN2CCOC[C@@H](C)C2)s1 ZINC000769456569 585557508 /nfs/dbraw/zinc/55/75/08/585557508.db2.gz MWLMTJCHWIUUFC-NSHDSACASA-N 0 3 239.384 2.779 20 0 BFADHN Cc1cc(CN2CCCOC[C@H]2C)c(C)o1 ZINC000769454942 585557583 /nfs/dbraw/zinc/55/75/83/585557583.db2.gz BAMRSTPFQXJHGF-SNVBAGLBSA-N 0 3 223.316 2.507 20 0 BFADHN CCc1noc(C)c1[C@@H](C)NCC[C@H](C)OC ZINC000769870115 585565382 /nfs/dbraw/zinc/56/53/82/585565382.db2.gz CWZUAJMUBMZFMU-VHSXEESVSA-N 0 3 240.347 2.621 20 0 BFADHN CCc1noc(C)c1[C@H](C)NCC[C@@H](C)OC ZINC000769870116 585565410 /nfs/dbraw/zinc/56/54/10/585565410.db2.gz CWZUAJMUBMZFMU-ZJUUUORDSA-N 0 3 240.347 2.621 20 0 BFADHN CCc1noc(C)c1[C@@H](C)NCC[C@@H](C)OC ZINC000769870113 585565544 /nfs/dbraw/zinc/56/55/44/585565544.db2.gz CWZUAJMUBMZFMU-NXEZZACHSA-N 0 3 240.347 2.621 20 0 BFADHN Cc1cccc(F)c1CNCC1=CCCOC1 ZINC000769927933 585566483 /nfs/dbraw/zinc/56/64/83/585566483.db2.gz JQVWNUJWOONPQZ-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@H]1C[C@H]1c1ccccc1 ZINC000770346242 585572969 /nfs/dbraw/zinc/57/29/69/585572969.db2.gz DVBAOVZAHSFGCB-GJZGRUSLSA-N 0 3 241.338 2.706 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@H]1C[C@@H]1c1ccccc1 ZINC000770346240 585573073 /nfs/dbraw/zinc/57/30/73/585573073.db2.gz DVBAOVZAHSFGCB-CABCVRRESA-N 0 3 241.338 2.706 20 0 BFADHN CC[C@H](C)[C@@H](C)C(=O)OCCN1CCCCC1 ZINC000770415385 585573637 /nfs/dbraw/zinc/57/36/37/585573637.db2.gz PFRIHVUMRWOSRA-QWHCGFSZSA-N 0 3 241.375 2.698 20 0 BFADHN O=C(CCC1CCC1)OCCN1CCCCC1 ZINC000770397499 585573812 /nfs/dbraw/zinc/57/38/12/585573812.db2.gz IAXPMSRPDWEWHZ-UHFFFAOYSA-N 0 3 239.359 2.596 20 0 BFADHN CCCCC[C@@H](CC)C(=O)N[C@@H](C)CN(C)C ZINC000805426042 585592022 /nfs/dbraw/zinc/59/20/22/585592022.db2.gz MBNPQGDSRJDJQC-QWHCGFSZSA-N 0 3 242.407 2.659 20 0 BFADHN CCc1cccc(NC(=O)CNC[C@H](C)CC)c1 ZINC000771835575 585601657 /nfs/dbraw/zinc/60/16/57/585601657.db2.gz SXHUQWJQXQWWIG-GFCCVEGCSA-N 0 3 248.370 2.823 20 0 BFADHN CC[C@@H](C)CNCc1nc2cc(F)ccc2n1C ZINC000771841683 585602037 /nfs/dbraw/zinc/60/20/37/585602037.db2.gz JJNLMKNJCKYDTH-SNVBAGLBSA-N 0 3 249.333 2.848 20 0 BFADHN CCC[C@H](C)CC(=O)OCCN(CC)CC ZINC000772065590 585608010 /nfs/dbraw/zinc/60/80/10/585608010.db2.gz KDCXNHZSMMAHPN-LBPRGKRZSA-N 0 3 229.364 2.698 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1cccc2c1OCCO2 ZINC000806147218 585618733 /nfs/dbraw/zinc/61/87/33/585618733.db2.gz VIFUACKJGACXHW-YPMHNXCESA-N 0 3 247.338 2.736 20 0 BFADHN C[C@H](NC[C@@H](O)c1cccnc1)c1ccsc1 ZINC000773080512 585630870 /nfs/dbraw/zinc/63/08/70/585630870.db2.gz GBOWWPLUHHYOOY-GXFFZTMASA-N 0 3 248.351 2.527 20 0 BFADHN C[C@@H]1CCCN1CCN[C@@H]1CCCc2occc21 ZINC000774206435 585653958 /nfs/dbraw/zinc/65/39/58/585653958.db2.gz CEERIZIZMRXFNA-TZMCWYRMSA-N 0 3 248.370 2.731 20 0 BFADHN CN(Cc1cccc(O)c1)C[C@@H]1CCC=CO1 ZINC000774816164 585666603 /nfs/dbraw/zinc/66/66/03/585666603.db2.gz YYSQVYCAZZPCEJ-AWEZNQCLSA-N 0 3 233.311 2.517 20 0 BFADHN CN(Cc1ccsc1)C[C@H]1CCC=CO1 ZINC000774821415 585666950 /nfs/dbraw/zinc/66/69/50/585666950.db2.gz QFBIIEGKGYJIJA-GFCCVEGCSA-N 0 3 223.341 2.873 20 0 BFADHN Cc1cc([C@@H]2CCCN2C[C@H]2CCC=CO2)on1 ZINC000774841713 585667151 /nfs/dbraw/zinc/66/71/51/585667151.db2.gz WKVWREDOWHQPHI-OLZOCXBDSA-N 0 3 248.326 2.813 20 0 BFADHN CC(C)OC1CCN(C[C@@H]2CCC=CO2)CC1 ZINC000774852995 585667413 /nfs/dbraw/zinc/66/74/13/585667413.db2.gz MDSSORBUAJBDPO-AWEZNQCLSA-N 0 3 239.359 2.569 20 0 BFADHN COc1ccc(CNC[C@@H]2CCC=CO2)c(C)c1 ZINC000775037963 585670814 /nfs/dbraw/zinc/67/08/14/585670814.db2.gz PYSHBQJVNLVJDN-HNNXBMFYSA-N 0 3 247.338 2.786 20 0 BFADHN CCC[C@@H]1CCCC[C@H]1NCc1nonc1C ZINC000775681194 585682982 /nfs/dbraw/zinc/68/29/82/585682982.db2.gz IKFBDJQFOJQKNH-VXGBXAGGSA-N 0 3 237.347 2.827 20 0 BFADHN CCCCOC(=O)[C@H](C)N1CC[C@@H](C)[C@H]1CC ZINC000775784800 585684850 /nfs/dbraw/zinc/68/48/50/585684850.db2.gz HABPYRYGIGRACG-FRRDWIJNSA-N 0 3 241.375 2.839 20 0 BFADHN COc1ccccc1SCCN1CCCC1 ZINC000776433061 585698820 /nfs/dbraw/zinc/69/88/20/585698820.db2.gz LHGVLXOLYLUOGR-UHFFFAOYSA-N 0 3 237.368 2.883 20 0 BFADHN c1coc(CSCCN2CCCC2)c1 ZINC000776432514 585698825 /nfs/dbraw/zinc/69/88/25/585698825.db2.gz GIXJFVYMUAXYSK-UHFFFAOYSA-N 0 3 211.330 2.609 20 0 BFADHN CCCCCCN1CCO[C@@H](C2CC2)C1 ZINC000776801557 585705893 /nfs/dbraw/zinc/70/58/93/585705893.db2.gz VHQLVLNKFHNNTP-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CCCCCCN(C)[C@H](C)C(=O)NC(C)(C)C ZINC000776858046 585706184 /nfs/dbraw/zinc/70/61/84/585706184.db2.gz RBFDEOSESSWGJF-GFCCVEGCSA-N 0 3 242.407 2.802 20 0 BFADHN COCC1(N[C@H]2CCc3cc(C)ccc32)CC1 ZINC000777238203 585709737 /nfs/dbraw/zinc/70/97/37/585709737.db2.gz QGJQQFAGFUSRGQ-AWEZNQCLSA-N 0 3 231.339 2.751 20 0 BFADHN C[C@@H](C1CC1)N1CCN(c2ccccc2)CC1 ZINC000777401928 585710632 /nfs/dbraw/zinc/71/06/32/585710632.db2.gz UHYSISNWLBJZNP-ZDUSSCGKSA-N 0 3 230.355 2.607 20 0 BFADHN Cc1ccccc1N1CCN([C@@H](C)C2CC2)CC1 ZINC000777401408 585711413 /nfs/dbraw/zinc/71/14/13/585711413.db2.gz NUTVJFXCPBPSOO-AWEZNQCLSA-N 0 3 244.382 2.916 20 0 BFADHN Cc1cc(CNC[C@H]2CCC(C)(C)O2)c(C)o1 ZINC000778208862 585727658 /nfs/dbraw/zinc/72/76/58/585727658.db2.gz VYENPUQACNWBPL-CYBMUJFWSA-N 0 3 237.343 2.944 20 0 BFADHN Cc1cccc(CCCN2CCN(C)[C@@H](C)C2)c1 ZINC000778221523 585728026 /nfs/dbraw/zinc/72/80/26/585728026.db2.gz GDSKRRQROKEDGM-HNNXBMFYSA-N 0 3 246.398 2.564 20 0 BFADHN CCCCNC1(C(=O)Nc2ccccc2)CC1 ZINC000778450271 585735117 /nfs/dbraw/zinc/73/51/17/585735117.db2.gz XDTHDJBANRKUSH-UHFFFAOYSA-N 0 3 232.327 2.547 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2CC=CCC2)c1 ZINC000778968099 585742952 /nfs/dbraw/zinc/74/29/52/585742952.db2.gz XKCCSVHLZUYFRV-OAHLLOKOSA-N 0 3 231.339 2.599 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccc(F)nc2)C1 ZINC000779161308 585746493 /nfs/dbraw/zinc/74/64/93/585746493.db2.gz JKSRASNEIUHIGB-CYBMUJFWSA-N 0 3 222.307 2.843 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2ccc(F)nc2)C1 ZINC000779164442 585746520 /nfs/dbraw/zinc/74/65/20/585746520.db2.gz QVDSLNLHGOKSQS-GFCCVEGCSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@@H]1CCCCN(Cc2ccc(F)nc2)C1 ZINC000779171749 585746550 /nfs/dbraw/zinc/74/65/50/585746550.db2.gz MQPYAGZSJRRPIU-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN CSc1cc(C)ccc1CN[C@@H](C)CCO ZINC000779407296 585753801 /nfs/dbraw/zinc/75/38/01/585753801.db2.gz URJRUXMDZRPLKZ-NSHDSACASA-N 0 3 239.384 2.577 20 0 BFADHN C[C@@H]1CCCCN(Cc2ccnc(F)c2)C1 ZINC000859258193 589025251 /nfs/dbraw/zinc/02/52/51/589025251.db2.gz SUSQZVWMCURLHJ-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN CN(Cc1ccnc(F)c1)C1(C)CCCC1 ZINC000859283410 589025581 /nfs/dbraw/zinc/02/55/81/589025581.db2.gz UZUGKCLOJCCPAO-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccnc(N(C)C)c2)C1 ZINC000782173767 585809590 /nfs/dbraw/zinc/80/95/90/585809590.db2.gz FFIPQCFHEQBTFY-CYBMUJFWSA-N 0 3 247.386 2.770 20 0 BFADHN C[C@@H](C1CC1)N1CCc2c(F)cccc2C1 ZINC000782182090 585810225 /nfs/dbraw/zinc/81/02/25/585810225.db2.gz OLROXQRZYYVTEQ-JTQLQIEISA-N 0 3 219.303 2.982 20 0 BFADHN Cc1ccc(N2CCN([C@H](C)C3CC3)CC2)cc1 ZINC000782194417 585811882 /nfs/dbraw/zinc/81/18/82/585811882.db2.gz YBIDEMKBSAFCDK-CQSZACIVSA-N 0 3 244.382 2.916 20 0 BFADHN CC(C)c1ccccc1CNCc1cncn1C ZINC000782539610 585819829 /nfs/dbraw/zinc/81/98/29/585819829.db2.gz NFPYHQNUINZBKI-UHFFFAOYSA-N 0 3 243.354 2.833 20 0 BFADHN CCOCOc1ccc(CN2CCCC2)cc1 ZINC000782950458 585826480 /nfs/dbraw/zinc/82/64/80/585826480.db2.gz OHYBJNDEOVLPSK-UHFFFAOYSA-N 0 3 235.327 2.655 20 0 BFADHN CCCOc1ncccc1CN[C@H]1CC[C@H]1C ZINC000783227079 585831448 /nfs/dbraw/zinc/83/14/48/585831448.db2.gz SPRKJUZHEVNBAR-YPMHNXCESA-N 0 3 234.343 2.759 20 0 BFADHN Cc1ccn2cc(CN[C@H]3CC[C@@H]3C)nc2c1 ZINC000783237043 585831638 /nfs/dbraw/zinc/83/16/38/585831638.db2.gz QBCXTYFKCQZWHD-AAEUAGOBSA-N 0 3 229.327 2.531 20 0 BFADHN C[C@@H]1CC[C@@H]1NC1(C(=O)Nc2ccccc2)CC1 ZINC000783292709 585833001 /nfs/dbraw/zinc/83/30/01/585833001.db2.gz BWPQHTOCQSOLOK-YPMHNXCESA-N 0 3 244.338 2.546 20 0 BFADHN c1cc(CN2CC[C@@H](Cc3ccncc3)C2)co1 ZINC000783596690 585837661 /nfs/dbraw/zinc/83/76/61/585837661.db2.gz FFEIZFWPHGMGDC-AWEZNQCLSA-N 0 3 242.322 2.739 20 0 BFADHN c1cc(CN2CC[C@H](Cc3ccncc3)C2)co1 ZINC000783596691 585837817 /nfs/dbraw/zinc/83/78/17/585837817.db2.gz FFEIZFWPHGMGDC-CQSZACIVSA-N 0 3 242.322 2.739 20 0 BFADHN Cc1ccc([C@H]2CCN(CCF)C2)cc1 ZINC000784473869 585861175 /nfs/dbraw/zinc/86/11/75/585861175.db2.gz AJIOYTZLILQQDF-ZDUSSCGKSA-N 0 3 207.292 2.754 20 0 BFADHN CCCOC(=O)[C@H](C)N1CC[C@H](C(C)(C)C)C1 ZINC000784517150 585862010 /nfs/dbraw/zinc/86/20/10/585862010.db2.gz QZATVHDJGOWWNG-RYUDHWBXSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1cc(CN[C@@]2(C)CCO[C@H]2C2CC2)c(C)o1 ZINC000784683211 585865488 /nfs/dbraw/zinc/86/54/88/585865488.db2.gz VHSFRLAQCPQYJK-GJZGRUSLSA-N 0 3 249.354 2.944 20 0 BFADHN CCc1cccc(NC(=O)CNCC2(C)CC2)c1 ZINC000785465328 585874156 /nfs/dbraw/zinc/87/41/56/585874156.db2.gz GXNJMHLUSSGFND-UHFFFAOYSA-N 0 3 246.354 2.577 20 0 BFADHN CC(C)CC1CCN(Cc2ccnc(N)c2)CC1 ZINC000785711786 585878703 /nfs/dbraw/zinc/87/87/03/585878703.db2.gz YNJCTCHXDTXCTJ-UHFFFAOYSA-N 0 3 247.386 2.922 20 0 BFADHN CC[C@H](C)N(C)Cc1ccc(F)nc1C ZINC000787088265 585900950 /nfs/dbraw/zinc/90/09/50/585900950.db2.gz LZMFOHLRFOOFCW-VIFPVBQESA-N 0 3 210.296 2.759 20 0 BFADHN CC1(CN2CCc3c(F)cccc3C2)CC1 ZINC000787164910 585902241 /nfs/dbraw/zinc/90/22/41/585902241.db2.gz VTSANXYNOKMSSG-UHFFFAOYSA-N 0 3 219.303 2.984 20 0 BFADHN COC(C)(C)CCN(C)Cc1c(C)noc1C ZINC000787169177 585902393 /nfs/dbraw/zinc/90/23/93/585902393.db2.gz NLWVATFZOPTHEA-UHFFFAOYSA-N 0 3 240.347 2.538 20 0 BFADHN Cc1nc(SC[C@H]2CCN(C)C2)sc1C ZINC000788812337 585930529 /nfs/dbraw/zinc/93/05/29/585930529.db2.gz KFEFTOZIKZUYDO-JTQLQIEISA-N 0 3 242.413 2.804 20 0 BFADHN CCc1cc(CN[C@H]2CCC23CCCC3)[nH]n1 ZINC000788988157 585932942 /nfs/dbraw/zinc/93/29/42/585932942.db2.gz QBUSLJKWHLZTBR-ZDUSSCGKSA-N 0 3 233.359 2.785 20 0 BFADHN Cc1cccc2c1CCN(C[C@@H]1CC[C@@H](C)O1)C2 ZINC000789318095 585939749 /nfs/dbraw/zinc/93/97/49/585939749.db2.gz FNLPWVWUWMSVNF-HIFRSBDPSA-N 0 3 245.366 2.921 20 0 BFADHN Cc1ccccc1[C@@H](O)CN1[C@H](C)C[C@@H]1C ZINC000791565879 585984116 /nfs/dbraw/zinc/98/41/16/585984116.db2.gz KIAJSRSMRLEJCO-SCRDCRAPSA-N 0 3 219.328 2.511 20 0 BFADHN CCCCCCN(C)CC(=O)N1[C@@H](C)C[C@@H]1C ZINC000792527230 586001613 /nfs/dbraw/zinc/00/16/13/586001613.db2.gz BZDXLVTXOXSGRW-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN Cc1nc(C)c(CN2CC(C(C)C)C2)s1 ZINC000793189904 586013710 /nfs/dbraw/zinc/01/37/10/586013710.db2.gz PLEKYSPPQGMYFJ-UHFFFAOYSA-N 0 3 224.373 2.848 20 0 BFADHN COC1(CNCc2ccoc2)CCC(C)CC1 ZINC000793291354 586016344 /nfs/dbraw/zinc/01/63/44/586016344.db2.gz PSSOBJURMVQHNI-UHFFFAOYSA-N 0 3 237.343 2.965 20 0 BFADHN COCCC1(NCc2cc(C)oc2C)CCC1 ZINC000793330408 586016905 /nfs/dbraw/zinc/01/69/05/586016905.db2.gz YBXCTAXWLIWEMO-UHFFFAOYSA-N 0 3 237.343 2.945 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CC23CCCC3)n(C)n1 ZINC000793559301 586022950 /nfs/dbraw/zinc/02/29/50/586022950.db2.gz ZYXDSCXZWYMAER-DGCLKSJQSA-N 0 3 233.359 2.712 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC23CCCC3)n(C)n1 ZINC000793559302 586023054 /nfs/dbraw/zinc/02/30/54/586023054.db2.gz ZYXDSCXZWYMAER-WCQYABFASA-N 0 3 233.359 2.712 20 0 BFADHN Cc1cc(CN2CCC3(CCCC3)C2)ncn1 ZINC000794382448 586038949 /nfs/dbraw/zinc/03/89/49/586038949.db2.gz HSLSDNCVGVPUAV-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN Cc1ccc([C@H](O)CN2CC(C(C)C)C2)cc1 ZINC000795548106 586084954 /nfs/dbraw/zinc/08/49/54/586084954.db2.gz UYUWIHRPUUSVDV-OAHLLOKOSA-N 0 3 233.355 2.616 20 0 BFADHN CC[C@H]1CCN1C[C@@H](O)c1ccc(F)cc1C ZINC000795651652 586090085 /nfs/dbraw/zinc/09/00/85/586090085.db2.gz ZGQAGARRCGJXPL-GXTWGEPZSA-N 0 3 237.318 2.652 20 0 BFADHN CC[C@H]1CCN1C[C@H](O)c1cc(C)cc(C)c1 ZINC000795647565 586090160 /nfs/dbraw/zinc/09/01/60/586090160.db2.gz FQDFGSMJZCNSPR-GJZGRUSLSA-N 0 3 233.355 2.821 20 0 BFADHN CN(CCSC(C)(C)C)[C@@H]1CCCOC1 ZINC000796205598 586098846 /nfs/dbraw/zinc/09/88/46/586098846.db2.gz OWRMTCFNANXSND-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN CCN1CCN(CCSC(C)(C)C)[C@@H](C)C1 ZINC000796326428 586100160 /nfs/dbraw/zinc/10/01/60/586100160.db2.gz ZEWRUFYLEOFENP-LBPRGKRZSA-N 0 3 244.448 2.544 20 0 BFADHN CO[C@@]1(C)CCCN(C/C=C\Cl)CC1 ZINC000796499919 586105254 /nfs/dbraw/zinc/10/52/54/586105254.db2.gz GSPXIOSFEJPDAS-IBHLPDHGSA-N 0 3 217.740 2.630 20 0 BFADHN FC1(F)CCN([C@H]2C=CCCC2)CC1 ZINC000796540809 586106234 /nfs/dbraw/zinc/10/62/34/586106234.db2.gz VIZPWBBTEPONGS-JTQLQIEISA-N 0 3 201.260 2.826 20 0 BFADHN FC1(F)CCN([C@@H]2C=CCCC2)CC1 ZINC000796540811 586106488 /nfs/dbraw/zinc/10/64/88/586106488.db2.gz VIZPWBBTEPONGS-SNVBAGLBSA-N 0 3 201.260 2.826 20 0 BFADHN CC1=C(C)CN(C[C@H]2CC3(CCC3)C(=O)O2)CC1 ZINC000796594371 586108147 /nfs/dbraw/zinc/10/81/47/586108147.db2.gz SSBMDCDHANJVQC-CYBMUJFWSA-N 0 3 249.354 2.514 20 0 BFADHN CC1=C[C@@H](C)CN(C[C@@H](O)c2ccc(F)cc2)C1 ZINC000797526908 586128358 /nfs/dbraw/zinc/12/83/58/586128358.db2.gz ZKHFQIAAEUOSLV-IAQYHMDHSA-N 0 3 249.329 2.757 20 0 BFADHN CC1=C[C@H](C)CN(CCC(=O)C(C)(C)C)C1 ZINC000797525949 586128408 /nfs/dbraw/zinc/12/84/08/586128408.db2.gz GDUDFJMQZMQTMC-NSHDSACASA-N 0 3 223.360 2.890 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CC[C@@H]1C1CC1 ZINC000798005549 586135793 /nfs/dbraw/zinc/13/57/93/586135793.db2.gz WUWZHYNUGYDCAV-UHTWSYAYSA-N 0 3 231.343 2.624 20 0 BFADHN Cc1ccoc1CN1CC[C@@H](c2ccccn2)C1 ZINC000798964008 586154537 /nfs/dbraw/zinc/15/45/37/586154537.db2.gz LQCSTJYZJDKMPR-CYBMUJFWSA-N 0 3 242.322 2.973 20 0 BFADHN CC1(C)CC[C@@H]1NCc1cc(CO)ccc1F ZINC000859324617 589031515 /nfs/dbraw/zinc/03/15/15/589031515.db2.gz VWHYEQLNMGRJTP-ZDUSSCGKSA-N 0 3 237.318 2.596 20 0 BFADHN CC[C@H](O)CN1CC=C(c2ccc(C)cc2)CC1 ZINC000799294144 586169688 /nfs/dbraw/zinc/16/96/88/586169688.db2.gz SLIJSLMGFFMOSE-INIZCTEOSA-N 0 3 245.366 2.855 20 0 BFADHN CCC[C@H](C)OC(=O)C1CCN(C2CC2)CC1 ZINC000801874608 586276090 /nfs/dbraw/zinc/27/60/90/586276090.db2.gz HORKQMFIAVBBJZ-NSHDSACASA-N 0 3 239.359 2.593 20 0 BFADHN CN(CCSC(C)(C)C)CCN1CCCC1 ZINC000801921041 586277544 /nfs/dbraw/zinc/27/75/44/586277544.db2.gz WQQUOAPBMVKHRB-UHFFFAOYSA-N 0 3 244.448 2.546 20 0 BFADHN CCCCCCN1CC[C@H](OC(F)F)C1 ZINC000801987060 586285396 /nfs/dbraw/zinc/28/53/96/586285396.db2.gz OSDRSPYNPJBAGO-JTQLQIEISA-N 0 3 221.291 2.880 20 0 BFADHN C[C@@H](N(C)CN1CCC(C)(C)C1=O)C(C)(C)C ZINC000839959582 586300772 /nfs/dbraw/zinc/30/07/72/586300772.db2.gz PIBOINGPKWHYJZ-LLVKDONJSA-N 0 3 240.391 2.569 20 0 BFADHN CCCCN(CN1CC[C@@H](C)C1=O)[C@@H](C)CC ZINC000839964681 586303664 /nfs/dbraw/zinc/30/36/64/586303664.db2.gz RASXGTNWMMXLGS-OLZOCXBDSA-N 0 3 240.391 2.713 20 0 BFADHN CC(C)CCOC(=O)CC[C@@H]1CCCCN1C ZINC000803856147 586377256 /nfs/dbraw/zinc/37/72/56/586377256.db2.gz OWZZNNJQHZWGSW-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN CCC[C@H](C)OC(=O)CC[C@H]1CCCCN1C ZINC000803858620 586377798 /nfs/dbraw/zinc/37/77/98/586377798.db2.gz IBVTXMVGBIQQGY-QWHCGFSZSA-N 0 3 241.375 2.983 20 0 BFADHN CC[C@H](C)OC(=O)CC[C@H]1CCCCN1C ZINC000803857976 586378207 /nfs/dbraw/zinc/37/82/07/586378207.db2.gz KMUULCZAYJGPIF-NWDGAFQWSA-N 0 3 227.348 2.593 20 0 BFADHN Cc1cc([C@@H](C)NCCC2(F)CCC2)n(C)n1 ZINC000806174171 586407482 /nfs/dbraw/zinc/40/74/82/586407482.db2.gz SEYGAWMBSLGBRY-LLVKDONJSA-N 0 3 239.338 2.661 20 0 BFADHN Cc1cc([C@H](C)NCCC2(F)CCC2)n(C)n1 ZINC000806174172 586407566 /nfs/dbraw/zinc/40/75/66/586407566.db2.gz SEYGAWMBSLGBRY-NSHDSACASA-N 0 3 239.338 2.661 20 0 BFADHN Nc1cccc(-c2ccc3c(c2)CCNC3)c1 ZINC000757218818 586441754 /nfs/dbraw/zinc/44/17/54/586441754.db2.gz XHDQXDBAXJAXGC-UHFFFAOYSA-N 0 3 224.307 2.582 20 0 BFADHN Cn1cnc(CNCC2CCCCCCC2)c1 ZINC000808382323 586470246 /nfs/dbraw/zinc/47/02/46/586470246.db2.gz WSJFQSVOPSIPOO-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN CC(C)C(=O)CCN1CCS[C@@H](C(C)C)C1 ZINC000808732665 586485655 /nfs/dbraw/zinc/48/56/55/586485655.db2.gz NSKYVYFYRXLUNV-CYBMUJFWSA-N 0 3 243.416 2.675 20 0 BFADHN CC(C)C(=O)CCN1CCC(C(F)F)CC1 ZINC000808735603 586485688 /nfs/dbraw/zinc/48/56/88/586485688.db2.gz SXZPVYZFZRLZRJ-UHFFFAOYSA-N 0 3 233.302 2.579 20 0 BFADHN Cc1cnn(C)c1CN1CCC(CC(C)C)CC1 ZINC000809595620 586508546 /nfs/dbraw/zinc/50/85/46/586508546.db2.gz OGHFABCGCYMRJI-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN CCC[C@@H](CC)N[C@H](c1nncn1C)C(C)C ZINC000809692044 586513612 /nfs/dbraw/zinc/51/36/12/586513612.db2.gz OYLLKCZCRNJRJN-NEPJUHHUSA-N 0 3 238.379 2.681 20 0 BFADHN C[C@H]1C[C@H](c2ccccc2)N(Cc2cn[nH]c2)C1 ZINC000809716516 586514203 /nfs/dbraw/zinc/51/42/03/586514203.db2.gz WGGXSNBDASLXTM-SWLSCSKDSA-N 0 3 241.338 2.993 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cncc2ccccc21 ZINC000811517336 586560244 /nfs/dbraw/zinc/56/02/44/586560244.db2.gz OUBMBJQWUFWTDP-NEPJUHHUSA-N 0 3 244.338 2.748 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1CC(C2CC2)C1 ZINC000812312962 586573058 /nfs/dbraw/zinc/57/30/58/586573058.db2.gz LIEAXNWUNOZYJD-OAHLLOKOSA-N 0 3 249.329 2.509 20 0 BFADHN C[C@]12CCN(C[C@@H]3CCC=CO3)C[C@H]1C2(F)F ZINC000814169798 586615116 /nfs/dbraw/zinc/61/51/16/586615116.db2.gz MPBKAPHBUZFAJP-TUAOUCFPSA-N 0 3 243.297 2.656 20 0 BFADHN Cc1cc(CNC[C@@]2(O)CCC[C@H]2C)c(C)o1 ZINC000815159158 586670830 /nfs/dbraw/zinc/67/08/30/586670830.db2.gz KGEOEENADPFBFM-YGRLFVJLSA-N 0 3 237.343 2.537 20 0 BFADHN C[C@@H]1CN(Cc2ccc(CF)cc2)[C@@H]1C ZINC000815161862 586671443 /nfs/dbraw/zinc/67/14/43/586671443.db2.gz CUBPPPLRZYLDHX-GHMZBOCLSA-N 0 3 207.292 2.996 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1C[C@H](C)[C@@H]1C ZINC000815163259 586672000 /nfs/dbraw/zinc/67/20/00/586672000.db2.gz POFJXZBXXATBJR-COPLHBTASA-N 0 3 237.318 2.508 20 0 BFADHN CCOc1ccccc1CN1C[C@H](C)[C@H]1C ZINC000815164672 586672367 /nfs/dbraw/zinc/67/23/67/586672367.db2.gz ZEZIDEIXTAIXOT-NWDGAFQWSA-N 0 3 219.328 2.926 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@H]1CCCOC1 ZINC000815577681 586688467 /nfs/dbraw/zinc/68/84/67/586688467.db2.gz WILUWAYSYKNMOR-AAEUAGOBSA-N 0 3 237.318 2.964 20 0 BFADHN CCCn1nc(C)c(CN2C[C@H](C)[C@H]2C)c1C ZINC000816646324 586747749 /nfs/dbraw/zinc/74/77/49/586747749.db2.gz BXVOVQVDAFIEJQ-CMPLNLGQSA-N 0 3 235.375 2.750 20 0 BFADHN C[C@@H]1CN(CC2=Cc3ccccc3OC2)[C@@H]1C ZINC000816646344 586747923 /nfs/dbraw/zinc/74/79/23/586747923.db2.gz CDPFALZXRCYXNE-VXGBXAGGSA-N 0 3 229.323 2.803 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CC1=Cc2ccccc2OC1 ZINC000816646342 586748006 /nfs/dbraw/zinc/74/80/06/586748006.db2.gz CDPFALZXRCYXNE-NWDGAFQWSA-N 0 3 229.323 2.803 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1ccc(-c2cc[nH]n2)o1 ZINC000816646884 586748873 /nfs/dbraw/zinc/74/88/73/586748873.db2.gz KDZRXLYHXWQPNU-VHSXEESVSA-N 0 3 231.299 2.510 20 0 BFADHN CC(C)N(C)c1ccc(CN2C[C@@H](C)[C@H]2C)cn1 ZINC000816648844 586751265 /nfs/dbraw/zinc/75/12/65/586751265.db2.gz PZWYXZRMLAQARC-CHWSQXEVSA-N 0 3 247.386 2.766 20 0 BFADHN COCCOc1ccccc1CN1C[C@@H](C)[C@H]1C ZINC000816648829 586751773 /nfs/dbraw/zinc/75/17/73/586751773.db2.gz PSJNFWSDGASJDH-CHWSQXEVSA-N 0 3 249.354 2.552 20 0 BFADHN CCOCOc1ccc(CN[C@@H]2CC23CC3)cc1 ZINC000818230156 586881096 /nfs/dbraw/zinc/88/10/96/586881096.db2.gz NHQZDLYDXUQMQY-CQSZACIVSA-N 0 3 247.338 2.702 20 0 BFADHN C[C@@H](NC[C@@H](O)c1cccc(F)c1)c1ccc[nH]1 ZINC000819194460 586937172 /nfs/dbraw/zinc/93/71/72/586937172.db2.gz RPMNMKNFZLVEEA-QMTHXVAHSA-N 0 3 248.301 2.538 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccc(F)cc1)c1ccc[nH]1 ZINC000819194755 586937793 /nfs/dbraw/zinc/93/77/93/586937793.db2.gz TXJPJLUWDROZLP-YGRLFVJLSA-N 0 3 248.301 2.538 20 0 BFADHN CC/C=C/CNC[C@@H](O)c1ccccc1C ZINC000819345146 586954996 /nfs/dbraw/zinc/95/49/96/586954996.db2.gz WYAFCSLENHQQRP-BTKRWWFXSA-N 0 3 219.328 2.584 20 0 BFADHN CC/C=C/CNC[C@H](O)c1ccc(C)cc1 ZINC000819344257 586955128 /nfs/dbraw/zinc/95/51/28/586955128.db2.gz HKSQTTIJOCZFRR-NNTXTVRGSA-N 0 3 219.328 2.584 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccns1)CC(C)C ZINC000860059801 589078154 /nfs/dbraw/zinc/07/81/54/589078154.db2.gz PPFYYTCEWYQQCV-QWRGUYRKSA-N 0 3 242.388 2.855 20 0 BFADHN COc1ccccc1CN(C)[C@H]1CC[C@H](OC)C1 ZINC000821348459 587110724 /nfs/dbraw/zinc/11/07/24/587110724.db2.gz OXFLVSPDPMCCOZ-KBPBESRZSA-N 0 3 249.354 2.695 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cnc(C)cn2)C1 ZINC000860178955 589087145 /nfs/dbraw/zinc/08/71/45/589087145.db2.gz GUZLVDIQDXYWDP-RDFMZFSFSA-N 0 3 245.370 2.963 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cc(C)nn2C)C1 ZINC000860186342 589087566 /nfs/dbraw/zinc/08/75/66/589087566.db2.gz YRWVEXKLUSWJEO-WSNITJDQSA-N 0 3 247.386 2.907 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(F)nc2)[C@H](C)C1 ZINC000822504208 587172198 /nfs/dbraw/zinc/17/21/98/587172198.db2.gz PBZIRPFSHQXDJW-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN ClC1(Cl)C[C@@H]1CCN1CC=CCC1 ZINC000822832285 587188113 /nfs/dbraw/zinc/18/81/13/587188113.db2.gz UWRNWCUQDJTOIY-VIFPVBQESA-N 0 3 220.143 2.832 20 0 BFADHN C[C@@H]1CN(CC[C@@H]2CC2(Cl)Cl)[C@@H]1C ZINC000823189413 587207741 /nfs/dbraw/zinc/20/77/41/587207741.db2.gz BDRXPGFWRZHLMS-IWSPIJDZSA-N 0 3 222.159 2.911 20 0 BFADHN C[C@@H](Cc1ccco1)NCc1ccncc1F ZINC000823625572 587233265 /nfs/dbraw/zinc/23/32/65/587233265.db2.gz YUFCQOGTOCCGLW-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1ccnc(Cl)c1F ZINC000823654302 587234722 /nfs/dbraw/zinc/23/47/22/587234722.db2.gz TWILQFTXKZKFGD-VXNVDRBHSA-N 0 3 228.698 2.620 20 0 BFADHN CC(C)C(C)(C)NCc1cc(F)ncc1F ZINC000823788624 587240726 /nfs/dbraw/zinc/24/07/26/587240726.db2.gz DCYKFQPHSYAQRH-UHFFFAOYSA-N 0 3 228.286 2.884 20 0 BFADHN Cc1cc(CNCCOc2cccnc2)cs1 ZINC000823871920 587245026 /nfs/dbraw/zinc/24/50/26/587245026.db2.gz RPAJXXIPROPRRP-UHFFFAOYSA-N 0 3 248.351 2.620 20 0 BFADHN c1cc(CN[C@@H]2CCCc3ccccc3C2)no1 ZINC000823966396 587247449 /nfs/dbraw/zinc/24/74/49/587247449.db2.gz MUKFNLWFKHWVOA-CQSZACIVSA-N 0 3 242.322 2.712 20 0 BFADHN C[C@@H](Cc1ccoc1)NCc1cccnc1F ZINC000824314421 587265911 /nfs/dbraw/zinc/26/59/11/587265911.db2.gz KPBKOPAUBYIRRB-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H]1CCC[C@@H](C3CC3)C1)CC2 ZINC000824528050 587273795 /nfs/dbraw/zinc/27/37/95/587273795.db2.gz ZPQJVXCZDPAMLT-MGPQQGTHSA-N 0 3 245.370 2.886 20 0 BFADHN C[C@@H](N[C@@H]1CCn2ccnc21)C1C(C)(C)C1(C)C ZINC000824526454 587273965 /nfs/dbraw/zinc/27/39/65/587273965.db2.gz LEPBSDHPFAQBIG-GHMZBOCLSA-N 0 3 247.386 2.988 20 0 BFADHN C[C@H]1C[C@H]1CNCc1cc(F)cnc1Cl ZINC000824544052 587275257 /nfs/dbraw/zinc/27/52/57/587275257.db2.gz SMENETLNYPJKSX-YUMQZZPRSA-N 0 3 228.698 2.620 20 0 BFADHN CCC[C@@H](CNCc1ccc(Cl)nc1)OC ZINC000824544321 587275356 /nfs/dbraw/zinc/27/53/56/587275356.db2.gz VEIQEKVLVXVJTI-NSHDSACASA-N 0 3 242.750 2.640 20 0 BFADHN CCN(Cc1ccnn1CC1CC1)C(C)C ZINC000824549104 587275391 /nfs/dbraw/zinc/27/53/91/587275391.db2.gz WTDQWZBZEYCXQV-UHFFFAOYSA-N 0 3 221.348 2.523 20 0 BFADHN Cc1cc(CN2CC[C@H]2C2CC2)c(C)o1 ZINC000824650268 587281015 /nfs/dbraw/zinc/28/10/15/587281015.db2.gz LQMSTHGSSAVSDW-ZDUSSCGKSA-N 0 3 205.301 2.881 20 0 BFADHN Cn1ccc(CNC[C@]2(C)CC2(Cl)Cl)c1 ZINC000824765106 587286395 /nfs/dbraw/zinc/28/63/95/587286395.db2.gz HEEAKKPHTGHOEY-JTQLQIEISA-N 0 3 247.169 2.699 20 0 BFADHN C[C@]1(CNCC2(F)CC2)CC1(Cl)Cl ZINC000824773406 587286918 /nfs/dbraw/zinc/28/69/18/587286918.db2.gz KRYCWHPNPBSJOC-SSDOTTSWSA-N 0 3 226.122 2.662 20 0 BFADHN c1cc(CN2CCSC[C@@H]2C2CC2)co1 ZINC000824914181 587293288 /nfs/dbraw/zinc/29/32/88/587293288.db2.gz OETFVZNKMISYDJ-GFCCVEGCSA-N 0 3 223.341 2.607 20 0 BFADHN c1cc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)co1 ZINC000824941097 587293983 /nfs/dbraw/zinc/29/39/83/587293983.db2.gz INVRKSPQMAIOBQ-KGLIPLIRSA-N 0 3 217.312 2.900 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1cc(F)ncc1F ZINC000824976988 587296219 /nfs/dbraw/zinc/29/62/19/587296219.db2.gz GVVCJKUQAUTHLH-ONGXEEELSA-N 0 3 240.297 2.742 20 0 BFADHN Cn1nccc1CN1CCCCCCCC1 ZINC000824983184 587296780 /nfs/dbraw/zinc/29/67/80/587296780.db2.gz SKORKHYEKACLLZ-UHFFFAOYSA-N 0 3 221.348 2.576 20 0 BFADHN CCc1nc(CNC2CCCCC2)co1 ZINC000825056606 587300279 /nfs/dbraw/zinc/30/02/79/587300279.db2.gz HIOLPPDRYOIJAO-UHFFFAOYSA-N 0 3 208.305 2.659 20 0 BFADHN CCc1nc(CN[C@@H]2CC[C@H](C)C2)co1 ZINC000825056636 587301058 /nfs/dbraw/zinc/30/10/58/587301058.db2.gz IDGIGDJJKCFTMV-VHSXEESVSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1nc(CN[C@@H]2CC[C@@H](C)C2)co1 ZINC000825056634 587301151 /nfs/dbraw/zinc/30/11/51/587301151.db2.gz IDGIGDJJKCFTMV-NXEZZACHSA-N 0 3 208.305 2.515 20 0 BFADHN CCCc1ncc(CN[C@H]2CC[C@H]2C)o1 ZINC000825084070 587303255 /nfs/dbraw/zinc/30/32/55/587303255.db2.gz BVVNLIZFAWQRFI-KOLCDFICSA-N 0 3 208.305 2.515 20 0 BFADHN COCCN(CCF)C[C@H]1CC(C)=C[C@H](C)C1 ZINC000827053910 587369231 /nfs/dbraw/zinc/36/92/31/587369231.db2.gz IVHFEEQMWYYCNM-GXTWGEPZSA-N 0 3 243.366 2.897 20 0 BFADHN C[C@@H]1CSC[C@@H]1N[C@H]1C[C@H](O)c2ccccc21 ZINC000827169449 587371769 /nfs/dbraw/zinc/37/17/69/587371769.db2.gz DECSZRPERUVVKI-HYNSBDGHSA-N 0 3 249.379 2.506 20 0 BFADHN C[C@@H]1CSC[C@@H]1N[C@H]1C[C@@H](O)c2ccccc21 ZINC000827169452 587371793 /nfs/dbraw/zinc/37/17/93/587371793.db2.gz DECSZRPERUVVKI-IGJVIKARSA-N 0 3 249.379 2.506 20 0 BFADHN CCSCCN[C@H]1C[C@@H](O)c2ccccc21 ZINC000827179520 587372130 /nfs/dbraw/zinc/37/21/30/587372130.db2.gz ZVPKSMZJZIVOLC-QWHCGFSZSA-N 0 3 237.368 2.508 20 0 BFADHN CCN(CCOC)C[C@@]1(C)CC1(Cl)Cl ZINC000827486809 587382794 /nfs/dbraw/zinc/38/27/94/587382794.db2.gz NTHQQRLMLHUKGY-SECBINFHSA-N 0 3 240.174 2.539 20 0 BFADHN CC(C)[C@@H](NCc1cc(F)ncc1F)C1CC1 ZINC000827575117 587389516 /nfs/dbraw/zinc/38/95/16/587389516.db2.gz MGJYQXIWAURLPQ-CYBMUJFWSA-N 0 3 240.297 2.884 20 0 BFADHN CC(C)c1ccccc1CN(C)C1(CO)CC1 ZINC000827898424 587408414 /nfs/dbraw/zinc/40/84/14/587408414.db2.gz OPDUCYNTXZJMDR-UHFFFAOYSA-N 0 3 233.355 2.767 20 0 BFADHN CC(C)CC(=O)CCN1CCS[C@@H](C)[C@H]1C ZINC000827930494 587410355 /nfs/dbraw/zinc/41/03/55/587410355.db2.gz LIZLIPILBHQLOU-NEPJUHHUSA-N 0 3 243.416 2.818 20 0 BFADHN CN(Cc1cnn2ccccc12)C[C@H]1CC1(C)C ZINC000827942837 587411828 /nfs/dbraw/zinc/41/18/28/587411828.db2.gz SMSRFDPWUZPNTJ-CYBMUJFWSA-N 0 3 243.354 2.812 20 0 BFADHN CN(Cc1cnn2ccccc12)C[C@@H]1CC1(C)C ZINC000827942839 587412011 /nfs/dbraw/zinc/41/20/11/587412011.db2.gz SMSRFDPWUZPNTJ-ZDUSSCGKSA-N 0 3 243.354 2.812 20 0 BFADHN FC1(F)CCN(CCC[C@H]2CCOC2)CC1 ZINC000828456631 587443346 /nfs/dbraw/zinc/44/33/46/587443346.db2.gz GSIBGPSWPVLUAN-NSHDSACASA-N 0 3 233.302 2.534 20 0 BFADHN CN(CC1=CCCC1)C[C@@](C)(O)c1ccccc1 ZINC000828639944 587455150 /nfs/dbraw/zinc/45/51/50/587455150.db2.gz LGSVADUZJIWYIS-MRXNPFEDSA-N 0 3 245.366 2.936 20 0 BFADHN CCCC1CCC(N(C)CC[S@](C)=O)CC1 ZINC000829190599 587487464 /nfs/dbraw/zinc/48/74/64/587487464.db2.gz NKNHSQJBAUDNRO-ZUEPYMLJSA-N 0 3 245.432 2.656 20 0 BFADHN CCC(=O)CCCN1CC[C@@H](c2ccccn2)C1 ZINC000829449340 587497745 /nfs/dbraw/zinc/49/77/45/587497745.db2.gz WNIAPTIZEANYQN-CYBMUJFWSA-N 0 3 246.354 2.630 20 0 BFADHN CC(=O)CCN(Cc1ccc(C)nc1)CC1CC1 ZINC000829846014 587523512 /nfs/dbraw/zinc/52/35/12/587523512.db2.gz IFLDPEYYZQTKIC-UHFFFAOYSA-N 0 3 246.354 2.581 20 0 BFADHN C[C@@H]1C[C@@H](C(C)(C)C)CCN1CC[S@@](C)=O ZINC000829906569 587527684 /nfs/dbraw/zinc/52/76/84/587527684.db2.gz NDPHOQXBQCSJID-BFQNTYOBSA-N 0 3 245.432 2.512 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1cn(C)cn1 ZINC000830520074 587555406 /nfs/dbraw/zinc/55/54/06/587555406.db2.gz OZZFBLUFVZDGHY-LBPRGKRZSA-N 0 3 221.348 2.645 20 0 BFADHN CC(C)O[C@@H]1C[C@H](NC/C=C/Cl)C1(C)C ZINC000831353540 587600237 /nfs/dbraw/zinc/60/02/37/587600237.db2.gz VAEOWVIQWUGPMD-PFDYWKIBSA-N 0 3 231.767 2.921 20 0 BFADHN CC[C@@H](C)NC(=O)CN1CCCCCCCC1 ZINC000831920312 587636470 /nfs/dbraw/zinc/63/64/70/587636470.db2.gz FJXCJVYVZCELQD-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN CC(C)(C)NC(=O)CN1CCCCCCCC1 ZINC000831926229 587636875 /nfs/dbraw/zinc/63/68/75/587636875.db2.gz JFEWZFRCSYWTIJ-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN Cl/C=C/CN1CCC[C@]2(CCSC2)C1 ZINC000832505215 587664122 /nfs/dbraw/zinc/66/41/22/587664122.db2.gz DGTYHLOAWLEHOB-DGXRNCNBSA-N 0 3 231.792 2.958 20 0 BFADHN Cc1ccc([C@H](O)CN2CC[C@@H]2C2CC2)cc1 ZINC000834382295 587753745 /nfs/dbraw/zinc/75/37/45/587753745.db2.gz JJLWYRKBNNDOFQ-HUUCEWRRSA-N 0 3 231.339 2.513 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H](C)CCSC ZINC000834594050 587760322 /nfs/dbraw/zinc/76/03/22/587760322.db2.gz OXYYQVIKEVVPQM-QWRGUYRKSA-N 0 3 241.404 2.695 20 0 BFADHN CCn1ccnc1[C@H](C)NC1[C@@H](C)CC[C@@H]1C ZINC000834726549 587764970 /nfs/dbraw/zinc/76/49/70/587764970.db2.gz RXSQIVXOERPOHU-SRVKXCTJSA-N 0 3 235.375 2.988 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H]1N[C@H]1C[C@H](C)n2ccnc21 ZINC000834726765 587765049 /nfs/dbraw/zinc/76/50/49/587765049.db2.gz VRRCKMLWCBPYML-FPYNETTCSA-N 0 3 233.359 2.913 20 0 BFADHN CC[C@H](N[C@H](C)c1ccnc(Cl)c1)[C@H](C)O ZINC000834888073 587769494 /nfs/dbraw/zinc/76/94/94/587769494.db2.gz MMWBMPAIFYXABC-YWVKMMECSA-N 0 3 242.750 2.545 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccnc(Cl)c1)[C@@H](C)O ZINC000834888070 587769694 /nfs/dbraw/zinc/76/96/94/587769694.db2.gz MMWBMPAIFYXABC-NGZCFLSTSA-N 0 3 242.750 2.545 20 0 BFADHN Cc1cc(CN)cc(NC(=O)C=C2CCCC2)c1 ZINC000837306884 587865074 /nfs/dbraw/zinc/86/50/74/587865074.db2.gz UMELBFMCBGBOSP-UHFFFAOYSA-N 0 3 244.338 2.893 20 0 BFADHN C/C=C(\C)C(=O)OCCN(C)Cc1ccccc1 ZINC000837566146 587882364 /nfs/dbraw/zinc/88/23/64/587882364.db2.gz SPVSOHSSMYIWJP-YIXHJXPBSA-N 0 3 247.338 2.628 20 0 BFADHN CC(C)N1CCCC[C@H]1COC(=O)C(C)(C)F ZINC000837612531 587885260 /nfs/dbraw/zinc/88/52/60/587885260.db2.gz YROZGMQFMJZZSD-NSHDSACASA-N 0 3 245.338 2.541 20 0 BFADHN Cc1occc1CN[C@H](C)C[C@H](O)c1ccco1 ZINC000840908374 587929171 /nfs/dbraw/zinc/92/91/71/587929171.db2.gz WABHMBQKTVSSHM-MFKMUULPSA-N 0 3 249.310 2.783 20 0 BFADHN CCc1ncc(CN2CCC3(CCCC3)C2)cn1 ZINC000840918582 587929597 /nfs/dbraw/zinc/92/95/97/587929597.db2.gz VOEWNAQNCMNIKJ-UHFFFAOYSA-N 0 3 245.370 2.805 20 0 BFADHN Cc1ccc(CN2CCCCCCCC2)nn1 ZINC000840951359 587931763 /nfs/dbraw/zinc/93/17/63/587931763.db2.gz WUSHPEISGMJNRK-UHFFFAOYSA-N 0 3 233.359 2.941 20 0 BFADHN Cc1nc(F)ccc1CN[C@@H]1CS[C@H](C)C1 ZINC000840957450 587931842 /nfs/dbraw/zinc/93/18/42/587931842.db2.gz BRUKLTDUWAPMPM-KCJUWKMLSA-N 0 3 240.347 2.513 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(Cl)nc2)CS1 ZINC000840957390 587931942 /nfs/dbraw/zinc/93/19/42/587931942.db2.gz AHRZYCCPAVMQOZ-WPRPVWTQSA-N 0 3 242.775 2.719 20 0 BFADHN C(NCC1CCCC1)c1nnc(C2CC2)s1 ZINC000840973988 587933053 /nfs/dbraw/zinc/93/30/53/587933053.db2.gz YZEUZJGCAPUZRS-UHFFFAOYSA-N 0 3 237.372 2.695 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H](CO)C2)c(C)o1 ZINC000840976021 587933149 /nfs/dbraw/zinc/93/31/49/587933149.db2.gz BBGAFZYSMKBSRU-TZMCWYRMSA-N 0 3 237.343 2.537 20 0 BFADHN CC(C)[C@@H]1N(Cc2ccoc2)CC12CCOCC2 ZINC000840971174 587933261 /nfs/dbraw/zinc/93/32/61/587933261.db2.gz URLPRQFXNMVAGF-AWEZNQCLSA-N 0 3 249.354 2.917 20 0 BFADHN Cl/C=C/CN1CCSC[C@@H]1C1CCC1 ZINC000842171460 588004720 /nfs/dbraw/zinc/00/47/20/588004720.db2.gz RIFKTOQBIMLJCW-XGQHYKRYSA-N 0 3 231.792 2.956 20 0 BFADHN CCC[C@@H](NCc1cn(C)cn1)C1CCCC1 ZINC000842225375 588007396 /nfs/dbraw/zinc/00/73/96/588007396.db2.gz NNBLTJXWSCBYKV-CQSZACIVSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H](O)c2ccco2)cc1 ZINC000104827326 588042440 /nfs/dbraw/zinc/04/24/40/588042440.db2.gz TUBUJHUUBTVABC-TZMCWYRMSA-N 0 3 245.322 2.972 20 0 BFADHN CC(C)Cn1nccc1CN[C@H]1CCC1(C)C ZINC000842704658 588054623 /nfs/dbraw/zinc/05/46/23/588054623.db2.gz CKILMXODMMRLKX-ZDUSSCGKSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@@H](C)C[C@H](CO)NCc1cc(C)oc1C ZINC000842756892 588059720 /nfs/dbraw/zinc/05/97/20/588059720.db2.gz PXYVZXDCDVZJGP-QMTHXVAHSA-N 0 3 239.359 2.783 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1cccnc1Cl ZINC000842778130 588062972 /nfs/dbraw/zinc/06/29/72/588062972.db2.gz HKWGKMCFCQLILK-WPRPVWTQSA-N 0 3 242.775 2.719 20 0 BFADHN CC(C)CC1CCN(Cc2ccc(N)nc2)CC1 ZINC000843067198 588078604 /nfs/dbraw/zinc/07/86/04/588078604.db2.gz XCWKNOQZRRMFDD-UHFFFAOYSA-N 0 3 247.386 2.922 20 0 BFADHN CCC/C(C)=C\C(=O)NC[C@H](N)c1ccccc1 ZINC000843337059 588100683 /nfs/dbraw/zinc/10/06/83/588100683.db2.gz KUFQIDHJRIXNQN-HCYCVZQXSA-N 0 3 246.354 2.549 20 0 BFADHN CCCCCCN1CCNC[C@H]1c1cccnc1 ZINC000843378189 588105460 /nfs/dbraw/zinc/10/54/60/588105460.db2.gz HTAKXPSHXAMBAL-HNNXBMFYSA-N 0 3 247.386 2.608 20 0 BFADHN Cc1nc(F)ccc1CN(C)C(C)(C)C ZINC000843433317 588110027 /nfs/dbraw/zinc/11/00/27/588110027.db2.gz XSPWQAOWXHOTSZ-UHFFFAOYSA-N 0 3 210.296 2.759 20 0 BFADHN c1cnc(CN2CCCC[C@H]2C2CCC2)cn1 ZINC000843437837 588110506 /nfs/dbraw/zinc/11/05/06/588110506.db2.gz XEGOIHDPKBATDQ-AWEZNQCLSA-N 0 3 231.343 2.631 20 0 BFADHN O[C@H](CN1CCC12CCCC2)c1ccccc1F ZINC000844275588 588179585 /nfs/dbraw/zinc/17/95/85/588179585.db2.gz DITUMBNEHVCMMQ-CQSZACIVSA-N 0 3 249.329 2.878 20 0 BFADHN CC[C@H]1CN(CC2CC(F)(F)C2)[C@H](C)CO1 ZINC000844391033 588188580 /nfs/dbraw/zinc/18/85/80/588188580.db2.gz MLFJMFJZAJXPLF-KOLCDFICSA-N 0 3 233.302 2.531 20 0 BFADHN FC1(F)CC12CCN(C/C=C/Cl)CC2 ZINC000844409351 588190640 /nfs/dbraw/zinc/19/06/40/588190640.db2.gz ZUCLNZLFXSZOLH-DAFODLJHSA-N 0 3 221.678 2.860 20 0 BFADHN C[C@H]1CN(CC2CC(F)(F)C2)C2(CCC2)CO1 ZINC000844422409 588191778 /nfs/dbraw/zinc/19/17/78/588191778.db2.gz OXSBZEAFDFHGTC-JTQLQIEISA-N 0 3 245.313 2.675 20 0 BFADHN C[C@H](c1ccccc1)N1C[C@H]2[C@@H](C1)C2(F)F ZINC000844448572 588194984 /nfs/dbraw/zinc/19/49/84/588194984.db2.gz ADTXISPYJGQOSD-JLLWLGSASA-N 0 3 223.266 2.945 20 0 BFADHN Cc1ccc(CCN2C[C@H]3[C@@H](C2)C3(F)F)cc1 ZINC000844455380 588196285 /nfs/dbraw/zinc/19/62/85/588196285.db2.gz AHVYCAJRUZRQIJ-BETUJISGSA-N 0 3 237.293 2.734 20 0 BFADHN CC(C)CSCCCN1C[C@H]2[C@@H](C1)C2(F)F ZINC000844459637 588196426 /nfs/dbraw/zinc/19/64/26/588196426.db2.gz TVTIERZZMHMEJA-PHIMTYICSA-N 0 3 249.370 2.963 20 0 BFADHN FCc1ccc(CN2C[C@H]3[C@@H](C2)C3(F)F)cc1 ZINC000844459196 588196590 /nfs/dbraw/zinc/19/65/90/588196590.db2.gz QSUGZUHFIQTVNH-TXEJJXNPSA-N 0 3 241.256 2.853 20 0 BFADHN CC(=O)CCN1CCc2ccc(F)cc2[C@@H](C)C1 ZINC000844468040 588197791 /nfs/dbraw/zinc/19/77/91/588197791.db2.gz HHODZISZAVWHSX-NSHDSACASA-N 0 3 249.329 2.766 20 0 BFADHN CC[C@H](C)[C@H](C)C(=O)O[C@@H](C)CN1CCCC1 ZINC000845662489 588239646 /nfs/dbraw/zinc/23/96/46/588239646.db2.gz GYJMSQZPSMHKHM-AVGNSLFASA-N 0 3 241.375 2.696 20 0 BFADHN CCC[C@H](C)C(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845739551 588243112 /nfs/dbraw/zinc/24/31/12/588243112.db2.gz JTUVQUPCVZWDBY-RYUDHWBXSA-N 0 3 241.375 2.839 20 0 BFADHN CCCCC(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845739369 588243229 /nfs/dbraw/zinc/24/32/29/588243229.db2.gz SACSJEAJSLBVRY-LLVKDONJSA-N 0 3 227.348 2.593 20 0 BFADHN CC(C)(C)N1CC[C@H]1COC(=O)c1ccccc1 ZINC000845738598 588243258 /nfs/dbraw/zinc/24/32/58/588243258.db2.gz AFMRWBZHEGWOPK-ZDUSSCGKSA-N 0 3 247.338 2.716 20 0 BFADHN CCCCCC(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845741243 588243397 /nfs/dbraw/zinc/24/33/97/588243397.db2.gz UUYJYSQXMUJJPS-LBPRGKRZSA-N 0 3 241.375 2.983 20 0 BFADHN CCC[C@@H](C)[C@H](CO)NCc1cc(C)oc1C ZINC000846019150 588253768 /nfs/dbraw/zinc/25/37/68/588253768.db2.gz XSVGUKGZJIFNKV-YGRLFVJLSA-N 0 3 239.359 2.783 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCC=CO2)[C@@H](CC)CO1 ZINC000846027062 588253860 /nfs/dbraw/zinc/25/38/60/588253860.db2.gz KGFUATKJNDSTDO-BFHYXJOUSA-N 0 3 239.359 2.569 20 0 BFADHN Cc1nocc1CNCC[C@]1(C)C[C@@]1(F)Cl ZINC000846033468 588254239 /nfs/dbraw/zinc/25/42/39/588254239.db2.gz FWOXKQASZQJHRV-MNOVXSKESA-N 0 3 246.713 2.777 20 0 BFADHN C[C@]1(CCNCc2nccs2)C[C@@]1(F)Cl ZINC000846034895 588254345 /nfs/dbraw/zinc/25/43/45/588254345.db2.gz JIOOTHCXKBGHGN-UWVGGRQHSA-N 0 3 248.754 2.937 20 0 BFADHN FC1(CN2CCC[C@]3(CC3(F)F)C2)CC1 ZINC000846104121 588256700 /nfs/dbraw/zinc/25/67/00/588256700.db2.gz FGXMXNMVDYRJSK-VIFPVBQESA-N 0 3 219.250 2.610 20 0 BFADHN FC1(F)C[C@]12CCCN(C[C@@H]1CCC=CO1)C2 ZINC000846105406 588256763 /nfs/dbraw/zinc/25/67/63/588256763.db2.gz UEAXTKNKEDLXAD-RYUDHWBXSA-N 0 3 243.297 2.800 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(CC2(Br)CC2)C1 ZINC000846768127 588286825 /nfs/dbraw/zinc/28/68/25/588286825.db2.gz CRZXVHIQGHHMFK-NXEZZACHSA-N 0 3 246.192 2.892 20 0 BFADHN CC(C)[C@@H](C)N(C)CC1(Br)CC1 ZINC000846768190 588286873 /nfs/dbraw/zinc/28/68/73/588286873.db2.gz WXWZMXQMUJHDMJ-SECBINFHSA-N 0 3 234.181 2.890 20 0 BFADHN CC1(C)CCCN(Cc2ccc3nonc3c2)C1 ZINC000846804491 588289463 /nfs/dbraw/zinc/28/94/63/588289463.db2.gz LCKSIUFIAHZILW-UHFFFAOYSA-N 0 3 245.326 2.845 20 0 BFADHN C[C@H]1CCN(CC2(Br)CC2)C[C@H]1C ZINC000846839883 588292081 /nfs/dbraw/zinc/29/20/81/588292081.db2.gz HOJWNJRRVHJSFT-VHSXEESVSA-N 0 3 246.192 2.892 20 0 BFADHN CC(=O)C1CCN([C@H]2CCc3ccccc32)CC1 ZINC000846939388 588297781 /nfs/dbraw/zinc/29/77/81/588297781.db2.gz FAWRNZSEMXPZIV-INIZCTEOSA-N 0 3 243.350 2.975 20 0 BFADHN CC[C@H](NC/C=C\Cl)[C@H]1CCCCO1 ZINC000847079346 588305928 /nfs/dbraw/zinc/30/59/28/588305928.db2.gz MMXUMYAXFPIRGG-OJTYSEKASA-N 0 3 217.740 2.676 20 0 BFADHN CC[C@H](NC/C=C/Cl)[C@@H]1CCCCO1 ZINC000847079341 588305936 /nfs/dbraw/zinc/30/59/36/588305936.db2.gz MMXUMYAXFPIRGG-BZCKNUJPSA-N 0 3 217.740 2.676 20 0 BFADHN CC[C@H](NC/C=C\Cl)[C@@H]1CCCCO1 ZINC000847079344 588306001 /nfs/dbraw/zinc/30/60/01/588306001.db2.gz MMXUMYAXFPIRGG-MGVUPCTHSA-N 0 3 217.740 2.676 20 0 BFADHN C[C@H](COC(=O)CCC1CC1)N1CCCCC1 ZINC000847959672 588345475 /nfs/dbraw/zinc/34/54/75/588345475.db2.gz IMTJSYWVYQGTOF-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN CC(C)[C@H](C)C(=O)OC[C@H](C)N1CCCCC1 ZINC000847961020 588345657 /nfs/dbraw/zinc/34/56/57/588345657.db2.gz SGAYRLBMJGGYGA-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN CC/C(C)=C\C(=O)OC[C@H](C)N1CCCCC1 ZINC000847963666 588345856 /nfs/dbraw/zinc/34/58/56/588345856.db2.gz TWJDNEVTKIIXEJ-UKVQZPPCSA-N 0 3 239.359 2.760 20 0 BFADHN CSCC[C@H](C)N[C@@H](C)c1cncnc1C ZINC000848527516 588393416 /nfs/dbraw/zinc/39/34/16/588393416.db2.gz CBCZFWPOXVCJGI-ONGXEEELSA-N 0 3 239.388 2.577 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cccnc2F)CS1 ZINC000848549359 588395778 /nfs/dbraw/zinc/39/57/78/588395778.db2.gz OBDAOOIEHYSADX-MWLCHTKSSA-N 0 3 240.347 2.594 20 0 BFADHN CC[C@H](NCc1ccoc1)[C@H]1CCCCO1 ZINC000848575861 588398756 /nfs/dbraw/zinc/39/87/56/588398756.db2.gz WUDPIMBIYGSFGW-QWHCGFSZSA-N 0 3 223.316 2.717 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1noc2ccccc21 ZINC000848575667 588398817 /nfs/dbraw/zinc/39/88/17/588398817.db2.gz UXOXDWVYJLMJPD-ONGXEEELSA-N 0 3 216.284 2.716 20 0 BFADHN CC(C)CN(Cc1cnc(Cl)n1C)C1CC1 ZINC000848880451 588416285 /nfs/dbraw/zinc/41/62/85/588416285.db2.gz FCJJARGFTPZPIJ-UHFFFAOYSA-N 0 3 241.766 2.694 20 0 BFADHN CCCN(Cc1cnc(Cl)n1C)CC1CC1 ZINC000848876450 588416389 /nfs/dbraw/zinc/41/63/89/588416389.db2.gz JOFJZHOJCJMMIK-UHFFFAOYSA-N 0 3 241.766 2.696 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cnc(Cl)n1C ZINC000848889234 588417862 /nfs/dbraw/zinc/41/78/62/588417862.db2.gz IGRCDSOPGHHPQA-SNVBAGLBSA-N 0 3 243.782 2.942 20 0 BFADHN CC(C)c1ccccc1CN(C)[C@@H](C)CO ZINC000848910418 588419436 /nfs/dbraw/zinc/41/94/36/588419436.db2.gz INBGKAJTJSYAHB-LBPRGKRZSA-N 0 3 221.344 2.623 20 0 BFADHN CCCCCCNCc1cn(C2CCC2)nn1 ZINC000850007171 588513825 /nfs/dbraw/zinc/51/38/25/588513825.db2.gz HBCGOFIIMZEGKU-UHFFFAOYSA-N 0 3 236.363 2.673 20 0 BFADHN CC(C)N1CCCC[C@@H]1COC(=O)CCCF ZINC000850195027 588526321 /nfs/dbraw/zinc/52/63/21/588526321.db2.gz VLPQCVBTHZJWEF-GFCCVEGCSA-N 0 3 245.338 2.542 20 0 BFADHN C[C@@H](CNCc1ccc(F)nc1)c1ccccn1 ZINC000850343409 588537474 /nfs/dbraw/zinc/53/74/74/588537474.db2.gz UPIIXRIMSJBYBO-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN CC[C@@H](COCC1CC1)NCc1ccoc1 ZINC000850351280 588538201 /nfs/dbraw/zinc/53/82/01/588538201.db2.gz ZDBSCFYHHPDWHM-ZDUSSCGKSA-N 0 3 223.316 2.574 20 0 BFADHN CC[C@H](C)CCNCc1cnc([C@H](C)O)s1 ZINC000850355075 588538498 /nfs/dbraw/zinc/53/84/98/588538498.db2.gz CWXVACLBLZEDOU-UWVGGRQHSA-N 0 3 242.388 2.722 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1ccc(C)nn1 ZINC000850354793 588538563 /nfs/dbraw/zinc/53/85/63/588538563.db2.gz ABZWKBJUGQPZQP-NSHDSACASA-N 0 3 221.348 2.699 20 0 BFADHN CC[C@@H](C)CCNCc1cnc(Cl)n1C ZINC000850355056 588538855 /nfs/dbraw/zinc/53/88/55/588538855.db2.gz CHYNCVPRYOCEOZ-SECBINFHSA-N 0 3 229.755 2.599 20 0 BFADHN C1=C[C@@H](NCc2cnc(C3CC3)s2)CC1 ZINC000850359124 588539399 /nfs/dbraw/zinc/53/93/99/588539399.db2.gz XHMKIUPDPJKBAT-SNVBAGLBSA-N 0 3 220.341 2.829 20 0 BFADHN C1=C[C@H](NCC2=Cc3ccccc3OC2)CC1 ZINC000850358907 588539636 /nfs/dbraw/zinc/53/96/36/588539636.db2.gz OBHNOPYOANEKBT-AWEZNQCLSA-N 0 3 227.307 2.771 20 0 BFADHN CN(C)c1ccccc1CN[C@@H]1C=CCC1 ZINC000850358885 588539942 /nfs/dbraw/zinc/53/99/42/588539942.db2.gz NAGDEUWXKOTOFX-CYBMUJFWSA-N 0 3 216.328 2.561 20 0 BFADHN CCO[C@H]1C[C@H](NCc2cc(C)oc2C)C1 ZINC000850360652 588540748 /nfs/dbraw/zinc/54/07/48/588540748.db2.gz LKELVGHAZHZMBI-JOCQHMNTSA-N 0 3 223.316 2.554 20 0 BFADHN C[C@@]1(CNCc2ccc(Cl)nc2)CC1(F)F ZINC000850379126 588542161 /nfs/dbraw/zinc/54/21/61/588542161.db2.gz FVZZBBSMNWTQAW-JTQLQIEISA-N 0 3 246.688 2.870 20 0 BFADHN CC[C@H]1COC[C@@H]1NCc1ccc2occc2c1 ZINC000850383436 588542460 /nfs/dbraw/zinc/54/24/60/588542460.db2.gz PEIVVJCGQGIDGI-JSGCOSHPSA-N 0 3 245.322 2.947 20 0 BFADHN CC[C@H]1COC[C@@H]1NCc1cscc1Cl ZINC000850384004 588542867 /nfs/dbraw/zinc/54/28/67/588542867.db2.gz XQJVLIUCJBRSAW-KWQFWETISA-N 0 3 245.775 2.916 20 0 BFADHN CC1(C)[C@H](CNCc2ccoc2)C1(F)F ZINC000850393990 588543733 /nfs/dbraw/zinc/54/37/33/588543733.db2.gz IFKGBHBJBYJGLW-VIFPVBQESA-N 0 3 215.243 2.661 20 0 BFADHN Cc1ccc(CNC[C@H]2C(C)(C)C2(F)F)cn1 ZINC000850394672 588543747 /nfs/dbraw/zinc/54/37/47/588543747.db2.gz YZQMCMNAEFAFDQ-NSHDSACASA-N 0 3 240.297 2.771 20 0 BFADHN Fc1cccc(CN[C@@H]2CCCSCC2)n1 ZINC000850446605 588547634 /nfs/dbraw/zinc/54/76/34/588547634.db2.gz ACFUGKAQIWQHJC-SNVBAGLBSA-N 0 3 240.347 2.596 20 0 BFADHN Fc1ncccc1CN[C@H]1CCCC12CC2 ZINC000850467625 588548430 /nfs/dbraw/zinc/54/84/30/588548430.db2.gz YFHBJMJJOGLYNB-NSHDSACASA-N 0 3 220.291 2.643 20 0 BFADHN Cc1cncc([C@@H](C)N[C@@H]2C=CCC2)c1 ZINC000850507275 588553319 /nfs/dbraw/zinc/55/33/19/588553319.db2.gz DWFSFLQHXAPKQA-DGCLKSJQSA-N 0 3 202.301 2.759 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2C=CCC2)cc1O ZINC000850510488 588555395 /nfs/dbraw/zinc/55/53/95/588555395.db2.gz XDHMNNMWQZUUSG-CMPLNLGQSA-N 0 3 233.311 2.770 20 0 BFADHN CC(C)[C@@H](N[C@H](C)CCO)c1ccccc1F ZINC000850516416 588555905 /nfs/dbraw/zinc/55/59/05/588555905.db2.gz GAPDZPYIJIYROG-BXUZGUMPSA-N 0 3 239.334 2.883 20 0 BFADHN COC[C@H](N[C@@H]1CC[C@H]1C)c1cccc(OC)c1 ZINC000850513738 588555958 /nfs/dbraw/zinc/55/59/58/588555958.db2.gz ITRHIIRLKOIZSE-DFBGVHRSSA-N 0 3 249.354 2.771 20 0 BFADHN CCC[C@H](NCc1cn(CC)nn1)C(C)(C)C ZINC000850522248 588556358 /nfs/dbraw/zinc/55/63/58/588556358.db2.gz JTEQLHQXOJEXMJ-LBPRGKRZSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1cncc([C@@H](C)N[C@H]2COC3(CCC3)C2)c1 ZINC000850524868 588556785 /nfs/dbraw/zinc/55/67/85/588556785.db2.gz ITIUZBWSPDZITG-TZMCWYRMSA-N 0 3 246.354 2.752 20 0 BFADHN Cc1cc([C@@H](C)N[C@@]2(C)CC2(C)C)n(C)n1 ZINC000850554183 588560124 /nfs/dbraw/zinc/56/01/24/588560124.db2.gz CQQDPHZIXMDVQQ-MFKMUULPSA-N 0 3 221.348 2.568 20 0 BFADHN CCCCC[C@@H]1CCCCN1C(=O)CNCC ZINC000851647155 588612694 /nfs/dbraw/zinc/61/26/94/588612694.db2.gz FGCNAIJULGLIHQ-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN CCCCCC[C@H](CC)CN(C)C(=O)[C@@H](C)N ZINC000851647328 588613164 /nfs/dbraw/zinc/61/31/64/588613164.db2.gz JMWYURISXNEHGT-OLZOCXBDSA-N 0 3 242.407 2.789 20 0 BFADHN CCCCN(CC)Cc1cccc(F)n1 ZINC000851711853 588615536 /nfs/dbraw/zinc/61/55/36/588615536.db2.gz GZCLUZXCWWJLRZ-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN C[C@H](c1ccccc1)N(C)C[C@@H]1COC(C)(C)O1 ZINC000851716875 588616331 /nfs/dbraw/zinc/61/63/31/588616331.db2.gz NEOWEIBADNROPX-TZMCWYRMSA-N 0 3 249.354 2.831 20 0 BFADHN C[C@@H](c1ccccc1)N(C)C[C@H]1COC(C)(C)O1 ZINC000851716873 588616399 /nfs/dbraw/zinc/61/63/99/588616399.db2.gz NEOWEIBADNROPX-JSGCOSHPSA-N 0 3 249.354 2.831 20 0 BFADHN FC(F)OCCN(Cc1ccccc1)C1CC1 ZINC000851717790 588616496 /nfs/dbraw/zinc/61/64/96/588616496.db2.gz XKPODYNEVNBKJU-UHFFFAOYSA-N 0 3 241.281 2.890 20 0 BFADHN CCCN(CCC)Cc1cccc(F)n1 ZINC000851725691 588618110 /nfs/dbraw/zinc/61/81/10/588618110.db2.gz HFQVGTMVSIVCLT-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN c1nonc1CN1CCC[C@@H]1C1CCCCC1 ZINC000851731137 588619352 /nfs/dbraw/zinc/61/93/52/588619352.db2.gz XMONPEITWBLYMD-CYBMUJFWSA-N 0 3 235.331 2.614 20 0 BFADHN CO[C@H]1CC[C@H](N(C)Cc2ccoc2C)C1 ZINC000851736896 588620641 /nfs/dbraw/zinc/62/06/41/588620641.db2.gz XBXAFUWTANQBTG-STQMWFEESA-N 0 3 223.316 2.587 20 0 BFADHN CCC(CC)N(Cc1cn(C)cn1)C1CC1 ZINC000851747801 588622351 /nfs/dbraw/zinc/62/23/51/588622351.db2.gz XTAGEKWLCHCZLT-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CCN(Cc1ccoc1)[C@H]1CC[C@@H](OC)C1 ZINC000851748058 588622520 /nfs/dbraw/zinc/62/25/20/588622520.db2.gz ZEILGKMCVHYZPN-QWHCGFSZSA-N 0 3 223.316 2.669 20 0 BFADHN C[C@H]1CN(CC=C(Cl)Cl)C[C@H]1C ZINC000851792061 588629170 /nfs/dbraw/zinc/62/91/70/588629170.db2.gz HGYYSQGXQJBBNP-OCAPTIKFSA-N 0 3 208.132 2.893 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2cccc(F)n2)C1 ZINC000851793565 588629384 /nfs/dbraw/zinc/62/93/84/588629384.db2.gz GMDDVJAAJQQNKX-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cccc(F)n1 ZINC000851809663 588632103 /nfs/dbraw/zinc/63/21/03/588632103.db2.gz WZRMFHYZJQRLLN-NXEZZACHSA-N 0 3 208.280 2.594 20 0 BFADHN FC(F)OCCNC1(c2ccccc2)CCC1 ZINC000851821490 588633581 /nfs/dbraw/zinc/63/35/81/588633581.db2.gz WLKADMKFLVBYGD-UHFFFAOYSA-N 0 3 241.281 2.895 20 0 BFADHN c1c[nH]c([C@H]2CCCN2CC2CC=CC2)n1 ZINC000851887482 588640473 /nfs/dbraw/zinc/64/04/73/588640473.db2.gz QMYQULZZDCSWKX-GFCCVEGCSA-N 0 3 217.316 2.513 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2C[C@@H]2C[C@H]3C[C@H]3C2)n1 ZINC000851887672 588640628 /nfs/dbraw/zinc/64/06/28/588640628.db2.gz SYFIFWGTBQDVFJ-RNJOBUHISA-N 0 3 231.343 2.593 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2CC2CC=CC2)n1 ZINC000851887483 588640657 /nfs/dbraw/zinc/64/06/57/588640657.db2.gz QMYQULZZDCSWKX-LBPRGKRZSA-N 0 3 217.316 2.513 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cccc(F)n1 ZINC000851889589 588640998 /nfs/dbraw/zinc/64/09/98/588640998.db2.gz CPYMGHFUGPGHJD-CMPLNLGQSA-N 0 3 222.307 2.984 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1cccc(F)n1 ZINC000851893495 588641536 /nfs/dbraw/zinc/64/15/36/588641536.db2.gz WUIPSPCPAFGZPE-NSHDSACASA-N 0 3 242.363 2.794 20 0 BFADHN CCN(CCC1CC1)Cc1cccc(F)n1 ZINC000851936930 588646011 /nfs/dbraw/zinc/64/60/11/588646011.db2.gz CSBBJISEOGPPQH-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cccc(F)n1 ZINC000851950616 588646705 /nfs/dbraw/zinc/64/67/05/588646705.db2.gz QMHNJERUQZLXGK-JQWIXIFHSA-N 0 3 222.307 2.841 20 0 BFADHN Fc1cccc(CN2CCCC23CCC3)n1 ZINC000851990419 588652053 /nfs/dbraw/zinc/65/20/53/588652053.db2.gz SBDZCUXUKHQANC-UHFFFAOYSA-N 0 3 220.291 2.739 20 0 BFADHN Cc1cc(CN2CCCC23CCC3)nc(C)n1 ZINC000851990504 588652465 /nfs/dbraw/zinc/65/24/65/588652465.db2.gz SVGYIPASNIQAOL-UHFFFAOYSA-N 0 3 231.343 2.612 20 0 BFADHN CCCC[C@@H](C)N(C)C[C@@H](O)c1ccccn1 ZINC000852064858 588658797 /nfs/dbraw/zinc/65/87/97/588658797.db2.gz SIHFXODIWXKKLZ-TZMCWYRMSA-N 0 3 236.359 2.626 20 0 BFADHN CCCC[C@H](C)N(C)C[C@H](O)c1ccccn1 ZINC000852064856 588658880 /nfs/dbraw/zinc/65/88/80/588658880.db2.gz SIHFXODIWXKKLZ-JSGCOSHPSA-N 0 3 236.359 2.626 20 0 BFADHN CCCC[C@@H](C)N(C)C[C@H](O)c1ccccn1 ZINC000852064857 588659017 /nfs/dbraw/zinc/65/90/17/588659017.db2.gz SIHFXODIWXKKLZ-OCCSQVGLSA-N 0 3 236.359 2.626 20 0 BFADHN Cc1cccc(CN(C)CCOC(F)F)c1C ZINC000852075697 588659751 /nfs/dbraw/zinc/65/97/51/588659751.db2.gz PPGWKKFGWPUCIA-UHFFFAOYSA-N 0 3 243.297 2.974 20 0 BFADHN CC(C)(NCCOC(F)F)c1ccccc1F ZINC000852077876 588660485 /nfs/dbraw/zinc/66/04/85/588660485.db2.gz GFEHSMAARWSOSW-UHFFFAOYSA-N 0 3 247.260 2.890 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2cccc(F)n2)C[C@H]1C ZINC000852181617 588670105 /nfs/dbraw/zinc/67/01/05/588670105.db2.gz KXXPXYFIFGSJIX-GMTAPVOTSA-N 0 3 222.307 2.697 20 0 BFADHN BrC1(CN2C[C@@H]3CCCC[C@@H]32)CC1 ZINC000852218925 588673916 /nfs/dbraw/zinc/67/39/16/588673916.db2.gz FYIPAIUXTCBBOZ-UWVGGRQHSA-N 0 3 244.176 2.788 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1cnoc1C ZINC000852355684 588679792 /nfs/dbraw/zinc/67/97/92/588679792.db2.gz YCNNBGQLZWBJIZ-SNVBAGLBSA-N 0 3 210.321 2.897 20 0 BFADHN O=C(CCN1CCC(C2CCC2)CC1)C1CC1 ZINC000852408169 588680928 /nfs/dbraw/zinc/68/09/28/588680928.db2.gz RJGCJMZEOJHTAQ-UHFFFAOYSA-N 0 3 235.371 2.868 20 0 BFADHN COCCN(CCF)CCCC(C)(C)C ZINC000852479692 588684028 /nfs/dbraw/zinc/68/40/28/588684028.db2.gz JQLGLMWBAAXTCG-UHFFFAOYSA-N 0 3 219.344 2.731 20 0 BFADHN CCC(C)(C)CCN(CCF)CCOC ZINC000852480254 588684038 /nfs/dbraw/zinc/68/40/38/588684038.db2.gz SGQJOYQYQYVNRC-UHFFFAOYSA-N 0 3 219.344 2.731 20 0 BFADHN O[C@H]1C[C@@H](NCc2ccc(CF)cc2)C12CCC2 ZINC000852583145 588692002 /nfs/dbraw/zinc/69/20/02/588692002.db2.gz RQMSFDMUHSDTPF-KGLIPLIRSA-N 0 3 249.329 2.549 20 0 BFADHN c1cc(CN2CC[C@H]3C[C@H]3C2)cc2c1COC2 ZINC000852636632 588693692 /nfs/dbraw/zinc/69/36/92/588693692.db2.gz YUVUPYDAGGBJMH-JSGCOSHPSA-N 0 3 229.323 2.559 20 0 BFADHN CC(C)CC1(NCc2cnc(Cl)cn2)CC1 ZINC000852682768 588695392 /nfs/dbraw/zinc/69/53/92/588695392.db2.gz LSXZTVLRYRQRES-UHFFFAOYSA-N 0 3 239.750 2.798 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1cnc(C)cn1 ZINC000852712426 588697334 /nfs/dbraw/zinc/69/73/34/588697334.db2.gz PHGBBPOXWSQQCA-GXTWGEPZSA-N 0 3 233.359 2.938 20 0 BFADHN CC(=O)CCN(C)C[C@@H]1CCc2ccccc21 ZINC000852725311 588697962 /nfs/dbraw/zinc/69/79/62/588697962.db2.gz BAZSTLIIRKYHHY-AWEZNQCLSA-N 0 3 231.339 2.627 20 0 BFADHN CCCCCC[C@H](CC)CN(C)CC(=O)NC ZINC000852724575 588698157 /nfs/dbraw/zinc/69/81/57/588698157.db2.gz HKWACRPEPRPFQI-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN Cl/C=C/CN1C[C@]23COC[C@@]2(C1)CCCC3 ZINC000852760260 588700680 /nfs/dbraw/zinc/70/06/80/588700680.db2.gz XFUICBAHCNTSRT-IPBFNNSJSA-N 0 3 241.762 2.632 20 0 BFADHN CC1(C)C[C@]1(NC[C@H](O)C1CC1)c1ccccc1 ZINC000852773368 588701388 /nfs/dbraw/zinc/70/13/88/588701388.db2.gz XDSQDTHUQCTSPN-HOCLYGCPSA-N 0 3 245.366 2.672 20 0 BFADHN CCC/C=C\C(=O)Nc1cccc2c1CCNC2 ZINC000852797683 588703669 /nfs/dbraw/zinc/70/36/69/588703669.db2.gz ZDPIQKWGERPAQO-YWEYNIOJSA-N 0 3 244.338 2.627 20 0 BFADHN CCC/C=C/C(=O)Nc1cccc2c1CCNC2 ZINC000852797682 588703713 /nfs/dbraw/zinc/70/37/13/588703713.db2.gz ZDPIQKWGERPAQO-XBXARRHUSA-N 0 3 244.338 2.627 20 0 BFADHN CC[C@@H](C)C(=O)OCCN(C)Cc1ccccc1 ZINC000202812539 589193343 /nfs/dbraw/zinc/19/33/43/589193343.db2.gz YCWQGBFGEFFNBS-CYBMUJFWSA-N 0 3 249.354 2.708 20 0 BFADHN Cc1ncc(CN2[C@@H](C)CCC[C@@H]2C)o1 ZINC000862212120 589194277 /nfs/dbraw/zinc/19/42/77/589194277.db2.gz QAJGMIYSUQZBPX-UWVGGRQHSA-N 0 3 208.305 2.746 20 0 BFADHN CSC(C)(C)CNCc1cnc(C2CC2)o1 ZINC000862282520 589199267 /nfs/dbraw/zinc/19/92/67/589199267.db2.gz OENJDQUIKLFSKV-UHFFFAOYSA-N 0 3 240.372 2.783 20 0 BFADHN C[C@H](NCc1cccc(F)n1)C1CC(F)(F)C1 ZINC000862291721 589199964 /nfs/dbraw/zinc/19/99/64/589199964.db2.gz RZKZUQIKEHOHKG-QMMMGPOBSA-N 0 3 244.260 2.744 20 0 BFADHN C[C@@H](NCc1cccnc1F)C1CC(F)(F)C1 ZINC000862350622 589202046 /nfs/dbraw/zinc/20/20/46/589202046.db2.gz CMRCRFRROLQJQJ-MRVPVSSYSA-N 0 3 244.260 2.744 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CNCc1nonc1C ZINC000862367484 589204430 /nfs/dbraw/zinc/20/44/30/589204430.db2.gz KHDYXCXTACQDCS-NEPJUHHUSA-N 0 3 237.347 2.684 20 0 BFADHN C[C@H]1CCOCCN1Cc1ccc2cc[nH]c2c1 ZINC000862368285 589204812 /nfs/dbraw/zinc/20/48/12/589204812.db2.gz GNDWABANESEFPV-LBPRGKRZSA-N 0 3 244.338 2.779 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC=CO2)C[C@@H](C)C1(F)F ZINC000862442552 589213463 /nfs/dbraw/zinc/21/34/63/589213463.db2.gz IHQHZGKAKIVCDL-IJLUTSLNSA-N 0 3 245.313 2.902 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cncnc2)C1 ZINC000862550276 589220890 /nfs/dbraw/zinc/22/08/90/589220890.db2.gz KQLAYRLROMTGGX-ZRMMWKCHSA-N 0 3 231.343 2.655 20 0 BFADHN C/C=C\CNC[C@H](O)c1ccc(F)cc1Cl ZINC000863582330 589301912 /nfs/dbraw/zinc/30/19/12/589301912.db2.gz KYEYAOZEDFZWPO-DLGQBQFBSA-N 0 3 243.709 2.678 20 0 BFADHN CC(C)c1cccc(-c2cnn3c2CNCC3)c1 ZINC000863677756 589310574 /nfs/dbraw/zinc/31/05/74/589310574.db2.gz RGRCJPWQLAUBQS-UHFFFAOYSA-N 0 3 241.338 2.777 20 0 BFADHN Cc1noc(C)c1-c1ccc2c(c1)CNC2 ZINC000863692780 589310804 /nfs/dbraw/zinc/31/08/04/589310804.db2.gz MIEPHCOPIGZLCK-UHFFFAOYSA-N 0 3 214.268 2.562 20 0 BFADHN COc1ccc(CN2CC[C@H]3C[C@H]32)cc1C ZINC000863996107 589333072 /nfs/dbraw/zinc/33/30/72/589333072.db2.gz PCPSSBOLZIXDGW-QWHCGFSZSA-N 0 3 217.312 2.598 20 0 BFADHN CCCCCCNC(=O)CN1[C@H](C)CC[C@H]1C ZINC000120216480 589392944 /nfs/dbraw/zinc/39/29/44/589392944.db2.gz AZVWTCDODPLCFM-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN CCCCCCNC(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000120216324 589393049 /nfs/dbraw/zinc/39/30/49/589393049.db2.gz AZVWTCDODPLCFM-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN Cc1ccc(CSCCN(C)C)nc1Cl ZINC000865359590 589425223 /nfs/dbraw/zinc/42/52/23/589425223.db2.gz QODUGVGQWTWFBY-UHFFFAOYSA-N 0 3 244.791 2.838 20 0 BFADHN CN(C)CCNc1ccc2cnc(Cl)cc2c1 ZINC000865400876 589432506 /nfs/dbraw/zinc/43/25/06/589432506.db2.gz BMKBHBNHRFIXJS-UHFFFAOYSA-N 0 3 249.745 2.862 20 0 BFADHN CCOc1cc(F)cc(CNCCSC)c1 ZINC000865402014 589432828 /nfs/dbraw/zinc/43/28/28/589432828.db2.gz PMINOUNHMOCTJJ-UHFFFAOYSA-N 0 3 243.347 2.677 20 0 BFADHN CCc1cc(CNCc2csc(C)c2C)n[nH]1 ZINC000865463351 589437914 /nfs/dbraw/zinc/43/79/14/589437914.db2.gz YUBPAYRWQKUILR-UHFFFAOYSA-N 0 3 249.383 2.940 20 0 BFADHN c1nc(CNCC(C2CC2)C2CC2)cn1C1CC1 ZINC000865479173 589439821 /nfs/dbraw/zinc/43/98/21/589439821.db2.gz ZWDMVCLQBIOLLJ-UHFFFAOYSA-N 0 3 245.370 2.744 20 0 BFADHN Cc1c(Cl)cccc1CNC1(CO)CCC1 ZINC000865494827 589441312 /nfs/dbraw/zinc/44/13/12/589441312.db2.gz CBRDUBYOPLOUTK-UHFFFAOYSA-N 0 3 239.746 2.653 20 0 BFADHN O=c1[nH]ccc2oc(CNC3(C4CC4)CC3)cc21 ZINC000865492857 589441327 /nfs/dbraw/zinc/44/13/27/589441327.db2.gz XMBWKNUZZPUMRU-UHFFFAOYSA-N 0 3 244.294 2.566 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1cn(C2CC2)cn1 ZINC000865589797 589449202 /nfs/dbraw/zinc/44/92/02/589449202.db2.gz OVBILRPQDSMADY-FZMZJTMJSA-N 0 3 233.359 2.600 20 0 BFADHN CCC1(NCc2cnc(Cl)c(F)c2)CC1 ZINC000865584957 589449393 /nfs/dbraw/zinc/44/93/93/589449393.db2.gz KSSDYKWSUNFGLY-UHFFFAOYSA-N 0 3 228.698 2.906 20 0 BFADHN Cc1c(Cl)cccc1CN[C@H]1C[C@@](C)(O)C1 ZINC000865604971 589450310 /nfs/dbraw/zinc/45/03/10/589450310.db2.gz IXQKBAAYYLAENC-BJHJDKERSA-N 0 3 239.746 2.651 20 0 BFADHN Cc1cc(C)c(/C=C/CN[C@@H]2CC[C@H]2O)cc1C ZINC000865609969 589450758 /nfs/dbraw/zinc/45/07/58/589450758.db2.gz XMJRLKJFXXACSO-FZZYSBJUSA-N 0 3 245.366 2.738 20 0 BFADHN c1nc(CNC[C@@H]2C[C@H]2C2CCC2)cn1C1CC1 ZINC000865609156 589451040 /nfs/dbraw/zinc/45/10/40/589451040.db2.gz SJWXNAVHDWNKQU-WFASDCNBSA-N 0 3 245.370 2.744 20 0 BFADHN CN(C)c1ccc(F)cc1CN[C@@H]1C=CCC1 ZINC000865624225 589451928 /nfs/dbraw/zinc/45/19/28/589451928.db2.gz WSGSPNRGJVGVQJ-CYBMUJFWSA-N 0 3 234.318 2.700 20 0 BFADHN O=c1[nH]ccc2oc(CNCC3CC=CC3)cc21 ZINC000865639976 589453836 /nfs/dbraw/zinc/45/38/36/589453836.db2.gz WEJLDPWRWJDETH-UHFFFAOYSA-N 0 3 244.294 2.589 20 0 BFADHN c1nc(CNC[C@H]2CCCC23CC3)cn1C1CC1 ZINC000865645751 589453944 /nfs/dbraw/zinc/45/39/44/589453944.db2.gz POTIDPQYYMYOPX-GFCCVEGCSA-N 0 3 245.370 2.888 20 0 BFADHN CCc1nocc1CNCC[C@H]1CCCS1 ZINC000865726538 589458807 /nfs/dbraw/zinc/45/88/07/589458807.db2.gz YADBVODYZQKFHZ-LLVKDONJSA-N 0 3 240.372 2.612 20 0 BFADHN Cc1cc(CNC(C)(C)[C@@H]2CCCCO2)ccn1 ZINC000865743783 589460343 /nfs/dbraw/zinc/46/03/43/589460343.db2.gz IKSMSEAHGXNWBT-AWEZNQCLSA-N 0 3 248.370 2.827 20 0 BFADHN CC(C)(NCc1cncs1)[C@@H]1CCCCO1 ZINC000865746093 589460356 /nfs/dbraw/zinc/46/03/56/589460356.db2.gz LPAQDQIKZGCHAP-NSHDSACASA-N 0 3 240.372 2.580 20 0 BFADHN CC(C)(NCc1cncs1)[C@H]1CCCCO1 ZINC000865746092 589460562 /nfs/dbraw/zinc/46/05/62/589460562.db2.gz LPAQDQIKZGCHAP-LLVKDONJSA-N 0 3 240.372 2.580 20 0 BFADHN CC(C)(NCc1cccnc1)[C@H]1CCCCO1 ZINC000865747174 589460751 /nfs/dbraw/zinc/46/07/51/589460751.db2.gz RVEZRHQXBNBWOK-CYBMUJFWSA-N 0 3 234.343 2.519 20 0 BFADHN Cn1cc(CNCCCCC2CC2)c(Cl)n1 ZINC000865786708 589461880 /nfs/dbraw/zinc/46/18/80/589461880.db2.gz SLPRTDMGWYKNHS-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN c1c(CNCCCCC2CC2)nnn1C1CCC1 ZINC000865786253 589461957 /nfs/dbraw/zinc/46/19/57/589461957.db2.gz HRFTXYWNODSJFG-UHFFFAOYSA-N 0 3 248.374 2.673 20 0 BFADHN CN1Cc2ccccc2[C@@H]1CNCc1ccoc1 ZINC000865806302 589462521 /nfs/dbraw/zinc/46/25/21/589462521.db2.gz IUUMIEKKUVAVRP-HNNXBMFYSA-N 0 3 242.322 2.556 20 0 BFADHN CCC[C@H](C)CNCc1nn(C)cc1C(F)F ZINC000865808993 589462736 /nfs/dbraw/zinc/46/27/36/589462736.db2.gz VUBRITGINZNTQN-VIFPVBQESA-N 0 3 245.317 2.884 20 0 BFADHN CC(C)C[C@@H](C)NCc1nn(C)cc1C(F)F ZINC000865808732 589462794 /nfs/dbraw/zinc/46/27/94/589462794.db2.gz BOILPTQUHDZIDV-SECBINFHSA-N 0 3 245.317 2.882 20 0 BFADHN C[C@H](NCc1noc2cc(F)ccc12)C1CC1 ZINC000865836812 589464223 /nfs/dbraw/zinc/46/42/23/589464223.db2.gz SWZNCTGVMSBCDC-QMMMGPOBSA-N 0 3 234.274 2.855 20 0 BFADHN CCc1ccc(CN[C@]23CCC[C@H]2OCC3)o1 ZINC000865858143 589465309 /nfs/dbraw/zinc/46/53/09/589465309.db2.gz BXAWNZLODXMWHQ-KGLIPLIRSA-N 0 3 235.327 2.643 20 0 BFADHN c1ccc(CNC2([C@H]3CCCCO3)CCC2)nc1 ZINC000865857956 589465347 /nfs/dbraw/zinc/46/53/47/589465347.db2.gz XBYIQRFOFNYVDS-CQSZACIVSA-N 0 3 246.354 2.663 20 0 BFADHN c1coc(CNC2([C@@H]3CCCCO3)CCC2)c1 ZINC000865857192 589465358 /nfs/dbraw/zinc/46/53/58/589465358.db2.gz NUONDLCGHYDCKI-ZDUSSCGKSA-N 0 3 235.327 2.861 20 0 BFADHN CC[C@H](C[C@@H](C)O)NCc1cc(C)oc1C ZINC000865872055 589466250 /nfs/dbraw/zinc/46/62/50/589466250.db2.gz IOBALXZNCPJYFW-NOZJJQNGSA-N 0 3 225.332 2.536 20 0 BFADHN CSC[C@@H](C)NCc1cc(C)oc1C ZINC000120801167 589469068 /nfs/dbraw/zinc/46/90/68/589469068.db2.gz OPMHZIJMMRWKST-MRVPVSSYSA-N 0 3 213.346 2.738 20 0 BFADHN Fc1cccc(/C=C/CNC[C@@H]2CCCO2)c1 ZINC000885894347 589469369 /nfs/dbraw/zinc/46/93/69/589469369.db2.gz QKPBWBIMCZQERI-IWZWCOEHSA-N 0 3 235.302 2.608 20 0 BFADHN C[C@@H](CC1CCC1)Nc1ccnc(CO)c1 ZINC000866101495 589476115 /nfs/dbraw/zinc/47/61/15/589476115.db2.gz VQEMXOBMBBZJMH-JTQLQIEISA-N 0 3 220.316 2.565 20 0 BFADHN OCc1cc(NCCCCC2CC2)ccn1 ZINC000866185051 589479410 /nfs/dbraw/zinc/47/94/10/589479410.db2.gz WXFXHIDFSIGMJK-UHFFFAOYSA-N 0 3 220.316 2.566 20 0 BFADHN CCc1cc(N2CC[C@@H]3CSC[C@H]3C2)ccn1 ZINC000866202250 589479868 /nfs/dbraw/zinc/47/98/68/589479868.db2.gz TVMUVEOKOUJBSF-VXGBXAGGSA-N 0 3 248.395 2.833 20 0 BFADHN CC[C@@H](CCO)N[C@H](c1ccccn1)C(C)C ZINC000866272672 589483872 /nfs/dbraw/zinc/48/38/72/589483872.db2.gz YLOQYPRDIGYUDJ-JSGCOSHPSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)[C@H](N[C@H](C)C[C@H](C)O)c1ccccn1 ZINC000866337800 589486970 /nfs/dbraw/zinc/48/69/70/589486970.db2.gz ZCAIKWNSSUDWBO-DYEKYZERSA-N 0 3 236.359 2.528 20 0 BFADHN CC(C)[C@@H](N[C@@H](C)C[C@H](C)O)c1ccccn1 ZINC000866337806 589487040 /nfs/dbraw/zinc/48/70/40/589487040.db2.gz ZCAIKWNSSUDWBO-SGMGOOAPSA-N 0 3 236.359 2.528 20 0 BFADHN CC(C)[C@H](N[C@@H]1CC12CC2)c1ccccn1 ZINC000866379819 589489012 /nfs/dbraw/zinc/48/90/12/589489012.db2.gz UYLHAMKYHXZOOP-OLZOCXBDSA-N 0 3 216.328 2.921 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](c2ccccn2)C(C)C)C1 ZINC000866389759 589489274 /nfs/dbraw/zinc/48/92/74/589489274.db2.gz VQPXXMZPQJVONQ-UMVBOHGHSA-N 0 3 248.370 2.936 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](c1ccccn1)C(C)C ZINC000866391296 589489582 /nfs/dbraw/zinc/48/95/82/589489582.db2.gz DTFCCQQONSFTIK-MCIONIFRSA-N 0 3 234.343 2.546 20 0 BFADHN C[C@H]1CCc2ccc(F)cc2[C@@H]1N[C@H]1C[C@H](O)C1 ZINC000866392574 589489729 /nfs/dbraw/zinc/48/97/29/589489729.db2.gz DRBYMAIUDYTPCQ-SUDQYYNISA-N 0 3 249.329 2.562 20 0 BFADHN C[C@H](N[C@@H]1CC(C)(C)CC[C@H]1O)c1ccco1 ZINC000866453031 589494224 /nfs/dbraw/zinc/49/42/24/589494224.db2.gz FQYPNJYIXCZQBI-QJPTWQEYSA-N 0 3 237.343 2.870 20 0 BFADHN C[C@@H](CSCCF)N[C@@H]1CCCC[C@@H]1F ZINC000866451445 589494402 /nfs/dbraw/zinc/49/44/02/589494402.db2.gz KWORZFAUDDPFTO-GARJFASQSA-N 0 3 237.359 2.948 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2cnccc2C)C1 ZINC000866486871 589496184 /nfs/dbraw/zinc/49/61/84/589496184.db2.gz QXXHRCIQYLCCRD-IJLUTSLNSA-N 0 3 236.384 2.935 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2nc(C)cs2)C1 ZINC000866487686 589496951 /nfs/dbraw/zinc/49/69/51/589496951.db2.gz FHOXRRJYSUKTED-OPRDCNLKSA-N 0 3 242.413 2.996 20 0 BFADHN Cc1cc(CNCCC(F)(F)F)c(C)o1 ZINC000121112157 589499073 /nfs/dbraw/zinc/49/90/73/589499073.db2.gz VLGMHJUZEQJGSY-UHFFFAOYSA-N 0 3 221.222 2.938 20 0 BFADHN C[C@H](NCC(C)(C)C(C)(F)F)c1ncc[nH]1 ZINC000866544590 589499203 /nfs/dbraw/zinc/49/92/03/589499203.db2.gz WKIVHEPZLOMZQJ-QMMMGPOBSA-N 0 3 231.290 2.742 20 0 BFADHN c1cn2c(n1)[C@H](NCCCCC1CC1)CCC2 ZINC000866542402 589499994 /nfs/dbraw/zinc/49/99/94/589499994.db2.gz ZNMZBEQYBIYQBA-CYBMUJFWSA-N 0 3 233.359 2.888 20 0 BFADHN c1cn2c(n1)[C@@H](NCCCCC1CC1)CCC2 ZINC000866542403 589500152 /nfs/dbraw/zinc/50/01/52/589500152.db2.gz ZNMZBEQYBIYQBA-ZDUSSCGKSA-N 0 3 233.359 2.888 20 0 BFADHN C[C@@H](NCc1nn(C)cc1C(F)F)C1CCC1 ZINC000866564310 589500473 /nfs/dbraw/zinc/50/04/73/589500473.db2.gz ZCRKOPPWIZJSJI-MRVPVSSYSA-N 0 3 243.301 2.636 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@@H]2CSC[C@@H]2C1 ZINC000866584035 589501733 /nfs/dbraw/zinc/50/17/33/589501733.db2.gz WTZKONVMOFRTNO-BNOWGMLFSA-N 0 3 248.395 2.828 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1noc2cc(F)ccc12 ZINC000866612376 589504664 /nfs/dbraw/zinc/50/46/64/589504664.db2.gz HMSOSWDRHFWXLW-KCJUWKMLSA-N 0 3 234.274 2.855 20 0 BFADHN CC[C@H](C[C@H](C)O)N[C@H](C)c1cnc(C)s1 ZINC000866634671 589506236 /nfs/dbraw/zinc/50/62/36/589506236.db2.gz IEVIYJPPCWNGFQ-IQJOONFLSA-N 0 3 242.388 2.652 20 0 BFADHN CCC(CC)CN1CCOc2cc(N)ccc2C1 ZINC000866686852 589511717 /nfs/dbraw/zinc/51/17/17/589511717.db2.gz LLGWGFHHMJTJPX-UHFFFAOYSA-N 0 3 248.370 2.899 20 0 BFADHN NCc1cc(F)cc(NC(=O)C=C2CCCC2)c1 ZINC000868068437 589547746 /nfs/dbraw/zinc/54/77/46/589547746.db2.gz RFWIJACKCLDHTQ-UHFFFAOYSA-N 0 3 248.301 2.723 20 0 BFADHN CC[C@H](NC[C@H](O)C1CC1)c1ccccc1F ZINC000122529379 589599693 /nfs/dbraw/zinc/59/96/93/589599693.db2.gz ROEBETUSPDAKOG-KBPBESRZSA-N 0 3 237.318 2.637 20 0 BFADHN CCCCCC(C)(C)CNCc1cnnn1C ZINC000122955628 589645229 /nfs/dbraw/zinc/64/52/29/589645229.db2.gz BTPRPPLMTIIWQM-UHFFFAOYSA-N 0 3 238.379 2.511 20 0 BFADHN CC(C)[C@H](CN(C)C)OC(=O)C(C)(C)C1CC1 ZINC000870566728 589676268 /nfs/dbraw/zinc/67/62/68/589676268.db2.gz IBPVPBREARCGBG-LBPRGKRZSA-N 0 3 241.375 2.552 20 0 BFADHN CC[C@@H](NCc1cc(C)oc1C)[C@@H]1CCCO1 ZINC000123510977 589740512 /nfs/dbraw/zinc/74/05/12/589740512.db2.gz GSMLPHWSPNBFLZ-KGLIPLIRSA-N 0 3 237.343 2.944 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@H]1C)C(=O)Nc1ccc(C)cc1 ZINC000871885898 589828887 /nfs/dbraw/zinc/82/88/87/589828887.db2.gz UJPIHJYUVFWYNI-MRVWCRGKSA-N 0 3 246.354 2.710 20 0 BFADHN CC[C@H](C)N[C@H](C)c1cc(O)ccc1F ZINC000872017103 589855437 /nfs/dbraw/zinc/85/54/37/589855437.db2.gz SRQXOBUGRHULJV-DTWKUNHWSA-N 0 3 211.280 2.980 20 0 BFADHN COCCCC[NH2+][C@H](C)c1cccc([O-])c1F ZINC000872024174 589856696 /nfs/dbraw/zinc/85/66/96/589856696.db2.gz YKSOKAKLPCKTHT-SNVBAGLBSA-N 0 3 241.306 2.609 20 0 BFADHN C[C@H]([NH2+]CC1CC1)c1cccc([O-])c1F ZINC000872022357 589856756 /nfs/dbraw/zinc/85/67/56/589856756.db2.gz XYFQXQHSVRBFCP-QMMMGPOBSA-N 0 3 209.264 2.592 20 0 BFADHN CC[C@@H](NCc1nccn1C)C(C)(C)CC ZINC000872032181 589857452 /nfs/dbraw/zinc/85/74/52/589857452.db2.gz PXKRHGWTZBNHFM-LLVKDONJSA-N 0 3 223.364 2.725 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C)c1cc(O)ccc1F ZINC000872026436 589857645 /nfs/dbraw/zinc/85/76/45/589857645.db2.gz ATIXESTUXFUHRV-UTLUCORTSA-N 0 3 223.291 2.838 20 0 BFADHN CC[C@H](CCC(F)(F)F)NCc1cc[nH]n1 ZINC000872035687 589858022 /nfs/dbraw/zinc/85/80/22/589858022.db2.gz YBXRFFOVKAIFTO-MRVPVSSYSA-N 0 3 235.253 2.620 20 0 BFADHN CSCCC[NH2+][C@H](C)c1cccc([O-])c1F ZINC000872039570 589858947 /nfs/dbraw/zinc/85/89/47/589858947.db2.gz TTZPRVJJPBAMBD-SECBINFHSA-N 0 3 243.347 2.935 20 0 BFADHN C[C@H](N[C@@H]1CCSC1)c1cc(O)ccc1F ZINC000872047922 589860356 /nfs/dbraw/zinc/86/03/56/589860356.db2.gz GXXNAWQAPKVDMB-DTWKUNHWSA-N 0 3 241.331 2.687 20 0 BFADHN C[C@@H]([NH2+]CC1(C)CC1)c1cccc([O-])c1F ZINC000872050280 589860432 /nfs/dbraw/zinc/86/04/32/589860432.db2.gz YAJOGMMBFDCRKN-SECBINFHSA-N 0 3 223.291 2.982 20 0 BFADHN C[C@@H](NC1CC(C)C1)c1cc(O)ccc1F ZINC000872049390 589860551 /nfs/dbraw/zinc/86/05/51/589860551.db2.gz RWWXGDPGVUNWNB-HWOCKDDLSA-N 0 3 223.291 2.980 20 0 BFADHN C[C@H](NC1CCC1)c1cc(O)ccc1F ZINC000872047081 589860560 /nfs/dbraw/zinc/86/05/60/589860560.db2.gz PFBVIUDMDMANAB-QMMMGPOBSA-N 0 3 209.264 2.734 20 0 BFADHN C[C@H]([NH2+]CCCF)c1cccc([O-])c1F ZINC000872049058 589860685 /nfs/dbraw/zinc/86/06/85/589860685.db2.gz OYBZXIVIRUJMBV-QMMMGPOBSA-N 0 3 215.243 2.542 20 0 BFADHN CC[C@H](CCC(F)(F)F)NCc1cocn1 ZINC000872054662 589861996 /nfs/dbraw/zinc/86/19/96/589861996.db2.gz AYGDPYPLMIQIKR-MRVPVSSYSA-N 0 3 236.237 2.885 20 0 BFADHN C[C@H](F)CC[NH2+][C@@H](C)c1cccc([O-])c1F ZINC000872072109 589862759 /nfs/dbraw/zinc/86/27/59/589862759.db2.gz FCOBWXGMCJYXNJ-IUCAKERBSA-N 0 3 229.270 2.930 20 0 BFADHN C[C@@H]([NH2+]CCCCF)c1cccc([O-])c1F ZINC000872073381 589863275 /nfs/dbraw/zinc/86/32/75/589863275.db2.gz PYJIFSZAZWDAHF-SECBINFHSA-N 0 3 229.270 2.932 20 0 BFADHN C[C@H]([NH2+]CCCCF)c1cccc([O-])c1F ZINC000872073382 589864013 /nfs/dbraw/zinc/86/40/13/589864013.db2.gz PYJIFSZAZWDAHF-VIFPVBQESA-N 0 3 229.270 2.932 20 0 BFADHN CC[C@H](NCc1cnn(CC)n1)C(C)(C)CC ZINC000872088844 589867862 /nfs/dbraw/zinc/86/78/62/589867862.db2.gz VZCZXTJDJDAEGU-LBPRGKRZSA-N 0 3 238.379 2.602 20 0 BFADHN C[C@@H](NCCC[C@@H]1C=CCC1)c1nccn1C ZINC000872105744 589872752 /nfs/dbraw/zinc/87/27/52/589872752.db2.gz BGOQMEKEVDHKTJ-CHWSQXEVSA-N 0 3 233.359 2.817 20 0 BFADHN C[C@H](NCCC[C@H]1C=CCC1)c1ccc(=O)[nH]n1 ZINC000872108047 589873486 /nfs/dbraw/zinc/87/34/86/589873486.db2.gz REBWBSRRKZIGCV-RYUDHWBXSA-N 0 3 247.342 2.579 20 0 BFADHN C[C@H](NCCC[C@@H]1C=CCC1)c1ccc(=O)[nH]n1 ZINC000872108046 589873879 /nfs/dbraw/zinc/87/38/79/589873879.db2.gz REBWBSRRKZIGCV-NWDGAFQWSA-N 0 3 247.342 2.579 20 0 BFADHN CC(C)C[C@H](NC[C@H]1C[C@H](O)C1)c1ccccn1 ZINC000872127049 589877013 /nfs/dbraw/zinc/87/70/13/589877013.db2.gz SLLXLZHUMRTXDF-YDHLFZDLSA-N 0 3 248.370 2.529 20 0 BFADHN Cc1cc(C)cc([C@@H](O)CNCC(C)(C)F)c1 ZINC000872217354 589895861 /nfs/dbraw/zinc/89/58/61/589895861.db2.gz SXBUXXKPJVJZRF-ZDUSSCGKSA-N 0 3 239.334 2.675 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cccc2ncccc12 ZINC000873138544 589986225 /nfs/dbraw/zinc/98/62/25/589986225.db2.gz IOUPMASJQOPNNR-CABCVRRESA-N 0 3 242.322 2.502 20 0 BFADHN C1=C[C@H](CCCNCc2ccccn2)CC1 ZINC000873153620 589986403 /nfs/dbraw/zinc/98/64/03/589986403.db2.gz AZQHXKYJZSMFLA-ZDUSSCGKSA-N 0 3 216.328 2.918 20 0 BFADHN Cc1occc1CNC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000873156610 589988544 /nfs/dbraw/zinc/98/85/44/589988544.db2.gz XHLDEKDACYZQPB-FPMFFAJLSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1cc(CNC[C@H]2CC(C)(C)CO2)c(C)o1 ZINC000873154927 589988607 /nfs/dbraw/zinc/98/86/07/589988607.db2.gz ANUVGSAQEWIMMG-CYBMUJFWSA-N 0 3 237.343 2.801 20 0 BFADHN Cc1ccc(CNCC2(OC(C)C)CCC2)nc1 ZINC000873167302 589989297 /nfs/dbraw/zinc/98/92/97/589989297.db2.gz RSVOWFDSWKJVBW-UHFFFAOYSA-N 0 3 248.370 2.827 20 0 BFADHN FC1(CNC2CC(Nc3ccccc3)C2)CC1 ZINC000873189737 589991515 /nfs/dbraw/zinc/99/15/15/589991515.db2.gz XGSGVXFDFKGJBA-UHFFFAOYSA-N 0 3 234.318 2.721 20 0 BFADHN CN(Cc1cnc(N)cn1)C1CCCCCCC1 ZINC000873206267 589993673 /nfs/dbraw/zinc/99/36/73/589993673.db2.gz ZJZYHVPDERJORT-UHFFFAOYSA-N 0 3 248.374 2.604 20 0 BFADHN COc1c(C)cnc(CNC(C)(C)C)c1C ZINC000020056807 590068086 /nfs/dbraw/zinc/06/80/86/590068086.db2.gz BJXQFTKEAGQRDM-UHFFFAOYSA-N 0 3 222.332 2.595 20 0 BFADHN NCc1cccc(C(=O)N2CCCCCCC2)c1 ZINC000020264907 590093769 /nfs/dbraw/zinc/09/37/69/590093769.db2.gz NXQHGCFOJATALG-UHFFFAOYSA-N 0 3 246.354 2.552 20 0 BFADHN Cc1ccsc1CN[C@@H](C)c1cn(C)nc1C ZINC000020351373 590101843 /nfs/dbraw/zinc/10/18/43/590101843.db2.gz YCRGLAQWNYOXNI-JTQLQIEISA-N 0 3 249.383 2.949 20 0 BFADHN CCc1nc(CN[C@H](C)CCSC)cs1 ZINC000125037622 590115242 /nfs/dbraw/zinc/11/52/42/590115242.db2.gz APWIDEIDNCAKKP-SECBINFHSA-N 0 3 244.429 2.937 20 0 BFADHN CCC[C@@H](CC)C(=O)N[C@@H](CN(C)C)C(C)C ZINC000874468579 590113864 /nfs/dbraw/zinc/11/38/64/590113864.db2.gz KYIYXUCPBJJSDT-OLZOCXBDSA-N 0 3 242.407 2.515 20 0 BFADHN CSCC[C@@H](C)NCc1nc(C)cs1 ZINC000125046254 590116361 /nfs/dbraw/zinc/11/63/61/590116361.db2.gz OFFPRCSQFHNZNP-MRVPVSSYSA-N 0 3 230.402 2.683 20 0 BFADHN CSCC[C@@H](C)NCc1csc(C)n1 ZINC000125049174 590116379 /nfs/dbraw/zinc/11/63/79/590116379.db2.gz IGDDMFQQMPDXMG-MRVPVSSYSA-N 0 3 230.402 2.683 20 0 BFADHN Cc1cc(C)nc(NCc2ccoc2)c1 ZINC000125258178 590159730 /nfs/dbraw/zinc/15/97/30/590159730.db2.gz VXHRYATWWVXOBG-UHFFFAOYSA-N 0 3 202.257 2.904 20 0 BFADHN CCN(CN1CCSC1=S)[C@@H](C)C(C)C ZINC000125343842 590162262 /nfs/dbraw/zinc/16/22/62/590162262.db2.gz XDSBRYZDWYRXIF-JTQLQIEISA-N 0 3 246.445 2.644 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2ccnc(Cl)c2)C1 ZINC000125699591 590182190 /nfs/dbraw/zinc/18/21/90/590182190.db2.gz XGSHHRIJONYANB-ZJUUUORDSA-N 0 3 224.735 2.965 20 0 BFADHN c1cc(CN2CCCCCCC2)sn1 ZINC000876528347 590208836 /nfs/dbraw/zinc/20/88/36/590208836.db2.gz UWQIHOUFVRPDCV-UHFFFAOYSA-N 0 3 210.346 2.909 20 0 BFADHN CC1(C)CCCN1Cc1cc2c(cc[nH]c2=O)o1 ZINC000876660847 590227479 /nfs/dbraw/zinc/22/74/79/590227479.db2.gz AJNLBVVNLXXPMN-UHFFFAOYSA-N 0 3 246.310 2.908 20 0 BFADHN C[C@H]1CCCN1Cc1cc2c(cc[nH]c2=O)o1 ZINC000876723196 590233564 /nfs/dbraw/zinc/23/35/64/590233564.db2.gz PHUWABXGZYJPSM-VIFPVBQESA-N 0 3 232.283 2.518 20 0 BFADHN CC1(C)CCN(Cc2cc3c(cc[nH]c3=O)o2)C1 ZINC000876725175 590233669 /nfs/dbraw/zinc/23/36/69/590233669.db2.gz GQEDLUBYMAAKQZ-UHFFFAOYSA-N 0 3 246.310 2.765 20 0 BFADHN CCN(Cc1cc2c(cc[nH]c2=O)o1)C1CCC1 ZINC000876756726 590239268 /nfs/dbraw/zinc/23/92/68/590239268.db2.gz XJFAUNXMYZFAPG-UHFFFAOYSA-N 0 3 246.310 2.908 20 0 BFADHN CCCCCCNCc1cc(OC)cnc1F ZINC000876887117 590254088 /nfs/dbraw/zinc/25/40/88/590254088.db2.gz KWABHMNSORZHET-UHFFFAOYSA-N 0 3 240.322 2.899 20 0 BFADHN C[C@@H](NCc1nc(Cl)cs1)C1CC1 ZINC000876896519 590254786 /nfs/dbraw/zinc/25/47/86/590254786.db2.gz GHAKLDPNZLOYES-ZCFIWIBFSA-N 0 3 216.737 2.685 20 0 BFADHN CCC(CC)CNCc1cc(OC)cnc1F ZINC000876914558 590256352 /nfs/dbraw/zinc/25/63/52/590256352.db2.gz FOIJVKURGDNOPS-UHFFFAOYSA-N 0 3 240.322 2.755 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2ccc(Cl)nn2)C1 ZINC000876916057 590256738 /nfs/dbraw/zinc/25/67/38/590256738.db2.gz JGLXCEMLYOXNRE-VHSXEESVSA-N 0 3 239.750 2.798 20 0 BFADHN COc1cnc(F)c(CNCC2CCCC2)c1 ZINC000876916540 590256877 /nfs/dbraw/zinc/25/68/77/590256877.db2.gz UQILNGMTUNGVQE-UHFFFAOYSA-N 0 3 238.306 2.509 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1nc(Cl)cs1 ZINC000876931510 590258399 /nfs/dbraw/zinc/25/83/99/590258399.db2.gz NWUZZNKEOWWAHK-NKWVEPMBSA-N 0 3 216.737 2.542 20 0 BFADHN CCOCCCCNCc1nc(Cl)cs1 ZINC000876945704 590259956 /nfs/dbraw/zinc/25/99/56/590259956.db2.gz AEXAOBPEIDAENR-UHFFFAOYSA-N 0 3 248.779 2.703 20 0 BFADHN Cc1occc1CNC[C@H]1CC1(Cl)Cl ZINC000876961034 590261343 /nfs/dbraw/zinc/26/13/43/590261343.db2.gz BABJPNSKVNYXED-SECBINFHSA-N 0 3 234.126 2.871 20 0 BFADHN COc1cnc(F)c(CN[C@@H]2CCC[C@H]2C)c1 ZINC000877025581 590270819 /nfs/dbraw/zinc/27/08/19/590270819.db2.gz PBGLVTNBJGZJNL-BXKDBHETSA-N 0 3 238.306 2.508 20 0 BFADHN COc1cnc(F)c(CN[C@@H]2CCC[C@@H]2C)c1 ZINC000877025583 590271156 /nfs/dbraw/zinc/27/11/56/590271156.db2.gz PBGLVTNBJGZJNL-JOYOIKCWSA-N 0 3 238.306 2.508 20 0 BFADHN CSCC[C@H](C)NCc1cc(C2CC2)no1 ZINC000877056558 590275800 /nfs/dbraw/zinc/27/58/00/590275800.db2.gz VLQJDWUNZFITQS-VIFPVBQESA-N 0 3 240.372 2.783 20 0 BFADHN CC1(CNCc2ncccc2F)CCCC1 ZINC000877114453 590287661 /nfs/dbraw/zinc/28/76/61/590287661.db2.gz QMGCOANISBYDQQ-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN Clc1ccc(CNCCC2=CCCC2)nn1 ZINC000877118868 590288423 /nfs/dbraw/zinc/28/84/23/590288423.db2.gz OJODEVANLBFJNC-UHFFFAOYSA-N 0 3 237.734 2.720 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccc[nH]1)CC(C)C ZINC000877123756 590289355 /nfs/dbraw/zinc/28/93/55/590289355.db2.gz CXWFWMSBUAZADM-NWDGAFQWSA-N 0 3 224.348 2.726 20 0 BFADHN CCCCCC[C@@H](C)NC(=O)[C@@H](CC)N(C)C ZINC000126367547 590294182 /nfs/dbraw/zinc/29/41/82/590294182.db2.gz XNZONPVSIJMJOP-CHWSQXEVSA-N 0 3 242.407 2.802 20 0 BFADHN COc1cnc(F)c(CNCCC2(C)CC2)c1 ZINC000877488131 590338650 /nfs/dbraw/zinc/33/86/50/590338650.db2.gz YIXSTSDIDUPUCV-UHFFFAOYSA-N 0 3 238.306 2.509 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1ccc(Cl)nn1 ZINC000877500726 590341609 /nfs/dbraw/zinc/34/16/09/590341609.db2.gz IFUNROWVAGLYOQ-ZJUUUORDSA-N 0 3 239.750 2.656 20 0 BFADHN Cc1nocc1CN[C@H]1CC[C@@H]1C(C)C ZINC000877564102 590361142 /nfs/dbraw/zinc/36/11/42/590361142.db2.gz YKBHPMSEFQNDFG-NEPJUHHUSA-N 0 3 208.305 2.507 20 0 BFADHN CC/C=C\CNC[C@@H]1CC1(Cl)Cl ZINC000877564130 590361340 /nfs/dbraw/zinc/36/13/40/590361340.db2.gz ZHBFZQPUTWVXIM-VEMNSZJBSA-N 0 3 208.132 2.736 20 0 BFADHN CCn1ccc(CNCC2(C)CCCC2)n1 ZINC000877587398 590368148 /nfs/dbraw/zinc/36/81/48/590368148.db2.gz KBHSQHIQLZAOOH-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CC[C@H](C)[C@H](CN1CC(CC(F)F)C1)OC ZINC000877599397 590371495 /nfs/dbraw/zinc/37/14/95/590371495.db2.gz YKSROAPIOPJDCF-ONGXEEELSA-N 0 3 235.318 2.635 20 0 BFADHN Clc1ccc(CNC2CC3(CCC3)C2)nn1 ZINC000877615277 590374254 /nfs/dbraw/zinc/37/42/54/590374254.db2.gz OKJPUOGKPWZZCZ-UHFFFAOYSA-N 0 3 237.734 2.552 20 0 BFADHN Cc1cc(C)cc(CCN(C)Cc2cnc[nH]2)c1 ZINC000877620551 590375891 /nfs/dbraw/zinc/37/58/91/590375891.db2.gz SYCPZFLGYYPWAN-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN Cc1cc(C)cc(CCN(C)Cc2c[nH]cn2)c1 ZINC000877620551 590375890 /nfs/dbraw/zinc/37/58/90/590375890.db2.gz SYCPZFLGYYPWAN-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN CC[C@@H](C)CCNCc1ccc(Cl)nn1 ZINC000877624590 590376751 /nfs/dbraw/zinc/37/67/51/590376751.db2.gz RCRIPPOPEJWXQW-SECBINFHSA-N 0 3 227.739 2.656 20 0 BFADHN CCC[C@H](CN[C@@H]1C[C@H](O)c2ccccc21)OC ZINC000877630112 590377523 /nfs/dbraw/zinc/37/75/23/590377523.db2.gz YUQOQZAXKMQGPF-DFBGVHRSSA-N 0 3 249.354 2.570 20 0 BFADHN CC[C@@H](NCc1cc(OC)cnc1F)C1CC1 ZINC000877632103 590378169 /nfs/dbraw/zinc/37/81/69/590378169.db2.gz YMWGHKGCMNCTDC-GFCCVEGCSA-N 0 3 238.306 2.508 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@@H](O)c3ccccc32)CS1 ZINC000877629533 590378458 /nfs/dbraw/zinc/37/84/58/590378458.db2.gz URMCBHBMMLNLFV-RDBQEKCUSA-N 0 3 249.379 2.648 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CC1(F)F)c1ccns1 ZINC000877659079 590385694 /nfs/dbraw/zinc/38/56/94/590385694.db2.gz IPRRILORJABMOI-BIIVOSGPSA-N 0 3 232.299 2.837 20 0 BFADHN Cc1ncccc1CNC[C@@H]1CC1(Cl)Cl ZINC000877655858 590385844 /nfs/dbraw/zinc/38/58/44/590385844.db2.gz NBSSCZKCOFUNSA-JTQLQIEISA-N 0 3 245.153 2.673 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CC1(F)F)c1ccns1 ZINC000877659081 590385874 /nfs/dbraw/zinc/38/58/74/590385874.db2.gz IPRRILORJABMOI-FXQIFTODSA-N 0 3 232.299 2.837 20 0 BFADHN CC1(CN2CCO[C@@H](CCF)C2)CCCC1 ZINC000877657671 590385957 /nfs/dbraw/zinc/38/59/57/590385957.db2.gz MXZQNILLWHOIJL-LBPRGKRZSA-N 0 3 229.339 2.627 20 0 BFADHN Clc1ccc(CN[C@@H]2CCCC23CC3)nn1 ZINC000877664577 590388165 /nfs/dbraw/zinc/38/81/65/590388165.db2.gz TZNSCOLKPQTDIO-SNVBAGLBSA-N 0 3 237.734 2.552 20 0 BFADHN CCC[C@H](C)CNCc1cc(C(=O)OC)co1 ZINC000877699474 590393854 /nfs/dbraw/zinc/39/38/54/590393854.db2.gz MHRMTEKIBHXNKO-JTQLQIEISA-N 0 3 239.315 2.592 20 0 BFADHN Fc1cc(CNC[C@H]2CC2(Cl)Cl)ccn1 ZINC000877697447 590394186 /nfs/dbraw/zinc/39/41/86/590394186.db2.gz MYOHUYJZBRGOAA-MRVPVSSYSA-N 0 3 249.116 2.504 20 0 BFADHN c1cnc(CN2CCC[C@@H](C3CCC3)CC2)cn1 ZINC000877703754 590397597 /nfs/dbraw/zinc/39/75/97/590397597.db2.gz SJXNCRDYCVQGON-CQSZACIVSA-N 0 3 245.370 2.879 20 0 BFADHN c1nc(CN2C[C@@H]3CC=CC[C@@H]3C2)cs1 ZINC000877719134 590398488 /nfs/dbraw/zinc/39/84/88/590398488.db2.gz JZSYADATZCPUEN-PHIMTYICSA-N 0 3 220.341 2.541 20 0 BFADHN Cn1cc(C(F)F)c(CNCCC(C)(C)C)n1 ZINC000877734580 590403597 /nfs/dbraw/zinc/40/35/97/590403597.db2.gz WRSYQSNTEHFSGC-UHFFFAOYSA-N 0 3 245.317 2.884 20 0 BFADHN Cc1ccoc1CN1CC[C@H]2CSC[C@@H]2C1 ZINC000877736666 590404551 /nfs/dbraw/zinc/40/45/51/590404551.db2.gz AKWMLITXPBMGML-RYUDHWBXSA-N 0 3 237.368 2.773 20 0 BFADHN Cc1ccc(CN2CC[C@H]3CSC[C@H]3C2)o1 ZINC000877737066 590404931 /nfs/dbraw/zinc/40/49/31/590404931.db2.gz CIPXYUXJKTWEDZ-NWDGAFQWSA-N 0 3 237.368 2.773 20 0 BFADHN Cc1ccoc1CN1CC[C@H]2CSC[C@H]2C1 ZINC000877736664 590405270 /nfs/dbraw/zinc/40/52/70/590405270.db2.gz AKWMLITXPBMGML-NWDGAFQWSA-N 0 3 237.368 2.773 20 0 BFADHN CC1(C)C[C@H](O)CN(C/C=C/c2ccccc2)C1 ZINC000877752698 590415270 /nfs/dbraw/zinc/41/52/70/590415270.db2.gz IDHHMWSHLUNRGH-PPYMECGQSA-N 0 3 245.366 2.793 20 0 BFADHN CC1=CC[C@H](N[C@H](C)c2nccnc2C)CC1 ZINC000877827960 590429244 /nfs/dbraw/zinc/42/92/44/590429244.db2.gz AWRYBEQMFGVKIJ-OLZOCXBDSA-N 0 3 231.343 2.934 20 0 BFADHN Cc1ccccc1CN(C)CC[C@@H](O)C(C)C ZINC000877899692 590442871 /nfs/dbraw/zinc/44/28/71/590442871.db2.gz DJHFCPARACYQRV-OAHLLOKOSA-N 0 3 235.371 2.834 20 0 BFADHN CCN(CC[C@@H](O)C(C)C)Cc1cccs1 ZINC000877905065 590444586 /nfs/dbraw/zinc/44/45/86/590444586.db2.gz XGWFGAGUSNLZHQ-CYBMUJFWSA-N 0 3 241.400 2.977 20 0 BFADHN C[C@@H]1CCCN(CCCOC(F)(F)F)C1 ZINC000877934611 590451426 /nfs/dbraw/zinc/45/14/26/590451426.db2.gz JYFNBCBSVBSSBK-SECBINFHSA-N 0 3 225.254 2.645 20 0 BFADHN FC(F)(F)OCCCN1C2CCC1CC2 ZINC000877952068 590455543 /nfs/dbraw/zinc/45/55/43/590455543.db2.gz ZCPRLAFLGHIJKU-UHFFFAOYSA-N 0 3 223.238 2.540 20 0 BFADHN Cc1conc1CN1CCC[C@H]1CC(C)C ZINC000878020559 590472467 /nfs/dbraw/zinc/47/24/67/590472467.db2.gz RLOGUIUKXSDHLG-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1ccc(Cl)nc1 ZINC000126870264 590477633 /nfs/dbraw/zinc/47/76/33/590477633.db2.gz VIHPVXZHZNODLB-ONGXEEELSA-N 0 3 242.750 2.547 20 0 BFADHN C=C/C=C\CCN1C[C@H](C)O[C@@H](CC)C1 ZINC000878093621 590491407 /nfs/dbraw/zinc/49/14/07/590491407.db2.gz IHISOWJWQJRPIE-JSIVBPPZSA-N 0 3 209.333 2.618 20 0 BFADHN CC(C)[C@@H](O)CCN1Cc2ccccc2[C@H]1C ZINC000878158379 590509603 /nfs/dbraw/zinc/50/96/03/590509603.db2.gz ZWESPGDWSFFHPP-DOMZBBRYSA-N 0 3 233.355 2.970 20 0 BFADHN CC(C)[C@@H](O)CCN1CC[C@@H]1c1ccccc1 ZINC000878166129 590512507 /nfs/dbraw/zinc/51/25/07/590512507.db2.gz MCOAXUYOEDPYNW-CABCVRRESA-N 0 3 233.355 2.840 20 0 BFADHN CC(C)[C@@H](O)CCN1CC[C@H]1c1ccccc1 ZINC000878166130 590512659 /nfs/dbraw/zinc/51/26/59/590512659.db2.gz MCOAXUYOEDPYNW-GJZGRUSLSA-N 0 3 233.355 2.840 20 0 BFADHN C=C/C=C/CCN1CCOC(C)(C)[C@H]1C ZINC000878193663 590521727 /nfs/dbraw/zinc/52/17/27/590521727.db2.gz ACBXJZGPMIITMY-NNNHXZLVSA-N 0 3 209.333 2.618 20 0 BFADHN C=C/C=C\CCN(C)Cc1cccc(C)n1 ZINC000878256585 590531035 /nfs/dbraw/zinc/53/10/35/590531035.db2.gz YMHMCVQNCLJASE-WAYWQWQTSA-N 0 3 216.328 2.954 20 0 BFADHN CC1=CCN(C[C@@H]2C[C@@H]3CCC[C@@H]3O2)CC1 ZINC000878300536 590537109 /nfs/dbraw/zinc/53/71/09/590537109.db2.gz KGLPIEFROMMPQV-IHRRRGAJSA-N 0 3 221.344 2.596 20 0 BFADHN CC1=CCN(C[C@@H]2CC3(CCC3)CO2)CC1 ZINC000878300817 590537999 /nfs/dbraw/zinc/53/79/99/590537999.db2.gz OBVCUDPWTSHJMC-ZDUSSCGKSA-N 0 3 221.344 2.598 20 0 BFADHN CC(C)[C@@H](O)CCN1CCC[C@@H]1c1ccncc1 ZINC000878310709 590539514 /nfs/dbraw/zinc/53/95/14/590539514.db2.gz PLURMCMTSRAZEC-CABCVRRESA-N 0 3 248.370 2.626 20 0 BFADHN CC1(C)CCC[C@@H](CN2CC[C@H](C(F)F)C2)O1 ZINC000878320471 590545265 /nfs/dbraw/zinc/54/52/65/590545265.db2.gz NFTPGQSAJPWQSL-QWRGUYRKSA-N 0 3 247.329 2.921 20 0 BFADHN C(C1CC2(CCC2)C1)N1CCOC2(CCC2)C1 ZINC000878330938 590548291 /nfs/dbraw/zinc/54/82/91/590548291.db2.gz NGNHJMFCLHONEM-UHFFFAOYSA-N 0 3 235.371 2.822 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1nocc1C ZINC000878340782 590551573 /nfs/dbraw/zinc/55/15/73/590551573.db2.gz OURGIWCSLXWQKE-GHMZBOCLSA-N 0 3 208.305 2.746 20 0 BFADHN C[C@H]1CCOCCN1CC[C@H]1CCCC1(F)F ZINC000878374576 590554985 /nfs/dbraw/zinc/55/49/85/590554985.db2.gz URIQBADIAFQDMO-NWDGAFQWSA-N 0 3 247.329 2.923 20 0 BFADHN C=C/C=C\CCN1CCC[C@H](OC(F)F)C1 ZINC000878393759 590558681 /nfs/dbraw/zinc/55/86/81/590558681.db2.gz HODSKKDFXIEQGC-BYCRGOAPSA-N 0 3 231.286 2.822 20 0 BFADHN Cc1conc1CN1CC[C@@H](C(C)(C)C)C1 ZINC000878405694 590561760 /nfs/dbraw/zinc/56/17/60/590561760.db2.gz UAWCYVVOZNQIDI-LLVKDONJSA-N 0 3 222.332 2.851 20 0 BFADHN C=C/C=C/CCN[C@H](COC)c1ccco1 ZINC000878409960 590562203 /nfs/dbraw/zinc/56/22/03/590562203.db2.gz PTAJCAMNEATBCF-ZYOFXKKJSA-N 0 3 221.300 2.689 20 0 BFADHN C=C/C=C/CCN1CCO[C@](C)(CC)C1 ZINC000878484689 590578470 /nfs/dbraw/zinc/57/84/70/590578470.db2.gz VOAPXSUGNWKRKA-KTRBRXNASA-N 0 3 209.333 2.620 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@@H]1CCC(C)(C)CO1 ZINC000878534077 590587737 /nfs/dbraw/zinc/58/77/37/590587737.db2.gz WJUDUJJLMZAIQO-TUAOUCFPSA-N 0 3 211.349 2.674 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@H]1CCC(C)(C)CO1 ZINC000878534073 590587872 /nfs/dbraw/zinc/58/78/72/590587872.db2.gz WJUDUJJLMZAIQO-GRYCIOLGSA-N 0 3 211.349 2.674 20 0 BFADHN C[C@@H]1CN(CCCC2CC2)C[C@@H](C2CC2)O1 ZINC000878535228 590588168 /nfs/dbraw/zinc/58/81/68/590588168.db2.gz QUVJCUUMMGSYLL-RISCZKNCSA-N 0 3 223.360 2.676 20 0 BFADHN C=C/C=C\CCN1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000878535284 590588194 /nfs/dbraw/zinc/58/81/94/590588194.db2.gz TVMOVKPXFANPSA-IXHFBFRBSA-N 0 3 221.344 2.618 20 0 BFADHN FC1(F)CCN(C[C@@H]2CCC3(CCC3)O2)CC1 ZINC000878541348 590589100 /nfs/dbraw/zinc/58/91/00/590589100.db2.gz AEGRMIAYXPXAIY-NSHDSACASA-N 0 3 245.313 2.819 20 0 BFADHN C=C/C=C\CCN1CCC(F)(F)CC1 ZINC000878541391 590589183 /nfs/dbraw/zinc/58/91/83/590589183.db2.gz BBOLBDGDTDEAKA-ARJAWSKDSA-N 0 3 201.260 2.850 20 0 BFADHN CC1(C)CO[C@H](CN2CCC(F)(F)CC2)C1 ZINC000878541697 590589405 /nfs/dbraw/zinc/58/94/05/590589405.db2.gz FHBRIPNVRIGITB-JTQLQIEISA-N 0 3 233.302 2.533 20 0 BFADHN C=C/C=C/CCN1CCN([C@H](C)CC)CC1 ZINC000878683565 590609124 /nfs/dbraw/zinc/60/91/24/590609124.db2.gz BDTCMJJMAKHZKG-PSKZRQQASA-N 0 3 222.376 2.535 20 0 BFADHN C=C/C=C/CCN1CCN([C@@H](C)CC)CC1 ZINC000878683566 590609628 /nfs/dbraw/zinc/60/96/28/590609628.db2.gz BDTCMJJMAKHZKG-UZYOAWRESA-N 0 3 222.376 2.535 20 0 BFADHN CCN(CCSC)C[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000878771163 590620605 /nfs/dbraw/zinc/62/06/05/590620605.db2.gz DVXJXTLIHPXVDP-AGIUHOORSA-N 0 3 243.416 2.629 20 0 BFADHN C=C/C=C/CCN1C[C@H](CC)OC[C@H]1C ZINC000878805149 590621747 /nfs/dbraw/zinc/62/17/47/590621747.db2.gz GPRDDJBBKMUDTJ-VWWYUBIBSA-N 0 3 209.333 2.618 20 0 BFADHN CC1CCN(CCN2CCC=C(Cl)C2)CC1 ZINC000878791290 590623743 /nfs/dbraw/zinc/62/37/43/590623743.db2.gz CIOAPGAZTNKVEQ-UHFFFAOYSA-N 0 3 242.794 2.547 20 0 BFADHN C=C/C=C\CCN1CC2(CCC2)OC[C@H]1C ZINC000878918221 590650029 /nfs/dbraw/zinc/65/00/29/590650029.db2.gz JIPUAFLAWQZOIK-DSYXLKISSA-N 0 3 221.344 2.762 20 0 BFADHN Cc1conc1CN[C@H](C)C1CCC(F)CC1 ZINC000878988527 590669486 /nfs/dbraw/zinc/66/94/86/590669486.db2.gz AIIOAUOFJABLID-VOMCLLRMSA-N 0 3 240.322 2.989 20 0 BFADHN CC(C)[C@@H](O)CCN1CCC(F)(F)C[C@H]1C ZINC000879053202 590685816 /nfs/dbraw/zinc/68/58/16/590685816.db2.gz SRPMOQGSXTUMCA-MNOVXSKESA-N 0 3 235.318 2.513 20 0 BFADHN Cc1cc(CNCC[C@H]2CCCO2)c(C)o1 ZINC000127586175 590714349 /nfs/dbraw/zinc/71/43/49/590714349.db2.gz WLILCYGBZAEBRF-CYBMUJFWSA-N 0 3 223.316 2.555 20 0 BFADHN CO[C@H]1CC[C@H](C)N(Cc2cccc(F)c2)C1 ZINC000879318252 590738486 /nfs/dbraw/zinc/73/84/86/590738486.db2.gz RQHBVLCVDSGWIB-FZMZJTMJSA-N 0 3 237.318 2.825 20 0 BFADHN CCc1noc([C@@H](C)N2C[C@@H]3CC=CC[C@@H]3C2)n1 ZINC000879528327 590790086 /nfs/dbraw/zinc/79/00/86/590790086.db2.gz ATFXZAOMLFRZME-UTUOFQBUSA-N 0 3 247.342 2.591 20 0 BFADHN Cc1cccc(CN2CC[C@H]3CSC[C@@H]3C2)n1 ZINC000879558832 590803406 /nfs/dbraw/zinc/80/34/06/590803406.db2.gz LPKCMLLQTBYEJO-STQMWFEESA-N 0 3 248.395 2.575 20 0 BFADHN Cc1cncc(CN2CC[C@@H]3CSC[C@H]3C2)c1 ZINC000879564090 590804966 /nfs/dbraw/zinc/80/49/66/590804966.db2.gz GQTQLPGMKHIJKP-ZIAGYGMSSA-N 0 3 248.395 2.575 20 0 BFADHN Cl/C=C\CNC1([C@H]2CCCCO2)CCC1 ZINC000879730779 590856324 /nfs/dbraw/zinc/85/63/24/590856324.db2.gz HYBZZECBAHSCRB-LAUIRUGDSA-N 0 3 229.751 2.820 20 0 BFADHN Cc1cncc([C@H]2CCCN2C[C@H]2CCCO2)c1 ZINC000879748691 590861606 /nfs/dbraw/zinc/86/16/06/590861606.db2.gz MPWSYLSQLOPQAV-HUUCEWRRSA-N 0 3 246.354 2.706 20 0 BFADHN Cc1cncc([C@H]2CCCN2CCC[C@H](C)O)c1 ZINC000879751431 590862537 /nfs/dbraw/zinc/86/25/37/590862537.db2.gz IOXIHTPDKMHSKZ-DZGCQCFKSA-N 0 3 248.370 2.688 20 0 BFADHN CCC1(CC)CCCN1Cc1cnns1 ZINC000880093972 590924481 /nfs/dbraw/zinc/92/44/81/590924481.db2.gz RGDMZOUWVLPEFM-UHFFFAOYSA-N 0 3 225.361 2.693 20 0 BFADHN COc1cccc(CN2CCC[C@H](CF)C2)c1 ZINC000880230675 590961793 /nfs/dbraw/zinc/96/17/93/590961793.db2.gz MXMGQMSAOQUVEI-CYBMUJFWSA-N 0 3 237.318 2.877 20 0 BFADHN Cc1nc(CCN2CCC[C@@H](CF)C2)cs1 ZINC000880237134 590967448 /nfs/dbraw/zinc/96/74/48/590967448.db2.gz QOPVMLYQGPWHML-NSHDSACASA-N 0 3 242.363 2.676 20 0 BFADHN FC[C@H]1CCCN(Cc2ccc3c(c2)COC3)C1 ZINC000880237082 590968351 /nfs/dbraw/zinc/96/83/51/590968351.db2.gz PQOUVYMMWHASJQ-CYBMUJFWSA-N 0 3 249.329 2.898 20 0 BFADHN FC[C@@H]1CCCN(CCOCC2CCCC2)C1 ZINC000880238933 590971914 /nfs/dbraw/zinc/97/19/14/590971914.db2.gz ZJAOEECRKZPDGD-AWEZNQCLSA-N 0 3 243.366 2.875 20 0 BFADHN CO[C@@H](CN1CCC(F)CC1)c1ccccc1 ZINC000880239382 590972261 /nfs/dbraw/zinc/97/22/61/590972261.db2.gz GEHVUSZRTHYSDF-AWEZNQCLSA-N 0 3 237.318 2.808 20 0 BFADHN COc1ccc(CN2CCC(F)CC2)cc1 ZINC000880239890 590973261 /nfs/dbraw/zinc/97/32/61/590973261.db2.gz IGQMHUABPGAAKH-UHFFFAOYSA-N 0 3 223.291 2.629 20 0 BFADHN COc1cccc(CN2CCC(F)CC2)c1 ZINC000880240283 590974453 /nfs/dbraw/zinc/97/44/53/590974453.db2.gz SJCWKXRSPJIXEA-UHFFFAOYSA-N 0 3 223.291 2.629 20 0 BFADHN FC1CCN(C[C@H]2CCC3(CCCC3)O2)CC1 ZINC000880240159 590974473 /nfs/dbraw/zinc/97/44/73/590974473.db2.gz NUHYTUOCXMHXKG-CYBMUJFWSA-N 0 3 241.350 2.912 20 0 BFADHN COc1ccc(C)cc1CN1CCC(F)CC1 ZINC000880243663 590976815 /nfs/dbraw/zinc/97/68/15/590976815.db2.gz PQOMOCVAVNIMNE-UHFFFAOYSA-N 0 3 237.318 2.938 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H](CF)C2)s1 ZINC000880293631 590988022 /nfs/dbraw/zinc/98/80/22/590988022.db2.gz MVYZLPAHQKPZPV-SNVBAGLBSA-N 0 3 228.336 2.551 20 0 BFADHN C[C@@H]1CCCC[C@H]1OCCN1CC[C@@H](CF)C1 ZINC000880295995 590990641 /nfs/dbraw/zinc/99/06/41/590990641.db2.gz RHVNICRRGSCDQY-HZSPNIEDSA-N 0 3 243.366 2.873 20 0 BFADHN FC[C@H]1CCN(CC[C@H]2CC2(Cl)Cl)C1 ZINC000880300809 590997496 /nfs/dbraw/zinc/99/74/96/590997496.db2.gz XCQWKTPDYGZMIX-BDAKNGLRSA-N 0 3 240.149 2.862 20 0 BFADHN c1cnc(C2(NCC3CCCC3)CCC2)nc1 ZINC000880364675 591010995 /nfs/dbraw/zinc/01/09/95/591010995.db2.gz MQDSXAGMNPERBI-UHFFFAOYSA-N 0 3 231.343 2.636 20 0 BFADHN C[C@@]1(c2ccccc2)CCCN1Cc1c[nH]cn1 ZINC000880371267 591013765 /nfs/dbraw/zinc/01/37/65/591013765.db2.gz DMZPRFBPQNTWBP-HNNXBMFYSA-N 0 3 241.338 2.921 20 0 BFADHN C[C@@]1(c2ccccc2)CCCN1Cc1cnc[nH]1 ZINC000880371267 591013771 /nfs/dbraw/zinc/01/37/71/591013771.db2.gz DMZPRFBPQNTWBP-HNNXBMFYSA-N 0 3 241.338 2.921 20 0 BFADHN CCN1CCc2cc(OC)c(OC)cc2C1(C)C ZINC000880390914 591020063 /nfs/dbraw/zinc/02/00/63/591020063.db2.gz YXFIDASMMXDNEU-UHFFFAOYSA-N 0 3 249.354 2.817 20 0 BFADHN C[C@H](CN1CCc2ncncc2C1)CC(C)(C)C ZINC000880598309 591107874 /nfs/dbraw/zinc/10/78/74/591107874.db2.gz NKWZNXIEQQNVEO-LBPRGKRZSA-N 0 3 247.386 2.907 20 0 BFADHN C[C@H](N)c1cn(CC[C@@H]2CCC[C@@H](C)C2)nn1 ZINC000881354099 591195327 /nfs/dbraw/zinc/19/53/27/591195327.db2.gz JUAACDCABATCRG-WOPDTQHZSA-N 0 3 236.363 2.514 20 0 BFADHN CC[C@@H](N)C(=O)N(C)c1ccc2ccccc2c1 ZINC000129137619 591259922 /nfs/dbraw/zinc/25/99/22/591259922.db2.gz SGECJRYKTOWGJR-CQSZACIVSA-N 0 3 242.322 2.540 20 0 BFADHN CCN(CC)CCSc1nc(C)cnc1C ZINC000882030297 591288668 /nfs/dbraw/zinc/28/86/68/591288668.db2.gz RIWRSDKEXRKVBJ-UHFFFAOYSA-N 0 3 239.388 2.527 20 0 BFADHN Cc1cc(F)ccc1-c1noc([C@H]2CCCN2)n1 ZINC000129836296 591412581 /nfs/dbraw/zinc/41/25/81/591412581.db2.gz YDCJFCHMGLWFGZ-LLVKDONJSA-N 0 3 247.273 2.609 20 0 BFADHN CCc1ccc(NC(=O)C(C)C(F)(F)F)nc1 ZINC000882719259 591420682 /nfs/dbraw/zinc/42/06/82/591420682.db2.gz GIYUQFAWGHUHRF-ZETCQYMHSA-N 0 3 246.232 2.781 20 0 BFADHN CC(C)c1c[nH]c(CNC2CCCCC2)n1 ZINC000882879389 591462062 /nfs/dbraw/zinc/46/20/62/591462062.db2.gz VECLMGDHYFZUNK-UHFFFAOYSA-N 0 3 221.348 2.955 20 0 BFADHN CC(C)c1c[nH]c(CNC(C2CC2)C2CC2)n1 ZINC000882925802 591475563 /nfs/dbraw/zinc/47/55/63/591475563.db2.gz KAXBZKFDJHQQSS-UHFFFAOYSA-N 0 3 233.359 2.811 20 0 BFADHN CC(C)c1c[nH]c(CNC2CCSCC2)n1 ZINC000882985984 591493158 /nfs/dbraw/zinc/49/31/58/591493158.db2.gz ZBQFOPXQCOBXSH-UHFFFAOYSA-N 0 3 239.388 2.518 20 0 BFADHN CC(C)c1c[nH]c(CN[C@H]2CCC[C@H]2C)n1 ZINC000882987260 591494923 /nfs/dbraw/zinc/49/49/23/591494923.db2.gz XHLXMHQIZFDZLV-MNOVXSKESA-N 0 3 221.348 2.811 20 0 BFADHN C[C@@H](N[C@@H]1C=CCCC1)c1cncs1 ZINC000130727119 591510494 /nfs/dbraw/zinc/51/04/94/591510494.db2.gz WBTAMDALUOTRQP-NXEZZACHSA-N 0 3 208.330 2.902 20 0 BFADHN CC(C)c1c[nH]c(CNCC2(C3CC3)CC2)n1 ZINC000883075028 591536244 /nfs/dbraw/zinc/53/62/44/591536244.db2.gz PEUZBXMTBDQQDD-UHFFFAOYSA-N 0 3 233.359 2.813 20 0 BFADHN Cc1ncc(CCN[C@H](C)c2ccoc2)s1 ZINC000131100069 591552090 /nfs/dbraw/zinc/55/20/90/591552090.db2.gz VIMINFPVJXWVDG-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN CC[C@H](NC[C@@H](C)O)c1sccc1Cl ZINC000883163606 591557239 /nfs/dbraw/zinc/55/72/39/591557239.db2.gz FTQCLHQBAQCCIW-APPZFPTMSA-N 0 3 233.764 2.823 20 0 BFADHN CC(C)c1c[nH]c(CNC2(C)CC=CC2)n1 ZINC000883161232 591557252 /nfs/dbraw/zinc/55/72/52/591557252.db2.gz MSNZBIAHPLRBSF-UHFFFAOYSA-N 0 3 219.332 2.731 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1ccc2oc(=O)oc2c1 ZINC000883180011 591563742 /nfs/dbraw/zinc/56/37/42/591563742.db2.gz QCSMRUMEUFABPI-CQSZACIVSA-N 0 3 247.294 2.664 20 0 BFADHN COc1cccnc1CN[C@H]1CCCC2(CC2)C1 ZINC000883227597 591578631 /nfs/dbraw/zinc/57/86/31/591578631.db2.gz TZVCIHPHFZYZFO-LBPRGKRZSA-N 0 3 246.354 2.903 20 0 BFADHN C[C@H]1CC[C@@H](NCc2cnc3ccccc3n2)C1 ZINC000131268732 591580019 /nfs/dbraw/zinc/58/00/19/591580019.db2.gz JHQGFJUGIZRXER-NWDGAFQWSA-N 0 3 241.338 2.908 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@H]1O)c1sccc1Cl ZINC000883230365 591580390 /nfs/dbraw/zinc/58/03/90/591580390.db2.gz FAWLGLHMCAXTMQ-IVZWLZJFSA-N 0 3 245.775 2.966 20 0 BFADHN C1=C[C@@H](CNCc2nc3ccccc3o2)CC1 ZINC000883237013 591582855 /nfs/dbraw/zinc/58/28/55/591582855.db2.gz OWCWGKZVHAAHRR-LLVKDONJSA-N 0 3 228.295 2.884 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2C=CCC2)no1 ZINC000883245590 591586447 /nfs/dbraw/zinc/58/64/47/591586447.db2.gz NZSJEACFSLAEPX-QWRGUYRKSA-N 0 3 206.289 2.600 20 0 BFADHN C[C@H](NC[C@@H]1C=CCC1)c1cc2n(n1)CCCC2 ZINC000883246084 591587418 /nfs/dbraw/zinc/58/74/18/591587418.db2.gz ZWEUNNSKINAUMP-QWHCGFSZSA-N 0 3 245.370 2.836 20 0 BFADHN CCCn1nccc1CNCC1(CC2CC2)CC1 ZINC000883248332 591589420 /nfs/dbraw/zinc/58/94/20/591589420.db2.gz PILTZQIDCDBRAI-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN CC(C)n1cc(CNCC2(CC3CC3)CC2)nn1 ZINC000883248649 591589948 /nfs/dbraw/zinc/58/99/48/591589948.db2.gz ASRMKNJZMRIVPJ-UHFFFAOYSA-N 0 3 248.374 2.529 20 0 BFADHN CCC[C@@H](C)CCNCc1cc(C)n(C)n1 ZINC000883250513 591590618 /nfs/dbraw/zinc/59/06/18/591590618.db2.gz BVDIXYMSNOCQRY-LLVKDONJSA-N 0 3 223.364 2.644 20 0 BFADHN CC1(C)C[C@]1(C)CNCc1cn(C2CCC2)nn1 ZINC000883252432 591592764 /nfs/dbraw/zinc/59/27/64/591592764.db2.gz IDVPATPYFXZCRJ-CQSZACIVSA-N 0 3 248.374 2.529 20 0 BFADHN CCC[C@H](C)CCNCc1snnc1C ZINC000883251685 591592897 /nfs/dbraw/zinc/59/28/97/591592897.db2.gz YHRGFLOMJOYMIM-VIFPVBQESA-N 0 3 227.377 2.762 20 0 BFADHN CC(C)(CNCc1ccncc1F)CC(F)F ZINC000883251692 591593023 /nfs/dbraw/zinc/59/30/23/591593023.db2.gz YPIHEXYTNSMHQD-UHFFFAOYSA-N 0 3 246.276 2.992 20 0 BFADHN CC1(C)C[C@@]1(C)CNCc1cnc(C2CC2)nc1 ZINC000883252342 591593063 /nfs/dbraw/zinc/59/30/63/591593063.db2.gz DKNLEBWIBBHMBD-HNNXBMFYSA-N 0 3 245.370 2.880 20 0 BFADHN C[C@@H](NCC1(CC2CC2)CC1)c1nccn1C ZINC000883253520 591593674 /nfs/dbraw/zinc/59/36/74/591593674.db2.gz UFMIUGSSQRIBHA-LLVKDONJSA-N 0 3 233.359 2.651 20 0 BFADHN CCC[C@@H](C)CCN[C@@H](C)c1cnccn1 ZINC000883256805 591594469 /nfs/dbraw/zinc/59/44/69/591594469.db2.gz JJWVJFPXWFWYCQ-NEPJUHHUSA-N 0 3 221.348 2.954 20 0 BFADHN CCC[C@H](C)CCN[C@@H](C)c1ccc(=O)[nH]n1 ZINC000883258350 591595865 /nfs/dbraw/zinc/59/58/65/591595865.db2.gz XRVZIPCAYDSQEA-QWRGUYRKSA-N 0 3 237.347 2.659 20 0 BFADHN C=C/C=C/CCNCc1ccc2c(n1)CCC2 ZINC000883259956 591596921 /nfs/dbraw/zinc/59/69/21/591596921.db2.gz FZDIYVDWRMERSB-ONEGZZNKSA-N 0 3 228.339 2.792 20 0 BFADHN C[C@H](NC[C@]1(C)CC1(C)C)c1ccn(C)n1 ZINC000883260133 591597689 /nfs/dbraw/zinc/59/76/89/591597689.db2.gz LAHKDVUBIZGSJF-GWCFXTLKSA-N 0 3 221.348 2.507 20 0 BFADHN CC[C@H](NC[C@]1(C)CC1(C)C)c1nccn1C ZINC000883260831 591598785 /nfs/dbraw/zinc/59/87/85/591598785.db2.gz IEBRFPLHILOLNL-FZMZJTMJSA-N 0 3 235.375 2.897 20 0 BFADHN C=C/C=C\CCNCc1ccnn1CC1CC1 ZINC000883260523 591598871 /nfs/dbraw/zinc/59/88/71/591598871.db2.gz YDAWSTRJKMRWKW-ARJAWSKDSA-N 0 3 231.343 2.515 20 0 BFADHN Cc1c[nH]nc1CNCC[C@H]1CCCC1(F)F ZINC000883265045 591599762 /nfs/dbraw/zinc/59/97/62/591599762.db2.gz QNEFCQCDZKUGNX-SNVBAGLBSA-N 0 3 243.301 2.633 20 0 BFADHN FC1(F)CCC[C@@H]1CCNCc1ccccn1 ZINC000883266953 591600965 /nfs/dbraw/zinc/60/09/65/591600965.db2.gz OPXGMNHUSBZCEE-LLVKDONJSA-N 0 3 240.297 2.997 20 0 BFADHN C=C/C=C/CCN[C@H](C)c1cc2n(n1)CCC2 ZINC000883268554 591602446 /nfs/dbraw/zinc/60/24/46/591602446.db2.gz NPYYTTUHNJDUMA-ZYOFXKKJSA-N 0 3 231.343 2.612 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H]2C[C@H](OC)C2)o1 ZINC000883270371 591603456 /nfs/dbraw/zinc/60/34/56/591603456.db2.gz MFXMKTTZXSZKLN-NQBHXWOUSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@@H](NCC[C@H]1CCCC1(F)F)c1ncc[nH]1 ZINC000883274848 591605635 /nfs/dbraw/zinc/60/56/35/591605635.db2.gz WZEULXZKVNBHQH-NXEZZACHSA-N 0 3 243.301 2.886 20 0 BFADHN Cc1ccc(CN[C@H]2CC[C@@H]2C)c(Cl)n1 ZINC000883301751 591609230 /nfs/dbraw/zinc/60/92/30/591609230.db2.gz HYCRVUGEBDJTCP-KWQFWETISA-N 0 3 224.735 2.932 20 0 BFADHN COC[C@H]1C[C@@H](NCc2ccc(SC)o2)C1 ZINC000883315531 591612365 /nfs/dbraw/zinc/61/23/65/591612365.db2.gz OQFTVEOGZDOFQV-AOOOYVTPSA-N 0 3 241.356 2.516 20 0 BFADHN CCc1ncc(CN[C@@H]2C[C@@H]3CCCC[C@H]23)cn1 ZINC000883319612 591614783 /nfs/dbraw/zinc/61/47/83/591614783.db2.gz JKFAEJMREMKYOF-MELADBBJSA-N 0 3 245.370 2.707 20 0 BFADHN CCN1CC[C@@H]1CN[C@H](C)c1cc(C)c(C)o1 ZINC000883355851 591626287 /nfs/dbraw/zinc/62/62/87/591626287.db2.gz ZCSDCURARWSHKQ-DGCLKSJQSA-N 0 3 236.359 2.641 20 0 BFADHN F[C@@H]1CCc2ccccc2[C@@H]1NC1CCOCC1 ZINC000883376234 591636713 /nfs/dbraw/zinc/63/67/13/591636713.db2.gz GQEBAUFQPKVZJY-CABCVRRESA-N 0 3 249.329 2.781 20 0 BFADHN C[C@@H]1SCCN(CCC(=O)C(C)(C)C)[C@H]1C ZINC000132691474 591731202 /nfs/dbraw/zinc/73/12/02/591731202.db2.gz LLIIZJDJTRHUSU-QWRGUYRKSA-N 0 3 243.416 2.818 20 0 BFADHN CC[C@@H](NCC[S@](=O)CC)c1cccs1 ZINC000133472118 591799278 /nfs/dbraw/zinc/79/92/78/591799278.db2.gz TYGPDQYJCODJHU-BMIGLBTASA-N 0 3 245.413 2.557 20 0 BFADHN CCN(C(=O)[C@H](CC(C)C)NC)c1ccccc1 ZINC000884044493 591824756 /nfs/dbraw/zinc/82/47/56/591824756.db2.gz KGZQFHKYLLJPTN-AWEZNQCLSA-N 0 3 248.370 2.674 20 0 BFADHN Cc1nonc1CN[C@@H]1CCCC[C@@H]1C(C)C ZINC000215046661 591921334 /nfs/dbraw/zinc/92/13/34/591921334.db2.gz MNIKRSHUBCBKTQ-VXGBXAGGSA-N 0 3 237.347 2.682 20 0 BFADHN CS[C@H]1CC[C@@H](NCc2ccc(C)cn2)C1 ZINC000134372329 591923030 /nfs/dbraw/zinc/92/30/30/591923030.db2.gz MJASYBZEODTAHD-YPMHNXCESA-N 0 3 236.384 2.764 20 0 BFADHN c1cc(CNC[C@H]2OCCc3ccccc32)co1 ZINC000134606223 591942355 /nfs/dbraw/zinc/94/23/55/591942355.db2.gz IGYVFSWSFVNBSO-OAHLLOKOSA-N 0 3 243.306 2.683 20 0 BFADHN Cc1nnc(CN[C@H]2CCC[C@H](C)C2)s1 ZINC000134664683 591945980 /nfs/dbraw/zinc/94/59/80/591945980.db2.gz ODTMJXPLTJXPPK-WPRPVWTQSA-N 0 3 225.361 2.515 20 0 BFADHN CCSCCCNCc1ccc(Cl)nc1 ZINC000134758368 591948667 /nfs/dbraw/zinc/94/86/67/591948667.db2.gz RWWVLVVKGCQFFL-UHFFFAOYSA-N 0 3 244.791 2.968 20 0 BFADHN C[C@@H](CNCc1ccoc1)c1nccs1 ZINC000134986286 591967678 /nfs/dbraw/zinc/96/76/78/591967678.db2.gz WATZBPDGMSLEIL-VIFPVBQESA-N 0 3 222.313 2.629 20 0 BFADHN c1ccc2c(c1)cncc2CNC[C@@H]1CCCO1 ZINC000135370798 592010102 /nfs/dbraw/zinc/01/01/02/592010102.db2.gz HERVFBBOVQSHSQ-AWEZNQCLSA-N 0 3 242.322 2.503 20 0 BFADHN c1ccc2c(c1)cncc2CN[C@H]1CCCOC1 ZINC000135378631 592010622 /nfs/dbraw/zinc/01/06/22/592010622.db2.gz XIRBGTIBOHTCMX-AWEZNQCLSA-N 0 3 242.322 2.503 20 0 BFADHN CCc1cc(N2CC[C@@H]([C@@H]3CCCO3)C2)ccn1 ZINC000884742687 592016736 /nfs/dbraw/zinc/01/67/36/592016736.db2.gz UTHJJGGZQYPOIO-DOMZBBRYSA-N 0 3 246.354 2.649 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1C(=O)c1cccc(CN)c1 ZINC000135929917 592082168 /nfs/dbraw/zinc/08/21/68/592082168.db2.gz JBLBCYGXYFEMTD-BXUZGUMPSA-N 0 3 246.354 2.548 20 0 BFADHN COc1cc(C)cc(CNC(C)C)c1OC ZINC000885894112 592106251 /nfs/dbraw/zinc/10/62/51/592106251.db2.gz HYCHKSDSDZEMMM-UHFFFAOYSA-N 0 3 223.316 2.510 20 0 BFADHN COc1cc(C)cc(CN2CCCC2)c1OC ZINC000885911131 592107971 /nfs/dbraw/zinc/10/79/71/592107971.db2.gz STQNFOQQZVPXJC-UHFFFAOYSA-N 0 3 235.327 2.608 20 0 BFADHN Fc1cccc(/C=C\CN2CCCC2)c1 ZINC000885910371 592108004 /nfs/dbraw/zinc/10/80/04/592108004.db2.gz HNNXYZNMYISCPG-XQRVVYSFSA-N 0 3 205.276 2.935 20 0 BFADHN COc1cc(C)cc(CNC[C@@H]2C[C@H]2C)c1OC ZINC000885923244 592108464 /nfs/dbraw/zinc/10/84/64/592108464.db2.gz UVBVANVJPFJLAX-NEPJUHHUSA-N 0 3 249.354 2.758 20 0 BFADHN COc1ccc(CNC(C)(C)C)c(F)c1OC ZINC000885919251 592108761 /nfs/dbraw/zinc/10/87/61/592108761.db2.gz LQBKACLLTHWKJJ-UHFFFAOYSA-N 0 3 241.306 2.731 20 0 BFADHN COC(C)(C)C[C@@H](C)NCc1cc(C)co1 ZINC000885998815 592125943 /nfs/dbraw/zinc/12/59/43/592125943.db2.gz BQMINXXCGVIHAW-LLVKDONJSA-N 0 3 225.332 2.881 20 0 BFADHN Cc1coc(CNC[C@]2(C)CCCC[C@@H]2O)c1 ZINC000886008384 592129103 /nfs/dbraw/zinc/12/91/03/592129103.db2.gz LHJSBZADQCTPSB-KBPBESRZSA-N 0 3 237.343 2.619 20 0 BFADHN CCn1cc(CN(C)CC[C@@H]2C[C@H]2C2CC2)cn1 ZINC000886010709 592129984 /nfs/dbraw/zinc/12/99/84/592129984.db2.gz OAWUDBWDHZABED-CABCVRRESA-N 0 3 247.386 2.771 20 0 BFADHN Cc1coc(CNC[C@@H](O)c2cccc(C)c2)c1 ZINC000886022869 592134593 /nfs/dbraw/zinc/13/45/93/592134593.db2.gz JHLZUOOZGJFVSB-OAHLLOKOSA-N 0 3 245.322 2.720 20 0 BFADHN Cc1coc(CNC[C@H](O)c2cccc(C)c2)c1 ZINC000886022867 592134762 /nfs/dbraw/zinc/13/47/62/592134762.db2.gz JHLZUOOZGJFVSB-HNNXBMFYSA-N 0 3 245.322 2.720 20 0 BFADHN CC[C@H](NCc1cc(C)co1)[C@H]1CCCO1 ZINC000886046351 592139845 /nfs/dbraw/zinc/13/98/45/592139845.db2.gz GSQZTNHMDCUSAN-QWHCGFSZSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1[nH]nc(CN[C@@H](C)c2cnccc2C)c1C ZINC000886054925 592141319 /nfs/dbraw/zinc/14/13/19/592141319.db2.gz OKIVJHXUBQKVNG-LBPRGKRZSA-N 0 3 244.342 2.581 20 0 BFADHN CC1=CCN(C[C@H]2CC[C@H](C3CC3)O2)CC1 ZINC000886086865 592145411 /nfs/dbraw/zinc/14/54/11/592145411.db2.gz NDTSIJWRWSUOBR-ZIAGYGMSSA-N 0 3 221.344 2.596 20 0 BFADHN COCCC1(NCc2cc(C)co2)CCC1 ZINC000886102534 592155336 /nfs/dbraw/zinc/15/53/36/592155336.db2.gz JFRODFKUBPOKHS-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN C[C@@H](CF)NC/C=C\c1cccc(F)c1 ZINC000886125293 592158638 /nfs/dbraw/zinc/15/86/38/592158638.db2.gz NFKNYJVTFDRQDH-ATPLWMGHSA-N 0 3 211.255 2.787 20 0 BFADHN Cc1coc(CNCCN2CCCC2(C)C)c1 ZINC000886138430 592159072 /nfs/dbraw/zinc/15/90/72/592159072.db2.gz KHQKIZCEUZQXAP-UHFFFAOYSA-N 0 3 236.359 2.552 20 0 BFADHN Cc1csc(CNCc2[nH]nc(C)c2C)c1 ZINC000886131932 592160007 /nfs/dbraw/zinc/16/00/07/592160007.db2.gz KNNXVBHTAPGJAL-UHFFFAOYSA-N 0 3 235.356 2.686 20 0 BFADHN Cc1csc(CNCc2n[nH]c(C)c2C)c1 ZINC000886131932 592160008 /nfs/dbraw/zinc/16/00/08/592160008.db2.gz KNNXVBHTAPGJAL-UHFFFAOYSA-N 0 3 235.356 2.686 20 0 BFADHN Fc1cccc(/C=C/CNCC2=CCOCC2)c1 ZINC000886129444 592160012 /nfs/dbraw/zinc/16/00/12/592160012.db2.gz GAGKJVVCYDOQMH-DUXPYHPUSA-N 0 3 247.313 2.775 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1cc(C)co1 ZINC000886138056 592162038 /nfs/dbraw/zinc/16/20/38/592162038.db2.gz AVJAVSKBEKKRQW-CHWSQXEVSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@@H]1OCC[C@H]1NC/C=C\c1cccc(F)c1 ZINC000886143318 592162803 /nfs/dbraw/zinc/16/28/03/592162803.db2.gz ZLTINKXKLJUNEH-VASHYATMSA-N 0 3 249.329 2.996 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C\c2cccc(F)c2)CO1 ZINC000886151199 592163194 /nfs/dbraw/zinc/16/31/94/592163194.db2.gz DKPPEVPTUBLKKA-FRMUXSOBSA-N 0 3 235.302 2.606 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C/c2cccc(F)c2)CO1 ZINC000886151200 592163630 /nfs/dbraw/zinc/16/36/30/592163630.db2.gz DKPPEVPTUBLKKA-HTDMEAKDSA-N 0 3 235.302 2.606 20 0 BFADHN CO[C@@H]1CCCC[C@H]1NCc1cc(C)co1 ZINC000886154007 592164741 /nfs/dbraw/zinc/16/47/41/592164741.db2.gz WVNGGXFSFBMIJI-CHWSQXEVSA-N 0 3 223.316 2.635 20 0 BFADHN CC1(C)C[C@@H](NC/C=C/c2cccc(F)c2)CO1 ZINC000886155921 592165566 /nfs/dbraw/zinc/16/55/66/592165566.db2.gz QZASOVVXJRUVAN-YVARQFDVSA-N 0 3 249.329 2.996 20 0 BFADHN Cc1coc(CNC[C@@H]2C[C@H]2C2CCOCC2)c1 ZINC000886179312 592170209 /nfs/dbraw/zinc/17/02/09/592170209.db2.gz RLTHXNFTVJSGPS-ZFWWWQNUSA-N 0 3 249.354 2.740 20 0 BFADHN c1cc([C@H]2CCCN2CC[C@@H]2C[C@H]2C2CC2)n[nH]1 ZINC000886177118 592170739 /nfs/dbraw/zinc/17/07/39/592170739.db2.gz UXRDZIZLMJDRAJ-VNHYZAJKSA-N 0 3 245.370 2.983 20 0 BFADHN Cc1coc(CNC[C@@H]2C[C@H]3CCC[C@H]3O2)c1 ZINC000886183514 592171480 /nfs/dbraw/zinc/17/14/80/592171480.db2.gz GXTOQVLCUSJGAV-KWCYVHTRSA-N 0 3 235.327 2.635 20 0 BFADHN FC1(F)CCN(C[C@@H]2CC[C@@H](C3CC3)O2)CC1 ZINC000886184320 592171727 /nfs/dbraw/zinc/17/17/27/592171727.db2.gz ZIBGKRHNRMIFKS-RYUDHWBXSA-N 0 3 245.313 2.675 20 0 BFADHN Cc1c(Cl)cccc1CN[C@]12C[C@H]1COC2 ZINC000886199222 592173969 /nfs/dbraw/zinc/17/39/69/592173969.db2.gz OILJBUPIKPAVOI-AAEUAGOBSA-N 0 3 237.730 2.527 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC3(CCC3)CO2)[C@H]1C ZINC000886218330 592179205 /nfs/dbraw/zinc/17/92/05/592179205.db2.gz SUQASPYVLWRFGK-FRRDWIJNSA-N 0 3 223.360 2.676 20 0 BFADHN CCc1nocc1CNC[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C ZINC000886280810 592197870 /nfs/dbraw/zinc/19/78/70/592197870.db2.gz LOYFBUXKASVLFS-UKJIMTQDSA-N 0 3 234.343 2.619 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNCc1ccncc1F ZINC000886280426 592198216 /nfs/dbraw/zinc/19/82/16/592198216.db2.gz DWAJKGQDIDVRQU-FOUMNBMASA-N 0 3 234.318 2.602 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNCc1cn(C2CC2)cn1 ZINC000886281400 592198954 /nfs/dbraw/zinc/19/89/54/592198954.db2.gz YIGFPWLCHKSYNC-HKCMKHECSA-N 0 3 245.370 2.600 20 0 BFADHN Cc1coc(CNC[C@@H]2CC3(CCC3)CO2)c1 ZINC000886285260 592199897 /nfs/dbraw/zinc/19/98/97/592199897.db2.gz COZPPSUMMBHCLR-ZDUSSCGKSA-N 0 3 235.327 2.637 20 0 BFADHN C[C@H]1c2ccccc2CN1C[C@@H]1C[C@@]12CCOC2 ZINC000886295925 592201541 /nfs/dbraw/zinc/20/15/41/592201541.db2.gz SEEMJYPLZQCSND-DUVNUKRYSA-N 0 3 243.350 2.990 20 0 BFADHN c1cc(CNC[C@H]2CC3(CCC3)CO2)cs1 ZINC000886290287 592201914 /nfs/dbraw/zinc/20/19/14/592201914.db2.gz AHDHVUHCKKYREN-GFCCVEGCSA-N 0 3 237.368 2.797 20 0 BFADHN C(CN1CCO[C@@H]2CCC[C@@H]21)[C@@H]1C[C@H]1C1CC1 ZINC000886299208 592203084 /nfs/dbraw/zinc/20/30/84/592203084.db2.gz SZDMASHXPLCHOP-CBBWQLFWSA-N 0 3 235.371 2.676 20 0 BFADHN CC1(F)CCN(C[C@@H]2CC[C@H](C3CC3)O2)CC1 ZINC000886308648 592204498 /nfs/dbraw/zinc/20/44/98/592204498.db2.gz IODUTYURQLHTLJ-QWHCGFSZSA-N 0 3 241.350 2.768 20 0 BFADHN Clc1ccccc1OCCN1C[C@@H]2C[C@@H]2C1 ZINC000886328409 592210180 /nfs/dbraw/zinc/21/01/80/592210180.db2.gz IMFUHPAYGKWPTG-PHIMTYICSA-N 0 3 237.730 2.671 20 0 BFADHN C[C@@H](c1ccccc1F)N1C[C@@H]2C[C@@H]2C1 ZINC000886332580 592211099 /nfs/dbraw/zinc/21/10/99/592211099.db2.gz WLEJHOQBHKUOEG-GARJFASQSA-N 0 3 205.276 2.838 20 0 BFADHN FC(F)(F)CCCCN1C[C@@H]2C[C@@H]2C1 ZINC000886344343 592212503 /nfs/dbraw/zinc/21/25/03/592212503.db2.gz CAZWPPAPTFSHFY-DTORHVGOSA-N 0 3 207.239 2.671 20 0 BFADHN Fc1cnccc1CNC1CCC=CCC1 ZINC000886376711 592221674 /nfs/dbraw/zinc/22/16/74/592221674.db2.gz SNELUWRUGXTXGL-UHFFFAOYSA-N 0 3 220.291 2.809 20 0 BFADHN CC(=O)CN1CC[C@@H](C)[C@@H]1c1ccccc1 ZINC000886541454 592254842 /nfs/dbraw/zinc/25/48/42/592254842.db2.gz WQGYLRHMDALTLJ-BXUZGUMPSA-N 0 3 217.312 2.659 20 0 BFADHN CC(C)[C@H](NCCC(C)(F)F)c1cnn(C)c1 ZINC000886616307 592275242 /nfs/dbraw/zinc/27/52/42/592275242.db2.gz ZFVJFBSQHNNZFW-NSHDSACASA-N 0 3 245.317 2.752 20 0 BFADHN COC1([C@H](C)N[C@@H]2CCc3cccnc32)CCC1 ZINC000886626551 592280701 /nfs/dbraw/zinc/28/07/01/592280701.db2.gz FJSDFIBDZQYBAN-WCQYABFASA-N 0 3 246.354 2.616 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H]2CCc3cccnc32)CCO1 ZINC000886628306 592281607 /nfs/dbraw/zinc/28/16/07/592281607.db2.gz METKIFVXMNAEOO-MJBXVCDLSA-N 0 3 246.354 2.616 20 0 BFADHN COC[C@@H](C)N[C@@H](c1ncccc1C)C(C)C ZINC000072637657 592288589 /nfs/dbraw/zinc/28/85/89/592288589.db2.gz HLVWJZIOODCTFS-CHWSQXEVSA-N 0 3 236.359 2.712 20 0 BFADHN c1c(CN[C@@H]2CCC23CCC3)onc1C1CC1 ZINC000886684163 592302463 /nfs/dbraw/zinc/30/24/63/592302463.db2.gz GJRFQXOLBAOYMW-CYBMUJFWSA-N 0 3 232.327 2.974 20 0 BFADHN CCN1CCC[C@@H](Cc2nc(C)cs2)C1 ZINC000886696172 592305620 /nfs/dbraw/zinc/30/56/20/592305620.db2.gz IBUQIABXGNOOSG-NSHDSACASA-N 0 3 224.373 2.726 20 0 BFADHN Cc1cc([C@H](C)NC2(C3CC3)CCC2)no1 ZINC000886825200 592349228 /nfs/dbraw/zinc/34/92/28/592349228.db2.gz ONMRUQINPNOTLC-JTQLQIEISA-N 0 3 220.316 2.966 20 0 BFADHN Fc1cccc(CN2CC[C@H]([C@H]3CCCO3)C2)c1 ZINC000886838045 592357912 /nfs/dbraw/zinc/35/79/12/592357912.db2.gz QRXKYMYSOWRTHO-DZGCQCFKSA-N 0 3 249.329 2.827 20 0 BFADHN CCOCCNC(C)(C)c1ccc(F)cc1F ZINC000886897780 592392378 /nfs/dbraw/zinc/39/23/78/592392378.db2.gz HZQZUKYXTFOZJF-UHFFFAOYSA-N 0 3 243.297 2.826 20 0 BFADHN COc1cc2c(cc1O)CCN(CCC(C)C)C2 ZINC000887103943 592443122 /nfs/dbraw/zinc/44/31/22/592443122.db2.gz QYPHLZWADNIVAX-UHFFFAOYSA-N 0 3 249.354 2.805 20 0 BFADHN CC[C@H](C)CN1CCc2cc(O)c(OC)cc2C1 ZINC000887105240 592444535 /nfs/dbraw/zinc/44/45/35/592444535.db2.gz MRTILIUDTNJYGY-NSHDSACASA-N 0 3 249.354 2.805 20 0 BFADHN CN(CCCF)C[C@@H](O)c1cccc(Cl)c1 ZINC000887138919 592452782 /nfs/dbraw/zinc/45/27/82/592452782.db2.gz RFUUPYZXFPBJLF-GFCCVEGCSA-N 0 3 245.725 2.665 20 0 BFADHN CN(CCCF)Cc1ccc2c(c1)COC2 ZINC000887141263 592457385 /nfs/dbraw/zinc/45/73/85/592457385.db2.gz LUDPLZLSEIXQPM-UHFFFAOYSA-N 0 3 223.291 2.508 20 0 BFADHN CC(C)C[C@@H](O)CN[C@@H](C)c1ccccc1F ZINC000222935591 592511298 /nfs/dbraw/zinc/51/12/98/592511298.db2.gz XNPFMZMEWXDGRF-NWDGAFQWSA-N 0 3 239.334 2.883 20 0 BFADHN CC(=O)[C@@H]1CCCN(Cc2cccc(C)c2)C1 ZINC000104424373 592613355 /nfs/dbraw/zinc/61/33/55/592613355.db2.gz LXYILOVFGIROBY-OAHLLOKOSA-N 0 3 231.339 2.796 20 0 BFADHN CC(=O)[C@@H]1CCCN(Cc2ccc(F)cc2C)C1 ZINC000127021662 592726192 /nfs/dbraw/zinc/72/61/92/592726192.db2.gz HOEWLMZEBGTANL-CQSZACIVSA-N 0 3 249.329 2.935 20 0 BFADHN CSC(C)(C)C[C@H](C)N1CCOC[C@@H]1C ZINC001258335799 991247976 /nfs/dbraw/zinc/24/79/76/991247976.db2.gz PRDFSGRXUGAREY-QWRGUYRKSA-N 0 3 231.405 2.627 20 0 BFADHN CCOc1ccccc1CN[C@@H]1COCC1(C)C ZINC000313042407 634445266 /nfs/dbraw/zinc/44/52/66/634445266.db2.gz SLYUTFBDWFIHHF-CQSZACIVSA-N 0 3 249.354 2.600 20 0 BFADHN CC(C)n1ncnc1CNC[C@@H](C)C(C)(C)C ZINC000162820390 634580121 /nfs/dbraw/zinc/58/01/21/634580121.db2.gz PTCUQCIEDLRSSF-LLVKDONJSA-N 0 3 238.379 2.631 20 0 BFADHN CC1CCN(C[C@@H](O)c2cccc(F)c2)CC1 ZINC000051091262 631238627 /nfs/dbraw/zinc/23/86/27/631238627.db2.gz GULHKPJAIWVTJV-CQSZACIVSA-N 0 3 237.318 2.591 20 0 BFADHN Cc1cncc(CNC2([C@H]3CCCCO3)CC2)c1 ZINC000933368510 631327665 /nfs/dbraw/zinc/32/76/65/631327665.db2.gz FSTODLHJYWDNME-CQSZACIVSA-N 0 3 246.354 2.581 20 0 BFADHN C[C@@H]1CCCCN1Cc1cccc2[nH]c(=O)oc21 ZINC000891457742 631370129 /nfs/dbraw/zinc/37/01/29/631370129.db2.gz XKPJNLIAEVVTMP-SNVBAGLBSA-N 0 3 246.310 2.908 20 0 BFADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1ccnnc1 ZINC000926174091 631376417 /nfs/dbraw/zinc/37/64/17/631376417.db2.gz IBGKSBHSODAMMI-PSASIEDQSA-N 0 3 247.264 2.858 20 0 BFADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1ccnnc1 ZINC000926174095 631376985 /nfs/dbraw/zinc/37/69/85/631376985.db2.gz IBGKSBHSODAMMI-SCZZXKLOSA-N 0 3 247.264 2.858 20 0 BFADHN CN(Cc1cn(C)nc1C1CC1)CC(C)(C)C ZINC000891747891 631472190 /nfs/dbraw/zinc/47/21/90/631472190.db2.gz NFPWDZUVBVTCEK-UHFFFAOYSA-N 0 3 235.375 2.775 20 0 BFADHN S=c1ncccn1CN1C[C@H]2CCCC[C@@H]2C1 ZINC000176202881 631642468 /nfs/dbraw/zinc/64/24/68/631642468.db2.gz PLANFFFHRJXRIY-VXGBXAGGSA-N 0 3 249.383 2.692 20 0 BFADHN CC[C@@H](CSC)N(C)C/C=C/Cl ZINC000091097072 631666087 /nfs/dbraw/zinc/66/60/87/631666087.db2.gz YIQGWCZOCQMIEJ-CYNONHLPSA-N 0 3 207.770 2.812 20 0 BFADHN C[C@H](O)[C@H](C)NCc1ccc(Cl)cc1Cl ZINC000308864830 631700839 /nfs/dbraw/zinc/70/08/39/631700839.db2.gz ACFNFLBMUIBTMV-YUMQZZPRSA-N 0 3 248.153 2.852 20 0 BFADHN CCC[C@@](C)(NCC1OCCO1)c1ccccc1 ZINC000934183346 631720368 /nfs/dbraw/zinc/72/03/68/631720368.db2.gz RNYDQOHQMZGEJB-OAHLLOKOSA-N 0 3 249.354 2.664 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)NCc1ccon1 ZINC000308970821 631774667 /nfs/dbraw/zinc/77/46/67/631774667.db2.gz ZHDSVSALAMNMBY-GHMZBOCLSA-N 0 3 210.321 2.979 20 0 BFADHN COC[C@H](NCc1cnc(Cl)s1)C(C)C ZINC000309035622 631808126 /nfs/dbraw/zinc/80/81/26/631808126.db2.gz HWKWBISNQOIIDU-VIFPVBQESA-N 0 3 248.779 2.557 20 0 BFADHN Cc1cc(CN[C@@H]2CSC2(C)C)c(C)o1 ZINC000309091385 631879798 /nfs/dbraw/zinc/87/97/98/631879798.db2.gz CFLAJVIULRKJTF-LLVKDONJSA-N 0 3 225.357 2.880 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCc1cc(Cl)n(C)n1 ZINC000934619386 631979603 /nfs/dbraw/zinc/97/96/03/631979603.db2.gz LMKJDLBRQREUNV-MWLCHTKSSA-N 0 3 239.750 2.518 20 0 BFADHN CC(C)C1CC(NCc2cccc(F)n2)C1 ZINC000309309511 631995530 /nfs/dbraw/zinc/99/55/30/631995530.db2.gz BNECIBWQPHSLIW-UHFFFAOYSA-N 0 3 222.307 2.745 20 0 BFADHN CN(Cc1ccco1)C[C@](C)(O)c1ccccc1 ZINC000051953741 632027270 /nfs/dbraw/zinc/02/72/70/632027270.db2.gz YBPOTHNEWRWAOF-HNNXBMFYSA-N 0 3 245.322 2.619 20 0 BFADHN C[C@@H](N[C@H](CO)C1CC1)c1ccccc1Cl ZINC000309532603 632473919 /nfs/dbraw/zinc/47/39/19/632473919.db2.gz DNMRICZZEKDQAV-NOZJJQNGSA-N 0 3 239.746 2.762 20 0 BFADHN CCc1noc(C)c1[C@H](C)N[C@@H](C)CSC ZINC000309659060 632624969 /nfs/dbraw/zinc/62/49/69/632624969.db2.gz QUFNPBKCFDLMSV-IUCAKERBSA-N 0 3 242.388 2.948 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1cccc2c1OCO2 ZINC000926612864 632677767 /nfs/dbraw/zinc/67/77/67/632677767.db2.gz ATACXIMZTMFXBC-CABZTGNLSA-N 0 3 231.295 2.618 20 0 BFADHN CC[C@H](N[C@H]1CC12CC2)c1cccc(OC)n1 ZINC000926614363 632687437 /nfs/dbraw/zinc/68/74/37/632687437.db2.gz JIJHIBMEKOLQHB-JQWIXIFHSA-N 0 3 232.327 2.683 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NC1CCCC1 ZINC000924533369 632754756 /nfs/dbraw/zinc/75/47/56/632754756.db2.gz BOXKNMGUDYENDE-LLVKDONJSA-N 0 3 220.316 2.893 20 0 BFADHN Cc1nonc1[C@@H](C)NC1CCCCCC1 ZINC000924533987 632759968 /nfs/dbraw/zinc/75/99/68/632759968.db2.gz AYUKIIHZEOLBOC-SECBINFHSA-N 0 3 223.320 2.751 20 0 BFADHN Cc1oc2ccccc2c1[C@@H](C)NC/C=C/CO ZINC000926690098 632826490 /nfs/dbraw/zinc/82/64/90/632826490.db2.gz VLPMOBKZKNVMDE-MVIFTORASA-N 0 3 245.322 2.940 20 0 BFADHN Cc1oc2ccccc2c1[C@H](C)NC/C=C\CO ZINC000926690096 632826561 /nfs/dbraw/zinc/82/65/61/632826561.db2.gz VLPMOBKZKNVMDE-GZTOBOFZSA-N 0 3 245.322 2.940 20 0 BFADHN Cc1cc(C)c(NC(=O)[C@H](N)CC2CC2)c(C)c1 ZINC000310673508 632857989 /nfs/dbraw/zinc/85/79/89/632857989.db2.gz IZGSBVXPTDAKHZ-CYBMUJFWSA-N 0 3 246.354 2.678 20 0 BFADHN CC(C)c1ccc(NC(=O)[C@@H](N)CC2CC2)cc1 ZINC000310700031 632890130 /nfs/dbraw/zinc/89/01/30/632890130.db2.gz YDLDNLOBKWEGIJ-AWEZNQCLSA-N 0 3 246.354 2.876 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2N[C@H](C)C1CC1 ZINC000924569785 632920125 /nfs/dbraw/zinc/92/01/25/632920125.db2.gz FRDYMKNIPILKII-LDYMZIIASA-N 0 3 220.316 2.749 20 0 BFADHN CCOc1ccc(CNCCc2ccc(C)o2)o1 ZINC000892563926 632974123 /nfs/dbraw/zinc/97/41/23/632974123.db2.gz YGLPGRISCRWJJK-UHFFFAOYSA-N 0 3 249.310 2.912 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(Cl)c(F)c1 ZINC000393780096 633000768 /nfs/dbraw/zinc/00/07/68/633000768.db2.gz QMBMCGOOZXRQSH-NEPJUHHUSA-N 0 3 243.709 2.746 20 0 BFADHN C/C=C/CN[C@@H](C)c1cnn(C2CCC2)c1 ZINC000926770487 633015717 /nfs/dbraw/zinc/01/57/17/633015717.db2.gz RELNLFZTUNKTOB-UFFNRZRYSA-N 0 3 219.332 2.835 20 0 BFADHN C/C=C/CN[C@H](C)c1cnn(C2CCC2)c1 ZINC000926770486 633016558 /nfs/dbraw/zinc/01/65/58/633016558.db2.gz RELNLFZTUNKTOB-PGLGOXFNSA-N 0 3 219.332 2.835 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CC[C@H](C)C[C@H]1C ZINC000925055515 635877238 /nfs/dbraw/zinc/87/72/38/635877238.db2.gz VHFIYBRUPGZNLG-MIZYBKAJSA-N 0 3 237.347 2.853 20 0 BFADHN Cc1nonc1[C@@H](C)NC[C@H]1CCCC12CC2 ZINC000926774626 633033548 /nfs/dbraw/zinc/03/35/48/633033548.db2.gz ATRCTDAPDQRVRY-MWLCHTKSSA-N 0 3 235.331 2.609 20 0 BFADHN C[C@@H](N[C@@H](C)C(C)(C)O)c1cccc(F)c1F ZINC000393971703 633044872 /nfs/dbraw/zinc/04/48/72/633044872.db2.gz IPJOGBQKRMWKIG-BDAKNGLRSA-N 0 3 243.297 2.775 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1c2c(nn1C)CCC2 ZINC000894557123 635907415 /nfs/dbraw/zinc/90/74/15/635907415.db2.gz VZDXFROPXSHAJK-GFCCVEGCSA-N 0 3 249.402 2.919 20 0 BFADHN CCc1ccc(CNC[C@@H]2CCC=CO2)o1 ZINC000309431636 633063093 /nfs/dbraw/zinc/06/30/93/633063093.db2.gz GJTURUWMRVMZBE-LBPRGKRZSA-N 0 3 221.300 2.624 20 0 BFADHN Cc1cccc([C@H](O)CN[C@H](C)c2ccoc2)c1 ZINC000181405569 633094382 /nfs/dbraw/zinc/09/43/82/633094382.db2.gz DDLHVZLUPYRXPI-IUODEOHRSA-N 0 3 245.322 2.972 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H](O)CC(C)C)o1 ZINC000167130917 633125717 /nfs/dbraw/zinc/12/57/17/633125717.db2.gz WQZBQBDXKGRBLN-VXGBXAGGSA-N 0 3 225.332 2.646 20 0 BFADHN FC(F)[C@H]1CN([C@@H]2CC3CCC2CC3)CCO1 ZINC000926821510 633161674 /nfs/dbraw/zinc/16/16/74/633161674.db2.gz JIKLPHCYHSTORS-KIDURHIOSA-N 0 3 245.313 2.531 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NC[C@@H]1C=CCC1 ZINC000926834800 633183007 /nfs/dbraw/zinc/18/30/07/633183007.db2.gz ACWWOUYHYAEFAF-VXGBXAGGSA-N 0 3 232.327 2.916 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1nccn1C1CC1 ZINC000894741216 635919063 /nfs/dbraw/zinc/91/90/63/635919063.db2.gz DQJBZZNZHISMKC-AAEUAGOBSA-N 0 3 233.359 2.600 20 0 BFADHN CCCCCCC[C@@H](C)CNC(=O)[C@@H](N)CC ZINC000236639535 633224456 /nfs/dbraw/zinc/22/44/56/633224456.db2.gz GHCNMZUBMREGEG-OLZOCXBDSA-N 0 3 242.407 2.837 20 0 BFADHN CCn1nc(C)c([C@H](C)NCCCC(C)C)n1 ZINC000924604619 633228445 /nfs/dbraw/zinc/22/84/45/633228445.db2.gz WRZGXDOGADFARY-NSHDSACASA-N 0 3 238.379 2.693 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2nccn2C2CC2)C[C@H]1C ZINC000894955615 636010343 /nfs/dbraw/zinc/01/03/43/636010343.db2.gz SDKBZPKYJRFMOX-IJLUTSLNSA-N 0 3 233.359 2.694 20 0 BFADHN [O-]c1cc(Cl)cc(C[NH2+][C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000895032535 636017777 /nfs/dbraw/zinc/01/77/77/636017777.db2.gz UQCMBWDIBLRLDE-PEGIJTEDSA-N 0 3 237.730 2.934 20 0 BFADHN CN(CCOc1ccccc1)C[C@H]1CCC=CO1 ZINC000191055876 636129918 /nfs/dbraw/zinc/12/99/18/636129918.db2.gz UFZVJYUYVVTNSW-OAHLLOKOSA-N 0 3 247.338 2.690 20 0 BFADHN Cc1cc(CN[C@@]2(C)CCO[C@@H]2C)c(C)o1 ZINC000309791639 633744422 /nfs/dbraw/zinc/74/44/22/633744422.db2.gz PINCSGIKPOLUAU-YPMHNXCESA-N 0 3 223.316 2.554 20 0 BFADHN Cc1cc(CN[C@]2(C)CCO[C@H]2C)c(C)o1 ZINC000309791637 633745429 /nfs/dbraw/zinc/74/54/29/633745429.db2.gz PINCSGIKPOLUAU-WCQYABFASA-N 0 3 223.316 2.554 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1nc2c(s1)CCCC2 ZINC000309805228 633761757 /nfs/dbraw/zinc/76/17/57/633761757.db2.gz RZNDJWUVMSPVDL-ZJUUUORDSA-N 0 3 236.384 2.768 20 0 BFADHN C[C@@H]1CC[C@]2(CCN(c3ccncc3CO)C2)C1 ZINC000893122205 633842854 /nfs/dbraw/zinc/84/28/54/633842854.db2.gz VWWXGTFEAXRHCI-DOMZBBRYSA-N 0 3 246.354 2.590 20 0 BFADHN CCCCOC(=O)[C@H](C)N(C(C)C)C(C)C ZINC000183023396 633965417 /nfs/dbraw/zinc/96/54/17/633965417.db2.gz UXUWOTICVHMEGH-LBPRGKRZSA-N 0 3 229.364 2.837 20 0 BFADHN CCc1noc(C)c1[C@H](C)N[C@H](C)CSC ZINC000309659058 633984426 /nfs/dbraw/zinc/98/44/26/633984426.db2.gz QUFNPBKCFDLMSV-BDAKNGLRSA-N 0 3 242.388 2.948 20 0 BFADHN C[C@H]1CCCC[C@H]1OCCNCc1ccoc1 ZINC000053553261 634236510 /nfs/dbraw/zinc/23/65/10/634236510.db2.gz NRWLYTQLHGPNTE-GXTWGEPZSA-N 0 3 237.343 2.965 20 0 BFADHN CC(C)C1(CNCc2nccn2C(F)F)CC1 ZINC000227833268 634246002 /nfs/dbraw/zinc/24/60/02/634246002.db2.gz QOUSZUWHIJCMJM-UHFFFAOYSA-N 0 3 243.301 2.804 20 0 BFADHN CC(C)CSCCNCc1ccoc1 ZINC000053619044 634272456 /nfs/dbraw/zinc/27/24/56/634272456.db2.gz AQUBJGGHWBYBQO-UHFFFAOYSA-N 0 3 213.346 2.758 20 0 BFADHN COC/C(C)=C/CN1CCC(F)(F)C[C@@H]1C ZINC000931256020 634290506 /nfs/dbraw/zinc/29/05/06/634290506.db2.gz WRVFTTFRFLZCDF-INJWIWPUSA-N 0 3 233.302 2.699 20 0 BFADHN C[C@H](N)c1cn(C[C@@H](C)C2CCCCC2)nn1 ZINC000310131217 635173015 /nfs/dbraw/zinc/17/30/15/635173015.db2.gz ACKYUKQBSZKCFA-MNOVXSKESA-N 0 3 236.363 2.514 20 0 BFADHN CC[C@H](NC/C=C(/C)C(=O)OC)c1ccccc1 ZINC000223625287 635372582 /nfs/dbraw/zinc/37/25/82/635372582.db2.gz INKQQOSJDAGJED-HCYCVZQXSA-N 0 3 247.338 2.847 20 0 BFADHN CC[C@H](N[C@H](C)[C@@H](C)O)c1ccc(F)cc1F ZINC000341856692 636306147 /nfs/dbraw/zinc/30/61/47/636306147.db2.gz ZQOXDOFGTZDECI-KKFJDGPESA-N 0 3 243.297 2.775 20 0 BFADHN Cc1cc(NC[C@H]2CCCC[C@@H]2C)nc(N)n1 ZINC000109310881 636555527 /nfs/dbraw/zinc/55/55/27/636555527.db2.gz ZKQGZDBQIKAZQK-GXSJLCMTSA-N 0 3 234.347 2.605 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CCCOC1)c1cscn1 ZINC000309420938 636699284 /nfs/dbraw/zinc/69/92/84/636699284.db2.gz JUCJNDZSQCIRSH-GARJFASQSA-N 0 3 240.372 2.609 20 0 BFADHN CCc1nc(CNC[C@H](C)CC)cs1 ZINC000054470429 636720257 /nfs/dbraw/zinc/72/02/57/636720257.db2.gz GCIOFLPNEHKUBP-SECBINFHSA-N 0 3 212.362 2.841 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H](C)c1cncs1 ZINC000897932805 636846883 /nfs/dbraw/zinc/84/68/83/636846883.db2.gz QBXYWABBJXZQIH-UWVGGRQHSA-N 0 3 228.361 2.607 20 0 BFADHN COCCCC[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000924682025 636988240 /nfs/dbraw/zinc/98/82/40/636988240.db2.gz UUWNMYAEKHYHIX-SNVBAGLBSA-N 0 3 241.306 2.609 20 0 BFADHN COCCCC[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000924682021 636989249 /nfs/dbraw/zinc/98/92/49/636989249.db2.gz UUWNMYAEKHYHIX-JTQLQIEISA-N 0 3 241.306 2.609 20 0 BFADHN C[C@@H](NC[C@@]1(C)CCCCO1)c1ccco1 ZINC000927025315 636993527 /nfs/dbraw/zinc/99/35/27/636993527.db2.gz YCLJNWSAOOXDNR-DGCLKSJQSA-N 0 3 223.316 2.889 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCS[C@H](C)C2)no1 ZINC000927029190 636998781 /nfs/dbraw/zinc/99/87/81/636998781.db2.gz OTTIXXXIJJMMPB-OUAUKWLOSA-N 0 3 240.372 2.918 20 0 BFADHN Cc1cc(C)cc(-c2noc([C@@H]3CCCN3)n2)c1 ZINC000096878233 637011927 /nfs/dbraw/zinc/01/19/27/637011927.db2.gz HKUYRFQNRABBLA-LBPRGKRZSA-N 0 3 243.310 2.778 20 0 BFADHN CCC[C@H](C)CCNCc1cn(CC)cn1 ZINC000902645793 637318801 /nfs/dbraw/zinc/31/88/01/637318801.db2.gz YQZOSTHWWCKCDG-LBPRGKRZSA-N 0 3 223.364 2.819 20 0 BFADHN CCn1nc(C)c([C@@H](C)N[C@@H]2CC=CC[C@H]2C)n1 ZINC000903412076 637358590 /nfs/dbraw/zinc/35/85/90/637358590.db2.gz BRNWWXPAIUBUTC-NQBHXWOUSA-N 0 3 248.374 2.612 20 0 BFADHN CCCC(=O)O[C@@H]1CCN(Cc2ccccc2)C1 ZINC000034613707 637384456 /nfs/dbraw/zinc/38/44/56/637384456.db2.gz FFOZMTLALDUGCN-CQSZACIVSA-N 0 3 247.338 2.604 20 0 BFADHN CCC[C@H](C)c1noc([C@@]2(C)CCCN2)n1 ZINC000904584290 637439322 /nfs/dbraw/zinc/43/93/22/637439322.db2.gz LIYMKLTZLUDEPE-JOYOIKCWSA-N 0 3 223.320 2.572 20 0 BFADHN CCC[C@@H](C)c1noc([C@@]2(C)CCCN2)n1 ZINC000904584289 637439374 /nfs/dbraw/zinc/43/93/74/637439374.db2.gz LIYMKLTZLUDEPE-BXKDBHETSA-N 0 3 223.320 2.572 20 0 BFADHN CCCc1ccc(-c2cnn3c2CNCC3)cc1 ZINC000904889607 637509974 /nfs/dbraw/zinc/50/99/74/637509974.db2.gz MGHSFKDLNIZNPE-UHFFFAOYSA-N 0 3 241.338 2.606 20 0 BFADHN Cc1nn(C)c(CN[C@H]2CC[C@@H](C)C2)c1Cl ZINC000128957654 637511501 /nfs/dbraw/zinc/51/15/01/637511501.db2.gz UECSWEGKUIAKMF-SCZZXKLOSA-N 0 3 241.766 2.660 20 0 BFADHN COc1cccc(-c2ccc(CN(C)C)s2)n1 ZINC000904864149 637513053 /nfs/dbraw/zinc/51/30/53/637513053.db2.gz BGYBYNLIMAKBHK-UHFFFAOYSA-N 0 3 248.351 2.880 20 0 BFADHN c1cc(-c2cnc([C@H]3CCCN3)nc2)cs1 ZINC000906155669 638066775 /nfs/dbraw/zinc/06/67/75/638066775.db2.gz OQEXUIGWBZFQKQ-LLVKDONJSA-N 0 3 231.324 2.630 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2cn(C3CC3)nn2)[C@H]1C ZINC000906295655 638133742 /nfs/dbraw/zinc/13/37/42/638133742.db2.gz CVHLVCIZDKSDPZ-UHIISALHSA-N 0 3 248.374 2.527 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cn(C3CC3)nn2)[C@H](C)C1 ZINC000906295672 638134808 /nfs/dbraw/zinc/13/48/08/638134808.db2.gz DLVXQIJNAUZQLU-JTNHKYCSSA-N 0 3 248.374 2.527 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1cn(C2CC2)nn1 ZINC000906312994 638140897 /nfs/dbraw/zinc/14/08/97/638140897.db2.gz IGSWPBRAEYWBBT-JTQLQIEISA-N 0 3 236.363 2.527 20 0 BFADHN CCCC[NH2+][C@@H](C)c1nnc([C@H](C)CC)[n-]1 ZINC000906527789 638193660 /nfs/dbraw/zinc/19/36/60/638193660.db2.gz NIYOZKCPABZDPS-ZJUUUORDSA-N 0 3 224.352 2.769 20 0 BFADHN Cc1cc(NCc2cccc(Cl)c2)nc(N)n1 ZINC000043532078 638523795 /nfs/dbraw/zinc/52/37/95/638523795.db2.gz CMDJEQBZNUUEDX-UHFFFAOYSA-N 0 3 248.717 2.633 20 0 BFADHN CS[C@@H]1CC[C@@H](NCc2csc(C)n2)C1 ZINC000164879427 638586792 /nfs/dbraw/zinc/58/67/92/638586792.db2.gz UPGLFQOEMWCMCA-MWLCHTKSSA-N 0 3 242.413 2.825 20 0 BFADHN COC/C(C)=C\CNC1(c2cccc(F)c2)CC1 ZINC000930225634 639643178 /nfs/dbraw/zinc/64/31/78/639643178.db2.gz DGEQPETWZFCARP-SDQBBNPISA-N 0 3 249.329 2.997 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1c(F)cncc1F ZINC000930226476 639644051 /nfs/dbraw/zinc/64/40/51/639644051.db2.gz LQWSCZKGOVTDFC-IUCAKERBSA-N 0 3 228.286 2.884 20 0 BFADHN C[C@@H]1CCOCCN1Cc1ccccc1CF ZINC000930236110 639645413 /nfs/dbraw/zinc/64/54/13/639645413.db2.gz VACARSZAHTVCIC-GFCCVEGCSA-N 0 3 237.318 2.767 20 0 BFADHN COC/C(C)=C\CN[C@@H](C)c1cccc(O)c1 ZINC000930261313 639666082 /nfs/dbraw/zinc/66/60/82/639666082.db2.gz MLIMJXARAVLPLB-RDQDRAATSA-N 0 3 235.327 2.636 20 0 BFADHN COC/C(C)=C/CN[C@@H](C)c1cccc(O)c1 ZINC000930261314 639666358 /nfs/dbraw/zinc/66/63/58/639666358.db2.gz MLIMJXARAVLPLB-VNKGSWCUSA-N 0 3 235.327 2.636 20 0 BFADHN C[C@@H]1CN(CCCC(C)(F)F)C[C@H](C2CC2)O1 ZINC000930268472 639671913 /nfs/dbraw/zinc/67/19/13/639671913.db2.gz BKLHPKGQRPZTLR-ZYHUDNBSSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1C[C@H](C)N1CCSc1ccncc1 ZINC000930272607 639674769 /nfs/dbraw/zinc/67/47/69/639674769.db2.gz JLNWWCUMCXDRBP-PHIMTYICSA-N 0 3 222.357 2.656 20 0 BFADHN CC1CC(CN2CCCC[C@H]2c2cc[nH]n2)C1 ZINC000930448913 639756918 /nfs/dbraw/zinc/75/69/18/639756918.db2.gz XNJZLOZNTLBQQG-YIZWMMSDSA-N 0 3 233.359 2.983 20 0 BFADHN CC[C@@H](CO)N1CC(C)(C)[C@@H]1c1cccs1 ZINC000930495207 639781075 /nfs/dbraw/zinc/78/10/75/639781075.db2.gz WRRSNTPIMIZVDQ-JQWIXIFHSA-N 0 3 239.384 2.902 20 0 BFADHN CCc1ncc(CN2CCC(C3CC3)CC2)o1 ZINC000930547245 639809205 /nfs/dbraw/zinc/80/92/05/639809205.db2.gz VOBQZGCMWTTXBV-UHFFFAOYSA-N 0 3 234.343 2.859 20 0 BFADHN Cc1cc(CN2CCC(C3CC3)CC2)c(C)nn1 ZINC000930546372 639809943 /nfs/dbraw/zinc/80/99/43/639809943.db2.gz QZZRSKCWBZDVBJ-UHFFFAOYSA-N 0 3 245.370 2.715 20 0 BFADHN Cc1cn(C)nc1CN(C1CCC1)C1CCC1 ZINC000930555634 639811050 /nfs/dbraw/zinc/81/10/50/639811050.db2.gz FNCAGIXNMUDXJS-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN COc1ccc2c(c1)CN([C@@H](C)C1CC1)CCO2 ZINC000930643399 639841486 /nfs/dbraw/zinc/84/14/86/639841486.db2.gz VRVLCEPDBRPYFK-NSHDSACASA-N 0 3 247.338 2.688 20 0 BFADHN CCc1ncc(CN(C)C2CC(C)(C)C2)o1 ZINC000930701816 639867957 /nfs/dbraw/zinc/86/79/57/639867957.db2.gz VETVRCOYFJKMEL-UHFFFAOYSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1ncc(CN2CC(C)(C)C[C@H]2C)o1 ZINC000930723322 639879223 /nfs/dbraw/zinc/87/92/23/639879223.db2.gz YYWNQRDZYHCHPO-SNVBAGLBSA-N 0 3 222.332 2.857 20 0 BFADHN CC(F)(F)CCCN1CCOC[C@H]1C1CCC1 ZINC000930819819 639922850 /nfs/dbraw/zinc/92/28/50/639922850.db2.gz GQLNLBZXSHTLOU-LBPRGKRZSA-N 0 3 247.329 2.923 20 0 BFADHN CCc1onc(C)c1CN(C)C(C)(C)C ZINC000930824449 639924722 /nfs/dbraw/zinc/92/47/22/639924722.db2.gz CYLBQLZEGSLLAN-UHFFFAOYSA-N 0 3 210.321 2.776 20 0 BFADHN CC12CC(C1)CN2C[C@@H]1CC[C@H](C(F)(F)F)O1 ZINC000931078588 640057889 /nfs/dbraw/zinc/05/78/89/640057889.db2.gz AHHMCGMXVSRJSJ-LIZLNQBYSA-N 0 3 249.276 2.581 20 0 BFADHN CC(C)c1ncc2c(n1)CCN([C@H](C)C1CC1)C2 ZINC000931163225 640083848 /nfs/dbraw/zinc/08/38/48/640083848.db2.gz FDADNCMFBMYRNE-LLVKDONJSA-N 0 3 245.370 2.757 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2nn(C)cc2C)C1 ZINC000931337627 640166103 /nfs/dbraw/zinc/16/61/03/640166103.db2.gz LRMAKCZBWKFGRR-ZRUQZJFASA-N 0 3 247.386 2.907 20 0 BFADHN FCCN1CCC[C@@H](c2c[nH]c3ncccc23)C1 ZINC000931371285 640171166 /nfs/dbraw/zinc/17/11/66/640171166.db2.gz XNDSIPJOMYMUGU-LLVKDONJSA-N 0 3 247.317 2.712 20 0 BFADHN Cc1n[nH]cc1CN(C)CCCC(C)(F)F ZINC000931415591 640180471 /nfs/dbraw/zinc/18/04/71/640180471.db2.gz NBLIMCZBKDLATE-UHFFFAOYSA-N 0 3 231.290 2.585 20 0 BFADHN C[C@@H]1CN(CCc2cccs2)Cc2c[nH]nc21 ZINC000931458994 640217069 /nfs/dbraw/zinc/21/70/69/640217069.db2.gz MHQLBMCXVFZEFK-SNVBAGLBSA-N 0 3 247.367 2.633 20 0 BFADHN CCC[C@H](C)N1Cc2c[nH]nc2[C@@H](C)C1 ZINC000931461829 640220943 /nfs/dbraw/zinc/22/09/43/640220943.db2.gz ZOKRFVXDWYDRCF-UWVGGRQHSA-N 0 3 207.321 2.517 20 0 BFADHN C[C@@H]1CN(CCCC2CC2)Cc2c[nH]nc21 ZINC000931467472 640227063 /nfs/dbraw/zinc/22/70/63/640227063.db2.gz NXFHADGDUIYJIL-SNVBAGLBSA-N 0 3 219.332 2.519 20 0 BFADHN CC(C)C[C@@H](O)CNCc1ccccc1Cl ZINC000163734896 640411889 /nfs/dbraw/zinc/41/18/89/640411889.db2.gz CMMZFRNABXHQKH-GFCCVEGCSA-N 0 3 241.762 2.837 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCS[C@@H](C(F)(F)F)C1 ZINC000932072873 640422658 /nfs/dbraw/zinc/42/26/58/640422658.db2.gz HTKVCYFWFBGFIH-IWSPIJDZSA-N 0 3 239.306 2.622 20 0 BFADHN CC(C)CN1CCS[C@@H](C(F)(F)F)C1 ZINC000932084529 640423094 /nfs/dbraw/zinc/42/30/94/640423094.db2.gz KARZSARVACGCPY-MRVPVSSYSA-N 0 3 227.295 2.622 20 0 BFADHN C[C@H](c1ncccn1)N1CC[C@@H](C)C[C@@H](C)C1 ZINC000932126983 640434595 /nfs/dbraw/zinc/43/45/95/640434595.db2.gz PNZKYGFRLBXBPI-JHJVBQTASA-N 0 3 233.359 2.906 20 0 BFADHN CC(C)=CCN1CCC[C@@H]1c1ccnn1C ZINC000932263149 640461845 /nfs/dbraw/zinc/46/18/45/640461845.db2.gz BQCLADDMDXITSK-CYBMUJFWSA-N 0 3 219.332 2.523 20 0 BFADHN Cn1nccc1[C@H]1CCCN1CCCCCF ZINC000932264071 640462278 /nfs/dbraw/zinc/46/22/78/640462278.db2.gz HWCMRZUGJXSORH-CYBMUJFWSA-N 0 3 239.338 2.697 20 0 BFADHN CC(=O)CN1CCC[C@H]1[C@@H](C)c1ccccc1 ZINC000932275924 640469198 /nfs/dbraw/zinc/46/91/98/640469198.db2.gz QTUARSJVRVUJNF-ZFWWWQNUSA-N 0 3 231.339 2.844 20 0 BFADHN CC(=O)CCN(CCC(F)(F)F)CC1CC1 ZINC000156721480 640496848 /nfs/dbraw/zinc/49/68/48/640496848.db2.gz BWPGOWZIVWSIOJ-UHFFFAOYSA-N 0 3 237.265 2.630 20 0 BFADHN CC(C)OCCN[C@H](c1ccccn1)C1CC1 ZINC000932374674 640503839 /nfs/dbraw/zinc/50/38/39/640503839.db2.gz CVRUCIRTNGUSOH-AWEZNQCLSA-N 0 3 234.343 2.547 20 0 BFADHN c1ccc([C@@H](NCCOCC2CC2)C2CC2)nc1 ZINC000932380326 640508928 /nfs/dbraw/zinc/50/89/28/640508928.db2.gz USHJVPXYLYAAAS-HNNXBMFYSA-N 0 3 246.354 2.549 20 0 BFADHN CN1CCCC[C@H]1CCOc1ccccc1C=O ZINC000222997301 640522735 /nfs/dbraw/zinc/52/27/35/640522735.db2.gz WCVYXCRAMVUJCN-AWEZNQCLSA-N 0 3 247.338 2.752 20 0 BFADHN Cc1nn(C)c(CNCCCC2CC2)c1Cl ZINC000157003246 640560134 /nfs/dbraw/zinc/56/01/34/640560134.db2.gz JADJISHLCVDQMX-UHFFFAOYSA-N 0 3 241.766 2.662 20 0 BFADHN COc1cc(CNCc2sccc2C)ccn1 ZINC000057395195 640606476 /nfs/dbraw/zinc/60/64/76/640606476.db2.gz VTNVGPSXNULFNM-UHFFFAOYSA-N 0 3 248.351 2.750 20 0 BFADHN C[C@H](NC[C@H]1CSCCS1)c1ccco1 ZINC000157176686 640617188 /nfs/dbraw/zinc/61/71/88/640617188.db2.gz OVFLKVOAFUDKAO-UWVGGRQHSA-N 0 3 243.397 2.779 20 0 BFADHN C[C@@H](NC[C@@H]1CSCCS1)c1ccco1 ZINC000157176553 640617478 /nfs/dbraw/zinc/61/74/78/640617478.db2.gz OVFLKVOAFUDKAO-NXEZZACHSA-N 0 3 243.397 2.779 20 0 BFADHN FC1(F)CC(CN2CCOC[C@H]2CC2CC2)C1 ZINC000933208165 640678351 /nfs/dbraw/zinc/67/83/51/640678351.db2.gz FCWWQGVBZFCGEY-GFCCVEGCSA-N 0 3 245.313 2.533 20 0 BFADHN O=C(CSCCN1CCCC1)c1ccccc1 ZINC000059134015 640788872 /nfs/dbraw/zinc/78/88/72/640788872.db2.gz MWGNDMAJOAHHKP-UHFFFAOYSA-N 0 3 249.379 2.698 20 0 BFADHN c1cc(CN(CC[C@@H]2CCCO2)C2CC2)co1 ZINC000934002115 640803897 /nfs/dbraw/zinc/80/38/97/640803897.db2.gz OLBDFTYILCODHC-AWEZNQCLSA-N 0 3 235.327 2.813 20 0 BFADHN Cc1cc(N[C@H]2CCC[C@@H](C)CC2)nc(N)n1 ZINC000227538982 640838693 /nfs/dbraw/zinc/83/86/93/640838693.db2.gz JEXPYEIZHFOOAO-KOLCDFICSA-N 0 3 234.347 2.748 20 0 BFADHN CSCCCCNCc1nc(C)c(C)s1 ZINC000229249906 640940005 /nfs/dbraw/zinc/94/00/05/640940005.db2.gz HUOVPANYRRHFJB-UHFFFAOYSA-N 0 3 244.429 2.993 20 0 BFADHN CCCCCCn1cc([C@@H](N)CCC)nn1 ZINC000229962375 640996242 /nfs/dbraw/zinc/99/62/42/640996242.db2.gz CPJWPNDWCMFZEU-NSHDSACASA-N 0 3 224.352 2.658 20 0 BFADHN CO[C@H]1CC[C@H]1N(C)Cc1c(C)cc(C)nc1C ZINC000934104644 641068218 /nfs/dbraw/zinc/06/82/18/641068218.db2.gz KKUDFDAXZYMMQH-CABCVRRESA-N 0 3 248.370 2.616 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1ncc(Cl)n1C ZINC000230671790 641077892 /nfs/dbraw/zinc/07/78/92/641077892.db2.gz RCTOBGZDBAOFGK-UWVGGRQHSA-N 0 3 241.766 2.599 20 0 BFADHN CC[C@H](NC1COC(C)(C)OC1)c1ccccc1 ZINC000230681569 641082328 /nfs/dbraw/zinc/08/23/28/641082328.db2.gz XHJKVENSXKHBPH-AWEZNQCLSA-N 0 3 249.354 2.879 20 0 BFADHN CCC[C@](C)(NCC(C)=O)c1ccccc1 ZINC000934180494 641095667 /nfs/dbraw/zinc/09/56/67/641095667.db2.gz RENUZKAOAQKMGK-AWEZNQCLSA-N 0 3 219.328 2.881 20 0 BFADHN Cc1nc(C)c(CN2CCCSC[C@H]2C)o1 ZINC000934298343 641119811 /nfs/dbraw/zinc/11/98/11/641119811.db2.gz DQWBIHQOLNIHJA-SECBINFHSA-N 0 3 240.372 2.619 20 0 BFADHN C[C@H]1CSCCCN1C/C=C/Cl ZINC000934302656 641122282 /nfs/dbraw/zinc/12/22/82/641122282.db2.gz XDDZLTQDZJQZOY-LXOKAJLYSA-N 0 3 205.754 2.566 20 0 BFADHN COc1ccc([C@@H]2CCCN2CCCF)nc1 ZINC000934349774 641131907 /nfs/dbraw/zinc/13/19/07/641131907.db2.gz QSRKJRBWFAHNKC-ZDUSSCGKSA-N 0 3 238.306 2.587 20 0 BFADHN Cl/C=C/CN[C@@H](c1ccncc1)C1CC1 ZINC000934689587 641246574 /nfs/dbraw/zinc/24/65/74/641246574.db2.gz PJJQJZYZWXQUBS-HLNGDGCFSA-N 0 3 222.719 2.875 20 0 BFADHN CCOC(=O)[C@@H](CC)N1CCC2(CCCC2)C1 ZINC000174261598 641263401 /nfs/dbraw/zinc/26/34/01/641263401.db2.gz IQZJLKSXSHSQQQ-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1cc(CNC2(C3CCCCC3)CC2)nn1C ZINC000934789878 641271250 /nfs/dbraw/zinc/27/12/50/641271250.db2.gz OJXGGCGWFIVXID-UHFFFAOYSA-N 0 3 247.386 2.931 20 0 BFADHN CSC(C)(C)C[NH2+]Cc1ccc([O-])c(F)c1 ZINC000232423447 641275520 /nfs/dbraw/zinc/27/55/20/641275520.db2.gz UXSOCPDOPMMXJJ-UHFFFAOYSA-N 0 3 243.347 2.763 20 0 BFADHN CC(C)([NH2+]Cc1ccc([O-])c(F)c1)C1CC1 ZINC000232524224 641295594 /nfs/dbraw/zinc/29/55/94/641295594.db2.gz VJGHIEGQRRVANZ-UHFFFAOYSA-N 0 3 223.291 2.810 20 0 BFADHN CCn1ncnc1CNC1CCCCCCC1 ZINC000088588357 641369531 /nfs/dbraw/zinc/36/95/31/641369531.db2.gz FHCOBZKVYPNEKP-UHFFFAOYSA-N 0 3 236.363 2.501 20 0 BFADHN CCCOC(=O)[C@H](C)N(CC)C1CCCC1 ZINC000159285893 641675348 /nfs/dbraw/zinc/67/53/48/641675348.db2.gz RQKYINGAJPLGDH-NSHDSACASA-N 0 3 227.348 2.593 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2COC[C@@H]2C2CC2)c1 ZINC000914433824 641703350 /nfs/dbraw/zinc/70/33/50/641703350.db2.gz XFQZLWHDBUARMH-HIFRSBDPSA-N 0 3 249.329 2.649 20 0 BFADHN Fc1ccc(CN2CCCCCCC2)cn1 ZINC000189280668 641799238 /nfs/dbraw/zinc/79/92/38/641799238.db2.gz MBYJIHXGFYEYSG-UHFFFAOYSA-N 0 3 222.307 2.987 20 0 BFADHN CC[C@H]1CCCN(Cc2ccc(F)nc2)C1 ZINC000189302451 641802401 /nfs/dbraw/zinc/80/24/01/641802401.db2.gz AIKGZAYQWWABPI-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN Cc1cc(CNC[C@@H](O)c2ccco2)ccc1F ZINC000078542082 641932973 /nfs/dbraw/zinc/93/29/73/641932973.db2.gz CSPAYLFNXWHCLD-CYBMUJFWSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1occc1SC[C@@H]1CCCN1C ZINC000917427019 642062406 /nfs/dbraw/zinc/06/24/06/642062406.db2.gz YTMHUGVIUYHDQT-JTQLQIEISA-N 0 3 211.330 2.774 20 0 BFADHN O=C(C=C1CCCC1)OCCN1CCCCC1 ZINC000917538582 642076288 /nfs/dbraw/zinc/07/62/88/642076288.db2.gz SHQPBJZRWGYZNM-UHFFFAOYSA-N 0 3 237.343 2.516 20 0 BFADHN C[C@H](NC[C@@](C)(O)c1ccccc1)c1ccoc1 ZINC000160741459 642318479 /nfs/dbraw/zinc/31/84/79/642318479.db2.gz ISNUESBXVXPDPO-SWLSCSKDSA-N 0 3 245.322 2.838 20 0 BFADHN Nc1ccc(CN2CCC[C@@H]3CCCC[C@@H]32)cn1 ZINC000050814252 642337170 /nfs/dbraw/zinc/33/71/70/642337170.db2.gz IKNTVTGTANRLDS-KBPBESRZSA-N 0 3 245.370 2.818 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@H](C)c1cc(CO)on1 ZINC000925471703 642385987 /nfs/dbraw/zinc/38/59/87/642385987.db2.gz AJMZLFSEOCUWPG-GARJFASQSA-N 0 3 240.347 2.642 20 0 BFADHN CC[C@H](CSC)N(C)C/C=C\Cl ZINC000255523042 642443523 /nfs/dbraw/zinc/44/35/23/642443523.db2.gz YIQGWCZOCQMIEJ-SSJHQANKSA-N 0 3 207.770 2.812 20 0 BFADHN CC(C)OCCN[C@H](C)c1cc(O)cc(F)c1 ZINC000926154035 642518329 /nfs/dbraw/zinc/51/83/29/642518329.db2.gz GLOOHRDGOAGGRK-SNVBAGLBSA-N 0 3 241.306 2.607 20 0 BFADHN COC/C(C)=C\CN(C)Cc1cccc(F)c1 ZINC000929210508 642715855 /nfs/dbraw/zinc/71/58/55/642715855.db2.gz PDZOYGWDDIFACX-GHXNOFRVSA-N 0 3 237.318 2.850 20 0 BFADHN CC[C@@H](NCC1(C)OCCO1)c1cccs1 ZINC000179566089 642725895 /nfs/dbraw/zinc/72/58/95/642725895.db2.gz GQNKXBABNZSMPC-SNVBAGLBSA-N 0 3 241.356 2.552 20 0 BFADHN Cc1cccc([C@@H](O)CN[C@@H](C)c2ccoc2)c1 ZINC000181405554 642869427 /nfs/dbraw/zinc/86/94/27/642869427.db2.gz DDLHVZLUPYRXPI-WFASDCNBSA-N 0 3 245.322 2.972 20 0 BFADHN C[C@@H](NC/C=C/Cl)c1ccc2c(c1)OCO2 ZINC000182303552 642992491 /nfs/dbraw/zinc/99/24/91/642992491.db2.gz WYRRCBFBBLSNCC-OSOUNJMWSA-N 0 3 239.702 2.818 20 0 BFADHN CC[C@H]([NH2+]Cc1ccccc1[O-])C1CCOCC1 ZINC000072744337 643295771 /nfs/dbraw/zinc/29/57/71/643295771.db2.gz BMQVGOAHALZKMX-AWEZNQCLSA-N 0 3 249.354 2.687 20 0 BFADHN CCCc1ccccc1NC(=O)[C@H](N)CC1CC1 ZINC000306114681 643302349 /nfs/dbraw/zinc/30/23/49/643302349.db2.gz YBXUEVXCINSPQM-CYBMUJFWSA-N 0 3 246.354 2.705 20 0 BFADHN CC(=O)[C@H]1CCCN(Cc2ccsc2)C1 ZINC000082644643 643360377 /nfs/dbraw/zinc/36/03/77/643360377.db2.gz LWJSDXAFVXSTEK-LBPRGKRZSA-N 0 3 223.341 2.549 20 0 BFADHN Cc1cc(CN(C)C2CC2)cc(Cl)n1 ZINC000307475590 643480976 /nfs/dbraw/zinc/48/09/76/643480976.db2.gz BJCXGCAJIAGXCK-UHFFFAOYSA-N 0 3 210.708 2.638 20 0 BFADHN CCC(CC)CC(=O)OCCN1CCCCC1 ZINC000770399286 643546844 /nfs/dbraw/zinc/54/68/44/643546844.db2.gz VJHPTOUWAONWIY-UHFFFAOYSA-N 0 3 241.375 2.842 20 0 BFADHN C[C@@H]1CN(C[C@H]2CC2(Cl)Cl)[C@H]1C ZINC000307711410 643578524 /nfs/dbraw/zinc/57/85/24/643578524.db2.gz TWPISRGQBKUDRP-GJMOJQLCSA-N 0 3 208.132 2.520 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CC2(Cl)Cl)[C@@H]1C ZINC000307711411 643580170 /nfs/dbraw/zinc/58/01/70/643580170.db2.gz TWPISRGQBKUDRP-PRJMDXOYSA-N 0 3 208.132 2.520 20 0 BFADHN Cn1cc(CN2CCCC2)c(C2CCCC2)n1 ZINC000921513344 643580882 /nfs/dbraw/zinc/58/08/82/643580882.db2.gz PDJRCYFUKGXKDW-UHFFFAOYSA-N 0 3 233.359 2.674 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1ncc(Cl)n1C ZINC000083864948 643627753 /nfs/dbraw/zinc/62/77/53/643627753.db2.gz VLUBAARNNJJPEV-NXEZZACHSA-N 0 3 243.782 2.988 20 0 BFADHN C[C@@H](NCc1c(Cl)nc(Cl)n1C)C1CC1 ZINC000083998598 643637805 /nfs/dbraw/zinc/63/78/05/643637805.db2.gz DWLRTDOBMIGXRV-ZCFIWIBFSA-N 0 3 248.157 2.615 20 0 BFADHN CN(Cc1ccco1)C[C@@](C)(O)c1ccccc1 ZINC000051953740 643641086 /nfs/dbraw/zinc/64/10/86/643641086.db2.gz YBPOTHNEWRWAOF-OAHLLOKOSA-N 0 3 245.322 2.619 20 0 BFADHN O[C@H]1C[C@H](NCc2ccccc2)c2ccccc21 ZINC000197176549 643670911 /nfs/dbraw/zinc/67/09/11/643670911.db2.gz CFUXHFRAIHQGQH-HOTGVXAUSA-N 0 3 239.318 2.955 20 0 BFADHN CC(C)(C)C[C@@H]1CCN(c2ccncc2CO)C1 ZINC000307844255 643685655 /nfs/dbraw/zinc/68/56/55/643685655.db2.gz IECBDEQKMKJRGA-LBPRGKRZSA-N 0 3 248.370 2.836 20 0 BFADHN CC(C)(C)C[C@H]1CCN(c2ccncc2CO)C1 ZINC000307844253 643686619 /nfs/dbraw/zinc/68/66/19/643686619.db2.gz IECBDEQKMKJRGA-GFCCVEGCSA-N 0 3 248.370 2.836 20 0 BFADHN CO[C@@H]1CCC[C@@H]1NCc1cccc(F)c1F ZINC000050373084 643693009 /nfs/dbraw/zinc/69/30/09/643693009.db2.gz JRKNRJISEBLBDK-NWDGAFQWSA-N 0 3 241.281 2.622 20 0 BFADHN CC(F)(F)CCNCc1ccnn1C1CCC1 ZINC000922151968 643873631 /nfs/dbraw/zinc/87/36/31/643873631.db2.gz CRGRJIAHJJGYNQ-UHFFFAOYSA-N 0 3 243.301 2.743 20 0 BFADHN c1cc2c(c(CN[C@@H]3CC34CC4)n1)CCCC2 ZINC000922197396 643900097 /nfs/dbraw/zinc/90/00/97/643900097.db2.gz HVTHFDMELBAAIS-CQSZACIVSA-N 0 3 228.339 2.603 20 0 BFADHN C[C@@H](NC1C(C)(C)C1(C)C)c1cnccn1 ZINC000086239666 643969776 /nfs/dbraw/zinc/96/97/76/643969776.db2.gz DTKPHNMIFCAKIG-SECBINFHSA-N 0 3 219.332 2.562 20 0 BFADHN C=C/C=C\CCNCc1c(Cl)n[nH]c1CC ZINC000922333352 643973675 /nfs/dbraw/zinc/97/36/75/643973675.db2.gz ZIWGXQMMTKIIFS-WAYWQWQTSA-N 0 3 239.750 2.847 20 0 BFADHN Cc1csc(CN[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)n1 ZINC000922510098 644007501 /nfs/dbraw/zinc/00/75/01/644007501.db2.gz FWDVYRZXQZWUSU-CZULRBLNSA-N 0 3 222.357 2.586 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NCc1cnc(C2CC2)o1 ZINC000922510729 644009792 /nfs/dbraw/zinc/00/97/92/644009792.db2.gz WBLFSJYXQTWKIL-WRSRJMLGSA-N 0 3 232.327 2.686 20 0 BFADHN CCC[C@@H](C)CN[C@H](c1ncccn1)C1CC1 ZINC000922579139 644032073 /nfs/dbraw/zinc/03/20/73/644032073.db2.gz PNXWAMFLFURXIV-YPMHNXCESA-N 0 3 233.359 2.954 20 0 BFADHN c1cc(CN[C@@H](c2ccccn2)C2CC2)c[nH]1 ZINC000922586448 644036380 /nfs/dbraw/zinc/03/63/80/644036380.db2.gz NBDKYFWDJRFVAX-CQSZACIVSA-N 0 3 227.311 2.651 20 0 BFADHN C[C@]1(CNCc2ccccc2F)CCCCO1 ZINC000922810860 644100176 /nfs/dbraw/zinc/10/01/76/644100176.db2.gz LIESXLPWRFZPTB-CQSZACIVSA-N 0 3 237.318 2.875 20 0 BFADHN CCC[C@H](O)CCNCc1ccccc1Cl ZINC000922825181 644100630 /nfs/dbraw/zinc/10/06/30/644100630.db2.gz HFXLDYJNBDBLCS-LBPRGKRZSA-N 0 3 241.762 2.981 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H]1CCCc2cccnc21 ZINC000922878589 644123692 /nfs/dbraw/zinc/12/36/92/644123692.db2.gz OIPDTYVYTQLGOB-TZMCWYRMSA-N 0 3 248.370 2.862 20 0 BFADHN CC(C)C[C@H](C)NCc1cc(C2CC2)n(C)n1 ZINC000922957180 644200515 /nfs/dbraw/zinc/20/05/15/644200515.db2.gz PBHVHIMRRGFKAA-NSHDSACASA-N 0 3 235.375 2.822 20 0 BFADHN CC1(C)CCC[C@@H]([NH2+]Cc2cncc([O-])c2)C1 ZINC000922975919 644205817 /nfs/dbraw/zinc/20/58/17/644205817.db2.gz OFXDQSNJXWDIBK-GFCCVEGCSA-N 0 3 234.343 2.846 20 0 BFADHN CC1CCC(C[NH2+]Cc2cncc([O-])c2)CC1 ZINC000922975450 644206076 /nfs/dbraw/zinc/20/60/76/644206076.db2.gz FVBDUNYPHMOUMA-UHFFFAOYSA-N 0 3 234.343 2.703 20 0 BFADHN C[C@@H]1CCCC[C@H]1C[NH2+]Cc1cncc([O-])c1 ZINC000922975544 644206247 /nfs/dbraw/zinc/20/62/47/644206247.db2.gz IDEWVGVJAGSBKD-YPMHNXCESA-N 0 3 234.343 2.703 20 0 BFADHN c1cc(CN[C@]23C[C@H]2COC32CCC2)cs1 ZINC000923037545 644240909 /nfs/dbraw/zinc/24/09/09/644240909.db2.gz PJSOZRLGKMOKBL-WCQYABFASA-N 0 3 235.352 2.549 20 0 BFADHN c1cc2c(s1)CCN(C[C@@H]1CCC=CO1)C2 ZINC000193658482 644269167 /nfs/dbraw/zinc/26/91/67/644269167.db2.gz UBNURVRPWARYQE-LBPRGKRZSA-N 0 3 235.352 2.799 20 0 BFADHN Cc1cc(NC2C[C@@H](C)C[C@H](C)C2)nc(N)n1 ZINC000084439011 644426541 /nfs/dbraw/zinc/42/65/41/644426541.db2.gz YCTDUHOOEVOBMY-IUCAKERBSA-N 0 3 234.347 2.604 20 0 BFADHN c1cc(CNCCOCC2CCCC2)co1 ZINC000085490143 644440589 /nfs/dbraw/zinc/44/05/89/644440589.db2.gz VBLGXUASILONRY-UHFFFAOYSA-N 0 3 223.316 2.576 20 0 BFADHN CCCCC[C@H](C)Nc1cc(C)nc(N)n1 ZINC000088430137 644519580 /nfs/dbraw/zinc/51/95/80/644519580.db2.gz NFMRWADIINQOLP-VIFPVBQESA-N 0 3 222.336 2.748 20 0 BFADHN CCCCCCN(C)Cc1cnn(CC)c1 ZINC000740877813 644563191 /nfs/dbraw/zinc/56/31/91/644563191.db2.gz ICNSTKWCOLKYIU-UHFFFAOYSA-N 0 3 223.364 2.915 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@@H]1CCC=CO1 ZINC000092327308 644654088 /nfs/dbraw/zinc/65/40/88/644654088.db2.gz RABZOAPBHRHNSD-CABZTGNLSA-N 0 3 236.315 2.635 20 0 BFADHN CO[C@@]1(C)C[C@H](N(C)Cc2ccoc2)C1(C)C ZINC000093871873 644701109 /nfs/dbraw/zinc/70/11/09/644701109.db2.gz NZHBRLISSMXXKE-JSGCOSHPSA-N 0 3 237.343 2.915 20 0 BFADHN C[C@@H](c1ccncc1)N(C)C[C@H]1CCC=CO1 ZINC000248906081 644704021 /nfs/dbraw/zinc/70/40/21/644704021.db2.gz OVEWKTKMFQYQLF-GXTWGEPZSA-N 0 3 232.327 2.767 20 0 BFADHN Fc1cccc(-c2cnc([C@@H]3CCCN3)nc2)c1 ZINC000094576938 644721127 /nfs/dbraw/zinc/72/11/27/644721127.db2.gz PZAFDSFMDKANIA-ZDUSSCGKSA-N 0 3 243.285 2.707 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(F)nc2)C[C@H]1C ZINC000249779976 644740495 /nfs/dbraw/zinc/74/04/95/644740495.db2.gz CTLDFXUDKNXROP-GHMZBOCLSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@H]1CCN(Cc2ccc(F)nc2)C[C@H]1C ZINC000249779982 644740828 /nfs/dbraw/zinc/74/08/28/644740828.db2.gz CTLDFXUDKNXROP-WDEREUQCSA-N 0 3 222.307 2.699 20 0 BFADHN CCCCCCN1CC[C@](O)(C(F)(F)F)C1 ZINC000303260115 644813886 /nfs/dbraw/zinc/81/38/86/644813886.db2.gz YAICOJLNQMUDFK-SNVBAGLBSA-N 0 3 239.281 2.566 20 0 BFADHN C[C@H](N(C)CN1C(=O)CCC1(C)C)C(C)(C)C ZINC000808137768 644874346 /nfs/dbraw/zinc/87/43/46/644874346.db2.gz BESFASKBXLSHQS-NSHDSACASA-N 0 3 240.391 2.711 20 0 BFADHN CCC[C@@H](N[C@@H](C)c1cnn(C)n1)C(C)(C)C ZINC000926513658 645246905 /nfs/dbraw/zinc/24/69/05/645246905.db2.gz BOZQYDVPVRJJKM-CMPLNLGQSA-N 0 3 238.379 2.681 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H](C)c2c(C)noc2C)C1 ZINC000926564537 645284426 /nfs/dbraw/zinc/28/44/26/645284426.db2.gz VKXWELGVMJODRA-FXAINCCUSA-N 0 3 238.331 2.510 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@@H]1CCC[C@H]1C1CC1 ZINC000926577524 645294505 /nfs/dbraw/zinc/29/45/05/645294505.db2.gz XEGCYRIUGZRMMM-XDTLVQLUSA-N 0 3 249.358 2.855 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1cccc2c1OCO2 ZINC000926612863 645314255 /nfs/dbraw/zinc/31/42/55/645314255.db2.gz ATACXIMZTMFXBC-BXKDBHETSA-N 0 3 231.295 2.618 20 0 BFADHN CC[C@@H]1CN(CC2CC(F)(F)C2)[C@H](CC)CO1 ZINC000844385377 645326863 /nfs/dbraw/zinc/32/68/63/645326863.db2.gz JODIOMDHTLIXDD-VXGBXAGGSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H](N[C@@H]1C=CCC1)c1cnn(C2CCC2)c1 ZINC000926692854 645363597 /nfs/dbraw/zinc/36/35/97/645363597.db2.gz ZKRWEGKSGMOSDM-DGCLKSJQSA-N 0 3 231.343 2.977 20 0 BFADHN CC(C)C(C(C)C)[C@H](C)NCc1ncccn1 ZINC000926710215 645376401 /nfs/dbraw/zinc/37/64/01/645376401.db2.gz OOMWAASVWBUEHN-LBPRGKRZSA-N 0 3 235.375 2.883 20 0 BFADHN COc1ccnc([C@H](C)NCC2=CCCC2)c1 ZINC000926717398 645381807 /nfs/dbraw/zinc/38/18/07/645381807.db2.gz RBTCNNMIRFTIEX-NSHDSACASA-N 0 3 232.327 2.851 20 0 BFADHN C[C@@H]1C[C@@H](NCC[C@@H]2CC=CCC2)c2ncnn21 ZINC000926759374 645408995 /nfs/dbraw/zinc/40/89/95/645408995.db2.gz KGVQXTDKFUTRCA-JHJVBQTASA-N 0 3 246.358 2.620 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2CCCC23CC3)on1 ZINC000926776738 645423273 /nfs/dbraw/zinc/42/32/73/645423273.db2.gz FOAZCCSLTLVMRL-GXSJLCMTSA-N 0 3 236.315 2.667 20 0 BFADHN CCCN(CCC)Cc1cnc(Cl)n1C ZINC000848875970 645442062 /nfs/dbraw/zinc/44/20/62/645442062.db2.gz DHRRTGDOWRKUCS-UHFFFAOYSA-N 0 3 229.755 2.696 20 0 BFADHN C[C@H](NCc1cscc1Cl)C(C)(C)CO ZINC000926867674 645466129 /nfs/dbraw/zinc/46/61/29/645466129.db2.gz KVHJHYDTQXKMIX-QMMMGPOBSA-N 0 3 247.791 2.898 20 0 BFADHN CCn1nc(C)c([C@H](C)NC2CCC=CCC2)n1 ZINC000926877439 645470913 /nfs/dbraw/zinc/47/09/13/645470913.db2.gz OBZZFLWPFSZAQN-NSHDSACASA-N 0 3 248.374 2.756 20 0 BFADHN CCn1nc(C)c([C@H](C)NC2(C3CC3)CCC2)n1 ZINC000926878829 645470945 /nfs/dbraw/zinc/47/09/45/645470945.db2.gz UBLBVYZDDSDMSB-JTQLQIEISA-N 0 3 248.374 2.590 20 0 BFADHN COc1ccnc([C@@H](C)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)c1 ZINC000926887946 645475073 /nfs/dbraw/zinc/47/50/73/645475073.db2.gz MRGRDSMSIOLWCP-XUWHGSIVSA-N 0 3 246.354 2.785 20 0 BFADHN COCC[C@H](C)NCc1c(F)cc(C)cc1F ZINC000927095725 645550087 /nfs/dbraw/zinc/55/00/87/645550087.db2.gz WPUQKIMXBDJXCQ-JTQLQIEISA-N 0 3 243.297 2.788 20 0 BFADHN CCCC[C@H](C)NCc1cc(C2CC2)n(C)n1 ZINC000927113613 645551884 /nfs/dbraw/zinc/55/18/84/645551884.db2.gz KYGCHQPUPGVTJY-NSHDSACASA-N 0 3 235.375 2.966 20 0 BFADHN C[C@@H](NCc1cc(C2CC2)n(C)n1)C(C)(C)C ZINC000927112753 645552881 /nfs/dbraw/zinc/55/28/81/645552881.db2.gz RIJBCQIKYJAXGH-SNVBAGLBSA-N 0 3 235.375 2.822 20 0 BFADHN C[C@H](NC1([C@H]2CCCCO2)CC1)c1ccccn1 ZINC000927158883 645577487 /nfs/dbraw/zinc/57/74/87/645577487.db2.gz CSMBNAVIEAIMKS-GXTWGEPZSA-N 0 3 246.354 2.834 20 0 BFADHN Cc1cn(C)nc1CN(C)[C@H]1CCC(C)(C)C1 ZINC000930291281 645783235 /nfs/dbraw/zinc/78/32/35/645783235.db2.gz VBEJMOJTRNJTSD-LBPRGKRZSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@H](CN1CCc2nc[nH]c2C1)CC(C)(C)C ZINC000878628832 645821943 /nfs/dbraw/zinc/82/19/43/645821943.db2.gz OQHPFQLMGBEMHV-NSHDSACASA-N 0 3 235.375 2.840 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)no1 ZINC000886693579 645889945 /nfs/dbraw/zinc/88/99/45/645889945.db2.gz DXOXYAHOZFBEGW-KMLBKCHNSA-N 0 3 234.343 2.926 20 0 BFADHN COC/C(C)=C\CN1CCc2ccccc2C1 ZINC000929125188 645957603 /nfs/dbraw/zinc/95/76/03/645957603.db2.gz NDFSWJQEOABBQR-QPEQYQDCSA-N 0 3 231.339 2.637 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnc(CC)o1 ZINC000929252011 646032023 /nfs/dbraw/zinc/03/20/23/646032023.db2.gz JKGQFVBJJHPXHM-JTQLQIEISA-N 0 3 210.321 2.857 20 0 BFADHN CCN(Cc1cc(C)cc(C)n1)C1CC1 ZINC000929255641 646037592 /nfs/dbraw/zinc/03/75/92/646037592.db2.gz SGKXOEPJCZVTBX-UHFFFAOYSA-N 0 3 204.317 2.683 20 0 BFADHN Cc1noc(C)c1CN(C)CC1CC(C)C1 ZINC000929254426 646037760 /nfs/dbraw/zinc/03/77/60/646037760.db2.gz NHUGGBMYDSNTKN-UHFFFAOYSA-N 0 3 222.332 2.769 20 0 BFADHN CCCCN(Cc1[nH]c(C)nc1C)C1CC1 ZINC000929267114 646047306 /nfs/dbraw/zinc/04/73/06/646047306.db2.gz ZOEZDBMOAVIFHQ-UHFFFAOYSA-N 0 3 221.348 2.791 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CCCc1cccnc1 ZINC000929269662 646049061 /nfs/dbraw/zinc/04/90/61/646049061.db2.gz LGIXAIULOLMPPM-DZGCQCFKSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CCCc1cccnc1 ZINC000929269666 646049608 /nfs/dbraw/zinc/04/96/08/646049608.db2.gz LGIXAIULOLMPPM-UKRRQHHQSA-N 0 3 248.370 2.514 20 0 BFADHN Cc1cn(C)nc1CN(C)[C@H]1CCC[C@H](C)C1 ZINC000929274433 646054045 /nfs/dbraw/zinc/05/40/45/646054045.db2.gz WGQDMOJUESWNJG-AAEUAGOBSA-N 0 3 235.375 2.739 20 0 BFADHN COC/C(C)=C/CN(Cc1ccccn1)C(C)C ZINC000929292267 646066424 /nfs/dbraw/zinc/06/64/24/646066424.db2.gz IHSONVDPBOZZQO-RIYZIHGNSA-N 0 3 248.370 2.885 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@H]3C=C[C@@H]2CC3)cs1 ZINC000924723341 646094855 /nfs/dbraw/zinc/09/48/55/646094855.db2.gz QPOGQPMFECVGFM-DMDPSCGWSA-N 0 3 234.368 2.896 20 0 BFADHN CC(C)N(CCOC1CC1)Cc1ccco1 ZINC000929366973 646098885 /nfs/dbraw/zinc/09/88/85/646098885.db2.gz IKDODPWNCCTNCA-UHFFFAOYSA-N 0 3 223.316 2.669 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1cn(C(C)C)nn1 ZINC000924772999 646104193 /nfs/dbraw/zinc/10/41/93/646104193.db2.gz GVTPESHEEVWHHX-SRVKXCTJSA-N 0 3 238.379 2.944 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NCC1CCC1 ZINC000924802530 646110545 /nfs/dbraw/zinc/11/05/45/646110545.db2.gz MUDPDMSVPCYQEU-NSHDSACASA-N 0 3 220.316 2.750 20 0 BFADHN COCC[C@H](C)[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000924892780 646124931 /nfs/dbraw/zinc/12/49/31/646124931.db2.gz XKRSOHLGXBADKB-VHSXEESVSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@@H]1C[C@@H]1N[C@@H]1CCc2c1nccc2Cl ZINC000924947986 646137878 /nfs/dbraw/zinc/13/78/78/646137878.db2.gz FHFDMTSXGOLZBB-ONOSFVFSSA-N 0 3 222.719 2.720 20 0 BFADHN CCSc1ccccc1CN(CC)CCO ZINC000929512872 646161361 /nfs/dbraw/zinc/16/13/61/646161361.db2.gz CHDAQNODWWVGJT-UHFFFAOYSA-N 0 3 239.384 2.613 20 0 BFADHN Cc1cccc2c1CCN(CCOC1CC1)C2 ZINC000929524518 646175629 /nfs/dbraw/zinc/17/56/29/646175629.db2.gz LTWPTBXJLXOEAK-UHFFFAOYSA-N 0 3 231.339 2.532 20 0 BFADHN C[C@H](NC[C@H](O)CC(C)(C)C)c1ccns1 ZINC000925087487 646179709 /nfs/dbraw/zinc/17/97/09/646179709.db2.gz DNACMGGSPYNJHW-VHSXEESVSA-N 0 3 242.388 2.591 20 0 BFADHN CSCCC[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000925095248 646182204 /nfs/dbraw/zinc/18/22/04/646182204.db2.gz RWIUDYFRHVUJEQ-VIFPVBQESA-N 0 3 243.347 2.935 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1CO[C@@H](C)[C@@H]1C ZINC000925163325 646196741 /nfs/dbraw/zinc/19/67/41/646196741.db2.gz CGNXAQSHRMZLKN-COPLHBTASA-N 0 3 237.318 2.647 20 0 BFADHN CCc1ncc(CN2CC[C@@H](c3ccco3)C2)o1 ZINC000929617406 646201658 /nfs/dbraw/zinc/20/16/58/646201658.db2.gz IBXMCSCIDCVXDU-LLVKDONJSA-N 0 3 246.310 2.820 20 0 BFADHN C[C@@H](NCc1ncc(Cl)n1C)C(C)(C)C ZINC000074317770 646217025 /nfs/dbraw/zinc/21/70/25/646217025.db2.gz HUXLVANWWBJCMA-MRVPVSSYSA-N 0 3 229.755 2.598 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@@H](C)c1ncco1 ZINC000925258956 646223426 /nfs/dbraw/zinc/22/34/26/646223426.db2.gz ZIGHTWNDACHJRD-HBNTYKKESA-N 0 3 208.305 2.904 20 0 BFADHN C[C@H](NC1CC=CC1)c1cn2c(n1)CCCC2 ZINC000925272358 646227056 /nfs/dbraw/zinc/22/70/56/646227056.db2.gz YFGMHTMTZHYSSW-NSHDSACASA-N 0 3 231.343 2.589 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cc(C)cc(C)n2)C1 ZINC000929678244 646237436 /nfs/dbraw/zinc/23/74/36/646237436.db2.gz IJQVRHFURZUBDL-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CCc1ncc(CN2CCC[C@H](C)[C@@H]2C)o1 ZINC000929704211 646259186 /nfs/dbraw/zinc/25/91/86/646259186.db2.gz PDCOXSWIAURIID-QWRGUYRKSA-N 0 3 222.332 2.857 20 0 BFADHN CC(C)n1cc([C@@H](C)NCCCC2CC2)nn1 ZINC000925438153 646284607 /nfs/dbraw/zinc/28/46/07/646284607.db2.gz VHTDZIZVLJSIKW-LLVKDONJSA-N 0 3 236.363 2.700 20 0 BFADHN CCC[C@@H]1C[C@H]1N[C@H](C)c1ccns1 ZINC000925486388 646297781 /nfs/dbraw/zinc/29/77/81/646297781.db2.gz RMSQMFJAMFYYKM-OPRDCNLKSA-N 0 3 210.346 2.982 20 0 BFADHN CC(C)CC(C)(C)CN[C@@H](C)c1cnn(C)n1 ZINC000925509151 646308656 /nfs/dbraw/zinc/30/86/56/646308656.db2.gz VHBYECIOZXNPSR-NSHDSACASA-N 0 3 238.379 2.538 20 0 BFADHN C[C@@H](N[C@H]1COC[C@@H]1C)c1ccc(F)cc1F ZINC000925510683 646309474 /nfs/dbraw/zinc/30/94/74/646309474.db2.gz ZUNALMGNJRMLHE-RWEMILLDSA-N 0 3 241.281 2.650 20 0 BFADHN CC[C@H](C)CN(CC)[C@H](C)c1ncccn1 ZINC000929786732 646314792 /nfs/dbraw/zinc/31/47/92/646314792.db2.gz ZBQHGDDVKJAUDE-NWDGAFQWSA-N 0 3 221.348 2.906 20 0 BFADHN FCCCN[C@@H]1CCc2c1nccc2Cl ZINC000925557391 646331029 /nfs/dbraw/zinc/33/10/29/646331029.db2.gz PCJCCRFANIEZGL-SNVBAGLBSA-N 0 3 228.698 2.672 20 0 BFADHN C[C@H](N[C@H](CO)CC(C)(C)C)c1ccns1 ZINC000925587790 646349377 /nfs/dbraw/zinc/34/93/77/646349377.db2.gz JWBKEJSRLSHTTD-UWVGGRQHSA-N 0 3 242.388 2.591 20 0 BFADHN Cc1cccnc1[C@@H](NCCOC1CC1)C(C)C ZINC000929981783 646384073 /nfs/dbraw/zinc/38/40/73/646384073.db2.gz QKPPOAYETQOWOU-AWEZNQCLSA-N 0 3 248.370 2.856 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1[nH]c(C)nc1C ZINC000929986805 646387575 /nfs/dbraw/zinc/38/75/75/646387575.db2.gz LQOCQSOCKRKWGX-SECBINFHSA-N 0 3 241.404 2.600 20 0 BFADHN C[C@H](NCCCc1cccs1)c1cn(C)cn1 ZINC000925677032 646404939 /nfs/dbraw/zinc/40/49/39/646404939.db2.gz VJGJZBYFBKJLDZ-NSHDSACASA-N 0 3 249.383 2.765 20 0 BFADHN C[C@H]1COCCCN1Cc1ccccc1CF ZINC000930067290 646417150 /nfs/dbraw/zinc/41/71/50/646417150.db2.gz LBNZCQUCDRAQSE-LBPRGKRZSA-N 0 3 237.318 2.767 20 0 BFADHN CC[C@@]1(C)COCCN1CCCc1cccnc1 ZINC000930123179 646447316 /nfs/dbraw/zinc/44/73/16/646447316.db2.gz AZSVYLVBBBZFMF-HNNXBMFYSA-N 0 3 248.370 2.515 20 0 BFADHN COc1cc([C@@H](C)N[C@H](C)C2(C)CC2)on1 ZINC000925778631 646464110 /nfs/dbraw/zinc/46/41/10/646464110.db2.gz WCOSZONYFFTVCH-RKDXNWHRSA-N 0 3 224.304 2.522 20 0 BFADHN CC1CC(CN2CCC[C@H]2c2ncc[nH]2)C1 ZINC000930163749 646466580 /nfs/dbraw/zinc/46/65/80/646466580.db2.gz UDOJKYREKOKSEF-MCIGGMRASA-N 0 3 219.332 2.593 20 0 BFADHN CC[C@H](NCc1cc(N)ccn1)c1ccsc1 ZINC000925766806 646469564 /nfs/dbraw/zinc/46/95/64/646469564.db2.gz MCHJLVJXXHDPFA-ZDUSSCGKSA-N 0 3 247.367 2.966 20 0 BFADHN CCc1ncc(CN(C)[C@@H](CC)CSC)o1 ZINC000930178776 646478989 /nfs/dbraw/zinc/47/89/89/646478989.db2.gz ALVPBHRLMSBVKG-JTQLQIEISA-N 0 3 242.388 2.810 20 0 BFADHN C[C@@H](N[C@H]1CCC(F)(F)C1)c1ccns1 ZINC000925928348 646544483 /nfs/dbraw/zinc/54/44/83/646544483.db2.gz BOIGEKANYKUWBV-SFYZADRCSA-N 0 3 232.299 2.982 20 0 BFADHN Cc1nnc([C@H](C)N[C@H]2C[C@H]3C=C[C@@H]2CC3)s1 ZINC000926162238 646586865 /nfs/dbraw/zinc/58/68/65/646586865.db2.gz AKXMHJXJZUNYOP-IXLVHKGHSA-N 0 3 249.383 2.852 20 0 BFADHN COc1cc([C@H](C)NCC2CC(C)(C)C2)on1 ZINC000926237401 646602774 /nfs/dbraw/zinc/60/27/74/646602774.db2.gz LKKYWCWEXROGKX-VIFPVBQESA-N 0 3 238.331 2.770 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@H]2CC[C@@H](C)C2)nn1 ZINC000926233879 646602839 /nfs/dbraw/zinc/60/28/39/646602839.db2.gz XUKLHCHRBWPVFT-UTUOFQBUSA-N 0 3 236.363 2.698 20 0 BFADHN CC(C)C(C)(C)CN[C@H]1C[C@H](C)n2ncnc21 ZINC000926242467 646603361 /nfs/dbraw/zinc/60/33/61/646603361.db2.gz HYCHJVPWAFBFHM-QWRGUYRKSA-N 0 3 236.363 2.556 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@@H]2CC[C@@H](C)C2)nn1 ZINC000926233878 646603429 /nfs/dbraw/zinc/60/34/29/646603429.db2.gz XUKLHCHRBWPVFT-IJLUTSLNSA-N 0 3 236.363 2.698 20 0 BFADHN CCOC[C@H](N[C@H](C)c1ccns1)C(C)C ZINC000926257933 646605485 /nfs/dbraw/zinc/60/54/85/646605485.db2.gz HMQJYQUUKRQUHT-MNOVXSKESA-N 0 3 242.388 2.855 20 0 BFADHN C[C@H]1COC[C@@H]1NCc1cc(C2CC2)ccc1F ZINC000926502466 646664340 /nfs/dbraw/zinc/66/43/40/646664340.db2.gz PCWGBGPYDZPSQW-BONVTDFDSA-N 0 3 249.329 2.828 20 0 BFADHN CCC1(N[C@H](C)c2cc(OC)ccn2)CC1 ZINC000926510040 646667053 /nfs/dbraw/zinc/66/70/53/646667053.db2.gz XYYMRNGPLYPJRR-SNVBAGLBSA-N 0 3 220.316 2.683 20 0 BFADHN Cc1ccc(CNC[C@H]2CC2(Cl)Cl)o1 ZINC000308763933 711691848 /nfs/dbraw/zinc/69/18/48/711691848.db2.gz ZIKJNSOJKGVPMQ-MRVPVSSYSA-N 0 3 234.126 2.871 20 0 BFADHN C[C@H](O)CCCNC1(c2ccccc2F)CC1 ZINC000450675270 711942411 /nfs/dbraw/zinc/94/24/11/711942411.db2.gz UDOZRGMLVWMDHB-NSHDSACASA-N 0 3 237.318 2.565 20 0 BFADHN CO[C@@H]1CC[C@@H](N[C@@H](C)c2nc(C)cs2)C1 ZINC000701994263 712035914 /nfs/dbraw/zinc/03/59/14/712035914.db2.gz BCDUKXYMXYJQTM-HBNTYKKESA-N 0 3 240.372 2.670 20 0 BFADHN Cc1nn(C)c(CNC2(C)CC=CC2)c1Cl ZINC000702187900 712040757 /nfs/dbraw/zinc/04/07/57/712040757.db2.gz SXEVBWBDGPCKAR-UHFFFAOYSA-N 0 3 239.750 2.580 20 0 BFADHN CC(F)(F)CCNCc1ccc(Cl)cn1 ZINC000703920240 712093944 /nfs/dbraw/zinc/09/39/44/712093944.db2.gz PVCNXSMBKWBDGC-UHFFFAOYSA-N 0 3 234.677 2.870 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)N1CCCCCCC1 ZINC000704497873 712111321 /nfs/dbraw/zinc/11/13/21/712111321.db2.gz YCVKHVGCOKGSPQ-CYBMUJFWSA-N 0 3 240.391 2.543 20 0 BFADHN Cc1cnc(CNCC[C@@H]2CCSC2)s1 ZINC000704791390 712116904 /nfs/dbraw/zinc/11/69/04/712116904.db2.gz UIUFUUWHLWNDBQ-SNVBAGLBSA-N 0 3 242.413 2.684 20 0 BFADHN Cc1nc(CNCC[C@@H]2CCSC2)cs1 ZINC000704864804 712119686 /nfs/dbraw/zinc/11/96/86/712119686.db2.gz GKFOCGQXPAUKLG-SNVBAGLBSA-N 0 3 242.413 2.684 20 0 BFADHN CC1(C)C[C@@]1(C)CNCc1cscn1 ZINC000706495655 712147232 /nfs/dbraw/zinc/14/72/32/712147232.db2.gz QKNYCNJGFWVCDU-NSHDSACASA-N 0 3 210.346 2.669 20 0 BFADHN Cc1nc(CNC[C@]2(C)CC2(C)C)[nH]c1C ZINC000709013854 712205718 /nfs/dbraw/zinc/20/57/18/712205718.db2.gz NUUPGWGOIYTKHN-ZDUSSCGKSA-N 0 3 221.348 2.552 20 0 BFADHN CCCCCCNCc1nccn1C1CC1 ZINC000711823892 712333468 /nfs/dbraw/zinc/33/34/68/712333468.db2.gz ZODNZRZJPCMJSH-UHFFFAOYSA-N 0 3 221.348 2.888 20 0 BFADHN Cn1ncc(CN[C@]2(C)CC=CCC2)c1Cl ZINC000712486671 712431229 /nfs/dbraw/zinc/43/12/29/712431229.db2.gz HQEBJMHKDHZPPY-GFCCVEGCSA-N 0 3 239.750 2.662 20 0 BFADHN c1nc(CNCC[C@@H]2C[C@H]2C2CC2)cs1 ZINC000712680859 712440432 /nfs/dbraw/zinc/44/04/32/712440432.db2.gz LZGSWTNWXCAFEY-PWSUYJOCSA-N 0 3 222.357 2.669 20 0 BFADHN CC1(C)CCC[C@@H](CNCc2ccns2)O1 ZINC000714372723 712484423 /nfs/dbraw/zinc/48/44/23/712484423.db2.gz OVKGEEPTBDRSLO-JTQLQIEISA-N 0 3 240.372 2.580 20 0 BFADHN C[C@H](N[C@H]1C=CCCC1)c1ccns1 ZINC000716057419 712548928 /nfs/dbraw/zinc/54/89/28/712548928.db2.gz SUVWGTGVMJCWAN-UWVGGRQHSA-N 0 3 208.330 2.902 20 0 BFADHN CCSCCN[C@@H](C)c1ccns1 ZINC000716055775 712548968 /nfs/dbraw/zinc/54/89/68/712548968.db2.gz POAOEVGCXUUNIB-QMMMGPOBSA-N 0 3 216.375 2.547 20 0 BFADHN Cc1nonc1CN[C@H]1CC(C)(C)CC[C@H]1C ZINC000717454926 712659012 /nfs/dbraw/zinc/65/90/12/712659012.db2.gz LKMXTLQPLATSLP-KOLCDFICSA-N 0 3 237.347 2.682 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1nc(C2CC2)cs1 ZINC000380098264 712686090 /nfs/dbraw/zinc/68/60/90/712686090.db2.gz KXKKOVYXOGRXGV-WPRPVWTQSA-N 0 3 222.357 2.909 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1c(C)noc1C)C(C)C ZINC000380105069 712686594 /nfs/dbraw/zinc/68/65/94/712686594.db2.gz JMYXWOKKROFDIW-CABZTGNLSA-N 0 3 240.347 2.613 20 0 BFADHN CC(C)c1cc(CN[C@@H]2CC[C@@H]2C)on1 ZINC000380131946 712691461 /nfs/dbraw/zinc/69/14/61/712691461.db2.gz SZSYKXZPIAHRCZ-GXSJLCMTSA-N 0 3 208.305 2.686 20 0 BFADHN Cc1coc(CNCC2(O)CCC(C)CC2)c1 ZINC000718030979 712736493 /nfs/dbraw/zinc/73/64/93/712736493.db2.gz PRIBISDYRAUALE-UHFFFAOYSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1conc1CN[C@H]1CCCC[C@@H]1C ZINC000720343996 712831892 /nfs/dbraw/zinc/83/18/92/712831892.db2.gz DUBHVSFZBBAIPL-ONGXEEELSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H]1CCC(C)(C)C[C@@H]1NCc1ccon1 ZINC000720409876 712840627 /nfs/dbraw/zinc/84/06/27/712840627.db2.gz MUNIELUDYQOFHM-JQWIXIFHSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1conc1CN[C@@H]1CC[C@@H](C)C[C@@H]1C ZINC000720409726 712840701 /nfs/dbraw/zinc/84/07/01/712840701.db2.gz MJIHDJRFZLDZPQ-JFGNBEQYSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1conc1CN[C@@H]1CCC[C@H](C)[C@H]1C ZINC000720420398 712841087 /nfs/dbraw/zinc/84/10/87/712841087.db2.gz NBFJJQQGZUVTRN-MVWJERBFSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1csc(CNCC2(C)CC(F)(F)C2)n1 ZINC000720921798 712879027 /nfs/dbraw/zinc/87/90/27/712879027.db2.gz FBPXVNVODYENPR-UHFFFAOYSA-N 0 3 246.326 2.977 20 0 BFADHN CCO[C@@H]1CCC[C@@H](NCc2nccs2)C1 ZINC000721202744 712898462 /nfs/dbraw/zinc/89/84/62/712898462.db2.gz IODLTGZYQOQOJZ-GHMZBOCLSA-N 0 3 240.372 2.580 20 0 BFADHN Cc1cc(CNC2CC(F)(F)C2)cnc1Cl ZINC000381533893 710651558 /nfs/dbraw/zinc/65/15/58/710651558.db2.gz DKYYEAISZZXSGA-UHFFFAOYSA-N 0 3 246.688 2.931 20 0 BFADHN C[C@H](O)C[C@H](C)N[C@@H](C)c1cccnc1Cl ZINC000393796942 710747776 /nfs/dbraw/zinc/74/77/76/710747776.db2.gz KFMHRDSDIYVKJW-GUBZILKMSA-N 0 3 242.750 2.545 20 0 BFADHN CC(C)C[C@@H](C)NCc1cnn(C)c1Cl ZINC000382101615 710775652 /nfs/dbraw/zinc/77/56/52/710775652.db2.gz GXXCXTFMAOVROU-SECBINFHSA-N 0 3 229.755 2.598 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H](C)c1c(C)noc1C ZINC000383786072 710931324 /nfs/dbraw/zinc/93/13/24/710931324.db2.gz QCSYENLNUDYTMV-GGZOMVNGSA-N 0 3 238.331 2.510 20 0 BFADHN Cc1ccc(C[C@H](C)NCc2cn(C)cn2)s1 ZINC000383900953 710986022 /nfs/dbraw/zinc/98/60/22/710986022.db2.gz NQAZJMFLUFSGIO-JTQLQIEISA-N 0 3 249.383 2.511 20 0 BFADHN OCc1cnccc1N1CCC2(C1)CCCCC2 ZINC000385340888 711012031 /nfs/dbraw/zinc/01/20/31/711012031.db2.gz XANBTLLFWZWPOR-UHFFFAOYSA-N 0 3 246.354 2.735 20 0 BFADHN Cc1nc(CN[C@@H]2CCS[C@@H]2C)sc1C ZINC000389597836 711081745 /nfs/dbraw/zinc/08/17/45/711081745.db2.gz RAABQHOOZUWUOQ-NXEZZACHSA-N 0 3 242.413 2.744 20 0 BFADHN Cc1cc(CNCCOC(C)C)cnc1Cl ZINC000390377871 711113619 /nfs/dbraw/zinc/11/36/19/711113619.db2.gz YKBLWSOFNCZQER-UHFFFAOYSA-N 0 3 242.750 2.558 20 0 BFADHN COCC1(N[C@@H](C)c2ccnc(Cl)c2)CC1 ZINC000390675086 711132033 /nfs/dbraw/zinc/13/20/33/711132033.db2.gz XBMSIMVKCRPDED-VIFPVBQESA-N 0 3 240.734 2.565 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C[C@@H]2CCC[C@H](C)C2)[n-]1 ZINC000392386296 711144508 /nfs/dbraw/zinc/14/45/08/711144508.db2.gz QDCJCMBWXDBCAF-JBLDHEPKSA-N 0 3 236.363 2.590 20 0 BFADHN Cc1cnc(CNCCC2CCC2)s1 ZINC000394726139 711196455 /nfs/dbraw/zinc/19/64/55/711196455.db2.gz JNQYMLUNODHYIV-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN CS[C@@H]1CC[C@@H](NCc2ncc(C)s2)C1 ZINC000394734316 711196867 /nfs/dbraw/zinc/19/68/67/711196867.db2.gz QLJYIALAFMSLHP-NXEZZACHSA-N 0 3 242.413 2.825 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1c(C)cccc1F ZINC000903103452 710890688 /nfs/dbraw/zinc/89/06/88/710890688.db2.gz IAYSWFKFHOTGLX-ZIAGYGMSSA-N 0 3 237.318 2.791 20 0 BFADHN CC[C@H]([NH2+][C@@H](C)c1nnc(C)[n-]1)C1CCCC1 ZINC000392363434 711145314 /nfs/dbraw/zinc/14/53/14/711145314.db2.gz DKOWLBGIABBZRM-CABZTGNLSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]CC2CCCCCC2)[n-]1 ZINC000392381737 711145390 /nfs/dbraw/zinc/14/53/90/711145390.db2.gz SZRLTNSXYPTRPD-JTQLQIEISA-N 0 3 236.363 2.734 20 0 BFADHN CC[C@@H]1CCC[C@H]([NH2+][C@@H](C)c2nnc(C)[n-]2)C1 ZINC000392338562 711145410 /nfs/dbraw/zinc/14/54/10/711145410.db2.gz ADRYUCKCHJGWQA-WCQGTBRESA-N 0 3 236.363 2.733 20 0 BFADHN Cc1nonc1CN[C@@H](C)[C@H]1CC2CCC1CC2 ZINC000394294061 711189005 /nfs/dbraw/zinc/18/90/05/711189005.db2.gz OQNIMEGCVQNDFJ-ZHYKRGJUSA-N 0 3 249.358 2.682 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1scnc1Cl ZINC000713500039 711491541 /nfs/dbraw/zinc/49/15/41/711491541.db2.gz BVVPUJCGMUDCOG-BQBZGAKWSA-N 0 3 248.804 2.638 20 0 BFADHN CC[C@@H]1C[C@H]1COc1ccc2c(c1)OCCNC2 ZINC000723054570 711498029 /nfs/dbraw/zinc/49/80/29/711498029.db2.gz IUUUNDQYRNYCGA-YPMHNXCESA-N 0 3 247.338 2.594 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)C2)cnc1F ZINC000891445617 711524415 /nfs/dbraw/zinc/52/44/15/711524415.db2.gz DEXVCOCQJBELJT-SNVBAGLBSA-N 0 3 222.307 2.761 20 0 BFADHN CCC[C@@]1(NCc2cc(C)cc(C)n2)CCOC1 ZINC000723639226 711528927 /nfs/dbraw/zinc/52/89/27/711528927.db2.gz DSYWPFUUBBLREB-OAHLLOKOSA-N 0 3 248.370 2.747 20 0 BFADHN S=c1[nH]ccn1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000176194389 711563197 /nfs/dbraw/zinc/56/31/97/711563197.db2.gz FOLZXVOCBVCXQZ-PHIMTYICSA-N 0 3 237.372 2.625 20 0 BFADHN C[C@@H]1C[C@H](NCCC2CC=CC2)c2nccn21 ZINC000724187208 711567657 /nfs/dbraw/zinc/56/76/57/711567657.db2.gz SDIFBABRFPIHKS-YPMHNXCESA-N 0 3 231.343 2.835 20 0 BFADHN C[C@H](NC[C@H]1CC[C@H](C2CC2)O1)c1ccoc1 ZINC000722317339 711735174 /nfs/dbraw/zinc/73/51/74/711735174.db2.gz YVCXQGYDHWIHBL-ZLKJLUDKSA-N 0 3 235.327 2.888 20 0 BFADHN CO[C@@H]1CC[C@H](C)N(Cc2ccc(C)nc2C)C1 ZINC000879324817 711768566 /nfs/dbraw/zinc/76/85/66/711768566.db2.gz KBGUCOVUAWFIFK-SWLSCSKDSA-N 0 3 248.370 2.698 20 0 BFADHN Cc1nonc1[C@@H](C)NC[C@@H](C)C(C)(C)C ZINC000925076536 711771909 /nfs/dbraw/zinc/77/19/09/711771909.db2.gz OWKYTWFMEDXNGT-RKDXNWHRSA-N 0 3 225.336 2.711 20 0 BFADHN Cc1cc(CNC[C@@H](O)c2ccccc2)c(C)o1 ZINC000163208345 711783113 /nfs/dbraw/zinc/78/31/13/711783113.db2.gz IEXSWNCCMPDENW-OAHLLOKOSA-N 0 3 245.322 2.720 20 0 BFADHN COc1cncc(CNCC2=CCCCC2)c1 ZINC000700073652 711985843 /nfs/dbraw/zinc/98/58/43/711985843.db2.gz XQXQIVLPPHGNHX-UHFFFAOYSA-N 0 3 232.327 2.680 20 0 BFADHN Cc1cn2c(CN[C@@H]3C=CCC3)c(C)nc2s1 ZINC000700587159 711998458 /nfs/dbraw/zinc/99/84/58/711998458.db2.gz HBIRGYYWRFEJBW-LLVKDONJSA-N 0 3 247.367 2.821 20 0 BFADHN Cc1cnc(CNC[C@@]2(C)CC2(C)C)s1 ZINC000701991500 712035229 /nfs/dbraw/zinc/03/52/29/712035229.db2.gz CDMYVTBTTXYBJA-GFCCVEGCSA-N 0 3 224.373 2.977 20 0 BFADHN CO[C@@H]1CC[C@H](N[C@@H](C)c2nc(C)cs2)C1 ZINC000701994258 712035678 /nfs/dbraw/zinc/03/56/78/712035678.db2.gz BCDUKXYMXYJQTM-GARJFASQSA-N 0 3 240.372 2.670 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cncs1)C1CCC1 ZINC000703089774 712066673 /nfs/dbraw/zinc/06/66/73/712066673.db2.gz QHRBXYDWEPXQED-GXSJLCMTSA-N 0 3 240.372 2.609 20 0 BFADHN CCC[C@H](CNCc1ccc(Cl)cn1)OC ZINC000703921933 712093885 /nfs/dbraw/zinc/09/38/85/712093885.db2.gz ZPFIDYMRINFFEO-GFCCVEGCSA-N 0 3 242.750 2.640 20 0 BFADHN C[C@@H](NCc1cc(Cl)n(C)n1)C1CCCC1 ZINC000706168931 712141355 /nfs/dbraw/zinc/14/13/55/712141355.db2.gz QZRQYIUMISSFTD-SECBINFHSA-N 0 3 241.766 2.742 20 0 BFADHN Cn1nc(CN[C@H]2CCCC2(C)C)cc1Cl ZINC000706169632 712141488 /nfs/dbraw/zinc/14/14/88/712141488.db2.gz VYEXJXRNNLCDEV-JTQLQIEISA-N 0 3 241.766 2.742 20 0 BFADHN CSC1CC(N[C@@H](C)c2c(C)noc2C)C1 ZINC000706930913 712161527 /nfs/dbraw/zinc/16/15/27/712161527.db2.gz WKYIRXDFAAOMEO-ARTQYDKTSA-N 0 3 240.372 2.836 20 0 BFADHN CSC1CC(NCc2cc(F)ccc2F)C1 ZINC000706927307 712161906 /nfs/dbraw/zinc/16/19/06/712161906.db2.gz JCMWSRXXOHGZFZ-UHFFFAOYSA-N 0 3 243.322 2.948 20 0 BFADHN CC(C)(C)[C@H]1CCCN(c2ccnc(CO)c2)C1 ZINC000708476453 712181513 /nfs/dbraw/zinc/18/15/13/712181513.db2.gz WYKHKYOABOHMHU-LBPRGKRZSA-N 0 3 248.370 2.836 20 0 BFADHN C[C@H](NCc1cnns1)[C@]12C[C@H]1CCCC2 ZINC000709114322 712209391 /nfs/dbraw/zinc/20/93/91/712209391.db2.gz QYWXJBSKYDRZQD-UMNHJUIQSA-N 0 3 237.372 2.597 20 0 BFADHN CCn1ccnc1CN[C@H](C)[C@@]12C[C@@H]1CCCC2 ZINC000709186555 712217764 /nfs/dbraw/zinc/21/77/64/712217764.db2.gz KKKLJZBLJJQAKU-VNHYZAJKSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@H](NC[C@H]1CCCC1(F)F)c1cn(C)cn1 ZINC000709916824 712232493 /nfs/dbraw/zinc/23/24/93/712232493.db2.gz BSJWBSNQZINAKC-VHSXEESVSA-N 0 3 243.301 2.506 20 0 BFADHN CCSCC[C@H](C)N[C@@H](C)c1cn(C)cn1 ZINC000709992340 712237860 /nfs/dbraw/zinc/23/78/60/712237860.db2.gz FLQLWBFUTNBFGH-QWRGUYRKSA-N 0 3 241.404 2.602 20 0 BFADHN CSCCCCCN[C@@H](C)c1cn(C)cn1 ZINC000709993085 712238831 /nfs/dbraw/zinc/23/88/31/712238831.db2.gz NOSNXWCEMHJLJP-NSHDSACASA-N 0 3 241.404 2.604 20 0 BFADHN Cc1cc(C)cc(CNC2COC(C)(C)OC2)c1 ZINC000710331853 712251887 /nfs/dbraw/zinc/25/18/87/712251887.db2.gz ZTXKZAVBPNYJGP-UHFFFAOYSA-N 0 3 249.354 2.545 20 0 BFADHN COC1(OC)CC[C@@H]1N[C@H](C)c1ccc(C)cc1 ZINC000710988348 712272262 /nfs/dbraw/zinc/27/22/62/712272262.db2.gz LHIOLRCKZLHCSO-OCCSQVGLSA-N 0 3 249.354 2.797 20 0 BFADHN COC1(OC)CC[C@@H]1N[C@@H](C)c1ccc(C)cc1 ZINC000710988346 712272343 /nfs/dbraw/zinc/27/23/43/712272343.db2.gz LHIOLRCKZLHCSO-JSGCOSHPSA-N 0 3 249.354 2.797 20 0 BFADHN COC1(OC)CC[C@@H]1NCc1ccc(C)cc1C ZINC000710994535 712273731 /nfs/dbraw/zinc/27/37/31/712273731.db2.gz HCVVOGJEGGPLDP-AWEZNQCLSA-N 0 3 249.354 2.545 20 0 BFADHN C[C@@H](NC[C@@H]1C=CCC1)c1cscn1 ZINC000711656955 712315500 /nfs/dbraw/zinc/31/55/00/712315500.db2.gz ULOPFOIVHGOCGO-NXEZZACHSA-N 0 3 208.330 2.760 20 0 BFADHN Cc1cnc(CNC[C@H]2C=CCC2)s1 ZINC000711718186 712319120 /nfs/dbraw/zinc/31/91/20/712319120.db2.gz FRZMVINBTBENJO-JTQLQIEISA-N 0 3 208.330 2.507 20 0 BFADHN C[C@H]1CCC[C@H](NCc2nccn2C2CC2)C1 ZINC000711745127 712325920 /nfs/dbraw/zinc/32/59/20/712325920.db2.gz GCCIWWADJJCWIC-RYUDHWBXSA-N 0 3 233.359 2.886 20 0 BFADHN c1cn(C2CC2)c(CN[C@H]2CCCC23CC3)n1 ZINC000711782024 712329218 /nfs/dbraw/zinc/32/92/18/712329218.db2.gz ADTYEXRQHYVMRR-LBPRGKRZSA-N 0 3 231.343 2.640 20 0 BFADHN CC(C)n1cc(CN[C@@H]2C[C@@H]3CCCC[C@H]23)nn1 ZINC000712377099 712428380 /nfs/dbraw/zinc/42/83/80/712428380.db2.gz KFZZWZUSBJFACX-FPMFFAJLSA-N 0 3 248.374 2.527 20 0 BFADHN CCN1CC[C@H]1CNc1nccc2ccccc21 ZINC000712597908 712436808 /nfs/dbraw/zinc/43/68/08/712436808.db2.gz YEWRCFGPZFZFEF-ZDUSSCGKSA-N 0 3 241.338 2.741 20 0 BFADHN c1cn(C2CC2)c(CNCC[C@@H]2C[C@H]2C2CC2)n1 ZINC000712660391 712440032 /nfs/dbraw/zinc/44/00/32/712440032.db2.gz SHKUUPNINZAPOI-OCCSQVGLSA-N 0 3 245.370 2.744 20 0 BFADHN C[C@@H]1C[C@@H](NCC[C@@H]2C[C@H]2C2CC2)c2nccn21 ZINC000712693062 712448294 /nfs/dbraw/zinc/44/82/94/712448294.db2.gz AWFFNZNBKNAVMW-RUZUBIRVSA-N 0 3 245.370 2.915 20 0 BFADHN C=C/C=C/CCNCc1c(C)nn(C)c1Cl ZINC000713501672 712463673 /nfs/dbraw/zinc/46/36/73/712463673.db2.gz JPFJMSWKDIGCEL-AATRIKPKSA-N 0 3 239.750 2.604 20 0 BFADHN CCCn1cc(CNC2CCC3(CC3)CC2)nn1 ZINC000714409041 712485516 /nfs/dbraw/zinc/48/55/16/712485516.db2.gz LYWDNIGYNHSYQY-UHFFFAOYSA-N 0 3 248.374 2.501 20 0 BFADHN CCn1cc(CNCC(C)(C)C2=CCCC2)nn1 ZINC000714929915 712500258 /nfs/dbraw/zinc/50/02/58/712500258.db2.gz XTVIDONSTVKIQF-UHFFFAOYSA-N 0 3 248.374 2.524 20 0 BFADHN Fc1ccccc1CNC[C@H]1CC[C@H](C2CC2)O1 ZINC000715472604 712520222 /nfs/dbraw/zinc/52/02/22/712520222.db2.gz NJAADDYMQXXWFV-UKRRQHHQSA-N 0 3 249.329 2.873 20 0 BFADHN Fc1ccccc1CNC[C@H]1CC[C@@H](C2CC2)O1 ZINC000715472602 712520475 /nfs/dbraw/zinc/52/04/75/712520475.db2.gz NJAADDYMQXXWFV-HIFRSBDPSA-N 0 3 249.329 2.873 20 0 BFADHN C[C@H](CCC(C)(C)C)N[C@H](C)c1cn(C)nn1 ZINC000715838488 712535223 /nfs/dbraw/zinc/53/52/23/712535223.db2.gz RQCYXSFJTCOTQO-GHMZBOCLSA-N 0 3 238.379 2.681 20 0 BFADHN CCCn1cc([C@H](C)NC(CC)CC)nn1 ZINC000715851117 712536602 /nfs/dbraw/zinc/53/66/02/712536602.db2.gz MPPVLYSHMMXREM-JTQLQIEISA-N 0 3 224.352 2.527 20 0 BFADHN CCC[C@H](C)N[C@H](C)c1cn(CCC)nn1 ZINC000715853164 712536648 /nfs/dbraw/zinc/53/66/48/712536648.db2.gz RUKLDVXYCYHRIW-WDEREUQCSA-N 0 3 224.352 2.527 20 0 BFADHN CC(C)SCCN[C@@H](C)c1ccns1 ZINC000715918689 712542240 /nfs/dbraw/zinc/54/22/40/712542240.db2.gz HBRJECRBFGXOIP-VIFPVBQESA-N 0 3 230.402 2.935 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H](C)[C@@H](C)CC)nn1 ZINC000715937158 712544016 /nfs/dbraw/zinc/54/40/16/712544016.db2.gz SSVADFWNEVWDEF-SRVKXCTJSA-N 0 3 238.379 2.773 20 0 BFADHN CCCn1cc([C@H](C)NC[C@]23C[C@H]2CCC3)nn1 ZINC000715956534 712545725 /nfs/dbraw/zinc/54/57/25/712545725.db2.gz FZDHSTBZUHGOLJ-SCRDCRAPSA-N 0 3 248.374 2.529 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1ccns1 ZINC000716046199 712548017 /nfs/dbraw/zinc/54/80/17/712548017.db2.gz FSGRRQBVIRXOQW-HBNTYKKESA-N 0 3 240.372 2.751 20 0 BFADHN Cc1nc(CNCC[C@@H]2CC[C@H]3C[C@H]32)cs1 ZINC000717084303 712629894 /nfs/dbraw/zinc/62/98/94/712629894.db2.gz ZGBBEMGPRLGKNZ-GVXVVHGQSA-N 0 3 236.384 2.977 20 0 BFADHN C[C@@H](NC[C@@H]1CCC=CO1)c1ccc(F)cn1 ZINC000379971668 712642741 /nfs/dbraw/zinc/64/27/41/712642741.db2.gz NBQFKONKZHPVEH-PWSUYJOCSA-N 0 3 236.290 2.564 20 0 BFADHN C[C@H](NC[C@@H]1CC(C)(C)CO1)c1cncs1 ZINC000717282906 712644884 /nfs/dbraw/zinc/64/48/84/712644884.db2.gz CLJFELVUPWNVPL-UWVGGRQHSA-N 0 3 240.372 2.609 20 0 BFADHN c1ccc2c(c1)COC[C@H]2NC[C@@H]1CCC=CO1 ZINC000380020328 712671732 /nfs/dbraw/zinc/67/17/32/712671732.db2.gz PBEVASNBZAVRQG-DZGCQCFKSA-N 0 3 245.322 2.540 20 0 BFADHN FC(F)n1ccnc1CNC1(C2CC2)CCC1 ZINC000717819070 712681321 /nfs/dbraw/zinc/68/13/21/712681321.db2.gz FDMFWCVRTGCKQA-UHFFFAOYSA-N 0 3 241.285 2.701 20 0 BFADHN C[C@@H](N)c1cn(CC2CCC(C)(C)CC2)nn1 ZINC000380034423 712683576 /nfs/dbraw/zinc/68/35/76/712683576.db2.gz HIJCEBKBAVXZOA-SNVBAGLBSA-N 0 3 236.363 2.514 20 0 BFADHN Cc1coc(CNC[C@@H]2CCC[C@@H](C)O2)c1 ZINC000718068489 712741036 /nfs/dbraw/zinc/74/10/36/712741036.db2.gz VHTXSUWBBYGLAT-NEPJUHHUSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1coc(CN[C@@H]2COc3ccccc3C2)c1 ZINC000718074601 712741399 /nfs/dbraw/zinc/74/13/99/712741399.db2.gz XRAZPQXXTUZHEP-ZDUSSCGKSA-N 0 3 243.306 2.681 20 0 BFADHN Cc1coc(CNCCc2ccco2)c1 ZINC000718133522 712747047 /nfs/dbraw/zinc/74/70/47/712747047.db2.gz VBCOFNOGMGZMCT-UHFFFAOYSA-N 0 3 205.257 2.513 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1cc(C)co1)OC ZINC000718132938 712747235 /nfs/dbraw/zinc/74/72/35/712747235.db2.gz SFTSOQCBABYOAS-YPMHNXCESA-N 0 3 225.332 2.739 20 0 BFADHN Cc1coc(CNCCc2ncccc2C)c1 ZINC000718136260 712747472 /nfs/dbraw/zinc/74/74/72/712747472.db2.gz SWUULYHQXVOGGF-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1nc(CN[C@H]2CCCC[C@@H]2C)oc1C ZINC000037909283 712785650 /nfs/dbraw/zinc/78/56/50/712785650.db2.gz GUMYULJAEVCABG-CABZTGNLSA-N 0 3 222.332 2.960 20 0 BFADHN CCCn1c(CNC(C)C)nc2ccccc21 ZINC000037995395 712796939 /nfs/dbraw/zinc/79/69/39/712796939.db2.gz ANEWTLGQYLLRCJ-UHFFFAOYSA-N 0 3 231.343 2.944 20 0 BFADHN CCc1ccc(CN[C@H](C)C(C)(C)OC)nc1 ZINC000720252108 712830036 /nfs/dbraw/zinc/83/00/36/712830036.db2.gz YRIXGOGGJNYQMZ-LLVKDONJSA-N 0 3 236.359 2.547 20 0 BFADHN Cc1cc(CNC(C)(C2CC2)C2CC2)no1 ZINC000720370241 712836368 /nfs/dbraw/zinc/83/63/68/712836368.db2.gz VCANILAXHRSREZ-UHFFFAOYSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1conc1CN[C@@H]1CC2CCC1CC2 ZINC000720410244 712840475 /nfs/dbraw/zinc/84/04/75/712840475.db2.gz OZLJOUQDBTZAJU-HTAVTVPLSA-N 0 3 220.316 2.651 20 0 BFADHN CCc1nnc(CNC(C)(CC)CC)s1 ZINC000380328585 712886786 /nfs/dbraw/zinc/88/67/86/712886786.db2.gz NEUIYEQKDJXJRW-UHFFFAOYSA-N 0 3 227.377 2.769 20 0 BFADHN CS[C@H](C)CCN1CCC[C@@H](F)C1 ZINC001208144665 957015024 /nfs/dbraw/zinc/01/50/24/957015024.db2.gz YVLYODDWMGKYCO-NXEZZACHSA-N 0 3 205.342 2.562 20 0 BFADHN Cc1cc(F)cc(NC2=CCN(C)CC2)c1 ZINC001208844196 957183116 /nfs/dbraw/zinc/18/31/16/957183116.db2.gz NZJJQZOPFCBCGX-UHFFFAOYSA-N 0 3 220.291 2.765 20 0 BFADHN COC[C@H]1CCCCN1Cc1cc(C)c(C)o1 ZINC001204536427 958006197 /nfs/dbraw/zinc/00/61/97/958006197.db2.gz IBBZAAFZNBVHJD-CYBMUJFWSA-N 0 3 237.343 2.897 20 0 BFADHN c1nc(CNC2C[C@H]3CC[C@@H](C2)S3)cs1 ZINC000403569173 958701503 /nfs/dbraw/zinc/70/15/03/958701503.db2.gz NROWZVQOEJAUBK-UQPYNNQESA-N 0 3 240.397 2.659 20 0 BFADHN C[C@@H]1CCC[C@H](OC[C@@H]2COC(C)(C)N2)[C@@H]1C ZINC001217892533 959723404 /nfs/dbraw/zinc/72/34/04/959723404.db2.gz HDRMDCQLCCOEQC-LPWJVIDDSA-N 0 3 241.375 2.552 20 0 BFADHN Cc1ccc(O[C@@H]2CCNC[C@H]2F)cc1Cl ZINC001218064426 959852540 /nfs/dbraw/zinc/85/25/40/959852540.db2.gz KYLXCQLGVDQBIA-VXGBXAGGSA-N 0 3 243.709 2.727 20 0 BFADHN CC[C@@H](O)CN[C@H](C)c1cc(Cl)ccc1F ZINC000309459174 959882664 /nfs/dbraw/zinc/88/26/64/959882664.db2.gz NLLOVRHHIXSERH-PSASIEDQSA-N 0 3 245.725 2.901 20 0 BFADHN CCCN(C)Cc1cnccc1C(F)(F)F ZINC001140914813 960690672 /nfs/dbraw/zinc/69/06/72/960690672.db2.gz WTVGZDXRLYUIAL-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN CN(Cc1c[nH]nc1C(F)(F)F)CC1CCC1 ZINC001141016450 960818107 /nfs/dbraw/zinc/81/81/07/960818107.db2.gz ZGARQEPDCDORPA-UHFFFAOYSA-N 0 3 247.264 2.660 20 0 BFADHN Cc1ccncc1CN1CCC[C@H](C(F)F)C1 ZINC001141035231 960826098 /nfs/dbraw/zinc/82/60/98/960826098.db2.gz PXSKGJFQVNTCTP-NSHDSACASA-N 0 3 240.297 2.867 20 0 BFADHN CCCCc1nc(CN(C)CC2CCC2)c[nH]1 ZINC001141069791 960860528 /nfs/dbraw/zinc/86/05/28/960860528.db2.gz DKDSKJLKVUSNSD-UHFFFAOYSA-N 0 3 235.375 2.984 20 0 BFADHN CCCCc1nc(CN(C)[C@@H](C)CC)c[nH]1 ZINC001141068710 960862819 /nfs/dbraw/zinc/86/28/19/960862819.db2.gz MIBFCSHSOQTAHC-NSHDSACASA-N 0 3 223.364 2.983 20 0 BFADHN N=CNc1ccc(Br)c2[nH]ccc21 ZINC001167868139 961360169 /nfs/dbraw/zinc/36/01/69/961360169.db2.gz GFDMCLWDHGHFPX-UHFFFAOYSA-N 0 3 238.088 2.949 20 0 BFADHN CC[C@H]1CCCC[N@@H+]1Cc1ccc([O-])cn1 ZINC000132423684 962424442 /nfs/dbraw/zinc/42/44/42/962424442.db2.gz BWNHGRWPWJCOGO-LBPRGKRZSA-N 0 3 220.316 2.552 20 0 BFADHN CC[C@H]1CCCC[N@H+]1Cc1ccc([O-])cn1 ZINC000132423684 962424462 /nfs/dbraw/zinc/42/44/62/962424462.db2.gz BWNHGRWPWJCOGO-LBPRGKRZSA-N 0 3 220.316 2.552 20 0 BFADHN CC(C)N1CC[C@H](Oc2cccc(F)c2F)C1 ZINC001225925624 962914667 /nfs/dbraw/zinc/91/46/67/962914667.db2.gz XWVDREGNVZWLIV-JTQLQIEISA-N 0 3 241.281 2.826 20 0 BFADHN C[C@@H](Cc1ccc(Cl)cc1)N[C@@H]1C[C@H]1F ZINC001168152902 963875963 /nfs/dbraw/zinc/87/59/63/963875963.db2.gz CHZXVAJRHFRXMK-XXILOJSOSA-N 0 3 227.710 2.971 20 0 BFADHN Cc1cnsc1CN1CCC(C)CC1 ZINC001233318302 965489794 /nfs/dbraw/zinc/48/97/94/965489794.db2.gz YPHAKXZCSDKLTD-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN CN[C@H]1CCN1CCc1ccc(C(C)C)cc1 ZINC001236168767 966284960 /nfs/dbraw/zinc/28/49/60/966284960.db2.gz XHTSYOOOYDDQPC-OAHLLOKOSA-N 0 3 232.371 2.604 20 0 BFADHN CCc1nc(C)c(CN(C)CCC2CC2)[nH]1 ZINC001203247209 966585388 /nfs/dbraw/zinc/58/53/88/966585388.db2.gz VPCALSAIQGWXPW-UHFFFAOYSA-N 0 3 221.348 2.512 20 0 BFADHN C[C@H]1C[C@@H](CO)N(Cc2cccc(Cl)c2)C1 ZINC001203798092 966785789 /nfs/dbraw/zinc/78/57/89/966785789.db2.gz YAFRLZLQOUZWCO-GWCFXTLKSA-N 0 3 239.746 2.543 20 0 BFADHN CC(C)CCC[C@H](C)NCc1cnco1 ZINC000084413543 967132341 /nfs/dbraw/zinc/13/23/41/967132341.db2.gz CVMKBOCGOCBADQ-NSHDSACASA-N 0 3 210.321 2.979 20 0 BFADHN CC[C@H](C)C[C@H](C)Nc1cccc(CN)n1 ZINC001168690615 967379954 /nfs/dbraw/zinc/37/99/54/967379954.db2.gz ATQJYJRBTLHTJU-QWRGUYRKSA-N 0 3 221.348 2.777 20 0 BFADHN CCCc1nc2ccc(N(C)C)cc2[nH]1 ZINC001250426141 967839030 /nfs/dbraw/zinc/83/90/30/967839030.db2.gz FEHJGVVIOMQZBQ-UHFFFAOYSA-N 0 3 203.289 2.581 20 0 BFADHN CC[C@@H](O)CNC(C)(C)c1ccccc1Cl ZINC001251811568 968347493 /nfs/dbraw/zinc/34/74/93/968347493.db2.gz WCGNDJVPEDDONS-SNVBAGLBSA-N 0 3 241.762 2.936 20 0 BFADHN C[C@H](CCN1CC2(CCN2C)C1)CC(C)(C)C ZINC001276504627 968585549 /nfs/dbraw/zinc/58/55/49/968585549.db2.gz WWNWVWJEUZQAMC-CYBMUJFWSA-N 0 3 238.419 2.839 20 0 BFADHN OC[C@H]1C[C@H](F)CN(CCC2CCCCC2)C1 ZINC001207254584 968699066 /nfs/dbraw/zinc/69/90/66/968699066.db2.gz JXLAVHXAOYOEQA-KBPBESRZSA-N 0 3 243.366 2.609 20 0 BFADHN FC1(F)CCC(CN2CCCC23COC3)CC1 ZINC001207347167 968726556 /nfs/dbraw/zinc/72/65/56/968726556.db2.gz MDQBNULIEILJMV-UHFFFAOYSA-N 0 3 245.313 2.677 20 0 BFADHN CC/C=C\CCCN1C[C@H](C)O[C@@H](C)C1 ZINC001208131693 969030603 /nfs/dbraw/zinc/03/06/03/969030603.db2.gz NDSDWBFXYQLTMY-IBPBTGAJSA-N 0 3 211.349 2.842 20 0 BFADHN CC/C=C\CCCN1C[C@@H](C)OC[C@H]1C ZINC001208139387 969053144 /nfs/dbraw/zinc/05/31/44/969053144.db2.gz YKLQHCONNSOJBU-NTQVKLLNSA-N 0 3 211.349 2.842 20 0 BFADHN CS[C@@H](C)CCN1CC2(C1)CC(F)(F)C2 ZINC001208140720 969060589 /nfs/dbraw/zinc/06/05/89/969060589.db2.gz UTPNDNUEUNXCCO-VIFPVBQESA-N 0 3 235.343 2.859 20 0 BFADHN C[C@H](CCN1CC2(COC2)C1)CC(C)(C)C ZINC001208657341 969186117 /nfs/dbraw/zinc/18/61/17/969186117.db2.gz JLDQBGHIKGQKPX-GFCCVEGCSA-N 0 3 225.376 2.781 20 0 BFADHN C[C@@H]1CN(CC2(C)CCCCC2)CCO1 ZINC001208894661 969283568 /nfs/dbraw/zinc/28/35/68/969283568.db2.gz APRFDHYTELOUTJ-GFCCVEGCSA-N 0 3 211.349 2.678 20 0 BFADHN COC1(C)CN(CC2(C)CCCCC2)C1 ZINC001208898329 969285899 /nfs/dbraw/zinc/28/58/99/969285899.db2.gz PLMPNKCCUFZIED-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN Cc1cccc2c(CN3CCC3)c[nH]c21 ZINC001209484347 969521603 /nfs/dbraw/zinc/52/16/03/969521603.db2.gz IPGZOUNPPFVYQN-UHFFFAOYSA-N 0 3 200.285 2.682 20 0 BFADHN CC[C@@H]1COCCN1C1CCC(F)(F)CC1 ZINC001254225220 969539139 /nfs/dbraw/zinc/53/91/39/969539139.db2.gz MNGBSFVEQFCYDP-SNVBAGLBSA-N 0 3 233.302 2.675 20 0 BFADHN CC(C)[C@H]1CN(C2CCC(F)(F)CC2)CCO1 ZINC001254225329 969548955 /nfs/dbraw/zinc/54/89/55/969548955.db2.gz RMQWRVHBSUDDTN-GFCCVEGCSA-N 0 3 247.329 2.921 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCSC2)nc1 ZINC001255270730 970022737 /nfs/dbraw/zinc/02/27/37/970022737.db2.gz LHEYKNPDRFDGNS-WDEREUQCSA-N 0 3 222.357 2.546 20 0 BFADHN Fc1ccc2c(c1)CCN([C@H]1CCSC1)C2 ZINC001255292235 970054994 /nfs/dbraw/zinc/05/49/94/970054994.db2.gz DYWPZXUVYPMUMX-ZDUSSCGKSA-N 0 3 237.343 2.689 20 0 BFADHN C[C@H](CC(F)(F)F)N[C@H]1CCc2ncccc21 ZINC001255640455 970416423 /nfs/dbraw/zinc/41/64/23/970416423.db2.gz BHEUCHCPDBMERL-KCJUWKMLSA-N 0 3 244.260 2.999 20 0 BFADHN CCC1(O)CCN([C@@H](C)CC(F)(F)F)CC1 ZINC001255656693 970431351 /nfs/dbraw/zinc/43/13/51/970431351.db2.gz ZRFBZOPGIYKTEI-VIFPVBQESA-N 0 3 239.281 2.564 20 0 BFADHN CCN(CCn1cccn1)[C@H](C)CC(F)(F)F ZINC001255656429 970433767 /nfs/dbraw/zinc/43/37/67/970433767.db2.gz UHYVVQIFLRCEHK-SNVBAGLBSA-N 0 3 249.280 2.546 20 0 BFADHN CCO[C@H]1CCCN([C@H](C)CC(F)(F)F)C1 ZINC001255657012 970439918 /nfs/dbraw/zinc/43/99/18/970439918.db2.gz DONRYPOJLXHDLA-ZJUUUORDSA-N 0 3 239.281 2.828 20 0 BFADHN CCSC[C@@H](C)N1CCC[C@@](C)(F)C1 ZINC001173300649 975195070 /nfs/dbraw/zinc/19/50/70/975195070.db2.gz MRNQPWHHPOJSMQ-GHMZBOCLSA-N 0 3 219.369 2.952 20 0 BFADHN CC[C@@H]1CC[C@@H](N(C)Cc2ccno2)C1 ZINC001173284621 975243500 /nfs/dbraw/zinc/24/35/00/975243500.db2.gz RAXSCGGPRFMGLG-GHMZBOCLSA-N 0 3 208.305 2.685 20 0 BFADHN CN1CCC[C@@H](Oc2cc(Cl)ccc2O)C1 ZINC001229545561 976895998 /nfs/dbraw/zinc/89/59/98/976895998.db2.gz UQUSZFPHQBVNJL-SNVBAGLBSA-N 0 3 241.718 2.519 20 0 BFADHN CN(Cc1cn(C)nc1C(F)(F)F)C(C)(C)C ZINC001232086068 977888408 /nfs/dbraw/zinc/88/84/08/977888408.db2.gz DRIZGCIGXOLXCB-UHFFFAOYSA-N 0 3 249.280 2.669 20 0 BFADHN CNc1ccccc1CN1CC2(CCC2)C1 ZINC001232668990 978224056 /nfs/dbraw/zinc/22/40/56/978224056.db2.gz RJYKURNPPGQMJR-UHFFFAOYSA-N 0 3 216.328 2.714 20 0 BFADHN Cc1cc(CN2CCCCC23CC3)sn1 ZINC001233313021 978538958 /nfs/dbraw/zinc/53/89/58/978538958.db2.gz ZMJMFUYQXVYMBV-UHFFFAOYSA-N 0 3 222.357 2.970 20 0 BFADHN Cc1c(F)ccc(OC2CCN(C)CC2)c1F ZINC001234931543 978933271 /nfs/dbraw/zinc/93/32/71/978933271.db2.gz QHTOLNWQBNCCQQ-UHFFFAOYSA-N 0 3 241.281 2.746 20 0 BFADHN CC[C@@H]1CCCN1Cc1cc(C)cnc1F ZINC001235333160 979028614 /nfs/dbraw/zinc/02/86/14/979028614.db2.gz ASXXIQNPSRVHOX-GFCCVEGCSA-N 0 3 222.307 2.904 20 0 BFADHN C[C@H]1CCCN1Cc1ccc(F)c(O)c1 ZINC001236701071 979698461 /nfs/dbraw/zinc/69/84/61/979698461.db2.gz BAMQWGBNGMZIOV-VIFPVBQESA-N 0 3 209.264 2.516 20 0 BFADHN C[C@H]1CCC[N@@H+]1Cc1ccc(F)c([O-])c1 ZINC001236701071 979698470 /nfs/dbraw/zinc/69/84/70/979698470.db2.gz BAMQWGBNGMZIOV-VIFPVBQESA-N 0 3 209.264 2.516 20 0 BFADHN C[C@H]1CCC[N@H+]1Cc1ccc(F)c([O-])c1 ZINC001236701071 979698478 /nfs/dbraw/zinc/69/84/78/979698478.db2.gz BAMQWGBNGMZIOV-VIFPVBQESA-N 0 3 209.264 2.516 20 0 BFADHN CCOc1cncc(CN(C)C2CC(C)C2)c1 ZINC001236838520 979752861 /nfs/dbraw/zinc/75/28/61/979752861.db2.gz RDHYSJAEAVTTQT-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H](C)[N@H+](C)Cc1sc(=O)[n-]c1Cl ZINC001237157888 979823525 /nfs/dbraw/zinc/82/35/25/979823525.db2.gz JNHYWQYBRKGEMF-ZCFIWIBFSA-N 0 3 234.752 2.732 20 0 BFADHN CC[C@@H](C)[N@@H+](C)Cc1sc(=O)[n-]c1Cl ZINC001237157888 979823530 /nfs/dbraw/zinc/82/35/30/979823530.db2.gz JNHYWQYBRKGEMF-ZCFIWIBFSA-N 0 3 234.752 2.732 20 0 BFADHN Cc1coc(CN2C[C@H]3CCCC[C@@H]3C2)n1 ZINC001237636320 979990775 /nfs/dbraw/zinc/99/07/75/979990775.db2.gz XUKCDYPSWRJDER-VXGBXAGGSA-N 0 3 220.316 2.605 20 0 BFADHN COc1ccoc1CN1C[C@H](C)C[C@H](C)C1 ZINC001237871020 980105847 /nfs/dbraw/zinc/10/58/47/980105847.db2.gz OUVSWZTYTWZDHB-PHIMTYICSA-N 0 3 223.316 2.766 20 0 BFADHN COc1ccc(CCN2CCCC2)c(F)c1F ZINC001250164053 980120421 /nfs/dbraw/zinc/12/04/21/980120421.db2.gz LXCSYDZAFMGWET-UHFFFAOYSA-N 0 3 241.281 2.612 20 0 BFADHN CC(C)n1ccc(-c2ccc(CN)nc2)c1 ZINC001239069049 980444984 /nfs/dbraw/zinc/44/49/84/980444984.db2.gz CAMVJXGPGXZEBQ-UHFFFAOYSA-N 0 3 215.300 2.590 20 0 BFADHN CCN(CCC1CCCC1)C(C)(C)C(=O)OC ZINC001198033388 982652895 /nfs/dbraw/zinc/65/28/95/982652895.db2.gz GFEBOTVPEPWMTM-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN CCC[C@@H](C)CN1CC(N2CCC(F)CC2)C1 ZINC001201552644 983312137 /nfs/dbraw/zinc/31/21/37/983312137.db2.gz PMEWVGZVMVVIGJ-GFCCVEGCSA-N 0 3 242.382 2.541 20 0 BFADHN CC(C)CCN1CC2(C1)CC(F)(F)CS2 ZINC001201624482 983382167 /nfs/dbraw/zinc/38/21/67/983382167.db2.gz SFTKFNWIHNAEER-UHFFFAOYSA-N 0 3 235.343 2.859 20 0 BFADHN CCCCCCN1CCn2cccc2C1 ZINC001201754956 983461747 /nfs/dbraw/zinc/46/17/47/983461747.db2.gz PUROWVBPVFPKAG-UHFFFAOYSA-N 0 3 206.333 2.884 20 0 BFADHN CC(C)COCC[C@@H](C)N[C@H](C)c1ccno1 ZINC001172469842 974767587 /nfs/dbraw/zinc/76/75/87/974767587.db2.gz VFZBJGPFMUZVDT-VXGBXAGGSA-N 0 3 240.347 2.776 20 0 BFADHN CC(C)OCC[C@@H](C)N[C@H](C)c1ccno1 ZINC001172441433 974800352 /nfs/dbraw/zinc/80/03/52/974800352.db2.gz WJDMAXVXDXDHSK-GHMZBOCLSA-N 0 3 226.320 2.529 20 0 BFADHN CC(C)OCC[C@@H](C)N1CC2(C1)CC(F)(F)C2 ZINC001172441343 974799100 /nfs/dbraw/zinc/79/91/00/974799100.db2.gz VKKYQUIZDWXTLE-LLVKDONJSA-N 0 3 247.329 2.921 20 0 BFADHN CC(C)CC[C@H](C)N1CCCC(=O)CC1 ZINC001256362965 970766429 /nfs/dbraw/zinc/76/64/29/970766429.db2.gz FLLYIBSBRUUNLA-LBPRGKRZSA-N 0 3 211.349 2.866 20 0 BFADHN CC(C)CC[C@@H](C)N1CCC(=O)[C@@H](F)CC1 ZINC001256365931 970773883 /nfs/dbraw/zinc/77/38/83/970773883.db2.gz MVSJEPAFLKKAHZ-NEPJUHHUSA-N 0 3 229.339 2.814 20 0 BFADHN CC(C)CC[C@@H](C)N1CCc2nn(C)cc2C1 ZINC001256370818 970786993 /nfs/dbraw/zinc/78/69/93/970786993.db2.gz KSBYERANSHUSTE-GFCCVEGCSA-N 0 3 235.375 2.603 20 0 BFADHN CC(C)CC[C@@H](C)N(C)Cc1cncnc1 ZINC001256374598 970789543 /nfs/dbraw/zinc/78/95/43/970789543.db2.gz MIIGIZYKYBXLBU-GFCCVEGCSA-N 0 3 221.348 2.733 20 0 BFADHN COc1c(F)c(C)ccc1Nc1cnc(C)n1C ZINC001215601402 970798834 /nfs/dbraw/zinc/79/88/34/970798834.db2.gz OQUCFIACEGNZIV-UHFFFAOYSA-N 0 3 249.289 2.928 20 0 BFADHN Cc1ccc(F)c(N(C)c2cc(N)ccn2)c1O ZINC001215739111 970823916 /nfs/dbraw/zinc/82/39/16/970823916.db2.gz HQHMTKIPYAPJAE-UHFFFAOYSA-N 0 3 247.273 2.585 20 0 BFADHN C[C@@H](Cc1ccccn1)N1CCc2occc2C1 ZINC001256422622 970831056 /nfs/dbraw/zinc/83/10/56/970831056.db2.gz UBENUSUCTZQXRC-LBPRGKRZSA-N 0 3 242.322 2.664 20 0 BFADHN CC(C)Cc1nnc(C2CCN(C3CC3)CC2)o1 ZINC001256465120 970850404 /nfs/dbraw/zinc/85/04/04/970850404.db2.gz XYMMYNHJXYRLHW-UHFFFAOYSA-N 0 3 249.358 2.610 20 0 BFADHN Cc1ncc(Nc2c(O)cccc2Cl)n1C ZINC001215984145 970885445 /nfs/dbraw/zinc/88/54/45/970885445.db2.gz BSQLMZSIEHARDU-UHFFFAOYSA-N 0 3 237.690 2.831 20 0 BFADHN CO[C@@H]1C[C@@H](NCC=C(Cl)Cl)C1(C)C ZINC000403337569 970917068 /nfs/dbraw/zinc/91/70/68/970917068.db2.gz BTALZNXCQVTDME-HTQZYQBOSA-N 0 3 238.158 2.709 20 0 BFADHN Cc1cc(Cl)c(N)c(Nc2nccn2C)c1 ZINC001216089090 970934749 /nfs/dbraw/zinc/93/47/49/970934749.db2.gz MKQAJLYQSZUTGI-UHFFFAOYSA-N 0 3 236.706 2.708 20 0 BFADHN F[C@@H]1C[C@H]1N[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC001256789722 970987013 /nfs/dbraw/zinc/98/70/13/970987013.db2.gz MKSSIFBXVPHTTJ-AAVRWANBSA-N 0 3 235.302 2.607 20 0 BFADHN FCC1(N[C@@H]2CCO[C@@H](c3ccccc3)C2)CC1 ZINC001256783839 970988239 /nfs/dbraw/zinc/98/82/39/970988239.db2.gz DQJWSYOKUJHCOL-ZIAGYGMSSA-N 0 3 249.329 2.998 20 0 BFADHN F[C@@H]1C[C@H]1N[C@H]1CCO[C@@H](c2ccccc2)C1 ZINC001256789724 970988734 /nfs/dbraw/zinc/98/87/34/970988734.db2.gz MKSSIFBXVPHTTJ-REWJHTLYSA-N 0 3 235.302 2.607 20 0 BFADHN CSc1ncc(C)cc1Nc1cnc(C)n1C ZINC001216240688 970999400 /nfs/dbraw/zinc/99/94/00/970999400.db2.gz AWGAZAQCVFUEND-UHFFFAOYSA-N 0 3 248.355 2.897 20 0 BFADHN CCC1CCC(n2ncc3c2CCNC3)CC1 ZINC001256871410 971007906 /nfs/dbraw/zinc/00/79/06/971007906.db2.gz OKOOZOJEJLJBDT-UHFFFAOYSA-N 0 3 233.359 2.670 20 0 BFADHN COC(=O)c1ccc(C)c(C2=CNCCC2)c1C ZINC001243952579 971045969 /nfs/dbraw/zinc/04/59/69/971045969.db2.gz UTVREWWXNBFYSH-UHFFFAOYSA-N 0 3 245.322 2.814 20 0 BFADHN COc1ccc2c(c1)nccc2C1=CNCCC1 ZINC001243950448 971047172 /nfs/dbraw/zinc/04/71/72/971047172.db2.gz SSAJEPJHWDSCFT-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCC=C(Cl)Cl ZINC000403793639 971064618 /nfs/dbraw/zinc/06/46/18/971064618.db2.gz CCCRCHFTSVAOPV-RQJHMYQMSA-N 0 3 226.172 2.647 20 0 BFADHN Cc1ncc(Nc2cc(Cl)ccc2O)n1C ZINC001216399176 971073899 /nfs/dbraw/zinc/07/38/99/971073899.db2.gz VGLQKMZJJXIGBG-UHFFFAOYSA-N 0 3 237.690 2.831 20 0 BFADHN CCC[C@H](CC)N1CCC(=O)[C@@H](F)CC1 ZINC001257257053 971147897 /nfs/dbraw/zinc/14/78/97/971147897.db2.gz NVASGHFDSRXRJI-QWRGUYRKSA-N 0 3 215.312 2.568 20 0 BFADHN CCC[C@@H](CC)N1CCC(=O)[C@H](F)CC1 ZINC001257257051 971156732 /nfs/dbraw/zinc/15/67/32/971156732.db2.gz NVASGHFDSRXRJI-GHMZBOCLSA-N 0 3 215.312 2.568 20 0 BFADHN OC1(CN2CCC=C(Cl)C2)CCCCC1 ZINC000404389181 971158079 /nfs/dbraw/zinc/15/80/79/971158079.db2.gz LGJOKTOOIMQBMB-UHFFFAOYSA-N 0 3 229.751 2.510 20 0 BFADHN CCC[C@@H](CC)N(CCC)CC(=O)OCC ZINC001257265440 971167671 /nfs/dbraw/zinc/16/76/71/971167671.db2.gz DRHUSZSGWAEFCD-GFCCVEGCSA-N 0 3 229.364 2.840 20 0 BFADHN CCC[C@@H](C)NCC(=O)c1ccccc1OC ZINC001257308845 971198919 /nfs/dbraw/zinc/19/89/19/971198919.db2.gz KIXSEHZDYIBHLK-LLVKDONJSA-N 0 3 235.327 2.656 20 0 BFADHN CCC[C@@H](C)n1nc(-c2ccncc2)cc1CN ZINC001257309388 971203443 /nfs/dbraw/zinc/20/34/43/971203443.db2.gz KNZKVWIVDPEZGB-LLVKDONJSA-N 0 3 244.342 2.765 20 0 BFADHN CCC[C@H](C)N1CCC[C@@H]1c1ncccn1 ZINC001257326777 971228249 /nfs/dbraw/zinc/22/82/49/971228249.db2.gz CHBOAUMTULSZMD-NWDGAFQWSA-N 0 3 219.332 2.802 20 0 BFADHN CCC[C@H](C)N(CC(=O)OCC)C1CCCC1 ZINC001257329510 971236821 /nfs/dbraw/zinc/23/68/21/971236821.db2.gz ZUSAERQRAJKFFW-LBPRGKRZSA-N 0 3 241.375 2.983 20 0 BFADHN CCCC(CCC)N1CCc2c(cnn2C)C1 ZINC001257340697 971251548 /nfs/dbraw/zinc/25/15/48/971251548.db2.gz MFGDTFBSZIDJLV-UHFFFAOYSA-N 0 3 235.375 2.747 20 0 BFADHN Cc1cccc2c1[C@H](NC1CCCC1)C(=O)N2 ZINC001257342338 971253448 /nfs/dbraw/zinc/25/34/48/971253448.db2.gz XQKAHUKMJMURND-ZDUSSCGKSA-N 0 3 230.311 2.520 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CO[C@H](C)[C@H]2C)o1 ZINC000405710836 971297140 /nfs/dbraw/zinc/29/71/40/971297140.db2.gz BKXVNZZAGNUINI-KLKTVBQGSA-N 0 3 249.354 2.916 20 0 BFADHN CCCCCC[C@H](CC)N1CC(C)(O)C1 ZINC001170592373 971306041 /nfs/dbraw/zinc/30/60/41/971306041.db2.gz IWZFXJWQQAQQDC-LBPRGKRZSA-N 0 3 213.365 2.802 20 0 BFADHN CCCC[C@H](CC)N1CCCC[C@H]1C(=O)OC ZINC001257417625 971311566 /nfs/dbraw/zinc/31/15/66/971311566.db2.gz FGKHBTWPSLBGNG-STQMWFEESA-N 0 3 241.375 2.983 20 0 BFADHN CCCC[C@@H](CC)N1CCC(=O)[C@@H](F)CC1 ZINC001257419724 971320016 /nfs/dbraw/zinc/32/00/16/971320016.db2.gz ZIEYFCYJDVRIRX-NEPJUHHUSA-N 0 3 229.339 2.958 20 0 BFADHN CCCC[C@@H](CC)N1CCc2nn(C)cc2C1 ZINC001257422001 971320218 /nfs/dbraw/zinc/32/02/18/971320218.db2.gz CKJPIAUXJXKSIX-CYBMUJFWSA-N 0 3 235.375 2.747 20 0 BFADHN CCCC[C@@H](CC)n1c(C)nc2c1CNCC2 ZINC001257424083 971323279 /nfs/dbraw/zinc/32/32/79/971323279.db2.gz AHVWBRRYKOJTLD-GFCCVEGCSA-N 0 3 235.375 2.979 20 0 BFADHN CC[C@@H](C)N1CCCC(F)(F)[C@@H](F)C1 ZINC001257437607 971348545 /nfs/dbraw/zinc/34/85/45/971348545.db2.gz KLLCSYASYXQSSR-BDAKNGLRSA-N 0 3 209.255 2.854 20 0 BFADHN CC[C@H](C)N1CCc2ncc(Cl)cc2C1 ZINC001257446347 971364274 /nfs/dbraw/zinc/36/42/74/971364274.db2.gz LZIUZAKMMXTLTO-VIFPVBQESA-N 0 3 224.735 2.892 20 0 BFADHN CC[C@@H](C)N(C)Cc1cn2ccc(C)cc2n1 ZINC001257448006 971366836 /nfs/dbraw/zinc/36/68/36/971366836.db2.gz OPZVYYNTDBIXBZ-GFCCVEGCSA-N 0 3 231.343 2.873 20 0 BFADHN O=C(CNC1CCCCC1)c1ccccc1F ZINC001257449910 971369056 /nfs/dbraw/zinc/36/90/56/971369056.db2.gz VUMLJXHFTBVBHL-UHFFFAOYSA-N 0 3 235.302 2.931 20 0 BFADHN c1cc2c(cn1)CCN(C1CCCCC1)C2 ZINC001257454528 971377131 /nfs/dbraw/zinc/37/71/31/971377131.db2.gz DRYBLCLYDRHSON-UHFFFAOYSA-N 0 3 216.328 2.772 20 0 BFADHN CN1CCN(C2CCCCC2)Cc2cccnc21 ZINC001257457550 971379898 /nfs/dbraw/zinc/37/98/98/971379898.db2.gz JQCUUEPVKLOOBP-UHFFFAOYSA-N 0 3 245.370 2.666 20 0 BFADHN CN1CCC(c2nnc(C3CCCC3)o2)CC1 ZINC001257494204 971412130 /nfs/dbraw/zinc/41/21/30/971412130.db2.gz PLDLYUZGQITOBU-UHFFFAOYSA-N 0 3 235.331 2.536 20 0 BFADHN CCCCC[C@H](C)NCc1ccn(CCF)n1 ZINC001257504751 971421603 /nfs/dbraw/zinc/42/16/03/971421603.db2.gz NISWMZMRQVRBLR-LBPRGKRZSA-N 0 3 241.354 2.911 20 0 BFADHN CCCCC[C@@H](C)NCc1cn(CCF)cn1 ZINC001257506691 971425097 /nfs/dbraw/zinc/42/50/97/971425097.db2.gz RYQZELRSNBUTPQ-GFCCVEGCSA-N 0 3 241.354 2.911 20 0 BFADHN CCCCC[C@H](C)N1CC[C@](F)(C(=O)OC)C1 ZINC001257508523 971428199 /nfs/dbraw/zinc/42/81/99/971428199.db2.gz HPFOKAZGELSITH-WCQYABFASA-N 0 3 245.338 2.542 20 0 BFADHN CC[C@@H]1CCCC[C@H]1OC[C@@H]1COC(C)(C)N1 ZINC001217836822 971430463 /nfs/dbraw/zinc/43/04/63/971430463.db2.gz INWTZYARUFHNJG-JHJVBQTASA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](OC[C@@H]2COC(C)(C)N2)C1 ZINC001217858826 971450649 /nfs/dbraw/zinc/45/06/49/971450649.db2.gz WVPAZHYPIZURAQ-XQHKEYJVSA-N 0 3 241.375 2.552 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](OC[C@H]2COC(C)(C)N2)C1 ZINC001217858823 971452822 /nfs/dbraw/zinc/45/28/22/971452822.db2.gz WVPAZHYPIZURAQ-VOAKCMCISA-N 0 3 241.375 2.552 20 0 BFADHN NCc1c(F)cccc1N[C@@H]1CCCSC1 ZINC001257657758 971455057 /nfs/dbraw/zinc/45/50/57/971455057.db2.gz MVCMMPCDFKJNSY-SECBINFHSA-N 0 3 240.347 2.592 20 0 BFADHN CCC[C@@H](OC[C@H]1COC(C)(C)N1)C(C)C ZINC001217865279 971458178 /nfs/dbraw/zinc/45/81/78/971458178.db2.gz JXMBCJXQORJOGQ-NWDGAFQWSA-N 0 3 229.364 2.552 20 0 BFADHN CCC[C@@H](OC[C@@H]1COC(C)(C)N1)C(C)C ZINC001217865281 971458629 /nfs/dbraw/zinc/45/86/29/971458629.db2.gz JXMBCJXQORJOGQ-VXGBXAGGSA-N 0 3 229.364 2.552 20 0 BFADHN CC[C@H](OC[C@H]1COC(C)(C)N1)C(C)(C)C ZINC001217865285 971459278 /nfs/dbraw/zinc/45/92/78/971459278.db2.gz KBHOOZXNJPTXPW-QWRGUYRKSA-N 0 3 229.364 2.552 20 0 BFADHN CC(C)C[C@@H](OC[C@H]1COC(C)(C)N1)C(C)C ZINC001217872132 971468202 /nfs/dbraw/zinc/46/82/02/971468202.db2.gz YJZXODSHLHNYJY-QWHCGFSZSA-N 0 3 243.391 2.798 20 0 BFADHN CC(C)C[C@H](OC[C@H]1COC(C)(C)N1)C(C)C ZINC001217872134 971469523 /nfs/dbraw/zinc/46/95/23/971469523.db2.gz YJZXODSHLHNYJY-STQMWFEESA-N 0 3 243.391 2.798 20 0 BFADHN CC[C@H]1CC[C@H](OC[C@H]2COC(C)(C)N2)CC1 ZINC001217893241 971494653 /nfs/dbraw/zinc/49/46/53/971494653.db2.gz LTCHHXIDVIETOD-AVGNSLFASA-N 0 3 241.375 2.696 20 0 BFADHN CCCCC[C@@H](CCC)OC[C@@H]1CNCCO1 ZINC001217894982 971495714 /nfs/dbraw/zinc/49/57/14/971495714.db2.gz HVUYTSCCTVGZQS-KGLIPLIRSA-N 0 3 243.391 2.740 20 0 BFADHN CC1CN([C@@H]2CCc3cc(F)cc(F)c3C2)C1 ZINC001170685510 971524729 /nfs/dbraw/zinc/52/47/29/971524729.db2.gz OGVIKAUDXOATIY-GFCCVEGCSA-N 0 3 237.293 2.774 20 0 BFADHN CCCc1cccc(O[C@@H]2CCNC[C@H]2F)c1 ZINC001218065072 971595238 /nfs/dbraw/zinc/59/52/38/971595238.db2.gz CRYSCTYAEGHPNF-ZIAGYGMSSA-N 0 3 237.318 2.718 20 0 BFADHN Cc1ccc(Cl)c(O[C@@H]2CCNC[C@@H]2F)c1 ZINC001218064201 971595575 /nfs/dbraw/zinc/59/55/75/971595575.db2.gz HHZSSIIXUWRNRU-WDEREUQCSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1ccc(Cl)c(O[C@H]2CCNC[C@H]2F)c1 ZINC001218064199 971593011 /nfs/dbraw/zinc/59/30/11/971593011.db2.gz HHZSSIIXUWRNRU-MNOVXSKESA-N 0 3 243.709 2.727 20 0 BFADHN CC1(C)[C@@H]2C[C@H](NCc3cscn3)C[C@@H]21 ZINC001170709783 971605639 /nfs/dbraw/zinc/60/56/39/971605639.db2.gz CSDVUEKBHLIMAN-GUDFOSOFSA-N 0 3 222.357 2.667 20 0 BFADHN C[C@@H](N)c1ccn([C@H]2C[C@@H]3[C@H](C2)C3(C)C)n1 ZINC001170725215 971658971 /nfs/dbraw/zinc/65/89/71/971658971.db2.gz WANQUJYXFKPOEW-CHWFTXMASA-N 0 3 219.332 2.510 20 0 BFADHN Cc1nnc(CN[C@@H]2CCC[C@@H]2C(C)C)s1 ZINC000309679035 971755741 /nfs/dbraw/zinc/75/57/41/971755741.db2.gz MRVWQIJZCOKZMN-GHMZBOCLSA-N 0 3 239.388 2.761 20 0 BFADHN COC(C)(C)[C@@H](C)NCC=C(Cl)Cl ZINC000407143489 971789145 /nfs/dbraw/zinc/78/91/45/971789145.db2.gz LUOAPMXXIXEUST-SSDOTTSWSA-N 0 3 226.147 2.709 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N1[C@H](C)CCC1(C)C ZINC001330891053 974523216 /nfs/dbraw/zinc/52/32/16/974523216.db2.gz FEFDOHHIXWJENV-NEPJUHHUSA-N 0 3 240.391 2.539 20 0 BFADHN NCc1ccc(-c2cc3ccc(F)cc3[nH]2)cn1 ZINC001245587598 971890744 /nfs/dbraw/zinc/89/07/44/971890744.db2.gz CDUVMSHYEOPKJE-UHFFFAOYSA-N 0 3 241.269 2.828 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)N1CCOCC1(C)C ZINC001172339347 974603629 /nfs/dbraw/zinc/60/36/29/974603629.db2.gz FHFUVTCLLAJDRK-QWHCGFSZSA-N 0 3 243.391 2.691 20 0 BFADHN C[C@@H](N)C(=O)Nc1cccc2c1[C@@H](C)CC2(C)C ZINC001219037886 971975464 /nfs/dbraw/zinc/97/54/64/971975464.db2.gz FVCMBUPIKGGSRT-VHSXEESVSA-N 0 3 246.354 2.757 20 0 BFADHN Cn1ccc(CNCC2CCC(C)(C)CC2)n1 ZINC000310498331 971975721 /nfs/dbraw/zinc/97/57/21/971975721.db2.gz ISEMEWOUMPFYCO-UHFFFAOYSA-N 0 3 235.375 2.726 20 0 BFADHN FCC1(N[C@H]2CCc3cccc(F)c3C2)CC1 ZINC001170942047 972116543 /nfs/dbraw/zinc/11/65/43/972116543.db2.gz FFJADPDGDDJBOI-NSHDSACASA-N 0 3 237.293 2.775 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N1CCC[C@H]1C(C)=O ZINC001172362152 974632342 /nfs/dbraw/zinc/63/23/42/974632342.db2.gz QFCSFMSIUGVDQZ-DYEKYZERSA-N 0 3 241.375 2.634 20 0 BFADHN CC(C)[C@@H](N)c1cn(C[C@H](C)C(C)(C)C)nn1 ZINC000710830000 956880324 /nfs/dbraw/zinc/88/03/24/956880324.db2.gz PPXCJSQBHJJTHX-CMPLNLGQSA-N 0 3 238.379 2.616 20 0 BFADHN CC/C=C\CCCN1CCc2ncncc2C1 ZINC001208135573 956992817 /nfs/dbraw/zinc/99/28/17/956992817.db2.gz HXHKNCTVAAHPEO-ARJAWSKDSA-N 0 3 231.343 2.581 20 0 BFADHN C1=C(Nc2ccnc(C3CCC3)c2)COC1 ZINC001203385147 957032500 /nfs/dbraw/zinc/03/25/00/957032500.db2.gz ABJYJLWUNRTDFU-UHFFFAOYSA-N 0 3 216.284 2.675 20 0 BFADHN Cc1cnc(Cl)cc1NC1=CCN(C)CC1 ZINC001208741555 957154667 /nfs/dbraw/zinc/15/46/67/957154667.db2.gz LFDOMPCKKFIFDF-UHFFFAOYSA-N 0 3 237.734 2.675 20 0 BFADHN F[C@H]1CCC2(C1)CCN(Cc1ccnnc1)CC2 ZINC001139984861 957297247 /nfs/dbraw/zinc/29/72/47/957297247.db2.gz DFSLZHZEXVFXNC-ZDUSSCGKSA-N 0 3 249.333 2.581 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCC[C@H]1c1nccs1 ZINC001209421797 957432259 /nfs/dbraw/zinc/43/22/59/957432259.db2.gz OYUAGLYKEHSTRX-VWYCJHECSA-N 0 3 222.357 2.936 20 0 BFADHN CC[C@@H](C)N(C)Cc1cc(Cl)ccn1 ZINC000086129554 957562004 /nfs/dbraw/zinc/56/20/04/957562004.db2.gz VYFPLWCTWGXAJM-SECBINFHSA-N 0 3 212.724 2.965 20 0 BFADHN C[C@@H]1CCN(Cc2cc(Cl)ccc2N)C1 ZINC000086549354 957635302 /nfs/dbraw/zinc/63/53/02/957635302.db2.gz TWQKRKUKABKFBE-SECBINFHSA-N 0 3 224.735 2.764 20 0 BFADHN Nc1ccncc1-c1cccnc1C(F)(F)F ZINC000706190449 957670632 /nfs/dbraw/zinc/67/06/32/957670632.db2.gz HBYYTFDPSWOZCF-UHFFFAOYSA-N 0 3 239.200 2.575 20 0 BFADHN CN(Cc1c[nH]cn1)C(C)(C)Cc1ccccc1 ZINC001136873200 972285931 /nfs/dbraw/zinc/28/59/31/972285931.db2.gz JEDVNXAGPMTIHH-UHFFFAOYSA-N 0 3 243.354 2.863 20 0 BFADHN C[C@@H]1C[C@H](NCc2nccs2)CCS1 ZINC000888077972 957752460 /nfs/dbraw/zinc/75/24/60/957752460.db2.gz SEKHUCAXBKPOJJ-RKDXNWHRSA-N 0 3 228.386 2.517 20 0 BFADHN FC1CC2(C1)CCCN(Cc1c[nH]cn1)CC2 ZINC001136871754 972290075 /nfs/dbraw/zinc/29/00/75/972290075.db2.gz GXEIVGABHLZALN-UHFFFAOYSA-N 0 3 237.322 2.514 20 0 BFADHN CCc1ncccc1Nc1ccncc1C ZINC001210463664 957755289 /nfs/dbraw/zinc/75/52/89/957755289.db2.gz MRDOKVTXVYQWMC-UHFFFAOYSA-N 0 3 213.284 2.513 20 0 BFADHN Cc1cc(CN2C[C@H]3CCCC(=O)[C@@H]3C2)oc1C ZINC001204540802 958034370 /nfs/dbraw/zinc/03/43/70/958034370.db2.gz BWLORDSGEYUMDZ-TZMCWYRMSA-N 0 3 247.338 2.697 20 0 BFADHN Cc1cc(CN2C[C@@H]3CCO[C@@H]3C2)cc(C)c1F ZINC001143381642 958187477 /nfs/dbraw/zinc/18/74/77/958187477.db2.gz JABWYWWQKFDCMO-UONOGXRCSA-N 0 3 249.329 2.663 20 0 BFADHN CN(CCCc1ccccc1)Cc1ccncn1 ZINC001140347567 958258503 /nfs/dbraw/zinc/25/85/03/958258503.db2.gz NUDBTMHOBMCJAL-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C[C@@H]2C)cn1 ZINC001137036503 972341454 /nfs/dbraw/zinc/34/14/54/972341454.db2.gz RWVRULPIMZHUOH-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN CCC(CC)N[C@@H](c1nc(C)no1)C(C)C ZINC000167719182 958643614 /nfs/dbraw/zinc/64/36/14/958643614.db2.gz ZGEBDGHQTBXSOP-LLVKDONJSA-N 0 3 225.336 2.853 20 0 BFADHN CCOc1ccc(-c2nccc(N)c2C)c(C)n1 ZINC001222090906 972366864 /nfs/dbraw/zinc/36/68/64/972366864.db2.gz DODYZWZRIWJKRG-UHFFFAOYSA-N 0 3 243.310 2.741 20 0 BFADHN C[C@H]1CN(Cc2ccccc2C2CC2)CCN1C ZINC001349761557 958803243 /nfs/dbraw/zinc/80/32/43/958803243.db2.gz ZCYKQAYKQSLXNF-ZDUSSCGKSA-N 0 3 244.382 2.700 20 0 BFADHN Cc1cc(C)nc(NC[C@@H]2CCCCO2)c1 ZINC000707915033 958811310 /nfs/dbraw/zinc/81/13/10/958811310.db2.gz MVGFCRWCSAUXPI-LBPRGKRZSA-N 0 3 220.316 2.679 20 0 BFADHN Cc1nc[nH]c1CN1CCC2(CCCC2)CC1 ZINC001204587344 958878119 /nfs/dbraw/zinc/87/81/19/958878119.db2.gz BFEPGMNBGWCEBB-UHFFFAOYSA-N 0 3 233.359 2.874 20 0 BFADHN CC[C@H](C)[C@H](C)N1CCCc2c(cnn2C)C1 ZINC001171120259 972405587 /nfs/dbraw/zinc/40/55/87/972405587.db2.gz RIRNKWNDRIPDDA-RYUDHWBXSA-N 0 3 235.375 2.603 20 0 BFADHN CC(C)N(C)Cc1cccc2c1OC(F)(F)O2 ZINC000197748482 972407000 /nfs/dbraw/zinc/40/70/00/972407000.db2.gz DQSDNEWRKWFSTF-UHFFFAOYSA-N 0 3 243.253 2.848 20 0 BFADHN C[C@H]1C[C@@H](n2cnc3c2CNCC3)CC(C)(C)C1 ZINC000708329789 959335194 /nfs/dbraw/zinc/33/51/94/959335194.db2.gz PCPDCXDTBJQWEN-NWDGAFQWSA-N 0 3 247.386 2.916 20 0 BFADHN C[C@@H]1C[C@H](n2cncc2CN)CC(C)(C)C1 ZINC000708361536 959370899 /nfs/dbraw/zinc/37/08/99/959370899.db2.gz BXDNQDRMRJKSRM-MNOVXSKESA-N 0 3 221.348 2.729 20 0 BFADHN CCCCCN(C)Cc1[nH]c(C)nc1C ZINC000724775033 959443317 /nfs/dbraw/zinc/44/33/17/959443317.db2.gz SKWMPFISROWGOF-UHFFFAOYSA-N 0 3 209.337 2.649 20 0 BFADHN COc1c(F)c(C)ccc1-c1cnccc1N ZINC001222305813 972438151 /nfs/dbraw/zinc/43/81/51/972438151.db2.gz ZPXYAHWAXFZZQD-UHFFFAOYSA-N 0 3 232.258 2.617 20 0 BFADHN COC(C)(C)[C@H](C)N[C@@H](C)c1nc(C)cs1 ZINC000301012286 959589289 /nfs/dbraw/zinc/58/92/89/959589289.db2.gz OJVBIVIZXZMDLP-UWVGGRQHSA-N 0 3 242.388 2.916 20 0 BFADHN C[C@H]1CC[C@H](N2CCN(Cc3ccco3)CC2)C1 ZINC000092893548 959616005 /nfs/dbraw/zinc/61/60/05/959616005.db2.gz HPBQVEFLNCOYMD-KBPBESRZSA-N 0 3 248.370 2.586 20 0 BFADHN CCC[C@@H](OC[C@@H]1COC(C)(C)N1)C(C)(C)C ZINC001217871034 959692552 /nfs/dbraw/zinc/69/25/52/959692552.db2.gz QBBYUSTWDUCLFT-VXGBXAGGSA-N 0 3 243.391 2.942 20 0 BFADHN CCC[C@@H](OC[C@H]1COC(C)(C)N1)C(C)(C)C ZINC001217871027 959692752 /nfs/dbraw/zinc/69/27/52/959692752.db2.gz QBBYUSTWDUCLFT-NWDGAFQWSA-N 0 3 243.391 2.942 20 0 BFADHN Cc1nonc1CN1CCC[C@H]1CC1CCCC1 ZINC000847093941 959732220 /nfs/dbraw/zinc/73/22/20/959732220.db2.gz VPCXKDROFSODDO-ZDUSSCGKSA-N 0 3 249.358 2.923 20 0 BFADHN C[C@H]1CCC[C@H]1Oc1cccc2c1CNC2 ZINC001218018956 959835966 /nfs/dbraw/zinc/83/59/66/959835966.db2.gz ATOSHMYXHIYIKZ-GXFFZTMASA-N 0 3 217.312 2.857 20 0 BFADHN c1ncc(CN2CC[C@H](Cc3ccccc3)C2)o1 ZINC001140932013 960097477 /nfs/dbraw/zinc/09/74/77/960097477.db2.gz XDVQLMRAIRPKFU-CQSZACIVSA-N 0 3 242.322 2.739 20 0 BFADHN FC1(F)CCNC[C@@H]1Oc1cccc(Cl)c1 ZINC001218446290 960112397 /nfs/dbraw/zinc/11/23/97/960112397.db2.gz AAKKAHSQWNVKSA-JTQLQIEISA-N 0 3 247.672 2.716 20 0 BFADHN FCC1CCN(Cc2ccn3ccnc3c2)CC1 ZINC001141045827 960254242 /nfs/dbraw/zinc/25/42/42/960254242.db2.gz AOZFUBCSLRAKAF-UHFFFAOYSA-N 0 3 247.317 2.516 20 0 BFADHN CC(C)n1cc(CN[C@@H]2CCCC3(CC3)C2)nn1 ZINC000695718628 960399947 /nfs/dbraw/zinc/39/99/47/960399947.db2.gz VOVVCSPJZWRNNF-GFCCVEGCSA-N 0 3 248.374 2.671 20 0 BFADHN c1cc2ccncc2c(CN2CCSCC2)c1 ZINC001140642274 960476193 /nfs/dbraw/zinc/47/61/93/960476193.db2.gz SGXMWWOBFMGGGJ-UHFFFAOYSA-N 0 3 244.363 2.784 20 0 BFADHN Cc1cc(CN2CC[C@H]2C)cc(C)c1O ZINC001140658157 960480252 /nfs/dbraw/zinc/48/02/52/960480252.db2.gz LVFVQBZJSICFRO-LLVKDONJSA-N 0 3 205.301 2.603 20 0 BFADHN Cn1cnc2ccc(CN3CCC(F)CC3)cc21 ZINC001140735411 960537201 /nfs/dbraw/zinc/53/72/01/960537201.db2.gz VYSZMHBNBVPYEW-UHFFFAOYSA-N 0 3 247.317 2.507 20 0 BFADHN C[C@H]1CCCN1Cc1ccc(O)c(F)c1F ZINC001140890205 960664979 /nfs/dbraw/zinc/66/49/79/960664979.db2.gz YYGGRFHYRKIPSF-QMMMGPOBSA-N 0 3 227.254 2.655 20 0 BFADHN C[C@H]1CCC[N@@H+]1Cc1ccc([O-])c(F)c1F ZINC001140890205 960664987 /nfs/dbraw/zinc/66/49/87/960664987.db2.gz YYGGRFHYRKIPSF-QMMMGPOBSA-N 0 3 227.254 2.655 20 0 BFADHN C[C@H]1CCC[N@H+]1Cc1ccc([O-])c(F)c1F ZINC001140890205 960664995 /nfs/dbraw/zinc/66/49/95/960664995.db2.gz YYGGRFHYRKIPSF-QMMMGPOBSA-N 0 3 227.254 2.655 20 0 BFADHN Cc1cc(CN(C)CCc2ccc(C)cc2)n[nH]1 ZINC001203076884 960684774 /nfs/dbraw/zinc/68/47/74/960684774.db2.gz LQEXZYVTQNAPTM-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN FC1CC2(C1)CCCN(Cc1cnco1)CC2 ZINC001140934125 960695570 /nfs/dbraw/zinc/69/55/70/960695570.db2.gz UOQKOSTZBVVWRL-UHFFFAOYSA-N 0 3 238.306 2.779 20 0 BFADHN Cc1cc(CN2CCC3(C2)CCCCC3)[nH]n1 ZINC001203082862 960775607 /nfs/dbraw/zinc/77/56/07/960775607.db2.gz ARAPFXWRVXNSAY-UHFFFAOYSA-N 0 3 233.359 2.874 20 0 BFADHN CC(C)CN(Cc1ncco1)CC(C)C ZINC001141005686 960805692 /nfs/dbraw/zinc/80/56/92/960805692.db2.gz QQGMNNRUSWINCQ-UHFFFAOYSA-N 0 3 210.321 2.789 20 0 BFADHN CN(Cc1c[nH]nc1C(F)(F)F)C(C)(C)C ZINC001141014619 960814754 /nfs/dbraw/zinc/81/47/54/960814754.db2.gz APJGTDCAAXFYHD-UHFFFAOYSA-N 0 3 235.253 2.659 20 0 BFADHN CCCN(CC)Cc1c[nH]nc1C(F)(F)F ZINC001141012658 960815896 /nfs/dbraw/zinc/81/58/96/960815896.db2.gz UXPXJLLMVFVNLH-UHFFFAOYSA-N 0 3 235.253 2.660 20 0 BFADHN CCCCc1nc(CN(C)CCC)c[nH]1 ZINC001141067035 960851831 /nfs/dbraw/zinc/85/18/31/960851831.db2.gz PHTIUTNJVGOAFL-UHFFFAOYSA-N 0 3 209.337 2.594 20 0 BFADHN Cc1ncoc1CN1CCCC2(CCC2)C1 ZINC001141055136 960853551 /nfs/dbraw/zinc/85/35/51/960853551.db2.gz PEBFGYPJVJCWHF-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN CCCCc1nc(CN2C3CCC2CC3)c[nH]1 ZINC001141071910 960860778 /nfs/dbraw/zinc/86/07/78/960860778.db2.gz HCEVBVFGGQJSGB-UHFFFAOYSA-N 0 3 233.359 2.879 20 0 BFADHN COc1ccc2nccc(CN3CC[C@@H]3C)c2c1 ZINC001141146978 960886254 /nfs/dbraw/zinc/88/62/54/960886254.db2.gz NTWXVNBZMRDMSV-NSHDSACASA-N 0 3 242.322 2.838 20 0 BFADHN CN(C)Cc1cccc(-c2ccc(F)cc2)n1 ZINC001141205184 960946710 /nfs/dbraw/zinc/94/67/10/960946710.db2.gz ZEXXLZUDUKJHIT-UHFFFAOYSA-N 0 3 230.286 2.949 20 0 BFADHN CC1(C)CN(Cc2cccc(-n3ccnc3)c2)C1 ZINC001141237811 961012202 /nfs/dbraw/zinc/01/22/02/961012202.db2.gz BGJFZCPVKOCBEF-UHFFFAOYSA-N 0 3 241.338 2.714 20 0 BFADHN Cc1ccc2cc(CN3CCC3)ccc2n1 ZINC001141472974 961074803 /nfs/dbraw/zinc/07/48/03/961074803.db2.gz SRNZWEGQXXOALS-UHFFFAOYSA-N 0 3 212.296 2.749 20 0 BFADHN CCN(CC)Cc1[nH]cnc1C(C)(C)C ZINC001142209294 961348396 /nfs/dbraw/zinc/34/83/96/961348396.db2.gz LNGRZJYGGOTZAT-UHFFFAOYSA-N 0 3 209.337 2.549 20 0 BFADHN N=CNc1ccc(Oc2ccccc2)nc1 ZINC001167869432 961362506 /nfs/dbraw/zinc/36/25/06/961362506.db2.gz VRIZESZWKCROFG-UHFFFAOYSA-N 0 3 213.240 2.893 20 0 BFADHN F[C@@H]1CCCCN(Cc2cccc3[nH]cnc32)C1 ZINC001142672996 961587108 /nfs/dbraw/zinc/58/71/08/961587108.db2.gz VCMCRQMTZSFPFM-GFCCVEGCSA-N 0 3 247.317 2.887 20 0 BFADHN C[C@H]1C[C@@H](CF)N(Cc2cccc3[nH]cnc32)C1 ZINC001142676976 961607929 /nfs/dbraw/zinc/60/79/29/961607929.db2.gz XWPBWVYZZLMCDF-JQWIXIFHSA-N 0 3 247.317 2.743 20 0 BFADHN CN(C)C1(C)CN(Cc2csc(Cl)c2)C1 ZINC001142770616 961725375 /nfs/dbraw/zinc/72/53/75/961725375.db2.gz GKOAXBUEAQLRHG-UHFFFAOYSA-N 0 3 244.791 2.537 20 0 BFADHN c1cc2c(nccc2CN2CCC[C@H]3C[C@H]32)[nH]1 ZINC001142884183 961810121 /nfs/dbraw/zinc/81/01/21/961810121.db2.gz XOHQWNJZTUMTQY-GXFFZTMASA-N 0 3 227.311 2.547 20 0 BFADHN c1cc2nonc2c(CN2CC3(C2)CCCC3)c1 ZINC001203127367 961858810 /nfs/dbraw/zinc/85/88/10/961858810.db2.gz KFIFZBCIFCYART-UHFFFAOYSA-N 0 3 243.310 2.599 20 0 BFADHN C[C@@H]1C[C@@H](C)CC[N@@H+]1Cc1ccc(O)cc1[O-] ZINC000092762277 961872829 /nfs/dbraw/zinc/87/28/29/961872829.db2.gz RZXWBVZXTYYDSS-WDEREUQCSA-N 0 3 235.327 2.718 20 0 BFADHN C[C@@H]1C[C@@H](C)CC[N@H+]1Cc1ccc(O)cc1[O-] ZINC000092762277 961872830 /nfs/dbraw/zinc/87/28/30/961872830.db2.gz RZXWBVZXTYYDSS-WDEREUQCSA-N 0 3 235.327 2.718 20 0 BFADHN Fc1ccc(CN2CC[C@@H]3CCC[C@@H]3C2)cn1 ZINC001137736163 961877051 /nfs/dbraw/zinc/87/70/51/961877051.db2.gz BNZWOJWWNQLMBU-QWHCGFSZSA-N 0 3 234.318 2.843 20 0 BFADHN COc1cc(C)cc(OC)c1CN1CCC[C@H]1C ZINC001143132769 961885991 /nfs/dbraw/zinc/88/59/91/961885991.db2.gz JTFFKRNJUFJLKC-GFCCVEGCSA-N 0 3 249.354 2.997 20 0 BFADHN CC[C@@H](C)CCNCc1c(C)nn(C)c1Cl ZINC000696237237 962066155 /nfs/dbraw/zinc/06/61/55/962066155.db2.gz RYNMZYZDBOBERH-SECBINFHSA-N 0 3 243.782 2.908 20 0 BFADHN c1ccc([C@H]2CN([C@@H]3C[C@H]4C[C@H]4C3)CCO2)cc1 ZINC001167940183 962162277 /nfs/dbraw/zinc/16/22/77/962162277.db2.gz PHYRDSQOGCWBJW-QKPAOTATSA-N 0 3 243.350 2.858 20 0 BFADHN COc1cccnc1CN(C)CC1CCCC1 ZINC001206650760 962678843 /nfs/dbraw/zinc/67/88/43/962678843.db2.gz XKGIWMKQSDNVBZ-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cccnc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001206650798 962681628 /nfs/dbraw/zinc/68/16/28/962681628.db2.gz YVRIARJMEASRRG-JSGCOSHPSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@H](N[C@@H]1COC[C@H]1C)c1ccc(F)cc1F ZINC000688027511 962802759 /nfs/dbraw/zinc/80/27/59/962802759.db2.gz ZUNALMGNJRMLHE-VYUIOLGVSA-N 0 3 241.281 2.650 20 0 BFADHN CCc1coc(CNc2cc(C)cc(C)n2)n1 ZINC001154774013 962842653 /nfs/dbraw/zinc/84/26/53/962842653.db2.gz WPGFHDPOLQBEQO-UHFFFAOYSA-N 0 3 231.299 2.861 20 0 BFADHN CN(Cc1ccc(NC2CC(F)C2)nc1)C1CC1 ZINC001154843080 962868950 /nfs/dbraw/zinc/86/89/50/962868950.db2.gz GMOFSCBMAIXJKW-UHFFFAOYSA-N 0 3 249.333 2.588 20 0 BFADHN COC1CCN(Cc2cc(C)cc(F)c2)CC1 ZINC001143580236 963147178 /nfs/dbraw/zinc/14/71/78/963147178.db2.gz BCTVLOVNVDNVLX-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cc(F)cc(CN2CC[C@@]3(CCCO3)C2)c1 ZINC001143580598 963163782 /nfs/dbraw/zinc/16/37/82/963163782.db2.gz OYDPQQVUKCDAJH-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN CCN(CCOC)Cc1cc(C)c(F)c(F)c1 ZINC001143591566 963389213 /nfs/dbraw/zinc/38/92/13/963389213.db2.gz IQNHTJZJBAXMJO-UHFFFAOYSA-N 0 3 243.297 2.742 20 0 BFADHN CN1CC[C@H](Nc2cc(Cl)cc(Cl)n2)C1 ZINC001156576573 963398730 /nfs/dbraw/zinc/39/87/30/963398730.db2.gz XQHMIYBDJQHRLI-QMMMGPOBSA-N 0 3 246.141 2.504 20 0 BFADHN Cc1nc(N[C@@H](C)C(C)(C)C)cc(N(C)C)n1 ZINC001157872318 963753323 /nfs/dbraw/zinc/75/33/23/963753323.db2.gz ZRMGXMMTADTULO-VIFPVBQESA-N 0 3 236.363 2.698 20 0 BFADHN CC(=O)c1ccc(C)c(O[C@H](C)CN(C)C)c1 ZINC001228353227 963763563 /nfs/dbraw/zinc/76/35/63/963763563.db2.gz WRYFUPWMQRHKNZ-LLVKDONJSA-N 0 3 235.327 2.527 20 0 BFADHN Cc1ccc(N2CCN(C(C)C)[C@@H](C)C2)nc1C ZINC001158494676 963881435 /nfs/dbraw/zinc/88/14/35/963881435.db2.gz PPKVTGQGANYXEI-ZDUSSCGKSA-N 0 3 247.386 2.617 20 0 BFADHN Cc1ccc(CN)nc1N(C)C1CCC(C)CC1 ZINC001168193400 963955245 /nfs/dbraw/zinc/95/52/45/963955245.db2.gz UALKKOKHAINGTR-UHFFFAOYSA-N 0 3 247.386 2.864 20 0 BFADHN C[C@@H](N)c1ccn(C2CCC(C)CC2)n1 ZINC001168198932 963963155 /nfs/dbraw/zinc/96/31/55/963963155.db2.gz BSPIKUIRIDSFFO-HSOILSAZSA-N 0 3 207.321 2.654 20 0 BFADHN FC(F)(F)c1cccc(NC2=CNCCC2)n1 ZINC001159142991 964007852 /nfs/dbraw/zinc/00/78/52/964007852.db2.gz HRRDGACTDLOAIE-UHFFFAOYSA-N 0 3 243.232 2.737 20 0 BFADHN O=c1cc(NC2=CNCCC2)c2ccccc2[nH]1 ZINC001159188613 964010999 /nfs/dbraw/zinc/01/09/99/964010999.db2.gz SISDDVRADKKNCS-UHFFFAOYSA-N 0 3 241.294 2.577 20 0 BFADHN CC(C)c1ccccc1Nc1ccncc1CN ZINC001159432148 964087353 /nfs/dbraw/zinc/08/73/53/964087353.db2.gz VGESUGAVSWAWCG-UHFFFAOYSA-N 0 3 241.338 2.829 20 0 BFADHN Cn1cc(CN2CCC[C@H]3CCCC[C@H]32)cn1 ZINC000093480643 964143310 /nfs/dbraw/zinc/14/33/10/964143310.db2.gz LUNFGABVXRZGKV-ZIAGYGMSSA-N 0 3 233.359 2.575 20 0 BFADHN Cn1ccnc1Nc1cc2ccccc2n1C ZINC001212040837 964169998 /nfs/dbraw/zinc/16/99/98/964169998.db2.gz ODSMWXIDFZFAHM-UHFFFAOYSA-N 0 3 226.283 2.655 20 0 BFADHN Cc1ccnc(Nc2ccc(CN)nc2)c1Cl ZINC001160402619 964297082 /nfs/dbraw/zinc/29/70/82/964297082.db2.gz YALJUXGYHABQSM-UHFFFAOYSA-N 0 3 248.717 2.641 20 0 BFADHN Cc1cc(NC2CN(C(C)C)C2)ccc1N(C)C ZINC001168317428 964430294 /nfs/dbraw/zinc/43/02/94/964430294.db2.gz LAQSMWWWOBGURZ-UHFFFAOYSA-N 0 3 247.386 2.566 20 0 BFADHN CSCCN(C)[C@@H](C)CC(F)(F)F ZINC000379353263 964542245 /nfs/dbraw/zinc/54/22/45/964542245.db2.gz AGXUDBNNVFTFNN-ZETCQYMHSA-N 0 3 215.284 2.622 20 0 BFADHN CCC1(Nc2cc(N(C)C)nc(C)n2)CCC1 ZINC001161558669 964640826 /nfs/dbraw/zinc/64/08/26/964640826.db2.gz ORVKYRVEZYGGCO-UHFFFAOYSA-N 0 3 234.347 2.596 20 0 BFADHN CCC[C@H](C)Nc1cccc(N2CCOCC2)n1 ZINC001161716555 964705367 /nfs/dbraw/zinc/70/53/67/964705367.db2.gz GHZIUAHDNQZIQC-LBPRGKRZSA-N 0 3 249.358 2.519 20 0 BFADHN OCc1cnccc1N1CC[C@H]2CCCC[C@H]2C1 ZINC000250174835 964800548 /nfs/dbraw/zinc/80/05/48/964800548.db2.gz NJZHQIOIDGUUAS-OLZOCXBDSA-N 0 3 246.354 2.590 20 0 BFADHN Cc1cccnc1CNc1c[nH]cc2ccnc1-2 ZINC001161883362 964807834 /nfs/dbraw/zinc/80/78/34/964807834.db2.gz KASHZQCDXFZXKS-UHFFFAOYSA-N 0 3 238.294 2.878 20 0 BFADHN Cc1cccnc1CNc1cncc2cc[nH]c21 ZINC001161883362 964807837 /nfs/dbraw/zinc/80/78/37/964807837.db2.gz KASHZQCDXFZXKS-UHFFFAOYSA-N 0 3 238.294 2.878 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CNc1ccnc(CN)c1 ZINC001162527417 965029481 /nfs/dbraw/zinc/02/94/81/965029481.db2.gz XGMIVVJRWQXVBL-VXGBXAGGSA-N 0 3 233.359 2.779 20 0 BFADHN CN(Cc1c(N)cccc1F)C(C)(C)C ZINC000382112182 965065445 /nfs/dbraw/zinc/06/54/45/965065445.db2.gz KSQGFWKJWNTXAH-UHFFFAOYSA-N 0 3 210.296 2.638 20 0 BFADHN CC(C)Oc1ccc(CN2CCC[C@@H]3C[C@@H]32)cn1 ZINC001231536840 965268660 /nfs/dbraw/zinc/26/86/60/965268660.db2.gz FFEGFJNDQGXNHA-KGLIPLIRSA-N 0 3 246.354 2.853 20 0 BFADHN Nc1cccc(N[C@@H]2CCCc3ccncc32)n1 ZINC001163166769 965275851 /nfs/dbraw/zinc/27/58/51/965275851.db2.gz OBBPOZQXJNGRPG-GFCCVEGCSA-N 0 3 240.310 2.548 20 0 BFADHN Nc1cccc(N[C@H]2CNCc3ccsc32)c1 ZINC001163228484 965309306 /nfs/dbraw/zinc/30/93/06/965309306.db2.gz BWIOKHFGBBDUIZ-LBPRGKRZSA-N 0 3 245.351 2.587 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1Cc1[nH]nc2c1CCC2 ZINC001232429174 965352060 /nfs/dbraw/zinc/35/20/60/965352060.db2.gz GVPVFVGIKVBQCO-WDEREUQCSA-N 0 3 233.359 2.519 20 0 BFADHN CCOc1ccnc(CN2CCC[C@@H](C)C2)c1 ZINC001232578477 965378894 /nfs/dbraw/zinc/37/88/94/965378894.db2.gz BTHLJVXBVLXHHR-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1cc(C)c(CN2CC[C@H](OC(C)C)C2)cn1 ZINC001233010550 965455279 /nfs/dbraw/zinc/45/52/79/965455279.db2.gz XEZNHVSJOKQGST-HNNXBMFYSA-N 0 3 248.370 2.698 20 0 BFADHN CC(C)N(C)c1ncccc1CN1CCCC1 ZINC001163622727 965487124 /nfs/dbraw/zinc/48/71/24/965487124.db2.gz UFUOMWRFEPEKMM-UHFFFAOYSA-N 0 3 233.359 2.522 20 0 BFADHN C[C@@H](N)c1ccn([C@@H](C)Cc2cccc(F)c2)n1 ZINC001168468838 965831745 /nfs/dbraw/zinc/83/17/45/965831745.db2.gz OZICOEODQAICAU-WDEREUQCSA-N 0 3 247.317 2.846 20 0 BFADHN C[C@@H](Cc1cccc(F)c1)N1CC=CC1 ZINC001168473728 965854339 /nfs/dbraw/zinc/85/43/39/965854339.db2.gz HAKJWUYLQGJGSL-NSHDSACASA-N 0 3 205.276 2.629 20 0 BFADHN C[C@H](COc1ccccc1)NCc1cncs1 ZINC000699369798 965858107 /nfs/dbraw/zinc/85/81/07/965858107.db2.gz OJQAMYZTYVCWHA-LLVKDONJSA-N 0 3 248.351 2.700 20 0 BFADHN Cc1cnc(N2CCN(C(C)(C)C)CC2)cc1C ZINC001165090796 965958900 /nfs/dbraw/zinc/95/89/00/965958900.db2.gz GUSAWWWDLUAQKS-UHFFFAOYSA-N 0 3 247.386 2.619 20 0 BFADHN Cc1cc(C)nc(N2CCN(C(C)(C)C)CC2)c1 ZINC001165089105 965959354 /nfs/dbraw/zinc/95/93/54/965959354.db2.gz WBWJFXBQCZDFMX-UHFFFAOYSA-N 0 3 247.386 2.619 20 0 BFADHN CN(C)C1(C)CN(c2ccc(C(C)(C)C)cn2)C1 ZINC001165122126 965969542 /nfs/dbraw/zinc/96/95/42/965969542.db2.gz PYBDQIPBGOUFDE-UHFFFAOYSA-N 0 3 247.386 2.519 20 0 BFADHN CN(C)Cc1ccnc(N(C)C[C@H]2CC2(C)C)c1 ZINC001165148383 965971354 /nfs/dbraw/zinc/97/13/54/965971354.db2.gz MPJWLZUIONUCNQ-CYBMUJFWSA-N 0 3 247.386 2.626 20 0 BFADHN CN(C)Cc1ccnc(N(C)C[C@@H]2CC2(C)C)c1 ZINC001165148386 965973571 /nfs/dbraw/zinc/97/35/71/965973571.db2.gz MPJWLZUIONUCNQ-ZDUSSCGKSA-N 0 3 247.386 2.626 20 0 BFADHN CCc1ccc(CN2C[C@@H]3C[C@H]2C[C@@H]3F)o1 ZINC001204395539 965978913 /nfs/dbraw/zinc/97/89/13/965978913.db2.gz STSOUJCYEYZZKC-KWBADKCTSA-N 0 3 223.291 2.774 20 0 BFADHN C[C@@H](C(=O)Nc1cccc2c1CNC2)C(C)(C)C ZINC000829142237 966044563 /nfs/dbraw/zinc/04/45/63/966044563.db2.gz GPSOEGKOKUWXHV-JTQLQIEISA-N 0 3 246.354 2.911 20 0 BFADHN C[C@@H](Cc1cccc(F)c1)N1CC[C@]12CCOC2 ZINC001168501667 966100352 /nfs/dbraw/zinc/10/03/52/966100352.db2.gz UGSRDVKTJNLOJN-SWLSCSKDSA-N 0 3 249.329 2.622 20 0 BFADHN Cc1cc(C)nc(N(C)CCc2ccncc2)c1 ZINC001166625764 966340593 /nfs/dbraw/zinc/34/05/93/966340593.db2.gz IWNLZIZONJNMFO-UHFFFAOYSA-N 0 3 241.338 2.772 20 0 BFADHN Cc1cc(Cl)c(Cl)cc1CN1CCNC1 ZINC001236849911 966586116 /nfs/dbraw/zinc/58/61/16/966586116.db2.gz HPROUOXDRLPRIE-UHFFFAOYSA-N 0 3 245.153 2.665 20 0 BFADHN Cc1sccc1CN1CCNC2=CCCC[C@@H]21 ZINC001237227491 966648758 /nfs/dbraw/zinc/64/87/58/966648758.db2.gz AAFWYIKKJFXNCU-AWEZNQCLSA-N 0 3 248.395 2.898 20 0 BFADHN CCc1n[nH]cc1CN1C2CCCC1CCC2 ZINC001237653082 966687263 /nfs/dbraw/zinc/68/72/63/966687263.db2.gz INCADPABQDRMJL-UHFFFAOYSA-N 0 3 233.359 2.879 20 0 BFADHN COc1cccc(-c2cc(N)c(C)cn2)c1C ZINC001238981256 966808589 /nfs/dbraw/zinc/80/85/89/966808589.db2.gz AIJBCPWWCAEHNB-UHFFFAOYSA-N 0 3 228.295 2.956 20 0 BFADHN Cc1cccc(CN2CCOC[C@H]2C(C)C)c1 ZINC001203894941 966814178 /nfs/dbraw/zinc/81/41/78/966814178.db2.gz NFPFGWKDEASFSO-HNNXBMFYSA-N 0 3 233.355 2.852 20 0 BFADHN Cc1ccc(CN2CCCC3(COC3)C2)cc1F ZINC001205214905 966861458 /nfs/dbraw/zinc/86/14/58/966861458.db2.gz FZRXPZQUEKBGPN-UHFFFAOYSA-N 0 3 249.329 2.747 20 0 BFADHN c1cncc(CN2CCCCC23CC3)c1 ZINC001204075755 966882600 /nfs/dbraw/zinc/88/26/00/966882600.db2.gz IGZVCPFGSNZREJ-UHFFFAOYSA-N 0 3 202.301 2.600 20 0 BFADHN C[C@H]1CCN(Cc2ccncc2)CCC1(F)F ZINC001204080467 966886683 /nfs/dbraw/zinc/88/66/83/966886683.db2.gz MWRSTRIGRYTYID-NSHDSACASA-N 0 3 240.297 2.949 20 0 BFADHN COc1cccc(OC)c1CN(C)CC1CCC1 ZINC001204249180 966945911 /nfs/dbraw/zinc/94/59/11/966945911.db2.gz BNLJPDFACCKHNT-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN COc1cccc(OC)c1CN1CCC2(CC2)C1 ZINC001204250728 966947333 /nfs/dbraw/zinc/94/73/33/966947333.db2.gz RSAVKPMKYIFOBL-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN COc1cccc(OC)c1CN1CCCC12CC2 ZINC001204248161 966948380 /nfs/dbraw/zinc/94/83/80/966948380.db2.gz UEBPLFUQXXGSQM-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN CCN(Cc1ccc(OC)c(C)c1OC)C1CC1 ZINC001204257502 966948979 /nfs/dbraw/zinc/94/89/79/966948979.db2.gz YJUYIGJGLWHKMM-UHFFFAOYSA-N 0 3 249.354 2.997 20 0 BFADHN CC(C)Oc1ccc(CN2CCC2)cc1 ZINC001204452460 966999697 /nfs/dbraw/zinc/99/96/97/966999697.db2.gz JYSZXCOGVDPYLJ-UHFFFAOYSA-N 0 3 205.301 2.680 20 0 BFADHN COc1ccc(-c2cccnc2)cc1CN(C)C ZINC001239569568 967002720 /nfs/dbraw/zinc/00/27/20/967002720.db2.gz SRAFIPHUZCGWFF-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN CO[C@H]1CCCN(Cc2cccc(F)c2C)C1 ZINC001204506749 967012039 /nfs/dbraw/zinc/01/20/39/967012039.db2.gz MNBDTNPUZSJUFU-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1c(F)cccc1CN1CC[C@@]2(CCCO2)C1 ZINC001204508242 967013416 /nfs/dbraw/zinc/01/34/16/967013416.db2.gz MXEVTWYTJSCYJY-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN CC(=O)[C@@H]1CCCN(Cc2cccc(F)c2C)C1 ZINC001204511211 967015108 /nfs/dbraw/zinc/01/51/08/967015108.db2.gz SNGRVMLNBFCLJC-CQSZACIVSA-N 0 3 249.329 2.935 20 0 BFADHN Cc1c(F)cccc1CN1CCC[C@]2(CCO2)C1 ZINC001204511846 967019351 /nfs/dbraw/zinc/01/93/51/967019351.db2.gz ZZLYYZVLENQILO-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1ncc(Nc2ccc(N)cc2Cl)n1C ZINC001249730585 967039158 /nfs/dbraw/zinc/03/91/58/967039158.db2.gz GBDWAWCGYXAUHT-UHFFFAOYSA-N 0 3 236.706 2.708 20 0 BFADHN Cc1nc[nH]c1CN(C)CCc1ccc(C)cc1 ZINC001204590556 967082557 /nfs/dbraw/zinc/08/25/57/967082557.db2.gz SSGLIPQNWQSDRY-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN CN1CCc2ccc(-c3ccoc3)cc2C1 ZINC001239692498 967093522 /nfs/dbraw/zinc/09/35/22/967093522.db2.gz WDKUARRASJRDIG-UHFFFAOYSA-N 0 3 213.280 2.935 20 0 BFADHN COc1ccc(CN2CCCC[C@@H]2C)nc1C ZINC001249889802 967133538 /nfs/dbraw/zinc/13/35/38/967133538.db2.gz SSKLTIYLWAAVHE-NSHDSACASA-N 0 3 234.343 2.773 20 0 BFADHN COc1ccc(CN2CCC23CCCC3)nc1C ZINC001249896076 967137972 /nfs/dbraw/zinc/13/79/72/967137972.db2.gz GOIRDZDZAPQAPZ-UHFFFAOYSA-N 0 3 246.354 2.917 20 0 BFADHN CCN(CC)Cc1cccc(NC#N)c1F ZINC001168636636 967160911 /nfs/dbraw/zinc/16/09/11/967160911.db2.gz RNSFNGQLOAMIOP-UHFFFAOYSA-N 0 3 221.279 2.560 20 0 BFADHN CC(C)Oc1cccc(-c2ccc(CN)nc2)c1 ZINC001239967553 967271831 /nfs/dbraw/zinc/27/18/31/967271831.db2.gz GBYLGGYSTZTPOI-UHFFFAOYSA-N 0 3 242.322 2.995 20 0 BFADHN Cc1cc(-c2cc(CN)ncn2)cc(C)c1Cl ZINC001205036773 967298827 /nfs/dbraw/zinc/29/88/27/967298827.db2.gz JHPJIKHXMIMCGO-UHFFFAOYSA-N 0 3 247.729 2.873 20 0 BFADHN C1=C(CC2CCC2)CC[C@H](N2CCOCC2)C1 ZINC001250141242 967428876 /nfs/dbraw/zinc/42/88/76/967428876.db2.gz BLYMDWJPBRBKKL-OAHLLOKOSA-N 0 3 235.371 2.988 20 0 BFADHN F[C@H]1CN(Cc2cccc3[nH]ccc32)C[C@@H]2C[C@@H]21 ZINC001205263760 967458878 /nfs/dbraw/zinc/45/88/78/967458878.db2.gz SRKRRDPGTXRULV-UBHSHLNASA-N 0 3 244.313 2.958 20 0 BFADHN C[C@H]1CCN1[C@H]1Cc2cccc(Cl)c2C1 ZINC001168717551 967616513 /nfs/dbraw/zinc/61/65/13/967616513.db2.gz AJKUUDUJANOQPS-ONGXEEELSA-N 0 3 221.731 2.901 20 0 BFADHN CSCCCCCNCc1ncccc1F ZINC001205477956 967642014 /nfs/dbraw/zinc/64/20/14/967642014.db2.gz PCVXBNGWSDIJFD-UHFFFAOYSA-N 0 3 242.363 2.844 20 0 BFADHN CC(C)c1nc2ccc(N3CCOCC3)cc2[nH]1 ZINC001250415758 967822501 /nfs/dbraw/zinc/82/25/01/967822501.db2.gz HJSQCSNGNWAEFU-UHFFFAOYSA-N 0 3 245.326 2.523 20 0 BFADHN Cc1cnccc1CN1CCC[C@@H](C(F)F)C1 ZINC001205857929 967860547 /nfs/dbraw/zinc/86/05/47/967860547.db2.gz RCOUWTKONBUMKA-GFCCVEGCSA-N 0 3 240.297 2.867 20 0 BFADHN CCc1cc(Nc2c(C)ccnc2F)ccn1 ZINC001212556868 967871951 /nfs/dbraw/zinc/87/19/51/967871951.db2.gz WISVJRPCJRSCEY-UHFFFAOYSA-N 0 3 231.274 2.652 20 0 BFADHN CNCc1cc(/C=C/c2ccc(C)cc2)ncn1 ZINC001206147604 968088334 /nfs/dbraw/zinc/08/83/34/968088334.db2.gz BATDMMQUNZRQST-BQYQJAHWSA-N 0 3 239.322 2.675 20 0 BFADHN CC1(O)CN([C@@H]2CC[C@](C)(c3ccccc3)C2)C1 ZINC001206187172 968116785 /nfs/dbraw/zinc/11/67/85/968116785.db2.gz RUCPHEQJJKKEDW-CABCVRRESA-N 0 3 245.366 2.563 20 0 BFADHN Cc1cc(O)cc(-c2ccc3[nH]c(N)nc3c2)c1 ZINC001206241116 968161847 /nfs/dbraw/zinc/16/18/47/968161847.db2.gz NXQCRTSKSKBLRK-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN Cc1cc(O)cc(-c2ccc3nc(N)[nH]c3c2)c1 ZINC001206241116 968161854 /nfs/dbraw/zinc/16/18/54/968161854.db2.gz NXQCRTSKSKBLRK-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN CN1CCC=C(c2cccc3cccnc32)C1 ZINC001250638839 968180566 /nfs/dbraw/zinc/18/05/66/968180566.db2.gz KLBYUTCOIJGTBY-UHFFFAOYSA-N 0 3 224.307 2.954 20 0 BFADHN Cc1nnc(CNC[C@@H]2CCCC2(C)C)s1 ZINC000698310399 968181002 /nfs/dbraw/zinc/18/10/02/968181002.db2.gz CUZGTNBGYGABDB-JTQLQIEISA-N 0 3 239.388 2.762 20 0 BFADHN C[C@H](COc1ccccc1F)NCc1ccoc1 ZINC001251723702 968307594 /nfs/dbraw/zinc/30/75/94/968307594.db2.gz CKTVAMDNLMDGDM-LLVKDONJSA-N 0 3 249.285 2.976 20 0 BFADHN COc1cnccc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001206446620 968310254 /nfs/dbraw/zinc/31/02/54/968310254.db2.gz HWWHJCFJEPCLPY-STQMWFEESA-N 0 3 246.354 2.712 20 0 BFADHN COc1cnccc1CN1CCC2(CCC2)CC1 ZINC001206449217 968316469 /nfs/dbraw/zinc/31/64/69/968316469.db2.gz TWUPVIGRTFMMOZ-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CC(C)(C)c1ncc(CN[C@H]2C=CCC2)cn1 ZINC000698330782 968333730 /nfs/dbraw/zinc/33/37/30/968333730.db2.gz VVTYYWNMKFRMLJ-LBPRGKRZSA-N 0 3 231.343 2.582 20 0 BFADHN N[C@H]1CN(CCCC2CCCC2)CCC1(F)F ZINC001206649283 968426148 /nfs/dbraw/zinc/42/61/48/968426148.db2.gz SMNIJYQLUFBPSY-LBPRGKRZSA-N 0 3 246.345 2.625 20 0 BFADHN COc1cccnc1CN1CCC[C@@H](C)[C@H]1C ZINC001206644241 968429012 /nfs/dbraw/zinc/42/90/12/968429012.db2.gz KQRULNZRIDMWRO-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN Fc1cnccc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001206784648 968469716 /nfs/dbraw/zinc/46/97/16/968469716.db2.gz VOXNDUHKHKRKHW-VXGBXAGGSA-N 0 3 234.318 2.843 20 0 BFADHN Fc1cnccc1CN1CC2CCC1CC2 ZINC001206785298 968469997 /nfs/dbraw/zinc/46/99/97/968469997.db2.gz CMIAGVFEBXBYKM-UHFFFAOYSA-N 0 3 220.291 2.595 20 0 BFADHN Cc1ncc(CN2CC[C@H]3CCCC[C@H]3C2)n1C ZINC001206794088 968477990 /nfs/dbraw/zinc/47/79/90/968477990.db2.gz IZYPQDOUOOCQER-KGLIPLIRSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1ccnc(CN2CCC[C@H](F)CC2)c1 ZINC001206847948 968500927 /nfs/dbraw/zinc/50/09/27/968500927.db2.gz WXWDBRODRQZDRO-LBPRGKRZSA-N 0 3 222.307 2.714 20 0 BFADHN CCc1cc(CNCCc2ccc(F)cc2)on1 ZINC001120021427 968510285 /nfs/dbraw/zinc/51/02/85/968510285.db2.gz SWBMVMDAWSJDCX-UHFFFAOYSA-N 0 3 248.301 2.708 20 0 BFADHN O=c1[nH]cccc1CN1CC[C@H]1c1ccccc1 ZINC001207009048 968547199 /nfs/dbraw/zinc/54/71/99/968547199.db2.gz PWSKYEAESREGNA-AWEZNQCLSA-N 0 3 240.306 2.734 20 0 BFADHN Fc1cncc(CN2CC[C@@H]3CCC[C@H]3C2)c1 ZINC001207018379 968552610 /nfs/dbraw/zinc/55/26/10/968552610.db2.gz LNVGSCODDMBMFE-STQMWFEESA-N 0 3 234.318 2.843 20 0 BFADHN CC[C@H]1CCCN1Cc1ncccc1F ZINC001207032194 968564451 /nfs/dbraw/zinc/56/44/51/968564451.db2.gz HQYDJGXCEBULTR-JTQLQIEISA-N 0 3 208.280 2.595 20 0 BFADHN CC1(C)CCCN1Cc1ncc2ccccn21 ZINC001207049087 968580835 /nfs/dbraw/zinc/58/08/35/968580835.db2.gz NXGUPJSYFRFUSN-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN CC[C@H]1CCCN1Cc1ncc2ccccn21 ZINC001207052889 968585405 /nfs/dbraw/zinc/58/54/05/968585405.db2.gz GLNAERAUBOQWFQ-LBPRGKRZSA-N 0 3 229.327 2.709 20 0 BFADHN CC[C@@H]1CCCN1Cc1ncc2ccccn21 ZINC001207052888 968587825 /nfs/dbraw/zinc/58/78/25/968587825.db2.gz GLNAERAUBOQWFQ-GFCCVEGCSA-N 0 3 229.327 2.709 20 0 BFADHN CCCC[C@@H](O)CNCc1cccc(F)c1F ZINC001252110119 968591715 /nfs/dbraw/zinc/59/17/15/968591715.db2.gz FTZSFYDBBFAHBY-LLVKDONJSA-N 0 3 243.297 2.606 20 0 BFADHN CCCC[C@@H](O)CN[C@@H](C)c1cc(C)ccn1 ZINC001252108808 968591724 /nfs/dbraw/zinc/59/17/24/968591724.db2.gz SNPHYFFNVWXGLH-QWHCGFSZSA-N 0 3 236.359 2.592 20 0 BFADHN Cc1cnc(CN2CCC23CCCCC3)cn1 ZINC001207076784 968609943 /nfs/dbraw/zinc/60/99/43/968609943.db2.gz WAHFPFDZXRWYLM-UHFFFAOYSA-N 0 3 231.343 2.694 20 0 BFADHN CCc1nccc(CN2[C@H](C)CCC[C@@H]2C)n1 ZINC001207082317 968612501 /nfs/dbraw/zinc/61/25/01/968612501.db2.gz JUHIWZPJHPQAJO-TXEJJXNPSA-N 0 3 233.359 2.802 20 0 BFADHN CCc1nccc(CN2CCC3(CCCC3)C2)n1 ZINC001207086295 968618077 /nfs/dbraw/zinc/61/80/77/968618077.db2.gz MADWIRJAENJMCP-UHFFFAOYSA-N 0 3 245.370 2.805 20 0 BFADHN CCn1ccnc1CN1CCC[C@H](C)[C@@H](C)C1 ZINC001207140165 968651705 /nfs/dbraw/zinc/65/17/05/968651705.db2.gz FKJUGLJEQRRYCJ-STQMWFEESA-N 0 3 235.375 2.771 20 0 BFADHN Cc1cncc(CN2CC[C@@](C)(CF)C2)c1 ZINC001207155713 968665882 /nfs/dbraw/zinc/66/58/82/968665882.db2.gz ZULUFXBLMWQSQS-ZDUSSCGKSA-N 0 3 222.307 2.572 20 0 BFADHN C=Cc1ccc(CN2CCCCC2)cn1 ZINC001240833054 968739511 /nfs/dbraw/zinc/73/95/11/968739511.db2.gz DIWJBHJFIIQTCR-UHFFFAOYSA-N 0 3 202.301 2.711 20 0 BFADHN Cc1ccc(F)cc1-c1cccc(CN)n1 ZINC001240916998 968806052 /nfs/dbraw/zinc/80/60/52/968806052.db2.gz KZZRYKFTRBMFTN-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN Fc1cccc(CCCN2CCOC3(CC3)C2)c1 ZINC001207604777 968827248 /nfs/dbraw/zinc/82/72/48/968827248.db2.gz ZWFHQHGIFVERBP-UHFFFAOYSA-N 0 3 249.329 2.623 20 0 BFADHN C[C@@H](CCCC(C)(C)O)CCN(C)CCF ZINC001207692726 968861422 /nfs/dbraw/zinc/86/14/22/968861422.db2.gz ISJPZMPLHCQQHY-LBPRGKRZSA-N 0 3 233.371 2.855 20 0 BFADHN C[C@H](CCCC(C)(C)O)CCN(C)CCF ZINC001207692722 968862011 /nfs/dbraw/zinc/86/20/11/968862011.db2.gz ISJPZMPLHCQQHY-GFCCVEGCSA-N 0 3 233.371 2.855 20 0 BFADHN CC(C)SCCN1CC(Cc2ccoc2)C1 ZINC001207738632 968871830 /nfs/dbraw/zinc/87/18/30/968871830.db2.gz GQMHPPWKZDJHAK-UHFFFAOYSA-N 0 3 239.384 2.896 20 0 BFADHN Cn1c(=O)cccc1NCCC1=CCCCC1 ZINC001168772688 968927576 /nfs/dbraw/zinc/92/75/76/968927576.db2.gz ZAKFURGCHRBJQM-UHFFFAOYSA-N 0 3 232.327 2.688 20 0 BFADHN CC(=O)[C@@H]1CCCN1C[C@H](C)c1ccccc1 ZINC001207913085 968927652 /nfs/dbraw/zinc/92/76/52/968927652.db2.gz DSZIPBCXUSBVCZ-WFASDCNBSA-N 0 3 231.339 2.844 20 0 BFADHN CC(C)c1ccc(C2=CCN(C)CC2)cn1 ZINC001241328207 968980233 /nfs/dbraw/zinc/98/02/33/968980233.db2.gz ZVOCNTVFGBFJKP-UHFFFAOYSA-N 0 3 216.328 2.924 20 0 BFADHN CCC[C@@H](O)CNC1(c2ccccc2F)CC1 ZINC001252803734 968988785 /nfs/dbraw/zinc/98/87/85/968988785.db2.gz XSUKPHMBYHEQDU-LLVKDONJSA-N 0 3 237.318 2.565 20 0 BFADHN CCC[C@H](O)CN[C@H](CC)c1ccc(C)o1 ZINC001252803643 968988942 /nfs/dbraw/zinc/98/89/42/968988942.db2.gz VKZKVSFCKRWSIC-NWDGAFQWSA-N 0 3 225.332 2.790 20 0 BFADHN OC[C@H]1C[C@H](F)CN(CCCC2CCCC2)C1 ZINC001208087221 968992775 /nfs/dbraw/zinc/99/27/75/968992775.db2.gz CLPAGQNHMCQBEN-KBPBESRZSA-N 0 3 243.366 2.609 20 0 BFADHN Fc1cccc(F)c1CCN1CCC2(CC2)C1 ZINC001208111500 969005981 /nfs/dbraw/zinc/00/59/81/969005981.db2.gz CSEXCJYTSSXALL-UHFFFAOYSA-N 0 3 237.293 2.993 20 0 BFADHN CC/C=C\CCCN1CCCC2(C1)OCCO2 ZINC001208137350 969044880 /nfs/dbraw/zinc/04/48/80/969044880.db2.gz HBGRBSKTKXKBKU-ARJAWSKDSA-N 0 3 239.359 2.572 20 0 BFADHN Cc1cnc2ccccc2c1N1CCCOCC1 ZINC001252956826 969051054 /nfs/dbraw/zinc/05/10/54/969051054.db2.gz QYGYNAXPBVBFID-UHFFFAOYSA-N 0 3 242.322 2.770 20 0 BFADHN CS[C@H](C)CCN1CCc2occc2C1 ZINC001208152474 969077230 /nfs/dbraw/zinc/07/72/30/969077230.db2.gz HMFBESAHIYAHGW-SNVBAGLBSA-N 0 3 225.357 2.779 20 0 BFADHN Cc1ccc([C@@H](C)CCN2C[C@H]3C[C@@H](C2)O3)o1 ZINC001208155150 969078482 /nfs/dbraw/zinc/07/84/82/969078482.db2.gz JQOJKVUUIXORII-WCFLWFBJSA-N 0 3 235.327 2.555 20 0 BFADHN CC1=C[C@H](C)[C@@H](CN2CC3(C2)CCCO3)CC1 ZINC001208162913 969094795 /nfs/dbraw/zinc/09/47/95/969094795.db2.gz SQKGFJRKNVLCFV-UONOGXRCSA-N 0 3 235.371 2.844 20 0 BFADHN CN(C)/C=C/c1ccncc1-c1ccc(F)cn1 ZINC001241810786 969123355 /nfs/dbraw/zinc/12/33/55/969123355.db2.gz YAFYIWKJPSZELK-SOFGYWHQSA-N 0 3 243.285 2.815 20 0 BFADHN CCc1nn(C)c(CC)c1CNC/C=C\C1CC1 ZINC001324490060 969139569 /nfs/dbraw/zinc/13/95/69/969139569.db2.gz DMXOTQVQAPJYDM-SREVYHEPSA-N 0 3 247.386 2.601 20 0 BFADHN CC/C=C/NC[C@H](O)c1ccc(F)c(F)c1 ZINC001253381061 969144577 /nfs/dbraw/zinc/14/45/77/969144577.db2.gz UBKBUKSEYLCBPZ-UXONFWTHSA-N 0 3 227.254 2.512 20 0 BFADHN CCCCC[C@H](O)CNCc1ccc(C)o1 ZINC001253517474 969196832 /nfs/dbraw/zinc/19/68/32/969196832.db2.gz GMYMAFMVDIOMKR-LBPRGKRZSA-N 0 3 225.332 2.619 20 0 BFADHN CCCCC[C@@H](O)CN1CCCC[C@@H](F)C1 ZINC001253524686 969200862 /nfs/dbraw/zinc/20/08/62/969200862.db2.gz DWDCITMTVBZAQV-CHWSQXEVSA-N 0 3 231.355 2.752 20 0 BFADHN CC/C=C\CCN1CCCC(=O)[C@H](C)C1 ZINC001208726264 969211581 /nfs/dbraw/zinc/21/15/81/969211581.db2.gz ZLSLRWXANLSODC-QMAVJUDZSA-N 0 3 209.333 2.644 20 0 BFADHN CC/C=C\CCN1CCC[C@H]1c1cnccn1 ZINC001208728612 969214542 /nfs/dbraw/zinc/21/45/42/969214542.db2.gz GVWQDLCBFADMOH-NQHOJNORSA-N 0 3 231.343 2.970 20 0 BFADHN CC/C=C\CCN1CCCC[C@@H]1C(C)=O ZINC001208730612 969218883 /nfs/dbraw/zinc/21/88/83/969218883.db2.gz YUMBCEOZZUFNST-DSYXLKISSA-N 0 3 209.333 2.786 20 0 BFADHN CCCCC[C@@H](O)CN[C@@H]1CCc2cccnc21 ZINC001253553664 969227880 /nfs/dbraw/zinc/22/78/80/969227880.db2.gz KVTFGPIBDKVBRQ-ZIAGYGMSSA-N 0 3 248.370 2.600 20 0 BFADHN CCCCC[C@@H](O)CNC1(c2cccnc2)CC1 ZINC001253556731 969230189 /nfs/dbraw/zinc/23/01/89/969230189.db2.gz YTSZGAHXBDYVEH-CQSZACIVSA-N 0 3 248.370 2.602 20 0 BFADHN Cc1nc(Cl)ccc1NC1=CCN(C)CC1 ZINC001208741865 969233886 /nfs/dbraw/zinc/23/38/86/969233886.db2.gz PDCHYHGSAQJUQC-UHFFFAOYSA-N 0 3 237.734 2.675 20 0 BFADHN CCc1cccc(NC2=CCN(C)CC2)c1 ZINC001208838021 969247445 /nfs/dbraw/zinc/24/74/45/969247445.db2.gz MPPIDHGAVUDEBI-UHFFFAOYSA-N 0 3 216.328 2.880 20 0 BFADHN COc1ccccc1CCCN1CC(C)(C)C1 ZINC001208835740 969253083 /nfs/dbraw/zinc/25/30/83/969253083.db2.gz OPONCMIYWUFJIY-UHFFFAOYSA-N 0 3 233.355 2.970 20 0 BFADHN CN1CC=C(Nc2ccc(Cl)cc2O)CC1 ZINC001208843661 969256037 /nfs/dbraw/zinc/25/60/37/969256037.db2.gz FQJRJFXHWXIOKM-UHFFFAOYSA-N 0 3 238.718 2.677 20 0 BFADHN COc1cc(C)ccc1NC1=CCN(C)CC1 ZINC001208843658 969257420 /nfs/dbraw/zinc/25/74/20/969257420.db2.gz FNVFXNPPTWNACP-UHFFFAOYSA-N 0 3 232.327 2.635 20 0 BFADHN CN1CC=C(Nc2ccccc2C(C)(C)O)CC1 ZINC001208844726 969258168 /nfs/dbraw/zinc/25/81/68/969258168.db2.gz UYYNLMWHVOBZNF-UHFFFAOYSA-N 0 3 246.354 2.545 20 0 BFADHN Cn1cnc2c1CN(CC1(C)CCCCC1)CC2 ZINC001208901594 969293552 /nfs/dbraw/zinc/29/35/52/969293552.db2.gz BPUPTHPPNXTCLO-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN C[C@H]1CCCN1CCc1cc(F)cc(F)c1 ZINC001209128770 969352491 /nfs/dbraw/zinc/35/24/91/969352491.db2.gz QKILTZRECWNWJR-JTQLQIEISA-N 0 3 225.282 2.992 20 0 BFADHN Fc1cc(F)cc(CCN2C[C@H]3CC[C@@H]2C3)c1 ZINC001209140792 969358905 /nfs/dbraw/zinc/35/89/05/969358905.db2.gz NOYLUPJKTKIYBI-SMDDNHRTSA-N 0 3 237.293 2.992 20 0 BFADHN CC(C)C[C@H](Cc1ccccc1)N1CC(C)(O)C1 ZINC001169069996 969381666 /nfs/dbraw/zinc/38/16/66/969381666.db2.gz MMXWPEYGBQALCE-OAHLLOKOSA-N 0 3 247.382 2.710 20 0 BFADHN c1csc(CCN2CCc3occc3C2)c1 ZINC001209225478 969413021 /nfs/dbraw/zinc/41/30/21/969413021.db2.gz BADSNBAMGNMQSH-UHFFFAOYSA-N 0 3 233.336 2.942 20 0 BFADHN CN(Cc1ccno1)CC1Cc2ccccc2C1 ZINC001209322465 969442017 /nfs/dbraw/zinc/44/20/17/969442017.db2.gz CMNWWWXYXBAWAJ-UHFFFAOYSA-N 0 3 242.322 2.521 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCCC(F)(F)[C@H](F)C1 ZINC001209417394 969482904 /nfs/dbraw/zinc/48/29/04/969482904.db2.gz NTPHYGDGRNQVKH-OPRDCNLKSA-N 0 3 221.266 2.712 20 0 BFADHN C[C@H](N)c1ccn(-c2cccc3c2CCCC3)n1 ZINC001169230735 969502765 /nfs/dbraw/zinc/50/27/65/969502765.db2.gz BFGRWNUDWOHCFI-NSHDSACASA-N 0 3 241.338 2.771 20 0 BFADHN Cc1ccc2[nH]ccc2c1-n1ccc([C@H](C)N)n1 ZINC001169231219 969503217 /nfs/dbraw/zinc/50/32/17/969503217.db2.gz GDMQQJYSASKIHB-JTQLQIEISA-N 0 3 240.310 2.682 20 0 BFADHN C[C@H](N)c1ccn(-c2ccc3sccc3n2)n1 ZINC001169229735 969504748 /nfs/dbraw/zinc/50/47/48/969504748.db2.gz YAGQZIWPZXIOMH-QMMMGPOBSA-N 0 3 244.323 2.502 20 0 BFADHN C[C@H](N)c1ccn(-c2ccc3ccoc3c2)n1 ZINC001169233485 969513094 /nfs/dbraw/zinc/51/30/94/969513094.db2.gz QYMMSUZPHIGOEP-VIFPVBQESA-N 0 3 227.267 2.638 20 0 BFADHN Cc1ccc(-n2ccc([C@H](C)N)n2)c2cc[nH]c21 ZINC001169233579 969515092 /nfs/dbraw/zinc/51/50/92/969515092.db2.gz AHOCCEZTPBYBSL-JTQLQIEISA-N 0 3 240.310 2.682 20 0 BFADHN Cc1c[nH]c2c1cccc2-n1ccc([C@H](C)N)n1 ZINC001169234192 969515783 /nfs/dbraw/zinc/51/57/83/969515783.db2.gz USFODVCEFHZBFT-JTQLQIEISA-N 0 3 240.310 2.682 20 0 BFADHN C[C@H](N)c1ccn(-c2ccc3[nH]ccc3c2F)n1 ZINC001169234984 969517758 /nfs/dbraw/zinc/51/77/58/969517758.db2.gz NDSDYBHUHUWLFA-QMMMGPOBSA-N 0 3 244.273 2.512 20 0 BFADHN C[C@H](N)c1ccn(-c2ccc(C(C)(C)C)nc2)n1 ZINC001169234705 969519459 /nfs/dbraw/zinc/51/94/59/969519459.db2.gz KGOINEPBLLGMAQ-JTQLQIEISA-N 0 3 244.342 2.585 20 0 BFADHN F[C@H]1CN(C2CCC(F)(F)CC2)C[C@@H]2C[C@@H]21 ZINC001254218654 969535597 /nfs/dbraw/zinc/53/55/97/969535597.db2.gz OPVUQBBBCRONIZ-LSJOCFKGSA-N 0 3 233.277 2.854 20 0 BFADHN CC(=O)[C@@H]1CCCN1C1CCC(F)(F)CC1 ZINC001254229469 969548671 /nfs/dbraw/zinc/54/86/71/969548671.db2.gz JKMCBQRXQOWIGF-NSHDSACASA-N 0 3 231.286 2.618 20 0 BFADHN CCCCCN(C)Cc1ccnc(OC)c1 ZINC001209637950 969569865 /nfs/dbraw/zinc/56/98/65/969569865.db2.gz XVVRYVJWXTYPBT-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN COC[C@H]1CCN1Cc1c[nH]c2cc(F)ccc12 ZINC001209695265 969573633 /nfs/dbraw/zinc/57/36/33/969573633.db2.gz HEWRHJVPQGLDIJ-GFCCVEGCSA-N 0 3 248.301 2.528 20 0 BFADHN c1cc(CN2CCC[C@@H]2c2ccncc2)c[nH]1 ZINC000289970920 969574042 /nfs/dbraw/zinc/57/40/42/969574042.db2.gz ZEDZYGGXXNTDKU-CQSZACIVSA-N 0 3 227.311 2.747 20 0 BFADHN Fc1ccc2c(CN3CC=CC3)c[nH]c2c1 ZINC001209690005 969575558 /nfs/dbraw/zinc/57/55/58/969575558.db2.gz QVVVEAUEXNOEJR-UHFFFAOYSA-N 0 3 216.259 2.679 20 0 BFADHN Cn1ncc2c1CCN(C1CCC3(CC3)CC1)C2 ZINC001254446074 969637252 /nfs/dbraw/zinc/63/72/52/969637252.db2.gz VSGFEHLYTGOUHP-UHFFFAOYSA-N 0 3 245.370 2.501 20 0 BFADHN CCC/C=C/c1cc(N2CCOCC2)ccn1 ZINC001242252808 969637804 /nfs/dbraw/zinc/63/78/04/969637804.db2.gz MOEHCIHIEVFZLL-SNAWJCMRSA-N 0 3 232.327 2.732 20 0 BFADHN CCC/C=C/c1ccc(CN(C)C)cn1 ZINC001242253215 969643468 /nfs/dbraw/zinc/64/34/68/969643468.db2.gz PLVAVFXVFHHQEK-VOTSOKGWSA-N 0 3 204.317 2.957 20 0 BFADHN CO[C@H](C)c1cccc(Nc2nccn2C)c1 ZINC001209946694 969708028 /nfs/dbraw/zinc/70/80/28/969708028.db2.gz PYAVYIRNFWDIJO-SNVBAGLBSA-N 0 3 231.299 2.871 20 0 BFADHN C[C@@]1(F)CCCN([C@H]2C[C@@H]3CC(=O)C[C@@H]3C2)C1 ZINC001254944610 969832227 /nfs/dbraw/zinc/83/22/27/969832227.db2.gz CBBXTIWGHDGZKU-OWTLIXCDSA-N 0 3 239.334 2.568 20 0 BFADHN C[C@]1(F)CCCN(C2C[C@@H]3CC(=O)C[C@H]3C2)C1 ZINC001254944608 969833606 /nfs/dbraw/zinc/83/36/06/969833606.db2.gz CBBXTIWGHDGZKU-GYSYKLTISA-N 0 3 239.334 2.568 20 0 BFADHN CCCCC(=O)[C@H](C)NC1Cc2ccccc2C1 ZINC001255015228 969847145 /nfs/dbraw/zinc/84/71/45/969847145.db2.gz DWZBDTZAGPAISU-LBPRGKRZSA-N 0 3 245.366 2.891 20 0 BFADHN CC(C)[C@@H]1CN(C2Cc3ccccc3C2)CCO1 ZINC001255025258 969851875 /nfs/dbraw/zinc/85/18/75/969851875.db2.gz CARKMBLXEUNZEI-INIZCTEOSA-N 0 3 245.366 2.511 20 0 BFADHN NCc1cc(N[C@@H]2C[C@H]2c2ccccc2)ccn1 ZINC001169813591 969866252 /nfs/dbraw/zinc/86/62/52/969866252.db2.gz WNSYJCZJMRIQTI-LSDHHAIUSA-N 0 3 239.322 2.508 20 0 BFADHN CCc1cc(Nc2cccc(F)c2OC)ccn1 ZINC001210404384 969884414 /nfs/dbraw/zinc/88/44/14/969884414.db2.gz VAJLVAFIHIOFEK-UHFFFAOYSA-N 0 3 246.285 2.957 20 0 BFADHN CCc1cc(Nc2c[nH]c3ncccc23)ccn1 ZINC001210937538 970071206 /nfs/dbraw/zinc/07/12/06/970071206.db2.gz TUUGRMIRRLUWNF-UHFFFAOYSA-N 0 3 238.294 2.686 20 0 BFADHN CCCC[C@@H](C)N1CCCC[C@H]1C(=O)OCC ZINC001255330416 970111306 /nfs/dbraw/zinc/11/13/06/970111306.db2.gz SZEPRPGWUZKSIJ-OLZOCXBDSA-N 0 3 241.375 2.983 20 0 BFADHN CC[C@H](C)Nc1cc(Cl)nc2c1CCNC2 ZINC001157238771 970167857 /nfs/dbraw/zinc/16/78/57/970167857.db2.gz SXTISDMDLZCIAX-QMMMGPOBSA-N 0 3 239.750 2.591 20 0 BFADHN CO[C@@H]1CN([C@@H](C)CCCC(C)C)C[C@@H]1F ZINC001170077314 970177519 /nfs/dbraw/zinc/17/75/19/970177519.db2.gz ISEAYVUEHHVDSE-RWMBFGLXSA-N 0 3 231.355 2.870 20 0 BFADHN CC(C)CCC[C@H](C)N1C[C@H](F)C[C@H](CO)C1 ZINC001170078543 970182796 /nfs/dbraw/zinc/18/27/96/970182796.db2.gz UFEDXFODNKRLIK-MELADBBJSA-N 0 3 245.382 2.854 20 0 BFADHN CC(C)CCC[C@@H](C)N1CCc2c(cnn2C)C1 ZINC001170087369 970211256 /nfs/dbraw/zinc/21/12/56/970211256.db2.gz DGDHSJJFNBRAMB-CYBMUJFWSA-N 0 3 249.402 2.993 20 0 BFADHN C[C@@H]1CC[C@@H](NCC(=O)c2ccc(F)cc2)C1 ZINC001170098211 970271398 /nfs/dbraw/zinc/27/13/98/970271398.db2.gz ORYBVMPYIKVHBM-ZWNOBZJWSA-N 0 3 235.302 2.787 20 0 BFADHN CC1(C)C[C@H](NCOc2ccccc2)CCO1 ZINC001255529046 970290244 /nfs/dbraw/zinc/29/02/44/970290244.db2.gz GLIJLPXSSMNTIX-GFCCVEGCSA-N 0 3 235.327 2.570 20 0 BFADHN Cc1ccc(C2(N[C@H]3CC[C@H](C)C3)COC2)cc1 ZINC001170105774 970307880 /nfs/dbraw/zinc/30/78/80/970307880.db2.gz TXBIZNZYSOJZOF-ZFWWWQNUSA-N 0 3 245.366 2.999 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cc3ccccn3n2)C1 ZINC001170120548 970353899 /nfs/dbraw/zinc/35/38/99/970353899.db2.gz ITEUOLYQLFRACP-VXGBXAGGSA-N 0 3 229.327 2.613 20 0 BFADHN C[C@H]1CC[C@@H](N2CCc3cnccc3C2)C1 ZINC001170131805 970394010 /nfs/dbraw/zinc/39/40/10/970394010.db2.gz KRJKNVSDXDIPFR-SMDDNHRTSA-N 0 3 216.328 2.628 20 0 BFADHN CN(C)Cc1ccc(-c2ccc(O)cc2F)nc1 ZINC001206037759 970399785 /nfs/dbraw/zinc/39/97/85/970399785.db2.gz NWWMDLZILKQQME-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN CC[C@H](C)[C@@H](C(=O)OC)N(C)[C@@H]1CC[C@H](C)C1 ZINC001170147567 970441018 /nfs/dbraw/zinc/44/10/18/970441018.db2.gz CKGLFELOBPGADM-RVMXOQNASA-N 0 3 241.375 2.695 20 0 BFADHN CCN(CCn1ccnc1)[C@@H](C)CC(F)(F)F ZINC001255660666 970444336 /nfs/dbraw/zinc/44/43/36/970444336.db2.gz KCITWJIRORFAQS-JTQLQIEISA-N 0 3 249.280 2.546 20 0 BFADHN CC(C)O[C@@H]1CCN([C@H](C)CC(F)(F)F)C1 ZINC001255660369 970444575 /nfs/dbraw/zinc/44/45/75/970444575.db2.gz HQEBPJCKDJWJCT-NXEZZACHSA-N 0 3 239.281 2.827 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCc3ccncc3C2)C1 ZINC001170154371 970477452 /nfs/dbraw/zinc/47/74/52/970477452.db2.gz YJGGNJVWHNZBJM-BXUZGUMPSA-N 0 3 216.328 2.628 20 0 BFADHN Cc1ccc(C[C@@H](C)N2C=C(N)C=CC2)cc1 ZINC001255782666 970487294 /nfs/dbraw/zinc/48/72/94/970487294.db2.gz YHQVTHYPPWIGCF-CYBMUJFWSA-N 0 3 228.339 2.598 20 0 BFADHN Cc1ccc(C[C@H](C)N2CC[C@H](F)C2)cc1 ZINC001255786896 970489584 /nfs/dbraw/zinc/48/95/84/970489584.db2.gz DBVXBMARMBDIKJ-JSGCOSHPSA-N 0 3 221.319 2.970 20 0 BFADHN Cc1ccc(C[C@H](C)N2CCO[C@H](C)C2)cc1 ZINC001255788234 970493627 /nfs/dbraw/zinc/49/36/27/970493627.db2.gz UGLBNGPJNMALSA-UONOGXRCSA-N 0 3 233.355 2.647 20 0 BFADHN CC(=O)[C@@H]1CCCN1[C@@H](C)Cc1ccc(C)cc1 ZINC001255796193 970497426 /nfs/dbraw/zinc/49/74/26/970497426.db2.gz UGEGEAKXWNOFBQ-BBRMVZONSA-N 0 3 245.366 2.979 20 0 BFADHN CCN([C@@H](C)Cc1ccc(C)cc1)C1COC1 ZINC001255794826 970498804 /nfs/dbraw/zinc/49/88/04/970498804.db2.gz ZIOFTHPCKBZKQA-ZDUSSCGKSA-N 0 3 233.355 2.647 20 0 BFADHN COc1cc2c(cn1)CCN([C@H]1CC[C@@H](C)C1)C2 ZINC001170160899 970503462 /nfs/dbraw/zinc/50/34/62/970503462.db2.gz KCSWEVUQKCFPKJ-RISCZKNCSA-N 0 3 246.354 2.637 20 0 BFADHN C[C@@H](N)c1nccn1[C@@H](C)Cc1ccccc1F ZINC001255829980 970507866 /nfs/dbraw/zinc/50/78/66/970507866.db2.gz QLUWNBYYXFNSTF-WDEREUQCSA-N 0 3 247.317 2.846 20 0 BFADHN C[C@H](Cc1ccc(F)cc1)NC1(CF)CC1 ZINC001255856795 970520411 /nfs/dbraw/zinc/52/04/11/970520411.db2.gz XSDHFRGDLIWHGG-SNVBAGLBSA-N 0 3 225.282 2.848 20 0 BFADHN C[C@@H](Cc1ccc(F)cc1)NC1(CF)CC1 ZINC001255856793 970522879 /nfs/dbraw/zinc/52/28/79/970522879.db2.gz XSDHFRGDLIWHGG-JTQLQIEISA-N 0 3 225.282 2.848 20 0 BFADHN C[C@H](Cc1ccc(F)cc1)N(C)Cc1ccno1 ZINC001255877322 970536830 /nfs/dbraw/zinc/53/68/30/970536830.db2.gz FXESJUPDPJEMDQ-LLVKDONJSA-N 0 3 248.301 2.877 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)Nc1ccccc1C ZINC000400762807 970629189 /nfs/dbraw/zinc/62/91/89/970629189.db2.gz JBBBACMKZWDNAY-JQWIXIFHSA-N 0 3 234.343 2.697 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)NC1CCCCCC1 ZINC000400956258 970646184 /nfs/dbraw/zinc/64/61/84/970646184.db2.gz KKJQTZWAJFJXSU-AAEUAGOBSA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N(CC)C1CCCC1 ZINC000400952471 970646388 /nfs/dbraw/zinc/64/63/88/970646388.db2.gz JKMJRINWCVQTDB-AAEUAGOBSA-N 0 3 240.391 2.541 20 0 BFADHN Cc1cncc2cccc(NCC3CN(C)C3)c12 ZINC001170254824 970670825 /nfs/dbraw/zinc/67/08/25/970670825.db2.gz UNEOEVSIZAWFIP-UHFFFAOYSA-N 0 3 241.338 2.517 20 0 BFADHN CC(C)CC[C@@H](C)NCc1cn(CCF)cn1 ZINC001256358103 970756649 /nfs/dbraw/zinc/75/66/49/970756649.db2.gz CGFGNUUAFXCLKW-GFCCVEGCSA-N 0 3 241.354 2.767 20 0 BFADHN CC(C)CC[C@@H](C)N[C@@H](CO)c1ccccn1 ZINC001256357122 970758256 /nfs/dbraw/zinc/75/82/56/970758256.db2.gz BKDSPSLCONQYLL-OCCSQVGLSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)CC[C@@H](C)NC1(c2cnccn2)CC1 ZINC001256359474 970759288 /nfs/dbraw/zinc/75/92/88/970759288.db2.gz RLNBUFUAXOXJHA-GFCCVEGCSA-N 0 3 233.359 2.880 20 0 BFADHN CCc1cccc(CN2CCN(CC)C[C@H]2C)c1 ZINC001144329140 972766977 /nfs/dbraw/zinc/76/69/77/972766977.db2.gz OWGIXVNIBCUBMO-CQSZACIVSA-N 0 3 246.398 2.775 20 0 BFADHN CCc1cccc(CN2[C@@H]3CC[C@H]2CC(=O)C3)c1 ZINC001144318668 972767656 /nfs/dbraw/zinc/76/76/56/972767656.db2.gz HTPJVIQRDMKTFL-GASCZTMLSA-N 0 3 243.350 2.945 20 0 BFADHN CCCCN(Cc1nc[nH]n1)C1CCCCC1 ZINC001327866392 972768040 /nfs/dbraw/zinc/76/80/40/972768040.db2.gz WPUVKOLWGHGKRO-UHFFFAOYSA-N 0 3 236.363 2.740 20 0 BFADHN CCN(Cc1cccn1C1CC1)C[C@H](C)OC ZINC001327953020 972827191 /nfs/dbraw/zinc/82/71/91/972827191.db2.gz SGPQTLXZCIKJHN-LBPRGKRZSA-N 0 3 236.359 2.680 20 0 BFADHN CCCCCN(C)Cc1cnc(OC)c(F)c1 ZINC001144451020 972828352 /nfs/dbraw/zinc/82/83/52/972828352.db2.gz MENZTZCSODCHAT-UHFFFAOYSA-N 0 3 240.322 2.851 20 0 BFADHN CN(Cc1ncn2ccccc12)C[C@H]1CC1(C)C ZINC001144624264 972885276 /nfs/dbraw/zinc/88/52/76/972885276.db2.gz BUWLFNPCFUILJI-GFCCVEGCSA-N 0 3 243.354 2.812 20 0 BFADHN C[C@H]1CCCN(Cc2ncn3ccccc23)C1 ZINC001144611032 972886621 /nfs/dbraw/zinc/88/66/21/972886621.db2.gz IMAJDBFISULERT-LBPRGKRZSA-N 0 3 229.327 2.566 20 0 BFADHN c1nc(CN2CCCCCC2)c2ccccn12 ZINC001144611443 972886922 /nfs/dbraw/zinc/88/69/22/972886922.db2.gz OJXATDCWVDTMDJ-UHFFFAOYSA-N 0 3 229.327 2.710 20 0 BFADHN C[C@@H]1CCCCN1Cc1ncn2ccccc12 ZINC001144611859 972888412 /nfs/dbraw/zinc/88/84/12/972888412.db2.gz VCPZNZKMFSWPET-GFCCVEGCSA-N 0 3 229.327 2.709 20 0 BFADHN c1cc2cccc(CN3CCCC34COC4)c2[nH]1 ZINC001144716787 972955076 /nfs/dbraw/zinc/95/50/76/972955076.db2.gz IRGIYKQGFYIZSL-UHFFFAOYSA-N 0 3 242.322 2.533 20 0 BFADHN CCc1nocc1CN(CC)C(C)(C)C ZINC001328412884 973031084 /nfs/dbraw/zinc/03/10/84/973031084.db2.gz NGKRVOJZWZZQAG-UHFFFAOYSA-N 0 3 210.321 2.857 20 0 BFADHN Clc1ccnc(CN2C[C@@H]3CCC[C@H]3C2)c1 ZINC000126195277 973069385 /nfs/dbraw/zinc/06/93/85/973069385.db2.gz XSADFDVHDVUSLT-QWRGUYRKSA-N 0 3 236.746 2.967 20 0 BFADHN Fc1cc(CN2CC[C@@H](C3CCC3)C2)ccn1 ZINC001328868433 973377123 /nfs/dbraw/zinc/37/71/23/973377123.db2.gz XGXXZYBZUNEXGW-CYBMUJFWSA-N 0 3 234.318 2.843 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1ccnc(F)c1 ZINC001328868144 973378332 /nfs/dbraw/zinc/37/83/32/973378332.db2.gz XEMHIPFIHIBRJV-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cc(C)n[nH]2)C1 ZINC001329077202 973465235 /nfs/dbraw/zinc/46/52/35/973465235.db2.gz AEZALFVRRICKBA-ITDFMYJTSA-N 0 3 233.359 2.896 20 0 BFADHN c1cc(N2CC3(CCN3C3CCCC3)C2)cs1 ZINC001276967962 973614449 /nfs/dbraw/zinc/61/44/49/973614449.db2.gz XIYLUMSIIZAZNK-UHFFFAOYSA-N 0 3 248.395 2.955 20 0 BFADHN CC(C)Cn1nccc1CNC/C=C\C1CC1 ZINC001329412903 973751791 /nfs/dbraw/zinc/75/17/91/973751791.db2.gz CCMZJTJIFSPPSS-ARJAWSKDSA-N 0 3 233.359 2.595 20 0 BFADHN C[C@@H]1CCN1[C@@H]1CCc2c(F)cc(F)cc2C1 ZINC001171366942 973962161 /nfs/dbraw/zinc/96/21/61/973962161.db2.gz QPODGSDSZMFMSC-BXKDBHETSA-N 0 3 237.293 2.916 20 0 BFADHN CN(CCF)[C@@H]1CCc2cc(F)c(F)cc2C1 ZINC001171501115 974088021 /nfs/dbraw/zinc/08/80/21/974088021.db2.gz VKAWCMUWTMLAQV-LLVKDONJSA-N 0 3 243.272 2.723 20 0 BFADHN Cc1cccc2c1CC[C@H](N1C=C(N)C=CC1)C2 ZINC001171556127 974097402 /nfs/dbraw/zinc/09/74/02/974097402.db2.gz BMYBEMCEKCOTIG-HNNXBMFYSA-N 0 3 240.350 2.524 20 0 BFADHN C[C@@H](N[C@H]1Cc2cccc(F)c2C1)c1ccno1 ZINC001171790555 974180060 /nfs/dbraw/zinc/18/00/60/974180060.db2.gz NSZAGMKMVHHLRF-KOLCDFICSA-N 0 3 246.285 2.632 20 0 BFADHN Fc1cccc2c1C[C@@H](N1CC3(CCC3)C1)C2 ZINC001171793192 974189525 /nfs/dbraw/zinc/18/95/25/974189525.db2.gz KECNNKJIBGGLDD-LBPRGKRZSA-N 0 3 231.314 2.779 20 0 BFADHN Fc1ccccc1OC1CCN(C2CC2)CC1 ZINC001225201084 974222838 /nfs/dbraw/zinc/22/28/38/974222838.db2.gz CBBYLYJITJJBBB-UHFFFAOYSA-N 0 3 235.302 2.831 20 0 BFADHN FC1(F)CC[C@@H]2CN(Cc3ccccn3)C[C@@H]21 ZINC000096054644 974256569 /nfs/dbraw/zinc/25/65/69/974256569.db2.gz YSSBTCMBMVECRZ-PWSUYJOCSA-N 0 3 238.281 2.559 20 0 BFADHN Cc1cccc(O[C@@H]2CN3CCC2CC3)c1C ZINC001225221165 974257341 /nfs/dbraw/zinc/25/73/41/974257341.db2.gz ZXFQUQCLGMFBJJ-OAHLLOKOSA-N 0 3 231.339 2.776 20 0 BFADHN CO[C@@H](CN(C)CCC(F)(F)F)C1CCC1 ZINC001330548540 974306874 /nfs/dbraw/zinc/30/68/74/974306874.db2.gz ZCUFJPSZLIDSIF-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN CC(=O)C(C)(C)N[C@H]1CSc2ccccc2C1 ZINC001172190286 974400742 /nfs/dbraw/zinc/40/07/42/974400742.db2.gz JIRKWTPMKFXIBS-GFCCVEGCSA-N 0 3 249.379 2.661 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C3CCC3)C2)[nH]n1 ZINC001330891355 974525229 /nfs/dbraw/zinc/52/52/29/974525229.db2.gz AUSCEVPKBWURNW-CYBMUJFWSA-N 0 3 233.359 2.730 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)n1ccnc1[C@H](C)N ZINC001172318076 974527276 /nfs/dbraw/zinc/52/72/76/974527276.db2.gz XZPSBXKVWFJDSV-WOPDTQHZSA-N 0 3 239.363 2.669 20 0 BFADHN CC(C)c1ncccc1O[C@H]1CCCN(C)C1 ZINC001225520734 974536556 /nfs/dbraw/zinc/53/65/56/974536556.db2.gz ATOFFOUZLLXHSG-LBPRGKRZSA-N 0 3 234.343 2.678 20 0 BFADHN C[C@@H]1CC[C@@H](N(C)Cc2cnccn2)C[C@@H]1C ZINC001172373787 974569534 /nfs/dbraw/zinc/56/95/34/974569534.db2.gz NLOXQWBTXKUFBA-MBNYWOFBSA-N 0 3 233.359 2.733 20 0 BFADHN CC(C)OC1CN([C@@H]2CC[C@H](C)[C@H](C)C2)C1 ZINC001172376686 974579177 /nfs/dbraw/zinc/57/91/77/974579177.db2.gz FNAVGNYHPXIGJV-YNEHKIRRSA-N 0 3 225.376 2.920 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001172331105 974594443 /nfs/dbraw/zinc/59/44/43/974594443.db2.gz PBQXTHCLNNJXTH-HTVCTNPSSA-N 0 3 243.366 2.870 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N(C)Cc1ccno1 ZINC001172344886 974614087 /nfs/dbraw/zinc/61/40/87/974614087.db2.gz BNKFSASUIUBOIX-NEPJUHHUSA-N 0 3 240.347 2.700 20 0 BFADHN C[C@H](N)c1nccn1[C@@H]1CC[C@@H](C)[C@@H](C)C1 ZINC001172357003 974623196 /nfs/dbraw/zinc/62/31/96/974623196.db2.gz ALCOMCWQTGUTKC-NOOOWODRSA-N 0 3 221.348 2.900 20 0 BFADHN C[C@H](N)c1nccn1[C@@H]1CC[C@H](C)[C@H](C)C1 ZINC001172357007 974623919 /nfs/dbraw/zinc/62/39/19/974623919.db2.gz ALCOMCWQTGUTKC-WHOHXGKFSA-N 0 3 221.348 2.900 20 0 BFADHN CSc1ccccc1O[C@H]1CCCN(C)C1 ZINC001225668878 974634269 /nfs/dbraw/zinc/63/42/69/974634269.db2.gz TYPNBIHEVGFZDO-NSHDSACASA-N 0 3 237.368 2.882 20 0 BFADHN C[C@H](N)c1ccn([C@@H]2CC[C@@H](C)[C@H](C)C2)n1 ZINC001172363559 974636898 /nfs/dbraw/zinc/63/68/98/974636898.db2.gz LBTQIIDRGHXKTB-WISYIIOYSA-N 0 3 221.348 2.900 20 0 BFADHN C[C@@H]1CC[C@H](N2CC3(C2)CCCO3)C[C@H]1C ZINC001172364888 974638135 /nfs/dbraw/zinc/63/81/35/974638135.db2.gz HCSCMKHLXZOTEN-UPJWGTAASA-N 0 3 223.360 2.676 20 0 BFADHN CCOC1CN([C@@H]2CC[C@@H](C)[C@H](C)C2)C1 ZINC001172368681 974648886 /nfs/dbraw/zinc/64/88/86/974648886.db2.gz XEVNCBCPOLWTHF-IJLUTSLNSA-N 0 3 211.349 2.532 20 0 BFADHN CCOCC[C@@H](C)NCc1cnccc1Cl ZINC001172407260 974665686 /nfs/dbraw/zinc/66/56/86/974665686.db2.gz VKUXGYUIPMVTLT-SNVBAGLBSA-N 0 3 242.750 2.640 20 0 BFADHN CCOCC[C@H](C)NCC(=O)c1ccc(C)cc1 ZINC001172415426 974682280 /nfs/dbraw/zinc/68/22/80/974682280.db2.gz VOVOJUXGRQNAMF-ZDUSSCGKSA-N 0 3 249.354 2.582 20 0 BFADHN CCOCC[C@@H](C)NCC(=O)c1ccc(C)cc1 ZINC001172415424 974683051 /nfs/dbraw/zinc/68/30/51/974683051.db2.gz VOVOJUXGRQNAMF-CYBMUJFWSA-N 0 3 249.354 2.582 20 0 BFADHN CCOCC[C@H](C)NCc1ccncc1Cl ZINC001172419877 974693423 /nfs/dbraw/zinc/69/34/23/974693423.db2.gz MUXZLUKWILPGBL-JTQLQIEISA-N 0 3 242.750 2.640 20 0 BFADHN Cc1cc(O[C@H]2CCCN(C)C2)cnc1Cl ZINC001225822669 974696287 /nfs/dbraw/zinc/69/62/87/974696287.db2.gz OCYAKADLIIPJSI-JTQLQIEISA-N 0 3 240.734 2.516 20 0 BFADHN Cc1cc(O[C@@H]2CCCN(C)C2)cnc1Cl ZINC001225822670 974696362 /nfs/dbraw/zinc/69/63/62/974696362.db2.gz OCYAKADLIIPJSI-SNVBAGLBSA-N 0 3 240.734 2.516 20 0 BFADHN CCN1CCC[C@H](Oc2cccc(F)c2F)C1 ZINC001225924618 974733404 /nfs/dbraw/zinc/73/34/04/974733404.db2.gz PODNMQMXABJHBC-JTQLQIEISA-N 0 3 241.281 2.828 20 0 BFADHN CC[C@H](CC(C)C)n1ccc([C@H](C)N)n1 ZINC001172396628 974753482 /nfs/dbraw/zinc/75/34/82/974753482.db2.gz VWAOBKGNJDUZPN-WDEREUQCSA-N 0 3 209.337 2.900 20 0 BFADHN C[C@@H]1COCCCN1C/C=C/c1cccc(F)c1 ZINC001331554681 974760389 /nfs/dbraw/zinc/76/03/89/974760389.db2.gz WZACKJKQSBQMLR-VUUYWXRKSA-N 0 3 249.329 2.950 20 0 BFADHN CCOC(=O)CN(CC)[C@@H](CC)CC(C)C ZINC001172399602 974761224 /nfs/dbraw/zinc/76/12/24/974761224.db2.gz CBIOYKYTYDTVAH-LBPRGKRZSA-N 0 3 229.364 2.696 20 0 BFADHN CC[C@H](CC(C)C)N[C@@H](C(N)=O)c1ccccc1 ZINC001172402387 974764374 /nfs/dbraw/zinc/76/43/74/974764374.db2.gz URPZAVSVNIAKLF-ZIAGYGMSSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@@](C)(CN(C)CCC(F)(F)F)OC ZINC001331692305 974792131 /nfs/dbraw/zinc/79/21/31/974792131.db2.gz NIRHMKZBIWOVKI-VIFPVBQESA-N 0 3 227.270 2.686 20 0 BFADHN CC[C@](C)(CN(C)CCC(F)(F)F)OC ZINC001331692304 974790723 /nfs/dbraw/zinc/79/07/23/974790723.db2.gz NIRHMKZBIWOVKI-SECBINFHSA-N 0 3 227.270 2.686 20 0 BFADHN CC(C)OCC[C@@H](C)N1CCc2ccncc2C1 ZINC001172447435 974809896 /nfs/dbraw/zinc/80/98/96/974809896.db2.gz CNIAZHGBIUOHSK-CYBMUJFWSA-N 0 3 248.370 2.643 20 0 BFADHN CC(C)COCC[C@@H](C)n1ccc([C@H](C)N)n1 ZINC001172457465 974821017 /nfs/dbraw/zinc/82/10/17/974821017.db2.gz AKYUJONLXAAZPT-NEPJUHHUSA-N 0 3 239.363 2.527 20 0 BFADHN CC(C)OCC[C@H](C)N1CC(c2cccnc2)C1 ZINC001172457919 974821120 /nfs/dbraw/zinc/82/11/20/974821120.db2.gz WOBIIMGXSCBYNS-ZDUSSCGKSA-N 0 3 248.370 2.684 20 0 BFADHN F[C@H]1COC[C@H]1N[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001172553736 974866828 /nfs/dbraw/zinc/86/68/28/974866828.db2.gz BEJKOVLRJJMLQS-APIJFGDWSA-N 0 3 249.329 2.649 20 0 BFADHN CC(=O)CN(C)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001172555533 974870928 /nfs/dbraw/zinc/87/09/28/974870928.db2.gz DJLFXWUUUIWQNX-HUUCEWRRSA-N 0 3 231.339 2.844 20 0 BFADHN NCc1cncn1[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001172548238 974879677 /nfs/dbraw/zinc/87/96/77/974879677.db2.gz CIYFXUXBXOWNHE-ZIAGYGMSSA-N 0 3 241.338 2.851 20 0 BFADHN C1CCC2(C1)CCC(N1CCOCC1)CC2 ZINC001172729010 974911890 /nfs/dbraw/zinc/91/18/90/974911890.db2.gz RHBXJIDZBFPZBT-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CC(C)OC(=O)CC[C@H](C)N1CC2(CCC2)C1 ZINC001172748990 974921891 /nfs/dbraw/zinc/92/18/91/974921891.db2.gz ALDPSEPOXYOTIC-LBPRGKRZSA-N 0 3 239.359 2.593 20 0 BFADHN Clc1ccc2c(c1)C[C@H](N1CCC1)C2 ZINC001172711481 974927051 /nfs/dbraw/zinc/92/70/51/974927051.db2.gz AUDCNFVJSROHJS-GFCCVEGCSA-N 0 3 207.704 2.513 20 0 BFADHN Cc1ccc2c(c1)C[C@H](N1CCO[C@H](C)C1)CC2 ZINC001172827210 974948125 /nfs/dbraw/zinc/94/81/25/974948125.db2.gz JNILIXVAPSOJNA-CZUORRHYSA-N 0 3 245.366 2.573 20 0 BFADHN Cc1ccc2c(c1)C[C@@H](N1CCO[C@H](C)C1)CC2 ZINC001172827209 974948303 /nfs/dbraw/zinc/94/83/03/974948303.db2.gz JNILIXVAPSOJNA-CJNGLKHVSA-N 0 3 245.366 2.573 20 0 BFADHN Cc1ccc2c(c1)C[C@H](NCc1ccon1)CC2 ZINC001172826913 974948824 /nfs/dbraw/zinc/94/88/24/974948824.db2.gz XVWRAPFCBAGAGJ-CQSZACIVSA-N 0 3 242.322 2.630 20 0 BFADHN C[C@@H](Cc1c(F)cccc1Cl)NCCF ZINC001172837049 974952100 /nfs/dbraw/zinc/95/21/00/974952100.db2.gz KFXGUZIJDFQQHX-QMMMGPOBSA-N 0 3 233.689 2.969 20 0 BFADHN Cc1ccc2c(c1)C[C@H](N(C)C1(C)COC1)CC2 ZINC001172845315 974960528 /nfs/dbraw/zinc/96/05/28/974960528.db2.gz XACRMPAGIKNAKI-OAHLLOKOSA-N 0 3 245.366 2.573 20 0 BFADHN C[C@@H](Oc1ccnc(N)c1)c1cccs1 ZINC001226652958 975000519 /nfs/dbraw/zinc/00/05/19/975000519.db2.gz SOGIRUNBMLUABC-MRVPVSSYSA-N 0 3 220.297 2.865 20 0 BFADHN Nc1cc(O[C@@H]2CCC=CCCC2)ccn1 ZINC001226656530 975004003 /nfs/dbraw/zinc/00/40/03/975004003.db2.gz LDABUAAMZHOFIW-LLVKDONJSA-N 0 3 218.300 2.932 20 0 BFADHN CCN1CC[C@@H](Oc2cc(Cl)ccc2F)C1 ZINC001226691202 975025376 /nfs/dbraw/zinc/02/53/76/975025376.db2.gz WTHCDZVLSMVTJH-SNVBAGLBSA-N 0 3 243.709 2.952 20 0 BFADHN CC/C=C/N[C@H]1COc2cccc(OC)c2C1 ZINC001173165935 975040673 /nfs/dbraw/zinc/04/06/73/975040673.db2.gz XCDFSXAIRCKRAR-SOZJPDODSA-N 0 3 233.311 2.512 20 0 BFADHN CCc1ccc(C[C@@H](C)NCc2cnco2)cc1 ZINC001173236521 975078311 /nfs/dbraw/zinc/07/83/11/975078311.db2.gz YXSGKFJPYPAAKC-GFCCVEGCSA-N 0 3 244.338 2.958 20 0 BFADHN CCCC[C@H](CC(C)C)N1CCN(C#N)CC1 ZINC001173262333 975115698 /nfs/dbraw/zinc/11/56/98/975115698.db2.gz XXVPFIJBFRZWJK-CQSZACIVSA-N 0 3 237.391 2.690 20 0 BFADHN CC[C@@H]1CC[C@H](N2CCC(=O)[C@@H](F)CC2)C1 ZINC001173270763 975138647 /nfs/dbraw/zinc/13/86/47/975138647.db2.gz AKABWRHIDNHNMN-WOPDTQHZSA-N 0 3 227.323 2.568 20 0 BFADHN CC[C@@H]1CC[C@@H](N2CCC(=O)[C@H](F)CC2)C1 ZINC001173270760 975139622 /nfs/dbraw/zinc/13/96/22/975139622.db2.gz AKABWRHIDNHNMN-IJLUTSLNSA-N 0 3 227.323 2.568 20 0 BFADHN CC[C@H]1CC[C@H](N2CCCC[C@H]2C(=O)OC)C1 ZINC001173274559 975157216 /nfs/dbraw/zinc/15/72/16/975157216.db2.gz RSTXVQJJWOGJET-AVGNSLFASA-N 0 3 239.359 2.593 20 0 BFADHN CCc1ccc(C[C@H](C)NCc2ccno2)cc1 ZINC001173240185 975163411 /nfs/dbraw/zinc/16/34/11/975163411.db2.gz WPYIFPNCUHSZNF-LBPRGKRZSA-N 0 3 244.338 2.958 20 0 BFADHN CC[C@@H]1CC[C@@H](N2CCc3c(cc[nH]c3=O)C2)C1 ZINC001173294717 975172601 /nfs/dbraw/zinc/17/26/01/975172601.db2.gz OSLWURKXKXKQHJ-DGCLKSJQSA-N 0 3 246.354 2.724 20 0 BFADHN CC[C@H]1CC[C@H](N2CCc3c(cc[nH]c3=O)C2)C1 ZINC001173294715 975174160 /nfs/dbraw/zinc/17/41/60/975174160.db2.gz OSLWURKXKXKQHJ-AAEUAGOBSA-N 0 3 246.354 2.724 20 0 BFADHN CCSC[C@H](C)NCOc1ccccc1 ZINC001173297868 975188127 /nfs/dbraw/zinc/18/81/27/975188127.db2.gz XRNVPRRQMXRYFR-NSHDSACASA-N 0 3 225.357 2.754 20 0 BFADHN CCSC[C@@H](C)N1CCc2ncccc2C1 ZINC001173305337 975210088 /nfs/dbraw/zinc/21/00/88/975210088.db2.gz MLQXHZAFCHFXOK-LLVKDONJSA-N 0 3 236.384 2.581 20 0 BFADHN CC(C)c1ccc(O)cc1O[C@@H]1CCCN(C)C1 ZINC001227019533 975223273 /nfs/dbraw/zinc/22/32/73/975223273.db2.gz YYRWQPIHUAJOAI-CYBMUJFWSA-N 0 3 249.354 2.989 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1nncs1 ZINC001332921899 975322876 /nfs/dbraw/zinc/32/28/76/975322876.db2.gz IJYWOPUACGNAFC-UWVGGRQHSA-N 0 3 239.388 2.843 20 0 BFADHN Cc1nocc1Nc1ccc2c(c1)CN(C)CC2 ZINC001212726310 975370497 /nfs/dbraw/zinc/37/04/97/975370497.db2.gz QNEBZXQKDIKNGJ-UHFFFAOYSA-N 0 3 243.310 2.715 20 0 BFADHN CC(=O)c1ccc(O[C@@H]2CCN(C(C)C)C2)cc1 ZINC001227465624 975443900 /nfs/dbraw/zinc/44/39/00/975443900.db2.gz ZUZYQQXDSKCCAQ-OAHLLOKOSA-N 0 3 247.338 2.751 20 0 BFADHN CCCCCCN1CC[C@](N)(C(F)(F)F)C1 ZINC001206213421 975518205 /nfs/dbraw/zinc/51/82/05/975518205.db2.gz VERLIMQBRVGTRZ-SNVBAGLBSA-N 0 3 238.297 2.532 20 0 BFADHN Nc1c(F)cccc1CN[C@H]1C=CCCC1 ZINC001333354130 975699274 /nfs/dbraw/zinc/69/92/74/975699274.db2.gz JWHIFLVEAVXTHX-NSHDSACASA-N 0 3 220.291 2.606 20 0 BFADHN Cc1ncc(Nc2cccc(C)c2)n1C ZINC001173809134 975720187 /nfs/dbraw/zinc/72/01/87/975720187.db2.gz STFREGASVGGAIY-UHFFFAOYSA-N 0 3 201.273 2.781 20 0 BFADHN CC[C@@H]1C[C@H]1CN(CCOC)Cc1cccnc1 ZINC001333427633 975765726 /nfs/dbraw/zinc/76/57/26/975765726.db2.gz DJGRKRPOECIWHD-CABCVRRESA-N 0 3 248.370 2.576 20 0 BFADHN COC/C(C)=C\CN1CCc2cc(C)ccc2C1 ZINC001333460182 975793521 /nfs/dbraw/zinc/79/35/21/975793521.db2.gz FVOYGZVPGXCLFD-NSIKDUERSA-N 0 3 245.366 2.946 20 0 BFADHN Cc1cnccc1Nc1ccc(F)cc1F ZINC001173925190 975904964 /nfs/dbraw/zinc/90/49/64/975904964.db2.gz JUKLTMYERZVMGI-UHFFFAOYSA-N 0 3 220.222 2.834 20 0 BFADHN Cc1ncc(Nc2ccc(F)cc2F)n1C ZINC001173926082 975905980 /nfs/dbraw/zinc/90/59/80/975905980.db2.gz MBRDOSHPXVWLRC-UHFFFAOYSA-N 0 3 223.226 2.750 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NCCCC(F)F ZINC001333629718 975909384 /nfs/dbraw/zinc/90/93/84/975909384.db2.gz GPRCZIYNJQQIFL-VIFPVBQESA-N 0 3 244.285 2.995 20 0 BFADHN Nc1cccc2c1ccn2-c1ccncc1 ZINC001173883856 975955665 /nfs/dbraw/zinc/95/56/65/975955665.db2.gz XLENQIVCDWPJDN-UHFFFAOYSA-N 0 3 209.252 2.608 20 0 BFADHN Cn1ncc(CN2CC(C)(C)C2(C)C)c1C1CC1 ZINC001333680999 975981829 /nfs/dbraw/zinc/98/18/29/975981829.db2.gz FSFWUKNLKQZHTI-UHFFFAOYSA-N 0 3 247.386 2.918 20 0 BFADHN Cc1ccoc1CN1CC(CC2CCOCC2)C1 ZINC001333718289 976027928 /nfs/dbraw/zinc/02/79/28/976027928.db2.gz GLHWBPLAFOLJSN-UHFFFAOYSA-N 0 3 249.354 2.837 20 0 BFADHN Cc1coc(Nc2ccccc2CN(C)C)n1 ZINC001173928105 976038738 /nfs/dbraw/zinc/03/87/38/976038738.db2.gz YFZQGWPSWVTCLM-UHFFFAOYSA-N 0 3 231.299 2.788 20 0 BFADHN COc1ccc(CNC[C@@]2(C)CCCS2)o1 ZINC001333736361 976046294 /nfs/dbraw/zinc/04/62/94/976046294.db2.gz BYVRRMZICWSBNT-GFCCVEGCSA-N 0 3 241.356 2.664 20 0 BFADHN CCOc1ccc(CN[C@H]2CCCSC2)o1 ZINC001333750286 976061455 /nfs/dbraw/zinc/06/14/55/976061455.db2.gz ZJTKHLJEGQDRGR-JTQLQIEISA-N 0 3 241.356 2.664 20 0 BFADHN Cc1cc(F)ncc1CNC/C=C\C1CC1 ZINC001333777882 976075111 /nfs/dbraw/zinc/07/51/11/976075111.db2.gz YFBOHHWORDZZIR-IHWYPQMZSA-N 0 3 220.291 2.585 20 0 BFADHN CC(C)c1cccc(O[C@H]2CCCN(C)C2)n1 ZINC001228318852 976106405 /nfs/dbraw/zinc/10/64/05/976106405.db2.gz RJWDAUJSVWLCEE-LBPRGKRZSA-N 0 3 234.343 2.678 20 0 BFADHN [O-]c1ccc(C[NH2+][C@H]2CCC[C@H](F)C2)cc1F ZINC001333835802 976111329 /nfs/dbraw/zinc/11/13/29/976111329.db2.gz XCYUICBNZLMLNA-QWRGUYRKSA-N 0 3 241.281 2.902 20 0 BFADHN CC(C)n1ccnc1CN[C@H]1CCC[C@H](F)C1 ZINC001333845945 976120636 /nfs/dbraw/zinc/12/06/36/976120636.db2.gz GXOVSECAHSKAJS-RYUDHWBXSA-N 0 3 239.338 2.834 20 0 BFADHN COc1cccc(Nc2ccncc2C)c1F ZINC001173971812 976192096 /nfs/dbraw/zinc/19/20/96/976192096.db2.gz QGRZINABSIEBAG-UHFFFAOYSA-N 0 3 232.258 2.703 20 0 BFADHN Cc1cccnc1CNC[C@H]1C(C)(C)C1(F)F ZINC001334030811 976231985 /nfs/dbraw/zinc/23/19/85/976231985.db2.gz LSMQFDHJIWNHHO-NSHDSACASA-N 0 3 240.297 2.771 20 0 BFADHN Cn1ccc2cc(Nc3nccn3C)ccc21 ZINC001174096414 976293662 /nfs/dbraw/zinc/29/36/62/976293662.db2.gz PLDCEFAMIHAMIX-UHFFFAOYSA-N 0 3 226.283 2.655 20 0 BFADHN Cc1cc(F)ncc1CN[C@H]1C=CCCC1 ZINC001334199588 976367485 /nfs/dbraw/zinc/36/74/85/976367485.db2.gz HAXNKUDXTJZMTM-LBPRGKRZSA-N 0 3 220.291 2.727 20 0 BFADHN COc1ccc(CNCCC=C(C)C)o1 ZINC001334212942 976381953 /nfs/dbraw/zinc/38/19/53/976381953.db2.gz DGLXEPAOQZKRCD-UHFFFAOYSA-N 0 3 209.289 2.734 20 0 BFADHN CCc1cc(Nc2cc(OC)ccc2F)ccn1 ZINC001174210470 976388947 /nfs/dbraw/zinc/38/89/47/976388947.db2.gz CWNGEGQMVLVKQJ-UHFFFAOYSA-N 0 3 246.285 2.957 20 0 BFADHN C[C@H]1COCCN(C/C=C/c2cccc(F)c2)C1 ZINC001334232433 976392164 /nfs/dbraw/zinc/39/21/64/976392164.db2.gz GXZNBENLENRAAK-MASHWEEQSA-N 0 3 249.329 2.807 20 0 BFADHN Cc1nc(Cl)ccc1OC1CCN(C)CC1 ZINC001228701780 976446030 /nfs/dbraw/zinc/44/60/30/976446030.db2.gz CYNUVRFCEHHJKO-UHFFFAOYSA-N 0 3 240.734 2.516 20 0 BFADHN CC[C@H](C)Oc1ccc2c(c1)CCN(C)C2 ZINC001228936684 976589019 /nfs/dbraw/zinc/58/90/19/976589019.db2.gz LQBRMVOKJMRAPT-NSHDSACASA-N 0 3 219.328 2.852 20 0 BFADHN CC[C@@H](C)Oc1ccc2c(c1)CCN(C)C2 ZINC001228936683 976589656 /nfs/dbraw/zinc/58/96/56/976589656.db2.gz LQBRMVOKJMRAPT-LLVKDONJSA-N 0 3 219.328 2.852 20 0 BFADHN C[C@H](Oc1ccc2c(c1)CCN(C)C2)C1CC1 ZINC001228936634 976590601 /nfs/dbraw/zinc/59/06/01/976590601.db2.gz KNPCXYBKWJGOFE-NSHDSACASA-N 0 3 231.339 2.852 20 0 BFADHN c1cc(Nc2cncnc2)cc(C2CCC2)n1 ZINC001174385227 976626051 /nfs/dbraw/zinc/62/60/51/976626051.db2.gz KOUSAOGPXYUUKD-UHFFFAOYSA-N 0 3 226.283 2.883 20 0 BFADHN C[C@H](c1ncccn1)N1CC[C@H](C(C)(C)C)C1 ZINC001334767054 976631946 /nfs/dbraw/zinc/63/19/46/976631946.db2.gz MMLXABZAADKJCE-NEPJUHHUSA-N 0 3 233.359 2.906 20 0 BFADHN Cc1cc(Nc2ccncc2C)cc(Cl)n1 ZINC001174536469 976816403 /nfs/dbraw/zinc/81/64/03/976816403.db2.gz NJZIUTJXPSKBQI-UHFFFAOYSA-N 0 3 233.702 2.912 20 0 BFADHN Cc1cnccc1Nc1cc(C(C)(C)C)nn1C ZINC001174536328 976817492 /nfs/dbraw/zinc/81/74/92/976817492.db2.gz AQYFFGJKGWWNGW-UHFFFAOYSA-N 0 3 244.342 2.587 20 0 BFADHN COc1nc(Cl)ccc1Nc1ccncc1C ZINC001174536692 976817920 /nfs/dbraw/zinc/81/79/20/976817920.db2.gz SAONIDNTQFIVFZ-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN CCOc1cc(Nc2ccncc2C)cc(C)n1 ZINC001174536847 976820343 /nfs/dbraw/zinc/82/03/43/976820343.db2.gz XGPCYWCWRUNHRR-UHFFFAOYSA-N 0 3 243.310 2.658 20 0 BFADHN Cc1cnccc1Nc1cnc(C2CC2)s1 ZINC001174537329 976820693 /nfs/dbraw/zinc/82/06/93/976820693.db2.gz GKBPMIHLLVAKCW-UHFFFAOYSA-N 0 3 231.324 2.889 20 0 BFADHN Cc1cnccc1-n1cc2c(ccc(N)c2C)n1 ZINC001174537882 976823679 /nfs/dbraw/zinc/82/36/79/976823679.db2.gz SVKITGTWDRORPG-UHFFFAOYSA-N 0 3 238.294 2.620 20 0 BFADHN CCc1ncsc1Nc1ccncc1C ZINC001174537707 976823799 /nfs/dbraw/zinc/82/37/99/976823799.db2.gz NTKGHWWLQXXLCU-UHFFFAOYSA-N 0 3 219.313 2.574 20 0 BFADHN Cc1cnccc1Nc1cnc2occc2c1 ZINC001174537705 976826336 /nfs/dbraw/zinc/82/63/36/976826336.db2.gz PAYSXQIIAHVZSD-UHFFFAOYSA-N 0 3 225.251 2.697 20 0 BFADHN FC(F)(F)CCCN1CCCc2n[nH]cc2C1 ZINC001335020801 976826842 /nfs/dbraw/zinc/82/68/42/976826842.db2.gz ZJJMTNAKJWXUCW-UHFFFAOYSA-N 0 3 247.264 2.500 20 0 BFADHN Cc1cnccc1Nc1cccc2c1CCC2=O ZINC001174539288 976827769 /nfs/dbraw/zinc/82/77/69/976827769.db2.gz GPVFPIRAIBHIEU-UHFFFAOYSA-N 0 3 238.290 2.684 20 0 BFADHN Cc1cnccc1N(C)c1ccccc1N ZINC001174539034 976828029 /nfs/dbraw/zinc/82/80/29/976828029.db2.gz AXUAEBNPTQYRQD-UHFFFAOYSA-N 0 3 213.284 2.740 20 0 BFADHN COCOc1cccc(Nc2ccncc2C)c1 ZINC001174538920 976828410 /nfs/dbraw/zinc/82/84/10/976828410.db2.gz RYIWBLKFGLLVPC-UHFFFAOYSA-N 0 3 244.294 2.538 20 0 BFADHN Cc1cnccc1Nc1ccc2oncc2c1 ZINC001174539500 976828708 /nfs/dbraw/zinc/82/87/08/976828708.db2.gz IPRDNAPJVLNQCC-UHFFFAOYSA-N 0 3 225.251 2.697 20 0 BFADHN CN1CCC[C@H](Oc2cc(Cl)ccc2O)C1 ZINC001229545560 976894658 /nfs/dbraw/zinc/89/46/58/976894658.db2.gz UQUSZFPHQBVNJL-JTQLQIEISA-N 0 3 241.718 2.519 20 0 BFADHN Cc1nc(Nc2ccnc(C)c2)sc1C ZINC001174652304 976933042 /nfs/dbraw/zinc/93/30/42/976933042.db2.gz GCEOKFHOUWBRFY-UHFFFAOYSA-N 0 3 219.313 2.629 20 0 BFADHN Cc1cc(Nc2cccc(Cl)n2)ccn1 ZINC001174650775 976934361 /nfs/dbraw/zinc/93/43/61/976934361.db2.gz AHENRCJKKYXLGV-UHFFFAOYSA-N 0 3 219.675 2.604 20 0 BFADHN CCc1ccc(C)nc1Nc1ccnc(C)c1 ZINC001174653380 976937563 /nfs/dbraw/zinc/93/75/63/976937563.db2.gz RHTIAVSHRQOGDM-UHFFFAOYSA-N 0 3 227.311 2.821 20 0 BFADHN COc1cc(Cl)cnc1Nc1ccnc(C)c1 ZINC001174652711 976938069 /nfs/dbraw/zinc/93/80/69/976938069.db2.gz CPPYSVVTBASEGI-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN CCCc1ccnc(Nc2ccnc(C)c2)c1 ZINC001174655066 976946052 /nfs/dbraw/zinc/94/60/52/976946052.db2.gz VXFRRWVIFUKPJJ-UHFFFAOYSA-N 0 3 227.311 2.903 20 0 BFADHN COc1nccc(CNCCC=C(C)C)c1F ZINC001335488506 977094757 /nfs/dbraw/zinc/09/47/57/977094757.db2.gz GDZXFJIFOYJNAP-UHFFFAOYSA-N 0 3 238.306 2.675 20 0 BFADHN c1cc2c(c(CN3CC[C@]34CCOC4)c1)CCC2 ZINC001249459513 977180302 /nfs/dbraw/zinc/18/03/02/977180302.db2.gz XSHFTXFXZQVIJA-MRXNPFEDSA-N 0 3 243.350 2.540 20 0 BFADHN Fc1cccc(CCN2CC=CCC2)c1 ZINC001335629030 977194141 /nfs/dbraw/zinc/19/41/41/977194141.db2.gz SNBHWOZUDOHFTE-UHFFFAOYSA-N 0 3 205.276 2.630 20 0 BFADHN CCc1cccc(O)c1O[C@H]1CCCN(CC)C1 ZINC001230376738 977199474 /nfs/dbraw/zinc/19/94/74/977199474.db2.gz JFFWJQQWMIRAFI-ZDUSSCGKSA-N 0 3 249.354 2.818 20 0 BFADHN Cc1cc(F)c(F)cc1O[C@H]1CCCN(C)C1 ZINC001230447477 977214644 /nfs/dbraw/zinc/21/46/44/977214644.db2.gz UBSKIAXXGCRDGP-JTQLQIEISA-N 0 3 241.281 2.746 20 0 BFADHN CCCCCCN1CC[C@@H]1CN(C)C(=O)CC ZINC001230563012 977257531 /nfs/dbraw/zinc/25/75/31/977257531.db2.gz WAQMVWAVHLXSMV-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN Fc1cnccc1Nc1ccnc(C2CC2)c1 ZINC001175197723 977296665 /nfs/dbraw/zinc/29/66/65/977296665.db2.gz BYMNIIRJZMGXSW-UHFFFAOYSA-N 0 3 229.258 2.659 20 0 BFADHN CCc1onc(C)c1CN1CCCC2(CC2)C1 ZINC001335812470 977301370 /nfs/dbraw/zinc/30/13/70/977301370.db2.gz DLJJVVGGYANYFP-UHFFFAOYSA-N 0 3 234.343 2.921 20 0 BFADHN C[C@H]1CC(Oc2nncc3n[nH]cc32)C[C@H](C)C1 ZINC001230897132 977365391 /nfs/dbraw/zinc/36/53/91/977365391.db2.gz ZJANYDDUVDSPDB-RKDXNWHRSA-N 0 3 246.314 2.556 20 0 BFADHN C[C@@H]1CCC[C@@H](Oc2nncc3n[nH]cc32)[C@@H]1C ZINC001230900455 977368053 /nfs/dbraw/zinc/36/80/53/977368053.db2.gz INKZVNXLJKUJIL-KBVBSXBZSA-N 0 3 246.314 2.556 20 0 BFADHN CC(C)=CCC[C@@H](C)Oc1nncc2n[nH]cc21 ZINC001230900280 977368781 /nfs/dbraw/zinc/36/87/81/977368781.db2.gz GDPYNDWOMJIMPS-SNVBAGLBSA-N 0 3 246.314 2.867 20 0 BFADHN CCC(CC)[C@H](CC)Oc1nncc2n[nH]cc21 ZINC001230901626 977371056 /nfs/dbraw/zinc/37/10/56/977371056.db2.gz SEEIYQPNUCXYMO-LBPRGKRZSA-N 0 3 248.330 2.947 20 0 BFADHN CC[C@H](C)C[C@H](CC)Oc1nncc2n[nH]cc21 ZINC001230901282 977371543 /nfs/dbraw/zinc/37/15/43/977371543.db2.gz PDYBCVZAAAGOIW-UWVGGRQHSA-N 0 3 248.330 2.947 20 0 BFADHN C[C@@H]1CCC[C@@H](C)C1Oc1nncc2n[nH]cc21 ZINC001230901454 977373888 /nfs/dbraw/zinc/37/38/88/977373888.db2.gz PXQVRUVZNXTCGZ-RKDXNWHRSA-N 0 3 246.314 2.556 20 0 BFADHN CC(C)C[C@@H](Oc1nncc2n[nH]cc21)C(C)C ZINC001230901785 977374273 /nfs/dbraw/zinc/37/42/73/977374273.db2.gz UOIRCKJIFXPWDL-GFCCVEGCSA-N 0 3 248.330 2.802 20 0 BFADHN COC/C(C)=C\CN[C@H](C)c1cccc(O)c1 ZINC001335946178 977378307 /nfs/dbraw/zinc/37/83/07/977378307.db2.gz MLIMJXARAVLPLB-SEVUFMINSA-N 0 3 235.327 2.636 20 0 BFADHN Cc1ncc(Nc2c3c[nH]nc3ccc2C)n1C ZINC001175524238 977502554 /nfs/dbraw/zinc/50/25/54/977502554.db2.gz QOHAYBJRYHIKNH-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN Cc1ncc(Nc2c3cn[nH]c3ccc2C)n1C ZINC001175524238 977502559 /nfs/dbraw/zinc/50/25/59/977502559.db2.gz QOHAYBJRYHIKNH-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN O=Nc1ccc(O[C@@H]2CN3CCC2CC3)cc1 ZINC001231292024 977560532 /nfs/dbraw/zinc/56/05/32/977560532.db2.gz RFIVWCCGEYOKQO-CYBMUJFWSA-N 0 3 232.283 2.558 20 0 BFADHN CCN1CC[C@H](Oc2nccc3ccsc32)C1 ZINC001231346772 977573447 /nfs/dbraw/zinc/57/34/47/977573447.db2.gz BBDHFPYDPPFHKC-NSHDSACASA-N 0 3 248.351 2.769 20 0 BFADHN CN1CCC[C@H](Oc2nccc3ccsc32)C1 ZINC001231347654 977577747 /nfs/dbraw/zinc/57/77/47/977577747.db2.gz ODMHJDKUTFXSHI-NSHDSACASA-N 0 3 248.351 2.769 20 0 BFADHN Cc1cc(C)c(N)c(NC2=CCCN(C)C2)c1 ZINC001175579874 977596488 /nfs/dbraw/zinc/59/64/88/977596488.db2.gz RGNOCLRMKGZSCL-UHFFFAOYSA-N 0 3 231.343 2.517 20 0 BFADHN CCc1cc(Nc2cccc3c[nH]nc32)ccn1 ZINC001175635257 977606916 /nfs/dbraw/zinc/60/69/16/977606916.db2.gz IMJWHWWLXJFNDR-UHFFFAOYSA-N 0 3 238.294 2.686 20 0 BFADHN c1[nH]c2cccnc2c1CN1CCC[C@H]2C[C@H]21 ZINC001231492723 977612870 /nfs/dbraw/zinc/61/28/70/977612870.db2.gz ODUREORWVGWQAN-GXFFZTMASA-N 0 3 227.311 2.547 20 0 BFADHN c1[nH]c2cccnc2c1CN1CCC[C@@H]2C[C@@H]21 ZINC001231492725 977615097 /nfs/dbraw/zinc/61/50/97/977615097.db2.gz ODUREORWVGWQAN-MFKMUULPSA-N 0 3 227.311 2.547 20 0 BFADHN COCCCOc1ccc(CN2CC[C@@H]2C)cc1 ZINC001231506439 977616429 /nfs/dbraw/zinc/61/64/29/977616429.db2.gz IQDPEJNZPPFDBN-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN F[C@H]1CCCCN(Cc2c[nH]c3cnccc23)C1 ZINC001231513858 977618537 /nfs/dbraw/zinc/61/85/37/977618537.db2.gz CGPKLWOHOAQUIL-LBPRGKRZSA-N 0 3 247.317 2.887 20 0 BFADHN COCCCOc1ccc(CN2CC(C)C2)cc1 ZINC001231503684 977620187 /nfs/dbraw/zinc/62/01/87/977620187.db2.gz KROXPLFNVGCATH-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN CC(C)Oc1ccc(CN2CCC[C@H]2C)cn1 ZINC001231533456 977627497 /nfs/dbraw/zinc/62/74/97/977627497.db2.gz OEWYNBNNURKLAG-GFCCVEGCSA-N 0 3 234.343 2.853 20 0 BFADHN CCN(Cc1ccc(OC(C)C)nc1)C1CC1 ZINC001231531872 977628445 /nfs/dbraw/zinc/62/84/45/977628445.db2.gz HNODMHNQHPPJEQ-UHFFFAOYSA-N 0 3 234.343 2.853 20 0 BFADHN CCN(Cc1cc(Br)c[nH]1)C1CC1 ZINC001231570912 977634183 /nfs/dbraw/zinc/63/41/83/977634183.db2.gz ABKHZNGOULCMHG-UHFFFAOYSA-N 0 3 243.148 2.762 20 0 BFADHN COc1cc(F)ccc1CN1CCC[C@H]1C ZINC001231603233 977649056 /nfs/dbraw/zinc/64/90/56/977649056.db2.gz YXJLYAZGRZCZBF-SNVBAGLBSA-N 0 3 223.291 2.819 20 0 BFADHN CCc1ccc(CN2CCC[C@H](F)CC2)nc1 ZINC001231637487 977652681 /nfs/dbraw/zinc/65/26/81/977652681.db2.gz HCLMFLVZGCAMDV-ZDUSSCGKSA-N 0 3 236.334 2.968 20 0 BFADHN CCCN(CC)Cc1cccnc1OCC ZINC001231761441 977725548 /nfs/dbraw/zinc/72/55/48/977725548.db2.gz YWSJLEKOMJFDOL-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CCOc1ncccc1CN1C[C@@H](C)CC12CC2 ZINC001231765879 977731980 /nfs/dbraw/zinc/73/19/80/977731980.db2.gz MEMOSIJGZQTQSQ-LBPRGKRZSA-N 0 3 246.354 2.855 20 0 BFADHN CCOc1ncccc1CN1CCC2(CCC2)C1 ZINC001231768316 977744297 /nfs/dbraw/zinc/74/42/97/977744297.db2.gz LFHGQANUYOVPIP-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CCOc1ncccc1CN1C[C@@H](C)C[C@H](C)C1 ZINC001231768972 977744331 /nfs/dbraw/zinc/74/43/31/977744331.db2.gz SBMSQWAMCGLFAV-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN FC(F)c1cc(CN2CC3CC(C3)C2)ccn1 ZINC001231891921 977785139 /nfs/dbraw/zinc/78/51/39/977785139.db2.gz MYGKVVWGPZHCGC-UHFFFAOYSA-N 0 3 238.281 2.861 20 0 BFADHN COc1cccc2c(CN3CC=CC3)c[nH]c21 ZINC001231895222 977789196 /nfs/dbraw/zinc/78/91/96/977789196.db2.gz KJHCSTDLNWQLAY-UHFFFAOYSA-N 0 3 228.295 2.548 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3C[C@@H]32)cnc1F ZINC001231931745 977803773 /nfs/dbraw/zinc/80/37/73/977803773.db2.gz ZWYBUNFUKGLRGD-NEPJUHHUSA-N 0 3 220.291 2.513 20 0 BFADHN COc1ccnc(CN2CC[C@@H]3CCC[C@@H]3C2)c1 ZINC001231965631 977812713 /nfs/dbraw/zinc/81/27/13/977812713.db2.gz KGJFMGJDMHUXRH-QWHCGFSZSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ccnc(CN2CCC3(CCCC3)C2)c1 ZINC001231968791 977812832 /nfs/dbraw/zinc/81/28/32/977812832.db2.gz BHEJGSFHLZKWBP-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN COc1ccnc(CN2CC[C@@H]3CCC[C@H]3C2)c1 ZINC001231965632 977813874 /nfs/dbraw/zinc/81/38/74/977813874.db2.gz KGJFMGJDMHUXRH-STQMWFEESA-N 0 3 246.354 2.712 20 0 BFADHN COc1ccnc(CN2CC[C@H]3CCC[C@H]3C2)c1 ZINC001231965630 977815231 /nfs/dbraw/zinc/81/52/31/977815231.db2.gz KGJFMGJDMHUXRH-OLZOCXBDSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1cc(CN2CCCOC(C)(C)C2)cc(C)n1 ZINC001232000372 977831530 /nfs/dbraw/zinc/83/15/30/977831530.db2.gz JVDKILGKBVYMBL-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN COc1cccc2[nH]cc(CN3CC=CC3)c21 ZINC001232034604 977859184 /nfs/dbraw/zinc/85/91/84/977859184.db2.gz MGHCPKHTFRTELD-UHFFFAOYSA-N 0 3 228.295 2.548 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CCCC3(CCCC3)C2)c1 ZINC001232040255 977865244 /nfs/dbraw/zinc/86/52/44/977865244.db2.gz GWGJCRQSEZUXAO-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN [O-]c1cncc(C[N@H+]2CCCC3(CCCC3)C2)c1 ZINC001232040255 977865245 /nfs/dbraw/zinc/86/52/45/977865245.db2.gz GWGJCRQSEZUXAO-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN Oc1cncc(CN2CCCC3(CCCC3)C2)c1 ZINC001232040255 977865247 /nfs/dbraw/zinc/86/52/47/977865247.db2.gz GWGJCRQSEZUXAO-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CCC3(CCCC3)C2)c1 ZINC001232041691 977866220 /nfs/dbraw/zinc/86/62/20/977866220.db2.gz IZQCFMCSRQHBIT-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN [O-]c1cncc(C[N@H+]2CCC3(CCCC3)C2)c1 ZINC001232041691 977866223 /nfs/dbraw/zinc/86/62/23/977866223.db2.gz IZQCFMCSRQHBIT-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN c1c[nH]c(Nc2cnccc2C2CCC2)n1 ZINC001176082312 977880708 /nfs/dbraw/zinc/88/07/08/977880708.db2.gz FBAXBZVSADOQDX-UHFFFAOYSA-N 0 3 214.272 2.816 20 0 BFADHN c1c[nH]c(Nc2ccccc2-c2cnco2)n1 ZINC001176084753 977884977 /nfs/dbraw/zinc/88/49/77/977884977.db2.gz UXTMIJHTZVDHEK-UHFFFAOYSA-N 0 3 226.239 2.808 20 0 BFADHN Oc1cc(C(F)(F)F)ccc1Nc1ncc[nH]1 ZINC001176084948 977887107 /nfs/dbraw/zinc/88/71/07/977887107.db2.gz XVOOZYGHKGWEBA-UHFFFAOYSA-N 0 3 243.188 2.878 20 0 BFADHN c1c2ccncc2[nH]c1CN1CCCCC1 ZINC001232088824 977890162 /nfs/dbraw/zinc/89/01/62/977890162.db2.gz KPSNVVWNBRSCPD-UHFFFAOYSA-N 0 3 215.300 2.549 20 0 BFADHN C[C@@H]1CCCCN(Cc2cnsc2)C1 ZINC001232224733 977947695 /nfs/dbraw/zinc/94/76/95/977947695.db2.gz RCWZJPQEFDBOBT-SNVBAGLBSA-N 0 3 210.346 2.765 20 0 BFADHN c1nscc1CN1CCc2ccccc2CC1 ZINC001232227619 977958470 /nfs/dbraw/zinc/95/84/70/977958470.db2.gz QHWVJMAVPDIZKZ-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnsc2)C1 ZINC001232230788 977962520 /nfs/dbraw/zinc/96/25/20/977962520.db2.gz VAAHKDAVQPHQGU-SNVBAGLBSA-N 0 3 210.346 2.765 20 0 BFADHN CC(C)N(Cc1cnsc1)C1CCOCC1 ZINC001232231142 977963976 /nfs/dbraw/zinc/96/39/76/977963976.db2.gz JXSBMTYOKKUAGV-UHFFFAOYSA-N 0 3 240.372 2.533 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cnsc1 ZINC001232230469 977965143 /nfs/dbraw/zinc/96/51/43/977965143.db2.gz KKLSILSQCSYKDW-LLVKDONJSA-N 0 3 210.346 2.908 20 0 BFADHN COCc1cccc(CN2CCCCC23CC3)n1 ZINC001232257202 977979148 /nfs/dbraw/zinc/97/91/48/977979148.db2.gz NHBVUABOUQVKCL-UHFFFAOYSA-N 0 3 246.354 2.747 20 0 BFADHN COCc1cccc(CN2CC[C@@H](C)C[C@@H]2C)n1 ZINC001232255579 977979231 /nfs/dbraw/zinc/97/92/31/977979231.db2.gz RETBNSJASKTKEH-OLZOCXBDSA-N 0 3 248.370 2.848 20 0 BFADHN COCc1cccc(CN2CCC23CCCC3)n1 ZINC001232252402 977983265 /nfs/dbraw/zinc/98/32/65/977983265.db2.gz VFAYRKGCLGAGIO-UHFFFAOYSA-N 0 3 246.354 2.747 20 0 BFADHN COc1ccc(CN2CCCC23CC3)c(C)n1 ZINC001232330542 978004333 /nfs/dbraw/zinc/00/43/33/978004333.db2.gz FRRPXTVUKNNVKD-UHFFFAOYSA-N 0 3 232.327 2.527 20 0 BFADHN COc1cc(Cl)cc(Nc2nccn2C)c1 ZINC001176343852 978031194 /nfs/dbraw/zinc/03/11/94/978031194.db2.gz NIYRKAHZIOEVJG-UHFFFAOYSA-N 0 3 237.690 2.826 20 0 BFADHN C[C@@H]1CCN(Cc2[nH]nc3c2CCC3)[C@@H](C)C1 ZINC001232429172 978072798 /nfs/dbraw/zinc/07/27/98/978072798.db2.gz GVPVFVGIKVBQCO-MNOVXSKESA-N 0 3 233.359 2.519 20 0 BFADHN Clc1ccnc2[nH]cc(CN3C[C@@H]4C[C@@H]4C3)c21 ZINC001232454901 978079352 /nfs/dbraw/zinc/07/93/52/978079352.db2.gz JNVXCJFSEVZQOB-DTORHVGOSA-N 0 3 247.729 2.668 20 0 BFADHN CCc1cc(Nc2ccc(O)cc2F)ccn1 ZINC001176412331 978082112 /nfs/dbraw/zinc/08/21/12/978082112.db2.gz GLJIWXPCQBLACC-UHFFFAOYSA-N 0 3 232.258 2.654 20 0 BFADHN Cc1ncc(CN(C)Cc2ccccc2C)[nH]1 ZINC001232462221 978103261 /nfs/dbraw/zinc/10/32/61/978103261.db2.gz LMGSZRLBXJKBBR-UHFFFAOYSA-N 0 3 229.327 2.659 20 0 BFADHN Cc1cccc(F)c1CN1CC2CC(C2)C1 ZINC001232487320 978138582 /nfs/dbraw/zinc/13/85/82/978138582.db2.gz PRDAFXNDILEVSB-UHFFFAOYSA-N 0 3 219.303 2.976 20 0 BFADHN Cc1cccc(F)c1CN(C)CC1(C)COC1 ZINC001232485520 978140902 /nfs/dbraw/zinc/14/09/02/978140902.db2.gz XSSIMWAYXGYWMF-UHFFFAOYSA-N 0 3 237.318 2.602 20 0 BFADHN C[C@]1(CF)CCN(Cc2cc(F)ccc2N)C1 ZINC001232508174 978153781 /nfs/dbraw/zinc/15/37/81/978153781.db2.gz XZETXKGPYIZVRG-CYBMUJFWSA-N 0 3 240.297 2.589 20 0 BFADHN CCOc1ccnc(CN(C)C2CC(C)C2)c1 ZINC001232580112 978186312 /nfs/dbraw/zinc/18/63/12/978186312.db2.gz TVSWLXBAOPXRPM-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1cccnc1[C@H](C)NC[C@@H]1CC[C@H](C)O1 ZINC001176898035 978257451 /nfs/dbraw/zinc/25/74/51/978257451.db2.gz OVLIHKKLXQXGAP-AVGNSLFASA-N 0 3 234.343 2.608 20 0 BFADHN CCCn1cnc(CN2C[C@@H]3CCC[C@H]3C2)c1 ZINC001232793662 978275391 /nfs/dbraw/zinc/27/53/91/978275391.db2.gz DHNRDCOJJBMOAT-STQMWFEESA-N 0 3 233.359 2.525 20 0 BFADHN CN1CCCC[C@H]1CNc1ncc(Cl)s1 ZINC001337308138 978277107 /nfs/dbraw/zinc/27/71/07/978277107.db2.gz XTCGYAHHOUGJAD-QMMMGPOBSA-N 0 3 245.779 2.693 20 0 BFADHN CCCn1cnc(CN2CCC[C@@H](C)CC2)c1 ZINC001232796961 978281020 /nfs/dbraw/zinc/28/10/20/978281020.db2.gz FVIONOJOLNOWGL-CYBMUJFWSA-N 0 3 235.375 2.915 20 0 BFADHN C[C@@H]1CCCCN1Cc1ccc(C(C)(C)O)nc1 ZINC001232822404 978306392 /nfs/dbraw/zinc/30/63/92/978306392.db2.gz BBJLLZSBIRNFKW-GFCCVEGCSA-N 0 3 248.370 2.683 20 0 BFADHN Clc1cc2[nH]cc(CN3CC=CC3)c2cn1 ZINC001232819742 978307066 /nfs/dbraw/zinc/30/70/66/978307066.db2.gz YGZPODNPGAMNST-UHFFFAOYSA-N 0 3 233.702 2.588 20 0 BFADHN CC(C)[C@@H](OCCN1CCCC1)C(F)(F)F ZINC001206302391 978332100 /nfs/dbraw/zinc/33/21/00/978332100.db2.gz JANHGMPBWMANBJ-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1cc(O)cc(CN2CCC(F)CC2)c1 ZINC001232881028 978321119 /nfs/dbraw/zinc/32/11/19/978321119.db2.gz USXOWWMQBHMXAS-UHFFFAOYSA-N 0 3 223.291 2.635 20 0 BFADHN CC(=O)[C@H]1CCCN(Cc2cc(C)cc(O)c2)C1 ZINC001232885650 978326054 /nfs/dbraw/zinc/32/60/54/978326054.db2.gz LUTDILSNGXQMSY-AWEZNQCLSA-N 0 3 247.338 2.502 20 0 BFADHN C[C@@H]1CCCN1Cc1ccc(OC(F)F)nc1 ZINC001232952314 978356381 /nfs/dbraw/zinc/35/63/81/978356381.db2.gz JOLYAOSXLQKAEA-SECBINFHSA-N 0 3 242.269 2.667 20 0 BFADHN Cc1ncc(F)cc1CN1CCCCCC1 ZINC001232986429 978379773 /nfs/dbraw/zinc/37/97/73/978379773.db2.gz PEJNPQMMSWIQQJ-UHFFFAOYSA-N 0 3 222.307 2.905 20 0 BFADHN Cc1ncc(F)cc1CN1CCC(C)(C)C1 ZINC001232989711 978391106 /nfs/dbraw/zinc/39/11/06/978391106.db2.gz VGMKAJOCNOIJLD-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN Cc1cc(C)c(CN2C[C@@H]3C[C@H]2C[C@H]3F)cn1 ZINC001233005211 978393747 /nfs/dbraw/zinc/39/37/47/978393747.db2.gz FHNVEGHIPNWDJM-FPMFFAJLSA-N 0 3 234.318 2.631 20 0 BFADHN Cc1cc(C)c(CN2CC[C@](C)(CF)C2)cn1 ZINC001233007782 978399093 /nfs/dbraw/zinc/39/90/93/978399093.db2.gz HKAVTVZDQZCIPB-CQSZACIVSA-N 0 3 236.334 2.880 20 0 BFADHN CCN(Cc1cnc(C)cc1C)C1CC1 ZINC001233007888 978403074 /nfs/dbraw/zinc/40/30/74/978403074.db2.gz IFBAXEMPMFWAMC-UHFFFAOYSA-N 0 3 204.317 2.683 20 0 BFADHN CC1(C)CN(CCO[C@H]2CCCCO2)C1(C)C ZINC001337727761 978473234 /nfs/dbraw/zinc/47/32/34/978473234.db2.gz GWDIKAJEBMAYFL-LBPRGKRZSA-N 0 3 241.375 2.650 20 0 BFADHN Fc1ccc2c(c1)n[nH]c2CN1C2CCC1CC2 ZINC001233123513 978474474 /nfs/dbraw/zinc/47/44/74/978474474.db2.gz VJNLYJSCJSZYNE-UHFFFAOYSA-N 0 3 245.301 2.829 20 0 BFADHN COCCN(Cc1cnc(C)c(C)c1)C(C)C ZINC001233164459 978485983 /nfs/dbraw/zinc/48/59/83/978485983.db2.gz WCTFPEYKVIRQBM-UHFFFAOYSA-N 0 3 236.359 2.555 20 0 BFADHN COC[C@H]1CCCCN1Cc1ccc(C)c(O)c1 ZINC001233229671 978499291 /nfs/dbraw/zinc/49/92/91/978499291.db2.gz QNXKFDAVPIVIQF-CQSZACIVSA-N 0 3 249.354 2.702 20 0 BFADHN Cc1ccc(Cl)cc1CN(C)[C@H]1CCOC1 ZINC001233250110 978511496 /nfs/dbraw/zinc/51/14/96/978511496.db2.gz VWEDRYJIMMGADF-ZDUSSCGKSA-N 0 3 239.746 2.869 20 0 BFADHN Cc1cnsc1CN1CCC12CCCC2 ZINC001233318752 978552511 /nfs/dbraw/zinc/55/25/11/978552511.db2.gz PCVAZDKWQBMSRA-UHFFFAOYSA-N 0 3 222.357 2.970 20 0 BFADHN Cc1cnsc1CN1CCCCC12CC2 ZINC001233321641 978555197 /nfs/dbraw/zinc/55/51/97/978555197.db2.gz XSMBZVXFNSAXFQ-UHFFFAOYSA-N 0 3 222.357 2.970 20 0 BFADHN C[C@H](NCc1ccc2c[nH]nc2c1)c1ccc[nH]1 ZINC001178398158 978558211 /nfs/dbraw/zinc/55/82/11/978558211.db2.gz KODINEDXVDRXRG-JTQLQIEISA-N 0 3 240.310 2.742 20 0 BFADHN CN(Cc1cc2cccnc2s1)[C@H]1CCOC1 ZINC001233347852 978565773 /nfs/dbraw/zinc/56/57/73/978565773.db2.gz DTWOCULFORUCEC-NSHDSACASA-N 0 3 248.351 2.517 20 0 BFADHN CN(Cc1cc2cccnc2s1)[C@@H]1CCOC1 ZINC001233347851 978566906 /nfs/dbraw/zinc/56/69/06/978566906.db2.gz DTWOCULFORUCEC-LLVKDONJSA-N 0 3 248.351 2.517 20 0 BFADHN Cc1ccc(SCCNCc2c[nH]cn2)cc1 ZINC001338234366 978639900 /nfs/dbraw/zinc/63/99/00/978639900.db2.gz IGCCUGWQNGEOEA-UHFFFAOYSA-N 0 3 247.367 2.600 20 0 BFADHN COC[C@@H]1CCN1Cc1cc(C)c(C)s1 ZINC001233710403 978677253 /nfs/dbraw/zinc/67/72/53/978677253.db2.gz SOZYKZZAXWCVNU-NSHDSACASA-N 0 3 225.357 2.586 20 0 BFADHN Cc1c(O[C@H]2CCN(C)C2)cnc2ccccc21 ZINC001233724302 978680101 /nfs/dbraw/zinc/68/01/01/978680101.db2.gz GFOBXHAEYKCOQV-LBPRGKRZSA-N 0 3 242.322 2.626 20 0 BFADHN C[C@H]1CCN1Cc1c[nH]c(=O)c2ccccc12 ZINC001233964524 978742072 /nfs/dbraw/zinc/74/20/72/978742072.db2.gz PCJDLTVOPSZLAN-JTQLQIEISA-N 0 3 228.295 2.535 20 0 BFADHN CCCN(CC(=O)OCC)C1CCC(C)CC1 ZINC000070266429 978816452 /nfs/dbraw/zinc/81/64/52/978816452.db2.gz FLSHQLUBJQJKAB-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN CN1CCc2c(cccc2O[C@H]2C=CCC2)C1 ZINC001234421977 978831446 /nfs/dbraw/zinc/83/14/46/978831446.db2.gz GFGDSLJULWJEDZ-ZDUSSCGKSA-N 0 3 229.323 2.772 20 0 BFADHN C[C@H](C[NH+](C)C)Oc1c([O-])cc(Cl)cc1F ZINC001234445947 978839078 /nfs/dbraw/zinc/83/90/78/978839078.db2.gz CRRDBZXIDQMLBN-SSDOTTSWSA-N 0 3 247.697 2.514 20 0 BFADHN CCn1ccc(CN2CC[C@H](C(C)(C)C)C2)n1 ZINC001180318204 978856773 /nfs/dbraw/zinc/85/67/73/978856773.db2.gz YWBJPTMKWRSXMX-LBPRGKRZSA-N 0 3 235.375 2.771 20 0 BFADHN Fc1cccnc1CN1CCC[C@H]2CCC[C@@H]21 ZINC001180280991 978858811 /nfs/dbraw/zinc/85/88/11/978858811.db2.gz SCKKSMBHXIOXBX-RISCZKNCSA-N 0 3 234.318 2.985 20 0 BFADHN CC[C@@H]1CC[C@@H](NCc2cscn2)C1 ZINC000070644224 978862090 /nfs/dbraw/zinc/86/20/90/978862090.db2.gz KCGQGPCROXORME-NXEZZACHSA-N 0 3 210.346 2.811 20 0 BFADHN CCCn1cc(CN2C3CCC2CC3)c(C)n1 ZINC001180520412 978895200 /nfs/dbraw/zinc/89/52/00/978895200.db2.gz GNFDYJGIBBGNPN-UHFFFAOYSA-N 0 3 233.359 2.728 20 0 BFADHN CC(C)CN(Cc1ncc(F)cn1)CC(C)C ZINC001234997587 978944260 /nfs/dbraw/zinc/94/42/60/978944260.db2.gz BJKCRIQNOGTWLT-UHFFFAOYSA-N 0 3 239.338 2.730 20 0 BFADHN CC[C@@H](C)N(C)Cc1c(N)cccc1F ZINC000070961608 978949868 /nfs/dbraw/zinc/94/98/68/978949868.db2.gz BRDRQUXGNHKERT-SECBINFHSA-N 0 3 210.296 2.638 20 0 BFADHN CCc1cccc(CN2CCCC23CC3)n1 ZINC001235252086 979007192 /nfs/dbraw/zinc/00/71/92/979007192.db2.gz YJIKOXODJNMROT-UHFFFAOYSA-N 0 3 216.328 2.772 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001340431492 979020042 /nfs/dbraw/zinc/02/00/42/979020042.db2.gz GJKMIUYMRRNGSQ-ZIAGYGMSSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@@H]2CCCC[C@H]2C1 ZINC001340431486 979020236 /nfs/dbraw/zinc/02/02/36/979020236.db2.gz GJKMIUYMRRNGSQ-KBPBESRZSA-N 0 3 247.386 2.741 20 0 BFADHN COC[C@@H](C)N(C)Cc1cc2ccccc2[nH]1 ZINC001340898370 979147523 /nfs/dbraw/zinc/14/75/23/979147523.db2.gz OGHGOGZXIVCUHH-LLVKDONJSA-N 0 3 232.327 2.635 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@@H](C)c1ncccn1 ZINC001341360558 979354007 /nfs/dbraw/zinc/35/40/07/979354007.db2.gz PYQFJRGOWNYEOR-QJPTWQEYSA-N 0 3 219.332 2.706 20 0 BFADHN CN[C@H]1CCN1CCCC1CCCCC1 ZINC001236166385 979420777 /nfs/dbraw/zinc/42/07/77/979420777.db2.gz KSLZASVMFAOCJO-CYBMUJFWSA-N 0 3 210.365 2.598 20 0 BFADHN Nc1ccc(-c2cccc(C3=NCCC3)c2)cn1 ZINC001236317335 979527505 /nfs/dbraw/zinc/52/75/05/979527505.db2.gz TZCZIURUMVVWPW-UHFFFAOYSA-N 0 3 237.306 2.914 20 0 BFADHN CCCCC1(NC(=O)[C@@H](N)CCC(C)C)CC1 ZINC001342169139 979685532 /nfs/dbraw/zinc/68/55/32/979685532.db2.gz KMBLPAQHRWJZQX-LBPRGKRZSA-N 0 3 240.391 2.589 20 0 BFADHN COc1nc(CNCC2=CCCC2)ccc1C ZINC001182968231 979688059 /nfs/dbraw/zinc/68/80/59/979688059.db2.gz CBCGUBSXCBLAQW-UHFFFAOYSA-N 0 3 232.327 2.599 20 0 BFADHN c1nc(CN(CC2CC2)CC2CC2)cn1C1CC1 ZINC001182969790 979689622 /nfs/dbraw/zinc/68/96/22/979689622.db2.gz KBNGWMNFEBAYJM-UHFFFAOYSA-N 0 3 245.370 2.840 20 0 BFADHN CCN(Cc1cccc2ccoc21)C1CN(C)C1 ZINC001236739955 979722694 /nfs/dbraw/zinc/72/26/94/979722694.db2.gz HGMMOFPMEZDFNZ-UHFFFAOYSA-N 0 3 244.338 2.569 20 0 BFADHN c1ccc(-c2ccc(CN3CCNC3)cc2)cc1 ZINC001236844817 979753254 /nfs/dbraw/zinc/75/32/54/979753254.db2.gz WCJGHPOXICMAHI-UHFFFAOYSA-N 0 3 238.334 2.716 20 0 BFADHN CCCCCOc1ccc(CN2CCNC2)cc1 ZINC001236842842 979753820 /nfs/dbraw/zinc/75/38/20/979753820.db2.gz AZLUTPZXRKMEPF-UHFFFAOYSA-N 0 3 248.370 2.618 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(F)c3ccoc32)C1 ZINC001236890723 979781815 /nfs/dbraw/zinc/78/18/15/979781815.db2.gz MXTFPNGGMWOOAB-LLVKDONJSA-N 0 3 249.285 2.793 20 0 BFADHN CN(C)Cc1cncc(-c2ccc(F)cc2)c1 ZINC001237000964 979801853 /nfs/dbraw/zinc/80/18/53/979801853.db2.gz YDLIYGRCAMOHGM-UHFFFAOYSA-N 0 3 230.286 2.949 20 0 BFADHN Cc1ccc(CN2CCNC3=CCCC[C@@H]32)s1 ZINC001237219285 979852317 /nfs/dbraw/zinc/85/23/17/979852317.db2.gz MUCPYBRVQZFCID-AWEZNQCLSA-N 0 3 248.395 2.898 20 0 BFADHN Cc1ccc(CN2CCNC3=CCCC[C@@H]32)cc1 ZINC001237221480 979853157 /nfs/dbraw/zinc/85/31/57/979853157.db2.gz XCJUPHBHKIZDTJ-INIZCTEOSA-N 0 3 242.366 2.837 20 0 BFADHN Cc1ccc(CN2CCNC3=CCCC[C@H]32)s1 ZINC001237219286 979853186 /nfs/dbraw/zinc/85/31/86/979853186.db2.gz MUCPYBRVQZFCID-CQSZACIVSA-N 0 3 248.395 2.898 20 0 BFADHN C[C@H]1CCCCN(Cc2cc3ccccn3n2)C1 ZINC001237439704 979892824 /nfs/dbraw/zinc/89/28/24/979892824.db2.gz VOXPIBKORPFLOK-ZDUSSCGKSA-N 0 3 243.354 2.956 20 0 BFADHN CC[C@@H]1CCCN1Cc1cc2ccccn2n1 ZINC001237445474 979894673 /nfs/dbraw/zinc/89/46/73/979894673.db2.gz HOFZPYYHPNZXAO-CYBMUJFWSA-N 0 3 229.327 2.709 20 0 BFADHN COc1c(C)cccc1CN1CCCO[C@@H](C)C1 ZINC001237502145 979922327 /nfs/dbraw/zinc/92/23/27/979922327.db2.gz YWBVHCWOBQPXEF-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN F[C@@H]1CCCN(Cc2ccn3nccc3c2)CC1 ZINC001237548808 979934843 /nfs/dbraw/zinc/93/48/43/979934843.db2.gz IJCAVIKXSQBLQF-CYBMUJFWSA-N 0 3 247.317 2.658 20 0 BFADHN C[C@@]1(CF)CCN(Cc2ccn3nccc3c2)C1 ZINC001237548860 979937368 /nfs/dbraw/zinc/93/73/68/979937368.db2.gz JOQZJPNORGAIHT-AWEZNQCLSA-N 0 3 247.317 2.516 20 0 BFADHN CCn1cncc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001237590699 979947850 /nfs/dbraw/zinc/94/78/50/979947850.db2.gz AXACVCQXLCMEQY-STQMWFEESA-N 0 3 233.359 2.525 20 0 BFADHN CCn1cncc1CN1CC2CCC(CC2)C1 ZINC001237596049 979953233 /nfs/dbraw/zinc/95/32/33/979953233.db2.gz SPTYQHKQTOUZCN-UHFFFAOYSA-N 0 3 233.359 2.525 20 0 BFADHN CCc1n[nH]cc1CN1CCC[C@H]2CCC[C@@H]21 ZINC001237660220 980023420 /nfs/dbraw/zinc/02/34/20/980023420.db2.gz XJKLRGBJINDHOQ-RISCZKNCSA-N 0 3 233.359 2.737 20 0 BFADHN CCCC1CCN(Cc2c(C)cnn2C)CC1 ZINC001343224406 980044505 /nfs/dbraw/zinc/04/45/05/980044505.db2.gz QZZZJRQTKXJNKJ-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CC[C@@H]1CCN(Cc2occc2OC)C1 ZINC001237868648 980103453 /nfs/dbraw/zinc/10/34/53/980103453.db2.gz CCKLULWBFXSBNA-SNVBAGLBSA-N 0 3 209.289 2.520 20 0 BFADHN COc1ccoc1CN1CCC2(CC2)CC1 ZINC001237870091 980106827 /nfs/dbraw/zinc/10/68/27/980106827.db2.gz UUDUQGDXRFWUHA-UHFFFAOYSA-N 0 3 221.300 2.664 20 0 BFADHN CCC(CC)N(C)Cc1occc1OC ZINC001237873537 980108972 /nfs/dbraw/zinc/10/89/72/980108972.db2.gz DUFIXGNTVWROBO-UHFFFAOYSA-N 0 3 211.305 2.909 20 0 BFADHN Fc1ncc(C2CC2)cc1CCN1CCCC1 ZINC001250166686 980128053 /nfs/dbraw/zinc/12/80/53/980128053.db2.gz SPNHQJFUTHSTHK-UHFFFAOYSA-N 0 3 234.318 2.736 20 0 BFADHN Cc1ccc(O)cc1CN(C)C[C@@H]1CCCCO1 ZINC001238098275 980146088 /nfs/dbraw/zinc/14/60/88/980146088.db2.gz JFXJBKCAZWOGDC-HNNXBMFYSA-N 0 3 249.354 2.702 20 0 BFADHN C[C@]1(CF)CCN(Cc2cccc3cncn32)C1 ZINC001238103049 980151951 /nfs/dbraw/zinc/15/19/51/980151951.db2.gz JEZLRQUBTTYUMG-CQSZACIVSA-N 0 3 247.317 2.516 20 0 BFADHN FCC1CCN(Cc2cccc3cncn32)CC1 ZINC001238112443 980163702 /nfs/dbraw/zinc/16/37/02/980163702.db2.gz FJVNUKIDZSDSKE-UHFFFAOYSA-N 0 3 247.317 2.516 20 0 BFADHN FC[C@H]1CCCN(Cc2cccc3cncn32)C1 ZINC001238113420 980164215 /nfs/dbraw/zinc/16/42/15/980164215.db2.gz LBVKTXHABFDUMW-GFCCVEGCSA-N 0 3 247.317 2.516 20 0 BFADHN CCCO[C@H]1CCN(Cc2ncc(C)cc2C)C1 ZINC001238429542 980259227 /nfs/dbraw/zinc/25/92/27/980259227.db2.gz NFBRDGSGVNEGLF-AWEZNQCLSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1ccc2ncc(CNC/C=C\C3CC3)n2c1 ZINC001343893490 980267308 /nfs/dbraw/zinc/26/73/08/980267308.db2.gz BJQVYQWDVSXFNL-IHWYPQMZSA-N 0 3 241.338 2.699 20 0 BFADHN CC(C)Oc1cncc(CN2C3CCC2CC3)c1 ZINC001238466941 980272861 /nfs/dbraw/zinc/27/28/61/980272861.db2.gz DYQGXNBEORABHU-UHFFFAOYSA-N 0 3 246.354 2.996 20 0 BFADHN Cc1cc(CN(C)C2CC2)cnc1C1CC1 ZINC001238617145 980295806 /nfs/dbraw/zinc/29/58/06/980295806.db2.gz TWUAUCNMDVBNLV-UHFFFAOYSA-N 0 3 216.328 2.862 20 0 BFADHN Cc1cc(CN2C[C@@H]3C[C@@H]3C2)cnc1C1CC1 ZINC001238620172 980303077 /nfs/dbraw/zinc/30/30/77/980303077.db2.gz LYJUNEKKVKDUOW-OKILXGFUSA-N 0 3 228.339 2.719 20 0 BFADHN CCOc1ccc(C)c(CN2CC(C)C2)c1 ZINC001238705028 980308585 /nfs/dbraw/zinc/30/85/85/980308585.db2.gz NVBHWZWHLLBTRQ-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN COc1c(C)ccc(F)c1CN1CC[C@@H](C)C1 ZINC001250224333 980309925 /nfs/dbraw/zinc/30/99/25/980309925.db2.gz IHVCCTHKRDLEIO-SNVBAGLBSA-N 0 3 237.318 2.985 20 0 BFADHN CCN(CC)Cc1cncc(/C=C/C(C)(C)O)c1 ZINC001239157984 980472206 /nfs/dbraw/zinc/47/22/06/980472206.db2.gz KLBXTPWZQLKCBS-BQYQJAHWSA-N 0 3 248.370 2.708 20 0 BFADHN c1nocc1-c1cnccc1CN1CCCCC1 ZINC001239188137 980493436 /nfs/dbraw/zinc/49/34/36/980493436.db2.gz DRWKLBZLNSEOOE-UHFFFAOYSA-N 0 3 243.310 2.723 20 0 BFADHN Cc1ccc(-c2cc(CN(C)C)ccn2)c(C)n1 ZINC001239449975 980581646 /nfs/dbraw/zinc/58/16/46/980581646.db2.gz BFTVKAQWSFDXSR-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN Cc1ccc(-c2cncc(CN(C)C)c2)c(C)n1 ZINC001239466146 980592047 /nfs/dbraw/zinc/59/20/47/980592047.db2.gz DBPLUUNWNFOJFI-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN COc1cccc(-c2nccc3c2CCN3)c1 ZINC001239517548 980688765 /nfs/dbraw/zinc/68/87/65/980688765.db2.gz WKDFHMGFUVUGAC-UHFFFAOYSA-N 0 3 226.279 2.725 20 0 BFADHN Cn1cc(-c2ccnc(CN)c2)c2ccccc21 ZINC001239538033 980715505 /nfs/dbraw/zinc/71/55/05/980715505.db2.gz HZYFQMLICOUPCI-UHFFFAOYSA-N 0 3 237.306 2.699 20 0 BFADHN Cc1cncc(CN2CCC3(CCCC3)C2)n1 ZINC001203169980 980721393 /nfs/dbraw/zinc/72/13/93/980721393.db2.gz DTQAZUWWAKQWJY-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN c1cn2cc(Nc3ccc4cncn4c3)ccc2n1 ZINC001250324170 980764755 /nfs/dbraw/zinc/76/47/55/980764755.db2.gz PVFKRJHPZOYEGV-UHFFFAOYSA-N 0 3 249.277 2.726 20 0 BFADHN CCc1nc(C)c(CN2CCC[C@H](C)C2)[nH]1 ZINC001203234312 980791057 /nfs/dbraw/zinc/79/10/57/980791057.db2.gz LVNPPHNZWPOHCE-JTQLQIEISA-N 0 3 221.348 2.512 20 0 BFADHN COc1ccc(-c2cc(N)c(C)cn2)c(OC)c1 ZINC001239619348 980811755 /nfs/dbraw/zinc/81/17/55/980811755.db2.gz KBDSYEDBOBDBCU-UHFFFAOYSA-N 0 3 244.294 2.656 20 0 BFADHN Cc1cnc(-c2cc(F)cc(F)c2)cc1N ZINC001239653693 980827986 /nfs/dbraw/zinc/82/79/86/980827986.db2.gz ALEAZVVKVMKVNO-UHFFFAOYSA-N 0 3 220.222 2.917 20 0 BFADHN COC(=O)[C@@H]1CCCCN1C1CCC(C)CC1 ZINC000157144279 980842948 /nfs/dbraw/zinc/84/29/48/980842948.db2.gz VDNLIRTZSXYCDJ-BPCQOVAHSA-N 0 3 239.359 2.593 20 0 BFADHN CSc1ccccc1[C@H](C)NC/C=C/CO ZINC001346870316 980922857 /nfs/dbraw/zinc/92/28/57/980922857.db2.gz XDLYAGUJICEKED-QRGHLMKCSA-N 0 3 237.368 2.608 20 0 BFADHN CCn1cc(CN2CC[C@H]3CCCC[C@H]3C2)cn1 ZINC001205544905 980945714 /nfs/dbraw/zinc/94/57/14/980945714.db2.gz LXXZAYCICFHULK-CABCVRRESA-N 0 3 247.386 2.915 20 0 BFADHN Cc1cn(C)nc1CN1CCC[C@H](C(C)C)CC1 ZINC001191058217 980962758 /nfs/dbraw/zinc/96/27/58/980962758.db2.gz NRWXSXUQUUGMGL-AWEZNQCLSA-N 0 3 249.402 2.987 20 0 BFADHN NCc1ccc(-c2cncc3ccccc32)cn1 ZINC001239946298 981054563 /nfs/dbraw/zinc/05/45/63/981054563.db2.gz VDFXZIMFKLPWPI-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN CC[C@H](COCC1CC1)NCc1ncccc1C ZINC001347424850 981074919 /nfs/dbraw/zinc/07/49/19/981074919.db2.gz FUUOKDZVFBWTAO-CQSZACIVSA-N 0 3 248.370 2.685 20 0 BFADHN CC[C@@H](N)c1cn(C[C@H]2CC3CCC2CC3)nn1 ZINC000691695217 981076764 /nfs/dbraw/zinc/07/67/64/981076764.db2.gz BYSPWIIATZYTEL-FIYWTHMPSA-N 0 3 248.374 2.514 20 0 BFADHN Cc1ccncc1-c1cccc(CN(C)C)n1 ZINC001239974874 981079641 /nfs/dbraw/zinc/07/96/41/981079641.db2.gz ZWDKFCWKTNWRCK-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN NCc1cc(-c2c3cc[nH]c3ccc2F)ccn1 ZINC001240216305 981163205 /nfs/dbraw/zinc/16/32/05/981163205.db2.gz NVTXIUOGCSIULZ-UHFFFAOYSA-N 0 3 241.269 2.828 20 0 BFADHN Cc1ccc(-c2cccc(CN(C)C)n2)cn1 ZINC001240222667 981178083 /nfs/dbraw/zinc/17/80/83/981178083.db2.gz ALVXCQGVQLYYFV-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN Fc1cc(-c2cn[nH]c2)ccc1CN1CCCC1 ZINC001240243307 981220355 /nfs/dbraw/zinc/22/03/55/981220355.db2.gz CZSWCTNKHXOQHZ-UHFFFAOYSA-N 0 3 245.301 2.812 20 0 BFADHN Nc1nc2cc(-c3cccc4n[nH]cc43)ccc2[nH]1 ZINC001240314162 981288295 /nfs/dbraw/zinc/28/82/95/981288295.db2.gz CXMNBAGXOKDHQC-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN Nc1nc2ccc(-c3cccc4n[nH]cc43)cc2[nH]1 ZINC001240314162 981288312 /nfs/dbraw/zinc/28/83/12/981288312.db2.gz CXMNBAGXOKDHQC-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN c1cc2cc(-c3nccc4c3CCN4)cnc2[nH]1 ZINC001240389333 981348986 /nfs/dbraw/zinc/34/89/86/981348986.db2.gz IQBMIQYIIYWBLH-UHFFFAOYSA-N 0 3 236.278 2.545 20 0 BFADHN Cc1sccc1-c1ccc2c(n1)OCCNC2 ZINC001240499982 981425458 /nfs/dbraw/zinc/42/54/58/981425458.db2.gz YVSPYCZVRWPWDY-UHFFFAOYSA-N 0 3 246.335 2.601 20 0 BFADHN Cc1n[nH]cc1-c1cccc(C2=NCCC2)c1 ZINC001240522545 981455584 /nfs/dbraw/zinc/45/55/84/981455584.db2.gz ISDVGLNDJSPMBT-UHFFFAOYSA-N 0 3 225.295 2.968 20 0 BFADHN CN(C)c1ccnc(-c2ccc3c[nH]nc3c2)c1 ZINC001240553634 981474708 /nfs/dbraw/zinc/47/47/08/981474708.db2.gz XTDDFBKHCYHBOM-UHFFFAOYSA-N 0 3 238.294 2.691 20 0 BFADHN CC[C@H](C)N(CC(=O)OC)CC1CC(C)(C)C1 ZINC001193905768 981509167 /nfs/dbraw/zinc/50/91/67/981509167.db2.gz IASIOEZIBSSMSL-NSHDSACASA-N 0 3 241.375 2.696 20 0 BFADHN Cc1c(F)cccc1-c1ccc(CN)nc1 ZINC001240728433 981773419 /nfs/dbraw/zinc/77/34/19/981773419.db2.gz IXDMAVWDVVFXSB-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN CCc1c(C)cc(-c2cc(CN)ncn2)cc1C ZINC001240738317 981800432 /nfs/dbraw/zinc/80/04/32/981800432.db2.gz CZZUKLLENWJYHD-UHFFFAOYSA-N 0 3 241.338 2.782 20 0 BFADHN NCc1cc(-c2ccc(C(F)F)cc2)ncn1 ZINC001240765100 981808426 /nfs/dbraw/zinc/80/84/26/981808426.db2.gz JOOKTXBKMHEBIL-UHFFFAOYSA-N 0 3 235.237 2.540 20 0 BFADHN C[NH+](C)Cc1cncc(-c2ccc([O-])c(F)c2)c1 ZINC001240787487 981831703 /nfs/dbraw/zinc/83/17/03/981831703.db2.gz HDJQHBBBMUMPKR-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN Cc1n[nH]c(CN2CCC[C@@H]3CCC[C@H]32)c1C ZINC001350309640 981918443 /nfs/dbraw/zinc/91/84/43/981918443.db2.gz KYHWVVLGHSFXLX-GXTWGEPZSA-N 0 3 233.359 2.791 20 0 BFADHN CC(C)N(Cc1cnon1)C1CCCCC1 ZINC001350363780 981942764 /nfs/dbraw/zinc/94/27/64/981942764.db2.gz FUOUSPILMFFAIL-UHFFFAOYSA-N 0 3 223.320 2.613 20 0 BFADHN CC(C)(NCc1cnon1)C1CCCCC1 ZINC001350370675 981948642 /nfs/dbraw/zinc/94/86/42/981948642.db2.gz DXNCXZXXXSRLBB-UHFFFAOYSA-N 0 3 223.320 2.518 20 0 BFADHN Cc1ccc(-c2cncc(CN(C)C)c2)cc1N ZINC001240963746 982040671 /nfs/dbraw/zinc/04/06/71/982040671.db2.gz KCKMPLQNVWFPDG-UHFFFAOYSA-N 0 3 241.338 2.701 20 0 BFADHN CCCCc1ccc(-c2cc(CN)ncn2)cc1 ZINC001241051425 982155059 /nfs/dbraw/zinc/15/50/59/982155059.db2.gz PWWSFBAEAXKRQF-UHFFFAOYSA-N 0 3 241.338 2.945 20 0 BFADHN CCOc1cc(-c2cccc(CN)n2)ccc1F ZINC001241065057 982182033 /nfs/dbraw/zinc/18/20/33/982182033.db2.gz IKOVAEMOYHUEQH-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN CCOc1ccc(F)cc1-c1ccnc(CN)c1 ZINC001241103222 982208576 /nfs/dbraw/zinc/20/85/76/982208576.db2.gz BQFVETBKIPBIQG-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN NCc1cccc(-c2cc(F)c(F)cc2F)n1 ZINC001241109034 982218210 /nfs/dbraw/zinc/21/82/10/982218210.db2.gz SBUCUFFAKBAJGN-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H](COC)c1ccco1 ZINC001351286871 982266874 /nfs/dbraw/zinc/26/68/74/982266874.db2.gz HYQLJYPDNFZDMF-GRYCIOLGSA-N 0 3 223.316 2.603 20 0 BFADHN CC(=O)c1ccccc1-c1cc(N)c(C)cn1 ZINC001241204284 982351422 /nfs/dbraw/zinc/35/14/22/982351422.db2.gz WQWBUDAKVKZVCJ-UHFFFAOYSA-N 0 3 226.279 2.842 20 0 BFADHN CO[C@H]1CC[C@H]1N(C)Cc1csc(C)c1 ZINC001351736049 982370704 /nfs/dbraw/zinc/37/07/04/982370704.db2.gz JFDOUXWFYJAIBX-NEPJUHHUSA-N 0 3 225.357 2.666 20 0 BFADHN NCc1cc(-c2ccc(Cl)c(F)c2)ccn1 ZINC001241231636 982384283 /nfs/dbraw/zinc/38/42/83/982384283.db2.gz COTVKYBMOFVTLW-UHFFFAOYSA-N 0 3 236.677 3.000 20 0 BFADHN CCOc1cccc(F)c1-c1cnccc1N ZINC001241252004 982391181 /nfs/dbraw/zinc/39/11/81/982391181.db2.gz QVRDOMGMXCSKKG-UHFFFAOYSA-N 0 3 232.258 2.699 20 0 BFADHN CSc1cccc(-c2ccc(CN)nc2)c1 ZINC001241314087 982462264 /nfs/dbraw/zinc/46/22/64/982462264.db2.gz GWYBABJQSCXQPQ-UHFFFAOYSA-N 0 3 230.336 2.929 20 0 BFADHN Cc1c(F)cccc1C1=CCN(C)CC1 ZINC001241320063 982464436 /nfs/dbraw/zinc/46/44/36/982464436.db2.gz STIRYFHGOPVQJL-UHFFFAOYSA-N 0 3 205.276 2.853 20 0 BFADHN CSCc1ccnc(C2=CCN(C)CC2)c1 ZINC001241316717 982468267 /nfs/dbraw/zinc/46/82/67/982468267.db2.gz CHQVOAYDISKREL-UHFFFAOYSA-N 0 3 234.368 2.664 20 0 BFADHN CN1CC=C(c2cnc3ccoc3c2)CC1 ZINC001241319095 982471705 /nfs/dbraw/zinc/47/17/05/982471705.db2.gz AVNGGYKGBGTPKI-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN C[C@H]1Cc2cc(C3=CCN(C)CC3)ccc2O1 ZINC001241319473 982472059 /nfs/dbraw/zinc/47/20/59/982472059.db2.gz KJIXOXDJBKMRBI-NSHDSACASA-N 0 3 229.323 2.729 20 0 BFADHN CCc1cc(OC)ccc1C1=CCN(C)CC1 ZINC001241319435 982473664 /nfs/dbraw/zinc/47/36/64/982473664.db2.gz JPOPPURBIGCCJD-UHFFFAOYSA-N 0 3 231.339 2.977 20 0 BFADHN CN1CC=C(c2cccc3ncoc32)CC1 ZINC001241322357 982474171 /nfs/dbraw/zinc/47/41/71/982474171.db2.gz MJRLGMHMVCDQNK-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN Cc1c2nc[nH]c2ccc1C1=CCN(C)CC1 ZINC001241321840 982479119 /nfs/dbraw/zinc/47/91/19/982479119.db2.gz FLTZFCGVEOUTHO-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN Cc1nc2cc(C3=CCN(C)CC3)ccc2o1 ZINC001241320052 982482383 /nfs/dbraw/zinc/48/23/83/982482383.db2.gz SNZGLWIBRVEQLO-UHFFFAOYSA-N 0 3 228.295 2.855 20 0 BFADHN CSc1ccc(C2=CCN(C)CC2)nc1 ZINC001241321791 982482445 /nfs/dbraw/zinc/48/24/45/982482445.db2.gz DOVDXFFNBUVOBN-UHFFFAOYSA-N 0 3 220.341 2.522 20 0 BFADHN Cc1cc(F)c(F)cc1C1=CCN(C)CC1 ZINC001241322951 982484117 /nfs/dbraw/zinc/48/41/17/982484117.db2.gz UORFQNYDDZGTNA-UHFFFAOYSA-N 0 3 223.266 2.992 20 0 BFADHN CCOC(=N)c1cccc(C2=CCN(C)CC2)c1 ZINC001241326942 982484322 /nfs/dbraw/zinc/48/43/22/982484322.db2.gz CHSDHDOAZCJNBK-UHFFFAOYSA-N 0 3 244.338 2.767 20 0 BFADHN CN1CC=C(c2ccc(OC3CC3)cc2)CC1 ZINC001241327954 982489370 /nfs/dbraw/zinc/48/93/70/982489370.db2.gz UPPJNTNWNUTDTE-UHFFFAOYSA-N 0 3 229.323 2.947 20 0 BFADHN CN1CC=C(c2cccc3cc(N)cnc32)CC1 ZINC001241330226 982493005 /nfs/dbraw/zinc/49/30/05/982493005.db2.gz LDGQLJQVZUKLQH-UHFFFAOYSA-N 0 3 239.322 2.536 20 0 BFADHN CN1CC=C(c2cccc3c2OCCC3)CC1 ZINC001241332619 982497265 /nfs/dbraw/zinc/49/72/65/982497265.db2.gz MNVAPLIYKWVMKM-UHFFFAOYSA-N 0 3 229.323 2.731 20 0 BFADHN CN1CC=C(c2cccc3cnoc32)CC1 ZINC001241333838 982500979 /nfs/dbraw/zinc/50/09/79/982500979.db2.gz ZDYYKXWWZUFDIT-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN CO[C@H](CNC(C)(C)CF)Cc1ccccc1 ZINC001352861479 982607656 /nfs/dbraw/zinc/60/76/56/982607656.db2.gz JDVZHNKWKBHOSZ-ZDUSSCGKSA-N 0 3 239.334 2.582 20 0 BFADHN CN(C)/C=C/c1ccncc1-c1ccc(N)cc1 ZINC001241545853 982753845 /nfs/dbraw/zinc/75/38/45/982753845.db2.gz XQCHUESZTONWJT-CSKARUKUSA-N 0 3 239.322 2.863 20 0 BFADHN C[C@@H](CF)NC[C@H](O)c1cccc2ccccc21 ZINC001353239815 982792721 /nfs/dbraw/zinc/79/27/21/982792721.db2.gz LQQIWNYYKJHBCU-NHYWBVRUSA-N 0 3 247.313 2.821 20 0 BFADHN Cc1cc2[nH]ccc2c(C2=CCCN(C)C2)n1 ZINC001241565307 982804797 /nfs/dbraw/zinc/80/47/97/982804797.db2.gz JNDMFFSZIMAQFQ-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN Cc1nc(C2=CCCN(C)C2)cc2[nH]ccc21 ZINC001241566825 982814012 /nfs/dbraw/zinc/81/40/12/982814012.db2.gz FHUOSEHJJSJTQS-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN Cc1cc2ccncc2nc1C1=CCCN(C)C1 ZINC001241568764 982817105 /nfs/dbraw/zinc/81/71/05/982817105.db2.gz XASOWOJUSOXQHR-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Oc1ccc(CCCNCc2nccs2)cc1 ZINC001200389015 982903687 /nfs/dbraw/zinc/90/36/87/982903687.db2.gz ABNLWOARTKXGDF-UHFFFAOYSA-N 0 3 248.351 2.571 20 0 BFADHN c1nc2ccc(-c3cccc4cncn43)cc2[nH]1 ZINC001241697686 982955656 /nfs/dbraw/zinc/95/56/56/982955656.db2.gz IOJZDEMISWRCSV-UHFFFAOYSA-N 0 3 234.262 2.878 20 0 BFADHN Cn1ccc2c1cccc2-c1ccnc(CN)c1 ZINC001241823151 983075554 /nfs/dbraw/zinc/07/55/54/983075554.db2.gz VGYQLQDWDLJINY-UHFFFAOYSA-N 0 3 237.306 2.699 20 0 BFADHN C=Cc1cccc(-c2cccc(CN)n2)c1 ZINC001241943058 983163284 /nfs/dbraw/zinc/16/32/84/983163284.db2.gz ZRWGLHHQTTXZEE-UHFFFAOYSA-N 0 3 210.280 2.850 20 0 BFADHN Fc1cc(-c2ncc[nH]2)ccc1CN1CCCC1 ZINC001242019411 983223245 /nfs/dbraw/zinc/22/32/45/983223245.db2.gz WCWRRMDIZXJXGF-UHFFFAOYSA-N 0 3 245.301 2.812 20 0 BFADHN CC(C)(C)CN1CCC(=O)[C@@H]2CCCC[C@@H]21 ZINC001201426601 983224309 /nfs/dbraw/zinc/22/43/09/983224309.db2.gz NSNFLHSFMQIOSZ-NEPJUHHUSA-N 0 3 223.360 2.866 20 0 BFADHN C1=CC[C@@H](CN2CC3(C2)CCCCO3)CC1 ZINC001201409882 983233746 /nfs/dbraw/zinc/23/37/46/983233746.db2.gz YWRPNILHFDBTBP-CYBMUJFWSA-N 0 3 221.344 2.598 20 0 BFADHN Cc1ccc(-c2ccnc(CN)c2)c(F)c1F ZINC001242030995 983245745 /nfs/dbraw/zinc/24/57/45/983245745.db2.gz WNTHCLCHKLZCQR-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN CC[C@H](C)[C@@H](C(=O)OC)N(C)CC(C)(C)C ZINC001201441765 983245628 /nfs/dbraw/zinc/24/56/28/983245628.db2.gz MGNGXYZADSHPON-QWRGUYRKSA-N 0 3 229.364 2.552 20 0 BFADHN CC[C@H](C)CN1CC[C@](C)(F)[C@H](F)C1 ZINC001201503242 983270426 /nfs/dbraw/zinc/27/04/26/983270426.db2.gz RWJHJNAKTMEGTK-AXFHLTTASA-N 0 3 205.292 2.805 20 0 BFADHN CC[C@@H](C)CN1CCN(C)c2nc(C)ccc2C1 ZINC001201503376 983272523 /nfs/dbraw/zinc/27/25/23/983272523.db2.gz OZLMTIPOCMLZMV-GFCCVEGCSA-N 0 3 247.386 2.688 20 0 BFADHN CCC[C@@H](C)CN1CCc2cnc(OC)cc2C1 ZINC001201563184 983330914 /nfs/dbraw/zinc/33/09/14/983330914.db2.gz MLCBQXUEKSOTKB-GFCCVEGCSA-N 0 3 248.370 2.885 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1ccn(CC)n1 ZINC001200733401 983334231 /nfs/dbraw/zinc/33/42/31/983334231.db2.gz LANDUZGWNSLRRM-NWDGAFQWSA-N 0 3 223.364 2.817 20 0 BFADHN CCCC[C@H](CC)CN1CCOC[C@@H]1COC ZINC001201572511 983337145 /nfs/dbraw/zinc/33/71/45/983337145.db2.gz NJJISUXABVCOID-KBPBESRZSA-N 0 3 243.391 2.550 20 0 BFADHN Cc1cccnc1N1CCN(CCC(C)C)CC1 ZINC001201613365 983373664 /nfs/dbraw/zinc/37/36/64/983373664.db2.gz DKFNUVUHVZTPCM-UHFFFAOYSA-N 0 3 247.386 2.558 20 0 BFADHN CCOC(=O)[C@H]1C[C@H](C)CCN1CCC(C)C ZINC001201624201 983381230 /nfs/dbraw/zinc/38/12/30/983381230.db2.gz MQDGQXZRRABGAK-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1c(N)ccnc1-c1cncc(C2CC2)c1 ZINC001242193943 983403975 /nfs/dbraw/zinc/40/39/75/983403975.db2.gz KEISUGQTGBSKAI-UHFFFAOYSA-N 0 3 225.295 2.912 20 0 BFADHN C[NH+](C)Cc1cncc(-c2ccc(F)cc2[O-])c1 ZINC001242218602 983419078 /nfs/dbraw/zinc/41/90/78/983419078.db2.gz GUDOTRQKRQBJFX-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN CCCCCN1CC[C@@](C)(F)[C@H](F)C1 ZINC001201725907 983434992 /nfs/dbraw/zinc/43/49/92/983434992.db2.gz VYPXCQUSXACEQP-GHMZBOCLSA-N 0 3 205.292 2.949 20 0 BFADHN CCCCCCN1CCCC(=O)[C@H](C)C1 ZINC001201743216 983449698 /nfs/dbraw/zinc/44/96/98/983449698.db2.gz NDDBMWAAOQAVHH-GFCCVEGCSA-N 0 3 211.349 2.868 20 0 BFADHN CCCC[C@@H](O)CN1Cc2cccc(C)c2C1 ZINC001252083678 983455137 /nfs/dbraw/zinc/45/51/37/983455137.db2.gz RTUAGMUCGIMGCA-CQSZACIVSA-N 0 3 233.355 2.862 20 0 BFADHN Cc1c(F)cc(-c2ccnc(CN)c2)cc1F ZINC001242287734 983487319 /nfs/dbraw/zinc/48/73/19/983487319.db2.gz REMAEMPVHHSPDS-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN CCn1ccc(CNCCCCCSC)n1 ZINC001202083700 983550852 /nfs/dbraw/zinc/55/08/52/983550852.db2.gz JABPHBFXMRPJNP-UHFFFAOYSA-N 0 3 241.404 2.526 20 0 BFADHN Cc1cccc(-c2nccc(N)c2C)c1O ZINC001242344888 983598232 /nfs/dbraw/zinc/59/82/32/983598232.db2.gz VRJORRRTCQUENW-UHFFFAOYSA-N 0 3 214.268 2.653 20 0 BFADHN c1cc2cccc(-c3ccc4c(n3)CNCC4)c2[nH]1 ZINC001242360271 983648016 /nfs/dbraw/zinc/64/80/16/983648016.db2.gz JUXHXAUGDNOVKA-UHFFFAOYSA-N 0 3 249.317 2.876 20 0 BFADHN NCc1ccc(-c2cccc3cc[nH]c32)cn1 ZINC001242360047 983648212 /nfs/dbraw/zinc/64/82/12/983648212.db2.gz XJLSLCHFSRXJNB-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN Cc1nc(-c2cnc(C)c(N)c2)cc2[nH]ccc21 ZINC001242407390 983705703 /nfs/dbraw/zinc/70/57/03/983705703.db2.gz QTIUCBCUWVLPAD-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN CO[C@H]1CCN(Cc2c[nH]c3c2cccc3F)C1 ZINC001137051276 983783670 /nfs/dbraw/zinc/78/36/70/983783670.db2.gz LBTSDTYNURRCPL-NSHDSACASA-N 0 3 248.301 2.528 20 0 BFADHN CCCCOC(=O)[C@H](C)N1CCC[C@H]1CCC ZINC001473859227 983897042 /nfs/dbraw/zinc/89/70/42/983897042.db2.gz DLQDGMVRMLUETR-QWHCGFSZSA-N 0 3 241.375 2.983 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@H]2C(C)C)o1 ZINC001474306778 983903091 /nfs/dbraw/zinc/90/30/91/983903091.db2.gz JPLPZBIEOXUUOQ-NWDGAFQWSA-N 0 3 223.316 2.812 20 0 BFADHN CC(C)c1nnc(CN[C@H]2C[C@@H]3CCCC[C@@H]32)[nH]1 ZINC001474541510 983905644 /nfs/dbraw/zinc/90/56/44/983905644.db2.gz KMIWKGZPOGJHEJ-SRVKXCTJSA-N 0 3 248.374 2.596 20 0 BFADHN Cc1oncc1-c1ccc2c(c1)CN(C)CC2 ZINC001242604327 983964004 /nfs/dbraw/zinc/96/40/04/983964004.db2.gz LDAFXUNEXYDWLO-UHFFFAOYSA-N 0 3 228.295 2.638 20 0 BFADHN C[C@@H]1CCCN(CCOC(=O)c2ccccc2)C1 ZINC000001997774 984003956 /nfs/dbraw/zinc/00/39/56/984003956.db2.gz LQFBXEZIAGFFFG-CYBMUJFWSA-N 0 3 247.338 2.575 20 0 BFADHN Cc1cccc2ncc(CN(C)C3CC(C)C3)n21 ZINC001137192718 984047985 /nfs/dbraw/zinc/04/79/85/984047985.db2.gz SSBSIHCTPLUFTJ-UHFFFAOYSA-N 0 3 243.354 2.873 20 0 BFADHN C/C=C(\C)c1cncc([C@@H]2CN3CCC2CC3)n1 ZINC001242757497 984113852 /nfs/dbraw/zinc/11/38/52/984113852.db2.gz LBYXQZFYVTZQKO-LXRBDXNZSA-N 0 3 243.354 2.709 20 0 BFADHN Cc1ccc2nc(CN3CC(C)C3)ccc2c1 ZINC001137209648 984172394 /nfs/dbraw/zinc/17/23/94/984172394.db2.gz MTSYASMLZPLOHA-UHFFFAOYSA-N 0 3 226.323 2.995 20 0 BFADHN NCc1cc(-c2cccc(OC3CC3)c2)ccn1 ZINC001242805040 984178142 /nfs/dbraw/zinc/17/81/42/984178142.db2.gz QHBCQNLZKWUIRW-UHFFFAOYSA-N 0 3 240.306 2.749 20 0 BFADHN CCCCC[C@@H](O)CN1CCC(F)(F)CC1 ZINC001253519079 984188354 /nfs/dbraw/zinc/18/83/54/984188354.db2.gz NPZHNFRJBGFQHV-LLVKDONJSA-N 0 3 235.318 2.659 20 0 BFADHN Cc1cnc(-c2c[nH]c3ncccc23)cc1N ZINC001242861518 984201261 /nfs/dbraw/zinc/20/12/61/984201261.db2.gz CPHFKPARADGFSE-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN C=C(c1ccccc1)c1cccc(CN)n1 ZINC001242888867 984220880 /nfs/dbraw/zinc/22/08/80/984220880.db2.gz BLNWLDLSCRQOLR-UHFFFAOYSA-N 0 3 210.280 2.602 20 0 BFADHN [NH3+]CCc1ccccc1-c1ccc(F)c([O-])c1 ZINC001243052517 984414637 /nfs/dbraw/zinc/41/46/37/984414637.db2.gz YUVNXMXLVYDZHV-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN CN(C)c1cc[nH+]c(-c2ccc(F)c([O-])c2)c1 ZINC001243053991 984416819 /nfs/dbraw/zinc/41/68/19/984416819.db2.gz KZKNTZCKWSQVJG-UHFFFAOYSA-N 0 3 232.258 2.659 20 0 BFADHN [NH3+]Cc1cccc(-c2ccc(F)c([O-])c2)c1F ZINC001243062936 984453860 /nfs/dbraw/zinc/45/38/60/984453860.db2.gz PWDGRTWDBJCBAP-UHFFFAOYSA-N 0 3 235.233 2.796 20 0 BFADHN Cc1occc1-c1ccc2c(c1)COC21CNC1 ZINC001243064997 984468098 /nfs/dbraw/zinc/46/80/98/984468098.db2.gz SHEGURMZNAYALE-UHFFFAOYSA-N 0 3 241.290 2.584 20 0 BFADHN CCCOc1ccccc1-c1ccnc(CN)c1 ZINC001243125732 984591939 /nfs/dbraw/zinc/59/19/39/984591939.db2.gz ANOPBFJWOCUVCS-UHFFFAOYSA-N 0 3 242.322 2.996 20 0 BFADHN CSc1ncc(-c2ccc(CN)nc2)cc1C ZINC001243149530 984609362 /nfs/dbraw/zinc/60/93/62/984609362.db2.gz ZOSZIKXPVIKQBQ-UHFFFAOYSA-N 0 3 245.351 2.633 20 0 BFADHN CSc1ncc(-c2ccnc(CN)c2)cc1C ZINC001243147880 984610080 /nfs/dbraw/zinc/61/00/80/984610080.db2.gz LDZROUXTXZVJCM-UHFFFAOYSA-N 0 3 245.351 2.633 20 0 BFADHN Cc1cnc(F)c(-c2cc(CN(C)C)ccn2)c1 ZINC001243164826 984624882 /nfs/dbraw/zinc/62/48/82/984624882.db2.gz CZYDCKMHOGQQKM-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN CCC[C@@H](C)NCc1cn(CC(F)(F)F)cn1 ZINC001257314290 984889245 /nfs/dbraw/zinc/88/92/45/984889245.db2.gz UJFMGMSFWUNWSS-SECBINFHSA-N 0 3 249.280 2.724 20 0 BFADHN CCC[C@@H](C)NCc1cc2ccccn2n1 ZINC001257314262 984890085 /nfs/dbraw/zinc/89/00/85/984890085.db2.gz KRLXXPAVCJVRAR-LLVKDONJSA-N 0 3 217.316 2.613 20 0 BFADHN CCCCCC[C@H](C)N1CC[C@@](F)(CO)C1 ZINC001257363953 984935127 /nfs/dbraw/zinc/93/51/27/984935127.db2.gz SRBMHVJHYYWIJE-STQMWFEESA-N 0 3 231.355 2.752 20 0 BFADHN CCCC[C@H](CC)N1CC[C@](O)(CF)[C@@H](F)C1 ZINC001257417781 984975169 /nfs/dbraw/zinc/97/51/69/984975169.db2.gz HESPJRRTERYCOO-AVGNSLFASA-N 0 3 249.345 2.700 20 0 BFADHN CC[C@H](C)NCc1c(F)ccc(OC)c1F ZINC001257430573 985003476 /nfs/dbraw/zinc/00/34/76/985003476.db2.gz XSGQNFSPEJNOTE-QMMMGPOBSA-N 0 3 229.270 2.862 20 0 BFADHN CC[C@H](C)N1CC2(CCN2Cc2ccccc2)C1 ZINC001257442567 985018404 /nfs/dbraw/zinc/01/84/04/985018404.db2.gz KYPTZGSILHLNNQ-AWEZNQCLSA-N 0 3 244.382 2.745 20 0 BFADHN Cc1cnc(-c2cc(Cl)ccc2N)cc1N ZINC001243483859 985044803 /nfs/dbraw/zinc/04/48/03/985044803.db2.gz XWMYSAFELSQJOC-UHFFFAOYSA-N 0 3 233.702 2.875 20 0 BFADHN CC[C@H](C)N1CC[C@@H](N2CCCCC2)[C@@H](F)C1 ZINC001257448690 985046985 /nfs/dbraw/zinc/04/69/85/985046985.db2.gz AASCWXBHOZEYGZ-MELADBBJSA-N 0 3 242.382 2.683 20 0 BFADHN CC[C@@H](C)N1CC[C@H](N2CCCCC2)[C@@H](F)C1 ZINC001257448692 985047515 /nfs/dbraw/zinc/04/75/15/985047515.db2.gz AASCWXBHOZEYGZ-RDBSUJKOSA-N 0 3 242.382 2.683 20 0 BFADHN CC[C@@H](C)N1CC[C@@H](N2CCCCC2)[C@@H](F)C1 ZINC001257448682 985048080 /nfs/dbraw/zinc/04/80/80/985048080.db2.gz AASCWXBHOZEYGZ-HZSPNIEDSA-N 0 3 242.382 2.683 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N1C[C@H](F)C[C@H]1CO ZINC001257477905 985105575 /nfs/dbraw/zinc/10/55/75/985105575.db2.gz PKLTYLHUGSCDRG-SYQHCUMBSA-N 0 3 245.382 2.996 20 0 BFADHN CCCCC[C@H](C)NCc1cn(CCF)cn1 ZINC001257506692 985139930 /nfs/dbraw/zinc/13/99/30/985139930.db2.gz RYQZELRSNBUTPQ-LBPRGKRZSA-N 0 3 241.354 2.911 20 0 BFADHN c1ccc(OCN[C@H]2CCCSC2)cc1 ZINC001257661235 985244569 /nfs/dbraw/zinc/24/45/69/985244569.db2.gz GSXITHIAQPMCLC-NSHDSACASA-N 0 3 223.341 2.508 20 0 BFADHN CCNC(=O)CN(C)[C@@H](C)C[C@H](C)CC(C)C ZINC001258062658 985363736 /nfs/dbraw/zinc/36/37/36/985363736.db2.gz LATPUDBBWRQPRS-OLZOCXBDSA-N 0 3 242.407 2.515 20 0 BFADHN CCNC(=O)CN(C)[C@@H](C)C[C@@H](C)CC(C)C ZINC001258062660 985363997 /nfs/dbraw/zinc/36/39/97/985363997.db2.gz LATPUDBBWRQPRS-STQMWFEESA-N 0 3 242.407 2.515 20 0 BFADHN Cc1c(N)ccnc1-c1cccc2c1CCN2 ZINC001243850308 985487358 /nfs/dbraw/zinc/48/73/58/985487358.db2.gz TZJGKIBGRKIRMZ-UHFFFAOYSA-N 0 3 225.295 2.607 20 0 BFADHN C[C@H]1CC(NCC(=O)c2cccnc2)C[C@H](C)C1 ZINC001258158499 985516311 /nfs/dbraw/zinc/51/63/11/985516311.db2.gz QOXLHDSOLGMOBJ-VXGBXAGGSA-N 0 3 246.354 2.679 20 0 BFADHN C[C@H](N[C@@H]1COC2(CCC2)C1)c1cncs1 ZINC000688267674 985593087 /nfs/dbraw/zinc/59/30/87/985593087.db2.gz OUZQOKRRNGYIHZ-UWVGGRQHSA-N 0 3 238.356 2.505 20 0 BFADHN Cc1cnc(-c2cccc3[nH]cnc32)cc1N ZINC001243929678 985601657 /nfs/dbraw/zinc/60/16/57/985601657.db2.gz CGZTVCHXKUFBLI-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Cc1c(N)ccnc1-c1cccc2[nH]cnc21 ZINC001243931991 985605107 /nfs/dbraw/zinc/60/51/07/985605107.db2.gz YSJPMBCVNFUERG-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN COc1cc2ncccc2c(C2=CNCCC2)c1 ZINC001243949386 985639401 /nfs/dbraw/zinc/63/94/01/985639401.db2.gz DLZDUBUVWNGYPK-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN Cc1cc(F)c(F)cc1C1=CNCCC1 ZINC001243950186 985642998 /nfs/dbraw/zinc/64/29/98/985642998.db2.gz OLTHNJYXEFCZMJ-UHFFFAOYSA-N 0 3 209.239 2.998 20 0 BFADHN COCOc1ccc(C)cc1C1=CNCCC1 ZINC001243950879 985648600 /nfs/dbraw/zinc/64/86/00/985648600.db2.gz PLUXLTFXIWQULI-UHFFFAOYSA-N 0 3 233.311 2.702 20 0 BFADHN CSc1ccc(C2=CNCCC2)c(C)n1 ZINC001243951548 985653296 /nfs/dbraw/zinc/65/32/96/985653296.db2.gz HYJKTLQQPFJQRM-UHFFFAOYSA-N 0 3 220.341 2.836 20 0 BFADHN CCCOc1ncc(C2=CNCCC2)cc1C ZINC001243951554 985657762 /nfs/dbraw/zinc/65/77/62/985657762.db2.gz JZQFMQSFSROOPH-UHFFFAOYSA-N 0 3 232.327 2.903 20 0 BFADHN COC(=O)c1cc(C)c(C2=CNCCC2)c(C)c1 ZINC001243953122 985661047 /nfs/dbraw/zinc/66/10/47/985661047.db2.gz VOUSQWWGGHFVLF-UHFFFAOYSA-N 0 3 245.322 2.814 20 0 BFADHN CCc1cc2cc(C3=CNCCC3)cnc2[nH]1 ZINC001243953079 985669485 /nfs/dbraw/zinc/66/94/85/985669485.db2.gz TZEXLGHJACONDR-UHFFFAOYSA-N 0 3 227.311 2.850 20 0 BFADHN CC[C@H]1C[C@H](N[C@@H](C)c2ccccc2OC)CO1 ZINC000688534767 985671501 /nfs/dbraw/zinc/67/15/01/985671501.db2.gz FBVVHUIITWEHEH-AVGNSLFASA-N 0 3 249.354 2.913 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3C[C@@H]3C2)c(Cl)n1 ZINC000688556150 985678187 /nfs/dbraw/zinc/67/81/87/985678187.db2.gz FPYZMEZWLZYWEF-ZYHUDNBSSA-N 0 3 236.746 2.885 20 0 BFADHN CC1(C)CC[C@H](CN[C@H]2CCc3cccnc32)O1 ZINC000691846015 985698300 /nfs/dbraw/zinc/69/83/00/985698300.db2.gz BGMHYMRMFIPRIC-OLZOCXBDSA-N 0 3 246.354 2.616 20 0 BFADHN CC(C)[C@H](NC/C(Cl)=C\Cl)C(C)(C)O ZINC001460082958 985737914 /nfs/dbraw/zinc/73/79/14/985737914.db2.gz SMNQKMIPEWBLME-QRJSTWQJSA-N 0 3 240.174 2.691 20 0 BFADHN CO[C@@H]1CN([C@H](C)CC(C)(C)SC)C[C@@H]1F ZINC001258333799 985811083 /nfs/dbraw/zinc/81/10/83/985811083.db2.gz BCMAJDXZZUKRDW-OUAUKWLOSA-N 0 3 249.395 2.575 20 0 BFADHN CSC(C)(C)C[C@H](C)N1CCO[C@H](C)C1 ZINC001258336371 985812473 /nfs/dbraw/zinc/81/24/73/985812473.db2.gz YIPOPHWQKJHGTJ-WDEREUQCSA-N 0 3 231.405 2.627 20 0 BFADHN CCc1onc(C)c1CN1C[C@H]2CCCC[C@H]21 ZINC001460350516 985838074 /nfs/dbraw/zinc/83/80/74/985838074.db2.gz VZZVQVCIYADJSR-DGCLKSJQSA-N 0 3 234.343 2.920 20 0 BFADHN Cc1cc(C)c(-c2cc(CN(C)C)ccn2)cn1 ZINC001244149206 985858080 /nfs/dbraw/zinc/85/80/80/985858080.db2.gz SYVKHTQOIDLFCN-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN C[C@@H](CC1CC1)n1nc(CN)c2ccccc21 ZINC001258448059 985888829 /nfs/dbraw/zinc/88/88/29/985888829.db2.gz AFGJZVDILCNVLQ-JTQLQIEISA-N 0 3 229.327 2.856 20 0 BFADHN C[C@@H](CC1CC1)N1CCN(Cc2ccco2)CC1 ZINC001258463090 985907471 /nfs/dbraw/zinc/90/74/71/985907471.db2.gz MLKKJEVFNRQOCY-ZDUSSCGKSA-N 0 3 248.370 2.586 20 0 BFADHN C[C@H](CC1CC1)N1CC[C@@](C)(F)[C@@H](F)C1 ZINC001258466147 985915406 /nfs/dbraw/zinc/91/54/06/985915406.db2.gz VAQUXFSTRTYHBM-ADEWGFFLSA-N 0 3 217.303 2.947 20 0 BFADHN C[C@H](CC1CC1)N1CC[C@](C)(F)[C@H](F)C1 ZINC001258466149 985915546 /nfs/dbraw/zinc/91/55/46/985915546.db2.gz VAQUXFSTRTYHBM-JLLWLGSASA-N 0 3 217.303 2.947 20 0 BFADHN C[C@H](CC1CC1)N1CCC[C@@H]1c1ncccn1 ZINC001258471590 985940087 /nfs/dbraw/zinc/94/00/87/985940087.db2.gz AJBCJGFYOTXUEL-DGCLKSJQSA-N 0 3 231.343 2.802 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ncccc1C)C1CCC1 ZINC001460935649 985948363 /nfs/dbraw/zinc/94/83/63/985948363.db2.gz KZNBDWPZDZZGJR-GXTWGEPZSA-N 0 3 248.370 2.856 20 0 BFADHN CC(C)C1(O)CCN([C@H](C)c2cccnc2)CC1 ZINC001460956337 985969499 /nfs/dbraw/zinc/96/94/99/985969499.db2.gz VRRUJVPKODMTIX-CYBMUJFWSA-N 0 3 248.370 2.626 20 0 BFADHN CCCCC[C@H](CCC)N1CC(=O)NC[C@H]1C ZINC001258673846 986004656 /nfs/dbraw/zinc/00/46/56/986004656.db2.gz PKFPEYVCVQZZCN-OLZOCXBDSA-N 0 3 240.391 2.556 20 0 BFADHN CCN(CC(=O)OC)[C@H]1C[C@H](C)CC(C)(C)C1 ZINC001258700993 986026473 /nfs/dbraw/zinc/02/64/73/986026473.db2.gz BPUUZHOGVPFAKC-RYUDHWBXSA-N 0 3 241.375 2.696 20 0 BFADHN CCCn1cc(CNCCC(F)(F)F)c(C)n1 ZINC001461245240 986027113 /nfs/dbraw/zinc/02/71/13/986027113.db2.gz RAFIMQWMYKWJIC-UHFFFAOYSA-N 0 3 249.280 2.644 20 0 BFADHN CCn1ccnc1CNCCCc1cccs1 ZINC001461348892 986043037 /nfs/dbraw/zinc/04/30/37/986043037.db2.gz WRWALRABIWHYSF-UHFFFAOYSA-N 0 3 249.383 2.687 20 0 BFADHN CCCC1(CNCc2ccn(CC)n2)CC1 ZINC001461346308 986046495 /nfs/dbraw/zinc/04/64/95/986046495.db2.gz PXIAHJIUDCTFLP-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CC[C@@H](C)C[C@H](CC)N1CCC(=O)CC1 ZINC001258789106 986214128 /nfs/dbraw/zinc/21/41/28/986214128.db2.gz VWGRSSMSGWWITO-NEPJUHHUSA-N 0 3 211.349 2.866 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N(C)[C@@H](C)C(=O)OC ZINC001258794999 986231646 /nfs/dbraw/zinc/23/16/46/986231646.db2.gz NVHCIHMMJVGRKV-SDDRHHMPSA-N 0 3 229.364 2.695 20 0 BFADHN Cc1cc(-c2cccc(C[NH3+])n2)cc(Cl)c1[O-] ZINC001244531110 986230538 /nfs/dbraw/zinc/23/05/38/986230538.db2.gz FYGRTLRTOOXUGC-UHFFFAOYSA-N 0 3 248.713 2.875 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)N1CCC12COC2 ZINC001258796123 986233733 /nfs/dbraw/zinc/23/37/33/986233733.db2.gz XKEOIFIADWFRGP-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN COc1c(C)ccc(F)c1-c1ccc(CN)nc1 ZINC001244526895 986234419 /nfs/dbraw/zinc/23/44/19/986234419.db2.gz MQOQJERCUJVRRW-UHFFFAOYSA-N 0 3 246.285 2.663 20 0 BFADHN COc1nc(CN2C3CCC2CC3)ccc1C ZINC001462037699 986267042 /nfs/dbraw/zinc/26/70/42/986267042.db2.gz GWNAMZGIKLKGCC-UHFFFAOYSA-N 0 3 232.327 2.525 20 0 BFADHN Cc1cc(C)c(N)c(-c2nccc(N)c2C)c1 ZINC001244589175 986269898 /nfs/dbraw/zinc/26/98/98/986269898.db2.gz FQQXOHCYFFRTIZ-UHFFFAOYSA-N 0 3 227.311 2.838 20 0 BFADHN Cc1nc2ccc(NC[C@@H](O)C(C)(C)C)cc2[nH]1 ZINC001253734063 986348871 /nfs/dbraw/zinc/34/88/71/986348871.db2.gz WWLVLUQIFSWTSJ-CYBMUJFWSA-N 0 3 247.342 2.690 20 0 BFADHN CNc1cc(F)cc(-c2nccc3c2CCN3)c1 ZINC001244825137 986358629 /nfs/dbraw/zinc/35/86/29/986358629.db2.gz CRVBGDCASRJQDN-UHFFFAOYSA-N 0 3 243.285 2.897 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2ncccc2F)[C@H]1C ZINC001462356616 986365872 /nfs/dbraw/zinc/36/58/72/986365872.db2.gz QJKLHNRLYASSKN-MXWKQRLJSA-N 0 3 222.307 2.697 20 0 BFADHN Cc1c(F)cncc1-c1cncc(CN(C)C)c1 ZINC001244834192 986378652 /nfs/dbraw/zinc/37/86/52/986378652.db2.gz IIGNYXBNVMKQAW-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN Cc1c(F)cncc1-c1ccc2[nH]c(N)nc2c1 ZINC001244836424 986383185 /nfs/dbraw/zinc/38/31/85/986383185.db2.gz PFYUXPLFOYNQBF-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN Cc1c(F)cncc1-c1ccc2nc(N)[nH]c2c1 ZINC001244836424 986383194 /nfs/dbraw/zinc/38/31/94/986383194.db2.gz PFYUXPLFOYNQBF-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN CCN(Cc1ccccc1F)C[C@@H](O)C(C)C ZINC001253764460 986387603 /nfs/dbraw/zinc/38/76/03/986387603.db2.gz BXQWHAOOHFDOIO-CQSZACIVSA-N 0 3 239.334 2.665 20 0 BFADHN NCc1cc(-c2ccc(C3CCC3)cc2)ncn1 ZINC001244940883 986494043 /nfs/dbraw/zinc/49/40/43/986494043.db2.gz FJMZUROVBWBUDW-UHFFFAOYSA-N 0 3 239.322 2.870 20 0 BFADHN C[C@H]1CCN1Cc1cnc2ccccc2c1 ZINC001137278089 986715082 /nfs/dbraw/zinc/71/50/82/986715082.db2.gz JTJPHNJCIFHTBC-NSHDSACASA-N 0 3 212.296 2.829 20 0 BFADHN CCCCN1CCN(Cc2[nH]c(C)cc2C)CC1 ZINC001137327904 986778124 /nfs/dbraw/zinc/77/81/24/986778124.db2.gz KQDPBZTXTKKOAO-UHFFFAOYSA-N 0 3 249.402 2.549 20 0 BFADHN CCCO[C@H]1CCN(Cc2[nH]c(C)cc2C)C1 ZINC001137327841 986778550 /nfs/dbraw/zinc/77/85/50/986778550.db2.gz IWEADUSLIYEFNV-ZDUSSCGKSA-N 0 3 236.359 2.632 20 0 BFADHN c1ccc2c(c1)n[nH]c2CN1CC2CCC1CC2 ZINC001137350000 986820485 /nfs/dbraw/zinc/82/04/85/986820485.db2.gz YXXSLRPRRGZZSZ-UHFFFAOYSA-N 0 3 241.338 2.937 20 0 BFADHN c1[nH]c2cnccc2c1-c1nccc2c1CCN2 ZINC001245393562 986829970 /nfs/dbraw/zinc/82/99/70/986829970.db2.gz MBDZMOPTAZSDCE-UHFFFAOYSA-N 0 3 236.278 2.593 20 0 BFADHN CN(Cc1ccc(C(C)(C)C)[nH]1)C1CC1 ZINC001137371853 986836028 /nfs/dbraw/zinc/83/60/28/986836028.db2.gz AKEMKURDOLLQKD-UHFFFAOYSA-N 0 3 206.333 2.906 20 0 BFADHN c1ncc(CN2CCC[C@H]3CCCC[C@@H]32)cn1 ZINC001137669164 986888412 /nfs/dbraw/zinc/88/84/12/986888412.db2.gz DGXRYHLYKZKSHD-KGLIPLIRSA-N 0 3 231.343 2.631 20 0 BFADHN Fc1ccc2c(c1)CN([C@H]1CCSC1)C2 ZINC001255289644 986889867 /nfs/dbraw/zinc/88/98/67/986889867.db2.gz OOKIVYPDBMBEJV-LBPRGKRZSA-N 0 3 223.316 2.647 20 0 BFADHN CCCC[C@H](C)N1CCC(=O)C(C)(C)C1 ZINC001255329837 986926822 /nfs/dbraw/zinc/92/68/22/986926822.db2.gz PYHGHQBPEKMBHJ-NSHDSACASA-N 0 3 211.349 2.866 20 0 BFADHN CCOc1ccncc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC001139629295 986937412 /nfs/dbraw/zinc/93/74/12/986937412.db2.gz NUGCVGTZBCLOQF-BETUJISGSA-N 0 3 246.354 2.568 20 0 BFADHN CCOC1CCN([C@H](C)CC(F)(F)F)CC1 ZINC001255658613 987052605 /nfs/dbraw/zinc/05/26/05/987052605.db2.gz SXCGFPQSBKNGQL-SECBINFHSA-N 0 3 239.281 2.828 20 0 BFADHN COc1cc(C)c(-c2ccc(CN)nc2)c(C)c1 ZINC001245680353 987057965 /nfs/dbraw/zinc/05/79/65/987057965.db2.gz SUJSLIUJSRUMDN-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN C[C@H](Cc1ccc(F)cc1)n1ccnc1[C@H](C)N ZINC001255854325 987074132 /nfs/dbraw/zinc/07/41/32/987074132.db2.gz BRMAWGRILWGTGV-MNOVXSKESA-N 0 3 247.317 2.846 20 0 BFADHN Cc1ccc(-c2cc(CN)ncn2)c(C)c1Cl ZINC001245825352 987093319 /nfs/dbraw/zinc/09/33/19/987093319.db2.gz ZRVDRBYGKARXOJ-UHFFFAOYSA-N 0 3 247.729 2.873 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N(C)c1ccc(C)cc1 ZINC000400745551 987325939 /nfs/dbraw/zinc/32/59/39/987325939.db2.gz ANDMFJVNKLPKAG-SMDDNHRTSA-N 0 3 248.370 2.721 20 0 BFADHN C[C@@H](C[NH2+]Cc1ccc([O-])c(F)c1)CC(F)F ZINC000697713397 987363189 /nfs/dbraw/zinc/36/31/89/987363189.db2.gz FPSURNGFZDSBMO-MRVPVSSYSA-N 0 3 247.260 2.912 20 0 BFADHN C[C@H]1COC[C@H]1NCc1sccc1Cl ZINC000699889800 987379130 /nfs/dbraw/zinc/37/91/30/987379130.db2.gz QAUSKWJSKUAOMR-IONNQARKSA-N 0 3 231.748 2.526 20 0 BFADHN CCC[C@H]1CN(CCCC2CC2)CCO1 ZINC001473281950 987387959 /nfs/dbraw/zinc/38/79/59/987387959.db2.gz HQZFUSVVIWXRIN-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN CC[C@H]1CCN(Cc2ccncc2F)[C@H]1C ZINC001473402610 987425314 /nfs/dbraw/zinc/42/53/14/987425314.db2.gz LOHOABRXTWTPIE-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN CCC[C@H](C)CCCN1CC(O)(C(C)C)C1 ZINC001473441256 987438450 /nfs/dbraw/zinc/43/84/50/987438450.db2.gz PSKSPKMZVYUSGU-ZDUSSCGKSA-N 0 3 227.392 2.906 20 0 BFADHN C[C@H](NC1(C)CC1)c1nc2ccccc2n1C ZINC001116494473 987605196 /nfs/dbraw/zinc/60/51/96/987605196.db2.gz DJYJZXJERAOENE-JTQLQIEISA-N 0 3 229.327 2.776 20 0 BFADHN c1cc(CNC2CCC3(CCC3)CC2)n[nH]1 ZINC001473922825 987620546 /nfs/dbraw/zinc/62/05/46/987620546.db2.gz LMZLTQZZGPYQIV-UHFFFAOYSA-N 0 3 219.332 2.612 20 0 BFADHN CCCn1cc(CNCC=C(C)C)c(C)n1 ZINC001474112382 987643499 /nfs/dbraw/zinc/64/34/99/987643499.db2.gz CXZMMPVZAXQOFA-UHFFFAOYSA-N 0 3 221.348 2.657 20 0 BFADHN CN(C)c1ccc(F)cc1CNCCC(F)F ZINC001474298211 987677820 /nfs/dbraw/zinc/67/78/20/987677820.db2.gz QCOOZZNOVBZAHL-UHFFFAOYSA-N 0 3 246.276 2.637 20 0 BFADHN CCn1ccnc1CNC[C@H]1C[C@H]1C1CCCC1 ZINC001474301653 987681468 /nfs/dbraw/zinc/68/14/68/987681468.db2.gz NLBAHHJLIJSWKL-KGLIPLIRSA-N 0 3 247.386 2.819 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@H]2C2CCCC2)[nH]c1C ZINC001474300998 987683917 /nfs/dbraw/zinc/68/39/17/987683917.db2.gz BWVFXDFMCCBDNC-KBPBESRZSA-N 0 3 247.386 2.942 20 0 BFADHN Cc1cccc(F)c1CN[C@H](C)Cn1cccn1 ZINC001116736193 987686699 /nfs/dbraw/zinc/68/66/99/987686699.db2.gz MQLHEWYGQFRYDN-GFCCVEGCSA-N 0 3 247.317 2.509 20 0 BFADHN CC[C@H](C)CCNCc1ncccc1F ZINC001474620895 987779610 /nfs/dbraw/zinc/77/96/10/987779610.db2.gz AIAAWRSGSPLNLC-JTQLQIEISA-N 0 3 210.296 2.747 20 0 BFADHN c1cc(CN2CC=CCC2)n(C2CC2)c1 ZINC001117744522 987885283 /nfs/dbraw/zinc/88/52/83/987885283.db2.gz MGHRHFOMZRMLSN-UHFFFAOYSA-N 0 3 202.301 2.585 20 0 BFADHN CCCCN(CC)C(=O)[C@H](N)C1CCCCC1 ZINC001118198620 988094033 /nfs/dbraw/zinc/09/40/33/988094033.db2.gz QMYZHUYQLSWRIX-CYBMUJFWSA-N 0 3 240.391 2.543 20 0 BFADHN CCC1(CC)CCN(Cc2cc(C)n[nH]2)C1 ZINC001323310910 988494691 /nfs/dbraw/zinc/49/46/91/988494691.db2.gz PIIYSOQSVPIWFC-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN Cc1cnc2ccccc2c1N[C@H]1CCCOC1 ZINC001323327174 988497558 /nfs/dbraw/zinc/49/75/58/988497558.db2.gz JLWVWYFZTNNEEW-LBPRGKRZSA-N 0 3 242.322 2.556 20 0 BFADHN CCC[C@@H](Cc1ccccc1)N1CC[C@H]1NC ZINC001246901615 988675027 /nfs/dbraw/zinc/67/50/27/988675027.db2.gz YOHSVVCASPLCLN-GJZGRUSLSA-N 0 3 232.371 2.649 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001324199870 988747130 /nfs/dbraw/zinc/74/71/30/988747130.db2.gz XTDUWKJQFARKID-CHWSQXEVSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1cccn2c(CNC/C=C\C3CC3)cnc12 ZINC001324223067 988762439 /nfs/dbraw/zinc/76/24/39/988762439.db2.gz QATWCZKOJXRWER-DJWKRKHSSA-N 0 3 241.338 2.699 20 0 BFADHN C1CN([C@@H]2CCCC3(CCCCC3)C2)CN1 ZINC001246992294 988823915 /nfs/dbraw/zinc/82/39/15/988823915.db2.gz KXSGYNRYNKFTMU-CYBMUJFWSA-N 0 3 222.376 2.742 20 0 BFADHN CC(C)C[C@H](Cc1ccccc1)N1CCNC1 ZINC001246998696 988831016 /nfs/dbraw/zinc/83/10/16/988831016.db2.gz ZACWTFMQQDSWMW-OAHLLOKOSA-N 0 3 232.371 2.507 20 0 BFADHN Cc1cc2[nH]c([C@@H]3CNC(C)(C)O3)nc2c(C)c1 ZINC001247011683 988840374 /nfs/dbraw/zinc/84/03/74/988840374.db2.gz TXAUHBJAPPRGST-NSHDSACASA-N 0 3 245.326 2.577 20 0 BFADHN C[C@H](N)c1nc2c(cc(Cl)c(F)c2F)[nH]1 ZINC001247018580 988844468 /nfs/dbraw/zinc/84/44/68/988844468.db2.gz XDVOLQJUSCZQDE-VKHMYHEASA-N 0 3 231.633 2.514 20 0 BFADHN Cc1ncc([C@H](C)NC/C=C\C2CC2)c(C)n1 ZINC001331674959 988898895 /nfs/dbraw/zinc/89/88/95/988898895.db2.gz YIHULRXRHAOEAD-LWTINBJPSA-N 0 3 231.343 2.710 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cc(C)n[nH]2)C1 ZINC001324745717 988960478 /nfs/dbraw/zinc/96/04/78/988960478.db2.gz FBHRWYKIVBBNSP-ZDUSSCGKSA-N 0 3 221.348 2.730 20 0 BFADHN CCO[C@H]1CCCN(Cc2cccn2C2CC2)C1 ZINC001325110883 989088355 /nfs/dbraw/zinc/08/83/55/989088355.db2.gz IBLJWZIXLLVWSN-HNNXBMFYSA-N 0 3 248.370 2.824 20 0 BFADHN CCc1cc(Nc2cc3cccnc3[nH]2)ccn1 ZINC001248706628 989133736 /nfs/dbraw/zinc/13/37/36/989133736.db2.gz BKCCXZRPFLRRLN-UHFFFAOYSA-N 0 3 238.294 2.686 20 0 BFADHN CO[C@@H](C)C1CN(Cc2csc(C)c2)C1 ZINC001248804493 989163337 /nfs/dbraw/zinc/16/33/37/989163337.db2.gz GYOUEOOPBPIDSS-JTQLQIEISA-N 0 3 225.357 2.523 20 0 BFADHN C[C@H](F)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC001248935708 989227612 /nfs/dbraw/zinc/22/76/12/989227612.db2.gz DTNYIYFQNJNEMT-VIFPVBQESA-N 0 3 249.289 2.829 20 0 BFADHN F[C@@H]1CN(Cc2cccc3c2CCC3)C[C@@H]2C[C@@H]21 ZINC001249456814 989283375 /nfs/dbraw/zinc/28/33/75/989283375.db2.gz GYVSOFCQDJACSW-CWRNSKLLSA-N 0 3 245.341 2.965 20 0 BFADHN CC1CN(Cc2cc(F)cc3cc[nH]c32)C1 ZINC001249522244 989299968 /nfs/dbraw/zinc/29/99/68/989299968.db2.gz SYQXQRUXIIGDNE-UHFFFAOYSA-N 0 3 218.275 2.759 20 0 BFADHN CCN1CCc2ccc(CN3CC[C@H]3C)cc21 ZINC001249655388 989336501 /nfs/dbraw/zinc/33/65/01/989336501.db2.gz FQSIWTVTYIBQQE-GFCCVEGCSA-N 0 3 230.355 2.663 20 0 BFADHN C[C@H]([NH2+][C@@H]1CC[C@H]1C)c1cc([O-])cc(F)c1 ZINC001119705775 989348429 /nfs/dbraw/zinc/34/84/29/989348429.db2.gz YUHRBMPWOLZDII-VYUIOLGVSA-N 0 3 223.291 2.980 20 0 BFADHN C[C@H]([NH2+][C@@H]1CC[C@@H]1C)c1cc([O-])cc(F)c1 ZINC001119705773 989351076 /nfs/dbraw/zinc/35/10/76/989351076.db2.gz YUHRBMPWOLZDII-MWODSPESSA-N 0 3 223.291 2.980 20 0 BFADHN COC(=O)C1(N(C)CCC(C)C)CCCCC1 ZINC001326306050 989483598 /nfs/dbraw/zinc/48/35/98/989483598.db2.gz RHSBQRNFRATYDI-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1cccnc1CN[C@H]1C[C@@H](OC(C)(C)C)C1 ZINC001326339425 989504321 /nfs/dbraw/zinc/50/43/21/989504321.db2.gz VEZGTKYQGQXGEJ-BETUJISGSA-N 0 3 248.370 2.826 20 0 BFADHN CCN(Cc1nccc2c1CCCC2)C1CC1 ZINC001120013628 989563476 /nfs/dbraw/zinc/56/34/76/989563476.db2.gz CVCSWEZVSMOCPU-UHFFFAOYSA-N 0 3 230.355 2.945 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2C[C@H]2C2CCC2)cc1F ZINC001120058092 989582557 /nfs/dbraw/zinc/58/25/57/989582557.db2.gz NZNOOPUOHFXUQS-WCQYABFASA-N 0 3 235.302 2.810 20 0 BFADHN CC1(NCc2nc(-c3ccccc3)c[nH]2)CC1 ZINC001326574605 989614089 /nfs/dbraw/zinc/61/40/89/989614089.db2.gz JAUQIEWBWSPQDC-UHFFFAOYSA-N 0 3 227.311 2.719 20 0 BFADHN CC1(CCC(=O)Nc2cccc3c2CNC3)CC1 ZINC001122221423 990298112 /nfs/dbraw/zinc/29/81/12/990298112.db2.gz ZOZSJYYPTHLPQD-UHFFFAOYSA-N 0 3 244.338 2.809 20 0 BFADHN COc1cccc(CN2CC[C@H](C)C[C@H]2C)n1 ZINC001137533354 990820935 /nfs/dbraw/zinc/82/09/35/990820935.db2.gz QICVEZVHRRXYGX-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc(F)cc1CN1CCC[C@H]2C[C@H]21 ZINC001138375591 990924831 /nfs/dbraw/zinc/92/48/31/990924831.db2.gz DOQNOIAFWDULSM-GXFFZTMASA-N 0 3 235.302 2.819 20 0 BFADHN COc1c(C)cc(CN2CC[C@@H]2C)cc1C ZINC001138426151 990945264 /nfs/dbraw/zinc/94/52/64/990945264.db2.gz ZTHWPWXDQYVPEJ-LBPRGKRZSA-N 0 3 219.328 2.906 20 0 BFADHN Cc1cc(CNCC=Cc2ccc(F)cc2)[nH]n1 ZINC001308286125 990961207 /nfs/dbraw/zinc/96/12/07/990961207.db2.gz VUXPZBCIFXQKHC-IHWYPQMZSA-N 0 3 245.301 2.660 20 0 BFADHN Cc1cc(CNCC=Cc2ccc(F)cc2)n[nH]1 ZINC001308286125 990961220 /nfs/dbraw/zinc/96/12/20/990961220.db2.gz VUXPZBCIFXQKHC-IHWYPQMZSA-N 0 3 245.301 2.660 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)N(C)C1COC1 ZINC001258068453 990961305 /nfs/dbraw/zinc/96/13/05/990961305.db2.gz FJGJOKMVWHWKPT-NEPJUHHUSA-N 0 3 213.365 2.778 20 0 BFADHN COc1ccc2[nH]cc(CN3CC[C@@H]3C)c2c1 ZINC001138504474 990964750 /nfs/dbraw/zinc/96/47/50/990964750.db2.gz ZKFZEMZYOZEWOH-JTQLQIEISA-N 0 3 230.311 2.771 20 0 BFADHN COc1cccc(CN[C@H](C)c2ccc[nH]2)c1 ZINC001308359117 990971818 /nfs/dbraw/zinc/97/18/18/990971818.db2.gz UNCDMHHIKCKUBK-LLVKDONJSA-N 0 3 230.311 2.874 20 0 BFADHN CCn1ccc(CNCC2C(C)(C)C2(C)C)n1 ZINC001308379745 990976452 /nfs/dbraw/zinc/97/64/52/990976452.db2.gz LQSACOZUDPURQK-UHFFFAOYSA-N 0 3 235.375 2.675 20 0 BFADHN COC(=O)[C@@H]1CCCN1[C@H](C)CCC=C(C)C ZINC001258080779 990983544 /nfs/dbraw/zinc/98/35/44/990983544.db2.gz LMMCGAWPVBWQGW-OLZOCXBDSA-N 0 3 239.359 2.759 20 0 BFADHN CC(C)(CNCc1cnsc1)c1ccccn1 ZINC001308410600 990987275 /nfs/dbraw/zinc/98/72/75/990987275.db2.gz SOLALPGSTRZQLD-UHFFFAOYSA-N 0 3 247.367 2.606 20 0 BFADHN CCCn1ncc(CN2CCC[C@H]3C[C@H]32)c1C ZINC001138641120 990992969 /nfs/dbraw/zinc/99/29/69/990992969.db2.gz CBCSEEOFRPAZSD-GXTWGEPZSA-N 0 3 233.359 2.586 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CCOC2(CC2)C1 ZINC001258095411 991000829 /nfs/dbraw/zinc/00/08/29/991000829.db2.gz FVKTUVJVJNAWFK-CYBMUJFWSA-N 0 3 223.360 2.986 20 0 BFADHN c1cc(CNCc2ccc3cccnc3c2)c[nH]1 ZINC001308488334 991004651 /nfs/dbraw/zinc/00/46/51/991004651.db2.gz ZDILTZIDFFQMRB-UHFFFAOYSA-N 0 3 237.306 2.853 20 0 BFADHN C[C@H]1CCN1Cc1ccc2c(c1)CCCO2 ZINC001138713174 991017918 /nfs/dbraw/zinc/01/79/18/991017918.db2.gz APONMVYLCWRAGB-NSHDSACASA-N 0 3 217.312 2.606 20 0 BFADHN C[C@@H](N)c1nccn1[C@H]1C[C@H](C)C[C@H](C)C1 ZINC001258150809 991028187 /nfs/dbraw/zinc/02/81/87/991028187.db2.gz ANIYTYFBDDTUBR-KXNHARMFSA-N 0 3 221.348 2.900 20 0 BFADHN Cc1cc2cc(CN[C@H]3CCOC3)oc2cc1C ZINC001308551040 991032354 /nfs/dbraw/zinc/03/23/54/991032354.db2.gz LVMBDTIOAIUAOR-ZDUSSCGKSA-N 0 3 245.322 2.928 20 0 BFADHN Cc1cn(C)nc1CNCCCc1ccsc1 ZINC001308555324 991033656 /nfs/dbraw/zinc/03/36/56/991033656.db2.gz NJVKLEZLXKVDSA-UHFFFAOYSA-N 0 3 249.383 2.512 20 0 BFADHN Cc1ncc(CNC[C@H]2C[C@H]2C2CCCC2)o1 ZINC001308553173 991035381 /nfs/dbraw/zinc/03/53/81/991035381.db2.gz IFDQVBMCNAJQBZ-OCCSQVGLSA-N 0 3 234.343 2.899 20 0 BFADHN Fc1ncccc1CN1CCC2(CC2)CC1 ZINC001138782835 991041921 /nfs/dbraw/zinc/04/19/21/991041921.db2.gz FZMNIBOLRFSUSK-UHFFFAOYSA-N 0 3 220.291 2.597 20 0 BFADHN CCCN(CCC)Cc1cccnc1F ZINC001138784720 991048392 /nfs/dbraw/zinc/04/83/92/991048392.db2.gz JUZLHHUHXJWMIS-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN CCCn1cncc1CN(CC(C)C)C1CC1 ZINC001308582480 991049181 /nfs/dbraw/zinc/04/91/81/991049181.db2.gz OGLYDUNXTXRCKH-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1ccc(CN(C)C2CCC(C)CC2)n1 ZINC001308600975 991051941 /nfs/dbraw/zinc/05/19/41/991051941.db2.gz AREHXZUUDQOVQK-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN Fc1ncccc1CN1CCC[C@H]2CCC[C@H]21 ZINC001138787223 991053066 /nfs/dbraw/zinc/05/30/66/991053066.db2.gz DUXCOPUUOBOCRL-DGCLKSJQSA-N 0 3 234.318 2.985 20 0 BFADHN CCCn1cncc1CN(CC)C1CCC1 ZINC001308610211 991057095 /nfs/dbraw/zinc/05/70/95/991057095.db2.gz ICUMWOHNVYKPDK-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@@H]1CC(N2CCc3nn(C)cc3C2)C[C@@H](C)C1 ZINC001258167199 991061296 /nfs/dbraw/zinc/06/12/96/991061296.db2.gz HBYZYXMXWFHRJV-RYUDHWBXSA-N 0 3 247.386 2.603 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1Cc1cccc2ccnn21 ZINC001138805534 991063455 /nfs/dbraw/zinc/06/34/55/991063455.db2.gz BWARGVAUCBSFDG-QWHCGFSZSA-N 0 3 243.354 2.955 20 0 BFADHN CNc1ccccc1CN1CCSC[C@@H]1C ZINC001308625008 991064500 /nfs/dbraw/zinc/06/45/00/991064500.db2.gz WBZDPQDYBKJAOW-NSHDSACASA-N 0 3 236.384 2.666 20 0 BFADHN c1cc2cccc(CN3CCC4(CCC4)C3)n2n1 ZINC001138808035 991067371 /nfs/dbraw/zinc/06/73/71/991067371.db2.gz HGQPOKSVHPGGAN-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN C[C@H]1C[C@H](O)CCN1Cc1ccc2occc2c1 ZINC001138824158 991075280 /nfs/dbraw/zinc/07/52/80/991075280.db2.gz ANNZGPFTIDVBIY-SMDDNHRTSA-N 0 3 245.322 2.778 20 0 BFADHN Cc1cc(CN2CC[C@H]3CCCC[C@@H]3C2)nn1C ZINC001138831857 991083513 /nfs/dbraw/zinc/08/35/13/991083513.db2.gz ZNGFDIOJQFHMAT-ZIAGYGMSSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@H](Cc1ccco1)N[C@H](C)c1ccno1 ZINC001258184650 991090192 /nfs/dbraw/zinc/09/01/92/991090192.db2.gz HSLQEEFOYBHFGU-NXEZZACHSA-N 0 3 220.272 2.549 20 0 BFADHN C[C@@H]1CCN1Cc1c[nH]nc1-c1ccc(F)cc1 ZINC001138878669 991090473 /nfs/dbraw/zinc/09/04/73/991090473.db2.gz PJFRIRCYPZUUCI-SNVBAGLBSA-N 0 3 245.301 2.810 20 0 BFADHN CCC(CC)CNc1cc(OC)ccn1 ZINC000083345518 991119846 /nfs/dbraw/zinc/11/98/46/991119846.db2.gz ZBLCZVWLKAILIA-UHFFFAOYSA-N 0 3 208.305 2.938 20 0 BFADHN C[C@@H]1C[C@@H](NC2CC(C(F)F)C2)c2nccn21 ZINC001308764223 991119742 /nfs/dbraw/zinc/11/97/42/991119742.db2.gz HBHJIOZKOJRBEX-SEZDTBSWSA-N 0 3 241.285 2.522 20 0 BFADHN CC[C@@H](C)[C@H](C(=O)OC)N(C)CCC(C)C ZINC001559491475 991121908 /nfs/dbraw/zinc/12/19/08/991121908.db2.gz IYEWKLNBFUNJSL-VXGBXAGGSA-N 0 3 229.364 2.552 20 0 BFADHN C[C@H]1C[C@@H](NC2CC(C(F)F)C2)c2nccn21 ZINC001308764221 991122313 /nfs/dbraw/zinc/12/23/13/991122313.db2.gz HBHJIOZKOJRBEX-AUXWQGHOSA-N 0 3 241.285 2.522 20 0 BFADHN CSCC[C@H](C)N1CCC[C@@H](F)C1 ZINC001258220608 991141416 /nfs/dbraw/zinc/14/14/16/991141416.db2.gz WQUIVMPHAXCVFO-VHSXEESVSA-N 0 3 205.342 2.562 20 0 BFADHN Fc1cccnc1CNCC1CCC(F)CC1 ZINC001308847681 991172924 /nfs/dbraw/zinc/17/29/24/991172924.db2.gz DRSYGBHDFVNDBC-UHFFFAOYSA-N 0 3 240.297 2.839 20 0 BFADHN Cn1ccc2cc(CN3CC=CC3)ccc21 ZINC001139189041 991183780 /nfs/dbraw/zinc/18/37/80/991183780.db2.gz WUOYZXZNKPKTMQ-UHFFFAOYSA-N 0 3 212.296 2.550 20 0 BFADHN c1cc(CN2CCC3(CC3)C2)cc2nsnc12 ZINC001139210713 991192959 /nfs/dbraw/zinc/19/29/59/991192959.db2.gz RUQRLSZZCICOKC-UHFFFAOYSA-N 0 3 245.351 2.677 20 0 BFADHN CC1(C)CCC[C@H](NCC(=O)c2cccnc2)C1 ZINC001258278304 991204676 /nfs/dbraw/zinc/20/46/76/991204676.db2.gz VPZFNCKWGPGBDT-ZDUSSCGKSA-N 0 3 246.354 2.823 20 0 BFADHN CC1(C)CCC[C@H](N2Cc3cncnc3C2)C1 ZINC001258282309 991209752 /nfs/dbraw/zinc/20/97/52/991209752.db2.gz HAECWCRNGVFLDZ-LBPRGKRZSA-N 0 3 231.343 2.761 20 0 BFADHN c1cc(N2CCCCC2)ncc1CN1CCCC1 ZINC001139341087 991222805 /nfs/dbraw/zinc/22/28/05/991222805.db2.gz YCBRHNKZTLTFBP-UHFFFAOYSA-N 0 3 245.370 2.668 20 0 BFADHN CC1(C)CCC[C@H](N2CC3(C2)CCCO3)C1 ZINC001258286406 991223176 /nfs/dbraw/zinc/22/31/76/991223176.db2.gz SWWVYKXUAJBBST-LBPRGKRZSA-N 0 3 223.360 2.820 20 0 BFADHN Cn1cc2c(n1)CCN([C@@H]1CCCC(C)(C)C1)C2 ZINC001258290578 991225465 /nfs/dbraw/zinc/22/54/65/991225465.db2.gz UQOPKKIMUGHPSE-CYBMUJFWSA-N 0 3 247.386 2.747 20 0 BFADHN Cn1cc2c(n1)CCN([C@H]1CCCC(C)(C)C1)C2 ZINC001258290579 991227345 /nfs/dbraw/zinc/22/73/45/991227345.db2.gz UQOPKKIMUGHPSE-ZDUSSCGKSA-N 0 3 247.386 2.747 20 0 BFADHN C=C/C=C/CCN1CCCCC[C@@H]1C(=O)OC ZINC001559738870 991234177 /nfs/dbraw/zinc/23/41/77/991234177.db2.gz HVLPBJOUXZQMDW-MUBLQREKSA-N 0 3 237.343 2.536 20 0 BFADHN C[C@@H]1CCN1Cc1cnc(-c2ccccc2)[nH]1 ZINC001139553079 991265093 /nfs/dbraw/zinc/26/50/93/991265093.db2.gz IHKSGADQKIACMG-LLVKDONJSA-N 0 3 227.311 2.671 20 0 BFADHN CCOc1ccncc1CN1CCC[C@@H]1CC ZINC001139630482 991297717 /nfs/dbraw/zinc/29/77/17/991297717.db2.gz KFESVHWULWSMDZ-ZDUSSCGKSA-N 0 3 234.343 2.855 20 0 BFADHN CCOc1ccncc1CN(C)C(C)(C)C ZINC001139630728 991303432 /nfs/dbraw/zinc/30/34/32/991303432.db2.gz PRMDTEIUXXTIOR-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN COC(=O)CC[C@@H](C)N1CC[C@H]1c1ccccc1 ZINC001258376079 991327445 /nfs/dbraw/zinc/32/74/45/991327445.db2.gz ZEZGVCGOPIIGCF-OCCSQVGLSA-N 0 3 247.338 2.775 20 0 BFADHN CCC1(CN2CCc3cc(F)ccc3C2)COC1 ZINC001202963653 991341461 /nfs/dbraw/zinc/34/14/61/991341461.db2.gz CXVIICUNGRTOIE-UHFFFAOYSA-N 0 3 249.329 2.610 20 0 BFADHN CCCCC[C@H](CC)N1CCOC[C@@H]1COC ZINC001258443340 991383392 /nfs/dbraw/zinc/38/33/92/991383392.db2.gz LNNRWJAZXKGVHC-KBPBESRZSA-N 0 3 243.391 2.693 20 0 BFADHN Fc1cc(CN2CC3CCC2CC3)ccn1 ZINC001139834761 991390370 /nfs/dbraw/zinc/39/03/70/991390370.db2.gz URFQSPSXGHPEJH-UHFFFAOYSA-N 0 3 220.291 2.595 20 0 BFADHN Fc1cc(CN2CCC[C@@H]3CCC[C@@H]32)ccn1 ZINC001139834833 991392046 /nfs/dbraw/zinc/39/20/46/991392046.db2.gz YIBCEBCMBUSJRY-STQMWFEESA-N 0 3 234.318 2.985 20 0 BFADHN C[C@@H](CC1CC1)NCc1ncc(F)cc1F ZINC001258451173 991399102 /nfs/dbraw/zinc/39/91/02/991399102.db2.gz IJVHRVDSYSHNDS-QMMMGPOBSA-N 0 3 226.270 2.638 20 0 BFADHN Cc1ccc(CN2CCCCC23CC3)nc1 ZINC001139840095 991399173 /nfs/dbraw/zinc/39/91/73/991399173.db2.gz DDBODYBPCXNOKV-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN CCCc1cc(CN)nn1[C@@H](C)CC1CC1 ZINC001258454388 991406449 /nfs/dbraw/zinc/40/64/49/991406449.db2.gz HULZLNSJYDSWRL-JTQLQIEISA-N 0 3 221.348 2.655 20 0 BFADHN CSCCN(C)Cc1cc2ccccc2n1C ZINC001139872179 991407315 /nfs/dbraw/zinc/40/73/15/991407315.db2.gz IZMSWZLLEVZTFI-UHFFFAOYSA-N 0 3 248.395 2.973 20 0 BFADHN C[C@@H]1CN(Cc2ccccc2C2CC2)CCN1C ZINC001139882919 991411716 /nfs/dbraw/zinc/41/17/16/991411716.db2.gz ZCYKQAYKQSLXNF-CYBMUJFWSA-N 0 3 244.382 2.700 20 0 BFADHN C[C@@H](CC1CC1)N1CCc2ccncc2C1 ZINC001258468214 991429328 /nfs/dbraw/zinc/42/93/28/991429328.db2.gz UXGXOACWRSCGEJ-NSHDSACASA-N 0 3 216.328 2.628 20 0 BFADHN Cc1cnc2c(c1)CN([C@@H](C)CC1CC1)CC2 ZINC001258469328 991437414 /nfs/dbraw/zinc/43/74/14/991437414.db2.gz AGHRULMPXJQDKU-LBPRGKRZSA-N 0 3 230.355 2.937 20 0 BFADHN FC1CC2(C1)CCCN(Cc1cccnn1)CC2 ZINC001139972632 991443241 /nfs/dbraw/zinc/44/32/41/991443241.db2.gz WEWHJRRNKYDDFH-UHFFFAOYSA-N 0 3 249.333 2.581 20 0 BFADHN CCOC(=O)CC[C@@H](C)N[C@@H](C)c1ccoc1 ZINC001258481964 991453463 /nfs/dbraw/zinc/45/34/63/991453463.db2.gz OQUWFBPPCIQFCY-MNOVXSKESA-N 0 3 239.315 2.662 20 0 BFADHN CCCCCN(C)Cc1cnc(Cl)nc1 ZINC001139986938 991464497 /nfs/dbraw/zinc/46/44/97/991464497.db2.gz HSKSJHQVACTVLD-UHFFFAOYSA-N 0 3 227.739 2.752 20 0 BFADHN CN(Cc1cnc(Cl)nc1)C[C@@H]1CC1(C)C ZINC001139991418 991470900 /nfs/dbraw/zinc/47/09/00/991470900.db2.gz JKWOEAZSTQZQOP-JTQLQIEISA-N 0 3 239.750 2.608 20 0 BFADHN CN(CCF)C1CCN(c2ccccc2)CC1 ZINC001258517377 991473096 /nfs/dbraw/zinc/47/30/96/991473096.db2.gz UKOBFJPNAFWETD-UHFFFAOYSA-N 0 3 236.334 2.557 20 0 BFADHN CCCC1CCC(N(C)CC(C)=O)CC1 ZINC001258531520 991473772 /nfs/dbraw/zinc/47/37/72/991473772.db2.gz WNWYKWLUJXXJFE-UHFFFAOYSA-N 0 3 211.349 2.866 20 0 BFADHN CCN(C)Cc1cc2ccccc2cn1 ZINC001139994175 991475191 /nfs/dbraw/zinc/47/51/91/991475191.db2.gz KZHPTOUXXXNFIQ-UHFFFAOYSA-N 0 3 200.285 2.687 20 0 BFADHN C[C@@H](N)c1nccn1[C@H]1CCC(C)(C)C1 ZINC001258592047 991482900 /nfs/dbraw/zinc/48/29/00/991482900.db2.gz LXHRPRRGWKIKNH-ZJUUUORDSA-N 0 3 207.321 2.654 20 0 BFADHN CN(C)c1ccc(F)cc1CNC/C=C\C1CC1 ZINC001560481688 991482818 /nfs/dbraw/zinc/48/28/18/991482818.db2.gz RLXWHIICUMXQEI-ARJAWSKDSA-N 0 3 248.345 2.948 20 0 BFADHN CC1(C)CC[C@H](N2CCC(=O)[C@H](F)CC2)C1 ZINC001258602484 991488068 /nfs/dbraw/zinc/48/80/68/991488068.db2.gz LIRIMKCUABIVQV-WDEREUQCSA-N 0 3 227.323 2.568 20 0 BFADHN CCCOC1CN([C@H]2CCC(C)(C)C2)C1 ZINC001258605229 991491677 /nfs/dbraw/zinc/49/16/77/991491677.db2.gz RGMHBARVJCEFTG-NSHDSACASA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@@H]1CCN(Cc2cc(O)ccc2F)C1 ZINC001140052992 991506489 /nfs/dbraw/zinc/50/64/89/991506489.db2.gz KNQOHRUGMNQUIA-SNVBAGLBSA-N 0 3 223.291 2.763 20 0 BFADHN Oc1ccc(CN2CCC3(CC3)CC2)c(F)c1 ZINC001140124307 991526034 /nfs/dbraw/zinc/52/60/34/991526034.db2.gz JHGDWJSGJPPACX-UHFFFAOYSA-N 0 3 235.302 2.907 20 0 BFADHN CCN1C[C@H](C)N(Cc2cc(C)co2)C[C@H]1C ZINC001560676845 991552336 /nfs/dbraw/zinc/55/23/36/991552336.db2.gz MQKPIHMOIVDJDW-OLZOCXBDSA-N 0 3 236.359 2.503 20 0 BFADHN CN(Cc1ccc(F)cc1)Cc1ccncc1O ZINC001140274712 991580559 /nfs/dbraw/zinc/58/05/59/991580559.db2.gz FBPMECSJAHWGKY-UHFFFAOYSA-N 0 3 246.285 2.558 20 0 BFADHN CO[C@H]1CN(Cc2cc(C)nc(C)c2)CC[C@@H]1C ZINC001560762305 991587554 /nfs/dbraw/zinc/58/75/54/991587554.db2.gz UWROLTPDSFPAHZ-NHYWBVRUSA-N 0 3 248.370 2.555 20 0 BFADHN CC[N@H+](Cc1cccs1)Cc1ccncc1[O-] ZINC001140280080 991600036 /nfs/dbraw/zinc/60/00/36/991600036.db2.gz ABHGHINZFCLMON-UHFFFAOYSA-N 0 3 248.351 2.871 20 0 BFADHN CC[N@@H+](Cc1cccs1)Cc1ccncc1[O-] ZINC001140280080 991600051 /nfs/dbraw/zinc/60/00/51/991600051.db2.gz ABHGHINZFCLMON-UHFFFAOYSA-N 0 3 248.351 2.871 20 0 BFADHN CCC[C@H](Cc1ccccc1)N1C[C@@H]2C[C@H]1CO2 ZINC001258663677 991601350 /nfs/dbraw/zinc/60/13/50/991601350.db2.gz WSCFZPYLKGWLTR-PMPSAXMXSA-N 0 3 245.366 2.871 20 0 BFADHN CCC[C@@H](CN1CCO[C@H](C(C)(C)C)C1)OC ZINC001560801119 991605903 /nfs/dbraw/zinc/60/59/03/991605903.db2.gz GDZUEVCORJJHDF-STQMWFEESA-N 0 3 243.391 2.548 20 0 BFADHN CCCCC[C@@H](CCC)N1CC(C)(O)C1 ZINC001258665960 991606911 /nfs/dbraw/zinc/60/69/11/991606911.db2.gz CHSBFYCVBVGURZ-GFCCVEGCSA-N 0 3 213.365 2.802 20 0 BFADHN CCC[C@@H](CN1CCO[C@@H](C(C)(C)C)C1)OC ZINC001560801118 991607706 /nfs/dbraw/zinc/60/77/06/991607706.db2.gz GDZUEVCORJJHDF-QWHCGFSZSA-N 0 3 243.391 2.548 20 0 BFADHN CCCCC[C@H](CCC)N1CC(=O)N[C@@H](C)C1 ZINC001258668562 991608467 /nfs/dbraw/zinc/60/84/67/991608467.db2.gz WMBRYZVZGNMSKG-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN CSCCN(C)Cc1n[nH]c2ccc(C)cc21 ZINC001140333144 991609887 /nfs/dbraw/zinc/60/98/87/991609887.db2.gz CRHYTHMPJCSMEJ-UHFFFAOYSA-N 0 3 249.383 2.666 20 0 BFADHN CSCCN(C)Cc1[nH]nc2ccc(C)cc21 ZINC001140333144 991609894 /nfs/dbraw/zinc/60/98/94/991609894.db2.gz CRHYTHMPJCSMEJ-UHFFFAOYSA-N 0 3 249.383 2.666 20 0 BFADHN CCCCC[C@H](CCC)N1CCN(C#N)CC1 ZINC001258670418 991613269 /nfs/dbraw/zinc/61/32/69/991613269.db2.gz ARQDLYXTUBTRGZ-AWEZNQCLSA-N 0 3 237.391 2.834 20 0 BFADHN c1ccc([C@H]2CCCN2Cc2ccncn2)cc1 ZINC001140347498 991617169 /nfs/dbraw/zinc/61/71/69/991617169.db2.gz LZDAIDFPXPKADX-OAHLLOKOSA-N 0 3 239.322 2.814 20 0 BFADHN CCOC1CN(C[C@H]2C(C)=CCC[C@H]2C)C1 ZINC001560874839 991633058 /nfs/dbraw/zinc/63/30/58/991633058.db2.gz PZGMBLPXGIZNLY-OCCSQVGLSA-N 0 3 223.360 2.700 20 0 BFADHN CCOC1CN(C[C@@H]2CCC[C@H](C)C2)C1 ZINC001560874824 991633397 /nfs/dbraw/zinc/63/33/97/991633397.db2.gz PNCDOYAOOODELA-NWDGAFQWSA-N 0 3 211.349 2.533 20 0 BFADHN COc1cc(F)cc(CN2C[C@H]3CC[C@@H]2C3)c1 ZINC001140483077 991654311 /nfs/dbraw/zinc/65/43/11/991654311.db2.gz LIXAUMSNZJGBNW-GXFFZTMASA-N 0 3 235.302 2.819 20 0 BFADHN COc1ccc(CN(C)C)cc1OCC1CC1 ZINC001140487450 991654594 /nfs/dbraw/zinc/65/45/94/991654594.db2.gz PRRMIHNNCMURKF-UHFFFAOYSA-N 0 3 235.327 2.546 20 0 BFADHN Fc1cccnc1CN1CCCC2(CC2)CC1 ZINC001560954881 991666920 /nfs/dbraw/zinc/66/69/20/991666920.db2.gz FNRWKKSHHWQNIF-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN CC(C)OC1CN(C[C@@H]2CCCC[C@@H]2C)C1 ZINC001560994573 991682055 /nfs/dbraw/zinc/68/20/55/991682055.db2.gz KZWCRNVXDZSONS-STQMWFEESA-N 0 3 225.376 2.922 20 0 BFADHN CCC(=O)CN[C@H](C)Cc1cccc(Cl)c1 ZINC001258742660 991688602 /nfs/dbraw/zinc/68/86/02/991688602.db2.gz TYEPNOPOUDEDOS-SNVBAGLBSA-N 0 3 239.746 2.840 20 0 BFADHN C[C@@H](Cc1cccc(Cl)c1)n1cncc1CN ZINC001258739305 991690163 /nfs/dbraw/zinc/69/01/63/991690163.db2.gz WBRGLGRQYWNDRL-JTQLQIEISA-N 0 3 249.745 2.799 20 0 BFADHN CCCC[C@H](CCC)N1CC(N(C)C(C)=O)C1 ZINC001258763412 991717643 /nfs/dbraw/zinc/71/76/43/991717643.db2.gz PPQDMPIHZBAKKF-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN CCCC[C@H](CCC)N1CCO[C@@H]2C[C@@H]21 ZINC001258767831 991732193 /nfs/dbraw/zinc/73/21/93/991732193.db2.gz XZPMIMPEXTXSNF-RWMBFGLXSA-N 0 3 211.349 2.818 20 0 BFADHN CC[C@@H](C)C[C@H](CC)n1cnc2c1CNCC2 ZINC001258793058 991780735 /nfs/dbraw/zinc/78/07/35/991780735.db2.gz ZYWJXLBJUZEYRN-NEPJUHHUSA-N 0 3 235.375 2.916 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)N1CCc2nn(C)cc2C1 ZINC001258794324 991782787 /nfs/dbraw/zinc/78/27/87/991782787.db2.gz KOTCPRHULGANDQ-TZMCWYRMSA-N 0 3 249.402 2.993 20 0 BFADHN CC[C@H](C)C[C@H](CC)N1CCc2c(cnn2C)C1 ZINC001258796976 991786280 /nfs/dbraw/zinc/78/62/80/991786280.db2.gz IGMCZPCBUOMLHI-JSGCOSHPSA-N 0 3 249.402 2.993 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N1CC2(CCO2)C1 ZINC001258798138 991792781 /nfs/dbraw/zinc/79/27/81/991792781.db2.gz RCRBJBDAKBNAJS-NWDGAFQWSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1cnc2ccccc2c1NCc1ccccn1 ZINC001319126801 991794432 /nfs/dbraw/zinc/79/44/32/991794432.db2.gz AKCCOVJPZMAKKR-UHFFFAOYSA-N 0 3 249.317 2.972 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N(CC)CC(=O)OC ZINC001258800756 991800388 /nfs/dbraw/zinc/80/03/88/991800388.db2.gz VGDXNWURWKQLOR-NWDGAFQWSA-N 0 3 229.364 2.696 20 0 BFADHN CC[C@H](C)C[C@H](CC)N1CC2(CCO2)C1 ZINC001258798139 991796125 /nfs/dbraw/zinc/79/61/25/991796125.db2.gz RCRBJBDAKBNAJS-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)C1CCC(N2CCOC[C@H]2C)CC1 ZINC001258803080 991804914 /nfs/dbraw/zinc/80/49/14/991804914.db2.gz MCXJIQCHCOEXRL-IYXRBSQSSA-N 0 3 225.376 2.922 20 0 BFADHN Cc1nc(CN[C@H]2CCC[C@@H](C)C2)c(C)o1 ZINC001258808929 991814435 /nfs/dbraw/zinc/81/44/35/991814435.db2.gz RYPIQPPGPJCFOX-SKDRFNHKSA-N 0 3 222.332 2.960 20 0 BFADHN CN(C)C1(C(=O)Nc2ccsc2)CCCC1 ZINC001565963521 991816558 /nfs/dbraw/zinc/81/65/58/991816558.db2.gz RDPLNDPAWGHPOS-UHFFFAOYSA-N 0 3 238.356 2.561 20 0 BFADHN C[C@H]1CCC[C@H](N2CC3(C2)CCCCO3)C1 ZINC001258819156 991836473 /nfs/dbraw/zinc/83/64/73/991836473.db2.gz OVTOZILWBVDPRK-STQMWFEESA-N 0 3 223.360 2.820 20 0 BFADHN CC(C)N(CCF)CCc1ccccc1 ZINC001319310444 991839626 /nfs/dbraw/zinc/83/96/26/991839626.db2.gz HFFNZAMLAWATHT-UHFFFAOYSA-N 0 3 209.308 2.909 20 0 BFADHN CC[C@@H](Cc1ccccc1)N1CC(C)(OC)C1 ZINC001258844313 991876935 /nfs/dbraw/zinc/87/69/35/991876935.db2.gz LSCJBIVFVZTQIY-AWEZNQCLSA-N 0 3 233.355 2.728 20 0 BFADHN COc1ccccc1C[C@@H](C)N1CC(C)(C)C1 ZINC001258886848 991888612 /nfs/dbraw/zinc/88/86/12/991888612.db2.gz VINICEFJXCVKKX-GFCCVEGCSA-N 0 3 233.355 2.968 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CC=CCC2)[nH]n1 ZINC001320208180 992130911 /nfs/dbraw/zinc/13/09/11/992130911.db2.gz FZCQBYJFCRNUFJ-LBPRGKRZSA-N 0 3 219.332 2.506 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CC=CCC2)[nH]n1 ZINC001320208179 992132210 /nfs/dbraw/zinc/13/22/10/992132210.db2.gz FZCQBYJFCRNUFJ-GFCCVEGCSA-N 0 3 219.332 2.506 20 0 BFADHN Cc1cc(NC(C)C)ccc1N1CCN(C)CC1 ZINC001320306613 992163850 /nfs/dbraw/zinc/16/38/50/992163850.db2.gz XPMOTDYJDAHYMC-UHFFFAOYSA-N 0 3 247.386 2.567 20 0 BFADHN C1[C@@H]2[C@H]1CN[C@@H]2c1nc(C2CCCCCC2)no1 ZINC001261890075 992563458 /nfs/dbraw/zinc/56/34/58/992563458.db2.gz TVRJRVHLSWVPGK-UTUOFQBUSA-N 0 3 247.342 2.788 20 0 BFADHN CC(C)C[C@@H](N)C(=O)N(c1ccccc1)C1CC1 ZINC001573501283 992622807 /nfs/dbraw/zinc/62/28/07/992622807.db2.gz CUHPWXSNFQQZIG-CQSZACIVSA-N 0 3 246.354 2.555 20 0 BFADHN Cc1ccc(N(C)C(=O)[C@@H](N)CC(C)C)cc1C ZINC001574155094 992815392 /nfs/dbraw/zinc/81/53/92/992815392.db2.gz PJEOVAULOOMWRZ-AWEZNQCLSA-N 0 3 248.370 2.640 20 0 BFADHN FC(F)(F)[C@@H]1CCC[C@H](CN2CC[C@H]3C[C@H]32)O1 ZINC001262236183 992817661 /nfs/dbraw/zinc/81/76/61/992817661.db2.gz YGVLBIVNHNAMPC-ZDCRXTMVSA-N 0 3 249.276 2.581 20 0 BFADHN CCn1cc(CN2CCC23CCCC3)c(C)n1 ZINC001262372448 992860899 /nfs/dbraw/zinc/86/08/99/992860899.db2.gz RXNVAXMBNCJTDX-UHFFFAOYSA-N 0 3 233.359 2.730 20 0 BFADHN COC(OC)[C@H](C)NCc1cccc2ccoc21 ZINC001262367003 992862700 /nfs/dbraw/zinc/86/27/00/992862700.db2.gz FIMLLQRTOLJSRI-JTQLQIEISA-N 0 3 249.310 2.530 20 0 BFADHN CC[C@H](C)[C@H](CNCc1ncccc1C)OC ZINC000381286360 993381625 /nfs/dbraw/zinc/38/16/25/993381625.db2.gz MPQASUURQUSQRQ-FZMZJTMJSA-N 0 3 236.359 2.541 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1ncccc1C)OC ZINC000381286369 993382379 /nfs/dbraw/zinc/38/23/79/993382379.db2.gz MPQASUURQUSQRQ-RISCZKNCSA-N 0 3 236.359 2.541 20 0 BFADHN CC[C@@H](C)c1ccccc1OC(=O)OC1CNC1 ZINC001577006253 993485622 /nfs/dbraw/zinc/48/56/22/993485622.db2.gz UJGKJUCVOWXBQY-SNVBAGLBSA-N 0 3 249.310 2.687 20 0 BFADHN Cc1nc2c(n1[C@@H]1CCC[C@@H](C)C1)CNCC2 ZINC000383871454 993527938 /nfs/dbraw/zinc/52/79/38/993527938.db2.gz HUVONJCXNOPPOF-ZYHUDNBSSA-N 0 3 233.359 2.588 20 0 BFADHN Cc1nc(CN(C)CC2CCCCC2)c[nH]1 ZINC000724785796 994078328 /nfs/dbraw/zinc/07/83/28/994078328.db2.gz HKXHRZWPPOQDNM-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN C[C@@H]1CCN(c2ccncc2CO)CC12CCC2 ZINC001333682831 994496180 /nfs/dbraw/zinc/49/61/80/994496180.db2.gz ANWCBUWVAFKOQA-GFCCVEGCSA-N 0 3 246.354 2.590 20 0 BFADHN C[C@H]1CCN(c2ccncc2CO)CC12CCC2 ZINC001333682834 994498380 /nfs/dbraw/zinc/49/83/80/994498380.db2.gz ANWCBUWVAFKOQA-LBPRGKRZSA-N 0 3 246.354 2.590 20 0 BFADHN CC[C@H](C)CN(C)[C@@H](C(=O)OC)[C@@H](C)CC ZINC001307472882 995375189 /nfs/dbraw/zinc/37/51/89/995375189.db2.gz NXUCKZCMQSDORQ-SDDRHHMPSA-N 0 3 229.364 2.552 20 0 BFADHN CC[C@@H](CO)N[C@H](C)c1cc(Cl)ccc1F ZINC001307612523 995418413 /nfs/dbraw/zinc/41/84/13/995418413.db2.gz ZUXCUJUYORIRHZ-SCZZXKLOSA-N 0 3 245.725 2.901 20 0 BFADHN CC[C@H](CO)N[C@H](C)c1cc(Cl)ccc1F ZINC001307612494 995419235 /nfs/dbraw/zinc/41/92/35/995419235.db2.gz ZUXCUJUYORIRHZ-PSASIEDQSA-N 0 3 245.725 2.901 20 0 BFADHN CCCN(CC1CC(C)C1)[C@@H](C)C(=O)OCC ZINC001307737098 995447254 /nfs/dbraw/zinc/44/72/54/995447254.db2.gz OMCZDYVUULSJKJ-CPCZMJQVSA-N 0 3 241.375 2.696 20 0 BFADHN CCC[C@@H]1CCCN1Cc1ccncc1F ZINC001308133361 995559829 /nfs/dbraw/zinc/55/98/29/995559829.db2.gz IEMQLDLZQFHURC-GFCCVEGCSA-N 0 3 222.307 2.985 20 0 BFADHN COc1nsc(CNC[C@H]2C[C@H]2C)c1Cl ZINC001308200101 995598742 /nfs/dbraw/zinc/59/87/42/995598742.db2.gz CGHSWUVNFQIQND-RNFRBKRXSA-N 0 3 246.763 2.551 20 0 BFADHN CCc1cc(N2CCC[C@@H](F)C2)ccn1 ZINC000336202663 132449209 /nfs/dbraw/zinc/44/92/09/132449209.db2.gz DHLRJGRWMQRLSV-SNVBAGLBSA-N 0 3 208.280 2.582 20 0 BFADHN CCC(C)(CC)NCc1ncc(COC)s1 ZINC000336782524 168311307 /nfs/dbraw/zinc/31/13/07/168311307.db2.gz XXSSTPPGHMRKIZ-UHFFFAOYSA-N 0 3 242.388 2.958 20 0 BFADHN CCC(CC)(CCO)CNCc1ccco1 ZINC000165085033 168318926 /nfs/dbraw/zinc/31/89/26/168318926.db2.gz VKNKCRLXXHUCFE-UHFFFAOYSA-N 0 3 225.332 2.558 20 0 BFADHN COCC[C@@H](C)N(C)Cc1ccc(F)cc1F ZINC000268049983 538916058 /nfs/dbraw/zinc/91/60/58/538916058.db2.gz ZSKMFLQCYNZQEU-SNVBAGLBSA-N 0 3 243.297 2.822 20 0 BFADHN CC(C)[C@@H](CO)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000092394433 398139271 /nfs/dbraw/zinc/13/92/71/398139271.db2.gz NISHBEMDEYZKQZ-WCFLWFBJSA-N 0 3 237.343 2.510 20 0 BFADHN CC(C)[C@@H](CO)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000092394431 398139285 /nfs/dbraw/zinc/13/92/85/398139285.db2.gz NISHBEMDEYZKQZ-KGYLQXTDSA-N 0 3 237.343 2.510 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@@H]2C)cs1 ZINC000070827209 398139338 /nfs/dbraw/zinc/13/93/38/398139338.db2.gz PYLQPNFLWFPPQO-GZMMTYOYSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000245507102 398140082 /nfs/dbraw/zinc/14/00/82/398140082.db2.gz PHUQJLDGXMQZAU-MFKMUULPSA-N 0 3 221.348 2.729 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2CSC[C@H]2C)c1 ZINC000295581409 177945409 /nfs/dbraw/zinc/94/54/09/177945409.db2.gz DPHCBCFKYQKZCC-MWLCHTKSSA-N 0 3 222.357 2.862 20 0 BFADHN CO[C@@H]1CCC[C@@H](N[C@@H](C)c2ccccn2)C1 ZINC000160852321 538912886 /nfs/dbraw/zinc/91/28/86/538912886.db2.gz GODFWMRGYOTNSI-YNEHKIRRSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H](NCC1(CO)CCCCC1)c1ccccn1 ZINC000161102597 538914104 /nfs/dbraw/zinc/91/41/04/538914104.db2.gz PRAMBUADCRPYII-CYBMUJFWSA-N 0 3 248.370 2.675 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NCCOCC1CC1)CC2 ZINC000267033907 538914125 /nfs/dbraw/zinc/91/41/25/538914125.db2.gz BJGWDQWAZLTMPS-HNNXBMFYSA-N 0 3 249.329 2.829 20 0 BFADHN CCC(CC)(CCO)CNCc1ccc(C)o1 ZINC000266593342 168319412 /nfs/dbraw/zinc/31/94/12/168319412.db2.gz AJKWUJTWUACJMR-UHFFFAOYSA-N 0 3 239.359 2.866 20 0 BFADHN CC(C)[C@H]1C[C@@H](NCc2ccoc2)CCO1 ZINC000161530529 349461232 /nfs/dbraw/zinc/46/12/32/349461232.db2.gz YLOVROXLPLUIPU-QWHCGFSZSA-N 0 3 223.316 2.573 20 0 BFADHN C/C(Cl)=C\CN1CCC[C@H](CCO)CC1 ZINC000528526809 349463854 /nfs/dbraw/zinc/46/38/54/349463854.db2.gz KIQLOOUJVQKSRG-QNCMIEPLSA-N 0 3 231.767 2.614 20 0 BFADHN CC(C)C[C@H](C)N[C@H](CCO)c1ccco1 ZINC000186540293 349464007 /nfs/dbraw/zinc/46/40/07/349464007.db2.gz FIPMFOSQQICEBK-NWDGAFQWSA-N 0 3 225.332 2.727 20 0 BFADHN FC(F)[C@H]1C[C@@H]1N[C@H]1CCc2ccccc21 ZINC000378689804 346810170 /nfs/dbraw/zinc/81/01/70/346810170.db2.gz HRDDZELSGSCFIQ-SRVKXCTJSA-N 0 3 223.266 2.917 20 0 BFADHN C[C@@H](CN[C@@H](C)c1nccs1)C1CC1 ZINC000161536911 538916481 /nfs/dbraw/zinc/91/64/81/538916481.db2.gz NIQAAMNYFMZEBM-IUCAKERBSA-N 0 3 210.346 2.840 20 0 BFADHN Cc1nc(C)c(CN[C@H](C2CC2)C2CCC2)o1 ZINC000628305056 349486706 /nfs/dbraw/zinc/48/67/06/349486706.db2.gz IXOWKOLUJVHVFD-AWEZNQCLSA-N 0 3 234.343 2.960 20 0 BFADHN CCC(CC)(CNCc1ccc(C)cn1)OC ZINC000310560927 168325588 /nfs/dbraw/zinc/32/55/88/168325588.db2.gz VQUUHMKGUWTOJS-UHFFFAOYSA-N 0 3 236.359 2.685 20 0 BFADHN C[C@]1(NCc2ccc(C3CC3)cc2)CCOC1 ZINC000161661119 349488680 /nfs/dbraw/zinc/48/86/80/349488680.db2.gz FLQBKSNVUSPSOP-HNNXBMFYSA-N 0 3 231.339 2.833 20 0 BFADHN C[C@@]1(NCc2ccc(C3CC3)cc2)CCOC1 ZINC000161661215 349488986 /nfs/dbraw/zinc/48/89/86/349488986.db2.gz FLQBKSNVUSPSOP-OAHLLOKOSA-N 0 3 231.339 2.833 20 0 BFADHN CCC(CC)(CO)NCc1ccc(F)c(F)c1 ZINC000053046733 168329614 /nfs/dbraw/zinc/32/96/14/168329614.db2.gz OMSLPTUWRWIUHP-UHFFFAOYSA-N 0 3 243.297 2.606 20 0 BFADHN CCC(CC)(CO)NCc1cc(C)ccc1F ZINC000227288645 168330355 /nfs/dbraw/zinc/33/03/55/168330355.db2.gz YDHANLOWKZQNME-UHFFFAOYSA-N 0 3 239.334 2.775 20 0 BFADHN Cc1cccc(Cl)c1CN(C)[C@H](C)CCO ZINC000649870746 398158940 /nfs/dbraw/zinc/15/89/40/398158940.db2.gz IVXDQCJLUWFNOY-LLVKDONJSA-N 0 3 241.762 2.851 20 0 BFADHN CC(C)C[C@@H](C)CN1CCOC(C)(C)C1 ZINC000337139996 187323891 /nfs/dbraw/zinc/32/38/91/187323891.db2.gz SZJXMTVBARNARB-GFCCVEGCSA-N 0 3 213.365 2.779 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CC2(C)C)no1 ZINC000266922319 178006745 /nfs/dbraw/zinc/00/67/45/178006745.db2.gz IUQIPQALKPMIMH-VIFPVBQESA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(CN2[C@@H](C)CCC[C@@H]2C)ncn1 ZINC000292394529 178009305 /nfs/dbraw/zinc/00/93/05/178009305.db2.gz ISGOVQKMKSAOQK-RYUDHWBXSA-N 0 3 219.332 2.548 20 0 BFADHN Cc1cc(CNC[C@H](C)c2ccncc2)sn1 ZINC000638720849 398159710 /nfs/dbraw/zinc/15/97/10/398159710.db2.gz VBELYWSRPVVTAP-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cc(CNC2CCCCCC2)no1 ZINC000044295800 178018224 /nfs/dbraw/zinc/01/82/24/178018224.db2.gz UDZFGRGFHMVTDM-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN Cc1cc(CNC2CCC(C)CC2)on1 ZINC000044300990 178018481 /nfs/dbraw/zinc/01/84/81/178018481.db2.gz HKQCNICMRBYAOJ-UHFFFAOYSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC[C@@H]2C)no1 ZINC000336739623 178024044 /nfs/dbraw/zinc/02/40/44/178024044.db2.gz LMPBWNXCNLIPTM-CMPLNLGQSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1cc(CNC[C@H]2CCCCO2)cc(C)c1O ZINC000042814682 178024715 /nfs/dbraw/zinc/02/47/15/178024715.db2.gz AMFNZVCPGZVNIS-CQSZACIVSA-N 0 3 249.354 2.668 20 0 BFADHN Cc1cc(CNCc2ccncc2)ccc1F ZINC000049943397 178026682 /nfs/dbraw/zinc/02/66/82/178026682.db2.gz OIWZRJDNVRJTKW-UHFFFAOYSA-N 0 3 230.286 2.819 20 0 BFADHN Cc1cc(CN[C@@H](C)CCC2CC2)on1 ZINC000186321330 178028743 /nfs/dbraw/zinc/02/87/43/178028743.db2.gz DOWMWDOIQYPCLH-VIFPVBQESA-N 0 3 208.305 2.651 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2C=CCCC2)nc1 ZINC000649900817 398170640 /nfs/dbraw/zinc/17/06/40/398170640.db2.gz ZEPYATQXZBCHGY-CQSZACIVSA-N 0 3 216.328 2.931 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)nn1C ZINC000360331281 178032461 /nfs/dbraw/zinc/03/24/61/178032461.db2.gz ZVVPZRATMSHEPF-VHRBIJSZSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1cc(CN[C@@H]2CCOC2(C)C)ccc1F ZINC000293785109 178033267 /nfs/dbraw/zinc/03/32/67/178033267.db2.gz QJUGYXIADGMUBK-CYBMUJFWSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1sccc1CN[C@]12C[C@H]1COC2(C)C ZINC000527764869 398173010 /nfs/dbraw/zinc/17/30/10/398173010.db2.gz OAWGWBXQHYVZJX-WCQYABFASA-N 0 3 237.368 2.714 20 0 BFADHN CC[C@H](CCc1ccccc1)NCc1cc[nH]n1 ZINC000527764856 398173067 /nfs/dbraw/zinc/17/30/67/398173067.db2.gz ZOMMKOKJKLUOBZ-CQSZACIVSA-N 0 3 243.354 2.911 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@]2(CC2(F)F)C1 ZINC000649903185 398173132 /nfs/dbraw/zinc/17/31/32/398173132.db2.gz KJTBKZOSMQBRDT-PWSUYJOCSA-N 0 3 238.281 2.874 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)C[C@H]2C)nn1C ZINC000360340416 178035978 /nfs/dbraw/zinc/03/59/78/178035978.db2.gz ZJRULZMAOOQCMB-MISXGVKJSA-N 0 3 235.375 2.643 20 0 BFADHN CCCCOCCN1CC[C@@]2(CC2(F)F)C1 ZINC000649903828 398173597 /nfs/dbraw/zinc/17/35/97/398173597.db2.gz XQTBUBYJTUWODF-LLVKDONJSA-N 0 3 233.302 2.534 20 0 BFADHN Cc1cc(CN[C@H](C)[C@@H]2CCCO2)ccc1F ZINC000050544844 178037483 /nfs/dbraw/zinc/03/74/83/178037483.db2.gz NPFPLWMDFYRFRZ-RISCZKNCSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cc(CN[C@H](C)c2sccc2C)n(C)n1 ZINC000284310243 178038184 /nfs/dbraw/zinc/03/81/84/178038184.db2.gz LGOWLQWNZDJJCD-LLVKDONJSA-N 0 3 249.383 2.949 20 0 BFADHN Cc1cc(CN[C@H](CO)CC(C)C)cs1 ZINC000296367709 178038808 /nfs/dbraw/zinc/03/88/08/178038808.db2.gz JTMBQFWDHAAIII-LBPRGKRZSA-N 0 3 227.373 2.553 20 0 BFADHN Cc1cc(CN[C@H]2CCSC2)cs1 ZINC000285780045 178040565 /nfs/dbraw/zinc/04/05/65/178040565.db2.gz FNPCECOXAIHMAM-JTQLQIEISA-N 0 3 213.371 2.652 20 0 BFADHN CCn1nc(C)c(CN[C@@H]2CCC23CCC3)c1C ZINC000558622922 398175232 /nfs/dbraw/zinc/17/52/32/398175232.db2.gz HOXXZBLOJULHNN-CQSZACIVSA-N 0 3 247.386 2.942 20 0 BFADHN CC(C)=CCN1CC[C@]2(CC2(F)F)C1 ZINC000649902290 398176215 /nfs/dbraw/zinc/17/62/15/398176215.db2.gz XKVWPROMYMLXPT-JTQLQIEISA-N 0 3 201.260 2.684 20 0 BFADHN CCN1CCN([C@H]2C=CCCCCC2)C[C@H]1C ZINC000645101488 398176307 /nfs/dbraw/zinc/17/63/07/398176307.db2.gz HEANYGQXSZNWBU-CABCVRRESA-N 0 3 236.403 2.901 20 0 BFADHN c1coc(CNCCc2ccc3c(c2)CCO3)c1 ZINC000078749021 398176402 /nfs/dbraw/zinc/17/64/02/398176402.db2.gz MPUDHGZNGGNJNM-UHFFFAOYSA-N 0 3 243.306 2.547 20 0 BFADHN F[C@]1(c2ccccc2)C[C@H]1NC[C@@H]1CCCOC1 ZINC000424207058 398176557 /nfs/dbraw/zinc/17/65/57/398176557.db2.gz XEEXAVBXOKFQSI-CFVMTHIKSA-N 0 3 249.329 2.640 20 0 BFADHN C[C@@H](N[C@@H]1CSC1(C)C)c1cccnc1 ZINC000283357653 398183729 /nfs/dbraw/zinc/18/37/29/398183729.db2.gz MWNNEBLSPHCSLU-MWLCHTKSSA-N 0 3 222.357 2.626 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1cc(C)oc1C ZINC000278154185 398180899 /nfs/dbraw/zinc/18/08/99/398180899.db2.gz AHRIBAZYGLPPAK-AXFHLTTASA-N 0 3 225.332 2.970 20 0 BFADHN CC[C@@H](C)N[C@@H](C)c1cc(C(=O)OC)co1 ZINC000278183490 398181782 /nfs/dbraw/zinc/18/17/82/398181782.db2.gz DRVWTJCJFHKTAC-BDAKNGLRSA-N 0 3 225.288 2.515 20 0 BFADHN Cc1cc(Cl)ccc1CN1C[C@H](O)C[C@H]1C ZINC000346178734 178065826 /nfs/dbraw/zinc/06/58/26/178065826.db2.gz FVYVJAAQESVEAT-ZWNOBZJWSA-N 0 3 239.746 2.604 20 0 BFADHN Cc1cc(Cl)ccc1CN1C[C@@H](O)C[C@H]1C ZINC000346178733 178066010 /nfs/dbraw/zinc/06/60/10/178066010.db2.gz FVYVJAAQESVEAT-MFKMUULPSA-N 0 3 239.746 2.604 20 0 BFADHN CCC1(NCc2cccc(OC)c2F)CC1 ZINC000379736527 398164050 /nfs/dbraw/zinc/16/40/50/398164050.db2.gz NPZOJGDGBFYHTR-UHFFFAOYSA-N 0 3 223.291 2.867 20 0 BFADHN CC(C)n1cc(CNC(C)(C)C(C)(C)C)nn1 ZINC000336684966 398187940 /nfs/dbraw/zinc/18/79/40/398187940.db2.gz FPTJUVXRZQHOHZ-UHFFFAOYSA-N 0 3 238.379 2.773 20 0 BFADHN Cc1nocc1CNCCc1ccc(F)cc1C ZINC000293483801 178084206 /nfs/dbraw/zinc/08/42/06/178084206.db2.gz GADMQJOSTDQCKG-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN FC(F)[C@H]1C[C@H]1NCc1cccc2cccnc21 ZINC000342196060 398189338 /nfs/dbraw/zinc/18/93/38/398189338.db2.gz AHHOJHBPLODOKA-NWDGAFQWSA-N 0 3 248.276 2.978 20 0 BFADHN Cc1cc(F)ccc1CN1CCC[C@@]12CCOC2 ZINC000375325847 178085508 /nfs/dbraw/zinc/08/55/08/178085508.db2.gz HROKWPWIMXONSD-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1cc(F)ccc1CNCCOC(C)C ZINC000134121860 178086883 /nfs/dbraw/zinc/08/68/83/178086883.db2.gz WBEDVYYJRDTODR-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN CN(CC/C=C\c1ccccc1)Cc1cn[nH]c1 ZINC000649385709 398191202 /nfs/dbraw/zinc/19/12/02/398191202.db2.gz KODVECZGSOWLMH-UITAMQMPSA-N 0 3 241.338 2.945 20 0 BFADHN CC(C)CCNC(=O)c1ccc(CN(C)C)cc1 ZINC000073047870 398191837 /nfs/dbraw/zinc/19/18/37/398191837.db2.gz LGTLNDPWJSBMNN-UHFFFAOYSA-N 0 3 248.370 2.524 20 0 BFADHN CCC[C@@H](CN[C@@H]1COCc2ccccc21)OC ZINC000385991765 398194207 /nfs/dbraw/zinc/19/42/07/398194207.db2.gz PWEHGZMGKDKCAK-DZGCQCFKSA-N 0 3 249.354 2.663 20 0 BFADHN CC[C@H](NCc1nccn1C(F)F)C1CC1 ZINC000162271740 538921858 /nfs/dbraw/zinc/92/18/58/538921858.db2.gz UHUFVHHIERXOIG-VIFPVBQESA-N 0 3 229.274 2.556 20 0 BFADHN CC/C=C\CCN(CC(=O)OC)C1CCCC1 ZINC000342199065 365539332 /nfs/dbraw/zinc/53/93/32/365539332.db2.gz IOANOUVFGJKKTM-PLNGDYQASA-N 0 3 239.359 2.760 20 0 BFADHN CC(C)CN[C@H](c1cnn(C)c1)c1ccccc1 ZINC000272927672 538926513 /nfs/dbraw/zinc/92/65/13/538926513.db2.gz KISAHWQDIIUKLK-HNNXBMFYSA-N 0 3 243.354 2.755 20 0 BFADHN C[C@@H](CN[C@H](C)c1nccn1C)C(C)(C)C ZINC000162676234 538924180 /nfs/dbraw/zinc/92/41/80/538924180.db2.gz BZEKDLRVBPPZFH-WDEREUQCSA-N 0 3 223.364 2.753 20 0 BFADHN Cc1cc(CN2CCC[C@H](CCO)C2)cs1 ZINC000380612983 398203135 /nfs/dbraw/zinc/20/31/35/398203135.db2.gz YRRKBMMLUYTIAG-GFCCVEGCSA-N 0 3 239.384 2.651 20 0 BFADHN COc1ncc(CN[C@H](C)C2CCCC2)s1 ZINC000380671499 398205074 /nfs/dbraw/zinc/20/50/74/398205074.db2.gz BKJFHESXHGDUMZ-SECBINFHSA-N 0 3 240.372 2.820 20 0 BFADHN CC(C)[C@H](CO)N[C@H](C)c1ccc(F)c(F)c1 ZINC000092392961 398205080 /nfs/dbraw/zinc/20/50/80/398205080.db2.gz NTJHBOJZGPFGHI-RNCFNFMXSA-N 0 3 243.297 2.632 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2cc(C)ccn2)C1(C)C ZINC000649983110 398205698 /nfs/dbraw/zinc/20/56/98/398205698.db2.gz QRATVBVRVBGQGO-IACUBPJLSA-N 0 3 248.370 2.539 20 0 BFADHN COc1ncc(CNC[C@H]2CC=CCC2)s1 ZINC000380757665 398206834 /nfs/dbraw/zinc/20/68/34/398206834.db2.gz VJGXNVZNNVJQHC-JTQLQIEISA-N 0 3 238.356 2.598 20 0 BFADHN C[C@@H]1CC(CCNCc2ccco2)C[C@@H](C)O1 ZINC000338480089 187327719 /nfs/dbraw/zinc/32/77/19/187327719.db2.gz BZXVREVGYZXWJM-VXGBXAGGSA-N 0 3 237.343 2.963 20 0 BFADHN C1=C[C@@H](N2C[C@H]3CC[C@@H](C2)O3)CCCCC1 ZINC000339012112 187328018 /nfs/dbraw/zinc/32/80/18/187328018.db2.gz YBQXJEYRXQJUQE-MCIONIFRSA-N 0 3 221.344 2.739 20 0 BFADHN Cc1ccncc1CN1CCCC[C@H]1CC(N)=O ZINC000331032881 398215335 /nfs/dbraw/zinc/21/53/35/398215335.db2.gz WBLHVQVXWPVSHT-ZDUSSCGKSA-N 0 3 247.342 2.670 20 0 BFADHN CN1CCC(Nc2ccc3scnc3c2)CC1 ZINC000075187643 398217881 /nfs/dbraw/zinc/21/78/81/398217881.db2.gz KMWMEVZVHNCJDY-UHFFFAOYSA-N 0 3 247.367 2.802 20 0 BFADHN Fc1ccc(F)c(CNC2CCSCC2)c1 ZINC000075183197 398217903 /nfs/dbraw/zinc/21/79/03/398217903.db2.gz GBOXILHZUHSXIQ-UHFFFAOYSA-N 0 3 243.322 2.950 20 0 BFADHN Cc1cc(NCCF)c2ccccc2n1 ZINC000280791567 178160098 /nfs/dbraw/zinc/16/00/98/178160098.db2.gz QRTXGTSAGLIAHU-UHFFFAOYSA-N 0 3 204.248 2.925 20 0 BFADHN CCC(CC)CN(C)Cc1ccccn1 ZINC000273353609 168346436 /nfs/dbraw/zinc/34/64/36/168346436.db2.gz BNRCGFRWVLYENF-UHFFFAOYSA-N 0 3 206.333 2.950 20 0 BFADHN Cc1cc(NC[C@H](O)C(C)C)c2ccccc2n1 ZINC000125184752 178168472 /nfs/dbraw/zinc/16/84/72/178168472.db2.gz MEFNKUVSNFYFBD-HNNXBMFYSA-N 0 3 244.338 2.972 20 0 BFADHN CCOc1ccc(CNCC(C)(C)F)cc1 ZINC000631189776 398221827 /nfs/dbraw/zinc/22/18/27/398221827.db2.gz DAJNOFXSRBTCIT-UHFFFAOYSA-N 0 3 225.307 2.923 20 0 BFADHN COc1ncc(CNCCC2=CCCC2)s1 ZINC000381078764 398222739 /nfs/dbraw/zinc/22/27/39/398222739.db2.gz QVOCGCXOMSCRJI-UHFFFAOYSA-N 0 3 238.356 2.742 20 0 BFADHN COc1ncc(CN[C@@H]2CC23CCCC3)s1 ZINC000386984620 398224133 /nfs/dbraw/zinc/22/41/33/398224133.db2.gz CTSMAYQQIFZXSE-SNVBAGLBSA-N 0 3 238.356 2.574 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1ccoc1)OC ZINC000322946383 259302164 /nfs/dbraw/zinc/30/21/64/259302164.db2.gz QOQYFTSDZJKFFK-PWSUYJOCSA-N 0 3 211.305 2.745 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1Cc1ccc2occc2c1 ZINC000421383541 192326103 /nfs/dbraw/zinc/32/61/03/192326103.db2.gz ZGPWOUFLXLYHFP-BXUZGUMPSA-N 0 3 245.322 2.778 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2cc(C)ccn2)CCO1 ZINC000421389813 192326676 /nfs/dbraw/zinc/32/66/76/192326676.db2.gz RLFQONIZZFDGGU-MELADBBJSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2C[C@@H]2C)C[C@@H](CC)O1 ZINC000421387560 192326681 /nfs/dbraw/zinc/32/66/81/192326681.db2.gz IYHSDYSXDDFDBQ-WUHRBBMRSA-N 0 3 211.349 2.532 20 0 BFADHN CCC(CC)CN1CC[C@H](C)C[C@@H]1C(=O)OC ZINC000361152886 168351600 /nfs/dbraw/zinc/35/16/00/168351600.db2.gz KHDLHQTUBNQEFU-WCQYABFASA-N 0 3 241.375 2.696 20 0 BFADHN CCOC(=O)c1coc(CNC[C@@H](C)CC)c1 ZINC000421388552 192326966 /nfs/dbraw/zinc/32/69/66/192326966.db2.gz UIVLTJBBYIHGGO-JTQLQIEISA-N 0 3 239.315 2.592 20 0 BFADHN CCn1nccc1CN1CC[C@H](C2CCCC2)C1 ZINC000421392228 192328179 /nfs/dbraw/zinc/32/81/79/192328179.db2.gz QQFBAHLTDQXBER-AWEZNQCLSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1cc(CNC2CC(OC(C)C)C2)cc(C)n1 ZINC000421391564 192327825 /nfs/dbraw/zinc/32/78/25/192327825.db2.gz WFCISKKXYHOAQY-UHFFFAOYSA-N 0 3 248.370 2.744 20 0 BFADHN Cc1ccc(C)c(CNCCOCC(F)F)c1 ZINC000190894758 192030934 /nfs/dbraw/zinc/03/09/34/192030934.db2.gz SJKUCYHYYMIODR-UHFFFAOYSA-N 0 3 243.297 2.675 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCCC[C@@H]2O)c(C)o1 ZINC000044730419 178233469 /nfs/dbraw/zinc/23/34/69/178233469.db2.gz VLLQRUWKUUHPEI-SWHYSGLUSA-N 0 3 237.343 2.851 20 0 BFADHN Cc1cc(SCCN(C)C)nc(C(C)C)n1 ZINC000301087671 178218775 /nfs/dbraw/zinc/21/87/75/178218775.db2.gz YBBXWMDEWBQUJK-UHFFFAOYSA-N 0 3 239.388 2.562 20 0 BFADHN Cc1cc([C@@H](C)NC2(C(C)C)CC2)nn1C ZINC000340476995 178221800 /nfs/dbraw/zinc/22/18/00/178221800.db2.gz JGSFFAALQCAWOK-LLVKDONJSA-N 0 3 221.348 2.568 20 0 BFADHN Cc1cc([C@@H](C)NCC2(C)COC2)c(C)o1 ZINC000070617385 178222242 /nfs/dbraw/zinc/22/22/42/178222242.db2.gz UBLMEFOYKIODSI-SNVBAGLBSA-N 0 3 223.316 2.584 20 0 BFADHN CCC(CC)CNC(=O)[C@@H](CC)N(CC)CC ZINC000346059029 168357345 /nfs/dbraw/zinc/35/73/45/168357345.db2.gz QNHZTSOXXXDPRP-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1cc([C@@H](C)NCCC2CC(O)C2)oc1C ZINC000337495620 178224577 /nfs/dbraw/zinc/22/45/77/178224577.db2.gz YCXOTAYGDKFQID-QFWMXSHPSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@@H](C)NCCC[C@@H](C)O)c(C)o1 ZINC000228041333 178224639 /nfs/dbraw/zinc/22/46/39/178224639.db2.gz YDLIWBKRHBASHQ-MWLCHTKSSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@@H](C)NCCc2cnn(C)c2)c(C)o1 ZINC000128166096 178225861 /nfs/dbraw/zinc/22/58/61/178225861.db2.gz SCCJQIBFDHOBNF-LLVKDONJSA-N 0 3 247.342 2.523 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CCCN2C)c(C)o1 ZINC000219258750 178226762 /nfs/dbraw/zinc/22/67/62/178226762.db2.gz QISWFNCXMUBZID-YPMHNXCESA-N 0 3 236.359 2.641 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2CCCO2)cs1 ZINC000294338176 178228974 /nfs/dbraw/zinc/22/89/74/178228974.db2.gz YHFHBXNLFXDMHG-ZYHUDNBSSA-N 0 3 225.357 2.886 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCOC2)ccc1F ZINC000130555671 178230443 /nfs/dbraw/zinc/23/04/43/178230443.db2.gz BKJOQSARCGSEOC-ZYHUDNBSSA-N 0 3 223.291 2.574 20 0 BFADHN C/C(=C/c1ccccc1)CN1C[C@H](O)CC[C@H]1C ZINC000421369320 192334725 /nfs/dbraw/zinc/33/47/25/192334725.db2.gz HGLSBSGQLODDRP-YYKIQEHNSA-N 0 3 245.366 2.935 20 0 BFADHN CCc1ccc(CN2CCC[C@@]23CCOC3)o1 ZINC000342838356 136224981 /nfs/dbraw/zinc/22/49/81/136224981.db2.gz CSZDRAJYWWOGBM-AWEZNQCLSA-N 0 3 235.327 2.597 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2C=C[C@H](CO)C2)cs1 ZINC000296970428 178231321 /nfs/dbraw/zinc/23/13/21/178231321.db2.gz ROEVBFPYMJFIKK-NTZNESFSSA-N 0 3 237.368 2.644 20 0 BFADHN CN(Cc1ccnn1C)C1CCC(C)(C)CC1 ZINC000179805097 259305197 /nfs/dbraw/zinc/30/51/97/259305197.db2.gz UMIVNMRSBZUQGN-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CC[C@@H](CO)C2)c(C)o1 ZINC000296259366 178232240 /nfs/dbraw/zinc/23/22/40/178232240.db2.gz DDONQXROBIDTGG-RTXFEEFZSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](CO)CC(C)C)oc1C ZINC000282130817 178232272 /nfs/dbraw/zinc/23/22/72/178232272.db2.gz KCBPUJVOSJKNAP-YPMHNXCESA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)CC2CC2)nn1C ZINC000336745099 178232442 /nfs/dbraw/zinc/23/24/42/178232442.db2.gz QOILNLXACXTAND-MWLCHTKSSA-N 0 3 221.348 2.568 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)CC(C)C)nn1C ZINC000309333621 178232840 /nfs/dbraw/zinc/23/28/40/178232840.db2.gz IKZHQDWZVCOXHU-ZYHUDNBSSA-N 0 3 223.364 2.814 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCCOC2)oc1C ZINC000282304907 178232947 /nfs/dbraw/zinc/23/29/47/178232947.db2.gz STQAQQROVIYUQA-PWSUYJOCSA-N 0 3 223.316 2.726 20 0 BFADHN CCOCCN[C@H]1c2cc(F)ccc2C[C@@H]1C ZINC000161969396 349526519 /nfs/dbraw/zinc/52/65/19/349526519.db2.gz NHPJOCMCUDULNQ-IINYFYTJSA-N 0 3 237.318 2.685 20 0 BFADHN Cc1cccc(CCNCc2ccccc2F)n1 ZINC000280553536 538937616 /nfs/dbraw/zinc/93/76/16/538937616.db2.gz KFCUXHNSIAGFTP-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN CC(C)C[C@H]1CCN(Cc2ccno2)C1 ZINC000266790421 187332869 /nfs/dbraw/zinc/33/28/69/187332869.db2.gz WVNSGRBGABAABH-LLVKDONJSA-N 0 3 208.305 2.543 20 0 BFADHN Cc1cc([C@H](C)NCCC2(C)CC2)no1 ZINC000284885154 178246632 /nfs/dbraw/zinc/24/66/32/178246632.db2.gz BOKUYQCBONBUNG-JTQLQIEISA-N 0 3 208.305 2.824 20 0 BFADHN Cc1cc([C@H](C)NCC[C@H]2CCOC2)oc1C ZINC000337492534 178248372 /nfs/dbraw/zinc/24/83/72/178248372.db2.gz XBVSEVVGLWKELQ-AAEUAGOBSA-N 0 3 237.343 2.974 20 0 BFADHN C[C@@H](F)CCN[C@H](C)c1ccncc1F ZINC000336773737 398233878 /nfs/dbraw/zinc/23/38/78/398233878.db2.gz ZBHMVVFSZLXFFA-RKDXNWHRSA-N 0 3 214.259 2.619 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](C)C[C@H](C)O)oc1C ZINC000282273878 178249906 /nfs/dbraw/zinc/24/99/06/178249906.db2.gz RILQKLLLAHYRKO-USWWRNFRSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CCC[C@H]2C)nn1C ZINC000337462985 178250152 /nfs/dbraw/zinc/25/01/52/178250152.db2.gz KXBXGYNYIBSBLH-KGYLQXTDSA-N 0 3 235.375 2.815 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCOC2)oc1C ZINC000282329317 178250276 /nfs/dbraw/zinc/25/02/76/178250276.db2.gz URIKNCYLYGYWMH-JQWIXIFHSA-N 0 3 223.316 2.584 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CC=CCC2)no1 ZINC000282709196 178251003 /nfs/dbraw/zinc/25/10/03/178251003.db2.gz PLWQJYXLKWMGHE-RYUDHWBXSA-N 0 3 220.316 2.990 20 0 BFADHN Cc1cc([C@H](C)NC[C@](C)(O)C2CC2)oc1C ZINC000337512566 178251079 /nfs/dbraw/zinc/25/10/79/178251079.db2.gz JSJDLKAITSBSKD-HZMBPMFUSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CCCN2C)c(C)o1 ZINC000219258941 178251227 /nfs/dbraw/zinc/25/12/27/178251227.db2.gz QISWFNCXMUBZID-WCQYABFASA-N 0 3 236.359 2.641 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)CC(C)C)nn1C ZINC000309333619 178255352 /nfs/dbraw/zinc/25/53/52/178255352.db2.gz IKZHQDWZVCOXHU-PWSUYJOCSA-N 0 3 223.364 2.814 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCC[C@@H]2C)nn1C ZINC000309495087 178256984 /nfs/dbraw/zinc/25/69/84/178256984.db2.gz TVJGJHFKISNCEG-DLOVCJGASA-N 0 3 221.348 2.568 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCCC[C@H]2O)oc1C ZINC000337483530 178257844 /nfs/dbraw/zinc/25/78/44/178257844.db2.gz RNWUKXCVDKGCAW-WCFLWFBJSA-N 0 3 237.343 2.851 20 0 BFADHN CCc1nc(CSCCN(C)C)cs1 ZINC000150925043 323003112 /nfs/dbraw/zinc/00/31/12/323003112.db2.gz XLAHAVCDNOJMOF-UHFFFAOYSA-N 0 3 230.402 2.500 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCCC[C@@H]2C)nn1C ZINC000337401164 178258613 /nfs/dbraw/zinc/25/86/13/178258613.db2.gz PTOPDYJCVOPKOD-DRZSPHRISA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@@H](O)C2(C)C)c(C)o1 ZINC000226600604 178259767 /nfs/dbraw/zinc/25/97/67/178259767.db2.gz HNFRKZGJBCTDBF-TVYUQYBPSA-N 0 3 237.343 2.706 20 0 BFADHN Cc1n[nH]c(C)c1-c1cccc(CN(C)C)c1 ZINC000649436567 398238327 /nfs/dbraw/zinc/23/83/27/398238327.db2.gz KVOJTFFQSJMCMW-UHFFFAOYSA-N 0 3 229.327 2.755 20 0 BFADHN OCc1ccc(CNCC2=CCCCC2)cc1 ZINC000379866475 398238977 /nfs/dbraw/zinc/23/89/77/398238977.db2.gz NAFJPZANSQEQEQ-UHFFFAOYSA-N 0 3 231.339 2.769 20 0 BFADHN C[C@@]1(O)CCCN(Cc2ccccc2F)CC1 ZINC000230375676 136228142 /nfs/dbraw/zinc/22/81/42/136228142.db2.gz QXEGUTOKXOEIEM-CQSZACIVSA-N 0 3 237.318 2.563 20 0 BFADHN CC[N@H+](Cc1nc(C)ccc1[O-])CC(C)(C)C ZINC000336714599 398241260 /nfs/dbraw/zinc/24/12/60/398241260.db2.gz PEHUFLMNXYDIII-UHFFFAOYSA-N 0 3 236.359 2.964 20 0 BFADHN CC[N@@H+](Cc1nc(C)ccc1[O-])CC(C)(C)C ZINC000336714599 398241261 /nfs/dbraw/zinc/24/12/61/398241261.db2.gz PEHUFLMNXYDIII-UHFFFAOYSA-N 0 3 236.359 2.964 20 0 BFADHN C[C@]1(O)CCCN(Cc2cccc(F)c2)CC1 ZINC000230375763 136228221 /nfs/dbraw/zinc/22/82/21/136228221.db2.gz MXZYQEXWKCKYOS-AWEZNQCLSA-N 0 3 237.318 2.563 20 0 BFADHN C[C@@H](NCCC1CCOCC1)c1cscn1 ZINC000022863146 538940545 /nfs/dbraw/zinc/94/05/45/538940545.db2.gz KOHSYHRAHWVADD-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN COc1ncc(CN2CC[C@@H]3CCC[C@H]32)s1 ZINC000639095894 398243286 /nfs/dbraw/zinc/24/32/86/398243286.db2.gz VHMQRXXIUNQFMK-GXSJLCMTSA-N 0 3 238.356 2.526 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](C)c1cscn1 ZINC000576480898 365607990 /nfs/dbraw/zinc/60/79/90/365607990.db2.gz PSQPPAMAVGOAQF-IVZWLZJFSA-N 0 3 210.346 2.982 20 0 BFADHN CCC[C@H](C)N1CCN(Cc2ccccc2)CC1 ZINC000336713863 398240580 /nfs/dbraw/zinc/24/05/80/398240580.db2.gz NVYRBWXJYGWNCW-HNNXBMFYSA-N 0 3 246.398 2.993 20 0 BFADHN Cc1cc2ccccc2nc1NCCN(C)C ZINC000063105589 178298884 /nfs/dbraw/zinc/29/88/84/178298884.db2.gz WJIHUPGHUWBIRR-UHFFFAOYSA-N 0 3 229.327 2.517 20 0 BFADHN Cc1ccccc1CCN[C@H](C)c1ncc[nH]1 ZINC000285569040 538941980 /nfs/dbraw/zinc/94/19/80/538941980.db2.gz HUZVWMUWRIHQAE-GFCCVEGCSA-N 0 3 229.327 2.611 20 0 BFADHN C=Cn1cc(CN2C[C@H](C)CC(C)(C)C2)cn1 ZINC000576544610 365623433 /nfs/dbraw/zinc/62/34/33/365623433.db2.gz PRPJRWGDYMGSOD-GFCCVEGCSA-N 0 3 233.359 2.852 20 0 BFADHN Cc1cc(C)cc(CCN[C@@H](C)c2ncc[nH]2)c1 ZINC000286248551 538943771 /nfs/dbraw/zinc/94/37/71/538943771.db2.gz UIFWJRIRANORRU-ZDUSSCGKSA-N 0 3 243.354 2.920 20 0 BFADHN Cc1ccccc1C[C@H](C)N[C@H](C)c1ncc[nH]1 ZINC000286295085 538944137 /nfs/dbraw/zinc/94/41/37/538944137.db2.gz WJXOAEZMLTYHKO-QWHCGFSZSA-N 0 3 243.354 3.000 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1sccc1C ZINC000379914680 365670620 /nfs/dbraw/zinc/67/06/20/365670620.db2.gz BCFLEIMUYRCEMB-GMTAPVOTSA-N 0 3 225.357 2.885 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1sccc1C ZINC000379914679 365670667 /nfs/dbraw/zinc/67/06/67/365670667.db2.gz BCFLEIMUYRCEMB-GARJFASQSA-N 0 3 225.357 2.885 20 0 BFADHN CCc1cnc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)o1 ZINC000576732826 365672233 /nfs/dbraw/zinc/67/22/33/365672233.db2.gz QJPAHIDWCIYEBM-AXFHLTTASA-N 0 3 222.332 2.713 20 0 BFADHN Cc1ccc(-c2cncc(CN)c2)cc1C ZINC000082641957 178328932 /nfs/dbraw/zinc/32/89/32/178328932.db2.gz PLUGOSDSQUTRBQ-UHFFFAOYSA-N 0 3 212.296 2.824 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@H]2C)o1 ZINC000124301020 178332111 /nfs/dbraw/zinc/33/21/11/178332111.db2.gz ZDMWOWYGXLGBSF-SNVBAGLBSA-N 0 3 245.326 2.962 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C1CC1)c1ccccn1 ZINC000309362192 259312475 /nfs/dbraw/zinc/31/24/75/259312475.db2.gz APUMXYNIILDUKK-DRZSPHRISA-N 0 3 216.328 2.778 20 0 BFADHN CC[C@](C)(CN(C)Cc1cnccc1C)OC ZINC000639124523 398267058 /nfs/dbraw/zinc/26/70/58/398267058.db2.gz LTBBNQDTMYMJGQ-CQSZACIVSA-N 0 3 236.359 2.637 20 0 BFADHN C[C@@H](NC[C@@H]1C[C@H]1C1CC1)c1ccco1 ZINC000378753960 346823847 /nfs/dbraw/zinc/82/38/47/346823847.db2.gz QTPVOCNQRQUDKE-USWWRNFRSA-N 0 3 205.301 2.976 20 0 BFADHN Clc1cnn(CCN2CCCC23CCC3)c1 ZINC000334741410 136232238 /nfs/dbraw/zinc/23/22/38/136232238.db2.gz UJUGZMWGFVEAJZ-UHFFFAOYSA-N 0 3 239.750 2.555 20 0 BFADHN CCC[C@H](CNCc1cscc1C)OC ZINC000386611082 398265187 /nfs/dbraw/zinc/26/51/87/398265187.db2.gz WQXQIHAVKFHRPQ-GFCCVEGCSA-N 0 3 227.373 2.961 20 0 BFADHN CC[C@@H](N[C@@H]1CC[C@H]1O)c1ccc(Cl)s1 ZINC000378809264 346840034 /nfs/dbraw/zinc/84/00/34/346840034.db2.gz YYTUGHIYXMVSJK-IWSPIJDZSA-N 0 3 245.775 2.966 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1Cc1cncnc1 ZINC000180204055 538957401 /nfs/dbraw/zinc/95/74/01/538957401.db2.gz SFDYJTVGGPCNCE-JSGCOSHPSA-N 0 3 233.359 2.877 20 0 BFADHN C[C@@H](F)CCNCc1cnc(C2CC2)s1 ZINC000378850608 346846824 /nfs/dbraw/zinc/84/68/24/346846824.db2.gz DYYHHNDYHPOVQM-MRVPVSSYSA-N 0 3 228.336 2.858 20 0 BFADHN CCOc1ncccc1CN[C@@H]1CC[C@H]1CC ZINC000348111019 136234352 /nfs/dbraw/zinc/23/43/52/136234352.db2.gz VBTNXJXYUTVCRI-DGCLKSJQSA-N 0 3 234.343 2.759 20 0 BFADHN Fc1cnccc1CNCCc1ccsc1 ZINC000449068186 398273195 /nfs/dbraw/zinc/27/31/95/398273195.db2.gz AIKWZQNGEYMKBL-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN CC(C)(C)C1CCN(Cc2cncnc2)CC1 ZINC000179766261 538956159 /nfs/dbraw/zinc/95/61/59/538956159.db2.gz AHBVRZAKWPBWOM-UHFFFAOYSA-N 0 3 233.359 2.735 20 0 BFADHN CCCN(Cc1cc2n(n1)CCCC2)CC1CC1 ZINC000649475985 398273343 /nfs/dbraw/zinc/27/33/43/398273343.db2.gz SAFWOACPNBDEOV-UHFFFAOYSA-N 0 3 247.386 2.841 20 0 BFADHN CCc1cnc(CN[C@H]2CC[C@@H](C)C2)s1 ZINC000164905358 361833559 /nfs/dbraw/zinc/83/35/59/361833559.db2.gz DPNPXGNIATWKEH-ZJUUUORDSA-N 0 3 224.373 2.984 20 0 BFADHN CCc1cnc(CNC2CCCC2)s1 ZINC000164904139 361833712 /nfs/dbraw/zinc/83/37/12/361833712.db2.gz VNXPQSPVKFHCOR-UHFFFAOYSA-N 0 3 210.346 2.738 20 0 BFADHN CC[C@H](CSC)NCc1cnc(C2CC2)o1 ZINC000600136317 342688935 /nfs/dbraw/zinc/68/89/35/342688935.db2.gz UKXSABQOGVPFNS-SNVBAGLBSA-N 0 3 240.372 2.783 20 0 BFADHN Fc1cnccc1CNCCCc1ccccc1 ZINC000449064524 398274465 /nfs/dbraw/zinc/27/44/65/398274465.db2.gz QHYSFHOCIVSJRQ-UHFFFAOYSA-N 0 3 244.313 2.943 20 0 BFADHN CS[C@@H]1CCC[C@@H](NCc2cnc(C)o2)C1 ZINC000600131061 342684710 /nfs/dbraw/zinc/68/47/10/342684710.db2.gz CBWAPIRULLDXGN-ZYHUDNBSSA-N 0 3 240.372 2.747 20 0 BFADHN CC(C)(C)CCNCc1ccncc1F ZINC000449075072 398274760 /nfs/dbraw/zinc/27/47/60/398274760.db2.gz QPWXZUDJAVEDOP-UHFFFAOYSA-N 0 3 210.296 2.747 20 0 BFADHN C[C@@H](CNCc1ccon1)C1CCCCC1 ZINC000381838018 398274913 /nfs/dbraw/zinc/27/49/13/398274913.db2.gz PLDTUAXCGQVQNG-NSHDSACASA-N 0 3 222.332 2.981 20 0 BFADHN CCO[C@H]1CCCN([C@H](C)c2cccnc2)C1 ZINC000602579193 349607800 /nfs/dbraw/zinc/60/78/00/349607800.db2.gz XZPIQJKDEVHQDO-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN CCOCCN(C)Cc1cccc2cnccc21 ZINC000180743172 538959182 /nfs/dbraw/zinc/95/91/82/538959182.db2.gz SZCHDUKGRIERKS-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN CSC[C@@H]1CCCN(Cc2cnc(C)o2)C1 ZINC000600167157 342694343 /nfs/dbraw/zinc/69/43/43/342694343.db2.gz FLXAJELXJNFKGQ-LLVKDONJSA-N 0 3 240.372 2.558 20 0 BFADHN CCSCC[C@H](C)N[C@H](C)c1ncc[nH]1 ZINC000285527171 342694826 /nfs/dbraw/zinc/69/48/26/342694826.db2.gz BLMJIVPRLRHWIK-VHSXEESVSA-N 0 3 227.377 2.592 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CNCc2ccno2)C1 ZINC000231885254 342741649 /nfs/dbraw/zinc/74/16/49/342741649.db2.gz LVVXCWTUTXTYCK-ZYHUDNBSSA-N 0 3 220.316 2.757 20 0 BFADHN CC(C)C1CCC(NCc2ccno2)CC1 ZINC000231885445 342741690 /nfs/dbraw/zinc/74/16/90/342741690.db2.gz MJSXBWRGGROCTH-UHFFFAOYSA-N 0 3 222.332 2.979 20 0 BFADHN CCC1CCC(NCc2ccno2)CC1 ZINC000231881265 342742602 /nfs/dbraw/zinc/74/26/02/342742602.db2.gz HSPQPIUTJOPBQO-UHFFFAOYSA-N 0 3 208.305 2.733 20 0 BFADHN CCC(CC)NC(=O)[C@H](C)N(C)CC(C)(C)C ZINC000339260238 168383022 /nfs/dbraw/zinc/38/30/22/168383022.db2.gz XWMZNVAPAWRIDS-NSHDSACASA-N 0 3 242.407 2.658 20 0 BFADHN CC(C)N(C(=O)[C@@H](C)N1CCCCC1)C(C)C ZINC000070737696 342726587 /nfs/dbraw/zinc/72/65/87/342726587.db2.gz WPMABEUNFCLJHS-CYBMUJFWSA-N 0 3 240.391 2.506 20 0 BFADHN C[C@@H]1CCCN(Cc2cn(C)nc2C(C)(C)C)C1 ZINC000183458444 538967262 /nfs/dbraw/zinc/96/72/62/538967262.db2.gz XHUUDFRWTKMRNM-GFCCVEGCSA-N 0 3 249.402 2.950 20 0 BFADHN COC(=O)c1ccc([C@@H](C)N2C3CCC2CC3)o1 ZINC000399508290 342735685 /nfs/dbraw/zinc/73/56/85/342735685.db2.gz FUBLKMJELRLRDG-KPPDAEKUSA-N 0 3 249.310 2.754 20 0 BFADHN COC(=O)c1ccc([C@H](C)N2C3CCC2CC3)o1 ZINC000399508293 342735778 /nfs/dbraw/zinc/73/57/78/342735778.db2.gz FUBLKMJELRLRDG-WHXUTIOJSA-N 0 3 249.310 2.754 20 0 BFADHN COc1ccccc1[C@@H](C)NCC1(CO)CCC1 ZINC000184520646 538968877 /nfs/dbraw/zinc/96/88/77/538968877.db2.gz MFVIMYIUTUFPJH-GFCCVEGCSA-N 0 3 249.354 2.508 20 0 BFADHN Cc1ccc(CCNCc2occc2C)o1 ZINC000309622540 342759635 /nfs/dbraw/zinc/75/96/35/342759635.db2.gz DXFNGWMISGVGMB-UHFFFAOYSA-N 0 3 219.284 2.822 20 0 BFADHN Cc1cc(-c2ccc3c(c2)CNC3)ccn1 ZINC000146124364 342765997 /nfs/dbraw/zinc/76/59/97/342765997.db2.gz ZDJGXLKCCMTNBV-UHFFFAOYSA-N 0 3 210.280 2.660 20 0 BFADHN CN(C)Cc1cccc(NCC[C@H]2CCCO2)c1 ZINC000634574175 342774409 /nfs/dbraw/zinc/77/44/09/342774409.db2.gz XOMSDVNDHNIQSB-OAHLLOKOSA-N 0 3 248.370 2.729 20 0 BFADHN CN(C)Cc1cccc(NCC[C@@H]2CCCO2)c1 ZINC000634574174 342774445 /nfs/dbraw/zinc/77/44/45/342774445.db2.gz XOMSDVNDHNIQSB-HNNXBMFYSA-N 0 3 248.370 2.729 20 0 BFADHN COCCCCNc1cccc(CN(C)C)c1 ZINC000634574173 342774497 /nfs/dbraw/zinc/77/44/97/342774497.db2.gz XLKSMFJLPICVLA-UHFFFAOYSA-N 0 3 236.359 2.587 20 0 BFADHN CCC(CC)NCc1cnc2ccccc2n1 ZINC000037186970 168389250 /nfs/dbraw/zinc/38/92/50/168389250.db2.gz SWGMPOAHZBYEAL-UHFFFAOYSA-N 0 3 229.327 2.908 20 0 BFADHN CCC(CC)NCc1ccc(COC)o1 ZINC000125011874 168389815 /nfs/dbraw/zinc/38/98/15/168389815.db2.gz OCZZBMJUSTUKBF-UHFFFAOYSA-N 0 3 211.305 2.704 20 0 BFADHN CCC1(CNCc2c(C)cccc2OC)COC1 ZINC000639253973 398285163 /nfs/dbraw/zinc/28/51/63/398285163.db2.gz SWRIXFFZXGXCPX-UHFFFAOYSA-N 0 3 249.354 2.520 20 0 BFADHN CCCCC(=O)Nc1ccccc1CN(C)C ZINC000046412529 342789703 /nfs/dbraw/zinc/78/97/03/342789703.db2.gz WPHWGWTWBWEHMZ-UHFFFAOYSA-N 0 3 234.343 2.877 20 0 BFADHN CCCCCNCc1cc(OC)ccc1OC ZINC000007261259 342790385 /nfs/dbraw/zinc/79/03/85/342790385.db2.gz BURJERCFWZOWIN-UHFFFAOYSA-N 0 3 237.343 2.984 20 0 BFADHN CC[C@H](C)N1CCOC2(CCCCC2)C1 ZINC000187701739 342795173 /nfs/dbraw/zinc/79/51/73/342795173.db2.gz GYCSAUDJSCNATR-LBPRGKRZSA-N 0 3 211.349 2.820 20 0 BFADHN Cc1ccc(Cl)c(NC(=O)CNC(C)C)c1 ZINC000232211508 342799142 /nfs/dbraw/zinc/79/91/42/342799142.db2.gz HLUBVZNIROGESC-UHFFFAOYSA-N 0 3 240.734 2.585 20 0 BFADHN CC[C@@H](C)NCc1cccnc1OC(C)C ZINC000042391507 538977916 /nfs/dbraw/zinc/97/79/16/538977916.db2.gz SHEHDKFLGRLGJN-LLVKDONJSA-N 0 3 222.332 2.757 20 0 BFADHN C[C@@H](O)C[C@H](C)NCc1cscc1Cl ZINC000379586147 538978676 /nfs/dbraw/zinc/97/86/76/538978676.db2.gz QNSWDCLISLIGDY-JGVFFNPUSA-N 0 3 233.764 2.651 20 0 BFADHN C[C@@H](N[C@H]1CCCN(C)C1)c1ccccc1F ZINC000040671950 538973145 /nfs/dbraw/zinc/97/31/45/538973145.db2.gz BDOUNKBRUVFZPX-NEPJUHHUSA-N 0 3 236.334 2.571 20 0 BFADHN CCC1(CC)CCN(Cc2cncnc2)CC1 ZINC000188660323 538979264 /nfs/dbraw/zinc/97/92/64/538979264.db2.gz IWWFFBCYWTWYAH-UHFFFAOYSA-N 0 3 233.359 2.879 20 0 BFADHN CCC(CC)(CO)CN[C@H](C)c1ccoc1 ZINC000131391853 323012856 /nfs/dbraw/zinc/01/28/56/323012856.db2.gz RYYUTQCPNKWBIL-LLVKDONJSA-N 0 3 225.332 2.729 20 0 BFADHN CC[C@]1(NCc2cc(F)ccc2F)CCOC1 ZINC000378685733 538973616 /nfs/dbraw/zinc/97/36/16/538973616.db2.gz DSEIDHHDXVITMX-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN O[C@@H]1CCN(Cc2ccccc2F)C2(CCC2)C1 ZINC000335607429 136238769 /nfs/dbraw/zinc/23/87/69/136238769.db2.gz DGJMPGWSDNGAKN-CYBMUJFWSA-N 0 3 249.329 2.705 20 0 BFADHN CN(CCOC1CCCCCC1)C1CC1 ZINC000157556669 342804006 /nfs/dbraw/zinc/80/40/06/342804006.db2.gz BUYKGQXXTZJRSB-UHFFFAOYSA-N 0 3 211.349 2.820 20 0 BFADHN C[C@H](NCc1ccn(C)c1)c1ccccc1 ZINC000085589736 398289273 /nfs/dbraw/zinc/28/92/73/398289273.db2.gz ALKGNOZOUPKOMW-LBPRGKRZSA-N 0 3 214.312 2.876 20 0 BFADHN C[C@@H](NCc1ccn(C)c1)c1ccccc1 ZINC000085589739 398289286 /nfs/dbraw/zinc/28/92/86/398289286.db2.gz ALKGNOZOUPKOMW-GFCCVEGCSA-N 0 3 214.312 2.876 20 0 BFADHN COc1cccc(CN[C@@H](C)[C@H]2CCCCO2)c1 ZINC000379252348 538976567 /nfs/dbraw/zinc/97/65/67/538976567.db2.gz GITDCMVSJIMVBA-SWLSCSKDSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H](NCc1ccc(F)cc1)[C@H]1CCCCO1 ZINC000379264335 538976607 /nfs/dbraw/zinc/97/66/07/538976607.db2.gz POZDUFNCLCHGIA-BXUZGUMPSA-N 0 3 237.318 2.873 20 0 BFADHN COc1cccc(CN[C@H](C)[C@@H]2CCCCO2)c1 ZINC000379252345 538976670 /nfs/dbraw/zinc/97/66/70/538976670.db2.gz GITDCMVSJIMVBA-DOMZBBRYSA-N 0 3 249.354 2.742 20 0 BFADHN CN1CCC[C@H](NCc2sccc2Cl)C1 ZINC000380349196 538989426 /nfs/dbraw/zinc/98/94/26/538989426.db2.gz UUKUMJLCLJUFMN-VIFPVBQESA-N 0 3 244.791 2.585 20 0 BFADHN c1cn(-c2ccccc2)c(CNCCC2CC2)n1 ZINC000191225216 538992036 /nfs/dbraw/zinc/99/20/36/538992036.db2.gz HQBJHZKERCKGLR-UHFFFAOYSA-N 0 3 241.338 2.762 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1cnc(C)s1 ZINC000379929958 538982239 /nfs/dbraw/zinc/98/22/39/538982239.db2.gz NXHIDKWAGUUDLN-RKDXNWHRSA-N 0 3 228.361 2.527 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1cnc(C)s1 ZINC000379929949 538982266 /nfs/dbraw/zinc/98/22/66/538982266.db2.gz NXHIDKWAGUUDLN-DTWKUNHWSA-N 0 3 228.361 2.527 20 0 BFADHN C[C@@H](NCC1COC1)c1ccccc1Cl ZINC000380015239 538983717 /nfs/dbraw/zinc/98/37/17/538983717.db2.gz MYYAXTPACXKSGK-SECBINFHSA-N 0 3 225.719 2.637 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1ccco1 ZINC000088169613 398294041 /nfs/dbraw/zinc/29/40/41/398294041.db2.gz XMONSYJOCCTGRM-NQBHXWOUSA-N 0 3 223.316 2.888 20 0 BFADHN CCOC1CC(N[C@@H](C)c2cnc(C)s2)C1 ZINC000381522490 539003148 /nfs/dbraw/zinc/00/31/48/539003148.db2.gz YZPCUJFAEYJZQZ-PUSIOWJLSA-N 0 3 240.372 2.670 20 0 BFADHN Fc1cccc2c1CCC[C@@H]2N[C@H]1CCCOC1 ZINC000449349427 398294229 /nfs/dbraw/zinc/29/42/29/398294229.db2.gz JKDMUOHUIHZCDC-NHYWBVRUSA-N 0 3 249.329 2.972 20 0 BFADHN CO[C@@H](C)CCN[C@@H](C)c1nc(C)sc1C ZINC000381621794 539005205 /nfs/dbraw/zinc/00/52/05/539005205.db2.gz AICOBJUHYXXXGX-IUCAKERBSA-N 0 3 242.388 2.836 20 0 BFADHN CCO[C@H](CN[C@H]1CCCOC1)c1ccccc1 ZINC000193424695 539006065 /nfs/dbraw/zinc/00/60/65/539006065.db2.gz OJUMQEXQBAGUKT-LSDHHAIUSA-N 0 3 249.354 2.533 20 0 BFADHN CC(C)CN(C)Cc1ccc(Cl)cn1 ZINC000562263267 323014216 /nfs/dbraw/zinc/01/42/16/323014216.db2.gz PELYUHVKMBARJG-UHFFFAOYSA-N 0 3 212.724 2.823 20 0 BFADHN C[C@H](CF)NCC(C)(C)c1ccccc1 ZINC000382395837 539008964 /nfs/dbraw/zinc/00/89/64/539008964.db2.gz ZSHBHYNITNHPMY-LLVKDONJSA-N 0 3 209.308 2.912 20 0 BFADHN C[C@@H](NCc1cccc(F)c1)[C@H]1CCCCO1 ZINC000383053973 539011303 /nfs/dbraw/zinc/01/13/03/539011303.db2.gz BGEZGWCOAIXNFW-BXUZGUMPSA-N 0 3 237.318 2.873 20 0 BFADHN CC[C@]1(NCc2ccc(F)c(F)c2)CCOC1 ZINC000383049526 539011337 /nfs/dbraw/zinc/01/13/37/539011337.db2.gz AAKLSOATRLGUIH-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CCCCO1)c1ccoc1 ZINC000381332263 538998420 /nfs/dbraw/zinc/99/84/20/538998420.db2.gz UGUWKRMRWPTURT-GMXVVIOVSA-N 0 3 223.316 2.888 20 0 BFADHN COc1ccc(CN[C@@H](C)[C@@H]2CCCCO2)cc1 ZINC000386582322 539013756 /nfs/dbraw/zinc/01/37/56/539013756.db2.gz AGJYVWSPHNLOFV-WFASDCNBSA-N 0 3 249.354 2.742 20 0 BFADHN Clc1cscc1CNCC[C@@H]1CCOC1 ZINC000390004471 539020097 /nfs/dbraw/zinc/02/00/97/539020097.db2.gz VUBRJMMYSQDWOF-SECBINFHSA-N 0 3 245.775 2.918 20 0 BFADHN CCCCN(C)CC(=O)N(C)[C@@H](C)CC(C)C ZINC000132344145 323015030 /nfs/dbraw/zinc/01/50/30/323015030.db2.gz IHXKCEPNEQVLSN-ZDUSSCGKSA-N 0 3 242.407 2.611 20 0 BFADHN CC(C)[C@@H](CC(F)(F)F)NC1CSC1 ZINC000389285994 539015874 /nfs/dbraw/zinc/01/58/74/539015874.db2.gz VPNMWOIWKRRBHC-MRVPVSSYSA-N 0 3 227.295 2.668 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@@H](C)CC1)c1ncc[nH]1 ZINC000389597884 539016745 /nfs/dbraw/zinc/01/67/45/539016745.db2.gz RDEMQAYLUXAXNO-GMTAPVOTSA-N 0 3 207.321 2.639 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)CC1)c1ncc[nH]1 ZINC000389597883 539016855 /nfs/dbraw/zinc/01/68/55/539016855.db2.gz RDEMQAYLUXAXNO-DCAQKATOSA-N 0 3 207.321 2.639 20 0 BFADHN CCC[C@@H](C)CCCN1CCCC[C@H]1C(N)=O ZINC000625068967 342848295 /nfs/dbraw/zinc/84/82/95/342848295.db2.gz QFVLCRAWPHUHGV-OLZOCXBDSA-N 0 3 240.391 2.543 20 0 BFADHN c1c(CN2CCC[C@@H]2C2CCC2)nn2c1CCC2 ZINC000649508344 398303620 /nfs/dbraw/zinc/30/36/20/398303620.db2.gz QOVKYYPNAZFPDF-OAHLLOKOSA-N 0 3 245.370 2.594 20 0 BFADHN C[C@H](NCC(C)(C)C(F)(F)F)c1cn[nH]c1 ZINC000309600662 398304094 /nfs/dbraw/zinc/30/40/94/398304094.db2.gz XNZLONHCSHLPQL-ZETCQYMHSA-N 0 3 235.253 2.649 20 0 BFADHN c1ccc2c(CNC3CCCC3)noc2c1 ZINC000013346221 342866022 /nfs/dbraw/zinc/86/60/22/342866022.db2.gz LSXCFEAPODJVLP-UHFFFAOYSA-N 0 3 216.284 2.860 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@H]1C[C@@H](C)n2ccnc21 ZINC000449575214 398304860 /nfs/dbraw/zinc/30/48/60/398304860.db2.gz XCFBWVLNILESKK-VOAKCMCISA-N 0 3 233.359 2.913 20 0 BFADHN Cc1occc1CN[C@@H](C)[C@@]1(C)CCCO1 ZINC000382107677 398305356 /nfs/dbraw/zinc/30/53/56/398305356.db2.gz JBQFEOUDGZUHKE-WCQYABFASA-N 0 3 223.316 2.635 20 0 BFADHN CSCCCN[C@@H](C)c1cncc(F)c1 ZINC000132547576 398305736 /nfs/dbraw/zinc/30/57/36/398305736.db2.gz HGMBMNLRKVDMAN-VIFPVBQESA-N 0 3 228.336 2.624 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc(Cl)s1 ZINC000132888302 398308462 /nfs/dbraw/zinc/30/84/62/398308462.db2.gz KIRVTBLDZWMLGR-JGVFFNPUSA-N 0 3 233.764 2.915 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NCCSCC(C)C ZINC000134596912 342872712 /nfs/dbraw/zinc/87/27/12/342872712.db2.gz BNUODLALNHODGY-JTQLQIEISA-N 0 3 241.404 2.758 20 0 BFADHN CCO[C@H](CN(C)Cc1ccoc1C)C1CC1 ZINC000625103477 342858614 /nfs/dbraw/zinc/85/86/14/342858614.db2.gz OQRYFYWDMIJRAO-CQSZACIVSA-N 0 3 237.343 2.835 20 0 BFADHN CCC[C@H](N)C(=O)N([C@H](C)[C@@H](C)CC)C1CC1 ZINC000236791661 398316695 /nfs/dbraw/zinc/31/66/95/398316695.db2.gz QGLSLJBOAJHLCU-LOWVWBTDSA-N 0 3 240.391 2.539 20 0 BFADHN C[C@@H]1c2ccccc2CN1CCc1cnn(C)c1 ZINC000336343583 398318003 /nfs/dbraw/zinc/31/80/03/398318003.db2.gz BQGPGAGWPNGPAZ-GFCCVEGCSA-N 0 3 241.338 2.539 20 0 BFADHN C[C@H]1c2ccccc2CN1CCc1cnn(C)c1 ZINC000336343584 398318043 /nfs/dbraw/zinc/31/80/43/398318043.db2.gz BQGPGAGWPNGPAZ-LBPRGKRZSA-N 0 3 241.338 2.539 20 0 BFADHN CSCCN[C@H]1CCc2ccc(F)cc21 ZINC000165206774 342882000 /nfs/dbraw/zinc/88/20/00/342882000.db2.gz JIODQIYVHPUSLF-LBPRGKRZSA-N 0 3 225.332 2.766 20 0 BFADHN Cc1cnc(CN(C)[C@H]2CCCC[C@H]2C)cn1 ZINC000336475658 398319203 /nfs/dbraw/zinc/31/92/03/398319203.db2.gz YSFFLQFRWBODDF-RISCZKNCSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cnc(CN(C)[C@H]2CCCC[C@@H]2C)cn1 ZINC000336475657 398319315 /nfs/dbraw/zinc/31/93/15/398319315.db2.gz YSFFLQFRWBODDF-FZMZJTMJSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cnc(CN(C)[C@@H]2CCCC[C@@H]2C)cn1 ZINC000336475659 398319405 /nfs/dbraw/zinc/31/94/05/398319405.db2.gz YSFFLQFRWBODDF-SMDDNHRTSA-N 0 3 233.359 2.796 20 0 BFADHN C[C@@H](N[C@@H]1CC2CCC1CC2)c1nccn1C ZINC000334754789 398313609 /nfs/dbraw/zinc/31/36/09/398313609.db2.gz RGMNACJTKVBHQR-IKWCTNDRSA-N 0 3 233.359 2.649 20 0 BFADHN FC(F)(F)CCN1CCCC2(CC2)C1 ZINC000336217988 398321784 /nfs/dbraw/zinc/32/17/84/398321784.db2.gz XRVSHDWAXQXMPU-UHFFFAOYSA-N 0 3 207.239 2.815 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCc3ncccc32)[C@H](C)O1 ZINC000631699847 398324442 /nfs/dbraw/zinc/32/44/42/398324442.db2.gz BUPZGJBXHGDVBA-BVIHXZOGSA-N 0 3 246.354 2.615 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCc3ncccc32)[C@@H](C)O1 ZINC000631699849 398324483 /nfs/dbraw/zinc/32/44/83/398324483.db2.gz BUPZGJBXHGDVBA-FKGLVLAHSA-N 0 3 246.354 2.615 20 0 BFADHN Fc1ccc(F)c(CNCC2CCC2)c1 ZINC000037233419 342925276 /nfs/dbraw/zinc/92/52/76/342925276.db2.gz RAKFWIFSECBHTQ-UHFFFAOYSA-N 0 3 211.255 2.855 20 0 BFADHN Cc1nc(CN[C@@H](C)CC(C)C)cs1 ZINC000037260461 342925746 /nfs/dbraw/zinc/92/57/46/342925746.db2.gz MAGBPKYEZVIFKF-VIFPVBQESA-N 0 3 212.362 2.976 20 0 BFADHN CC[C@]1(C)CN(CC2CC(F)(F)C2)CCO1 ZINC000336264116 398326562 /nfs/dbraw/zinc/32/65/62/398326562.db2.gz QRWJJGVLLWNKJE-LLVKDONJSA-N 0 3 233.302 2.533 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cccc(OC)n1 ZINC000466926367 529439854 /nfs/dbraw/zinc/43/98/54/529439854.db2.gz KOVQEJHAUVWUTK-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@]1(O)CCN(Cc2cccc(Cl)c2)C1 ZINC000495228033 529442923 /nfs/dbraw/zinc/44/29/23/529442923.db2.gz ZTHLULGTZDOLAJ-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN CC[C@H](O)[C@H](CC)N[C@H](C)c1ccc(C)o1 ZINC000313092260 259330839 /nfs/dbraw/zinc/33/08/39/259330839.db2.gz PKKATCLYBFNGDR-WOPDTQHZSA-N 0 3 225.332 2.788 20 0 BFADHN COC[C@@H](C)CN1Cc2ccccc2O[C@H](C)C1 ZINC000576807876 365689385 /nfs/dbraw/zinc/68/93/85/365689385.db2.gz ZJMVMXFVIRIBLP-QWHCGFSZSA-N 0 3 249.354 2.552 20 0 BFADHN Fc1cccc(CN2CC(C3CC3)C2)c1 ZINC000643345269 398337254 /nfs/dbraw/zinc/33/72/54/398337254.db2.gz LSZHMCANIJEZLL-UHFFFAOYSA-N 0 3 205.276 2.668 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1C[C@H]1C(C)C ZINC000449557612 398337863 /nfs/dbraw/zinc/33/78/63/398337863.db2.gz VWGXECFTTDDKPD-RYUDHWBXSA-N 0 3 222.332 2.673 20 0 BFADHN CC[C@H](O)CNc1cc(C)nc2ccccc21 ZINC000125103341 398339173 /nfs/dbraw/zinc/33/91/73/398339173.db2.gz UHUSBWBITKUZCN-NSHDSACASA-N 0 3 230.311 2.726 20 0 BFADHN CC[C@@H]1C[C@]1(NCCOC)c1cccc(C)c1 ZINC000502533022 529461955 /nfs/dbraw/zinc/46/19/55/529461955.db2.gz XLCCWCXCQPQUOI-UKRRQHHQSA-N 0 3 233.355 2.856 20 0 BFADHN CCc1ccc([C@@H](CO)NCC[C@H](C)F)cc1 ZINC000351750872 136250492 /nfs/dbraw/zinc/25/04/92/136250492.db2.gz BZVVIKCJAHIORE-SMDDNHRTSA-N 0 3 239.334 2.620 20 0 BFADHN Cc1cccc([C@H](C)NCc2cc[nH]c(=O)c2)c1 ZINC000392628836 398334285 /nfs/dbraw/zinc/33/42/85/398334285.db2.gz IADSTWRVUFGYOZ-LBPRGKRZSA-N 0 3 242.322 2.946 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1cc2n(n1)CCCC2 ZINC000647309808 398340586 /nfs/dbraw/zinc/34/05/86/398340586.db2.gz YXQAXUHQETUNAA-RVMXOQNASA-N 0 3 245.370 2.669 20 0 BFADHN Fc1cc(Cl)ccc1CN[C@@H]1CCCOC1 ZINC000133113267 398341321 /nfs/dbraw/zinc/34/13/21/398341321.db2.gz VRDUZDZUTXMWJG-LLVKDONJSA-N 0 3 243.709 2.748 20 0 BFADHN FC(F)Oc1ccccc1CNC1CC1 ZINC000019397908 342947246 /nfs/dbraw/zinc/94/72/46/342947246.db2.gz LUSOAJCPTQESGT-UHFFFAOYSA-N 0 3 213.227 2.540 20 0 BFADHN C[C@H](c1ccccn1)N(C)CC[C@H](C)F ZINC000351751992 136250956 /nfs/dbraw/zinc/25/09/56/136250956.db2.gz BJPSTLGNNGTTKK-WDEREUQCSA-N 0 3 210.296 2.823 20 0 BFADHN CCC1(NCc2cc(C(=O)OC)cs2)CC1 ZINC000602679242 349671551 /nfs/dbraw/zinc/67/15/51/349671551.db2.gz QASGCWIAPVEIIF-UHFFFAOYSA-N 0 3 239.340 2.567 20 0 BFADHN CC[C@@H](C)NCc1cn2cc(C)ccc2n1 ZINC000263805177 398342843 /nfs/dbraw/zinc/34/28/43/398342843.db2.gz XDNSRQSRVGIRFC-LLVKDONJSA-N 0 3 217.316 2.531 20 0 BFADHN C[C@H](NC(=O)C1(N)CCCCC1)c1ccccc1 ZINC000019514330 342950648 /nfs/dbraw/zinc/95/06/48/342950648.db2.gz IXSKBRTZBVZNBU-LBPRGKRZSA-N 0 3 246.354 2.525 20 0 BFADHN FCCCCN(Cc1ccccn1)C1CC1 ZINC000351759887 136252729 /nfs/dbraw/zinc/25/27/29/136252729.db2.gz MZNLYQVYNMMBHP-UHFFFAOYSA-N 0 3 222.307 2.796 20 0 BFADHN Cc1ccc(NC(=O)C2(N)CCCCC2)cc1C ZINC000019423755 342948079 /nfs/dbraw/zinc/94/80/79/342948079.db2.gz GWCXGWPRJXIYBR-UHFFFAOYSA-N 0 3 246.354 2.904 20 0 BFADHN COC[C@H](C)N[C@H](C)c1ccc(F)c(F)c1 ZINC000019904902 342962608 /nfs/dbraw/zinc/96/26/08/342962608.db2.gz AVTXNUTVRVMEGE-DTWKUNHWSA-N 0 3 229.270 2.650 20 0 BFADHN COC1CC(N(C)Cc2ccc(Cl)cc2)C1 ZINC000352210467 536717724 /nfs/dbraw/zinc/71/77/24/536717724.db2.gz GHAQSIVZTUVJEM-UHFFFAOYSA-N 0 3 239.746 2.949 20 0 BFADHN COC1CC(N(C)Cc2cc(F)ccc2C)C1 ZINC000352203701 536718089 /nfs/dbraw/zinc/71/80/89/536718089.db2.gz URAZBTSHZDKNLF-UHFFFAOYSA-N 0 3 237.318 2.743 20 0 BFADHN CCc1cc(N2CCCC[C@@H](COC)C2)ccn1 ZINC000450447017 529531168 /nfs/dbraw/zinc/53/11/68/529531168.db2.gz SBPJLQNPCYULTR-CYBMUJFWSA-N 0 3 248.370 2.897 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@H](C)COC ZINC000019904930 342962342 /nfs/dbraw/zinc/96/23/42/342962342.db2.gz IOYZICXVFAIYKJ-NEPJUHHUSA-N 0 3 237.343 2.771 20 0 BFADHN COc1ccccc1CNCCCSC ZINC000020087192 342968815 /nfs/dbraw/zinc/96/88/15/342968815.db2.gz UOSGNCBMAJTGTE-UHFFFAOYSA-N 0 3 225.357 2.538 20 0 BFADHN COC(=O)[C@@H](CC(C)C)N1CCC[C@@H](C)CC1 ZINC000351851903 136257930 /nfs/dbraw/zinc/25/79/30/136257930.db2.gz BBHXUTYOGDMFBE-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN COc1ccc(CNC[C@H]2CC2(C)C)c(F)c1 ZINC000234928843 342965922 /nfs/dbraw/zinc/96/59/22/342965922.db2.gz DNYYVKSCCZWBOL-LLVKDONJSA-N 0 3 237.318 2.970 20 0 BFADHN COC(=O)[C@@H](CC(C)C)N1CCC[C@H](C)CC1 ZINC000351851907 136257754 /nfs/dbraw/zinc/25/77/54/136257754.db2.gz BBHXUTYOGDMFBE-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1n[nH]cc1CN[C@H](C)c1cccs1 ZINC000037878791 342990850 /nfs/dbraw/zinc/99/08/50/342990850.db2.gz HNPWEOZKMCTINB-SECBINFHSA-N 0 3 221.329 2.630 20 0 BFADHN CSC1CCN(Cc2cnccc2C)CC1 ZINC000602725083 349715018 /nfs/dbraw/zinc/71/50/18/349715018.db2.gz ISNKEBOHODCZHJ-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN CSC1CCN(Cc2cccc(O)c2)CC1 ZINC000602724984 349715269 /nfs/dbraw/zinc/71/52/69/349715269.db2.gz GSSHBJZMIUYYHU-UHFFFAOYSA-N 0 3 237.368 2.720 20 0 BFADHN CCN(C#N)CCN[C@H](C)c1cccc(C)c1C ZINC000602798351 349739851 /nfs/dbraw/zinc/73/98/51/349739851.db2.gz BRNKVTPMTLTXMI-CQSZACIVSA-N 0 3 245.370 2.757 20 0 BFADHN COc1ccc(CN2[C@H](C)CCC[C@@H]2C)cn1 ZINC000085793254 259339210 /nfs/dbraw/zinc/33/92/10/259339210.db2.gz ATUOIFHXXGEHAV-TXEJJXNPSA-N 0 3 234.343 2.853 20 0 BFADHN Cc1cc(CN[C@@H]2CC(C)(C)C[C@H]2C)no1 ZINC000235305613 343009798 /nfs/dbraw/zinc/00/97/98/343009798.db2.gz PFWLGXIJCMVIKV-BXKDBHETSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@H]1COc2ccccc2CN1CCCF ZINC000272915395 187336609 /nfs/dbraw/zinc/33/66/09/187336609.db2.gz IEEAVBDMOAVBPF-NSHDSACASA-N 0 3 223.291 2.629 20 0 BFADHN COc1ccccc1NC(=O)C(C)C(F)(F)F ZINC000361435417 187218669 /nfs/dbraw/zinc/21/86/69/187218669.db2.gz LUBMPLQRAHJHCA-SSDOTTSWSA-N 0 3 247.216 2.832 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1ccc(C)s1 ZINC000309029522 259341844 /nfs/dbraw/zinc/34/18/44/259341844.db2.gz CTBHHGHEOHOXME-HBNTYKKESA-N 0 3 225.357 2.885 20 0 BFADHN C[C@@H]1CN(CCOCC2CC2)C[C@H](C)C1(F)F ZINC000625650422 344023798 /nfs/dbraw/zinc/02/37/98/344023798.db2.gz RHTOLSSSLZOVLQ-PHIMTYICSA-N 0 3 247.329 2.636 20 0 BFADHN CC(C)C[C@H](C)CNCc1ncccc1F ZINC000309335003 259342697 /nfs/dbraw/zinc/34/26/97/259342697.db2.gz IUOGBGRDKLKRHD-NSHDSACASA-N 0 3 224.323 2.993 20 0 BFADHN C1CCC(C2CCN([C@@H]3CCOC3)CC2)C1 ZINC000370526627 187222748 /nfs/dbraw/zinc/22/27/48/187222748.db2.gz FJWFEMUXGFXBEC-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCCO2)C[C@@H](C)C1(F)F ZINC000625648550 344024902 /nfs/dbraw/zinc/02/49/02/344024902.db2.gz QZHXGOQQTIUUQC-UTUOFQBUSA-N 0 3 247.329 2.779 20 0 BFADHN CC(=O)CCN1CCC(C2CCCC2)CC1 ZINC000372620214 187223615 /nfs/dbraw/zinc/22/36/15/187223615.db2.gz MSJQHWVAMZMEAC-UHFFFAOYSA-N 0 3 223.360 2.868 20 0 BFADHN CCC[C@H]1CCCCN1CC(=O)NC(C)(C)C ZINC000185853012 343047699 /nfs/dbraw/zinc/04/76/99/343047699.db2.gz KIHAAPZZLPEQPD-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN COc1cc(C)ccc1[C@@H](C)N[C@@H]1CCC[C@H]1O ZINC000576825191 365696114 /nfs/dbraw/zinc/69/61/14/365696114.db2.gz APZMACWWRGPSRX-MRVWCRGKSA-N 0 3 249.354 2.568 20 0 BFADHN CC(C)=CCN1CC[C@H](c2nc(C3CC3)no2)C1 ZINC000375401009 187224352 /nfs/dbraw/zinc/22/43/52/187224352.db2.gz GUDSEAVKHXNTLW-LBPRGKRZSA-N 0 3 247.342 2.703 20 0 BFADHN CC1CCC(N2CCN(CC3CC3)CC2)CC1 ZINC000144932412 343052768 /nfs/dbraw/zinc/05/27/68/343052768.db2.gz WZWRSERFKHBVBS-UHFFFAOYSA-N 0 3 236.403 2.593 20 0 BFADHN c1cc(CN2CCC[C@@H]3CCCC[C@H]32)no1 ZINC000247043894 343052886 /nfs/dbraw/zinc/05/28/86/343052886.db2.gz QDHKRSUAWYLIBY-WCQYABFASA-N 0 3 220.316 2.829 20 0 BFADHN CC[C@H](O)CN[C@H](C)c1cccc(Cl)c1 ZINC000038092379 343060538 /nfs/dbraw/zinc/06/05/38/343060538.db2.gz LVYJZEIMGICWBX-SKDRFNHKSA-N 0 3 227.735 2.762 20 0 BFADHN Cc1ccc(CNC2(CO)CCC2)c(Cl)c1 ZINC000235857681 343064810 /nfs/dbraw/zinc/06/48/10/343064810.db2.gz BSSMMJXEEWPSNL-UHFFFAOYSA-N 0 3 239.746 2.653 20 0 BFADHN CCO[C@@H](CN(C)Cc1cccc(C)n1)C1CC1 ZINC000625254219 343068295 /nfs/dbraw/zinc/06/82/95/343068295.db2.gz KRVUAQUKJLVSFP-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN CCN1CCN(C[C@@H](C)C2CCCCC2)CC1 ZINC000576840567 365702739 /nfs/dbraw/zinc/70/27/39/365702739.db2.gz IZWNLOBMPHHYKN-CQSZACIVSA-N 0 3 238.419 2.840 20 0 BFADHN CC(C)CN(C)[C@H](C)C(=O)N(C)c1ccccc1 ZINC000067378461 362018818 /nfs/dbraw/zinc/01/88/18/362018818.db2.gz VXLKKFHQTSANRI-CYBMUJFWSA-N 0 3 248.370 2.626 20 0 BFADHN CCOc1ccc(CNC[C@H]2CC[C@H](C)O2)cc1 ZINC000398194261 343084124 /nfs/dbraw/zinc/08/41/24/343084124.db2.gz BZVHHFUNMWMJBX-SWLSCSKDSA-N 0 3 249.354 2.742 20 0 BFADHN CCOc1ccc(CNC[C@H]2CC[C@@H](C)O2)cc1 ZINC000398194257 343084393 /nfs/dbraw/zinc/08/43/93/343084393.db2.gz BZVHHFUNMWMJBX-IUODEOHRSA-N 0 3 249.354 2.742 20 0 BFADHN CC[C@H]1COCCN1C[C@H](C)c1ccccc1 ZINC000247128867 343087746 /nfs/dbraw/zinc/08/77/46/343087746.db2.gz OKCCDROWKRNUGQ-ZFWWWQNUSA-N 0 3 233.355 2.901 20 0 BFADHN CC1(C)CC[C@H]1N[C@@H]1CCCc2c[nH]nc21 ZINC000335602609 187231082 /nfs/dbraw/zinc/23/10/82/187231082.db2.gz SIVXBLSZCKESTA-GHMZBOCLSA-N 0 3 219.332 2.565 20 0 BFADHN CCCN(C)CC(=O)N[C@H](CC)c1ccccc1 ZINC000359337679 136267215 /nfs/dbraw/zinc/26/72/15/136267215.db2.gz HJFZJRYHYCXIHJ-CQSZACIVSA-N 0 3 248.370 2.596 20 0 BFADHN Cc1ccc(F)c(CNCCCn2cccn2)c1 ZINC000116913028 187230231 /nfs/dbraw/zinc/23/02/31/187230231.db2.gz BHIDNJIHJYIPBR-UHFFFAOYSA-N 0 3 247.317 2.511 20 0 BFADHN CC(C)C[C@H](CO)N[C@H]1CCCc2occc21 ZINC000123999677 187232153 /nfs/dbraw/zinc/23/21/53/187232153.db2.gz MOVJYMCGQCEYIO-YPMHNXCESA-N 0 3 237.343 2.654 20 0 BFADHN CC(C)c1ccccc1NC(=O)[C@@H](C)NC1CC1 ZINC000262003824 187234046 /nfs/dbraw/zinc/23/40/46/187234046.db2.gz QRLINYCSSPAAMW-LLVKDONJSA-N 0 3 246.354 2.889 20 0 BFADHN CC[C@@H](NCc1ccc(F)cc1Cl)[C@@H](C)O ZINC000386833870 343121414 /nfs/dbraw/zinc/12/14/14/343121414.db2.gz WJIXMQVTTUHXGF-PRHODGIISA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@H](C)n1cc(CNC2CCCCC2)nn1 ZINC000640939282 362077469 /nfs/dbraw/zinc/07/74/69/362077469.db2.gz QLESXOPRKFNXBT-NSHDSACASA-N 0 3 236.363 2.671 20 0 BFADHN CCC[C@@H](C)n1cc([C@H](N)C(C)(C)C)nn1 ZINC000640942396 362085016 /nfs/dbraw/zinc/08/50/16/362085016.db2.gz RNMOZAADHDMQRH-KOLCDFICSA-N 0 3 224.352 2.685 20 0 BFADHN C[C@H](c1cnccn1)N1CCC2(CCCC2)C1 ZINC000184587983 136270347 /nfs/dbraw/zinc/27/03/47/136270347.db2.gz AIZOLPWPFIEYJI-GFCCVEGCSA-N 0 3 231.343 2.804 20 0 BFADHN Cc1noc(C)c1CNCCc1ccsc1 ZINC000085501009 136270570 /nfs/dbraw/zinc/27/05/70/136270570.db2.gz SYWFSQGVQPGBOL-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN c1cc2c(ccnc2NCCN2CC=CCC2)o1 ZINC000280236100 187241340 /nfs/dbraw/zinc/24/13/40/187241340.db2.gz MAVJECUEGVCCTR-UHFFFAOYSA-N 0 3 243.310 2.502 20 0 BFADHN CC(C)[C@H](C)N[C@H]1C[C@H](C)n2ccnc21 ZINC000294138581 187241982 /nfs/dbraw/zinc/24/19/82/187241982.db2.gz PCVFUSDWWSJNQO-DCAQKATOSA-N 0 3 207.321 2.523 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@@H]1NCc1cc[nH]n1 ZINC000128481823 343174897 /nfs/dbraw/zinc/17/48/97/343174897.db2.gz FJOGQVJJWBZUAV-LOWVWBTDSA-N 0 3 221.348 2.714 20 0 BFADHN CCc1ccc(CN(CC)C[C@H]2CCOC2)o1 ZINC000678150739 488207787 /nfs/dbraw/zinc/20/77/87/488207787.db2.gz GFYVPAAMUHDSJW-GFCCVEGCSA-N 0 3 237.343 2.700 20 0 BFADHN CCO[C@@H](CN[C@H](C)c1cccnc1)C1CC1 ZINC000625297142 343225640 /nfs/dbraw/zinc/22/56/40/343225640.db2.gz VVTBLDVWLPSPPW-RISCZKNCSA-N 0 3 234.343 2.547 20 0 BFADHN CCC[C@@H](C)CCCN[C@@H](C)c1nncn1C ZINC000625322665 343232059 /nfs/dbraw/zinc/23/20/59/343232059.db2.gz KBLWRSUNZSBJQY-NEPJUHHUSA-N 0 3 238.379 2.682 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1cncc(OC)n1 ZINC000625329479 343234739 /nfs/dbraw/zinc/23/47/39/343234739.db2.gz HUNNVHQDWXFIAZ-YPMHNXCESA-N 0 3 249.358 2.544 20 0 BFADHN COc1cncc(CN[C@H]2CCCCC2(C)C)n1 ZINC000625331093 343235731 /nfs/dbraw/zinc/23/57/31/343235731.db2.gz PLRJAOLOGBMHBA-LBPRGKRZSA-N 0 3 249.358 2.544 20 0 BFADHN OCc1ccc(F)c(CN2CC[C@@H]3CCC[C@H]32)c1 ZINC000625341341 343240959 /nfs/dbraw/zinc/24/09/59/343240959.db2.gz FOSDBEYCYWSQSM-SWLSCSKDSA-N 0 3 249.329 2.692 20 0 BFADHN CC[C@@H](C)[C@@H](N)C(=O)Nc1cccc(Cl)c1 ZINC000019503987 343249499 /nfs/dbraw/zinc/24/94/99/343249499.db2.gz RPYXTSVMNSHRNI-LDYMZIIASA-N 0 3 240.734 2.652 20 0 BFADHN CCO[C@H](CN[C@@H](C)c1cccc(O)c1)C1CC1 ZINC000625294124 343223697 /nfs/dbraw/zinc/22/36/97/343223697.db2.gz UGQNPSCFLCYQDS-XHDPSFHLSA-N 0 3 249.354 2.858 20 0 BFADHN CC[C@@H](NCc1cnn(C)c1)c1ccc(C)cc1 ZINC000034996371 343282809 /nfs/dbraw/zinc/28/28/09/343282809.db2.gz SLODHJNAKSQDMD-OAHLLOKOSA-N 0 3 243.354 2.969 20 0 BFADHN CC(C)(C)c1ccccc1NC(=O)C(C)(C)N ZINC000019672560 187252384 /nfs/dbraw/zinc/25/23/84/187252384.db2.gz IPSJJZNYXOFDNZ-UHFFFAOYSA-N 0 3 234.343 2.660 20 0 BFADHN C[C@@H]1CCN(C/C=C/c2ccccc2)C[C@@H]1CO ZINC000621363539 343267029 /nfs/dbraw/zinc/26/70/29/343267029.db2.gz RFPZSXNHYJUALJ-LTVAEWHBSA-N 0 3 245.366 2.650 20 0 BFADHN CCn1ccnc1CNC[C@@H](C)c1ccccc1 ZINC000051921154 343278919 /nfs/dbraw/zinc/27/89/19/343278919.db2.gz KVPZSCBDYABSJW-CYBMUJFWSA-N 0 3 243.354 2.796 20 0 BFADHN COC(=O)CCN[C@@H](C)c1cc2ccccc2o1 ZINC000036805039 343324549 /nfs/dbraw/zinc/32/45/49/343324549.db2.gz ZVZGFABYNZXBSC-JTQLQIEISA-N 0 3 247.294 2.647 20 0 BFADHN COc1ccc([C@@H](C)NC2CC2)c(OC)c1 ZINC000022222288 187254520 /nfs/dbraw/zinc/25/45/20/187254520.db2.gz UIXIKGWOIDLNHC-SECBINFHSA-N 0 3 221.300 2.517 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCCCC[C@@H]2O)c1 ZINC000348752694 136297031 /nfs/dbraw/zinc/29/70/31/136297031.db2.gz GYPQVEWXZHHMLS-GUTXKFCHSA-N 0 3 248.370 2.734 20 0 BFADHN CN(C)Cc1cccc(N[C@H]2CCSC2)c1 ZINC000036979601 343329156 /nfs/dbraw/zinc/32/91/56/343329156.db2.gz MSKHGJXCTKYZGG-ZDUSSCGKSA-N 0 3 236.384 2.666 20 0 BFADHN C[C@H](N)C(=O)N[C@H](CC(C)(C)C)c1ccccc1 ZINC000037004475 343330151 /nfs/dbraw/zinc/33/01/51/343330151.db2.gz BLHHQPZANORNJV-WCQYABFASA-N 0 3 248.370 2.627 20 0 BFADHN CCC[C@H](N)C(=O)N[C@H](c1ccccc1)C(C)C ZINC000037018057 343330610 /nfs/dbraw/zinc/33/06/10/343330610.db2.gz NFZRNLHMNQAFQU-KBPBESRZSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@@H](Cn1cccn1)NCc1ccc(Cl)cc1 ZINC000035259079 343291259 /nfs/dbraw/zinc/29/12/59/343291259.db2.gz MMXIIVADMKYNAG-NSHDSACASA-N 0 3 249.745 2.715 20 0 BFADHN CCc1cccc(F)c1CN(CC)C[C@H](C)O ZINC000348716405 136294236 /nfs/dbraw/zinc/29/42/36/136294236.db2.gz SAVHJGWBJNLKCK-NSHDSACASA-N 0 3 239.334 2.591 20 0 BFADHN CCOc1cccc(CN(C)[C@H]2CCCOC2)c1 ZINC000348718951 136294534 /nfs/dbraw/zinc/29/45/34/136294534.db2.gz YTMTZWJYGIMGNJ-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CN(CC(=O)NC1CCCCCC1)C(C)(C)C ZINC000584051058 343306766 /nfs/dbraw/zinc/30/67/66/343306766.db2.gz FIFGJIXJMXWDJM-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN CC(C)Cc1noc([C@@H]2C[C@@H]3CCCC[C@@H]3N2)n1 ZINC000020019250 187253493 /nfs/dbraw/zinc/25/34/93/187253493.db2.gz VSYOAGOQZFELAM-SRVKXCTJSA-N 0 3 249.358 2.861 20 0 BFADHN CC(C)n1ccc(CN[C@H]2CCC[C@H]3C[C@H]32)n1 ZINC000584065358 343312617 /nfs/dbraw/zinc/31/26/17/343312617.db2.gz STTHRJLXZQQDPK-YUTCNCBUSA-N 0 3 233.359 2.742 20 0 BFADHN CCC[C@H](N)C(=O)N(C)[C@H](C)c1ccc(C)cc1 ZINC000037808073 343356157 /nfs/dbraw/zinc/35/61/57/343356157.db2.gz PMDCZLRVCJEYIR-OCCSQVGLSA-N 0 3 248.370 2.642 20 0 BFADHN CCCn1c2ccccc2nc1CNC1CC1 ZINC000037995399 343364583 /nfs/dbraw/zinc/36/45/83/343364583.db2.gz HUWFAEVFSIETRE-UHFFFAOYSA-N 0 3 229.327 2.698 20 0 BFADHN CC[C@H](NCc1c[nH]nc1C)c1ccccc1 ZINC000038011178 343365830 /nfs/dbraw/zinc/36/58/30/343365830.db2.gz GJAOVQQVGBVWHQ-AWEZNQCLSA-N 0 3 229.327 2.959 20 0 BFADHN CC/C=C\CCn1cc([C@@H](N)C(C)(C)C)nn1 ZINC000641066045 362173962 /nfs/dbraw/zinc/17/39/62/362173962.db2.gz QYWNAAGOGKXYRQ-ZHRWSRJISA-N 0 3 236.363 2.680 20 0 BFADHN C[C@@H](CN1CCCCC1)N[C@@H](C)c1ccccn1 ZINC000037153534 343336671 /nfs/dbraw/zinc/33/66/71/343336671.db2.gz UOVRVMGHPIPTEP-KBPBESRZSA-N 0 3 247.386 2.607 20 0 BFADHN C[C@@H](N[C@@H](C)Cn1cccn1)c1cccc(F)c1 ZINC000037155918 343337442 /nfs/dbraw/zinc/33/74/42/343337442.db2.gz JYJLPBZODZWWGR-NWDGAFQWSA-N 0 3 247.317 2.762 20 0 BFADHN CCCCC[C@H](NCC(=O)NC)c1ccccc1 ZINC000037254053 343341328 /nfs/dbraw/zinc/34/13/28/343341328.db2.gz YKZSFDYXXBDXIR-AWEZNQCLSA-N 0 3 248.370 2.644 20 0 BFADHN CC(C)C[C@H](C)NCc1nccn1C(F)F ZINC000037264721 343342025 /nfs/dbraw/zinc/34/20/25/343342025.db2.gz WKCDEJLSPFQNGH-VIFPVBQESA-N 0 3 231.290 2.802 20 0 BFADHN CC(C)NCC(=O)Nc1cccc(C(C)C)c1 ZINC000037395283 343344547 /nfs/dbraw/zinc/34/45/47/343344547.db2.gz YNSCBMHLKUEKDW-UHFFFAOYSA-N 0 3 234.343 2.747 20 0 BFADHN Cn1c(Cl)cnc1CNC1CCCCCC1 ZINC000037388776 343344630 /nfs/dbraw/zinc/34/46/30/343344630.db2.gz PCXKBZMRSKCRKC-UHFFFAOYSA-N 0 3 241.766 2.886 20 0 BFADHN COc1ccccc1/C=C\CN(C)C[C@H](C)OC ZINC000348831578 136303622 /nfs/dbraw/zinc/30/36/22/136303622.db2.gz GHXFFFGXMNOXAP-JWJUJFCLSA-N 0 3 249.354 2.675 20 0 BFADHN COC(CN[C@H](C)c1ccc(Cl)cc1)OC ZINC000037473012 343346333 /nfs/dbraw/zinc/34/63/33/343346333.db2.gz UJSWIHAWVXFIGP-SECBINFHSA-N 0 3 243.734 2.610 20 0 BFADHN Cc1ccccc1[C@H](CO)NCCC(C)(F)F ZINC000580036068 343348338 /nfs/dbraw/zinc/34/83/38/343348338.db2.gz ODGSRZQHWBCQMZ-LBPRGKRZSA-N 0 3 243.297 2.663 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1cc(C)cc(C)c1 ZINC000037621312 343349891 /nfs/dbraw/zinc/34/98/91/343349891.db2.gz CQLZNASRIYNXSR-AWEZNQCLSA-N 0 3 234.343 2.759 20 0 BFADHN CCCN(CC)CC(=O)N1[C@@H](C)CCC[C@@H]1C ZINC000348996886 136314229 /nfs/dbraw/zinc/31/42/29/136314229.db2.gz YFZANUQESSVSGJ-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN Cc1cccc(C)c1CN[C@H]1CO[C@H](C)C1 ZINC000335662072 136315345 /nfs/dbraw/zinc/31/53/45/136315345.db2.gz DXSZFJCMVQAGCL-CHWSQXEVSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1cc(CN[C@@H]2CO[C@@H](C)C2)c(C)s1 ZINC000335664608 136316506 /nfs/dbraw/zinc/31/65/06/136316506.db2.gz UKIXXYGBNSAGHN-UFBFGSQYSA-N 0 3 225.357 2.632 20 0 BFADHN CC(C)[C@H](N)c1cn([C@H]2C=CCCCCC2)nn1 ZINC000641076156 362185132 /nfs/dbraw/zinc/18/51/32/362185132.db2.gz VRKMKQDMIRKLIE-JSGCOSHPSA-N 0 3 248.374 2.995 20 0 BFADHN CC(C)[C@@H](N)c1cn([C@H]2C=CCCCCC2)nn1 ZINC000641076155 362185141 /nfs/dbraw/zinc/18/51/41/362185141.db2.gz VRKMKQDMIRKLIE-GXTWGEPZSA-N 0 3 248.374 2.995 20 0 BFADHN CC1CC(CNCc2cccc3c2OCO3)C1 ZINC000621383305 343399788 /nfs/dbraw/zinc/39/97/88/343399788.db2.gz ABKPHFSIHARISD-UHFFFAOYSA-N 0 3 233.311 2.551 20 0 BFADHN CCCCOCCNCc1ccccc1F ZINC000040506536 343406290 /nfs/dbraw/zinc/40/62/90/343406290.db2.gz WOUADAWNHRDEQO-UHFFFAOYSA-N 0 3 225.307 2.732 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@](O)(C2CC2)C1 ZINC000335694421 136326540 /nfs/dbraw/zinc/32/65/40/136326540.db2.gz ODHLPWORTZRIAS-IAQYHMDHSA-N 0 3 249.329 2.734 20 0 BFADHN OCCCNCc1ccc(-c2ccccc2F)o1 ZINC000040743646 343408842 /nfs/dbraw/zinc/40/88/42/343408842.db2.gz XTNWHNBZSWSAJZ-UHFFFAOYSA-N 0 3 249.285 2.558 20 0 BFADHN CC(C)C[C@H](CO)NCc1ccccc1Cl ZINC000040773097 343408895 /nfs/dbraw/zinc/40/88/95/343408895.db2.gz VEPUILCCFLNASH-GFCCVEGCSA-N 0 3 241.762 2.837 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CCC[C@](F)(CO)C1 ZINC000349036512 136320315 /nfs/dbraw/zinc/32/03/15/136320315.db2.gz QPMBSKKSCJIFLM-HZSPNIEDSA-N 0 3 243.366 2.609 20 0 BFADHN CCC[C@@H](N)c1cn(CCCC2CCC2)nn1 ZINC000641087756 362210357 /nfs/dbraw/zinc/21/03/57/362210357.db2.gz JFDMNVZJKGRAEJ-GFCCVEGCSA-N 0 3 236.363 2.658 20 0 BFADHN CC(C)[C@H](N)c1cn(CCCC2CCC2)nn1 ZINC000641088695 362211539 /nfs/dbraw/zinc/21/15/39/362211539.db2.gz OWGJEPSFNWUETR-ZDUSSCGKSA-N 0 3 236.363 2.514 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CC2(C)C)nc1 ZINC000335681355 136329003 /nfs/dbraw/zinc/32/90/03/136329003.db2.gz DFBVPCYXTUGWMN-LBPRGKRZSA-N 0 3 204.317 2.620 20 0 BFADHN CCOC(=O)c1ccc(CNC[C@H](C)CC)o1 ZINC000349274531 136333726 /nfs/dbraw/zinc/33/37/26/136333726.db2.gz GDQMXAMYGCOJOT-SNVBAGLBSA-N 0 3 239.315 2.592 20 0 BFADHN CC(C)(C)[C@H](N)c1cn(CCC2CCC2)nn1 ZINC000641092948 362220095 /nfs/dbraw/zinc/22/00/95/362220095.db2.gz YSKLEDVCTPNVRR-GFCCVEGCSA-N 0 3 236.363 2.514 20 0 BFADHN Cc1cc2cc(CN(C)[C@@H](C)CO)oc2cc1C ZINC000349279713 136334524 /nfs/dbraw/zinc/33/45/24/136334524.db2.gz OJEMWRGPAQLXGD-LBPRGKRZSA-N 0 3 247.338 2.862 20 0 BFADHN COc1c(O)cccc1CN1CCCC12CCC2 ZINC000335708877 136336744 /nfs/dbraw/zinc/33/67/44/136336744.db2.gz OZADKSYFBGRGBA-UHFFFAOYSA-N 0 3 247.338 2.919 20 0 BFADHN Cc1ccsc1-c1noc([C@H]2CCN(C)C2)n1 ZINC000335712178 136337771 /nfs/dbraw/zinc/33/77/71/136337771.db2.gz PBMZLSOZWWQOEW-VIFPVBQESA-N 0 3 249.339 2.526 20 0 BFADHN CCOc1cccc(CNCCOC(C)C)c1 ZINC000042339486 343435258 /nfs/dbraw/zinc/43/52/58/343435258.db2.gz CBHJCOVGZQODMG-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN Cc1ccc2[nH]c(CN(C)[C@H](C)CO)cc2c1C ZINC000349312431 136340732 /nfs/dbraw/zinc/34/07/32/136340732.db2.gz FFRNIBQNNLQARV-LLVKDONJSA-N 0 3 246.354 2.597 20 0 BFADHN C/C=C\C[C@H](CO)N[C@@H](C)c1ccccc1OC ZINC000349313882 136341053 /nfs/dbraw/zinc/34/10/53/136341053.db2.gz PJIFNXPVVVMFSV-OEFFGFFESA-N 0 3 249.354 2.673 20 0 BFADHN CN(Cc1ccccc1Cl)C1CCOCC1 ZINC000350054823 136353881 /nfs/dbraw/zinc/35/38/81/136353881.db2.gz QQYMHCVDKWBYHD-UHFFFAOYSA-N 0 3 239.746 2.951 20 0 BFADHN CN1CCN(CC2CCC2)C2(CCCCC2)C1 ZINC000335879040 136356399 /nfs/dbraw/zinc/35/63/99/136356399.db2.gz KKTOUYRHKKJYES-UHFFFAOYSA-N 0 3 236.403 2.737 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2C[C@@H](C)O[C@H]2C)c1 ZINC000395054015 362221334 /nfs/dbraw/zinc/22/13/34/362221334.db2.gz MWGLJFYCZSHUMQ-UHIISALHSA-N 0 3 237.318 2.790 20 0 BFADHN CC(C)C[C@H](C)n1cc([C@@H](N)C(C)(C)C)nn1 ZINC000641096930 362222977 /nfs/dbraw/zinc/22/29/77/362222977.db2.gz YYAMFOBSOCPPDE-CMPLNLGQSA-N 0 3 238.379 2.931 20 0 BFADHN Cc1cncc(NC(=O)C(C)C(F)(F)F)c1 ZINC000335907437 136371316 /nfs/dbraw/zinc/37/13/16/136371316.db2.gz QEBDEFHFJYFLKG-SSDOTTSWSA-N 0 3 232.205 2.527 20 0 BFADHN CC[C@H](NCc1ccc(C(=O)OC)o1)C(C)C ZINC000042586340 343444441 /nfs/dbraw/zinc/44/44/41/343444441.db2.gz MGCZNXYYFMFXCG-NSHDSACASA-N 0 3 239.315 2.590 20 0 BFADHN Cc1ccc(CN[C@@H]2COC(C)(C)C2)s1 ZINC000335655285 136376228 /nfs/dbraw/zinc/37/62/28/136376228.db2.gz CHNZCKZBZKZVJT-JTQLQIEISA-N 0 3 225.357 2.714 20 0 BFADHN Cc1oncc1CN1CCC(C)(C)CC1 ZINC000335856359 344033852 /nfs/dbraw/zinc/03/38/52/344033852.db2.gz XYYNJAGBZSAMDK-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN CN(CC[S@](=O)c1ccccc1)C(C)(C)C ZINC000580548213 365748318 /nfs/dbraw/zinc/74/83/18/365748318.db2.gz JVQPLEJYKHTEMJ-INIZCTEOSA-N 0 3 239.384 2.525 20 0 BFADHN Cc1cncc(CNCc2cccs2)c1 ZINC000083891996 343506429 /nfs/dbraw/zinc/50/64/29/343506429.db2.gz MEDKJWPIYHRRQH-UHFFFAOYSA-N 0 3 218.325 2.741 20 0 BFADHN COC[C@H](C)CNCc1ccc(F)cc1Cl ZINC000045696723 343532876 /nfs/dbraw/zinc/53/28/76/343532876.db2.gz GXKUCNJNXCOGMG-SECBINFHSA-N 0 3 245.725 2.851 20 0 BFADHN COc1ccccc1/C=C\CN(C)C1CC1 ZINC000255178422 343557766 /nfs/dbraw/zinc/55/77/66/343557766.db2.gz HDTUHYJNHOEPNX-ALCCZGGFSA-N 0 3 217.312 2.803 20 0 BFADHN CSCC[C@H](C)N(C)Cc1cc(C)ccn1 ZINC000616765446 343561673 /nfs/dbraw/zinc/56/16/73/343561673.db2.gz NTNQYMJLBQHYNZ-LBPRGKRZSA-N 0 3 238.400 2.963 20 0 BFADHN CCN(CC)Cc1cccc(NC(=O)C2CC2)c1 ZINC000047447774 343561900 /nfs/dbraw/zinc/56/19/00/343561900.db2.gz MQPAKGLEQPNNSJ-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN Cc1nc(F)ccc1CN1CCC[C@@H](C)C1 ZINC000279468578 187342034 /nfs/dbraw/zinc/34/20/34/187342034.db2.gz JTPGNOFGCIQWRQ-SNVBAGLBSA-N 0 3 222.307 2.761 20 0 BFADHN CC1(C)C[C@@H]1NCc1ccnn1-c1ccccc1 ZINC000279077410 187342171 /nfs/dbraw/zinc/34/21/71/187342171.db2.gz GTROOVVXQQVVDY-AWEZNQCLSA-N 0 3 241.338 2.760 20 0 BFADHN CC[C@H]1CCCCN1C(=O)CN(C)C(C)(C)C ZINC000570208517 323052791 /nfs/dbraw/zinc/05/27/91/323052791.db2.gz XNQMIGVSNXMADI-LBPRGKRZSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1ccc(CNCc2c(C)n[nH]c2C)s1 ZINC000048446785 343581798 /nfs/dbraw/zinc/58/17/98/343581798.db2.gz DVNZZNHCKLDLKN-UHFFFAOYSA-N 0 3 235.356 2.686 20 0 BFADHN CCCC[C@H](C)NCc1nccn1C(F)F ZINC000050888774 343619887 /nfs/dbraw/zinc/61/98/87/343619887.db2.gz USOZNXXVEVSCTM-VIFPVBQESA-N 0 3 231.290 2.947 20 0 BFADHN CCCC[C@@H](C)NCc1nccn1C(F)F ZINC000050888773 343620112 /nfs/dbraw/zinc/62/01/12/343620112.db2.gz USOZNXXVEVSCTM-SECBINFHSA-N 0 3 231.290 2.947 20 0 BFADHN CC(C)[C@@H]1CC[C@@H](NCc2nccn2C)C[C@H]1C ZINC000340088823 187343036 /nfs/dbraw/zinc/34/30/36/187343036.db2.gz YVPKVBATXSXXPT-MCIONIFRSA-N 0 3 249.402 2.971 20 0 BFADHN CC(C)C1CCC(CN2CCOCC2)CC1 ZINC000205006536 343610907 /nfs/dbraw/zinc/61/09/07/343610907.db2.gz VYINVBKWNOCPPS-UHFFFAOYSA-N 0 3 225.376 2.781 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCc3ccc(F)cc32)CO1 ZINC000580563293 365781975 /nfs/dbraw/zinc/78/19/75/365781975.db2.gz MKEQTKMEYUMKRR-IUPBHXKESA-N 0 3 235.302 2.580 20 0 BFADHN C[C@@]1(CNCc2ccc(Cl)o2)CCCO1 ZINC000087234223 343641219 /nfs/dbraw/zinc/64/12/19/343641219.db2.gz AKRJQUAHOKVCGE-NSHDSACASA-N 0 3 229.707 2.592 20 0 BFADHN COc1ccc(CN[C@@H]2CCCCC2(C)C)nn1 ZINC000282493150 187343814 /nfs/dbraw/zinc/34/38/14/187343814.db2.gz MTBMRCPWRFCGOT-GFCCVEGCSA-N 0 3 249.358 2.544 20 0 BFADHN Cc1cccc(NC2CCN(C)CC2)c1C ZINC000019772376 187344427 /nfs/dbraw/zinc/34/44/27/187344427.db2.gz PBCASWUCTOBXEX-UHFFFAOYSA-N 0 3 218.344 2.810 20 0 BFADHN CCc1cc(CN[C@H]2CC[C@H]2CC)on1 ZINC000379999288 365790986 /nfs/dbraw/zinc/79/09/86/365790986.db2.gz LRQBZDUNAHFACG-SKDRFNHKSA-N 0 3 208.305 2.515 20 0 BFADHN Cc1ccc(CN2CCC(CCCO)CC2)o1 ZINC000272824725 178564352 /nfs/dbraw/zinc/56/43/52/178564352.db2.gz DDMJCUHGZRWKEH-UHFFFAOYSA-N 0 3 237.343 2.573 20 0 BFADHN CN1CCN(CC2=CCCCC2)CC1(C)C ZINC000580583422 365793492 /nfs/dbraw/zinc/79/34/92/365793492.db2.gz XVXFQOFNCVUWCS-UHFFFAOYSA-N 0 3 222.376 2.513 20 0 BFADHN CCN(CC(=O)NC(C)(C)CC)C1CCCC1 ZINC000047965623 343675911 /nfs/dbraw/zinc/67/59/11/343675911.db2.gz SVVYZGIFCSWWDK-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN C/C=C/CN[C@@H](C)c1cccc(C#N)c1 ZINC000379956024 343659685 /nfs/dbraw/zinc/65/96/85/343659685.db2.gz VLEXHJGVPSYNKO-UFFNRZRYSA-N 0 3 200.285 2.785 20 0 BFADHN C[C@H]1CC[C@H]1NCc1cnc(C2CC2)s1 ZINC000380101228 343679610 /nfs/dbraw/zinc/67/96/10/343679610.db2.gz LXLMOPABYPJLDW-GZMMTYOYSA-N 0 3 222.357 2.909 20 0 BFADHN Cc1ccc(CNCCCC(C)C)nc1 ZINC000087782187 343660914 /nfs/dbraw/zinc/66/09/14/343660914.db2.gz QEZFMNJHOPTFKD-UHFFFAOYSA-N 0 3 206.333 2.916 20 0 BFADHN CC[C@@H](NCc1c[nH]cn1)c1ccccc1 ZINC000054765323 343661737 /nfs/dbraw/zinc/66/17/37/343661737.db2.gz FECWVIOAPLLMGW-CYBMUJFWSA-N 0 3 215.300 2.651 20 0 BFADHN CC[C@@H](NCc1cnc[nH]1)c1ccccc1 ZINC000054765323 343661738 /nfs/dbraw/zinc/66/17/38/343661738.db2.gz FECWVIOAPLLMGW-CYBMUJFWSA-N 0 3 215.300 2.651 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1snnc1C ZINC000379973461 343662385 /nfs/dbraw/zinc/66/23/85/343662385.db2.gz CIKSVNBQPOSCQZ-UWVGGRQHSA-N 0 3 225.361 2.515 20 0 BFADHN N#Cc1ccc(CN[C@H]2[C@@H]3CCC[C@@H]32)s1 ZINC000379980644 343663306 /nfs/dbraw/zinc/66/33/06/343663306.db2.gz HCIUAGJRLAZCGI-GDNZZTSVSA-N 0 3 218.325 2.508 20 0 BFADHN CC[C@@H]1c2ccccc2CCN1Cc1cnc[nH]1 ZINC000093438929 537799327 /nfs/dbraw/zinc/79/93/27/537799327.db2.gz AZFARUGNCFRNGZ-OAHLLOKOSA-N 0 3 241.338 2.919 20 0 BFADHN Clc1cc2c(s1)CC[C@H]2N[C@@H]1CCOC1 ZINC000088117499 343706224 /nfs/dbraw/zinc/70/62/24/343706224.db2.gz KCDCRJAOUIDCOS-VXNVDRBHSA-N 0 3 243.759 2.767 20 0 BFADHN C[C@@H]1CCCN(CCOc2cccc(F)c2)C1 ZINC000048060612 343706705 /nfs/dbraw/zinc/70/67/05/343706705.db2.gz CKIOTGVIULXTEN-GFCCVEGCSA-N 0 3 237.318 2.936 20 0 BFADHN CCC[C@H](C)N(C)CC(=O)N1CCCC[C@@H]1C ZINC000048070716 343708029 /nfs/dbraw/zinc/70/80/29/343708029.db2.gz BZSWHLLMVCGKFN-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN CCC[C@H](C)N(C)CC(=O)N(C)c1ccccc1 ZINC000048070726 343708100 /nfs/dbraw/zinc/70/81/00/343708100.db2.gz ZAYSWSCHCUOMKW-ZDUSSCGKSA-N 0 3 248.370 2.770 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]2[C@@H]2CCCO2)nc1 ZINC000336335911 178569723 /nfs/dbraw/zinc/56/97/23/178569723.db2.gz TZJYGULSFVISQL-CABCVRRESA-N 0 3 246.354 2.533 20 0 BFADHN CC[C@@H](C)N[C@H]1CCCc2scnc21 ZINC000623849242 343688831 /nfs/dbraw/zinc/68/88/31/343688831.db2.gz ABFKFGHSCKZEJT-BDAKNGLRSA-N 0 3 210.346 2.909 20 0 BFADHN C[C@H](CN1CCc2nc[nH]c2C1)C1CCCCC1 ZINC000625435850 343733789 /nfs/dbraw/zinc/73/37/89/343733789.db2.gz YCUWTEHCVCFZFM-GFCCVEGCSA-N 0 3 247.386 2.984 20 0 BFADHN CC1CCC(CN2CCc3nc[nH]c3C2)CC1 ZINC000625436868 343734286 /nfs/dbraw/zinc/73/42/86/343734286.db2.gz LOAPNGPVGUPSSH-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN CCC(C)(C)CCN1CCc2nc[nH]c2C1 ZINC000625434453 343735191 /nfs/dbraw/zinc/73/51/91/343735191.db2.gz UZFUBVJVNMEGRF-UHFFFAOYSA-N 0 3 221.348 2.594 20 0 BFADHN C[C@@H](CO)CNCc1cccc(Cl)c1Cl ZINC000088195140 343710963 /nfs/dbraw/zinc/71/09/63/343710963.db2.gz XOBKZDWMVWRVIF-MRVPVSSYSA-N 0 3 248.153 2.711 20 0 BFADHN C[C@@H](Cc1ccsc1)N(C)Cc1cnc[nH]1 ZINC000093705706 537806776 /nfs/dbraw/zinc/80/67/76/537806776.db2.gz HRLRLOLJOLJPJA-JTQLQIEISA-N 0 3 235.356 2.534 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cc(F)cc(Cl)c1 ZINC000289205895 187347384 /nfs/dbraw/zinc/34/73/84/187347384.db2.gz BSUVDGWEVUENRL-VIFPVBQESA-N 0 3 245.725 2.946 20 0 BFADHN CC1(C)CN(Cc2cncnc2)CC(C)(C)C1 ZINC000336473537 537811460 /nfs/dbraw/zinc/81/14/60/537811460.db2.gz BFWOAYPWOSCQPI-UHFFFAOYSA-N 0 3 233.359 2.735 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)[C@H](OC)c1ccccc1 ZINC000584208302 343774099 /nfs/dbraw/zinc/77/40/99/343774099.db2.gz JYSUAQOJFSJNOB-MHEUCROKSA-N 0 3 249.354 2.530 20 0 BFADHN C[C@H](O)[C@H](C)N[C@@H](CC(F)F)c1ccccc1 ZINC000584227532 343775565 /nfs/dbraw/zinc/77/55/65/343775565.db2.gz VNKGTXKCZHOLLL-NHCYSSNCSA-N 0 3 243.297 2.742 20 0 BFADHN CN(C)CCS[C@H]1CCc2ccccc2C1 ZINC000127807521 343751212 /nfs/dbraw/zinc/75/12/12/343751212.db2.gz HCDREELHPSAVRC-AWEZNQCLSA-N 0 3 235.396 2.839 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H](C)C1CCC1 ZINC000178788892 346966119 /nfs/dbraw/zinc/96/61/19/346966119.db2.gz VNWHEUOUVZBQOI-GFCCVEGCSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@H]1CCCN(Cc2oc(C)nc2C)C1 ZINC000683896995 488233869 /nfs/dbraw/zinc/23/38/69/488233869.db2.gz HJRXWCALDFHJDW-LBPRGKRZSA-N 0 3 222.332 2.913 20 0 BFADHN CC(C)C1(C)CCN(Cc2cccnc2N)CC1 ZINC000295698844 187351348 /nfs/dbraw/zinc/35/13/48/187351348.db2.gz IYJTZMGHRDIVEZ-UHFFFAOYSA-N 0 3 247.386 2.922 20 0 BFADHN CC1(c2ccccc2)CN(CC2CC2)C1 ZINC000621734658 343885618 /nfs/dbraw/zinc/88/56/18/343885618.db2.gz SATYDAAYIAOPFM-UHFFFAOYSA-N 0 3 201.313 2.670 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCN(C)C2(CCCCC2)C1 ZINC000625453558 343860466 /nfs/dbraw/zinc/86/04/66/343860466.db2.gz OYAXMEVGTOOOKQ-KGLIPLIRSA-N 0 3 236.403 2.593 20 0 BFADHN Cc1ccc(CNCc2c(C)nn(C)c2C)s1 ZINC000048068654 178613830 /nfs/dbraw/zinc/61/38/30/178613830.db2.gz SSVCGHQJKNCQFG-UHFFFAOYSA-N 0 3 249.383 2.697 20 0 BFADHN CCC[C@H](CC)NCc1csc(COC)n1 ZINC000164506451 187355917 /nfs/dbraw/zinc/35/59/17/187355917.db2.gz UBUBOILHAWPLDH-JTQLQIEISA-N 0 3 242.388 2.958 20 0 BFADHN Cc1ccc(CNCC[C@@H]2CCO[C@H](C)C2)o1 ZINC000273094195 178608447 /nfs/dbraw/zinc/60/84/47/178608447.db2.gz TZGQRJHSBJIXLA-CHWSQXEVSA-N 0 3 237.343 2.883 20 0 BFADHN C[C@@H](N[C@@H]1C=CCCC1)c1cccnc1 ZINC000090393078 343931040 /nfs/dbraw/zinc/93/10/40/343931040.db2.gz JFKUYYQNMKDYPW-DGCLKSJQSA-N 0 3 202.301 2.841 20 0 BFADHN CC[C@H]1CCCC[C@H]1CN1CCOCC1 ZINC000628116859 343967469 /nfs/dbraw/zinc/96/74/69/343967469.db2.gz HHDDVOQVKFEGHY-STQMWFEESA-N 0 3 211.349 2.535 20 0 BFADHN Cc1ncc(CN(C)C2CCCCC2)o1 ZINC000628118841 343969216 /nfs/dbraw/zinc/96/92/16/343969216.db2.gz MWPISLBTPGJJLF-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN CCOCCN[C@@H](c1ccccn1)C1CCC1 ZINC000625784020 344073726 /nfs/dbraw/zinc/07/37/26/344073726.db2.gz CBIAOKREGPNAIB-CQSZACIVSA-N 0 3 234.343 2.549 20 0 BFADHN Cc1ccncc1CN1CC[C@H]2CC[C@@H](C1)S2 ZINC000625776818 344071931 /nfs/dbraw/zinc/07/19/31/344071931.db2.gz QAHCXRIMXUMWFN-KGLIPLIRSA-N 0 3 248.395 2.860 20 0 BFADHN CC[C@H](NC[C@@H](C)O)c1ccc(Cl)s1 ZINC000131822160 187286311 /nfs/dbraw/zinc/28/63/11/187286311.db2.gz ROTKFCFFPMOOQO-SFYZADRCSA-N 0 3 233.764 2.823 20 0 BFADHN C/C=C\CNCc1cnc(N(CC)CC)s1 ZINC000384767769 344110457 /nfs/dbraw/zinc/11/04/57/344110457.db2.gz RGCKWVSOCHLKIA-DAXSKMNVSA-N 0 3 239.388 2.655 20 0 BFADHN COC[C@H](N[C@H]1CC[C@H]1C1CC1)c1ccco1 ZINC000348112160 537838854 /nfs/dbraw/zinc/83/88/54/537838854.db2.gz CMLZMJOYQOTGCL-AVGNSLFASA-N 0 3 235.327 2.745 20 0 BFADHN COC[C@H](N[C@H]1CC[C@@H]1C1CC1)c1ccco1 ZINC000348112158 537839189 /nfs/dbraw/zinc/83/91/89/537839189.db2.gz CMLZMJOYQOTGCL-AGIUHOORSA-N 0 3 235.327 2.745 20 0 BFADHN Cc1cccc(CCN(C2CC2)C2CC2)n1 ZINC000625840880 344092150 /nfs/dbraw/zinc/09/21/50/344092150.db2.gz FJOHTQQTCKYLNQ-UHFFFAOYSA-N 0 3 216.328 2.559 20 0 BFADHN c1ccc(COCCN(C2CC2)C2CC2)cc1 ZINC000625841692 344093223 /nfs/dbraw/zinc/09/32/23/344093223.db2.gz JJRWRPXBDXDFRP-UHFFFAOYSA-N 0 3 231.339 2.830 20 0 BFADHN COc1cccc(OCCN(C2CC2)C2CC2)c1 ZINC000625843334 344094384 /nfs/dbraw/zinc/09/43/84/344094384.db2.gz TWTQEGYVKXMKJD-UHFFFAOYSA-N 0 3 247.338 2.701 20 0 BFADHN CC(C)[C@@H]1CCC[C@H](NCc2cc[nH]n2)C1 ZINC000221389581 259372920 /nfs/dbraw/zinc/37/29/20/259372920.db2.gz CNQJCBCSEQXPGF-NEPJUHHUSA-N 0 3 221.348 2.714 20 0 BFADHN CC(C)[C@H]1CCC[C@H](NCc2cc[nH]n2)C1 ZINC000221389637 259372972 /nfs/dbraw/zinc/37/29/72/259372972.db2.gz CNQJCBCSEQXPGF-RYUDHWBXSA-N 0 3 221.348 2.714 20 0 BFADHN Cc1ccc(CN[C@]2(C)CCO[C@H]2C)c(F)c1 ZINC000631112562 344170502 /nfs/dbraw/zinc/17/05/02/344170502.db2.gz WISOQFMDKBYUBJ-SMDDNHRTSA-N 0 3 237.318 2.791 20 0 BFADHN c1ccc(SCCNCC2=CCCOC2)cc1 ZINC000126273271 344174004 /nfs/dbraw/zinc/17/40/04/344174004.db2.gz RJMOXQCJQMDTER-UHFFFAOYSA-N 0 3 249.379 2.715 20 0 BFADHN C/C=C/CN[C@@H](C)c1cnc2cc(C)nn2c1C ZINC000395267726 344136000 /nfs/dbraw/zinc/13/60/00/344136000.db2.gz ZQQDQJCWXCNUNJ-QRGHLMKCSA-N 0 3 244.342 2.573 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1ccc(=O)[nH]c1 ZINC000631112493 344142987 /nfs/dbraw/zinc/14/29/87/344142987.db2.gz PWQFIOQPKOZMSF-VIFPVBQESA-N 0 3 248.248 2.608 20 0 BFADHN C/C=C\CNCc1ccc(OCC)c(OC)c1 ZINC000384792538 344152464 /nfs/dbraw/zinc/15/24/64/344152464.db2.gz VUTJNBKZBTVQKL-XQRVVYSFSA-N 0 3 235.327 2.760 20 0 BFADHN COC(=O)c1occc1CN[C@H](C)C(C)(C)C ZINC000114474745 344152488 /nfs/dbraw/zinc/15/24/88/344152488.db2.gz ZWDYPXORSFKUQO-SECBINFHSA-N 0 3 239.315 2.590 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCC[C@H](C)C2)o1 ZINC000628131749 344159564 /nfs/dbraw/zinc/15/95/64/344159564.db2.gz IJGCPYLWZURBKX-JQWIXIFHSA-N 0 3 222.332 2.994 20 0 BFADHN CCn1ccnc1CN(C)[C@H]1CCCC[C@@H]1C ZINC000195514378 344207528 /nfs/dbraw/zinc/20/75/28/344207528.db2.gz DYCDORVEUDJCMY-STQMWFEESA-N 0 3 235.375 2.914 20 0 BFADHN C[C@H](C[C@@H]1CCCO1)N[C@H](C)c1ccoc1 ZINC000381224203 344216286 /nfs/dbraw/zinc/21/62/86/344216286.db2.gz JKBYTBGAROMDCN-WZRBSPASSA-N 0 3 223.316 2.888 20 0 BFADHN CCC[C@H](C)N1CCO[C@@H](C(F)F)CC1 ZINC000634758944 344228132 /nfs/dbraw/zinc/22/81/32/344228132.db2.gz ZARBKZUDPVJGSW-VHSXEESVSA-N 0 3 221.291 2.531 20 0 BFADHN FC(F)[C@H]1CCN(CCC2CCC2)CCO1 ZINC000634759191 344232447 /nfs/dbraw/zinc/23/24/47/344232447.db2.gz DGEXGJOQXSBPKX-LLVKDONJSA-N 0 3 233.302 2.533 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]2CC(C)C)o1 ZINC000628134023 344187585 /nfs/dbraw/zinc/18/75/85/344187585.db2.gz AQGIJJJIVRGTTE-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN C/C=C\CNCc1ccc(OCC)cc1 ZINC000384927485 344244545 /nfs/dbraw/zinc/24/45/45/344244545.db2.gz RYEWFCLDRWKIDA-HYXAFXHYSA-N 0 3 205.301 2.751 20 0 BFADHN CCN1CCN(CCCc2cccc(C)c2)CC1 ZINC000180937069 347044622 /nfs/dbraw/zinc/04/46/22/347044622.db2.gz MKLXXGAHYVQFOB-UHFFFAOYSA-N 0 3 246.398 2.565 20 0 BFADHN Cc1cc(CNCC2(CC(C)C)CC2)on1 ZINC000391800809 347044645 /nfs/dbraw/zinc/04/46/45/347044645.db2.gz GDFZEBJWFWYMTB-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cnc(C2CC2)o1 ZINC000628137843 344261683 /nfs/dbraw/zinc/26/16/83/344261683.db2.gz SUDCZTUXFPLIPE-SECBINFHSA-N 0 3 220.316 2.782 20 0 BFADHN Cc1ccc2c(c1)[C@H](NC[C@@H]1C[C@H]1C)CO2 ZINC000381247127 344272255 /nfs/dbraw/zinc/27/22/55/344272255.db2.gz OMQBFJQNVNXMKD-NTZNESFSSA-N 0 3 217.312 2.674 20 0 BFADHN COC1CCC(N(C)Cc2ccco2)CC1 ZINC000093414813 344315676 /nfs/dbraw/zinc/31/56/76/344315676.db2.gz ZSMUWINDZSWXNU-UHFFFAOYSA-N 0 3 223.316 2.669 20 0 BFADHN CCN(Cc1cccc(N)c1)Cc1ccccn1 ZINC000035064315 344328738 /nfs/dbraw/zinc/32/87/38/344328738.db2.gz SBFTXMSEXLHOFY-UHFFFAOYSA-N 0 3 241.338 2.686 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccc(C)o2)C1(C)C ZINC000084226275 344329954 /nfs/dbraw/zinc/32/99/54/344329954.db2.gz VEKYZLVYHPVZFC-OLZOCXBDSA-N 0 3 237.343 2.881 20 0 BFADHN c1ncc(CN2CCC3(CCCC3)CC2)cn1 ZINC000131745745 344333636 /nfs/dbraw/zinc/33/36/36/344333636.db2.gz HTHVJQGHEIVDKH-UHFFFAOYSA-N 0 3 231.343 2.633 20 0 BFADHN C[C@H](NC[C@@H]1CC2CCC1CC2)c1ccncn1 ZINC000400093226 344301015 /nfs/dbraw/zinc/30/10/15/344301015.db2.gz ZINGUFINXHWOLO-ABXWRUBCSA-N 0 3 245.370 2.954 20 0 BFADHN C[C@H](NC[C@]1(C)CCCC[C@@H]1O)c1cccnc1 ZINC000092766455 344303366 /nfs/dbraw/zinc/30/33/66/344303366.db2.gz WLRHPDLEQWGDTL-QEJZJMRPSA-N 0 3 248.370 2.673 20 0 BFADHN CCc1nc(C)c([C@H](C)NC2CC2)s1 ZINC000132631578 344304575 /nfs/dbraw/zinc/30/45/75/344304575.db2.gz NRBALHANZPTVFA-ZETCQYMHSA-N 0 3 210.346 2.827 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](CC)c1cccc(OC)c1 ZINC000584271757 344373951 /nfs/dbraw/zinc/37/39/51/344373951.db2.gz SMOYRKGPPHIYFP-RBSFLKMASA-N 0 3 249.354 2.913 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](CC)c1cccc(OC)c1 ZINC000584271756 344374102 /nfs/dbraw/zinc/37/41/02/344374102.db2.gz SMOYRKGPPHIYFP-QLFBSQMISA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cccc(F)c2F)[C@H](C)O1 ZINC000385259542 344384218 /nfs/dbraw/zinc/38/42/18/344384218.db2.gz WWYRTMPVOIEOGC-VDDIYKPWSA-N 0 3 241.281 2.620 20 0 BFADHN COc1cnccc1[C@H](C)N[C@H]1CC[C@H]1C1CC1 ZINC000348308355 537844524 /nfs/dbraw/zinc/84/45/24/537844524.db2.gz RIUAEORSWCGXPO-BPNCWPANSA-N 0 3 246.354 2.929 20 0 BFADHN CC[C@H](O)CN(C)Cc1cccc(Cl)c1 ZINC000042437314 344453295 /nfs/dbraw/zinc/45/32/95/344453295.db2.gz LIPJYIJJQKVLCF-LBPRGKRZSA-N 0 3 227.735 2.543 20 0 BFADHN CC[C@@H](N[C@@H]1CCOC1)c1ccc(OC)cc1 ZINC000104066337 344453462 /nfs/dbraw/zinc/45/34/62/344453462.db2.gz YJWONFQCQRFXKU-TZMCWYRMSA-N 0 3 235.327 2.525 20 0 BFADHN CS[C@H]1CCC[C@H](NCc2cc(C)no2)C1 ZINC000381007838 344468361 /nfs/dbraw/zinc/46/83/61/344468361.db2.gz RCIDEUXGNXMVGD-JQWIXIFHSA-N 0 3 240.372 2.747 20 0 BFADHN CCN[C@H](C)C(=O)Nc1ccc(Cl)cc1C ZINC000381457404 344508979 /nfs/dbraw/zinc/50/89/79/344508979.db2.gz JTDUQRCHLKOXEQ-SECBINFHSA-N 0 3 240.734 2.585 20 0 BFADHN N#Cc1csc(CN[C@@H]2CCC(F)(F)C2)c1 ZINC000381500185 344513333 /nfs/dbraw/zinc/51/33/33/344513333.db2.gz COVJHPYZEHXONP-SECBINFHSA-N 0 3 242.294 2.897 20 0 BFADHN C[C@H]1C[C@@H](NCc2cocn2)CC(C)(C)C1 ZINC000381515936 344515445 /nfs/dbraw/zinc/51/54/45/344515445.db2.gz SGLMBYOPQJMIOX-WDEREUQCSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1nc(C)c(CNC2CC(C)(C)C2)o1 ZINC000395280342 362405366 /nfs/dbraw/zinc/40/53/66/362405366.db2.gz CBQCSYCYCYHXPI-UHFFFAOYSA-N 0 3 208.305 2.570 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCOCC(C)C ZINC000678541587 488265499 /nfs/dbraw/zinc/26/54/99/488265499.db2.gz ICZDZTLLPFOMKY-CYBMUJFWSA-N 0 3 236.359 2.713 20 0 BFADHN c1cc(CN[C@@H]2CC23CCCCC3)ccn1 ZINC000395641354 344549589 /nfs/dbraw/zinc/54/95/89/344549589.db2.gz KXQSGYFEEKHWJV-CYBMUJFWSA-N 0 3 216.328 2.894 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1ccc(F)cc1C ZINC000037621328 344553167 /nfs/dbraw/zinc/55/31/67/344553167.db2.gz XPZDJVDYWXSSKU-ZDUSSCGKSA-N 0 3 238.306 2.590 20 0 BFADHN COc1cccc(CN[C@H](C)CSC)c1F ZINC000127543160 344561811 /nfs/dbraw/zinc/56/18/11/344561811.db2.gz MVWRFRUXEBZCAD-SECBINFHSA-N 0 3 243.347 2.675 20 0 BFADHN Cc1cc(-c2noc([C@@H]3CCCN3)n2)ccc1F ZINC000037734551 344575845 /nfs/dbraw/zinc/57/58/45/344575845.db2.gz BMNQTAAMSPHYCL-NSHDSACASA-N 0 3 247.273 2.609 20 0 BFADHN Cc1ccc([C@H](C)NCCOC(C)C)o1 ZINC000042226192 187291742 /nfs/dbraw/zinc/29/17/42/187291742.db2.gz VWMSKROTQLKIOA-NSHDSACASA-N 0 3 211.305 2.664 20 0 BFADHN CCN[C@H](C)C(=O)Nc1cccc(Cl)c1C ZINC000309403792 347055608 /nfs/dbraw/zinc/05/56/08/347055608.db2.gz ANBSQZLDVBRGOT-SECBINFHSA-N 0 3 240.734 2.585 20 0 BFADHN Cc1nc(CN2C[C@@H]3CCCC[C@H]3C2)oc1C ZINC000177531655 344576569 /nfs/dbraw/zinc/57/65/69/344576569.db2.gz WWXWCOFLPCHVHX-STQMWFEESA-N 0 3 234.343 2.913 20 0 BFADHN CCN1CCCC[C@@H]1CN[C@@H](C)c1ccoc1 ZINC000178081334 344616370 /nfs/dbraw/zinc/61/63/70/344616370.db2.gz APKGFWSORNFANX-GXTWGEPZSA-N 0 3 236.359 2.805 20 0 BFADHN Cc1ccc(F)cc1CNCc1ccn(C)c1 ZINC000272355904 178686693 /nfs/dbraw/zinc/68/66/93/178686693.db2.gz VPYMVUVZXLCUDT-UHFFFAOYSA-N 0 3 232.302 2.762 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1ccccc1C ZINC000309446567 347065246 /nfs/dbraw/zinc/06/52/46/347065246.db2.gz JXJUXGDHALUGSO-FPMFFAJLSA-N 0 3 219.328 2.823 20 0 BFADHN CCCCOCCN1CCc2ccsc2C1 ZINC000132830709 344658041 /nfs/dbraw/zinc/65/80/41/344658041.db2.gz SQLWDERPVWSSKL-UHFFFAOYSA-N 0 3 239.384 2.923 20 0 BFADHN COc1cccc2c1CN([C@@H]1COC(C)(C)C1)C2 ZINC000626023880 344676057 /nfs/dbraw/zinc/67/60/57/344676057.db2.gz UHHJPFKZUJPOJM-LBPRGKRZSA-N 0 3 247.338 2.578 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1cccc(C)c1 ZINC000309442065 347063331 /nfs/dbraw/zinc/06/33/31/347063331.db2.gz IUBBNTDTULDHJU-MRVWCRGKSA-N 0 3 219.328 2.823 20 0 BFADHN CCCCCN1CCc2c(O)cccc2C1 ZINC000626027223 344679751 /nfs/dbraw/zinc/67/97/51/344679751.db2.gz PBYIKURRFNXINZ-UHFFFAOYSA-N 0 3 219.328 2.941 20 0 BFADHN C[C@]12CCCC[C@H]1CN2CCc1cscn1 ZINC000626103811 344744446 /nfs/dbraw/zinc/74/44/46/344744446.db2.gz QSMXQODEJWGFDJ-AAEUAGOBSA-N 0 3 236.384 2.950 20 0 BFADHN CC[C@@H](c1ccc(Cl)cc1)N1CC[C@@H](O)C1 ZINC000114486521 344687675 /nfs/dbraw/zinc/68/76/75/344687675.db2.gz ADTNONKWNQXKEP-OLZOCXBDSA-N 0 3 239.746 2.858 20 0 BFADHN COC(=O)[C@H]1CCCCCN1CC1CCCC1 ZINC000260102101 344707752 /nfs/dbraw/zinc/70/77/52/344707752.db2.gz QCAWKYFZLJKXIT-CYBMUJFWSA-N 0 3 239.359 2.594 20 0 BFADHN CC(C)C[C@H](C)CN1CCN(CCCF)CC1 ZINC000626070255 344713339 /nfs/dbraw/zinc/71/33/39/344713339.db2.gz GIHLLAKOQFADCJ-AWEZNQCLSA-N 0 3 244.398 2.646 20 0 BFADHN Cc1cc(CN2C[C@@H]3CCCC[C@@]32C)ncn1 ZINC000626103992 344750232 /nfs/dbraw/zinc/75/02/32/344750232.db2.gz ZZKYFLUQWXIGTA-JSGCOSHPSA-N 0 3 231.343 2.550 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1nc(C)c(C)o1 ZINC000075021917 344762076 /nfs/dbraw/zinc/76/20/76/344762076.db2.gz KZFKWQALVVQFSE-CABZTGNLSA-N 0 3 222.332 2.912 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1ccc(F)cc1 ZINC000309472597 347072134 /nfs/dbraw/zinc/07/21/34/347072134.db2.gz RAJGZXYMVNEIJQ-JIMOISOXSA-N 0 3 223.291 2.654 20 0 BFADHN CSCCN[C@@H]1CCCc2ccc(O)cc21 ZINC000235050585 344753298 /nfs/dbraw/zinc/75/32/98/344753298.db2.gz PDHVQOXMZIIRKJ-CYBMUJFWSA-N 0 3 237.368 2.722 20 0 BFADHN CC1(C)C[C@H]1NCc1cc(F)ccc1F ZINC000044370506 187293028 /nfs/dbraw/zinc/29/30/28/187293028.db2.gz OLRHWQKPRBTUQG-LLVKDONJSA-N 0 3 211.255 2.853 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ccsc1 ZINC000050344908 344783540 /nfs/dbraw/zinc/78/35/40/344783540.db2.gz GDSXLVCQEOQWKT-UWVGGRQHSA-N 0 3 213.346 2.824 20 0 BFADHN C[C@@H]1C[C@@H](c2ccccc2F)N(CCCO)C1 ZINC000075900812 344787641 /nfs/dbraw/zinc/78/76/41/344787641.db2.gz QAUWQAQQEUUJQL-RISCZKNCSA-N 0 3 237.318 2.591 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3CCC[C@@H]32)on1 ZINC000075769298 344791572 /nfs/dbraw/zinc/79/15/72/344791572.db2.gz RSOIPJXKHJBHCS-AAEUAGOBSA-N 0 3 220.316 2.748 20 0 BFADHN Cc1cc(C)n(CCN2CCC[C@@H]3CCC[C@@H]32)n1 ZINC000075769426 344791691 /nfs/dbraw/zinc/79/16/91/344791691.db2.gz UIMZFDBBGMGGGF-GJZGRUSLSA-N 0 3 247.386 2.764 20 0 BFADHN CCCCOCCN1CCS[C@H](C(C)C)C1 ZINC000076065638 344794034 /nfs/dbraw/zinc/79/40/34/344794034.db2.gz SGKMDOWMRZQRQX-ZDUSSCGKSA-N 0 3 245.432 2.877 20 0 BFADHN CCCCOCCN1CCS[C@@H](CC)C1 ZINC000076072650 344794177 /nfs/dbraw/zinc/79/41/77/344794177.db2.gz DYWVBLUYNGIVML-LBPRGKRZSA-N 0 3 231.405 2.631 20 0 BFADHN C[C@@H]1CN(Cc2ccccc2F)C[C@H]1C ZINC000076111599 344795840 /nfs/dbraw/zinc/79/58/40/344795840.db2.gz BUKBNTXFXVWKLL-GHMZBOCLSA-N 0 3 207.292 2.914 20 0 BFADHN COc1ccc(F)cc1CN1CCC[C@H]1C ZINC000076115360 344796936 /nfs/dbraw/zinc/79/69/36/344796936.db2.gz WTPACZJAFAGSRI-SNVBAGLBSA-N 0 3 223.291 2.819 20 0 BFADHN Fc1ccccc1CN1CCC2(C1)CCOCC2 ZINC000076158357 344798558 /nfs/dbraw/zinc/79/85/58/344798558.db2.gz GJPVFTUVFOTHQX-UHFFFAOYSA-N 0 3 249.329 2.828 20 0 BFADHN CCC[C@@H](C)N1CCN(CC(F)F)[C@H](CC)C1 ZINC000187706643 259381119 /nfs/dbraw/zinc/38/11/19/259381119.db2.gz REWQQGRIJHOCCW-VXGBXAGGSA-N 0 3 248.361 2.836 20 0 BFADHN CCCCN1CCC[C@@H]1c1cc(C)no1 ZINC000076713002 344808572 /nfs/dbraw/zinc/80/85/72/344808572.db2.gz BWYWYXPKDWRDHZ-LLVKDONJSA-N 0 3 208.305 2.920 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccc3[nH]ccc3c2)C1 ZINC000628143609 344809539 /nfs/dbraw/zinc/80/95/39/344809539.db2.gz SSUYHDAACKCNIJ-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN CCN(Cc1ccc(OC)cc1)C[C@@H](C)OC ZINC000182068756 347083165 /nfs/dbraw/zinc/08/31/65/347083165.db2.gz DKVTWLFFBMUCJR-GFCCVEGCSA-N 0 3 237.343 2.552 20 0 BFADHN CCN(Cc1cnc(C)o1)[C@@H](C)C(C)C ZINC000628156693 344849427 /nfs/dbraw/zinc/84/94/27/344849427.db2.gz ZGFAHUVTHFLBCS-JTQLQIEISA-N 0 3 210.321 2.849 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](C)[C@@H]2C)o1 ZINC000628160410 344856791 /nfs/dbraw/zinc/85/67/91/344856791.db2.gz UJUBVKJOYJHSAI-ZJUUUORDSA-N 0 3 208.305 2.603 20 0 BFADHN CCC1(C)CCN(Cc2cnc(C)o2)CC1 ZINC000628161220 344858378 /nfs/dbraw/zinc/85/83/78/344858378.db2.gz WENLJDLPILAZKW-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN CCN(Cc1ccccn1)CC1CCC1 ZINC000119800379 344865415 /nfs/dbraw/zinc/86/54/15/344865415.db2.gz STIKAKSSWSSUFE-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CCN(Cc1ccc(C(=O)OC)o1)CC(C)C ZINC000120105684 344877108 /nfs/dbraw/zinc/87/71/08/344877108.db2.gz UFHORDCDIOFUHR-UHFFFAOYSA-N 0 3 239.315 2.544 20 0 BFADHN Cc1ncc(CN2CC[C@@H](c3ccco3)C2)o1 ZINC000628170964 344888082 /nfs/dbraw/zinc/88/80/82/344888082.db2.gz PMWXOVWRIOJMAG-LLVKDONJSA-N 0 3 232.283 2.566 20 0 BFADHN C[C@@H](Cc1cccs1)NCc1nccs1 ZINC000082394084 344903340 /nfs/dbraw/zinc/90/33/40/344903340.db2.gz GRVSMZDXLWSLQN-VIFPVBQESA-N 0 3 238.381 2.925 20 0 BFADHN CC1(O)CCN(Cc2ccc3[nH]ccc3c2)CC1 ZINC000628177038 344905636 /nfs/dbraw/zinc/90/56/36/344905636.db2.gz IYOOESSFYKWLHI-UHFFFAOYSA-N 0 3 244.338 2.515 20 0 BFADHN C[C@@H](c1ccccc1F)N1CC[C@]2(CCOC2)C1 ZINC000153614139 344926751 /nfs/dbraw/zinc/92/67/51/344926751.db2.gz RHAIBADWEJUXOD-WFASDCNBSA-N 0 3 249.329 2.999 20 0 BFADHN CC(C)[C@H](NCCCO)c1ccc(F)cc1F ZINC000305779275 187295172 /nfs/dbraw/zinc/29/51/72/187295172.db2.gz RNLDGVNTKULGJN-ZDUSSCGKSA-N 0 3 243.297 2.634 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cnc(C3CC3)o2)C1 ZINC000628183257 344929436 /nfs/dbraw/zinc/92/94/36/344929436.db2.gz VKGOXDAMCRCWAH-ZJUUUORDSA-N 0 3 220.316 2.782 20 0 BFADHN CC(C)C[C@H](NCC[C@H](C)O)c1ccccn1 ZINC000119008666 187295225 /nfs/dbraw/zinc/29/52/25/187295225.db2.gz JHCSUKUNOBHJPM-JSGCOSHPSA-N 0 3 236.359 2.529 20 0 BFADHN COc1ncccc1CNC[C@H](C)CC(C)C ZINC000305899756 187295321 /nfs/dbraw/zinc/29/53/21/187295321.db2.gz ACSFLULVKVHCLV-GFCCVEGCSA-N 0 3 236.359 2.862 20 0 BFADHN CC1=CCN(Cc2cccc3n[nH]cc32)CC1 ZINC000628179026 344913323 /nfs/dbraw/zinc/91/33/23/344913323.db2.gz LQTWNDQWZAUKOU-UHFFFAOYSA-N 0 3 227.311 2.715 20 0 BFADHN C[C@H]1CN(Cc2cnc(C3CC3)o2)C[C@@H]1C ZINC000628179276 344914129 /nfs/dbraw/zinc/91/41/29/344914129.db2.gz UWKKQADNJJBIFM-UWVGGRQHSA-N 0 3 220.316 2.640 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CC[C@@H]2OCC[C@@H]2C1 ZINC000578765152 344914968 /nfs/dbraw/zinc/91/49/68/344914968.db2.gz JWABRNVRZXQCDL-LNSITVRQSA-N 0 3 249.329 2.998 20 0 BFADHN C[C@]12CCCC[C@H]1CN2Cc1ccncc1 ZINC000626104965 344968935 /nfs/dbraw/zinc/96/89/35/344968935.db2.gz MPAFSZPGQAVVPX-KBPBESRZSA-N 0 3 216.328 2.846 20 0 BFADHN CN(Cc1c[nH]nc1-c1cccs1)C1CC1 ZINC000153766658 344970848 /nfs/dbraw/zinc/97/08/48/344970848.db2.gz OSDWQFURMOQMFX-UHFFFAOYSA-N 0 3 233.340 2.732 20 0 BFADHN Cc1nc(CN2CC[C@@H](C)C[C@H](C)C2)[nH]c1C ZINC000628190080 344942486 /nfs/dbraw/zinc/94/24/86/344942486.db2.gz VMLIMFUTCZARSU-MNOVXSKESA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H]1CCN(Cc2cnc(C3CC3)o2)[C@H]1C ZINC000628189836 344942567 /nfs/dbraw/zinc/94/25/67/344942567.db2.gz ODSIGMFQYGCZDI-UWVGGRQHSA-N 0 3 220.316 2.782 20 0 BFADHN C/C=C/CN[C@H](C)c1cccc(OC)c1 ZINC000234869893 537881186 /nfs/dbraw/zinc/88/11/86/537881186.db2.gz KBQWNENDTJGKAS-SGUJLRQBSA-N 0 3 205.301 2.922 20 0 BFADHN c1nc(C2CC2)oc1CN[C@H]1CCCC12CC2 ZINC000583451831 344997622 /nfs/dbraw/zinc/99/76/22/344997622.db2.gz JERUTASDGBAQMV-LBPRGKRZSA-N 0 3 232.327 2.974 20 0 BFADHN Cc1csc(NC(=O)C(C)C(F)(F)F)n1 ZINC000079632321 345005855 /nfs/dbraw/zinc/00/58/55/345005855.db2.gz FWMPMXOLQMCOFD-YFKPBYRVSA-N 0 3 238.234 2.588 20 0 BFADHN Cc1cccc(C)c1CN1C[C@@H](C)O[C@@H](C)C1 ZINC000154014566 345007933 /nfs/dbraw/zinc/00/79/33/345007933.db2.gz VDUNTYQAQCZQIE-OKILXGFUSA-N 0 3 233.355 2.913 20 0 BFADHN Cc1cccc(C)c1CN1C[C@@H](C)O[C@H](C)C1 ZINC000154014683 345008258 /nfs/dbraw/zinc/00/82/58/345008258.db2.gz VDUNTYQAQCZQIE-ZIAGYGMSSA-N 0 3 233.355 2.913 20 0 BFADHN COCC1(CN[C@@H](C)c2ccoc2)CCC1 ZINC000309758451 323082711 /nfs/dbraw/zinc/08/27/11/323082711.db2.gz LZXOYWWXXNVRSI-NSHDSACASA-N 0 3 223.316 2.747 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2ccc(C)cn2)C1 ZINC000154805660 345049630 /nfs/dbraw/zinc/04/96/30/345049630.db2.gz HZGGZUPIPTZFEH-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN CC[C@@H](C)N[C@@H](C)c1cn(-c2ccccc2)nn1 ZINC000150835605 345050398 /nfs/dbraw/zinc/05/03/98/345050398.db2.gz RDWTVKZFJGETIL-NEPJUHHUSA-N 0 3 244.342 2.716 20 0 BFADHN CC[C@@H](C)N[C@H](C)c1cn(-c2ccccc2)nn1 ZINC000150835666 345050600 /nfs/dbraw/zinc/05/06/00/345050600.db2.gz RDWTVKZFJGETIL-VXGBXAGGSA-N 0 3 244.342 2.716 20 0 BFADHN CC[C@H](C)C[C@H](C)NC(=O)[C@H](C(C)C)N(C)C ZINC000154948556 345061165 /nfs/dbraw/zinc/06/11/65/345061165.db2.gz LOYQMEUOESHISU-AVGNSLFASA-N 0 3 242.407 2.514 20 0 BFADHN C[C@@H](NC1CC(C)(C)C1)c1nccs1 ZINC000307152819 345066196 /nfs/dbraw/zinc/06/61/96/345066196.db2.gz ZDBZKKAMMOFOBX-MRVPVSSYSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@H]1C[C@H](NCc2ccnn2C)c2ccccc21 ZINC000080199621 345118669 /nfs/dbraw/zinc/11/86/69/345118669.db2.gz BRSSIWXSCRQMSU-NHYWBVRUSA-N 0 3 241.338 2.758 20 0 BFADHN CC[C@H](C)C[N@H+](CC)Cc1nc(C)ccc1[O-] ZINC000156087690 345123383 /nfs/dbraw/zinc/12/33/83/345123383.db2.gz GJCJTTKOEVHRIV-NSHDSACASA-N 0 3 236.359 2.964 20 0 BFADHN CC[C@H](C)C[N@@H+](CC)Cc1nc(C)ccc1[O-] ZINC000156087690 345123386 /nfs/dbraw/zinc/12/33/86/345123386.db2.gz GJCJTTKOEVHRIV-NSHDSACASA-N 0 3 236.359 2.964 20 0 BFADHN CC(=O)CCN1CCCC[C@H]1c1cccn1C ZINC000157124218 187300966 /nfs/dbraw/zinc/30/09/66/187300966.db2.gz LSSCAUAYMOWSLK-AWEZNQCLSA-N 0 3 234.343 2.531 20 0 BFADHN c1ccc2c(c1)SC[C@H]2NC[C@@H]1CCCOC1 ZINC000155833767 345108415 /nfs/dbraw/zinc/10/84/15/345108415.db2.gz XWMILOBQYXWOBU-WCQYABFASA-N 0 3 249.379 2.850 20 0 BFADHN c1ccc2c(c1)SC[C@H]2NC[C@H]1CCCOC1 ZINC000155833991 345108628 /nfs/dbraw/zinc/10/86/28/345108628.db2.gz XWMILOBQYXWOBU-DGCLKSJQSA-N 0 3 249.379 2.850 20 0 BFADHN Cc1cc(NC(=O)C(C)C(F)(F)F)ccn1 ZINC000080220854 345122860 /nfs/dbraw/zinc/12/28/60/345122860.db2.gz ORAZVWYEJCCDKY-SSDOTTSWSA-N 0 3 232.205 2.527 20 0 BFADHN CCCCNCc1nc2cc(F)ccc2o1 ZINC000634948970 345125360 /nfs/dbraw/zinc/12/53/60/345125360.db2.gz CTINNNVPYXRING-UHFFFAOYSA-N 0 3 222.263 2.857 20 0 BFADHN CCC(CC)NC(=O)[C@H](C)N(C)C[C@H](C)CC ZINC000171950708 345126147 /nfs/dbraw/zinc/12/61/47/345126147.db2.gz DVCRUAIHXCXCIQ-NEPJUHHUSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1ccc(CN(C(C)C)C2CC2)nc1 ZINC000156143708 345127990 /nfs/dbraw/zinc/12/79/90/345127990.db2.gz DSJPEDOFQIDTSU-UHFFFAOYSA-N 0 3 204.317 2.763 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1nc2cc(F)ccc2o1 ZINC000634952767 345128770 /nfs/dbraw/zinc/12/87/70/345128770.db2.gz ISHXJZTVTJKBJI-RKDXNWHRSA-N 0 3 234.274 2.713 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1nc2cc(F)ccc2o1 ZINC000634952765 345129018 /nfs/dbraw/zinc/12/90/18/345129018.db2.gz ISHXJZTVTJKBJI-DTWKUNHWSA-N 0 3 234.274 2.713 20 0 BFADHN COCC[C@H](C)N[C@@H]1CSc2ccccc21 ZINC000156215589 345139955 /nfs/dbraw/zinc/13/99/55/345139955.db2.gz XMEUPLJGOPZQHA-CMPLNLGQSA-N 0 3 237.368 2.848 20 0 BFADHN CC[C@H](COC)N[C@H]1CSc2ccccc21 ZINC000156357977 345152280 /nfs/dbraw/zinc/15/22/80/345152280.db2.gz YFLWDDJZTMTADD-PWSUYJOCSA-N 0 3 237.368 2.848 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2ccon2)[C@@H]1C ZINC000634979952 345160477 /nfs/dbraw/zinc/16/04/77/345160477.db2.gz SANVAMFFMGTUAX-UTUOFQBUSA-N 0 3 222.332 2.837 20 0 BFADHN COc1ccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)c(OC)c1 ZINC000579980328 345226279 /nfs/dbraw/zinc/22/62/79/345226279.db2.gz SYVKYWGMPRTQPV-AGGWBTHJSA-N 0 3 247.338 2.592 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1ccc(F)c(F)c1 ZINC000128318737 345229692 /nfs/dbraw/zinc/22/96/92/345229692.db2.gz NFTQXEIYJUGGAH-GXSJLCMTSA-N 0 3 243.297 2.776 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1Nc1ccnc2ccccc21 ZINC000129005825 345248382 /nfs/dbraw/zinc/24/83/82/345248382.db2.gz XHJMVPIRFNPKGE-UONOGXRCSA-N 0 3 242.322 2.806 20 0 BFADHN Fc1cnccc1CNCC1CCCC1 ZINC000449073095 201803183 /nfs/dbraw/zinc/80/31/83/201803183.db2.gz MIYUHMIRYYEXRW-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN CC[N@H+](Cc1nc(C)ccc1[O-])C1CCCC1 ZINC000132153248 345320246 /nfs/dbraw/zinc/32/02/46/345320246.db2.gz OUHCJACMJJATOX-UHFFFAOYSA-N 0 3 234.343 2.860 20 0 BFADHN CC[N@@H+](Cc1nc(C)ccc1[O-])C1CCCC1 ZINC000132153248 345320248 /nfs/dbraw/zinc/32/02/48/345320248.db2.gz OUHCJACMJJATOX-UHFFFAOYSA-N 0 3 234.343 2.860 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1N[C@@H]1CCc2c1cccc2F ZINC000129558032 345259091 /nfs/dbraw/zinc/25/90/91/345259091.db2.gz YSNIAAFUCNMWCM-HZSPNIEDSA-N 0 3 249.329 2.562 20 0 BFADHN CCN(Cc1ccccc1C(=O)OC)C(C)C ZINC000130127268 345268675 /nfs/dbraw/zinc/26/86/75/345268675.db2.gz IRWRYAGKAYTOIR-UHFFFAOYSA-N 0 3 235.327 2.704 20 0 BFADHN CCC(O)(CC)CN(C)[C@H](C)c1ccccn1 ZINC000626111397 345273032 /nfs/dbraw/zinc/27/30/32/345273032.db2.gz MVGONHDVCIWAMY-GFCCVEGCSA-N 0 3 236.359 2.626 20 0 BFADHN OC[C@H](N[C@@H]1CC[C@H]1C1CC1)c1ccc(F)cc1 ZINC000348380696 537895480 /nfs/dbraw/zinc/89/54/80/537895480.db2.gz PYJUPEIFEOBEJD-ZNMIVQPWSA-N 0 3 249.329 2.637 20 0 BFADHN CCc1nc(CN2CCCCC[C@H]2CC)n[nH]1 ZINC000158640767 345359712 /nfs/dbraw/zinc/35/97/12/345359712.db2.gz WGFHMBQMWYQACB-LLVKDONJSA-N 0 3 236.363 2.522 20 0 BFADHN Cc1cc(CN2CC[C@H](CC(C)C)C2)on1 ZINC000080642999 345378515 /nfs/dbraw/zinc/37/85/15/345378515.db2.gz KPZUWQUCBWLRRI-GFCCVEGCSA-N 0 3 222.332 2.851 20 0 BFADHN C[C@H](CN(C)C)NCc1ccc(F)cc1Cl ZINC000159095951 345409115 /nfs/dbraw/zinc/40/91/15/345409115.db2.gz ZNASMNPZFHMFCV-SECBINFHSA-N 0 3 244.741 2.519 20 0 BFADHN CCc1nnc(C[N@H+](C(C)C)C2CCCC2)[n-]1 ZINC000159109462 345409771 /nfs/dbraw/zinc/40/97/71/345409771.db2.gz UUROJQWALTUHEP-UHFFFAOYSA-N 0 3 236.363 2.520 20 0 BFADHN CCc1nnc(C[N@@H+](C(C)C)C2CCCC2)[n-]1 ZINC000159109462 345409773 /nfs/dbraw/zinc/40/97/73/345409773.db2.gz UUROJQWALTUHEP-UHFFFAOYSA-N 0 3 236.363 2.520 20 0 BFADHN CCn1c(CNCCSC)nc2ccccc21 ZINC000070186041 345454757 /nfs/dbraw/zinc/45/47/57/345454757.db2.gz MVGSEXKSDOSAJE-UHFFFAOYSA-N 0 3 249.383 2.509 20 0 BFADHN C[C@H](NCCOC(C)(C)C)c1cncs1 ZINC000380294651 345444637 /nfs/dbraw/zinc/44/46/37/345444637.db2.gz CLMUYORMCSJCDG-VIFPVBQESA-N 0 3 228.361 2.609 20 0 BFADHN CSCCN[C@H](C)c1cccc(F)c1 ZINC000070184523 345455281 /nfs/dbraw/zinc/45/52/81/345455281.db2.gz UXBMWPLOGNOTEW-SECBINFHSA-N 0 3 213.321 2.839 20 0 BFADHN C[C@@H]1CN(CCC2CCCC2)[C@H](C)CO1 ZINC000159037711 345402067 /nfs/dbraw/zinc/40/20/67/345402067.db2.gz VMVSVUFPKVBINO-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN c1coc(CN[C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)c1 ZINC000168905420 187303527 /nfs/dbraw/zinc/30/35/27/187303527.db2.gz DSBKBTWDAOTHAP-MJBXVCDLSA-N 0 3 247.338 2.717 20 0 BFADHN C[C@H](c1cccnc1)N1CCSC(C)(C)C1 ZINC000083417441 345505747 /nfs/dbraw/zinc/50/57/47/345505747.db2.gz BQFDZTRWBHEODB-LLVKDONJSA-N 0 3 236.384 2.970 20 0 BFADHN CC(C)(O)CCN(Cc1ccccc1)C1CC1 ZINC000081647869 345483397 /nfs/dbraw/zinc/48/33/97/345483397.db2.gz RTRDOMQVIOHOHN-UHFFFAOYSA-N 0 3 233.355 2.812 20 0 BFADHN CCCc1csc(CNC2CCC2)n1 ZINC000308537441 187306165 /nfs/dbraw/zinc/30/61/65/187306165.db2.gz SWILKTGPYRYXIC-UHFFFAOYSA-N 0 3 210.346 2.738 20 0 BFADHN COc1ccc(CN([C@H](C)C2CC2)C2CC2)cn1 ZINC000085786106 345529625 /nfs/dbraw/zinc/52/96/25/345529625.db2.gz HPTNIZMEOSKZGM-LLVKDONJSA-N 0 3 246.354 2.853 20 0 BFADHN Cc1ccoc1CN[C@@H](C)Cc1ccoc1 ZINC000084961168 345530578 /nfs/dbraw/zinc/53/05/78/345530578.db2.gz ROMXWQVQBNBLLO-NSHDSACASA-N 0 3 219.284 2.902 20 0 BFADHN COc1ccc(CN2CCCCC[C@@H]2C)cn1 ZINC000085797863 345535294 /nfs/dbraw/zinc/53/52/94/345535294.db2.gz BQTQTTSVQDOAGF-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN CCCCCN1CCC(O)(C(F)(F)F)CC1 ZINC000089970409 345562166 /nfs/dbraw/zinc/56/21/66/345562166.db2.gz QVDDDHVPHRGIAW-UHFFFAOYSA-N 0 3 239.281 2.566 20 0 BFADHN C[C@H](N[C@@H](CO)CC(C)(C)C)c1ccncc1 ZINC000090588434 345573678 /nfs/dbraw/zinc/57/36/78/345573678.db2.gz XGKURVGLSCXYIM-WCQYABFASA-N 0 3 236.359 2.529 20 0 BFADHN CCc1ccc([C@H](C)NC2CCC(O)CC2)o1 ZINC000090800413 345575782 /nfs/dbraw/zinc/57/57/82/345575782.db2.gz FTDNZQXJOBBSFY-UNXYVOJBSA-N 0 3 237.343 2.796 20 0 BFADHN CCC[C@H](NC1CCC(O)CC1)c1ccccn1 ZINC000090800146 345575797 /nfs/dbraw/zinc/57/57/97/345575797.db2.gz AMTVPKZXBFOMRB-PIMMBPRGSA-N 0 3 248.370 2.816 20 0 BFADHN CN(Cc1cnc(N(C)C)s1)CC(C)(C)C ZINC000092217661 345608297 /nfs/dbraw/zinc/60/82/97/345608297.db2.gz HBURFVLKJOYNBR-UHFFFAOYSA-N 0 3 241.404 2.687 20 0 BFADHN CC1(C)CN(C[C@@H]2C[C@@H]2c2ccccc2)CCO1 ZINC000091917625 345612001 /nfs/dbraw/zinc/61/20/01/345612001.db2.gz HFSVAVFXBSTUSC-LSDHHAIUSA-N 0 3 245.366 2.901 20 0 BFADHN CC1(C)CN(C[C@H]2C[C@@H]2c2ccccc2)CCO1 ZINC000091917626 345612157 /nfs/dbraw/zinc/61/21/57/345612157.db2.gz HFSVAVFXBSTUSC-HUUCEWRRSA-N 0 3 245.366 2.901 20 0 BFADHN COCc1ccc(CN2[C@@H](C)CC[C@@H]2C)o1 ZINC000091937358 345612928 /nfs/dbraw/zinc/61/29/28/345612928.db2.gz FMOWWMSVKKWMRW-QWRGUYRKSA-N 0 3 223.316 2.799 20 0 BFADHN C[C@@H]1CN(C[C@@H]2C[C@@H]2c2ccccc2)[C@H](C)CO1 ZINC000092017760 345614477 /nfs/dbraw/zinc/61/44/77/345614477.db2.gz QKLFRTYUIJJLQW-LUYZLQTOSA-N 0 3 245.366 2.899 20 0 BFADHN COCc1ccc(CN(C)CCC2CC2)o1 ZINC000092067054 345615472 /nfs/dbraw/zinc/61/54/72/345615472.db2.gz SWBNSPFHNOYLOS-UHFFFAOYSA-N 0 3 223.316 2.658 20 0 BFADHN COc1ccsc1CN(C)CCC1CC1 ZINC000092067090 345615731 /nfs/dbraw/zinc/61/57/31/345615731.db2.gz PVUQJGRVYQKQFQ-UHFFFAOYSA-N 0 3 225.357 2.989 20 0 BFADHN COc1ccsc1CN1CC[C@H](C)C1 ZINC000092471300 345623117 /nfs/dbraw/zinc/62/31/17/345623117.db2.gz MHKAORGFMRYJNA-VIFPVBQESA-N 0 3 211.330 2.599 20 0 BFADHN C[C@@H]1CCN(CC2=Cc3ccccc3OC2)C1 ZINC000092471437 345623264 /nfs/dbraw/zinc/62/32/64/345623264.db2.gz OHDBTIBZPGVIMU-GFCCVEGCSA-N 0 3 229.323 2.804 20 0 BFADHN C[C@@H]1CCC[C@@H](CCN2CCc3n[nH]cc3C2)C1 ZINC000091078214 345582443 /nfs/dbraw/zinc/58/24/43/345582443.db2.gz REIYBATZQXOERT-OLZOCXBDSA-N 0 3 247.386 2.984 20 0 BFADHN COc1cc(C)ccc1CNC[C@]1(C)CCCO1 ZINC000449196148 201835340 /nfs/dbraw/zinc/83/53/40/201835340.db2.gz RUSPYDBIVOKYKH-HNNXBMFYSA-N 0 3 249.354 2.662 20 0 BFADHN CC(C)[C@H](NC[C@@H]1CCCCO1)c1cccnc1 ZINC000091308894 345591133 /nfs/dbraw/zinc/59/11/33/345591133.db2.gz XVSFNHSONYYRGV-GJZGRUSLSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1cccnc1CN(C)CC(C)(C)C ZINC000093171016 345657712 /nfs/dbraw/zinc/65/77/12/345657712.db2.gz LTJYFIPXHADPEQ-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN CC(C)N(Cc1c[nH]cn1)C1CCCC1 ZINC000179422579 187308244 /nfs/dbraw/zinc/30/82/44/187308244.db2.gz UGCPDVTZJYHPMY-UHFFFAOYSA-N 0 3 207.321 2.563 20 0 BFADHN Cc1ccc(F)c(CN(C[C@@H](C)O)C(C)C)c1 ZINC000093143359 345658291 /nfs/dbraw/zinc/65/82/91/345658291.db2.gz PLJISUGANXEJET-GFCCVEGCSA-N 0 3 239.334 2.725 20 0 BFADHN Cc1cccnc1CN1C[C@H](C)S[C@@H](C)C1 ZINC000093176872 345659325 /nfs/dbraw/zinc/65/93/25/345659325.db2.gz XVTRHAXISCFLPV-RYUDHWBXSA-N 0 3 236.384 2.716 20 0 BFADHN CCOCCN(C)CCO[C@H]1CCCC[C@@H]1C ZINC000093314149 345661003 /nfs/dbraw/zinc/66/10/03/345661003.db2.gz XOMZNEFVVZUPFO-KBPBESRZSA-N 0 3 243.391 2.550 20 0 BFADHN C[C@@H]1CN(Cc2cnn(C(C)(C)C)c2)C[C@H]1C ZINC000093504138 345661776 /nfs/dbraw/zinc/66/17/76/345661776.db2.gz CDRUSUGGYJLFJY-VXGBXAGGSA-N 0 3 235.375 2.726 20 0 BFADHN CSC[C@H]1CCCN1Cc1scnc1C ZINC000093761508 345664508 /nfs/dbraw/zinc/66/45/08/345664508.db2.gz UAVMHBGXVSCFCT-SNVBAGLBSA-N 0 3 242.413 2.779 20 0 BFADHN CCn1cc(CNC2CC2)c(-c2ccccc2)n1 ZINC000641702000 362616197 /nfs/dbraw/zinc/61/61/97/362616197.db2.gz ZZRWWXUXZPKHIU-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN Cc1ccc([C@@H](C)NCc2ccncc2N)cc1 ZINC000641707546 362618441 /nfs/dbraw/zinc/61/84/41/362618441.db2.gz HCVLUHJVMIPROC-GFCCVEGCSA-N 0 3 241.338 2.823 20 0 BFADHN CSc1ccc(CNC[C@H]2C[C@H]2C)o1 ZINC000641707663 362619164 /nfs/dbraw/zinc/61/91/64/362619164.db2.gz OLFZURAMLGKVHP-RKDXNWHRSA-N 0 3 211.330 2.747 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@H](C)c1ccccc1 ZINC000093009117 345654522 /nfs/dbraw/zinc/65/45/22/345654522.db2.gz VKJDRJBTADFZOQ-LBPRGKRZSA-N 0 3 243.354 2.954 20 0 BFADHN CCc1ncc(CN2C[C@H]3CCC[C@@H]3C2)s1 ZINC000093442918 345670646 /nfs/dbraw/zinc/67/06/46/345670646.db2.gz YDVASZNWXLBHHS-GHMZBOCLSA-N 0 3 236.384 2.937 20 0 BFADHN CCc1ncc(CN2C[C@@H]3CCC[C@H]3C2)s1 ZINC000093442919 345670787 /nfs/dbraw/zinc/67/07/87/345670787.db2.gz YDVASZNWXLBHHS-QWRGUYRKSA-N 0 3 236.384 2.937 20 0 BFADHN CC1(C)CCN1Cc1cccc(-n2cccn2)c1 ZINC000093460209 345671382 /nfs/dbraw/zinc/67/13/82/345671382.db2.gz WSKKRVDEPNTAJO-UHFFFAOYSA-N 0 3 241.338 2.857 20 0 BFADHN CC[C@@H](COC)NCc1ccc(SC)o1 ZINC000641727380 362647126 /nfs/dbraw/zinc/64/71/26/362647126.db2.gz SYAZEBBFMFIJRN-VIFPVBQESA-N 0 3 229.345 2.516 20 0 BFADHN COCCOc1ccc(CN2CCC2(C)C)cc1 ZINC000093460448 345673067 /nfs/dbraw/zinc/67/30/67/345673067.db2.gz UJQPUSJUWNYWPN-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN CCc1ccc(CN(C)CC2(O)CCCC2)o1 ZINC000093503095 345673668 /nfs/dbraw/zinc/67/36/68/345673668.db2.gz RYUAGDWPQIOBMB-UHFFFAOYSA-N 0 3 237.343 2.579 20 0 BFADHN Nc1cnccc1CN[C@H]1C[C@@H]1C1CCCCC1 ZINC000641729010 362651103 /nfs/dbraw/zinc/65/11/03/362651103.db2.gz OHJPOYGFLWJZMD-HIFRSBDPSA-N 0 3 245.370 2.722 20 0 BFADHN Nc1cnccc1CN[C@H]1C[C@H]1C1CCCCC1 ZINC000641729012 362651429 /nfs/dbraw/zinc/65/14/29/362651429.db2.gz OHJPOYGFLWJZMD-ZFWWWQNUSA-N 0 3 245.370 2.722 20 0 BFADHN C[C@@H](NCCCC(C)(F)F)c1nccn1C ZINC000390260197 259384232 /nfs/dbraw/zinc/38/42/32/259384232.db2.gz YVEIAMCMOOENDF-SECBINFHSA-N 0 3 231.290 2.506 20 0 BFADHN COC(C)(C)CNCc1ccc(SC)o1 ZINC000641730653 362656044 /nfs/dbraw/zinc/65/60/44/362656044.db2.gz GSVSRHGOLBEPGI-UHFFFAOYSA-N 0 3 229.345 2.516 20 0 BFADHN CO[C@@H](CNCc1ccc(SC)o1)C(C)C ZINC000641732185 362659128 /nfs/dbraw/zinc/65/91/28/362659128.db2.gz SZQBPHVPYGYXMO-NSHDSACASA-N 0 3 243.372 2.762 20 0 BFADHN CC(C)[C@H]1CCN(Cc2cncs2)C1 ZINC000143501459 345706583 /nfs/dbraw/zinc/70/65/83/345706583.db2.gz OGDHOVCQPIPXDN-JTQLQIEISA-N 0 3 210.346 2.621 20 0 BFADHN CCC(CC)NC(=O)[C@H](N)CC1CCCCC1 ZINC000146267536 345713237 /nfs/dbraw/zinc/71/32/37/345713237.db2.gz IOVOWRYYRQUIEM-CYBMUJFWSA-N 0 3 240.391 2.589 20 0 BFADHN COc1cc(C)ccc1CN1CCCC1 ZINC000165489448 345721504 /nfs/dbraw/zinc/72/15/04/345721504.db2.gz KBSPRRNAQJBRJN-UHFFFAOYSA-N 0 3 205.301 2.599 20 0 BFADHN COc1ccc(CN(C)C(C)(C)C)c(OC)c1 ZINC000199790783 345727476 /nfs/dbraw/zinc/72/74/76/345727476.db2.gz VJNNRPXNRDHMCP-UHFFFAOYSA-N 0 3 237.343 2.934 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2C(C)C)no1 ZINC000140454648 345809001 /nfs/dbraw/zinc/80/90/01/345809001.db2.gz MSTRMFFVOHXNNK-GFCCVEGCSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1ncc(C)s1 ZINC000394732514 323096383 /nfs/dbraw/zinc/09/63/83/323096383.db2.gz OUHFLXUBLGXTON-ZJUUUORDSA-N 0 3 210.346 2.587 20 0 BFADHN CN(CCOc1ccncc1)Cc1ccccc1 ZINC000418955031 192092193 /nfs/dbraw/zinc/09/21/93/192092193.db2.gz PCWALGAYLYIPLT-UHFFFAOYSA-N 0 3 242.322 2.592 20 0 BFADHN CCC(C)(C)N(C)C(=O)CN(C)CC(C)(C)C ZINC000635583438 345879477 /nfs/dbraw/zinc/87/94/77/345879477.db2.gz ZWJBENQMGDUOBT-UHFFFAOYSA-N 0 3 242.407 2.611 20 0 BFADHN Cc1ncc(CN[C@H]2C[C@@H]2Cc2ccccc2)o1 ZINC000628217073 345928250 /nfs/dbraw/zinc/92/82/50/345928250.db2.gz KAHITPQYZWAPON-ZFWWWQNUSA-N 0 3 242.322 2.704 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@H](C)c1ccccc1F ZINC000186522836 187312134 /nfs/dbraw/zinc/31/21/34/187312134.db2.gz NDNQYQNBMBBVIY-LXYZTQFVSA-N 0 3 237.318 2.803 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H](C)c1ccccc1F ZINC000186522853 187312237 /nfs/dbraw/zinc/31/22/37/187312237.db2.gz NDNQYQNBMBBVIY-BLDJZWNYSA-N 0 3 237.318 2.803 20 0 BFADHN CC(C)c1ncc(CN[C@H](C)C[C@@H](C)O)s1 ZINC000336752658 187311372 /nfs/dbraw/zinc/31/13/72/187311372.db2.gz VYKYVKVXLDSDOH-NXEZZACHSA-N 0 3 242.388 2.516 20 0 BFADHN CCC[C@H](N[C@@H]1CC[C@H]1O)c1ccsc1 ZINC000382033070 347088932 /nfs/dbraw/zinc/08/89/32/347088932.db2.gz UVZHIVUTSNNDRB-QJPTWQEYSA-N 0 3 225.357 2.702 20 0 BFADHN CCOC(=O)C(C)(C)N(C)CC(CC)CC ZINC000093359644 345970481 /nfs/dbraw/zinc/97/04/81/345970481.db2.gz NOKIBFZZZUHZOD-UHFFFAOYSA-N 0 3 229.364 2.696 20 0 BFADHN CC(C)(C)c1nc(CNCC2CC2)cs1 ZINC000136093019 187362369 /nfs/dbraw/zinc/36/23/69/187362369.db2.gz QFKLFOGJAAPDSX-UHFFFAOYSA-N 0 3 224.373 2.940 20 0 BFADHN CC[C@H]1CC[C@H](NCc2cocn2)CC1 ZINC000380014321 365806798 /nfs/dbraw/zinc/80/67/98/365806798.db2.gz SSQYPGIMHZDBFD-XYPYZODXSA-N 0 3 208.305 2.733 20 0 BFADHN Cc1nnsc1CN1CCC[C@H]1CC(C)C ZINC000563216318 323103092 /nfs/dbraw/zinc/10/30/92/323103092.db2.gz JJELLCCUDFNXSX-NSHDSACASA-N 0 3 239.388 2.857 20 0 BFADHN CSC[C@@H](C)NCc1ccc(C(F)F)nc1 ZINC000601108481 346067071 /nfs/dbraw/zinc/06/70/71/346067071.db2.gz LLCULHGXMHCJQX-MRVPVSSYSA-N 0 3 246.326 2.860 20 0 BFADHN C=Cn1cc(CN2CCC[C@@H](CCC)C2)cn1 ZINC000194014712 187315407 /nfs/dbraw/zinc/31/54/07/187315407.db2.gz UTVMVILWQGQELP-CYBMUJFWSA-N 0 3 233.359 2.996 20 0 BFADHN Cc1cccc([C@@H](C)NCCOCC(F)F)c1 ZINC000190832293 187313927 /nfs/dbraw/zinc/31/39/27/187313927.db2.gz UEUMHLLAVLNOMV-LLVKDONJSA-N 0 3 243.297 2.927 20 0 BFADHN Cc1cc(C)cc(CNCCOCC(F)F)c1 ZINC000190894207 187313991 /nfs/dbraw/zinc/31/39/91/187313991.db2.gz ZHGOYXFBORFOOJ-UHFFFAOYSA-N 0 3 243.297 2.675 20 0 BFADHN COc1ccc(OCCN2C3CCC2CC3)cc1 ZINC000635900441 346106048 /nfs/dbraw/zinc/10/60/48/346106048.db2.gz IECBFGJSBPCDGO-UHFFFAOYSA-N 0 3 247.338 2.701 20 0 BFADHN CC(C)CN(C)Cc1cn(C)nc1C(C)(C)C ZINC000342635862 535023340 /nfs/dbraw/zinc/02/33/40/535023340.db2.gz MKSQXMTWOLPWHH-UHFFFAOYSA-N 0 3 237.391 2.805 20 0 BFADHN Cc1ccc2nccc(N(C)CCCCO)c2c1 ZINC000302747982 178982667 /nfs/dbraw/zinc/98/26/67/178982667.db2.gz FUDOIEMZUNNAAN-UHFFFAOYSA-N 0 3 244.338 2.752 20 0 BFADHN CCC1(NCc2cnc(C3CC3)o2)CCC1 ZINC000628226940 346174649 /nfs/dbraw/zinc/17/46/49/346174649.db2.gz LFRIUNWNBZEGLO-UHFFFAOYSA-N 0 3 220.316 2.974 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1nc(C)c(C)[nH]1 ZINC000628240812 346203416 /nfs/dbraw/zinc/20/34/16/346203416.db2.gz UVOIGOIRIRXAEN-CABZTGNLSA-N 0 3 221.348 2.647 20 0 BFADHN CC(C)[C@@](C)(CO)NCc1ccc(Cl)s1 ZINC000336771488 187316666 /nfs/dbraw/zinc/31/66/66/187316666.db2.gz XNASEKQFDJWIHC-LLVKDONJSA-N 0 3 247.791 2.898 20 0 BFADHN c1nc(C2CC2)oc1CNCC1(C2CC2)CC1 ZINC000628230147 346179357 /nfs/dbraw/zinc/17/93/57/346179357.db2.gz UQZANRCCLANESX-UHFFFAOYSA-N 0 3 232.327 2.832 20 0 BFADHN CCOCCN(C)Cc1ccc2cc[nH]c2c1 ZINC000628235314 346189227 /nfs/dbraw/zinc/18/92/27/346189227.db2.gz IGMOHKLGYCZASI-UHFFFAOYSA-N 0 3 232.327 2.636 20 0 BFADHN Cc1c[nH]c(CN2C[C@H](C)[C@H]3CCCC[C@@H]32)n1 ZINC000628236457 346191467 /nfs/dbraw/zinc/19/14/67/346191467.db2.gz BWRGKQNIYABDGV-UHTWSYAYSA-N 0 3 233.359 2.729 20 0 BFADHN Cc1ncc(CN(C)C[C@@H]2CC=CCC2)o1 ZINC000628237494 346193236 /nfs/dbraw/zinc/19/32/36/346193236.db2.gz PAZXPQSHROHEDD-GFCCVEGCSA-N 0 3 220.316 2.771 20 0 BFADHN Cc1ncc(CN2CC[C@H](C(C)(C)C)C2)o1 ZINC000628237337 346193280 /nfs/dbraw/zinc/19/32/80/346193280.db2.gz KFTZUOLLRYEZOV-NSHDSACASA-N 0 3 222.332 2.851 20 0 BFADHN Cc1ccc([C@H](C)CN2CCOC[C@H]2C)cc1 ZINC000628253057 346227472 /nfs/dbraw/zinc/22/74/72/346227472.db2.gz RORAZTZFLHKAIY-ZIAGYGMSSA-N 0 3 233.355 2.819 20 0 BFADHN c1nc(C2CC2)oc1CN[C@H]1CC12CCCC2 ZINC000628252407 346223883 /nfs/dbraw/zinc/22/38/83/346223883.db2.gz UEZXSTBBRQONEL-LBPRGKRZSA-N 0 3 232.327 2.974 20 0 BFADHN CCOC[C@H](C)NCc1ccc(C)cc1F ZINC000631115758 346243463 /nfs/dbraw/zinc/24/34/63/346243463.db2.gz QKOZGVIQCFCSQT-NSHDSACASA-N 0 3 225.307 2.649 20 0 BFADHN C=Cn1cc(CNCCc2cccc(C)c2)cn1 ZINC000194352611 187316799 /nfs/dbraw/zinc/31/67/99/187316799.db2.gz RHHYHXWYJPMGSL-UHFFFAOYSA-N 0 3 241.338 2.624 20 0 BFADHN Cc1ncc(CNC[C@H]2CCC[C@@H]2C)o1 ZINC000628260439 346260305 /nfs/dbraw/zinc/26/03/05/346260305.db2.gz HKEDKBMJXNOCBP-GXSJLCMTSA-N 0 3 208.305 2.509 20 0 BFADHN Cc1ncc(CNC[C@H]2CC[C@@H](C)C2)o1 ZINC000628256510 346254108 /nfs/dbraw/zinc/25/41/08/346254108.db2.gz ZZPXYLWKPXMJES-KOLCDFICSA-N 0 3 208.305 2.509 20 0 BFADHN Cc1ncc(CNCC2CCC(F)(F)CC2)o1 ZINC000628263098 346262324 /nfs/dbraw/zinc/26/23/24/346262324.db2.gz LZVXDEFOSYYFKC-UHFFFAOYSA-N 0 3 244.285 2.898 20 0 BFADHN Cn1ccc(CNC[C@@H]2CCCC(C)(C)C2)n1 ZINC000534286719 323115290 /nfs/dbraw/zinc/11/52/90/323115290.db2.gz MJYUFFOABIITKZ-GFCCVEGCSA-N 0 3 235.375 2.726 20 0 BFADHN C/C=C/C[C@@H]1CCCN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC000626164661 346271053 /nfs/dbraw/zinc/27/10/53/346271053.db2.gz MYJZZKBFKUDKMW-SOHMCZBRSA-N 0 3 248.374 2.852 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2c[nH]cn2)C1 ZINC000626163323 346275301 /nfs/dbraw/zinc/27/53/01/346275301.db2.gz IMJAQBJCLHCYKO-DLGQBQFBSA-N 0 3 219.332 2.588 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cnc[nH]2)C1 ZINC000626163323 346275303 /nfs/dbraw/zinc/27/53/03/346275303.db2.gz IMJAQBJCLHCYKO-DLGQBQFBSA-N 0 3 219.332 2.588 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cn(C)cn2)C1 ZINC000626163392 346275416 /nfs/dbraw/zinc/27/54/16/346275416.db2.gz JTVUXGNHZAYKCW-OOPCZODUSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cnc(C)nc2)C1 ZINC000626164001 346277031 /nfs/dbraw/zinc/27/70/31/346277031.db2.gz UVAKQGJAPYQELY-XGACYXMMSA-N 0 3 245.370 2.963 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1conc1C ZINC000449435170 201923010 /nfs/dbraw/zinc/92/30/10/201923010.db2.gz VFGDQIARBGSVPK-RYUDHWBXSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1nc(C)c(CN2CC(C)(C)[C@H]2C2CC2)o1 ZINC000628285999 346298009 /nfs/dbraw/zinc/29/80/09/346298009.db2.gz QEGFSLQRLBGEIY-CYBMUJFWSA-N 0 3 234.343 2.912 20 0 BFADHN CC[C@@H](CNCc1ccc(SC)o1)OC ZINC000641754558 362682415 /nfs/dbraw/zinc/68/24/15/362682415.db2.gz DQSWSRQCUPJUNK-VIFPVBQESA-N 0 3 229.345 2.516 20 0 BFADHN Cc1nc(C)c(CNC2CC(C(F)(F)F)C2)o1 ZINC000628281849 346291701 /nfs/dbraw/zinc/29/17/01/346291701.db2.gz BCEFYYLAPJZMCU-UHFFFAOYSA-N 0 3 248.248 2.722 20 0 BFADHN CC1(C)C[C@@H](NCCF)c2ccccc21 ZINC000281024651 346347189 /nfs/dbraw/zinc/34/71/89/346347189.db2.gz MPYIKURQZGSGIT-GFCCVEGCSA-N 0 3 207.292 2.968 20 0 BFADHN CSc1ccc(CN[C@@H]2C[C@H]3C[C@H]3C2)o1 ZINC000641764848 362697262 /nfs/dbraw/zinc/69/72/62/362697262.db2.gz BBFLQZXGUCRYSI-ILWJIGKKSA-N 0 3 223.341 2.890 20 0 BFADHN CCC[C@@H](CCO)NCc1ccc(SC)o1 ZINC000641773019 362712687 /nfs/dbraw/zinc/71/26/87/362712687.db2.gz CPIHWKXERKVRTB-JTQLQIEISA-N 0 3 243.372 2.642 20 0 BFADHN Cc1cccc(CCNCc2cncs2)c1 ZINC000054788455 179058863 /nfs/dbraw/zinc/05/88/63/179058863.db2.gz WHBCLRYUTHXFRE-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN Cc1cccc(CCN[C@H](C)c2cccnc2)n1 ZINC000280258274 179059499 /nfs/dbraw/zinc/05/94/99/179059499.db2.gz XCMFQNGXTRUFOE-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1cccc(CN(C)[C@@H]2CCOC2)c1C ZINC000132086907 179068967 /nfs/dbraw/zinc/06/89/67/179068967.db2.gz CNLJAQOUNJUHLC-CQSZACIVSA-N 0 3 219.328 2.524 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCC[C@@H](C)CC2)c2ncnn21 ZINC000641781926 362721049 /nfs/dbraw/zinc/72/10/49/362721049.db2.gz DWRQIKHQKGLZKF-NDBYEHHHSA-N 0 3 248.374 2.842 20 0 BFADHN Cc1cccc(CN2CCCO[C@H](C(C)C)C2)n1 ZINC000336628324 179073015 /nfs/dbraw/zinc/07/30/15/179073015.db2.gz DRWYAPZJTSEUNS-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cccc(CN2CC3(C2)CCOC3)c1C ZINC000293882672 179073232 /nfs/dbraw/zinc/07/32/32/179073232.db2.gz DDJMVTYLNBVEFL-UHFFFAOYSA-N 0 3 231.339 2.526 20 0 BFADHN Cc1cccc(CN2CCCC[C@H]2C[C@@H](C)O)n1 ZINC000123685306 179073572 /nfs/dbraw/zinc/07/35/72/179073572.db2.gz HXMKJMPCOHMDCS-HIFRSBDPSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1cccc(CN(C)CCn2ccnc2)c1C ZINC000298196633 179066665 /nfs/dbraw/zinc/06/66/65/179066665.db2.gz BYKSJSHMZUTRKH-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN Cc1ccc(CN2C[C@H](C(N)=O)CC[C@H]2C)nc1 ZINC000336471560 346430687 /nfs/dbraw/zinc/43/06/87/346430687.db2.gz BSUAFYIVGCJMNQ-VXGBXAGGSA-N 0 3 247.342 2.526 20 0 BFADHN Cc1cccc(CN2CCN(C)C[C@@H]2C(C)C)c1 ZINC000355372583 179077125 /nfs/dbraw/zinc/07/71/25/179077125.db2.gz SFZIQVDNPPFINA-MRXNPFEDSA-N 0 3 246.398 2.767 20 0 BFADHN Cc1cccc(CN2CCN(C)C[C@H]2C(C)C)c1 ZINC000355372581 179077392 /nfs/dbraw/zinc/07/73/92/179077392.db2.gz SFZIQVDNPPFINA-INIZCTEOSA-N 0 3 246.398 2.767 20 0 BFADHN CC(C)(CNCc1cnccn1)C1CCCC1 ZINC000575014794 346419061 /nfs/dbraw/zinc/41/90/61/346419061.db2.gz ZHNXKISHNAYLCY-UHFFFAOYSA-N 0 3 233.359 2.783 20 0 BFADHN Cc1cccc(CN2CCSC[C@@H](C)C2)n1 ZINC000336259078 179079538 /nfs/dbraw/zinc/07/95/38/179079538.db2.gz LOFJLNXCYDLHFD-NSHDSACASA-N 0 3 236.384 2.575 20 0 BFADHN Cc1cccc(CN2C[C@@H](C)N(C)[C@H](C)C2)c1C ZINC000354454586 179080992 /nfs/dbraw/zinc/08/09/92/179080992.db2.gz WCKKDSPXXZYACU-ZIAGYGMSSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1cccc(CN2CCCO[C@@H](C(C)C)C2)n1 ZINC000336628325 179075072 /nfs/dbraw/zinc/07/50/72/179075072.db2.gz DRWYAPZJTSEUNS-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cccc(CN2CCC[C@@H]2CF)c1 ZINC000293015909 179076216 /nfs/dbraw/zinc/07/62/16/179076216.db2.gz KETFGGIRCMLSBC-CYBMUJFWSA-N 0 3 207.292 2.929 20 0 BFADHN COC[C@H](C)N[C@H](C)c1ccc(C(F)F)nc1 ZINC000641788128 362724507 /nfs/dbraw/zinc/72/45/07/362724507.db2.gz JWUDPAQJRYLIJB-DTWKUNHWSA-N 0 3 244.285 2.705 20 0 BFADHN COC[C@@H]1CCCN([C@@H](C)c2ccncc2)C1 ZINC000603413108 349961208 /nfs/dbraw/zinc/96/12/08/349961208.db2.gz CRWFURRFRZNSSQ-QWHCGFSZSA-N 0 3 234.343 2.501 20 0 BFADHN Cc1cccc(CNCCC(C)(C)CO)c1F ZINC000294784122 179089386 /nfs/dbraw/zinc/08/93/86/179089386.db2.gz LLEPJEWEYOXJFM-UHFFFAOYSA-N 0 3 239.334 2.632 20 0 BFADHN Cc1ccc([C@H](O)CNCc2cccc(C)c2)o1 ZINC000266036227 179091668 /nfs/dbraw/zinc/09/16/68/179091668.db2.gz SUIPILVQBGYCQH-CQSZACIVSA-N 0 3 245.322 2.720 20 0 BFADHN Cc1cccc(CNCCOC(F)(F)F)c1 ZINC000084177806 179092547 /nfs/dbraw/zinc/09/25/47/179092547.db2.gz FDNPSHVTAAXLNN-UHFFFAOYSA-N 0 3 233.233 2.621 20 0 BFADHN Cc1cccc(CNC[C@@H](C)C[C@@H](C)O)c1F ZINC000295757608 179092730 /nfs/dbraw/zinc/09/27/30/179092730.db2.gz PYFBYRNQUXHGCQ-CMPLNLGQSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1cccc(CNCc2ccn(C)c2)c1 ZINC000085590164 179095070 /nfs/dbraw/zinc/09/50/70/179095070.db2.gz OARRXPPRKRTLIB-UHFFFAOYSA-N 0 3 214.312 2.623 20 0 BFADHN C[C@@H](NCc1ccc(=O)[nH]c1)c1ccsc1 ZINC000392777453 349963962 /nfs/dbraw/zinc/96/39/62/349963962.db2.gz BAYUFCHRVFSBCG-SECBINFHSA-N 0 3 234.324 2.700 20 0 BFADHN Cc1cccc(CNCc2cccn2C)c1 ZINC000026514165 179095512 /nfs/dbraw/zinc/09/55/12/179095512.db2.gz ITGVJVLZYQOAPH-UHFFFAOYSA-N 0 3 214.312 2.623 20 0 BFADHN C[C@H](NCCOC(C)(C)C)c1ccncc1 ZINC000161093973 346475354 /nfs/dbraw/zinc/47/53/54/346475354.db2.gz HKSXUSTVZJDKCP-NSHDSACASA-N 0 3 222.332 2.547 20 0 BFADHN Cc1cccc(CN[C@@H](C)c2cc[nH]c(=O)c2)c1 ZINC000339457384 179097312 /nfs/dbraw/zinc/09/73/12/179097312.db2.gz DQRJOXVUPHUOLH-LBPRGKRZSA-N 0 3 242.322 2.946 20 0 BFADHN Cc1cccc(CN[C@@H]2CCOC2(C)C)c1F ZINC000294766630 179098688 /nfs/dbraw/zinc/09/86/88/179098688.db2.gz JRQFLQNNHUQIAA-GFCCVEGCSA-N 0 3 237.318 2.791 20 0 BFADHN CC/C=C\CCN1CCc2ccccc2[C@@H]1CO ZINC000341324283 346511901 /nfs/dbraw/zinc/51/19/01/346511901.db2.gz WHCBEGBDRYZFRT-QGZUEGPWSA-N 0 3 245.366 2.934 20 0 BFADHN Cc1cccc(CN[C@H](CCO)C(C)C)c1F ZINC000295796826 179099484 /nfs/dbraw/zinc/09/94/84/179099484.db2.gz SKALVZAMTIYZSE-CYBMUJFWSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1cccc(CN[C@H](C)c2cn[nH]c2)c1C ZINC000229882317 179099927 /nfs/dbraw/zinc/09/99/27/179099927.db2.gz GJFPHJAMONWVTR-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1ccc(C)c(CN(C)CCN(C)C2CC2)c1 ZINC000186774697 346536194 /nfs/dbraw/zinc/53/61/94/346536194.db2.gz KZOVGBWWMASOFE-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN CC[C@](C)(O)CN1Cc2ccccc2[C@@H]1C ZINC000336541593 187369394 /nfs/dbraw/zinc/36/93/94/187369394.db2.gz ABDZBBSDGGIWBW-FZMZJTMJSA-N 0 3 219.328 2.724 20 0 BFADHN C=Cn1cc(CNCc2ccccc2C)cn1 ZINC000194349538 187369461 /nfs/dbraw/zinc/36/94/61/187369461.db2.gz QUCVVRCUKLGDKM-UHFFFAOYSA-N 0 3 227.311 2.582 20 0 BFADHN Fc1ccc2c(c1)CC[C@H]2NCC1=CCCOC1 ZINC000176833642 346554943 /nfs/dbraw/zinc/55/49/43/346554943.db2.gz YOIKIBMHBGKUMM-OAHLLOKOSA-N 0 3 247.313 2.749 20 0 BFADHN Cc1cccc(NC(=O)C2(N)CCCC2)c1C ZINC000037616065 179124712 /nfs/dbraw/zinc/12/47/12/179124712.db2.gz MPCLMSJXKIOIRE-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN CC(C)CCN1CCN(Cc2ccccc2)CC1 ZINC000202734512 346611498 /nfs/dbraw/zinc/61/14/98/346611498.db2.gz GGJKYJSBXBZZQR-UHFFFAOYSA-N 0 3 246.398 2.850 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ccno2)C[C@H]1C ZINC000300400575 346612210 /nfs/dbraw/zinc/61/22/10/346612210.db2.gz HFHQUSFLODWFCS-MXWKQRLJSA-N 0 3 208.305 2.589 20 0 BFADHN Cc1cccc(NC(=O)CN2CCCC2(C)C)c1 ZINC000170872806 179127459 /nfs/dbraw/zinc/12/74/59/179127459.db2.gz NCSWNIPJMBEKGN-UHFFFAOYSA-N 0 3 246.354 2.808 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1nc2ccccc2[nH]1 ZINC000192834748 365838390 /nfs/dbraw/zinc/83/83/90/365838390.db2.gz XYPTYNBXLHSGFD-SNVBAGLBSA-N 0 3 229.327 2.793 20 0 BFADHN COC[C@H](C)CNC(C)(C)c1nc(C)cs1 ZINC000187930584 346652006 /nfs/dbraw/zinc/65/20/06/346652006.db2.gz CKGFTFPLSYEWEC-SECBINFHSA-N 0 3 242.388 2.559 20 0 BFADHN C[C@H](NC[C@@H]1CCCC1(F)F)c1cnn(C)c1 ZINC000381674229 346655412 /nfs/dbraw/zinc/65/54/12/346655412.db2.gz LJSHRZGEVFKGBB-ONGXEEELSA-N 0 3 243.301 2.506 20 0 BFADHN Cc1cccc(NC(=O)CN2C[C@H](C)[C@H](C)C2)c1 ZINC000267677449 179128164 /nfs/dbraw/zinc/12/81/64/179128164.db2.gz PVZYMBWQOYPTKB-BETUJISGSA-N 0 3 246.354 2.521 20 0 BFADHN Cc1cccc(NC(=O)CN2CC[C@H](C)[C@H]2C)c1 ZINC000248368406 179128205 /nfs/dbraw/zinc/12/82/05/179128205.db2.gz VDTGBOBDJOXGGJ-QWHCGFSZSA-N 0 3 246.354 2.664 20 0 BFADHN FC(F)(F)[C@H]1CCC[C@@H](NCc2ccon2)C1 ZINC000148643744 537984844 /nfs/dbraw/zinc/98/48/44/537984844.db2.gz GTSINVHELSWZSN-DTWKUNHWSA-N 0 3 248.248 2.885 20 0 BFADHN CCc1cnc(CNC(C)(C)CC)s1 ZINC000336671096 346641906 /nfs/dbraw/zinc/64/19/06/346641906.db2.gz ZAEZDHISHYSVBA-UHFFFAOYSA-N 0 3 212.362 2.984 20 0 BFADHN Cc1cccc(NC(=O)[C@@H]2C[C@H](C)CCN2)c1C ZINC000126024870 179138675 /nfs/dbraw/zinc/13/86/75/179138675.db2.gz AFWNGXWZZAFICD-YGRLFVJLSA-N 0 3 246.354 2.630 20 0 BFADHN Cc1cccc(NC(=O)[C@H]2C[C@@H](C)CCN2)c1C ZINC000126025503 179141464 /nfs/dbraw/zinc/14/14/64/179141464.db2.gz AFWNGXWZZAFICD-IINYFYTJSA-N 0 3 246.354 2.630 20 0 BFADHN Cc1cc(CN[C@@H](c2ccccc2)C2CC2)n[nH]1 ZINC000589638878 346720716 /nfs/dbraw/zinc/72/07/16/346720716.db2.gz BUZSPYDMPLQRKT-HNNXBMFYSA-N 0 3 241.338 2.959 20 0 BFADHN Cc1cc(CN[C@@H](c2ccccc2)C2CC2)[nH]n1 ZINC000589638878 346720720 /nfs/dbraw/zinc/72/07/20/346720720.db2.gz BUZSPYDMPLQRKT-HNNXBMFYSA-N 0 3 241.338 2.959 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccccc2C)[nH]n1 ZINC000589636068 346721099 /nfs/dbraw/zinc/72/10/99/346721099.db2.gz GDVQMJUHTSAVFX-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccccc2C)n[nH]1 ZINC000589636068 346721103 /nfs/dbraw/zinc/72/11/03/346721103.db2.gz GDVQMJUHTSAVFX-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN COc1ccc([C@@H](C)NCc2cc(C)[nH]n2)cc1 ZINC000589647629 346722611 /nfs/dbraw/zinc/72/26/11/346722611.db2.gz RVVBUIWHEUKYLB-LLVKDONJSA-N 0 3 245.326 2.578 20 0 BFADHN Cc1cc(CN[C@H]2CCc3cc(F)ccc32)n[nH]1 ZINC000589648037 346723010 /nfs/dbraw/zinc/72/30/10/346723010.db2.gz RMTOSUMFPMJMDM-AWEZNQCLSA-N 0 3 245.301 2.634 20 0 BFADHN CC[C@@H](NCc1cc(C)[nH]n1)c1ccccc1 ZINC000589647145 346723020 /nfs/dbraw/zinc/72/30/20/346723020.db2.gz INUSCCRYRBAGEK-CQSZACIVSA-N 0 3 229.327 2.959 20 0 BFADHN CC[C@@H](NCc1cc(C)n[nH]1)c1ccccc1 ZINC000589647145 346723022 /nfs/dbraw/zinc/72/30/22/346723022.db2.gz INUSCCRYRBAGEK-CQSZACIVSA-N 0 3 229.327 2.959 20 0 BFADHN Cc1occc1CNCc1ccc2[nH]cnc2c1 ZINC000589646419 346725619 /nfs/dbraw/zinc/72/56/19/346725619.db2.gz PGNZMPDJPFZGBW-UHFFFAOYSA-N 0 3 241.294 2.754 20 0 BFADHN Cc1occc1CNCc1ccc2nc[nH]c2c1 ZINC000589646419 346725621 /nfs/dbraw/zinc/72/56/21/346725621.db2.gz PGNZMPDJPFZGBW-UHFFFAOYSA-N 0 3 241.294 2.754 20 0 BFADHN COC[C@H](C)N[C@H](C)c1ccc(OC)cc1F ZINC000037196215 346677535 /nfs/dbraw/zinc/67/75/35/346677535.db2.gz BKPRFSHZFIHAJI-VHSXEESVSA-N 0 3 241.306 2.520 20 0 BFADHN CSCCNCc1ccsc1Cl ZINC000308140648 346696038 /nfs/dbraw/zinc/69/60/38/346696038.db2.gz HDGURPGAGSZRDW-UHFFFAOYSA-N 0 3 221.778 2.854 20 0 BFADHN C[C@@H]1CC[C@H](N[C@@H]2CCn3ccnc32)C[C@H]1C ZINC000345350666 365858240 /nfs/dbraw/zinc/85/82/40/365858240.db2.gz NPXYATBYNMXFLX-FVCCEPFGSA-N 0 3 233.359 2.742 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cccc(OC)c1OC ZINC000387857120 347111307 /nfs/dbraw/zinc/11/13/07/347111307.db2.gz SYIXGBJMVATTFF-YPMHNXCESA-N 0 3 249.354 2.840 20 0 BFADHN CC1(C)CCCN1Cc1cn2ccccc2n1 ZINC000170872763 346798542 /nfs/dbraw/zinc/79/85/42/346798542.db2.gz FRXIIBUUQHNWDC-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1cscn1 ZINC000381748200 346804511 /nfs/dbraw/zinc/80/45/11/346804511.db2.gz QLIDMTZEYHUIIT-VLEAKVRGSA-N 0 3 240.372 2.750 20 0 BFADHN C[C@H](NC1C[C@@H](C)O[C@H](C)C1)c1cscn1 ZINC000381748197 346804599 /nfs/dbraw/zinc/80/45/99/346804599.db2.gz QLIDMTZEYHUIIT-BBBLOLIVSA-N 0 3 240.372 2.750 20 0 BFADHN Cc1cccc(OCCNCc2ccncc2)c1 ZINC000032100265 179168788 /nfs/dbraw/zinc/16/87/88/179168788.db2.gz CFRIODQIDHTYOI-UHFFFAOYSA-N 0 3 242.322 2.559 20 0 BFADHN Cc1cc(CNCCC2CCCCC2)[nH]n1 ZINC000309712342 347146234 /nfs/dbraw/zinc/14/62/34/347146234.db2.gz REYFBUHUTVVMIM-UHFFFAOYSA-N 0 3 221.348 2.778 20 0 BFADHN Cn1cccc1CNCc1ccc(Cl)cc1 ZINC000020358848 259394464 /nfs/dbraw/zinc/39/44/64/259394464.db2.gz LKIIQCCQLLXGPA-UHFFFAOYSA-N 0 3 234.730 2.968 20 0 BFADHN CC[C@@H]1C[C@H]1C[NH2+]Cc1cc([O-])cc(F)c1 ZINC000388009190 347153838 /nfs/dbraw/zinc/15/38/38/347153838.db2.gz NGLHAXSMQXFCME-MNOVXSKESA-N 0 3 223.291 2.667 20 0 BFADHN Cn1ccnc1[C@H](NCC1(C)CCC1)C1CC1 ZINC000183338207 347125315 /nfs/dbraw/zinc/12/53/15/347125315.db2.gz XPIVFCOHYYVIRO-GFCCVEGCSA-N 0 3 233.359 2.651 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@@H]1CCSC1 ZINC000309665580 347130830 /nfs/dbraw/zinc/13/08/30/347130830.db2.gz TXBKMJFYEXIHAL-CMPLNLGQSA-N 0 3 236.384 2.800 20 0 BFADHN CSCCN[C@@H](c1cncc(F)c1)C1CC1 ZINC000309864970 347190030 /nfs/dbraw/zinc/19/00/30/347190030.db2.gz OCIIUWMOKPEKFW-GFCCVEGCSA-N 0 3 240.347 2.624 20 0 BFADHN Cc1cccc([C@@H](C)NCc2cncn2C)c1 ZINC000160124734 179182463 /nfs/dbraw/zinc/18/24/63/179182463.db2.gz NUXWHJLWIANUSD-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1cccc([C@@H](C)NCc2cnn(C)c2)c1 ZINC000041159324 179182490 /nfs/dbraw/zinc/18/24/90/179182490.db2.gz NDLVUCLITNTMNM-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1cccc([C@@H](C)N[C@@H]2C=C[C@H](CO)C2)c1 ZINC000134631215 179183147 /nfs/dbraw/zinc/18/31/47/179183147.db2.gz FSEAWSGEQSPTFM-VNHYZAJKSA-N 0 3 231.339 2.583 20 0 BFADHN Cc1cccc([C@@H](C)N[C@H](C)CC(=O)N(C)C)c1 ZINC000336792879 179183277 /nfs/dbraw/zinc/18/32/77/179183277.db2.gz UPLVJCFJPILKON-CHWSQXEVSA-N 0 3 248.370 2.512 20 0 BFADHN CC(C)CC[C@H](O)CN(C)Cc1ccsc1 ZINC000684605793 488331253 /nfs/dbraw/zinc/33/12/53/488331253.db2.gz CEHPEIUXHHWSPX-ZDUSSCGKSA-N 0 3 241.400 2.977 20 0 BFADHN CC(C)N1CCN([C@@H]2C=CCCCCC2)CC1 ZINC000571230411 323138941 /nfs/dbraw/zinc/13/89/41/323138941.db2.gz WNVWCRAYRGMJBT-OAHLLOKOSA-N 0 3 236.403 2.901 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2snnc2C)C1 ZINC000309811642 347171213 /nfs/dbraw/zinc/17/12/13/347171213.db2.gz ZGIJJHHJNZEKFO-MNOVXSKESA-N 0 3 239.388 2.905 20 0 BFADHN C[C@@H](CSCCF)N[C@@H]1C[C@H]1C(F)(F)F ZINC000601306556 347185176 /nfs/dbraw/zinc/18/51/76/347185176.db2.gz LNUUFBMRUXWUNV-XLPZGREQSA-N 0 3 245.285 2.618 20 0 BFADHN C[C@H](CSCCF)N[C@@H]1C[C@H]1C(F)(F)F ZINC000601306555 347185249 /nfs/dbraw/zinc/18/52/49/347185249.db2.gz LNUUFBMRUXWUNV-BWZBUEFSSA-N 0 3 245.285 2.618 20 0 BFADHN CC(C)CCN(Cc1ccno1)C1CC1 ZINC000264638334 347219825 /nfs/dbraw/zinc/21/98/25/347219825.db2.gz UJZCBGZUVAIFDW-UHFFFAOYSA-N 0 3 208.305 2.685 20 0 BFADHN CCc1ccc(CN2C[C@@H](O)C(C)(C)C2)s1 ZINC000388233383 347252684 /nfs/dbraw/zinc/25/26/84/347252684.db2.gz SHUYSSUXOVOZAN-GFCCVEGCSA-N 0 3 239.384 2.513 20 0 BFADHN CO[C@@H]([C@H](C)NCc1ccsc1C)C1CC1 ZINC000388234285 347253269 /nfs/dbraw/zinc/25/32/69/347253269.db2.gz NVAQRSZNMYHDCC-ZANVPECISA-N 0 3 239.384 2.960 20 0 BFADHN Cc1cccc([C@H](C)NCc2cncn2C)c1 ZINC000160170590 179195992 /nfs/dbraw/zinc/19/59/92/179195992.db2.gz NUXWHJLWIANUSD-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN CO[C@@H](CC(C)C)CN1C[C@H](C)OC(C)(C)C1 ZINC000419209685 192105560 /nfs/dbraw/zinc/10/55/60/192105560.db2.gz PQIWVNXVOUUHQE-STQMWFEESA-N 0 3 243.391 2.547 20 0 BFADHN CS[C@@H]1CCCC[C@H]1NCc1conc1C ZINC000382288577 347262249 /nfs/dbraw/zinc/26/22/49/347262249.db2.gz KHPHSEYVSLIQFF-VXGBXAGGSA-N 0 3 240.372 2.747 20 0 BFADHN Cc1ccc(CNC[C@@H](O)c2cccc(C)c2)o1 ZINC000268957167 179201799 /nfs/dbraw/zinc/20/17/99/179201799.db2.gz GRYLSASYBHRWGS-OAHLLOKOSA-N 0 3 245.322 2.720 20 0 BFADHN CCC1(NCc2cnc(C3CC3)o2)CC1 ZINC000628298040 347271600 /nfs/dbraw/zinc/27/16/00/347271600.db2.gz SMSNOOCUWPEZBY-UHFFFAOYSA-N 0 3 206.289 2.584 20 0 BFADHN CO[C@@H]([C@@H](C)NCc1ccccc1F)C1CC1 ZINC000388261912 347275798 /nfs/dbraw/zinc/27/57/98/347275798.db2.gz BLQXLMOFJRPKBY-YGRLFVJLSA-N 0 3 237.318 2.729 20 0 BFADHN CC[C@@H](CN(C)Cc1cccc(C)c1)OC ZINC000419211975 192105474 /nfs/dbraw/zinc/10/54/74/192105474.db2.gz UAWAPTUPISFNQV-AWEZNQCLSA-N 0 3 221.344 2.852 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1ccn(-c2ccccc2)n1 ZINC000310908829 347325731 /nfs/dbraw/zinc/32/57/31/347325731.db2.gz GRDOCRMSYCTLKN-DOMZBBRYSA-N 0 3 241.338 2.760 20 0 BFADHN c1ccc2nc(CNCCCC3CC3)cnc2c1 ZINC000161500632 362817922 /nfs/dbraw/zinc/81/79/22/362817922.db2.gz QNQDQOACUQSTAT-UHFFFAOYSA-N 0 3 241.338 2.910 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@H](C)c1ccncc1)C1CC1 ZINC000388359366 347356514 /nfs/dbraw/zinc/35/65/14/347356514.db2.gz RYNJXTRRCXDIRN-GYSYKLTISA-N 0 3 234.343 2.546 20 0 BFADHN Cc1nc(C)c(CNC(C)(C)C2CCC2)o1 ZINC000628299104 347408978 /nfs/dbraw/zinc/40/89/78/347408978.db2.gz CKFVLZJBAKRESQ-UHFFFAOYSA-N 0 3 222.332 2.960 20 0 BFADHN Cc1ncc(CNCC(C)(C)C2CCC2)o1 ZINC000628299136 347412819 /nfs/dbraw/zinc/41/28/19/347412819.db2.gz DMXNWTJCERBNJU-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN CC[C@@H](N[C@H]1CCOC1)c1ccccc1OC ZINC000109430120 347401270 /nfs/dbraw/zinc/40/12/70/347401270.db2.gz ZJQPEACGGMQQOF-WCQYABFASA-N 0 3 235.327 2.525 20 0 BFADHN Cc1cccc2nc(N(C)CCN(C)C)sc21 ZINC000359532212 179230160 /nfs/dbraw/zinc/23/01/60/179230160.db2.gz NBNNPERHWGZFMG-UHFFFAOYSA-N 0 3 249.383 2.603 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1ccncc1)OC ZINC000319478465 259395583 /nfs/dbraw/zinc/39/55/83/259395583.db2.gz RWZGNPJAMIHTSK-AAEUAGOBSA-N 0 3 222.332 2.547 20 0 BFADHN CCC[C@H](C)[C@H](CO)N[C@H](C)c1cccnc1 ZINC000571529834 323146994 /nfs/dbraw/zinc/14/69/94/323146994.db2.gz ZFSGFKCSBGBKSK-SCRDCRAPSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@H](N[C@H]1CCOC1(C)C)c1ccsc1 ZINC000296477789 133668780 /nfs/dbraw/zinc/66/87/80/133668780.db2.gz OCQXNNJGYLPLBI-ONGXEEELSA-N 0 3 225.357 2.966 20 0 BFADHN CCN(CCOCC1CCC1)Cc1ccncc1 ZINC000419225979 192107007 /nfs/dbraw/zinc/10/70/07/192107007.db2.gz HZMDDXVKBURMDP-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@H](C)NC1CC1 ZINC000383038791 347581395 /nfs/dbraw/zinc/58/13/95/347581395.db2.gz KOWJIMRXVAZKTM-NWDGAFQWSA-N 0 3 240.391 2.602 20 0 BFADHN Cc1n[nH]cc1CN(C)CCc1ccccc1C ZINC000294668749 179262915 /nfs/dbraw/zinc/26/29/15/179262915.db2.gz AIGHXJVBYNTFJE-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN CC(C)N(C)Cc1ccc2c(c1)OC(F)(F)O2 ZINC000197748499 347590522 /nfs/dbraw/zinc/59/05/22/347590522.db2.gz HPRURYRZPDESCT-UHFFFAOYSA-N 0 3 243.253 2.848 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1ccc(=O)[nH]c1 ZINC000392664644 347616539 /nfs/dbraw/zinc/61/65/39/347616539.db2.gz CQAPIPMAUCCCBZ-GFCCVEGCSA-N 0 3 242.322 2.946 20 0 BFADHN CCOCCN1C[C@H](C(F)(F)F)CC[C@H]1C ZINC000679541812 488356442 /nfs/dbraw/zinc/35/64/42/488356442.db2.gz MBAYXURKOCVESF-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1cn[nH]c1CN(C)Cc1ccccc1C ZINC000290464279 179269617 /nfs/dbraw/zinc/26/96/17/179269617.db2.gz PRHKJAUGGRXNTD-UHFFFAOYSA-N 0 3 229.327 2.659 20 0 BFADHN Cc1ccccc1CN1CCN(C)[C@@H](C)[C@H]1C ZINC000356979658 179273073 /nfs/dbraw/zinc/27/30/73/179273073.db2.gz DPFRSHZZIFHDNR-UONOGXRCSA-N 0 3 232.371 2.519 20 0 BFADHN CCCN1CCCO[C@@H](c2ccccc2)C1 ZINC000591815643 347700714 /nfs/dbraw/zinc/70/07/14/347700714.db2.gz UUELSOAESFUGSD-CQSZACIVSA-N 0 3 219.328 2.860 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1c(C)noc1C ZINC000591836912 347703539 /nfs/dbraw/zinc/70/35/39/347703539.db2.gz QUOBSSFWBAOWHA-BKDNQFJXSA-N 0 3 240.372 2.836 20 0 BFADHN Cc1ccccc1CN1CC[C@@H](n2cccn2)C1 ZINC000291267118 179277187 /nfs/dbraw/zinc/27/71/87/179277187.db2.gz NKKCNMUDUWJIIG-OAHLLOKOSA-N 0 3 241.338 2.639 20 0 BFADHN CCc1ccc(CN2C3CCC2CC3)nc1 ZINC000577268166 365909258 /nfs/dbraw/zinc/90/92/58/365909258.db2.gz URCCVXRTKPZQHB-UHFFFAOYSA-N 0 3 216.328 2.771 20 0 BFADHN CSCCN[C@H](C)c1ccncc1Cl ZINC000591531384 347668250 /nfs/dbraw/zinc/66/82/50/347668250.db2.gz OPFVZJRNTRKTDU-MRVPVSSYSA-N 0 3 230.764 2.749 20 0 BFADHN COCCN1CCCC[C@@H]1c1ccccc1OC ZINC000592330853 347767727 /nfs/dbraw/zinc/76/77/27/347767727.db2.gz NBIMWGFLOVMUCY-CQSZACIVSA-N 0 3 249.354 2.869 20 0 BFADHN CN(C)CCSCCc1c(F)cccc1F ZINC000592347672 347769869 /nfs/dbraw/zinc/76/98/69/347769869.db2.gz RLYYYSRPQBWKFM-UHFFFAOYSA-N 0 3 245.338 2.802 20 0 BFADHN Cc1ccccc1CN[C@H](C)c1ccnn1C ZINC000192249801 179284348 /nfs/dbraw/zinc/28/43/48/179284348.db2.gz GJYNPUMCAPVMGU-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1ccccc1CN[C@H]1CSC1(C)C ZINC000309100024 179284563 /nfs/dbraw/zinc/28/45/63/179284563.db2.gz GIAADYNGUHGBFW-LBPRGKRZSA-N 0 3 221.369 2.979 20 0 BFADHN Cc1ccccc1CN[C@@H]1CSC1(C)C ZINC000309100023 179284609 /nfs/dbraw/zinc/28/46/09/179284609.db2.gz GIAADYNGUHGBFW-GFCCVEGCSA-N 0 3 221.369 2.979 20 0 BFADHN COc1ccccc1C1(NCCSC)CC1 ZINC000592143341 347747792 /nfs/dbraw/zinc/74/77/92/347747792.db2.gz VDAMZFKQNVPIKL-UHFFFAOYSA-N 0 3 237.368 2.637 20 0 BFADHN Cc1ccccc1C[C@@H](C)N[C@H](C)CF ZINC000288383688 179288539 /nfs/dbraw/zinc/28/85/39/179288539.db2.gz GHYBWCSAUFTFPI-VXGBXAGGSA-N 0 3 209.308 2.874 20 0 BFADHN COC(=O)CCNC1(c2ccc(C)cc2)CCC1 ZINC000592189432 347761476 /nfs/dbraw/zinc/76/14/76/347761476.db2.gz UOMPTRPKWCIRJB-UHFFFAOYSA-N 0 3 247.338 2.527 20 0 BFADHN Cc1cc(CN[C@@H]2CCc3ccc(C)cc32)[nH]n1 ZINC000592461264 347784035 /nfs/dbraw/zinc/78/40/35/347784035.db2.gz DRGYTURCYJMSTM-OAHLLOKOSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1cc(CN[C@@H]2CCc3ccc(C)cc32)n[nH]1 ZINC000592461264 347784038 /nfs/dbraw/zinc/78/40/38/347784038.db2.gz DRGYTURCYJMSTM-OAHLLOKOSA-N 0 3 241.338 2.804 20 0 BFADHN COC(=O)CCNC1(c2ccccc2C)CCC1 ZINC000593041261 347843826 /nfs/dbraw/zinc/84/38/26/347843826.db2.gz YQZGHBMCTRKXFJ-UHFFFAOYSA-N 0 3 247.338 2.527 20 0 BFADHN CCCCCNC(=O)CN1[C@H](C)CCC[C@H]1C ZINC000066665416 347859720 /nfs/dbraw/zinc/85/97/20/347859720.db2.gz IPRXYSZCLFBTOZ-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1ccccc1OCCN(C)CCCF ZINC000169199404 179311525 /nfs/dbraw/zinc/31/15/25/179311525.db2.gz DBDZLNMSFWZFQR-UHFFFAOYSA-N 0 3 225.307 2.665 20 0 BFADHN Cc1ccccc1[C@@H](C)NC(=O)[C@@H](N)CC(C)C ZINC000040854687 179319009 /nfs/dbraw/zinc/31/90/09/179319009.db2.gz TYMZZUQUMXVRFB-OCCSQVGLSA-N 0 3 248.370 2.546 20 0 BFADHN CSC1(CNCc2cc3cnccc3o2)CC1 ZINC000593621088 347916791 /nfs/dbraw/zinc/91/67/91/347916791.db2.gz MVVMUOUIBACNRD-UHFFFAOYSA-N 0 3 248.351 2.813 20 0 BFADHN Cc1ccccc1[C@@H](C)NCC(=O)NC(C)(C)C ZINC000042213276 179319153 /nfs/dbraw/zinc/31/91/53/179319153.db2.gz FSKVKAOGFBHNAG-GFCCVEGCSA-N 0 3 248.370 2.560 20 0 BFADHN Cc1nocc1CN1CCCC2(CCC2)C1 ZINC000353262031 490117213 /nfs/dbraw/zinc/11/72/13/490117213.db2.gz RPAAKPUDHRAJSC-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN C[C@H](C[S@@](C)=O)N(C)CCC(C)(C)C1CC1 ZINC000593978018 347986327 /nfs/dbraw/zinc/98/63/27/347986327.db2.gz XPMNFAVUFNKQSH-BDJLRTHQSA-N 0 3 245.432 2.512 20 0 BFADHN C[C@@H](C[S@](C)=O)N(C)CCC(C)(C)C1CC1 ZINC000593978021 347986536 /nfs/dbraw/zinc/98/65/36/347986536.db2.gz XPMNFAVUFNKQSH-ZBEGNZNMSA-N 0 3 245.432 2.512 20 0 BFADHN CCSCCN1CCC[C@@H](OC2CCC2)C1 ZINC000594026201 347992118 /nfs/dbraw/zinc/99/21/18/347992118.db2.gz FQRLYJANVFLGIW-CYBMUJFWSA-N 0 3 243.416 2.773 20 0 BFADHN CC[C@H](CSC)N[C@H](C)c1cncnc1C ZINC000594503588 348063974 /nfs/dbraw/zinc/06/39/74/348063974.db2.gz ZJBPWUMQKSAUCC-GHMZBOCLSA-N 0 3 239.388 2.577 20 0 BFADHN Cc1ccccc1[C@H](C)NCc1cnn(C)c1 ZINC000020126325 179339641 /nfs/dbraw/zinc/33/96/41/179339641.db2.gz ZBXQWRIIDDHVER-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1ccccc1[C@H](C)N[C@@H]1CO[C@@H](C)C1 ZINC000401824788 348036080 /nfs/dbraw/zinc/03/60/80/348036080.db2.gz LLZSYHRCOOXYKJ-AVGNSLFASA-N 0 3 219.328 2.823 20 0 BFADHN Cc1ccccc1[C@H](C)N[C@H]1CO[C@@H](C)C1 ZINC000401825290 348036968 /nfs/dbraw/zinc/03/69/68/348036968.db2.gz LLZSYHRCOOXYKJ-RWMBFGLXSA-N 0 3 219.328 2.823 20 0 BFADHN Cc1ccccc1[C@H](NC(=O)[C@H](C)N)C(C)(C)C ZINC000119253139 179343966 /nfs/dbraw/zinc/34/39/66/179343966.db2.gz FLXHPWPAFHZWHK-AAEUAGOBSA-N 0 3 248.370 2.546 20 0 BFADHN Cc1cccn2cc(CN[C@@H](C)C3CCC3)nc12 ZINC000090045229 179356979 /nfs/dbraw/zinc/35/69/79/179356979.db2.gz XIBIRRWTBVUYJG-LBPRGKRZSA-N 0 3 243.354 2.921 20 0 BFADHN COC(=O)c1cc(CNCCC(C)C)c(C)o1 ZINC000594601575 348086591 /nfs/dbraw/zinc/08/65/91/348086591.db2.gz QGJPJVJPTXQGJM-UHFFFAOYSA-N 0 3 239.315 2.510 20 0 BFADHN CC(C)CCNCc1cnc2ccccc2n1 ZINC000037199826 348140256 /nfs/dbraw/zinc/14/02/56/348140256.db2.gz AFIVQTNQLBQBAA-UHFFFAOYSA-N 0 3 229.327 2.766 20 0 BFADHN CSCC1(CCNCc2cscn2)CC1 ZINC000594963515 348143764 /nfs/dbraw/zinc/14/37/64/348143764.db2.gz PCWHEJPJGOMVLW-UHFFFAOYSA-N 0 3 242.413 2.766 20 0 BFADHN Cc1nnsc1CN[C@@]1(C)CCCC[C@@H]1C ZINC000308704689 323177179 /nfs/dbraw/zinc/17/71/79/323177179.db2.gz FULFXAGNWCXSPG-CABZTGNLSA-N 0 3 239.388 2.905 20 0 BFADHN CSCCN[C@@H](C)c1cc2cnccc2o1 ZINC000595009711 348160040 /nfs/dbraw/zinc/16/00/40/348160040.db2.gz ZQPVWPFRSMYSHJ-VIFPVBQESA-N 0 3 236.340 2.841 20 0 BFADHN CCSC1(CNCc2ccncc2F)CC1 ZINC000594986580 348166308 /nfs/dbraw/zinc/16/63/08/348166308.db2.gz HNMKUPLJYIOFNI-UHFFFAOYSA-N 0 3 240.347 2.596 20 0 BFADHN CCSC1(CNCc2cccnc2C)CC1 ZINC000594987110 348166459 /nfs/dbraw/zinc/16/64/59/348166459.db2.gz RUAFDUGAFOXGFD-UHFFFAOYSA-N 0 3 236.384 2.765 20 0 BFADHN C[C@@H](CSCCF)N[C@@H](C)c1cccnc1 ZINC000595003080 348169284 /nfs/dbraw/zinc/16/92/84/348169284.db2.gz CVDQEAAWBKPBNM-QWRGUYRKSA-N 0 3 242.363 2.823 20 0 BFADHN Cc1ccc(CN[C@H](C)CSCCF)cn1 ZINC000595016765 348170675 /nfs/dbraw/zinc/17/06/75/348170675.db2.gz WPYCDGFFVWWJLY-LLVKDONJSA-N 0 3 242.363 2.571 20 0 BFADHN Cc1ncc(CN[C@@H](C)CSCCF)s1 ZINC000595013768 348186105 /nfs/dbraw/zinc/18/61/05/348186105.db2.gz SAOFHFSNMYNOLY-QMMMGPOBSA-N 0 3 248.392 2.632 20 0 BFADHN CSCCCN1CCOc2ccccc2C1 ZINC000075643506 348197406 /nfs/dbraw/zinc/19/74/06/348197406.db2.gz KTBWQDSYUSBTNB-UHFFFAOYSA-N 0 3 237.368 2.634 20 0 BFADHN Cc1cccnc1CCN[C@H](C)c1ccccn1 ZINC000294695191 179370088 /nfs/dbraw/zinc/37/00/88/179370088.db2.gz AXHNPRRFCHIRBA-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1ccccc1 ZINC000401855120 348204918 /nfs/dbraw/zinc/20/49/18/348204918.db2.gz FLPWDGMAYLBGRY-NQBHXWOUSA-N 0 3 205.301 2.515 20 0 BFADHN COC(=O)C1(N(C)CCC=C(C)C)CCCC1 ZINC000595382227 348241503 /nfs/dbraw/zinc/24/15/03/348241503.db2.gz DJJZCYXGIDILCB-UHFFFAOYSA-N 0 3 239.359 2.760 20 0 BFADHN CCc1cnc(CN[C@@H]2CCS[C@@H]2C)s1 ZINC000220774454 490143822 /nfs/dbraw/zinc/14/38/22/490143822.db2.gz WQRXYTKRNZAUJB-PSASIEDQSA-N 0 3 242.413 2.689 20 0 BFADHN Cc1cccnc1CN1CCS[C@H](C)CC1 ZINC000192194755 179375000 /nfs/dbraw/zinc/37/50/00/179375000.db2.gz YBOYHACMBBGSKU-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1cccnc1CN1CCSC[C@H](C)C1 ZINC000190454149 179376429 /nfs/dbraw/zinc/37/64/29/179376429.db2.gz FTULJRMXSWWTAM-LLVKDONJSA-N 0 3 236.384 2.575 20 0 BFADHN C(CN1CCCC2(CC2)C1)OCC1CCC1 ZINC000419244818 192114352 /nfs/dbraw/zinc/11/43/52/192114352.db2.gz NGEAKIYIDJMATI-UHFFFAOYSA-N 0 3 223.360 2.679 20 0 BFADHN CC[C@@H](C)N(CC)Cc1cc(C(=O)OC)co1 ZINC000595592627 348278345 /nfs/dbraw/zinc/27/83/45/348278345.db2.gz HINCBFNNYQHASZ-SNVBAGLBSA-N 0 3 239.315 2.687 20 0 BFADHN CC[C@H](NCc1nccc(C)n1)[C@H]1CC1(C)C ZINC000419315485 192121547 /nfs/dbraw/zinc/12/15/47/192121547.db2.gz ONHWLODNOOBMDC-NEPJUHHUSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@@H](CN1CCC=C(c2cccnc2)C1)OC ZINC000419284810 192122990 /nfs/dbraw/zinc/12/29/90/192122990.db2.gz UDDGHXYAYHQWPL-HNNXBMFYSA-N 0 3 246.354 2.596 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1ccc(F)cn1 ZINC000419351662 192125534 /nfs/dbraw/zinc/12/55/34/192125534.db2.gz JJMOSRMDGOEYPO-OUAUKWLOSA-N 0 3 222.307 2.697 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2cn3ccsc3n2)C[C@H]1C ZINC000419369983 192129529 /nfs/dbraw/zinc/12/95/29/192129529.db2.gz IRLMDYTZNRIWRY-GMTAPVOTSA-N 0 3 249.383 2.872 20 0 BFADHN C[C@H]1[C@@H](C)N(CC(=O)Nc2ccccc2)C[C@H]1C ZINC000419395745 192131084 /nfs/dbraw/zinc/13/10/84/192131084.db2.gz REAWQHPNACQSRD-JHJVBQTASA-N 0 3 246.354 2.601 20 0 BFADHN CCc1nnc([C@H](C)N2C[C@H](C)[C@H](C)[C@@H]2C)o1 ZINC000419380980 192132370 /nfs/dbraw/zinc/13/23/70/192132370.db2.gz YBCFZLJOWTWMGQ-NAKRPEOUSA-N 0 3 237.347 2.669 20 0 BFADHN CC(C)n1ccc(CN2C[C@@H](C)[C@H](C)[C@@H]2C)n1 ZINC000419378486 192132572 /nfs/dbraw/zinc/13/25/72/192132572.db2.gz VQFYKRNWRSFKGS-AGIUHOORSA-N 0 3 235.375 2.940 20 0 BFADHN C[C@@H]1[C@H](C)CN(CCOCC(F)(F)F)[C@H]1C ZINC000419369802 192128715 /nfs/dbraw/zinc/12/87/15/192128715.db2.gz IHHCCMSVZUXWDX-BBBLOLIVSA-N 0 3 239.281 2.542 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3c2cccc3O)CS1 ZINC000397869363 362928495 /nfs/dbraw/zinc/92/84/95/362928495.db2.gz RWODQOANDAMZMX-GBIKHYSHSA-N 0 3 249.379 2.863 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2C[C@H]2C)c1 ZINC000309025855 179468130 /nfs/dbraw/zinc/46/81/30/179468130.db2.gz ZXCOOTKGMLSBIW-WOPDTQHZSA-N 0 3 204.317 2.697 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H](CO)CC2CCC2)c1 ZINC000353604660 179469422 /nfs/dbraw/zinc/46/94/22/179469422.db2.gz JSCAFLBOGFIELV-JSGCOSHPSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1cccc(CN[C@@H]2CCO[C@H](C(C)C)C2)n1 ZINC000070361030 349995994 /nfs/dbraw/zinc/99/59/94/349995994.db2.gz AFSHGYIKSZVNFB-HIFRSBDPSA-N 0 3 248.370 2.683 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC[C@](C)(O)C2)o1 ZINC000245718812 192143754 /nfs/dbraw/zinc/14/37/54/192143754.db2.gz HNPMTBKZQRAQKH-KYOSRNDESA-N 0 3 249.354 2.750 20 0 BFADHN CC[C@@H](N[C@H]1CC12CCCC2)c1ccn(C)n1 ZINC000429443632 192144741 /nfs/dbraw/zinc/14/47/41/192144741.db2.gz NKCFDYCIRZKRCO-YPMHNXCESA-N 0 3 233.359 2.794 20 0 BFADHN Cc1ccsc1CCN[C@@H](C)c1nccn1C ZINC000341906383 179541853 /nfs/dbraw/zinc/54/18/53/179541853.db2.gz MHDDOYDMSMQZBT-NSHDSACASA-N 0 3 249.383 2.683 20 0 BFADHN Cc1ccsc1CN[C@H](C)c1cnn(C)c1 ZINC000085445018 179550099 /nfs/dbraw/zinc/55/00/99/179550099.db2.gz LBPKYNXVTVBLDD-SNVBAGLBSA-N 0 3 235.356 2.641 20 0 BFADHN Cc1ccsc1CN[C@H]1C[C@@H]1C(F)F ZINC000348176801 179551028 /nfs/dbraw/zinc/55/10/28/179551028.db2.gz DNVPQELABZLVEX-YUMQZZPRSA-N 0 3 217.284 2.800 20 0 BFADHN C[C@@H](Cc1cccc(O)c1)NCc1nccs1 ZINC000596669621 348602160 /nfs/dbraw/zinc/60/21/60/348602160.db2.gz DNDQENMSPWHZPJ-JTQLQIEISA-N 0 3 248.351 2.570 20 0 BFADHN CC(C)(C)CNCc1nccn1CC(F)(F)F ZINC000596647313 348604692 /nfs/dbraw/zinc/60/46/92/348604692.db2.gz XAEVMYKTNZGXJW-UHFFFAOYSA-N 0 3 249.280 2.581 20 0 BFADHN CCc1ncc(CNC[C@@]2(C)CCCS2)o1 ZINC000628300845 348625422 /nfs/dbraw/zinc/62/54/22/348625422.db2.gz ZCZJCNYGBPYRPP-GFCCVEGCSA-N 0 3 240.372 2.612 20 0 BFADHN C[C@@H](NCC1=CCOCC1)c1ccc(F)cc1 ZINC000397709145 348627982 /nfs/dbraw/zinc/62/79/82/348627982.db2.gz BKACTNFMRUXDPZ-LLVKDONJSA-N 0 3 235.302 2.823 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1ccc(F)c(F)c1 ZINC000401925040 348739194 /nfs/dbraw/zinc/73/91/94/348739194.db2.gz QNOAOLMICSPLNN-WCABBAIRSA-N 0 3 241.281 2.793 20 0 BFADHN CO[C@H]1CCC[C@H](NCc2ccc(C)o2)C1 ZINC000069848013 490188619 /nfs/dbraw/zinc/18/86/19/490188619.db2.gz NKLQJHSYRINKMW-RYUDHWBXSA-N 0 3 223.316 2.635 20 0 BFADHN COC(=O)c1cc(CNCC2=CCCC2)c(C)o1 ZINC000597224936 348695557 /nfs/dbraw/zinc/69/55/57/348695557.db2.gz VNHXKTAJNFAUMI-UHFFFAOYSA-N 0 3 249.310 2.575 20 0 BFADHN CSC1(CN[C@H](C)c2nc(C)cs2)CC1 ZINC000308840133 348771992 /nfs/dbraw/zinc/77/19/92/348771992.db2.gz YGPIORDASSGKAT-SECBINFHSA-N 0 3 242.413 2.998 20 0 BFADHN CCCCCNCc1csc(CC)n1 ZINC000054918048 348785039 /nfs/dbraw/zinc/78/50/39/348785039.db2.gz GTNXBWASRQAJJN-UHFFFAOYSA-N 0 3 212.362 2.985 20 0 BFADHN Cc1cc(CNC2C[C@H](C)C[C@@H](C)C2)no1 ZINC000082830439 348821842 /nfs/dbraw/zinc/82/18/42/348821842.db2.gz PZWWSRWBVQIIBN-NXEZZACHSA-N 0 3 222.332 2.897 20 0 BFADHN CCCCCc1ccc(NC(=O)[C@H](C)NC)cc1 ZINC000054076172 348878636 /nfs/dbraw/zinc/87/86/36/348878636.db2.gz KSAQJSMMZVDXND-LBPRGKRZSA-N 0 3 248.370 2.966 20 0 BFADHN CCC1CCN(Cc2ccccn2)CC1 ZINC000208473875 348917356 /nfs/dbraw/zinc/91/73/56/348917356.db2.gz IPMNYVJVUJIKMM-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CCN(CCSC)Cc1ccnc(C)c1 ZINC000598621713 349002916 /nfs/dbraw/zinc/00/29/16/349002916.db2.gz BTNZADOVZJXQEV-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN CSC[C@H]1CCCN1Cc1ccnc(C)c1 ZINC000598592424 348998519 /nfs/dbraw/zinc/99/85/19/348998519.db2.gz IGWNOFDLSFBSJJ-CYBMUJFWSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1cnn([C@@H]2CCN(Cc3cccs3)C2)c1 ZINC000289870116 179746305 /nfs/dbraw/zinc/74/63/05/179746305.db2.gz LTWSBSUZCCAMFP-GFCCVEGCSA-N 0 3 247.367 2.700 20 0 BFADHN CS[C@H](C)CN[C@@H]1CCCc2scnc21 ZINC000599041083 349081442 /nfs/dbraw/zinc/08/14/42/349081442.db2.gz JXSKEOSUDAQQOI-RKDXNWHRSA-N 0 3 242.413 2.862 20 0 BFADHN COC(=O)c1coc([C@@H](C)NCC2CC=CC2)c1 ZINC000599066737 349086784 /nfs/dbraw/zinc/08/67/84/349086784.db2.gz YKIHTGPPZCQDLR-SNVBAGLBSA-N 0 3 249.310 2.683 20 0 BFADHN Cc1cnc(CN(C)[C@H]2CCCC[C@H]2C)o1 ZINC000245463709 349087891 /nfs/dbraw/zinc/08/78/91/349087891.db2.gz XMAGQWFYVHQDRB-PWSUYJOCSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1ncccc1CN[C@@H](C)CSCCF ZINC000599072818 349087973 /nfs/dbraw/zinc/08/79/73/349087973.db2.gz JFAKHHCZRJVGQR-JTQLQIEISA-N 0 3 242.363 2.571 20 0 BFADHN Cc1cnc(CN(C)[C@@H]2CCCC[C@H]2C)o1 ZINC000245463710 349088129 /nfs/dbraw/zinc/08/81/29/349088129.db2.gz XMAGQWFYVHQDRB-ZYHUDNBSSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1ncc(CNC(C(C)C)C(C)C)o1 ZINC000397903726 362938062 /nfs/dbraw/zinc/93/80/62/362938062.db2.gz CWXGAAQQQQOGOM-UHFFFAOYSA-N 0 3 210.321 2.753 20 0 BFADHN Cc1ncc(CNCC2(C)CCCC2)o1 ZINC000397904456 362938127 /nfs/dbraw/zinc/93/81/27/362938127.db2.gz XYMHNXJGMQIPGW-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN COC1([C@@H](C)NCc2cccc(O)c2)CCCC1 ZINC000421826903 192387302 /nfs/dbraw/zinc/38/73/02/192387302.db2.gz VJPBFIBMMQLWJE-GFCCVEGCSA-N 0 3 249.354 2.830 20 0 BFADHN COCC[C@@H](C)N[C@@H](C)c1ccncc1Cl ZINC000421826834 192387356 /nfs/dbraw/zinc/38/73/56/192387356.db2.gz UVYYIONVUWADCI-ZJUUUORDSA-N 0 3 242.750 2.811 20 0 BFADHN CN(Cc1cccs1)[C@@H](CO)CC(C)(C)C ZINC000449582324 201976858 /nfs/dbraw/zinc/97/68/58/201976858.db2.gz FRIXLFVXZDUDPJ-LLVKDONJSA-N 0 3 241.400 2.977 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1ccncc1Cl ZINC000421828344 192386690 /nfs/dbraw/zinc/38/66/90/192386690.db2.gz CGTZFWSMZOKPJB-UWVGGRQHSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@H](O)CN[C@@H](c1ccc(C)o1)C1CCC1 ZINC000421869310 192397442 /nfs/dbraw/zinc/39/74/42/192397442.db2.gz DGYTYPSSNOOHRR-GXTWGEPZSA-N 0 3 237.343 2.790 20 0 BFADHN C[C@@H](NCCC[C@H](C)O)c1ccncc1Cl ZINC000421854172 192389392 /nfs/dbraw/zinc/38/93/92/192389392.db2.gz KZLCZYURTXOOHW-VHSXEESVSA-N 0 3 242.750 2.547 20 0 BFADHN CCc1ncc(CN[C@@H](CC)C(C)C)o1 ZINC000449607825 201982364 /nfs/dbraw/zinc/98/23/64/201982364.db2.gz CKIALQKQZHKHKC-NSHDSACASA-N 0 3 210.321 2.761 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1ccncc1Cl ZINC000421838985 192392478 /nfs/dbraw/zinc/39/24/78/192392478.db2.gz WBSIRYMKSYILEL-UWVGGRQHSA-N 0 3 242.750 2.811 20 0 BFADHN C[C@@H](N[C@@H](C)C[C@H](C)O)c1ccncc1Cl ZINC000421846291 192392914 /nfs/dbraw/zinc/39/29/14/192392914.db2.gz ZJQRVVYDUCSXBE-LPEHRKFASA-N 0 3 242.750 2.545 20 0 BFADHN CC[C@@H](C)CN[C@H](C)c1cc2n(n1)CCC2 ZINC000421885985 192394048 /nfs/dbraw/zinc/39/40/48/192394048.db2.gz RTJMWMDIPGMWEQ-GHMZBOCLSA-N 0 3 221.348 2.526 20 0 BFADHN CCSCCN1CCC(F)(F)C[C@H]1C ZINC000599622450 349229504 /nfs/dbraw/zinc/22/95/04/349229504.db2.gz INQPGPYQMNQPQS-SECBINFHSA-N 0 3 223.332 2.859 20 0 BFADHN CCSCCN1CCC(F)(F)C[C@@H]1C ZINC000599622451 349229603 /nfs/dbraw/zinc/22/96/03/349229603.db2.gz INQPGPYQMNQPQS-VIFPVBQESA-N 0 3 223.332 2.859 20 0 BFADHN CC(C)(C)OC(=O)[C@]1(C)CCCN1CC1CC1 ZINC000599494244 349199881 /nfs/dbraw/zinc/19/98/81/349199881.db2.gz GVMAWADPOHGFTB-AWEZNQCLSA-N 0 3 239.359 2.593 20 0 BFADHN C[C@@H](CN1CCCOCC1)c1ccc(F)cc1 ZINC000336466643 538036363 /nfs/dbraw/zinc/03/63/63/538036363.db2.gz PKGPJSCWCBTMRP-LBPRGKRZSA-N 0 3 237.318 2.652 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1C1CC1)c1cscn1 ZINC000308976210 349262060 /nfs/dbraw/zinc/26/20/60/349262060.db2.gz GSGYZCUERNKIJX-INTQDDNPSA-N 0 3 222.357 2.982 20 0 BFADHN COCC1(NCc2cccc(Cl)c2)CC1 ZINC000309060411 323221058 /nfs/dbraw/zinc/22/10/58/323221058.db2.gz WFARGKRJEWGBEW-UHFFFAOYSA-N 0 3 225.719 2.609 20 0 BFADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2cccnc2)C1(C)C ZINC000160901209 349336922 /nfs/dbraw/zinc/33/69/22/349336922.db2.gz WMBSSXKRRMJHTK-MRVWCRGKSA-N 0 3 248.370 2.936 20 0 BFADHN CC[C@@H](NCCCCOC)c1ccc(F)cn1 ZINC000161328229 349422804 /nfs/dbraw/zinc/42/28/04/349422804.db2.gz WIOOWLRQUQCHCH-GFCCVEGCSA-N 0 3 240.322 2.688 20 0 BFADHN CCCCCNCc1cc(C)c(C(=O)OC)o1 ZINC000603659034 350010301 /nfs/dbraw/zinc/01/03/01/350010301.db2.gz RAOVASYDEBXQHQ-UHFFFAOYSA-N 0 3 239.315 2.654 20 0 BFADHN C[C@@H](N[C@@H](C)CCO)c1ccc(Cl)c(F)c1 ZINC000124022163 490272537 /nfs/dbraw/zinc/27/25/37/490272537.db2.gz KVVWNGXNGOITNR-DTWKUNHWSA-N 0 3 245.725 2.901 20 0 BFADHN CCN(CCc1cccnc1)Cc1ccoc1 ZINC000604046166 350073057 /nfs/dbraw/zinc/07/30/57/350073057.db2.gz JMBGUQBIDFUCAD-UHFFFAOYSA-N 0 3 230.311 2.739 20 0 BFADHN CCSCCCNCc1oc(C)nc1C ZINC000311822450 350037848 /nfs/dbraw/zinc/03/78/48/350037848.db2.gz ZFGRLCJQBLQXBH-UHFFFAOYSA-N 0 3 228.361 2.524 20 0 BFADHN c1cc(CN[C@H]2CC=CCC2)c2c(c1)OCCO2 ZINC000076770945 191005642 /nfs/dbraw/zinc/00/56/42/191005642.db2.gz WHHMDCUZKWYVDD-ZDUSSCGKSA-N 0 3 245.322 2.656 20 0 BFADHN Cc1cc(Cl)ccc1CN[C@H]1CO[C@H](C)C1 ZINC000402203697 350043329 /nfs/dbraw/zinc/04/33/29/350043329.db2.gz HIKPBQUGTXWCOF-ZWNOBZJWSA-N 0 3 239.746 2.915 20 0 BFADHN Cc1nc(N[C@@H]2CCCN(C)[C@H]2C)sc1C ZINC000336748644 180022814 /nfs/dbraw/zinc/02/28/14/180022814.db2.gz SXTRIMZAHVPHEP-GXSJLCMTSA-N 0 3 239.388 2.655 20 0 BFADHN Cc1cccc([C@H](C)NCc2cn[nH]c2)c1C ZINC000604418351 350127850 /nfs/dbraw/zinc/12/78/50/350127850.db2.gz TWOBDBFEHJJYFJ-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1nc([C@@H](C)N2CC[C@H](C)C(C)(C)C2)n[nH]1 ZINC000336282686 180034152 /nfs/dbraw/zinc/03/41/52/180034152.db2.gz ANWNOFHODGLWIS-VHSXEESVSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nc([C@@H](C)NC2CCC2)c(C)s1 ZINC000052207598 180035294 /nfs/dbraw/zinc/03/52/94/180035294.db2.gz WPULVERWZNKFCR-SSDOTTSWSA-N 0 3 210.346 2.963 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@H](C)C(C)(C)C2)n[nH]1 ZINC000336282684 180047607 /nfs/dbraw/zinc/04/76/07/180047607.db2.gz ANWNOFHODGLWIS-UWVGGRQHSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nc([C@H](C)NC[C@@H](C)C[C@H](C)O)cs1 ZINC000269396711 180048869 /nfs/dbraw/zinc/04/88/69/180048869.db2.gz FDLVPVVREFLZBR-GUBZILKMSA-N 0 3 242.388 2.509 20 0 BFADHN Cc1nc([C@H](C)NCC2CCC2)cs1 ZINC000036915574 180049623 /nfs/dbraw/zinc/04/96/23/180049623.db2.gz IIMDJABDSDIOAU-QMMMGPOBSA-N 0 3 210.346 2.902 20 0 BFADHN Cc1nc([C@H](C)N[C@H](C)[C@@H]2CCOC2)cs1 ZINC000133401761 180050726 /nfs/dbraw/zinc/05/07/26/180050726.db2.gz HNCSMFRUHQOWCW-WCABBAIRSA-N 0 3 240.372 2.527 20 0 BFADHN CC(C)(C)OCCN1CC(C)(C)OCC1(C)C ZINC000604389738 350119799 /nfs/dbraw/zinc/11/97/99/350119799.db2.gz SSEPQTOCPCPSCG-UHFFFAOYSA-N 0 3 243.391 2.691 20 0 BFADHN Cc1nc([C@H]2CCCN(CC(C)(C)C)C2)no1 ZINC000371377254 180054021 /nfs/dbraw/zinc/05/40/21/180054021.db2.gz BUAAUURJEZJHBT-NSHDSACASA-N 0 3 237.347 2.604 20 0 BFADHN CCc1ccc(CCN2C[C@H](C)OC[C@H]2C)cc1 ZINC000604385033 350121538 /nfs/dbraw/zinc/12/15/38/350121538.db2.gz KPVNAKMUOQACGX-KGLIPLIRSA-N 0 3 247.382 2.901 20 0 BFADHN CCCc1ncc(CNC2CC(C)C2)o1 ZINC000449609913 201985579 /nfs/dbraw/zinc/98/55/79/201985579.db2.gz DKYAPIZQBVTNGC-UHFFFAOYSA-N 0 3 208.305 2.515 20 0 BFADHN CC[C@H](NCCCC(C)C)c1nccn1C ZINC000132106667 191025037 /nfs/dbraw/zinc/02/50/37/191025037.db2.gz HCBVKIQVYKKJDD-LBPRGKRZSA-N 0 3 223.364 2.897 20 0 BFADHN Cc1ccc(CN2CCCCC[C@H]2CCO)cn1 ZINC000342823736 538053848 /nfs/dbraw/zinc/05/38/48/538053848.db2.gz NUJSNUVILBVPMJ-HNNXBMFYSA-N 0 3 248.370 2.517 20 0 BFADHN CCCN1CCN(C[C@@H](C)CC(C)C)CC1 ZINC000410318646 191027058 /nfs/dbraw/zinc/02/70/58/191027058.db2.gz PZISXAROIQHPFT-AWEZNQCLSA-N 0 3 226.408 2.696 20 0 BFADHN CC(C)COCCCN[C@@H](C)c1cncs1 ZINC000132260233 191029700 /nfs/dbraw/zinc/02/97/00/191029700.db2.gz QZSWOSHMKRVIJX-NSHDSACASA-N 0 3 242.388 2.856 20 0 BFADHN Cc1csc([C@H](C)N[C@H](C)[C@@H]2CCOC2)n1 ZINC000133224614 191038739 /nfs/dbraw/zinc/03/87/39/191038739.db2.gz QCCNJUBMLOLLGS-OUAUKWLOSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1csc([C@H](C)N[C@@H](C)[C@@H]2CCOC2)n1 ZINC000133224196 191038822 /nfs/dbraw/zinc/03/88/22/191038822.db2.gz QCCNJUBMLOLLGS-GARJFASQSA-N 0 3 240.372 2.527 20 0 BFADHN Fc1cc(F)cc(CNC[C@@H]2CCCCO2)c1 ZINC000162141259 538058786 /nfs/dbraw/zinc/05/87/86/538058786.db2.gz KGXQPXMQSWZMPW-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN CN(CCc1nccs1)Cc1cccc(O)c1 ZINC000093844041 191043044 /nfs/dbraw/zinc/04/30/44/191043044.db2.gz JEBZYQCXXIAIRE-UHFFFAOYSA-N 0 3 248.351 2.523 20 0 BFADHN Cc1cnc([C@H](C)N(C)CC2CCCC2)cn1 ZINC000428419469 191052156 /nfs/dbraw/zinc/05/21/56/191052156.db2.gz GPSSBWXCFSXNKW-LBPRGKRZSA-N 0 3 233.359 2.968 20 0 BFADHN Cc1ccc(F)c(CNC2CC=CC2)c1 ZINC000151942108 538064463 /nfs/dbraw/zinc/06/44/63/538064463.db2.gz YHOFUCSWPCXHED-UHFFFAOYSA-N 0 3 205.276 2.942 20 0 BFADHN Cc1ncc(CN(C)CCCOC(C)C)s1 ZINC000171499476 180138621 /nfs/dbraw/zinc/13/86/21/180138621.db2.gz BWFGBBXDSRJMEJ-UHFFFAOYSA-N 0 3 242.388 2.698 20 0 BFADHN Cc1ncc(CN2CCC(C)(C)C2)s1 ZINC000266613253 180140608 /nfs/dbraw/zinc/14/06/08/180140608.db2.gz WXDJKTSKFGRFHD-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN Cc1ncc(CN([C@@H](C)C(C)C)C2CC2)cn1 ZINC000339102477 180141559 /nfs/dbraw/zinc/14/15/59/180141559.db2.gz YFOGBLSHCHGKBQ-NSHDSACASA-N 0 3 233.359 2.794 20 0 BFADHN CC(=O)Nc1cccc(CN2CCC[C@H](C)C2)c1 ZINC000101543048 191064741 /nfs/dbraw/zinc/06/47/41/191064741.db2.gz PBFKKAODHINNML-LBPRGKRZSA-N 0 3 246.354 2.877 20 0 BFADHN CC(C)[C@H](C)N[C@H]1COc2ccc(F)cc21 ZINC000309718953 191065801 /nfs/dbraw/zinc/06/58/01/191065801.db2.gz ZXLRLCFYLHHXFY-CABZTGNLSA-N 0 3 223.291 2.893 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CC2(C)C)c(C)n1 ZINC000272050985 180173766 /nfs/dbraw/zinc/17/37/66/180173766.db2.gz MZPNOSHIDFGMTB-JOYOIKCWSA-N 0 3 219.332 2.543 20 0 BFADHN Cc1ncc([C@H](C)NC2CC(C)C2)c(C)n1 ZINC000130383315 180174078 /nfs/dbraw/zinc/17/40/78/180174078.db2.gz CVSRUIYCBWXVGC-BSTRBVHOSA-N 0 3 219.332 2.543 20 0 BFADHN Cc1ncc(CN2C[C@@H](C)CCC[C@H]2C)cn1 ZINC000336244015 180145681 /nfs/dbraw/zinc/14/56/81/180145681.db2.gz OGRKRNYHPCHZJL-NWDGAFQWSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)cn1 ZINC000352406809 180146241 /nfs/dbraw/zinc/14/62/41/180146241.db2.gz NQLQLOHSMYRKPU-IJLUTSLNSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1ncc(CN2C[C@H](C)CCC[C@@H]2C)cn1 ZINC000336244014 180146295 /nfs/dbraw/zinc/14/62/95/180146295.db2.gz OGRKRNYHPCHZJL-NEPJUHHUSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)C(C)(C)C2)cn1 ZINC000336355896 180146419 /nfs/dbraw/zinc/14/64/19/180146419.db2.gz NGYSMDILXJDJOD-NSHDSACASA-N 0 3 233.359 2.653 20 0 BFADHN Cc1ncc(CN2CC[C@H](C3CC3)C2)s1 ZINC000336383330 180146717 /nfs/dbraw/zinc/14/67/17/180146717.db2.gz RTMQBWRPEKUTGB-NSHDSACASA-N 0 3 222.357 2.683 20 0 BFADHN Cc1ncc(CNC[C@@]2(C)CCCS2)s1 ZINC000087620439 180152437 /nfs/dbraw/zinc/15/24/37/180152437.db2.gz RKOBTXYQRVUDNB-LLVKDONJSA-N 0 3 242.413 2.827 20 0 BFADHN COCCN1CCC(c2ccc(F)cc2)CC1 ZINC000083423306 350201444 /nfs/dbraw/zinc/20/14/44/350201444.db2.gz RRSMJGKZZALTAY-UHFFFAOYSA-N 0 3 237.318 2.652 20 0 BFADHN CO[C@](C)(CN[C@@H](C)c1ccoc1)C1CC1 ZINC000309217172 350202112 /nfs/dbraw/zinc/20/21/12/350202112.db2.gz FVCWMIFESRQTCD-GXFFZTMASA-N 0 3 223.316 2.745 20 0 BFADHN CCCN(Cc1n[nH]c(C(C)C)n1)CC(C)C ZINC000428464289 191077581 /nfs/dbraw/zinc/07/75/81/191077581.db2.gz VAULCBYOEXPMEM-UHFFFAOYSA-N 0 3 238.379 2.796 20 0 BFADHN CCC[N@@H+](Cc1nnc(C(C)C)[n-]1)CC(C)C ZINC000428464289 191077584 /nfs/dbraw/zinc/07/75/84/191077584.db2.gz VAULCBYOEXPMEM-UHFFFAOYSA-N 0 3 238.379 2.796 20 0 BFADHN CCC[N@H+](Cc1nnc(C(C)C)[n-]1)CC(C)C ZINC000428464289 191077585 /nfs/dbraw/zinc/07/75/85/191077585.db2.gz VAULCBYOEXPMEM-UHFFFAOYSA-N 0 3 238.379 2.796 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2CCCOCC2)n1 ZINC000083425836 350207213 /nfs/dbraw/zinc/20/72/13/350207213.db2.gz MIWCMSSWYYNADR-WDEREUQCSA-N 0 3 240.372 2.671 20 0 BFADHN COc1ncccc1CNC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403232420 350227135 /nfs/dbraw/zinc/22/71/35/350227135.db2.gz ORGXYPJLOOGFHI-MBNYWOFBSA-N 0 3 246.354 2.616 20 0 BFADHN Cc1nccn1CCCN(C)Cc1ccsc1 ZINC000122564895 180235032 /nfs/dbraw/zinc/23/50/32/180235032.db2.gz CKGWQKIAEWVMAH-UHFFFAOYSA-N 0 3 249.383 2.775 20 0 BFADHN Cc1nccn1CCN(Cc1ccco1)C(C)C ZINC000270864998 180248928 /nfs/dbraw/zinc/24/89/28/180248928.db2.gz SNHUMEBJGSDTGV-UHFFFAOYSA-N 0 3 247.342 2.695 20 0 BFADHN COCCCCN1CCOc2ccccc2[C@H]1C ZINC000605150345 350260104 /nfs/dbraw/zinc/26/01/04/350260104.db2.gz KUZVQTNDGYGWIF-CYBMUJFWSA-N 0 3 249.354 2.869 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCCOCCF)CC2 ZINC000663464439 490437603 /nfs/dbraw/zinc/43/76/03/490437603.db2.gz QSHADAPYOLXEAE-AWEZNQCLSA-N 0 3 237.318 2.558 20 0 BFADHN Cc1cc(C)cc(NC(=O)C2(N)CCCC2)c1 ZINC000037616052 350276374 /nfs/dbraw/zinc/27/63/74/350276374.db2.gz XPMPYPPJZKKGNU-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCSC(C)C ZINC000286941886 180280708 /nfs/dbraw/zinc/28/07/08/180280708.db2.gz GWTYZJVVNDPHDS-LLVKDONJSA-N 0 3 239.388 2.577 20 0 BFADHN Cc1nccnc1[C@H](C)NCCCC(F)(F)F ZINC000219172889 180281534 /nfs/dbraw/zinc/28/15/34/180281534.db2.gz PUZTWIIWIGOYGR-QMMMGPOBSA-N 0 3 247.264 2.778 20 0 BFADHN CCN(CC(=O)N(C(C)C)C(C)C)C1CCC1 ZINC000606434583 350337742 /nfs/dbraw/zinc/33/77/42/350337742.db2.gz WBLDKDCZVBSGIV-UHFFFAOYSA-N 0 3 240.391 2.506 20 0 BFADHN CCCC[C@H](CC)NCc1nnc2ccccn21 ZINC000069371304 350359552 /nfs/dbraw/zinc/35/95/52/350359552.db2.gz CXCQJCCKQIKZEU-LBPRGKRZSA-N 0 3 246.358 2.788 20 0 BFADHN CCO[C@@H]1CCCN([C@H](C)c2ccncc2)C1 ZINC000606438600 350333885 /nfs/dbraw/zinc/33/38/85/350333885.db2.gz BQBDCZRAZBKGIV-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)C[C@@H]1CC=CCC1 ZINC000353036582 180336451 /nfs/dbraw/zinc/33/64/51/180336451.db2.gz RTQRZQZKBWDHIC-CQSZACIVSA-N 0 3 247.386 2.825 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)CC(C)(C)C ZINC000339259851 180335976 /nfs/dbraw/zinc/33/59/76/180335976.db2.gz RIEHLTSUKVHXTC-UHFFFAOYSA-N 0 3 223.364 2.515 20 0 BFADHN Cc1ncsc1CNCCOc1ccccc1 ZINC000088869902 180319977 /nfs/dbraw/zinc/31/99/77/180319977.db2.gz UWRZUVDXASLJCZ-UHFFFAOYSA-N 0 3 248.351 2.620 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2cnn(C)c2C)s1 ZINC000035274530 358456485 /nfs/dbraw/zinc/45/64/85/358456485.db2.gz CNCDIUQHIQQPJF-JTQLQIEISA-N 0 3 249.383 2.949 20 0 BFADHN Cc1ccc(NC(C)C)c(OCCN(C)C)c1 ZINC000133059541 191103922 /nfs/dbraw/zinc/10/39/22/191103922.db2.gz AOAJICOAIJPZFJ-UHFFFAOYSA-N 0 3 236.359 2.756 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@@H]1CCC[C@@H]1C ZINC000162624395 180350321 /nfs/dbraw/zinc/35/03/21/180350321.db2.gz CWFYLNXMNXFEKF-GZMMTYOYSA-N 0 3 241.766 2.660 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@H](C)CC(C)C ZINC000124560849 180350568 /nfs/dbraw/zinc/35/05/68/180350568.db2.gz CMXYJRNVEWSMRR-SECBINFHSA-N 0 3 243.782 2.906 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@H]1CCC1(C)C ZINC000289964379 180352032 /nfs/dbraw/zinc/35/20/32/180352032.db2.gz CQKZFSZZXYDCSW-JTQLQIEISA-N 0 3 241.766 2.660 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@@H]2C)cc1O ZINC000162910546 350462681 /nfs/dbraw/zinc/46/26/81/350462681.db2.gz JRNIGOOVCNGNOJ-MNOVXSKESA-N 0 3 235.327 2.631 20 0 BFADHN C[C@@H](O)C[C@H](C)NCc1ccc(Cl)cc1F ZINC000162974919 350490449 /nfs/dbraw/zinc/49/04/49/350490449.db2.gz MKANMKOGIGJXFG-DTWKUNHWSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@H](C[C@H](C)O)NCc1ccc(Cl)cc1F ZINC000162975205 350491054 /nfs/dbraw/zinc/49/10/54/350491054.db2.gz MKANMKOGIGJXFG-BDAKNGLRSA-N 0 3 245.725 2.728 20 0 BFADHN Cc1nn(C)cc1CN[C@H](C)c1cccs1 ZINC000127935598 180374831 /nfs/dbraw/zinc/37/48/31/180374831.db2.gz RVADLDFPMARGGI-SNVBAGLBSA-N 0 3 235.356 2.641 20 0 BFADHN CC(C)[C@H](CO)NCc1ccc(Cl)cc1F ZINC000162969743 350484340 /nfs/dbraw/zinc/48/43/40/350484340.db2.gz KJKZBDABYQNCDC-LBPRGKRZSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@H](NC[C@@H](N)c1ccccc1)c1ccoc1 ZINC000577482246 365964183 /nfs/dbraw/zinc/96/41/83/365964183.db2.gz VEUUMHYPFVCNDW-SMDDNHRTSA-N 0 3 230.311 2.630 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@@H]1CC[C@H](C)O1 ZINC000128520789 490580529 /nfs/dbraw/zinc/58/05/29/490580529.db2.gz FKZFONCLFLWSQS-AUTRQRHGSA-N 0 3 238.331 2.510 20 0 BFADHN CCCCN(C)CCN[C@@H](C)c1ccc(C)o1 ZINC000163528245 350526174 /nfs/dbraw/zinc/52/61/74/350526174.db2.gz YOMXCYMZXPMONO-ZDUSSCGKSA-N 0 3 238.375 2.971 20 0 BFADHN C[C@H](N[C@H]1CCS[C@@H]1C)c1ccco1 ZINC000220533994 490608250 /nfs/dbraw/zinc/60/82/50/490608250.db2.gz YBEIINBXARPBEK-AEJSXWLSSA-N 0 3 211.330 2.824 20 0 BFADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H]1CO[C@@H](C)C1 ZINC000577513098 365975900 /nfs/dbraw/zinc/97/59/00/365975900.db2.gz VUSARDLXCRNBFP-JURCDPSOSA-N 0 3 249.354 2.530 20 0 BFADHN CC[C@@H]1CN(Cc2ccoc2C)CCCO1 ZINC000334595286 191110400 /nfs/dbraw/zinc/11/04/00/191110400.db2.gz CHOHCQAESRIWGX-CYBMUJFWSA-N 0 3 223.316 2.589 20 0 BFADHN CC[C@@H](NCc1ncnn1C(C)(C)C)C(C)C ZINC000337422412 350584338 /nfs/dbraw/zinc/58/43/38/350584338.db2.gz XCAKOVXDEYXACI-LLVKDONJSA-N 0 3 238.379 2.557 20 0 BFADHN CC1(C)CCC[C@H]([NH2+]Cc2nnc(C3CC3)[n-]2)C1 ZINC000331547446 350598668 /nfs/dbraw/zinc/59/86/68/350598668.db2.gz RHEQUDAJAOBSES-NSHDSACASA-N 0 3 248.374 2.741 20 0 BFADHN CC1(C)CCC[C@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC000331547446 350598672 /nfs/dbraw/zinc/59/86/72/350598672.db2.gz RHEQUDAJAOBSES-NSHDSACASA-N 0 3 248.374 2.741 20 0 BFADHN FC(F)c1ccc(CN2CCCOCC2)cc1 ZINC000335656042 538098045 /nfs/dbraw/zinc/09/80/45/538098045.db2.gz HGGPWPNLYAJXHO-UHFFFAOYSA-N 0 3 241.281 2.847 20 0 BFADHN COCC(C)(C)N(C)Cc1cncc(Cl)c1 ZINC000621297419 350711257 /nfs/dbraw/zinc/71/12/57/350711257.db2.gz MZGHAVFBJSFGEM-UHFFFAOYSA-N 0 3 242.750 2.592 20 0 BFADHN CCc1nc(C)c(CN2[C@H](C)CC[C@@H]2C)o1 ZINC000335854537 350681483 /nfs/dbraw/zinc/68/14/83/350681483.db2.gz IWVCZKJUZKNREC-AOOOYVTPSA-N 0 3 222.332 2.918 20 0 BFADHN COc1cc(CN[C@H]2CC23CC3)ccc1Cl ZINC000623554678 362975223 /nfs/dbraw/zinc/97/52/23/362975223.db2.gz JAOIAKQKFDHQGU-LBPRGKRZSA-N 0 3 237.730 2.991 20 0 BFADHN CC[C@@H](c1ccccc1)N1CC[C@](F)(CO)C1 ZINC000338584941 350697108 /nfs/dbraw/zinc/69/71/08/350697108.db2.gz JANQCKHRYJHDKY-UONOGXRCSA-N 0 3 237.318 2.544 20 0 BFADHN CCCC[C@@H](CC)CN1CC[C@@](F)(CO)C1 ZINC000338630593 350698688 /nfs/dbraw/zinc/69/86/88/350698688.db2.gz KTIWQPXMDFBFNK-OLZOCXBDSA-N 0 3 231.355 2.609 20 0 BFADHN CC[C@@H](O)CN[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000339065750 350730674 /nfs/dbraw/zinc/73/06/74/350730674.db2.gz GRVDDCZLIBUSPV-VXNVDRBHSA-N 0 3 247.260 2.525 20 0 BFADHN CCN(Cc1ccccc1SC)[C@@H](C)CO ZINC000339632331 350770726 /nfs/dbraw/zinc/77/07/26/350770726.db2.gz CEJUJGGMLVXRIH-NSHDSACASA-N 0 3 239.384 2.611 20 0 BFADHN Cc1cc(CN2C[C@@H]3CCCC[C@H]3C2)on1 ZINC000177524780 366004586 /nfs/dbraw/zinc/00/45/86/366004586.db2.gz KRSXVDANTWAYCO-RYUDHWBXSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1cc(CN2C[C@H]3CCCC[C@@H]3C2)on1 ZINC000177524767 366005071 /nfs/dbraw/zinc/00/50/71/366005071.db2.gz KRSXVDANTWAYCO-VXGBXAGGSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCC[C@H]2C2CC2)o1 ZINC000628310224 350788121 /nfs/dbraw/zinc/78/81/21/350788121.db2.gz SDDLEIJQBSDIQU-JSGCOSHPSA-N 0 3 234.343 2.899 20 0 BFADHN Cc1cnc(CN2C[C@H]3CCCC[C@@H]3C2)o1 ZINC000177537573 366007146 /nfs/dbraw/zinc/00/71/46/366007146.db2.gz DSVPWEAGZAHUIA-VXGBXAGGSA-N 0 3 220.316 2.605 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cnc(C3CC3)o2)CS1 ZINC000628311123 350810197 /nfs/dbraw/zinc/81/01/97/350810197.db2.gz ZLGZTOBNDUSNJL-PSASIEDQSA-N 0 3 238.356 2.536 20 0 BFADHN CO[C@@H]1CCC[C@H]1CN[C@H](C)c1cscn1 ZINC000309558818 323425073 /nfs/dbraw/zinc/42/50/73/323425073.db2.gz KKVWCRXOLJBRFF-JFGNBEQYSA-N 0 3 240.372 2.609 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@H](C)[C@H]2C)[nH]c1C ZINC000628314611 350836365 /nfs/dbraw/zinc/83/63/65/350836365.db2.gz HGNCICXSPCLEEI-HOTUBEGUSA-N 0 3 221.348 2.503 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1ccc(F)cc1 ZINC000019904660 350840247 /nfs/dbraw/zinc/84/02/47/350840247.db2.gz NSDCEKYKQGVHAG-UWVGGRQHSA-N 0 3 211.280 2.511 20 0 BFADHN Cc1noc(C)c1CNCC1CC(C)(C)C1 ZINC000309904318 350825422 /nfs/dbraw/zinc/82/54/22/350825422.db2.gz JJNPRGQBBHBVMI-UHFFFAOYSA-N 0 3 222.332 2.817 20 0 BFADHN C/C(=C/c1ccccc1)CN[C@@H]1CO[C@H](C)C1 ZINC000571208573 350869810 /nfs/dbraw/zinc/86/98/10/350869810.db2.gz SHPREGXVAWVFQD-AHTUEQHWSA-N 0 3 231.339 2.857 20 0 BFADHN OCCCN1CCC[C@@H]1c1cccc(Cl)c1 ZINC000052077801 350933701 /nfs/dbraw/zinc/93/37/01/350933701.db2.gz OOANVVAFXYKHGX-CYBMUJFWSA-N 0 3 239.746 2.859 20 0 BFADHN C/C=C\CNCc1ccc(OCC(F)F)cc1 ZINC000623739054 350883200 /nfs/dbraw/zinc/88/32/00/350883200.db2.gz HHZXUGOBPVLQDW-IHWYPQMZSA-N 0 3 241.281 2.996 20 0 BFADHN C/C=C/CNCc1cnc2ccc(Cl)cn12 ZINC000623739017 350883447 /nfs/dbraw/zinc/88/34/47/350883447.db2.gz FSUHBLDAAHEITQ-NSCUHMNNSA-N 0 3 235.718 2.653 20 0 BFADHN CCc1nc(C)c(CNC[C@@H](C)CC)o1 ZINC000291794558 350967616 /nfs/dbraw/zinc/96/76/16/350967616.db2.gz WPKAUKBBPJUFQG-VIFPVBQESA-N 0 3 210.321 2.681 20 0 BFADHN Cc1occc1CN[C@H]1COc2ccccc2C1 ZINC000321574034 180543429 /nfs/dbraw/zinc/54/34/29/180543429.db2.gz NUNHDNVQVGJGAA-CQSZACIVSA-N 0 3 243.306 2.681 20 0 BFADHN Cc1nc(CN[C@H](C)Cc2cccs2)[nH]c1C ZINC000580963790 351026550 /nfs/dbraw/zinc/02/65/50/351026550.db2.gz SDJPDOSBKTVUKX-SECBINFHSA-N 0 3 249.383 2.809 20 0 BFADHN Cc1nc(CN[C@@H](C)Cc2cccs2)[nH]c1C ZINC000580963793 351026563 /nfs/dbraw/zinc/02/65/63/351026563.db2.gz SDJPDOSBKTVUKX-VIFPVBQESA-N 0 3 249.383 2.809 20 0 BFADHN Cc1cc(CNC[C@@]2(C)CC2(F)F)ccn1 ZINC000623570536 362999472 /nfs/dbraw/zinc/99/94/72/362999472.db2.gz WYPQWTVOARYZRW-LLVKDONJSA-N 0 3 226.270 2.525 20 0 BFADHN C/C=C/CNCc1cc2ccncc2s1 ZINC000623740074 351050504 /nfs/dbraw/zinc/05/05/04/351050504.db2.gz MDBKVIORPHJVLL-NSCUHMNNSA-N 0 3 218.325 2.962 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](CC)c1ccccc1 ZINC000309657936 323443719 /nfs/dbraw/zinc/44/37/19/323443719.db2.gz QKNVJMDACHXZPC-MGPQQGTHSA-N 0 3 219.328 2.905 20 0 BFADHN CCCCN(C)CC(=O)N(C)C1CCCCC1 ZINC000052869533 351075712 /nfs/dbraw/zinc/07/57/12/351075712.db2.gz QPHJZEOOWWZRFW-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2C[C@H]2C2CCC2)o1 ZINC000628318588 351086893 /nfs/dbraw/zinc/08/68/93/351086893.db2.gz LLXFDPZGVFKKQA-NWDGAFQWSA-N 0 3 220.316 2.570 20 0 BFADHN COCc1ccc(CNC[C@]2(C)C[C@H]3C[C@H]3C2)o1 ZINC000623740904 351087503 /nfs/dbraw/zinc/08/75/03/351087503.db2.gz GILHVPFGHLBSGW-JJLQDPRZSA-N 0 3 249.354 2.952 20 0 BFADHN CCCCCNCc1cccc2c1OCO2 ZINC000036167554 358460467 /nfs/dbraw/zinc/46/04/67/358460467.db2.gz SAMXISYZAWZUAY-UHFFFAOYSA-N 0 3 221.300 2.695 20 0 BFADHN C[C@H](CNCc1cnc(C2CC2)o1)CC(F)F ZINC000628321696 351183734 /nfs/dbraw/zinc/18/37/34/351183734.db2.gz XMSYREUBRYHRPH-QMMMGPOBSA-N 0 3 244.285 2.933 20 0 BFADHN Cc1nc(C)c(CNCC[C@]2(C)CC2(F)F)o1 ZINC000628339706 351283687 /nfs/dbraw/zinc/28/36/87/351283687.db2.gz UOXFXBBYPCQYMO-LLVKDONJSA-N 0 3 244.285 2.816 20 0 BFADHN Cc1nc(C)c(CNCC[C@@]2(C)CC2(F)F)o1 ZINC000628339707 351283813 /nfs/dbraw/zinc/28/38/13/351283813.db2.gz UOXFXBBYPCQYMO-NSHDSACASA-N 0 3 244.285 2.816 20 0 BFADHN Cc1ncc(CN[C@@H]2Cc3ccccc3[C@@H]2C)o1 ZINC000628338686 351285716 /nfs/dbraw/zinc/28/57/16/351285716.db2.gz SQUKSWUYNCLPSJ-ZUZCIYMTSA-N 0 3 242.322 2.801 20 0 BFADHN c1[nH]nc2cccc(CN3CC(CC4CC4)C3)c12 ZINC000628361566 351334563 /nfs/dbraw/zinc/33/45/63/351334563.db2.gz MOQDUEHZMHEBFX-UHFFFAOYSA-N 0 3 241.338 2.795 20 0 BFADHN CCN1CCCC[C@@H]1CNc1cccc(F)n1 ZINC000267508796 351312427 /nfs/dbraw/zinc/31/24/27/351312427.db2.gz IANYPCCYOIVIRG-LLVKDONJSA-N 0 3 237.322 2.507 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](C3CCC3)C2)o1 ZINC000628351834 351314207 /nfs/dbraw/zinc/31/42/07/351314207.db2.gz PWVVNSSDTVVSGM-CYBMUJFWSA-N 0 3 234.343 2.995 20 0 BFADHN CC1(C)C[C@]1(C)NCc1cnc2ccccc2n1 ZINC000628351850 351314762 /nfs/dbraw/zinc/31/47/62/351314762.db2.gz QLMNSSRSSXUDKG-HNNXBMFYSA-N 0 3 241.338 2.908 20 0 BFADHN C[C@@H](N[C@@H](C)C1CC(F)(F)C1)c1nccn1C ZINC000628352188 351315198 /nfs/dbraw/zinc/31/51/98/351315198.db2.gz ACTCBZWDYXVECI-DTWKUNHWSA-N 0 3 243.301 2.505 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H]1CCCc2ncccc21 ZINC000631636606 351335753 /nfs/dbraw/zinc/33/57/53/351335753.db2.gz KCWJFFDOCWDHFT-ZNMIVQPWSA-N 0 3 246.354 2.616 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H]2CCCc3ncccc32)C1 ZINC000631635041 351325294 /nfs/dbraw/zinc/32/52/94/351325294.db2.gz IBRJJQVHZBNNMP-TYNCELHUSA-N 0 3 246.354 2.616 20 0 BFADHN CCN(Cc1ccno1)C1CCCCC1 ZINC000268008553 351325980 /nfs/dbraw/zinc/32/59/80/351325980.db2.gz WCSBGQIIZUMUPF-UHFFFAOYSA-N 0 3 208.305 2.829 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@H]3C[C@H]32)on1 ZINC000631175242 351271019 /nfs/dbraw/zinc/27/10/19/351271019.db2.gz UVKFIQBEFRLWNN-GMXVVIOVSA-N 0 3 220.316 2.509 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@@H]3C[C@@H]32)on1 ZINC000631175244 351271418 /nfs/dbraw/zinc/27/14/18/351271418.db2.gz UVKFIQBEFRLWNN-MDZLAQPJSA-N 0 3 220.316 2.509 20 0 BFADHN CC1(C)CCCN(Cc2ccno2)CC1 ZINC000266031243 351278303 /nfs/dbraw/zinc/27/83/03/351278303.db2.gz RQTIFOJTYMSTNE-UHFFFAOYSA-N 0 3 208.305 2.687 20 0 BFADHN COCCC1CN(Cc2ccc3[nH]ccc3c2)C1 ZINC000628337363 351279773 /nfs/dbraw/zinc/27/97/73/351279773.db2.gz DECIXRQYCOZGSS-UHFFFAOYSA-N 0 3 244.338 2.636 20 0 BFADHN CCC[C@H](N[C@H]1C[C@@](C)(O)C1)c1cc(C)ccn1 ZINC000631642231 351377922 /nfs/dbraw/zinc/37/79/22/351377922.db2.gz PNRAGZVIYSUYOP-KCQAQPDRSA-N 0 3 248.370 2.734 20 0 BFADHN CCN(Cc1cc(OC)cc(C)n1)C(C)C ZINC000270276920 351377999 /nfs/dbraw/zinc/37/79/99/351377999.db2.gz LUVVCWFCPKPGOB-UHFFFAOYSA-N 0 3 222.332 2.629 20 0 BFADHN CC(C)n1ncnc1CN[C@H](C)CC1CCC1 ZINC000631250713 351389308 /nfs/dbraw/zinc/38/93/08/351389308.db2.gz OQOSFGCTNUZRCE-LLVKDONJSA-N 0 3 236.363 2.527 20 0 BFADHN CC(C)CN(C)Cc1cccc2c1OCO2 ZINC000271049998 351397944 /nfs/dbraw/zinc/39/79/44/351397944.db2.gz OONNZZLLGSTDNG-UHFFFAOYSA-N 0 3 221.300 2.503 20 0 BFADHN c1cnc2c(c1)[C@@H](N[C@H]1CC13CC3)CCC2 ZINC000631638562 351345913 /nfs/dbraw/zinc/34/59/13/351345913.db2.gz OQNPBIPPLVQRPK-STQMWFEESA-N 0 3 214.312 2.601 20 0 BFADHN C[C@H](N[C@@H]1CCc2c1cccc2O)[C@H]1CCCO1 ZINC000036852587 358463211 /nfs/dbraw/zinc/46/32/11/358463211.db2.gz ZADRKVLVYQRCGX-PSOPSSQASA-N 0 3 247.338 2.537 20 0 BFADHN CC(C)Oc1ccc(NC2CCN(C)CC2)cc1 ZINC000034957225 351363110 /nfs/dbraw/zinc/36/31/10/351363110.db2.gz FIZDAODGAJPIFD-UHFFFAOYSA-N 0 3 248.370 2.980 20 0 BFADHN CCC[C@H](CNCc1ccc(C)o1)OCC ZINC000623598305 363034822 /nfs/dbraw/zinc/03/48/22/363034822.db2.gz YONHNKJZMWRMOU-GFCCVEGCSA-N 0 3 225.332 2.883 20 0 BFADHN CCC[C@H](CNCc1ccnc(C)c1)OCC ZINC000623599438 363037490 /nfs/dbraw/zinc/03/74/90/363037490.db2.gz HVQRUGVXBMJYEG-CQSZACIVSA-N 0 3 236.359 2.685 20 0 BFADHN C[C@@H](Cc1ccsc1)NCc1ccns1 ZINC000404460565 351468692 /nfs/dbraw/zinc/46/86/92/351468692.db2.gz QTLYPNNXUWZVPS-VIFPVBQESA-N 0 3 238.381 2.925 20 0 BFADHN CCOc1ccc(CN2CCCC2)cc1OC ZINC000000042940 363044700 /nfs/dbraw/zinc/04/47/00/363044700.db2.gz XMMHPWGTVHQKMT-UHFFFAOYSA-N 0 3 235.327 2.690 20 0 BFADHN Fc1cccn2cc(CNCC3CC=CC3)nc12 ZINC000623613220 363052908 /nfs/dbraw/zinc/05/29/08/363052908.db2.gz GTNSUPRUMXNTJP-UHFFFAOYSA-N 0 3 245.301 2.529 20 0 BFADHN CC1(C)CN(C/C=C/c2ccccc2)CC[C@H]1O ZINC000275392305 351598499 /nfs/dbraw/zinc/59/84/99/351598499.db2.gz CRCMUKAQYFCEKM-RZIFZGNASA-N 0 3 245.366 2.793 20 0 BFADHN Cc1nc(CNC[C@H]2CC3CCC2CC3)[nH]c1C ZINC000577651589 366032407 /nfs/dbraw/zinc/03/24/07/366032407.db2.gz LHIMSVPYRSINLI-JXQTWKCFSA-N 0 3 247.386 2.942 20 0 BFADHN CC[C@@](C)(CN(C)Cc1cccc(O)c1)OC ZINC000637813134 351649278 /nfs/dbraw/zinc/64/92/78/351649278.db2.gz KPDQXFSUMATNAN-AWEZNQCLSA-N 0 3 237.343 2.639 20 0 BFADHN CO[C@](C)(CN(C)Cc1cccc(O)c1)C1CC1 ZINC000637814036 351657826 /nfs/dbraw/zinc/65/78/26/351657826.db2.gz VIJMHWUBHPTNCZ-OAHLLOKOSA-N 0 3 249.354 2.639 20 0 BFADHN Clc1cc2c(s1)CC[C@H]2N[C@H]1CCOC1 ZINC000088117503 351618356 /nfs/dbraw/zinc/61/83/56/351618356.db2.gz KCDCRJAOUIDCOS-IONNQARKSA-N 0 3 243.759 2.767 20 0 BFADHN Cc1ccc(CN[C@H](C)CC(C)C)nc1 ZINC000088134994 351625061 /nfs/dbraw/zinc/62/50/61/351625061.db2.gz HBDXNYKHSLTVFK-GFCCVEGCSA-N 0 3 206.333 2.914 20 0 BFADHN Cn1nc2c(c1CN1CCCCCC1)CCCC2 ZINC000637830009 351678706 /nfs/dbraw/zinc/67/87/06/351678706.db2.gz ZDZANBNCFDTEIV-UHFFFAOYSA-N 0 3 247.386 2.675 20 0 BFADHN C[C@H]1CCCN([C@@H](c2nccn2C)C2CC2)C1 ZINC000637833551 351684162 /nfs/dbraw/zinc/68/41/62/351684162.db2.gz SKCLDOKSLDXKKU-WCQYABFASA-N 0 3 233.359 2.603 20 0 BFADHN C[C@H]1CCCN(Cc2c3c(nn2C)CCCC3)C1 ZINC000637833850 351684318 /nfs/dbraw/zinc/68/43/18/351684318.db2.gz VJGBQPYEPAFDTQ-LBPRGKRZSA-N 0 3 247.386 2.531 20 0 BFADHN CCCCN(CC)Cc1c2c(nn1C)CCCC2 ZINC000637834922 351685574 /nfs/dbraw/zinc/68/55/74/351685574.db2.gz BOKJXIITAHNDFW-UHFFFAOYSA-N 0 3 249.402 2.921 20 0 BFADHN Cc1cc(CN2CCC(C)CC2)sn1 ZINC000637835732 351686401 /nfs/dbraw/zinc/68/64/01/351686401.db2.gz JPHQIJGKXNZGEI-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN CCCCN(CC)[C@@H](c1nccn1C)C1CC1 ZINC000637836755 351690423 /nfs/dbraw/zinc/69/04/23/351690423.db2.gz SJBAFSKDQXSVBY-CYBMUJFWSA-N 0 3 235.375 2.993 20 0 BFADHN CC(C)N(C)Cc1ccc(C(F)F)nc1 ZINC000637838507 351693897 /nfs/dbraw/zinc/69/38/97/351693897.db2.gz XIPCHJSNTCMHQV-UHFFFAOYSA-N 0 3 214.259 2.859 20 0 BFADHN CN(CCC[C@H]1CCO1)Cc1cccc(F)c1 ZINC000637846462 351704373 /nfs/dbraw/zinc/70/43/73/351704373.db2.gz CGISDWVSRWCBAB-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN CC(C)CN1CCN(CC2(C(C)C)CC2)CC1 ZINC000637861280 351721956 /nfs/dbraw/zinc/72/19/56/351721956.db2.gz HLZQOROQXAHAOH-UHFFFAOYSA-N 0 3 238.419 2.696 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N[C@@H](C)c1ccccc1 ZINC000637868859 351728252 /nfs/dbraw/zinc/72/82/52/351728252.db2.gz PNZVAXTZYXCIEO-JSGCOSHPSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1nn(C)c(C)c1CCN[C@@H](C)c1ccoc1 ZINC000177748411 366060701 /nfs/dbraw/zinc/06/07/01/366060701.db2.gz DXZBSFCDAHECFO-JTQLQIEISA-N 0 3 247.342 2.523 20 0 BFADHN C[C@@H](C(=O)N(C)C(C)(C)C)N1CCCCCC1 ZINC000577754721 366054830 /nfs/dbraw/zinc/05/48/30/366054830.db2.gz NGBVKFAGQUNELR-LBPRGKRZSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CC1CCSCC1 ZINC000637852843 351712428 /nfs/dbraw/zinc/71/24/28/351712428.db2.gz PFCTVAGELUXKAK-AAEUAGOBSA-N 0 3 243.416 2.629 20 0 BFADHN CO[C@@](C)(CN(C)Cc1ccc(C)o1)C1CC1 ZINC000637854257 351712981 /nfs/dbraw/zinc/71/29/81/351712981.db2.gz WTRXHLSRJFOPAR-AWEZNQCLSA-N 0 3 237.343 2.835 20 0 BFADHN COc1ncc(CN(C)[C@@H](C)C(C)C)s1 ZINC000637903013 351775677 /nfs/dbraw/zinc/77/56/77/351775677.db2.gz NUNXTOFZCQPDRC-VIFPVBQESA-N 0 3 228.361 2.628 20 0 BFADHN CC[C@@H](C)N(C)[C@@H](c1nccn1C)C1CC1 ZINC000637911868 351785598 /nfs/dbraw/zinc/78/55/98/351785598.db2.gz OKJZTEZYRYACDE-ZYHUDNBSSA-N 0 3 221.348 2.602 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccnn1CC1CCC1 ZINC000637910226 351786406 /nfs/dbraw/zinc/78/64/06/351786406.db2.gz ATYSJUCZUHMBQU-GFCCVEGCSA-N 0 3 235.375 2.914 20 0 BFADHN CCN(Cc1cnc(OC)s1)CC(C)C ZINC000637910541 351787060 /nfs/dbraw/zinc/78/70/60/351787060.db2.gz OPELHMMEUXNDIN-UHFFFAOYSA-N 0 3 228.361 2.630 20 0 BFADHN CC(C)N([C@@H](c1nccn1C)C1CC1)C1CC1 ZINC000637928589 351804619 /nfs/dbraw/zinc/80/46/19/351804619.db2.gz VSLHDOALAAZXBZ-CYBMUJFWSA-N 0 3 233.359 2.744 20 0 BFADHN c1ccc([C@@H]2CCCN2CCC[C@@H]2CCO2)nc1 ZINC000637914054 351788677 /nfs/dbraw/zinc/78/86/77/351788677.db2.gz WJGCKLGEQPVBLK-HIFRSBDPSA-N 0 3 246.354 2.788 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1cccc(C(C)C)c1 ZINC000637887803 351755505 /nfs/dbraw/zinc/75/55/05/351755505.db2.gz YZBSFMYNKOJMFE-NSHDSACASA-N 0 3 234.343 2.747 20 0 BFADHN CC[C@@H](C)C[C@H](C)NC(=O)C1(N)CCCCC1 ZINC000088300516 351757483 /nfs/dbraw/zinc/75/74/83/351757483.db2.gz POEHTPPWLGLZSP-NEPJUHHUSA-N 0 3 240.391 2.589 20 0 BFADHN CCN(C)Cc1ccc2ccc(F)c(F)c2n1 ZINC000637891073 351763272 /nfs/dbraw/zinc/76/32/72/351763272.db2.gz YPSVDGHKMVDQOG-UHFFFAOYSA-N 0 3 236.265 2.965 20 0 BFADHN CCCN(C)Cc1c(C)cccc1OC ZINC000637891282 351763310 /nfs/dbraw/zinc/76/33/10/351763310.db2.gz FRSMFVUYMRWHDH-UHFFFAOYSA-N 0 3 207.317 2.845 20 0 BFADHN Cc1cccc(CN(C)Cc2ccc(=O)[nH]c2)c1 ZINC000637900960 351770501 /nfs/dbraw/zinc/77/05/01/351770501.db2.gz HSMKMXQJCFBUBM-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN CC(C)[C@@H](C)N(C)[C@@H](c1nccn1C)C1CC1 ZINC000637901838 351771452 /nfs/dbraw/zinc/77/14/52/351771452.db2.gz HUMYAAXHDXTIQS-DGCLKSJQSA-N 0 3 235.375 2.848 20 0 BFADHN C[C@@H](c1ccco1)N(C)CCC[C@@H]1CCO1 ZINC000637902681 351774837 /nfs/dbraw/zinc/77/48/37/351774837.db2.gz MKYMBROJGWBFEK-NWDGAFQWSA-N 0 3 223.316 2.842 20 0 BFADHN CC[C@H]1CCCN([C@H](c2nccn2C)C2CC2)C1 ZINC000637944708 351820037 /nfs/dbraw/zinc/82/00/37/351820037.db2.gz MTPZIRCMWGHDGZ-JSGCOSHPSA-N 0 3 247.386 2.993 20 0 BFADHN CC1(C)CN([C@@H]2CCc3ccc(F)cc32)C[C@H]1O ZINC000279708083 351821856 /nfs/dbraw/zinc/82/18/56/351821856.db2.gz HRWQZRZXVJNZNW-ZIAGYGMSSA-N 0 3 249.329 2.516 20 0 BFADHN CCC[C@@H](CN1CCOc2ccccc2C1)OC ZINC000637957127 351833053 /nfs/dbraw/zinc/83/30/53/351833053.db2.gz CPTUBWPIGKLWBH-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@](C)(CN(C)Cc1ccoc1)OC ZINC000637964951 351838661 /nfs/dbraw/zinc/83/86/61/351838661.db2.gz DEZIFDWYFFUKCN-GFCCVEGCSA-N 0 3 211.305 2.527 20 0 BFADHN CCC1CCN([C@H](c2nccn2C)C2CC2)CC1 ZINC000637959449 351833827 /nfs/dbraw/zinc/83/38/27/351833827.db2.gz FFPNBNAQSHPJIH-AWEZNQCLSA-N 0 3 247.386 2.993 20 0 BFADHN CN(CC(C)(C)C)[C@H](c1nccn1C)C1CC1 ZINC000637961059 351835240 /nfs/dbraw/zinc/83/52/40/351835240.db2.gz VFYRLMLJVPXYQR-LBPRGKRZSA-N 0 3 235.375 2.849 20 0 BFADHN CO[C@H]1CCCN(Cc2csc(C)c2C)C1 ZINC000637939588 351816143 /nfs/dbraw/zinc/81/61/43/351816143.db2.gz MISHOGGXHUHYLO-ZDUSSCGKSA-N 0 3 239.384 2.976 20 0 BFADHN CC[C@H]1CCCN1Cc1c2c(nn1C)CCCC2 ZINC000637965748 351839771 /nfs/dbraw/zinc/83/97/71/351839771.db2.gz VBZXIZNQHDDIKP-LBPRGKRZSA-N 0 3 247.386 2.673 20 0 BFADHN CO[C@@](C)(CN(C)Cc1ccoc1C)C1CC1 ZINC000637969276 351842521 /nfs/dbraw/zinc/84/25/21/351842521.db2.gz KGOMRKJMXOENPX-AWEZNQCLSA-N 0 3 237.343 2.835 20 0 BFADHN CC[C@H](C)[C@H](CN(C)C[C@H]1CCCCO1)OC ZINC000637967903 351842938 /nfs/dbraw/zinc/84/29/38/351842938.db2.gz YVCXUIRQIVQFFI-MJBXVCDLSA-N 0 3 243.391 2.548 20 0 BFADHN c1cc(CN2CCC[C@H]3CCC[C@@H]32)sn1 ZINC000637973408 351848793 /nfs/dbraw/zinc/84/87/93/351848793.db2.gz WGHBQOXYPKHMDM-PWSUYJOCSA-N 0 3 222.357 2.908 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)N1CCCCC[C@@H]1C ZINC000637996331 351871390 /nfs/dbraw/zinc/87/13/90/351871390.db2.gz IBCNCHAONHUTFU-QWHCGFSZSA-N 0 3 240.391 2.541 20 0 BFADHN c1ccc2c(c1)CN(CCC[C@@H]1CCO1)C2 ZINC000638037595 351917394 /nfs/dbraw/zinc/91/73/94/351917394.db2.gz QNRWLYQRJBCRKM-CQSZACIVSA-N 0 3 217.312 2.571 20 0 BFADHN CCN[C@H](C)C(=O)Nc1cc(CC)ccc1CC ZINC000638036763 351919339 /nfs/dbraw/zinc/91/93/39/351919339.db2.gz DDDMGEYXNDVVOV-LLVKDONJSA-N 0 3 248.370 2.748 20 0 BFADHN CCCC[C@H](C)[C@H](C)NCc1nccn1C ZINC000336736167 351925841 /nfs/dbraw/zinc/92/58/41/351925841.db2.gz MJXRPAYQKOYNFU-RYUDHWBXSA-N 0 3 223.364 2.725 20 0 BFADHN CCCC[C@H](C)[C@@H](C)NCc1nccn1C ZINC000336736164 351925920 /nfs/dbraw/zinc/92/59/20/351925920.db2.gz MJXRPAYQKOYNFU-NWDGAFQWSA-N 0 3 223.364 2.725 20 0 BFADHN Cc1cc(CN2CCC(C)(C)C2)sn1 ZINC000638069565 351941687 /nfs/dbraw/zinc/94/16/87/351941687.db2.gz QBHDLWNIAHCOEH-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN CCN(Cc1ccoc1)C[C@](C)(CC)OC ZINC000638014025 351891473 /nfs/dbraw/zinc/89/14/73/351891473.db2.gz BFWDVIJERCEWDJ-ZDUSSCGKSA-N 0 3 225.332 2.917 20 0 BFADHN CCn1cc(CN(C)CC2(C(C)C)CC2)cn1 ZINC000638084131 351959333 /nfs/dbraw/zinc/95/93/33/351959333.db2.gz NAWPCSSZYGXRBB-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN CCC[C@H](CN1CCc2ccsc2C1)OC ZINC000638088282 351965639 /nfs/dbraw/zinc/96/56/39/351965639.db2.gz LBDPQUCXMASUHQ-GFCCVEGCSA-N 0 3 239.384 2.921 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2c3c(nn2C)CCCC3)C1 ZINC000638111569 351989289 /nfs/dbraw/zinc/98/92/89/351989289.db2.gz VFXUCQPWYNPRHA-RYUDHWBXSA-N 0 3 247.386 2.529 20 0 BFADHN Cc1ncc([C@H](C)N2C[C@@H](C)C[C@H]2C)c(C)n1 ZINC000638112357 351989446 /nfs/dbraw/zinc/98/94/46/351989446.db2.gz YFGXPQJKKOBXOF-UMNHJUIQSA-N 0 3 233.359 2.885 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2ccnn2CC2CCC2)C1 ZINC000638111977 351989603 /nfs/dbraw/zinc/98/96/03/351989603.db2.gz XJKOKTUIPPSLGR-OLZOCXBDSA-N 0 3 247.386 2.914 20 0 BFADHN CC[C@](C)(CN(C)Cc1cccc(C)n1)OC ZINC000638113207 351989944 /nfs/dbraw/zinc/98/99/44/351989944.db2.gz ZLGHVBUAITWZIB-CQSZACIVSA-N 0 3 236.359 2.637 20 0 BFADHN Cc1cc(CN2CC[C@@H](C3CC3)C2)sn1 ZINC000638132281 352001062 /nfs/dbraw/zinc/00/10/62/352001062.db2.gz FYVPHKRMZDVUAG-LLVKDONJSA-N 0 3 222.357 2.683 20 0 BFADHN CCCCOCCN[C@@H](C)c1cc(C)ccn1 ZINC000285522847 352048162 /nfs/dbraw/zinc/04/81/62/352048162.db2.gz BGGVNXCTFMJEIB-ZDUSSCGKSA-N 0 3 236.359 2.857 20 0 BFADHN COc1cncc(CN(C)CCC2CC2)c1C ZINC000638181841 352048307 /nfs/dbraw/zinc/04/83/07/352048307.db2.gz OYYOKWIPIQTGLY-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN CCCCOCCNCc1csc(C)c1 ZINC000285943603 352066804 /nfs/dbraw/zinc/06/68/04/352066804.db2.gz PPKHDFSKQQIOBP-UHFFFAOYSA-N 0 3 227.373 2.963 20 0 BFADHN Cc1ncc([C@H](C)N2CC[C@@H](C)[C@@H]2C)c(C)n1 ZINC000638161912 352026387 /nfs/dbraw/zinc/02/63/87/352026387.db2.gz BDWAGSLUZBYASN-USWWRNFRSA-N 0 3 233.359 2.885 20 0 BFADHN COc1cncc(CN2CC[C@H](C(C)C)C2)c1C ZINC000638168435 352035923 /nfs/dbraw/zinc/03/59/23/352035923.db2.gz FOEVCNUDRDVIHA-ZDUSSCGKSA-N 0 3 248.370 2.877 20 0 BFADHN COc1ncc(CN2CC[C@@H](C(C)C)C2)s1 ZINC000638171375 352038822 /nfs/dbraw/zinc/03/88/22/352038822.db2.gz UVJVUYQHDIQFKC-SNVBAGLBSA-N 0 3 240.372 2.630 20 0 BFADHN CCOC1CC(CNCc2ccc(Cl)o2)C1 ZINC000638281785 352117814 /nfs/dbraw/zinc/11/78/14/352117814.db2.gz JEDMBELCSMCQKE-UHFFFAOYSA-N 0 3 243.734 2.838 20 0 BFADHN CCN(Cc1cnccc1OC)C1CCCC1 ZINC000287216010 352117827 /nfs/dbraw/zinc/11/78/27/352117827.db2.gz IVSYGIXWUWRCSL-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@@H](CC)CO ZINC000020195481 352137509 /nfs/dbraw/zinc/13/75/09/352137509.db2.gz CXGLHZVBADMGBW-NEPJUHHUSA-N 0 3 237.343 2.507 20 0 BFADHN CCN1CCC[C@H](Oc2ccccc2OC)C1 ZINC000286586357 352094687 /nfs/dbraw/zinc/09/46/87/352094687.db2.gz VNUBDIWHDCJQPD-LBPRGKRZSA-N 0 3 235.327 2.558 20 0 BFADHN CCN(Cc1cnccc1OC)[C@@H](C)C(C)C ZINC000286670530 352099331 /nfs/dbraw/zinc/09/93/31/352099331.db2.gz AJVJWRRIOZBSCP-LBPRGKRZSA-N 0 3 236.359 2.957 20 0 BFADHN Cc1cc(CN2CC[C@@H]2C(C)C)sn1 ZINC000638273500 352110688 /nfs/dbraw/zinc/11/06/88/352110688.db2.gz CPNOIQHSLGPVRB-LLVKDONJSA-N 0 3 210.346 2.682 20 0 BFADHN CC(=O)Nc1cccc(CN2CC[C@@H]2C(C)C)c1 ZINC000638276554 352113903 /nfs/dbraw/zinc/11/39/03/352113903.db2.gz SEGNEFKZTRWAOK-OAHLLOKOSA-N 0 3 246.354 2.875 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1nc2ccccc2o1 ZINC000638362266 352174520 /nfs/dbraw/zinc/17/45/20/352174520.db2.gz DAUVUNFURIPJAO-ZJUUUORDSA-N 0 3 216.284 2.573 20 0 BFADHN CCN(Cc1cnc([C@H](C)O)s1)CC(C)C ZINC000289243853 352177095 /nfs/dbraw/zinc/17/70/95/352177095.db2.gz ZVCROVQWSCBTKX-JTQLQIEISA-N 0 3 242.388 2.674 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1C(=O)[C@H](N)CCC(C)C ZINC000638313260 352139698 /nfs/dbraw/zinc/13/96/98/352139698.db2.gz SLKXHCGPXAYOIH-JHJVBQTASA-N 0 3 240.391 2.539 20 0 BFADHN CCCCNCc1cc(OC)c(O)cc1Cl ZINC000638320072 352142513 /nfs/dbraw/zinc/14/25/13/352142513.db2.gz GAMWOUWONKJOEY-UHFFFAOYSA-N 0 3 243.734 2.944 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1ccc(Cl)c(C)c1 ZINC000638395691 352202728 /nfs/dbraw/zinc/20/27/28/352202728.db2.gz CVNOMPUMVJQUBL-VIFPVBQESA-N 0 3 240.734 2.585 20 0 BFADHN Cc1nc([C@@H](C)N(C)CC2CCCCC2)n[nH]1 ZINC000411132871 191161746 /nfs/dbraw/zinc/16/17/46/191161746.db2.gz ZAPACIQLCMSSTF-SNVBAGLBSA-N 0 3 236.363 2.686 20 0 BFADHN C[C@H](NC[C@@](C)(O)C(F)(F)F)c1ccccc1 ZINC000218946155 363132046 /nfs/dbraw/zinc/13/20/46/363132046.db2.gz IZUWLJSYSMKFDK-GXSJLCMTSA-N 0 3 247.260 2.651 20 0 BFADHN OC1(CCN2CCC[C@@H]2c2cccnc2)CCC1 ZINC000411116610 191162036 /nfs/dbraw/zinc/16/20/36/191162036.db2.gz ZSVJLQPXNOTURT-CQSZACIVSA-N 0 3 246.354 2.524 20 0 BFADHN CCC[C@H](C)NC(=O)[C@@H](C)N(CCC)CCC ZINC000411162020 191164587 /nfs/dbraw/zinc/16/45/87/191164587.db2.gz CCQICHWBCUMYOI-QWHCGFSZSA-N 0 3 242.407 2.802 20 0 BFADHN CC1(C)CN([C@@H]2C[C@@H]2c2ccccc2)CC[C@@H]1O ZINC000291176281 352264580 /nfs/dbraw/zinc/26/45/80/352264580.db2.gz NSYTVKRMHVCBPK-KFWWJZLASA-N 0 3 245.366 2.635 20 0 BFADHN COc1cncc(CN[C@]23C[C@H]2CCCC3)c1C ZINC000630072781 363155836 /nfs/dbraw/zinc/15/58/36/363155836.db2.gz JEFAFZUOCSEGOV-UKRRQHHQSA-N 0 3 246.354 2.821 20 0 BFADHN COc1cncc(CN(C)C[C@H]2CC2(C)C)c1C ZINC000630072772 363155967 /nfs/dbraw/zinc/15/59/67/363155967.db2.gz IZAXHNRASSAADU-CYBMUJFWSA-N 0 3 248.370 2.877 20 0 BFADHN CN(Cc1ccns1)C[C@@H]1CC1(C)C ZINC000630073639 363157626 /nfs/dbraw/zinc/15/76/26/363157626.db2.gz WXUAVQOITRYGSU-VIFPVBQESA-N 0 3 210.346 2.621 20 0 BFADHN CC1(C)[C@H](CNCc2ccns2)C1(F)F ZINC000630074909 363159097 /nfs/dbraw/zinc/15/90/97/363159097.db2.gz UIHXQRASZDVHRH-QMMMGPOBSA-N 0 3 232.299 2.524 20 0 BFADHN CCN(Cc1ccc(OC)cn1)CC(C)(C)C ZINC000291291642 352271636 /nfs/dbraw/zinc/27/16/36/352271636.db2.gz RFVFRHUTBZCJKW-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CCN(Cc1cc(F)cc(F)c1)C[C@H](C)OC ZINC000291015404 352254554 /nfs/dbraw/zinc/25/45/54/352254554.db2.gz BRFURUMYOWKUST-JTQLQIEISA-N 0 3 243.297 2.822 20 0 BFADHN CC[C@@H]1CCCN(Cc2cc(C)ncn2)CC1 ZINC000292359062 352316960 /nfs/dbraw/zinc/31/69/60/352316960.db2.gz FMEUHVNKHXHSFB-CYBMUJFWSA-N 0 3 233.359 2.797 20 0 BFADHN C[C@H](c1ccco1)N(C)CCc1cncs1 ZINC000411190122 191167717 /nfs/dbraw/zinc/16/77/17/191167717.db2.gz HKIYKGYJCPYHPE-SNVBAGLBSA-N 0 3 236.340 2.972 20 0 BFADHN CCN(Cc1cc(C)ncn1)[C@H](C)C(C)C ZINC000292161666 352305323 /nfs/dbraw/zinc/30/53/23/352305323.db2.gz KQYMCLSVOQMDDH-GFCCVEGCSA-N 0 3 221.348 2.651 20 0 BFADHN C[C@H]1CN([C@H]2C[C@H]2c2cccc(F)c2)CCCO1 ZINC000411220232 191172964 /nfs/dbraw/zinc/17/29/64/191172964.db2.gz RTTXOKVXSCXNOM-CQDKDKBSSA-N 0 3 249.329 2.792 20 0 BFADHN CC1(C)CN(CC2CC2)[C@@H]1c1cccnc1 ZINC000292872718 352331641 /nfs/dbraw/zinc/33/16/41/352331641.db2.gz WOXMYTTWLKFWKK-CYBMUJFWSA-N 0 3 216.328 2.875 20 0 BFADHN CCN(Cc1ccsc1C)C[C@@H](C)OC ZINC000293948574 352365807 /nfs/dbraw/zinc/36/58/07/352365807.db2.gz OUNBCCKVMDHYDU-SNVBAGLBSA-N 0 3 227.373 2.913 20 0 BFADHN CCN(Cc1ccsc1C)C[C@H](C)OC ZINC000293948571 352365981 /nfs/dbraw/zinc/36/59/81/352365981.db2.gz OUNBCCKVMDHYDU-JTQLQIEISA-N 0 3 227.373 2.913 20 0 BFADHN CCc1ccc(CN[C@H](CC)[C@H]2CCCO2)nc1 ZINC000411512323 191189884 /nfs/dbraw/zinc/18/98/84/191189884.db2.gz AQFQRVUWKGSMRR-HUUCEWRRSA-N 0 3 248.370 2.691 20 0 BFADHN CCc1ccc(CN[C@@H](CC)[C@@H]2CCCO2)nc1 ZINC000411512322 191190381 /nfs/dbraw/zinc/19/03/81/191190381.db2.gz AQFQRVUWKGSMRR-GJZGRUSLSA-N 0 3 248.370 2.691 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@]3(CC[C@@H](C)C3)C2)n[nH]1 ZINC000411512862 191190666 /nfs/dbraw/zinc/19/06/66/191190666.db2.gz SRDXZLFKDXLMNL-SUNKGSAMSA-N 0 3 248.374 2.686 20 0 BFADHN C[C@@H](Cc1cccc(O)c1)NCc1ccns1 ZINC000638559879 352385800 /nfs/dbraw/zinc/38/58/00/352385800.db2.gz HDOCOFPCQHSHBJ-JTQLQIEISA-N 0 3 248.351 2.570 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1nccnc1OC ZINC000294871425 352386475 /nfs/dbraw/zinc/38/64/75/352386475.db2.gz WRWAJEFPKZQTPG-VXGBXAGGSA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@@H](N[C@@H](C)c1cc(C)ccn1)C(C)(C)O ZINC000294979800 352391262 /nfs/dbraw/zinc/39/12/62/352391262.db2.gz KOHRLSMUFKTORH-WCQYABFASA-N 0 3 236.359 2.590 20 0 BFADHN CC(C)N(CC(=O)N1CCCC[C@@H]1C)C(C)C ZINC000411430817 191184285 /nfs/dbraw/zinc/18/42/85/191184285.db2.gz OWGDIVPQPJPJEU-ZDUSSCGKSA-N 0 3 240.391 2.506 20 0 BFADHN CC(C)N(Cc1ccccc1F)CC(C)(C)O ZINC000268486962 187681340 /nfs/dbraw/zinc/68/13/40/187681340.db2.gz PFUDFDGCADDBGY-UHFFFAOYSA-N 0 3 239.334 2.807 20 0 BFADHN CC1(C)C[C@@H]1CNCc1cc(C2CC2)no1 ZINC000638584378 352415064 /nfs/dbraw/zinc/41/50/64/352415064.db2.gz JZMPVTCEHCGULV-SNVBAGLBSA-N 0 3 220.316 2.688 20 0 BFADHN CC1(C)C[C@H]1CNCc1nc2ccccc2o1 ZINC000638585059 352417417 /nfs/dbraw/zinc/41/74/17/352417417.db2.gz RXBJPEQXRVLQAX-JTQLQIEISA-N 0 3 230.311 2.964 20 0 BFADHN CC(C)c1cc(Cl)ccc1NC(=O)[C@H](C)N ZINC000638586453 352417523 /nfs/dbraw/zinc/41/75/23/352417523.db2.gz SWWNWIVMSLINPU-QMMMGPOBSA-N 0 3 240.734 2.749 20 0 BFADHN CCc1nnc(CN[C@H](C)CCC=C(C)C)[nH]1 ZINC000296115097 352434211 /nfs/dbraw/zinc/43/42/11/352434211.db2.gz SPLZKEKDNFZHHN-LLVKDONJSA-N 0 3 236.363 2.592 20 0 BFADHN CCc1nnc(C[NH2+][C@H](C)CCC=C(C)C)[n-]1 ZINC000296115097 352434214 /nfs/dbraw/zinc/43/42/14/352434214.db2.gz SPLZKEKDNFZHHN-LLVKDONJSA-N 0 3 236.363 2.592 20 0 BFADHN CCN(Cc1csc(C)c1)C[C@@H](C)OC ZINC000296169251 352437825 /nfs/dbraw/zinc/43/78/25/352437825.db2.gz XDHGBPZQFBWBNQ-SNVBAGLBSA-N 0 3 227.373 2.913 20 0 BFADHN CCN(Cc1cccc(C(C)=O)c1)C1CC1 ZINC000296523921 352449277 /nfs/dbraw/zinc/44/92/77/352449277.db2.gz MWVYAWTWVVISRU-UHFFFAOYSA-N 0 3 217.312 2.874 20 0 BFADHN CC(C)(NCCC[C@H]1CCO1)c1nccs1 ZINC000638611004 352449428 /nfs/dbraw/zinc/44/94/28/352449428.db2.gz VKVVGTJAQPJJCZ-JTQLQIEISA-N 0 3 240.372 2.537 20 0 BFADHN CC(C)(NC[C@H]1CCCO1)c1ccccc1F ZINC000411758855 191209267 /nfs/dbraw/zinc/20/92/67/191209267.db2.gz OKMRFAGJEBXCQR-LLVKDONJSA-N 0 3 237.318 2.829 20 0 BFADHN C[C@@H](CCc1cccn1C)NCc1ccns1 ZINC000638625799 352463712 /nfs/dbraw/zinc/46/37/12/352463712.db2.gz IFJPCCRMPGPHLG-NSHDSACASA-N 0 3 249.383 2.593 20 0 BFADHN Cn1ccnc1[C@H](NC(C)(C)C1CC1)C1CC1 ZINC000638627740 352469483 /nfs/dbraw/zinc/46/94/83/352469483.db2.gz MBKYHSAAZRICLQ-GFCCVEGCSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@H](NCCN1CCCC[C@@H]1C)c1ccoc1 ZINC000178066392 366083883 /nfs/dbraw/zinc/08/38/83/366083883.db2.gz QCQRWJGGILUATC-STQMWFEESA-N 0 3 236.359 2.805 20 0 BFADHN CC(C)(C)n1cc(CNCCc2ccco2)cn1 ZINC000037259177 358471468 /nfs/dbraw/zinc/47/14/68/358471468.db2.gz TVYFMDABDUEDEN-UHFFFAOYSA-N 0 3 247.342 2.563 20 0 BFADHN Cc1nc2ccccc2nc1CNCCC1CC1 ZINC000638714890 352558559 /nfs/dbraw/zinc/55/85/59/352558559.db2.gz OUUOKLHYAIPMIJ-UHFFFAOYSA-N 0 3 241.338 2.828 20 0 BFADHN CC(C)CN(C)C[C@@H](O)c1ccc(F)cc1F ZINC000299332456 352528309 /nfs/dbraw/zinc/52/83/09/352528309.db2.gz KWFQRLVGWRSDSV-CYBMUJFWSA-N 0 3 243.297 2.586 20 0 BFADHN c1ccc2oc(CNCCC3CC3)nc2c1 ZINC000638714471 352557406 /nfs/dbraw/zinc/55/74/06/352557406.db2.gz FGOPGCVDLXGZJN-UHFFFAOYSA-N 0 3 216.284 2.718 20 0 BFADHN CCC[C@@H](C)N1CCO[C@H](C2CCC2)C1 ZINC000411844478 191216892 /nfs/dbraw/zinc/21/68/92/191216892.db2.gz JOPPAEQBXNGFLQ-YPMHNXCESA-N 0 3 211.349 2.676 20 0 BFADHN CCCC[C@@H](CC)CNC(=O)[C@](C)(N)CCC ZINC000040806505 352604936 /nfs/dbraw/zinc/60/49/36/352604936.db2.gz KBHAFVSABIVQLM-TZMCWYRMSA-N 0 3 242.407 2.837 20 0 BFADHN CC1(C)C[C@@H]1NCc1cnc2ccccc2n1 ZINC000045117335 352564952 /nfs/dbraw/zinc/56/49/52/352564952.db2.gz QCWGVWRQLFJGRJ-ZDUSSCGKSA-N 0 3 227.311 2.518 20 0 BFADHN Cc1cnc([C@H](C)NCCC[C@H]2CCO2)s1 ZINC000638725143 352582703 /nfs/dbraw/zinc/58/27/03/352582703.db2.gz FWFLTGLFGJLRAS-QWRGUYRKSA-N 0 3 240.372 2.671 20 0 BFADHN C[C@H](NC[C@H]1CCO[C@@H](C)C1)c1nccs1 ZINC000411889640 191224949 /nfs/dbraw/zinc/22/49/49/191224949.db2.gz XKWXARHOHGXJBO-DCAQKATOSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@H](NC[C@@H]1CCO[C@@H](C)C1)c1nccs1 ZINC000411889641 191225179 /nfs/dbraw/zinc/22/51/79/191225179.db2.gz XKWXARHOHGXJBO-GARJFASQSA-N 0 3 240.372 2.609 20 0 BFADHN CC[C@@H]1CCCN1Cc1cccc2c1OCCO2 ZINC000340698349 352652795 /nfs/dbraw/zinc/65/27/95/352652795.db2.gz WYNXCTSJWAUWFT-CYBMUJFWSA-N 0 3 247.338 2.832 20 0 BFADHN CC[C@H](F)CN1C[C@@H](O)C[C@H]1c1ccccc1 ZINC000411918163 191227698 /nfs/dbraw/zinc/22/76/98/191227698.db2.gz CURGCCDIUYROJB-IHRRRGAJSA-N 0 3 237.318 2.542 20 0 BFADHN CC[C@H](F)CN[C@H](C)[C@](C)(OC)C1CC1 ZINC000411965468 191234099 /nfs/dbraw/zinc/23/40/99/191234099.db2.gz FLVXUUQTOZTGAG-USWWRNFRSA-N 0 3 217.328 2.528 20 0 BFADHN COC1([C@@H](C)NCc2ccccc2F)CCC1 ZINC000412020537 191238554 /nfs/dbraw/zinc/23/85/54/191238554.db2.gz LIADZNLPYIWOIM-LLVKDONJSA-N 0 3 237.318 2.873 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1C[C@H](O)C[C@H](C)C1 ZINC000412029570 191240870 /nfs/dbraw/zinc/24/08/70/191240870.db2.gz DCRFEGZYXRNHOC-COPLHBTASA-N 0 3 237.318 2.589 20 0 BFADHN Cc1cc(CNCCCc2ccccn2)sn1 ZINC000638786879 352742891 /nfs/dbraw/zinc/74/28/91/352742891.db2.gz XJWCKMQNDZTEBV-UHFFFAOYSA-N 0 3 247.367 2.569 20 0 BFADHN Cc1cc(C)nc(NCCC2CCOCC2)c1 ZINC000638788848 352746842 /nfs/dbraw/zinc/74/68/42/352746842.db2.gz ZQZLFVWRWBWEDP-UHFFFAOYSA-N 0 3 234.343 2.927 20 0 BFADHN Cc1cc(C)nc(NCc2ccc(CO)cc2)c1 ZINC000638788411 352746980 /nfs/dbraw/zinc/74/69/80/352746980.db2.gz JLGILZYOGGJHQA-UHFFFAOYSA-N 0 3 242.322 2.803 20 0 BFADHN C[C@@H]1CC[C@]2(CCN(Cc3ccc(CO)o3)C2)C1 ZINC000334182491 352770519 /nfs/dbraw/zinc/77/05/19/352770519.db2.gz FYCVIIWLJLKPJO-DOMZBBRYSA-N 0 3 249.354 2.784 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCOC[C@H](C)C1 ZINC000334185658 352773989 /nfs/dbraw/zinc/77/39/89/352773989.db2.gz FPDGHYFKCHKDOS-NEPJUHHUSA-N 0 3 237.318 2.855 20 0 BFADHN Cc1ncc(CN2[C@@H](C)CCC[C@@H]2C)cn1 ZINC000334213714 352812397 /nfs/dbraw/zinc/81/23/97/352812397.db2.gz GBWNJYUXCVJJMQ-QWRGUYRKSA-N 0 3 219.332 2.548 20 0 BFADHN CC(=O)Nc1cccc(CN2CC=C(C)CC2)c1 ZINC000334200236 352793063 /nfs/dbraw/zinc/79/30/63/352793063.db2.gz QGNDQANHEYUKCF-UHFFFAOYSA-N 0 3 244.338 2.797 20 0 BFADHN COc1cc(CN2C[C@H](C)CC2(C)C)ccn1 ZINC000342858214 352877344 /nfs/dbraw/zinc/87/73/44/352877344.db2.gz BRSXOWYRIXWOLZ-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](F)CCN1CCN(C)CC12CCCCC2 ZINC000334235320 352852571 /nfs/dbraw/zinc/85/25/71/352852571.db2.gz QORIYGLTSZEARI-ZDUSSCGKSA-N 0 3 242.382 2.685 20 0 BFADHN COc1cc(CN2CCC[C@@H](C)[C@@H]2C)ccn1 ZINC000342858141 352877568 /nfs/dbraw/zinc/87/75/68/352877568.db2.gz ASUODPGVMAMMCU-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN CC(C)(C)O[C@@H]1C[C@H](NCCF)C1(C)C ZINC000412087081 191255751 /nfs/dbraw/zinc/25/57/51/191255751.db2.gz WLGQVMPIAXQTGF-VHSXEESVSA-N 0 3 217.328 2.528 20 0 BFADHN CCc1ccc(CN2CC[C@@]3(C2)CCCOC3)o1 ZINC000342843415 352872627 /nfs/dbraw/zinc/87/26/27/352872627.db2.gz RDAWQTAFHGRQHM-OAHLLOKOSA-N 0 3 249.354 2.845 20 0 BFADHN CC1=C[C@H](C)CN(CCC(F)(F)F)C1 ZINC000334246983 352838038 /nfs/dbraw/zinc/83/80/38/352838038.db2.gz JOOGDTOLTMJGKE-QMMMGPOBSA-N 0 3 207.239 2.837 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1cc2ccccc2[nH]c1=O ZINC000334270703 352906965 /nfs/dbraw/zinc/90/69/65/352906965.db2.gz SWNVYODWLTVMPI-WDEREUQCSA-N 0 3 242.322 2.781 20 0 BFADHN Fc1ccccc1[C@@H]1CCN(C[C@H]2CCCO2)C1 ZINC000334272218 352909261 /nfs/dbraw/zinc/90/92/61/352909261.db2.gz IHUVUKZAYVZJAM-CHWSQXEVSA-N 0 3 249.329 2.794 20 0 BFADHN COc1ccsc1CN1CC[C@H]1C(C)C ZINC000342863019 352880825 /nfs/dbraw/zinc/88/08/25/352880825.db2.gz VKXSMSGLWLZBTO-JTQLQIEISA-N 0 3 225.357 2.987 20 0 BFADHN COc1cc(CN2CC[C@@H](CC(C)C)C2)ccn1 ZINC000342864903 352881820 /nfs/dbraw/zinc/88/18/20/352881820.db2.gz RCXADCGALMWXFA-AWEZNQCLSA-N 0 3 248.370 2.958 20 0 BFADHN c1ccc(CCN2CCOCC23CCCC3)cc1 ZINC000342878157 352885525 /nfs/dbraw/zinc/88/55/25/352885525.db2.gz YMALJJLKVNKEHN-UHFFFAOYSA-N 0 3 245.366 2.874 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cnc3ccccn23)C1 ZINC000334318568 352948763 /nfs/dbraw/zinc/94/87/63/352948763.db2.gz SIXCFYNKPWPCAS-RYUDHWBXSA-N 0 3 229.327 2.565 20 0 BFADHN Cc1cc(CN2CC3(C2)CCOC3)c(C)s1 ZINC000334372428 353024858 /nfs/dbraw/zinc/02/48/58/353024858.db2.gz RMYZCHODAJGIIK-UHFFFAOYSA-N 0 3 237.368 2.587 20 0 BFADHN CCCC[C@@H](CC)NCc1snnc1C ZINC000336750199 353027636 /nfs/dbraw/zinc/02/76/36/353027636.db2.gz DRZNOQGMGIZEGH-SNVBAGLBSA-N 0 3 227.377 2.905 20 0 BFADHN CCCCCN(CCCCC)C(=O)[C@H](N)CC ZINC000037814475 168689817 /nfs/dbraw/zinc/68/98/17/168689817.db2.gz IVHNNAYGAAPHJP-CYBMUJFWSA-N 0 3 242.407 2.933 20 0 BFADHN CCc1ccc([C@H](C)NCC(C)(C)CO)o1 ZINC000131081049 538107194 /nfs/dbraw/zinc/10/71/94/538107194.db2.gz GPFVOZPLNAYKTM-JTQLQIEISA-N 0 3 225.332 2.511 20 0 BFADHN Clc1ccc(CNCCCOCC2CC2)o1 ZINC000037487758 358474354 /nfs/dbraw/zinc/47/43/54/358474354.db2.gz HDGLOVIJYSSTKO-UHFFFAOYSA-N 0 3 243.734 2.839 20 0 BFADHN C[C@H]1CN(C[C@H]2CCCCO2)CCC1(F)F ZINC000334429410 353103911 /nfs/dbraw/zinc/10/39/11/353103911.db2.gz UEFRKGJDADUJRF-WDEREUQCSA-N 0 3 233.302 2.533 20 0 BFADHN Cc1nn(C)cc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC000334429849 353104592 /nfs/dbraw/zinc/10/45/92/353104592.db2.gz ZJNGGIBUGDREHP-ZFWWWQNUSA-N 0 3 247.386 2.883 20 0 BFADHN CC[C@@H](O)CNCc1cc(C)cc(Cl)c1 ZINC000339406075 188002072 /nfs/dbraw/zinc/00/20/72/188002072.db2.gz GQIPCDOFNXLRKH-GFCCVEGCSA-N 0 3 227.735 2.509 20 0 BFADHN Cc1ccc(CN(C)CC2(CO)CC2)c(C)c1 ZINC000188949355 188002745 /nfs/dbraw/zinc/00/27/45/188002745.db2.gz IFTHIYYCPXXQDO-UHFFFAOYSA-N 0 3 233.355 2.508 20 0 BFADHN CC(C)=CCNCc1cn(C)nc1C(C)(C)C ZINC000191630265 188003064 /nfs/dbraw/zinc/00/30/64/188003064.db2.gz YJPFMAOQCIOFRN-UHFFFAOYSA-N 0 3 235.375 2.773 20 0 BFADHN CC[C@@H](C)N1CCN(c2ccc(F)cc2)CC1 ZINC000172690675 353112716 /nfs/dbraw/zinc/11/27/16/353112716.db2.gz HAMCAOSAXCBMHH-GFCCVEGCSA-N 0 3 236.334 2.746 20 0 BFADHN CN(Cc1cccnc1)CC1CCSCC1 ZINC000638918670 353083224 /nfs/dbraw/zinc/08/32/24/353083224.db2.gz CKYNHQVSPKLLKZ-UHFFFAOYSA-N 0 3 236.384 2.657 20 0 BFADHN Cc1ccc(CN2CCC[C@@]3(CCCOC3)C2)o1 ZINC000334414401 353097439 /nfs/dbraw/zinc/09/74/39/353097439.db2.gz MMHNSUVSWGOOTK-OAHLLOKOSA-N 0 3 249.354 2.981 20 0 BFADHN CC1(C)CC[C@H]1NCc1ccn(C(F)F)n1 ZINC000336779146 188006119 /nfs/dbraw/zinc/00/61/19/188006119.db2.gz NHSYTDYYFUSQAT-SECBINFHSA-N 0 3 229.274 2.556 20 0 BFADHN CC1(C)SC[C@H]1NCc1ccccc1F ZINC000336770303 188006585 /nfs/dbraw/zinc/00/65/85/188006585.db2.gz WLNOBBAAXLQUOM-LLVKDONJSA-N 0 3 225.332 2.809 20 0 BFADHN CCc1nc(C)c([C@@H](C)NC[C@@H](C)OC)s1 ZINC000188113178 353122928 /nfs/dbraw/zinc/12/29/28/353122928.db2.gz FSUUMMYIZMRMLA-RKDXNWHRSA-N 0 3 242.388 2.699 20 0 BFADHN Cc1nn(C(C)C)cc1CN1CC[C@@H](C)C1 ZINC000335253282 188010137 /nfs/dbraw/zinc/01/01/37/188010137.db2.gz IMYIEEVIZCHMBJ-LLVKDONJSA-N 0 3 221.348 2.614 20 0 BFADHN CC(C)(O)CCNCc1cc(Cl)cs1 ZINC000336685147 188011499 /nfs/dbraw/zinc/01/14/99/188011499.db2.gz KMVZGEACZPRJMQ-UHFFFAOYSA-N 0 3 233.764 2.652 20 0 BFADHN COc1ccc(CN2CCC[C@H](C(C)C)C2)nc1 ZINC000338383449 188016908 /nfs/dbraw/zinc/01/69/08/188016908.db2.gz YMSJXGOESVKHMB-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@H]2C1(C)C)c1ccccn1 ZINC000246928766 188019464 /nfs/dbraw/zinc/01/94/64/188019464.db2.gz NICAWQVMEODXKI-CDGCEXEKSA-N 0 3 246.354 2.546 20 0 BFADHN CC(C)[C@H](O)CNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000252893197 188020275 /nfs/dbraw/zinc/02/02/75/188020275.db2.gz QAOUTRKIOCXLAZ-RAIGVLPGSA-N 0 3 237.343 2.510 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1Cc1cncs1 ZINC000093102853 538108509 /nfs/dbraw/zinc/10/85/09/538108509.db2.gz AQIBVPDVVYCBPQ-AOOOYVTPSA-N 0 3 210.346 2.906 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN(C)CC(C)(C)O)o1 ZINC000264143100 188021202 /nfs/dbraw/zinc/02/12/02/188021202.db2.gz YXJZTPFMBKFLBN-ZYHUDNBSSA-N 0 3 237.343 2.606 20 0 BFADHN c1ccc2c(c1)C=C(CN[C@H]1CCSC1)CO2 ZINC000266144768 188022248 /nfs/dbraw/zinc/02/22/48/188022248.db2.gz IMOKXZLNCHIPNM-ZDUSSCGKSA-N 0 3 247.363 2.558 20 0 BFADHN CC1(C)C[C@@H]1NCc1ccc(N2CCCC2)nc1 ZINC000268178934 188023230 /nfs/dbraw/zinc/02/32/30/188023230.db2.gz IAUUTAIPYXSKLD-ZDUSSCGKSA-N 0 3 245.370 2.570 20 0 BFADHN CC(C)C[C@H](NC[C@H](C)N(C)C)c1ccccn1 ZINC000268853857 188024036 /nfs/dbraw/zinc/02/40/36/188024036.db2.gz HXPCXSHWQCHIFH-ZFWWWQNUSA-N 0 3 249.402 2.709 20 0 BFADHN CC(=O)CCN(C)[C@@H](C)c1ccccc1C ZINC000269481400 188024802 /nfs/dbraw/zinc/02/48/02/188024802.db2.gz KMQVVWYEHHZAHW-ZDUSSCGKSA-N 0 3 219.328 2.967 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1cncc(F)c1 ZINC000275166009 188028300 /nfs/dbraw/zinc/02/83/00/188028300.db2.gz VKVJWRHPLUWBBM-CYBMUJFWSA-N 0 3 222.307 2.841 20 0 BFADHN CC(C)=CCC[C@H](C)N[C@@H](C)c1ncnn1C ZINC000276525608 188028909 /nfs/dbraw/zinc/02/89/09/188028909.db2.gz CFEMSEYCGDOIKP-RYUDHWBXSA-N 0 3 236.363 2.601 20 0 BFADHN c1cc2c(s1)CN(C[C@@H]1CCC=CO1)CC2 ZINC000278189437 188030524 /nfs/dbraw/zinc/03/05/24/188030524.db2.gz HSYSLALZINCCLA-LBPRGKRZSA-N 0 3 235.352 2.799 20 0 BFADHN CC(=O)Nc1cccc(CN2CCC=C(C)C2)c1 ZINC000280699205 188031814 /nfs/dbraw/zinc/03/18/14/188031814.db2.gz CCWNLRNTOIOVRM-UHFFFAOYSA-N 0 3 244.338 2.797 20 0 BFADHN CC[C@@H]1CN(Cc2cccs2)CCCO1 ZINC000281250852 188032160 /nfs/dbraw/zinc/03/21/60/188032160.db2.gz MOIXJZNNRGQWIC-LLVKDONJSA-N 0 3 225.357 2.749 20 0 BFADHN Cc1ccnc([C@@H](C)NC[C@@H]2CCCCO2)c1 ZINC000285998377 188034194 /nfs/dbraw/zinc/03/41/94/188034194.db2.gz ILJNTIVJLGYTOM-OLZOCXBDSA-N 0 3 234.343 2.610 20 0 BFADHN COc1ccncc1CN1CCCCCCC1 ZINC000287487793 188035369 /nfs/dbraw/zinc/03/53/69/188035369.db2.gz XKRUDFIXDFTOKV-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN Cc1ccc(C(=O)CCN2C[C@H](C)[C@@H]2C)cc1 ZINC000292919526 188037296 /nfs/dbraw/zinc/03/72/96/188037296.db2.gz GCPZHQRVXJAWFW-STQMWFEESA-N 0 3 231.339 2.908 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H](C)CC(C)(C)O)c1 ZINC000294946096 188038282 /nfs/dbraw/zinc/03/82/82/188038282.db2.gz CRMLHPONYQDKBR-NWDGAFQWSA-N 0 3 236.359 2.590 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2N[C@H]1CCC12CCC2 ZINC000334475061 353119445 /nfs/dbraw/zinc/11/94/45/353119445.db2.gz LWGCEZVMRNJMEH-NEPJUHHUSA-N 0 3 231.343 2.709 20 0 BFADHN C[C@H](O)C[C@H](C)N[C@@H]1CCCOc2ccccc21 ZINC000341324989 188043971 /nfs/dbraw/zinc/04/39/71/188043971.db2.gz DPSGFVHMMAJLMI-SGMGOOAPSA-N 0 3 249.354 2.649 20 0 BFADHN CC(C)N(CCC1CCCCCC1)CC(N)=O ZINC000340566522 188040582 /nfs/dbraw/zinc/04/05/82/188040582.db2.gz BWOLURZQOLICSZ-UHFFFAOYSA-N 0 3 240.391 2.543 20 0 BFADHN CC(C)(C)[C@H](N)C(=O)Nc1cccc(Cl)c1 ZINC000040458380 188043014 /nfs/dbraw/zinc/04/30/14/188043014.db2.gz PFWGQQLMFOWLOT-SNVBAGLBSA-N 0 3 240.734 2.652 20 0 BFADHN Cc1ccc([C@H](C)NCC2(C)OCCCO2)cc1 ZINC000190673283 188003569 /nfs/dbraw/zinc/00/35/69/188003569.db2.gz ILPSKQHBOGCNQL-ZDUSSCGKSA-N 0 3 249.354 2.799 20 0 BFADHN C=Cn1cc(CNCC2(CCC)CC2)cn1 ZINC000194367123 188005290 /nfs/dbraw/zinc/00/52/90/188005290.db2.gz PHDNDWPDDYFTNC-UHFFFAOYSA-N 0 3 219.332 2.654 20 0 BFADHN CC1(C)SC[C@@H]1NCc1cccs1 ZINC000282623831 188049668 /nfs/dbraw/zinc/04/96/68/188049668.db2.gz FSNAXQWEHKQPGT-VIFPVBQESA-N 0 3 213.371 2.732 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1ccc(C)cc1F ZINC000037619349 358475015 /nfs/dbraw/zinc/47/50/15/358475015.db2.gz IIHNPTCHTLVUSZ-LLVKDONJSA-N 0 3 238.306 2.590 20 0 BFADHN CC(C)(C)[C@@H]1CCN(Cc2ccno2)C1 ZINC000336430387 188055926 /nfs/dbraw/zinc/05/59/26/188055926.db2.gz DNZLBDVGBXAQNV-SNVBAGLBSA-N 0 3 208.305 2.543 20 0 BFADHN Cc1nn(C)cc1CN1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000336560540 188057989 /nfs/dbraw/zinc/05/79/89/188057989.db2.gz IJYORGNPNLSXGW-DOMZBBRYSA-N 0 3 247.386 2.741 20 0 BFADHN CCc1cnc(CNC2CC(C)(C)C2)s1 ZINC000336762630 353204605 /nfs/dbraw/zinc/20/46/05/353204605.db2.gz OBLFKJLWHXIVHR-UHFFFAOYSA-N 0 3 224.373 2.984 20 0 BFADHN CC1(CNCc2ccc(F)cc2F)CC1 ZINC000224168023 323999875 /nfs/dbraw/zinc/99/98/75/323999875.db2.gz RMKBUCBNUMDADO-UHFFFAOYSA-N 0 3 211.255 2.855 20 0 BFADHN Cc1cc(NC(=O)[C@H](N)CCC(C)C)cs1 ZINC000638994077 353228125 /nfs/dbraw/zinc/22/81/25/353228125.db2.gz HWPOUKWYILGDRR-LLVKDONJSA-N 0 3 240.372 2.759 20 0 BFADHN CCc1nc(CN[C@@H]2C[C@@H](C)[C@H]2C)cs1 ZINC000336767441 353271529 /nfs/dbraw/zinc/27/15/29/353271529.db2.gz SKUZTSRAODJFGP-FXPVBKGRSA-N 0 3 224.373 2.840 20 0 BFADHN CCOC1CC(NCc2ccc(Cl)o2)C1 ZINC000225608567 538113730 /nfs/dbraw/zinc/11/37/30/538113730.db2.gz SSEYTAXSEIMUDO-UHFFFAOYSA-N 0 3 229.707 2.590 20 0 BFADHN CCC(O)(CC)CN[C@@H](C)c1nc(C)cs1 ZINC000164569613 538113745 /nfs/dbraw/zinc/11/37/45/538113745.db2.gz YSTJEBJGPADYKF-JTQLQIEISA-N 0 3 242.388 2.653 20 0 BFADHN CN(Cc1ccc(Cl)s1)CC1CC(O)C1 ZINC000084453579 538114041 /nfs/dbraw/zinc/11/40/41/538114041.db2.gz QLOOHTVSIMQOAH-UHFFFAOYSA-N 0 3 245.775 2.604 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@@H](C)C2)cc1F ZINC000353851899 188234400 /nfs/dbraw/zinc/23/44/00/188234400.db2.gz AUPSFQPORXCNOA-QWRGUYRKSA-N 0 3 237.318 2.922 20 0 BFADHN CCCc1cccc(CN(C)CCOC)c1 ZINC000360811313 188242548 /nfs/dbraw/zinc/24/25/48/188242548.db2.gz HQVSLVKZBWVPAX-UHFFFAOYSA-N 0 3 221.344 2.717 20 0 BFADHN CC(C)CC[C@@H](O)CN1CCc2ccccc2C1 ZINC000360690635 188242711 /nfs/dbraw/zinc/24/27/11/188242711.db2.gz OQMOUSUFQLTQDU-MRXNPFEDSA-N 0 3 247.382 2.842 20 0 BFADHN CC(C)OCCNCc1cnc(C2CC2)s1 ZINC000134985730 538114366 /nfs/dbraw/zinc/11/43/66/538114366.db2.gz WYOSWKWCUIXRFC-UHFFFAOYSA-N 0 3 240.372 2.535 20 0 BFADHN COc1ccc(CNCC[C@H](C)F)cc1F ZINC000339489750 188256906 /nfs/dbraw/zinc/25/69/06/188256906.db2.gz UUVHBMVLFQMJDI-VIFPVBQESA-N 0 3 229.270 2.672 20 0 BFADHN Cc1cc(Cl)cc(CNC[C@H](O)C(C)C)c1 ZINC000339263205 188257151 /nfs/dbraw/zinc/25/71/51/188257151.db2.gz FYBUCCIREBVDON-ZDUSSCGKSA-N 0 3 241.762 2.755 20 0 BFADHN CC(C)=CCC[NH2+]Cc1cc([O-])cc(F)c1 ZINC000276616297 188266264 /nfs/dbraw/zinc/26/62/64/188266264.db2.gz KBWVVAANCDNIME-UHFFFAOYSA-N 0 3 223.291 2.977 20 0 BFADHN CC1(C)OCC[C@H]1N[C@H]1CCCc2occc21 ZINC000296448634 188268901 /nfs/dbraw/zinc/26/89/01/188268901.db2.gz MPNUFQUZSUAIGN-WCQYABFASA-N 0 3 235.327 2.814 20 0 BFADHN c1ccc(CNCC2=CCCCC2)nc1 ZINC000295140125 188268943 /nfs/dbraw/zinc/26/89/43/188268943.db2.gz PRBVACKBMJYGHJ-UHFFFAOYSA-N 0 3 202.301 2.672 20 0 BFADHN Cc1nccn1CCNCc1ccccc1Cl ZINC000049329795 188298793 /nfs/dbraw/zinc/29/87/93/188298793.db2.gz YOKDBOUYNOTXDA-UHFFFAOYSA-N 0 3 249.745 2.635 20 0 BFADHN CC(=O)Nc1cccc([C@H](C)N[C@@H]2C[C@@H]2C)c1 ZINC000052272689 188299644 /nfs/dbraw/zinc/29/96/44/188299644.db2.gz XFNQCDABKJQSLV-PKFCDNJMSA-N 0 3 232.327 2.704 20 0 BFADHN CC(C)N(C)C(=O)CN(C)C1CCCCCC1 ZINC000053907159 188259373 /nfs/dbraw/zinc/25/93/73/188259373.db2.gz NVUZVOHDFFIREV-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@]1(O)CCN(c2ccnc3ccccc32)C1 ZINC000334501268 353334604 /nfs/dbraw/zinc/33/46/04/353334604.db2.gz MVEWUMKMYFLPRO-HNNXBMFYSA-N 0 3 242.322 2.586 20 0 BFADHN CC(C)[C@@H]1CN(Cc2ccccn2)CCS1 ZINC000076064338 188309794 /nfs/dbraw/zinc/30/97/94/188309794.db2.gz NEGWYESZPUKLQE-ZDUSSCGKSA-N 0 3 236.384 2.655 20 0 BFADHN CCN(CCC1CC1)Cc1ccns1 ZINC000639046502 353337024 /nfs/dbraw/zinc/33/70/24/353337024.db2.gz AXJFWLUUMCCXDK-UHFFFAOYSA-N 0 3 210.346 2.765 20 0 BFADHN CC(C)[C@H]1CCCCN1Cc1ccon1 ZINC000077317019 188310850 /nfs/dbraw/zinc/31/08/50/188310850.db2.gz UJNIQPAXQVFDBZ-GFCCVEGCSA-N 0 3 208.305 2.685 20 0 BFADHN CCC[C@@H](CN(C)[C@H](C)c1ccncc1)OC ZINC000639040752 353318406 /nfs/dbraw/zinc/31/84/06/353318406.db2.gz NGKRXRGVTVPCOE-OCCSQVGLSA-N 0 3 236.359 2.890 20 0 BFADHN CC[C@](C)(CN(C)[C@H](C)c1cccnc1)OC ZINC000639040902 353318456 /nfs/dbraw/zinc/31/84/56/353318456.db2.gz PAAWQAGPMGNPSD-TZMCWYRMSA-N 0 3 236.359 2.890 20 0 BFADHN CCC[C@H](CN(C)[C@@H](C)c1ccncc1)OC ZINC000639040748 353318582 /nfs/dbraw/zinc/31/85/82/353318582.db2.gz NGKRXRGVTVPCOE-GXTWGEPZSA-N 0 3 236.359 2.890 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCC[C@H]1CCO1 ZINC000639040176 353322538 /nfs/dbraw/zinc/32/25/38/353322538.db2.gz CTAQJLFWSGNZTN-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@H](C)[C@@H](CN(C)Cc1ccccn1)OC ZINC000639041994 353323457 /nfs/dbraw/zinc/32/34/57/353323457.db2.gz RNXNXMUWSYXPGK-GXTWGEPZSA-N 0 3 236.359 2.575 20 0 BFADHN CC(C)(O)CCNCc1ccccc1Cl ZINC000086235025 188317682 /nfs/dbraw/zinc/31/76/82/188317682.db2.gz XPJDNVYYYSCSRP-UHFFFAOYSA-N 0 3 227.735 2.591 20 0 BFADHN Cc1nc(CNC(C)(C)C(C)C)cs1 ZINC000308493370 353357115 /nfs/dbraw/zinc/35/71/15/353357115.db2.gz CJUMBIXLRNWZIJ-UHFFFAOYSA-N 0 3 212.362 2.976 20 0 BFADHN CC(C)N(C)CC(=O)N[C@H](C)CCC(C)(C)C ZINC000119908375 188326950 /nfs/dbraw/zinc/32/69/50/188326950.db2.gz RYDXZYMDLQQCPA-GFCCVEGCSA-N 0 3 242.407 2.658 20 0 BFADHN COc1ccc(CN(C)[C@H]2CCSC2)cc1 ZINC000130561364 188330766 /nfs/dbraw/zinc/33/07/66/188330766.db2.gz CETOFYRKBOIOHL-LBPRGKRZSA-N 0 3 237.368 2.633 20 0 BFADHN CC(C)(C)OC1CC(NCc2cccc(O)c2)C1 ZINC000156399047 188334126 /nfs/dbraw/zinc/33/41/26/188334126.db2.gz XZBXMAISONZCFA-UHFFFAOYSA-N 0 3 249.354 2.828 20 0 BFADHN CC(=O)CCN1CCC[C@@H]1c1cccs1 ZINC000171039042 188338397 /nfs/dbraw/zinc/33/83/97/188338397.db2.gz DYSFIHLODZDAAO-LLVKDONJSA-N 0 3 223.341 2.864 20 0 BFADHN NC1(C(=O)Nc2cscc2Cl)CCCC1 ZINC000639073734 353391424 /nfs/dbraw/zinc/39/14/24/353391424.db2.gz PXQDVGGFGAMEHS-UHFFFAOYSA-N 0 3 244.747 2.612 20 0 BFADHN Cc1scc(CN2CC[C@@H](O)[C@@H](C)C2)c1C ZINC000639073644 353391622 /nfs/dbraw/zinc/39/16/22/353391622.db2.gz GVUJFZBNBZRERX-TVQRCGJNSA-N 0 3 239.384 2.568 20 0 BFADHN N#Cc1cccc(CN2C3CCC2CC3)c1 ZINC000398939885 353368392 /nfs/dbraw/zinc/36/83/92/353368392.db2.gz XGZUZRCBMRJMKO-UHFFFAOYSA-N 0 3 212.296 2.685 20 0 BFADHN Cc1cccc(CN[C@H]2CSC2(C)C)c1 ZINC000309173574 188343249 /nfs/dbraw/zinc/34/32/49/188343249.db2.gz LYHACLAOPQCNLZ-LBPRGKRZSA-N 0 3 221.369 2.979 20 0 BFADHN C[C@H]1CCCCN([C@@H](c2nccn2C)C2CC2)C1 ZINC000639060407 353374007 /nfs/dbraw/zinc/37/40/07/353374007.db2.gz PJHUZQPTUBDQPJ-GXTWGEPZSA-N 0 3 247.386 2.993 20 0 BFADHN CC(C)C[C@H]1OCCC[C@H]1NCc1ccccn1 ZINC000185195060 188346150 /nfs/dbraw/zinc/34/61/50/188346150.db2.gz FXEJMDBRBROIQF-HUUCEWRRSA-N 0 3 248.370 2.765 20 0 BFADHN CC(=O)CCN1CCC(c2ccsc2)CC1 ZINC000186908586 188347723 /nfs/dbraw/zinc/34/77/23/188347723.db2.gz BRJAKFAXQCMWKT-UHFFFAOYSA-N 0 3 237.368 2.907 20 0 BFADHN CC[C@H](O)[C@@H]1CCCCN1Cc1cncc(C)c1 ZINC000189895863 188349366 /nfs/dbraw/zinc/34/93/66/188349366.db2.gz VFYNOCVURPGIBM-GJZGRUSLSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1ccc([C@H](C)NCCOCC(F)F)cc1 ZINC000189824307 188350153 /nfs/dbraw/zinc/35/01/53/188350153.db2.gz YLXPHHCZXAZLQJ-NSHDSACASA-N 0 3 243.297 2.927 20 0 BFADHN C=Cn1cc(CN2CCCC[C@@H](C)C2)cn1 ZINC000194719699 188353351 /nfs/dbraw/zinc/35/33/51/188353351.db2.gz HVUYVROZIPJNQD-GFCCVEGCSA-N 0 3 219.332 2.606 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1cscc1Cl ZINC000639073943 353389535 /nfs/dbraw/zinc/38/95/35/353389535.db2.gz UFCPAAFIWMNBOD-JTQLQIEISA-N 0 3 246.763 2.858 20 0 BFADHN CC(C)N(Cc1ccno1)C1CCCC1 ZINC000264718714 188372131 /nfs/dbraw/zinc/37/21/31/188372131.db2.gz ONLVCWMJGJTVJJ-UHFFFAOYSA-N 0 3 208.305 2.828 20 0 BFADHN Cc1cc(C)cc(CNCc2cccn2C)c1 ZINC000266642427 188373082 /nfs/dbraw/zinc/37/30/82/188373082.db2.gz URHQABLJEJOJQE-UHFFFAOYSA-N 0 3 228.339 2.932 20 0 BFADHN CC(C)[C@H]1CC[C@@H]1NCc1nccs1 ZINC000308514155 538116856 /nfs/dbraw/zinc/11/68/56/538116856.db2.gz LYNXIOMWEIEMGC-ZJUUUORDSA-N 0 3 210.346 2.667 20 0 BFADHN CC(C)(CCO)NCc1cscc1Cl ZINC000308544876 538116927 /nfs/dbraw/zinc/11/69/27/538116927.db2.gz VPYMYWNUIXAYEQ-UHFFFAOYSA-N 0 3 233.764 2.652 20 0 BFADHN CCOC[C@H](C)NCc1cc2ccccc2n1C ZINC000639075145 353399647 /nfs/dbraw/zinc/39/96/47/353399647.db2.gz XWOWEIICECASHY-LBPRGKRZSA-N 0 3 246.354 2.693 20 0 BFADHN CC(=O)CCN1CC[C@@H](c2ccccc2F)C1 ZINC000264403063 188370806 /nfs/dbraw/zinc/37/08/06/188370806.db2.gz DARRXSPNWJCWTQ-GFCCVEGCSA-N 0 3 235.302 2.594 20 0 BFADHN CC1(C)C[C@H]1NCc1cnc2ccc(Cl)cn12 ZINC000265653972 188371890 /nfs/dbraw/zinc/37/18/90/188371890.db2.gz OTNUYOBTJJUFHH-LLVKDONJSA-N 0 3 249.745 2.876 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc(F)c(Cl)c1 ZINC000277565135 188381218 /nfs/dbraw/zinc/38/12/18/188381218.db2.gz UPPHKAPJUIIGAX-VIFPVBQESA-N 0 3 245.725 2.946 20 0 BFADHN Cc1nc(F)ccc1CN1CCC[C@@H]1C ZINC000278433955 188382179 /nfs/dbraw/zinc/38/21/79/188382179.db2.gz KKIRTBDFWYVPTQ-VIFPVBQESA-N 0 3 208.280 2.513 20 0 BFADHN Cc1ccnc([C@@H](C)NCCc2cccnc2)c1 ZINC000285744665 188386332 /nfs/dbraw/zinc/38/63/32/188386332.db2.gz RHMNOEARBAAUFR-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1ccnc([C@@H](C)NC[C@@H](C)N(C)C2CC2)c1 ZINC000285908568 188387299 /nfs/dbraw/zinc/38/72/99/188387299.db2.gz DDJPNXGQGKFSAH-CHWSQXEVSA-N 0 3 247.386 2.523 20 0 BFADHN COc1ccncc1CN1CCC[C@@H](C)[C@@H]1C ZINC000287777434 188387557 /nfs/dbraw/zinc/38/75/57/188387557.db2.gz NFPALRFPEGQFLX-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000287797346 188388434 /nfs/dbraw/zinc/38/84/34/188388434.db2.gz OJMKHEODSFHOCT-GXTWGEPZSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1cc(CN[C@@]2(C)CC=CCC2)on1 ZINC000398384791 363229240 /nfs/dbraw/zinc/22/92/40/363229240.db2.gz UVGFJUJWVWRMEZ-LBPRGKRZSA-N 0 3 206.289 2.571 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CC[C@H]2CCC[C@H]21 ZINC000639094172 353471336 /nfs/dbraw/zinc/47/13/36/353471336.db2.gz PJKKYCISHVOFRD-BNOWGMLFSA-N 0 3 245.370 2.746 20 0 BFADHN Fc1ccc(CN2C[C@@H]3CCCC[C@H]3C2)nc1 ZINC000335904943 180967963 /nfs/dbraw/zinc/96/79/63/180967963.db2.gz PFUPSHUOZMRMFK-RYUDHWBXSA-N 0 3 234.318 2.843 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1n[nH]cc1C ZINC000294981361 188393463 /nfs/dbraw/zinc/39/34/63/188393463.db2.gz GOOFHVRPYGJOAJ-GFCCVEGCSA-N 0 3 221.348 2.943 20 0 BFADHN CCC[C@H](CCO)CNCc1ccccc1F ZINC000231392010 353603388 /nfs/dbraw/zinc/60/33/88/353603388.db2.gz JLDGWYJYNOXNKV-GFCCVEGCSA-N 0 3 239.334 2.714 20 0 BFADHN Cc1nnc(CN[C@@]2(C)CCCC[C@@H]2C)s1 ZINC000334516242 353622101 /nfs/dbraw/zinc/62/21/01/353622101.db2.gz DFGHPJUUNXRSII-CABZTGNLSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1nnc(CN[C@]2(C)CCCC[C@@H]2C)s1 ZINC000334516243 353622272 /nfs/dbraw/zinc/62/22/72/353622272.db2.gz DFGHPJUUNXRSII-JOYOIKCWSA-N 0 3 239.388 2.905 20 0 BFADHN c1ccc(CN2CC(C3CCCC3)C2)nc1 ZINC000334517294 353626031 /nfs/dbraw/zinc/62/60/31/353626031.db2.gz ZEKXRCAFPGMOJN-UHFFFAOYSA-N 0 3 216.328 2.704 20 0 BFADHN COc1ccc(CN(C)[C@H](C)C2(C)CC2)nc1 ZINC000343314406 353638991 /nfs/dbraw/zinc/63/89/91/353638991.db2.gz NFNYAINAJRNHDB-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@H](C)[C@H]1CCCCN1Cc1nccn1C ZINC000353806454 353695662 /nfs/dbraw/zinc/69/56/62/353695662.db2.gz PTVWIMZUWMVRSD-QWHCGFSZSA-N 0 3 235.375 2.821 20 0 BFADHN Fc1cccc2c1CC[C@@H]2N[C@@H]1CCCOC1 ZINC000211888238 181005297 /nfs/dbraw/zinc/00/52/97/181005297.db2.gz DIUIFARUEWLXEZ-YGRLFVJLSA-N 0 3 235.302 2.582 20 0 BFADHN Fc1cccc2c1CCN(CC1CC1)C2 ZINC000152802578 181005795 /nfs/dbraw/zinc/00/57/95/181005795.db2.gz IWJLHUWGNSRZEU-UHFFFAOYSA-N 0 3 205.276 2.594 20 0 BFADHN Fc1cccc(F)c1CNCCC1CC1 ZINC000083893339 181000758 /nfs/dbraw/zinc/00/07/58/181000758.db2.gz FBDZYOAVZFHPPM-UHFFFAOYSA-N 0 3 211.255 2.855 20 0 BFADHN Fc1cccc2c1CC[C@H]2NCc1ccncc1 ZINC000110058804 181007015 /nfs/dbraw/zinc/00/70/15/181007015.db2.gz RAYQWJNNHVZHSE-OAHLLOKOSA-N 0 3 242.297 2.998 20 0 BFADHN Cc1ccc(CN(C)CCCCCO)c(F)c1 ZINC000639114373 353723013 /nfs/dbraw/zinc/72/30/13/353723013.db2.gz ILGCTRHDYRVWBS-UHFFFAOYSA-N 0 3 239.334 2.729 20 0 BFADHN CC1(CCNCc2cc(C3CC3)no2)CC1 ZINC000639118142 353750379 /nfs/dbraw/zinc/75/03/79/353750379.db2.gz NLIIKKUFFSKUJX-UHFFFAOYSA-N 0 3 220.316 2.832 20 0 BFADHN Fc1ccccc1CN[C@H]1CC[C@H](F)C1 ZINC000308855988 181013167 /nfs/dbraw/zinc/01/31/67/181013167.db2.gz OXCOJRBRUJGLLS-QWRGUYRKSA-N 0 3 211.255 2.806 20 0 BFADHN Fc1ccccc1NC1CCN(C2CC2)CC1 ZINC000297858664 181014148 /nfs/dbraw/zinc/01/41/48/181014148.db2.gz PBMLLLTWHNQNJT-UHFFFAOYSA-N 0 3 234.318 2.864 20 0 BFADHN CCCC1(CN[C@H]2CCn3ccnc32)CCC1 ZINC000391841127 353776723 /nfs/dbraw/zinc/77/67/23/353776723.db2.gz DPPSSCUUGOLUPR-LBPRGKRZSA-N 0 3 233.359 2.888 20 0 BFADHN C[C@H](NCCCF)c1cc(F)ccc1F ZINC000178278576 366112157 /nfs/dbraw/zinc/11/21/57/366112157.db2.gz IOTQDZCGLHFBKE-QMMMGPOBSA-N 0 3 217.234 2.975 20 0 BFADHN C[C@@H](NCC1(N(C)C)CC1)c1ccccc1F ZINC000398011424 324215818 /nfs/dbraw/zinc/21/58/18/324215818.db2.gz YLBCYVJMUASNBY-LLVKDONJSA-N 0 3 236.334 2.571 20 0 BFADHN Cc1cnc(CN(C)[C@@H]2CCCC[C@H]2C)n1C ZINC000343330560 353742415 /nfs/dbraw/zinc/74/24/15/353742415.db2.gz BAAFJENRXFBXFA-DGCLKSJQSA-N 0 3 235.375 2.739 20 0 BFADHN CC(=O)Nc1cccc(CN2CC(C(C)C)C2)c1 ZINC000639117929 353745480 /nfs/dbraw/zinc/74/54/80/353745480.db2.gz MHIVJKLSIKOMAM-UHFFFAOYSA-N 0 3 246.354 2.733 20 0 BFADHN Fc1ccccc1CN1CCC[C@H]1[C@H]1CCCO1 ZINC000284829833 181011618 /nfs/dbraw/zinc/01/16/18/181011618.db2.gz QJJBORHEBOHTNV-LSDHHAIUSA-N 0 3 249.329 2.969 20 0 BFADHN Fc1cncc(CNCCC2(F)CCC2)c1 ZINC000336709864 181019680 /nfs/dbraw/zinc/01/96/80/181019680.db2.gz RJFALTLIKSWXTR-UHFFFAOYSA-N 0 3 226.270 2.593 20 0 BFADHN Fc1cnccc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000336221784 181020499 /nfs/dbraw/zinc/02/04/99/181020499.db2.gz HFESFVCOVAEKLJ-RISCZKNCSA-N 0 3 234.318 2.985 20 0 BFADHN COC(=O)c1coc([C@H](C)N[C@@H]2CC[C@H]2C)c1 ZINC000353832358 353864966 /nfs/dbraw/zinc/86/49/66/353864966.db2.gz XJWKGWMVTPMUSZ-WCABBAIRSA-N 0 3 237.299 2.515 20 0 BFADHN CCC[C@@H]1CN(CC)CCN1Cc1ccccc1 ZINC000343406965 353878272 /nfs/dbraw/zinc/87/82/72/353878272.db2.gz VSMAILJVLLDOQZ-MRXNPFEDSA-N 0 3 246.398 2.993 20 0 BFADHN C1=C[C@H](NCc2ccc3c(n2)CCC3)CCC1 ZINC000334520045 353883867 /nfs/dbraw/zinc/88/38/67/353883867.db2.gz VJJRXMKAAHOAAC-ZDUSSCGKSA-N 0 3 228.339 2.769 20 0 BFADHN C[C@H](NC1CC(n2cccn2)C1)c1ccsc1 ZINC000639141143 353849481 /nfs/dbraw/zinc/84/94/81/353849481.db2.gz MJHFGMMZCZGOGD-PKSQDBQZSA-N 0 3 247.367 2.999 20 0 BFADHN CC1(C)Cc2ccsc2CN1Cc1cnc[nH]1 ZINC000334524899 353896913 /nfs/dbraw/zinc/89/69/13/353896913.db2.gz GZGGQPRRBHYGBY-UHFFFAOYSA-N 0 3 247.367 2.808 20 0 BFADHN COCCN(C)Cc1ccc(C(C)C)cc1 ZINC000053419737 363276197 /nfs/dbraw/zinc/27/61/97/363276197.db2.gz LISSGXNPEDTHBV-UHFFFAOYSA-N 0 3 221.344 2.888 20 0 BFADHN CO[C@@H]1CCN(Cc2cccc(C)n2)CC1(C)C ZINC000334536434 353922800 /nfs/dbraw/zinc/92/28/00/353922800.db2.gz YIFDCXOMOGDPPO-CQSZACIVSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@@H]1CCN(CCc2cccc(F)c2F)C1 ZINC000343515751 353925904 /nfs/dbraw/zinc/92/59/04/353925904.db2.gz UGRSOKNYLFZMFB-SNVBAGLBSA-N 0 3 225.282 2.849 20 0 BFADHN Cc1ccc(CCCN2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000343589710 353940819 /nfs/dbraw/zinc/94/08/19/353940819.db2.gz XARKTSVPFMOZMK-IYBDPMFKSA-N 0 3 245.366 2.791 20 0 BFADHN COCc1ccc(CN(C)CCCSC)o1 ZINC000343659807 353943326 /nfs/dbraw/zinc/94/33/26/353943326.db2.gz OKFMJEQRXPDSTH-UHFFFAOYSA-N 0 3 243.372 2.611 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H](C)[C@H](C)OC ZINC000343472083 353900306 /nfs/dbraw/zinc/90/03/06/353900306.db2.gz QNLNPJFSHYQEFU-SRVKXCTJSA-N 0 3 236.359 2.718 20 0 BFADHN CC[C@@H](C)CN[C@H](C)c1c(C)noc1C ZINC000086109767 491057488 /nfs/dbraw/zinc/05/74/88/491057488.db2.gz CITDMMGVJWEAFN-RKDXNWHRSA-N 0 3 210.321 2.988 20 0 BFADHN CCCn1nc(C)c(CN[C@H]2CC2(C)C)c1C ZINC000343649447 353953386 /nfs/dbraw/zinc/95/33/86/353953386.db2.gz YQLSSJNKPXIKCG-ZDUSSCGKSA-N 0 3 235.375 2.798 20 0 BFADHN CCCN(CC)[C@H](C)C(=O)N(C)c1ccccc1 ZINC000343649013 353953409 /nfs/dbraw/zinc/95/34/09/353953409.db2.gz OFUAQDDOHRFQIB-CYBMUJFWSA-N 0 3 248.370 2.770 20 0 BFADHN c1nc(C2CC2)ncc1CN1CCC2(CCC2)C1 ZINC000334557572 354004262 /nfs/dbraw/zinc/00/42/62/354004262.db2.gz FOVIHACFGUXTQW-UHFFFAOYSA-N 0 3 243.354 2.730 20 0 BFADHN NC(=O)[C@@H]1CCCN1CC1CCC(F)(F)CC1 ZINC000334558449 354006746 /nfs/dbraw/zinc/00/67/46/354006746.db2.gz AOGUSXBRPOJZHJ-JTQLQIEISA-N 0 3 246.301 2.812 20 0 BFADHN c1nc(C2CC2)ncc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000334558564 354006975 /nfs/dbraw/zinc/00/69/75/354006975.db2.gz CZKHZSMHXLMUHU-OKILXGFUSA-N 0 3 243.354 2.586 20 0 BFADHN C[C@@H](NC[C@H](O)C1CCCCC1)c1ccoc1 ZINC000178558682 366140546 /nfs/dbraw/zinc/14/05/46/366140546.db2.gz GHCSIIOVXCKUTL-RISCZKNCSA-N 0 3 237.343 2.871 20 0 BFADHN CCCN(C)CC(=O)N[C@@H](CC)c1ccccc1 ZINC000359337677 535251762 /nfs/dbraw/zinc/25/17/62/535251762.db2.gz HJFZJRYHYCXIHJ-AWEZNQCLSA-N 0 3 248.370 2.596 20 0 BFADHN C[C@]1(CCNCc2ccns2)CC1(F)F ZINC000630078964 363285907 /nfs/dbraw/zinc/28/59/07/363285907.db2.gz YOSGRXBUAOHRNA-VIFPVBQESA-N 0 3 232.299 2.668 20 0 BFADHN COc1cncc(CN2CC[C@@H](C(C)(C)C)C2)c1 ZINC000343876393 354030108 /nfs/dbraw/zinc/03/01/08/354030108.db2.gz YWWVOIRUPSAPOE-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cccc(CN2CCOC(C)(C)[C@@H]2C)c1 ZINC000353843018 354030720 /nfs/dbraw/zinc/03/07/20/354030720.db2.gz HLPAIKYNMSNMEW-ZDUSSCGKSA-N 0 3 233.355 2.994 20 0 BFADHN CCCC[C@H](C)[C@@H](C)N[C@@H](C)c1ncnn1C ZINC000343947409 354068687 /nfs/dbraw/zinc/06/86/87/354068687.db2.gz XSZGURIQNMPQJQ-TUAOUCFPSA-N 0 3 238.379 2.681 20 0 BFADHN CC[C@]1(C)CCCN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC000334564031 354081983 /nfs/dbraw/zinc/08/19/83/354081983.db2.gz QQVTYXKHURUCNO-GXFFZTMASA-N 0 3 236.363 2.686 20 0 BFADHN C[C@@H](NCC1(O)CCC1)c1csc(Cl)c1 ZINC000230409500 363308213 /nfs/dbraw/zinc/30/82/13/363308213.db2.gz TUMGTAYUJDQKGU-MRVPVSSYSA-N 0 3 245.775 2.967 20 0 BFADHN c1cncc([C@H]2CCCN2CCC[C@H]2CCO2)c1 ZINC000639167076 354045806 /nfs/dbraw/zinc/04/58/06/354045806.db2.gz ANNWJDUPTQPVPB-LSDHHAIUSA-N 0 3 246.354 2.788 20 0 BFADHN CCC[C@H](CN(CC)Cc1ccncc1)OC ZINC000639168809 354057386 /nfs/dbraw/zinc/05/73/86/354057386.db2.gz VFNUDCUZLHMFAN-CQSZACIVSA-N 0 3 236.359 2.719 20 0 BFADHN C[C@@H](F)CCN(C)Cc1ccccc1N(C)C ZINC000344070787 354131429 /nfs/dbraw/zinc/13/14/29/354131429.db2.gz MPCFRWXZFGCOOC-GFCCVEGCSA-N 0 3 238.350 2.933 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CC=CCC2)on1 ZINC000344077686 354134131 /nfs/dbraw/zinc/13/41/31/354134131.db2.gz WNDOAMKKSSPOTL-GFCCVEGCSA-N 0 3 220.316 2.771 20 0 BFADHN c1nc(C2CC2)ncc1CN1CC[C@@H]2CCC[C@@H]21 ZINC000334561943 354116302 /nfs/dbraw/zinc/11/63/02/354116302.db2.gz HQSVQDCWOBLSTL-JSGCOSHPSA-N 0 3 243.354 2.728 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1cnc(C2CC2)nc1 ZINC000334562223 354117404 /nfs/dbraw/zinc/11/74/04/354117404.db2.gz VUHLNXOFULHWSF-NWDGAFQWSA-N 0 3 245.370 2.974 20 0 BFADHN c1csc(CNC2CC3(CCC3)C2)n1 ZINC000334562540 354119018 /nfs/dbraw/zinc/11/90/18/354119018.db2.gz MVXKZKQVQVAHRO-UHFFFAOYSA-N 0 3 208.330 2.565 20 0 BFADHN CCC(CC)N(CC)CC(=O)N1CCCCC1 ZINC000344050082 354123622 /nfs/dbraw/zinc/12/36/22/354123622.db2.gz ZPBMGOZRHDSCRI-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@@H]1C[C@H](CO)CCN1C/C=C\c1ccccc1 ZINC000344163633 354168389 /nfs/dbraw/zinc/16/83/89/354168389.db2.gz LHEUDHQHWUVTOZ-YOPWKTHZSA-N 0 3 245.366 2.793 20 0 BFADHN C[C@@H]1CC[C@@H](N[C@@H](c2nccn2C)C2CC2)C1 ZINC000353848313 354169830 /nfs/dbraw/zinc/16/98/30/354169830.db2.gz VGIVYQMMTYBHTE-RAIGVLPGSA-N 0 3 233.359 2.649 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NC[C@H]1CCCO1)CCC2 ZINC000344088154 354138135 /nfs/dbraw/zinc/13/81/35/354138135.db2.gz NPQNSMJEJJAJOV-HIFRSBDPSA-N 0 3 249.329 2.972 20 0 BFADHN COc1c(O)cccc1CNC1(C2(C)CC2)CC1 ZINC000449674052 202000838 /nfs/dbraw/zinc/00/08/38/202000838.db2.gz RVAVEUXATMLOIQ-UHFFFAOYSA-N 0 3 247.338 2.823 20 0 BFADHN COc1cccc(CN2CC[C@@H](C(C)(C)C)C2)n1 ZINC000344146441 354154575 /nfs/dbraw/zinc/15/45/75/354154575.db2.gz FXWQFHLLZLANQI-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1ccnc([C@H](C)NCCC[C@H]2CCOC2)c1 ZINC000449687326 202002871 /nfs/dbraw/zinc/00/28/71/202002871.db2.gz BUXGUUHNNDRUPW-KBPBESRZSA-N 0 3 248.370 2.857 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cnc(C3CC3)o2)C1 ZINC000449700939 202004883 /nfs/dbraw/zinc/00/48/83/202004883.db2.gz VDFOQCLOIWVILE-KOLCDFICSA-N 0 3 220.316 2.830 20 0 BFADHN c1nc(C2CC2)oc1CNC[C@H]1CC=CCC1 ZINC000449698418 202005004 /nfs/dbraw/zinc/00/50/04/202005004.db2.gz NLJNSQLSNPGFPL-NSHDSACASA-N 0 3 232.327 2.998 20 0 BFADHN C[C@H](Cc1ccoc1)NCc1cnc(C2CC2)o1 ZINC000449708156 202005993 /nfs/dbraw/zinc/00/59/93/202005993.db2.gz UEXPIIRSXPYKDT-SNVBAGLBSA-N 0 3 246.310 2.866 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1CC[C@@H]1C1CC1 ZINC000449706806 202006698 /nfs/dbraw/zinc/00/66/98/202006698.db2.gz OJIITTMGTOIWFU-CHWSQXEVSA-N 0 3 232.327 2.830 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccc(OC)nc2)C1 ZINC000344217772 354180985 /nfs/dbraw/zinc/18/09/85/354180985.db2.gz KTTLIFNBDDPRIW-AWEZNQCLSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@]1(C)CCN(Cc2cc(OC)ccn2)C1 ZINC000344221014 354182191 /nfs/dbraw/zinc/18/21/91/354182191.db2.gz DBEGPUIJGQJRDP-CQSZACIVSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CCC[C@@H]2C)o1 ZINC000231529516 363344384 /nfs/dbraw/zinc/34/43/84/363344384.db2.gz APAANUFOUHUACV-INTQDDNPSA-N 0 3 208.305 2.822 20 0 BFADHN Cc1ccc(CNCC[C@H]2CCO[C@@H](C)C2)nc1 ZINC000344263242 354192814 /nfs/dbraw/zinc/19/28/14/354192814.db2.gz IJUWIQBALNTUNY-KBPBESRZSA-N 0 3 248.370 2.685 20 0 BFADHN CO[C@@H]1CC[C@H](NCc2ccc(Cl)o2)C1 ZINC000231681282 363348697 /nfs/dbraw/zinc/34/86/97/363348697.db2.gz QZVTVOFMDKNEGP-DTWKUNHWSA-N 0 3 229.707 2.590 20 0 BFADHN COc1cc(C)nc(CNC[C@@H]2C[C@H]2C2CC2)c1 ZINC000449743056 202017957 /nfs/dbraw/zinc/01/79/57/202017957.db2.gz UIFVMOUEZZAXFX-WFASDCNBSA-N 0 3 246.354 2.534 20 0 BFADHN CCC(NCc1csnn1)(C1CC1)C1CC1 ZINC000529641903 324369838 /nfs/dbraw/zinc/36/98/38/324369838.db2.gz GJAYADJTRUMOAV-UHFFFAOYSA-N 0 3 237.372 2.597 20 0 BFADHN CCOc1cccc(CNC[C@@H]2CC2(C)C)n1 ZINC000344410497 354252003 /nfs/dbraw/zinc/25/20/03/354252003.db2.gz PUEWHVJKFOGMCO-NSHDSACASA-N 0 3 234.343 2.616 20 0 BFADHN C[C@@H](N[C@H]1CCC1(C)C)c1cc2n(n1)CCC2 ZINC000334597108 354231189 /nfs/dbraw/zinc/23/11/89/354231189.db2.gz GNSLDZRCQUGVPP-MFKMUULPSA-N 0 3 233.359 2.669 20 0 BFADHN C[C@H](N[C@@H]1CCC1(C)C)c1cc2n(n1)CCC2 ZINC000334597107 354231535 /nfs/dbraw/zinc/23/15/35/354231535.db2.gz GNSLDZRCQUGVPP-GXFFZTMASA-N 0 3 233.359 2.669 20 0 BFADHN C[C@@H](F)CCN1Cc2ccc(O)cc2C2(CC2)C1 ZINC000334616124 354302939 /nfs/dbraw/zinc/30/29/39/354302939.db2.gz QQTLCAMCINXUKT-LLVKDONJSA-N 0 3 249.329 2.988 20 0 BFADHN CCn1nccc1CN1C[C@@H](C)CC1(C)C ZINC000334616411 354304016 /nfs/dbraw/zinc/30/40/16/354304016.db2.gz AKFAVXUKELJMIF-NSHDSACASA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cnc(OC)s1 ZINC000639179298 354271044 /nfs/dbraw/zinc/27/10/44/354271044.db2.gz DKHQZBXSSHHFPD-ZJUUUORDSA-N 0 3 240.372 2.915 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cnc(OC)s1 ZINC000639179296 354271254 /nfs/dbraw/zinc/27/12/54/354271254.db2.gz DKHQZBXSSHHFPD-UWVGGRQHSA-N 0 3 240.372 2.915 20 0 BFADHN CO[C@@H]1CCN(Cc2ccoc2C)[C@@H](C)C1 ZINC000334608320 354315602 /nfs/dbraw/zinc/31/56/02/354315602.db2.gz DXOLHSNNXXZJCY-GXFFZTMASA-N 0 3 223.316 2.587 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCCC[C@@H]2C)nn1 ZINC000334610144 354318200 /nfs/dbraw/zinc/31/82/00/354318200.db2.gz SEJNLBGLMTWHTA-SMDDNHRTSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCCC[C@@H]2C)nn1 ZINC000334610142 354318491 /nfs/dbraw/zinc/31/84/91/354318491.db2.gz SEJNLBGLMTWHTA-FZMZJTMJSA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@H](C[C@@H](C)CO)NCc1ccccc1F ZINC000344592994 354322308 /nfs/dbraw/zinc/32/23/08/354322308.db2.gz PEAJRTLZGWCNJM-DGCLKSJQSA-N 0 3 239.334 2.712 20 0 BFADHN Cc1ccc(CNC2(CCO)CCCCC2)o1 ZINC000344598588 354323474 /nfs/dbraw/zinc/32/34/74/354323474.db2.gz CBLCEEOROQJAAO-UHFFFAOYSA-N 0 3 237.343 2.763 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2ccon2)C1 ZINC000086452699 491061780 /nfs/dbraw/zinc/06/17/80/491061780.db2.gz BVFMCNJMEBNYTH-WDEREUQCSA-N 0 3 208.305 2.733 20 0 BFADHN CO[C@H](CN[C@@H](C)CF)C1CCCCC1 ZINC000639182738 354338890 /nfs/dbraw/zinc/33/88/90/354338890.db2.gz KQZHNNKKSPJERG-CMPLNLGQSA-N 0 3 217.328 2.529 20 0 BFADHN COc1ccc2c(c1)CC[C@H]2N[C@H](C)CF ZINC000639183830 354346954 /nfs/dbraw/zinc/34/69/54/354346954.db2.gz WARBIKDYXDDDJA-NOZJJQNGSA-N 0 3 223.291 2.630 20 0 BFADHN CC(C)[C@@H]1CCN([C@H](C)c2cnccn2)C1 ZINC000334632521 354376210 /nfs/dbraw/zinc/37/62/10/354376210.db2.gz RDESZKBPLUBGHZ-VXGBXAGGSA-N 0 3 219.332 2.516 20 0 BFADHN NC(=O)[C@H]1CCCN1C[C@H]1CCCCC1(F)F ZINC000334633359 354377651 /nfs/dbraw/zinc/37/76/51/354377651.db2.gz DKVBJHICTNIWDY-NXEZZACHSA-N 0 3 246.301 2.812 20 0 BFADHN COc1cccnc1CN[C@@H]1CC[C@H]1C1CCC1 ZINC000449748205 202019714 /nfs/dbraw/zinc/01/97/14/202019714.db2.gz KUIOWZMURLWXHM-QWHCGFSZSA-N 0 3 246.354 2.759 20 0 BFADHN COc1cccnc1CN[C@H]1CC[C@@H]1C1CCC1 ZINC000449748204 202019804 /nfs/dbraw/zinc/01/98/04/202019804.db2.gz KUIOWZMURLWXHM-OLZOCXBDSA-N 0 3 246.354 2.759 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1ccc2c(c1)COC2 ZINC000344958415 354383468 /nfs/dbraw/zinc/38/34/68/354383468.db2.gz UNUHZWMALOTEEH-KGLIPLIRSA-N 0 3 249.379 2.700 20 0 BFADHN CCC(CC)CNCc1ncccc1OC ZINC000449751158 202019822 /nfs/dbraw/zinc/01/98/22/202019822.db2.gz XWGBREDGDVNAFM-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN CCc1ccc(CNCCCc2ccccn2)o1 ZINC000178934904 366185095 /nfs/dbraw/zinc/18/50/95/366185095.db2.gz PHCCODMNBCRQIC-UHFFFAOYSA-N 0 3 244.338 2.959 20 0 BFADHN c1cn2c(n1)[C@@H](NC1CCC3(CC3)CC1)CC2 ZINC000334622977 354361014 /nfs/dbraw/zinc/36/10/14/354361014.db2.gz JKBXVQPZRKYXHE-LBPRGKRZSA-N 0 3 231.343 2.640 20 0 BFADHN CCC(C)(C)CC(=O)N(CCN(C)C)C(C)C ZINC000344789539 354371255 /nfs/dbraw/zinc/37/12/55/354371255.db2.gz GNCBHSSEBWBMSP-UHFFFAOYSA-N 0 3 242.407 2.611 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCc3nc[nH]c3C2)o1 ZINC000334685308 354431651 /nfs/dbraw/zinc/43/16/51/354431651.db2.gz LMYYQXAGCFPUST-QWRGUYRKSA-N 0 3 245.326 2.519 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC[C@@]2(CCOC2)C1 ZINC000334687480 354433802 /nfs/dbraw/zinc/43/38/02/354433802.db2.gz DCOKGGKTABYNAD-DZGCQCFKSA-N 0 3 246.354 2.645 20 0 BFADHN Cc1ccc(CN2CCCO[C@@H](CF)C2)cc1 ZINC000334645718 354435146 /nfs/dbraw/zinc/43/51/46/354435146.db2.gz JGCODEWYOPNKBA-AWEZNQCLSA-N 0 3 237.318 2.555 20 0 BFADHN Cc1ccccc1CN1CCCO[C@@H](CF)C1 ZINC000334644589 354402993 /nfs/dbraw/zinc/40/29/93/354402993.db2.gz CLPOEZVXCGMXSR-AWEZNQCLSA-N 0 3 237.318 2.555 20 0 BFADHN C[C@H](NC1CCC2(CC2)CC1)c1ccncn1 ZINC000334670724 354412188 /nfs/dbraw/zinc/41/21/88/354412188.db2.gz AYVUMZFPDQQGCC-NSHDSACASA-N 0 3 231.343 2.850 20 0 BFADHN Cc1ncccc1CN1CCSC[C@H]1C1CC1 ZINC000334679954 354425753 /nfs/dbraw/zinc/42/57/53/354425753.db2.gz YMFCWKUVDQQHLG-AWEZNQCLSA-N 0 3 248.395 2.717 20 0 BFADHN Cc1cc(CN2CCOCC3(CC3)C2)cs1 ZINC000334654505 354447900 /nfs/dbraw/zinc/44/79/00/354447900.db2.gz VXWAADGJOAHWSF-UHFFFAOYSA-N 0 3 237.368 2.669 20 0 BFADHN C[C@H]1CN(C)CCN1CCC1=CCCCC1 ZINC000334664656 354466058 /nfs/dbraw/zinc/46/60/58/354466058.db2.gz XCDSVGIJPVMRGA-ZDUSSCGKSA-N 0 3 222.376 2.513 20 0 BFADHN CC(C)[C@@H]1N(Cc2cncn2C)CC12CCCC2 ZINC000334746538 354470764 /nfs/dbraw/zinc/47/07/64/354470764.db2.gz HUSMPJBSRRTXQZ-AWEZNQCLSA-N 0 3 247.386 2.821 20 0 BFADHN CCc1nc(C)c(CN2C[C@@H](C)[C@H](C)C2)o1 ZINC000334694645 354485720 /nfs/dbraw/zinc/48/57/20/354485720.db2.gz GNMBHTKNWACYFW-NXEZZACHSA-N 0 3 222.332 2.633 20 0 BFADHN CO[C@@H]1CCN(Cc2csc(C)c2)C[C@H]1C ZINC000334738354 354540789 /nfs/dbraw/zinc/54/07/89/354540789.db2.gz JQTCTQAJNYIPKK-ZWNOBZJWSA-N 0 3 239.384 2.913 20 0 BFADHN CC(C(=O)N(C)c1ccccc1F)C(F)(F)F ZINC000334699900 354491920 /nfs/dbraw/zinc/49/19/20/354491920.db2.gz UHWJWCHCAGRYGB-ZETCQYMHSA-N 0 3 249.207 2.987 20 0 BFADHN CC(C(=O)Nc1cccc(F)c1)C(F)(F)F ZINC000334699955 354492048 /nfs/dbraw/zinc/49/20/48/354492048.db2.gz VWQCJEGTLMAUNL-ZCFIWIBFSA-N 0 3 235.180 2.963 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCN(C)CC12CCCCC2 ZINC000334710811 354507741 /nfs/dbraw/zinc/50/77/41/354507741.db2.gz OUOJBSFNKDAJIK-KGLIPLIRSA-N 0 3 236.403 2.593 20 0 BFADHN Cc1ccc(CN2CCC(c3c[nH]cn3)CC2)o1 ZINC000334721772 354521778 /nfs/dbraw/zinc/52/17/78/354521778.db2.gz YLVRUEQMFCQARE-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN Cc1cccc(CN2CC3(C2)CCOCC3)c1C ZINC000334797522 354551869 /nfs/dbraw/zinc/55/18/69/354551869.db2.gz SKKOGXMPQCMVGU-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)C1CC(C)(C)C1 ZINC000334798158 354553110 /nfs/dbraw/zinc/55/31/10/354553110.db2.gz WQVJJQLOONFHDR-UHFFFAOYSA-N 0 3 235.375 2.657 20 0 BFADHN COc1ccnc(CN2CCC[C@@H]3CCC[C@@H]32)c1 ZINC000334755186 354576940 /nfs/dbraw/zinc/57/69/40/354576940.db2.gz ZGDBJAOPDMRGNW-WFASDCNBSA-N 0 3 246.354 2.855 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1ccncc1F ZINC000334755334 354577088 /nfs/dbraw/zinc/57/70/88/354577088.db2.gz DJPQXDHZDFLZPR-PWSUYJOCSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@H](CNCc1cc2ccccc2n1C)OC ZINC000639194678 354594527 /nfs/dbraw/zinc/59/45/27/354594527.db2.gz IYFOCYIPQRLBAJ-CQSZACIVSA-N 0 3 246.354 2.693 20 0 BFADHN CC[C@@H](CNCc1c(C)cccc1OC)OC ZINC000639195238 354595818 /nfs/dbraw/zinc/59/58/18/354595818.db2.gz RYINSEPAUHSFFI-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN C[C@H]1SCCN(C/C=C/c2ccncc2)[C@@H]1C ZINC000334796706 354550870 /nfs/dbraw/zinc/55/08/70/354550870.db2.gz KMLGETRCZZKROS-CDJBXZAQSA-N 0 3 248.395 2.921 20 0 BFADHN C[C@@H]1[C@H](C)N(C)CCN1C/C=C/c1ccccc1 ZINC000335093698 354630922 /nfs/dbraw/zinc/63/09/22/354630922.db2.gz BRPULPJJEJXDSE-PAVULQLHSA-N 0 3 244.382 2.724 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CS[C@H](C)C1 ZINC000639205777 354660459 /nfs/dbraw/zinc/66/04/59/354660459.db2.gz MKFJOTPUWJCEPD-PSASIEDQSA-N 0 3 240.372 2.529 20 0 BFADHN CC[C@H]1CCN1[C@H](C)c1cnc(C)nc1C ZINC000639202829 354608002 /nfs/dbraw/zinc/60/80/02/354608002.db2.gz KVSAGSZQIYIJCU-PWSUYJOCSA-N 0 3 219.332 2.639 20 0 BFADHN CC[C@H]1CCN1[C@@H](C)c1cnc(C)nc1C ZINC000639202827 354608017 /nfs/dbraw/zinc/60/80/17/354608017.db2.gz KVSAGSZQIYIJCU-JQWIXIFHSA-N 0 3 219.332 2.639 20 0 BFADHN CCc1nc(C)c(CN[C@@H](C)[C@H]2CC2(F)F)o1 ZINC000639202904 354609003 /nfs/dbraw/zinc/60/90/03/354609003.db2.gz NEFMTAVKIULUJG-IONNQARKSA-N 0 3 244.285 2.679 20 0 BFADHN CC(C)n1cncc1CN1CC[C@H](C)[C@H]1C ZINC000335083401 354616946 /nfs/dbraw/zinc/61/69/46/354616946.db2.gz HZRBVJSAUAIVIY-NWDGAFQWSA-N 0 3 221.348 2.694 20 0 BFADHN CC(C)n1cncc1CN1C[C@H](C)C[C@@H](C)C1 ZINC000335107284 354693048 /nfs/dbraw/zinc/69/30/48/354693048.db2.gz CAEMRBHCRBJFPB-CHWSQXEVSA-N 0 3 235.375 2.942 20 0 BFADHN CC(C)n1cncc1CN1CCC[C@@H](C)C1 ZINC000335108222 354694234 /nfs/dbraw/zinc/69/42/34/354694234.db2.gz IJKHKXJPCLVNTG-GFCCVEGCSA-N 0 3 221.348 2.696 20 0 BFADHN CC[C@@H](C(=O)N1CCCC[C@H]1C)N(CC)CC ZINC000345565894 354694920 /nfs/dbraw/zinc/69/49/20/354694920.db2.gz YXKAPQVGNINENT-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN CCCCN(CC)C(=O)[C@H](CC)N(CC)CC ZINC000345565905 354695056 /nfs/dbraw/zinc/69/50/56/354695056.db2.gz YXWKIPUNGVLWBL-ZDUSSCGKSA-N 0 3 242.407 2.755 20 0 BFADHN CCCCCC(=O)N[C@@H](C)CN1CCCCC1 ZINC000345617481 354715699 /nfs/dbraw/zinc/71/56/99/354715699.db2.gz NJKULLKGLZVPFD-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1cc2[nH]c(CN[C@@H]3CCOC3)cc2c(C)c1 ZINC000345446009 354670726 /nfs/dbraw/zinc/67/07/26/354670726.db2.gz VIZCPNADRYMBFP-GFCCVEGCSA-N 0 3 244.338 2.663 20 0 BFADHN CO[C@@H]1[C@H](C)[C@@H](NCc2ccc(C)o2)C1(C)C ZINC000345705890 354753875 /nfs/dbraw/zinc/75/38/75/354753875.db2.gz LHHUWDPTPRSBRM-RAIGVLPGSA-N 0 3 237.343 2.737 20 0 BFADHN CC(C)Cn1ccnc1NCC(C)(C)C ZINC000232675507 363377891 /nfs/dbraw/zinc/37/78/91/363377891.db2.gz AGXBISFAJSIKRO-UHFFFAOYSA-N 0 3 209.337 2.997 20 0 BFADHN CC(C)Cc1noc(C2CCN(C3CC3)CC2)n1 ZINC000345651749 354736864 /nfs/dbraw/zinc/73/68/64/354736864.db2.gz QFTFQHSXNXCKAQ-UHFFFAOYSA-N 0 3 249.358 2.610 20 0 BFADHN Cc1nc2ccccc2nc1CNCC[C@@H](C)F ZINC000639209406 354738825 /nfs/dbraw/zinc/73/88/25/354738825.db2.gz CMMDBHWCMBMWBX-SNVBAGLBSA-N 0 3 247.317 2.776 20 0 BFADHN CCC[C@H](C)N(C)C(=O)[C@@H](CC)N(CC)CC ZINC000345983637 354832929 /nfs/dbraw/zinc/83/29/29/354832929.db2.gz XKBRVRNAIVODSW-QWHCGFSZSA-N 0 3 242.407 2.754 20 0 BFADHN CC1(CN2CCC[C@H]2c2ccc(F)cc2)COC1 ZINC000345923891 354808537 /nfs/dbraw/zinc/80/85/37/354808537.db2.gz BLDGVZPYGKYKSN-AWEZNQCLSA-N 0 3 249.329 2.999 20 0 BFADHN Cc1csc(CCN[C@@H](C)c2ccoc2)n1 ZINC000087290212 491067739 /nfs/dbraw/zinc/06/77/39/491067739.db2.gz YCKHKRFNCVTNIH-JTQLQIEISA-N 0 3 236.340 2.938 20 0 BFADHN CCCC[C@H](CC)CC(=O)N[C@@H](C)CN(C)C ZINC000346132826 354870044 /nfs/dbraw/zinc/87/00/44/354870044.db2.gz ISPMNSZNVLKTPR-STQMWFEESA-N 0 3 242.407 2.659 20 0 BFADHN Cc1nocc1CN(C)[C@H]1CCCC[C@H]1C ZINC000335943201 134018761 /nfs/dbraw/zinc/01/87/61/134018761.db2.gz RUZFZBIACZVDAD-MFKMUULPSA-N 0 3 222.332 2.994 20 0 BFADHN CCC(=O)CCCN1CCS[C@@H](CC)C1 ZINC000234193261 363417117 /nfs/dbraw/zinc/41/71/17/363417117.db2.gz LYHQAGAMIIVJTP-LBPRGKRZSA-N 0 3 229.389 2.573 20 0 BFADHN Cc1ccoc1CN(C)CCC[C@@H]1CCO1 ZINC000639219211 354875803 /nfs/dbraw/zinc/87/58/03/354875803.db2.gz DMSFVSQFDYOTIZ-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN COc1cccnc1CN[C@H]1CCCC12CCC2 ZINC000449748618 202020714 /nfs/dbraw/zinc/02/07/14/202020714.db2.gz MTGLLQZODWQAAM-AWEZNQCLSA-N 0 3 246.354 2.903 20 0 BFADHN CO[C@](C)(CN(C)Cc1occc1C)C1CC1 ZINC000639219229 354877355 /nfs/dbraw/zinc/87/73/55/354877355.db2.gz FCIUOJTZZSILTP-CQSZACIVSA-N 0 3 237.343 2.835 20 0 BFADHN Nc1c(F)cccc1CNCC1=CCCCC1 ZINC000639216291 354842021 /nfs/dbraw/zinc/84/20/21/354842021.db2.gz GEHDMRPUIVACPB-UHFFFAOYSA-N 0 3 234.318 2.998 20 0 BFADHN CC[C@@H](O)CN[C@H](C)c1csc(Cl)c1 ZINC000233904179 363410748 /nfs/dbraw/zinc/41/07/48/363410748.db2.gz KDEWBPKNLHTCPD-VXNVDRBHSA-N 0 3 233.764 2.823 20 0 BFADHN CCCN(CC)CC(=O)N1CCCC[C@H]1CC ZINC000346318223 354895222 /nfs/dbraw/zinc/89/52/22/354895222.db2.gz QEPOPGUBOODWTN-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN C/C=C\C[C@H](CO)NCc1cc2ccccc2o1 ZINC000349829967 366235034 /nfs/dbraw/zinc/23/50/34/366235034.db2.gz PUYYUDOLMNRMAE-XQJDBVBESA-N 0 3 245.322 2.850 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@@H](C)CC(C)(C)C2)n1 ZINC000346321827 354895524 /nfs/dbraw/zinc/89/55/24/354895524.db2.gz FACDVKNRJYWDSE-NSHDSACASA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@@H](C)CC(C)(C)C2)n1 ZINC000346321827 354895526 /nfs/dbraw/zinc/89/55/26/354895526.db2.gz FACDVKNRJYWDSE-NSHDSACASA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@H](C)CC(C)(C)C2)n1 ZINC000346321814 354895615 /nfs/dbraw/zinc/89/56/15/354895615.db2.gz FACDVKNRJYWDSE-LLVKDONJSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@H](C)CC(C)(C)C2)n1 ZINC000346321814 354895620 /nfs/dbraw/zinc/89/56/20/354895620.db2.gz FACDVKNRJYWDSE-LLVKDONJSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)C[C@H]1CC=CCC1 ZINC000346327957 354897296 /nfs/dbraw/zinc/89/72/96/354897296.db2.gz MDTKPIUTHNPLRJ-ZDUSSCGKSA-N 0 3 233.359 2.815 20 0 BFADHN CCC1(N[C@@H](c2nc[nH]n2)C2CCCCC2)CC1 ZINC000639222619 354902101 /nfs/dbraw/zinc/90/21/01/354902101.db2.gz LCCJBBLNXTVNNM-GFCCVEGCSA-N 0 3 248.374 2.958 20 0 BFADHN CCC[C@@H](CC)NCc1ncccc1OC ZINC000449748663 202020958 /nfs/dbraw/zinc/02/09/58/202020958.db2.gz NDIUSARQIOPVIE-LLVKDONJSA-N 0 3 222.332 2.759 20 0 BFADHN COc1cccnc1CN[C@H]1CCC[C@H](C)C1 ZINC000449751420 202021111 /nfs/dbraw/zinc/02/11/11/202021111.db2.gz YHOLHGRHIQHQSS-RYUDHWBXSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cn(C)nc2C(F)F)C1 ZINC000335162788 354916365 /nfs/dbraw/zinc/91/63/65/354916365.db2.gz KYWYSOGVCXBMIF-BDAKNGLRSA-N 0 3 243.301 2.588 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1snnc1C ZINC000639222995 354924090 /nfs/dbraw/zinc/92/40/90/354924090.db2.gz QUSUVUNOGBRAJR-MNOVXSKESA-N 0 3 239.388 2.762 20 0 BFADHN C[C@@H]1C[C@@H](C(C)(C)C)CCN1CC(N)=O ZINC000335958643 134023855 /nfs/dbraw/zinc/02/38/55/134023855.db2.gz SCXVYKVPWNDHRN-ZJUUUORDSA-N 0 3 212.337 2.668 20 0 BFADHN CCc1cc(N2CC[C@@H]([C@@H]3CCOC3)C2)ccn1 ZINC000335176685 354945865 /nfs/dbraw/zinc/94/58/65/354945865.db2.gz KPPJHKQXEXLKRJ-CHWSQXEVSA-N 0 3 246.354 2.507 20 0 BFADHN Cn1cncc1CNC1CC2(C1)CCCCC2 ZINC000335179489 354952935 /nfs/dbraw/zinc/95/29/35/354952935.db2.gz SYQSWJFKQJSYRP-UHFFFAOYSA-N 0 3 233.359 2.623 20 0 BFADHN C[C@@H]1CN(CCc2cncs2)C[C@H](C)S1 ZINC000335179038 354965526 /nfs/dbraw/zinc/96/55/26/354965526.db2.gz QDWUZHPMXOJFDN-AOOOYVTPSA-N 0 3 242.413 2.511 20 0 BFADHN CCc1cccc(CN2C[C@@H](C)N(C)C[C@H]2C)c1 ZINC000346554176 354966607 /nfs/dbraw/zinc/96/66/07/354966607.db2.gz GJFABVNUHIPPBP-ZIAGYGMSSA-N 0 3 246.398 2.773 20 0 BFADHN COc1cc(C)ccc1CN[C@@H](C)[C@@H]1CCCO1 ZINC000346596695 354988398 /nfs/dbraw/zinc/98/83/98/354988398.db2.gz HFFKOBFVFPHXPF-JSGCOSHPSA-N 0 3 249.354 2.661 20 0 BFADHN CC[C@@](C)(CN(C)Cc1occc1C)OC ZINC000639225358 355007670 /nfs/dbraw/zinc/00/76/70/355007670.db2.gz WLOOXJVYAYETDX-ZDUSSCGKSA-N 0 3 225.332 2.835 20 0 BFADHN CC(C)C[C@H]1C[C@@H](NCc2ccccn2)CCO1 ZINC000346675367 355009068 /nfs/dbraw/zinc/00/90/68/355009068.db2.gz WLAJVHFQKBPRSU-ZFWWWQNUSA-N 0 3 248.370 2.765 20 0 BFADHN Cc1cc(CN2CC(C)(C)C[C@@H]2C)on1 ZINC000335188469 355019533 /nfs/dbraw/zinc/01/95/33/355019533.db2.gz IKLRACAPGPLZPX-JTQLQIEISA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(CN2CC(C)(C)C[C@H]2C)on1 ZINC000335188470 355019566 /nfs/dbraw/zinc/01/95/66/355019566.db2.gz IKLRACAPGPLZPX-SNVBAGLBSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1oncc1CN1CC(C)(C)C[C@H]1C ZINC000335194794 355027432 /nfs/dbraw/zinc/02/74/32/355027432.db2.gz ZUZAMUJVACHNTK-SECBINFHSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H]1C[C@@H](O)CCN1Cc1cccc(C2CC2)c1 ZINC000335181296 355078868 /nfs/dbraw/zinc/07/88/68/355078868.db2.gz ZHNZTFGTSDNBBC-LRDDRELGSA-N 0 3 245.366 2.909 20 0 BFADHN C[C@@H](NC1(c2ccccc2F)CC1)[C@H]1CCCO1 ZINC000346800028 355108612 /nfs/dbraw/zinc/10/86/12/355108612.db2.gz QQPBRJCTXSIRQA-BXUZGUMPSA-N 0 3 249.329 2.972 20 0 BFADHN CC[C@@H](COC)NC1(c2ccc(F)cc2)CC1 ZINC000346800202 355108641 /nfs/dbraw/zinc/10/86/41/355108641.db2.gz QDFCEDCWLCEKGQ-ZDUSSCGKSA-N 0 3 237.318 2.829 20 0 BFADHN Cc1nocc1CN[C@@H]1CC=C(C)CC1 ZINC000639228845 355109225 /nfs/dbraw/zinc/10/92/25/355109225.db2.gz WFVXMOGOUXHAPH-GFCCVEGCSA-N 0 3 206.289 2.571 20 0 BFADHN CC[C@@H]1CCCC[C@H]1[NH2+]Cc1cnc(C)[n-]c1=O ZINC000346803244 355109421 /nfs/dbraw/zinc/10/94/21/355109421.db2.gz FLTLHYJZNRZRDE-DGCLKSJQSA-N 0 3 249.358 2.549 20 0 BFADHN Cc1cccnc1CCCNCc1ccns1 ZINC000639228685 355116534 /nfs/dbraw/zinc/11/65/34/355116534.db2.gz MLPUZCCDJZYZAY-UHFFFAOYSA-N 0 3 247.367 2.569 20 0 BFADHN CCCC[C@@H](CC)[NH2+]Cc1cnc(C)[n-]c1=O ZINC000346811367 355121114 /nfs/dbraw/zinc/12/11/14/355121114.db2.gz UIZKYYVPIZNOTF-GFCCVEGCSA-N 0 3 237.347 2.549 20 0 BFADHN COC[C@@H]1CCCCN1C/C=C/c1ccncc1 ZINC000449760300 202024808 /nfs/dbraw/zinc/02/48/08/202024808.db2.gz NJCIMPYBLSQVBF-RGDDUWESSA-N 0 3 246.354 2.596 20 0 BFADHN COC[C@H]1CCCCN1Cc1ccccc1OC ZINC000449758597 202025351 /nfs/dbraw/zinc/02/53/51/202025351.db2.gz GXJTZRWQSWAVBQ-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1cccnc1 ZINC000449759776 202025401 /nfs/dbraw/zinc/02/54/01/202025401.db2.gz KQHCHMKOZXQLSN-ZIAGYGMSSA-N 0 3 234.343 2.519 20 0 BFADHN CCCN(CC)Cc1c(C)cc(C)nc1OC ZINC000346831863 355129605 /nfs/dbraw/zinc/12/96/05/355129605.db2.gz UYSLPIQREDVAFO-UHFFFAOYSA-N 0 3 236.359 2.939 20 0 BFADHN COc1nc(C)cc(C)c1CN1CCC[C@@H](C)C1 ZINC000346868812 355157817 /nfs/dbraw/zinc/15/78/17/355157817.db2.gz IFVPVLNPFWCWPN-LLVKDONJSA-N 0 3 248.370 2.939 20 0 BFADHN C[C@@H](Cc1ccoc1)N[C@@H](C)c1ccccn1 ZINC000346971939 355208557 /nfs/dbraw/zinc/20/85/57/355208557.db2.gz JJDCGLPRJCLXSO-RYUDHWBXSA-N 0 3 230.311 2.956 20 0 BFADHN C[C@H](C[C@H]1CCCO1)N[C@H](C)c1ccccn1 ZINC000346976635 355211696 /nfs/dbraw/zinc/21/16/96/355211696.db2.gz GGIHSUQKWYZRSC-JHJVBQTASA-N 0 3 234.343 2.690 20 0 BFADHN CC[C@]1(C)C[C@@H]1C(=O)Nc1ccc2c(c1)CNC2 ZINC000335242025 355177764 /nfs/dbraw/zinc/17/77/64/355177764.db2.gz WSMKQBNIWYOXDS-UKRRQHHQSA-N 0 3 244.338 2.665 20 0 BFADHN Cc1nocc1CN[C@]1(C)CC=CCC1 ZINC000335242431 355178408 /nfs/dbraw/zinc/17/84/08/355178408.db2.gz STNUHTXXDAMGLX-GFCCVEGCSA-N 0 3 206.289 2.571 20 0 BFADHN Fc1cncc(CN(CC2CC2)CC2CC2)c1 ZINC000346984323 355186212 /nfs/dbraw/zinc/18/62/12/355186212.db2.gz ONKSELFCHXXDQO-UHFFFAOYSA-N 0 3 234.318 2.843 20 0 BFADHN Cc1cc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)on1 ZINC000639232430 355215349 /nfs/dbraw/zinc/21/53/49/355215349.db2.gz ILFZKCDPCZXXPH-UONOGXRCSA-N 0 3 232.327 2.603 20 0 BFADHN C[C@@]1(F)CCN(CCCC2CCOCC2)C1 ZINC000346998101 355196170 /nfs/dbraw/zinc/19/61/70/355196170.db2.gz FGVLUHHRUCASPZ-CYBMUJFWSA-N 0 3 229.339 2.627 20 0 BFADHN COc1ccncc1CN(C)[C@@H](C)C1CCC1 ZINC000347015294 355205666 /nfs/dbraw/zinc/20/56/66/355205666.db2.gz MTIUQLUQGIDMHV-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@H](C(=O)NC(C)(C)CC(C)(C)C)N(C)C ZINC000413099400 191355582 /nfs/dbraw/zinc/35/55/82/191355582.db2.gz DFZOURDEMHWMMR-LLVKDONJSA-N 0 3 242.407 2.658 20 0 BFADHN CC[C@H](C(=O)N(CC)C1CCCCC1)N(C)C ZINC000413125979 191357773 /nfs/dbraw/zinc/35/77/73/191357773.db2.gz RQQYOJYYIPGDFO-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)[C@@H](C)C2)nc1 ZINC000347582263 355232083 /nfs/dbraw/zinc/23/20/83/355232083.db2.gz OPGXMTBZTVTQRP-STQMWFEESA-N 0 3 218.344 2.868 20 0 BFADHN CC[C@H](CN1CCC[C@@H]1c1cccnc1)OC ZINC000412975708 191346220 /nfs/dbraw/zinc/34/62/20/191346220.db2.gz GQHNYTSFXZTBJQ-ZIAGYGMSSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@H](CN1CCc2sccc2C1)OC ZINC000412975318 191346906 /nfs/dbraw/zinc/34/69/06/191346906.db2.gz FMOAESQGGJMSIS-LLVKDONJSA-N 0 3 225.357 2.531 20 0 BFADHN CC[C@@H](CN1CCc2sccc2C1)OC ZINC000412975322 191347507 /nfs/dbraw/zinc/34/75/07/191347507.db2.gz FMOAESQGGJMSIS-NSHDSACASA-N 0 3 225.357 2.531 20 0 BFADHN CC[C@@H](CN1CCC[C@H]1c1ccncc1)OC ZINC000412980143 191350752 /nfs/dbraw/zinc/35/07/52/191350752.db2.gz WJFVVKWYRQBVNA-KBPBESRZSA-N 0 3 234.343 2.644 20 0 BFADHN CCc1ccc(CN(CC)C(C)C)cn1 ZINC000347672009 355260953 /nfs/dbraw/zinc/26/09/53/355260953.db2.gz LDYUFIXZNJWPGF-UHFFFAOYSA-N 0 3 206.333 2.874 20 0 BFADHN CC[C@@H](C(=O)N1CCCCC[C@@H]1CC)N(C)C ZINC000413488735 191389082 /nfs/dbraw/zinc/38/90/82/191389082.db2.gz PZCBJBYXNVOGCU-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN COc1cc(CN2CC[C@H](C)[C@@H](C)C2)ccn1 ZINC000347593889 355249921 /nfs/dbraw/zinc/24/99/21/355249921.db2.gz GEPSRNZPDFWPKR-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN COc1cc(CN2CC[C@H](C)[C@H](C)C2)ccn1 ZINC000347593887 355250272 /nfs/dbraw/zinc/25/02/72/355250272.db2.gz GEPSRNZPDFWPKR-NWDGAFQWSA-N 0 3 234.343 2.568 20 0 BFADHN C[C@@H]1CN(C[C@@H]2C[C@@H]2c2ccccc2)C[C@H](C)O1 ZINC000347664152 355255120 /nfs/dbraw/zinc/25/51/20/355255120.db2.gz OXVDCGAJCUPCOA-LQKXBSAESA-N 0 3 245.366 2.899 20 0 BFADHN CCCCN(C(=O)[C@@H](CC)N(C)C)[C@@H](C)CC ZINC000413411029 191381194 /nfs/dbraw/zinc/38/11/94/191381194.db2.gz RCVXKUWUQCWQPO-QWHCGFSZSA-N 0 3 242.407 2.754 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000623225452 355288761 /nfs/dbraw/zinc/28/87/61/355288761.db2.gz SPFVJSAULNBGTO-WVWOOGAGSA-N 0 3 245.370 2.813 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1cc2n(n1)CCC2 ZINC000414082808 191427614 /nfs/dbraw/zinc/42/76/14/191427614.db2.gz WLDOTTGGLAMVTF-QJPTWQEYSA-N 0 3 235.375 2.915 20 0 BFADHN Cn1ccnc1[C@H](N[C@H]1CCC[C@@H]2C[C@@H]21)C1CC1 ZINC000639259189 355308577 /nfs/dbraw/zinc/30/85/77/355308577.db2.gz UBUVKCDXOPTNSR-ZOBORPQBSA-N 0 3 245.370 2.649 20 0 BFADHN C[C@H](NCC(C)(C)C1CCC1)c1ccn(C)n1 ZINC000414140308 191440567 /nfs/dbraw/zinc/44/05/67/191440567.db2.gz GRAIFRJMGJCDHD-NSHDSACASA-N 0 3 235.375 2.897 20 0 BFADHN C[C@@H](NCc1cnc(C2CC2)o1)[C@H]1C[C@H]1C ZINC000414145363 191442962 /nfs/dbraw/zinc/44/29/62/191442962.db2.gz KDMGMDMIRTVISP-LNLATYFQSA-N 0 3 220.316 2.686 20 0 BFADHN C[C@@H](NC[C@H]1CC[C@@H](C)C1)c1cc2n(n1)CCC2 ZINC000414149494 191443788 /nfs/dbraw/zinc/44/37/88/191443788.db2.gz OJTHPQVCBJPEHH-UPJWGTAASA-N 0 3 247.386 2.916 20 0 BFADHN CC[C@H](NCc1ncccc1OC)[C@H]1C[C@@H]1C ZINC000414148591 191444357 /nfs/dbraw/zinc/44/43/57/191444357.db2.gz NIPGRQLNPAKPEQ-SRVKXCTJSA-N 0 3 234.343 2.614 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1ccns1 ZINC000639264895 355321157 /nfs/dbraw/zinc/32/11/57/355321157.db2.gz CEFUQVHDCYBZEM-VIFPVBQESA-N 0 3 210.346 2.764 20 0 BFADHN CCCN(CC)CC(=O)N(C)C1CCCCC1 ZINC000348987305 355336636 /nfs/dbraw/zinc/33/66/36/355336636.db2.gz FFKVVXSHOYTOMI-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@H]1F)[C@H]1CC1(F)F ZINC000639267785 355329838 /nfs/dbraw/zinc/32/98/38/355329838.db2.gz SINWJCGCYPMAHA-SGIHWFKDSA-N 0 3 221.266 2.900 20 0 BFADHN CCCCOCCN1CC[C@@H](c2cccnc2)C1 ZINC000583498072 355331704 /nfs/dbraw/zinc/33/17/04/355331704.db2.gz WPHDKGSPEKUHRC-OAHLLOKOSA-N 0 3 248.370 2.688 20 0 BFADHN CC[C@H](N[C@H](C)c1ccn(C)n1)[C@@H]1C[C@@H]1C ZINC000414198247 191459058 /nfs/dbraw/zinc/45/90/58/191459058.db2.gz WUMYCBQREHCOHH-QCNOEVLYSA-N 0 3 221.348 2.505 20 0 BFADHN CC[C@@H](O)CN1CC(c2ccccc2)(C(C)C)C1 ZINC000414162124 191463239 /nfs/dbraw/zinc/46/32/39/191463239.db2.gz CZYNJIBBZNJCDB-OAHLLOKOSA-N 0 3 247.382 2.667 20 0 BFADHN CCn1ccc(CN[C@@H](C)CC2CCCC2)n1 ZINC000414214333 191471943 /nfs/dbraw/zinc/47/19/43/191471943.db2.gz VSWWBIWHAUEFOS-LBPRGKRZSA-N 0 3 235.375 2.961 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000414216691 191472109 /nfs/dbraw/zinc/47/21/09/191472109.db2.gz WXRSBIKQMXTPFW-RNJOBUHISA-N 0 3 231.343 2.624 20 0 BFADHN CC1(C)CCC[C@H](CN2CCc3n[nH]cc3C2)C1 ZINC000623238611 355383155 /nfs/dbraw/zinc/38/31/55/355383155.db2.gz NDGQDEJZAUQWSP-LBPRGKRZSA-N 0 3 247.386 2.984 20 0 BFADHN CC(C)C1CCC(N2CCc3n[nH]cc3C2)CC1 ZINC000623238723 355383460 /nfs/dbraw/zinc/38/34/60/355383460.db2.gz RCVWJIVTUQLAQM-UHFFFAOYSA-N 0 3 247.386 2.983 20 0 BFADHN CO[C@](C)(CNCc1cc(C)nc(C)c1)C1CC1 ZINC000639274211 355342218 /nfs/dbraw/zinc/34/22/18/355342218.db2.gz VMPRUFTVMPEJBM-OAHLLOKOSA-N 0 3 248.370 2.603 20 0 BFADHN CO[C@@](C)(CNCc1cc(C)nc(C)c1)C1CC1 ZINC000639274209 355342359 /nfs/dbraw/zinc/34/23/59/355342359.db2.gz VMPRUFTVMPEJBM-HNNXBMFYSA-N 0 3 248.370 2.603 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCSC2)[C@@H](CC)CO1 ZINC000651913406 491078284 /nfs/dbraw/zinc/07/82/84/491078284.db2.gz VZRCROALHYKOJQ-FRRDWIJNSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H]3CCC[C@@H]3C2)nn1C ZINC000414356851 191497466 /nfs/dbraw/zinc/49/74/66/191497466.db2.gz HJZBZFJLELLWIE-MGPQQGTHSA-N 0 3 247.386 2.787 20 0 BFADHN Cc1nc2ccccc2nc1CN[C@H]1CC12CC2 ZINC000639277311 355354692 /nfs/dbraw/zinc/35/46/92/355354692.db2.gz LFCLNBSBACZWJO-AWEZNQCLSA-N 0 3 239.322 2.580 20 0 BFADHN CCC1CCC(N(C)Cc2cc[nH]n2)CC1 ZINC000349708210 355416041 /nfs/dbraw/zinc/41/60/41/355416041.db2.gz QSAYFRSGBOFKSC-UHFFFAOYSA-N 0 3 221.348 2.810 20 0 BFADHN Cc1cccc2c1CC[C@H]2NCCOC(C)C ZINC000349723570 355419578 /nfs/dbraw/zinc/41/95/78/355419578.db2.gz RWNRVBQRCWZOJZ-OAHLLOKOSA-N 0 3 233.355 2.997 20 0 BFADHN C[C@H]1CN(Cc2ccc(CO)o2)CC(C)(C)C1 ZINC000335780357 355426781 /nfs/dbraw/zinc/42/67/81/355426781.db2.gz BSLJEGYVKKCORY-LLVKDONJSA-N 0 3 237.343 2.640 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1cc(C)n(C)n1 ZINC000414471452 191517201 /nfs/dbraw/zinc/51/72/01/191517201.db2.gz QYDJRPIAMGOYOM-QWHCGFSZSA-N 0 3 235.375 2.644 20 0 BFADHN C[C@@H](N[C@H]1CCCC(F)(F)C1)c1ccnn1C ZINC000335789315 355439905 /nfs/dbraw/zinc/43/99/05/355439905.db2.gz WYPTUWZAZMXRDC-ZJUUUORDSA-N 0 3 243.301 2.649 20 0 BFADHN COC1([C@H](C)NCc2ccc(Cl)o2)CCC1 ZINC000414531748 191529949 /nfs/dbraw/zinc/52/99/49/191529949.db2.gz ZRLVIZALVFBQFP-VIFPVBQESA-N 0 3 243.734 2.980 20 0 BFADHN CC[C@H](F)CN1CCCC2(CCOCC2)C1 ZINC000335811571 355497338 /nfs/dbraw/zinc/49/73/38/355497338.db2.gz MCWUBXOHTUPOAX-LBPRGKRZSA-N 0 3 229.339 2.627 20 0 BFADHN CCN(C)Cc1c(C)nn(C(C)(C)C)c1C ZINC000349799766 355464792 /nfs/dbraw/zinc/46/47/92/355464792.db2.gz AIWWLUXOEZJXFL-UHFFFAOYSA-N 0 3 223.364 2.707 20 0 BFADHN CC[C@@H]1CN(CC2CCC(C)CC2)CCO1 ZINC000349817816 355468464 /nfs/dbraw/zinc/46/84/64/355468464.db2.gz ZWRRZVZYFJWQBQ-JXQTWKCFSA-N 0 3 225.376 2.924 20 0 BFADHN COc1cncc(CN2C[C@H]3CCCC[C@@H]3C2)c1 ZINC000335810670 355487091 /nfs/dbraw/zinc/48/70/91/355487091.db2.gz WCJTXGFLLAYCSR-ZIAGYGMSSA-N 0 3 246.354 2.712 20 0 BFADHN CC(C)[C@@H]1CCC[C@H]1N1CCc2n[nH]cc2C1 ZINC000623241318 355488903 /nfs/dbraw/zinc/48/89/03/355488903.db2.gz PKFVPBANCKTDOA-GXTWGEPZSA-N 0 3 233.359 2.593 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN1CCc2n[nH]cc2C1 ZINC000623241375 355488978 /nfs/dbraw/zinc/48/89/78/355488978.db2.gz QXBIOGTYXZMUHY-JSGCOSHPSA-N 0 3 245.370 2.760 20 0 BFADHN C[C@@H](CC1CCOCC1)N[C@@H](C)c1ccon1 ZINC000349834474 355489896 /nfs/dbraw/zinc/48/98/96/355489896.db2.gz PANXPLRWIRHCJV-QWRGUYRKSA-N 0 3 238.331 2.530 20 0 BFADHN C[C@H](CC1CCOCC1)N[C@H](C)c1ccon1 ZINC000349834471 355489944 /nfs/dbraw/zinc/48/99/44/355489944.db2.gz PANXPLRWIRHCJV-GHMZBOCLSA-N 0 3 238.331 2.530 20 0 BFADHN C[C@H](CC1CCOCC1)CN1CC[C@@](C)(F)C1 ZINC000349959683 355538898 /nfs/dbraw/zinc/53/88/98/355538898.db2.gz DJCOAZDCGYYWKG-TZMCWYRMSA-N 0 3 243.366 2.873 20 0 BFADHN C[C@@H](CC1CCOCC1)CN1CC[C@](C)(F)C1 ZINC000349959676 355539051 /nfs/dbraw/zinc/53/90/51/355539051.db2.gz DJCOAZDCGYYWKG-JSGCOSHPSA-N 0 3 243.366 2.873 20 0 BFADHN CCc1nc(C)c(CN2CC[C@@H](C)[C@@H]2C)o1 ZINC000335821341 355539898 /nfs/dbraw/zinc/53/98/98/355539898.db2.gz JIUPYPBNXFQTMU-KOLCDFICSA-N 0 3 222.332 2.776 20 0 BFADHN C[C@H]1CC(C)(C)C[C@@H]1N1CCc2n[nH]cc2C1 ZINC000623241985 355540377 /nfs/dbraw/zinc/54/03/77/355540377.db2.gz LVMYQXOXQCHQBU-GWCFXTLKSA-N 0 3 233.359 2.593 20 0 BFADHN CC1(NCc2cc(C3CC3)no2)CC=CC1 ZINC000639294127 355554579 /nfs/dbraw/zinc/55/45/79/355554579.db2.gz CQXSNEBXAQGVQK-UHFFFAOYSA-N 0 3 218.300 2.750 20 0 BFADHN Cc1cccc2ncc(CN(C)CC(C)C)n21 ZINC000349878129 355515745 /nfs/dbraw/zinc/51/57/45/355515745.db2.gz UCINXFYCRZGSAZ-UHFFFAOYSA-N 0 3 231.343 2.731 20 0 BFADHN CC1(C)CCN(C/C=C/c2ccncc2)C1 ZINC000335840852 355584754 /nfs/dbraw/zinc/58/47/54/355584754.db2.gz NMRBNKFPGMMESG-ONEGZZNKSA-N 0 3 216.328 2.827 20 0 BFADHN C[C@@H](N[C@@H](c1nccn1C)C1CC1)C(C)(C)C ZINC000639295772 355589562 /nfs/dbraw/zinc/58/95/62/355589562.db2.gz MWYNXERYNADVNH-ZYHUDNBSSA-N 0 3 235.375 2.895 20 0 BFADHN Nc1c(F)cccc1CNC1CC2(CCC2)C1 ZINC000639297073 355601310 /nfs/dbraw/zinc/60/13/10/355601310.db2.gz PYYHFSVTEIRBTP-UHFFFAOYSA-N 0 3 234.318 2.830 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1C[C@@H]2CCCC[C@@H]21 ZINC000639301854 355643290 /nfs/dbraw/zinc/64/32/90/355643290.db2.gz IHNFKWLFPOTUMD-IHRRRGAJSA-N 0 3 245.370 2.746 20 0 BFADHN COC1CCC(CCNCc2ccco2)CC1 ZINC000350218923 355651238 /nfs/dbraw/zinc/65/12/38/355651238.db2.gz DQCGBMNKXRITIO-UHFFFAOYSA-N 0 3 237.343 2.965 20 0 BFADHN Cc1ncc([C@H](C)N[C@@]23C[C@@H]2CCC3)c(C)n1 ZINC000639304489 355659384 /nfs/dbraw/zinc/65/93/84/355659384.db2.gz URNRVEPJYJCXQJ-JKOKRWQUSA-N 0 3 231.343 2.687 20 0 BFADHN CC(C)(C)O[C@H]1C[C@H](NCc2ccns2)C1 ZINC000639300007 355621102 /nfs/dbraw/zinc/62/11/02/355621102.db2.gz BLRQARDHBGMAQG-MGCOHNPYSA-N 0 3 240.372 2.579 20 0 BFADHN CCCC[C@H](CC)CNC(=O)[C@@H](CC)N(C)C ZINC000126371704 324694197 /nfs/dbraw/zinc/69/41/97/324694197.db2.gz KGQZMLJYORFXRH-QWHCGFSZSA-N 0 3 242.407 2.659 20 0 BFADHN CC[C@H](C)CN[C@H](c1ccccc1F)[C@H](C)O ZINC000583569242 355632955 /nfs/dbraw/zinc/63/29/55/355632955.db2.gz RARNNFINZZVBDT-MJVIPROJSA-N 0 3 239.334 2.883 20 0 BFADHN C[C@H](NC[C@H]1CCCC1(C)C)c1ccncn1 ZINC000389120145 355695940 /nfs/dbraw/zinc/69/59/40/355695940.db2.gz OJKGMCYQWHTEKV-NWDGAFQWSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@@H](F)CCN1CCC(F)(F)[C@@H](C)C1 ZINC000336005682 134049134 /nfs/dbraw/zinc/04/91/34/134049134.db2.gz JRXITQLNZLDBDE-DTWKUNHWSA-N 0 3 209.255 2.712 20 0 BFADHN CC(C)(F)CCNCc1cc(C2CC2)no1 ZINC000639316553 355702807 /nfs/dbraw/zinc/70/28/07/355702807.db2.gz LBLRCIXUSPHMRK-UHFFFAOYSA-N 0 3 226.295 2.780 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CS[C@H](C)C2)o1 ZINC000393979439 355710980 /nfs/dbraw/zinc/71/09/80/355710980.db2.gz ZZDZIYIJMDTTCR-SCZZXKLOSA-N 0 3 240.372 2.529 20 0 BFADHN C[C@]1(CNCc2cccc(F)c2N)CC1(F)F ZINC000639317908 355713785 /nfs/dbraw/zinc/71/37/85/355713785.db2.gz XCXRSYIGLZGCBQ-LLVKDONJSA-N 0 3 244.260 2.543 20 0 BFADHN COc1cc(CNC2CCC(C)(C)CC2)on1 ZINC000639323368 355716558 /nfs/dbraw/zinc/71/65/58/355716558.db2.gz BGIGHDOPGZPZCG-UHFFFAOYSA-N 0 3 238.331 2.742 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1cc(OC)no1 ZINC000639323343 355716788 /nfs/dbraw/zinc/71/67/88/355716788.db2.gz AUNKIVZLYPXJCE-MNOVXSKESA-N 0 3 240.347 2.988 20 0 BFADHN CC[C@@]1(C)CCC[C@H]1NCc1cc(OC)no1 ZINC000639323253 355716811 /nfs/dbraw/zinc/71/68/11/355716811.db2.gz AOWDRRUQOIYMEB-YPMHNXCESA-N 0 3 238.331 2.742 20 0 BFADHN COc1cc(CNC[C@H]2CCCC[C@@H]2C)on1 ZINC000639324072 355718040 /nfs/dbraw/zinc/71/80/40/355718040.db2.gz JLSNSSGTZXJTEV-WDEREUQCSA-N 0 3 238.331 2.599 20 0 BFADHN COc1cc(CN[C@@H]2C[C@@H](C)CC[C@@H]2C)on1 ZINC000639324516 355719166 /nfs/dbraw/zinc/71/91/66/355719166.db2.gz MXUVRRFIDAXWET-JBLDHEPKSA-N 0 3 238.331 2.598 20 0 BFADHN COc1cc(CN[C@@H]2C[C@@H](C)CC[C@H]2C)on1 ZINC000639324515 355719195 /nfs/dbraw/zinc/71/91/95/355719195.db2.gz MXUVRRFIDAXWET-HOSYDEDBSA-N 0 3 238.331 2.598 20 0 BFADHN COCCCCN[C@H](C)c1nc(C)cs1 ZINC000126687866 324709702 /nfs/dbraw/zinc/70/97/02/324709702.db2.gz FKOYTFZDHLYPHP-SNVBAGLBSA-N 0 3 228.361 2.529 20 0 BFADHN F[C@@H]1CCCN(CC2CCSCC2)C1 ZINC000639312543 355678805 /nfs/dbraw/zinc/67/88/05/355678805.db2.gz HGCDIFWFYFRZBD-LLVKDONJSA-N 0 3 217.353 2.564 20 0 BFADHN CO[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC000335887181 355678847 /nfs/dbraw/zinc/67/88/47/355678847.db2.gz QJTDNVUTGFZYSD-GFCCVEGCSA-N 0 3 231.295 2.654 20 0 BFADHN Cc1cnc([C@@H](C)N2CCC[C@@H](C3CC3)C2)cn1 ZINC000335893826 355687791 /nfs/dbraw/zinc/68/77/91/355687791.db2.gz LQNYXDMRTDPJTC-TZMCWYRMSA-N 0 3 245.370 2.968 20 0 BFADHN C[C@@H](N[C@H]1C[C@@](C)(O)C1)c1ccc(Cl)cc1 ZINC000417359362 191796546 /nfs/dbraw/zinc/79/65/46/191796546.db2.gz VPUDWBGGBLBDOV-WQAKAFBOSA-N 0 3 239.746 2.904 20 0 BFADHN CC(C)CN(Cc1ccn(C)n1)C1CCCC1 ZINC000350679908 355739828 /nfs/dbraw/zinc/73/98/28/355739828.db2.gz AXDKULYVORAVKK-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN CC(C)CN(Cc1cc[nH]n1)C1CCCC1 ZINC000350698175 355743033 /nfs/dbraw/zinc/74/30/33/355743033.db2.gz AEPPVWOXBYLKNA-UHFFFAOYSA-N 0 3 221.348 2.810 20 0 BFADHN Cc1cnc(CNC(C)(C2CC2)C2CC2)o1 ZINC000639329559 355746190 /nfs/dbraw/zinc/74/61/90/355746190.db2.gz ZEVZZPUXUQIANR-UHFFFAOYSA-N 0 3 220.316 2.651 20 0 BFADHN COCCCN(C)Cc1ccsc1C ZINC000350746699 355754295 /nfs/dbraw/zinc/75/42/95/355754295.db2.gz NMJFQQOPTSRVKF-UHFFFAOYSA-N 0 3 213.346 2.525 20 0 BFADHN CCN(Cc1ccnc(C)n1)[C@H](C)C(C)C ZINC000350768396 355756111 /nfs/dbraw/zinc/75/61/11/355756111.db2.gz WPXLKVDMUARHNQ-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1c[nH]nc1CN(C)[C@@H]1CCCC[C@H]1C ZINC000336012097 134051931 /nfs/dbraw/zinc/05/19/31/134051931.db2.gz YUNUPSFOKVFATC-ZWNOBZJWSA-N 0 3 221.348 2.729 20 0 BFADHN CC(C)CC1(NCc2ccns2)CC1 ZINC000639334376 355765428 /nfs/dbraw/zinc/76/54/28/355765428.db2.gz HJLZKJMYQXFKIZ-UHFFFAOYSA-N 0 3 210.346 2.811 20 0 BFADHN COc1cccc(OC)c1CN1CC[C@H]2C[C@H]2C1 ZINC000639326459 355724481 /nfs/dbraw/zinc/72/44/81/355724481.db2.gz XCOWUATVFVEVQN-RYUDHWBXSA-N 0 3 247.338 2.546 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H]2[C@@H]3CCC[C@@H]32)c(C)n1 ZINC000639325872 355725151 /nfs/dbraw/zinc/72/51/51/355725151.db2.gz VMNQKYDCJNHFKN-WUWHUORYSA-N 0 3 231.343 2.543 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H]2[C@@H]3CCC[C@@H]32)c(C)n1 ZINC000639325870 355725163 /nfs/dbraw/zinc/72/51/63/355725163.db2.gz VMNQKYDCJNHFKN-SGESHTKJSA-N 0 3 231.343 2.543 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CC2(C)C)sn1 ZINC000639336636 355772289 /nfs/dbraw/zinc/77/22/89/355772289.db2.gz DUMZVCBOPGBLRM-JTQLQIEISA-N 0 3 224.373 2.929 20 0 BFADHN Cc1cc(CNC[C@H]2C(C)(C)C2(F)F)sn1 ZINC000639326509 355731284 /nfs/dbraw/zinc/73/12/84/355731284.db2.gz YENSKEGPSSLXRT-VIFPVBQESA-N 0 3 246.326 2.832 20 0 BFADHN CCOC1CC(CN2CC(Cc3ccco3)C2)C1 ZINC000639348592 355789851 /nfs/dbraw/zinc/78/98/51/355789851.db2.gz CCAWVHAITHWGAS-UHFFFAOYSA-N 0 3 249.354 2.569 20 0 BFADHN CO[C@](C)(CN1CC(Cc2ccco2)C1)C1CC1 ZINC000639349351 355790461 /nfs/dbraw/zinc/79/04/61/355790461.db2.gz MESOSVIZIBIMHI-OAHLLOKOSA-N 0 3 249.354 2.569 20 0 BFADHN CCn1nc(C)c(CN(C)C[C@H]2C[C@@H]2C)c1C ZINC000639359533 355813491 /nfs/dbraw/zinc/81/34/91/355813491.db2.gz ADUUZDHCSILEDI-GXFFZTMASA-N 0 3 235.375 2.608 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN(C)CC2(C)COC2)o1 ZINC000608491749 355814743 /nfs/dbraw/zinc/81/47/43/355814743.db2.gz BMLQHMDEFQQVRY-AAEUAGOBSA-N 0 3 249.354 2.871 20 0 BFADHN COc1cc(CN[C@@H]2CCCC23CC3)sn1 ZINC000639361315 355815587 /nfs/dbraw/zinc/81/55/87/355815587.db2.gz XCQLNHKYTYVUGC-SNVBAGLBSA-N 0 3 238.356 2.574 20 0 BFADHN CCN(C[C@@H]1CCCO1)[C@H](C)c1ccncc1 ZINC000608494697 355819002 /nfs/dbraw/zinc/81/90/02/355819002.db2.gz JQTBLUVKSQPVEE-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN c1c(CN[C@H]2CCCC23CC3)onc1C1CC1 ZINC000639360782 355819398 /nfs/dbraw/zinc/81/93/98/355819398.db2.gz OOMCHBTXDSNNFJ-ZDUSSCGKSA-N 0 3 232.327 2.974 20 0 BFADHN CC1(C)CN(C[C@@H]2CCC(F)(F)C2)CCO1 ZINC000336038891 134060623 /nfs/dbraw/zinc/06/06/23/134060623.db2.gz MHRDBEBDHINADN-SNVBAGLBSA-N 0 3 233.302 2.533 20 0 BFADHN Cc1ncc(CN2C3CCCC2CCC3)cn1 ZINC000608564939 355861114 /nfs/dbraw/zinc/86/11/14/355861114.db2.gz NFSSAACXTBVEMY-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN CCCC[C@@H](C)[C@H](C)NCc1ncc[nH]1 ZINC000639371965 355872111 /nfs/dbraw/zinc/87/21/11/355872111.db2.gz OUQPCSNXRDNGFX-MNOVXSKESA-N 0 3 209.337 2.714 20 0 BFADHN Fc1cccc(CNCCC[C@@H]2CCO2)c1F ZINC000639372708 355875626 /nfs/dbraw/zinc/87/56/26/355875626.db2.gz TWRMEPDZEPXLDJ-LLVKDONJSA-N 0 3 241.281 2.624 20 0 BFADHN c1c(CN[C@@]23C[C@@H]2CCCC3)onc1C1CC1 ZINC000639380061 355916522 /nfs/dbraw/zinc/91/65/22/355916522.db2.gz NJLKYXIWZSKJOO-FZMZJTMJSA-N 0 3 232.327 2.974 20 0 BFADHN Cc1ccnc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)n1 ZINC000336040958 134061829 /nfs/dbraw/zinc/06/18/29/134061829.db2.gz BYUQKNTUPBYZHI-OLZOCXBDSA-N 0 3 245.370 2.796 20 0 BFADHN C[C@@H](c1cnccn1)N1C[C@@H](C)CC(C)(C)C1 ZINC000336040306 134062131 /nfs/dbraw/zinc/06/21/31/134062131.db2.gz ZXYPLNUDVCUHMD-RYUDHWBXSA-N 0 3 233.359 2.906 20 0 BFADHN CC1CCC(CN2C[C@@H](C)OC[C@H]2C)CC1 ZINC000351051277 355942084 /nfs/dbraw/zinc/94/20/84/355942084.db2.gz FQUJKWYSDIWERO-CEUNDQEZSA-N 0 3 225.376 2.922 20 0 BFADHN CC(C)N(Cc1ccc(F)cc1F)C[C@H](C)O ZINC000035718770 355974104 /nfs/dbraw/zinc/97/41/04/355974104.db2.gz BQXLXYDPWRREPA-JTQLQIEISA-N 0 3 243.297 2.556 20 0 BFADHN CCc1ccc(CN2CCC[C@@](O)(CC)C2)o1 ZINC000639385922 355983486 /nfs/dbraw/zinc/98/34/86/355983486.db2.gz WHFBZULZZPOOJN-AWEZNQCLSA-N 0 3 237.343 2.579 20 0 BFADHN Cc1cc(CN2CCC[C@H](C(C)C)CC2)n(C)n1 ZINC000417777146 191926825 /nfs/dbraw/zinc/92/68/25/191926825.db2.gz RBLLMFFYBLNGTF-AWEZNQCLSA-N 0 3 249.402 2.987 20 0 BFADHN CCN(Cc1ccccn1)C[C@H]1C[C@H]1C ZINC000351424398 355988684 /nfs/dbraw/zinc/98/86/84/355988684.db2.gz VWTBVQKVMUWNRR-VXGBXAGGSA-N 0 3 204.317 2.560 20 0 BFADHN CC[C@@]1(O)CCCN(Cc2cccc(F)c2)C1 ZINC000639384877 355965152 /nfs/dbraw/zinc/96/51/52/355965152.db2.gz LYHYTZVLYDJHFT-CQSZACIVSA-N 0 3 237.318 2.563 20 0 BFADHN CCc1nocc1CN1CC[C@@](C)(CC)C1 ZINC000351686271 356051136 /nfs/dbraw/zinc/05/11/36/356051136.db2.gz JGVBUKXEXVGYHH-CYBMUJFWSA-N 0 3 222.332 2.859 20 0 BFADHN Cc1nocc1CN1CC[C@@H]1Cc1ccccc1 ZINC000351675735 356052705 /nfs/dbraw/zinc/05/27/05/356052705.db2.gz WJXVZEBXZIFVEV-OAHLLOKOSA-N 0 3 242.322 2.800 20 0 BFADHN Cc1ccoc1CN1CCC(C)(F)CC1 ZINC000639388841 356008439 /nfs/dbraw/zinc/00/84/39/356008439.db2.gz NWEJEGCGEHQRGR-UHFFFAOYSA-N 0 3 211.280 2.912 20 0 BFADHN CC(C)[C@H](O)CCN[C@@H]1CCCc2occc21 ZINC000351523533 356008551 /nfs/dbraw/zinc/00/85/51/356008551.db2.gz MGFRXTKMPNTXJM-CHWSQXEVSA-N 0 3 237.343 2.654 20 0 BFADHN CCC[C@H]1CCCN(Cc2cc(C)no2)C1 ZINC000351534530 356010822 /nfs/dbraw/zinc/01/08/22/356010822.db2.gz CVQLYKUKZFQGQR-LBPRGKRZSA-N 0 3 222.332 2.995 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1C(F)(F)F)[C@H]1CC1(F)F ZINC000639390561 356017523 /nfs/dbraw/zinc/01/75/23/356017523.db2.gz FWXHZUMEGBLLQT-BDVNFPICSA-N 0 3 229.192 2.571 20 0 BFADHN Cc1sccc1CN1CCSC[C@H]1C ZINC000351588274 356022683 /nfs/dbraw/zinc/02/26/83/356022683.db2.gz GSWIXIOHGORTSW-SECBINFHSA-N 0 3 227.398 2.994 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1ncccc1C ZINC000449761435 202027278 /nfs/dbraw/zinc/02/72/78/202027278.db2.gz XMNLJAXFYLOHSZ-UKRRQHHQSA-N 0 3 248.370 2.827 20 0 BFADHN OC[C@@H](CC1CCCCC1)NCc1ccco1 ZINC000418125069 191982406 /nfs/dbraw/zinc/98/24/06/191982406.db2.gz ISGSFKAWDRSGDS-CYBMUJFWSA-N 0 3 237.343 2.701 20 0 BFADHN CC[C@]1(C)CCN([C@@H](CC(C)C)C(=O)OC)C1 ZINC000351882409 356124534 /nfs/dbraw/zinc/12/45/34/356124534.db2.gz PJMCJFABOSMPPK-GXTWGEPZSA-N 0 3 241.375 2.696 20 0 BFADHN CC1(C)CN(C[C@@H]2CCOc3ccccc32)C1 ZINC000639393569 356069385 /nfs/dbraw/zinc/06/93/85/356069385.db2.gz NRVJEVCWLMGKPW-LBPRGKRZSA-N 0 3 231.339 2.895 20 0 BFADHN CC1(C)CN(C[C@H]2CCOc3ccccc32)C1 ZINC000639393568 356069710 /nfs/dbraw/zinc/06/97/10/356069710.db2.gz NRVJEVCWLMGKPW-GFCCVEGCSA-N 0 3 231.339 2.895 20 0 BFADHN C[C@H](F)CCN1CCC[C@H]1c1ccncc1 ZINC000351733150 356073255 /nfs/dbraw/zinc/07/32/55/356073255.db2.gz YQWZGXHHDWYQCS-AAEUAGOBSA-N 0 3 222.307 2.967 20 0 BFADHN CO[C@@H](CNCc1ccoc1C)CC(C)C ZINC000418089465 191965996 /nfs/dbraw/zinc/96/59/96/191965996.db2.gz CJJOIMAZEOKJOC-CYBMUJFWSA-N 0 3 225.332 2.739 20 0 BFADHN C[C@H](c1cccc(Cl)c1)N1C[C@@H](C)[C@@H](O)C1 ZINC000351776411 356085671 /nfs/dbraw/zinc/08/56/71/356085671.db2.gz ZXNSKTPPUONFAU-BREBYQMCSA-N 0 3 239.746 2.714 20 0 BFADHN c1cc(CN2CCC(OC3CCC3)CC2)ccn1 ZINC000418100511 191970615 /nfs/dbraw/zinc/97/06/15/191970615.db2.gz RGCINRNLYJLWDY-UHFFFAOYSA-N 0 3 246.354 2.615 20 0 BFADHN COc1ccc2c(c1)CN(CC[C@H](C)F)CC2 ZINC000351843366 356095979 /nfs/dbraw/zinc/09/59/79/356095979.db2.gz DNUBCOUILLWSCK-NSHDSACASA-N 0 3 237.318 2.801 20 0 BFADHN C[C@@H](F)CCN(C)CCOc1ccccc1 ZINC000351861785 356098907 /nfs/dbraw/zinc/09/89/07/356098907.db2.gz SRRMZDDKUGRWSZ-GFCCVEGCSA-N 0 3 225.307 2.745 20 0 BFADHN C[C@H](F)CCN(C)CCOc1ccccc1 ZINC000351861786 356099823 /nfs/dbraw/zinc/09/98/23/356099823.db2.gz SRRMZDDKUGRWSZ-LBPRGKRZSA-N 0 3 225.307 2.745 20 0 BFADHN C[C@H](F)CCN1CCCC[C@H]1c1cn[nH]c1 ZINC000351865773 356101624 /nfs/dbraw/zinc/10/16/24/356101624.db2.gz VIFJMXNKVKGNNO-JQWIXIFHSA-N 0 3 225.311 2.685 20 0 BFADHN CCc1noc(C)c1CN1C[C@@H](C)C[C@@H]1C ZINC000335917285 356161007 /nfs/dbraw/zinc/16/10/07/356161007.db2.gz XEFFTSYGWJAPJE-UWVGGRQHSA-N 0 3 222.332 2.776 20 0 BFADHN CC(C)(C)CCN1CCN(CCCCF)CC1 ZINC000351903879 356175349 /nfs/dbraw/zinc/17/53/49/356175349.db2.gz IXBVLGOBXAAAPD-UHFFFAOYSA-N 0 3 244.398 2.790 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@H](C)C(C)(C)C ZINC000639399760 356131247 /nfs/dbraw/zinc/13/12/47/356131247.db2.gz TYXLXIFLOOKKAH-JTQLQIEISA-N 0 3 223.364 2.832 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@@H](C)C(C)(C)C ZINC000639399763 356131451 /nfs/dbraw/zinc/13/14/51/356131451.db2.gz TYXLXIFLOOKKAH-SNVBAGLBSA-N 0 3 223.364 2.832 20 0 BFADHN Cc1nccc(CN(C)C[C@H](C)C(C)(C)C)n1 ZINC000639400139 356136873 /nfs/dbraw/zinc/13/68/73/356136873.db2.gz ZOWFXDZVMKZLEN-NSHDSACASA-N 0 3 235.375 2.899 20 0 BFADHN C[C@H]1COCCN1CC1CCC(C)(C)CC1 ZINC000351885975 356139262 /nfs/dbraw/zinc/13/92/62/356139262.db2.gz BCNVYMUQQYHGGX-LBPRGKRZSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@@H](C)CCNC(=O)[C@@H](CC)N(CC)CC ZINC000617636448 356230303 /nfs/dbraw/zinc/23/03/03/356230303.db2.gz BUYPKBYXTDGOCH-CHWSQXEVSA-N 0 3 242.407 2.659 20 0 BFADHN CO[C@@H]([C@H](C)N[C@@H](C)c1ccncc1)C1CC1 ZINC000388359372 356231330 /nfs/dbraw/zinc/23/13/30/356231330.db2.gz RYNJXTRRCXDIRN-MJVIPROJSA-N 0 3 234.343 2.546 20 0 BFADHN CN(C)c1ccccc1CN1CC(C)(C)C1 ZINC000639407374 356200789 /nfs/dbraw/zinc/20/07/89/356200789.db2.gz YDERYSWKQIZMAW-UHFFFAOYSA-N 0 3 218.344 2.594 20 0 BFADHN CC(C)N(CCc1cnccn1)Cc1ccco1 ZINC000639461852 356250368 /nfs/dbraw/zinc/25/03/68/356250368.db2.gz JCYWKROVLOPJEA-UHFFFAOYSA-N 0 3 245.326 2.523 20 0 BFADHN CCCN(CC(C)=O)[C@@H]1CCc2ccccc21 ZINC000639462957 356250836 /nfs/dbraw/zinc/25/08/36/356250836.db2.gz KTMXQXMKYLGFSF-OAHLLOKOSA-N 0 3 231.339 2.975 20 0 BFADHN Cc1cc(C)c(CN2CCCO[C@@H](C)C2)c(C)n1 ZINC000639472335 356262859 /nfs/dbraw/zinc/26/28/59/356262859.db2.gz LPPXMRNZCPDUPU-ZDUSSCGKSA-N 0 3 248.370 2.618 20 0 BFADHN CC(C)N(Cc1ccco1)Cc1cc[nH]c(=O)c1 ZINC000639461374 356245375 /nfs/dbraw/zinc/24/53/75/356245375.db2.gz DPSHKSAANMJMLY-UHFFFAOYSA-N 0 3 246.310 2.791 20 0 BFADHN CC1=C[C@H](C)CN(C/C=C/c2ccncc2)C1 ZINC000336129466 134093937 /nfs/dbraw/zinc/09/39/37/134093937.db2.gz NWFSCCNLOUUXRH-OOPCZODUSA-N 0 3 228.339 2.993 20 0 BFADHN Cc1cc(C)c(CN(C)C2CCC2)c(C)n1 ZINC000639499486 356325821 /nfs/dbraw/zinc/32/58/21/356325821.db2.gz BQBGLOHEKMQXBL-UHFFFAOYSA-N 0 3 218.344 2.991 20 0 BFADHN CCC(F)(F)CN1CC[C@@H](N2CCCCC2)C1 ZINC000639482872 356297302 /nfs/dbraw/zinc/29/73/02/356297302.db2.gz PFTGTNYOBGQYBD-GFCCVEGCSA-N 0 3 246.345 2.592 20 0 BFADHN CCC(C)(CC)NCc1ncnn1C(C)C ZINC000228086621 491089640 /nfs/dbraw/zinc/08/96/40/491089640.db2.gz TVVGYEYILFCSPC-UHFFFAOYSA-N 0 3 224.352 2.527 20 0 BFADHN CC(=O)CN1C[C@@H](c2ccccc2C)C[C@H]1C ZINC000639501780 356328829 /nfs/dbraw/zinc/32/88/29/356328829.db2.gz XKTRPJICIABWKE-OCCSQVGLSA-N 0 3 231.339 2.762 20 0 BFADHN C[C@@]1(F)CNCCN(CCCC2CCCC2)C1 ZINC000420329989 192214454 /nfs/dbraw/zinc/21/44/54/192214454.db2.gz KRXPAQVVEKNMNN-CQSZACIVSA-N 0 3 242.382 2.590 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cnc(C)cn1 ZINC000352391023 356335527 /nfs/dbraw/zinc/33/55/27/356335527.db2.gz IJVUIYPOHSJYER-GFCCVEGCSA-N 0 3 221.348 2.796 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cnn(C)c1C ZINC000352390004 356335735 /nfs/dbraw/zinc/33/57/35/356335735.db2.gz BFLATHJNUSTINH-LLVKDONJSA-N 0 3 223.364 2.739 20 0 BFADHN CCCC[C@H](C)N(C)CC(=O)N1CCCCC1 ZINC000352391682 356335932 /nfs/dbraw/zinc/33/59/32/356335932.db2.gz NLEGIJGVNDETCE-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN O=c1cc(CN2CC[C@H]2c2ccccc2)cc[nH]1 ZINC000639510349 356342445 /nfs/dbraw/zinc/34/24/45/356342445.db2.gz BGDBUYHXVLJVGU-AWEZNQCLSA-N 0 3 240.306 2.734 20 0 BFADHN Cc1cccc([C@H](C)N[C@H](C)Cn2cccn2)c1 ZINC000037319885 356355737 /nfs/dbraw/zinc/35/57/37/356355737.db2.gz JFFHWUREAPPYCB-KGLIPLIRSA-N 0 3 243.354 2.931 20 0 BFADHN Cc1cccc([C@@H](C)N[C@@H](C)Cn2cccn2)c1 ZINC000037319882 356355982 /nfs/dbraw/zinc/35/59/82/356355982.db2.gz JFFHWUREAPPYCB-UONOGXRCSA-N 0 3 243.354 2.931 20 0 BFADHN C[C@H](C(N)=O)N1CCC[C@@H](c2ccccc2)C1 ZINC000336130518 134093970 /nfs/dbraw/zinc/09/39/70/134093970.db2.gz FTYAVUBAOAZPPQ-DGCLKSJQSA-N 0 3 232.327 2.790 20 0 BFADHN CC(=O)CN[C@H](c1ccccc1)C1CCC1 ZINC000639530006 356378765 /nfs/dbraw/zinc/37/87/65/356378765.db2.gz OHFKYJSOMGZRPE-CQSZACIVSA-N 0 3 217.312 2.706 20 0 BFADHN CCc1nc(C)c(CN2CC[C@@H]3CCC[C@H]32)o1 ZINC000336515649 356383711 /nfs/dbraw/zinc/38/37/11/356383711.db2.gz VKYGSUFEJFVFTD-NWDGAFQWSA-N 0 3 234.343 2.920 20 0 BFADHN Cc1cc(C)cc(CN(C)[C@@H]2CCCOC2)c1 ZINC000352200437 134100071 /nfs/dbraw/zinc/10/00/71/134100071.db2.gz ARIKXHFZKYYQJU-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN CCN1CCN(CCCC2CCCC2)[C@@H](C)C1 ZINC000352656495 356396530 /nfs/dbraw/zinc/39/65/30/356396530.db2.gz ATTZMHQXSVAHFJ-AWEZNQCLSA-N 0 3 238.419 2.983 20 0 BFADHN CCCC[C@@H](N)C(=O)N[C@H](C)CCCC(C)C ZINC000040858721 358504159 /nfs/dbraw/zinc/50/41/59/358504159.db2.gz RUHGKMSRZYQSCZ-CHWSQXEVSA-N 0 3 242.407 2.835 20 0 BFADHN c1cc([C@@H]2CCCCN2CCC2CC2)n[nH]1 ZINC000352193175 134098472 /nfs/dbraw/zinc/09/84/72/134098472.db2.gz BTBSTOHPWNMXNS-ZDUSSCGKSA-N 0 3 219.332 2.737 20 0 BFADHN c1nc(CN[C@@H](C2CC2)C2CCC2)cs1 ZINC000324628973 356412307 /nfs/dbraw/zinc/41/23/07/356412307.db2.gz FIAAZIAEKYXJQF-GFCCVEGCSA-N 0 3 222.357 2.811 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1nnc(C)s1 ZINC000134723054 356415615 /nfs/dbraw/zinc/41/56/15/356415615.db2.gz RLQKJTIJMYZLOU-NXEZZACHSA-N 0 3 225.361 2.515 20 0 BFADHN CCC(F)(F)CN1CCC2(CC2)CC1 ZINC000639550877 356432382 /nfs/dbraw/zinc/43/23/82/356432382.db2.gz CRXQYFXXZRXEEP-UHFFFAOYSA-N 0 3 203.276 2.908 20 0 BFADHN CCN1CCN(Cc2cc(C)ccc2C)[C@@H](C)C1 ZINC000352669205 356401841 /nfs/dbraw/zinc/40/18/41/356401841.db2.gz YABSNENZZPQTKC-HNNXBMFYSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1cc(C)c(CN2CCCOC[C@H]2C)c(C)n1 ZINC000639543282 356401927 /nfs/dbraw/zinc/40/19/27/356401927.db2.gz FBRBYRGAOVKYOW-CYBMUJFWSA-N 0 3 248.370 2.618 20 0 BFADHN C/C=C\CN[C@@H]1CCCc2ncccc21 ZINC000631651212 356452587 /nfs/dbraw/zinc/45/25/87/356452587.db2.gz SQHXZQPTCHRJBX-ZZKXABKFSA-N 0 3 202.301 2.625 20 0 BFADHN C[C@H](c1ccncc1)N(C)C[C@H]1CC(C)(C)CO1 ZINC000639551615 356437110 /nfs/dbraw/zinc/43/71/10/356437110.db2.gz PELOBFQFRFOAKW-TZMCWYRMSA-N 0 3 248.370 2.890 20 0 BFADHN CC(C)=CCN1CC(C)(C)OC(C)(C)C1 ZINC000190549714 356444614 /nfs/dbraw/zinc/44/46/14/356444614.db2.gz OQIMIQKZICXMNR-UHFFFAOYSA-N 0 3 211.349 2.842 20 0 BFADHN CC(=O)CN[C@@H](c1ccccc1C)C(C)C ZINC000639565038 356482222 /nfs/dbraw/zinc/48/22/22/356482222.db2.gz LPOHVCCVSWLFON-CQSZACIVSA-N 0 3 219.328 2.871 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H](C)C(C)(C)O ZINC000087817340 491092555 /nfs/dbraw/zinc/09/25/55/491092555.db2.gz STRVPHIQZIESNH-MNOVXSKESA-N 0 3 237.343 2.505 20 0 BFADHN CCN(Cc1ccc(Cl)c(F)c1)C[C@@H](C)O ZINC000352223079 134109828 /nfs/dbraw/zinc/10/98/28/134109828.db2.gz TZPONIVLRWUFFU-SECBINFHSA-N 0 3 245.725 2.682 20 0 BFADHN CC(=O)CN[C@H]1CCCC[C@@H]1Cc1ccccc1 ZINC000639589958 356512861 /nfs/dbraw/zinc/51/28/61/356512861.db2.gz RNRLRSFBGVDOKG-CVEARBPZSA-N 0 3 245.366 2.967 20 0 BFADHN C[C@@H]1CCC[C@H](c2noc([C@@H]3CCN(C)C3)n2)C1 ZINC000350024599 366248238 /nfs/dbraw/zinc/24/82/38/366248238.db2.gz PDXKXRFXCSCSED-GRYCIOLGSA-N 0 3 249.358 2.782 20 0 BFADHN CC(C)(NCc1cc[nH]c(=O)c1)c1cccs1 ZINC000639580946 356501680 /nfs/dbraw/zinc/50/16/80/356501680.db2.gz RARQRVODYJEYBW-UHFFFAOYSA-N 0 3 248.351 2.874 20 0 BFADHN CN(CCO)Cc1ccc(Cl)c2ccccc12 ZINC000420910227 192270316 /nfs/dbraw/zinc/27/03/16/192270316.db2.gz ZNFRQHKYGKNRSF-UHFFFAOYSA-N 0 3 249.741 2.917 20 0 BFADHN CC[C@@H]1CN([C@H]2C=CCCC2)C[C@H](CC)O1 ZINC000420954456 192278125 /nfs/dbraw/zinc/27/81/25/192278125.db2.gz JYRRWZQKPSXWLD-MELADBBJSA-N 0 3 223.360 2.985 20 0 BFADHN CC[C@@H]1CN(CC2CC(F)(F)C2)C[C@H](CC)O1 ZINC000420950650 192278310 /nfs/dbraw/zinc/27/83/10/192278310.db2.gz GMQFYZYLGVJBLW-TXEJJXNPSA-N 0 3 247.329 2.921 20 0 BFADHN CC[C@@H]1CN(CCCOC(C)C)C[C@H](CC)O1 ZINC000420958146 192279042 /nfs/dbraw/zinc/27/90/42/192279042.db2.gz OYGQZZCAFSEALO-OKILXGFUSA-N 0 3 243.391 2.691 20 0 BFADHN COC[C@@H](C)N1CCC[C@@H](CC(F)(F)F)C1 ZINC000420957074 192279098 /nfs/dbraw/zinc/27/90/98/192279098.db2.gz ZBHZMCSYLMHKDN-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CCSCCN1C[C@@H](CC)O[C@H](CC)C1 ZINC000420963360 192283969 /nfs/dbraw/zinc/28/39/69/192283969.db2.gz VJYOCGFOEXKHPE-VXGBXAGGSA-N 0 3 231.405 2.629 20 0 BFADHN C[C@H]1CCC[C@@H]1NCC(=O)c1ccccc1F ZINC000639614636 356533071 /nfs/dbraw/zinc/53/30/71/356533071.db2.gz YFGIGFJHQZLEKC-GWCFXTLKSA-N 0 3 235.302 2.787 20 0 BFADHN Cc1cc(CN2CC[C@H](C3CCCC3)C2)nn1C ZINC000420967194 192285488 /nfs/dbraw/zinc/28/54/88/192285488.db2.gz XSYUWHHHEISVND-AWEZNQCLSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@@H](CC(C)(C)C)C1 ZINC000449772120 202030381 /nfs/dbraw/zinc/03/03/81/202030381.db2.gz GNTREAHDQPBGSF-ZDUSSCGKSA-N 0 3 249.402 2.987 20 0 BFADHN C[C@@H](O)CCN[C@H](CC(F)F)c1ccccc1 ZINC000420994225 192293830 /nfs/dbraw/zinc/29/38/30/192293830.db2.gz NAAQFJMCEHPSEM-ZYHUDNBSSA-N 0 3 243.297 2.743 20 0 BFADHN C[C@@H](O)CCN[C@@H](CC(F)F)c1ccccc1 ZINC000420994224 192294260 /nfs/dbraw/zinc/29/42/60/192294260.db2.gz NAAQFJMCEHPSEM-PWSUYJOCSA-N 0 3 243.297 2.743 20 0 BFADHN CC(C)N(Cc1cncn1C)C1CC(C)(C)C1 ZINC000449782665 202033613 /nfs/dbraw/zinc/03/36/13/202033613.db2.gz LLNHVFGJCXYYPK-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN CCc1ccc(CN2CC(C)(C)[C@@H]3COC[C@@H]32)o1 ZINC000449783973 202033656 /nfs/dbraw/zinc/03/36/56/202033656.db2.gz TZANYGFGPBTAAH-KGLIPLIRSA-N 0 3 249.354 2.699 20 0 BFADHN C[C@@H](NCCN1CCCC1(C)C)c1ccccn1 ZINC000449784224 202034253 /nfs/dbraw/zinc/03/42/53/202034253.db2.gz WCMLKDJVUWOQCM-CYBMUJFWSA-N 0 3 247.386 2.607 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1ccnc(C#N)c1 ZINC000384276815 356548759 /nfs/dbraw/zinc/54/87/59/356548759.db2.gz HEEHQWCMOOHEFP-SMDDNHRTSA-N 0 3 229.327 2.574 20 0 BFADHN CCC(F)(F)CN1C[C@H](N2CCCC2)C[C@H]1C ZINC000639641212 356548789 /nfs/dbraw/zinc/54/87/89/356548789.db2.gz IGVAWPFRHDBPTI-VXGBXAGGSA-N 0 3 246.345 2.590 20 0 BFADHN CCN(Cc1cn(C)nc1C(C)(C)C)C1CC1 ZINC000179684087 366285286 /nfs/dbraw/zinc/28/52/86/366285286.db2.gz XYNRHCSGNBHBJO-UHFFFAOYSA-N 0 3 235.375 2.702 20 0 BFADHN C[C@@]1(F)CCN(C[C@H]2CCC(F)(F)C2)C1 ZINC000336198531 134127168 /nfs/dbraw/zinc/12/71/68/134127168.db2.gz KINAJWLKTGDTLK-VHSXEESVSA-N 0 3 221.266 2.856 20 0 BFADHN FC1(F)CC(CN2CCOCC23CCCC3)C1 ZINC000336198193 134127513 /nfs/dbraw/zinc/12/75/13/134127513.db2.gz FCDHMSHVAYKBQO-UHFFFAOYSA-N 0 3 245.313 2.677 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1Cc1cc2ccccc2o1 ZINC000421017762 192304015 /nfs/dbraw/zinc/30/40/15/192304015.db2.gz MIPVVGDKAXUYAJ-YPMHNXCESA-N 0 3 245.322 2.778 20 0 BFADHN COC[C@H]1CCCN(Cc2cccnc2C)CC1 ZINC000449777639 202031296 /nfs/dbraw/zinc/03/12/96/202031296.db2.gz QQXVAICNIMIRPZ-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1cccc(C)c1CN1CC[C@]12CCOC2 ZINC000421022495 192305525 /nfs/dbraw/zinc/30/55/25/192305525.db2.gz QIQLZTBSUGAPOC-OAHLLOKOSA-N 0 3 231.339 2.668 20 0 BFADHN Cc1cc(C)c(CN2CC[C@]23CCOC3)c(C)c1 ZINC000421021260 192305805 /nfs/dbraw/zinc/30/58/05/192305805.db2.gz OIDASYMLJRXCJT-MRXNPFEDSA-N 0 3 245.366 2.977 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CC(C)(C)CO2)CCC1(F)F ZINC000639644998 356558906 /nfs/dbraw/zinc/55/89/06/356558906.db2.gz CJNMLQNELKXZDQ-MNOVXSKESA-N 0 3 247.329 2.779 20 0 BFADHN C[C@@H]1CN(CCc2cscn2)C[C@H](C)S1 ZINC000336201183 134130243 /nfs/dbraw/zinc/13/02/43/134130243.db2.gz XKISMFCDOBNCCU-AOOOYVTPSA-N 0 3 242.413 2.511 20 0 BFADHN CCC1CC(N[C@H]2C[C@H](C)n3ccnc32)C1 ZINC000336205772 134131894 /nfs/dbraw/zinc/13/18/94/134131894.db2.gz IWCIBTOBWXGUPU-XKGSQUFQSA-N 0 3 219.332 2.667 20 0 BFADHN CO[C@H]1CCN(Cc2cccnc2C)CC1(C)C ZINC000336207260 134132783 /nfs/dbraw/zinc/13/27/83/134132783.db2.gz UPNQJISWHBXXQI-AWEZNQCLSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccncc2)cc(C)n1 ZINC000421198924 192315091 /nfs/dbraw/zinc/31/50/91/192315091.db2.gz JOKCDYAITWMEFG-CYBMUJFWSA-N 0 3 241.338 2.944 20 0 BFADHN C[C@@H]1CC[C@H](N(C)Cc2ccncc2F)C1 ZINC000336208452 134133358 /nfs/dbraw/zinc/13/33/58/134133358.db2.gz LNNIVHONDSDSQP-PWSUYJOCSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@]1(O)CCCN(Cc2cccc(C3CC3)c2)C1 ZINC000421317959 192317157 /nfs/dbraw/zinc/31/71/57/192317157.db2.gz AYWSYUTVSCMTOW-MRXNPFEDSA-N 0 3 245.366 2.911 20 0 BFADHN COCCN(C)Cc1cccc(C2CC2)c1 ZINC000421325628 192317386 /nfs/dbraw/zinc/31/73/86/192317386.db2.gz CYIPZPXWSMKTHM-UHFFFAOYSA-N 0 3 219.328 2.642 20 0 BFADHN O=C(CN[C@H]1CCC[C@@H]2C[C@@H]21)c1ccccc1F ZINC000639671552 356587885 /nfs/dbraw/zinc/58/78/85/356587885.db2.gz SOJIJGFZJRLRFZ-OSMZGAPFSA-N 0 3 247.313 2.787 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1c(C)cc(C)nc1C ZINC000639664846 356579858 /nfs/dbraw/zinc/57/98/58/356579858.db2.gz JZCVKJOWPNRVCZ-HUUCEWRRSA-N 0 3 248.370 2.664 20 0 BFADHN Cn1cnc2cc(CN3CC[C@@H]3C3CC3)ccc21 ZINC000336216081 134136936 /nfs/dbraw/zinc/13/69/36/134136936.db2.gz ANGPVFSZZOTBNL-CQSZACIVSA-N 0 3 241.338 2.558 20 0 BFADHN c1cncc(CN[C@H](C2CCC2)[C@H]2CCCO2)c1 ZINC000631254728 356581909 /nfs/dbraw/zinc/58/19/09/356581909.db2.gz QCBWPSBRWUCGLZ-HUUCEWRRSA-N 0 3 246.354 2.519 20 0 BFADHN C[C@H](O)CCN1CCC[C@@H]1c1ccc(F)cc1 ZINC000450226952 202100751 /nfs/dbraw/zinc/10/07/51/202100751.db2.gz NRUYJVPIRGRCSY-SMDDNHRTSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H](O)CCN1CC=C(c2ccc(F)cc2)CC1 ZINC000450220154 202100789 /nfs/dbraw/zinc/10/07/89/202100789.db2.gz HCAPBRYWDPYNPV-GFCCVEGCSA-N 0 3 249.329 2.686 20 0 BFADHN C=Cn1cc(CN[C@@H]2CC23CCCCC3)cn1 ZINC000387159474 363527757 /nfs/dbraw/zinc/52/77/57/363527757.db2.gz QRPWIQCLXXZYHT-CYBMUJFWSA-N 0 3 231.343 2.796 20 0 BFADHN CCC[C@@H](O)CN(C)Cc1ccc(Cl)cc1 ZINC000450240009 202105008 /nfs/dbraw/zinc/10/50/08/202105008.db2.gz GAWOTYXJUBZIFJ-CYBMUJFWSA-N 0 3 241.762 2.933 20 0 BFADHN CC(=O)CN1CCC[C@H]1CC1CCCC1 ZINC000639749699 356644236 /nfs/dbraw/zinc/64/42/36/356644236.db2.gz KBYFVCYNPBQBIN-ZDUSSCGKSA-N 0 3 209.333 2.620 20 0 BFADHN CC(C)N1CCN(CCC2=CCCCC2)CC1 ZINC000450245050 202106589 /nfs/dbraw/zinc/10/65/89/202106589.db2.gz QTLIPHRWNORHRK-UHFFFAOYSA-N 0 3 236.403 2.903 20 0 BFADHN Cc1ccc(CNCc2ccc[nH]c2=O)cc1C ZINC000623777034 363550125 /nfs/dbraw/zinc/55/01/25/363550125.db2.gz LYLUEBGDLLKUGI-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN OCc1ccc(CN2CC[C@@H](C3CCCC3)C2)o1 ZINC000582235138 356637769 /nfs/dbraw/zinc/63/77/69/356637769.db2.gz ZRXGDUHUXWSCFV-CYBMUJFWSA-N 0 3 249.354 2.784 20 0 BFADHN Clc1ccc(CCN2CCSCC2)cc1 ZINC000450263533 202115124 /nfs/dbraw/zinc/11/51/24/202115124.db2.gz BZYOVDPVKVSZTD-UHFFFAOYSA-N 0 3 241.787 2.931 20 0 BFADHN CC(C)(C)C[C@H]1CCCN1Cc1cnns1 ZINC000450320168 202127603 /nfs/dbraw/zinc/12/76/03/202127603.db2.gz UFGCBQNNZUHZAV-SNVBAGLBSA-N 0 3 239.388 2.939 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)N(C)C[C@@H](C)C(C)(C)C ZINC000399492953 356670076 /nfs/dbraw/zinc/67/00/76/356670076.db2.gz GMURYAYDYPPPHZ-TUAOUCFPSA-N 0 3 242.407 2.500 20 0 BFADHN CCc1cc(N(C)Cc2nccs2)ccn1 ZINC000450360988 202143320 /nfs/dbraw/zinc/14/33/20/202143320.db2.gz BKMBOUIFVOQYQQ-UHFFFAOYSA-N 0 3 233.340 2.737 20 0 BFADHN CCc1cc(N(C)CCCOC(C)C)ccn1 ZINC000450348058 202136962 /nfs/dbraw/zinc/13/69/62/202136962.db2.gz KTJOMXULSNMTCY-UHFFFAOYSA-N 0 3 236.359 2.895 20 0 BFADHN C[C@@H](NC[C@H](O)CC(C)(C)C)c1cncs1 ZINC000167846172 134154080 /nfs/dbraw/zinc/15/40/80/134154080.db2.gz NMPNBCOCTPMNPW-NXEZZACHSA-N 0 3 242.388 2.591 20 0 BFADHN Cc1cccc(CN[C@@H]2CC[C@H](C)C2)n1 ZINC000070643936 325019658 /nfs/dbraw/zinc/01/96/58/325019658.db2.gz YNPQNMXWBJRSSM-CMPLNLGQSA-N 0 3 204.317 2.668 20 0 BFADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@@H](C)C1CC1 ZINC000168017957 134155270 /nfs/dbraw/zinc/15/52/70/134155270.db2.gz ANOGIDGFJHVWST-JQWIXIFHSA-N 0 3 248.374 2.625 20 0 BFADHN CCc1cc(N2CCS[C@H](CC)C2)ccn1 ZINC000450363983 202143860 /nfs/dbraw/zinc/14/38/60/202143860.db2.gz WVOKUBRSBAHZOK-CYBMUJFWSA-N 0 3 236.384 2.976 20 0 BFADHN C[C@H]1CN(C)CCN1CC/C=C\c1ccccc1 ZINC000450400520 202154423 /nfs/dbraw/zinc/15/44/23/202154423.db2.gz GLAFIQMFOFIDRZ-MLBQELRYSA-N 0 3 244.382 2.726 20 0 BFADHN C[C@@H]1CN(C)CCN1CC/C=C/c1ccccc1 ZINC000450400521 202154718 /nfs/dbraw/zinc/15/47/18/202154718.db2.gz GLAFIQMFOFIDRZ-WCLQSUPFSA-N 0 3 244.382 2.726 20 0 BFADHN CCC[C@H]1CN(c2ccnc(CC)c2)CCO1 ZINC000450404321 202155463 /nfs/dbraw/zinc/15/54/63/202155463.db2.gz DXNFGRQJUZFJJQ-AWEZNQCLSA-N 0 3 234.343 2.649 20 0 BFADHN CCCNCc1cc(C)c(Br)cn1 ZINC000623335695 356722455 /nfs/dbraw/zinc/72/24/55/356722455.db2.gz ZSEHPEASAZWBSR-UHFFFAOYSA-N 0 3 243.148 2.652 20 0 BFADHN CC(C)=CCN1CCC[C@@H]1c1cn[nH]c1 ZINC000639779169 356725875 /nfs/dbraw/zinc/72/58/75/356725875.db2.gz YOIKVEFUKXIOBV-GFCCVEGCSA-N 0 3 205.305 2.513 20 0 BFADHN CC(C)NCc1ccc(F)c(F)c1N(C)C ZINC000623336936 356728626 /nfs/dbraw/zinc/72/86/26/356728626.db2.gz MVQLADVEGGOBIB-UHFFFAOYSA-N 0 3 228.286 2.529 20 0 BFADHN CCN(Cc1coc2ccccc12)[C@H](C)CO ZINC000354451010 356738382 /nfs/dbraw/zinc/73/83/82/356738382.db2.gz SNHLIAOEIYEZCF-LLVKDONJSA-N 0 3 233.311 2.636 20 0 BFADHN CCN1CCC(Nc2cc(C3CC3)ccn2)CC1 ZINC000353564315 356714127 /nfs/dbraw/zinc/71/41/27/356714127.db2.gz VLAUGIYLBXFZHL-UHFFFAOYSA-N 0 3 245.370 2.855 20 0 BFADHN CC(C)=CCCN1CCC[C@H]1c1cn[nH]c1 ZINC000639776049 356716968 /nfs/dbraw/zinc/71/69/68/356716968.db2.gz JRLGYJBWCKXBBD-ZDUSSCGKSA-N 0 3 219.332 2.903 20 0 BFADHN CC(C)=CCCN1CCC[C@@H]1c1cn[nH]c1 ZINC000639776048 356717152 /nfs/dbraw/zinc/71/71/52/356717152.db2.gz JRLGYJBWCKXBBD-CYBMUJFWSA-N 0 3 219.332 2.903 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1cc(C)ncn1 ZINC000355125017 356751115 /nfs/dbraw/zinc/75/11/15/356751115.db2.gz AUDHZQNEMRIATN-OCCSQVGLSA-N 0 3 233.359 2.843 20 0 BFADHN C[C@@H](CNCc1ncc(Cl)s1)C1CC1 ZINC000225329924 538621549 /nfs/dbraw/zinc/62/15/49/538621549.db2.gz WWUHZSPKVBQUGY-ZETCQYMHSA-N 0 3 230.764 2.932 20 0 BFADHN CCN(Cc1cn2ccccc2n1)CC1CCC1 ZINC000355610220 356766755 /nfs/dbraw/zinc/76/67/55/356766755.db2.gz SSTNNHKOZAEFED-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN c1cc(CNC[C@H]2C[C@@H]2c2ccccc2)on1 ZINC000578193407 366378745 /nfs/dbraw/zinc/37/87/45/366378745.db2.gz WCSQRWDDKHHIIZ-TZMCWYRMSA-N 0 3 228.295 2.568 20 0 BFADHN CCN(Cc1cnn(C)c1)[C@@H](C)CC(C)C ZINC000355676003 356769337 /nfs/dbraw/zinc/76/93/37/356769337.db2.gz DLGXTHWQEHJUKH-LBPRGKRZSA-N 0 3 223.364 2.677 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H]1CCCC[C@@H]1O ZINC000356903536 356808514 /nfs/dbraw/zinc/80/85/14/356808514.db2.gz SEAUFZRIFYDOBK-UBHSHLNASA-N 0 3 248.370 2.598 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@@H]1CCCOC1 ZINC000356880335 356808525 /nfs/dbraw/zinc/80/85/25/356808525.db2.gz UBGFLFNOMQBQHL-OLZOCXBDSA-N 0 3 248.370 2.721 20 0 BFADHN CCN(Cc1[nH]ncc1C)Cc1cccc(F)c1 ZINC000356060927 356787367 /nfs/dbraw/zinc/78/73/67/356787367.db2.gz SOMYZWHLKZVUQC-UHFFFAOYSA-N 0 3 247.317 2.879 20 0 BFADHN CCCCN(C)CC(=O)N[C@H](C)c1ccccc1 ZINC000057832599 168767877 /nfs/dbraw/zinc/76/78/77/168767877.db2.gz HSGNVOUYXMHLNJ-CYBMUJFWSA-N 0 3 248.370 2.596 20 0 BFADHN CC[C@@H](NCc1ccc(Cl)o1)[C@@H]1CCOC1 ZINC000390516576 356884922 /nfs/dbraw/zinc/88/49/22/356884922.db2.gz DCSKOGCKZIIFTO-MWLCHTKSSA-N 0 3 243.734 2.838 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@@H](C)[C@@H](C)OC ZINC000359315374 356887917 /nfs/dbraw/zinc/88/79/17/356887917.db2.gz SRLZACHUVJPGMB-SDDRHHMPSA-N 0 3 236.359 2.718 20 0 BFADHN COc1cccc(OC)c1CNCCC1CC1 ZINC000352751078 538724661 /nfs/dbraw/zinc/72/46/61/538724661.db2.gz TWILYDHBWMPJDL-UHFFFAOYSA-N 0 3 235.327 2.594 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1NCc1cccnc1N(C)C ZINC000353447498 538744203 /nfs/dbraw/zinc/74/42/03/538744203.db2.gz MHUMYFUVYGXFHM-UONOGXRCSA-N 0 3 247.386 2.672 20 0 BFADHN C[C@@H](O)CCCNC1(c2ccc(F)cc2)CC1 ZINC000450551949 202197057 /nfs/dbraw/zinc/19/70/57/202197057.db2.gz GOJMBUPYKHBRFZ-LLVKDONJSA-N 0 3 237.318 2.565 20 0 BFADHN CC(C)CC[C@H](O)CN1CCc2ccccc2C1 ZINC000360690634 356910048 /nfs/dbraw/zinc/91/00/48/356910048.db2.gz OQMOUSUFQLTQDU-INIZCTEOSA-N 0 3 247.382 2.842 20 0 BFADHN CCN(Cc1ccc(C)nc1)C1CCC1 ZINC000360973554 356914096 /nfs/dbraw/zinc/91/40/96/356914096.db2.gz CSXYCZRLFXVNFV-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2ncc[nH]2)c(C)c1 ZINC000353501358 538746235 /nfs/dbraw/zinc/74/62/35/538746235.db2.gz ZIKZDWOLSJNRDK-CYBMUJFWSA-N 0 3 243.354 2.920 20 0 BFADHN CCN(Cc1cc(C)ccc1F)C[C@H](C)OC ZINC000361016779 356915004 /nfs/dbraw/zinc/91/50/04/356915004.db2.gz IUVMQMLFSAZFGP-LBPRGKRZSA-N 0 3 239.334 2.991 20 0 BFADHN CC[C@@](C)(NCCC[C@@H](C)O)c1nccs1 ZINC000450565327 202198456 /nfs/dbraw/zinc/19/84/56/202198456.db2.gz KUZHORREYFMHOO-ZYHUDNBSSA-N 0 3 242.388 2.519 20 0 BFADHN CCN(Cc1cccc(OC)n1)CC(C)(C)C ZINC000361019128 356915375 /nfs/dbraw/zinc/91/53/75/356915375.db2.gz XGSQYQVIEQQCAP-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CCO[C@@H](CCN[C@H](C)c1ncc[nH]1)C(C)C ZINC000353520104 538746337 /nfs/dbraw/zinc/74/63/37/538746337.db2.gz NITOEFIWORLDPW-NEPJUHHUSA-N 0 3 239.363 2.512 20 0 BFADHN CC1(C)[C@@H](O)CCN1C/C=C\c1ccc(F)cc1 ZINC000361062044 356916017 /nfs/dbraw/zinc/91/60/17/356916017.db2.gz SCPDOSCQTBPJBO-NQHOJNORSA-N 0 3 249.329 2.684 20 0 BFADHN CCN(Cc1ccc(OC)nc1)CC(C)(C)C ZINC000361022083 356916064 /nfs/dbraw/zinc/91/60/64/356916064.db2.gz JDKBDAGVMDYZFL-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CCO[C@H](CCN[C@H](C)c1ncc[nH]1)C(C)C ZINC000353520111 538746838 /nfs/dbraw/zinc/74/68/38/538746838.db2.gz NITOEFIWORLDPW-VXGBXAGGSA-N 0 3 239.363 2.512 20 0 BFADHN CCN(Cc1ccc(C)c(F)c1)[C@H]1CCOC1 ZINC000361238287 356920199 /nfs/dbraw/zinc/92/01/99/356920199.db2.gz STOREWWUKUQNQQ-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN CCN(Cc1ccc(F)cc1C)[C@@H]1CCOC1 ZINC000361235408 356921012 /nfs/dbraw/zinc/92/10/12/356921012.db2.gz AXANUKFKPZXPKV-CQSZACIVSA-N 0 3 237.318 2.745 20 0 BFADHN CC(C)CC[C@H](O)CN1CCS[C@H](C)[C@@H]1C ZINC000361274031 356924232 /nfs/dbraw/zinc/92/42/32/356924232.db2.gz QDFZGCQRSMRCSJ-XQQFMLRXSA-N 0 3 245.432 2.609 20 0 BFADHN CCc1cnc(CN[C@@H](CC)C2CC2)s1 ZINC000130646865 325076365 /nfs/dbraw/zinc/07/63/65/325076365.db2.gz NJXBOFMBSZGJEG-NSHDSACASA-N 0 3 224.373 2.984 20 0 BFADHN CC(C)CN1Cc2ccccc2OC[C@H]1C ZINC000359879787 356896561 /nfs/dbraw/zinc/89/65/61/356896561.db2.gz UOVLSHRZGUFXPH-GFCCVEGCSA-N 0 3 219.328 2.926 20 0 BFADHN Cc1cccc(CNC2(CCO)CCCCC2)n1 ZINC000450576247 202202364 /nfs/dbraw/zinc/20/23/64/202202364.db2.gz BMWFKQMLMPVEAH-UHFFFAOYSA-N 0 3 248.370 2.565 20 0 BFADHN CCOC(=O)[C@H](CC)N(C)C[C@@H](C)C(C)(C)C ZINC000399554332 356976943 /nfs/dbraw/zinc/97/69/43/356976943.db2.gz DLKRSCILCJUZAU-NEPJUHHUSA-N 0 3 243.391 2.942 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1ccnc(C#N)c1 ZINC000399710401 357022544 /nfs/dbraw/zinc/02/25/44/357022544.db2.gz ARHQGRJTKXZWJQ-BXUZGUMPSA-N 0 3 229.327 2.574 20 0 BFADHN CN(Cc1cccnc1)C[C@@H]1CCC(F)(F)C1 ZINC000450609857 202211497 /nfs/dbraw/zinc/21/14/97/202211497.db2.gz FNNNIJGSGPJDJZ-LLVKDONJSA-N 0 3 240.297 2.949 20 0 BFADHN CCn1nc(CNCCSC)c2ccccc21 ZINC000089174430 357044856 /nfs/dbraw/zinc/04/48/56/357044856.db2.gz BJPDTWNXUDNPAQ-UHFFFAOYSA-N 0 3 249.383 2.509 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1ncccn1 ZINC000582312925 357053326 /nfs/dbraw/zinc/05/33/26/357053326.db2.gz PYUNKLZHUXCDIJ-NWDGAFQWSA-N 0 3 221.348 2.781 20 0 BFADHN CC[C@H](C)NCc1cc(OC)c(OC)cc1F ZINC000582364745 357111889 /nfs/dbraw/zinc/11/18/89/357111889.db2.gz RXWLCWDVIFNFRX-VIFPVBQESA-N 0 3 241.306 2.731 20 0 BFADHN CCc1cccnc1[C@H](C)NCCCF ZINC000389872377 357058366 /nfs/dbraw/zinc/05/83/66/357058366.db2.gz JXRBMDQFSZGFQM-JTQLQIEISA-N 0 3 210.296 2.654 20 0 BFADHN CCN1CCN(Cc2c(C)cc(C)cc2C)CC1 ZINC000302799884 357073018 /nfs/dbraw/zinc/07/30/18/357073018.db2.gz GHHFGXUTDMKNNM-UHFFFAOYSA-N 0 3 246.398 2.749 20 0 BFADHN Cc1nc(CNCC2CC(C)(C)C2)cs1 ZINC000389975288 357076987 /nfs/dbraw/zinc/07/69/87/357076987.db2.gz FAXZFCYQBMMXLD-UHFFFAOYSA-N 0 3 224.373 2.977 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@H]2C(C)C)no1 ZINC000390137212 357083066 /nfs/dbraw/zinc/08/30/66/357083066.db2.gz MPDIPKMNAHJLJL-STQMWFEESA-N 0 3 222.332 2.897 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1NCc1ncccc1F ZINC000390263532 357087548 /nfs/dbraw/zinc/08/75/48/357087548.db2.gz OTJKYZCMUGHGDX-JQWIXIFHSA-N 0 3 222.307 2.745 20 0 BFADHN COc1ccc(CNCCCCF)c(F)c1 ZINC000390506763 357098665 /nfs/dbraw/zinc/09/86/65/357098665.db2.gz WZPYFUGEAVYWDL-UHFFFAOYSA-N 0 3 229.270 2.674 20 0 BFADHN CSCCNCc1cnc(C2CCC2)s1 ZINC000130066221 357172131 /nfs/dbraw/zinc/17/21/31/357172131.db2.gz PJJVTYWXHQSPBX-UHFFFAOYSA-N 0 3 242.413 2.863 20 0 BFADHN CC[C@H](NCc1nnc(C)s1)C1CCCC1 ZINC000391862759 357135291 /nfs/dbraw/zinc/13/52/91/357135291.db2.gz ZJXZAFLNGTVBSN-NSHDSACASA-N 0 3 239.388 2.905 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1C[C@H](C)[C@@H](C)C1 ZINC000543856241 325118214 /nfs/dbraw/zinc/11/82/14/325118214.db2.gz BEDBBTZWOBGYNV-AVGNSLFASA-N 0 3 241.375 2.552 20 0 BFADHN CCc1cnc(CN[C@@H]2CCC[C@H]3C[C@H]32)s1 ZINC000304545764 357168209 /nfs/dbraw/zinc/16/82/09/357168209.db2.gz JKZZPNPYAGGFAR-MVWJERBFSA-N 0 3 236.384 2.984 20 0 BFADHN CC[C@H](C[C@H](C)CO)N[C@H](C)c1ccoc1 ZINC000390800153 357116603 /nfs/dbraw/zinc/11/66/03/357116603.db2.gz XOZQCPDRIITQAW-DMDPSCGWSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@H](C[C@H](C)CO)N[C@@H](C)c1ccoc1 ZINC000390800154 357116624 /nfs/dbraw/zinc/11/66/24/357116624.db2.gz XOZQCPDRIITQAW-GMXVVIOVSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCC2CC2)o1 ZINC000019884726 357117839 /nfs/dbraw/zinc/11/78/39/357117839.db2.gz GGKQENVXTNMJBG-CABZTGNLSA-N 0 3 205.301 2.903 20 0 BFADHN CC(C)(F)CNC1CC(OC(C)(C)C)C1 ZINC000631661000 357197883 /nfs/dbraw/zinc/19/78/83/357197883.db2.gz ZOQSKDHYUKFKAF-UHFFFAOYSA-N 0 3 217.328 2.670 20 0 BFADHN c1csc(-c2n[nH]cc2CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000628407434 357204736 /nfs/dbraw/zinc/20/47/36/357204736.db2.gz GGJWGQFNPNKUJD-AOOOYVTPSA-N 0 3 245.351 2.590 20 0 BFADHN c1c2ccccc2oc1CN1C[C@@H]2C[C@@H]2C1 ZINC000628408370 357206317 /nfs/dbraw/zinc/20/63/17/357206317.db2.gz YZSFFGBAZUXYQY-TXEJJXNPSA-N 0 3 213.280 2.885 20 0 BFADHN CC(C)N[C@H](CCO)c1ccc(Cl)c(F)c1 ZINC000631662048 357210640 /nfs/dbraw/zinc/21/06/40/357210640.db2.gz UIAVGZFHXGMCHI-GFCCVEGCSA-N 0 3 245.725 2.901 20 0 BFADHN CC(C)Cc1ncc(CN2C[C@@H]3C[C@@H]3C2)s1 ZINC000628410141 357215930 /nfs/dbraw/zinc/21/59/30/357215930.db2.gz GUVCIXXZGKNSQG-PHIMTYICSA-N 0 3 236.384 2.793 20 0 BFADHN Clc1cccc(CCN2C[C@@H]3C[C@@H]3C2)c1 ZINC000628410757 357216921 /nfs/dbraw/zinc/21/69/21/357216921.db2.gz RFVBGSYKEAPZNP-TXEJJXNPSA-N 0 3 221.731 2.834 20 0 BFADHN Cc1cccc(CN2C[C@@H]3C[C@@H]3C2)c1F ZINC000628410732 357216952 /nfs/dbraw/zinc/21/69/52/357216952.db2.gz QTERLNFDQRWZRW-TXEJJXNPSA-N 0 3 205.276 2.586 20 0 BFADHN CC[C@H](N[C@H]1CCCC12CC2)c1ccn(C)n1 ZINC000631662323 357221767 /nfs/dbraw/zinc/22/17/67/357221767.db2.gz FTUVASDHUZGDNI-AAEUAGOBSA-N 0 3 233.359 2.794 20 0 BFADHN CC(C)CN1CCC[C@H](c2noc(C3CC3)n2)C1 ZINC000377360516 357231662 /nfs/dbraw/zinc/23/16/62/357231662.db2.gz RBXSOCWCXSEOMK-LBPRGKRZSA-N 0 3 249.358 2.782 20 0 BFADHN CCO[C@@H]1C[C@@H](NCC(C)(C)F)C12CCC2 ZINC000631659082 357186023 /nfs/dbraw/zinc/18/60/23/357186023.db2.gz PFKKVXXJOACAPQ-GHMZBOCLSA-N 0 3 229.339 2.672 20 0 BFADHN COC[C@@H](N[C@@H]1CCC[C@@H]1F)C1CCCC1 ZINC000393514945 357286368 /nfs/dbraw/zinc/28/63/68/357286368.db2.gz ZXRMHLHOLJWLFQ-YNEHKIRRSA-N 0 3 229.339 2.672 20 0 BFADHN CCc1cc(CN[C@H]2CC[C@H]2C2CC2)on1 ZINC000393744348 357295422 /nfs/dbraw/zinc/29/54/22/357295422.db2.gz DYUABYRSOPDLRX-STQMWFEESA-N 0 3 220.316 2.515 20 0 BFADHN Cc1cc(CN[C@H](C)C2CCSCC2)no1 ZINC000393814137 357302152 /nfs/dbraw/zinc/30/21/52/357302152.db2.gz ULPPZFKFEVWDOA-SNVBAGLBSA-N 0 3 240.372 2.604 20 0 BFADHN FC1(CN2CCCC(F)(F)CC2)CC1 ZINC000628421913 357243774 /nfs/dbraw/zinc/24/37/74/357243774.db2.gz FHUXAUHSUZDYDE-UHFFFAOYSA-N 0 3 207.239 2.610 20 0 BFADHN Oc1cccc(CN2CC[C@H]3CC[C@@H](C2)S3)c1 ZINC000628423045 357246372 /nfs/dbraw/zinc/24/63/72/357246372.db2.gz ZREBUFMZIBXJRA-KGLIPLIRSA-N 0 3 249.379 2.862 20 0 BFADHN Cc1cccc(CN(C)CC2(C)COC2)c1F ZINC000628423635 357248639 /nfs/dbraw/zinc/24/86/39/357248639.db2.gz CKHWONKBKJFGDV-UHFFFAOYSA-N 0 3 237.318 2.602 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN1CC2(C1)CCCO2 ZINC000628427706 357256852 /nfs/dbraw/zinc/25/68/52/357256852.db2.gz USXDMSOLKFGBLQ-KBPBESRZSA-N 0 3 235.371 2.844 20 0 BFADHN CCC[C@H](C)[C@H](CO)NCc1ccc(CC)o1 ZINC000582729919 357374214 /nfs/dbraw/zinc/37/42/14/357374214.db2.gz PBAHXXSKZNKSES-FZMZJTMJSA-N 0 3 239.359 2.729 20 0 BFADHN CCn1c2ccccc2nc1CN[C@H]1CC[C@@H]1C ZINC000393976094 357313590 /nfs/dbraw/zinc/31/35/90/357313590.db2.gz BJNVWYUFGLNGJB-RYUDHWBXSA-N 0 3 243.354 2.944 20 0 BFADHN C[C@@H](CNCc1cnccn1)c1ccc(F)cc1 ZINC000582472267 357314296 /nfs/dbraw/zinc/31/42/96/357314296.db2.gz WSLNLJBISJQBFQ-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1cnccn1 ZINC000582471717 357314401 /nfs/dbraw/zinc/31/44/01/357314401.db2.gz JGMMVYYSYBNLTP-OCCSQVGLSA-N 0 3 233.359 2.877 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1cnc(C)n1C ZINC000582497662 357327442 /nfs/dbraw/zinc/32/74/42/357327442.db2.gz AICRWVKHQIPQKM-ZIAGYGMSSA-N 0 3 235.375 2.643 20 0 BFADHN C[C@H](Cc1ccoc1)NC1(CF)CCC1 ZINC000582607021 357349432 /nfs/dbraw/zinc/34/94/32/357349432.db2.gz KGJDIAMTHGNISY-SNVBAGLBSA-N 0 3 211.280 2.692 20 0 BFADHN CCC(C)(CC)NCc1nc(C)c(C)[nH]1 ZINC000582617772 357354223 /nfs/dbraw/zinc/35/42/23/357354223.db2.gz QJXLANIVWBMXQZ-UHFFFAOYSA-N 0 3 209.337 2.695 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1nc(C)c(C)[nH]1 ZINC000582737155 357377523 /nfs/dbraw/zinc/37/75/23/357377523.db2.gz SOLZGRUATCHPRL-IUCAKERBSA-N 0 3 209.337 2.551 20 0 BFADHN CCCn1cc(CNC2CC(C)(C)C2)cn1 ZINC000395275419 357431227 /nfs/dbraw/zinc/43/12/27/357431227.db2.gz WYCQKEZRKQJQFO-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN FC1(CNC[C@H]2CC[C@@H](c3ccccc3)O2)CC1 ZINC000582754202 357381960 /nfs/dbraw/zinc/38/19/60/357381960.db2.gz MPABNAZZVMVZAV-KGLIPLIRSA-N 0 3 249.329 2.998 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@@H](C)c1ccncn1 ZINC000395989935 357465522 /nfs/dbraw/zinc/46/55/22/357465522.db2.gz UMMGXPIRZUCERL-MNOVXSKESA-N 0 3 247.367 2.820 20 0 BFADHN CCCN[C@H](CCO)c1ccc(Cl)c(F)c1 ZINC000639915791 357516235 /nfs/dbraw/zinc/51/62/35/357516235.db2.gz BSFWFJXEZWAMHP-GFCCVEGCSA-N 0 3 245.725 2.902 20 0 BFADHN COC(C)(C)CCN[C@H](C)c1ncc(C)s1 ZINC000230417670 357524112 /nfs/dbraw/zinc/52/41/12/357524112.db2.gz JPNCOEGEUZNHGS-SNVBAGLBSA-N 0 3 242.388 2.917 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2cn3ccccc3n2)C1 ZINC000230411924 357541312 /nfs/dbraw/zinc/54/13/12/357541312.db2.gz LLZVVQFGOWBDEG-OLZOCXBDSA-N 0 3 243.354 2.860 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2occc2C)C1(C)C ZINC000230664115 357546411 /nfs/dbraw/zinc/54/64/11/357546411.db2.gz WMSQKEKNRUWYBG-QWHCGFSZSA-N 0 3 237.343 2.881 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1cn2ccccc2n1 ZINC000230664912 357546503 /nfs/dbraw/zinc/54/65/03/357546503.db2.gz GWIVSKDPMVOFEW-OLZOCXBDSA-N 0 3 243.354 2.860 20 0 BFADHN COC1CC(NCc2coc3ccccc23)C1 ZINC000230690819 357551009 /nfs/dbraw/zinc/55/10/09/357551009.db2.gz LLEWEYQPPNGYHD-UHFFFAOYSA-N 0 3 231.295 2.700 20 0 BFADHN CCN(C)C(=O)[C@@H](C)N[C@@H](C)c1ccccc1C ZINC000188496268 357556464 /nfs/dbraw/zinc/55/64/64/357556464.db2.gz FNBCOZKMNAPLFN-QWHCGFSZSA-N 0 3 248.370 2.512 20 0 BFADHN Cc1ccc(CNC[C@H](C)c2nccs2)nc1 ZINC000230692881 357558611 /nfs/dbraw/zinc/55/86/11/357558611.db2.gz XEOVBNVINAGQNU-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN CCCC1(CNCc2cncc(F)c2)CC1 ZINC000230941686 357583214 /nfs/dbraw/zinc/58/32/14/357583214.db2.gz ZUJALCJGOBQWAF-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN CO[C@H]1CC[C@H](NCc2cc(C)ccc2F)C1 ZINC000231679792 357619460 /nfs/dbraw/zinc/61/94/60/357619460.db2.gz FRSWYHVCXRHCGC-STQMWFEESA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)C[C@@H](C)CN[C@@H](C)c1ccn(C)n1 ZINC000459771631 357663294 /nfs/dbraw/zinc/66/32/94/357663294.db2.gz ZANAYAUDNSOVGL-NEPJUHHUSA-N 0 3 223.364 2.753 20 0 BFADHN CC[C@@H](COC)N[C@@H]1CCOc2c(C)cccc21 ZINC000189376967 357634840 /nfs/dbraw/zinc/63/48/40/357634840.db2.gz PSSOUSPDYSLRHX-GXTWGEPZSA-N 0 3 249.354 2.833 20 0 BFADHN CCOC[C@H](C)N[C@@H]1CCOc2c(C)cccc21 ZINC000189373881 357634942 /nfs/dbraw/zinc/63/49/42/357634942.db2.gz ZUFIUEUPLDMOCG-GXTWGEPZSA-N 0 3 249.354 2.833 20 0 BFADHN Cc1cccc2c1OCC[C@H]2N[C@@H]1CCSC1 ZINC000189368557 357635318 /nfs/dbraw/zinc/63/53/18/357635318.db2.gz DORRYLUQPZSPQO-DGCLKSJQSA-N 0 3 249.379 2.914 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1ccc2c(n1)CCC2 ZINC000583248845 357711295 /nfs/dbraw/zinc/71/12/95/357711295.db2.gz CBCNYNWSTGKPDH-TXEJJXNPSA-N 0 3 230.355 2.943 20 0 BFADHN CCCN(C)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000063761872 357717178 /nfs/dbraw/zinc/71/71/78/357717178.db2.gz FXFCVXHKLSHGAP-ZDUSSCGKSA-N 0 3 248.370 2.548 20 0 BFADHN CSCCN1CC2(CCCC2)OC(C)(C)C1 ZINC000190953255 357734480 /nfs/dbraw/zinc/73/44/80/357734480.db2.gz CYZHPMKEJFXKSW-UHFFFAOYSA-N 0 3 243.416 2.773 20 0 BFADHN Cc1cc(CNCC2=CCOCC2)c(C)s1 ZINC000397874699 357775955 /nfs/dbraw/zinc/77/59/55/357775955.db2.gz KIMSIZYOGHAQRQ-UHFFFAOYSA-N 0 3 237.368 2.801 20 0 BFADHN CO[C@@H](C)CNCc1coc2ccccc12 ZINC000191198913 357755623 /nfs/dbraw/zinc/75/56/23/357755623.db2.gz MXSKQJPECFXVRV-JTQLQIEISA-N 0 3 219.284 2.557 20 0 BFADHN CCc1ccc(CNCC2=CCOCC2)s1 ZINC000397905476 357797222 /nfs/dbraw/zinc/79/72/22/357797222.db2.gz ZQABBENYMRGAFZ-UHFFFAOYSA-N 0 3 237.368 2.747 20 0 BFADHN COC(C)(C)CN[C@@H]1CCCc2ccc(O)cc21 ZINC000234600289 357814048 /nfs/dbraw/zinc/81/40/48/357814048.db2.gz WAWJFGKXCWILBN-CQSZACIVSA-N 0 3 249.354 2.784 20 0 BFADHN CCCC[C@H](NCc1ncccn1)C1CCC1 ZINC000192177624 357825182 /nfs/dbraw/zinc/82/51/82/357825182.db2.gz WXANXDYPWGXCCK-ZDUSSCGKSA-N 0 3 233.359 2.925 20 0 BFADHN COC(C)(C)CN[C@H]1CCCc2ccc(O)cc21 ZINC000234601532 357818174 /nfs/dbraw/zinc/81/81/74/357818174.db2.gz WAWJFGKXCWILBN-AWEZNQCLSA-N 0 3 249.354 2.784 20 0 BFADHN CCOC[C@@H](C)N[C@@H]1CCCc2c(O)cccc21 ZINC000191636569 357779981 /nfs/dbraw/zinc/77/99/81/357779981.db2.gz CCWVECXHYYECMP-BXUZGUMPSA-N 0 3 249.354 2.784 20 0 BFADHN CC(=O)c1cccc(CN(C)C2CCC2)c1 ZINC000295046627 491115064 /nfs/dbraw/zinc/11/50/64/491115064.db2.gz OIHTXDFYVIFRJY-UHFFFAOYSA-N 0 3 217.312 2.874 20 0 BFADHN CN(Cc1ccncc1)CC1(O)CCCCCC1 ZINC000192892627 357857794 /nfs/dbraw/zinc/85/77/94/357857794.db2.gz VIFSSFCDSOTSFP-UHFFFAOYSA-N 0 3 248.370 2.599 20 0 BFADHN CSC1(CN[C@H]2COCc3ccccc32)CC1 ZINC000235165931 357846545 /nfs/dbraw/zinc/84/65/45/357846545.db2.gz DJYCSYJPYNDMNN-ZDUSSCGKSA-N 0 3 249.379 2.743 20 0 BFADHN Cc1n[nH]c(C)c1CNC1C(C)(C)C1(C)C ZINC000086239570 357874707 /nfs/dbraw/zinc/87/47/07/357874707.db2.gz UEWMBOVVYORPKQ-UHFFFAOYSA-N 0 3 221.348 2.551 20 0 BFADHN CC1(C)C(CNCc2ccccn2)C1(C)C ZINC000086239388 357875270 /nfs/dbraw/zinc/87/52/70/357875270.db2.gz QJLNVBHSQFNTMR-UHFFFAOYSA-N 0 3 218.344 2.853 20 0 BFADHN COc1ncccc1CN1CCC[C@@H]1C1CCC1 ZINC000193332640 357878458 /nfs/dbraw/zinc/87/84/58/357878458.db2.gz DUCRILYQJZTKBZ-CQSZACIVSA-N 0 3 246.354 2.855 20 0 BFADHN CC[C@@H](O)CCCN[C@@H]1CCCc2occc21 ZINC000398514906 357886347 /nfs/dbraw/zinc/88/63/47/357886347.db2.gz ACCNHOYVLPIRLD-DGCLKSJQSA-N 0 3 237.343 2.798 20 0 BFADHN CC[C@](C)(NCc1c[nH]cn1)c1ccc(F)cc1 ZINC000639929124 357903387 /nfs/dbraw/zinc/90/33/87/357903387.db2.gz REWVQXLROSNPLZ-AWEZNQCLSA-N 0 3 247.317 2.964 20 0 BFADHN CC[C@](C)(NCc1cnc[nH]1)c1ccc(F)cc1 ZINC000639929124 357903390 /nfs/dbraw/zinc/90/33/90/357903390.db2.gz REWVQXLROSNPLZ-AWEZNQCLSA-N 0 3 247.317 2.964 20 0 BFADHN Cc1cncc(CN2CCC(CCF)CC2)c1 ZINC000639989448 358030199 /nfs/dbraw/zinc/03/01/99/358030199.db2.gz FYTKVSFEEXCLJJ-UHFFFAOYSA-N 0 3 236.334 2.962 20 0 BFADHN C[C@@]1(CO)CCCN1Cc1ccccc1Cl ZINC000546811988 325313500 /nfs/dbraw/zinc/31/35/00/325313500.db2.gz QUVGSAANGCCFFR-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN COc1cc(C)ccc1[C@H](C)NC1CC1 ZINC000037183831 358059644 /nfs/dbraw/zinc/05/96/44/358059644.db2.gz RFWCAWHFQLWESZ-JTQLQIEISA-N 0 3 205.301 2.817 20 0 BFADHN C[C@H]1CC[C@H](NCc2nc3ccccc3n2C)C1 ZINC000169097270 134249632 /nfs/dbraw/zinc/24/96/32/134249632.db2.gz CERXKFXFSXBNFK-RYUDHWBXSA-N 0 3 243.354 2.852 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1cc(C)oc1C ZINC000217706389 134250748 /nfs/dbraw/zinc/25/07/48/134250748.db2.gz LSYBPIKQZOVSCS-JQWIXIFHSA-N 0 3 225.332 2.708 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1cnc(C)s1 ZINC000090727097 363597666 /nfs/dbraw/zinc/59/76/66/363597666.db2.gz NHLVRGQDUDRSRC-YUMQZZPRSA-N 0 3 230.402 2.854 20 0 BFADHN CCn1ccnc1CNCCC1=CCCCC1 ZINC000051920973 358100373 /nfs/dbraw/zinc/10/03/73/358100373.db2.gz SFYGZWLWIMPAHG-UHFFFAOYSA-N 0 3 233.359 2.883 20 0 BFADHN CCn1ccnc1CNCCC1CCCCC1 ZINC000051923559 358101719 /nfs/dbraw/zinc/10/17/19/358101719.db2.gz AONVIFLKFWFOOI-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN CCn1ccnc1CN[C@H](C)Cc1cccs1 ZINC000051922149 358101815 /nfs/dbraw/zinc/10/18/15/358101815.db2.gz RLXIOSOKKOHOPD-LLVKDONJSA-N 0 3 249.383 2.685 20 0 BFADHN CC(C)=CCN1C[C@@H]2C[C@H]1CN2CC=C(C)C ZINC000640049159 358134582 /nfs/dbraw/zinc/13/45/82/358134582.db2.gz PEFOSAQBHMDHKX-GJZGRUSLSA-N 0 3 234.387 2.677 20 0 BFADHN CCN(CC)Cc1ccc(F)c(F)c1N(C)C ZINC000628118346 491121870 /nfs/dbraw/zinc/12/18/70/491121870.db2.gz QLHKUUFBUXPZDC-UHFFFAOYSA-N 0 3 242.313 2.873 20 0 BFADHN CSCCN(C)Cc1ccsc1 ZINC000171118921 134257083 /nfs/dbraw/zinc/25/70/83/134257083.db2.gz BFYSQJVPIFTINZ-UHFFFAOYSA-N 0 3 201.360 2.543 20 0 BFADHN CC1(C)CC[C@H](CN2CCC(C)(F)CC2)O1 ZINC000640071381 358161312 /nfs/dbraw/zinc/16/13/12/358161312.db2.gz LIHLLSYIYOXCBH-LLVKDONJSA-N 0 3 229.339 2.768 20 0 BFADHN Cc1ccnc(CN2CCC(C)(F)CC2)c1 ZINC000640071705 358162373 /nfs/dbraw/zinc/16/23/73/358162373.db2.gz RIRSEPUTFYQMQZ-UHFFFAOYSA-N 0 3 222.307 2.714 20 0 BFADHN CC[C@]1(C)COCCN1C[C@H]1CCC(F)(F)C1 ZINC000450631030 202217522 /nfs/dbraw/zinc/21/75/22/202217522.db2.gz BJLUAAVQWMGICE-NWDGAFQWSA-N 0 3 247.329 2.923 20 0 BFADHN Oc1cccc2c1CCN(C[C@H]1CCSC1)C2 ZINC000628464073 358183031 /nfs/dbraw/zinc/18/30/31/358183031.db2.gz HQYYNOZADAZENA-LLVKDONJSA-N 0 3 249.379 2.503 20 0 BFADHN c1nc(CN2CCC[C@H](C3CCC3)CC2)c[nH]1 ZINC000640106722 358189650 /nfs/dbraw/zinc/18/96/50/358189650.db2.gz KWUDTWNVYVHJFS-ZDUSSCGKSA-N 0 3 233.359 2.812 20 0 BFADHN c1ncc(CN2CCC[C@H](C3CCC3)CC2)[nH]1 ZINC000640106722 358189654 /nfs/dbraw/zinc/18/96/54/358189654.db2.gz KWUDTWNVYVHJFS-ZDUSSCGKSA-N 0 3 233.359 2.812 20 0 BFADHN Cc1ccc([C@@H](N[C@H]2C[C@@H](CO)C2)C2CCC2)o1 ZINC000631669109 358194859 /nfs/dbraw/zinc/19/48/59/358194859.db2.gz CUWNFPBPEXVFHZ-LNSITVRQSA-N 0 3 249.354 2.790 20 0 BFADHN Cc1cccc(C2=CCN(CC[C@@H](C)O)CC2)c1 ZINC000450654952 202227643 /nfs/dbraw/zinc/22/76/43/202227643.db2.gz SEYYIPTYFCWLQI-CQSZACIVSA-N 0 3 245.366 2.855 20 0 BFADHN Cc1ncc([C@H](C)NCCOCC(C)C)s1 ZINC000090405480 491126031 /nfs/dbraw/zinc/12/60/31/491126031.db2.gz QEQCLAMVBLRKRH-JTQLQIEISA-N 0 3 242.388 2.775 20 0 BFADHN OC[C@@H](NCC1=CCCCC1)c1ccccc1 ZINC000450666590 202231000 /nfs/dbraw/zinc/23/10/00/202231000.db2.gz IRQSEZNTGFEHRX-OAHLLOKOSA-N 0 3 231.339 2.810 20 0 BFADHN Cc1ncc([C@H](C)NC[C@]2(C)CCCO2)s1 ZINC000090419116 491126697 /nfs/dbraw/zinc/12/66/97/491126697.db2.gz WVBPVJYGQGZJBW-CABZTGNLSA-N 0 3 240.372 2.671 20 0 BFADHN OC[C@@H](NCC1=CCCCC1)c1ccc(F)cc1 ZINC000450709149 202243116 /nfs/dbraw/zinc/24/31/16/202243116.db2.gz LHNHSHNJHWWDEK-OAHLLOKOSA-N 0 3 249.329 2.949 20 0 BFADHN CCc1cnc(CN2CC[C@H](C)[C@H]2CC)o1 ZINC000547511457 325366912 /nfs/dbraw/zinc/36/69/12/325366912.db2.gz PRAZYUOESIKKFA-CMPLNLGQSA-N 0 3 222.332 2.857 20 0 BFADHN Cc1sccc1CN[C@H]1COC(C)(C)C1 ZINC000396455163 491127623 /nfs/dbraw/zinc/12/76/23/491127623.db2.gz AOWWJRXEOPXVKN-LLVKDONJSA-N 0 3 225.357 2.714 20 0 BFADHN CCO[C@@H]1CCN(c2ccnc(CC)c2)C[C@H]1C ZINC000450747219 202253848 /nfs/dbraw/zinc/25/38/48/202253848.db2.gz NRMVVHWFHGOKLY-IUODEOHRSA-N 0 3 248.370 2.895 20 0 BFADHN Cc1cccc(CN2CCSC[C@H]2C)c1 ZINC000172588403 134268422 /nfs/dbraw/zinc/26/84/22/134268422.db2.gz MKHVRLJDNPSCMH-GFCCVEGCSA-N 0 3 221.369 2.932 20 0 BFADHN C[C@@H](NC[C@@H]1CCC(F)(F)C1)c1ccon1 ZINC000450748153 202254367 /nfs/dbraw/zinc/25/43/67/202254367.db2.gz VUKNAMGMDBJTNT-RKDXNWHRSA-N 0 3 230.258 2.761 20 0 BFADHN COC1(CN[C@@H](C)c2ccsc2)CCC1 ZINC000134714452 325372953 /nfs/dbraw/zinc/37/29/53/325372953.db2.gz HATAVNHWYCANTN-JTQLQIEISA-N 0 3 225.357 2.968 20 0 BFADHN OC[C@H](NCC1=CCCCC1)c1ccsc1 ZINC000450762561 202258342 /nfs/dbraw/zinc/25/83/42/202258342.db2.gz UHWDESFOUFXHDS-ZDUSSCGKSA-N 0 3 237.368 2.872 20 0 BFADHN CC1(C)CCN(Cc2cccc3nccn32)C1 ZINC000180201798 366395253 /nfs/dbraw/zinc/39/52/53/366395253.db2.gz OUKKHOFSBWLXRB-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CC[C@@H](NC1CC(C)(C)C1)c1nccn1C ZINC000578207414 366392219 /nfs/dbraw/zinc/39/22/19/366392219.db2.gz WNAMXPHZFWPJRY-LLVKDONJSA-N 0 3 221.348 2.649 20 0 BFADHN CCc1cnc(CN[C@H]2CCCC[C@@H]2C)o1 ZINC000226001164 358333233 /nfs/dbraw/zinc/33/32/33/358333233.db2.gz JMMKALOKQKJHND-JQWIXIFHSA-N 0 3 222.332 2.905 20 0 BFADHN Cc1nc(CNCC2(C)CC2)sc1C ZINC000226383794 358338440 /nfs/dbraw/zinc/33/84/40/358338440.db2.gz DNNABPIWYHIYNW-UHFFFAOYSA-N 0 3 210.346 2.650 20 0 BFADHN CCOc1cccc(CN(C)CCC(C)C)n1 ZINC000130719182 491129301 /nfs/dbraw/zinc/12/93/01/491129301.db2.gz KZOGQOIOZYWQCS-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN COC[C@@H](N[C@@H](C)c1cnc(C)s1)C1CC1 ZINC000090718248 491129541 /nfs/dbraw/zinc/12/95/41/491129541.db2.gz ODOGVHWZFWAHMC-GZMMTYOYSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1cnccc1CNCCOCCC(C)C ZINC000228674054 358382535 /nfs/dbraw/zinc/38/25/35/358382535.db2.gz BYDPNCWYUZSKCF-UHFFFAOYSA-N 0 3 236.359 2.542 20 0 BFADHN Cc1nccnc1[C@H](C)NCCC(C)(C)C ZINC000219112899 134274733 /nfs/dbraw/zinc/27/47/33/134274733.db2.gz QPXQYZHXAAYETG-JTQLQIEISA-N 0 3 221.348 2.872 20 0 BFADHN COC1CC(NCc2ccc([C@H]3C[C@@H]3C)o2)C1 ZINC000230688822 358409494 /nfs/dbraw/zinc/40/94/94/358409494.db2.gz CZQMRUZYJRTRHR-VLEJYLOPSA-N 0 3 235.327 2.670 20 0 BFADHN Cc1ccc(CNC[C@H](C)c2ccccc2)nn1 ZINC000396627562 358449654 /nfs/dbraw/zinc/44/96/54/358449654.db2.gz ITNVRJYJMJXDMU-LBPRGKRZSA-N 0 3 241.338 2.678 20 0 BFADHN Clc1ccc(CNCCN2CCCCC2)o1 ZINC000042225915 358519150 /nfs/dbraw/zinc/51/91/50/358519150.db2.gz BZTXNRXPQVYPJZ-UHFFFAOYSA-N 0 3 242.750 2.509 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1ccno1 ZINC000230972989 358413144 /nfs/dbraw/zinc/41/31/44/358413144.db2.gz JAHQWTXAGQWAGL-JQWIXIFHSA-N 0 3 222.332 2.979 20 0 BFADHN Fc1ccccc1C1CC(NCc2ccno2)C1 ZINC000230973070 358413816 /nfs/dbraw/zinc/41/38/16/358413816.db2.gz YEMXPMVRFIKRMS-UHFFFAOYSA-N 0 3 246.285 2.850 20 0 BFADHN CCN(Cc1nc2ccccc2[nH]1)CC1CC1 ZINC000125664207 358543449 /nfs/dbraw/zinc/54/34/49/358543449.db2.gz KGLOZMKKIKBFNX-UHFFFAOYSA-N 0 3 229.327 2.795 20 0 BFADHN C[C@@H](N[C@@H]1CC1(C)C)c1ccc2c(c1)OCO2 ZINC000045116007 358559734 /nfs/dbraw/zinc/55/97/34/358559734.db2.gz FBWUENLVSDOHPF-NOZJJQNGSA-N 0 3 233.311 2.864 20 0 BFADHN CC[C@@H](O)CNc1cc(C)nc2ccccc21 ZINC000125103570 358534108 /nfs/dbraw/zinc/53/41/08/358534108.db2.gz UHUSBWBITKUZCN-LLVKDONJSA-N 0 3 230.311 2.726 20 0 BFADHN CCOC[C@@H](C)N[C@@H]1CCc2cc(F)ccc21 ZINC000129974028 358603002 /nfs/dbraw/zinc/60/30/02/358603002.db2.gz ROWIMRHUHPKARZ-QMTHXVAHSA-N 0 3 237.318 2.828 20 0 BFADHN COC(=O)c1ccccc1CN(C)[C@@H](C)C(C)C ZINC000130156955 358610402 /nfs/dbraw/zinc/61/04/02/358610402.db2.gz BTCWGCCTKBRHMG-LBPRGKRZSA-N 0 3 249.354 2.950 20 0 BFADHN CC[C@H](C)N(C)Cc1ccccc1C(=O)OC ZINC000130135662 358610610 /nfs/dbraw/zinc/61/06/10/358610610.db2.gz KDQWTNIIMUUDIA-NSHDSACASA-N 0 3 235.327 2.704 20 0 BFADHN CCN(Cc1ccccc1C(=O)OC)CC(C)C ZINC000130136814 358610654 /nfs/dbraw/zinc/61/06/54/358610654.db2.gz CITCFJXJFUODJP-UHFFFAOYSA-N 0 3 249.354 2.951 20 0 BFADHN CC(C)C[C@H](N[C@H]1C[C@@H](CO)C1)c1ccccn1 ZINC000631669945 358619407 /nfs/dbraw/zinc/61/94/07/358619407.db2.gz MEQBWZFAVNTWEH-GUTXKFCHSA-N 0 3 248.370 2.529 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cc(C(=O)OC)co1 ZINC000130433548 358625534 /nfs/dbraw/zinc/62/55/34/358625534.db2.gz ZXYYQQXASYQMCU-UWVGGRQHSA-N 0 3 239.315 2.590 20 0 BFADHN CCCN(C)[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000175378896 134285830 /nfs/dbraw/zinc/28/58/30/134285830.db2.gz TWJMLLNFIRSAFJ-ZBEGNZNMSA-N 0 3 239.384 2.827 20 0 BFADHN CCOc1cccc(CN(C)C[C@H](C)CC)n1 ZINC000130605632 358637187 /nfs/dbraw/zinc/63/71/87/358637187.db2.gz YGJAMJCDZAQAFK-GFCCVEGCSA-N 0 3 236.359 2.958 20 0 BFADHN C[C@H](NCC1(O)CCC1)c1cc2ccccc2o1 ZINC000130803692 358647631 /nfs/dbraw/zinc/64/76/31/358647631.db2.gz SUJYZPOFOURLSQ-NSHDSACASA-N 0 3 245.322 2.998 20 0 BFADHN CCn1nccc1CN1CCCC(C)(C)CC1 ZINC000130897840 358651562 /nfs/dbraw/zinc/65/15/62/358651562.db2.gz HEMWGHQJSBOZNI-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCOC[C@@H](C)NCc1cccc(Cl)c1F ZINC000131128340 358661551 /nfs/dbraw/zinc/66/15/51/358661551.db2.gz HOIBRSVTADBETH-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN CCOC[C@H](C)NCc1cccc(Cl)c1F ZINC000131128558 358661645 /nfs/dbraw/zinc/66/16/45/358661645.db2.gz HOIBRSVTADBETH-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN Cc1cccc(NC(=O)[C@@H](C)N(C)CC2CC2)c1 ZINC000049238130 358677580 /nfs/dbraw/zinc/67/75/80/358677580.db2.gz UBKYLTPNFDPPAV-GFCCVEGCSA-N 0 3 246.354 2.664 20 0 BFADHN CC[C@H](N[C@@H](C)c1cncs1)[C@@H]1CCCO1 ZINC000131517685 358680396 /nfs/dbraw/zinc/68/03/96/358680396.db2.gz QANIGJCKGMPODA-DCAQKATOSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H]1CCCC[N@@H+]1Cc1nc(C)ccc1[O-] ZINC000131533773 358680492 /nfs/dbraw/zinc/68/04/92/358680492.db2.gz WFBOIUBNZQQVPD-LBPRGKRZSA-N 0 3 234.343 2.860 20 0 BFADHN CC[C@H]1CCCC[N@H+]1Cc1nc(C)ccc1[O-] ZINC000131533773 358680494 /nfs/dbraw/zinc/68/04/94/358680494.db2.gz WFBOIUBNZQQVPD-LBPRGKRZSA-N 0 3 234.343 2.860 20 0 BFADHN C[C@@H](N[C@@H](C)c1cc(F)ccc1F)[C@H](C)CO ZINC000131707571 358690938 /nfs/dbraw/zinc/69/09/38/358690938.db2.gz PHNOFKDRKMSFEO-BBBLOLIVSA-N 0 3 243.297 2.632 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1cc(F)ccc1F ZINC000131832333 358696210 /nfs/dbraw/zinc/69/62/10/358696210.db2.gz HCOVAQCIFNWOQD-GXSJLCMTSA-N 0 3 243.297 2.776 20 0 BFADHN CCc1nc(C)c([C@@H](C)N[C@H](C)COC)s1 ZINC000131862321 358697476 /nfs/dbraw/zinc/69/74/76/358697476.db2.gz HWAWLWROLHPVPQ-RKDXNWHRSA-N 0 3 242.388 2.699 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1cc(F)cc(F)c1 ZINC000131883678 358698100 /nfs/dbraw/zinc/69/81/00/358698100.db2.gz VSFXKLSZIOPOTF-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN C/C=C\CN[C@@H](C)c1sc(C)nc1C ZINC000308406559 491136283 /nfs/dbraw/zinc/13/62/83/491136283.db2.gz RHEUFKOAFXBKMU-SLGIHZDVSA-N 0 3 210.346 2.987 20 0 BFADHN CCCN1CCN(C[C@H]2CCC[C@H](C)C2)CC1 ZINC000133365745 358751541 /nfs/dbraw/zinc/75/15/41/358751541.db2.gz AKYNYMBPDPCQEK-GJZGRUSLSA-N 0 3 238.419 2.840 20 0 BFADHN COc1cc(C)nc(CNC2CCCCC2)c1 ZINC000214055006 358753233 /nfs/dbraw/zinc/75/32/33/358753233.db2.gz YHZQLPYIKJLMQQ-UHFFFAOYSA-N 0 3 234.343 2.821 20 0 BFADHN COc1ccc(CN2CCC3(CCCC3)C2)cn1 ZINC000132123759 358708865 /nfs/dbraw/zinc/70/88/65/358708865.db2.gz ASYBHCWRZMJCSR-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1nccn1CC ZINC000051922334 358768504 /nfs/dbraw/zinc/76/85/04/358768504.db2.gz WHTCQZRLMSXHJY-CHWSQXEVSA-N 0 3 235.375 2.961 20 0 BFADHN COc1cc(C)nc(CN[C@H]2CC[C@H](C)C2)c1 ZINC000132354158 358720260 /nfs/dbraw/zinc/72/02/60/358720260.db2.gz PAZWXZUWBIKDPK-JQWIXIFHSA-N 0 3 234.343 2.677 20 0 BFADHN C[C@H](N[C@H]1CCN(C2CC2)C1)c1ccsc1 ZINC000050344901 358724466 /nfs/dbraw/zinc/72/44/66/358724466.db2.gz UKNWUFGBAKRTFI-JQWIXIFHSA-N 0 3 236.384 2.635 20 0 BFADHN CC[C@@H](C)C[N@H+](C)Cc1nc(C)ccc1[O-] ZINC000132585867 358728685 /nfs/dbraw/zinc/72/86/85/358728685.db2.gz AMIKLFXXMLHINU-SNVBAGLBSA-N 0 3 222.332 2.574 20 0 BFADHN CC[C@@H](C)C[N@@H+](C)Cc1nc(C)ccc1[O-] ZINC000132585867 358728689 /nfs/dbraw/zinc/72/86/89/358728689.db2.gz AMIKLFXXMLHINU-SNVBAGLBSA-N 0 3 222.332 2.574 20 0 BFADHN CC[C@H](Cc1cccs1)NCCF ZINC000308429325 491136125 /nfs/dbraw/zinc/13/61/25/491136125.db2.gz SNXNLMZDSHQWMO-SECBINFHSA-N 0 3 201.310 2.628 20 0 BFADHN CC[C@@H](CCO)Nc1ccnc2ccccc21 ZINC000134965628 358812665 /nfs/dbraw/zinc/81/26/65/358812665.db2.gz UEBKTUNSANCJJN-NSHDSACASA-N 0 3 230.311 2.808 20 0 BFADHN Brc1ccc(CNC2CC=CC2)o1 ZINC000132554413 491136789 /nfs/dbraw/zinc/13/67/89/491136789.db2.gz LJYUBIGSXKMUJJ-UHFFFAOYSA-N 0 3 242.116 2.850 20 0 BFADHN c1nn(-c2ccccc2)cc1CNC1CCC1 ZINC000052206960 358776370 /nfs/dbraw/zinc/77/63/70/358776370.db2.gz AWBAYSSHYIZLGV-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN COCCCN1CCC[C@H]1c1cccc(F)c1 ZINC000052455969 358782993 /nfs/dbraw/zinc/78/29/93/358782993.db2.gz ZBYFZEHNCHZRHA-AWEZNQCLSA-N 0 3 237.318 2.999 20 0 BFADHN c1cc(CNC[C@H]2CCOc3ccccc32)co1 ZINC000134477240 358791621 /nfs/dbraw/zinc/79/16/21/358791621.db2.gz RROGLMWKGWVZEK-CYBMUJFWSA-N 0 3 243.306 2.936 20 0 BFADHN C[C@@H](NCCC(=O)NC(C)(C)C)c1ccccc1 ZINC000134501863 358793749 /nfs/dbraw/zinc/79/37/49/358793749.db2.gz CNTJDTZHQLEVTI-GFCCVEGCSA-N 0 3 248.370 2.642 20 0 BFADHN CC(C)OCCN1CCC[C@@H](C(F)(F)F)C1 ZINC000176884891 134294518 /nfs/dbraw/zinc/29/45/18/134294518.db2.gz MCKPWDVKMHJXLC-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNC2(CO)CCCC2)o1 ZINC000053044516 358806747 /nfs/dbraw/zinc/80/67/47/358806747.db2.gz CDMJZLPHROFPSI-AAEUAGOBSA-N 0 3 249.354 2.798 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1ncccn1 ZINC000054459465 358863057 /nfs/dbraw/zinc/86/30/57/358863057.db2.gz FWSPQVXMESZSGA-VXGBXAGGSA-N 0 3 219.332 2.535 20 0 BFADHN CC1(CNCc2ccc(Cl)cn2)CC1 ZINC000308648404 491137564 /nfs/dbraw/zinc/13/75/64/491137564.db2.gz IZYKPYPEJCLHMJ-UHFFFAOYSA-N 0 3 210.708 2.625 20 0 BFADHN CC(C)CC[C@H]1CCCN1Cc1cncn1C ZINC000135312399 358830544 /nfs/dbraw/zinc/83/05/44/358830544.db2.gz BQBLZRNZQMITGJ-CYBMUJFWSA-N 0 3 235.375 2.821 20 0 BFADHN CCN1CCC[C@@H](Oc2ccc(Cl)cn2)C1 ZINC000135321912 358830611 /nfs/dbraw/zinc/83/06/11/358830611.db2.gz MEFRPYPHMXIZPL-LLVKDONJSA-N 0 3 240.734 2.598 20 0 BFADHN Cc1ccncc1CNCCOCCC(C)C ZINC000135457829 358839403 /nfs/dbraw/zinc/83/94/03/358839403.db2.gz FUQMSTGQIWWTAS-UHFFFAOYSA-N 0 3 236.359 2.542 20 0 BFADHN C[C@@H](NCC1(O)CCC1)c1ccc(F)c(F)c1 ZINC000135461501 358839863 /nfs/dbraw/zinc/83/98/63/358839863.db2.gz JRCCZQQVBBYQFL-SECBINFHSA-N 0 3 241.281 2.530 20 0 BFADHN CCCCCN(C)[C@H]1CCCc2c1cnn2C ZINC000135594378 358845621 /nfs/dbraw/zinc/84/56/21/358845621.db2.gz VZKQUHYDEKFJMT-ZDUSSCGKSA-N 0 3 235.375 2.920 20 0 BFADHN Cc1ccc([C@@H](C)NCCN(C)C(C)C)o1 ZINC000054110631 358853640 /nfs/dbraw/zinc/85/36/40/358853640.db2.gz WAKDSLCSZNPDQY-GFCCVEGCSA-N 0 3 224.348 2.579 20 0 BFADHN Fc1cc(F)cc(CNC2(C3CC3)CC2)c1 ZINC000309592924 358878532 /nfs/dbraw/zinc/87/85/32/358878532.db2.gz SVRSUBFWHUEOQC-UHFFFAOYSA-N 0 3 223.266 2.997 20 0 BFADHN Fc1cc(F)cc(CCNCC2(F)CC2)c1 ZINC000309594192 358880202 /nfs/dbraw/zinc/88/02/02/358880202.db2.gz UAHJTIZTWCQUCM-UHFFFAOYSA-N 0 3 229.245 2.599 20 0 BFADHN Cn1nccc1CN1CC[C@@]1(C)C1CCCCC1 ZINC000640219032 358884580 /nfs/dbraw/zinc/88/45/80/358884580.db2.gz JMAUVQXAVDKCPT-HNNXBMFYSA-N 0 3 247.386 2.965 20 0 BFADHN Cc1ccc2ccnc(NCCN(C)C)c2c1 ZINC000578317963 366503693 /nfs/dbraw/zinc/50/36/93/366503693.db2.gz HRZNZGLVQFYDJO-UHFFFAOYSA-N 0 3 229.327 2.517 20 0 BFADHN CC[C@H]1CCN1C[C@@H]1CC1(Cl)Cl ZINC000306161167 358966206 /nfs/dbraw/zinc/96/62/06/358966206.db2.gz RBDKBFDXPLHHPX-YUMQZZPRSA-N 0 3 208.132 2.665 20 0 BFADHN CC(C)(C)[C@@H](CO)NCc1cscc1Cl ZINC000308819929 491138416 /nfs/dbraw/zinc/13/84/16/491138416.db2.gz BODDMELARMZGFC-SNVBAGLBSA-N 0 3 247.791 2.898 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1ccc(F)c(C)c1 ZINC000061119412 358931893 /nfs/dbraw/zinc/93/18/93/358931893.db2.gz DPIJKNOMXSMCMQ-GFCCVEGCSA-N 0 3 238.306 2.590 20 0 BFADHN c1[nH]nc2cc(CNCc3ccccc3)ccc12 ZINC000061669970 358936133 /nfs/dbraw/zinc/93/61/33/358936133.db2.gz WMUXIXDYCLNADR-UHFFFAOYSA-N 0 3 237.306 2.853 20 0 BFADHN CCc1csc(NC2CCN(CC)CC2)n1 ZINC000061894524 358937652 /nfs/dbraw/zinc/93/76/52/358937652.db2.gz BLQAXBZNGNDEDI-UHFFFAOYSA-N 0 3 239.388 2.602 20 0 BFADHN Cc1cc(OCc2cnc(C)nc2)c(C)c(C)n1 ZINC000578337976 366527973 /nfs/dbraw/zinc/52/79/73/366527973.db2.gz YAIBJPRRAJPLBW-UHFFFAOYSA-N 0 3 243.310 2.684 20 0 BFADHN C[C@H](NCc1nccn1C(F)F)C1CCCC1 ZINC000070306511 359048962 /nfs/dbraw/zinc/04/89/62/359048962.db2.gz VLOQBPJHRBAITD-VIFPVBQESA-N 0 3 243.301 2.947 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccccc2F)CCO1 ZINC000070344789 359049606 /nfs/dbraw/zinc/04/96/06/359049606.db2.gz RYIJJLWVFXJIEW-OLZOCXBDSA-N 0 3 237.318 2.873 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)C1CCCC1 ZINC000069803880 359033583 /nfs/dbraw/zinc/03/35/83/359033583.db2.gz AAEDFPXYYOSMDC-SECBINFHSA-N 0 3 222.332 2.960 20 0 BFADHN C[C@@H](NCCC1CCCC1)c1nccn1C ZINC000070007829 359037933 /nfs/dbraw/zinc/03/79/33/359037933.db2.gz WEUWDJLJCGQWOG-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN c1c[nH]c(CN[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)n1 ZINC000070939262 359065908 /nfs/dbraw/zinc/06/59/08/359065908.db2.gz AZAVVXSEOGXLBH-YNEHKIRRSA-N 0 3 233.359 2.858 20 0 BFADHN CC[C@H](N[C@H](C)c1ccccn1)[C@@H]1CCCO1 ZINC000246441913 359088937 /nfs/dbraw/zinc/08/89/37/359088937.db2.gz OPSRDUXNMPBJJZ-DYEKYZERSA-N 0 3 234.343 2.690 20 0 BFADHN c1cnn([C@H]2CCCC[C@H]2NCc2ccco2)c1 ZINC000072746280 359090157 /nfs/dbraw/zinc/09/01/57/359090157.db2.gz RZKYNUYBNBIYSH-KGLIPLIRSA-N 0 3 245.326 2.750 20 0 BFADHN CCN1CCC(C(=O)c2ccc(OC)cc2)CC1 ZINC000073893700 359108707 /nfs/dbraw/zinc/10/87/07/359108707.db2.gz IBMROIYOWJZDTM-UHFFFAOYSA-N 0 3 247.338 2.610 20 0 BFADHN c1oc2ccccc2c1CNC[C@H]1CCCO1 ZINC000070392049 359052024 /nfs/dbraw/zinc/05/20/24/359052024.db2.gz PVUOGENIJRKHRC-GFCCVEGCSA-N 0 3 231.295 2.701 20 0 BFADHN C[C@H](NC[C@H]1CCCC[C@H]1C)c1nccn1C ZINC000070445330 359055336 /nfs/dbraw/zinc/05/53/36/359055336.db2.gz FKHKRKBITQTJML-FRRDWIJNSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@@H]1CCC[C@@H](CCNCc2cc[nH]n2)C1 ZINC000070532035 359058788 /nfs/dbraw/zinc/05/87/88/359058788.db2.gz HMXWVSKOHWYQEB-NEPJUHHUSA-N 0 3 221.348 2.716 20 0 BFADHN CC(C)C1CCC(NCc2ncc[nH]2)CC1 ZINC000070646388 359060473 /nfs/dbraw/zinc/06/04/73/359060473.db2.gz VQUOOGBJMZROIY-UHFFFAOYSA-N 0 3 221.348 2.714 20 0 BFADHN Cc1ccc(C[C@@H](C)NCc2cc[nH]n2)s1 ZINC000070716344 359062133 /nfs/dbraw/zinc/06/21/33/359062133.db2.gz ZVHALIMMFNKQPR-SECBINFHSA-N 0 3 235.356 2.500 20 0 BFADHN Cc1cnc([C@H](C)N[C@H](C)[C@H]2CCOC2)s1 ZINC000246996092 359181396 /nfs/dbraw/zinc/18/13/96/359181396.db2.gz JOWNICAXEWEYRQ-VWYCJHECSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H](C)[C@H]2CCOC2)s1 ZINC000246996082 359181664 /nfs/dbraw/zinc/18/16/64/359181664.db2.gz JOWNICAXEWEYRQ-DCAQKATOSA-N 0 3 240.372 2.527 20 0 BFADHN CCC[C@H](C)NC(=O)[C@@H](C)N(C)C[C@H](C)CC ZINC000247502116 359228523 /nfs/dbraw/zinc/22/85/23/359228523.db2.gz IIRSLEMMCOOTRY-FRRDWIJNSA-N 0 3 242.407 2.658 20 0 BFADHN C[C@@H](CN1C[C@@H](C)OC[C@@H]1C)c1ccccc1 ZINC000247218806 359201267 /nfs/dbraw/zinc/20/12/67/359201267.db2.gz VKHGLTVYAOSSNJ-MELADBBJSA-N 0 3 233.355 2.899 20 0 BFADHN COc1cccc([C@@H](C)N2CCCO[C@H](C)C2)c1 ZINC000247336918 359213700 /nfs/dbraw/zinc/21/37/00/359213700.db2.gz ZLRGRKNOEVHFGX-CHWSQXEVSA-N 0 3 249.354 2.867 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@H](C)c1ccc(F)cc1 ZINC000248167579 359257542 /nfs/dbraw/zinc/25/75/42/359257542.db2.gz UBCWPCUCYVVYKU-MISXGVKJSA-N 0 3 239.334 2.883 20 0 BFADHN CC[C@@H](NCc1ccccc1Cl)C(C)(C)O ZINC000292852874 359308182 /nfs/dbraw/zinc/30/81/82/359308182.db2.gz ACPSLPTWTYROEN-GFCCVEGCSA-N 0 3 241.762 2.979 20 0 BFADHN c1csc(CC2CN(CC3CC3)C2)c1 ZINC000628478868 359313620 /nfs/dbraw/zinc/31/36/20/359313620.db2.gz SKHCEFXRJUXKCR-UHFFFAOYSA-N 0 3 207.342 2.632 20 0 BFADHN c1csc(CC2CN(CC3=CCCOC3)C2)c1 ZINC000628478443 359313804 /nfs/dbraw/zinc/31/38/04/359313804.db2.gz COIFBBMSWILJGS-UHFFFAOYSA-N 0 3 249.379 2.569 20 0 BFADHN C[C@H]1CCN(Cc2cccc3c2OCCO3)[C@H]1C ZINC000248338102 359265917 /nfs/dbraw/zinc/26/59/17/359265917.db2.gz HRYPPPAVXHOMFU-RYUDHWBXSA-N 0 3 247.338 2.688 20 0 BFADHN CN(C[C@H]1CCCO1)[C@H]1CCc2ccc(F)cc21 ZINC000248342718 359267281 /nfs/dbraw/zinc/26/72/81/359267281.db2.gz JSGFFFSZGBQENS-HIFRSBDPSA-N 0 3 249.329 2.924 20 0 BFADHN CCOCCN(C)Cc1ccc(CC)s1 ZINC000542782901 359345732 /nfs/dbraw/zinc/34/57/32/359345732.db2.gz ALTYPNZHDSHGKJ-UHFFFAOYSA-N 0 3 227.373 2.779 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(C#N)cc2F)[C@H]1C ZINC000385694052 359419962 /nfs/dbraw/zinc/41/99/62/359419962.db2.gz SLKPZUJIZPOKCP-ISTVAULSSA-N 0 3 232.302 2.831 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)C2CCC2)no1 ZINC000308921685 491140342 /nfs/dbraw/zinc/14/03/42/491140342.db2.gz CGMCYRNEDBAFEW-ZJUUUORDSA-N 0 3 208.305 2.822 20 0 BFADHN CCCCCN1CCCCC[C@@H]1C(=O)OC ZINC000611579299 359397993 /nfs/dbraw/zinc/39/79/93/359397993.db2.gz ZPTMWZLGQKVNRP-GFCCVEGCSA-N 0 3 227.348 2.594 20 0 BFADHN O[C@H]1CC[C@H](NCc2cscc2Cl)CC1 ZINC000308935731 491140664 /nfs/dbraw/zinc/14/06/64/491140664.db2.gz OATUPIHGCUUBOI-MGCOHNPYSA-N 0 3 245.775 2.795 20 0 BFADHN CCCn1cc(CNCC2=CCCCC2)cn1 ZINC000379565786 359544876 /nfs/dbraw/zinc/54/48/76/359544876.db2.gz GMXXOOKJGVIFSB-UHFFFAOYSA-N 0 3 233.359 2.883 20 0 BFADHN C[C@H](NCC1=CCCCC1)c1cn[nH]c1 ZINC000379582340 359553888 /nfs/dbraw/zinc/55/38/88/359553888.db2.gz OEJAWMFUMGHXBQ-JTQLQIEISA-N 0 3 205.305 2.561 20 0 BFADHN COCC1(N[C@@H](C)c2ccsc2)CC1 ZINC000379585674 359561236 /nfs/dbraw/zinc/56/12/36/359561236.db2.gz PUOFYHMJOPZMJI-VIFPVBQESA-N 0 3 211.330 2.578 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CSC[C@@H]2C)s1 ZINC000308977932 491141772 /nfs/dbraw/zinc/14/17/72/491141772.db2.gz HESCFVZZNIKSQK-NRPADANISA-N 0 3 242.413 2.854 20 0 BFADHN C/C=C\CN[C@@H](C)c1cnn(C(C)C)c1 ZINC000309039176 491143162 /nfs/dbraw/zinc/14/31/62/491143162.db2.gz KCLSSSQPHWKXEU-GZTOBOFZSA-N 0 3 207.321 2.691 20 0 BFADHN CCc1cnc(CNCCCC2CC2)s1 ZINC000309046031 491143277 /nfs/dbraw/zinc/14/32/77/491143277.db2.gz OPPXBZBKIWMDFO-UHFFFAOYSA-N 0 3 224.373 2.985 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2n[nH]cc2C)C1 ZINC000628495497 359696783 /nfs/dbraw/zinc/69/67/83/359696783.db2.gz RYQAWPDYNNLCCS-OOPCZODUSA-N 0 3 233.359 2.896 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2c(C)cnn2C)C1 ZINC000628495398 359697372 /nfs/dbraw/zinc/69/73/72/359697372.db2.gz PVOKTPKSDNFIKI-NNTXTVRGSA-N 0 3 247.386 2.907 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1nnc(C2CC2)s1 ZINC000450849110 202288451 /nfs/dbraw/zinc/28/84/51/202288451.db2.gz VZDBJNWOZJSURR-WPRPVWTQSA-N 0 3 237.372 2.694 20 0 BFADHN CCC[C@@H](O)CN(C)Cc1cc(F)cc(F)c1 ZINC000450866032 202293858 /nfs/dbraw/zinc/29/38/58/202293858.db2.gz XLQPMZBZVHVIQX-CYBMUJFWSA-N 0 3 243.297 2.558 20 0 BFADHN C[C@H]1CCc2ccccc2[C@H]1NCCF ZINC000309114294 491144937 /nfs/dbraw/zinc/14/49/37/491144937.db2.gz KSVCXTOTFUFMFO-GWCFXTLKSA-N 0 3 207.292 2.869 20 0 BFADHN CCN(CC)CCSc1ncccc1F ZINC000451052973 202339015 /nfs/dbraw/zinc/33/90/15/202339015.db2.gz GTXVYSRJFCODFB-UHFFFAOYSA-N 0 3 228.336 2.655 20 0 BFADHN OC[C@]1(F)CCCN(CCC2=CCCCC2)C1 ZINC000451000474 202331300 /nfs/dbraw/zinc/33/13/00/202331300.db2.gz HBJQHVPFHULEBB-AWEZNQCLSA-N 0 3 241.350 2.673 20 0 BFADHN CCN1CCCN(c2ccccc2Cl)CC1 ZINC000450997438 202332293 /nfs/dbraw/zinc/33/22/93/202332293.db2.gz IPNSCXFPBQKRNZ-UHFFFAOYSA-N 0 3 238.762 2.872 20 0 BFADHN C[C@@]1(F)CCCN(C[C@@H](O)C2CCCCC2)C1 ZINC000451115635 202353662 /nfs/dbraw/zinc/35/36/62/202353662.db2.gz PBKSVHXEEILRGO-ZIAGYGMSSA-N 0 3 243.366 2.752 20 0 BFADHN CS[C@@H]1CCCC[C@H]1NCc1ccns1 ZINC000404460826 359836674 /nfs/dbraw/zinc/83/66/74/359836674.db2.gz KJVCZMPUJBZACU-GHMZBOCLSA-N 0 3 242.413 2.907 20 0 BFADHN F[C@@H]1CCN(CCCc2ccccc2)C1 ZINC000451180106 202370841 /nfs/dbraw/zinc/37/08/41/202370841.db2.gz CFIROWNODWYSBK-CYBMUJFWSA-N 0 3 207.292 2.663 20 0 BFADHN CC(C)CC[C@H](O)CN1CCC[C@](C)(F)C1 ZINC000451118373 202356928 /nfs/dbraw/zinc/35/69/28/202356928.db2.gz XQSISLCDFCXEFZ-STQMWFEESA-N 0 3 231.355 2.608 20 0 BFADHN CC(C)N(C[C@@H]1CCC(F)(F)C1)C1COC1 ZINC000451121414 202356982 /nfs/dbraw/zinc/35/69/82/202356982.db2.gz QZZCQKAPQYKLML-SNVBAGLBSA-N 0 3 233.302 2.531 20 0 BFADHN CC(C)(C)OCCCCN1CC[C@@H](F)C1 ZINC000451195403 202377241 /nfs/dbraw/zinc/37/72/41/202377241.db2.gz LNAWZGKZJPLESD-LLVKDONJSA-N 0 3 217.328 2.626 20 0 BFADHN CC(C)CSCCCN1CC[C@@H](F)C1 ZINC000451198357 202378722 /nfs/dbraw/zinc/37/87/22/202378722.db2.gz PFVLNNWFDWSPDW-LLVKDONJSA-N 0 3 219.369 2.810 20 0 BFADHN CCOCCNCc1ccc(C2CC2)cc1 ZINC000087011868 359893493 /nfs/dbraw/zinc/89/34/93/359893493.db2.gz PWTOYLMKYVEUHP-UHFFFAOYSA-N 0 3 219.328 2.690 20 0 BFADHN C[C@@H]1CCCCC[C@H]1NCc1ccno1 ZINC000309141651 491145559 /nfs/dbraw/zinc/14/55/59/491145559.db2.gz TZHCWHHLIDWXJF-ZYHUDNBSSA-N 0 3 208.305 2.733 20 0 BFADHN Cc1ccc([C@H](C)[NH2+]Cc2ncccc2[O-])cc1 ZINC000578680027 366625366 /nfs/dbraw/zinc/62/53/66/366625366.db2.gz ZZBUJEITCAWRFB-LBPRGKRZSA-N 0 3 242.322 2.946 20 0 BFADHN CSCCN(C)C[C@H]1CCC2(CCCC2)O1 ZINC000578573544 366599686 /nfs/dbraw/zinc/59/96/86/366599686.db2.gz XPTXCKQSEKVXNI-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN Cc1ncc(CN(C)CC2(C)CC2)s1 ZINC000578615455 366607145 /nfs/dbraw/zinc/60/71/45/366607145.db2.gz HTUJWFNSJLAKQI-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN Cc1ccc([C@@H](C)[NH2+]Cc2ncccc2[O-])cc1 ZINC000578680026 366625628 /nfs/dbraw/zinc/62/56/28/366625628.db2.gz ZZBUJEITCAWRFB-GFCCVEGCSA-N 0 3 242.322 2.946 20 0 BFADHN Cc1cc(CN(C)[C@H](C)C(C)(C)C)ncn1 ZINC000578834075 366654090 /nfs/dbraw/zinc/65/40/90/366654090.db2.gz LNIIBTHBNAVHTA-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN CCN1CCN(CC[C@H]2CCC[C@@H](C)C2)CC1 ZINC000089100051 359990008 /nfs/dbraw/zinc/99/00/08/359990008.db2.gz HVZNDZSGQIGBEC-HUUCEWRRSA-N 0 3 238.419 2.840 20 0 BFADHN COc1ccnc(NC[C@H]2CC=CCC2)c1 ZINC000089805703 360042294 /nfs/dbraw/zinc/04/22/94/360042294.db2.gz AOYALEOWKZLSMO-NSHDSACASA-N 0 3 218.300 2.858 20 0 BFADHN CC[C@H](N[C@H]1CCO[C@@H]1C)c1c(C)noc1C ZINC000090887421 360092328 /nfs/dbraw/zinc/09/23/28/360092328.db2.gz DGKROXBLOAMDQX-USWWRNFRSA-N 0 3 238.331 2.510 20 0 BFADHN CC[C@H](COC)N[C@@H](CC)c1c(C)noc1C ZINC000090886976 360093095 /nfs/dbraw/zinc/09/30/95/360093095.db2.gz PNKUSKLMFOCZJY-NEPJUHHUSA-N 0 3 240.347 2.757 20 0 BFADHN Cc1cccc(OCCNCc2ccn(C)c2)c1 ZINC000091008746 360097808 /nfs/dbraw/zinc/09/78/08/360097808.db2.gz WEAUSDUMOHUHJB-UHFFFAOYSA-N 0 3 244.338 2.502 20 0 BFADHN CC[C@H](C)N[C@H]1C[C@H](C)N(c2ccccc2)C1=O ZINC000090115394 360058053 /nfs/dbraw/zinc/05/80/53/360058053.db2.gz KAYVNWWJSFXQOO-OBJOEFQTSA-N 0 3 246.354 2.569 20 0 BFADHN CC(C)n1cncc1CNCCc1cccs1 ZINC000090207561 360065049 /nfs/dbraw/zinc/06/50/49/360065049.db2.gz KEFXKHUHXJHZEU-UHFFFAOYSA-N 0 3 249.383 2.858 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCc3n[nH]cc3C2)o1 ZINC000090453938 360073485 /nfs/dbraw/zinc/07/34/85/360073485.db2.gz IYXLITFCBKKDJO-JQWIXIFHSA-N 0 3 245.326 2.519 20 0 BFADHN Cc1ccn2c(CN(C)CCC3CC3)cnc2c1 ZINC000092067119 360149731 /nfs/dbraw/zinc/14/97/31/360149731.db2.gz XARVPCBAOZMFNP-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CC[C@H](C)CN(C)Cc1cccnc1C ZINC000091796754 360130355 /nfs/dbraw/zinc/13/03/55/360130355.db2.gz ROZOVRAJBODJJW-NSHDSACASA-N 0 3 206.333 2.868 20 0 BFADHN CC1CCC(CN2CCOC[C@H]2C)CC1 ZINC000091810711 360132072 /nfs/dbraw/zinc/13/20/72/360132072.db2.gz VYKJLIQPAHJIAF-OTTFEQOBSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H](N(C)Cc1cccn1C)C1(C)CC1 ZINC000091900948 360135436 /nfs/dbraw/zinc/13/54/36/360135436.db2.gz HZXGBVQEFVXEPJ-LLVKDONJSA-N 0 3 206.333 2.646 20 0 BFADHN CC[C@H]1CCN(Cc2cnn(C(C)(C)C)c2)C1 ZINC000091930402 360137498 /nfs/dbraw/zinc/13/74/98/360137498.db2.gz CEADBORRTOWZJX-LBPRGKRZSA-N 0 3 235.375 2.870 20 0 BFADHN CC[C@H]1CCN(Cc2c[nH]nc2C(C)(C)C)C1 ZINC000091930020 360137805 /nfs/dbraw/zinc/13/78/05/360137805.db2.gz BGUBDZHECCGWHW-NSHDSACASA-N 0 3 235.375 2.939 20 0 BFADHN C[C@@H]1CCC[C@H](CN(C)Cc2cnn(C)c2)C1 ZINC000092792674 360198968 /nfs/dbraw/zinc/19/89/68/360198968.db2.gz NWOPSZHWNCSMTC-OLZOCXBDSA-N 0 3 235.375 2.678 20 0 BFADHN CCc1nn(C)cc1CNCc1ccc(C)cc1 ZINC000092354942 360164775 /nfs/dbraw/zinc/16/47/75/360164775.db2.gz BZZQZUSDEFKPTN-UHFFFAOYSA-N 0 3 243.354 2.581 20 0 BFADHN c1cnn(C2CCN(Cc3cccs3)CC2)c1 ZINC000092618267 360186141 /nfs/dbraw/zinc/18/61/41/360186141.db2.gz WKVMSQIIROFCLA-UHFFFAOYSA-N 0 3 247.367 2.782 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1nccn1CC ZINC000083863549 325575872 /nfs/dbraw/zinc/57/58/72/325575872.db2.gz SNTZJTXNHZYHJH-NEPJUHHUSA-N 0 3 223.364 2.817 20 0 BFADHN c1cc(CN2CC[C@H]3OCCC[C@@H]3C2)cs1 ZINC000093507408 360252956 /nfs/dbraw/zinc/25/29/56/360252956.db2.gz BEAZCVAUGJSZQZ-CHWSQXEVSA-N 0 3 237.368 2.749 20 0 BFADHN Cc1noc(C)c1CN(C)[C@@H]1CC[C@H](C)C1 ZINC000092903485 360206130 /nfs/dbraw/zinc/20/61/30/360206130.db2.gz DHLYDPDEDQKYDE-JOYOIKCWSA-N 0 3 222.332 2.912 20 0 BFADHN CC[C@@H](C)[C@@H](C)N(Cc1ccnn1C)C1CC1 ZINC000093132221 360219617 /nfs/dbraw/zinc/21/96/17/360219617.db2.gz AOAPCIORLXBGKR-VXGBXAGGSA-N 0 3 235.375 2.819 20 0 BFADHN CSCC[C@H](C)N(C)Cc1cccn1C ZINC000093558974 360256596 /nfs/dbraw/zinc/25/65/96/360256596.db2.gz KWFAHKRETVTNIV-NSHDSACASA-N 0 3 226.389 2.599 20 0 BFADHN CC1CCC(CN(C)Cc2ccnn2C)CC1 ZINC000093566082 360257091 /nfs/dbraw/zinc/25/70/91/360257091.db2.gz ZDLWDEDGUKRAID-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN COc1cc(CN2CCC(C)(C)C2)cc(OC)c1 ZINC000093339858 360233723 /nfs/dbraw/zinc/23/37/23/360233723.db2.gz IOUIDDAIMQXMRT-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN CCn1cc(CN2CC[C@@H](CC(C)C)C2)cn1 ZINC000093338460 360233944 /nfs/dbraw/zinc/23/39/44/360233944.db2.gz SBEFBEFSRXGNNW-ZDUSSCGKSA-N 0 3 235.375 2.771 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CCC(C)(C)C1 ZINC000093339986 360234433 /nfs/dbraw/zinc/23/44/33/360234433.db2.gz QQQTVWHKURVYLP-UHFFFAOYSA-N 0 3 249.402 2.777 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2c[nH]cn2)CC1 ZINC000093440655 360243366 /nfs/dbraw/zinc/24/33/66/360243366.db2.gz PRSXCRDAZGQFEW-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2cnc[nH]2)CC1 ZINC000093440655 360243370 /nfs/dbraw/zinc/24/33/70/360243370.db2.gz PRSXCRDAZGQFEW-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN CN(Cc1c[nH]nc1C(C)(C)C)C1CCC1 ZINC000093475879 360246667 /nfs/dbraw/zinc/24/66/67/360246667.db2.gz PJNKDBNTVQQLOH-UHFFFAOYSA-N 0 3 221.348 2.692 20 0 BFADHN COc1ccc(CN2C[C@@H](C)[C@H](C)C2)c(OC)c1 ZINC000093503297 360248972 /nfs/dbraw/zinc/24/89/72/360248972.db2.gz XOJPWLGMBFUMRE-VXGBXAGGSA-N 0 3 249.354 2.792 20 0 BFADHN CO[C@H](CN[C@H](C)c1cnc(C)s1)C(C)C ZINC000309433050 491148228 /nfs/dbraw/zinc/14/82/28/491148228.db2.gz GQDMQSDIFUJSJC-MWLCHTKSSA-N 0 3 242.388 2.773 20 0 BFADHN Cc1nccc(CN[C@H]2CCCCC2(C)C)n1 ZINC000094278972 360302167 /nfs/dbraw/zinc/30/21/67/360302167.db2.gz DQTDPZARQWIFDA-ZDUSSCGKSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1ccc(CN[C@H]2CCOC3(CCC3)C2)o1 ZINC000094371929 360306448 /nfs/dbraw/zinc/30/64/48/360306448.db2.gz UZHKGGWLNYDLEY-LBPRGKRZSA-N 0 3 235.327 2.779 20 0 BFADHN CC(C)n1ncnc1CN[C@H]1CCCC1(C)C ZINC000094324267 360303714 /nfs/dbraw/zinc/30/37/14/360303714.db2.gz HRLKKTCGZPJPCD-NSHDSACASA-N 0 3 236.363 2.527 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1Cc1c[nH]cn1 ZINC000093845181 360285076 /nfs/dbraw/zinc/28/50/76/360285076.db2.gz SIUONPZMMIAJGN-WCQYABFASA-N 0 3 221.348 2.810 20 0 BFADHN Cc1ccc([C@H](C)NCCn2cccn2)cc1F ZINC000094837660 360323208 /nfs/dbraw/zinc/32/32/08/360323208.db2.gz PQUHDMOVLZQFFM-LBPRGKRZSA-N 0 3 247.317 2.681 20 0 BFADHN CO[C@@H]1CCC[C@@H](N[C@H](C)c2cscn2)C1 ZINC000309459157 491148675 /nfs/dbraw/zinc/14/86/75/491148675.db2.gz NKIHKNWUZSUZKE-GMTAPVOTSA-N 0 3 240.372 2.751 20 0 BFADHN COC1(CNCC(C)(C)F)CCCCC1 ZINC000631190172 360536664 /nfs/dbraw/zinc/53/66/64/360536664.db2.gz YPBOQEHZXXFZJZ-UHFFFAOYSA-N 0 3 217.328 2.673 20 0 BFADHN Cc1ccc(CNCC(C)(C)F)s1 ZINC000631190329 360541202 /nfs/dbraw/zinc/54/12/02/360541202.db2.gz IXBNQYGEKMGRRA-UHFFFAOYSA-N 0 3 201.310 2.894 20 0 BFADHN C[C@@H](NCCCOC(C)(C)C)c1nccs1 ZINC000309515931 491149785 /nfs/dbraw/zinc/14/97/85/491149785.db2.gz YQRZRAKBMOWSGS-SNVBAGLBSA-N 0 3 242.388 2.999 20 0 BFADHN Fc1cnccc1CN[C@@H]1CCCC12CC2 ZINC000631190800 360544732 /nfs/dbraw/zinc/54/47/32/360544732.db2.gz CBLGRRZQUYRLEV-GFCCVEGCSA-N 0 3 220.291 2.643 20 0 BFADHN C[C@H](CC1CCC1)NCc1ccc(F)cn1 ZINC000309527465 491150024 /nfs/dbraw/zinc/15/00/24/491150024.db2.gz CUERMTPVASFXSS-SNVBAGLBSA-N 0 3 222.307 2.889 20 0 BFADHN CCc1nnc(CNC(C(C)C)C(C)C)s1 ZINC000309499423 491150358 /nfs/dbraw/zinc/15/03/58/491150358.db2.gz ZHXLFAZJDMJEIS-UHFFFAOYSA-N 0 3 241.404 2.871 20 0 BFADHN CCC[C@H](CCO)NCc1c(F)cccc1F ZINC000631196102 360662475 /nfs/dbraw/zinc/66/24/75/360662475.db2.gz HKVOXFBBJUGDSV-SNVBAGLBSA-N 0 3 243.297 2.606 20 0 BFADHN Cc1occc1CNC1CC(OC(C)C)C1 ZINC000309586415 491150844 /nfs/dbraw/zinc/15/08/44/491150844.db2.gz RWNUOYJZFMNEFY-UHFFFAOYSA-N 0 3 223.316 2.634 20 0 BFADHN C[C@@H](C[C@H]1CCOC1)NCc1ccc(Cl)o1 ZINC000309544634 491150917 /nfs/dbraw/zinc/15/09/17/491150917.db2.gz GRGYBTRAZUUMQZ-VHSXEESVSA-N 0 3 243.734 2.838 20 0 BFADHN COc1cncc(CN[C@H]2CC23CCCC3)c1C ZINC000631120229 360744765 /nfs/dbraw/zinc/74/47/65/360744765.db2.gz HPGYSWZINOUWED-AWEZNQCLSA-N 0 3 246.354 2.821 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NC[C@H]1CCCCS1 ZINC000309587607 491151238 /nfs/dbraw/zinc/15/12/38/491151238.db2.gz SMVPIKQZDIYAIW-GXSJLCMTSA-N 0 3 239.388 2.654 20 0 BFADHN CCc1cnc(CN[C@@H]2CCC[C@H]2C)s1 ZINC000152330847 360738998 /nfs/dbraw/zinc/73/89/98/360738998.db2.gz BPFXCRDIVRKMDX-MWLCHTKSSA-N 0 3 224.373 2.984 20 0 BFADHN FC(F)c1ccc(CN[C@H]2CC23CC3)cn1 ZINC000631163778 360740880 /nfs/dbraw/zinc/74/08/80/360740880.db2.gz UTUPJZOZUYJYNF-JTQLQIEISA-N 0 3 224.254 2.661 20 0 BFADHN CCC[C@H](NC[C@H]1CCCO1)c1cc(C)ccn1 ZINC000631560437 360976868 /nfs/dbraw/zinc/97/68/68/360976868.db2.gz BZKCKZUNQHPRSX-KGLIPLIRSA-N 0 3 248.370 3.000 20 0 BFADHN Cc1ccc(CN[C@@H]2CCO[C@H](C)C2)c(F)c1 ZINC000631105040 360981548 /nfs/dbraw/zinc/98/15/48/360981548.db2.gz JBUGKAMGDQRVCM-DGCLKSJQSA-N 0 3 237.318 2.791 20 0 BFADHN CCC[C@H](NCC1(O)CCC1)c1cc(C)ccn1 ZINC000631579075 361130789 /nfs/dbraw/zinc/13/07/89/361130789.db2.gz CHDYJJJWKPUOEZ-ZDUSSCGKSA-N 0 3 248.370 2.736 20 0 BFADHN CCC(C)(C)CCNCc1nc(C)c[nH]1 ZINC000631218953 361182457 /nfs/dbraw/zinc/18/24/57/361182457.db2.gz KKDUDLCIHQTDGO-UHFFFAOYSA-N 0 3 209.337 2.634 20 0 BFADHN Cc1ccc(CN[C@]2(C)CCCOC2)c(F)c1 ZINC000631107012 361183265 /nfs/dbraw/zinc/18/32/65/361183265.db2.gz YIIHOKIXPPNXPH-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@H]1NCc1cocn1 ZINC000309716021 491154859 /nfs/dbraw/zinc/15/48/59/491154859.db2.gz SWKQAIAQQIHZEC-DMDPSCGWSA-N 0 3 222.332 2.979 20 0 BFADHN CCC(C)(C)CNCc1oc(C)nc1C ZINC000394637645 361213269 /nfs/dbraw/zinc/21/32/69/361213269.db2.gz KOIIGJCKBXNPQN-UHFFFAOYSA-N 0 3 210.321 2.817 20 0 BFADHN CC[C@@H](NCc1cc(F)ccc1F)C(C)(C)O ZINC000293188610 361216901 /nfs/dbraw/zinc/21/69/01/361216901.db2.gz XCBUJOWQGQRPBB-GFCCVEGCSA-N 0 3 243.297 2.604 20 0 BFADHN Fc1cccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)c1F ZINC000575003473 361294024 /nfs/dbraw/zinc/29/40/24/361294024.db2.gz OFXUQOWDDWKHTL-DDFAGTSDSA-N 0 3 223.266 2.853 20 0 BFADHN CC(C)n1ccc(CN[C@H]2CC[C@H]2C2CC2)n1 ZINC000579145765 366725421 /nfs/dbraw/zinc/72/54/21/366725421.db2.gz PHBKREOOZNUABJ-KBPBESRZSA-N 0 3 233.359 2.742 20 0 BFADHN CCCc1nc(C)c(CN2CC[C@@H](C)C2)o1 ZINC000428209740 201002482 /nfs/dbraw/zinc/00/24/82/201002482.db2.gz HBCUCSADDTVXOO-SNVBAGLBSA-N 0 3 222.332 2.777 20 0 BFADHN CCCc1cccc(CN2C[C@H](O)C3(CC3)C2)c1 ZINC000428364939 201010840 /nfs/dbraw/zinc/01/08/40/201010840.db2.gz AQBYDRLYTDDCDX-HNNXBMFYSA-N 0 3 245.366 2.596 20 0 BFADHN CC[C@]1(O)CCN(C/C=C\c2ccc(F)cc2)C1 ZINC000428417106 201013948 /nfs/dbraw/zinc/01/39/48/201013948.db2.gz BSZHEKRFKJDTIQ-JZIMQPMSSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1nc(CN2CCCC[C@H]2CC(C)C)n[nH]1 ZINC000428389071 201014306 /nfs/dbraw/zinc/01/43/06/201014306.db2.gz YSHDQBKDYDMYST-LBPRGKRZSA-N 0 3 236.363 2.514 20 0 BFADHN CCc1nc(C)c(CN(C)C2CCCC2)o1 ZINC000428461188 201020719 /nfs/dbraw/zinc/02/07/19/201020719.db2.gz IKOWPYQMLRMFTH-UHFFFAOYSA-N 0 3 222.332 2.920 20 0 BFADHN CCc1nc(C)c(CN(CC)C(C)C)o1 ZINC000428470134 201021869 /nfs/dbraw/zinc/02/18/69/201021869.db2.gz ZBHGJSUINWTZSV-UHFFFAOYSA-N 0 3 210.321 2.776 20 0 BFADHN CC(C)Cn1cc(CN[C@@H]2CC23CCCC3)cn1 ZINC000428557567 201028381 /nfs/dbraw/zinc/02/83/81/201028381.db2.gz IVIAREFCTOPNIR-CQSZACIVSA-N 0 3 247.386 2.961 20 0 BFADHN CC[C@@]1(C)C[C@H]1C(=O)Nc1cccc(CNC)c1 ZINC000428334501 201009016 /nfs/dbraw/zinc/00/90/16/201009016.db2.gz WPPLSGJTJGTOSV-ZFWWWQNUSA-N 0 3 246.354 2.781 20 0 BFADHN CCCCOCCN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000428718466 201036155 /nfs/dbraw/zinc/03/61/55/201036155.db2.gz MQZYMPHGVRQIIB-NWDGAFQWSA-N 0 3 247.329 2.780 20 0 BFADHN C[C@]12CCN(CCOC3CCC3)C[C@H]1C2(F)F ZINC000428734344 201039375 /nfs/dbraw/zinc/03/93/75/201039375.db2.gz SMHUUJGFSCDASO-NEPJUHHUSA-N 0 3 245.313 2.533 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CNCc1cocn1 ZINC000309794760 491156755 /nfs/dbraw/zinc/15/67/55/491156755.db2.gz PWUOMXJHISHNEY-YPMHNXCESA-N 0 3 220.316 2.757 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc2c(c1)OCO2 ZINC000309811938 491157113 /nfs/dbraw/zinc/15/71/13/491157113.db2.gz UKMRXNCOSSBDHJ-XOULXFPDSA-N 0 3 219.284 2.642 20 0 BFADHN CCN(Cc1n[nH]c(C(C)C)n1)CC1CCC1 ZINC000428966167 201052416 /nfs/dbraw/zinc/05/24/16/201052416.db2.gz UXMQYRMLGRNDOH-UHFFFAOYSA-N 0 3 236.363 2.550 20 0 BFADHN CSCCCN(C)[C@@H](C)c1cnc(C)cn1 ZINC000428966600 201054770 /nfs/dbraw/zinc/05/47/70/201054770.db2.gz KWPDWQPWQWQANH-NSHDSACASA-N 0 3 239.388 2.531 20 0 BFADHN CC[C@H]1CCN1CCOc1cccc(F)c1 ZINC000429270599 201086829 /nfs/dbraw/zinc/08/68/29/201086829.db2.gz GTJTUDILMXMWOB-LBPRGKRZSA-N 0 3 223.291 2.689 20 0 BFADHN CC[C@@H]1CCN1CCc1ccc(F)c(F)c1 ZINC000429272539 201088769 /nfs/dbraw/zinc/08/87/69/201088769.db2.gz CSDRFKVVZMTHIE-LLVKDONJSA-N 0 3 225.282 2.992 20 0 BFADHN CC[C@H]1CCN1CCc1ccc(F)c(F)c1 ZINC000429272540 201089751 /nfs/dbraw/zinc/08/97/51/201089751.db2.gz CSDRFKVVZMTHIE-NSHDSACASA-N 0 3 225.282 2.992 20 0 BFADHN C[C@@H]1CN(CC2Cc3ccccc3C2)[C@H](C)CO1 ZINC000579299466 366755030 /nfs/dbraw/zinc/75/50/30/366755030.db2.gz ZUWVOECLNIWOIS-CHWSQXEVSA-N 0 3 245.366 2.511 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1CC1Cc2ccccc2C1 ZINC000579299467 366755078 /nfs/dbraw/zinc/75/50/78/366755078.db2.gz ZUWVOECLNIWOIS-OLZOCXBDSA-N 0 3 245.366 2.511 20 0 BFADHN C[C@H]1CN(CC2Cc3ccccc3C2)[C@@H](C)CO1 ZINC000579299469 366755524 /nfs/dbraw/zinc/75/55/24/366755524.db2.gz ZUWVOECLNIWOIS-STQMWFEESA-N 0 3 245.366 2.511 20 0 BFADHN C[C@@H]1CN(CC2Cc3ccccc3C2)[C@@H](C)CO1 ZINC000579299468 366755702 /nfs/dbraw/zinc/75/57/02/366755702.db2.gz ZUWVOECLNIWOIS-QWHCGFSZSA-N 0 3 245.366 2.511 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1CC12CCCC2)C1CC1 ZINC000429421234 201108334 /nfs/dbraw/zinc/10/83/34/201108334.db2.gz DSWJXXGZUXXDNW-CHWSQXEVSA-N 0 3 245.370 2.794 20 0 BFADHN Cc1cnc(CN[C@H](C)Cc2ccoc2)s1 ZINC000579314827 366758147 /nfs/dbraw/zinc/75/81/47/366758147.db2.gz GYVYUHJYPYRAJB-SECBINFHSA-N 0 3 236.340 2.765 20 0 BFADHN CC(C)CCCN1CCc2ncsc2C1 ZINC000429302799 201098946 /nfs/dbraw/zinc/09/89/46/201098946.db2.gz ABHZLIDBYPIENY-UHFFFAOYSA-N 0 3 224.373 2.937 20 0 BFADHN CC[C@]1(C)CN(CCCC(C)C)CCO1 ZINC000429313973 201099426 /nfs/dbraw/zinc/09/94/26/201099426.db2.gz DZUWYCBDVPTHJQ-CYBMUJFWSA-N 0 3 213.365 2.924 20 0 BFADHN CCC(C)(C)CCN1CC[C@@H](C)[S@](=O)CC1 ZINC000429563539 201115277 /nfs/dbraw/zinc/11/52/77/201115277.db2.gz NKDNYNDMNKCVPK-MLGOLLRUSA-N 0 3 245.432 2.656 20 0 BFADHN C[C@@H]1CC[C@H](N(C)Cc2cnc3ccccn23)C1 ZINC000429570784 201117196 /nfs/dbraw/zinc/11/71/96/201117196.db2.gz VRRIERGOZUNSCF-OLZOCXBDSA-N 0 3 243.354 2.955 20 0 BFADHN COc1cc(CN(C)[C@H]2CC[C@H](C)C2)ccn1 ZINC000429582074 201119625 /nfs/dbraw/zinc/11/96/25/201119625.db2.gz ZCZMYQCUBIYKDU-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1noc(CN2[C@H](C)C[C@H]3CCCC[C@@H]32)n1 ZINC000429689696 201126802 /nfs/dbraw/zinc/12/68/02/201126802.db2.gz FZHBFXWZLOAPOB-JLLWLGSASA-N 0 3 235.331 2.531 20 0 BFADHN CCc1c2cc(C)ccc2oc1[C@@H](C)NCCO ZINC000181414446 366810489 /nfs/dbraw/zinc/81/04/89/366810489.db2.gz WSFWZZAMQOTJMC-LLVKDONJSA-N 0 3 247.338 2.947 20 0 BFADHN Cc1n[nH]cc1CN(C1CCC1)C1CCC1 ZINC000430128573 201146293 /nfs/dbraw/zinc/14/62/93/201146293.db2.gz SRWPRIGBATWFPR-UHFFFAOYSA-N 0 3 219.332 2.625 20 0 BFADHN Cc1c[nH]nc1CN(C1CCC1)C1CCC1 ZINC000430119516 201147855 /nfs/dbraw/zinc/14/78/55/201147855.db2.gz DHVDKCMNTBGDMP-UHFFFAOYSA-N 0 3 219.332 2.625 20 0 BFADHN COCC(C)(C)N(C)Cc1ccc(F)c(C)c1 ZINC000430112599 201140848 /nfs/dbraw/zinc/14/08/48/201140848.db2.gz DSCWFJCFUJGDBF-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN Cc1c[nH]nc1CNCCCc1cccs1 ZINC000309918079 491158159 /nfs/dbraw/zinc/15/81/59/491158159.db2.gz QLQFWBSAUWJXTE-UHFFFAOYSA-N 0 3 235.356 2.502 20 0 BFADHN CCC[C@H](C)CN(C)[C@@H](CC(C)C)C(=O)OC ZINC000430195991 201152416 /nfs/dbraw/zinc/15/24/16/201152416.db2.gz KJVNNSCXGINFFS-STQMWFEESA-N 0 3 243.391 2.942 20 0 BFADHN Cc1ccoc1CNCCCC1CCOCC1 ZINC000430208488 201154187 /nfs/dbraw/zinc/15/41/87/201154187.db2.gz OWMJQEKGQXTACS-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN CC[C@@H]1CCN1Cc1cccc(OCCOC)c1 ZINC000430205293 201155045 /nfs/dbraw/zinc/15/50/45/201155045.db2.gz MROJHDDXFYROAL-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CC(C)=CCNCc1ccc(-c2cc[nH]n2)o1 ZINC000433344335 201182473 /nfs/dbraw/zinc/18/24/73/201182473.db2.gz RHAYWAJYDJLGOO-UHFFFAOYSA-N 0 3 231.299 2.726 20 0 BFADHN c1cc(CN[C@@]23C[C@@H]2CCC3)n(CC2CCC2)n1 ZINC000631171967 361496243 /nfs/dbraw/zinc/49/62/43/361496243.db2.gz LUEBGFONISZZLV-ZFWWWQNUSA-N 0 3 245.370 2.715 20 0 BFADHN CCc1nn(C)c(CC)c1CNC1CC(C)(C)C1 ZINC000433299307 201176677 /nfs/dbraw/zinc/17/66/77/201176677.db2.gz BICYDVQDUWOTSJ-UHFFFAOYSA-N 0 3 249.402 2.823 20 0 BFADHN CC1(CNCc2ccc(-c3cc[nH]n3)o2)CC1 ZINC000433308785 201178195 /nfs/dbraw/zinc/17/81/95/201178195.db2.gz VICHZSAATYPOPP-UHFFFAOYSA-N 0 3 231.299 2.559 20 0 BFADHN CC(C)n1cncc1CN[C@]12C[C@H]1CCCC2 ZINC000631224623 361562643 /nfs/dbraw/zinc/56/26/43/361562643.db2.gz BSJYFBYBFCYDCI-TZMCWYRMSA-N 0 3 233.359 2.886 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2ccc(N)nc2)C1 ZINC000629674225 361597919 /nfs/dbraw/zinc/59/79/19/361597919.db2.gz YYBCLIHIYKJFEB-IBUXWKBASA-N 0 3 245.370 2.672 20 0 BFADHN CC1CCC(O)(CN(C)Cc2ccoc2)CC1 ZINC000434816627 201242971 /nfs/dbraw/zinc/24/29/71/201242971.db2.gz UQXDPVGUMFGISW-UHFFFAOYSA-N 0 3 237.343 2.653 20 0 BFADHN CCCN(CC)Cc1cnc2c(C)cccn12 ZINC000434993047 201247764 /nfs/dbraw/zinc/24/77/64/201247764.db2.gz WMHPEXLWNNLMIO-UHFFFAOYSA-N 0 3 231.343 2.875 20 0 BFADHN CCC(CC)CN1CC[S@@](=O)C(C)(C)CC1 ZINC000448551740 201228395 /nfs/dbraw/zinc/22/83/95/201228395.db2.gz IHBXXSBOIRMGIY-MRXNPFEDSA-N 0 3 245.432 2.656 20 0 BFADHN c1coc(-c2ccc([C@@H]3CNCCO3)cc2)c1 ZINC000629692469 361620291 /nfs/dbraw/zinc/62/02/91/361620291.db2.gz NRJMAGSJURYLNO-AWEZNQCLSA-N 0 3 229.279 2.608 20 0 BFADHN C[C@@H]1NCCO[C@H]1c1ccc(-c2ccco2)cc1 ZINC000629692177 361620525 /nfs/dbraw/zinc/62/05/25/361620525.db2.gz IPXKQECTXUMFCQ-XHDPSFHLSA-N 0 3 243.306 2.996 20 0 BFADHN CC[C@H](N[C@@H](C)c1nccs1)[C@@H]1CCOC1 ZINC000153395706 201235508 /nfs/dbraw/zinc/23/55/08/201235508.db2.gz KNEOOBSHODOGDN-AXFHLTTASA-N 0 3 240.372 2.609 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nccs1)[C@@H]1CCOC1 ZINC000153395791 201235514 /nfs/dbraw/zinc/23/55/14/201235514.db2.gz KNEOOBSHODOGDN-HBNTYKKESA-N 0 3 240.372 2.609 20 0 BFADHN CC[C@H](NC1CSC1)c1ccc(OC)cc1 ZINC000310035825 491159835 /nfs/dbraw/zinc/15/98/35/491159835.db2.gz UDHXDIUYFJLDOI-ZDUSSCGKSA-N 0 3 237.368 2.851 20 0 BFADHN CN[C@@H](CO)c1cccc(-c2sccc2C)c1 ZINC000629714644 361654442 /nfs/dbraw/zinc/65/44/42/361654442.db2.gz KMEMRWCHIAFJRM-ZDUSSCGKSA-N 0 3 247.363 2.976 20 0 BFADHN CN[C@H](CO)c1cccc(-c2sccc2C)c1 ZINC000629714643 361654917 /nfs/dbraw/zinc/65/49/17/361654917.db2.gz KMEMRWCHIAFJRM-CYBMUJFWSA-N 0 3 247.363 2.976 20 0 BFADHN Cc1cc(C)c(/C=C\CNC/C=C\CO)cc1C ZINC000631172557 361660030 /nfs/dbraw/zinc/66/00/30/361660030.db2.gz KXYJSOGLZZXDGG-RZSVFLSASA-N 0 3 245.366 2.763 20 0 BFADHN CN[C@@H](CO)c1cccc(-c2cocc2C)c1 ZINC000629738209 361674243 /nfs/dbraw/zinc/67/42/43/361674243.db2.gz IUNBYRUDWQHENN-AWEZNQCLSA-N 0 3 231.295 2.508 20 0 BFADHN Cc1cocc1-c1cccc([C@H]2CNCCO2)c1 ZINC000629738255 361674466 /nfs/dbraw/zinc/67/44/66/361674466.db2.gz KOEHGWZRWZSQSS-OAHLLOKOSA-N 0 3 243.306 2.916 20 0 BFADHN CCOc1cccc(CN[C@H]2CC[C@H](C)C2)n1 ZINC000436406455 201324976 /nfs/dbraw/zinc/32/49/76/201324976.db2.gz HUKTZIVGTGRSHQ-RYUDHWBXSA-N 0 3 234.343 2.759 20 0 BFADHN CCCC[C@@H](COC)NCc1ccoc1 ZINC000164178127 361697732 /nfs/dbraw/zinc/69/77/32/361697732.db2.gz SDYGFFILTLMONA-LBPRGKRZSA-N 0 3 211.305 2.574 20 0 BFADHN COc1ccc(OCCN2CCC=C(C)C2)cc1 ZINC000436266760 201315823 /nfs/dbraw/zinc/31/58/23/201315823.db2.gz OZMIVYSNBOHICX-UHFFFAOYSA-N 0 3 247.338 2.726 20 0 BFADHN CC1=CCCN(CCOCCC(C)C)C1 ZINC000436262738 201316486 /nfs/dbraw/zinc/31/64/86/201316486.db2.gz LWSDLNWEIXMJBI-UHFFFAOYSA-N 0 3 211.349 2.701 20 0 BFADHN C[C@@H](c1ccco1)N(C)CC[C@@H]1CCOC1 ZINC000437582141 201354409 /nfs/dbraw/zinc/35/44/09/201354409.db2.gz YAAGFIFLLJYEEY-NWDGAFQWSA-N 0 3 223.316 2.699 20 0 BFADHN CCN(C)Cc1cc2c(cccc2F)[nH]1 ZINC000437590693 201360597 /nfs/dbraw/zinc/36/05/97/201360597.db2.gz NCYKSPSSOYMDGC-UHFFFAOYSA-N 0 3 206.264 2.759 20 0 BFADHN C[C@H](CN1CCSCC1)c1ccc(F)cc1 ZINC000437586022 201360893 /nfs/dbraw/zinc/36/08/93/201360893.db2.gz CGCGHGNKDBACLJ-LLVKDONJSA-N 0 3 239.359 2.978 20 0 BFADHN C[C@H](NCC1CC=CC1)c1cn2ccccc2n1 ZINC000527953045 370700707 /nfs/dbraw/zinc/70/07/07/370700707.db2.gz WIAKSCRXBCPWIQ-LBPRGKRZSA-N 0 3 241.338 2.951 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@H]1C[C@@H](CO)C1 ZINC000631670347 364199312 /nfs/dbraw/zinc/19/93/12/364199312.db2.gz UJBYDLWHCAIQHX-UPJWGTAASA-N 0 3 249.354 2.507 20 0 BFADHN CCC(O)(CC)CN[C@H]1CCCc2cccnc21 ZINC000091661825 541344435 /nfs/dbraw/zinc/34/44/35/541344435.db2.gz OZKBWLCIJLACIZ-ZDUSSCGKSA-N 0 3 248.370 2.600 20 0 BFADHN CC[C@H](C)NCc1nc2c(s1)CCC2 ZINC000093052698 541346643 /nfs/dbraw/zinc/34/66/43/541346643.db2.gz ULXYMKHEAXULRZ-QMMMGPOBSA-N 0 3 210.346 2.520 20 0 BFADHN CC[C@H](C)CNCc1cc(C(C)C)no1 ZINC000093075369 541347134 /nfs/dbraw/zinc/34/71/34/541347134.db2.gz SLRBJNBDJMEFBQ-JTQLQIEISA-N 0 3 210.321 2.934 20 0 BFADHN CC(C)[C@@H](N)c1cn([C@H]2CCC(C)(C)C2)nn1 ZINC000630602684 364319284 /nfs/dbraw/zinc/31/92/84/364319284.db2.gz DGFHFITWRQPPHU-CMPLNLGQSA-N 0 3 236.363 2.685 20 0 BFADHN CCn1nncc1CN[C@@H](C)CCC(C)(C)C ZINC000417915163 219937124 /nfs/dbraw/zinc/93/71/24/219937124.db2.gz BNXXCWXZERQAFU-NSHDSACASA-N 0 3 238.379 2.602 20 0 BFADHN CC(C)[C@@H](NC1CC1)c1ccc(F)cn1 ZINC000134628448 364408682 /nfs/dbraw/zinc/40/86/82/364408682.db2.gz OTAKRHTUULNTCH-GFCCVEGCSA-N 0 3 208.280 2.670 20 0 BFADHN Cc1ccsc1CCN[C@@H](C)c1ccncn1 ZINC000453158701 202705178 /nfs/dbraw/zinc/70/51/78/202705178.db2.gz BUIDOGZHIGPKIM-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN COCCN[C@@H](c1ccc(F)cc1F)C(C)C ZINC000181638671 366836594 /nfs/dbraw/zinc/83/65/94/366836594.db2.gz GKBWJRVRUZHTRP-CYBMUJFWSA-N 0 3 243.297 2.898 20 0 BFADHN C[C@@H](CC(F)(F)F)NCc1cncs1 ZINC000134955474 364420612 /nfs/dbraw/zinc/42/06/12/364420612.db2.gz CYQCSLFALVSZOE-LURJTMIESA-N 0 3 224.251 2.574 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)C[C@@H](O)C1CC1 ZINC000225550643 541359807 /nfs/dbraw/zinc/35/98/07/541359807.db2.gz LWIUGOBLRFPTBW-IINYFYTJSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](NCCC(C)(F)F)c1cccc(CO)c1 ZINC000453225583 202712639 /nfs/dbraw/zinc/71/26/39/202712639.db2.gz WIGAFXOUNVQKGY-SNVBAGLBSA-N 0 3 243.297 2.875 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CN[C@@H](c1nccn1C)C1CC1 ZINC000453348667 202743103 /nfs/dbraw/zinc/74/31/03/202743103.db2.gz LDIDFCSFFQTPGL-MELADBBJSA-N 0 3 247.386 2.753 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)[C@@H]2C)cc1O ZINC000246458078 364594940 /nfs/dbraw/zinc/59/49/40/364594940.db2.gz NZZAUSVGFSFOAQ-MNOVXSKESA-N 0 3 235.327 2.631 20 0 BFADHN Cc1nc(CN2CC[C@H](C)[C@@H]3CCCC[C@@H]32)n[nH]1 ZINC000644657799 541367343 /nfs/dbraw/zinc/36/73/43/541367343.db2.gz PVFHYWRAUBFADI-DRZSPHRISA-N 0 3 248.374 2.514 20 0 BFADHN CO[C@@H]([C@H](C)N[C@@H](C)c1cncc(C)c1)C1CC1 ZINC000453356795 202749941 /nfs/dbraw/zinc/74/99/41/202749941.db2.gz IUUKIDSGGJRYQC-HUBLWGQQSA-N 0 3 248.370 2.854 20 0 BFADHN COC(=O)c1ccccc1CN1CC[C@@H](C)[C@@H]1C ZINC000246803941 364610231 /nfs/dbraw/zinc/61/02/31/364610231.db2.gz QVFRVYNLYMQEEY-NEPJUHHUSA-N 0 3 247.338 2.704 20 0 BFADHN COc1cccnc1CN[C@@H]1CCC12CCC2 ZINC000453367846 202751492 /nfs/dbraw/zinc/75/14/92/202751492.db2.gz OZSDGNPNPIRLHI-CYBMUJFWSA-N 0 3 232.327 2.513 20 0 BFADHN C[C@@H](N[C@H]1CCOC1(C)C)c1ccsc1 ZINC000296477783 364785238 /nfs/dbraw/zinc/78/52/38/364785238.db2.gz OCQXNNJGYLPLBI-KOLCDFICSA-N 0 3 225.357 2.966 20 0 BFADHN CCOC(C)(C)CNCc1csc(C)c1 ZINC000296877722 364786677 /nfs/dbraw/zinc/78/66/77/364786677.db2.gz PTNPWLQETUDTTE-UHFFFAOYSA-N 0 3 227.373 2.961 20 0 BFADHN CCO[C@H]1C[C@@H](NC2(CF)CCC2)C12CCC2 ZINC000573565373 364863145 /nfs/dbraw/zinc/86/31/45/364863145.db2.gz HTLMDDZKSVFOOG-NEPJUHHUSA-N 0 3 241.350 2.816 20 0 BFADHN CCO[C@@H]1C[C@H](NC2(CF)CCC2)C12CCC2 ZINC000573565374 364863469 /nfs/dbraw/zinc/86/34/69/364863469.db2.gz HTLMDDZKSVFOOG-NWDGAFQWSA-N 0 3 241.350 2.816 20 0 BFADHN CC(C)(C)[C@@H]1CCC[C@@H]1NCc1cnccn1 ZINC000573458131 364839847 /nfs/dbraw/zinc/83/98/47/364839847.db2.gz UVMGZOZDHXAZMW-OLZOCXBDSA-N 0 3 233.359 2.781 20 0 BFADHN CCc1cc(CN[C@@H](C)[C@@H](C)CC)on1 ZINC000168167484 364872870 /nfs/dbraw/zinc/87/28/70/364872870.db2.gz YKRZSZUGJSUOQV-UWVGGRQHSA-N 0 3 210.321 2.761 20 0 BFADHN CCc1cc(CN[C@@H](C)C2(C)CC2)on1 ZINC000168174004 364875943 /nfs/dbraw/zinc/87/59/43/364875943.db2.gz QPIVJDIYPGPUDO-VIFPVBQESA-N 0 3 208.305 2.515 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@@H]2CC2(F)F)o1 ZINC000666444573 541385898 /nfs/dbraw/zinc/38/58/98/541385898.db2.gz IMNVBSUXFBPRAK-WPRPVWTQSA-N 0 3 215.243 2.721 20 0 BFADHN CN[C@@H](C)C(=O)N1CCC[C@@H](C)c2ccccc21 ZINC000573742760 364908221 /nfs/dbraw/zinc/90/82/21/364908221.db2.gz ZWUZNAOVVIIOFS-NEPJUHHUSA-N 0 3 246.354 2.525 20 0 BFADHN CCOc1ncccc1CNCC1(C)CCC1 ZINC000434781336 541391262 /nfs/dbraw/zinc/39/12/62/541391262.db2.gz DZZKZZOSPOJBBS-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN C[C@H](O)[C@H](NCC1(C)CC1)c1ccccc1F ZINC000573808018 364920571 /nfs/dbraw/zinc/92/05/71/364920571.db2.gz GPVMPJRBXCFPSL-GWCFXTLKSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2cscn2)CCO1 ZINC000573911760 364943000 /nfs/dbraw/zinc/94/30/00/364943000.db2.gz XQEBKPXNNORJRA-GARJFASQSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1cc(CN(C2CC2)C2CCCC2)n(C)n1 ZINC000574024461 364969347 /nfs/dbraw/zinc/96/93/47/364969347.db2.gz VMYBPVJVMJEHAM-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN Cc1nnsc1CN1CCC[C@H]2CCC[C@H]21 ZINC000574116236 364989495 /nfs/dbraw/zinc/98/94/95/364989495.db2.gz GMNQXLVOFNTVMN-GHMZBOCLSA-N 0 3 237.372 2.611 20 0 BFADHN CCC[C@H](C)CN(C)Cc1cnn(C)c1C ZINC000574242900 365017650 /nfs/dbraw/zinc/01/76/50/365017650.db2.gz UIMVUWNKMUDGDD-NSHDSACASA-N 0 3 223.364 2.597 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCC[C@H]3C[C@H]32)c2nccn21 ZINC000572332665 541446631 /nfs/dbraw/zinc/44/66/31/541446631.db2.gz GLHFEOHTSKQWHI-MLGHIDQZSA-N 0 3 231.343 2.667 20 0 BFADHN Nc1ccc2c(c1)CCC[C@@H]2NCC1(F)CC1 ZINC000574663253 365115307 /nfs/dbraw/zinc/11/53/07/365115307.db2.gz WDIINKAOETWBGB-ZDUSSCGKSA-N 0 3 234.318 2.738 20 0 BFADHN CCC[C@H](C)CNCc1csc(C)n1 ZINC000041010444 541451972 /nfs/dbraw/zinc/45/19/72/541451972.db2.gz WBMVUKYKAFLJRS-VIFPVBQESA-N 0 3 212.362 2.977 20 0 BFADHN CC[C@H](NCCOC(C)(C)C)c1ccncc1 ZINC000574524302 365073533 /nfs/dbraw/zinc/07/35/33/365073533.db2.gz XVSALHFLAIGHFR-ZDUSSCGKSA-N 0 3 236.359 2.937 20 0 BFADHN COc1cccnc1CN[C@@H]1CC=C(C)CC1 ZINC000574838219 365156922 /nfs/dbraw/zinc/15/69/22/365156922.db2.gz CJZKNHZTZUWCCS-GFCCVEGCSA-N 0 3 232.327 2.679 20 0 BFADHN Cc1cc2[nH]c(CN(C)CCCO)cc2c(C)c1 ZINC000442959695 193007409 /nfs/dbraw/zinc/00/74/09/193007409.db2.gz GENINCDEHFGXTC-UHFFFAOYSA-N 0 3 246.354 2.599 20 0 BFADHN CO[C@@H](C)CNC/C=C\c1ccc(F)cc1F ZINC000443001838 193010179 /nfs/dbraw/zinc/01/01/79/193010179.db2.gz NSQCHOJBJVJXBL-XOULXFPDSA-N 0 3 241.281 2.603 20 0 BFADHN C[C@H](CCNCc1nccn1C)CC(C)(C)C ZINC000443003893 193010393 /nfs/dbraw/zinc/01/03/93/193010393.db2.gz CJNFILWGODUCMA-GFCCVEGCSA-N 0 3 237.391 2.972 20 0 BFADHN C[C@@H]1CCN(C/C=C\c2ccc(F)cc2)[C@H]1CO ZINC000443858913 193030990 /nfs/dbraw/zinc/03/09/90/193030990.db2.gz XFWBYFVBGKPKDH-IZIWTHDTSA-N 0 3 249.329 2.542 20 0 BFADHN CC[C@@H](C(=O)OC)N1CCC[C@H](C(C)C)CC1 ZINC000444158873 193038574 /nfs/dbraw/zinc/03/85/74/193038574.db2.gz RYERNTQBBCTWOF-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN COc1ccnc(CN2CCC(C(C)C)CC2)c1 ZINC000444194142 193041170 /nfs/dbraw/zinc/04/11/70/193041170.db2.gz JKLNHKTWQJELNB-UHFFFAOYSA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cccc2c1OCCO2 ZINC000171948341 365260038 /nfs/dbraw/zinc/26/00/38/365260038.db2.gz FURNMPFEBCERCT-GFCCVEGCSA-N 0 3 249.354 2.936 20 0 BFADHN C[C@@H](O)[C@@H](C)N[C@H](C)c1ccc(F)cc1Cl ZINC000575522257 365329110 /nfs/dbraw/zinc/32/91/10/365329110.db2.gz CUGWLBGBQCMKOP-IWSPIJDZSA-N 0 3 245.725 2.899 20 0 BFADHN CCc1cc(N2C[C@H](C)OC3(CCC3)C2)ccn1 ZINC000575395519 365307388 /nfs/dbraw/zinc/30/73/88/365307388.db2.gz DARZHGCVABSHQF-LBPRGKRZSA-N 0 3 246.354 2.792 20 0 BFADHN c1cc(CNC[C@H]2CCCS2)nc2c1CCC2 ZINC000579564132 366874893 /nfs/dbraw/zinc/87/48/93/366874893.db2.gz RFBDMOLCXGUSDH-CYBMUJFWSA-N 0 3 248.395 2.556 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@H]1CC12CCCC2 ZINC000336514670 365338130 /nfs/dbraw/zinc/33/81/30/365338130.db2.gz NEPHYPWBMYRTCW-MFKMUULPSA-N 0 3 233.359 2.712 20 0 BFADHN Cc1cccc(C)c1CN1CCOCC1(C)C ZINC000172528571 365340491 /nfs/dbraw/zinc/34/04/91/365340491.db2.gz ZVUGVOVKUHZVBH-UHFFFAOYSA-N 0 3 233.355 2.914 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000575936201 365422000 /nfs/dbraw/zinc/42/20/00/365422000.db2.gz NYUGJIPMMZQOFL-AGGWBTHJSA-N 0 3 231.339 2.892 20 0 BFADHN c1cc(CN2C3CCC2CC3)nc2c1CCC2 ZINC000575889106 365406107 /nfs/dbraw/zinc/40/61/07/365406107.db2.gz MGYMUXGNHDWBJA-UHFFFAOYSA-N 0 3 228.339 2.697 20 0 BFADHN CC1(CN2CCN(c3ccc(F)cc3)CC2)CC1 ZINC000575909979 365410529 /nfs/dbraw/zinc/41/05/29/365410529.db2.gz WPSSJXPGPOYQRJ-UHFFFAOYSA-N 0 3 248.345 2.748 20 0 BFADHN C[C@@H](O)[C@H](NC1CC(C)C1)c1ccccc1F ZINC000576045928 365462561 /nfs/dbraw/zinc/46/25/61/365462561.db2.gz WHWLAJMIWQSENB-GOVJCTDVSA-N 0 3 237.318 2.636 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2cccnc2C)C1(C)C ZINC000174134332 365473519 /nfs/dbraw/zinc/47/35/19/365473519.db2.gz ZWWBSLAPJDINPK-ZFWWWQNUSA-N 0 3 248.370 2.683 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2cccnc2C)C1(C)C ZINC000174134309 365473583 /nfs/dbraw/zinc/47/35/83/365473583.db2.gz ZWWBSLAPJDINPK-DZGCQCFKSA-N 0 3 248.370 2.683 20 0 BFADHN c1nc(C2CC2)oc1CN[C@H]1CCC[C@H]2C[C@H]21 ZINC000576192991 365516961 /nfs/dbraw/zinc/51/69/61/365516961.db2.gz LLROPSWMQMKYLV-UHTWSYAYSA-N 0 3 232.327 2.830 20 0 BFADHN CC/C=C\CCN1CCO[C@H](CCC)C1 ZINC000341289668 365517143 /nfs/dbraw/zinc/51/71/43/365517143.db2.gz HGCPNLMVVFAJCG-CFHLNLSMSA-N 0 3 211.349 2.844 20 0 BFADHN CC[C@@H](N[C@@H](C)c1csc(C)n1)C(C)(C)O ZINC000340497141 365491196 /nfs/dbraw/zinc/49/11/96/365491196.db2.gz HRBIQFVXURWIHK-GZMMTYOYSA-N 0 3 242.388 2.652 20 0 BFADHN CC[C@@H](N[C@H](C)c1csc(C)n1)C(C)(C)O ZINC000340497144 365491738 /nfs/dbraw/zinc/49/17/38/365491738.db2.gz HRBIQFVXURWIHK-LDYMZIIASA-N 0 3 242.388 2.652 20 0 BFADHN CCn1cc(CN(C)[C@H](C)CC(C)C)cn1 ZINC000576129303 365496158 /nfs/dbraw/zinc/49/61/58/365496158.db2.gz KOIKLOYHVLROTM-GFCCVEGCSA-N 0 3 223.364 2.769 20 0 BFADHN C[C@@H](NCc1cn2ccccc2n1)C1CCC1 ZINC000159412259 541493959 /nfs/dbraw/zinc/49/39/59/541493959.db2.gz ZAVHDPCAQBVTKU-LLVKDONJSA-N 0 3 229.327 2.613 20 0 BFADHN C[C@H](CC(F)(F)F)NCc1ccc(O)cc1 ZINC000167190715 541495570 /nfs/dbraw/zinc/49/55/70/541495570.db2.gz OFEHCSULGKYGEJ-MRVPVSSYSA-N 0 3 233.233 2.823 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1NCc1ncccc1N(C)C ZINC000353654026 541484467 /nfs/dbraw/zinc/48/44/67/541484467.db2.gz ZIVMXQRMJIYVNF-QWHCGFSZSA-N 0 3 247.386 2.672 20 0 BFADHN CCn1cc(CN(CCC(C)C)C2CC2)cn1 ZINC000180022791 541506996 /nfs/dbraw/zinc/50/69/96/541506996.db2.gz SEESXWYCRDZXKT-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN CCc1ccc(NC(=O)CNC2CC2)cc1CC ZINC000183037831 366967847 /nfs/dbraw/zinc/96/78/47/366967847.db2.gz WLEUOLHAWDKUFJ-UHFFFAOYSA-N 0 3 246.354 2.502 20 0 BFADHN CC(C)Cn1cc(CN(C)[C@H](C)C2CC2)cn1 ZINC000183075063 366974308 /nfs/dbraw/zinc/97/43/08/366974308.db2.gz QUUYBLVXYMDEJE-GFCCVEGCSA-N 0 3 235.375 2.769 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@H]1CCC(F)(F)C1 ZINC000383811195 541513942 /nfs/dbraw/zinc/51/39/42/541513942.db2.gz GBOARFUBQFJZCC-ZJUUUORDSA-N 0 3 241.285 2.623 20 0 BFADHN CC(C)Cn1cc(CN(C)C2CCC2)cn1 ZINC000183318932 367015547 /nfs/dbraw/zinc/01/55/47/367015547.db2.gz WOQILFHYROQGSC-UHFFFAOYSA-N 0 3 221.348 2.523 20 0 BFADHN CC(C)C1(CN[C@H](c2nccn2C)C2CC2)CC1 ZINC000183338847 367017236 /nfs/dbraw/zinc/01/72/36/367017236.db2.gz PHYARSWJHXRMSL-ZDUSSCGKSA-N 0 3 247.386 2.897 20 0 BFADHN CC(C)Cn1cc(CNC2CC(C)(C)C2)cn1 ZINC000579860610 367055748 /nfs/dbraw/zinc/05/57/48/367055748.db2.gz MWNZFRNIYUKWPL-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN C[C@H](CN(C)Cc1ccncc1)c1nccs1 ZINC000183981092 367059299 /nfs/dbraw/zinc/05/92/99/367059299.db2.gz DQKJADUHSFGEPR-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN CCCC[C@@H](CC)CN1CCN(C2CC2)CC1 ZINC000183605132 367032821 /nfs/dbraw/zinc/03/28/21/367032821.db2.gz SMRQXYGJDZOOLG-CQSZACIVSA-N 0 3 238.419 2.983 20 0 BFADHN COC[C@@H](C)N(C)Cc1cccc2cccnc21 ZINC000193401665 367174297 /nfs/dbraw/zinc/17/42/97/367174297.db2.gz FWRKGLQGIMSXEO-GFCCVEGCSA-N 0 3 244.338 2.702 20 0 BFADHN CC[C@H]1COCCN1C[C@@H](C)c1ccccc1 ZINC000116879808 370850225 /nfs/dbraw/zinc/85/02/25/370850225.db2.gz OKCCDROWKRNUGQ-HIFRSBDPSA-N 0 3 233.355 2.901 20 0 BFADHN COCC1(NC/C(C)=C\c2ccccc2)CC1 ZINC000580964319 367221563 /nfs/dbraw/zinc/22/15/63/367221563.db2.gz XYAGJPGRBXWQOA-RAXLEYEMSA-N 0 3 231.339 2.859 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1cc(C)c(C)cc1C ZINC000580872383 367192350 /nfs/dbraw/zinc/19/23/50/367192350.db2.gz VOVQYDIMMOSMPV-LSDHHAIUSA-N 0 3 233.355 2.879 20 0 BFADHN CC(C)n1cncc1CN[C@H]1CCC[C@@H](F)C1 ZINC000580892929 367199248 /nfs/dbraw/zinc/19/92/48/367199248.db2.gz PAOJDEOZHGVFDB-NEPJUHHUSA-N 0 3 239.338 2.834 20 0 BFADHN CC(C)n1cncc1CN[C@@H]1CCC[C@@H](F)C1 ZINC000580892932 367199390 /nfs/dbraw/zinc/19/93/90/367199390.db2.gz PAOJDEOZHGVFDB-VXGBXAGGSA-N 0 3 239.338 2.834 20 0 BFADHN COC[C@@H](C)N(C)Cc1cc(C)sc1C ZINC000194386434 367284446 /nfs/dbraw/zinc/28/44/46/367284446.db2.gz PJUQHXFPFLWJKV-SECBINFHSA-N 0 3 227.373 2.832 20 0 BFADHN Cc1cc(CNCCOC(C)(C)C)cs1 ZINC000381112359 367351673 /nfs/dbraw/zinc/35/16/73/367351673.db2.gz CKINBQVIMVINKV-UHFFFAOYSA-N 0 3 227.373 2.961 20 0 BFADHN CC1(CCNCc2ccc3c(n2)CCC3)CC1 ZINC000581355854 367380964 /nfs/dbraw/zinc/38/09/64/367380964.db2.gz NOXYJEJMTUCHMG-UHFFFAOYSA-N 0 3 230.355 2.850 20 0 BFADHN Cc1nnsc1CN[C@H]1CCC[C@@H]1C(C)C ZINC000381518553 367423582 /nfs/dbraw/zinc/42/35/82/367423582.db2.gz SYIJXZUOIHPBRY-MNOVXSKESA-N 0 3 239.388 2.761 20 0 BFADHN CC(C)[C@@H](CO)CNCc1ccccc1Cl ZINC000381201014 367362999 /nfs/dbraw/zinc/36/29/99/367362999.db2.gz FIODADSMGJXBAQ-GFCCVEGCSA-N 0 3 241.762 2.694 20 0 BFADHN C[C@@H](NC[C@@H]1C[C@H]1C1CC1)c1ccccn1 ZINC000381977760 367475166 /nfs/dbraw/zinc/47/51/66/367475166.db2.gz APUMXYNIILDUKK-WXHSDQCUSA-N 0 3 216.328 2.778 20 0 BFADHN CC[C@@H](N[C@@H]1CCOC1)c1ccsc1 ZINC000382108629 367486835 /nfs/dbraw/zinc/48/68/35/367486835.db2.gz KJDQEICGHREVPL-GHMZBOCLSA-N 0 3 211.330 2.578 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCCC2(F)F)s1 ZINC000381583476 367432991 /nfs/dbraw/zinc/43/29/91/367432991.db2.gz CDOIESMYBXQILT-VIFPVBQESA-N 0 3 246.326 2.977 20 0 BFADHN FC1(CNCCCNc2ccccc2)CC1 ZINC000381624212 367440338 /nfs/dbraw/zinc/44/03/38/367440338.db2.gz HNKGYDAUBZCVOE-UHFFFAOYSA-N 0 3 222.307 2.580 20 0 BFADHN C[C@@H](NCc1noc2ccccc21)C1CC1 ZINC000581499191 367528421 /nfs/dbraw/zinc/52/84/21/367528421.db2.gz WURNQHWJOPSTPP-SECBINFHSA-N 0 3 216.284 2.716 20 0 BFADHN C[C@@H](NCc1ncc[nH]1)[C@@H]1CC2CCC1CC2 ZINC000382241247 367501661 /nfs/dbraw/zinc/50/16/61/367501661.db2.gz WEJIWQFKDBNMTG-XVSSEFHLSA-N 0 3 233.359 2.714 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2C[C@H]2C2CC2)no1 ZINC000382315742 367506693 /nfs/dbraw/zinc/50/66/93/367506693.db2.gz RMONXINATQXQOZ-USWWRNFRSA-N 0 3 220.316 2.680 20 0 BFADHN CCCn1cc(CN[C@H]2CCC[C@H](F)C2)cn1 ZINC000581547848 367576537 /nfs/dbraw/zinc/57/65/37/367576537.db2.gz BQWDPTAEYFVKFZ-STQMWFEESA-N 0 3 239.338 2.663 20 0 BFADHN Cc1nnsc1CN[C@@H]1C[C@@H](C)CC[C@H]1C ZINC000384230214 367677995 /nfs/dbraw/zinc/67/79/95/367677995.db2.gz GYYRDFMQMAHKCH-IQJOONFLSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1cccc(CN[C@@H]2CCO[C@H]2C2CC2)c1F ZINC000384510944 367694866 /nfs/dbraw/zinc/69/48/66/367694866.db2.gz TWQVFUWSNVJZFN-HIFRSBDPSA-N 0 3 249.329 2.791 20 0 BFADHN CSCCN[C@H](C)c1cc(F)ccc1F ZINC000068993732 370869653 /nfs/dbraw/zinc/86/96/53/370869653.db2.gz CYJPHSQIGLTBKW-MRVPVSSYSA-N 0 3 231.311 2.978 20 0 BFADHN CC/C=C\CNCc1c(C)nc2ccccn21 ZINC000384869830 367746927 /nfs/dbraw/zinc/74/69/27/367746927.db2.gz GAACCTJPAZVJJG-XQRVVYSFSA-N 0 3 229.327 2.699 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H](C)O[C@@H]2C)cc1F ZINC000384885431 367750756 /nfs/dbraw/zinc/75/07/56/367750756.db2.gz JNNSQIZSYGLBGY-MISXGVKJSA-N 0 3 237.318 2.790 20 0 BFADHN CC/C=C\CNCc1ccc(O)c(OCC)c1 ZINC000384945244 367763095 /nfs/dbraw/zinc/76/30/95/367763095.db2.gz UREWDIZQKKOBAQ-WAYWQWQTSA-N 0 3 235.327 2.847 20 0 BFADHN C[C@]1(O)CCCN(C/C=C\c2ccccc2)CC1 ZINC000384634079 367710076 /nfs/dbraw/zinc/71/00/76/367710076.db2.gz MFTMUGDEARENHW-GEZBDVBVSA-N 0 3 245.366 2.937 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C\c2ccccc2)[C@@H](C)O1 ZINC000385043626 367779433 /nfs/dbraw/zinc/77/94/33/367779433.db2.gz ZMLZDQAWHATYPB-PKMOYZETSA-N 0 3 231.339 2.855 20 0 BFADHN CC(C)(CN[C@H]1CCn2ccnc21)C1CCC1 ZINC000385053855 367780270 /nfs/dbraw/zinc/78/02/70/367780270.db2.gz RNBWTAYPOIIHNG-LBPRGKRZSA-N 0 3 233.359 2.744 20 0 BFADHN COc1cc(CN[C@H]2CCC[C@H](C)C2)sn1 ZINC000399178606 367851711 /nfs/dbraw/zinc/85/17/11/367851711.db2.gz NSFMMZGWYMOZDX-UWVGGRQHSA-N 0 3 240.372 2.820 20 0 BFADHN COc1cc(CNCCC2=CCCC2)sn1 ZINC000399174948 367851900 /nfs/dbraw/zinc/85/19/00/367851900.db2.gz MOQMZQQSKKTGES-UHFFFAOYSA-N 0 3 238.356 2.742 20 0 BFADHN CCC1(NCc2nc(C3CC3)nn2C)CCCC1 ZINC000581881785 367873498 /nfs/dbraw/zinc/87/34/98/367873498.db2.gz KJDSUUOQQUJTLL-UHFFFAOYSA-N 0 3 248.374 2.505 20 0 BFADHN CC(C)(CO)CCNCc1cc2ccccc2o1 ZINC000385888491 367875471 /nfs/dbraw/zinc/87/54/71/367875471.db2.gz MJYZVPGHCNQFEZ-UHFFFAOYSA-N 0 3 247.338 2.931 20 0 BFADHN CCCC[C@@H](CC)NCc1nccn1CC ZINC000385474926 367833404 /nfs/dbraw/zinc/83/34/04/367833404.db2.gz MWZFBYHLSJMRHA-GFCCVEGCSA-N 0 3 223.364 2.961 20 0 BFADHN C[C@@H](N[C@@H](CO)C1CCCCC1)c1ccccn1 ZINC000386077406 367909224 /nfs/dbraw/zinc/90/92/24/367909224.db2.gz BKCSTVHRYHNJNK-DOMZBBRYSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H](N[C@@H](CO)C1CCCCC1)c1ccoc1 ZINC000386325919 367951671 /nfs/dbraw/zinc/95/16/71/367951671.db2.gz ZFNGIXPHFFYVBN-RISCZKNCSA-N 0 3 237.343 2.871 20 0 BFADHN CCC(CC)C(=O)Nc1ccc2c(c1)CNC2 ZINC000386410607 367963952 /nfs/dbraw/zinc/96/39/52/367963952.db2.gz FYFJPALGYNPBQH-UHFFFAOYSA-N 0 3 232.327 2.665 20 0 BFADHN c1ccc(CN[C@@H]2COc3ccccc3C2)cc1 ZINC000139772458 368002252 /nfs/dbraw/zinc/00/22/52/368002252.db2.gz DPNFAUHDOAOVKZ-HNNXBMFYSA-N 0 3 239.318 2.780 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2CC23CCCC3)c1 ZINC000387027021 368042029 /nfs/dbraw/zinc/04/20/29/368042029.db2.gz PNMSZMPMYIOJKI-CYBMUJFWSA-N 0 3 235.302 2.954 20 0 BFADHN CC(C)n1cncc1CN[C@@H]1CC12CCCC2 ZINC000387098866 368050449 /nfs/dbraw/zinc/05/04/49/368050449.db2.gz FHICSAVZHAIEBW-CYBMUJFWSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@H](NCc1ccccc1Cl)[C@H](C)O ZINC000386715502 368012151 /nfs/dbraw/zinc/01/21/51/368012151.db2.gz QRDSUPBLVSDOLZ-CABZTGNLSA-N 0 3 227.735 2.589 20 0 BFADHN CC[C@@H](NCc1cccc(Cl)c1F)[C@H](C)O ZINC000386849506 368029123 /nfs/dbraw/zinc/02/91/23/368029123.db2.gz ZAVDOUJVIBFEAH-GZMMTYOYSA-N 0 3 245.725 2.728 20 0 BFADHN CC(C)n1cc(CN[C@@H]2CC23CCCC3)cn1 ZINC000387248173 368131262 /nfs/dbraw/zinc/13/12/62/368131262.db2.gz JVPYYPUONNRQIQ-CYBMUJFWSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@H](C)N[C@@H](c1ccccc1F)[C@@H](C)O ZINC000566345289 326035390 /nfs/dbraw/zinc/03/53/90/326035390.db2.gz DVWNBMXMHUSPLW-OPQQBVKSSA-N 0 3 225.307 2.636 20 0 BFADHN CC(C)(C)OC(=O)CCCNCc1ccccc1 ZINC000034507853 368265316 /nfs/dbraw/zinc/26/53/16/368265316.db2.gz QYOBADYUAJGOKM-UHFFFAOYSA-N 0 3 249.354 2.898 20 0 BFADHN CCCCN(CC(=O)NC(C)(C)CC)C1CC1 ZINC000046773270 368450566 /nfs/dbraw/zinc/45/05/66/368450566.db2.gz BHGNJPCJLDIJKR-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN CO[C@H]1C[C@H](NCc2cc3ccccc3o2)C1 ZINC000623807161 368467176 /nfs/dbraw/zinc/46/71/76/368467176.db2.gz UXLHZONCGIRCMX-HAQNSBGRSA-N 0 3 231.295 2.700 20 0 BFADHN CCC[C@](C)(N)C(=O)N(C)C[C@H](C)C(C)(C)C ZINC000399705778 368495516 /nfs/dbraw/zinc/49/55/16/368495516.db2.gz DMGXMLAHJOJEBQ-FZMZJTMJSA-N 0 3 242.407 2.645 20 0 BFADHN CC(C)N(Cc1cccc2c1OCCO2)C1CC1 ZINC000058907255 368525178 /nfs/dbraw/zinc/52/51/78/368525178.db2.gz YBLYUSXABZGINE-UHFFFAOYSA-N 0 3 247.338 2.831 20 0 BFADHN CCC[C@H](C)N(C)CC(=O)N(CCC)CCC ZINC000058773613 368520993 /nfs/dbraw/zinc/52/09/93/368520993.db2.gz QFALGZFNRDWBLR-ZDUSSCGKSA-N 0 3 242.407 2.755 20 0 BFADHN Cc1scc(CNCc2cccn2C)c1C ZINC000399761943 368561707 /nfs/dbraw/zinc/56/17/07/368561707.db2.gz FNHMGRRGJAYEPQ-UHFFFAOYSA-N 0 3 234.368 2.993 20 0 BFADHN CN(CCc1ccncc1)Cc1ccc(F)cc1 ZINC000060473979 368544487 /nfs/dbraw/zinc/54/44/87/368544487.db2.gz XPXMJEIMAOITCP-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN C[C@@H](c1cccc(F)c1)N(C)C[C@H]1CCCO1 ZINC000063750267 368595073 /nfs/dbraw/zinc/59/50/73/368595073.db2.gz VTYMXQIMFARQKC-SMDDNHRTSA-N 0 3 237.318 2.998 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1cn2ccccc2n1 ZINC000064517439 368608902 /nfs/dbraw/zinc/60/89/02/368608902.db2.gz ZEVVZXWVMHBKFX-AWEZNQCLSA-N 0 3 243.354 2.955 20 0 BFADHN CN(C)[C@H](CNc1ccccn1)c1ccccc1 ZINC000067948895 368708369 /nfs/dbraw/zinc/70/83/69/368708369.db2.gz MNXDSKGSJJTBRG-CQSZACIVSA-N 0 3 241.338 2.796 20 0 BFADHN CC[C@@]1(C)C[C@@H]1C(=O)Nc1cc(C)cc(CN)c1 ZINC000457384874 203050788 /nfs/dbraw/zinc/05/07/88/203050788.db2.gz UXHYLJJRXAPADG-HIFRSBDPSA-N 0 3 246.354 2.828 20 0 BFADHN c1cnn(CCN2CCC[C@@H]3CCCC[C@@H]32)c1 ZINC000069517813 368755159 /nfs/dbraw/zinc/75/51/59/368755159.db2.gz FAJOHUDLMFDKIP-KBPBESRZSA-N 0 3 233.359 2.538 20 0 BFADHN Cc1ccc(NC(=O)CN2C[C@@H](C)[C@H](C)C2)cc1 ZINC000072872052 368782607 /nfs/dbraw/zinc/78/26/07/368782607.db2.gz AAIPYCUCIFXQBG-CHWSQXEVSA-N 0 3 246.354 2.521 20 0 BFADHN Cn1ccnc1CN[C@H]1CCCC(C)(C)CC1 ZINC000086908980 368886438 /nfs/dbraw/zinc/88/64/38/368886438.db2.gz YGAICTAXXYRGKK-LBPRGKRZSA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)CC[C@H](CO)NCc1ccccc1F ZINC000623647379 368970562 /nfs/dbraw/zinc/97/05/62/368970562.db2.gz DUEXYHLQAQFZCU-CYBMUJFWSA-N 0 3 239.334 2.712 20 0 BFADHN Cc1cc(CN[C@@H](CO)CCC(C)C)cs1 ZINC000623646998 368970718 /nfs/dbraw/zinc/97/07/18/368970718.db2.gz VTQUHSDHFZRICQ-CYBMUJFWSA-N 0 3 241.400 2.943 20 0 BFADHN CC(C)CC[C@H](CO)NCc1ccc(Cl)o1 ZINC000623647966 368971384 /nfs/dbraw/zinc/97/13/84/368971384.db2.gz UUAYVYSYWNICQA-SNVBAGLBSA-N 0 3 245.750 2.820 20 0 BFADHN C[C@H](c1ccco1)N(C)CCCc1cnn(C)c1 ZINC000122924525 368955109 /nfs/dbraw/zinc/95/51/09/368955109.db2.gz WPOJICRILFRPPS-GFCCVEGCSA-N 0 3 247.342 2.639 20 0 BFADHN Cc1ccc(CCN2CCOC[C@@H]2C2CC2)cc1 ZINC000090008338 368964790 /nfs/dbraw/zinc/96/47/90/368964790.db2.gz ZUCFTQWAYIXQBY-MRXNPFEDSA-N 0 3 245.366 2.648 20 0 BFADHN Cc1cc(C)cc(CCNCC2(F)CC2)c1 ZINC000309930120 326170558 /nfs/dbraw/zinc/17/05/58/326170558.db2.gz XMKMCPSJUUKRBT-UHFFFAOYSA-N 0 3 221.319 2.938 20 0 BFADHN COc1ccc(CN2[C@@H](C)CC[C@@H]2C)cc1O ZINC000091937577 369023250 /nfs/dbraw/zinc/02/32/50/369023250.db2.gz VEUZXIKKCSOCKU-QWRGUYRKSA-N 0 3 235.327 2.774 20 0 BFADHN COCCN[C@H]1CCCc2ccc(Cl)cc21 ZINC000309949435 326176911 /nfs/dbraw/zinc/17/69/11/326176911.db2.gz FLALTCMYYXOYRS-ZDUSSCGKSA-N 0 3 239.746 2.953 20 0 BFADHN CCC(CC)CN(CC)Cc1cnnn1CC ZINC000459312900 203254737 /nfs/dbraw/zinc/25/47/37/203254737.db2.gz ZCXYLQWNWUCNRT-UHFFFAOYSA-N 0 3 238.379 2.556 20 0 BFADHN OCCN(Cc1ccc(C2CC2)cc1)C1CC1 ZINC000459293595 203251330 /nfs/dbraw/zinc/25/13/30/203251330.db2.gz FWJJNCAZLODDPG-UHFFFAOYSA-N 0 3 231.339 2.521 20 0 BFADHN CC(C)(C)CCNCc1cnc(C2CC2)nc1 ZINC000459396369 203272781 /nfs/dbraw/zinc/27/27/81/203272781.db2.gz WKEWATQFLGOAFF-UHFFFAOYSA-N 0 3 233.359 2.880 20 0 BFADHN CC(C)CCCNCc1cnc(C2CC2)nc1 ZINC000459393730 203273048 /nfs/dbraw/zinc/27/30/48/203273048.db2.gz RYJGIVPJLVZLMC-UHFFFAOYSA-N 0 3 233.359 2.880 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1CCn1cc(Cl)cn1 ZINC000459401050 203273253 /nfs/dbraw/zinc/27/32/53/203273253.db2.gz CEJYMIATXMSISS-ZYHUDNBSSA-N 0 3 241.766 2.657 20 0 BFADHN OCC1CCN(Cc2ccc(C3CC3)cc2)CC1 ZINC000459314135 203255436 /nfs/dbraw/zinc/25/54/36/203255436.db2.gz QBRLFJGUYDHLPD-UHFFFAOYSA-N 0 3 245.366 2.768 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnc(C3CC3)nc2)C1 ZINC000459324398 203257133 /nfs/dbraw/zinc/25/71/33/203257133.db2.gz JSELKVJLDMMMHM-GFCCVEGCSA-N 0 3 245.370 2.976 20 0 BFADHN CC[C@H]1CCCN1Cc1cnc(C2CC2)nc1 ZINC000459333529 203258869 /nfs/dbraw/zinc/25/88/69/203258869.db2.gz YYIGEXMUZQROQB-ZDUSSCGKSA-N 0 3 231.343 2.728 20 0 BFADHN CC(C)[C@H]1CCN(CCn2cc(Cl)cn2)C1 ZINC000459341624 203259822 /nfs/dbraw/zinc/25/98/22/203259822.db2.gz KHSPJRDUQBPUTP-NSHDSACASA-N 0 3 241.766 2.514 20 0 BFADHN C[C@H]1CN(C)CCN1Cc1ccc(C2CC2)cc1 ZINC000459355112 203267687 /nfs/dbraw/zinc/26/76/87/203267687.db2.gz UCVGHSDVJALZAQ-ZDUSSCGKSA-N 0 3 244.382 2.700 20 0 BFADHN OC[C@H](NC1CC2(CCC2)C1)c1cccc(F)c1 ZINC000459407077 203277044 /nfs/dbraw/zinc/27/70/44/203277044.db2.gz DRTCTLXLUOABKK-AWEZNQCLSA-N 0 3 249.329 2.781 20 0 BFADHN CC(C)Oc1ccc(CN[C@@H]2CO[C@H](C)C2)cc1 ZINC000402268329 369382820 /nfs/dbraw/zinc/38/28/20/369382820.db2.gz DCNRXCZIEGGAHR-OCCSQVGLSA-N 0 3 249.354 2.741 20 0 BFADHN OCCC1CN(Cc2cc3ccccc3s2)C1 ZINC000459599055 203312591 /nfs/dbraw/zinc/31/25/91/203312591.db2.gz YBKCXHQTRSAFTN-UHFFFAOYSA-N 0 3 247.363 2.716 20 0 BFADHN COC(=O)c1ccc(CN2CC(C(C)C)C2)cc1 ZINC000619431124 369349378 /nfs/dbraw/zinc/34/93/78/369349378.db2.gz IHWLBBRSKDLKLT-UHFFFAOYSA-N 0 3 247.338 2.561 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CNCc1ccn(C)n1 ZINC000401432986 369363850 /nfs/dbraw/zinc/36/38/50/369363850.db2.gz IUTQZUIMTAEYDZ-OLZOCXBDSA-N 0 3 235.375 2.726 20 0 BFADHN CCN(CC)Cc1cnc(C2CC2)s1 ZINC000156939194 326249979 /nfs/dbraw/zinc/24/99/79/326249979.db2.gz NHSCSLGIKYJHBD-UHFFFAOYSA-N 0 3 210.346 2.862 20 0 BFADHN CC1(C)CC[C@H]1NCc1cnc(C2CC2)nc1 ZINC000459562408 203301343 /nfs/dbraw/zinc/30/13/43/203301343.db2.gz PRCRVOPOCUHHQL-GFCCVEGCSA-N 0 3 231.343 2.632 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1ccccc1F ZINC000401886761 369374068 /nfs/dbraw/zinc/37/40/68/369374068.db2.gz QBRXXXVMHNPYHD-GMTAPVOTSA-N 0 3 223.291 2.654 20 0 BFADHN C[C@H]1C[C@@H](NCc2cccc(C3CC3)c2)CO1 ZINC000402070551 369378966 /nfs/dbraw/zinc/37/89/66/369378966.db2.gz ZAUOBDKAOMPIEE-XHDPSFHLSA-N 0 3 231.339 2.831 20 0 BFADHN CC(C)[C@H](CN1CC(C)(CO)C1)c1ccccc1 ZINC000459621329 203320006 /nfs/dbraw/zinc/32/00/06/203320006.db2.gz NAOFVSPIPQDRID-HNNXBMFYSA-N 0 3 247.382 2.740 20 0 BFADHN Cn1ccc(CN2CC(C3CCCC3)C2)c1 ZINC000459619696 203320118 /nfs/dbraw/zinc/32/01/18/203320118.db2.gz UXNSDRCNTOSQJR-UHFFFAOYSA-N 0 3 218.344 2.647 20 0 BFADHN CC(C)O[C@H]1C[C@@H](NCc2ccccc2F)C1 ZINC000459630842 203324181 /nfs/dbraw/zinc/32/41/81/203324181.db2.gz JFNOMTVYAPAPQW-BETUJISGSA-N 0 3 237.318 2.871 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@H]2C[C@@H](OC(C)C)C2)c1 ZINC000459628612 203325117 /nfs/dbraw/zinc/32/51/17/203325117.db2.gz FTNWLKXPXJWMDC-MCIONIFRSA-N 0 3 248.370 2.997 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cccc(Cl)c2)C1 ZINC000459638979 203325795 /nfs/dbraw/zinc/32/57/95/203325795.db2.gz CFVRBHMQGQUANQ-BETUJISGSA-N 0 3 239.746 2.997 20 0 BFADHN CCS[C@@H]1CCC[C@@H]1NCc1ccns1 ZINC000404461474 369429639 /nfs/dbraw/zinc/42/96/39/369429639.db2.gz KOWFTJLBYRTKHU-WDEREUQCSA-N 0 3 242.413 2.907 20 0 BFADHN CC(C)O[C@H]1C[C@@H](NCc2cccc(F)c2)C1 ZINC000459634591 203327146 /nfs/dbraw/zinc/32/71/46/203327146.db2.gz OKWZRXIUCZTHFC-OKILXGFUSA-N 0 3 237.318 2.871 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cc(C)ccc2F)C1 ZINC000459647124 203328089 /nfs/dbraw/zinc/32/80/89/203328089.db2.gz NZIYARFKZYGQIA-BETUJISGSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ncc(CN[C@H]2CCC[C@@H]2C(C)C)o1 ZINC000459673092 203333733 /nfs/dbraw/zinc/33/37/33/203333733.db2.gz IPJRPSLTNLONBF-OLZOCXBDSA-N 0 3 222.332 2.897 20 0 BFADHN CCCC[C@@H](C)[NH2+]Cc1nnc(C(C)(C)C)[n-]1 ZINC000459668646 203333983 /nfs/dbraw/zinc/33/39/83/203333983.db2.gz FCHWATLDUFPVTD-SNVBAGLBSA-N 0 3 238.379 2.771 20 0 BFADHN CCCC[C@@H](C)NCc1nnc(C(C)(C)C)[nH]1 ZINC000459668646 203333986 /nfs/dbraw/zinc/33/39/86/203333986.db2.gz FCHWATLDUFPVTD-SNVBAGLBSA-N 0 3 238.379 2.771 20 0 BFADHN CC(C)C[C@H](C)[NH2+]Cc1nnc(C(C)(C)C)[n-]1 ZINC000459678547 203334250 /nfs/dbraw/zinc/33/42/50/203334250.db2.gz ODWCQHMCYCOPOT-JTQLQIEISA-N 0 3 238.379 2.626 20 0 BFADHN CC(C)C[C@H](C)NCc1nnc(C(C)(C)C)[nH]1 ZINC000459678547 203334253 /nfs/dbraw/zinc/33/42/53/203334253.db2.gz ODWCQHMCYCOPOT-JTQLQIEISA-N 0 3 238.379 2.626 20 0 BFADHN CCOC1CCN(Cc2cccc(C)c2)CC1 ZINC000060485554 369483008 /nfs/dbraw/zinc/48/30/08/369483008.db2.gz XUJJZYIVEHJAAI-UHFFFAOYSA-N 0 3 233.355 2.996 20 0 BFADHN C[C@H](N[C@H]1CC(C)(C)C[C@H]1C)c1ccn(C)n1 ZINC000459737585 203347425 /nfs/dbraw/zinc/34/74/25/203347425.db2.gz CJMFWPAFBAZMDS-MDZLAQPJSA-N 0 3 235.375 2.895 20 0 BFADHN CCC[C@H](C)CN[C@@H](C)c1ccn(C)n1 ZINC000459742130 203348512 /nfs/dbraw/zinc/34/85/12/203348512.db2.gz FSMBDNRQIBZEQD-QWRGUYRKSA-N 0 3 209.337 2.507 20 0 BFADHN Cc1ccc(CN[C@H](C)COC(C)C)nc1C ZINC000459663574 203332976 /nfs/dbraw/zinc/33/29/76/203332976.db2.gz INZCCCLYDVCJLG-GFCCVEGCSA-N 0 3 236.359 2.602 20 0 BFADHN CC(C)(C)c1nnc(C[NH2+]C[C@@H]2CC=CCC2)[n-]1 ZINC000459665951 203333163 /nfs/dbraw/zinc/33/31/63/203333163.db2.gz BARUUORFLZMYKN-LLVKDONJSA-N 0 3 248.374 2.548 20 0 BFADHN CC(C)(C)c1nnc(CNC[C@@H]2CC=CCC2)[nH]1 ZINC000459665951 203333166 /nfs/dbraw/zinc/33/31/66/203333166.db2.gz BARUUORFLZMYKN-LLVKDONJSA-N 0 3 248.374 2.548 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1C1CCC1)c1ccn(C)n1 ZINC000459750251 203354018 /nfs/dbraw/zinc/35/40/18/203354018.db2.gz LIGJJWJTXFBOIW-VHRBIJSZSA-N 0 3 233.359 2.649 20 0 BFADHN COCCNCc1ccccc1CC(F)(F)F ZINC000631032001 370970048 /nfs/dbraw/zinc/97/00/48/370970048.db2.gz PSQQYGIMKOPSMZ-UHFFFAOYSA-N 0 3 247.260 2.527 20 0 BFADHN C[C@H](N[C@H]1CC[C@H]1C1CCC1)c1ccn(C)n1 ZINC000459750249 203354420 /nfs/dbraw/zinc/35/44/20/203354420.db2.gz LIGJJWJTXFBOIW-JKOKRWQUSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@H](NC[C@@H]1CCCC[C@H]1C)c1ccn(C)n1 ZINC000459750936 203354753 /nfs/dbraw/zinc/35/47/53/203354753.db2.gz LJVOBYVTULMAEC-AGIUHOORSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1cc(CNC2(C3CCC3)CCC2)on1 ZINC000459755283 203356312 /nfs/dbraw/zinc/35/63/12/203356312.db2.gz DXUXRIJKFWLYAI-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN C[C@@]1(NCc2ccn(C(F)F)n2)CC=CCC1 ZINC000459755090 203356623 /nfs/dbraw/zinc/35/66/23/203356623.db2.gz NMYBULJGAOITNH-GFCCVEGCSA-N 0 3 241.285 2.867 20 0 BFADHN C[C@H](CCC(F)(F)F)N[C@@H](C)c1ccn(C)n1 ZINC000459759775 203358069 /nfs/dbraw/zinc/35/80/69/203358069.db2.gz RFYICDQWEOLHIE-BDAKNGLRSA-N 0 3 249.280 2.802 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1cccs1)c1ccn(C)n1 ZINC000459761661 203358986 /nfs/dbraw/zinc/35/89/86/203358986.db2.gz SVQUZDYDIMJALS-WDEREUQCSA-N 0 3 249.383 2.763 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)cn1 ZINC000459772402 203362618 /nfs/dbraw/zinc/36/26/18/203362618.db2.gz IBBQCLIZQNLLGF-QNWHQSFQSA-N 0 3 231.343 2.624 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@H](OC)C23CCC3)o1 ZINC000459777199 203365737 /nfs/dbraw/zinc/36/57/37/203365737.db2.gz KHVDGHMAVSQZIR-KGLIPLIRSA-N 0 3 249.354 2.889 20 0 BFADHN CCOc1ccc(CN2C[C@@H]3C[C@H]2CS3)cc1 ZINC000459775847 203365780 /nfs/dbraw/zinc/36/57/80/203365780.db2.gz WRPOJODKGZFQFG-JSGCOSHPSA-N 0 3 249.379 2.775 20 0 BFADHN CC[C@@H]1OCC[C@H]1NC/C=C\c1ccccc1 ZINC000459743826 203351024 /nfs/dbraw/zinc/35/10/24/203351024.db2.gz QHNCCISJMQUAEA-IIQYJXCPSA-N 0 3 231.339 2.857 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H](C)c1ccn(C)n1 ZINC000459743039 203351132 /nfs/dbraw/zinc/35/11/32/203351132.db2.gz GPHFSZLZWFVAQA-MNOVXSKESA-N 0 3 209.337 2.505 20 0 BFADHN CC(C)C[C@@H](C)N[C@H](C)c1ccn(C)n1 ZINC000459743038 203351366 /nfs/dbraw/zinc/35/13/66/203351366.db2.gz GPHFSZLZWFVAQA-GHMZBOCLSA-N 0 3 209.337 2.505 20 0 BFADHN Cc1ccc(NC(=O)[C@@H]2C[C@H]2C)c(CN(C)C)c1 ZINC000072841392 369496398 /nfs/dbraw/zinc/49/63/98/369496398.db2.gz MYSOEYWHXNTGJO-DGCLKSJQSA-N 0 3 246.354 2.651 20 0 BFADHN COCCNCc1ccc(CC(F)(F)F)cc1 ZINC000631031944 370968798 /nfs/dbraw/zinc/96/87/98/370968798.db2.gz OBOVBAHAVVPVIC-UHFFFAOYSA-N 0 3 247.260 2.527 20 0 BFADHN FC(F)n1ccc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)n1 ZINC000459783460 203366855 /nfs/dbraw/zinc/36/68/55/203366855.db2.gz ZVPQIZDJOAZBHD-DVVUODLYSA-N 0 3 241.285 2.556 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](C)C[C@H](C)C1)c1ccn(C)n1 ZINC000459748189 203353465 /nfs/dbraw/zinc/35/34/65/203353465.db2.gz JWUMLAHBZFYFOV-XQHKEYJVSA-N 0 3 235.375 2.895 20 0 BFADHN COc1cc(CN(C)C2CC(C)(C)C2)ccn1 ZINC000459748518 203353630 /nfs/dbraw/zinc/35/36/30/203353630.db2.gz XJQBSYXKYXDSOY-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1cc(C)ccc1F ZINC000459750394 203353833 /nfs/dbraw/zinc/35/38/33/203353833.db2.gz ZWPGPQAPHXLXHR-KGLIPLIRSA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)(C)O[C@H]1C[C@H](NCCF)C1(C)C ZINC000459813139 203375963 /nfs/dbraw/zinc/37/59/63/203375963.db2.gz WLGQVMPIAXQTGF-UWVGGRQHSA-N 0 3 217.328 2.528 20 0 BFADHN c1c[nH]c(CNCCCCC2CCCC2)n1 ZINC000164927098 203382011 /nfs/dbraw/zinc/38/20/11/203382011.db2.gz MWQMVGIZFOIXJL-UHFFFAOYSA-N 0 3 221.348 2.860 20 0 BFADHN CSCCNCc1cccn1CCC(C)C ZINC000161540868 370170491 /nfs/dbraw/zinc/17/04/91/370170491.db2.gz YBPBETGUFIOWDN-UHFFFAOYSA-N 0 3 240.416 2.987 20 0 BFADHN Clc1cncc(CNC2(C3CC3)CC2)c1 ZINC000621277395 370209626 /nfs/dbraw/zinc/20/96/26/370209626.db2.gz IQUYDNPTAMJHGZ-UHFFFAOYSA-N 0 3 222.719 2.767 20 0 BFADHN Cc1cc(CN2CCSCC[C@@H]2C)ccn1 ZINC000621285933 370214636 /nfs/dbraw/zinc/21/46/36/370214636.db2.gz QCFVKFDUFADCKI-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN Clc1cncc(CN2CC[C@@H]3C[C@@H]3C2)c1 ZINC000621322419 370251099 /nfs/dbraw/zinc/25/10/99/370251099.db2.gz VQYYYHSQKJNZNI-GHMZBOCLSA-N 0 3 222.719 2.577 20 0 BFADHN C[C@H]1CCN(Cc2cncc(Cl)c2)[C@H]1C ZINC000621261592 370195119 /nfs/dbraw/zinc/19/51/19/370195119.db2.gz WOSPBDDCZSVIAH-UWVGGRQHSA-N 0 3 224.735 2.965 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc([S@@](C)=O)cc1 ZINC000309736924 370252347 /nfs/dbraw/zinc/25/23/47/370252347.db2.gz COCSJRKIOCAWFO-FXBLSCKBSA-N 0 3 237.368 2.651 20 0 BFADHN CCc1cnc(CNCC[C@H]2CC2(F)F)s1 ZINC000621376853 370318452 /nfs/dbraw/zinc/31/84/52/370318452.db2.gz LBJWFRMWZAQYJC-QMMMGPOBSA-N 0 3 246.326 2.840 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1nccnc1C ZINC000621328058 370259815 /nfs/dbraw/zinc/25/98/15/370259815.db2.gz DXIXFSXVTUWJKI-QWHCGFSZSA-N 0 3 233.359 2.843 20 0 BFADHN CCCc1ccc(CN[C@H]2CCOC2)s1 ZINC000621342610 370276098 /nfs/dbraw/zinc/27/60/98/370276098.db2.gz CJQAJKNLPZBJKW-JTQLQIEISA-N 0 3 225.357 2.579 20 0 BFADHN CCCc1ccc(CN[C@]2(C)CCOC2)s1 ZINC000621342614 370276344 /nfs/dbraw/zinc/27/63/44/370276344.db2.gz CNXKYAIRRGQVPL-CYBMUJFWSA-N 0 3 239.384 2.969 20 0 BFADHN CCC(CC)NCc1nn(C)c2ccccc12 ZINC000112337475 370285018 /nfs/dbraw/zinc/28/50/18/370285018.db2.gz WEEYDGCFKRYYSZ-UHFFFAOYSA-N 0 3 231.343 2.852 20 0 BFADHN CCC1(NCc2nccnc2C)CCCC1 ZINC000621358455 370293364 /nfs/dbraw/zinc/29/33/64/370293364.db2.gz IXOSVXZHOGUKJF-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN Cc1nc(CNCC[C@@H]2CC2(F)F)cs1 ZINC000621379088 370322804 /nfs/dbraw/zinc/32/28/04/370322804.db2.gz MATYPXWXTNHLPZ-MRVPVSSYSA-N 0 3 232.299 2.586 20 0 BFADHN CCOc1cncc(CN[C@]2(C)CC2(C)C)c1 ZINC000621371361 370307687 /nfs/dbraw/zinc/30/76/87/370307687.db2.gz BFWTYDTZXBSBTH-CQSZACIVSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1nc(CNCC2CC(C)C2)cs1 ZINC000621383809 370327124 /nfs/dbraw/zinc/32/71/24/370327124.db2.gz SYVXBWHVHPYSIZ-UHFFFAOYSA-N 0 3 210.346 2.587 20 0 BFADHN COc1ccc(F)cc1CNCC1CC(C)C1 ZINC000621384550 370328390 /nfs/dbraw/zinc/32/83/90/370328390.db2.gz KSPWIFJRQDACHN-UHFFFAOYSA-N 0 3 237.318 2.970 20 0 BFADHN C[C@@H](CCC1CCCC1)NCc1ncc[nH]1 ZINC000077450659 370419254 /nfs/dbraw/zinc/41/92/54/370419254.db2.gz WRWOEQYMFADTGE-NSHDSACASA-N 0 3 221.348 2.858 20 0 BFADHN Cc1nccnc1CN(CC1CC1)C1CCC1 ZINC000621725416 370477232 /nfs/dbraw/zinc/47/72/32/370477232.db2.gz NTWFYJOGPUJYMV-UHFFFAOYSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1c(CN(C)[C@H](C)CC(C)C)cnn1C ZINC000568305777 326343664 /nfs/dbraw/zinc/34/36/64/326343664.db2.gz RAQRNVLEZBYCBW-LLVKDONJSA-N 0 3 223.364 2.595 20 0 BFADHN CCCc1ccc(CN(CCC)CCO)s1 ZINC000621709692 370454916 /nfs/dbraw/zinc/45/49/16/370454916.db2.gz AGVAZQWOWYEOJU-UHFFFAOYSA-N 0 3 241.400 2.905 20 0 BFADHN CCOc1cncc(CN(C)CC(C)C)c1 ZINC000621711003 370455846 /nfs/dbraw/zinc/45/58/46/370455846.db2.gz ABDYICMBTDJTSE-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN Cc1nccnc1CN(CCC(C)C)C1CC1 ZINC000621711399 370457102 /nfs/dbraw/zinc/45/71/02/370457102.db2.gz RHPAIYUUTFLTRV-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN CCCc1ccc(CN2CCCOCC2)s1 ZINC000621712622 370459769 /nfs/dbraw/zinc/45/97/69/370459769.db2.gz JSPVVGWHPKTJFE-UHFFFAOYSA-N 0 3 239.384 2.923 20 0 BFADHN CCC1(C)CCN(Cc2nccnc2C)CC1 ZINC000621714692 370462873 /nfs/dbraw/zinc/46/28/73/370462873.db2.gz WKGQOVKTJWYBPO-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CCOc1cncc(CN2CC[C@H](CC)C2)c1 ZINC000621719791 370469713 /nfs/dbraw/zinc/46/97/13/370469713.db2.gz WQNBCBRLAVPRMA-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1nccnc1CN(C)C[C@@H]1CC=CCC1 ZINC000621722308 370474035 /nfs/dbraw/zinc/47/40/35/370474035.db2.gz RTDMUYXYYGPQEC-CYBMUJFWSA-N 0 3 231.343 2.573 20 0 BFADHN CCOc1cncc(CN2CC[C@H]3CCC[C@H]32)c1 ZINC000621723607 370475730 /nfs/dbraw/zinc/47/57/30/370475730.db2.gz LXCRKLKGDZKMHP-UKRRQHHQSA-N 0 3 246.354 2.855 20 0 BFADHN CCOc1cncc(CN2CC[C@H]3CCC[C@@H]32)c1 ZINC000621723606 370475792 /nfs/dbraw/zinc/47/57/92/370475792.db2.gz LXCRKLKGDZKMHP-HIFRSBDPSA-N 0 3 246.354 2.855 20 0 BFADHN COc1nc(C)cc(C)c1CN(C)C[C@H]1C[C@H]1C ZINC000621742986 370503309 /nfs/dbraw/zinc/50/33/09/370503309.db2.gz CMENMFWWONPSTK-ZWNOBZJWSA-N 0 3 248.370 2.795 20 0 BFADHN C[C@H](NCC[C@H]1CC1(F)F)c1ccc(F)cn1 ZINC000621768306 370510313 /nfs/dbraw/zinc/51/03/13/370510313.db2.gz MUQFPRLRNBQQKO-IUCAKERBSA-N 0 3 244.260 2.917 20 0 BFADHN CC[C@@H](NCC[C@@H]1CC1(F)F)c1nccn1C ZINC000621768364 370510472 /nfs/dbraw/zinc/51/04/72/370510472.db2.gz NVWHAYFJIIVOLX-NXEZZACHSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@@H](NCC[C@H]1CC1(F)F)c1cscn1 ZINC000621768220 370510563 /nfs/dbraw/zinc/51/05/63/370510563.db2.gz MBGCLZFTHLSLOH-SFYZADRCSA-N 0 3 232.299 2.839 20 0 BFADHN C[C@H](NCC1CC(C)C1)c1cc2n(n1)CCCC2 ZINC000621770589 370512346 /nfs/dbraw/zinc/51/23/46/370512346.db2.gz UERYIUHPZNFCRY-CPCZMJQVSA-N 0 3 247.386 2.916 20 0 BFADHN C[C@@H](NCC1CC(C)C1)c1cc2n(n1)CCCC2 ZINC000621770590 370512711 /nfs/dbraw/zinc/51/27/11/370512711.db2.gz UERYIUHPZNFCRY-OTTFEQOBSA-N 0 3 247.386 2.916 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1nccnc1C ZINC000621728322 370480040 /nfs/dbraw/zinc/48/00/40/370480040.db2.gz LNHVUWRABDHBCI-LLVKDONJSA-N 0 3 221.348 2.796 20 0 BFADHN Cc1nccnc1CN(C)CCC(C)(C)C ZINC000621732339 370487301 /nfs/dbraw/zinc/48/73/01/370487301.db2.gz XMQVCIXQRFWMBW-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN C[C@@H]1C[C@H]1CN1CC(C)(c2ccccc2)C1 ZINC000621734839 370491619 /nfs/dbraw/zinc/49/16/19/370491619.db2.gz XNXBGWUVCPOTAA-OLZOCXBDSA-N 0 3 215.340 2.916 20 0 BFADHN CCCCN(C(=O)CN(C)CCC)[C@H](C)CC ZINC000621739104 370496789 /nfs/dbraw/zinc/49/67/89/370496789.db2.gz IODREMCFBTWMAP-CYBMUJFWSA-N 0 3 242.407 2.755 20 0 BFADHN CC1(C)COC[C@@H]1NCc1ccc2[nH]ccc2c1 ZINC000313024546 370564161 /nfs/dbraw/zinc/56/41/61/370564161.db2.gz LYUJVDCSSHGKKN-AWEZNQCLSA-N 0 3 244.338 2.683 20 0 BFADHN Cc1ccc(CN[C@H](C)Cn2cccn2)c(F)c1 ZINC000631039402 370996970 /nfs/dbraw/zinc/99/69/70/370996970.db2.gz IMPGKSHPKKORJF-GFCCVEGCSA-N 0 3 247.317 2.509 20 0 BFADHN C[C@H]1CCC[C@@H](CCNCc2cncnc2)C1 ZINC000070537569 371044357 /nfs/dbraw/zinc/04/43/57/371044357.db2.gz CNKYMZWKWJFFBB-STQMWFEESA-N 0 3 233.359 2.783 20 0 BFADHN C/C=C\CNCc1c(C2CC2)nc2sccn12 ZINC000623739116 371073252 /nfs/dbraw/zinc/07/32/52/371073252.db2.gz IWCABEBUWJWGJW-IHWYPQMZSA-N 0 3 247.367 2.939 20 0 BFADHN C/C=C/CNCc1c[nH]nc1-c1ccsc1 ZINC000623740347 371075759 /nfs/dbraw/zinc/07/57/59/371075759.db2.gz TXURDNRYDFOZSC-NSCUHMNNSA-N 0 3 233.340 2.804 20 0 BFADHN CC(C)n1ccnc1CNC[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000623741690 371076861 /nfs/dbraw/zinc/07/68/61/371076861.db2.gz VIPFPGKLKIHJDW-AGGWBTHJSA-N 0 3 247.386 2.990 20 0 BFADHN Cc1cc(CNCc2ccc[nH]c2=O)c(C)s1 ZINC000623777860 371087614 /nfs/dbraw/zinc/08/76/14/371087614.db2.gz UTSGRTVHEHTKQX-UHFFFAOYSA-N 0 3 248.351 2.755 20 0 BFADHN COCCCCN[C@H]1CCCc2scnc21 ZINC000623864316 371131216 /nfs/dbraw/zinc/13/12/16/371131216.db2.gz LWMIWVJSACRYAP-JTQLQIEISA-N 0 3 240.372 2.537 20 0 BFADHN COC[C@@H](C)CNCc1ccc(C)cc1F ZINC000631053422 371132444 /nfs/dbraw/zinc/13/24/44/371132444.db2.gz WAVUJHKMPFWBTG-NSHDSACASA-N 0 3 225.307 2.506 20 0 BFADHN CCC[C@](C)(O)CN[C@@H](C)c1nc(C)cs1 ZINC000623893025 371143285 /nfs/dbraw/zinc/14/32/85/371143285.db2.gz CJEJYBFPHUBCLM-JQWIXIFHSA-N 0 3 242.388 2.653 20 0 BFADHN Cc1csc([C@H](C)NC2CC=CC2)n1 ZINC000623905664 371148366 /nfs/dbraw/zinc/14/83/66/371148366.db2.gz GDLRVAIVLAEPKZ-VIFPVBQESA-N 0 3 208.330 2.821 20 0 BFADHN CC1(CN[C@H]2CCCc3scnc32)CC1 ZINC000623918503 371154622 /nfs/dbraw/zinc/15/46/22/371154622.db2.gz SRJBDFFCQBIDIS-VIFPVBQESA-N 0 3 222.357 2.910 20 0 BFADHN CSCCN[C@H]1CCCOc2ccccc21 ZINC000154791392 371171647 /nfs/dbraw/zinc/17/16/47/371171647.db2.gz ICQFPDAZTZLPCJ-LBPRGKRZSA-N 0 3 237.368 2.853 20 0 BFADHN CSCCN[C@@H]1CCCOc2ccccc21 ZINC000154791297 371171819 /nfs/dbraw/zinc/17/18/19/371171819.db2.gz ICQFPDAZTZLPCJ-GFCCVEGCSA-N 0 3 237.368 2.853 20 0 BFADHN COC(=O)C(C)(C)N(C)C[C@H]1CCCC[C@H]1C ZINC000569271050 326398650 /nfs/dbraw/zinc/39/86/50/326398650.db2.gz PTVAQJXLJJVTGZ-VXGBXAGGSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1cccc(CN[C@@H]2CC[C@@H]2C(C)C)n1 ZINC000390142196 371189583 /nfs/dbraw/zinc/18/95/83/371189583.db2.gz PGUPCDBBYLWZNT-ZIAGYGMSSA-N 0 3 218.344 2.914 20 0 BFADHN Cc1csc([C@H](C)NC[C@H](C)CCCO)n1 ZINC000623992366 371227263 /nfs/dbraw/zinc/22/72/63/371227263.db2.gz LKTUZKWWZHGCGZ-KOLCDFICSA-N 0 3 242.388 2.511 20 0 BFADHN CSCCNCc1cccc(OC(F)F)c1 ZINC000390486168 371206125 /nfs/dbraw/zinc/20/61/25/371206125.db2.gz OSZXBICOJSUAMJ-UHFFFAOYSA-N 0 3 247.310 2.741 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1cnnn1CC ZINC000624027162 371257909 /nfs/dbraw/zinc/25/79/09/371257909.db2.gz IEAQOCSJMPIDPH-NEPJUHHUSA-N 0 3 238.379 2.602 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1cnnn1CC ZINC000624027163 371258378 /nfs/dbraw/zinc/25/83/78/371258378.db2.gz IEAQOCSJMPIDPH-NWDGAFQWSA-N 0 3 238.379 2.602 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1cnnn1CC ZINC000624027164 371258447 /nfs/dbraw/zinc/25/84/47/371258447.db2.gz IEAQOCSJMPIDPH-RYUDHWBXSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@@H]2CCCCO2)cc(C)n1 ZINC000624001578 371233372 /nfs/dbraw/zinc/23/33/72/371233372.db2.gz FZYTUFDOARXUCZ-ZFWWWQNUSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2C[C@H]3C[C@H]3C2)n1 ZINC000624004604 371241119 /nfs/dbraw/zinc/24/11/19/371241119.db2.gz MFLHOVZZXSMDHO-MMWGEVLESA-N 0 3 222.357 2.901 20 0 BFADHN Cc1csc([C@@H](C)N[C@@H]2C[C@H]3C[C@H]3C2)n1 ZINC000624004601 371241741 /nfs/dbraw/zinc/24/17/41/371241741.db2.gz MFLHOVZZXSMDHO-CHWFTXMASA-N 0 3 222.357 2.901 20 0 BFADHN C[C@@H]1CN([C@@H]2COC(C)(C)C2)C[C@@H](C)C1(F)F ZINC000624061251 371286514 /nfs/dbraw/zinc/28/65/14/371286514.db2.gz ZALFXJBEGOEYCM-MXWKQRLJSA-N 0 3 247.329 2.777 20 0 BFADHN Cc1ccsc1CN[C@@H](C)c1cnn(C)c1C ZINC000020351415 371268383 /nfs/dbraw/zinc/26/83/83/371268383.db2.gz DBJPHPKYBOJTLM-JTQLQIEISA-N 0 3 249.383 2.949 20 0 BFADHN CC(C)CC[C@H](CO)N[C@@H](C)c1ccco1 ZINC000624109498 371314789 /nfs/dbraw/zinc/31/47/89/371314789.db2.gz ZPSJPLILJRXBAP-NWDGAFQWSA-N 0 3 225.332 2.727 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc(OCCOC)cc1 ZINC000624197814 371385908 /nfs/dbraw/zinc/38/59/08/371385908.db2.gz XMKWSAARHHJWIG-MUBLQREKSA-N 0 3 249.354 2.939 20 0 BFADHN C/C=C/CN[C@@H]1CCc2c1cccc2OC ZINC000624197412 371386464 /nfs/dbraw/zinc/38/64/64/371386464.db2.gz LEFIXZJPGDHTKT-ITDFMYJTSA-N 0 3 217.312 2.848 20 0 BFADHN C/C=C\CN[C@H]1CCc2c1ccc(OC)c2F ZINC000624198905 371389007 /nfs/dbraw/zinc/38/90/07/371389007.db2.gz BKLVHWQHXALHBG-MVZIDQBPSA-N 0 3 235.302 2.987 20 0 BFADHN Cc1cc([C@H](C)NC[C@]2(C)C[C@H]3C[C@H]3C2)nn1C ZINC000624200355 371392125 /nfs/dbraw/zinc/39/21/25/371392125.db2.gz JUMXMDGDYYGZCP-XPCVCDNBSA-N 0 3 247.386 2.815 20 0 BFADHN CC[C@H](NC[C@]1(C)C[C@H]2C[C@H]2C1)c1ccn(C)n1 ZINC000624201098 371392684 /nfs/dbraw/zinc/39/26/84/371392684.db2.gz YUTADTRMCXAKJQ-XFMPKHEZSA-N 0 3 247.386 2.897 20 0 BFADHN C/C=C/CN[C@H](C)c1ccccc1N(C)C ZINC000624197252 371384490 /nfs/dbraw/zinc/38/44/90/371384490.db2.gz HAULRZPEXLPEMO-BTDICHCPSA-N 0 3 218.344 2.979 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc(C)nc1C ZINC000624197082 371384515 /nfs/dbraw/zinc/38/45/15/371384515.db2.gz AICOGAUHYHIBCH-ISALQUGTSA-N 0 3 204.317 2.925 20 0 BFADHN CSCCN[C@H]1CCc2c1c(F)ccc2F ZINC000125927434 371451582 /nfs/dbraw/zinc/45/15/82/371451582.db2.gz VNMPPCGCNJZILM-NSHDSACASA-N 0 3 243.322 2.905 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2ccc(Cl)cn2)C1 ZINC000624299490 371465693 /nfs/dbraw/zinc/46/56/93/371465693.db2.gz NGGHSANSAVMEPO-FBIMIBRVSA-N 0 3 240.734 2.563 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2ccccc2N(C)C)C1 ZINC000624299865 371467116 /nfs/dbraw/zinc/46/71/16/371467116.db2.gz WLZRKZHCZVVFPS-AVGNSLFASA-N 0 3 248.370 2.581 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2cc(C)c(C)o2)C1 ZINC000624300756 371468008 /nfs/dbraw/zinc/46/80/08/371468008.db2.gz QHMNLYFMICJAPB-DLOVCJGASA-N 0 3 223.316 2.724 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2ccncc2Cl)C1 ZINC000624300829 371468014 /nfs/dbraw/zinc/46/80/14/371468014.db2.gz SFILIHKBMYGPPX-OPRDCNLKSA-N 0 3 240.734 2.563 20 0 BFADHN CO[C@H]1C[C@H](N[C@H]2CCCc3ccc(O)cc32)C1 ZINC000624301041 371468220 /nfs/dbraw/zinc/46/82/20/371468220.db2.gz YLCMITSRSRICKW-WHOFXGATSA-N 0 3 247.338 2.537 20 0 BFADHN CC[C@H](O)CCCNCc1ccc(C)cc1F ZINC000631080687 371497610 /nfs/dbraw/zinc/49/76/10/371497610.db2.gz VHYVARREMHFKFN-ZDUSSCGKSA-N 0 3 239.334 2.775 20 0 BFADHN CCOc1ncccc1CNCC(C)(C)C ZINC000163789220 372054780 /nfs/dbraw/zinc/05/47/80/372054780.db2.gz ZCEBVBOKSSFUAB-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN Cc1ccc(CN[C@H](C)CCCCO)c(F)c1 ZINC000631148111 372208570 /nfs/dbraw/zinc/20/85/70/372208570.db2.gz SFXXLMXXHBCBCW-GFCCVEGCSA-N 0 3 239.334 2.775 20 0 BFADHN CC(=O)Nc1cccc(CN[C@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000631176689 372217295 /nfs/dbraw/zinc/21/72/95/372217295.db2.gz CDCSAPYVROXBJD-FICVDOATSA-N 0 3 244.338 2.533 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc(C)cc1F ZINC000631141268 372206797 /nfs/dbraw/zinc/20/67/97/372206797.db2.gz TVPHSOHGHVBKNF-GHMZBOCLSA-N 0 3 225.307 2.647 20 0 BFADHN CC(C)n1nccc1CN[C@]12C[C@H]1CCCC2 ZINC000631227754 372249070 /nfs/dbraw/zinc/24/90/70/372249070.db2.gz UCBACQSMGLPLHL-TZMCWYRMSA-N 0 3 233.359 2.886 20 0 BFADHN c1ccc(CN[C@@H](C2CCC2)[C@@H]2CCCO2)nc1 ZINC000631253043 372262714 /nfs/dbraw/zinc/26/27/14/372262714.db2.gz FHCBJPLTLXYWCS-GJZGRUSLSA-N 0 3 246.354 2.519 20 0 BFADHN FC[C@H](NCC1CC1)c1ccc(F)cc1 ZINC000631284766 372277080 /nfs/dbraw/zinc/27/70/80/372277080.db2.gz UDQVPEGMFNUNNX-LBPRGKRZSA-N 0 3 211.255 2.836 20 0 BFADHN CCC[C@@H](CN[C@@H]1CCCc2ncccc21)OC ZINC000631635839 372473272 /nfs/dbraw/zinc/47/32/72/372473272.db2.gz ZPZLEQZZDVQEHP-SWLSCSKDSA-N 0 3 248.370 2.864 20 0 BFADHN CCC[C@H](N[C@@H]1CCC[C@H]1O)c1cc(C)ccn1 ZINC000631635937 372474352 /nfs/dbraw/zinc/47/43/52/372474352.db2.gz VCLLZPSULVWMHU-GZBFAFLISA-N 0 3 248.370 2.734 20 0 BFADHN C[C@H](NCCO)c1ccc(C2CCC2)cc1 ZINC000631586678 372443235 /nfs/dbraw/zinc/44/32/35/372443235.db2.gz RQSVOCSSYFIQTD-NSHDSACASA-N 0 3 219.328 2.597 20 0 BFADHN COc1ccc2c(c1)CC[C@H]2NCCOC(C)C ZINC000631614821 372462103 /nfs/dbraw/zinc/46/21/03/372462103.db2.gz GKESDPVXRQUOSI-OAHLLOKOSA-N 0 3 249.354 2.697 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@@]2(C)CCCO2)o1 ZINC000631729950 372535254 /nfs/dbraw/zinc/53/52/54/372535254.db2.gz LPQFAHGTTCHBGQ-WCQYABFASA-N 0 3 223.316 2.635 20 0 BFADHN CC(C)(F)CN[C@@H]1CCCc2ncccc21 ZINC000631660886 372486113 /nfs/dbraw/zinc/48/61/13/372486113.db2.gz GVWSMVJAPDFGNL-GFCCVEGCSA-N 0 3 222.307 2.797 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCCC23CC3)nn1C ZINC000631662191 372488328 /nfs/dbraw/zinc/48/83/28/372488328.db2.gz DQQKIAWYMMWWFP-AAEUAGOBSA-N 0 3 233.359 2.712 20 0 BFADHN CC(C)(F)CN[C@@H]1Cc2[nH]c3ccccc3c2C1 ZINC000631665931 372491175 /nfs/dbraw/zinc/49/11/75/372491175.db2.gz ZIBDVHVPWMQYBO-JTQLQIEISA-N 0 3 246.329 2.973 20 0 BFADHN CCC[C@@H](CCO)N[C@H]1CCCc2cccnc21 ZINC000631668006 372493503 /nfs/dbraw/zinc/49/35/03/372493503.db2.gz IWAWUUMBYKRZTE-KBPBESRZSA-N 0 3 248.370 2.600 20 0 BFADHN CC1(C)Cc2occc2[C@@H](N[C@H]2C[C@@H](CO)C2)C1 ZINC000631670145 372495259 /nfs/dbraw/zinc/49/52/59/372495259.db2.gz QZSGRQDCQNMBBE-LOWVWBTDSA-N 0 3 249.354 2.654 20 0 BFADHN CCC(C)(C)CCN[C@@H](C)c1ccc(=O)[nH]n1 ZINC000631682955 372506292 /nfs/dbraw/zinc/50/62/92/372506292.db2.gz ITOUWJZETWJNLZ-JTQLQIEISA-N 0 3 237.347 2.659 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2C[C@@H](C)O[C@@H]2C)c1 ZINC000631702940 372513819 /nfs/dbraw/zinc/51/38/19/372513819.db2.gz LYYUXESMEKVWNE-GFQSEFKGSA-N 0 3 234.343 2.607 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@@H]1C[C@H]1C(F)(F)F ZINC000631753692 372555490 /nfs/dbraw/zinc/55/54/90/372555490.db2.gz QQUDFNXXMGGWSP-SZEHBUNVSA-N 0 3 233.233 2.751 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H]2CCCC[C@@H]2O)o1 ZINC000631811315 372588352 /nfs/dbraw/zinc/58/83/52/372588352.db2.gz XXFAXQRFNAHDHZ-RTXFEEFZSA-N 0 3 237.343 2.796 20 0 BFADHN CC(C)OCCN1Cc2ccccc2C[C@@H]1C ZINC000172907189 373104545 /nfs/dbraw/zinc/10/45/45/373104545.db2.gz MTKOEPOIJMDWGH-ZDUSSCGKSA-N 0 3 233.355 2.858 20 0 BFADHN Cc1cccc([C@H](C)NCC(=O)N(C)C(C)C)c1 ZINC000160258885 205567996 /nfs/dbraw/zinc/56/79/96/205567996.db2.gz XXXMDZSFJPAFLA-ZDUSSCGKSA-N 0 3 248.370 2.512 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCC[C@H]2CCC[C@@H]21 ZINC000173807565 374091623 /nfs/dbraw/zinc/09/16/23/374091623.db2.gz VRSHAKCXEVZTKC-HIFRSBDPSA-N 0 3 247.386 2.801 20 0 BFADHN CC[C@@H](NCc1cc(COC)on1)C(C)(C)C ZINC000459418288 206011228 /nfs/dbraw/zinc/01/12/28/206011228.db2.gz ZQVWRWROMGKJHL-GFCCVEGCSA-N 0 3 240.347 2.735 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]2C2CCC2)o1 ZINC000424200917 206035799 /nfs/dbraw/zinc/03/57/99/206035799.db2.gz RNMFEMUPDXHGML-QWHCGFSZSA-N 0 3 205.301 2.866 20 0 BFADHN CC[C@@H](NC[C@@H](C)CC)c1nccn1C ZINC000421879528 206026959 /nfs/dbraw/zinc/02/69/59/206026959.db2.gz NHGQKRAYWLWUPX-WDEREUQCSA-N 0 3 209.337 2.507 20 0 BFADHN CN(C/C=C\c1ccncc1)[C@@H]1CC1(C)C ZINC000426559972 206050599 /nfs/dbraw/zinc/05/05/99/206050599.db2.gz NZLKUGCUMLGBJL-DSYXLKISSA-N 0 3 216.328 2.825 20 0 BFADHN CC[C@H](C)[C@H](C)N(Cc1n[nH]c(C)n1)C1CC1 ZINC000428229713 206055812 /nfs/dbraw/zinc/05/58/12/206055812.db2.gz FTGQLJLYJDNKGH-UWVGGRQHSA-N 0 3 236.363 2.512 20 0 BFADHN CCn1nc(C)c(CN(C)[C@H]2CC2(C)C)c1C ZINC000426580462 206053618 /nfs/dbraw/zinc/05/36/18/206053618.db2.gz RAPHVXOCULLVMC-ZDUSSCGKSA-N 0 3 235.375 2.750 20 0 BFADHN CC[C@H](F)CN1CCC[C@@H](CSC)C1 ZINC000429312409 206060329 /nfs/dbraw/zinc/06/03/29/206060329.db2.gz WQOQBMPAABASNG-MNOVXSKESA-N 0 3 219.369 2.810 20 0 BFADHN CN(C[C@H]1CCCO1)C[C@H]1CCCCC1(F)F ZINC000450272380 206068224 /nfs/dbraw/zinc/06/82/24/206068224.db2.gz WXBJWNUGWKCYBR-VXGBXAGGSA-N 0 3 247.329 2.923 20 0 BFADHN Fc1ccccc1CN1CC[C@H]1C1CC1 ZINC000449426021 206097755 /nfs/dbraw/zinc/09/77/55/206097755.db2.gz OGUVQXODYWNCHJ-ZDUSSCGKSA-N 0 3 205.276 2.810 20 0 BFADHN Cc1cc(CN2CC[C@H]2C2CC2)cc(C)c1O ZINC000449426275 206098093 /nfs/dbraw/zinc/09/80/93/206098093.db2.gz KMTHCOMCHNNLPL-AWEZNQCLSA-N 0 3 231.339 2.993 20 0 BFADHN Fc1ccccc1CN1CC[C@@H]1C1CC1 ZINC000449426020 206098726 /nfs/dbraw/zinc/09/87/26/206098726.db2.gz OGUVQXODYWNCHJ-CYBMUJFWSA-N 0 3 205.276 2.810 20 0 BFADHN CSc1ccccc1[C@@H](C)N[C@@H](C)CCO ZINC000194896189 206113164 /nfs/dbraw/zinc/11/31/64/206113164.db2.gz CUMIRGYXYXSTCF-WDEREUQCSA-N 0 3 239.384 2.830 20 0 BFADHN CC[C@@H](CO)N[C@@H](C)c1ccccc1SC ZINC000194831535 206111490 /nfs/dbraw/zinc/11/14/90/206111490.db2.gz HZYKLZINGJZRQK-QWRGUYRKSA-N 0 3 239.384 2.830 20 0 BFADHN CCC(O)(CC)CN(C)Cc1cccc(F)c1 ZINC000165202955 206139467 /nfs/dbraw/zinc/13/94/67/206139467.db2.gz PVPJGVHYAIXDCV-UHFFFAOYSA-N 0 3 239.334 2.809 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cnc(C2CC2)nc1 ZINC000459500779 206130303 /nfs/dbraw/zinc/13/03/03/206130303.db2.gz NQEAALAPOYVSIB-FZMZJTMJSA-N 0 3 245.370 2.974 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1cnn(C(C)C)c1 ZINC000191654529 259576988 /nfs/dbraw/zinc/57/69/88/259576988.db2.gz FENDZZGXOZDVOW-TUAOUCFPSA-N 0 3 239.363 2.538 20 0 BFADHN CCCC[C@@H](COC)N[C@@H](C)c1cccnc1 ZINC000129632220 168965649 /nfs/dbraw/zinc/96/56/49/168965649.db2.gz ISLPDKJNADCYON-JSGCOSHPSA-N 0 3 236.359 2.937 20 0 BFADHN OC1(CNCc2ccccc2Cl)CCCC1 ZINC000082687292 183019765 /nfs/dbraw/zinc/01/97/65/183019765.db2.gz HSSWRKYXMDTMDI-UHFFFAOYSA-N 0 3 239.746 2.735 20 0 BFADHN OC1(CNCc2sccc2Cl)CCCC1 ZINC000193096998 183019867 /nfs/dbraw/zinc/01/98/67/183019867.db2.gz ZUQOCMVCBUPJJZ-UHFFFAOYSA-N 0 3 245.775 2.796 20 0 BFADHN OC1([C@H]2CCCCN2Cc2ccccc2F)CC1 ZINC000335156712 183023255 /nfs/dbraw/zinc/02/32/55/183023255.db2.gz UAMZIPQVCAQCMR-CQSZACIVSA-N 0 3 249.329 2.705 20 0 BFADHN OCCCCCCNCc1cccc(F)c1F ZINC000224948391 183043800 /nfs/dbraw/zinc/04/38/00/183043800.db2.gz BBNLUHMYLNAKIA-UHFFFAOYSA-N 0 3 243.297 2.607 20 0 BFADHN OCCCC1CCN(Cc2cccs2)CC1 ZINC000272924620 183043818 /nfs/dbraw/zinc/04/38/18/183043818.db2.gz KIJWLAWAIRCSOJ-UHFFFAOYSA-N 0 3 239.384 2.733 20 0 BFADHN OCCCCCCNCc1ccc(F)cc1F ZINC000083371476 183043999 /nfs/dbraw/zinc/04/39/99/183043999.db2.gz LYCDAGDALYAGPX-UHFFFAOYSA-N 0 3 243.297 2.607 20 0 BFADHN OCCCCCNCc1sccc1Cl ZINC000279687203 183047141 /nfs/dbraw/zinc/04/71/41/183047141.db2.gz ALSABKQFVJSGHX-UHFFFAOYSA-N 0 3 233.764 2.654 20 0 BFADHN OCCCCN(Cc1cccc(F)c1)C1CC1 ZINC000264019904 183049093 /nfs/dbraw/zinc/04/90/93/183049093.db2.gz WBJAFMHALSYDJB-UHFFFAOYSA-N 0 3 237.318 2.563 20 0 BFADHN OCC1(NCc2ccsc2Cl)CCCC1 ZINC000336730314 183038288 /nfs/dbraw/zinc/03/82/88/183038288.db2.gz JUWKBAFHNAFDCW-UHFFFAOYSA-N 0 3 245.775 2.796 20 0 BFADHN OCC1(NCc2ccccc2Cl)CCCC1 ZINC000053044332 183038890 /nfs/dbraw/zinc/03/88/90/183038890.db2.gz UWMRTGTXSSBEBH-UHFFFAOYSA-N 0 3 239.746 2.735 20 0 BFADHN OCC1(NCc2ccc(Cl)o2)CCCCC1 ZINC000053096313 183038910 /nfs/dbraw/zinc/03/89/10/183038910.db2.gz LKJXMZYRYZHEQJ-UHFFFAOYSA-N 0 3 243.734 2.718 20 0 BFADHN OCC[C@H](N[C@H]1CCC12CCC2)c1ccco1 ZINC000282654516 183096335 /nfs/dbraw/zinc/09/63/35/183096335.db2.gz IEBOUSHTCKOSSF-AAEUAGOBSA-N 0 3 235.327 2.625 20 0 BFADHN OCC[C@@H](N[C@H]1CCC12CCC2)c1ccco1 ZINC000282654524 183091716 /nfs/dbraw/zinc/09/17/16/183091716.db2.gz IEBOUSHTCKOSSF-YPMHNXCESA-N 0 3 235.327 2.625 20 0 BFADHN OCCN(Cc1ccccc1F)C1CCCC1 ZINC000050106711 183069463 /nfs/dbraw/zinc/06/94/63/183069463.db2.gz XEPPQHLJKYTWKN-UHFFFAOYSA-N 0 3 237.318 2.563 20 0 BFADHN CCCC[C@H](COC)NCc1ccco1 ZINC000130671865 169004537 /nfs/dbraw/zinc/00/45/37/169004537.db2.gz MMBZIGUUPOOVBI-LLVKDONJSA-N 0 3 211.305 2.574 20 0 BFADHN OC[C@@H]1CCCN1Cc1ccc(C(F)F)cc1 ZINC000183937690 183121295 /nfs/dbraw/zinc/12/12/95/183121295.db2.gz QWYOVGRECBLEKJ-LBPRGKRZSA-N 0 3 241.281 2.581 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1ccc(Cl)cc1 ZINC000037480454 169006882 /nfs/dbraw/zinc/00/68/82/169006882.db2.gz UCDSGRATBFXHBM-NSHDSACASA-N 0 3 240.734 2.796 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@@H](C)c1ccc(C)cc1 ZINC000037764989 169007223 /nfs/dbraw/zinc/00/72/23/169007223.db2.gz MJDNFTHUVLNKCR-JSGCOSHPSA-N 0 3 248.370 2.690 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1cccc(F)c1C ZINC000043321987 169007648 /nfs/dbraw/zinc/00/76/48/169007648.db2.gz OFQPTMVAIJJAKA-NSHDSACASA-N 0 3 238.306 2.590 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@H](C)c1ccc(C)cc1 ZINC000037764991 169007852 /nfs/dbraw/zinc/00/78/52/169007852.db2.gz MJDNFTHUVLNKCR-OCCSQVGLSA-N 0 3 248.370 2.690 20 0 BFADHN CCCC[C@H](NC(=O)[C@@H](N)CCC)C1CCC1 ZINC000236872758 169010395 /nfs/dbraw/zinc/01/03/95/169010395.db2.gz MTOSOFJWDFVEBK-STQMWFEESA-N 0 3 240.391 2.589 20 0 BFADHN CCCC[C@H]1CCC[C@H]1NCc1nnc(C)[nH]1 ZINC000358042885 169014209 /nfs/dbraw/zinc/01/42/09/169014209.db2.gz GEHOLMNJSVMBGB-NWDGAFQWSA-N 0 3 236.363 2.562 20 0 BFADHN CCCC[C@H](CCC)NCc1cc[nH]n1 ZINC000165248202 169000693 /nfs/dbraw/zinc/00/06/93/169000693.db2.gz FIXQQGBQCUDRHF-NSHDSACASA-N 0 3 209.337 2.858 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@@H](N)CCC ZINC000225538976 169000768 /nfs/dbraw/zinc/00/07/68/169000768.db2.gz XJCSRUUHCIMHCD-RYUDHWBXSA-N 0 3 228.380 2.589 20 0 BFADHN OC[C@@H]1c2ccccc2CCN1[C@@H]1C=CCCC1 ZINC000268456222 183132297 /nfs/dbraw/zinc/13/22/97/183132297.db2.gz CLRVRZTZFSQZCS-GDBMZVCRSA-N 0 3 243.350 2.687 20 0 BFADHN OC[C@@H]1c2ccccc2CCN1[C@H]1C=CCCC1 ZINC000268456224 183133069 /nfs/dbraw/zinc/13/30/69/183133069.db2.gz CLRVRZTZFSQZCS-GOEBONIOSA-N 0 3 243.350 2.687 20 0 BFADHN CCOC[C@@H](C)N[C@@H](c1cccnc1)C1CC1 ZINC000358358506 170432941 /nfs/dbraw/zinc/43/29/41/170432941.db2.gz YYQVVYPXHJOAIH-BXUZGUMPSA-N 0 3 234.343 2.547 20 0 BFADHN OC[C@H]1CCCN1Cc1ccc(C(F)F)cc1 ZINC000183929545 183151416 /nfs/dbraw/zinc/15/14/16/183151416.db2.gz QWYOVGRECBLEKJ-GFCCVEGCSA-N 0 3 241.281 2.581 20 0 BFADHN OCc1ccc(CNCCC2=CCCCC2)o1 ZINC000081546881 183165381 /nfs/dbraw/zinc/16/53/81/183165381.db2.gz XVOPIHRCGQWPIX-UHFFFAOYSA-N 0 3 235.327 2.752 20 0 BFADHN CCCN(C)C[C@@H](O)c1cc2ccccc2o1 ZINC000044127488 169078473 /nfs/dbraw/zinc/07/84/73/169078473.db2.gz NKFQVGPRAJQXPH-GFCCVEGCSA-N 0 3 233.311 2.808 20 0 BFADHN O[C@@H]1CC[C@H](CNCc2sccc2Cl)C1 ZINC000193243433 183203452 /nfs/dbraw/zinc/20/34/52/183203452.db2.gz HRMLXWRSGGZOBS-DTWKUNHWSA-N 0 3 245.775 2.652 20 0 BFADHN O[C@@H]1CCCC[C@H]1NCc1ccsc1Cl ZINC000312757229 183190781 /nfs/dbraw/zinc/19/07/81/183190781.db2.gz JGLHRGYGAGPGSQ-NXEZZACHSA-N 0 3 245.775 2.795 20 0 BFADHN O[C@@H]1CCCN(Cc2ccc(C(F)F)cc2)C1 ZINC000183922651 183193779 /nfs/dbraw/zinc/19/37/79/183193779.db2.gz VJNBNYSZKUVTPE-GFCCVEGCSA-N 0 3 241.281 2.581 20 0 BFADHN O[C@H](CN1CC(C2CCCC2)C1)c1ccccc1 ZINC000366503899 183219833 /nfs/dbraw/zinc/21/98/33/183219833.db2.gz IVUCANTUSYOBRM-MRXNPFEDSA-N 0 3 245.366 2.842 20 0 BFADHN CCCN(C)Cc1cnc(N(CC)CC)s1 ZINC000127517432 169079828 /nfs/dbraw/zinc/07/98/28/169079828.db2.gz SESDGRNZXCHLRV-UHFFFAOYSA-N 0 3 241.404 2.831 20 0 BFADHN O[C@H](CN1CC[C@@H](C2CC2)C1)c1ccccc1F ZINC000363491024 183221452 /nfs/dbraw/zinc/22/14/52/183221452.db2.gz RMDCOMYFTMAVLZ-IUODEOHRSA-N 0 3 249.329 2.591 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)Nc1cc(C)ccc1C ZINC000069091989 169085650 /nfs/dbraw/zinc/08/56/50/169085650.db2.gz XOFNYEAZIPEXEU-ZDUSSCGKSA-N 0 3 248.370 2.972 20 0 BFADHN O[C@H]1CCCN(CCCCC(F)(F)F)CC1 ZINC000339777878 183233935 /nfs/dbraw/zinc/23/39/35/183233935.db2.gz AUGDSGKSQNVJJS-JTQLQIEISA-N 0 3 239.281 2.566 20 0 BFADHN CCCN(CC(C)C)[C@H](C(N)=O)c1ccccc1 ZINC000298108248 169105525 /nfs/dbraw/zinc/10/55/25/169105525.db2.gz LXYOFFVVBCWIFZ-AWEZNQCLSA-N 0 3 248.370 2.581 20 0 BFADHN CCCN(CC(C)C)[C@H](CC)C(=O)OCC ZINC000309270374 169105554 /nfs/dbraw/zinc/10/55/54/169105554.db2.gz RVNFOXPYCYJYIQ-GFCCVEGCSA-N 0 3 229.364 2.696 20 0 BFADHN Oc1ccc(CCCNCc2ccco2)cc1 ZINC000076285279 183268905 /nfs/dbraw/zinc/26/89/05/183268905.db2.gz GILCIPHRPPRJRP-UHFFFAOYSA-N 0 3 231.295 2.708 20 0 BFADHN CCCN(CC)Cc1cn(C)nc1C(F)F ZINC000274440756 169129393 /nfs/dbraw/zinc/12/93/93/169129393.db2.gz BUOVMNAMNVOWHV-UHFFFAOYSA-N 0 3 231.290 2.590 20 0 BFADHN Oc1ccc2c(c1)[C@@H](N[C@@H]1CCSC1)CCC2 ZINC000236599300 183281612 /nfs/dbraw/zinc/28/16/12/183281612.db2.gz HUFGDXHVMCOUCO-RISCZKNCSA-N 0 3 249.379 2.865 20 0 BFADHN [O-]c1cc(F)cc(C[N@@H+]2CC[C@H](C3CC3)C2)c1 ZINC000368707553 183258351 /nfs/dbraw/zinc/25/83/51/183258351.db2.gz RDCSHDCNSCDQKE-LBPRGKRZSA-N 0 3 235.302 2.763 20 0 BFADHN [O-]c1cc(F)cc(C[N@H+]2CC[C@H](C3CC3)C2)c1 ZINC000368707553 183258354 /nfs/dbraw/zinc/25/83/54/183258354.db2.gz RDCSHDCNSCDQKE-LBPRGKRZSA-N 0 3 235.302 2.763 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]C(C2CC2)C2CC2)c1 ZINC000184134315 183258659 /nfs/dbraw/zinc/25/86/59/183258659.db2.gz XSXOFYAVNGNCRV-UHFFFAOYSA-N 0 3 235.302 2.810 20 0 BFADHN CCCN(CCC)Cc1cn(C)nc1C(F)F ZINC000274525995 169148401 /nfs/dbraw/zinc/14/84/01/169148401.db2.gz ZYAXYTKVCYGBLE-UHFFFAOYSA-N 0 3 245.317 2.980 20 0 BFADHN Oc1cccc(CNCC2(C(F)(F)F)CC2)c1 ZINC000353054196 183289160 /nfs/dbraw/zinc/28/91/60/183289160.db2.gz PKSKSSDLESJUEP-UHFFFAOYSA-N 0 3 245.244 2.824 20 0 BFADHN CCCN(Cc1cccc(O)c1OC)C1CC1 ZINC000272267649 169177491 /nfs/dbraw/zinc/17/74/91/169177491.db2.gz LAIRWWSKLUXBBG-UHFFFAOYSA-N 0 3 235.327 2.775 20 0 BFADHN CCCN(Cc1cccc2nccn21)C1CC1 ZINC000179683778 169177539 /nfs/dbraw/zinc/17/75/39/169177539.db2.gz MUDUGBYYOSMVTN-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN CCCN(CCO)Cc1cc(Cl)cs1 ZINC000194308364 169166840 /nfs/dbraw/zinc/16/68/40/169166840.db2.gz VTOXVQCXWOEGHY-UHFFFAOYSA-N 0 3 233.764 2.606 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2C[C@@H]2CCCCO2)c1 ZINC000125342655 183326391 /nfs/dbraw/zinc/32/63/91/183326391.db2.gz ADRDUFVHMANQGA-JSGCOSHPSA-N 0 3 234.343 2.721 20 0 BFADHN CCCN(Cc1cn(C)nc1C(F)F)C1CC1 ZINC000274525719 169179152 /nfs/dbraw/zinc/17/91/52/169179152.db2.gz ZQRHWVLSAWWGMN-UHFFFAOYSA-N 0 3 243.301 2.732 20 0 BFADHN CCCN(Cc1cccnc1)C[C@@H]1CCCCO1 ZINC000353326881 169179374 /nfs/dbraw/zinc/17/93/74/169179374.db2.gz ABSVSGAXSVVEQP-HNNXBMFYSA-N 0 3 248.370 2.863 20 0 BFADHN c1cc2c(c(CN3CC[C@H](C4CC4)C3)c1)OCO2 ZINC000377387832 183345549 /nfs/dbraw/zinc/34/55/49/183345549.db2.gz BVSREEYKFIBJQL-LBPRGKRZSA-N 0 3 245.322 2.647 20 0 BFADHN c1cc2c(c(CN3CC[C@@H](C4CC4)C3)c1)OCO2 ZINC000377387829 183346306 /nfs/dbraw/zinc/34/63/06/183346306.db2.gz BVSREEYKFIBJQL-GFCCVEGCSA-N 0 3 245.322 2.647 20 0 BFADHN c1cc(CN[C@@H]2[C@H]3CCO[C@H]3C23CCCC3)co1 ZINC000193988831 183353582 /nfs/dbraw/zinc/35/35/82/183353582.db2.gz LKWHKWYKNBEWSS-MGPQQGTHSA-N 0 3 247.338 2.717 20 0 BFADHN c1cc(CN[C@H]2CC[C@@H]2C2CCC2)co1 ZINC000308818930 183353820 /nfs/dbraw/zinc/35/38/20/183353820.db2.gz BJMGCMVMZJLAJS-OLZOCXBDSA-N 0 3 205.301 2.948 20 0 BFADHN c1cc(CN[C@H]2CC23CCCC3)ccn1 ZINC000309075898 183354100 /nfs/dbraw/zinc/35/41/00/183354100.db2.gz KIRMZRATOUDTHI-LBPRGKRZSA-N 0 3 202.301 2.504 20 0 BFADHN CCCNC(=O)CCN[C@@H](C)c1ccc(C)cc1 ZINC000045072007 169216785 /nfs/dbraw/zinc/21/67/85/169216785.db2.gz BQXOWDXJCRWTRX-ZDUSSCGKSA-N 0 3 248.370 2.562 20 0 BFADHN c1cc([C@H]2CCCN2C[C@@H]2CCCCO2)ccn1 ZINC000162731653 183363614 /nfs/dbraw/zinc/36/36/14/183363614.db2.gz UIXBUIWXGLXRRM-LSDHHAIUSA-N 0 3 246.354 2.788 20 0 BFADHN c1cc(CN2CCCCC2)cc2c1OCC2 ZINC000109824132 183368578 /nfs/dbraw/zinc/36/85/78/183368578.db2.gz MOKUNCYBYAELRZ-UHFFFAOYSA-N 0 3 217.312 2.607 20 0 BFADHN c1cc2c(o1)CCCN(CCOC1CCC1)C2 ZINC000288908714 183371433 /nfs/dbraw/zinc/37/14/33/183371433.db2.gz TYJQRLGFDSRBAX-UHFFFAOYSA-N 0 3 235.327 2.597 20 0 BFADHN c1cc2c(s1)CN(CC[C@@H]1CCCO1)CC2 ZINC000276231372 183372988 /nfs/dbraw/zinc/37/29/88/183372988.db2.gz NEIHLDYYMYBEBH-LBPRGKRZSA-N 0 3 237.368 2.675 20 0 BFADHN c1cc2c(s1)CCN(C[C@H]1CCCOC1)C2 ZINC000336556127 183373198 /nfs/dbraw/zinc/37/31/98/183373198.db2.gz JVHXJUYFYQEOBN-LLVKDONJSA-N 0 3 237.368 2.533 20 0 BFADHN c1cc2cc(CN[C@@H]3CCOC3)ccc2s1 ZINC000289852518 183374136 /nfs/dbraw/zinc/37/41/36/183374136.db2.gz NZKNADSDYCDRBO-GFCCVEGCSA-N 0 3 233.336 2.780 20 0 BFADHN c1[nH]nc2cc(CN3CCC34CCC4)ccc12 ZINC000336527751 183374608 /nfs/dbraw/zinc/37/46/08/183374608.db2.gz ZDZZBXBLVYAQIR-UHFFFAOYSA-N 0 3 227.311 2.691 20 0 BFADHN c1cc2ccc(CN[C@H]3CCC34CCC4)nc2[nH]1 ZINC000353640778 183376432 /nfs/dbraw/zinc/37/64/32/183376432.db2.gz HSTMVDSNDSLMLW-ZDUSSCGKSA-N 0 3 241.338 2.985 20 0 BFADHN c1[nH]c(-c2ccccc2)nc1CN1CCCC1 ZINC000175977302 183382440 /nfs/dbraw/zinc/38/24/40/183382440.db2.gz DPMLROVWOJHLRE-UHFFFAOYSA-N 0 3 227.311 2.673 20 0 BFADHN c1nc(-c2ccccc2)[nH]c1CN1CCCC1 ZINC000175977302 183382441 /nfs/dbraw/zinc/38/24/41/183382441.db2.gz DPMLROVWOJHLRE-UHFFFAOYSA-N 0 3 227.311 2.673 20 0 BFADHN c1cc([C@@H]2CCCN2C[C@H]2CCCCO2)ccn1 ZINC000245647498 183359916 /nfs/dbraw/zinc/35/99/16/183359916.db2.gz UIXBUIWXGLXRRM-CABCVRRESA-N 0 3 246.354 2.788 20 0 BFADHN c1cc([C@@H]2CCCN2[C@@H]2CCOC2)cs1 ZINC000285264936 183359968 /nfs/dbraw/zinc/35/99/68/183359968.db2.gz ZVBGYGIHBUDKFQ-NEPJUHHUSA-N 0 3 223.341 2.674 20 0 BFADHN CCCN[C@H](C)C(=O)N(CC)c1cccc(C)c1 ZINC000295653288 169284655 /nfs/dbraw/zinc/28/46/55/169284655.db2.gz IVWSEJWLJOUOLE-CYBMUJFWSA-N 0 3 248.370 2.736 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1cc(C)cc(C)c1 ZINC000294640201 169284730 /nfs/dbraw/zinc/28/47/30/169284730.db2.gz AZMLGTOKDJPFEG-GFCCVEGCSA-N 0 3 234.343 2.630 20 0 BFADHN CCCN[C@H](C)c1nc2ccccc2n1C ZINC000019476826 169288382 /nfs/dbraw/zinc/28/83/82/169288382.db2.gz IDWICPLFAWLTSV-SNVBAGLBSA-N 0 3 217.316 2.634 20 0 BFADHN c1ccc(C2(NC[C@@H]3CCCCO3)CC2)cc1 ZINC000264000492 183389300 /nfs/dbraw/zinc/38/93/00/183389300.db2.gz NPGPCQGZLUHDIS-AWEZNQCLSA-N 0 3 231.339 2.834 20 0 BFADHN c1cc([C@@H]2CCCN2CCc2ccccc2)n[nH]1 ZINC000072357064 183391615 /nfs/dbraw/zinc/39/16/15/183391615.db2.gz BCBPYGYRWRVHDM-HNNXBMFYSA-N 0 3 241.338 2.789 20 0 BFADHN c1ccc(CCN2CCCC3(CC3)C2)nc1 ZINC000186998072 183391720 /nfs/dbraw/zinc/39/17/20/183391720.db2.gz XIOMOGWNXZUMNZ-UHFFFAOYSA-N 0 3 216.328 2.500 20 0 BFADHN CCC[NH2+]Cc1cc([O-])cc(C(F)(F)F)c1 ZINC000290059721 169267081 /nfs/dbraw/zinc/26/70/81/169267081.db2.gz XQFQSHYTPGKFTN-UHFFFAOYSA-N 0 3 233.233 2.911 20 0 BFADHN CCCNCc1nc(C(C)(C)C)cs1 ZINC000053294569 169268921 /nfs/dbraw/zinc/26/89/21/169268921.db2.gz IRICJVRTARVKAF-UHFFFAOYSA-N 0 3 212.362 2.940 20 0 BFADHN CCCNCc1nc2c(s1)C[C@@H](C)CC2 ZINC000053294818 169269083 /nfs/dbraw/zinc/26/90/83/169269083.db2.gz JBHDQXDWIQFIPD-VIFPVBQESA-N 0 3 224.373 2.768 20 0 BFADHN CCCNCc1nc2cc(Cl)ccc2n1C ZINC000044623882 169269295 /nfs/dbraw/zinc/26/92/95/169269295.db2.gz HFQPIPPNGTVNQU-UHFFFAOYSA-N 0 3 237.734 2.726 20 0 BFADHN c1ccc(CN(C[C@H]2CCSC2)C2CC2)nc1 ZINC000290473455 183396440 /nfs/dbraw/zinc/39/64/40/183396440.db2.gz NRCXOFQPSGCVEC-GFCCVEGCSA-N 0 3 248.395 2.799 20 0 BFADHN CCCNCc1cnc(CC(C)C)s1 ZINC000040996668 169269711 /nfs/dbraw/zinc/26/97/11/169269711.db2.gz HBXVLQQAXJDWJA-UHFFFAOYSA-N 0 3 212.362 2.841 20 0 BFADHN CCCNCc1cnc(-c2ccco2)s1 ZINC000040997088 169269770 /nfs/dbraw/zinc/26/97/70/169269770.db2.gz JQOBXVNYDWMJPA-UHFFFAOYSA-N 0 3 222.313 2.903 20 0 BFADHN c1ccc(CN2CC3(CCC3)[C@H]2C2CC2)nc1 ZINC000291702554 183397143 /nfs/dbraw/zinc/39/71/43/183397143.db2.gz ZDKJJTUOVPZMEP-CQSZACIVSA-N 0 3 228.339 2.846 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1ccc2c(c1)CCC2 ZINC000261973068 169274139 /nfs/dbraw/zinc/27/41/39/169274139.db2.gz DWIKAEMPEFVCNT-NSHDSACASA-N 0 3 246.354 2.502 20 0 BFADHN CCCOc1ccc(CN[C@@H]2CCO[C@@H]2C)cc1 ZINC000120262350 169318553 /nfs/dbraw/zinc/31/85/53/169318553.db2.gz DOPANERSDSBPGA-IUODEOHRSA-N 0 3 249.354 2.742 20 0 BFADHN CCCOc1ccc(CN[C@@H]2CCCOC2)cc1 ZINC000109544364 169318793 /nfs/dbraw/zinc/31/87/93/169318793.db2.gz CQPWETBWZCXADM-CQSZACIVSA-N 0 3 249.354 2.744 20 0 BFADHN CCCOc1ccc(CN[C@H]2CCO[C@@H]2C)cc1 ZINC000120262483 169319121 /nfs/dbraw/zinc/31/91/21/169319121.db2.gz DOPANERSDSBPGA-DOMZBBRYSA-N 0 3 249.354 2.742 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnccc1OC ZINC000287535162 169364831 /nfs/dbraw/zinc/36/48/31/169364831.db2.gz ZQRUOVYYMZYJPE-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cn2c(n1)CCCC2 ZINC000337397408 169365854 /nfs/dbraw/zinc/36/58/54/169365854.db2.gz GEFPARLKBUBXGC-GFCCVEGCSA-N 0 3 235.375 2.840 20 0 BFADHN CCC[C@@H](C)N1CCc2ccc(O)cc2C1 ZINC000337326464 169367239 /nfs/dbraw/zinc/36/72/39/169367239.db2.gz WDCVSPJLFAFLNX-LLVKDONJSA-N 0 3 219.328 2.939 20 0 BFADHN CCC[C@@H](C)CC(=O)NC[C@H](N)c1ccccc1 ZINC000236852519 169356353 /nfs/dbraw/zinc/35/63/53/169356353.db2.gz HTFAJVDFZVFYGQ-OCCSQVGLSA-N 0 3 248.370 2.629 20 0 BFADHN CCC[C@@H](C)CN1CCO[C@@H](COC(C)C)C1 ZINC000372115385 169358879 /nfs/dbraw/zinc/35/88/79/169358879.db2.gz BVWYOSYAAOQVOO-ZIAGYGMSSA-N 0 3 243.391 2.548 20 0 BFADHN CCC[C@@H](C)CNCc1c(C)noc1C ZINC000086109912 169360232 /nfs/dbraw/zinc/36/02/32/169360232.db2.gz WJFAXDQHEGADDA-SECBINFHSA-N 0 3 210.321 2.817 20 0 BFADHN CCC[C@@H](C)CN[C@H](CO)c1ccsc1 ZINC000308936589 169360606 /nfs/dbraw/zinc/36/06/06/169360606.db2.gz KYRBXZYFRFEWAG-ZYHUDNBSSA-N 0 3 227.373 2.807 20 0 BFADHN CCC[C@@H](C)N[C@H](c1nccn1C)C1CC1 ZINC000336737306 169371373 /nfs/dbraw/zinc/37/13/73/169371373.db2.gz NGYYARRQJNPXKG-PWSUYJOCSA-N 0 3 221.348 2.649 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1ccn(C)n1 ZINC000354033072 169373688 /nfs/dbraw/zinc/37/36/88/169373688.db2.gz HRMMCUZAAGUZRR-OCCSQVGLSA-N 0 3 235.375 2.821 20 0 BFADHN CCC[C@@H](CC)NCc1nccn1CC ZINC000051924343 169379437 /nfs/dbraw/zinc/37/94/37/169379437.db2.gz SPLIEFIKLGGJMD-LLVKDONJSA-N 0 3 209.337 2.571 20 0 BFADHN CCC[C@@H](CNCc1ccccc1F)OC ZINC000293674985 169384695 /nfs/dbraw/zinc/38/46/95/169384695.db2.gz MRWUAWGKNXROHV-LBPRGKRZSA-N 0 3 225.307 2.730 20 0 BFADHN CCC[C@@H](CNCc1cccc(F)c1)OC ZINC000293742342 169384966 /nfs/dbraw/zinc/38/49/66/169384966.db2.gz SJAYGIHHANJWLK-ZDUSSCGKSA-N 0 3 225.307 2.730 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1ccco1)OC ZINC000293656182 169385610 /nfs/dbraw/zinc/38/56/10/169385610.db2.gz KWQKPVWWNCAOLE-MNOVXSKESA-N 0 3 211.305 2.745 20 0 BFADHN CCC[C@@H](N)C(=O)N1CCCC[C@@H]1CC(C)C ZINC000236903756 169388595 /nfs/dbraw/zinc/38/85/95/169388595.db2.gz FDBMHZWCLISZTB-CHWSQXEVSA-N 0 3 240.391 2.541 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1ccccc1C(C)C ZINC000036872733 169392438 /nfs/dbraw/zinc/39/24/38/169392438.db2.gz DAAYHXRWUWPCTJ-GFCCVEGCSA-N 0 3 234.343 2.876 20 0 BFADHN COCc1cccc(CN(C)CCSC)c1 ZINC000179232775 134318485 /nfs/dbraw/zinc/31/84/85/134318485.db2.gz JXXMUPDCYNGIRT-UHFFFAOYSA-N 0 3 239.384 2.628 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1ccc(C)c(CC)c1 ZINC000236780947 169391881 /nfs/dbraw/zinc/39/18/81/169391881.db2.gz SKOCLTLZYYJYCB-CYBMUJFWSA-N 0 3 234.343 2.623 20 0 BFADHN CCOc1cccc(CN[C@]2(C)CCCOC2)c1 ZINC000221338540 134320021 /nfs/dbraw/zinc/32/00/21/134320021.db2.gz XMJMPHMPGRXDBT-OAHLLOKOSA-N 0 3 249.354 2.744 20 0 BFADHN CCC[C@@H](NC(=O)CNC(C)C)c1ccccc1 ZINC000037394741 169395602 /nfs/dbraw/zinc/39/56/02/169395602.db2.gz JTGNCYNWDQLQDM-CQSZACIVSA-N 0 3 248.370 2.642 20 0 BFADHN CCC[C@@H](NCCC(C)(C)O)c1ccccn1 ZINC000360026466 169399659 /nfs/dbraw/zinc/39/96/59/169399659.db2.gz YYIKSHYXWPLFJF-GFCCVEGCSA-N 0 3 236.359 2.673 20 0 BFADHN c1coc(CN2CC[C@@H](CC3CC3)C2)c1 ZINC000354532366 183507952 /nfs/dbraw/zinc/50/79/52/183507952.db2.gz ZNIDEEXYEKNZQT-LBPRGKRZSA-N 0 3 205.301 2.902 20 0 BFADHN CCC[C@H](C)NCc1cccc(OC)c1OC ZINC000019903056 169493867 /nfs/dbraw/zinc/49/38/67/169493867.db2.gz WFPAXZYEWDGGDC-NSHDSACASA-N 0 3 237.343 2.982 20 0 BFADHN CCC[C@H](C)[C@H](CC)N[C@@H](C)c1ncnn1C ZINC000353306090 169495336 /nfs/dbraw/zinc/49/53/36/169495336.db2.gz PJOFDYCWIRGFBV-SRVKXCTJSA-N 0 3 238.379 2.681 20 0 BFADHN CCC[C@H](N)C(=O)N[C@H](C)c1ccc(C)cc1C ZINC000037765410 169502821 /nfs/dbraw/zinc/50/28/21/169502821.db2.gz LJCRVNDESYWPCB-OCCSQVGLSA-N 0 3 248.370 2.608 20 0 BFADHN CCC[C@H](O)CNCc1c(F)cccc1CC ZINC000354325909 169518424 /nfs/dbraw/zinc/51/84/24/169518424.db2.gz BUSYIFGHBGNBBT-LBPRGKRZSA-N 0 3 239.334 2.639 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](O)C(F)F)s1 ZINC000224483251 134347927 /nfs/dbraw/zinc/34/79/27/134347927.db2.gz NKVFHYIMNSCPJL-CBAPKCEASA-N 0 3 249.326 2.587 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cscn1 ZINC000271503490 171055040 /nfs/dbraw/zinc/05/50/40/171055040.db2.gz JIVBYTPHCAIKTA-GXSJLCMTSA-N 0 3 210.346 2.906 20 0 BFADHN CC1(C)C[C@H]1CNCc1ncc(Cl)s1 ZINC000225345542 134355068 /nfs/dbraw/zinc/35/50/68/134355068.db2.gz RCUJGFXIFWTXAQ-ZETCQYMHSA-N 0 3 230.764 2.932 20 0 BFADHN Cc1nc(CNC[C@H]2CC2(C)C)cs1 ZINC000226256379 134362345 /nfs/dbraw/zinc/36/23/45/134362345.db2.gz RKEFHFSKKUNUTD-SECBINFHSA-N 0 3 210.346 2.587 20 0 BFADHN CCOCCNCc1cccc(C(F)(F)F)c1 ZINC000035098291 383827367 /nfs/dbraw/zinc/82/73/67/383827367.db2.gz LVSWXUPZMMKDLZ-UHFFFAOYSA-N 0 3 247.260 2.832 20 0 BFADHN CC(C)=CCN1CC[C@H](C(C)(C)C)[C@H](O)C1 ZINC000558155797 383823095 /nfs/dbraw/zinc/82/30/95/383823095.db2.gz BOTOGCYPKNGDSB-QWHCGFSZSA-N 0 3 225.376 2.682 20 0 BFADHN CC(C)=CCN1CC[C@@H](C(C)(C)C)[C@@H](O)C1 ZINC000558155796 383823536 /nfs/dbraw/zinc/82/35/36/383823536.db2.gz BOTOGCYPKNGDSB-OLZOCXBDSA-N 0 3 225.376 2.682 20 0 BFADHN CC[C@H](CO)Nc1cc(C)nc2ccccc21 ZINC000035206352 383840971 /nfs/dbraw/zinc/84/09/71/383840971.db2.gz FGMAKFTZJMRMSJ-LLVKDONJSA-N 0 3 230.311 2.726 20 0 BFADHN Cc1noc(C)c1CNC[C@@]1(C)CCCS1 ZINC000087620575 383855855 /nfs/dbraw/zinc/85/58/55/383855855.db2.gz SJIUNKIXALWYSW-GFCCVEGCSA-N 0 3 240.372 2.667 20 0 BFADHN CC[C@@H](O)[C@H](CC)NCc1cc(C)ccc1F ZINC000313084955 383858714 /nfs/dbraw/zinc/85/87/14/383858714.db2.gz JYBGGDLAKWNVOY-UONOGXRCSA-N 0 3 239.334 2.773 20 0 BFADHN c1ccc2c(c1)OC[C@H]2NC1CCCC1 ZINC000070068751 383858820 /nfs/dbraw/zinc/85/88/20/383858820.db2.gz QKKJQKSFMWHDTL-GFCCVEGCSA-N 0 3 203.285 2.652 20 0 BFADHN CC[C@H](N[C@@H](C)c1nccn1C)C(C)C ZINC000070008030 383859131 /nfs/dbraw/zinc/85/91/31/383859131.db2.gz DZHCXPYFGWVTAQ-QWRGUYRKSA-N 0 3 209.337 2.505 20 0 BFADHN c1c[nH]c(CN[C@@H]2CCC[C@H]2c2ccccc2)n1 ZINC000111412854 383861967 /nfs/dbraw/zinc/86/19/67/383861967.db2.gz ZVQDIDJFXARGQF-UONOGXRCSA-N 0 3 241.338 2.836 20 0 BFADHN c1cc(CN[C@@H]2CCC[C@H]2c2ccccc2)n[nH]1 ZINC000111413032 383863136 /nfs/dbraw/zinc/86/31/36/383863136.db2.gz NMKCBOVALPYSIT-LSDHHAIUSA-N 0 3 241.338 2.836 20 0 BFADHN Cc1c[nH]nc1CN1CC(C)(C)[C@H]2CCC[C@H]21 ZINC000336426375 383865051 /nfs/dbraw/zinc/86/50/51/383865051.db2.gz ZXYHAEVLJJCQCV-WCQYABFASA-N 0 3 233.359 2.729 20 0 BFADHN Cc1ncc(CNC/C=C\c2ccccc2)n1C ZINC000517759938 383876831 /nfs/dbraw/zinc/87/68/31/383876831.db2.gz XCASREYGMMCXDE-TWGQIWQCSA-N 0 3 241.338 2.532 20 0 BFADHN COc1cccc(CN[C@@]2(C)CC=CCC2)n1 ZINC000398320175 383878927 /nfs/dbraw/zinc/87/89/27/383878927.db2.gz WEZPNBGEMFKYCG-AWEZNQCLSA-N 0 3 232.327 2.679 20 0 BFADHN CCSCCN1CCC[C@@H]1c1ccncc1 ZINC000119869447 383908236 /nfs/dbraw/zinc/90/82/36/383908236.db2.gz DJZFARWEIGKXRP-CYBMUJFWSA-N 0 3 236.384 2.972 20 0 BFADHN c1cn2cc(CNC(C3CC3)C3CC3)nc2s1 ZINC000112259647 383908709 /nfs/dbraw/zinc/90/87/09/383908709.db2.gz XQSPYBOJIAVFDK-UHFFFAOYSA-N 0 3 247.367 2.674 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1ccc(Cl)nc1 ZINC000290265355 383912952 /nfs/dbraw/zinc/91/29/52/383912952.db2.gz VQOQAIJUCAUTMQ-UTLUCORTSA-N 0 3 242.750 2.809 20 0 BFADHN CCC(C)(C)N(C)Cc1cc(CO)ccc1F ZINC000528417777 383915779 /nfs/dbraw/zinc/91/57/79/383915779.db2.gz RQUZQKDOJDNAIS-UHFFFAOYSA-N 0 3 239.334 2.938 20 0 BFADHN CC[C@H](NCc1cncn1C)c1ccccc1 ZINC000112344470 383919318 /nfs/dbraw/zinc/91/93/18/383919318.db2.gz QQAFLOJFQOFJSY-AWEZNQCLSA-N 0 3 229.327 2.661 20 0 BFADHN C[C@H](N[C@H]1CCO[C@@H]1C)c1cccc(F)c1F ZINC000090963760 383920475 /nfs/dbraw/zinc/92/04/75/383920475.db2.gz ABJLCFVJAGJCNT-SBMIAAHKSA-N 0 3 241.281 2.793 20 0 BFADHN CC(C)C[C@H](C)N[C@@H](C)c1nnc2ccccn21 ZINC000036969017 383923187 /nfs/dbraw/zinc/92/31/87/383923187.db2.gz KKVMBVPJEMXZFB-RYUDHWBXSA-N 0 3 246.358 2.815 20 0 BFADHN Cc1cccc(CCN(C(C)C)C2COC2)c1 ZINC000449349770 383926181 /nfs/dbraw/zinc/92/61/81/383926181.db2.gz HPFNRAGYQHFDPI-UHFFFAOYSA-N 0 3 233.355 2.647 20 0 BFADHN CCCCNCc1cccc2c1OCCCO2 ZINC000036167662 383884592 /nfs/dbraw/zinc/88/45/92/383884592.db2.gz JJBJRTHLYSPONF-UHFFFAOYSA-N 0 3 235.327 2.738 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccccc1Cl ZINC000131899065 383886008 /nfs/dbraw/zinc/88/60/08/383886008.db2.gz DYHCCXDEWZBUBC-NXEZZACHSA-N 0 3 227.735 2.853 20 0 BFADHN COc1ccccc1C[C@@H](C)N(C)CCC(C)=O ZINC000088198605 383886394 /nfs/dbraw/zinc/88/63/94/383886394.db2.gz VNHLTYFTQYNNQI-GFCCVEGCSA-N 0 3 249.354 2.537 20 0 BFADHN COCC[C@H](C)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000112018365 383888488 /nfs/dbraw/zinc/88/84/88/383888488.db2.gz VNJJWPRMIRTNFR-GMXVVIOVSA-N 0 3 237.343 2.918 20 0 BFADHN CC/C=C(\C)C(=O)Nc1cccc(CNC)c1 ZINC000036282536 383893485 /nfs/dbraw/zinc/89/34/85/383893485.db2.gz DVTGEXDZCOFQPR-IZZDOVSWSA-N 0 3 232.327 2.701 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC2CCOCC2)o1 ZINC000036319241 383896100 /nfs/dbraw/zinc/89/61/00/383896100.db2.gz QVOVQUCMLKTHRZ-MFKMUULPSA-N 0 3 235.327 2.672 20 0 BFADHN CC[C@@H](CNCc1cccc(C)c1F)OC ZINC000295963139 383899862 /nfs/dbraw/zinc/89/98/62/383899862.db2.gz HZTNLXDIYDDFER-LBPRGKRZSA-N 0 3 225.307 2.649 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1c(C)noc1C ZINC000088423881 383899975 /nfs/dbraw/zinc/89/99/75/383899975.db2.gz PUNDDTZDOXGIRZ-BDAKNGLRSA-N 0 3 210.321 2.816 20 0 BFADHN CC[C@H](CNCc1cccc(C)c1F)OC ZINC000295963130 383900356 /nfs/dbraw/zinc/90/03/56/383900356.db2.gz HZTNLXDIYDDFER-GFCCVEGCSA-N 0 3 225.307 2.649 20 0 BFADHN COCC1(CN(C)[C@@H](C)c2cccnc2)CCC1 ZINC000291095387 383904539 /nfs/dbraw/zinc/90/45/39/383904539.db2.gz IMFOPJAISUIRAQ-ZDUSSCGKSA-N 0 3 248.370 2.891 20 0 BFADHN CC[C@@H](C)CN1CCN(c2ccccc2O)CC1 ZINC000088484003 383905097 /nfs/dbraw/zinc/90/50/97/383905097.db2.gz REQRUOXUUGWSST-CYBMUJFWSA-N 0 3 248.370 2.560 20 0 BFADHN CC[C@H](O)[C@H](CC)NCc1cc(F)cc(F)c1 ZINC000313166477 383978237 /nfs/dbraw/zinc/97/82/37/383978237.db2.gz TULRGQKDVYSSRW-STQMWFEESA-N 0 3 243.297 2.604 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@@H](C)C2)sc1C ZINC000268069337 384001893 /nfs/dbraw/zinc/00/18/93/384001893.db2.gz CBTXJZQDBSSGAU-IUCAKERBSA-N 0 3 224.373 2.848 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1cccc(Cl)c1 ZINC000037481230 383980732 /nfs/dbraw/zinc/98/07/32/383980732.db2.gz XTZJCJLYULZQDK-GFCCVEGCSA-N 0 3 240.734 2.796 20 0 BFADHN CN(Cc1cccs1)C[C@@H](O)c1ccccc1 ZINC000037485766 383982472 /nfs/dbraw/zinc/98/24/72/383982472.db2.gz FDUFZJWSTMCVKY-CQSZACIVSA-N 0 3 247.363 2.914 20 0 BFADHN C[C@H](NC[C@@H]1CCOC1)c1ccc(F)cc1F ZINC000037508059 383983255 /nfs/dbraw/zinc/98/32/55/383983255.db2.gz YHUCVMJDZZQRHF-UWVGGRQHSA-N 0 3 241.281 2.652 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CO[C@@H](C)C2)ccc1F ZINC000647214098 383983650 /nfs/dbraw/zinc/98/36/50/383983650.db2.gz MYQHNNDUEXOHIA-DMDPSCGWSA-N 0 3 237.318 2.962 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1ccc2c(c1)CCC2 ZINC000037621243 383990488 /nfs/dbraw/zinc/99/04/88/383990488.db2.gz BTFNNTVNGTYNSB-OAHLLOKOSA-N 0 3 246.354 2.631 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1cc(F)ccc1C ZINC000037621315 383992000 /nfs/dbraw/zinc/99/20/00/383992000.db2.gz ZBLOWDFTYFZWCT-CYBMUJFWSA-N 0 3 238.306 2.590 20 0 BFADHN CC/C=C/CNCc1ccnn1CC(C)C ZINC000647214672 383992592 /nfs/dbraw/zinc/99/25/92/383992592.db2.gz LEZMMRZJXIUWNS-AATRIKPKSA-N 0 3 221.348 2.595 20 0 BFADHN CCCCNCc1nn(CC)c2ccccc12 ZINC000089173933 383939361 /nfs/dbraw/zinc/93/93/61/383939361.db2.gz GCWBYDDEQKVMBE-UHFFFAOYSA-N 0 3 231.343 2.946 20 0 BFADHN c1sc(CNCC2CC2)nc1C1CC1 ZINC000070627158 383943757 /nfs/dbraw/zinc/94/37/57/383943757.db2.gz NCHXYTBGPCCBSY-UHFFFAOYSA-N 0 3 208.330 2.520 20 0 BFADHN CC(C)C(C)(C)CN[C@@H]1CCn2ccnc21 ZINC000345344529 383945494 /nfs/dbraw/zinc/94/54/94/383945494.db2.gz IQMSWDDAWFTQJQ-LLVKDONJSA-N 0 3 221.348 2.600 20 0 BFADHN CCO[C@@H]1CCN(Cc2cccnc2C)C[C@@H]1C ZINC000449500927 383946287 /nfs/dbraw/zinc/94/62/87/383946287.db2.gz VKIIGQYSYZLOSN-SWLSCSKDSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cnc(CN[C@@H]2C[C@@H](C)[C@@H]2C)s1 ZINC000306383788 383946386 /nfs/dbraw/zinc/94/63/86/383946386.db2.gz VLVBRZJQMCZBPQ-FKTZTGRPSA-N 0 3 210.346 2.586 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](C)Cn2cccn2)o1 ZINC000037155589 383948134 /nfs/dbraw/zinc/94/81/34/383948134.db2.gz HVOWNEJDUHFHDV-CMPLNLGQSA-N 0 3 233.315 2.524 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](C)Cn2cccn2)cc1 ZINC000037155456 383948877 /nfs/dbraw/zinc/94/88/77/383948877.db2.gz FFEUUVXGOMPPCB-ZIAGYGMSSA-N 0 3 243.354 2.931 20 0 BFADHN CC(C)C1(CN[C@H]2C[C@@H](C)n3ccnc32)CC1 ZINC000345348427 383948746 /nfs/dbraw/zinc/94/87/46/383948746.db2.gz MASCSUMIKJXYST-NEPJUHHUSA-N 0 3 233.359 2.915 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)C(C)(C)C ZINC000345347649 383950577 /nfs/dbraw/zinc/95/05/77/383950577.db2.gz DXMVYTMJEUUWHA-OUAUKWLOSA-N 0 3 221.348 2.913 20 0 BFADHN CC[C@@H](COC)NCc1ccc(Cl)cc1 ZINC000070656314 383951057 /nfs/dbraw/zinc/95/10/57/383951057.db2.gz XLTVPFISZYFVPI-LBPRGKRZSA-N 0 3 227.735 2.855 20 0 BFADHN CC[C@H](CN[C@@H]1CCc2ccc(OC)cc21)OC ZINC000290268620 383952129 /nfs/dbraw/zinc/95/21/29/383952129.db2.gz VWXPRNQTRAGFNX-IUODEOHRSA-N 0 3 249.354 2.697 20 0 BFADHN CC[C@H](CN[C@H]1CCc2ccc(OC)cc21)OC ZINC000290268617 383952188 /nfs/dbraw/zinc/95/21/88/383952188.db2.gz VWXPRNQTRAGFNX-DOMZBBRYSA-N 0 3 249.354 2.697 20 0 BFADHN CC[C@](C)(CO)NCc1ccc(Cl)s1 ZINC000070710481 383959431 /nfs/dbraw/zinc/95/94/31/383959431.db2.gz MPGZSBHNUMPUNQ-SNVBAGLBSA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@H](CN[C@H](C)c1cc(C)oc1C)OC ZINC000290298643 383963941 /nfs/dbraw/zinc/96/39/41/383963941.db2.gz YPFDPMAHKLWELZ-ZYHUDNBSSA-N 0 3 225.332 2.972 20 0 BFADHN C[C@H](NCC1CC(F)(F)C1)c1ccccn1 ZINC000293856901 383964055 /nfs/dbraw/zinc/96/40/55/383964055.db2.gz XNINEEZZHPHDJW-VIFPVBQESA-N 0 3 226.270 2.778 20 0 BFADHN CC[C@@H](O)[C@@H](CC)NCc1cccc(C)c1F ZINC000313156731 383966384 /nfs/dbraw/zinc/96/63/84/383966384.db2.gz MVVGOYRHZUDPIT-CHWSQXEVSA-N 0 3 239.334 2.773 20 0 BFADHN CC[C@H](CO)NCc1cc(Cl)cc(Cl)c1 ZINC000037423737 383975107 /nfs/dbraw/zinc/97/51/07/383975107.db2.gz MPJPWJKBRVRJRB-LLVKDONJSA-N 0 3 248.153 2.854 20 0 BFADHN CN(CCc1ccccc1F)Cc1cccnc1 ZINC000068861137 384019106 /nfs/dbraw/zinc/01/91/06/384019106.db2.gz LNWBEQAOAJQSSE-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN C[C@@H](NCc1sccc1Cl)[C@@H]1CCOC1 ZINC000278477859 384003832 /nfs/dbraw/zinc/00/38/32/384003832.db2.gz KGXZOGAHIXJUKP-RKDXNWHRSA-N 0 3 245.775 2.916 20 0 BFADHN CC[C@H](NCc1cncnc1OC)C1CCCC1 ZINC000647215864 384006704 /nfs/dbraw/zinc/00/67/04/384006704.db2.gz KBXFSNCYYWJOAI-ZDUSSCGKSA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@@H](C)NCc1ccc2c(n1)CCCC2 ZINC000278531993 384006939 /nfs/dbraw/zinc/00/69/39/384006939.db2.gz UGCTZVRNEPUGAM-LLVKDONJSA-N 0 3 218.344 2.849 20 0 BFADHN C[C@@H]1CCC[C@H](C)N(Cc2ccno2)C1 ZINC000335848924 384007273 /nfs/dbraw/zinc/00/72/73/384007273.db2.gz UHFIKPSBBKHIJG-MNOVXSKESA-N 0 3 208.305 2.685 20 0 BFADHN Cc1ccc([C@@H](C)NC(=O)[C@@H](N)CC(C)C)cc1 ZINC000037764951 384009352 /nfs/dbraw/zinc/00/93/52/384009352.db2.gz GUTGYOATVVZOEK-OCCSQVGLSA-N 0 3 248.370 2.546 20 0 BFADHN Cc1ccsc1[C@H](C)NCc1cnn(C)c1 ZINC000069636834 384053796 /nfs/dbraw/zinc/05/37/96/384053796.db2.gz MBZORXJNEQSEPT-JTQLQIEISA-N 0 3 235.356 2.641 20 0 BFADHN C[C@@H](O)CC(C)(C)CN[C@@H](C)c1ccco1 ZINC000090087247 384029219 /nfs/dbraw/zinc/02/92/19/384029219.db2.gz CSJZRTCYNCDROO-MNOVXSKESA-N 0 3 225.332 2.727 20 0 BFADHN COC(=O)CCCN(C)[C@@H](C)c1cccs1 ZINC000049727600 384031326 /nfs/dbraw/zinc/03/13/26/384031326.db2.gz CFFTZASOYRYRKX-JTQLQIEISA-N 0 3 241.356 2.694 20 0 BFADHN CC(=O)c1ccccc1OCCN1CCC[C@@H]1C ZINC000037911619 384039811 /nfs/dbraw/zinc/03/98/11/384039811.db2.gz BXJFPGMVOHNBIL-LBPRGKRZSA-N 0 3 247.338 2.752 20 0 BFADHN CC(C)=CCN1CCN(CC=C(C)C)[C@@H](C)C1 ZINC000114485359 384039841 /nfs/dbraw/zinc/03/98/41/384039841.db2.gz WKXOPARIGBURLS-HNNXBMFYSA-N 0 3 236.403 2.925 20 0 BFADHN CC(C)C1CC(N[C@H]2c3ccccc3C[C@@H]2O)C1 ZINC000530465457 384092119 /nfs/dbraw/zinc/09/21/19/384092119.db2.gz JMIYBDNESORDON-BGHVQYPCSA-N 0 3 245.366 2.669 20 0 BFADHN CC(C)N(C)[C@H]1CCCN(c2ccccc2)C1=O ZINC000115570768 384089222 /nfs/dbraw/zinc/08/92/22/384089222.db2.gz JNTOZPDZCSPTSX-AWEZNQCLSA-N 0 3 246.354 2.522 20 0 BFADHN CC(C)n1nccc1CN1CCC[C@H](C)C1 ZINC000334361819 384055077 /nfs/dbraw/zinc/05/50/77/384055077.db2.gz CEIAWIOAHUMKHV-LBPRGKRZSA-N 0 3 221.348 2.696 20 0 BFADHN Cn1ccc(CN[C@H]2CCCc3occc32)c1 ZINC000091007386 384090200 /nfs/dbraw/zinc/09/02/00/384090200.db2.gz BEELQLVEANLINU-ZDUSSCGKSA-N 0 3 230.311 2.785 20 0 BFADHN CCOc1cccc(CN[C@@H]2C[C@@H](C)O[C@H]2C)c1 ZINC000296371255 384065142 /nfs/dbraw/zinc/06/51/42/384065142.db2.gz JYJZLWFYPFVSBH-TYNCELHUSA-N 0 3 249.354 2.741 20 0 BFADHN C[C@H](CN[C@@H](C)c1ccc(F)cc1F)N(C)C ZINC000038088660 384067372 /nfs/dbraw/zinc/06/73/72/384067372.db2.gz QBBGHFVKEJRCDX-ZJUUUORDSA-N 0 3 242.313 2.566 20 0 BFADHN CCC[C@H](C)N1CC[S@@](=O)C(CC)(CC)C1 ZINC000187727452 384072367 /nfs/dbraw/zinc/07/23/67/384072367.db2.gz FXKJQLGHOLDBAH-BLLLJJGKSA-N 0 3 245.432 2.798 20 0 BFADHN CO[C@@H](CN[C@@H]1CCc2ccc(F)cc21)C1CC1 ZINC000293864589 384073637 /nfs/dbraw/zinc/07/36/37/384073637.db2.gz ZFPQVXBYSYAIKV-CABCVRRESA-N 0 3 249.329 2.828 20 0 BFADHN C[C@H](NCCC1CCCC1)c1cnccn1 ZINC000070025363 384074140 /nfs/dbraw/zinc/07/41/40/384074140.db2.gz FKWPYPZIPRDYDF-NSHDSACASA-N 0 3 219.332 2.708 20 0 BFADHN COc1cccnc1CN[C@H](C(C)C)C1CC1 ZINC000560346859 384080340 /nfs/dbraw/zinc/08/03/40/384080340.db2.gz PLTRMVDWRWACCB-CQSZACIVSA-N 0 3 234.343 2.614 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC(C1CC1)C1CC1 ZINC000560343732 384080525 /nfs/dbraw/zinc/08/05/25/384080525.db2.gz VMOPJPSAXWFINE-LLVKDONJSA-N 0 3 247.386 2.990 20 0 BFADHN Cc1ccsc1[C@H](C)NCc1cnn(C)c1C ZINC000090888156 384082573 /nfs/dbraw/zinc/08/25/73/384082573.db2.gz UHRNORLBMQQPNH-JTQLQIEISA-N 0 3 249.383 2.949 20 0 BFADHN C[C@@H](NCC(=O)Nc1ccccc1)C1CCCC1 ZINC000070306752 384098152 /nfs/dbraw/zinc/09/81/52/384098152.db2.gz JDSBALXYJUKGPR-GFCCVEGCSA-N 0 3 246.354 2.793 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H]1CCCC12CC2 ZINC000569473417 384100550 /nfs/dbraw/zinc/10/05/50/384100550.db2.gz ZCVCPLSZMUCKOJ-NEPJUHHUSA-N 0 3 233.359 2.886 20 0 BFADHN CSC(C)(C)CN[C@H](C)c1nccs1 ZINC000091731508 384105925 /nfs/dbraw/zinc/10/59/25/384105925.db2.gz ARIZJJMHISTMMK-MRVPVSSYSA-N 0 3 230.402 2.935 20 0 BFADHN COC(=O)c1cc(CN(C)C(C)(C)C)c(C)o1 ZINC000572599321 384109130 /nfs/dbraw/zinc/10/91/30/384109130.db2.gz YQEMDUMSKLWEII-UHFFFAOYSA-N 0 3 239.315 2.605 20 0 BFADHN C[C@@H](NCCc1ccccc1F)c1cnccn1 ZINC000070477292 384112421 /nfs/dbraw/zinc/11/24/21/384112421.db2.gz VDUGAQZSQTYLKQ-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN C[C@H](NCc1ccn(C)c1)c1cccc(O)c1 ZINC000091008404 384092270 /nfs/dbraw/zinc/09/22/70/384092270.db2.gz CMSXWMGDDHSSCR-NSHDSACASA-N 0 3 230.311 2.582 20 0 BFADHN CCCCCNC(=O)c1ccc(CN(C)C)cc1 ZINC000070736526 384134878 /nfs/dbraw/zinc/13/48/78/384134878.db2.gz LLFSWBWAWLXLQS-UHFFFAOYSA-N 0 3 248.370 2.668 20 0 BFADHN FC(F)(F)c1ccc2c(c1)CNCC21CC1 ZINC000091368549 384122592 /nfs/dbraw/zinc/12/25/92/384122592.db2.gz NEDCDHNCIXGLQJ-UHFFFAOYSA-N 0 3 227.229 2.840 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1cc(C)cc(F)c1 ZINC000091514096 384129702 /nfs/dbraw/zinc/12/97/02/384129702.db2.gz ZJXHJDVUOKAJJZ-CYBMUJFWSA-N 0 3 238.306 2.590 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1sc(C)nc1C ZINC000070656681 384130521 /nfs/dbraw/zinc/13/05/21/384130521.db2.gz CODSWDYIAOLMFS-MWLCHTKSSA-N 0 3 242.388 2.836 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1cccc(F)c1 ZINC000070656302 384130780 /nfs/dbraw/zinc/13/07/80/384130780.db2.gz SJJOJEUADKQWIJ-MFKMUULPSA-N 0 3 225.307 2.901 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](C)[C@@H]2CCOC2)o1 ZINC000132166926 384131856 /nfs/dbraw/zinc/13/18/56/384131856.db2.gz ORNLBVYRFVWQOC-IJLUTSLNSA-N 0 3 223.316 2.664 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1ccsc1 ZINC000071011647 384149050 /nfs/dbraw/zinc/14/90/50/384149050.db2.gz KWKDASKAJDNMLD-GXSJLCMTSA-N 0 3 211.330 2.578 20 0 BFADHN Fc1ccc(CN[C@@H]2CCCOC2)c(Cl)c1 ZINC000071013289 384149124 /nfs/dbraw/zinc/14/91/24/384149124.db2.gz FUPGNOBCNOZAPB-LLVKDONJSA-N 0 3 243.709 2.748 20 0 BFADHN CCC[C@H](CNCc1cccs1)OC ZINC000293735330 384149209 /nfs/dbraw/zinc/14/92/09/384149209.db2.gz RVPDQFHVEJIGRZ-SNVBAGLBSA-N 0 3 213.346 2.653 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCS2)o1 ZINC000087473147 384149230 /nfs/dbraw/zinc/14/92/30/384149230.db2.gz GBBWLWZOHOSFAX-LLVKDONJSA-N 0 3 211.330 2.573 20 0 BFADHN Cn1cccc1[C@@H]1CCCN1C[C@@H]1CCCCO1 ZINC000071122638 384151617 /nfs/dbraw/zinc/15/16/17/384151617.db2.gz SBUDMNVIRKCHLM-ZFWWWQNUSA-N 0 3 248.370 2.731 20 0 BFADHN Fc1ccc(CNC[C@@H]2CCCS2)cc1F ZINC000087473206 384152144 /nfs/dbraw/zinc/15/21/44/384152144.db2.gz QBOBPTARTZDIBO-JTQLQIEISA-N 0 3 243.322 2.950 20 0 BFADHN CCc1cccc(NC(=O)CNC(C)(C)C)c1 ZINC000040460363 384153669 /nfs/dbraw/zinc/15/36/69/384153669.db2.gz OJTBKVXHJBOXDU-UHFFFAOYSA-N 0 3 234.343 2.576 20 0 BFADHN Cc1ccc(F)c(CNC2CCC(O)CC2)c1 ZINC000116912029 384157253 /nfs/dbraw/zinc/15/72/53/384157253.db2.gz DNLLVKSXOZCPEZ-UHFFFAOYSA-N 0 3 237.318 2.527 20 0 BFADHN c1csc(CNC[C@@H]2CCC=CO2)c1 ZINC000050267646 384173434 /nfs/dbraw/zinc/17/34/34/384173434.db2.gz WLOPXBOELLVHBL-JTQLQIEISA-N 0 3 209.314 2.530 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](CO)CC(C)C)o1 ZINC000040773895 384163601 /nfs/dbraw/zinc/16/36/01/384163601.db2.gz ZJZLYYLVEAVFCP-NWDGAFQWSA-N 0 3 225.332 2.646 20 0 BFADHN COc1ccccc1CNC1CC(C)C1 ZINC000080249403 384164102 /nfs/dbraw/zinc/16/41/02/384164102.db2.gz RQPDJGADMNYUSB-UHFFFAOYSA-N 0 3 205.301 2.583 20 0 BFADHN C[C@H](CN1CC[C@H](F)C1)c1ccc(F)cc1 ZINC000449394317 384164311 /nfs/dbraw/zinc/16/43/11/384164311.db2.gz NQEPKLQCJHFANF-MFKMUULPSA-N 0 3 225.282 2.973 20 0 BFADHN C[C@H](N[C@@H](C)C1CC1)c1ccc(F)cn1 ZINC000092195609 384167421 /nfs/dbraw/zinc/16/74/21/384167421.db2.gz FMQGQNZGKLSGHL-IUCAKERBSA-N 0 3 208.280 2.670 20 0 BFADHN C[C@H](N[C@@H]1CC=CCC1)c1ccc(F)cn1 ZINC000092196487 384168025 /nfs/dbraw/zinc/16/80/25/384168025.db2.gz NCBPMTNXRZLJML-CMPLNLGQSA-N 0 3 220.291 2.980 20 0 BFADHN CC1(C)CCCC[C@@H]1N[C@@H]1CCn2ccnc21 ZINC000335954376 384168795 /nfs/dbraw/zinc/16/87/95/384168795.db2.gz LGOCQUCVDVLKMF-NEPJUHHUSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H](NCCn1ccnc1)c1ccc(F)cc1 ZINC000041119194 384178598 /nfs/dbraw/zinc/17/85/98/384178598.db2.gz MWWPWQVQFKRJPP-CQSZACIVSA-N 0 3 247.317 2.763 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccc(C)o1)C1CC1 ZINC000293793342 384179497 /nfs/dbraw/zinc/17/94/97/384179497.db2.gz UMGRACSXXNWSNI-MFKMUULPSA-N 0 3 223.316 2.664 20 0 BFADHN Cc1cc(C)c(CNCc2cnn(C)c2)c(C)c1 ZINC000041158666 384180071 /nfs/dbraw/zinc/18/00/71/384180071.db2.gz OVFZEVWJHQWBTM-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN Cc1noc(C)c1CNC[C@@H](C)C(C)C ZINC000081047424 384181143 /nfs/dbraw/zinc/18/11/43/384181143.db2.gz OEGOQNYQUQXIBV-SECBINFHSA-N 0 3 210.321 2.673 20 0 BFADHN Cn1cccc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000092485893 384181437 /nfs/dbraw/zinc/18/14/37/384181437.db2.gz GQKAQGYONGBDTG-JSGCOSHPSA-N 0 3 218.344 2.790 20 0 BFADHN CCC[C@H](C)NCc1cn2ccsc2n1 ZINC000132301937 384184078 /nfs/dbraw/zinc/18/40/78/384184078.db2.gz TXACRICGVHZEQW-VIFPVBQESA-N 0 3 223.345 2.674 20 0 BFADHN CCN(CC(C)(C)O)c1ccnc2ccccc21 ZINC000092970592 384201841 /nfs/dbraw/zinc/20/18/41/384201841.db2.gz OWYRBPPQYYMIKQ-UHFFFAOYSA-N 0 3 244.338 2.832 20 0 BFADHN CC[C@H](N[C@H](C)c1cscn1)[C@H]1CCOC1 ZINC000309717500 384205574 /nfs/dbraw/zinc/20/55/74/384205574.db2.gz UORHJSILMBRBHT-VWYCJHECSA-N 0 3 240.372 2.609 20 0 BFADHN CC[C@H](C)[C@H](CNC/C=C\c1ccncc1)OC ZINC000449400207 384189606 /nfs/dbraw/zinc/18/96/06/384189606.db2.gz ARRFQGCXXFXXES-BIWZVIQBSA-N 0 3 248.370 2.746 20 0 BFADHN c1ncc(CN2CCC[C@H]2C2CCC2)s1 ZINC000092780836 384193736 /nfs/dbraw/zinc/19/37/36/384193736.db2.gz VNTBQXXWDUPGGQ-LBPRGKRZSA-N 0 3 222.357 2.908 20 0 BFADHN C[C@@H](NCCC(C)(C)CO)c1ccccc1F ZINC000296700319 384231008 /nfs/dbraw/zinc/23/10/08/384231008.db2.gz MMJCWMUZXUEXDN-LLVKDONJSA-N 0 3 239.334 2.885 20 0 BFADHN CC[C@H](NC(=O)C[C@H](N)c1ccccc1)C(C)C ZINC000042592782 384231458 /nfs/dbraw/zinc/23/14/58/384231458.db2.gz CGMFADFHEQVPHS-KBPBESRZSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cc(C)no1 ZINC000133333712 384234191 /nfs/dbraw/zinc/23/41/91/384234191.db2.gz RVIXNVWVAHBPHE-JQWIXIFHSA-N 0 3 208.305 2.651 20 0 BFADHN CSCCCN1CCc2ccsc2C1 ZINC000132514440 384234778 /nfs/dbraw/zinc/23/47/78/384234778.db2.gz ASCNUJKLXAIJTQ-UHFFFAOYSA-N 0 3 227.398 2.859 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2cccc(O)c2)C1(C)C ZINC000072926367 384238124 /nfs/dbraw/zinc/23/81/24/384238124.db2.gz HRMZVACFVVAHRY-DZGCQCFKSA-N 0 3 249.354 2.685 20 0 BFADHN CC[C@](C)(O)CNCc1cc(Cl)ccc1F ZINC000119134290 384238407 /nfs/dbraw/zinc/23/84/07/384238407.db2.gz PWJJMOVLDJYJSB-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN CN(Cc1ccc(F)cc1)C[C@H]1CCCCO1 ZINC000042366138 384215140 /nfs/dbraw/zinc/21/51/40/384215140.db2.gz YDUOXCMKILAJDI-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN CCOC[C@H](C)NC1(c2ccccc2C)CC1 ZINC000647316226 384216139 /nfs/dbraw/zinc/21/61/39/384216139.db2.gz VTVNOUCZRPJRGX-ZDUSSCGKSA-N 0 3 233.355 2.999 20 0 BFADHN C[C@H](NCCCOCC1CC1)c1cncs1 ZINC000132254456 384223282 /nfs/dbraw/zinc/22/32/82/384223282.db2.gz OFRURGQKOSYRBR-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN O=c1[nH]c2ccccc2cc1CN1CCCCC1 ZINC000072595147 384227128 /nfs/dbraw/zinc/22/71/28/384227128.db2.gz CFALQXZKZSSNNK-UHFFFAOYSA-N 0 3 242.322 2.514 20 0 BFADHN C[C@@H](CC(C)(C)O)N[C@@H](C)c1cccc(O)c1 ZINC000296625942 384227492 /nfs/dbraw/zinc/22/74/92/384227492.db2.gz XDKSLUBXSYUUKB-QWRGUYRKSA-N 0 3 237.343 2.592 20 0 BFADHN Cc1ccc([C@H](C)NCCn2cccn2)cc1 ZINC000042538684 384227893 /nfs/dbraw/zinc/22/78/93/384227893.db2.gz URAHVJIIOBLEST-ZDUSSCGKSA-N 0 3 229.327 2.542 20 0 BFADHN Cc1ccc(CN2CCS[C@H](C)[C@@H]2C)o1 ZINC000093500072 384243977 /nfs/dbraw/zinc/24/39/77/384243977.db2.gz JMOBTGUXPFJEJO-WDEREUQCSA-N 0 3 225.357 2.914 20 0 BFADHN CC[C@H](NC[C@@H](C)CC(F)F)c1nccn1C ZINC000647318748 384247649 /nfs/dbraw/zinc/24/76/49/384247649.db2.gz YXRQCGJCTQTXDY-UWVGGRQHSA-N 0 3 245.317 2.752 20 0 BFADHN Clc1cccc2c1CC[C@@H]2N[C@@H]1CCOC1 ZINC000167068054 384250269 /nfs/dbraw/zinc/25/02/69/384250269.db2.gz VJVAQXFZRJUJPG-RNCFNFMXSA-N 0 3 237.730 2.706 20 0 BFADHN C[C@H](NC1CC1)c1ccc(F)cc1N(C)C ZINC000132760189 384250779 /nfs/dbraw/zinc/25/07/79/384250779.db2.gz BXZISUZNXYHRFJ-VIFPVBQESA-N 0 3 222.307 2.705 20 0 BFADHN c1cc(CN[C@@H]2CC23CCCC3)nc2c1CCC2 ZINC000560612494 384253548 /nfs/dbraw/zinc/25/35/48/384253548.db2.gz RRFYRCQPVDNYMB-OAHLLOKOSA-N 0 3 242.366 2.993 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1ccsc1 ZINC000133608507 384254359 /nfs/dbraw/zinc/25/43/59/384254359.db2.gz WGFOOKOOUMUTHV-ONGXEEELSA-N 0 3 213.346 2.560 20 0 BFADHN Cc1c(CN[C@@H](C)c2ccccc2)cnn1C ZINC000093649153 384256826 /nfs/dbraw/zinc/25/68/26/384256826.db2.gz UKSWUDVWWNMICO-NSHDSACASA-N 0 3 229.327 2.579 20 0 BFADHN Cc1c(CN[C@H](C)c2ccccc2)cnn1C ZINC000093649154 384258208 /nfs/dbraw/zinc/25/82/08/384258208.db2.gz UKSWUDVWWNMICO-LLVKDONJSA-N 0 3 229.327 2.579 20 0 BFADHN CC[C@@H](Cc1ccccc1)N(C)Cc1cc[nH]n1 ZINC000093660955 384258258 /nfs/dbraw/zinc/25/82/58/384258258.db2.gz SDTBNGGDDCWRLC-HNNXBMFYSA-N 0 3 243.354 2.863 20 0 BFADHN CCSCCN1CCc2ccsc2C1 ZINC000132914905 384259749 /nfs/dbraw/zinc/25/97/49/384259749.db2.gz COUZFNNKJPCXOJ-UHFFFAOYSA-N 0 3 227.398 2.859 20 0 BFADHN CC[C@@H](CSC)NCc1ccc(F)nc1C ZINC000278861390 384262092 /nfs/dbraw/zinc/26/20/92/384262092.db2.gz XWNMLIWYADYIQH-NSHDSACASA-N 0 3 242.363 2.760 20 0 BFADHN Cc1ccccc1C1(N[C@H]2CO[C@H](C)C2)CC1 ZINC000647224613 384277886 /nfs/dbraw/zinc/27/78/86/384277886.db2.gz QBKOEVGTTZVFOP-CHWSQXEVSA-N 0 3 231.339 2.751 20 0 BFADHN CCC[C@H]1CCCN(Cc2ccc(CO)o2)C1 ZINC000093704753 384265662 /nfs/dbraw/zinc/26/56/62/384265662.db2.gz RTDCGPWDPJJZRI-LBPRGKRZSA-N 0 3 237.343 2.784 20 0 BFADHN C[C@]12C[C@H]1CCC[C@H]2NCc1ccco1 ZINC000282429862 384278035 /nfs/dbraw/zinc/27/80/35/384278035.db2.gz YZKMDQKQCJZYSK-RTXFEEFZSA-N 0 3 205.301 2.948 20 0 BFADHN CCN(C)CCN[C@@H](CC(C)C)c1ccccn1 ZINC000158758079 384266752 /nfs/dbraw/zinc/26/67/52/384266752.db2.gz VTBRFSQZFCFIES-HNNXBMFYSA-N 0 3 249.402 2.710 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1cc(C)oc1C ZINC000133000104 384266999 /nfs/dbraw/zinc/26/69/99/384266999.db2.gz AHRIBAZYGLPPAK-GMTAPVOTSA-N 0 3 225.332 2.970 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccccc2Cl)CO1 ZINC000335423497 384269029 /nfs/dbraw/zinc/26/90/29/384269029.db2.gz ABZVKNXOSSSNFD-MWLCHTKSSA-N 0 3 225.719 2.607 20 0 BFADHN Cc1ccccc1C1(N[C@@H]2CCO[C@@H]2C)CC1 ZINC000647224655 384278181 /nfs/dbraw/zinc/27/81/81/384278181.db2.gz RXORAYWHOJRACL-TZMCWYRMSA-N 0 3 231.339 2.751 20 0 BFADHN CCc1ccc(CN(C)[C@H](CO)C(C)(C)C)o1 ZINC000093821995 384273867 /nfs/dbraw/zinc/27/38/67/384273867.db2.gz HDGHDYSBBBBBLT-CYBMUJFWSA-N 0 3 239.359 2.681 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)o1 ZINC000119487968 384275896 /nfs/dbraw/zinc/27/58/96/384275896.db2.gz MACDAXIOKIQIRA-JBLDHEPKSA-N 0 3 222.332 2.897 20 0 BFADHN COC[C@@H](NC1CC1)c1cccc(Cl)c1 ZINC000289856627 384241526 /nfs/dbraw/zinc/24/15/26/384241526.db2.gz RKLBXSPGKIHQEK-GFCCVEGCSA-N 0 3 225.719 2.780 20 0 BFADHN CN(Cc1cc(F)cc(F)c1)C1CCC1 ZINC000093475664 384241501 /nfs/dbraw/zinc/24/15/01/384241501.db2.gz GIWMOKGZXFGBOJ-UHFFFAOYSA-N 0 3 211.255 2.949 20 0 BFADHN Fc1cc(F)c(CNCC2CC2)c(F)c1 ZINC000083446274 384305965 /nfs/dbraw/zinc/30/59/65/384305965.db2.gz JAKGTKNYOPRXHI-UHFFFAOYSA-N 0 3 215.218 2.604 20 0 BFADHN COC[C@@H](C)N[C@H]1CCCOc2ccccc21 ZINC000133681457 384307242 /nfs/dbraw/zinc/30/72/42/384307242.db2.gz MFXDEAJSJKIEQZ-YPMHNXCESA-N 0 3 235.327 2.525 20 0 BFADHN CN(Cc1cccc(F)c1)[C@@H]1CCSC1 ZINC000119876025 384310041 /nfs/dbraw/zinc/31/00/41/384310041.db2.gz GNCQPPSTPCLNCA-GFCCVEGCSA-N 0 3 225.332 2.763 20 0 BFADHN CCC1(CC)CCN(Cc2cccnc2N)C1 ZINC000094810972 384314065 /nfs/dbraw/zinc/31/40/65/384314065.db2.gz AMLJWFQYLCGAMK-UHFFFAOYSA-N 0 3 233.359 2.676 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CCC[C@H](C)CC1 ZINC000168802127 384322722 /nfs/dbraw/zinc/32/27/22/384322722.db2.gz OTNNGVSKGJUYKQ-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H](N[C@H]1CCO[C@H]1C)c1ccc(F)cc1 ZINC000133950382 384322753 /nfs/dbraw/zinc/32/27/53/384322753.db2.gz HMPVCKDWUPMINN-KWBADKCTSA-N 0 3 223.291 2.654 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccccn1)C(C)(C)C ZINC000159274083 384316265 /nfs/dbraw/zinc/31/62/65/384316265.db2.gz UZNBASKXWSGXQP-YPMHNXCESA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@@H](C)N1CCN(c2ccccc2)CC1 ZINC000168786959 384319217 /nfs/dbraw/zinc/31/92/17/384319217.db2.gz BNRZIEBVCLWUMH-CYBMUJFWSA-N 0 3 218.344 2.607 20 0 BFADHN C[C@@H](NC[C@@]1(C)CCCC[C@@H]1O)c1ccccn1 ZINC000159302833 384319369 /nfs/dbraw/zinc/31/93/69/384319369.db2.gz JQJJLRGEAFXILE-VHDGCEQUSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H](N[C@@H](C)CCCO)c1ccc(F)cc1F ZINC000094942610 384319735 /nfs/dbraw/zinc/31/97/35/384319735.db2.gz TZNDCHLMZZINNT-VHSXEESVSA-N 0 3 243.297 2.776 20 0 BFADHN CCN(C)c1ccc(CN2CC[C@H]2C2CC2)cn1 ZINC000449424409 384321100 /nfs/dbraw/zinc/32/11/00/384321100.db2.gz DTPCDJPGKCNTFU-AWEZNQCLSA-N 0 3 245.370 2.522 20 0 BFADHN CCN(C)c1ccc(CN2CC[C@@H]2C2CC2)cn1 ZINC000449424415 384321145 /nfs/dbraw/zinc/32/11/45/384321145.db2.gz DTPCDJPGKCNTFU-CQSZACIVSA-N 0 3 245.370 2.522 20 0 BFADHN CC[C@H](CNC/C=C/c1ccccc1)OC ZINC000289869334 384282182 /nfs/dbraw/zinc/28/21/82/384282182.db2.gz RBCJMZAGQWBEFS-DNGMOHDESA-N 0 3 219.328 2.714 20 0 BFADHN CCC[C@@H]1CCCC[C@@H]1NCc1ncc[nH]1 ZINC000066593769 384282700 /nfs/dbraw/zinc/28/27/00/384282700.db2.gz GQYRJENFWCKQBR-NEPJUHHUSA-N 0 3 221.348 2.858 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1ccccc1N(C)C ZINC000093941219 384283829 /nfs/dbraw/zinc/28/38/29/384283829.db2.gz FRZFDPBJZAFTJD-QWHCGFSZSA-N 0 3 248.370 2.582 20 0 BFADHN COc1ccc(CN2[C@@H](C)C[C@@H]2C)cc1C ZINC000525996865 384284411 /nfs/dbraw/zinc/28/44/11/384284411.db2.gz PENQMOCKYYBMHJ-RYUDHWBXSA-N 0 3 219.328 2.986 20 0 BFADHN COCC1(CCN[C@@H](C)c2cc(C)ccn2)CC1 ZINC000647320575 384287545 /nfs/dbraw/zinc/28/75/45/384287545.db2.gz RHAMEAZAYJGCOU-ZDUSSCGKSA-N 0 3 248.370 2.857 20 0 BFADHN CCCCN(CC)Cc1ccnn1CC ZINC000158976566 384293544 /nfs/dbraw/zinc/29/35/44/384293544.db2.gz FDYAACVCNZUZCC-UHFFFAOYSA-N 0 3 209.337 2.525 20 0 BFADHN COC[C@H](C)NCc1ccc(Cl)cc1F ZINC000083358411 384293853 /nfs/dbraw/zinc/29/38/53/384293853.db2.gz PFNPRHMGNBAIJQ-QMMMGPOBSA-N 0 3 231.698 2.604 20 0 BFADHN C[C@H](NCCC(C)(F)F)c1ccccn1 ZINC000294183991 384296421 /nfs/dbraw/zinc/29/64/21/384296421.db2.gz KJINCVFRYXTQBY-VIFPVBQESA-N 0 3 214.259 2.778 20 0 BFADHN CC(C)n1cc(CN2CCC[C@@H](C)C2)cn1 ZINC000179509558 384298442 /nfs/dbraw/zinc/29/84/42/384298442.db2.gz CIEARNDYQQRTNO-GFCCVEGCSA-N 0 3 221.348 2.696 20 0 BFADHN Cc1ccc(CNCCSC(C)C)cn1 ZINC000308801848 384300261 /nfs/dbraw/zinc/30/02/61/384300261.db2.gz MXQAPDWOFMVFSG-UHFFFAOYSA-N 0 3 224.373 2.621 20 0 BFADHN CCc1ccc(CN(C)C[C@@H]2CCCOC2)o1 ZINC000119754347 384301371 /nfs/dbraw/zinc/30/13/71/384301371.db2.gz CVJCERCGCGDFMM-LBPRGKRZSA-N 0 3 237.343 2.700 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cnn3ccccc23)C1 ZINC000179513085 384301308 /nfs/dbraw/zinc/30/13/08/384301308.db2.gz BMHVLOOREKLXJS-BETUJISGSA-N 0 3 243.354 2.812 20 0 BFADHN CC(C)c1cnc(NC[C@H](C)N(C)C)s1 ZINC000308830939 384335938 /nfs/dbraw/zinc/33/59/38/384335938.db2.gz GEFPCJOTDACBGK-VIFPVBQESA-N 0 3 227.377 2.629 20 0 BFADHN CC[C@@H](NC[C@](C)(CC)OC)c1nccs1 ZINC000319666369 384337435 /nfs/dbraw/zinc/33/74/35/384337435.db2.gz OYMMENCCKBPNJC-PWSUYJOCSA-N 0 3 242.388 2.999 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@]2(C)CCOC2)o1 ZINC000120203874 384338519 /nfs/dbraw/zinc/33/85/19/384338519.db2.gz OPMQWBCFEXHSCC-MPKXVKKWSA-N 0 3 235.327 2.672 20 0 BFADHN c1csc(CN[C@H]2CC3CCC2CC3)n1 ZINC000335603095 384373688 /nfs/dbraw/zinc/37/36/88/384373688.db2.gz RQSOASDPUSYBBC-ILDUYXDCSA-N 0 3 222.357 2.811 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1ccccn1 ZINC000083863595 384344985 /nfs/dbraw/zinc/34/49/85/384344985.db2.gz TTWYVUZAXRRCGU-VXGBXAGGSA-N 0 3 206.333 2.996 20 0 BFADHN CC(=O)Nc1cccc(CN2CCC(C)(C)C2)c1 ZINC000076014024 384351947 /nfs/dbraw/zinc/35/19/47/384351947.db2.gz OZIHBPKVWMPQFE-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CO[C@H](C)C2)cc1F ZINC000401970853 384354359 /nfs/dbraw/zinc/35/43/59/384354359.db2.gz MAOPXBWZHBMNNR-MDZLAQPJSA-N 0 3 237.318 2.962 20 0 BFADHN C[C@H](N[C@@H]1CC=CCC1)c1ccccn1 ZINC000084066911 384361719 /nfs/dbraw/zinc/36/17/19/384361719.db2.gz HCPHQNMPVGMDGB-NWDGAFQWSA-N 0 3 202.301 2.841 20 0 BFADHN CC(C)CCOCCNCc1cccc(O)c1 ZINC000045093946 384374834 /nfs/dbraw/zinc/37/48/34/384374834.db2.gz NUEUOTZVVBAQNQ-UHFFFAOYSA-N 0 3 237.343 2.545 20 0 BFADHN Cc1ccoc1CNC[C@H](C)CC(F)F ZINC000647225976 384374917 /nfs/dbraw/zinc/37/49/17/384374917.db2.gz LJMQBMLXYXNZRV-MRVPVSSYSA-N 0 3 217.259 2.969 20 0 BFADHN Cl/C=C/CN1CCC(C2CCOCC2)CC1 ZINC000153424653 384324510 /nfs/dbraw/zinc/32/45/10/384324510.db2.gz LOASFQOJISEXGB-LZCJLJQNSA-N 0 3 243.778 2.878 20 0 BFADHN CSCCCN1CCc2ccc(F)cc2C1 ZINC000134022441 384325663 /nfs/dbraw/zinc/32/56/63/384325663.db2.gz PQYFSXXEXRGUFR-UHFFFAOYSA-N 0 3 239.359 2.937 20 0 BFADHN CC(C)CCOCCN[C@H](C)c1cccnc1 ZINC000044387415 384330777 /nfs/dbraw/zinc/33/07/77/384330777.db2.gz ILAZGLFOGHSIDQ-CYBMUJFWSA-N 0 3 236.359 2.795 20 0 BFADHN CSc1ccc(CNCCOC(C)C)cc1 ZINC000134115013 384333662 /nfs/dbraw/zinc/33/36/62/384333662.db2.gz WTSHECIXNXQODF-UHFFFAOYSA-N 0 3 239.384 2.923 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@@H]1CCSc2ccccc21 ZINC000120164184 384333626 /nfs/dbraw/zinc/33/36/26/384333626.db2.gz QLFSCGARFGTELJ-WCFLWFBJSA-N 0 3 249.379 2.991 20 0 BFADHN Cc1nnsc1CN[C@H]1CCC[C@@H](C)C1 ZINC000335571636 384334141 /nfs/dbraw/zinc/33/41/41/384334141.db2.gz QUWOHPNVJILPFT-SCZZXKLOSA-N 0 3 225.361 2.515 20 0 BFADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1cccnc1 ZINC000160213271 384393698 /nfs/dbraw/zinc/39/36/98/384393698.db2.gz FRBRCKCCKUFMAZ-YPMHNXCESA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@@]2(C)CO)o1 ZINC000530517624 384394374 /nfs/dbraw/zinc/39/43/74/384394374.db2.gz DBJJXEAWAVHEJL-ZLDLUXBVSA-N 0 3 249.354 2.750 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCC12CCC2 ZINC000335620152 384395033 /nfs/dbraw/zinc/39/50/33/384395033.db2.gz LXQYYLIPDPKHCD-GFCCVEGCSA-N 0 3 220.316 2.714 20 0 BFADHN CC[C@H](NCc1ccn(C(C)C)n1)[C@@H]1C[C@H]1C ZINC000560880291 384395104 /nfs/dbraw/zinc/39/51/04/384395104.db2.gz HOEJPQVBHMAKKE-BNOWGMLFSA-N 0 3 235.375 2.988 20 0 BFADHN Cn1nc(CNCCCC2CC2)c2ccccc21 ZINC000134867539 384395404 /nfs/dbraw/zinc/39/54/04/384395404.db2.gz VTLRLXPKVHTYGJ-UHFFFAOYSA-N 0 3 243.354 2.853 20 0 BFADHN Cc1nc(CCN[C@@H](C)c2ccncc2)cs1 ZINC000160254905 384397490 /nfs/dbraw/zinc/39/74/90/384397490.db2.gz QIAKYNOYHJFRET-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN COC[C@@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC000045656194 384400469 /nfs/dbraw/zinc/40/04/69/384400469.db2.gz GFBNSCRGEWHVII-UWVGGRQHSA-N 0 3 243.297 2.898 20 0 BFADHN CCOCCNCc1c(C)oc2ccccc21 ZINC000121253991 384407483 /nfs/dbraw/zinc/40/74/83/384407483.db2.gz FHXLHYWMBBLVNN-UHFFFAOYSA-N 0 3 233.311 2.867 20 0 BFADHN Cc1ccc(CN2CCC(C)(CO)CC2)s1 ZINC000135038822 384410028 /nfs/dbraw/zinc/41/00/28/384410028.db2.gz CJZYLKIDLDHMRW-UHFFFAOYSA-N 0 3 239.384 2.651 20 0 BFADHN C[C@H](CCO)N[C@H]1CC(C)(C)Cc2occc21 ZINC000121329822 384410725 /nfs/dbraw/zinc/41/07/25/384410725.db2.gz WIFFNQGLILBLCE-PWSUYJOCSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1ccc(F)c(Cl)c1 ZINC000160604135 384422752 /nfs/dbraw/zinc/42/27/52/384422752.db2.gz FRUHMHZFEWAPNF-MRVPVSSYSA-N 0 3 245.725 2.728 20 0 BFADHN COc1ccccc1CN1CC(C2CC2)C1 ZINC000643345670 384423520 /nfs/dbraw/zinc/42/35/20/384423520.db2.gz FETAFYBMZSPJOV-UHFFFAOYSA-N 0 3 217.312 2.537 20 0 BFADHN CC(C)(CCO)NCc1cc2ccccc2o1 ZINC000135227585 384424848 /nfs/dbraw/zinc/42/48/48/384424848.db2.gz QPVMOEPVBNESDI-UHFFFAOYSA-N 0 3 233.311 2.683 20 0 BFADHN CC1(C)CC(N[C@H]2CCCc3c[nH]nc32)C1 ZINC000335603709 384376038 /nfs/dbraw/zinc/37/60/38/384376038.db2.gz HILPHTOJFHSKOE-NSHDSACASA-N 0 3 219.332 2.565 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C=C[C@H](CO)C2)cc1C ZINC000134637782 384376098 /nfs/dbraw/zinc/37/60/98/384376098.db2.gz RWYYAGPSKFLDIL-OFQRWUPVSA-N 0 3 245.366 2.891 20 0 BFADHN CC(=O)CCN1CCC[C@H]1c1ccc(F)cc1 ZINC000120779874 384379874 /nfs/dbraw/zinc/37/98/74/384379874.db2.gz LPYCWUOWZHGRTB-AWEZNQCLSA-N 0 3 235.302 2.942 20 0 BFADHN c1cc(CN[C@@H]2CC=CCC2)c2c(c1)OCCO2 ZINC000076770948 384384530 /nfs/dbraw/zinc/38/45/30/384384530.db2.gz WHHMDCUZKWYVDD-CYBMUJFWSA-N 0 3 245.322 2.656 20 0 BFADHN CC(=O)c1ccccc1OCCN(C)C1CCC1 ZINC000135415890 384444503 /nfs/dbraw/zinc/44/45/03/384444503.db2.gz BCFMVIFXTXURCB-UHFFFAOYSA-N 0 3 247.338 2.752 20 0 BFADHN CCc1ccc(CNCCC2(COC)CC2)o1 ZINC000647227261 384447218 /nfs/dbraw/zinc/44/72/18/384447218.db2.gz YSMLCSDXYYINKG-UHFFFAOYSA-N 0 3 237.343 2.748 20 0 BFADHN CC[C@H](COC)NC1(c2ccccc2OC)CC1 ZINC000647328943 384447796 /nfs/dbraw/zinc/44/77/96/384447796.db2.gz BVNFBUJOZBGHET-GFCCVEGCSA-N 0 3 249.354 2.699 20 0 BFADHN COC(C)(C)C[C@@H](C)N[C@@H](C)c1cncs1 ZINC000121962870 384447800 /nfs/dbraw/zinc/44/78/00/384447800.db2.gz GXNVDFWSXQPGAM-ZJUUUORDSA-N 0 3 242.388 2.997 20 0 BFADHN COC(=O)c1cccc(CN(C)CCC2CC2)c1 ZINC000135297807 384432317 /nfs/dbraw/zinc/43/23/17/384432317.db2.gz UWPPOELQKKGGCD-UHFFFAOYSA-N 0 3 247.338 2.705 20 0 BFADHN CCO[C@@H]1C[C@H](N[C@H](C)c2ccccn2)C1(C)C ZINC000160835899 384440307 /nfs/dbraw/zinc/44/03/07/384440307.db2.gz WRODRGPJNJCPGP-KWCYVHTRSA-N 0 3 248.370 2.936 20 0 BFADHN CC[C@](C)(O)CNCc1cc2ccccc2o1 ZINC000096478535 384462895 /nfs/dbraw/zinc/46/28/95/384462895.db2.gz ROXAXKUUPMGIIG-AWEZNQCLSA-N 0 3 233.311 2.683 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1cc2ccccc2o1 ZINC000161146041 384466342 /nfs/dbraw/zinc/46/63/42/384466342.db2.gz CYTFKUJCXUKCRS-SNVBAGLBSA-N 0 3 233.311 2.682 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc(F)cc(Cl)c2)CO1 ZINC000335662490 384470987 /nfs/dbraw/zinc/47/09/87/384470987.db2.gz FIDMIQAXVYPHBU-PRHODGIISA-N 0 3 243.709 2.746 20 0 BFADHN COC[C@H](N[C@H]1CC[C@@H](C)C1)c1ccco1 ZINC000122305440 384472361 /nfs/dbraw/zinc/47/23/61/384472361.db2.gz ZVHDNYSZCSDVFF-WOPDTQHZSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1ccc(NC(=O)[C@@]2(C)CCCCN2)c(C)c1 ZINC000136128311 384481379 /nfs/dbraw/zinc/48/13/79/384481379.db2.gz RPVPEMVWHAWVLP-OAHLLOKOSA-N 0 3 246.354 2.774 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCC[C@H]2CCC[C@H]21 ZINC000247689971 384483160 /nfs/dbraw/zinc/48/31/60/384483160.db2.gz VRSHAKCXEVZTKC-UKRRQHHQSA-N 0 3 247.386 2.801 20 0 BFADHN C[C@@H](NC[C@H](CO)c1ccccc1)c1ccco1 ZINC000161523076 384499250 /nfs/dbraw/zinc/49/92/50/384499250.db2.gz SBRRKVQRXBASBV-TZMCWYRMSA-N 0 3 245.322 2.706 20 0 BFADHN CCOCCNC1(c2ccccc2)CCC1 ZINC000172581993 384501364 /nfs/dbraw/zinc/50/13/64/384501364.db2.gz MCVMTXLZSKQGKF-UHFFFAOYSA-N 0 3 219.328 2.692 20 0 BFADHN C[C@H](N[C@H]1CCCSC1)c1ccco1 ZINC000061573067 384508110 /nfs/dbraw/zinc/50/81/10/384508110.db2.gz QXHGIZPUZJRXGX-UWVGGRQHSA-N 0 3 211.330 2.826 20 0 BFADHN CC[C@@H](Cc1ccccc1)N[C@@H](C)CF ZINC000290252885 384486769 /nfs/dbraw/zinc/48/67/69/384486769.db2.gz VVYBDUBQWVOXGF-AAEUAGOBSA-N 0 3 209.308 2.955 20 0 BFADHN CC(C)(NCCCCCCO)c1nccs1 ZINC000079323571 384490488 /nfs/dbraw/zinc/49/04/88/384490488.db2.gz RQVXFVWNWGNASH-UHFFFAOYSA-N 0 3 242.388 2.521 20 0 BFADHN Cc1nocc1CN1C[C@@H](C)CC[C@H]1C ZINC000295390681 384491281 /nfs/dbraw/zinc/49/12/81/384491281.db2.gz PVBVFMLVJCOVQP-VHSXEESVSA-N 0 3 208.305 2.603 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2cccnc2N)CC1 ZINC000079397474 384492634 /nfs/dbraw/zinc/49/26/34/384492634.db2.gz XNAXUEIYLHAOAM-ZDUSSCGKSA-N 0 3 247.386 2.922 20 0 BFADHN C[C@]1(O)CCCN(c2ccnc3ccccc32)C1 ZINC000161633826 384511275 /nfs/dbraw/zinc/51/12/75/384511275.db2.gz ZOWZBJOXRPLXJG-HNNXBMFYSA-N 0 3 242.322 2.586 20 0 BFADHN C[C@@H]1C[C@@H](NCc2nccc3ccccc32)CO1 ZINC000647176380 384493657 /nfs/dbraw/zinc/49/36/57/384493657.db2.gz FICFIGZWOZSPQG-DGCLKSJQSA-N 0 3 242.322 2.502 20 0 BFADHN CC[C@@H](N)C(=O)N(Cc1ccccc1)[C@H](C)CC ZINC000037800266 384534727 /nfs/dbraw/zinc/53/47/27/384534727.db2.gz GIFFPRNDCJTOEU-TZMCWYRMSA-N 0 3 248.370 2.551 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)NCc1ccn(C)n1 ZINC000356869738 384514820 /nfs/dbraw/zinc/51/48/20/384514820.db2.gz NPKAEHOKTSKBOL-OLZOCXBDSA-N 0 3 237.391 2.971 20 0 BFADHN C[C@@H](CCO)N[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000122860959 384516662 /nfs/dbraw/zinc/51/66/62/384516662.db2.gz XOUOHKSJYQOMMC-IUCAKERBSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H](CCO)N[C@H](C)c1ccc(F)c(Cl)c1 ZINC000122861596 384516909 /nfs/dbraw/zinc/51/69/09/384516909.db2.gz XOUOHKSJYQOMMC-RKDXNWHRSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H]1C[C@H](NC2(c3ccc(F)cc3)CCC2)CO1 ZINC000647178254 384517574 /nfs/dbraw/zinc/51/75/74/384517574.db2.gz IUYHITZGKYSVTN-FZMZJTMJSA-N 0 3 249.329 2.972 20 0 BFADHN Cn1cccc1CNCc1cccc(Cl)c1 ZINC000049136563 384521391 /nfs/dbraw/zinc/52/13/91/384521391.db2.gz FKPYBULPUFLYLF-UHFFFAOYSA-N 0 3 234.730 2.968 20 0 BFADHN Fc1c(Cl)cccc1CNCC1CC1 ZINC000085447556 384523308 /nfs/dbraw/zinc/52/33/08/384523308.db2.gz NQCWFKKMLOTZPO-UHFFFAOYSA-N 0 3 213.683 2.979 20 0 BFADHN C[C@]1(NCc2cc(Cl)cs2)CCOC1 ZINC000229448207 384524892 /nfs/dbraw/zinc/52/48/92/384524892.db2.gz RNOSHZYXCGNPDT-JTQLQIEISA-N 0 3 231.748 2.670 20 0 BFADHN CC[C@@H](C)N(C)Cc1sc(C)nc1C ZINC000172775306 384528738 /nfs/dbraw/zinc/52/87/38/384528738.db2.gz DGVOQRQLLMYWJA-MRVPVSSYSA-N 0 3 212.362 2.990 20 0 BFADHN Cc1ccc2c(c1C)OC[C@@H]2N[C@@H]1CO[C@@H](C)C1 ZINC000647180739 384543296 /nfs/dbraw/zinc/54/32/96/384543296.db2.gz SCDCCALEGHPCFD-JKOKRWQUSA-N 0 3 247.338 2.504 20 0 BFADHN CCOc1ncccc1CN[C@H](CC)C1CC1 ZINC000151216836 384545215 /nfs/dbraw/zinc/54/52/15/384545215.db2.gz QISXQTRYPHGXSF-CYBMUJFWSA-N 0 3 234.343 2.759 20 0 BFADHN CSCCN(C)CCO[C@H]1CCCC[C@@H]1C ZINC000151161948 384546579 /nfs/dbraw/zinc/54/65/79/384546579.db2.gz LICZMNTVIIGJLT-STQMWFEESA-N 0 3 245.432 2.877 20 0 BFADHN C[C@@H](CN(C)Cc1cccnc1)c1nccs1 ZINC000184001378 384549560 /nfs/dbraw/zinc/54/95/60/384549560.db2.gz QTPXGFSWTHYIGH-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN C[C@H](N[C@H]1CCOC(C)(C)C1)c1cscn1 ZINC000161978623 384551136 /nfs/dbraw/zinc/55/11/36/384551136.db2.gz AWTHSPOFSHIWSJ-UWVGGRQHSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1oc2ccccc2c1CNC[C@@H](O)C1CC1 ZINC000123313781 384551361 /nfs/dbraw/zinc/55/13/61/384551361.db2.gz GHVRNMBPNJULGH-CQSZACIVSA-N 0 3 245.322 2.602 20 0 BFADHN COC[C@@H](C)N[C@@H]1CCSc2ccccc21 ZINC000019904845 384555420 /nfs/dbraw/zinc/55/54/20/384555420.db2.gz SNBBARCRUFKXQX-ZYHUDNBSSA-N 0 3 237.368 2.848 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC2CCC(O)CC2)o1 ZINC000019918586 384559034 /nfs/dbraw/zinc/55/90/34/384559034.db2.gz QBYLTUKFEJDOPF-MLCFOIATSA-N 0 3 249.354 2.796 20 0 BFADHN CCC[C@@H](C)CN1CC[S@](=O)C(C)(C)CC1 ZINC000449570343 384559903 /nfs/dbraw/zinc/55/99/03/384559903.db2.gz HCBQYVDKXIWRSP-WBMJQRKESA-N 0 3 245.432 2.656 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1ccc(F)c(F)c1 ZINC000019963750 384563347 /nfs/dbraw/zinc/56/33/47/384563347.db2.gz SXBGRNIWNSDRFE-MWLCHTKSSA-N 0 3 241.281 2.794 20 0 BFADHN Cc1nc(CN2CC[C@H](C)[C@@H]2C)sc1C ZINC000176388830 384538682 /nfs/dbraw/zinc/53/86/82/384538682.db2.gz LEHAQMBKLRLOPY-WPRPVWTQSA-N 0 3 224.373 2.990 20 0 BFADHN C[C@H](O)CCN(C)Cc1ccc(C(F)F)cc1 ZINC000183951484 384540931 /nfs/dbraw/zinc/54/09/31/384540931.db2.gz OSUSNDFXHFFUML-JTQLQIEISA-N 0 3 243.297 2.827 20 0 BFADHN CSCCN(C)Cc1ccccc1N(C)C ZINC000138245631 384568331 /nfs/dbraw/zinc/56/83/31/384568331.db2.gz JYYAVJPADQYFJM-UHFFFAOYSA-N 0 3 238.400 2.547 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC(F)(F)C2)on1 ZINC000306057408 384569622 /nfs/dbraw/zinc/56/96/22/384569622.db2.gz XYEXHODYLQSOON-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN Cc1nnsc1CNCC1CCC(C)CC1 ZINC000309738422 384570443 /nfs/dbraw/zinc/57/04/43/384570443.db2.gz HCVANSHLEKLLMJ-UHFFFAOYSA-N 0 3 239.388 2.762 20 0 BFADHN c1nc(CN[C@@H]2CCc3ccccc3C2)cs1 ZINC000049899741 384574397 /nfs/dbraw/zinc/57/43/97/384574397.db2.gz HTZFSIMCXMBMAA-CYBMUJFWSA-N 0 3 244.363 2.790 20 0 BFADHN C[C@H](NCCN(C)C)c1ccc(F)c(Cl)c1 ZINC000020078293 384574636 /nfs/dbraw/zinc/57/46/36/384574636.db2.gz FBROFAPCVBXTKF-VIFPVBQESA-N 0 3 244.741 2.691 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@@H](C)CC2(C)C)n1 ZINC000271210607 384576065 /nfs/dbraw/zinc/57/60/65/384576065.db2.gz YEASIXDHHQNZOH-JTQLQIEISA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@@H](C)CC2(C)C)n1 ZINC000271210607 384576070 /nfs/dbraw/zinc/57/60/70/384576070.db2.gz YEASIXDHHQNZOH-JTQLQIEISA-N 0 3 234.343 2.716 20 0 BFADHN CC[C@H](C)C[C@H](CO)N[C@H](C)c1ccoc1 ZINC000647336548 384577074 /nfs/dbraw/zinc/57/70/74/384577074.db2.gz BYVKAECWXZEGTN-DMDPSCGWSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1nnsc1CNC[C@@H]1CCCC[C@@H]1C ZINC000309751326 384578450 /nfs/dbraw/zinc/57/84/50/384578450.db2.gz KOOZFIKRGXAATA-ONGXEEELSA-N 0 3 239.388 2.762 20 0 BFADHN CC[C@H](C)C[C@H](CO)N[C@H](C)c1cccnc1 ZINC000647337283 384579487 /nfs/dbraw/zinc/57/94/87/384579487.db2.gz NEBMHPAJEVKCMX-OUCADQQQSA-N 0 3 236.359 2.529 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1c[nH]cn1 ZINC000184233549 384582759 /nfs/dbraw/zinc/58/27/59/384582759.db2.gz XAWNZUYOFFVXOW-GFCCVEGCSA-N 0 3 207.321 2.564 20 0 BFADHN Cc1cc(C)n(CCCNCc2ccc(C)o2)n1 ZINC000098081505 384590883 /nfs/dbraw/zinc/59/08/83/384590883.db2.gz HOEOVLKNGLEPCC-UHFFFAOYSA-N 0 3 247.342 2.581 20 0 BFADHN CC[C@H](CN(Cc1ccccn1)C(C)C)OC ZINC000561234860 384583620 /nfs/dbraw/zinc/58/36/20/384583620.db2.gz ILNHLGWXNFORBU-CQSZACIVSA-N 0 3 236.359 2.717 20 0 BFADHN C[C@@](O)(CNCc1ccccc1Cl)C1CC1 ZINC000309757046 384584895 /nfs/dbraw/zinc/58/48/95/384584895.db2.gz LSNDQQVMORIUAI-CYBMUJFWSA-N 0 3 239.746 2.591 20 0 BFADHN COc1ncc(CN(C)C2CCC2)cc1Cl ZINC000533558867 384565392 /nfs/dbraw/zinc/56/53/92/384565392.db2.gz MQBZCTJVZKTCIL-UHFFFAOYSA-N 0 3 240.734 2.728 20 0 BFADHN CN(CCn1ccnc1)Cc1cccc(Cl)c1 ZINC000162229564 384590148 /nfs/dbraw/zinc/59/01/48/384590148.db2.gz WELJJIOYXNOEOX-UHFFFAOYSA-N 0 3 249.745 2.669 20 0 BFADHN COCc1ccc(CNC[C@H]2CC[C@@H](C)C2)o1 ZINC000355597729 384603669 /nfs/dbraw/zinc/60/36/69/384603669.db2.gz KWRQVOQNBKJGNL-NEPJUHHUSA-N 0 3 237.343 2.952 20 0 BFADHN COc1ccccc1[C@@H](CO)N[C@H]1CCC1(C)C ZINC000517976491 384606581 /nfs/dbraw/zinc/60/65/81/384606581.db2.gz PVGUDNNRCABUDR-OCCSQVGLSA-N 0 3 249.354 2.507 20 0 BFADHN Cc1cscc1N[C@H]1CN(C2CC2)C[C@@H]1C ZINC000309791693 384611623 /nfs/dbraw/zinc/61/16/23/384611623.db2.gz PJPXEZSOJHSIPY-CABZTGNLSA-N 0 3 236.384 2.951 20 0 BFADHN C[C@H](NCCc1ccc(O)cc1)c1cscn1 ZINC000162386514 384612889 /nfs/dbraw/zinc/61/28/89/384612889.db2.gz MVXHDHCZRIIYTK-JTQLQIEISA-N 0 3 248.351 2.742 20 0 BFADHN C[C@@H](NC[C@@H]1CCC=CO1)c1cccc(O)c1 ZINC000050269041 384616050 /nfs/dbraw/zinc/61/60/50/384616050.db2.gz QWAVAVAIIJUARR-RISCZKNCSA-N 0 3 233.311 2.736 20 0 BFADHN CO[C@]1(C)C[C@@H](N[C@H](C)c2ccccn2)C1(C)C ZINC000162400918 384617020 /nfs/dbraw/zinc/61/70/20/384617020.db2.gz LWSFEAAWJVYOAP-UXIGCNINSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@H]1C[C@@H](NC2(c3cccc(F)c3)CC2)CO1 ZINC000647185867 384619650 /nfs/dbraw/zinc/61/96/50/384619650.db2.gz SYMNFPRBVHUSCW-GXFFZTMASA-N 0 3 235.302 2.582 20 0 BFADHN CCOC[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC000081521342 384622863 /nfs/dbraw/zinc/62/28/63/384622863.db2.gz GZCIOZTUEAHNPJ-ZDUSSCGKSA-N 0 3 237.318 2.684 20 0 BFADHN C1CN[C@@H](c2nc(C3CCCCC3)no2)C1 ZINC000021813553 384623025 /nfs/dbraw/zinc/62/30/25/384623025.db2.gz FYNNUNVZSDQMPV-SNVBAGLBSA-N 0 3 221.304 2.542 20 0 BFADHN C[C@H](NCc1ccc(F)cn1)C1CCCC1 ZINC000309802342 384623124 /nfs/dbraw/zinc/62/31/24/384623124.db2.gz RJSUZANNFMLHHV-JTQLQIEISA-N 0 3 222.307 2.889 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1ccc2c(c1)COC2 ZINC000647350418 384625535 /nfs/dbraw/zinc/62/55/35/384625535.db2.gz VBBMNLZEROEPBF-HFAKWTLXSA-N 0 3 247.338 2.545 20 0 BFADHN C[C@H](NCCO)c1ccc(Cl)c(Cl)c1 ZINC000020596911 384628092 /nfs/dbraw/zinc/62/80/92/384628092.db2.gz HZZVXYNSMZOBMA-ZETCQYMHSA-N 0 3 234.126 2.636 20 0 BFADHN C[C@@H](NCCC(C)(C)O)c1cccc(F)c1F ZINC000271680351 384629276 /nfs/dbraw/zinc/62/92/76/384629276.db2.gz BMHFRENOFSFGGB-SECBINFHSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@@H]1[C@@H](CO)CCN1Cc1cccc(Cl)c1 ZINC000335897410 384591644 /nfs/dbraw/zinc/59/16/44/384591644.db2.gz IGYJOHAXRSJJPS-ZYHUDNBSSA-N 0 3 239.746 2.543 20 0 BFADHN Cc1ccc(CN(CCO)C2CCCCC2)o1 ZINC000050106471 384592790 /nfs/dbraw/zinc/59/27/90/384592790.db2.gz QGFUWUWEYANODR-UHFFFAOYSA-N 0 3 237.343 2.715 20 0 BFADHN CCC(O)(CC)CN[C@H](C)c1cncc(C)c1 ZINC000184329116 384595823 /nfs/dbraw/zinc/59/58/23/384595823.db2.gz WQSBLWHIVVEDNQ-GFCCVEGCSA-N 0 3 236.359 2.592 20 0 BFADHN CC[C@H](CO)N[C@H](C)c1cc2ccccc2o1 ZINC000020195733 384596317 /nfs/dbraw/zinc/59/63/17/384596317.db2.gz GLFDLPOVEMNGSS-ZYHUDNBSSA-N 0 3 233.311 2.854 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000556887283 384598331 /nfs/dbraw/zinc/59/83/31/384598331.db2.gz SRSSARHLQWRNKF-CRWXNKLISA-N 0 3 248.370 2.997 20 0 BFADHN CC1(C)SC[C@@H]1NC/C=C/c1ccncc1 ZINC000309770463 384598759 /nfs/dbraw/zinc/59/87/59/384598759.db2.gz KIUDWAPHERVLHU-PCAWENJQSA-N 0 3 234.368 2.578 20 0 BFADHN Cc1cccnc1[C@H](N[C@H]1CO[C@H](C)C1)C(C)C ZINC000647183656 384600880 /nfs/dbraw/zinc/60/08/80/384600880.db2.gz GYTALMVCHDXLPA-MGPQQGTHSA-N 0 3 248.370 2.854 20 0 BFADHN C[C@H](CCC1CC1)N[C@H](CO)c1ccsc1 ZINC000309805559 384632347 /nfs/dbraw/zinc/63/23/47/384632347.db2.gz XMGWACNJWGTCLE-ZWNOBZJWSA-N 0 3 239.384 2.950 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccncc1)C(C)(C)C ZINC000173210984 384663539 /nfs/dbraw/zinc/66/35/39/384663539.db2.gz ATSUGRHEWQKWFF-YPMHNXCESA-N 0 3 236.359 2.793 20 0 BFADHN Cc1ccsc1[C@H](CO)N[C@@H]1C=CCCC1 ZINC000309849474 384667197 /nfs/dbraw/zinc/66/71/97/384667197.db2.gz IJWHFAHSGJZHNL-NEPJUHHUSA-N 0 3 237.368 2.788 20 0 BFADHN C[C@H]1CN(C[C@H]2CCCC(C)(C)C2)CCO1 ZINC000556941208 384668749 /nfs/dbraw/zinc/66/87/49/384668749.db2.gz WBZMAMBULSNCDN-STQMWFEESA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H](CO)[C@H](C)NCc1cc(Cl)ccc1F ZINC000162705285 384671579 /nfs/dbraw/zinc/67/15/79/384671579.db2.gz SBTMWVCCKFTMHT-IUCAKERBSA-N 0 3 245.725 2.586 20 0 BFADHN CCCCN(CC)C(=O)[C@@H]1CCCCN1CC ZINC000104201310 384672571 /nfs/dbraw/zinc/67/25/71/384672571.db2.gz ABDUGKTVVNBRLL-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN COc1cc(CNCc2ccn(C)c2)ccc1C ZINC000124433064 384638180 /nfs/dbraw/zinc/63/81/80/384638180.db2.gz FHDRQGHTKCJOEE-UHFFFAOYSA-N 0 3 244.338 2.632 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)CCOCC1CC1 ZINC000162537730 384642300 /nfs/dbraw/zinc/64/23/00/384642300.db2.gz VERZRVGLEBOENI-HNNXBMFYSA-N 0 3 248.370 2.891 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2ncccc2C)C1(C)C ZINC000162571590 384649327 /nfs/dbraw/zinc/64/93/27/384649327.db2.gz ZQBJSSCWUZEODC-UKRRQHHQSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC2(C)C)nc1C ZINC000313785682 384650651 /nfs/dbraw/zinc/65/06/51/384650651.db2.gz BRYVRMFAXFKKPB-CYBMUJFWSA-N 0 3 218.344 2.977 20 0 BFADHN CC/C=C\CNCc1ccc(N(C)C(C)C)nc1 ZINC000449455788 384654237 /nfs/dbraw/zinc/65/42/37/384654237.db2.gz LUUWSZWJUMJWED-SREVYHEPSA-N 0 3 247.386 2.982 20 0 BFADHN C[C@@H](NCCCCCO)c1ccccc1F ZINC000051021119 384656489 /nfs/dbraw/zinc/65/64/89/384656489.db2.gz OIAJFIWFHHQEQO-LLVKDONJSA-N 0 3 225.307 2.639 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(CC)s1 ZINC000041062316 384656822 /nfs/dbraw/zinc/65/68/22/384656822.db2.gz PMDTTZCOTLVGAB-JTQLQIEISA-N 0 3 227.373 2.998 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1CC1(C)C ZINC000272008918 384659736 /nfs/dbraw/zinc/65/97/36/384659736.db2.gz FSUVQUUROQXXCP-JQWIXIFHSA-N 0 3 204.317 2.839 20 0 BFADHN Clc1ccccc1CNCC1=CCCOC1 ZINC000124617163 384660222 /nfs/dbraw/zinc/66/02/22/384660222.db2.gz XARWTSCUGYOJSQ-UHFFFAOYSA-N 0 3 237.730 2.776 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CC[C@@H]1C1CC1 ZINC000309933336 384723101 /nfs/dbraw/zinc/72/31/01/384723101.db2.gz YWUDDEYPFRXNML-DGCLKSJQSA-N 0 3 220.316 2.570 20 0 BFADHN Cc1cc(CN(C)CC[C@@H](C)O)c(C)s1 ZINC000173819459 384724638 /nfs/dbraw/zinc/72/46/38/384724638.db2.gz MTQJCQBPGHWZIY-SECBINFHSA-N 0 3 227.373 2.568 20 0 BFADHN Cc1ccccc1N1CCN(C(C)C)CC1 ZINC000102689156 384724828 /nfs/dbraw/zinc/72/48/28/384724828.db2.gz PUQRAXDGUKKANI-UHFFFAOYSA-N 0 3 218.344 2.525 20 0 BFADHN COc1ccc2c(c1F)CC[C@@H]2N[C@@H]1CC12CC2 ZINC000335249770 384678564 /nfs/dbraw/zinc/67/85/64/384678564.db2.gz RKZALNANPUYCOU-WCQYABFASA-N 0 3 247.313 2.964 20 0 BFADHN Clc1cccc(CN2CC[C@@H](C3CC3)C2)n1 ZINC000374310586 384679535 /nfs/dbraw/zinc/67/95/35/384679535.db2.gz QAYQYRFBNVTKBJ-LLVKDONJSA-N 0 3 236.746 2.967 20 0 BFADHN Cc1nocc1CN[C@@H]1CCc2ccccc2C1 ZINC000294224632 384681647 /nfs/dbraw/zinc/68/16/47/384681647.db2.gz SNLZQISFHPATMO-OAHLLOKOSA-N 0 3 242.322 2.630 20 0 BFADHN CCCc1ncc(CN[C@@H](C)C2CC2)o1 ZINC000449612647 384726293 /nfs/dbraw/zinc/72/62/93/384726293.db2.gz NPTSJDMQLPCJLM-VIFPVBQESA-N 0 3 208.305 2.515 20 0 BFADHN c1cc(CN2CCC[C@H]2Cc2ccccc2)n[nH]1 ZINC000124986323 384689851 /nfs/dbraw/zinc/68/98/51/384689851.db2.gz UFVAJEUYGWDXGQ-HNNXBMFYSA-N 0 3 241.338 2.617 20 0 BFADHN CSC[C@H](C)NCc1cc(F)c(F)c(F)c1 ZINC000124997115 384690482 /nfs/dbraw/zinc/69/04/82/384690482.db2.gz CQRFJECVPQVHRL-ZETCQYMHSA-N 0 3 249.301 2.945 20 0 BFADHN C[C@@H](N[C@H](CCO)C(C)(C)C)c1ccccn1 ZINC000185199135 384690812 /nfs/dbraw/zinc/69/08/12/384690812.db2.gz NAOFYYSWCBBYIF-DGCLKSJQSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1cccc2c1ncc(C)c2NC[C@H](C)O ZINC000185248367 384693889 /nfs/dbraw/zinc/69/38/89/384693889.db2.gz TYMGAEBQJJPMGS-NSHDSACASA-N 0 3 230.311 2.644 20 0 BFADHN C[C@H](O)CN(C)Cc1csc2ccccc12 ZINC000082584638 384693829 /nfs/dbraw/zinc/69/38/29/384693829.db2.gz WHOZQWLNRDCNPL-JTQLQIEISA-N 0 3 235.352 2.714 20 0 BFADHN CCc1ccc(CN[C@H]2CSC2(C)C)cn1 ZINC000309900035 384697426 /nfs/dbraw/zinc/69/74/26/384697426.db2.gz HFQQWKFAXBZMFS-LBPRGKRZSA-N 0 3 236.384 2.628 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC[C@@H]1C1CCC1 ZINC000309895498 384698746 /nfs/dbraw/zinc/69/87/46/384698746.db2.gz FSQDLDZDDYGTDA-GHMZBOCLSA-N 0 3 237.372 2.515 20 0 BFADHN Cc1ccc(CN2CCC3(CCC3)C2)nc1 ZINC000170683987 384700185 /nfs/dbraw/zinc/70/01/85/384700185.db2.gz BFTPXLMVTLNHCO-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CCCCCN(C[C@@H](O)C(F)(F)F)C(C)C ZINC000082681599 384703961 /nfs/dbraw/zinc/70/39/61/384703961.db2.gz PRBXGGGJBAGPQX-SNVBAGLBSA-N 0 3 241.297 2.810 20 0 BFADHN CC1(C)OCC[C@H]1NCc1ccc(F)c(F)c1 ZINC000335919540 384706724 /nfs/dbraw/zinc/70/67/24/384706724.db2.gz YEXHWGOGXCOETE-GFCCVEGCSA-N 0 3 241.281 2.622 20 0 BFADHN CCCc1ncc(CN[C@@H]2CCC[C@@H]2C)o1 ZINC000449609239 384707739 /nfs/dbraw/zinc/70/77/39/384707739.db2.gz AYUBYMFDPFRKIR-CMPLNLGQSA-N 0 3 222.332 2.905 20 0 BFADHN C[C@@H](CNCc1ccc(Cl)cc1F)N(C)C ZINC000162957632 384708616 /nfs/dbraw/zinc/70/86/16/384708616.db2.gz WXXBOVLMQPCKMF-VIFPVBQESA-N 0 3 244.741 2.519 20 0 BFADHN CCc1cc(N[C@H](C)CCO)c2ccccc2n1 ZINC000125331132 384710240 /nfs/dbraw/zinc/71/02/40/384710240.db2.gz HHDOLUVHXJKRLT-LLVKDONJSA-N 0 3 244.338 2.980 20 0 BFADHN CCc1cccc(F)c1CN[C@H]1CCSC1 ZINC000309667393 384711190 /nfs/dbraw/zinc/71/11/90/384711190.db2.gz UUOKYKQGUAQPJD-NSHDSACASA-N 0 3 239.359 2.983 20 0 BFADHN C[C@]1(NCc2ccc(Cl)cc2F)CCOC1 ZINC000162980293 384711294 /nfs/dbraw/zinc/71/12/94/384711294.db2.gz MWDVRVUOISVFSC-LBPRGKRZSA-N 0 3 243.709 2.748 20 0 BFADHN CS[C@@H](C)CNCc1cc(C)ccc1F ZINC000125824171 384711948 /nfs/dbraw/zinc/71/19/48/384711948.db2.gz FMJIQXLGMIYQJC-JTQLQIEISA-N 0 3 227.348 2.975 20 0 BFADHN C[C@H]1OCC[C@@H]1NC1(c2cccc(F)c2)CCC1 ZINC000647233449 384715353 /nfs/dbraw/zinc/71/53/53/384715353.db2.gz UNVXPRWXYUCDFQ-RISCZKNCSA-N 0 3 249.329 2.972 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@@H]2CC)o1 ZINC000449611523 384720150 /nfs/dbraw/zinc/72/01/50/384720150.db2.gz WCFFZXOODVDXGN-ONGXEEELSA-N 0 3 208.305 2.515 20 0 BFADHN C[C@@H](O)CCCN[C@@H](C)c1cccnc1Cl ZINC000279003467 384721576 /nfs/dbraw/zinc/72/15/76/384721576.db2.gz GDAVEIWKFWAXKR-ZJUUUORDSA-N 0 3 242.750 2.547 20 0 BFADHN CCC[C@@H](O)CN[C@@H](CCC)c1cccnc1 ZINC000185436403 384722864 /nfs/dbraw/zinc/72/28/64/384722864.db2.gz RKDGOVWQAANITK-KGLIPLIRSA-N 0 3 236.359 2.673 20 0 BFADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1cncs1 ZINC000306560058 384776333 /nfs/dbraw/zinc/77/63/33/384776333.db2.gz AXBSHYUCYCMTJF-HRDYMLBCSA-N 0 3 228.386 2.688 20 0 BFADHN Cc1ccc(CN[C@@H]2CS[C@@H](C)C2)nc1C ZINC000314065681 384777270 /nfs/dbraw/zinc/77/72/70/384777270.db2.gz SMUMRSDVXPVICC-GWCFXTLKSA-N 0 3 236.384 2.682 20 0 BFADHN CCCn1c2ccccc2nc1CN[C@@H]1C[C@@H]1C ZINC000052275101 384728973 /nfs/dbraw/zinc/72/89/73/384728973.db2.gz LTOBYQBKIVTQKW-WCQYABFASA-N 0 3 243.354 2.944 20 0 BFADHN C[C@@H](O)CCN[C@@H](C)c1ccc(F)cc1Cl ZINC000082993432 384733880 /nfs/dbraw/zinc/73/38/80/384733880.db2.gz FPFKFLNZBOAPAE-BDAKNGLRSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@@H](O)CCN[C@H](C)c1ccc(F)c(Cl)c1 ZINC000082992797 384734067 /nfs/dbraw/zinc/73/40/67/384734067.db2.gz ZBRGDRZABONACI-RKDXNWHRSA-N 0 3 245.725 2.901 20 0 BFADHN CC(C)C1CCN(Cc2ccccn2)CC1 ZINC000143499279 384736148 /nfs/dbraw/zinc/73/61/48/384736148.db2.gz KDPYJYWRGIHFOT-UHFFFAOYSA-N 0 3 218.344 2.950 20 0 BFADHN CC1(C)C(CN[C@@H]2CCn3ccnc32)C1(C)C ZINC000335953931 384736591 /nfs/dbraw/zinc/73/65/91/384736591.db2.gz IYEWKCWNQUFVCD-SNVBAGLBSA-N 0 3 233.359 2.600 20 0 BFADHN Cc1cnc([C@@H](C)NCCC2CC2)s1 ZINC000126113704 384737730 /nfs/dbraw/zinc/73/77/30/384737730.db2.gz BKRLOQRNQCCQAQ-SECBINFHSA-N 0 3 210.346 2.902 20 0 BFADHN CC(C)[C@H]1C[C@@H](N[C@H]2CCC[C@H]2F)CS1 ZINC000518147933 384738060 /nfs/dbraw/zinc/73/80/60/384738060.db2.gz KBKCCZLAHYSBJM-WISYIIOYSA-N 0 3 231.380 2.997 20 0 BFADHN CCc1ccc(CN[C@H]2C=CCCC2)cn1 ZINC000309958324 384740514 /nfs/dbraw/zinc/74/05/14/384740514.db2.gz JSXNXAZBKMQHAH-AWEZNQCLSA-N 0 3 216.328 2.842 20 0 BFADHN C[C@@H]1[C@@H](CO)CCN1Cc1ccc(Cl)cc1 ZINC000335959287 384740849 /nfs/dbraw/zinc/74/08/49/384740849.db2.gz SQJAWGLTWHNYDM-ZYHUDNBSSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H](O)C[C@@H]1CCCCN1Cc1ccsc1 ZINC000163114892 384742206 /nfs/dbraw/zinc/74/22/06/384742206.db2.gz QYXVDMJVLVROFJ-AAEUAGOBSA-N 0 3 239.384 2.874 20 0 BFADHN COC[C@@H](C)NCc1oc2ccccc2c1C ZINC000174100557 384757655 /nfs/dbraw/zinc/75/76/55/384757655.db2.gz OORBVNAATYXZDG-SNVBAGLBSA-N 0 3 233.311 2.866 20 0 BFADHN CN(CCc1cccs1)Cc1ccncc1 ZINC000174159680 384758688 /nfs/dbraw/zinc/75/86/88/384758688.db2.gz ORLAAICIOWYBJN-UHFFFAOYSA-N 0 3 232.352 2.818 20 0 BFADHN Fc1cc(Cl)cc(CNC[C@H]2CCCO2)c1 ZINC000083372126 384764705 /nfs/dbraw/zinc/76/47/05/384764705.db2.gz KCEQPMOUYPWNKI-GFCCVEGCSA-N 0 3 243.709 2.748 20 0 BFADHN Fc1c(Cl)cccc1CNC[C@@H]1CCCO1 ZINC000083372123 384765038 /nfs/dbraw/zinc/76/50/38/384765038.db2.gz NHYFKQLBRBAHSQ-JTQLQIEISA-N 0 3 243.709 2.748 20 0 BFADHN Cn1ccc(CN[C@@H](C2CCC2)C2CCCC2)n1 ZINC000647235758 384772237 /nfs/dbraw/zinc/77/22/37/384772237.db2.gz DHEJJJIVLCZBMB-OAHLLOKOSA-N 0 3 247.386 2.869 20 0 BFADHN Cc1ccc(CNC[C@]2(C)CCCS2)o1 ZINC000087620502 384815866 /nfs/dbraw/zinc/81/58/66/384815866.db2.gz NBFIYJOUNQECGQ-LBPRGKRZSA-N 0 3 225.357 2.963 20 0 BFADHN C[C@@]1(CNCc2ccco2)CCCS1 ZINC000087620426 384816454 /nfs/dbraw/zinc/81/64/54/384816454.db2.gz ALLYNAWVSMWPLH-NSHDSACASA-N 0 3 211.330 2.655 20 0 BFADHN COc1ccc(C)cc1CNC[C@H]1CC[C@@H](C)O1 ZINC000398149785 384818273 /nfs/dbraw/zinc/81/82/73/384818273.db2.gz NAEYRDXFIKWSHL-TZMCWYRMSA-N 0 3 249.354 2.661 20 0 BFADHN CCCC[C@@H](C(=O)OC)N(C)C[C@@H](C)CC ZINC000160799321 384837520 /nfs/dbraw/zinc/83/75/20/384837520.db2.gz HXWRNGBVWSOJCL-RYUDHWBXSA-N 0 3 229.364 2.696 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C(C)(C)C2CCC2)n1 ZINC000398181209 384829321 /nfs/dbraw/zinc/82/93/21/384829321.db2.gz SPEPUJAUJWGXHM-UHFFFAOYSA-N 0 3 234.343 2.764 20 0 BFADHN Cc1sccc1CNC[C@@H]1CC[C@@H](C)O1 ZINC000398178838 384831073 /nfs/dbraw/zinc/83/10/73/384831073.db2.gz RTNAIKNJDYFRQK-SKDRFNHKSA-N 0 3 225.357 2.714 20 0 BFADHN CC[C@H](N[C@H]1CCCOC1)c1ccsc1 ZINC000294420551 384832001 /nfs/dbraw/zinc/83/20/01/384832001.db2.gz OGTBRHUOLORVNV-RYUDHWBXSA-N 0 3 225.357 2.968 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1ccc(Cl)nc1 ZINC000126812772 384834444 /nfs/dbraw/zinc/83/44/44/384834444.db2.gz NBCNYELRJCPFCG-KOLCDFICSA-N 0 3 240.734 2.565 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1ccc(Cl)nc1 ZINC000126846894 384838065 /nfs/dbraw/zinc/83/80/65/384838065.db2.gz MEDUZJFCDRNUCF-CABZTGNLSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@@H](C)CNCc1noc2c1CCCC2 ZINC000310009253 384783147 /nfs/dbraw/zinc/78/31/47/384783147.db2.gz HYVVKQSSSONFCK-SNVBAGLBSA-N 0 3 222.332 2.689 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@H](C)C2)c(C)c1 ZINC000335664537 384835955 /nfs/dbraw/zinc/83/59/55/384835955.db2.gz RFZLHJSQBMGZKU-TZMCWYRMSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1occc1CN[C@@H](C)C[C@@H]1CCCO1 ZINC000309736401 384785878 /nfs/dbraw/zinc/78/58/78/384785878.db2.gz GOVKSJMRILLBPB-GWCFXTLKSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1ccoc1CNCCc1ccco1 ZINC000126628123 384789323 /nfs/dbraw/zinc/78/93/23/384789323.db2.gz APMYLNQLTQWHJT-UHFFFAOYSA-N 0 3 205.257 2.513 20 0 BFADHN C[C@H](CO)CNCc1cc(Cl)ccc1Cl ZINC000083698518 384792589 /nfs/dbraw/zinc/79/25/89/384792589.db2.gz ZPVNVSQYZNYYFC-QMMMGPOBSA-N 0 3 248.153 2.711 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2n[nH]cc2C)C1 ZINC000310014230 384794090 /nfs/dbraw/zinc/79/40/90/384794090.db2.gz KDQHYUIVYUFGLB-VXGBXAGGSA-N 0 3 221.348 2.777 20 0 BFADHN CC[C@H](N[C@H]1C[C@H](O)C1(C)C)c1ccsc1 ZINC000294385404 384795774 /nfs/dbraw/zinc/79/57/74/384795774.db2.gz JCLQBJLTCKQLHY-SRVKXCTJSA-N 0 3 239.384 2.948 20 0 BFADHN COC[C@H](NCc1ccc(Cl)s1)C1CC1 ZINC000087480740 384797185 /nfs/dbraw/zinc/79/71/85/384797185.db2.gz RPSOZIKYWWNQIA-JTQLQIEISA-N 0 3 245.775 2.916 20 0 BFADHN C[C@@H](CNCc1ccco1)Oc1ccc(F)cc1 ZINC000053566775 384798158 /nfs/dbraw/zinc/79/81/58/384798158.db2.gz OSCCJDVGKFSEAQ-NSHDSACASA-N 0 3 249.285 2.976 20 0 BFADHN c1cc(CN2CCC[C@H]2C2CCCC2)no1 ZINC000077268842 384800296 /nfs/dbraw/zinc/80/02/96/384800296.db2.gz CCBULALSHVNVCY-ZDUSSCGKSA-N 0 3 220.316 2.829 20 0 BFADHN CCOCCN[C@H](CC)c1ccsc1 ZINC000294461367 384870872 /nfs/dbraw/zinc/87/08/72/384870872.db2.gz UHGNZFFVYXLIBQ-LLVKDONJSA-N 0 3 213.346 2.825 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@H]1C)c1nccs1 ZINC000308612599 384873435 /nfs/dbraw/zinc/87/34/35/384873435.db2.gz RCBNZZZIGBPTOO-UTLUCORTSA-N 0 3 210.346 2.840 20 0 BFADHN CS[C@@H](CNCc1c[nH]nc1C)C(C)(C)C ZINC000309862935 384879057 /nfs/dbraw/zinc/87/90/57/384879057.db2.gz NKYUQJPVSGIBES-NSHDSACASA-N 0 3 241.404 2.585 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCc1cn[nH]c1)CCC2 ZINC000644436796 384881319 /nfs/dbraw/zinc/88/13/19/384881319.db2.gz YZGWVSUYXYLVFQ-OAHLLOKOSA-N 0 3 241.338 2.885 20 0 BFADHN CSCCCCN[C@H](C)c1cc(C)n(C)n1 ZINC000309885139 384883842 /nfs/dbraw/zinc/88/38/42/384883842.db2.gz XSYLDUVSGFLOFZ-LLVKDONJSA-N 0 3 241.404 2.522 20 0 BFADHN Cc1cnn(CCNCc2ccc(Cl)cc2)c1 ZINC000105881269 384886426 /nfs/dbraw/zinc/88/64/26/384886426.db2.gz QWNXWRMICQIVQH-UHFFFAOYSA-N 0 3 249.745 2.635 20 0 BFADHN Cc1ccc(CN[C@H]2CCC[C@H](C)CC2)nn1 ZINC000335181569 384845490 /nfs/dbraw/zinc/84/54/90/384845490.db2.gz YVSSVTPERRQDQJ-AAEUAGOBSA-N 0 3 233.359 2.843 20 0 BFADHN C[C@H](NC1CC=CC1)c1cc2n(n1)CCCC2 ZINC000647291606 384845583 /nfs/dbraw/zinc/84/55/83/384845583.db2.gz SWPQWEVKFICHRK-NSHDSACASA-N 0 3 231.343 2.589 20 0 BFADHN CCC[C@H](N)C(=O)Nc1ccc(CC)c(CC)c1 ZINC000236935953 384852130 /nfs/dbraw/zinc/85/21/30/384852130.db2.gz STTOKUPZLGBENX-AWEZNQCLSA-N 0 3 248.370 2.877 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]2C[C@H]2C1)c1cscn1 ZINC000403713667 384854166 /nfs/dbraw/zinc/85/41/66/384854166.db2.gz YARTWTMJUVUFKJ-XWLWVQCSSA-N 0 3 222.357 2.982 20 0 BFADHN c1ncc(CNCCCOc2ccccc2)s1 ZINC000054788465 384854930 /nfs/dbraw/zinc/85/49/30/384854930.db2.gz VDYQRCYDVUDMQG-UHFFFAOYSA-N 0 3 248.351 2.702 20 0 BFADHN Cc1ccc(CNC2(C3(C)CC3)CC2)nc1 ZINC000398222990 384855155 /nfs/dbraw/zinc/85/51/55/384855155.db2.gz QMANRUQQRHKUCD-UHFFFAOYSA-N 0 3 216.328 2.812 20 0 BFADHN CN(Cc1ccc2c(c1)COC(C)(C)O2)C1CC1 ZINC000186618328 384858360 /nfs/dbraw/zinc/85/83/60/384858360.db2.gz MYANAMXDJCITLI-UHFFFAOYSA-N 0 3 247.338 2.926 20 0 BFADHN CSC[C@@H](C)N[C@H](C)c1cccc(O)c1 ZINC000127333384 384859523 /nfs/dbraw/zinc/85/95/23/384859523.db2.gz HYEZWCXIODWVGP-NXEZZACHSA-N 0 3 225.357 2.794 20 0 BFADHN C[C@H](C[C@H](C)O)NCc1sccc1Cl ZINC000308602561 384861850 /nfs/dbraw/zinc/86/18/50/384861850.db2.gz VLMXLRQIBCRLED-SFYZADRCSA-N 0 3 233.764 2.651 20 0 BFADHN CC(C)Cn1cc(CN2CC[C@H](C)[C@@H]2C)cn1 ZINC000248391763 384863109 /nfs/dbraw/zinc/86/31/09/384863109.db2.gz VJNGYNSSFJICGQ-STQMWFEESA-N 0 3 235.375 2.769 20 0 BFADHN CCSCCCNCc1ccccc1OC ZINC000163818528 384863206 /nfs/dbraw/zinc/86/32/06/384863206.db2.gz AUQOTCNOTWWEME-UHFFFAOYSA-N 0 3 239.384 2.928 20 0 BFADHN CC(C)n1cc(CN[C@@H]2CCCC[C@H]2C)nn1 ZINC000310164193 384867347 /nfs/dbraw/zinc/86/73/47/384867347.db2.gz RDZBNDKIWZGOCT-DGCLKSJQSA-N 0 3 236.363 2.527 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1ccccc1F)OC ZINC000362977472 384867771 /nfs/dbraw/zinc/86/77/71/384867771.db2.gz RITNNFJUKFMGLR-RISCZKNCSA-N 0 3 239.334 2.976 20 0 BFADHN C[C@@H](Cc1ccc(O)cc1)NCc1ccco1 ZINC000105447661 384867767 /nfs/dbraw/zinc/86/77/67/384867767.db2.gz LBPOTFGCXJZWKH-NSHDSACASA-N 0 3 231.295 2.706 20 0 BFADHN OCCC1(CNCc2cc(Cl)cs2)CC1 ZINC000156752739 384949157 /nfs/dbraw/zinc/94/91/57/384949157.db2.gz LNDZAWHRUYATFY-UHFFFAOYSA-N 0 3 245.775 2.654 20 0 BFADHN COC[C@H](C)CN1CCC[C@H](C(F)(F)F)C1 ZINC000189546892 384954436 /nfs/dbraw/zinc/95/44/36/384954436.db2.gz DOLCWMJTBUHJEC-ZJUUUORDSA-N 0 3 239.281 2.543 20 0 BFADHN C[C@@H](NCCCC(C)(C)CO)c1cscn1 ZINC000127870288 384956846 /nfs/dbraw/zinc/95/68/46/384956846.db2.gz KKFATUBQIMNWKC-SNVBAGLBSA-N 0 3 242.388 2.592 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ccc(CC)o1 ZINC000309891811 384895873 /nfs/dbraw/zinc/89/58/73/384895873.db2.gz CXECCBGNVAMOMO-WDEREUQCSA-N 0 3 225.332 2.918 20 0 BFADHN CC[C@@H](F)CN1CCSCC[C@H]1C ZINC000306367533 384896095 /nfs/dbraw/zinc/89/60/95/384896095.db2.gz HFYSFTRCVYPZHE-NXEZZACHSA-N 0 3 205.342 2.562 20 0 BFADHN CCC[C@H](NCc1csnn1)C1CCCC1 ZINC000398295005 384896279 /nfs/dbraw/zinc/89/62/79/384896279.db2.gz KANXZHIIHCWUJF-LBPRGKRZSA-N 0 3 239.388 2.987 20 0 BFADHN CCSCC[C@@H](C)NCc1cnn(CC)c1 ZINC000127491128 384905502 /nfs/dbraw/zinc/90/55/02/384905502.db2.gz NPDRAEDQQHKEHI-LLVKDONJSA-N 0 3 241.404 2.524 20 0 BFADHN Cc1c(CNCc2ccc(C)c(C)c2)cnn1C ZINC000156609496 384907974 /nfs/dbraw/zinc/90/79/74/384907974.db2.gz BYILZKZGRKBFLB-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN Cl/C=C/CN1CC[C@@H](Cc2ccncc2)C1 ZINC000364048531 384909068 /nfs/dbraw/zinc/90/90/68/384909068.db2.gz VHWNBQTULYQLDN-CCRVJLMDSA-N 0 3 236.746 2.699 20 0 BFADHN CCCC[C@@](C)(CO)NCc1ccc(CC)o1 ZINC000647240018 384911659 /nfs/dbraw/zinc/91/16/59/384911659.db2.gz XTVKCBXAOKHISS-AWEZNQCLSA-N 0 3 239.359 2.873 20 0 BFADHN CCCC[C@@](C)(CO)NCc1ccccc1F ZINC000647239852 384912895 /nfs/dbraw/zinc/91/28/95/384912895.db2.gz QGNPTNGAVXHVTD-AWEZNQCLSA-N 0 3 239.334 2.857 20 0 BFADHN Cc1csc([C@H](C)NCC[C@H](C)F)n1 ZINC000308684067 384913722 /nfs/dbraw/zinc/91/37/22/384913722.db2.gz YQDGCHYRLAGKMB-CBAPKCEASA-N 0 3 216.325 2.850 20 0 BFADHN CCCC[C@@](C)(CO)NCc1ccc(C)o1 ZINC000647240033 384914063 /nfs/dbraw/zinc/91/40/63/384914063.db2.gz YFROKAKQUDJVIB-ZDUSSCGKSA-N 0 3 225.332 2.619 20 0 BFADHN C[C@@H](O)CN(C)Cc1ccc(Cl)c(Cl)c1 ZINC000051821344 384917884 /nfs/dbraw/zinc/91/78/84/384917884.db2.gz FLELBPOXORJRGB-MRVPVSSYSA-N 0 3 248.153 2.806 20 0 BFADHN C[C@H](O)CN(C)Cc1ccc(Cl)c(Cl)c1 ZINC000051821343 384918883 /nfs/dbraw/zinc/91/88/83/384918883.db2.gz FLELBPOXORJRGB-QMMMGPOBSA-N 0 3 248.153 2.806 20 0 BFADHN C[C@H](CCO)CNCc1ccc(F)cc1Cl ZINC000085130317 384922022 /nfs/dbraw/zinc/92/20/22/384922022.db2.gz BHHDOONUKOQDAT-SECBINFHSA-N 0 3 245.725 2.587 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccc(Cl)c(F)c1 ZINC000127659050 384930413 /nfs/dbraw/zinc/93/04/13/384930413.db2.gz VOUFARJSBRTPRH-SECBINFHSA-N 0 3 245.725 2.946 20 0 BFADHN CS[C@H](C)CNCc1cc(F)ccc1F ZINC000128123566 384931845 /nfs/dbraw/zinc/93/18/45/384931845.db2.gz MDIBLSKHUOKENN-MRVPVSSYSA-N 0 3 231.311 2.806 20 0 BFADHN c1cnc2c(c1)CCC[C@H]2N[C@H]1CC12CC2 ZINC000643907933 384933954 /nfs/dbraw/zinc/93/39/54/384933954.db2.gz OWRGGWYBGCXIIR-NEPJUHHUSA-N 0 3 214.312 2.601 20 0 BFADHN Cc1occc1CNC[C@H](O)CC1CCCC1 ZINC000644527175 384936435 /nfs/dbraw/zinc/93/64/35/384936435.db2.gz RYBUSLQRXILFOG-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN CC(C)n1cc(CN[C@@H](C)C2CCCC2)nn1 ZINC000310294253 384944035 /nfs/dbraw/zinc/94/40/35/384944035.db2.gz LHIGRZKBCJZHJF-NSHDSACASA-N 0 3 236.363 2.527 20 0 BFADHN C[C@H](O)CCN(C)Cc1cccc(Cl)c1 ZINC000128412190 384944555 /nfs/dbraw/zinc/94/45/55/384944555.db2.gz RAMYKGICSRHUAG-JTQLQIEISA-N 0 3 227.735 2.543 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@@H]1C[C@H](C)[C@H]1C ZINC000644536293 384945688 /nfs/dbraw/zinc/94/56/88/384945688.db2.gz NIPNCRMFJOAMBD-MRCXROJRSA-N 0 3 248.370 2.841 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CC[C@@H](C)C1 ZINC000085444774 384946118 /nfs/dbraw/zinc/94/61/18/384946118.db2.gz PVUXLNZZLXTTJH-LDYMZIIASA-N 0 3 208.305 2.570 20 0 BFADHN CCC[C@@H](N[C@H]1C[C@H](O)C1(C)C)c1cccnc1 ZINC000187671727 384971342 /nfs/dbraw/zinc/97/13/42/384971342.db2.gz XGAZMWSMHPJEOC-RDBSUJKOSA-N 0 3 248.370 2.672 20 0 BFADHN C[C@H](NC[C@@H]1CCCC1(C)C)c1ncc[nH]1 ZINC000340519606 384976586 /nfs/dbraw/zinc/97/65/86/384976586.db2.gz NDKSSJUEVHXMND-QWRGUYRKSA-N 0 3 221.348 2.887 20 0 BFADHN CCOC[C@H](NCc1cc(C)ns1)C(C)C ZINC000404420105 384982004 /nfs/dbraw/zinc/98/20/04/384982004.db2.gz BWPUXVAOWRWMHX-LBPRGKRZSA-N 0 3 242.388 2.602 20 0 BFADHN COc1cc(C)ccc1[C@H](C)NCC1(C)COC1 ZINC000094721750 384984162 /nfs/dbraw/zinc/98/41/62/384984162.db2.gz HITSULOXKUAUOA-LBPRGKRZSA-N 0 3 249.354 2.691 20 0 BFADHN CSC[C@@H](C)N[C@H](C)c1cnccc1C ZINC000129217175 384984338 /nfs/dbraw/zinc/98/43/38/384984338.db2.gz QUTMJWJQYFYDKC-GHMZBOCLSA-N 0 3 224.373 2.792 20 0 BFADHN FCCCNCc1ccccc1Br ZINC000088024651 384985721 /nfs/dbraw/zinc/98/57/21/384985721.db2.gz QIFRTJHNPNKSCR-UHFFFAOYSA-N 0 3 246.123 2.898 20 0 BFADHN Cc1sccc1CN1CCCO[C@H](CF)C1 ZINC000335638779 384986811 /nfs/dbraw/zinc/98/68/11/384986811.db2.gz LEGDIEORAGCNRI-GFCCVEGCSA-N 0 3 243.347 2.617 20 0 BFADHN C[C@@]1(CNCc2cccc(F)c2F)CCCO1 ZINC000094797811 384988369 /nfs/dbraw/zinc/98/83/69/384988369.db2.gz XSFRXWGKMSFCKK-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1ccon1 ZINC000088052580 384993354 /nfs/dbraw/zinc/99/33/54/384993354.db2.gz DLPXTQACFXKGNI-CMPLNLGQSA-N 0 3 208.305 2.733 20 0 BFADHN CCc1ccc([C@@H](C)NCC2(C)COC2)o1 ZINC000164465527 384997180 /nfs/dbraw/zinc/99/71/80/384997180.db2.gz BSTCULIVVDADDJ-SNVBAGLBSA-N 0 3 223.316 2.529 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCCO2)cc1F ZINC000128113363 384998104 /nfs/dbraw/zinc/99/81/04/384998104.db2.gz KIJKWMHEJQRKAM-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1n[nH]cc1CCCN[C@@H](C)c1ccoc1 ZINC000094869218 384998527 /nfs/dbraw/zinc/99/85/27/384998527.db2.gz DUKXDPVBNLWYJZ-JTQLQIEISA-N 0 3 233.315 2.595 20 0 BFADHN Cc1cc(CNCCc2ccccc2)sn1 ZINC000404453818 384999290 /nfs/dbraw/zinc/99/92/90/384999290.db2.gz HZFOZQDKOXCITO-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN Cc1cc(C)cc(OCCNCc2cc[nH]c2)c1 ZINC000086251694 385002446 /nfs/dbraw/zinc/00/24/46/385002446.db2.gz LLVXMCVRPRAHTQ-UHFFFAOYSA-N 0 3 244.338 2.800 20 0 BFADHN C[C@]1(NCc2ccns2)CC=CCC1 ZINC000404456294 385003075 /nfs/dbraw/zinc/00/30/75/385003075.db2.gz VZUSBCAAMSIAKF-NSHDSACASA-N 0 3 208.330 2.732 20 0 BFADHN COc1ccc(CNCC[C@@H](C)F)cc1F ZINC000339489749 385004264 /nfs/dbraw/zinc/00/42/64/385004264.db2.gz UUVHBMVLFQMJDI-SECBINFHSA-N 0 3 229.270 2.672 20 0 BFADHN Cc1ccc(CNC2(C(C)C)CC2)nc1 ZINC000339502584 385004454 /nfs/dbraw/zinc/00/44/54/385004454.db2.gz QITIVTQCQNGTFD-UHFFFAOYSA-N 0 3 204.317 2.668 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1ccc(F)cc1 ZINC000401883722 385006060 /nfs/dbraw/zinc/00/60/60/385006060.db2.gz OTGHLISDKIIHQL-BREBYQMCSA-N 0 3 223.291 2.654 20 0 BFADHN C[C@@H](F)CCNCc1cc(F)ccc1F ZINC000339494681 385006460 /nfs/dbraw/zinc/00/64/60/385006460.db2.gz LGVPKFFRGMDFME-MRVPVSSYSA-N 0 3 217.234 2.803 20 0 BFADHN Cc1cnc(NC2CCN(C(C)C)CC2)s1 ZINC000302863962 385008457 /nfs/dbraw/zinc/00/84/57/385008457.db2.gz XGCIVYXHKIUIMH-UHFFFAOYSA-N 0 3 239.388 2.736 20 0 BFADHN CCCCCN(C(=O)/C=C\CN(C)C)C(C)C ZINC000491906048 385009785 /nfs/dbraw/zinc/00/97/85/385009785.db2.gz OFXFGWZMWDARAA-KTKRTIGZSA-N 0 3 240.391 2.531 20 0 BFADHN Cc1cc(CNC[C@@]2(C)CCCS2)sn1 ZINC000404462655 385010207 /nfs/dbraw/zinc/01/02/07/385010207.db2.gz PQEHEQAAKIGJJF-LLVKDONJSA-N 0 3 242.413 2.827 20 0 BFADHN Clc1csc(CN[C@@H]2CCCOC2)c1 ZINC000086332829 385010880 /nfs/dbraw/zinc/01/08/80/385010880.db2.gz QSCMCNIVKURXKC-SECBINFHSA-N 0 3 231.748 2.670 20 0 BFADHN Cc1cnc(CN2CC[C@@H]3CCC[C@@H]32)s1 ZINC000336308966 385012227 /nfs/dbraw/zinc/01/22/27/385012227.db2.gz SGPLCHDKRCERRB-QWRGUYRKSA-N 0 3 222.357 2.826 20 0 BFADHN CC(F)(F)CCCNCc1ccns1 ZINC000404467915 385012748 /nfs/dbraw/zinc/01/27/48/385012748.db2.gz XQNRVXZHJVPBND-UHFFFAOYSA-N 0 3 220.288 2.668 20 0 BFADHN C[C@H](NC[C@H]1CC[C@@H](C)O1)c1cccc(O)c1 ZINC000128262833 385016827 /nfs/dbraw/zinc/01/68/27/385016827.db2.gz KOUDVUKSRCUYNW-UHIISALHSA-N 0 3 235.327 2.610 20 0 BFADHN CO[C@H](C)CN[C@@H]1CC(C)(C)Cc2occc21 ZINC000188138145 385016951 /nfs/dbraw/zinc/01/69/51/385016951.db2.gz QPCDNILSOQWNPN-ZYHUDNBSSA-N 0 3 237.343 2.918 20 0 BFADHN CC1(C)COC[C@@H]1NCc1cccc(Cl)c1 ZINC000312983088 385017568 /nfs/dbraw/zinc/01/75/68/385017568.db2.gz IJILFSBAQHKEGG-LBPRGKRZSA-N 0 3 239.746 2.855 20 0 BFADHN Cc1cc(CN[C@@H]2CCC(F)(F)C2)sn1 ZINC000404487829 385019956 /nfs/dbraw/zinc/01/99/56/385019956.db2.gz MWYWSBIKDLPRML-MRVPVSSYSA-N 0 3 232.299 2.729 20 0 BFADHN COC[C@H](C)NCc1ccc(Cl)cc1C ZINC000128694697 384961336 /nfs/dbraw/zinc/96/13/36/384961336.db2.gz FGFGYYBHEKYSNY-JTQLQIEISA-N 0 3 227.735 2.773 20 0 BFADHN CCc1noc([C@@H](C)N2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC000177533848 385047715 /nfs/dbraw/zinc/04/77/15/385047715.db2.gz CNIACPANOFWQGY-UTUOFQBUSA-N 0 3 249.358 2.815 20 0 BFADHN CN1CCC(Nc2cc(F)cc(Cl)c2)CC1 ZINC000128592870 385053347 /nfs/dbraw/zinc/05/33/47/385053347.db2.gz OHSVWGUPESQLPR-UHFFFAOYSA-N 0 3 242.725 2.985 20 0 BFADHN Cc1cnc(CNC2CC(C)(C)C2)s1 ZINC000306524951 385056542 /nfs/dbraw/zinc/05/65/42/385056542.db2.gz ORKNJIDLUSVXRO-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN CCN(C)C(=O)[C@@H](C)N[C@@H](C)c1ccc(C)cc1 ZINC000188478187 385060445 /nfs/dbraw/zinc/06/04/45/385060445.db2.gz ILFJVAAMZNYBHE-QWHCGFSZSA-N 0 3 248.370 2.512 20 0 BFADHN CCC1(CN[C@@H](C)c2cc(C)n(C)n2)CC1 ZINC000310030616 385060940 /nfs/dbraw/zinc/06/09/40/385060940.db2.gz QYNIIJNXEYFRNM-NSHDSACASA-N 0 3 221.348 2.569 20 0 BFADHN Fc1ccc2c(c1)OCCC[C@@H]2NC1CC1 ZINC000087016171 385067874 /nfs/dbraw/zinc/06/78/74/385067874.db2.gz QESNFIICRRYVMC-LBPRGKRZSA-N 0 3 221.275 2.791 20 0 BFADHN CCCN(CC(=O)OC)CC1CCC(C)CC1 ZINC000310445409 385068133 /nfs/dbraw/zinc/06/81/33/385068133.db2.gz UFSVFDZSYHBPKX-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN C[C@@H]1CCC[C@@H](CCNCc2ccn(C)n2)C1 ZINC000096610411 385069357 /nfs/dbraw/zinc/06/93/57/385069357.db2.gz DOYHWCUDEHVXEX-OLZOCXBDSA-N 0 3 235.375 2.726 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1ccnc(OC)c1 ZINC000357549133 385069693 /nfs/dbraw/zinc/06/96/93/385069693.db2.gz DIEXSNULWAKHEJ-YPMHNXCESA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1ccnc(OC)c1 ZINC000357549128 385070386 /nfs/dbraw/zinc/07/03/86/385070386.db2.gz DIEXSNULWAKHEJ-AAEUAGOBSA-N 0 3 234.343 2.853 20 0 BFADHN CN(Cc1cnc[nH]1)CC1(c2ccccc2)CC1 ZINC000188714850 385084845 /nfs/dbraw/zinc/08/48/45/385084845.db2.gz HTKPEGBWFSOCDA-UHFFFAOYSA-N 0 3 241.338 2.573 20 0 BFADHN CSC[C@H](C)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000151028943 385080868 /nfs/dbraw/zinc/08/08/68/385080868.db2.gz VYOHMJCOESMDEJ-QWRGUYRKSA-N 0 3 241.404 2.866 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1cnccc1C ZINC000131277892 385081929 /nfs/dbraw/zinc/08/19/29/385081929.db2.gz UVHGYPATEIPHLI-MNOVXSKESA-N 0 3 224.373 2.792 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCC[C@@H](C)C1 ZINC000037252919 385024163 /nfs/dbraw/zinc/02/41/63/385024163.db2.gz VHYHWVBPWAIUJC-BXKDBHETSA-N 0 3 222.332 2.960 20 0 BFADHN CC(C)C[C@H](C)N[C@@H]1CCCc2c[nH]nc21 ZINC000377256179 385024772 /nfs/dbraw/zinc/02/47/72/385024772.db2.gz ZXGDMZBTZPDUMM-CMPLNLGQSA-N 0 3 221.348 2.811 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H]1CCCc2c[nH]nc21 ZINC000377256189 385025705 /nfs/dbraw/zinc/02/57/05/385025705.db2.gz ZXGDMZBTZPDUMM-ZYHUDNBSSA-N 0 3 221.348 2.811 20 0 BFADHN CC(C)C[C@H](C)N[C@H]1CCCc2c[nH]nc21 ZINC000377256185 385025833 /nfs/dbraw/zinc/02/58/33/385025833.db2.gz ZXGDMZBTZPDUMM-JQWIXIFHSA-N 0 3 221.348 2.811 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@H]1CCC[C@@H]1O ZINC000323310429 385029764 /nfs/dbraw/zinc/02/97/64/385029764.db2.gz RHLNUQAWEBMBPE-UBHSHLNASA-N 0 3 249.354 2.649 20 0 BFADHN CCCCCN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000293829371 385032490 /nfs/dbraw/zinc/03/24/90/385032490.db2.gz BDLXKUIUNRQZNQ-GHMZBOCLSA-N 0 3 207.321 2.669 20 0 BFADHN CCc1ccc(CN[C@@H](CO)C[C@H](C)CC)o1 ZINC000647243136 385035972 /nfs/dbraw/zinc/03/59/72/385035972.db2.gz DQEANLGDKFHOTQ-VXGBXAGGSA-N 0 3 239.359 2.729 20 0 BFADHN CSCCCNCc1cc(C)ccc1F ZINC000130309025 385036042 /nfs/dbraw/zinc/03/60/42/385036042.db2.gz KMKFTYMAYFOOSQ-UHFFFAOYSA-N 0 3 227.348 2.977 20 0 BFADHN C[C@@H](O)CNCc1ccc(-c2ccco2)cc1F ZINC000644635649 385036658 /nfs/dbraw/zinc/03/66/58/385036658.db2.gz NMYZNVDTLYGLBP-SNVBAGLBSA-N 0 3 249.285 2.556 20 0 BFADHN CC(C)(C)OCCN1CCSC(C)(C)C1 ZINC000177516160 385040268 /nfs/dbraw/zinc/04/02/68/385040268.db2.gz GTJVCZAEZCBADE-UHFFFAOYSA-N 0 3 231.405 2.629 20 0 BFADHN Clc1sccc1CNC[C@@H]1CCOC1 ZINC000308728042 385041364 /nfs/dbraw/zinc/04/13/64/385041364.db2.gz HQBWSIKADRLCPK-QMMMGPOBSA-N 0 3 231.748 2.528 20 0 BFADHN CCC[C@H](C)NCc1ncc(CC)s1 ZINC000130508012 385041740 /nfs/dbraw/zinc/04/17/40/385041740.db2.gz JSENBBRFTWRKMH-VIFPVBQESA-N 0 3 212.362 2.984 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@H](C)N(C3CC3)C2)o1 ZINC000128511311 385045672 /nfs/dbraw/zinc/04/56/72/385045672.db2.gz CKZGYTMAUCROKY-WCFLWFBJSA-N 0 3 248.370 2.864 20 0 BFADHN C[C@H](NCCOC(C)(C)C)c1cncc(F)c1 ZINC000308740522 385046228 /nfs/dbraw/zinc/04/62/28/385046228.db2.gz OKQFPFKZMUSDLL-JTQLQIEISA-N 0 3 240.322 2.686 20 0 BFADHN C[C@@H](NC[C@@H]1CC1(C)C)c1ccncc1F ZINC000340296925 385046823 /nfs/dbraw/zinc/04/68/23/385046823.db2.gz QBOBYNNOBSXYDN-ZJUUUORDSA-N 0 3 222.307 2.917 20 0 BFADHN C[C@H](CCC(C)(C)C)N[C@H](C)c1nncn1C ZINC000336682678 385046905 /nfs/dbraw/zinc/04/69/05/385046905.db2.gz CFENYJUGTLZENN-GHMZBOCLSA-N 0 3 238.379 2.681 20 0 BFADHN CCC[C@@H](NCc1ccn(C)n1)[C@H]1CC1(C)C ZINC000397619450 385109735 /nfs/dbraw/zinc/10/97/35/385109735.db2.gz KWJCGVDOHUCZIK-CHWSQXEVSA-N 0 3 235.375 2.725 20 0 BFADHN COc1ccc(CN[C@@H]2CC23CC3)c(Cl)c1 ZINC000424182126 385110188 /nfs/dbraw/zinc/11/01/88/385110188.db2.gz GFHRXTUBPIBRBU-GFCCVEGCSA-N 0 3 237.730 2.991 20 0 BFADHN C[C@H](N[C@@H]1CCSC1)c1ccsc1 ZINC000061360624 385111934 /nfs/dbraw/zinc/11/19/34/385111934.db2.gz AAKIVBBECVTEDW-WCBMZHEXSA-N 0 3 213.371 2.904 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1CC12CC2 ZINC000424188239 385112353 /nfs/dbraw/zinc/11/23/53/385112353.db2.gz XQLCQVPPWPANNN-CYBMUJFWSA-N 0 3 217.312 2.646 20 0 BFADHN Cc1nccn1CCN[C@H](C)c1ccsc1 ZINC000061362655 385113288 /nfs/dbraw/zinc/11/32/88/385113288.db2.gz RLPSRKCTUKJANB-SNVBAGLBSA-N 0 3 235.356 2.604 20 0 BFADHN Cc1nnsc1CNC[C@H](C)c1ccccc1 ZINC000310550617 385114622 /nfs/dbraw/zinc/11/46/22/385114622.db2.gz WJANFXRGMHBCOV-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN CC(C)n1cc(CN[C@H](C)CCC2CC2)nn1 ZINC000342184293 385117920 /nfs/dbraw/zinc/11/79/20/385117920.db2.gz JDDPRVYUUPGNFF-LLVKDONJSA-N 0 3 236.363 2.527 20 0 BFADHN Cc1cc(CN2CCCOC[C@@H]2C)cs1 ZINC000648375208 385121899 /nfs/dbraw/zinc/12/18/99/385121899.db2.gz RXQADMIKWDWTIY-JTQLQIEISA-N 0 3 225.357 2.667 20 0 BFADHN Fc1ccc(CN[C@@H]2CCCSC2)cc1F ZINC000061589254 385125632 /nfs/dbraw/zinc/12/56/32/385125632.db2.gz PNHHSJGRMPPALO-SNVBAGLBSA-N 0 3 243.322 2.950 20 0 BFADHN CC[C@H](CN[C@@H](C)c1ccco1)N1CCCC1 ZINC000151708603 385126550 /nfs/dbraw/zinc/12/65/50/385126550.db2.gz KDKGTUUAGWHXQC-QWHCGFSZSA-N 0 3 236.359 2.805 20 0 BFADHN Cn1cc2c(n1)CCC[C@H]2N[C@H]1CC12CCCC2 ZINC000336339473 385127562 /nfs/dbraw/zinc/12/75/62/385127562.db2.gz RJLRIVBFOCZRNI-OCCSQVGLSA-N 0 3 245.370 2.720 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1c(C)noc1C)OC ZINC000319098440 385142249 /nfs/dbraw/zinc/14/22/49/385142249.db2.gz WEOKFRKPAWXFJV-ZANVPECISA-N 0 3 240.347 2.757 20 0 BFADHN C[C@@H](N[C@H]1CC2CCC1CC2)c1cnccn1 ZINC000310591067 385130298 /nfs/dbraw/zinc/13/02/98/385130298.db2.gz ZGTTZZKLYVYQLO-XVSSEFHLSA-N 0 3 231.343 2.706 20 0 BFADHN CCS[C@H]1CCCC[C@H]1NCc1c[nH]cn1 ZINC000165489151 385134697 /nfs/dbraw/zinc/13/46/97/385134697.db2.gz MIXKDAAGOVWLFK-NEPJUHHUSA-N 0 3 239.388 2.564 20 0 BFADHN CO[C@](C)(CNCc1cccc(F)c1)C1CC1 ZINC000291020950 385138756 /nfs/dbraw/zinc/13/87/56/385138756.db2.gz GXGLRDOGWVOHPU-CQSZACIVSA-N 0 3 237.318 2.730 20 0 BFADHN C[C@@H](NCCCCO)c1ccc(Cl)s1 ZINC000043445632 385087438 /nfs/dbraw/zinc/08/74/38/385087438.db2.gz POMQKLFSGCZENR-MRVPVSSYSA-N 0 3 233.764 2.825 20 0 BFADHN CC[C@@H](CNCc1coc2ccccc12)OC ZINC000310480565 385088323 /nfs/dbraw/zinc/08/83/23/385088323.db2.gz LHIUOFLKDYNMST-LBPRGKRZSA-N 0 3 233.311 2.947 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)C1CC1 ZINC000255843563 385088860 /nfs/dbraw/zinc/08/88/60/385088860.db2.gz KFTWXGHGJNXDKP-IHWYPQMZSA-N 0 3 205.276 2.933 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@H](C)N(C3CC3)C2)o1 ZINC000151202487 385093316 /nfs/dbraw/zinc/09/33/16/385093316.db2.gz JRYXSPQOHPACOH-RYUDHWBXSA-N 0 3 248.370 2.557 20 0 BFADHN CCc1ccc([C@@H](COC)N[C@H](C)CC)o1 ZINC000165279895 385097220 /nfs/dbraw/zinc/09/72/20/385097220.db2.gz MWIFAAQEFPWNIY-ZYHUDNBSSA-N 0 3 225.332 2.918 20 0 BFADHN c1cc(-c2n[nH]cc2CN[C@@H]2CC23CC3)cs1 ZINC000424185645 385100793 /nfs/dbraw/zinc/10/07/93/385100793.db2.gz QCIYNPHBXDLUJI-LLVKDONJSA-N 0 3 245.351 2.780 20 0 BFADHN Cn1cccc1[C@H]1CCCCN1CCCF ZINC000151284636 385101592 /nfs/dbraw/zinc/10/15/92/385101592.db2.gz ABPARIMSOGUDMP-CYBMUJFWSA-N 0 3 224.323 2.912 20 0 BFADHN CCC[C@@H](O)CNCc1c(F)cccc1CC ZINC000354325908 385102597 /nfs/dbraw/zinc/10/25/97/385102597.db2.gz BUSYIFGHBGNBBT-GFCCVEGCSA-N 0 3 239.334 2.639 20 0 BFADHN Cc1ccc(F)cc1CN[C@H](CO)CC(C)C ZINC000129044831 385102991 /nfs/dbraw/zinc/10/29/91/385102991.db2.gz LNHWCLJWWCSTPR-AWEZNQCLSA-N 0 3 239.334 2.631 20 0 BFADHN CCOc1ccc(CN[C@@H]2CC23CC3)cc1F ZINC000424186950 385103173 /nfs/dbraw/zinc/10/31/73/385103173.db2.gz UAXZNEKPWFKFFZ-CYBMUJFWSA-N 0 3 235.302 2.867 20 0 BFADHN c1nc2ccccn2c1CN[C@@H]1C[C@H]1C1CCC1 ZINC000643801071 385104850 /nfs/dbraw/zinc/10/48/50/385104850.db2.gz ZKPNUVVBVQADAP-UONOGXRCSA-N 0 3 241.338 2.613 20 0 BFADHN CCOc1cccc(CN[C@H](C)[C@@H](C)OC)c1 ZINC000129089303 385106991 /nfs/dbraw/zinc/10/69/91/385106991.db2.gz MPPRGMFQJWOXHK-VXGBXAGGSA-N 0 3 237.343 2.598 20 0 BFADHN Cc1ccc(CN2C[C@H](O)C(C)(C)C2)cc1C ZINC000279702713 385183348 /nfs/dbraw/zinc/18/33/48/385183348.db2.gz HDVJOQSTHDLWLY-AWEZNQCLSA-N 0 3 233.355 2.506 20 0 BFADHN CCN1CCN(c2cccc(NC(C)C)c2)CC1 ZINC000190009150 385201663 /nfs/dbraw/zinc/20/16/63/385201663.db2.gz JNTDTAFLKXYEKS-UHFFFAOYSA-N 0 3 247.386 2.649 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2ccccc2F)on1 ZINC000157470023 385186739 /nfs/dbraw/zinc/18/67/39/385186739.db2.gz GPNIDISNBPGEFZ-JTQLQIEISA-N 0 3 248.301 2.843 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2ccccc2F)on1 ZINC000157470172 385188261 /nfs/dbraw/zinc/18/82/61/385188261.db2.gz GPNIDISNBPGEFZ-SNVBAGLBSA-N 0 3 248.301 2.843 20 0 BFADHN Cc1ccc([C@@H](C)NCCOCC(F)F)cc1 ZINC000189824289 385188868 /nfs/dbraw/zinc/18/88/68/385188868.db2.gz YLXPHHCZXAZLQJ-LLVKDONJSA-N 0 3 243.297 2.927 20 0 BFADHN Fc1ccc2c(c1)CCN(CC[C@@H]1CCCO1)C2 ZINC000189804706 385189030 /nfs/dbraw/zinc/18/90/30/385189030.db2.gz ATLQGKOUPMLZTI-HNNXBMFYSA-N 0 3 249.329 2.753 20 0 BFADHN CCc1ccc(CN(C)[C@H]2CCCOC2)s1 ZINC000561968010 385191976 /nfs/dbraw/zinc/19/19/76/385191976.db2.gz SQINNQYLXPEMOC-NSHDSACASA-N 0 3 239.384 2.921 20 0 BFADHN CCc1ccc(CNCC2=CCCOC2)cc1 ZINC000178163286 385195145 /nfs/dbraw/zinc/19/51/45/385195145.db2.gz WIBAZWMJWPZSNF-UHFFFAOYSA-N 0 3 231.339 2.685 20 0 BFADHN Cc1cc(C)cc([C@H](C)NCc2cncn2C)c1 ZINC000107319829 385195723 /nfs/dbraw/zinc/19/57/23/385195723.db2.gz YNAIFBRFANFUTJ-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN CSC[C@H]1CCCN1Cc1cncc(C)c1 ZINC000189929737 385197252 /nfs/dbraw/zinc/19/72/52/385197252.db2.gz HAQPHLNWLIDWFQ-CYBMUJFWSA-N 0 3 236.384 2.717 20 0 BFADHN CC(C)(C)O[C@H]1C[C@@H](NCc2ccco2)C1 ZINC000647248486 385199938 /nfs/dbraw/zinc/19/99/38/385199938.db2.gz AZNAZPYFVDGQQR-KLPPZKSPSA-N 0 3 223.316 2.715 20 0 BFADHN C[C@@H](CCNCc1ncc[nH]1)c1ccccc1 ZINC000061910196 385145610 /nfs/dbraw/zinc/14/56/10/385145610.db2.gz WKHRFTKKRVBRKN-LBPRGKRZSA-N 0 3 229.327 2.693 20 0 BFADHN CC[C@H](O)CN(C)[C@@H](C)c1ccccc1F ZINC000062016094 385151215 /nfs/dbraw/zinc/15/12/15/385151215.db2.gz CGBTYGDOSYXCSC-QWRGUYRKSA-N 0 3 225.307 2.589 20 0 BFADHN CCc1nc(CN[C@@H](C)CCCOC)cs1 ZINC000177994684 385151478 /nfs/dbraw/zinc/15/14/78/385151478.db2.gz BKLUHIFMUQIZTI-JTQLQIEISA-N 0 3 242.388 2.610 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H](C)C1)c1cnccn1 ZINC000152106025 385154349 /nfs/dbraw/zinc/15/43/49/385154349.db2.gz FNTQRXTYNFBUSV-FRRDWIJNSA-N 0 3 233.359 2.954 20 0 BFADHN CC[C@@H](C)CN1CCn2cccc2[C@@H]1C ZINC000176897816 385156690 /nfs/dbraw/zinc/15/66/90/385156690.db2.gz RSWLOJKRBVIKBJ-NEPJUHHUSA-N 0 3 206.333 2.911 20 0 BFADHN C[C@@]1(c2ccccc2)CC[C@H](N2CCOCC2)C1 ZINC000189496556 385159370 /nfs/dbraw/zinc/15/93/70/385159370.db2.gz MKFUJYFWNOPUCF-JKSUJKDBSA-N 0 3 245.366 2.829 20 0 BFADHN COC[C@@H]1CCCCN1Cc1cccs1 ZINC000449760453 385161521 /nfs/dbraw/zinc/16/15/21/385161521.db2.gz OUVKKEFITHCSAU-NSHDSACASA-N 0 3 225.357 2.749 20 0 BFADHN CC(C)(C)CCC(=O)NC[C@H](N)c1ccccc1 ZINC000152202261 385163063 /nfs/dbraw/zinc/16/30/63/385163063.db2.gz XDFSUDLPJZECTN-ZDUSSCGKSA-N 0 3 248.370 2.629 20 0 BFADHN COC[C@@H]1CCCN(Cc2ccccc2F)C1 ZINC000062161074 385163500 /nfs/dbraw/zinc/16/35/00/385163500.db2.gz AIXJMZKFWBZMDL-GFCCVEGCSA-N 0 3 237.318 2.684 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2CC23CC3)cc1OC ZINC000424323773 385165464 /nfs/dbraw/zinc/16/54/64/385165464.db2.gz MIOFDGCOKUAQOV-IINYFYTJSA-N 0 3 247.338 2.907 20 0 BFADHN Cc1ccc2oc(CNCC3(O)CCC3)cc2c1 ZINC000557805932 385166210 /nfs/dbraw/zinc/16/62/10/385166210.db2.gz WCQZKYZVWDPDHZ-UHFFFAOYSA-N 0 3 245.322 2.746 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2CC23CC3)c1 ZINC000424325037 385166790 /nfs/dbraw/zinc/16/67/90/385166790.db2.gz NFSZYEINBIDMJR-GXFFZTMASA-N 0 3 217.312 2.898 20 0 BFADHN COc1ccc([C@@H](C)N[C@H]2CC23CC3)cc1OC ZINC000424323777 385167234 /nfs/dbraw/zinc/16/72/34/385167234.db2.gz MIOFDGCOKUAQOV-YGRLFVJLSA-N 0 3 247.338 2.907 20 0 BFADHN CC[C@H](N[C@@H]1CC12CC2)c1ccc(F)cn1 ZINC000424330597 385169098 /nfs/dbraw/zinc/16/90/98/385169098.db2.gz UFNISQLJAGXTBZ-CMPLNLGQSA-N 0 3 220.291 2.814 20 0 BFADHN CC[C@H](N[C@H]1CC12CC2)c1ccc(F)cn1 ZINC000424330598 385170206 /nfs/dbraw/zinc/17/02/06/385170206.db2.gz UFNISQLJAGXTBZ-JQWIXIFHSA-N 0 3 220.291 2.814 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1cc(F)ccc1F ZINC000109543420 385174087 /nfs/dbraw/zinc/17/40/87/385174087.db2.gz JPWLZIBHQRDKAZ-GXSJLCMTSA-N 0 3 241.281 2.794 20 0 BFADHN C/C(=C/c1ccccc1)CN[C@@H]1C=C[C@H](CO)C1 ZINC000129683647 385174170 /nfs/dbraw/zinc/17/41/70/385174170.db2.gz QALVNEXWDGUKHP-KTDOTMJKSA-N 0 3 243.350 2.617 20 0 BFADHN COc1cccc([C@@H](C)N[C@H]2COCC2(C)C)c1 ZINC000313020845 385175511 /nfs/dbraw/zinc/17/55/11/385175511.db2.gz DDPBSADJLGOWMN-RISCZKNCSA-N 0 3 249.354 2.771 20 0 BFADHN Cn1cncc1CN1CCC[C@H]1c1ccccc1 ZINC000177242977 385206953 /nfs/dbraw/zinc/20/69/53/385206953.db2.gz PRWFUERIECTGRI-HNNXBMFYSA-N 0 3 241.338 2.757 20 0 BFADHN COC[C@@H](N[C@@H](C)C1CCC1)c1ccco1 ZINC000178229842 385209031 /nfs/dbraw/zinc/20/90/31/385209031.db2.gz YFQHZZPMPSCVAW-CMPLNLGQSA-N 0 3 223.316 2.745 20 0 BFADHN CCC1(CNCc2ccc([C@H]3C[C@H]3C)o2)COC1 ZINC000343336574 385210100 /nfs/dbraw/zinc/21/01/00/385210100.db2.gz OWEJDCUDNIZIBQ-YPMHNXCESA-N 0 3 249.354 2.919 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1cccs1 ZINC000044688742 385218175 /nfs/dbraw/zinc/21/81/75/385218175.db2.gz XVTMEVYTOZIFAL-ZJUUUORDSA-N 0 3 213.346 2.824 20 0 BFADHN CCOC[C@@H](C)NCc1ccc(Cl)cc1 ZINC000044688146 385218396 /nfs/dbraw/zinc/21/83/96/385218396.db2.gz MTVQCWCHXNDBBD-SNVBAGLBSA-N 0 3 227.735 2.855 20 0 BFADHN c1c(CN2C[C@@H]3CCC[C@@H]3C2)nc2ccccn12 ZINC000152904717 385223539 /nfs/dbraw/zinc/22/35/39/385223539.db2.gz UTWPAQFGDIAICU-BETUJISGSA-N 0 3 241.338 2.566 20 0 BFADHN CC1(C)CC[C@H](N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000336390101 385224570 /nfs/dbraw/zinc/22/45/70/385224570.db2.gz TWQZLRLUZLUFCS-NWDGAFQWSA-N 0 3 233.359 2.955 20 0 BFADHN Cc1cccc(CN[C@@H]2COCC2(C)C)c1C ZINC000313032848 385227182 /nfs/dbraw/zinc/22/71/82/385227182.db2.gz JLUXMZJACKMTOA-CQSZACIVSA-N 0 3 233.355 2.818 20 0 BFADHN C[C@@H](N[C@@H](C)CN(C)C)c1ccccc1Cl ZINC000130198334 385227819 /nfs/dbraw/zinc/22/78/19/385227819.db2.gz OYHIEUYEPFWXMB-WDEREUQCSA-N 0 3 240.778 2.941 20 0 BFADHN Cc1ccoc1CN(C)C[C@H]1CCCC[C@@H]1O ZINC000305787116 385227911 /nfs/dbraw/zinc/22/79/11/385227911.db2.gz ADMNWRZDVNTPNH-OLZOCXBDSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)cs1 ZINC000308871481 385228307 /nfs/dbraw/zinc/22/83/07/385228307.db2.gz SKCDTHIVLTUPFK-ADEWGFFLSA-N 0 3 222.357 2.730 20 0 BFADHN CC1(C)COC[C@H]1N[C@H]1CCc2cc(F)ccc21 ZINC000313036849 385228624 /nfs/dbraw/zinc/22/86/24/385228624.db2.gz NGLXNHCGYLADCB-UONOGXRCSA-N 0 3 249.329 2.828 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CC[C@@H](C)[C@H](C)C1 ZINC000252727869 385234057 /nfs/dbraw/zinc/23/40/57/385234057.db2.gz RGBXMGFDTOJFTA-JHJVBQTASA-N 0 3 241.375 2.696 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H]2CCCO2)o1 ZINC000153161876 385237028 /nfs/dbraw/zinc/23/70/28/385237028.db2.gz IDQJAPKFGCTQRL-CMPLNLGQSA-N 0 3 223.316 2.672 20 0 BFADHN Cc1ccc(CNc2cc(CN(C)C)ccn2)o1 ZINC000153243452 385243343 /nfs/dbraw/zinc/24/33/43/385243343.db2.gz WBQXNMWKMTYVQD-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN CC[C@H](NCCn1ccnc1)c1cccc(F)c1 ZINC000166544170 385252788 /nfs/dbraw/zinc/25/27/88/385252788.db2.gz YBDRAPXBSBJHRK-AWEZNQCLSA-N 0 3 247.317 2.763 20 0 BFADHN CSCCN(Cc1cccnc1)C(C)C ZINC000119769023 385203068 /nfs/dbraw/zinc/20/30/68/385203068.db2.gz CQMMNZDWXAKOPT-UHFFFAOYSA-N 0 3 224.373 2.655 20 0 BFADHN Cc1cncc(CN2CC[C@H](C)[C@H](C)C2)c1 ZINC000189982175 385203587 /nfs/dbraw/zinc/20/35/87/385203587.db2.gz FNCGPXXQQXUSEA-QWHCGFSZSA-N 0 3 218.344 2.868 20 0 BFADHN c1csc(CN[C@H]2CC[C@H]2C2CCC2)n1 ZINC000308825467 385205021 /nfs/dbraw/zinc/20/50/21/385205021.db2.gz DMNOIJHHXWXLRA-QWRGUYRKSA-N 0 3 222.357 2.811 20 0 BFADHN CO[C@@](C)(CNCc1ccsc1)C1CC1 ZINC000291099352 385205567 /nfs/dbraw/zinc/20/55/67/385205567.db2.gz ZMJKZBHTZRSUFX-LBPRGKRZSA-N 0 3 225.357 2.653 20 0 BFADHN C[C@H](c1cccs1)N(C)CCC[C@H](C)O ZINC000308933010 385302821 /nfs/dbraw/zinc/30/28/21/385302821.db2.gz RTFUZAFLMLGIAP-WDEREUQCSA-N 0 3 227.373 2.902 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1COCC1(C)C ZINC000313061432 385308010 /nfs/dbraw/zinc/30/80/10/385308010.db2.gz IKKQUZOFDLVIII-CYBMUJFWSA-N 0 3 237.318 2.649 20 0 BFADHN C[C@H](N[C@@H]1CCc2ccc(F)cc21)[C@@H]1CCCO1 ZINC000177951470 385309595 /nfs/dbraw/zinc/30/95/95/385309595.db2.gz CCJKTPAXNROQQC-VQISRLSMSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@H](N[C@@H](CO)CC(C)(C)C)c1cncs1 ZINC000131156136 385313308 /nfs/dbraw/zinc/31/33/08/385313308.db2.gz ZNDXEHOMSXMFCN-VHSXEESVSA-N 0 3 242.388 2.591 20 0 BFADHN CC[C@H](COC)N[C@@H]1CCCc2c(O)cccc21 ZINC000191640017 385314353 /nfs/dbraw/zinc/31/43/53/385314353.db2.gz IQBOJAJEYNOOPP-BXUZGUMPSA-N 0 3 249.354 2.784 20 0 BFADHN C[C@](O)(CNCc1ccncc1)C1CCCCC1 ZINC000191671982 385317063 /nfs/dbraw/zinc/31/70/63/385317063.db2.gz QLMHHMJARZQUIF-HNNXBMFYSA-N 0 3 248.370 2.503 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1cccc(F)c1 ZINC000191718298 385317939 /nfs/dbraw/zinc/31/79/39/385317939.db2.gz FKLXQMQOLFATLJ-DCAQKATOSA-N 0 3 225.307 2.900 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCC[C@@H](F)C2)c2nccn21 ZINC000647312810 385318195 /nfs/dbraw/zinc/31/81/95/385318195.db2.gz MBALSNDZTMSPEU-WYUUTHIRSA-N 0 3 237.322 2.759 20 0 BFADHN CCC(CC)[C@@H](O)CN[C@@H](C)c1ccco1 ZINC000167508559 385320651 /nfs/dbraw/zinc/32/06/51/385320651.db2.gz WTTWASFDISZTSE-JQWIXIFHSA-N 0 3 225.332 2.727 20 0 BFADHN COC[C@H](C)N[C@H](C)c1cc(C)c(C)o1 ZINC000308968107 385321296 /nfs/dbraw/zinc/32/12/96/385321296.db2.gz XGYMTJXWVINMRR-VHSXEESVSA-N 0 3 211.305 2.582 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1cccnc1Cl ZINC000167555715 385324656 /nfs/dbraw/zinc/32/46/56/385324656.db2.gz ZDBXCQVJPGJKES-UWVGGRQHSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](NCC1(C)CCOCC1)c1cscn1 ZINC000130597661 385264523 /nfs/dbraw/zinc/26/45/23/385264523.db2.gz IALNXIRJHLKXOA-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN Cc1ccc(CNCCOCC(F)F)c(C)c1 ZINC000190896579 385266311 /nfs/dbraw/zinc/26/63/11/385266311.db2.gz AOHXQOGNLFFJDU-UHFFFAOYSA-N 0 3 243.297 2.675 20 0 BFADHN C[C@@H](NC1CCOCC1)c1cccnc1Cl ZINC000166650209 385267133 /nfs/dbraw/zinc/26/71/33/385267133.db2.gz LSHWEAQYYQJXBT-SECBINFHSA-N 0 3 240.734 2.565 20 0 BFADHN FC(F)COCCNCc1ccc(Cl)cc1 ZINC000190902328 385270187 /nfs/dbraw/zinc/27/01/87/385270187.db2.gz CMKFIZNZOAZMDR-UHFFFAOYSA-N 0 3 249.688 2.711 20 0 BFADHN C[C@]1(NCc2ccccc2Cl)CCOC1 ZINC000120173987 385270841 /nfs/dbraw/zinc/27/08/41/385270841.db2.gz PYIYWZAIZQIVTO-LBPRGKRZSA-N 0 3 225.719 2.609 20 0 BFADHN CCO[C@H]1CCN(Cc2cccs2)C[C@@H]1C ZINC000449490974 385272740 /nfs/dbraw/zinc/27/27/40/385272740.db2.gz DQNWEIWHUIIFIC-AAEUAGOBSA-N 0 3 239.384 2.995 20 0 BFADHN CCOc1ccccc1CNC[C@]1(C)CCOC1 ZINC000344374090 385272824 /nfs/dbraw/zinc/27/28/24/385272824.db2.gz UAXSIVZQLWXJEV-HNNXBMFYSA-N 0 3 249.354 2.602 20 0 BFADHN CN(Cc1ccc(CO)o1)C1CCCCC1 ZINC000034146380 385273426 /nfs/dbraw/zinc/27/34/26/385273426.db2.gz BSZHNFUKPOYWPE-UHFFFAOYSA-N 0 3 223.316 2.536 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1cccnc1Cl ZINC000167556042 385322891 /nfs/dbraw/zinc/32/28/91/385322891.db2.gz ZDBXCQVJPGJKES-NXEZZACHSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1oc2ccccc2c1CN(C)C[C@@H](C)O ZINC000130665193 385275871 /nfs/dbraw/zinc/27/58/71/385275871.db2.gz NDJLGQTXNFTKBK-SNVBAGLBSA-N 0 3 233.311 2.554 20 0 BFADHN COC[C@@H](C)CN[C@H](C)c1ccc(C)o1 ZINC000045655811 385276208 /nfs/dbraw/zinc/27/62/08/385276208.db2.gz LWUHTUKZYKJPJF-GXSJLCMTSA-N 0 3 211.305 2.521 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3CCC[C@H]32)on1 ZINC000336408321 385278346 /nfs/dbraw/zinc/27/83/46/385278346.db2.gz RSOIPJXKHJBHCS-WCQYABFASA-N 0 3 220.316 2.748 20 0 BFADHN C[C@H](O)CN(C)Cc1cc(Cl)cc(Cl)c1 ZINC000130724625 385278787 /nfs/dbraw/zinc/27/87/87/385278787.db2.gz ORELUSIRLGSSHW-QMMMGPOBSA-N 0 3 248.153 2.806 20 0 BFADHN Cc1nocc1CNC1C[C@H](C)C[C@@H](C)C1 ZINC000336369967 385281298 /nfs/dbraw/zinc/28/12/98/385281298.db2.gz WKGGJLZFYSLKHV-NXEZZACHSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@H](NCc1cnoc1C)C(C)(C)C ZINC000308914911 385281404 /nfs/dbraw/zinc/28/14/04/385281404.db2.gz FPUDJMOMQRCVKC-NSHDSACASA-N 0 3 210.321 2.897 20 0 BFADHN c1ccc2c(c1)CCN(C[C@H]1CCC=CO1)C2 ZINC000191055463 385281690 /nfs/dbraw/zinc/28/16/90/385281690.db2.gz LLWHCDQNFCNERU-OAHLLOKOSA-N 0 3 229.323 2.737 20 0 BFADHN Cc1ncc(CN[C@@H]2CCCC[C@@H]2C)o1 ZINC000334727210 385283085 /nfs/dbraw/zinc/28/30/85/385283085.db2.gz XOJLNORHBSVTOP-JOYOIKCWSA-N 0 3 208.305 2.651 20 0 BFADHN CC/C=C/CCN(CCOC)Cc1cccnc1 ZINC000191112367 385284643 /nfs/dbraw/zinc/28/46/43/385284643.db2.gz WHQUASUTOWQFMJ-SNAWJCMRSA-N 0 3 248.370 2.886 20 0 BFADHN CCN(CC)Cc1ccc(N(C)C(C)C)nc1 ZINC000191156962 385287168 /nfs/dbraw/zinc/28/71/68/385287168.db2.gz RQFABFKCOOMLDP-UHFFFAOYSA-N 0 3 235.375 2.768 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](CC)CCO)o1 ZINC000166862217 385288916 /nfs/dbraw/zinc/28/89/16/385288916.db2.gz VURUXIKYPOELBL-VXGBXAGGSA-N 0 3 239.359 2.901 20 0 BFADHN CO[C@@H](C)CNCc1cccc2c1OC(C)(C)C2 ZINC000191200560 385290121 /nfs/dbraw/zinc/29/01/21/385290121.db2.gz LJGJRCJGCHXPDQ-NSHDSACASA-N 0 3 249.354 2.525 20 0 BFADHN CCc1ccc([C@H]2C[C@H](C)CCN2CCO)o1 ZINC000177843121 385292597 /nfs/dbraw/zinc/29/25/97/385292597.db2.gz ZXUDEERBQDEUTP-DGCLKSJQSA-N 0 3 237.343 2.607 20 0 BFADHN CSC[C@H](CCO)N[C@H](C)c1ccsc1 ZINC000168158922 385294126 /nfs/dbraw/zinc/29/41/26/385294126.db2.gz KOJOJDMSGRUWNK-KOLCDFICSA-N 0 3 245.413 2.513 20 0 BFADHN CC[C@H](CN[C@@H]1CCCc2ccc(O)cc21)OC ZINC000291194521 385294867 /nfs/dbraw/zinc/29/48/67/385294867.db2.gz LPAUHXRTQAZYRD-UKRRQHHQSA-N 0 3 249.354 2.784 20 0 BFADHN c1oc2ccccc2c1CN[C@H]1CCCOC1 ZINC000130988777 385300317 /nfs/dbraw/zinc/30/03/17/385300317.db2.gz MCEKHDURIGYXAM-LBPRGKRZSA-N 0 3 231.295 2.701 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCOC[C@@H]2C)o1 ZINC000191830924 385329820 /nfs/dbraw/zinc/32/98/20/385329820.db2.gz XQHKFQJUOXSFHZ-WCQGTBRESA-N 0 3 223.316 2.664 20 0 BFADHN Cc1occc1CN(C)CCc1ccncc1 ZINC000065599146 385330503 /nfs/dbraw/zinc/33/05/03/385330503.db2.gz NBPANLLAYCFOMM-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN C[C@@H](CO)CCCNCc1ccsc1Cl ZINC000308990792 385333656 /nfs/dbraw/zinc/33/36/56/385333656.db2.gz LCPFODWXPPXPNT-SECBINFHSA-N 0 3 247.791 2.900 20 0 BFADHN Cc1ccc(CN[C@@H](C)COC(C)C)s1 ZINC000308990525 385334522 /nfs/dbraw/zinc/33/45/22/385334522.db2.gz KZOLIEWDXSSBAV-JTQLQIEISA-N 0 3 227.373 2.960 20 0 BFADHN C[C@@H]1CCC[C@H](C)N(Cc2cocn2)C1 ZINC000191944277 385335118 /nfs/dbraw/zinc/33/51/18/385335118.db2.gz VTVQQXALUWPNKX-MNOVXSKESA-N 0 3 208.305 2.685 20 0 BFADHN Cc1cc([C@H](C)NCC2(C)CCC2)no1 ZINC000308995122 385336229 /nfs/dbraw/zinc/33/62/29/385336229.db2.gz MHNVTRCEGCNKRW-JTQLQIEISA-N 0 3 208.305 2.824 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@@H]1C)c1ccon1 ZINC000308995873 385337920 /nfs/dbraw/zinc/33/79/20/385337920.db2.gz MOEXFPQPKMVAON-DCAQKATOSA-N 0 3 208.305 2.904 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@@H]1C)c1ccon1 ZINC000308995875 385338213 /nfs/dbraw/zinc/33/82/13/385338213.db2.gz MOEXFPQPKMVAON-GARJFASQSA-N 0 3 208.305 2.904 20 0 BFADHN c1nc(CNCC2CCCCCC2)co1 ZINC000308998334 385338659 /nfs/dbraw/zinc/33/86/59/385338659.db2.gz NFVSXXMCHYCRPI-UHFFFAOYSA-N 0 3 208.305 2.735 20 0 BFADHN C[C@@H](NC[C@H](O)CC(C)(C)C)c1ccco1 ZINC000167850172 385338781 /nfs/dbraw/zinc/33/87/81/385338781.db2.gz QRUOLSRURGMVTB-GHMZBOCLSA-N 0 3 225.332 2.727 20 0 BFADHN CC1(C)C[C@@H](N[C@@H]2CSc3ccccc32)CO1 ZINC000335354051 385341804 /nfs/dbraw/zinc/34/18/04/385341804.db2.gz FEDNRTWPMFKNSS-ZYHUDNBSSA-N 0 3 249.379 2.991 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)C(C)(C)C ZINC000114196957 385343858 /nfs/dbraw/zinc/34/38/58/385343858.db2.gz NXYLSXGHGKOXCL-JTQLQIEISA-N 0 3 210.321 2.816 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H]2CCCN2C)o1 ZINC000154654851 385344195 /nfs/dbraw/zinc/34/41/95/385344195.db2.gz CDRJJGYJEHSFRN-RYUDHWBXSA-N 0 3 236.359 2.587 20 0 BFADHN Cc1sccc1CNC[C@@H](O)c1ccccc1 ZINC000294169515 385346915 /nfs/dbraw/zinc/34/69/15/385346915.db2.gz PVMATSZHPVDVKY-CQSZACIVSA-N 0 3 247.363 2.880 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cc(Cl)ccc1F ZINC000289746891 385357570 /nfs/dbraw/zinc/35/75/70/385357570.db2.gz HYSIPVWXBSDILO-BDAKNGLRSA-N 0 3 245.725 2.992 20 0 BFADHN C[C@@H](CO)[C@@H](C)NCc1cc(F)cc(Cl)c1 ZINC000168273871 385358971 /nfs/dbraw/zinc/35/89/71/385358971.db2.gz BLEYNCKQFQLDPO-DTWKUNHWSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@@]1(NCc2cc(F)cc(Cl)c2)CCOC1 ZINC000168273367 385359065 /nfs/dbraw/zinc/35/90/65/385359065.db2.gz FHBKMXHNQKCAMQ-GFCCVEGCSA-N 0 3 243.709 2.748 20 0 BFADHN C[C@@H](CN(C)C)NCc1cc(F)cc(Cl)c1 ZINC000168267585 385359182 /nfs/dbraw/zinc/35/91/82/385359182.db2.gz PNYQECBLELPWID-VIFPVBQESA-N 0 3 244.741 2.519 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC1(C)C)c1cnccn1 ZINC000294994794 385363705 /nfs/dbraw/zinc/36/37/05/385363705.db2.gz LQIYITIODXAREP-NEPJUHHUSA-N 0 3 233.359 2.954 20 0 BFADHN CC(C)CCCN[C@@H](CO)c1ccsc1 ZINC000309022688 385365559 /nfs/dbraw/zinc/36/55/59/385365559.db2.gz YDPLQGCJJRSYQX-LBPRGKRZSA-N 0 3 227.373 2.807 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1cccc(F)c1 ZINC000131906640 385368392 /nfs/dbraw/zinc/36/83/92/385368392.db2.gz FKLXQMQOLFATLJ-HBNTYKKESA-N 0 3 225.307 2.900 20 0 BFADHN Fc1cnccc1CN1CCC[C@H]1C1CC1 ZINC000648368000 385368413 /nfs/dbraw/zinc/36/84/13/385368413.db2.gz DOOWRRKSWFPNID-ZDUSSCGKSA-N 0 3 220.291 2.595 20 0 BFADHN Cc1cc(C)cc(C2=CCN(C[C@@H](C)O)CC2)c1 ZINC000192776055 385369550 /nfs/dbraw/zinc/36/95/50/385369550.db2.gz DRYDCRXTUVTEPI-CQSZACIVSA-N 0 3 245.366 2.773 20 0 BFADHN Cc1ccc([C@@H]2C[C@@H](C)CCN2C[C@@H](C)O)o1 ZINC000192734747 385369749 /nfs/dbraw/zinc/36/97/49/385369749.db2.gz KGQHXRCLZWSCQP-LOWVWBTDSA-N 0 3 237.343 2.742 20 0 BFADHN Cc1ccc([C@H]2C[C@H](C)CCN2C[C@@H](C)O)o1 ZINC000192734730 385370028 /nfs/dbraw/zinc/37/00/28/385370028.db2.gz KGQHXRCLZWSCQP-NQBHXWOUSA-N 0 3 237.343 2.742 20 0 BFADHN CCc1ccc(C2=CCN(C[C@H](C)O)CC2)cc1 ZINC000192776732 385370340 /nfs/dbraw/zinc/37/03/40/385370340.db2.gz BTQCTWJLMHAQHH-ZDUSSCGKSA-N 0 3 245.366 2.719 20 0 BFADHN C[C@H](CF)N[C@H]1Cc2ccc(Cl)cc2C1 ZINC000309018240 385372239 /nfs/dbraw/zinc/37/22/39/385372239.db2.gz VNRVWKTWDOVJAL-PELKAZGASA-N 0 3 227.710 2.755 20 0 BFADHN C[C@@H](N[C@@H](C)CN(C)C)c1cc(F)ccc1F ZINC000168570909 385376993 /nfs/dbraw/zinc/37/69/93/385376993.db2.gz BUVHFWLZGRPCSH-VHSXEESVSA-N 0 3 242.313 2.566 20 0 BFADHN CSCCNC1(c2cccc(F)c2)CC1 ZINC000179692280 385378186 /nfs/dbraw/zinc/37/81/86/385378186.db2.gz ZCNZMWZOVAJJRK-UHFFFAOYSA-N 0 3 225.332 2.767 20 0 BFADHN C[C@H](O)CN(Cc1ccc(Cl)cc1)C1CC1 ZINC000192969962 385378738 /nfs/dbraw/zinc/37/87/38/385378738.db2.gz NPPQYUWOCYPIMT-JTQLQIEISA-N 0 3 239.746 2.685 20 0 BFADHN C[C@@H](N[C@@H](CO)CC1CCC1)c1ccsc1 ZINC000280915580 385381716 /nfs/dbraw/zinc/38/17/16/385381716.db2.gz GWSXALXCJQZIHR-ZWNOBZJWSA-N 0 3 239.384 2.950 20 0 BFADHN C[C@@H](CCO)N[C@@H](C)c1ccc2ccccc2n1 ZINC000178497814 385381994 /nfs/dbraw/zinc/38/19/94/385381994.db2.gz DITWXHHTJAXCFF-RYUDHWBXSA-N 0 3 244.338 2.656 20 0 BFADHN COC[C@@H](NCc1cc(Cl)cs1)C1CC1 ZINC000193176415 385387116 /nfs/dbraw/zinc/38/71/16/385387116.db2.gz PTPGFUOXARBVHG-LLVKDONJSA-N 0 3 245.775 2.916 20 0 BFADHN CCC[C@](C)(O)CN[C@@H](C)c1ccc(C)o1 ZINC000167588275 385326486 /nfs/dbraw/zinc/32/64/86/385326486.db2.gz IFOLIVZIXDZDEH-AAEUAGOBSA-N 0 3 225.332 2.790 20 0 BFADHN C[C@H](N[C@H]1CC[C@H]1C)c1ccncc1F ZINC000308972097 385327487 /nfs/dbraw/zinc/32/74/87/385327487.db2.gz FOBIYTQITOLUPP-PTRXPTGYSA-N 0 3 208.280 2.670 20 0 BFADHN C[C@H](NCc1ccc(F)cn1)C(C)(C)C ZINC000309117884 385423974 /nfs/dbraw/zinc/42/39/74/385423974.db2.gz MJRHCBIUDHIRMJ-VIFPVBQESA-N 0 3 210.296 2.745 20 0 BFADHN C[C@H](NCCN1CCC1)c1csc(Cl)c1 ZINC000309105132 385424010 /nfs/dbraw/zinc/42/40/10/385424010.db2.gz HPBVLODVZPETJU-VIFPVBQESA-N 0 3 244.791 2.758 20 0 BFADHN Cc1sccc1CN[C@@]1(C)CCO[C@@H]1C ZINC000309118043 385424135 /nfs/dbraw/zinc/42/41/35/385424135.db2.gz RVBCDZXLSAGIEX-PWSUYJOCSA-N 0 3 225.357 2.714 20 0 BFADHN c1coc(CN[C@H]2[C@H]3CCO[C@@H]3C23CCCC3)c1 ZINC000168905678 385391753 /nfs/dbraw/zinc/39/17/53/385391753.db2.gz DSBKBTWDAOTHAP-RDBSUJKOSA-N 0 3 247.338 2.717 20 0 BFADHN Cc1cc(C)c(NC(=O)[C@@H]2NCC2(C)C)c(C)c1 ZINC000644042971 385393794 /nfs/dbraw/zinc/39/37/94/385393794.db2.gz HFEBMNPLHMRCDW-ZDUSSCGKSA-N 0 3 246.354 2.548 20 0 BFADHN c1cncc(CN[C@@H]2CC23CCCC3)c1 ZINC000309072740 385404130 /nfs/dbraw/zinc/40/41/30/385404130.db2.gz GMJUJOAFTYEGJG-GFCCVEGCSA-N 0 3 202.301 2.504 20 0 BFADHN C[C@@H](Cc1ccc(Cl)cc1)NCCF ZINC000281163880 385404821 /nfs/dbraw/zinc/40/48/21/385404821.db2.gz VXOVHFJHWBMPFI-VIFPVBQESA-N 0 3 215.699 2.830 20 0 BFADHN CCCN(CCO)Cc1ccc(C)c(Cl)c1 ZINC000193617252 385405442 /nfs/dbraw/zinc/40/54/42/385405442.db2.gz NOCMDZZFFDPVKI-UHFFFAOYSA-N 0 3 241.762 2.853 20 0 BFADHN CSC1(CNCc2c(F)cccc2F)CC1 ZINC000193655895 385407200 /nfs/dbraw/zinc/40/72/00/385407200.db2.gz CQIDWNWPYJPTEH-UHFFFAOYSA-N 0 3 243.322 2.950 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3cc(F)ccc32)[C@@H](C)O1 ZINC000295056750 385408151 /nfs/dbraw/zinc/40/81/51/385408151.db2.gz BBUNLKGNFBRZRL-IDMWTJEOSA-N 0 3 249.329 2.969 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCCF)CCC2 ZINC000281152327 385408282 /nfs/dbraw/zinc/40/82/82/385408282.db2.gz VETPJWIONMCMPG-ZDUSSCGKSA-N 0 3 207.292 2.932 20 0 BFADHN CN(CCc1ccc(F)cc1)C[C@H]1CCC=CO1 ZINC000193784077 385410675 /nfs/dbraw/zinc/41/06/75/385410675.db2.gz SXPUFEZOUKDHHA-OAHLLOKOSA-N 0 3 249.329 2.993 20 0 BFADHN CCC[C@H](O)CNCc1ccc(C)c(Cl)c1 ZINC000193737664 385411521 /nfs/dbraw/zinc/41/15/21/385411521.db2.gz AJJAJOREEKYSJG-LBPRGKRZSA-N 0 3 241.762 2.899 20 0 BFADHN CS[C@@H](C)CNCc1cn(C(C)C)nc1C ZINC000281202174 385411879 /nfs/dbraw/zinc/41/18/79/385411879.db2.gz BWEONTIRRNKMJW-JTQLQIEISA-N 0 3 241.404 2.614 20 0 BFADHN CC(C)=CCNC[C@H](O)c1ccc(Cl)cc1 ZINC000178771473 385417987 /nfs/dbraw/zinc/41/79/87/385417987.db2.gz JOHJXUPANPUCLY-ZDUSSCGKSA-N 0 3 239.746 2.929 20 0 BFADHN Cc1ccc(CN(C)CC[C@H](C)O)cc1Cl ZINC000193976374 385418490 /nfs/dbraw/zinc/41/84/90/385418490.db2.gz NRTMSWXALXSMQO-NSHDSACASA-N 0 3 241.762 2.851 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)[C@@H](C)C2)c(C)c1 ZINC000354443754 385420066 /nfs/dbraw/zinc/42/00/66/385420066.db2.gz JYSRXICMAMQNER-GASCZTMLSA-N 0 3 246.398 2.828 20 0 BFADHN CC[C@H](NCCCCO)c1ccc(F)cc1F ZINC000194223530 385426386 /nfs/dbraw/zinc/42/63/86/385426386.db2.gz SGCWUHFNJWIOED-ZDUSSCGKSA-N 0 3 243.297 2.778 20 0 BFADHN Cc1cc(CN2CCCO[C@@H](C)C2)c(C)s1 ZINC000170764190 385444160 /nfs/dbraw/zinc/44/41/60/385444160.db2.gz YXIJCSXEVZFFJK-JTQLQIEISA-N 0 3 239.384 2.976 20 0 BFADHN C[C@@H](CCO)CCNCc1sccc1Cl ZINC000295122691 385444602 /nfs/dbraw/zinc/44/46/02/385444602.db2.gz NKJDYXHBUDPQCQ-SECBINFHSA-N 0 3 247.791 2.900 20 0 BFADHN Cc1ccoc1CN[C@H]1CC[C@@H]1C1CC1 ZINC000309166490 385446970 /nfs/dbraw/zinc/44/69/70/385446970.db2.gz JERZWDKYYWUZCY-NEPJUHHUSA-N 0 3 205.301 2.866 20 0 BFADHN C=Cn1cc(CN2CCCC[C@H](C)C2)cn1 ZINC000194719711 385447856 /nfs/dbraw/zinc/44/78/56/385447856.db2.gz HVUYVROZIPJNQD-LBPRGKRZSA-N 0 3 219.332 2.606 20 0 BFADHN CN(Cc1ccn(C)c1)Cc1ccccc1 ZINC000156374489 385449535 /nfs/dbraw/zinc/44/95/35/385449535.db2.gz HCIBZEVHIXIXSJ-UHFFFAOYSA-N 0 3 214.312 2.657 20 0 BFADHN CO[C@@H]1CCCN(Cc2cc(C)sc2C)C1 ZINC000170817530 385449800 /nfs/dbraw/zinc/44/98/00/385449800.db2.gz IJJWVLFXBXGVOE-CYBMUJFWSA-N 0 3 239.384 2.976 20 0 BFADHN C/C(=C/c1ccccc1)CN1C[C@@H](O)C(C)(C)C1 ZINC000448352849 385454622 /nfs/dbraw/zinc/45/46/22/385454622.db2.gz FDLMVMAZEHWWLU-UZGISAJGSA-N 0 3 245.366 2.793 20 0 BFADHN CCSCCCNCc1occc1C ZINC000281899246 385456393 /nfs/dbraw/zinc/45/63/93/385456393.db2.gz FNBSMYJDPMQZSV-UHFFFAOYSA-N 0 3 213.346 2.821 20 0 BFADHN COc1cc(CNC[C@H]2CCC=CO2)ccc1C ZINC000194278670 385427102 /nfs/dbraw/zinc/42/71/02/385427102.db2.gz ZGAHMSPDFBEZRD-CQSZACIVSA-N 0 3 247.338 2.786 20 0 BFADHN CSCCCNCc1cn(C(C)C)nc1C ZINC000281350151 385427364 /nfs/dbraw/zinc/42/73/64/385427364.db2.gz MODDLBGUVDZFEA-UHFFFAOYSA-N 0 3 241.404 2.615 20 0 BFADHN CC[C@@H](NCCCCO)c1ccc(F)cc1F ZINC000194223544 385427857 /nfs/dbraw/zinc/42/78/57/385427857.db2.gz SGCWUHFNJWIOED-CYBMUJFWSA-N 0 3 243.297 2.778 20 0 BFADHN Cc1ccc(CNCCCc2ccccn2)o1 ZINC000178935439 385427901 /nfs/dbraw/zinc/42/79/01/385427901.db2.gz GGFLDWGXNBPFKC-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN COC1(CNCc2ccsc2C)CCC1 ZINC000309128639 385429700 /nfs/dbraw/zinc/42/97/00/385429700.db2.gz XBKSKCBSUZHTMS-UHFFFAOYSA-N 0 3 225.357 2.715 20 0 BFADHN CC[C@H]1CCCN(CCOCC(F)(F)F)C1 ZINC000194307669 385429816 /nfs/dbraw/zinc/42/98/16/385429816.db2.gz LKPBZAUOKPGLRJ-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN COC[C@@H](C)N(C)Cc1cc(Cl)cs1 ZINC000194347900 385431300 /nfs/dbraw/zinc/43/13/00/385431300.db2.gz FKPCGFVGFABQJN-MRVPVSSYSA-N 0 3 233.764 2.868 20 0 BFADHN FC1(F)CC[C@H](CNCc2ccco2)C1 ZINC000281359552 385432624 /nfs/dbraw/zinc/43/26/24/385432624.db2.gz FONZTWWNNSMYQI-VIFPVBQESA-N 0 3 215.243 2.805 20 0 BFADHN COc1cc(CNCCOC(C)C)ccc1C ZINC000194416313 385433515 /nfs/dbraw/zinc/43/35/15/385433515.db2.gz GLDNIKVKSOBXQV-UHFFFAOYSA-N 0 3 237.343 2.518 20 0 BFADHN CCCc1cccc(CN2C[C@H](O)C(C)(C)C2)c1 ZINC000448356315 385457439 /nfs/dbraw/zinc/45/74/39/385457439.db2.gz RGVBXVGUPABTJL-HNNXBMFYSA-N 0 3 247.382 2.842 20 0 BFADHN C[C@@H](NCCC1CC1)c1ccncc1F ZINC000309144119 385435294 /nfs/dbraw/zinc/43/52/94/385435294.db2.gz UONDKMXMOFPUCA-SECBINFHSA-N 0 3 208.280 2.671 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1cc(C)oc1C ZINC000020437613 385465333 /nfs/dbraw/zinc/46/53/33/385465333.db2.gz RQZUTNXXZRSVQN-PSASIEDQSA-N 0 3 211.305 2.582 20 0 BFADHN CN(CCO)Cc1ccc(-c2ccc(F)cc2)o1 ZINC000179510592 385470202 /nfs/dbraw/zinc/47/02/02/385470202.db2.gz OALMUZYKRCXSEC-UHFFFAOYSA-N 0 3 249.285 2.510 20 0 BFADHN Cc1oc2ccccc2c1CN1CCC[C@H](O)C1 ZINC000179522527 385471210 /nfs/dbraw/zinc/47/12/10/385471210.db2.gz HIAFIOGQVYMCIQ-LBPRGKRZSA-N 0 3 245.322 2.698 20 0 BFADHN CC(C)N(C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000179520930 385471394 /nfs/dbraw/zinc/47/13/94/385471394.db2.gz PRVZKUOHHRELIK-UHFFFAOYSA-N 0 3 229.327 2.917 20 0 BFADHN CC(C)N(C)Cc1cnc(-c2ccccc2)[nH]1 ZINC000179520930 385471397 /nfs/dbraw/zinc/47/13/97/385471397.db2.gz PRVZKUOHHRELIK-UHFFFAOYSA-N 0 3 229.327 2.917 20 0 BFADHN CCN(CC)Cc1cnc(N(CC)CC)s1 ZINC000156904704 385472178 /nfs/dbraw/zinc/47/21/78/385472178.db2.gz QJGTXBMZOIVDFP-UHFFFAOYSA-N 0 3 241.404 2.831 20 0 BFADHN CC[C@@H](NCC1(C)OCCO1)c1ccc(C)cc1 ZINC000179574012 385473318 /nfs/dbraw/zinc/47/33/18/385473318.db2.gz KKCWQNFSKIITKU-CQSZACIVSA-N 0 3 249.354 2.799 20 0 BFADHN CC(C)(NCCn1ccnc1)c1cccc(F)c1 ZINC000179567986 385474182 /nfs/dbraw/zinc/47/41/82/385474182.db2.gz RCCVSDVROXHWMZ-UHFFFAOYSA-N 0 3 247.317 2.547 20 0 BFADHN CCN(CC)Cc1ccccc1OCCOC ZINC000156933733 385476597 /nfs/dbraw/zinc/47/65/97/385476597.db2.gz BFAVGCKIKYCBJE-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN CCN(C)Cc1cn(C)nc1-c1ccccc1 ZINC000179666413 385482610 /nfs/dbraw/zinc/48/26/10/385482610.db2.gz UMZAJNIRJGWVHP-UHFFFAOYSA-N 0 3 229.327 2.539 20 0 BFADHN CCC(CC)[C@H](O)CN[C@@H](C)c1ccncc1 ZINC000156647824 385462731 /nfs/dbraw/zinc/46/27/31/385462731.db2.gz AAYXDQGXQMGGAO-SMDDNHRTSA-N 0 3 236.359 2.529 20 0 BFADHN CCOc1ccccc1[C@@H](CC)NCCOC ZINC000179737133 385487823 /nfs/dbraw/zinc/48/78/23/385487823.db2.gz RARKXTQLXRMKHM-CYBMUJFWSA-N 0 3 237.343 2.772 20 0 BFADHN COC[C@H](C)N(C)Cc1ccc(F)cc1C ZINC000179370459 385463415 /nfs/dbraw/zinc/46/34/15/385463415.db2.gz DKUOOBYKDDANKR-NSHDSACASA-N 0 3 225.307 2.601 20 0 BFADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1c[nH]cn1 ZINC000179753270 385490537 /nfs/dbraw/zinc/49/05/37/385490537.db2.gz KYLDPPWHFADVDC-OCCSQVGLSA-N 0 3 241.338 2.788 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1ccccc1F ZINC000157363596 385498438 /nfs/dbraw/zinc/49/84/38/385498438.db2.gz MRWACZLXJPPSRP-QWRGUYRKSA-N 0 3 225.307 2.637 20 0 BFADHN COCc1ccc(CN2CCCCCC2)o1 ZINC000157367271 385498754 /nfs/dbraw/zinc/49/87/54/385498754.db2.gz FPHIMPDAJYTTIH-UHFFFAOYSA-N 0 3 223.316 2.802 20 0 BFADHN CC[C@@H](C)[C@H](O)CNCc1ccsc1Cl ZINC000309248350 385499023 /nfs/dbraw/zinc/49/90/23/385499023.db2.gz ZQLFGZQGYLONOW-PSASIEDQSA-N 0 3 247.791 2.898 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000282160895 385511247 /nfs/dbraw/zinc/51/12/47/385511247.db2.gz LRKMUNQGEGEHGF-GWCFXTLKSA-N 0 3 225.332 2.708 20 0 BFADHN C[C@]12C[C@H]1CCC[C@@H]2NCc1nccs1 ZINC000282191560 385515866 /nfs/dbraw/zinc/51/58/66/385515866.db2.gz NIVNGLKLSHMLPX-SCVCMEIPSA-N 0 3 222.357 2.811 20 0 BFADHN CC(C)[C@@H](O)CN[C@H](C)c1cccc(F)c1F ZINC000183265710 385516353 /nfs/dbraw/zinc/51/63/53/385516353.db2.gz ZQVZGOCPSPEYOG-SKDRFNHKSA-N 0 3 243.297 2.632 20 0 BFADHN Cc1ccc(CN[C@H]2CCO[C@H](C3CC3)C2)o1 ZINC000157157578 385489645 /nfs/dbraw/zinc/48/96/45/385489645.db2.gz AVJOJPRJIITVBE-JSGCOSHPSA-N 0 3 235.327 2.635 20 0 BFADHN CCN(CC1CC1)[C@@H](C)C(=O)Nc1ccccc1 ZINC000157885807 385533192 /nfs/dbraw/zinc/53/31/92/385533192.db2.gz LAGLDPKGHDARRY-LBPRGKRZSA-N 0 3 246.354 2.746 20 0 BFADHN C(NC1CCCC1)c1noc2c1CCCC2 ZINC000309334943 385533725 /nfs/dbraw/zinc/53/37/25/385533725.db2.gz IREZPCJICGLKBO-UHFFFAOYSA-N 0 3 220.316 2.586 20 0 BFADHN COCc1ccc(CN2C[C@@H](C)C[C@H](C)C2)o1 ZINC000157989102 385541933 /nfs/dbraw/zinc/54/19/33/385541933.db2.gz FHUZUUAPBXEPKW-RYUDHWBXSA-N 0 3 237.343 2.904 20 0 BFADHN Cc1ccc2ncc(CN(C)[C@H](C)C3CC3)n2c1 ZINC000158120900 385548988 /nfs/dbraw/zinc/54/89/88/385548988.db2.gz URCIUYVOYKSPJQ-GFCCVEGCSA-N 0 3 243.354 2.873 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1c(C)noc1C)OC ZINC000319098435 385542925 /nfs/dbraw/zinc/54/29/25/385542925.db2.gz WEOKFRKPAWXFJV-RNCFNFMXSA-N 0 3 240.347 2.757 20 0 BFADHN Cc1ccc2nccc(N3CCO[C@@H]4C[C@@H]43)c2c1 ZINC000644135678 385518586 /nfs/dbraw/zinc/51/85/86/385518586.db2.gz SJPKBZFMYFQAKL-LSDHHAIUSA-N 0 3 240.306 2.521 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@H](C2CC2)C1)c1ccco1 ZINC000157815995 385523586 /nfs/dbraw/zinc/52/35/86/385523586.db2.gz ZHHNHRATZYJZAR-SUHUHFCYSA-N 0 3 235.327 2.888 20 0 BFADHN CCCCN(CC)Cc1cccc(OC)n1 ZINC000171859098 385524645 /nfs/dbraw/zinc/52/46/45/385524645.db2.gz UTBLUOXEQTVAKV-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN C[C@H](NC[C@H](O)CC(C)(C)C)c1cccnc1 ZINC000181421727 385577523 /nfs/dbraw/zinc/57/75/23/385577523.db2.gz FBUDOBYJYSWFIV-WCQYABFASA-N 0 3 236.359 2.529 20 0 BFADHN Cc1cc(CCNCc2ccc(Cl)o2)on1 ZINC000309418734 385576185 /nfs/dbraw/zinc/57/61/85/385576185.db2.gz ABMQRVRRRWWRLP-UHFFFAOYSA-N 0 3 240.690 2.562 20 0 BFADHN CO[C@H](C)CN[C@@H](c1nc(C)cs1)C1CC1 ZINC000183528929 385550435 /nfs/dbraw/zinc/55/04/35/385550435.db2.gz HHYXCCSJCLWMPG-MWLCHTKSSA-N 0 3 240.372 2.527 20 0 BFADHN C[C@H](F)CCN(C)Cc1cccs1 ZINC000308254222 385552576 /nfs/dbraw/zinc/55/25/76/385552576.db2.gz JLQIPMNFBZRHHJ-VIFPVBQESA-N 0 3 201.310 2.928 20 0 BFADHN Cc1sccc1CN[C@H]1CCO[C@H]1C1CC1 ZINC000309377715 385558161 /nfs/dbraw/zinc/55/81/61/385558161.db2.gz GDEVVUDMIMUUNB-STQMWFEESA-N 0 3 237.368 2.714 20 0 BFADHN CC(C)(C)CCN[C@H]1CCCc2c[nH]nc21 ZINC000309403321 385571318 /nfs/dbraw/zinc/57/13/18/385571318.db2.gz WSXHZNCWPGEFED-NSHDSACASA-N 0 3 221.348 2.813 20 0 BFADHN COC[C@@H](NCC=C(C)C)c1ccccc1 ZINC000181699429 385594751 /nfs/dbraw/zinc/59/47/51/385594751.db2.gz RMWFIDQBCLYJBT-CQSZACIVSA-N 0 3 219.328 2.930 20 0 BFADHN CN(Cc1ccccc1F)[C@H]1CCCC[C@H]1O ZINC000250248268 385597932 /nfs/dbraw/zinc/59/79/32/385597932.db2.gz UPINMPWXKOKCEI-UONOGXRCSA-N 0 3 237.318 2.561 20 0 BFADHN Cc1ccncc1CNCC(C)(C)OCC1CC1 ZINC000414509661 385606623 /nfs/dbraw/zinc/60/66/23/385606623.db2.gz ZZLSMDMNKFFURJ-UHFFFAOYSA-N 0 3 248.370 2.685 20 0 BFADHN Cc1nnc([C@H](C)N[C@H]2[C@H](C)CCC[C@@H]2C)o1 ZINC000408196571 385602630 /nfs/dbraw/zinc/60/26/30/385602630.db2.gz DNXJZMGWFBSAOC-MIZYBKAJSA-N 0 3 237.347 2.853 20 0 BFADHN CC(C)(C)C(C)(C)CNCc1ncc[nH]1 ZINC000336649782 385603044 /nfs/dbraw/zinc/60/30/44/385603044.db2.gz IHLKPZRAZBPQIY-UHFFFAOYSA-N 0 3 209.337 2.572 20 0 BFADHN CC(C)(C)C(C)(C)CNCc1cocn1 ZINC000336649766 385604428 /nfs/dbraw/zinc/60/44/28/385604428.db2.gz HXKFAUAESYDBAE-UHFFFAOYSA-N 0 3 210.321 2.837 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1cccnc1 ZINC000309426236 385578001 /nfs/dbraw/zinc/57/80/01/385578001.db2.gz FHCMRXMFPZMZQE-SNVBAGLBSA-N 0 3 232.249 2.902 20 0 BFADHN C[C@@H](NCCCc1ccccn1)c1nccs1 ZINC000181490781 385580725 /nfs/dbraw/zinc/58/07/25/385580725.db2.gz LKIWIXXQBOXCMN-LLVKDONJSA-N 0 3 247.367 2.822 20 0 BFADHN c1csc([C@H]2CCCN2CCn2ccnc2)c1 ZINC000182110275 385636174 /nfs/dbraw/zinc/63/61/74/385636174.db2.gz HMGPMKIFJLHZQX-GFCCVEGCSA-N 0 3 247.367 2.782 20 0 BFADHN CCc1ccc(CNC[C@@H]2C[C@@H](C)O[C@@H]2C)o1 ZINC000414516194 385636276 /nfs/dbraw/zinc/63/62/76/385636276.db2.gz OPKBBELMELFIAL-UTUOFQBUSA-N 0 3 237.343 2.745 20 0 BFADHN Cc1ccncc1CN1CCC(C)(C)C1 ZINC000269665010 385620820 /nfs/dbraw/zinc/62/08/20/385620820.db2.gz YLMCHQOELWXIJF-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CC[C@@H](NCCSC)c1nc(C)cs1 ZINC000184347573 385624023 /nfs/dbraw/zinc/62/40/23/385624023.db2.gz BKFTYRWQAHXCIA-SECBINFHSA-N 0 3 230.402 2.855 20 0 BFADHN Cc1nocc1CN[C@@H]1CCCC1(C)C ZINC000293526507 385629370 /nfs/dbraw/zinc/62/93/70/385629370.db2.gz JBOLAOZTAVSJOI-LLVKDONJSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H](c1ccccc1)[C@H](C)NCc1cocn1 ZINC000182063196 385632444 /nfs/dbraw/zinc/63/24/44/385632444.db2.gz IIRHZSXMWOTGCQ-RYUDHWBXSA-N 0 3 230.311 2.956 20 0 BFADHN C[C@H](NCCC(C)(C)O)c1cc(F)ccc1F ZINC000336681320 385637124 /nfs/dbraw/zinc/63/71/24/385637124.db2.gz DPLPLUZVXQVZDE-VIFPVBQESA-N 0 3 243.297 2.776 20 0 BFADHN CO[C@@H](C)CN(Cc1cccc(F)c1)C1CC1 ZINC000182071515 385633826 /nfs/dbraw/zinc/63/38/26/385633826.db2.gz JPNKJBGWAAQSAF-NSHDSACASA-N 0 3 237.318 2.825 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1cnc2ccccn12 ZINC000412040737 385633816 /nfs/dbraw/zinc/63/38/16/385633816.db2.gz KMMLETASZZUQPN-GFCCVEGCSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H](NC[C@H](C)C(F)(F)F)c1ccncc1 ZINC000336724081 385609901 /nfs/dbraw/zinc/60/99/01/385609901.db2.gz BBKAMHDICLWQGP-DTWKUNHWSA-N 0 3 232.249 2.931 20 0 BFADHN CC[C@H](N[C@@H](C)COC(C)C)c1ccncc1 ZINC000337340719 385610734 /nfs/dbraw/zinc/61/07/34/385610734.db2.gz PPKDGSMYPYIPOG-JSGCOSHPSA-N 0 3 236.359 2.936 20 0 BFADHN CO[C@H](C)CN[C@H](c1cccnc1)C1CCC1 ZINC000280857669 385612352 /nfs/dbraw/zinc/61/23/52/385612352.db2.gz NAJYGJPFJRSKHJ-RISCZKNCSA-N 0 3 234.343 2.547 20 0 BFADHN CSCC[C@H](C)N(C)Cc1cnccc1C ZINC000269601609 385612886 /nfs/dbraw/zinc/61/28/86/385612886.db2.gz ALTXFQKCGOGYMS-LBPRGKRZSA-N 0 3 238.400 2.963 20 0 BFADHN CC[C@H]1CN([C@@H](C)c2cccnc2)CCCO1 ZINC000281376259 385664673 /nfs/dbraw/zinc/66/46/73/385664673.db2.gz LZWZQKHCXCLHGN-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN F[C@@H]1CCCC[C@H]1NC/C=C\c1ccncc1 ZINC000414516823 385639740 /nfs/dbraw/zinc/63/97/40/385639740.db2.gz YADIJOZWWYCNQU-JHZNUDOXSA-N 0 3 234.318 2.965 20 0 BFADHN CSCCCN(C)Cc1cnn2ccccc12 ZINC000281150430 385639670 /nfs/dbraw/zinc/63/96/70/385639670.db2.gz FMOXTVCUYARCIN-UHFFFAOYSA-N 0 3 249.383 2.519 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1ccc(Cl)cn1 ZINC000309502828 385640065 /nfs/dbraw/zinc/64/00/65/385640065.db2.gz AEGLHHPSVLYNJA-SECBINFHSA-N 0 3 242.750 2.638 20 0 BFADHN COC(C)(C)[C@H](C)NCc1ccc(Cl)cn1 ZINC000309502830 385640345 /nfs/dbraw/zinc/64/03/45/385640345.db2.gz AEGLHHPSVLYNJA-VIFPVBQESA-N 0 3 242.750 2.638 20 0 BFADHN CCC(O)(CC)CN(C)Cc1occc1C ZINC000336681904 385643380 /nfs/dbraw/zinc/64/33/80/385643380.db2.gz RVKNVYIACWNKRC-UHFFFAOYSA-N 0 3 225.332 2.571 20 0 BFADHN CC[C@@H](NCCc1cccs1)c1nccn1C ZINC000182217755 385644582 /nfs/dbraw/zinc/64/45/82/385644582.db2.gz ZNTVZGAEZCZTBY-GFCCVEGCSA-N 0 3 249.383 2.765 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@H]2CCCOC2)o1 ZINC000252149276 385645929 /nfs/dbraw/zinc/64/59/29/385645929.db2.gz OJKQOJVQYLFLEM-NTZNESFSSA-N 0 3 235.327 2.672 20 0 BFADHN CSCCCN(C)Cc1cnn(C(C)C)c1 ZINC000281225396 385646718 /nfs/dbraw/zinc/64/67/18/385646718.db2.gz KPVWSEKIXNRVCW-UHFFFAOYSA-N 0 3 241.404 2.649 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1cncc(C)c1 ZINC000184420245 385650848 /nfs/dbraw/zinc/65/08/48/385650848.db2.gz RNPHWXRZCBBURF-WDEREUQCSA-N 0 3 224.373 2.792 20 0 BFADHN C[C@@H]1C[C@H](O)CN(C/C=C/c2ccc(F)cc2)C1 ZINC000414520798 385652257 /nfs/dbraw/zinc/65/22/57/385652257.db2.gz DEMRMCQPWCNXNY-RDYIKARRSA-N 0 3 249.329 2.542 20 0 BFADHN CO[C@@H](C)CN[C@H](c1ncccc1C)C(C)C ZINC000182338040 385658652 /nfs/dbraw/zinc/65/86/52/385658652.db2.gz DLHZEWVXZHLBDU-STQMWFEESA-N 0 3 236.359 2.712 20 0 BFADHN CCN[C@H](C)C(=O)Nc1cccc2ccccc21 ZINC000643201940 385672840 /nfs/dbraw/zinc/67/28/40/385672840.db2.gz VRLBQWKBRYDJCV-LLVKDONJSA-N 0 3 242.322 2.776 20 0 BFADHN COC[C@@H](C)N1CCc2cc(F)cc(C)c2C1 ZINC000337467347 385674197 /nfs/dbraw/zinc/67/41/97/385674197.db2.gz SXEYEWDRFCTOAS-LLVKDONJSA-N 0 3 237.318 2.527 20 0 BFADHN COc1ccc(COCCN2C[C@@H](C)[C@H]2C)cc1 ZINC000645218138 385678342 /nfs/dbraw/zinc/67/83/42/385678342.db2.gz MBBRDHFUSPAGEA-CHWSQXEVSA-N 0 3 249.354 2.552 20 0 BFADHN CC[C@H](NCc1ccc(Cl)o1)[C@H](O)C(C)C ZINC000414527324 385678488 /nfs/dbraw/zinc/67/84/88/385678488.db2.gz MRADBZRYCUWGLL-CMPLNLGQSA-N 0 3 245.750 2.818 20 0 BFADHN C[C@H](CCC1CC1)NCc1cscn1 ZINC000184568813 385679214 /nfs/dbraw/zinc/67/92/14/385679214.db2.gz CBRDDHZOXZELLG-SECBINFHSA-N 0 3 210.346 2.811 20 0 BFADHN CC[C@H](O)CN[C@@H](CC(C)C)c1ccccn1 ZINC000281556907 385682340 /nfs/dbraw/zinc/68/23/40/385682340.db2.gz CRFYLPDSFZUTMI-JSGCOSHPSA-N 0 3 236.359 2.529 20 0 BFADHN COc1cc(C)nc(CN2CCCC[C@@H]2C)c1 ZINC000270199534 385682439 /nfs/dbraw/zinc/68/24/39/385682439.db2.gz BZAQJUCQXGSHKF-LBPRGKRZSA-N 0 3 234.343 2.773 20 0 BFADHN CCCCN(C)Cc1cc(OC)cc(C)n1 ZINC000270202507 385685425 /nfs/dbraw/zinc/68/54/25/385685425.db2.gz CHZGHTWCGUVDAW-UHFFFAOYSA-N 0 3 222.332 2.631 20 0 BFADHN Cc1occc1CN[C@H]1CSC1(C)C ZINC000307394294 385685734 /nfs/dbraw/zinc/68/57/34/385685734.db2.gz CVYAEZHWGHXGAU-JTQLQIEISA-N 0 3 211.330 2.572 20 0 BFADHN CCc1ccc(CN[C@@H](C)c2cn[nH]c2)cc1 ZINC000229883847 385685836 /nfs/dbraw/zinc/68/58/36/385685836.db2.gz UHVXLNZQVFGVNQ-NSHDSACASA-N 0 3 229.327 2.823 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2cn[nH]c2)cc1 ZINC000229883823 385685871 /nfs/dbraw/zinc/68/58/71/385685871.db2.gz MHHVDUQRVZBWKA-NSHDSACASA-N 0 3 215.300 2.569 20 0 BFADHN Cc1cc(C)cc(CN[C@H](C)c2cn[nH]c2)c1 ZINC000229883159 385686231 /nfs/dbraw/zinc/68/62/31/385686231.db2.gz AQVVDLWVKAAAOF-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1C1CC1)c1ccccn1 ZINC000309553103 385686705 /nfs/dbraw/zinc/68/67/05/385686705.db2.gz IWYVXHODHAJMEQ-VHRBIJSZSA-N 0 3 216.328 2.921 20 0 BFADHN FCCN[C@@H]1CCC[C@@H](c2cccnc2)C1 ZINC000309554132 385686946 /nfs/dbraw/zinc/68/69/46/385686946.db2.gz GYTBUYZZLWRHDN-DGCLKSJQSA-N 0 3 222.307 2.667 20 0 BFADHN FCCN[C@H]1CCC[C@@H](c2cccnc2)C1 ZINC000309554135 385686964 /nfs/dbraw/zinc/68/69/64/385686964.db2.gz GYTBUYZZLWRHDN-YPMHNXCESA-N 0 3 222.307 2.667 20 0 BFADHN C[C@@H](N[C@@H]1CCCc2c[nH]nc21)C1CCC1 ZINC000309561019 385691897 /nfs/dbraw/zinc/69/18/97/385691897.db2.gz LALPKWFYDAASOF-BXKDBHETSA-N 0 3 219.332 2.565 20 0 BFADHN COc1cc(C)nc(CN2CC[C@H](C)[C@@H]2C)c1 ZINC000270365430 385700507 /nfs/dbraw/zinc/70/05/07/385700507.db2.gz YLSHKQUMYJZBMV-JQWIXIFHSA-N 0 3 234.343 2.629 20 0 BFADHN COc1cc(C)nc(CN(C)[C@@H](C)C(C)C)c1 ZINC000270353021 385700691 /nfs/dbraw/zinc/70/06/91/385700691.db2.gz WRVLDROTOPTYCZ-LBPRGKRZSA-N 0 3 236.359 2.875 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H](C)c1cncc(F)c1 ZINC000336686871 385703664 /nfs/dbraw/zinc/70/36/64/385703664.db2.gz JBTGGVVFKURVTC-XXILOJSOSA-N 0 3 240.347 2.765 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H](C)c1cccc(O)c1 ZINC000336688362 385708615 /nfs/dbraw/zinc/70/86/15/385708615.db2.gz JRGBRHLGWKTCLE-ZWKOPEQDSA-N 0 3 237.368 2.937 20 0 BFADHN OCCCCCN1CC2(CCC2)[C@H]1c1ccco1 ZINC000451838610 385714968 /nfs/dbraw/zinc/71/49/68/385714968.db2.gz NJEMCOLSZQXTSJ-CQSZACIVSA-N 0 3 249.354 2.969 20 0 BFADHN CCc1ccc(CNCC2(C)CCOCC2)o1 ZINC000230198449 385716379 /nfs/dbraw/zinc/71/63/79/385716379.db2.gz PEMDWZORVKRXQO-UHFFFAOYSA-N 0 3 237.343 2.748 20 0 BFADHN COC1([C@@H](C)NCc2ccoc2C)CCC1 ZINC000414526525 385668214 /nfs/dbraw/zinc/66/82/14/385668214.db2.gz OTEYNHPAHQNKFQ-LLVKDONJSA-N 0 3 223.316 2.635 20 0 BFADHN CCOc1ccc([C@H](C)NC[C@H](C)OC)cc1 ZINC000182421924 385668474 /nfs/dbraw/zinc/66/84/74/385668474.db2.gz HXLWKQPYJVRYRZ-RYUDHWBXSA-N 0 3 237.343 2.771 20 0 BFADHN CCOc1cccc([C@@H](C)NC[C@H](C)OC)c1 ZINC000182429669 385670197 /nfs/dbraw/zinc/67/01/97/385670197.db2.gz YEWNZLCFGKKROP-NWDGAFQWSA-N 0 3 237.343 2.771 20 0 BFADHN CCc1nocc1CN[C@H](C)CC1CCC1 ZINC000631250146 385731418 /nfs/dbraw/zinc/73/14/18/385731418.db2.gz GWXUPEMIQBZSAX-SNVBAGLBSA-N 0 3 222.332 2.905 20 0 BFADHN CCCCC[C@@H](C)NCc1n[nH]cc1C ZINC000289924709 385731640 /nfs/dbraw/zinc/73/16/40/385731640.db2.gz OSNFCJXGVOUZHT-LLVKDONJSA-N 0 3 209.337 2.777 20 0 BFADHN C[C@]1(O)CCCN(C/C=C/c2ccccc2)CC1 ZINC000230379882 385731680 /nfs/dbraw/zinc/73/16/80/385731680.db2.gz MFTMUGDEARENHW-RDTXFTJFSA-N 0 3 245.366 2.937 20 0 BFADHN CC[C@@H](CCO)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000282105674 385732320 /nfs/dbraw/zinc/73/23/20/385732320.db2.gz IUQGDIPAYSLWFS-AAEUAGOBSA-N 0 3 239.359 2.956 20 0 BFADHN CCN(C[C@@H]1CCCO1)[C@@H](C)c1cccnc1 ZINC000270715973 385733163 /nfs/dbraw/zinc/73/31/63/385733163.db2.gz JKDSYNQJMJXTJI-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN Cc1cccc(N2CCN(C[C@H]3C[C@@H]3C)CC2)c1 ZINC000488293479 385733418 /nfs/dbraw/zinc/73/34/18/385733418.db2.gz LBLZNTNXLMEMOC-LSDHHAIUSA-N 0 3 244.382 2.773 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(C)o2)[C@@H](C)C1 ZINC000282187979 385738239 /nfs/dbraw/zinc/73/82/39/385738239.db2.gz GCDLTXSQNGTSAC-JQWIXIFHSA-N 0 3 223.316 2.587 20 0 BFADHN Cc1cc(C)c(CN(CCO)C2CC2)cc1C ZINC000271088268 385786151 /nfs/dbraw/zinc/78/61/51/385786151.db2.gz UVWYXMVTEZSGQJ-UHFFFAOYSA-N 0 3 233.355 2.569 20 0 BFADHN c1cn2cc(CN[C@H]3CCC34CCC4)nc2s1 ZINC000282219543 385743267 /nfs/dbraw/zinc/74/32/67/385743267.db2.gz OUWOSSAUWPOMBC-NSHDSACASA-N 0 3 247.367 2.818 20 0 BFADHN C[C@@H](CN(C)Cc1cccn1C)c1nccs1 ZINC000183257701 385747859 /nfs/dbraw/zinc/74/78/59/385747859.db2.gz LHUXYFZUUGAMLJ-NSHDSACASA-N 0 3 249.383 2.717 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1sccc1OC ZINC000186163602 385750079 /nfs/dbraw/zinc/75/00/79/385750079.db2.gz REGULFSVLMNHDI-VHSXEESVSA-N 0 3 243.372 2.568 20 0 BFADHN Fc1ccc(CNCC2(C(F)F)CC2)cc1 ZINC000309618963 385750153 /nfs/dbraw/zinc/75/01/53/385750153.db2.gz GEOSFWSRXMMFKX-UHFFFAOYSA-N 0 3 229.245 2.961 20 0 BFADHN CO[C@H]1CCN(Cc2cccs2)[C@H](C)C1 ZINC000282318952 385752989 /nfs/dbraw/zinc/75/29/89/385752989.db2.gz KYPQAGUZYCOKPM-MNOVXSKESA-N 0 3 225.357 2.747 20 0 BFADHN COC(=O)[C@]1(C)CCCN1CCC1CCCC1 ZINC000186382885 385757178 /nfs/dbraw/zinc/75/71/78/385757178.db2.gz JQXOSVOTSYFTQV-AWEZNQCLSA-N 0 3 239.359 2.594 20 0 BFADHN CCC1(CO)CCN([C@H](C)c2ccccn2)CC1 ZINC000270899560 385757587 /nfs/dbraw/zinc/75/75/87/385757587.db2.gz OGJKNTWTLWSJDV-CYBMUJFWSA-N 0 3 248.370 2.627 20 0 BFADHN CCCC(C)(C)NCc1ncc(COC)s1 ZINC000336737376 385761530 /nfs/dbraw/zinc/76/15/30/385761530.db2.gz NJVJHYMLGUWNMF-UHFFFAOYSA-N 0 3 242.388 2.958 20 0 BFADHN CCN(CCO)Cc1ccc(-c2ccccc2)o1 ZINC000270990066 385767825 /nfs/dbraw/zinc/76/78/25/385767825.db2.gz HCORVWZWRYKKFC-UHFFFAOYSA-N 0 3 245.322 2.761 20 0 BFADHN CN(C)c1ncc(CN2CCCCCC2)s1 ZINC000271015740 385769155 /nfs/dbraw/zinc/76/91/55/385769155.db2.gz RGGDYSKEDLSYDU-UHFFFAOYSA-N 0 3 239.388 2.585 20 0 BFADHN Cc1ccc2ncc(CN(C)CC(C)C)n2c1 ZINC000271035902 385774337 /nfs/dbraw/zinc/77/43/37/385774337.db2.gz GDPFFYAGHMRRBB-UHFFFAOYSA-N 0 3 231.343 2.731 20 0 BFADHN CCc1ccc(CN2CC[C@@H](O)C23CCCC3)o1 ZINC000293957343 385775137 /nfs/dbraw/zinc/77/51/37/385775137.db2.gz POCLMOFQTDSOGN-CQSZACIVSA-N 0 3 249.354 2.721 20 0 BFADHN COC[C@H](C)N(C)Cc1ccc(C)c(F)c1 ZINC000271108006 385787740 /nfs/dbraw/zinc/78/77/40/385787740.db2.gz BVGZCXIOAASLGB-NSHDSACASA-N 0 3 225.307 2.601 20 0 BFADHN CCN(CCOC)Cc1ccc2ncccc2c1 ZINC000271055530 385777651 /nfs/dbraw/zinc/77/76/51/385777651.db2.gz SWAILFWXGLTCDU-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN CC(C)COCCN(C)Cc1cccs1 ZINC000282737430 385783240 /nfs/dbraw/zinc/78/32/40/385783240.db2.gz RHGOZBXUJUCZNG-UHFFFAOYSA-N 0 3 227.373 2.853 20 0 BFADHN CC(C)(C)[C@@H]1C[C@H](NCc2ccco2)CCO1 ZINC000270638141 385724443 /nfs/dbraw/zinc/72/44/43/385724443.db2.gz JAGVTOBXYXUTPW-YPMHNXCESA-N 0 3 237.343 2.963 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1ccsc1 ZINC000308198264 385726063 /nfs/dbraw/zinc/72/60/63/385726063.db2.gz VKQMWSORUDQIMW-ZJUUUORDSA-N 0 3 213.371 2.732 20 0 BFADHN C[C@H](NC[C@@H]1CCSC1)c1ccncc1F ZINC000309599643 385726859 /nfs/dbraw/zinc/72/68/59/385726859.db2.gz XHMACWFMOIAIJA-UWVGGRQHSA-N 0 3 240.347 2.624 20 0 BFADHN CO[C@H](C)CN(Cc1cccs1)C(C)C ZINC000271167820 385798477 /nfs/dbraw/zinc/79/84/77/385798477.db2.gz CYIMFCDUSXHATC-LLVKDONJSA-N 0 3 227.373 2.993 20 0 BFADHN C[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)c1ccncc1 ZINC000340452701 385799416 /nfs/dbraw/zinc/79/94/16/385799416.db2.gz UELJNPIFCMMCPQ-GHMZBOCLSA-N 0 3 243.310 2.594 20 0 BFADHN Cc1ccoc1CN1CCCC2(CCOCC2)C1 ZINC000271198603 385802935 /nfs/dbraw/zinc/80/29/35/385802935.db2.gz SIJOCLHDCLXOLI-UHFFFAOYSA-N 0 3 249.354 2.981 20 0 BFADHN CCOc1ccccc1CNC[C@H](CC)OC ZINC000289969914 385803046 /nfs/dbraw/zinc/80/30/46/385803046.db2.gz YWVHAOKXLIGYTH-ZDUSSCGKSA-N 0 3 237.343 2.600 20 0 BFADHN CO[C@@H]1[C@H](C)[C@@H](N[C@@H](C)c2ccco2)C1(C)C ZINC000271878021 385856577 /nfs/dbraw/zinc/85/65/77/385856577.db2.gz OQHYERRXZRVCKE-LYIQGSDWSA-N 0 3 237.343 2.990 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cc(OC)cc(C)n1 ZINC000214102592 385803755 /nfs/dbraw/zinc/80/37/55/385803755.db2.gz JEEKFEYPVVUHJE-PWSUYJOCSA-N 0 3 236.359 2.923 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cc(OC)cc(C)n1 ZINC000214102481 385804374 /nfs/dbraw/zinc/80/43/74/385804374.db2.gz JEEKFEYPVVUHJE-ZYHUDNBSSA-N 0 3 236.359 2.923 20 0 BFADHN CO[C@@H]1[C@H](C)[C@@H](N[C@H](C)c2ccco2)C1(C)C ZINC000271878020 385856703 /nfs/dbraw/zinc/85/67/03/385856703.db2.gz OQHYERRXZRVCKE-FPQZTECRSA-N 0 3 237.343 2.990 20 0 BFADHN CCN(CCC[C@H](C)O)Cc1cccs1 ZINC000336716090 385814270 /nfs/dbraw/zinc/81/42/70/385814270.db2.gz XCIRSVOBMVZKDT-NSHDSACASA-N 0 3 227.373 2.731 20 0 BFADHN CCN(CCOCC(C)C)Cc1ccncc1 ZINC000283290249 385815261 /nfs/dbraw/zinc/81/52/61/385815261.db2.gz MOILCOAURPYSLB-UHFFFAOYSA-N 0 3 236.359 2.576 20 0 BFADHN C[C@@H]1C[C@@H]1CN1Cc2ccccc2OC[C@@H]1C ZINC000488536550 385820638 /nfs/dbraw/zinc/82/06/38/385820638.db2.gz WOGBIFFKZZSFKP-MBNYWOFBSA-N 0 3 231.339 2.926 20 0 BFADHN CC/C=C\CCN1C[C@@H](C)OC2(CCC2)C1 ZINC000521432316 385822787 /nfs/dbraw/zinc/82/27/87/385822787.db2.gz XSTBXPVVINUXTH-DSYXLKISSA-N 0 3 223.360 2.986 20 0 BFADHN CC[C@@H](CN1CCC[C@@H]1c1cc(C)no1)OC ZINC000412978075 385825386 /nfs/dbraw/zinc/82/53/86/385825386.db2.gz OYRWLLMBVONPCW-NWDGAFQWSA-N 0 3 238.331 2.545 20 0 BFADHN COc1cc(C)cc(CN[C@@H](C)[C@@H](C)OC)c1 ZINC000271460951 385830494 /nfs/dbraw/zinc/83/04/94/385830494.db2.gz GDXCBQPHCSSHDF-NWDGAFQWSA-N 0 3 237.343 2.517 20 0 BFADHN C[C@@H](N[C@@H]1CCCc2cn[nH]c21)c1ccccn1 ZINC000271457280 385830930 /nfs/dbraw/zinc/83/09/30/385830930.db2.gz FMJREJKVDBLYDW-ZWNOBZJWSA-N 0 3 242.326 2.533 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H](C)[C@@H]1CCCO1 ZINC000271491506 385832202 /nfs/dbraw/zinc/83/22/02/385832202.db2.gz UMQDHBWNXNGUOP-OBJOEFQTSA-N 0 3 234.343 2.608 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1CC(=O)Nc1ccccc1 ZINC000271488383 385832905 /nfs/dbraw/zinc/83/29/05/385832905.db2.gz HRHSUNWZFJZDNH-OCCSQVGLSA-N 0 3 246.354 2.888 20 0 BFADHN C[C@@H](N[C@H]1CCCc2cn[nH]c21)c1cncs1 ZINC000271511912 385833524 /nfs/dbraw/zinc/83/35/24/385833524.db2.gz OAEABXBXNNPBPP-SCZZXKLOSA-N 0 3 248.355 2.594 20 0 BFADHN C[C@H](N[C@H]1CCCc2cn[nH]c21)c1cncs1 ZINC000271511916 385835329 /nfs/dbraw/zinc/83/53/29/385835329.db2.gz OAEABXBXNNPBPP-WPRPVWTQSA-N 0 3 248.355 2.594 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3CC=CCC3)cn2c1 ZINC000283818308 385835551 /nfs/dbraw/zinc/83/55/51/385835551.db2.gz DZASRSWLTPWMLM-CYBMUJFWSA-N 0 3 241.338 2.841 20 0 BFADHN CCc1cccc2c1OCC[C@@H]2N[C@@H](C)COC ZINC000271548564 385836750 /nfs/dbraw/zinc/83/67/50/385836750.db2.gz ZNCKRKODVBBJPJ-FZMZJTMJSA-N 0 3 249.354 2.697 20 0 BFADHN CCC[C@](C)(O)CN[C@H](C)c1cnccc1C ZINC000271553648 385837226 /nfs/dbraw/zinc/83/72/26/385837226.db2.gz MWGMZHRQSNGNHT-OCCSQVGLSA-N 0 3 236.359 2.592 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1cnccc1C ZINC000271561751 385838334 /nfs/dbraw/zinc/83/83/34/385838334.db2.gz ODTJEPDWMAYVHR-VHDGCEQUSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1ccncc1[C@@H](C)NCc1cccn1C ZINC000271577653 385839199 /nfs/dbraw/zinc/83/91/99/385839199.db2.gz XYEDCJKNROIPDF-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN CS[C@H]1CCN(Cc2sc(C)nc2C)C1 ZINC000294399448 385846510 /nfs/dbraw/zinc/84/65/10/385846510.db2.gz LBZSEXYAJMZWJM-JTQLQIEISA-N 0 3 242.413 2.697 20 0 BFADHN CCC[C@@H](O)CN[C@H]1CCCc2c(O)cccc21 ZINC000284031440 385847126 /nfs/dbraw/zinc/84/71/26/385847126.db2.gz JISRUTAYOKTWTN-RISCZKNCSA-N 0 3 249.354 2.520 20 0 BFADHN CN(Cc1cnc2ccc(Cl)cn12)CC1CC1 ZINC000271110916 385788792 /nfs/dbraw/zinc/78/87/92/385788792.db2.gz DEZZTENLYGPTND-UHFFFAOYSA-N 0 3 249.745 2.830 20 0 BFADHN CCC[C@H](C)CN[C@@H]1CCCc2c[nH]nc21 ZINC000336740129 385792677 /nfs/dbraw/zinc/79/26/77/385792677.db2.gz PWOCXQGEFDLJHV-CMPLNLGQSA-N 0 3 221.348 2.813 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1ccc(F)c(F)c1 ZINC000336706078 385792778 /nfs/dbraw/zinc/79/27/78/385792778.db2.gz PUZUXPZJQFIJIW-NEPJUHHUSA-N 0 3 243.322 2.948 20 0 BFADHN CC[C@@H](NCCC=C(C)C)c1ccn(C)n1 ZINC000309693583 385794314 /nfs/dbraw/zinc/79/43/14/385794314.db2.gz KIRGARBRGJXKGY-GFCCVEGCSA-N 0 3 221.348 2.817 20 0 BFADHN C[C@H](CO)N(C)Cc1cc2ccccc2s1 ZINC000284820135 385887297 /nfs/dbraw/zinc/88/72/97/385887297.db2.gz PUOZECCDMIYQJM-SNVBAGLBSA-N 0 3 235.352 2.714 20 0 BFADHN COc1c(O)cccc1CN(C(C)C)C1CC1 ZINC000272252254 385888031 /nfs/dbraw/zinc/88/80/31/385888031.db2.gz IOSSZTIVVQZUBU-UHFFFAOYSA-N 0 3 235.327 2.774 20 0 BFADHN Cc1cc(CN(C)[C@@H](CO)C(C)(C)C)cs1 ZINC000294733112 385889123 /nfs/dbraw/zinc/88/91/23/385889123.db2.gz GYLRRILKJMCWHP-LBPRGKRZSA-N 0 3 241.400 2.895 20 0 BFADHN COc1c(O)cccc1CN(C)CC(C)(C)C ZINC000272260780 385890391 /nfs/dbraw/zinc/89/03/91/385890391.db2.gz JZCAASPYDGXUSK-UHFFFAOYSA-N 0 3 237.343 2.879 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1cc(C)oc1C ZINC000217737148 385890926 /nfs/dbraw/zinc/89/09/26/385890926.db2.gz WJJRAUHYXUTWIQ-JQWIXIFHSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1ccncc1CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000284968760 385892054 /nfs/dbraw/zinc/89/20/54/385892054.db2.gz XOUWAFXZEMUOTN-CABCVRRESA-N 0 3 246.354 2.533 20 0 BFADHN CC[C@H]1CCCCN1Cc1conc1C ZINC000294773185 385894091 /nfs/dbraw/zinc/89/40/91/385894091.db2.gz BAVNWNSCUFSJSE-LBPRGKRZSA-N 0 3 208.305 2.748 20 0 BFADHN COc1c(O)cccc1CN1CC[C@H](C)[C@H](C)C1 ZINC000272339609 385896056 /nfs/dbraw/zinc/89/60/56/385896056.db2.gz YLLUCSUTZOKXSW-NWDGAFQWSA-N 0 3 249.354 2.879 20 0 BFADHN C[C@H](CCO)N[C@H](C)c1ccccc1Cl ZINC000122272499 385899647 /nfs/dbraw/zinc/89/96/47/385899647.db2.gz VAUYGXILLWKZQA-NXEZZACHSA-N 0 3 227.735 2.762 20 0 BFADHN CCc1ncc(CN[C@@H]2C[C@H]2c2ccco2)s1 ZINC000414598001 385900327 /nfs/dbraw/zinc/90/03/27/385900327.db2.gz XEJOANNZJHJNCM-GHMZBOCLSA-N 0 3 248.351 2.944 20 0 BFADHN C[C@@H](CCO)NCc1cc(Cl)ccc1Cl ZINC000123660281 385900666 /nfs/dbraw/zinc/90/06/66/385900666.db2.gz ZIEGQEXTXWHOGX-QMMMGPOBSA-N 0 3 248.153 2.854 20 0 BFADHN COC[C@H]1CCCN(Cc2csc(C)c2)C1 ZINC000294827924 385901591 /nfs/dbraw/zinc/90/15/91/385901591.db2.gz NGYIWXLCZVMHDZ-LBPRGKRZSA-N 0 3 239.384 2.915 20 0 BFADHN COC[C@@H]1CCCN(Cc2csc(C)c2)C1 ZINC000294827922 385901924 /nfs/dbraw/zinc/90/19/24/385901924.db2.gz NGYIWXLCZVMHDZ-GFCCVEGCSA-N 0 3 239.384 2.915 20 0 BFADHN COc1c(O)cccc1CNCCC1=CCCC1 ZINC000272422809 385902042 /nfs/dbraw/zinc/90/20/42/385902042.db2.gz MDRIKIVHMSLJLR-UHFFFAOYSA-N 0 3 247.338 2.991 20 0 BFADHN Cc1cnc(CNC[C@H]2CCC[C@H]2C)s1 ZINC000394721954 385902084 /nfs/dbraw/zinc/90/20/84/385902084.db2.gz FYOJJCLQILTIIR-MWLCHTKSSA-N 0 3 224.373 2.977 20 0 BFADHN CC[C@H]1CCN(Cc2cccc(O)c2OC)C1 ZINC000272444260 385903844 /nfs/dbraw/zinc/90/38/44/385903844.db2.gz OWBDBGQLGHENJJ-NSHDSACASA-N 0 3 235.327 2.633 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCOC2(C)C)c1 ZINC000294848178 385903855 /nfs/dbraw/zinc/90/38/55/385903855.db2.gz RWBBDUNPQHJJSE-WCQYABFASA-N 0 3 234.343 2.608 20 0 BFADHN CCC[C@H](C)N[C@H](COC)c1ccco1 ZINC000122303786 385907371 /nfs/dbraw/zinc/90/73/71/385907371.db2.gz WSGOQEBAZBZZPF-WDEREUQCSA-N 0 3 211.305 2.745 20 0 BFADHN Cc1ccoc1CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000285301674 385912443 /nfs/dbraw/zinc/91/24/43/385912443.db2.gz VXBNIOFMLKMSDG-CHWSQXEVSA-N 0 3 235.327 2.731 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1snnc1C ZINC000308941675 385916155 /nfs/dbraw/zinc/91/61/55/385916155.db2.gz VAPXQZGFFNQDDN-BDAKNGLRSA-N 0 3 227.377 2.761 20 0 BFADHN CO[C@H](C)CN(C)CCSc1ccccc1 ZINC000338216506 385918389 /nfs/dbraw/zinc/91/83/89/385918389.db2.gz OSKCZCOPCOZZKT-GFCCVEGCSA-N 0 3 239.384 2.745 20 0 BFADHN CC[C@@H](C)CN1CCOc2cc(O)ccc2C1 ZINC000285346085 385918531 /nfs/dbraw/zinc/91/85/31/385918531.db2.gz AOORITPJQDXCOU-LLVKDONJSA-N 0 3 235.327 2.633 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1ccc2occc2c1 ZINC000285390554 385921705 /nfs/dbraw/zinc/92/17/05/385921705.db2.gz AJWQJZQZAZCOFY-ZWNOBZJWSA-N 0 3 231.295 2.700 20 0 BFADHN CCC[C@@](C)(O)CN[C@H]1CCCc2occc21 ZINC000219267141 385925015 /nfs/dbraw/zinc/92/50/15/385925015.db2.gz HEFGHDHZFZUKAQ-GXTWGEPZSA-N 0 3 237.343 2.798 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cc(F)ccc1C ZINC000189099308 385925163 /nfs/dbraw/zinc/92/51/63/385925163.db2.gz FUQBLNSKPNGXDV-QWRGUYRKSA-N 0 3 225.307 2.647 20 0 BFADHN CN[C@@H](C)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC000055421247 385925289 /nfs/dbraw/zinc/92/52/89/385925289.db2.gz HPDYJWYEIROEIJ-LURJTMIESA-N 0 3 247.125 2.540 20 0 BFADHN CCC[C@@H](NC[C@H](OC)C1CC1)c1ccccn1 ZINC000340487198 385925947 /nfs/dbraw/zinc/92/59/47/385925947.db2.gz CJNWXXBUAKYSHU-HIFRSBDPSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]2[C@H]2CCCO2)o1 ZINC000284295486 385861826 /nfs/dbraw/zinc/86/18/26/385861826.db2.gz BIFSTNKDMUSLKN-ZIAGYGMSSA-N 0 3 235.327 2.731 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]2[C@@H]2CCCO2)o1 ZINC000284295481 385862057 /nfs/dbraw/zinc/86/20/57/385862057.db2.gz BIFSTNKDMUSLKN-KGLIPLIRSA-N 0 3 235.327 2.731 20 0 BFADHN C[C@@H]1CN(CC2CC2)c2cc(F)ccc2CN1 ZINC000414872117 385862443 /nfs/dbraw/zinc/86/24/43/385862443.db2.gz PFYOCSHNUDOYTL-SNVBAGLBSA-N 0 3 234.318 2.534 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1ccc(OC)cn1 ZINC000271982507 385866110 /nfs/dbraw/zinc/86/61/10/385866110.db2.gz OSDATOPZPGDTFT-GFCCVEGCSA-N 0 3 236.359 2.958 20 0 BFADHN CO[C@@H](CN(C)C1CCC1)c1ccccc1 ZINC000284434013 385868154 /nfs/dbraw/zinc/86/81/54/385868154.db2.gz PNAQCJDXRAOQPH-AWEZNQCLSA-N 0 3 219.328 2.858 20 0 BFADHN CC(C)N(CCCn1ccnc1)Cc1ccco1 ZINC000272024332 385869799 /nfs/dbraw/zinc/86/97/99/385869799.db2.gz VPRTVZQIJLIHGW-UHFFFAOYSA-N 0 3 247.342 2.777 20 0 BFADHN CCc1ccc([C@H](C)NCCC2(O)CCC2)o1 ZINC000284469788 385870436 /nfs/dbraw/zinc/87/04/36/385870436.db2.gz VRBDIJURNXLTPH-NSHDSACASA-N 0 3 237.343 2.798 20 0 BFADHN CCO[C@@H]1CCN([C@H](C)c2cccnc2)C[C@H]1C ZINC000451546431 385874245 /nfs/dbraw/zinc/87/42/45/385874245.db2.gz SBNWIDQEDORKCK-UMVBOHGHSA-N 0 3 248.370 2.890 20 0 BFADHN CCCCN1CCCC[C@@H]1[C@@H](O)C(F)(F)F ZINC000340192877 385877827 /nfs/dbraw/zinc/87/78/27/385877827.db2.gz RBQKATPUOASSTA-NXEZZACHSA-N 0 3 239.281 2.564 20 0 BFADHN Cc1cc(CN(C)CC(C)(C)CO)cs1 ZINC000294672933 385879748 /nfs/dbraw/zinc/87/97/48/385879748.db2.gz AXTAZOFODQPSKA-UHFFFAOYSA-N 0 3 227.373 2.507 20 0 BFADHN COC(C)(C)CCN[C@H](C)c1ccncc1F ZINC000338354549 385976787 /nfs/dbraw/zinc/97/67/87/385976787.db2.gz JWVNFMVIYKMBJJ-SNVBAGLBSA-N 0 3 240.322 2.686 20 0 BFADHN CC(=O)c1cccc(CN2C[C@H](C)[C@H](C)C2)c1 ZINC000295141237 385978590 /nfs/dbraw/zinc/97/85/90/385978590.db2.gz ZOVVSPLZRHLYHB-TXEJJXNPSA-N 0 3 231.339 2.977 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1cc[nH]c1 ZINC000336747622 385978769 /nfs/dbraw/zinc/97/87/69/385978769.db2.gz CAYRHWCWIUIYMW-VIFPVBQESA-N 0 3 220.238 2.835 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2cnccc2C)C1 ZINC000414132513 385979785 /nfs/dbraw/zinc/97/97/85/385979785.db2.gz AQAOXFSCKBTFTQ-RWMBFGLXSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@@H](N[C@@H](C)CC1CC1)c1cc2n(n1)CCC2 ZINC000414132944 385981175 /nfs/dbraw/zinc/98/11/75/385981175.db2.gz ZZXPIOXMKSVUEZ-WDEREUQCSA-N 0 3 233.359 2.669 20 0 BFADHN CO[C@@H](CN1CCC[C@@H]1c1cccnc1)C1CC1 ZINC000425414439 385983288 /nfs/dbraw/zinc/98/32/88/385983288.db2.gz CWPDFMQCXJLGEZ-CABCVRRESA-N 0 3 246.354 2.644 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H](C)C2CCC2)s1 ZINC000336748261 385984323 /nfs/dbraw/zinc/98/43/23/385984323.db2.gz DBUHYZFNHRLMET-YUMQZZPRSA-N 0 3 225.361 2.686 20 0 BFADHN Cc1nnc([C@@H](C)N[C@H](C)C2CCC2)s1 ZINC000336748258 385984397 /nfs/dbraw/zinc/98/43/97/385984397.db2.gz DBUHYZFNHRLMET-HTQZYQBOSA-N 0 3 225.361 2.686 20 0 BFADHN Cc1cc(C)n(CCCN[C@H](C)c2ccco2)n1 ZINC000128430212 385984866 /nfs/dbraw/zinc/98/48/66/385984866.db2.gz WIWOOCARCYDOKM-CYBMUJFWSA-N 0 3 247.342 2.834 20 0 BFADHN Cc1ccnc([C@H](C)NCCc2nccs2)c1 ZINC000286000093 385985942 /nfs/dbraw/zinc/98/59/42/385985942.db2.gz IOKIAWAODFZIHA-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN CCC[C@H](O)CNC(C)(C)c1ccccc1F ZINC000295192726 385988127 /nfs/dbraw/zinc/98/81/27/385988127.db2.gz LKYVENRMAQIKQO-NSHDSACASA-N 0 3 239.334 2.811 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1C[C@@H](OC(C)C)C1 ZINC000414139170 385988057 /nfs/dbraw/zinc/98/80/57/385988057.db2.gz IAPQNRKJFVVHRB-MELADBBJSA-N 0 3 248.370 2.997 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cc(C)ccn1)C(C)C ZINC000286019574 385988210 /nfs/dbraw/zinc/98/82/10/385988210.db2.gz JPJQGEWXHCSNLI-JSGCOSHPSA-N 0 3 236.359 2.712 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H](C)c1cc2n(n1)CCC2 ZINC000414141099 385989650 /nfs/dbraw/zinc/98/96/50/385989650.db2.gz HLAJSVAQOCMUPI-TUAOUCFPSA-N 0 3 233.359 2.526 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2ccsc2)C1 ZINC000414144452 385992244 /nfs/dbraw/zinc/99/22/44/385992244.db2.gz MXTZWEOWDOFABR-ZMLRMANQSA-N 0 3 225.357 2.966 20 0 BFADHN CCCCCN[C@H](C)c1cc2n(n1)CCC2 ZINC000414049104 385931569 /nfs/dbraw/zinc/93/15/69/385931569.db2.gz FQDMVCJURPFZLV-LLVKDONJSA-N 0 3 221.348 2.670 20 0 BFADHN CCSCCNCc1cc(C)cc(Cl)n1 ZINC000282611519 385931721 /nfs/dbraw/zinc/93/17/21/385931721.db2.gz XEJNNOBTZVXFAK-UHFFFAOYSA-N 0 3 244.791 2.886 20 0 BFADHN CC(C)CCCN[C@@H](C)c1cc2n(n1)CCC2 ZINC000414052901 385933669 /nfs/dbraw/zinc/93/36/69/385933669.db2.gz ADWMLXNTTICBQT-LBPRGKRZSA-N 0 3 235.375 2.916 20 0 BFADHN CC/C=C/CN[C@H](COC)Cc1ccccc1 ZINC000294980120 385935189 /nfs/dbraw/zinc/93/51/89/385935189.db2.gz JRKTZKNSHUBBRV-LWUPOJRFSA-N 0 3 233.355 2.800 20 0 BFADHN CCOc1ncccc1CN[C@H](C)[C@@H]1C[C@H]1C ZINC000414061591 385937013 /nfs/dbraw/zinc/93/70/13/385937013.db2.gz KMTWCPMGFJEUDD-NQBHXWOUSA-N 0 3 234.343 2.614 20 0 BFADHN COc1ccc(CN2CC[C@H](C(C)C)C2)nc1 ZINC000338273383 385937873 /nfs/dbraw/zinc/93/78/73/385937873.db2.gz AUXUWCVDNHZWPL-LBPRGKRZSA-N 0 3 234.343 2.568 20 0 BFADHN FCC1CCN(Cc2cnn3ccccc23)CC1 ZINC000294994666 385938620 /nfs/dbraw/zinc/93/86/20/385938620.db2.gz HZASJFINYFNEHC-UHFFFAOYSA-N 0 3 247.317 2.516 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccc(OC)cn2)C1 ZINC000338273475 385940137 /nfs/dbraw/zinc/94/01/37/385940137.db2.gz FPHVWWRYAACOAT-CQSZACIVSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1cnccc1CN(C(C)C)C1CC1 ZINC000295000758 385940831 /nfs/dbraw/zinc/94/08/31/385940831.db2.gz YSMWPQRJNKNZCA-UHFFFAOYSA-N 0 3 204.317 2.763 20 0 BFADHN CC[C@H](NCc1cn2ccsc2n1)[C@@H]1C[C@@H]1C ZINC000414066074 385941609 /nfs/dbraw/zinc/94/16/09/385941609.db2.gz HNVZHOGKOMQCOR-WCQGTBRESA-N 0 3 249.383 2.920 20 0 BFADHN COCc1cccc(CN[C@H]2C[C@H]2C(F)F)c1 ZINC000342184594 385942246 /nfs/dbraw/zinc/94/22/46/385942246.db2.gz CRDTUYGTCNRNSG-NEPJUHHUSA-N 0 3 241.281 2.576 20 0 BFADHN CO[C@@H](CN(C)[C@@H](C)c1ccco1)C1CC1 ZINC000425392385 385943060 /nfs/dbraw/zinc/94/30/60/385943060.db2.gz ATBLJDYXCRUMET-GWCFXTLKSA-N 0 3 223.316 2.697 20 0 BFADHN Cc1ccc2nc(CN[C@H](C)[C@@H]3C[C@@H]3C)cn2c1 ZINC000414068810 385943987 /nfs/dbraw/zinc/94/39/87/385943987.db2.gz ISYHKRBIVMUFNQ-OUCADQQQSA-N 0 3 243.354 2.777 20 0 BFADHN CC[C@H](NCc1cn2ccccc2n1)[C@H]1C[C@@H]1C ZINC000414071718 385945317 /nfs/dbraw/zinc/94/53/17/385945317.db2.gz UGGAWJJJDBNHTI-UBHSHLNASA-N 0 3 243.354 2.859 20 0 BFADHN Cc1ccc(CN(CCO)CCCCCF)o1 ZINC000342153739 385946135 /nfs/dbraw/zinc/94/61/35/385946135.db2.gz CGBVJYPXISLKGD-UHFFFAOYSA-N 0 3 243.322 2.522 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@@H](C)CC1)c1ccn(C)n1 ZINC000414072736 385946281 /nfs/dbraw/zinc/94/62/81/385946281.db2.gz FDIDHAIXWFIGFY-IJLUTSLNSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CCO[C@@H](C)C2)c1 ZINC000219890938 385947599 /nfs/dbraw/zinc/94/75/99/385947599.db2.gz JWLJFKIDMVBIHR-WCQYABFASA-N 0 3 237.318 2.791 20 0 BFADHN CC[C@H](N[C@H](C)c1ccn(C)n1)C(C)(C)C ZINC000414079113 385950064 /nfs/dbraw/zinc/95/00/64/385950064.db2.gz BWQYXQFHVNCMKS-PWSUYJOCSA-N 0 3 223.364 2.895 20 0 BFADHN CSc1ccccc1CN(C)CC(C)(C)O ZINC000272909292 385950723 /nfs/dbraw/zinc/95/07/23/385950723.db2.gz LQMGIRCWXUIJDA-UHFFFAOYSA-N 0 3 239.384 2.611 20 0 BFADHN CCCC1(CN[C@H](C)c2ccn(C)n2)CC1 ZINC000414080988 385951824 /nfs/dbraw/zinc/95/18/24/385951824.db2.gz AVQPOMKICHWWMU-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1ccn2cc(CN[C@H](C)[C@H]3C[C@H]3C)nc2c1 ZINC000414082578 385952793 /nfs/dbraw/zinc/95/27/93/385952793.db2.gz VHFOOEVSLXURTC-BZPMIXESSA-N 0 3 243.354 2.777 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc(Cl)c(F)c1 ZINC000289984431 385957295 /nfs/dbraw/zinc/95/72/95/385957295.db2.gz ZZXGJVZDJAEHMC-RKDXNWHRSA-N 0 3 245.725 2.992 20 0 BFADHN C[C@@H](NC[C@@H]1CC1(C)C)c1cc2n(n1)CCC2 ZINC000414091922 385957504 /nfs/dbraw/zinc/95/75/04/385957504.db2.gz LILINXSYEWGVPV-MNOVXSKESA-N 0 3 233.359 2.526 20 0 BFADHN COc1ccc(CN[C@H](C)[C@@H]2C[C@@H]2C)c(F)c1 ZINC000414093850 385959064 /nfs/dbraw/zinc/95/90/64/385959064.db2.gz OCLFBPRZAMDRLE-OPQQBVKSSA-N 0 3 237.318 2.968 20 0 BFADHN COc1ccc(CN[C@H](C)[C@H]2C[C@@H]2C)c(F)c1 ZINC000414093847 385959945 /nfs/dbraw/zinc/95/99/45/385959945.db2.gz OCLFBPRZAMDRLE-CWSCBRNRSA-N 0 3 237.318 2.968 20 0 BFADHN CC[C@H](NCC(C)(C)CC)c1ccn(C)n1 ZINC000336727118 385960666 /nfs/dbraw/zinc/96/06/66/385960666.db2.gz GLLVCZHBRMXPLS-NSHDSACASA-N 0 3 223.364 2.897 20 0 BFADHN CCSCC[C@@H](C)N[C@@H](C)c1ccn(C)n1 ZINC000414096735 385962018 /nfs/dbraw/zinc/96/20/18/385962018.db2.gz HXXTZXAQLBJDPI-MNOVXSKESA-N 0 3 241.404 2.602 20 0 BFADHN COC[C@H](N[C@H](C)[C@@H]1C[C@H]1C)c1ccc(C)o1 ZINC000414097681 385962493 /nfs/dbraw/zinc/96/24/93/385962493.db2.gz ILDHRYUZBNCDQL-JHEVNIALSA-N 0 3 237.343 2.910 20 0 BFADHN Cc1ncsc1CNCc1cc(C)cc(N)c1 ZINC000414970587 385964063 /nfs/dbraw/zinc/96/40/63/385964063.db2.gz VGIIJDDHNAOYNQ-UHFFFAOYSA-N 0 3 247.367 2.632 20 0 BFADHN FCC1CCN(CCOc2ccccc2)CC1 ZINC000295092492 385964093 /nfs/dbraw/zinc/96/40/93/385964093.db2.gz GCFWGVVUAHWGQC-UHFFFAOYSA-N 0 3 237.318 2.747 20 0 BFADHN C[C@H](NCC1(C2CC2)CCC1)c1ccn(C)n1 ZINC000414100967 385964279 /nfs/dbraw/zinc/96/42/79/385964279.db2.gz LSNXITSNOGFGMQ-NSHDSACASA-N 0 3 233.359 2.651 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1C)c1cc2n(n1)CCC2 ZINC000414101083 385964415 /nfs/dbraw/zinc/96/44/15/385964415.db2.gz RXXYAXJPKUIOPG-GVXVVHGQSA-N 0 3 233.359 2.669 20 0 BFADHN CCc1ccc(CN[C@@]2(C)CCO[C@H]2C2CC2)o1 ZINC000273134971 385971017 /nfs/dbraw/zinc/97/10/17/385971017.db2.gz NGKIRUHISCKVBU-GJZGRUSLSA-N 0 3 249.354 2.889 20 0 BFADHN Cc1cccc2nc(CN[C@H](C)[C@@H]3C[C@@H]3C)cn21 ZINC000414124148 385971196 /nfs/dbraw/zinc/97/11/96/385971196.db2.gz PBXFWEUSFULVEC-ZKYQVNSYSA-N 0 3 243.354 2.777 20 0 BFADHN Cc1cc([C@@H](C)NCCc2cn(C)cn2)oc1C ZINC000414123779 385971287 /nfs/dbraw/zinc/97/12/87/385971287.db2.gz JSRIJYCYDJLDRM-LLVKDONJSA-N 0 3 247.342 2.523 20 0 BFADHN CS[C@@H]1CCN(Cc2ccoc2C)C1 ZINC000334854246 385972217 /nfs/dbraw/zinc/97/22/17/385972217.db2.gz QTPMFLAWJZVCSO-LLVKDONJSA-N 0 3 211.330 2.525 20 0 BFADHN CC[C@H](N[C@H](CCO)c1ccco1)[C@H]1C[C@H]1C ZINC000414149304 385997795 /nfs/dbraw/zinc/99/77/95/385997795.db2.gz XLQBLMIRIMTVJL-MROQNXINSA-N 0 3 237.343 2.727 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccncc1F)[C@H]1C[C@@H]1C ZINC000414148806 385997849 /nfs/dbraw/zinc/99/78/49/385997849.db2.gz OFQKKVDTIJRGHR-GUDRVLHUSA-N 0 3 222.307 2.916 20 0 BFADHN Cc1nnsc1CNCC1(C)CCCCC1 ZINC000309247349 385998372 /nfs/dbraw/zinc/99/83/72/385998372.db2.gz CREMVRUMLVFHNQ-UHFFFAOYSA-N 0 3 239.388 2.907 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2C=C[C@@H](CO)C2)cc1 ZINC000345061985 386001851 /nfs/dbraw/zinc/00/18/51/386001851.db2.gz OYDRRZJKEALHPJ-BJJXKVORSA-N 0 3 245.366 2.837 20 0 BFADHN C[C@@H](CCC1CC1)NCc1ccc(F)cn1 ZINC000336727685 386002409 /nfs/dbraw/zinc/00/24/09/386002409.db2.gz CDSAZJWCMXDCMT-JTQLQIEISA-N 0 3 222.307 2.889 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@H]1C)c1cc2n(n1)CCC2 ZINC000414153033 386002991 /nfs/dbraw/zinc/00/29/91/386002991.db2.gz QTSLGCWYBYIFOP-FRRDWIJNSA-N 0 3 247.386 2.916 20 0 BFADHN CC(C)(C)[C@H]1CCN(Cc2ccco2)C[C@H]1O ZINC000273454415 386003707 /nfs/dbraw/zinc/00/37/07/386003707.db2.gz ZOZFUEZEHFDSJD-QWHCGFSZSA-N 0 3 237.343 2.509 20 0 BFADHN CC[C@@H](F)CN1CCC[C@@]2(CCSC2)C1 ZINC000451971674 386003850 /nfs/dbraw/zinc/00/38/50/386003850.db2.gz MCHFFAZNCVXXPI-VXGBXAGGSA-N 0 3 231.380 2.954 20 0 BFADHN CC[C@@H]1CCC[C@H]1CN[C@@H](C)c1ccn(C)n1 ZINC000414155730 386004336 /nfs/dbraw/zinc/00/43/36/386004336.db2.gz VJQQKYNXGSHJGS-XQQFMLRXSA-N 0 3 235.375 2.897 20 0 BFADHN CCCc1ncc(CN[C@H](C)[C@@H]2C[C@@H]2C)o1 ZINC000414153937 386004365 /nfs/dbraw/zinc/00/43/65/386004365.db2.gz SQEGDDDCJUGQEI-HOSYDEDBSA-N 0 3 222.332 2.761 20 0 BFADHN CCC[C@@H](O)CN1CCC=C(c2ccco2)C1 ZINC000295298053 386008552 /nfs/dbraw/zinc/00/85/52/386008552.db2.gz LZKPKEKBCCGRGN-CYBMUJFWSA-N 0 3 235.327 2.530 20 0 BFADHN CCc1ccc2nccc(NCCCO)c2c1 ZINC000295300745 386009261 /nfs/dbraw/zinc/00/92/61/386009261.db2.gz JZDIARGMZJSTTA-UHFFFAOYSA-N 0 3 230.311 2.592 20 0 BFADHN Cc1ccc([C@@H](N[C@@H]2CCC[C@H]2O)C2CCC2)o1 ZINC000414119028 386011683 /nfs/dbraw/zinc/01/16/83/386011683.db2.gz AMKODWOZCKJVNP-NFAWXSAZSA-N 0 3 249.354 2.932 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccsc1C ZINC000295314474 386011668 /nfs/dbraw/zinc/01/16/68/386011668.db2.gz KWOTUCXBMFNNTD-SECBINFHSA-N 0 3 213.346 2.523 20 0 BFADHN Cc1ccnc([C@@H](C)NCCCCCCO)c1 ZINC000286242627 386012200 /nfs/dbraw/zinc/01/22/00/386012200.db2.gz UEOTZQCVMOEQBY-CYBMUJFWSA-N 0 3 236.359 2.593 20 0 BFADHN CCc1noc(CC)c1CN[C@H](C)[C@@H]1C[C@@H]1C ZINC000414120332 386014560 /nfs/dbraw/zinc/01/45/60/386014560.db2.gz XLRXMQNIXSNPKG-HBNTYKKESA-N 0 3 236.359 2.934 20 0 BFADHN C[C@H](NC1(C2CCC2)CCC1)c1ccncn1 ZINC000414169702 386015890 /nfs/dbraw/zinc/01/58/90/386015890.db2.gz BKYFLGWHUSZKNY-NSHDSACASA-N 0 3 231.343 2.850 20 0 BFADHN CC[C@H](NCc1ncccc1N(C)C)[C@@H]1C[C@@H]1C ZINC000414171961 386016004 /nfs/dbraw/zinc/01/60/04/386016004.db2.gz XSEJNOGDWKYKEK-XQQFMLRXSA-N 0 3 247.386 2.672 20 0 BFADHN CCS[C@@H]1CCC[C@@H]1NCc1cccnc1 ZINC000233992858 386016119 /nfs/dbraw/zinc/01/61/19/386016119.db2.gz NVJPUJJXMNJTMT-QWHCGFSZSA-N 0 3 236.384 2.845 20 0 BFADHN CCC1(CC)CN(C[C@@H](O)CCC(C)C)C1 ZINC000453006587 386018453 /nfs/dbraw/zinc/01/84/53/386018453.db2.gz CELUGBWOTGTAQY-ZDUSSCGKSA-N 0 3 227.392 2.906 20 0 BFADHN CC(C)c1ncc(CN[C@@H](C)C[C@H](C)O)s1 ZINC000336752659 386019432 /nfs/dbraw/zinc/01/94/32/386019432.db2.gz VYKYVKVXLDSDOH-UWVGGRQHSA-N 0 3 242.388 2.516 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1cc2n(n1)CCC2 ZINC000414177521 386020657 /nfs/dbraw/zinc/02/06/57/386020657.db2.gz JSNTXADNEIPKNE-RFHZTLPTSA-N 0 3 245.370 2.669 20 0 BFADHN Cc1ccc2nc(CN[C@H]3CC[C@@H](F)C3)cn2c1 ZINC000334421353 386026448 /nfs/dbraw/zinc/02/64/48/386026448.db2.gz BDQOOAXEPVVPHT-NEPJUHHUSA-N 0 3 247.317 2.623 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)nn1C ZINC000414187608 386027747 /nfs/dbraw/zinc/02/77/47/386027747.db2.gz QDUWPCIWRGTHOR-FVCCEPFGSA-N 0 3 233.359 2.568 20 0 BFADHN CCN(CCN[C@@H](C)c1cc(C)ccn1)C1CC1 ZINC000286358609 386029375 /nfs/dbraw/zinc/02/93/75/386029375.db2.gz ZBXJJTIUEDUEGI-ZDUSSCGKSA-N 0 3 247.386 2.525 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H]1C1CC1)c1ccn(C)n1 ZINC000414189317 386031166 /nfs/dbraw/zinc/03/11/66/386031166.db2.gz JLWWITMEPCDDAG-FPMFFAJLSA-N 0 3 247.386 2.897 20 0 BFADHN CN(CCSc1ncco1)Cc1ccccc1 ZINC000295454693 386033627 /nfs/dbraw/zinc/03/36/27/386033627.db2.gz WTDSFARMHSEHNS-UHFFFAOYSA-N 0 3 248.351 2.899 20 0 BFADHN CCC1CC(NCc2c(C)noc2C)C1 ZINC000336771380 386039717 /nfs/dbraw/zinc/03/97/17/386039717.db2.gz WRFVLLCDEPMKLE-UHFFFAOYSA-N 0 3 208.305 2.570 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@@H](C3CC3)C2)n1 ZINC000414203841 386039762 /nfs/dbraw/zinc/03/97/62/386039762.db2.gz FNARAMASOIAELJ-ZIAGYGMSSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@H]1CN(C[C@@H]2CCCCO2)CCC1(F)F ZINC000334429408 386042554 /nfs/dbraw/zinc/04/25/54/386042554.db2.gz UEFRKGJDADUJRF-QWRGUYRKSA-N 0 3 233.302 2.533 20 0 BFADHN CO[C@H](CN1CCc2cccc(F)c2C1)C1CC1 ZINC000425422700 386042888 /nfs/dbraw/zinc/04/28/88/386042888.db2.gz POENUJOUKXFNEM-OAHLLOKOSA-N 0 3 249.329 2.609 20 0 BFADHN COc1ccc(CN(C)CCCCF)cc1O ZINC000286483883 386043452 /nfs/dbraw/zinc/04/34/52/386043452.db2.gz QCUHWQKFBSQLGM-UHFFFAOYSA-N 0 3 241.306 2.582 20 0 BFADHN Cc1cc(CN(CC(C)(C)O)C2CC2)cs1 ZINC000295532633 386046693 /nfs/dbraw/zinc/04/66/93/386046693.db2.gz WUMKIPMGTYCJRS-UHFFFAOYSA-N 0 3 239.384 2.792 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)C[C@H](OC)C1CC1 ZINC000425424815 386047383 /nfs/dbraw/zinc/04/73/83/386047383.db2.gz STJKMKSHODEBAX-GJZGRUSLSA-N 0 3 248.370 2.890 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H]1CCCc2nn(C)cc21 ZINC000414165914 386047983 /nfs/dbraw/zinc/04/79/83/386047983.db2.gz DBBNGJGOYPVHKW-UXIGCNINSA-N 0 3 247.386 2.822 20 0 BFADHN Cc1cc(CN[C@H](C)CCC(C)C)nn1C ZINC000414234977 386050370 /nfs/dbraw/zinc/05/03/70/386050370.db2.gz CYBMSLDUCXJELL-LLVKDONJSA-N 0 3 223.364 2.643 20 0 BFADHN CN(Cc1ccccn1)CC1CC(F)(F)C1 ZINC000295240774 385995797 /nfs/dbraw/zinc/99/57/97/385995797.db2.gz YAEKXIFNXAFLND-UHFFFAOYSA-N 0 3 226.270 2.559 20 0 BFADHN CCOC[C@H](NCc1ncccc1C)C(C)C ZINC000233780626 385996111 /nfs/dbraw/zinc/99/61/11/385996111.db2.gz OOSNMSKWJLGUMU-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H](C)O[C@@H]1C)c1nccs1 ZINC000414291899 386101201 /nfs/dbraw/zinc/10/12/01/386101201.db2.gz YDDMLBQRVGNMLL-LMLFDSFASA-N 0 3 240.372 2.607 20 0 BFADHN CSc1ccc(CNc2nccn2C)s1 ZINC000414291619 386101759 /nfs/dbraw/zinc/10/17/59/386101759.db2.gz BJSQJUYTGKXTJZ-UHFFFAOYSA-N 0 3 239.369 2.816 20 0 BFADHN CC(C)[C@H]1CN([C@@H](C)c2ccncc2)CCCO1 ZINC000645273346 386103568 /nfs/dbraw/zinc/10/35/68/386103568.db2.gz BTQHRPAKWAYKLH-DZGCQCFKSA-N 0 3 248.370 2.890 20 0 BFADHN Cc1occc1CN[C@@H]1[C@@H]2CCO[C@H]2C12CCC2 ZINC000340519192 386105080 /nfs/dbraw/zinc/10/50/80/386105080.db2.gz UYSPIJVGLSTEOY-BFHYXJOUSA-N 0 3 247.338 2.635 20 0 BFADHN CCOc1ccc(CNc2nccn2C)cc1F ZINC000414297980 386105454 /nfs/dbraw/zinc/10/54/54/386105454.db2.gz IEBUSZHRJXSRKA-UHFFFAOYSA-N 0 3 249.289 2.570 20 0 BFADHN CCC[C@H](C)N(C)Cc1cn(C)nc1C(F)F ZINC000274448703 386106833 /nfs/dbraw/zinc/10/68/33/386106833.db2.gz DPXWQUCZXJQPQT-VIFPVBQESA-N 0 3 245.317 2.978 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCC(F)(F)C2)s1 ZINC000295938307 386109699 /nfs/dbraw/zinc/10/96/99/386109699.db2.gz BAAZMNZJYDADSR-SECBINFHSA-N 0 3 246.326 2.977 20 0 BFADHN Cc1ccc([C@@H](C)NCc2c[nH]nc2C)s1 ZINC000038011099 386110122 /nfs/dbraw/zinc/11/01/22/386110122.db2.gz ZBQOOCRPLZQGES-SNVBAGLBSA-N 0 3 235.356 2.939 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H]2CCCCO2)o1 ZINC000037979129 386110216 /nfs/dbraw/zinc/11/02/16/386110216.db2.gz QXPOWIXJNFOXRA-NEPJUHHUSA-N 0 3 223.316 2.808 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccccn1)[C@@H](O)C(C)C ZINC000414306463 386110461 /nfs/dbraw/zinc/11/04/61/386110461.db2.gz XVYUJOMMEGJFRF-OBJOEFQTSA-N 0 3 236.359 2.528 20 0 BFADHN CC[C@H](N[C@H](C)c1ccccn1)[C@@H](O)C(C)C ZINC000414306457 386110998 /nfs/dbraw/zinc/11/09/98/386110998.db2.gz XVYUJOMMEGJFRF-DYEKYZERSA-N 0 3 236.359 2.528 20 0 BFADHN CC[C@H](N[C@H](C)c1ccccn1)[C@H](O)C(C)C ZINC000414306460 386111419 /nfs/dbraw/zinc/11/14/19/386111419.db2.gz XVYUJOMMEGJFRF-MBNYWOFBSA-N 0 3 236.359 2.528 20 0 BFADHN CC(C)c1cccc(CN[C@H]2CCOC2)c1 ZINC000336729271 386111853 /nfs/dbraw/zinc/11/18/53/386111853.db2.gz CYQFOGUCBOUYMW-AWEZNQCLSA-N 0 3 219.328 2.689 20 0 BFADHN CC/C=C/CCN[C@@H](CO)c1ccc(F)cc1 ZINC000274492502 386112134 /nfs/dbraw/zinc/11/21/34/386112134.db2.gz SVDXIJJXUOZFDL-XGACYXMMSA-N 0 3 237.318 2.805 20 0 BFADHN COC1([C@@H](C)N[C@H](C)c2ccncc2)CCC1 ZINC000414310345 386113291 /nfs/dbraw/zinc/11/32/91/386113291.db2.gz SVPPWGGHKBIFFX-VXGBXAGGSA-N 0 3 234.343 2.690 20 0 BFADHN CCc1ccc(CN2CCC[C@H]2C)cn1 ZINC000335139201 386114488 /nfs/dbraw/zinc/11/44/88/386114488.db2.gz MMJQQKJLHBILMY-LLVKDONJSA-N 0 3 204.317 2.628 20 0 BFADHN Cc1occc1CNCCOc1ccccc1F ZINC000414253586 386059074 /nfs/dbraw/zinc/05/90/74/386059074.db2.gz JDQYXPJMIVXTGJ-UHFFFAOYSA-N 0 3 249.285 2.896 20 0 BFADHN CCn1ccc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)n1 ZINC000414206622 386059147 /nfs/dbraw/zinc/05/91/47/386059147.db2.gz JBYWKRMKULKKDJ-YRGRVCCFSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccc(CN[C@H](C)CCC(F)(F)F)n1 ZINC000414205721 386059340 /nfs/dbraw/zinc/05/93/40/386059340.db2.gz HXTOAOXHWBIWGV-SECBINFHSA-N 0 3 249.280 2.724 20 0 BFADHN CCN(CCCO)Cc1ccc(C(F)F)cc1 ZINC000274011119 386059792 /nfs/dbraw/zinc/05/97/92/386059792.db2.gz ARKKGEVIPPLLKT-UHFFFAOYSA-N 0 3 243.297 2.829 20 0 BFADHN CC[C@H](O)CN1CC(C)(C)[C@H]1c1cccs1 ZINC000286657485 386064175 /nfs/dbraw/zinc/06/41/75/386064175.db2.gz ALZLCOHPQMDFON-CMPLNLGQSA-N 0 3 239.384 2.902 20 0 BFADHN Cc1cnc(CN[C@@H](C)Cc2ccncc2)s1 ZINC000345165929 386064187 /nfs/dbraw/zinc/06/41/87/386064187.db2.gz ZUFHKDOPHVWOOD-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN CCn1ccc(CN[C@@H]2CCCC2(C)C)n1 ZINC000414210844 386065536 /nfs/dbraw/zinc/06/55/36/386065536.db2.gz PEOYOFGZWGXJDW-GFCCVEGCSA-N 0 3 221.348 2.571 20 0 BFADHN CC[C@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1ccn(C)n1 ZINC000414213909 386067744 /nfs/dbraw/zinc/06/77/44/386067744.db2.gz SAZXHMZWSWSOOP-VOAKCMCISA-N 0 3 233.359 2.649 20 0 BFADHN CCn1ccc(CNC2CCC3(CC3)CC2)n1 ZINC000414212540 386068715 /nfs/dbraw/zinc/06/87/15/386068715.db2.gz SACLFHWONJISPD-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN CC[C@H](NCc1ccn(CC)n1)C1CCC1 ZINC000414215656 386070840 /nfs/dbraw/zinc/07/08/40/386070840.db2.gz YCANXNZUYNCATF-ZDUSSCGKSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccc(CN[C@@H]2CCCC23CCC3)n1 ZINC000414216223 386071287 /nfs/dbraw/zinc/07/12/87/386071287.db2.gz ZFBAXUYXYZYJTO-CYBMUJFWSA-N 0 3 233.359 2.715 20 0 BFADHN CC[C@@H](N[C@@H]1CCO[C@H]1CC)c1ccncc1 ZINC000414216912 386072779 /nfs/dbraw/zinc/07/27/79/386072779.db2.gz XIIOXEYKWZAGPK-MCIONIFRSA-N 0 3 234.343 2.690 20 0 BFADHN CCCCOCCN1CCS[C@@H](C)[C@H]1C ZINC000345225528 386073384 /nfs/dbraw/zinc/07/33/84/386073384.db2.gz YCMXCMLUBNJFSS-NEPJUHHUSA-N 0 3 231.405 2.629 20 0 BFADHN CCSCCN[C@@H](C)c1ccncc1F ZINC000336772201 386074783 /nfs/dbraw/zinc/07/47/83/386074783.db2.gz XRUXDTOCXGPPNH-VIFPVBQESA-N 0 3 228.336 2.624 20 0 BFADHN CC[C@H]1CC[C@H]1N[C@@H](C)c1nccs1 ZINC000414221754 386075952 /nfs/dbraw/zinc/07/59/52/386075952.db2.gz LNENLLSZOUOBFT-LPEHRKFASA-N 0 3 210.346 2.982 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1cccc(C2CC2)c1 ZINC000643292729 386077931 /nfs/dbraw/zinc/07/79/31/386077931.db2.gz ADBPJBVADLNKEX-LSDHHAIUSA-N 0 3 231.339 2.831 20 0 BFADHN CO[C@@H](CN1CCc2cc(C)ccc2C1)C1CC1 ZINC000425445599 386080385 /nfs/dbraw/zinc/08/03/85/386080385.db2.gz NZIKHAICOKZEOT-INIZCTEOSA-N 0 3 245.366 2.778 20 0 BFADHN C[C@@H](NCC(C)(C)OCC1CC1)c1ccncc1 ZINC000414258871 386081491 /nfs/dbraw/zinc/08/14/91/386081491.db2.gz HQTSTKFJVFZZKX-GFCCVEGCSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2C[C@H](C)O[C@@H]2C)c1 ZINC000414268528 386087411 /nfs/dbraw/zinc/08/74/11/386087411.db2.gz UQLROUPYJJEOTD-FQUUOJAGSA-N 0 3 248.370 2.854 20 0 BFADHN CCc1ncc(CNCCC(C)(C)C)cn1 ZINC000414267002 386087679 /nfs/dbraw/zinc/08/76/79/386087679.db2.gz MUWAFQQAVRZAIU-UHFFFAOYSA-N 0 3 221.348 2.565 20 0 BFADHN C[C@@H](NCCCCCO)c1c(F)cccc1F ZINC000223633667 386092773 /nfs/dbraw/zinc/09/27/73/386092773.db2.gz ZJMBYHLFYBBBGR-SNVBAGLBSA-N 0 3 243.297 2.778 20 0 BFADHN CCC1(CNCc2cc(F)ncc2F)CC1 ZINC000295843897 386092849 /nfs/dbraw/zinc/09/28/49/386092849.db2.gz WBWLJIIHFWEVQN-UHFFFAOYSA-N 0 3 226.270 2.640 20 0 BFADHN Cc1ccc(CN[C@H]2CSC2(C)C)cc1 ZINC000336729009 386093064 /nfs/dbraw/zinc/09/30/64/386093064.db2.gz IPKGAKZSLGOEPH-LBPRGKRZSA-N 0 3 221.369 2.979 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H](C)O[C@H]1C)c1ccccn1 ZINC000414282767 386093537 /nfs/dbraw/zinc/09/35/37/386093537.db2.gz OJXPRIZJXZHQEA-MROQNXINSA-N 0 3 234.343 2.546 20 0 BFADHN C[C@H](NC[C@H](O)Cc1ccccc1)c1ccco1 ZINC000287111103 386095467 /nfs/dbraw/zinc/09/54/67/386095467.db2.gz QNSHWDPDNQKXAK-GXTWGEPZSA-N 0 3 245.322 2.534 20 0 BFADHN C[C@@H](NC[C@H]1C[C@@H](C)O[C@@H]1C)c1ccco1 ZINC000414286740 386096275 /nfs/dbraw/zinc/09/62/75/386096275.db2.gz SSVWFJMMLBEWND-DDHJBXDOSA-N 0 3 223.316 2.744 20 0 BFADHN Cc1occc1CNCCOc1cccc(F)c1 ZINC000414286499 386096647 /nfs/dbraw/zinc/09/66/47/386096647.db2.gz CTZJBZXVAWDQFW-UHFFFAOYSA-N 0 3 249.285 2.896 20 0 BFADHN Cn1ccnc1NCc1ccc2c(c1)CCCC2 ZINC000414291187 386100474 /nfs/dbraw/zinc/10/04/74/386100474.db2.gz AUTAHBRSSNNRRR-UHFFFAOYSA-N 0 3 241.338 2.911 20 0 BFADHN CO[C@@H]1CCN(Cc2cc(C)sc2C)C1 ZINC000335151989 386145260 /nfs/dbraw/zinc/14/52/60/386145260.db2.gz BSHXBSORNBDFDM-GFCCVEGCSA-N 0 3 225.357 2.586 20 0 BFADHN Cc1occc1CNC[C@](C)(O)CCC(C)C ZINC000414359949 386147419 /nfs/dbraw/zinc/14/74/19/386147419.db2.gz PUQZOSDGGICCHJ-CQSZACIVSA-N 0 3 239.359 2.865 20 0 BFADHN CCCCN(CC)Cc1cnc(CC)nc1 ZINC000414363479 386148223 /nfs/dbraw/zinc/14/82/23/386148223.db2.gz GOPMHXUMGSSFIP-UHFFFAOYSA-N 0 3 221.348 2.661 20 0 BFADHN CCc1ncc(CNCCC2=CCCC2)cn1 ZINC000414365179 386149517 /nfs/dbraw/zinc/14/95/17/386149517.db2.gz KGLPDRLCQWAFCE-UHFFFAOYSA-N 0 3 231.343 2.629 20 0 BFADHN CCc1ncc(CN2CCC[C@H](CC)C2)cn1 ZINC000414369006 386153535 /nfs/dbraw/zinc/15/35/35/386153535.db2.gz HRJABNXBEQOUDX-LBPRGKRZSA-N 0 3 233.359 2.661 20 0 BFADHN CC[C@@H](NCc1cnc(C)cn1)[C@@H]1CC1(C)C ZINC000397657297 386154744 /nfs/dbraw/zinc/15/47/44/386154744.db2.gz NCMCFNBCIGEZCO-QWHCGFSZSA-N 0 3 233.359 2.699 20 0 BFADHN COC[C@@H](NCCOC1CCC1)c1ccccc1 ZINC000287918290 386156137 /nfs/dbraw/zinc/15/61/37/386156137.db2.gz VYOKWJDYFJEELG-OAHLLOKOSA-N 0 3 249.354 2.533 20 0 BFADHN C[C@H](NCc1ncccc1N(C)C)[C@@H]1CC1(C)C ZINC000353183030 386157606 /nfs/dbraw/zinc/15/76/06/386157606.db2.gz GVSWVPUAMLKSJQ-RYUDHWBXSA-N 0 3 247.386 2.672 20 0 BFADHN CCc1nc(C)c(CN(C)[C@H](C)CC)o1 ZINC000428393145 386190248 /nfs/dbraw/zinc/19/02/48/386190248.db2.gz XAZROKWKVBUNOM-SECBINFHSA-N 0 3 210.321 2.776 20 0 BFADHN CNCc1ccccc1NC(=O)N(C)CC(C)C ZINC000415906364 386161105 /nfs/dbraw/zinc/16/11/05/386161105.db2.gz XMEWIFIOIUMOPB-UHFFFAOYSA-N 0 3 249.358 2.526 20 0 BFADHN CCC(CC)NC(=O)Nc1ccccc1CNC ZINC000415906106 386161722 /nfs/dbraw/zinc/16/17/22/386161722.db2.gz WMZXXQDHTFZBMX-UHFFFAOYSA-N 0 3 249.358 2.716 20 0 BFADHN CN(Cc1cccc2c1NCC2)[C@H]1CCSC1 ZINC000130482587 386168601 /nfs/dbraw/zinc/16/86/01/386168601.db2.gz ARAICUDCBIUMTC-ZDUSSCGKSA-N 0 3 248.395 2.592 20 0 BFADHN C[C@@H](O)C[C@H](C)NCc1ccc(F)c(Cl)c1 ZINC000164614907 386172265 /nfs/dbraw/zinc/17/22/65/386172265.db2.gz DOQRONBTWZHUQG-DTWKUNHWSA-N 0 3 245.725 2.728 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccncc1F)C(C)C ZINC000340539448 386174269 /nfs/dbraw/zinc/17/42/69/386174269.db2.gz BNNOWINTXYRMOO-GXFFZTMASA-N 0 3 240.322 2.542 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H]1CCOC1(C)C ZINC000296452936 386174325 /nfs/dbraw/zinc/17/43/25/386174325.db2.gz MWGYRLKZIYGBJI-YPMHNXCESA-N 0 3 234.343 2.608 20 0 BFADHN CO[C@H](C)CN1CCC(c2ccsc2)CC1 ZINC000275045596 386174589 /nfs/dbraw/zinc/17/45/89/386174589.db2.gz ZLNGYSQYDYBTGH-LLVKDONJSA-N 0 3 239.384 2.962 20 0 BFADHN CO[C@@H]1CCC[C@H]1CN[C@@H](C)c1nccs1 ZINC000336730148 386174745 /nfs/dbraw/zinc/17/47/45/386174745.db2.gz IEUFCYKFISIQSJ-GARJFASQSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@H](CN(C)C)NC(=O)[C@H](C)CCC(C)(C)C ZINC000346229242 386176541 /nfs/dbraw/zinc/17/65/41/386176541.db2.gz RYNJEDAVQVKLRZ-VXGBXAGGSA-N 0 3 242.407 2.515 20 0 BFADHN CCc1ccc(CN2CCC(C)CC2)nc1 ZINC000338908089 386180440 /nfs/dbraw/zinc/18/04/40/386180440.db2.gz VHVBDUJSVVSMQX-UHFFFAOYSA-N 0 3 218.344 2.876 20 0 BFADHN COC(=O)C1(N(C)CC2(C)CCC2)CCCC1 ZINC000340274977 386182695 /nfs/dbraw/zinc/18/26/95/386182695.db2.gz BRLQSWBSHANDDF-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN CCC[C@H](NC[C@H](C)OC)c1cccnc1 ZINC000188110466 386184336 /nfs/dbraw/zinc/18/43/36/386184336.db2.gz ZQLFREPDASLLDN-AAEUAGOBSA-N 0 3 222.332 2.547 20 0 BFADHN CCC[C@H](O)CN1CCc2sccc2[C@@H]1C ZINC000296565546 386184486 /nfs/dbraw/zinc/18/44/86/386184486.db2.gz PZSYFZVPUZIYKF-QWRGUYRKSA-N 0 3 239.384 2.828 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cncc(F)c1 ZINC000275149327 386184618 /nfs/dbraw/zinc/18/46/18/386184618.db2.gz RPSVKZGCCSTUGL-MFKMUULPSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@]1(CO)CCCN([C@@H](C)c2cccnc2)C1 ZINC000275162718 386186910 /nfs/dbraw/zinc/18/69/10/386186910.db2.gz RWDSWCCZEWSLER-ZFWWWQNUSA-N 0 3 248.370 2.627 20 0 BFADHN O[C@@H]1CCC[C@@H](CNCc2ccc(Cl)o2)C1 ZINC000164668776 386187917 /nfs/dbraw/zinc/18/79/17/386187917.db2.gz COZYRPWXRFAMIA-NXEZZACHSA-N 0 3 243.734 2.574 20 0 BFADHN CC[C@H](CO)N(C)Cc1csc(C)c1C ZINC000639213635 386127361 /nfs/dbraw/zinc/12/73/61/386127361.db2.gz KBTTUIUOGLCDFR-GFCCVEGCSA-N 0 3 227.373 2.568 20 0 BFADHN CC[C@@H](NCc1ccc(C)nn1)C(C)(C)C ZINC000414332228 386128373 /nfs/dbraw/zinc/12/83/73/386128373.db2.gz LBPUSVOGGLBROC-GFCCVEGCSA-N 0 3 221.348 2.699 20 0 BFADHN CCn1cc([C@H](C)N[C@@H]2C[C@H]2c2ccco2)cn1 ZINC000414339305 386130715 /nfs/dbraw/zinc/13/07/15/386130715.db2.gz MKXPKCXWTZTRMY-CYZMBNFOSA-N 0 3 245.326 2.703 20 0 BFADHN COc1cccc(CN2[C@H](C)CCC2(C)C)n1 ZINC000452018980 386131607 /nfs/dbraw/zinc/13/16/07/386131607.db2.gz YIWXHPIUUXMXOW-LLVKDONJSA-N 0 3 234.343 2.853 20 0 BFADHN F[C@@H]1CCC[C@@H]1N[C@@H]1CCc2ccccc2OC1 ZINC000340526839 386133544 /nfs/dbraw/zinc/13/35/44/386133544.db2.gz TZQPNXMGVHQYTF-MCIONIFRSA-N 0 3 249.329 2.860 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@@H]1C[C@H]1c1ccco1 ZINC000414348125 386136019 /nfs/dbraw/zinc/13/60/19/386136019.db2.gz WQWBWPTUKBMJMO-UFGOTCBOSA-N 0 3 245.326 2.528 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](O)C1)c1ccc(Cl)s1 ZINC000296101243 386138426 /nfs/dbraw/zinc/13/84/26/386138426.db2.gz YUHUVOSSPBNJHZ-PRJMDXOYSA-N 0 3 231.748 2.575 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1)c1ccc(Cl)s1 ZINC000296101240 386138688 /nfs/dbraw/zinc/13/86/88/386138688.db2.gz YUHUVOSSPBNJHZ-BIIVOSGPSA-N 0 3 231.748 2.575 20 0 BFADHN Cc1c(CN(C)[C@H]2CCC[C@H](C)C2)cnn1C ZINC000334470276 386141003 /nfs/dbraw/zinc/14/10/03/386141003.db2.gz FMZJRKYIBHLTGJ-FZMZJTMJSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1ccc(CN([C@@H](C)C2CC2)C2CC2)nn1 ZINC000414366407 386141417 /nfs/dbraw/zinc/14/14/17/386141417.db2.gz BOIQOKQHKVVVCE-NSHDSACASA-N 0 3 231.343 2.548 20 0 BFADHN Cc1ncc(CN(C)C2(C)CCCC2)cn1 ZINC000334469869 386142171 /nfs/dbraw/zinc/14/21/71/386142171.db2.gz ZUINFQJAQKZOKD-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN C[C@H](c1ccc(F)cc1)N(C)CC1(CO)CC1 ZINC000190020649 386143374 /nfs/dbraw/zinc/14/33/74/386143374.db2.gz UNAFXGXRFXLPSP-LLVKDONJSA-N 0 3 237.318 2.591 20 0 BFADHN COC[C@@]1(C)CCN([C@H](C)c2cccnc2)C1 ZINC000296756226 386201094 /nfs/dbraw/zinc/20/10/94/386201094.db2.gz PBCKXMJMZJHFFJ-OCCSQVGLSA-N 0 3 234.343 2.501 20 0 BFADHN CN(Cc1cc(F)cc(Cl)c1)CC(C)(C)O ZINC000275315825 386201711 /nfs/dbraw/zinc/20/17/11/386201711.db2.gz SDTZFRZCPTZGLI-UHFFFAOYSA-N 0 3 245.725 2.682 20 0 BFADHN CN(C/C=C\c1ccccc1)C[C@H]1CCCO1 ZINC000339004427 386201721 /nfs/dbraw/zinc/20/17/21/386201721.db2.gz ASUGPMLFEJCRRT-HMZQOCAUSA-N 0 3 231.339 2.811 20 0 BFADHN CN(C/C=C\c1ccccc1)C[C@@H]1CCCO1 ZINC000339004428 386202329 /nfs/dbraw/zinc/20/23/29/386202329.db2.gz ASUGPMLFEJCRRT-ZHMISCPASA-N 0 3 231.339 2.811 20 0 BFADHN Cc1ccsc1CCN[C@@H]1CCn2ccnc21 ZINC000453158994 386202931 /nfs/dbraw/zinc/20/29/31/386202931.db2.gz CHUZBXNVMVMVPH-LLVKDONJSA-N 0 3 247.367 2.530 20 0 BFADHN CSC[C@@H](C)NCc1cccc(F)c1 ZINC000124991341 386203342 /nfs/dbraw/zinc/20/33/42/386203342.db2.gz XUUUPGSEWSCAOP-SECBINFHSA-N 0 3 213.321 2.667 20 0 BFADHN C[C@@H](C(=O)Nc1ccc2c(c1)CNC2)C(C)(C)C ZINC000334621515 386205220 /nfs/dbraw/zinc/20/52/20/386205220.db2.gz MIYXFEASSXNTMD-JTQLQIEISA-N 0 3 246.354 2.911 20 0 BFADHN Cc1ccc2cc(CN[C@@H](C)CF)ccc2n1 ZINC000288516985 386209734 /nfs/dbraw/zinc/20/97/34/386209734.db2.gz UEPIYGMJCLEZEV-NSHDSACASA-N 0 3 232.302 2.991 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cnccc1OC ZINC000347062239 386210200 /nfs/dbraw/zinc/21/02/00/386210200.db2.gz XDPYONQMSIRHNP-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ccc2cc(CN[C@H](C)CF)ccc2n1 ZINC000288516984 386210589 /nfs/dbraw/zinc/21/05/89/386210589.db2.gz UEPIYGMJCLEZEV-LLVKDONJSA-N 0 3 232.302 2.991 20 0 BFADHN COC(CN([C@@H](C)c1ccccc1)C1CC1)OC ZINC000275513642 386216735 /nfs/dbraw/zinc/21/67/35/386216735.db2.gz XSJOMJNDOQPJLA-LBPRGKRZSA-N 0 3 249.354 2.831 20 0 BFADHN CCN(CC(=O)NC1CCCCCC1)C(C)C ZINC000339032027 386217370 /nfs/dbraw/zinc/21/73/70/386217370.db2.gz ZSCLZRAZEYHVKI-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN COc1ccncc1CN(CC1CC1)C1CCC1 ZINC000347076160 386218921 /nfs/dbraw/zinc/21/89/21/386218921.db2.gz BEMPIXRXBSUHNT-UHFFFAOYSA-N 0 3 246.354 2.855 20 0 BFADHN CSC[C@H](C)NCc1ccc(F)c(F)c1 ZINC000125003814 386219402 /nfs/dbraw/zinc/21/94/02/386219402.db2.gz YGOKIQATSXCGAF-QMMMGPOBSA-N 0 3 231.311 2.806 20 0 BFADHN CO[C@@H]1CN(Cc2csc(C)c2)CC[C@@H]1C ZINC000297029506 386220144 /nfs/dbraw/zinc/22/01/44/386220144.db2.gz XITWNPLPMTZOJM-GXFFZTMASA-N 0 3 239.384 2.913 20 0 BFADHN Cc1cc(C)cc(CN2CC([C@H]3CCOC3)C2)c1 ZINC000366251895 386228014 /nfs/dbraw/zinc/22/80/14/386228014.db2.gz XENBABZUEFGSLA-HNNXBMFYSA-N 0 3 245.366 2.772 20 0 BFADHN FCCCCN1CCCc2occc2C1 ZINC000288854475 386237423 /nfs/dbraw/zinc/23/74/23/386237423.db2.gz PIZMSEXSHJTBGY-UHFFFAOYSA-N 0 3 211.280 2.778 20 0 BFADHN Cc1ccc(CN2CC[C@H](O)C(C)(C)C2)s1 ZINC000275857535 386246278 /nfs/dbraw/zinc/24/62/78/386246278.db2.gz IQCDFIYZIOHVRK-LBPRGKRZSA-N 0 3 239.384 2.649 20 0 BFADHN Cc1ccsc1CN1CC[C@H](O)C(C)(C)C1 ZINC000275843059 386247261 /nfs/dbraw/zinc/24/72/61/386247261.db2.gz HBWOYOACJWUAOE-LBPRGKRZSA-N 0 3 239.384 2.649 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCC[C@H](C)C1 ZINC000336742798 386248182 /nfs/dbraw/zinc/24/81/82/386248182.db2.gz OMYQHWNEDZVAHI-CMPLNLGQSA-N 0 3 221.348 2.634 20 0 BFADHN CCc1ccc(CN2CC[C@@H](C)[C@@H](OC)C2)nc1 ZINC000339112884 386255666 /nfs/dbraw/zinc/25/56/66/386255666.db2.gz WMFVROZGXQAQSX-DOMZBBRYSA-N 0 3 248.370 2.501 20 0 BFADHN C[C@@H](O)CCN(C)Cc1cc(F)cc(Cl)c1 ZINC000275213567 386194495 /nfs/dbraw/zinc/19/44/95/386194495.db2.gz BJCNHMCCTRMCIT-SECBINFHSA-N 0 3 245.725 2.682 20 0 BFADHN CSC[C@H]1CCCN1CCc1cscn1 ZINC000296712601 386195059 /nfs/dbraw/zinc/19/50/59/386195059.db2.gz GOMBWJDDEUBUHU-LLVKDONJSA-N 0 3 242.413 2.513 20 0 BFADHN CC[C@@H]1CCC[C@H](N[C@@H]2CNCCC2(F)F)C1 ZINC000414997949 386197779 /nfs/dbraw/zinc/19/77/79/386197779.db2.gz IHQSOBNUJPCXRH-GRYCIOLGSA-N 0 3 246.345 2.542 20 0 BFADHN CC[C@@H]1CCN1Cc1c(C)cc(OC)nc1C ZINC000430193435 386200172 /nfs/dbraw/zinc/20/01/72/386200172.db2.gz RKWXLBJUNZEAJF-GFCCVEGCSA-N 0 3 234.343 2.691 20 0 BFADHN CC[C@H](F)CN[C@H]1CCCO[C@@H]1CC(C)C ZINC000336730588 386281120 /nfs/dbraw/zinc/28/11/20/386281120.db2.gz IKDAPQYARZXVAK-RWMBFGLXSA-N 0 3 231.355 2.918 20 0 BFADHN Cc1cnc(CN(CC(C)C)C(C)C)nc1 ZINC000336662879 386281317 /nfs/dbraw/zinc/28/13/17/386281317.db2.gz CXZDXRRHNXOJNT-UHFFFAOYSA-N 0 3 221.348 2.651 20 0 BFADHN FC(F)(F)c1ncc(CNC2CCC2)s1 ZINC000336730587 386282481 /nfs/dbraw/zinc/28/24/81/386282481.db2.gz KGIHFHMHFDTCMD-UHFFFAOYSA-N 0 3 236.262 2.804 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1ccc(F)cn1 ZINC000276193742 386283426 /nfs/dbraw/zinc/28/34/26/386283426.db2.gz IJAPRJXRCSBYBN-MFKMUULPSA-N 0 3 222.307 2.984 20 0 BFADHN CCN1C[C@H](C)N(Cc2ccoc2C)C[C@H]1C ZINC000414395956 386283924 /nfs/dbraw/zinc/28/39/24/386283924.db2.gz LEAFDVSYYSQJFM-NEPJUHHUSA-N 0 3 236.359 2.503 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1ccc(F)cn1 ZINC000276193738 386284077 /nfs/dbraw/zinc/28/40/77/386284077.db2.gz IJAPRJXRCSBYBN-GWCFXTLKSA-N 0 3 222.307 2.984 20 0 BFADHN CCN1C[C@@H](C)N(Cc2ccoc2C)C[C@H]1C ZINC000414395960 386284395 /nfs/dbraw/zinc/28/43/95/386284395.db2.gz LEAFDVSYYSQJFM-VXGBXAGGSA-N 0 3 236.359 2.503 20 0 BFADHN CCc1ccc([C@H](COC)NC(C)C)o1 ZINC000125640249 386288002 /nfs/dbraw/zinc/28/80/02/386288002.db2.gz GDVBPSWQRKQNQT-NSHDSACASA-N 0 3 211.305 2.528 20 0 BFADHN CCn1cc(CN2CC(C)(C)C[C@H]2C)cn1 ZINC000335263808 386295242 /nfs/dbraw/zinc/29/52/42/386295242.db2.gz WFRKUKVHLFSPJX-LLVKDONJSA-N 0 3 221.348 2.523 20 0 BFADHN CC(C)COC[C@H](NCC1CC1)c1ccco1 ZINC000236628966 386296517 /nfs/dbraw/zinc/29/65/17/386296517.db2.gz IKSRBZYDOMSKTP-ZDUSSCGKSA-N 0 3 237.343 2.993 20 0 BFADHN C[C@]1(CO)CCC[C@H]1NCc1ccc(Cl)o1 ZINC000164789789 386298085 /nfs/dbraw/zinc/29/80/85/386298085.db2.gz ICONJSGBZQMZKA-ZYHUDNBSSA-N 0 3 243.734 2.574 20 0 BFADHN CCSCCN1CCC[C@@H]1c1cccnc1 ZINC000336660514 386299063 /nfs/dbraw/zinc/29/90/63/386299063.db2.gz AQPWTKPENRNUHD-CYBMUJFWSA-N 0 3 236.384 2.972 20 0 BFADHN CCC[C@H](N)C(=O)N[C@H](C)c1cc(C)cc(C)c1 ZINC000236919860 386324879 /nfs/dbraw/zinc/32/48/79/386324879.db2.gz WHODAIWQWGARAV-OCCSQVGLSA-N 0 3 248.370 2.608 20 0 BFADHN CCc1ccc(CN(C)C(C)(C)COC)nc1 ZINC000339210524 386303446 /nfs/dbraw/zinc/30/34/46/386303446.db2.gz YMRQTBUGFJHWIU-UHFFFAOYSA-N 0 3 236.359 2.501 20 0 BFADHN CC[C@H](NC(=O)[C@H](CC)N(C)C)c1ccccc1 ZINC000298197484 386309733 /nfs/dbraw/zinc/30/97/33/386309733.db2.gz IAALCXOJSZICPY-KBPBESRZSA-N 0 3 248.370 2.594 20 0 BFADHN CCCCN(CC1CC1)[C@](C)(CC)C(=O)OC ZINC000425518437 386309971 /nfs/dbraw/zinc/30/99/71/386309971.db2.gz YVZCZKITGXJBBL-CQSZACIVSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1c[nH]nc1CN[C@@H](C)[C@H](C)c1ccccc1 ZINC000289493869 386317064 /nfs/dbraw/zinc/31/70/64/386317064.db2.gz LGNONHAKQJMAJN-STQMWFEESA-N 0 3 243.354 3.000 20 0 BFADHN c1cn(CCN2CCC[C@H]3CCCC[C@@H]32)cn1 ZINC000181071749 386317555 /nfs/dbraw/zinc/31/75/55/386317555.db2.gz XUGFDIVDNGSMOG-KGLIPLIRSA-N 0 3 233.359 2.538 20 0 BFADHN CCOc1cccc(CN(C)C[C@@H](C)OC)c1 ZINC000289514876 386319173 /nfs/dbraw/zinc/31/91/73/386319173.db2.gz GXBMWRCOKWUKOK-GFCCVEGCSA-N 0 3 237.343 2.552 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1nccc2ccccc21 ZINC000289527615 386322078 /nfs/dbraw/zinc/32/20/78/386322078.db2.gz ABVILVJJXCRVFK-RYUDHWBXSA-N 0 3 244.338 2.920 20 0 BFADHN CN(Cc1ccc(F)cn1)CC(C)(C)C ZINC000276429249 386322836 /nfs/dbraw/zinc/32/28/36/386322836.db2.gz YGWXIIWBYMPMRF-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN COc1cc(CN(C)[C@H]2C[C@@H](OC)C2)ccc1C ZINC000289101593 386262529 /nfs/dbraw/zinc/26/25/29/386262529.db2.gz CCHXHGVDDRQMDG-OKILXGFUSA-N 0 3 249.354 2.613 20 0 BFADHN CSCCN(C)[C@@H]1C[C@@H]1c1ccccc1 ZINC000336651241 386266986 /nfs/dbraw/zinc/26/69/86/386266986.db2.gz UVABGKJSPQCJTP-CHWSQXEVSA-N 0 3 221.369 2.837 20 0 BFADHN COC1(CCN2CCC[C@@H]2c2cc[nH]n2)CCC1 ZINC000339135867 386267036 /nfs/dbraw/zinc/26/70/36/386267036.db2.gz WFXIKRCQNVZXGP-CYBMUJFWSA-N 0 3 249.358 2.506 20 0 BFADHN CSC1(CN(C)Cc2ccco2)CC1 ZINC000289165056 386268326 /nfs/dbraw/zinc/26/83/26/386268326.db2.gz RJPZLMMOWNUBLX-UHFFFAOYSA-N 0 3 211.330 2.607 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC)C[C@@H]2C)nc1 ZINC000339160919 386270252 /nfs/dbraw/zinc/27/02/52/386270252.db2.gz NSPSOJJDSOALRI-SWLSCSKDSA-N 0 3 248.370 2.643 20 0 BFADHN CC[C@H](C)N(C)Cc1ccnn1CC(C)C ZINC000648001878 386270381 /nfs/dbraw/zinc/27/03/81/386270381.db2.gz PTGGFVFLTHMDHT-LBPRGKRZSA-N 0 3 223.364 2.769 20 0 BFADHN COc1ccncc1CN1CC[C@H](C)C(C)(C)C1 ZINC000347186092 386273335 /nfs/dbraw/zinc/27/33/35/386273335.db2.gz CSHOCHDODIZSIL-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H](CN1CC[C@](F)(CO)C1)C1CCCCC1 ZINC000339177110 386277256 /nfs/dbraw/zinc/27/72/56/386277256.db2.gz NIMQYMBOBYGOIA-GXTWGEPZSA-N 0 3 243.366 2.609 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1cn2cccnc2n1 ZINC000297803833 386279226 /nfs/dbraw/zinc/27/92/26/386279226.db2.gz XPXAIXAGGIXFMR-VXGBXAGGSA-N 0 3 246.358 2.644 20 0 BFADHN COC[C@H]1CCCN([C@H](C)c2cccnc2)C1 ZINC000264877531 386279532 /nfs/dbraw/zinc/27/95/32/386279532.db2.gz CBQSOBNJCFUROV-OLZOCXBDSA-N 0 3 234.343 2.501 20 0 BFADHN CSCCN1CCC[C@@H]1c1cccnc1 ZINC000336644760 386279822 /nfs/dbraw/zinc/27/98/22/386279822.db2.gz HDAJBYCNVCKGEP-GFCCVEGCSA-N 0 3 222.357 2.582 20 0 BFADHN COC[C@H]1CCN1Cc1c(C)cc(C)cc1C ZINC000339278319 386339722 /nfs/dbraw/zinc/33/97/22/386339722.db2.gz FBQYPTKRJKGNFV-CQSZACIVSA-N 0 3 233.355 2.833 20 0 BFADHN CCc1cccc(Cl)c1CN[C@@H]1CCOC1 ZINC000336723616 386340836 /nfs/dbraw/zinc/34/08/36/386340836.db2.gz AUVYRPFOICMCSE-LLVKDONJSA-N 0 3 239.746 2.781 20 0 BFADHN CC(=O)CCN(C)Cc1cccc(C)c1C ZINC000298433645 386342822 /nfs/dbraw/zinc/34/28/22/386342822.db2.gz YORVCPPYKHDSFL-UHFFFAOYSA-N 0 3 219.328 2.714 20 0 BFADHN CCSCCN1CCc2sccc2C1 ZINC000265556602 386348532 /nfs/dbraw/zinc/34/85/32/386348532.db2.gz DPCIJALBALWJQH-UHFFFAOYSA-N 0 3 227.398 2.859 20 0 BFADHN Cc1ccoc1CN1CCSCC[C@H]1C ZINC000276875054 386386541 /nfs/dbraw/zinc/38/65/41/386386541.db2.gz ZCKYAINPWPFYFQ-LLVKDONJSA-N 0 3 225.357 2.915 20 0 BFADHN Cc1nc(C)c([C@@H](C)N(C)CC[C@H](C)O)s1 ZINC000336688850 386351210 /nfs/dbraw/zinc/35/12/10/386351210.db2.gz YWPBZXSAUKICCQ-WCBMZHEXSA-N 0 3 242.388 2.524 20 0 BFADHN C[C@H]1c2ccccc2CN1CCCCCO ZINC000336688809 386351340 /nfs/dbraw/zinc/35/13/40/386351340.db2.gz YUGDCHNDTNEJRN-LBPRGKRZSA-N 0 3 219.328 2.726 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000289790510 386354744 /nfs/dbraw/zinc/35/47/44/386354744.db2.gz LIWMCNIPQLCBLH-UZWSLXQKSA-N 0 3 237.343 2.916 20 0 BFADHN CCCC(O)(CCC)CNCc1ccncc1 ZINC000265661615 386356931 /nfs/dbraw/zinc/35/69/31/386356931.db2.gz RUEURTWMUNIBRD-UHFFFAOYSA-N 0 3 236.359 2.503 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1ccncc1F)OC ZINC000453290205 386357417 /nfs/dbraw/zinc/35/74/17/386357417.db2.gz AOZBXXVULYWHBX-ZWNOBZJWSA-N 0 3 240.322 2.686 20 0 BFADHN CCN(CCC(C)(C)OC)Cc1ccncc1 ZINC000336691318 386359538 /nfs/dbraw/zinc/35/95/38/386359538.db2.gz FZZQZSPVBVCHCL-UHFFFAOYSA-N 0 3 236.359 2.719 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1ccc2c(c1)ncn2C ZINC000335271817 386360806 /nfs/dbraw/zinc/36/08/06/386360806.db2.gz CSBQSKIMTSSOEW-GHMZBOCLSA-N 0 3 229.327 2.556 20 0 BFADHN C[C@H](CCO)N[C@@H](C)c1nccc2ccccc21 ZINC000289841483 386361237 /nfs/dbraw/zinc/36/12/37/386361237.db2.gz QTNJSQMKEOQABR-NEPJUHHUSA-N 0 3 244.338 2.656 20 0 BFADHN C[C@H](CCO)N[C@H](C)c1nccc2ccccc21 ZINC000289843970 386361398 /nfs/dbraw/zinc/36/13/98/386361398.db2.gz QTNJSQMKEOQABR-VXGBXAGGSA-N 0 3 244.338 2.656 20 0 BFADHN Cc1c[nH]nc1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC000289844886 386362281 /nfs/dbraw/zinc/36/22/81/386362281.db2.gz NMWUSXOGSUOPEB-HDJSIYSDSA-N 0 3 241.338 2.754 20 0 BFADHN C[C@H](CCC1CC1)N[C@@H](C)c1ccon1 ZINC000336774731 386364370 /nfs/dbraw/zinc/36/43/70/386364370.db2.gz ZOEZSHIBFILMDT-ZJUUUORDSA-N 0 3 208.305 2.904 20 0 BFADHN Cc1cn[nH]c1CNCc1ccc(C)cc1C ZINC000289872262 386364717 /nfs/dbraw/zinc/36/47/17/386364717.db2.gz LWDKYZGDIHOERR-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN CCC[C@H](C)N1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289888334 386366884 /nfs/dbraw/zinc/36/68/84/386366884.db2.gz MTEZQLOUCOFITF-JQWIXIFHSA-N 0 3 241.766 2.972 20 0 BFADHN COC[C@H]1CCN(c2ccnc3ccccc32)C1 ZINC000265762986 386368158 /nfs/dbraw/zinc/36/81/58/386368158.db2.gz BLVZBOWYXDTTOG-LBPRGKRZSA-N 0 3 242.322 2.708 20 0 BFADHN CCC[C@H](C)N1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289888322 386369226 /nfs/dbraw/zinc/36/92/26/386369226.db2.gz MTEZQLOUCOFITF-CMPLNLGQSA-N 0 3 241.766 2.972 20 0 BFADHN COC[C@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC000265799448 386370741 /nfs/dbraw/zinc/37/07/41/386370741.db2.gz NWYZQEUKYYKLBV-RYUDHWBXSA-N 0 3 237.318 2.855 20 0 BFADHN CC[C@H](CNC/C=C/c1ccccc1OC)OC ZINC000289931552 386374090 /nfs/dbraw/zinc/37/40/90/386374090.db2.gz WFGNYKPMNLTTQK-RCQQVGEISA-N 0 3 249.354 2.723 20 0 BFADHN CO[C@@H]1CCC[C@@H]1CN[C@H](C)c1ccco1 ZINC000336732248 386380197 /nfs/dbraw/zinc/38/01/97/386380197.db2.gz JHLRZLNCBHRXOY-NQBHXWOUSA-N 0 3 223.316 2.745 20 0 BFADHN CC[C@@H](C)N1CCN(Cc2cccs2)CC1 ZINC000265877071 386380756 /nfs/dbraw/zinc/38/07/56/386380756.db2.gz IAMZJUOCLYASAF-GFCCVEGCSA-N 0 3 238.400 2.664 20 0 BFADHN CC(C)C[C@H]1CCCN1Cc1n[nH]c(C2CC2)n1 ZINC000347395002 386381691 /nfs/dbraw/zinc/38/16/91/386381691.db2.gz CAZZPYMVVBWGFU-GFCCVEGCSA-N 0 3 248.374 2.693 20 0 BFADHN CC(C)C[C@H]1CCC[N@@H+]1Cc1nnc(C2CC2)[n-]1 ZINC000347395002 386381696 /nfs/dbraw/zinc/38/16/96/386381696.db2.gz CAZZPYMVVBWGFU-GFCCVEGCSA-N 0 3 248.374 2.693 20 0 BFADHN CC(C)C[C@H]1CCC[N@H+]1Cc1nnc(C2CC2)[n-]1 ZINC000347395002 386381704 /nfs/dbraw/zinc/38/17/04/386381704.db2.gz CAZZPYMVVBWGFU-GFCCVEGCSA-N 0 3 248.374 2.693 20 0 BFADHN CC(C)C[C@@H]1CCCN1Cc1n[nH]c(C2CC2)n1 ZINC000347395003 386382744 /nfs/dbraw/zinc/38/27/44/386382744.db2.gz CAZZPYMVVBWGFU-LBPRGKRZSA-N 0 3 248.374 2.693 20 0 BFADHN CC(C)C[C@@H]1CCC[N@@H+]1Cc1nnc(C2CC2)[n-]1 ZINC000347395003 386382746 /nfs/dbraw/zinc/38/27/46/386382746.db2.gz CAZZPYMVVBWGFU-LBPRGKRZSA-N 0 3 248.374 2.693 20 0 BFADHN CC(C)C[C@@H]1CCC[N@H+]1Cc1nnc(C2CC2)[n-]1 ZINC000347395003 386382748 /nfs/dbraw/zinc/38/27/48/386382748.db2.gz CAZZPYMVVBWGFU-LBPRGKRZSA-N 0 3 248.374 2.693 20 0 BFADHN C[C@H](CF)N[C@@H]1Cc2[nH]c3ccccc3c2C1 ZINC000290007838 386383585 /nfs/dbraw/zinc/38/35/85/386383585.db2.gz DLVKJPFZAZUATI-ZJUUUORDSA-N 0 3 232.302 2.583 20 0 BFADHN C[C@H](CF)N[C@H]1Cc2[nH]c3ccccc3c2C1 ZINC000290007805 386383761 /nfs/dbraw/zinc/38/37/61/386383761.db2.gz DLVKJPFZAZUATI-NXEZZACHSA-N 0 3 232.302 2.583 20 0 BFADHN C[C@@]1(F)CCN(CCc2ccc3c(c2)CCO3)C1 ZINC000347397571 386384082 /nfs/dbraw/zinc/38/40/82/386384082.db2.gz WLCBWGMVBKXMDD-OAHLLOKOSA-N 0 3 249.329 2.598 20 0 BFADHN COc1ccccc1CNCCSC(C)C ZINC000290025683 386385091 /nfs/dbraw/zinc/38/50/91/386385091.db2.gz DNNIKIHISNCJLM-UHFFFAOYSA-N 0 3 239.384 2.926 20 0 BFADHN CN(CC[C@@H]1CCCCO1)CCC(F)(F)F ZINC000347397425 386386029 /nfs/dbraw/zinc/38/60/29/386386029.db2.gz YCKGZAMJDYCTJC-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN CC[C@@H](C(=O)N(C)c1ccccc1)N(CC)CC ZINC000265409387 386335586 /nfs/dbraw/zinc/33/55/86/386335586.db2.gz BQVBEXANKNNRQO-AWEZNQCLSA-N 0 3 248.370 2.770 20 0 BFADHN Cc1ccc(CNCCN2CCCC[C@@H]2C)o1 ZINC000237512238 386386080 /nfs/dbraw/zinc/38/60/80/386386080.db2.gz FOFZWMJXMOJLMZ-LBPRGKRZSA-N 0 3 236.359 2.552 20 0 BFADHN Cc1cn[nH]c1CN(Cc1ccccc1)C1CC1 ZINC000290455905 386438879 /nfs/dbraw/zinc/43/88/79/386438879.db2.gz OZOPNMPBMRZFGP-UHFFFAOYSA-N 0 3 241.338 2.883 20 0 BFADHN C[C@@H](NCc1ccc(F)cc1)c1cc[nH]c(=O)c1 ZINC000339459908 386438947 /nfs/dbraw/zinc/43/89/47/386438947.db2.gz UUYSTBUNDIGWBL-SNVBAGLBSA-N 0 3 246.285 2.777 20 0 BFADHN C[C@H](NCc1cccc(F)c1)c1cc[nH]c(=O)c1 ZINC000339459571 386439045 /nfs/dbraw/zinc/43/90/45/386439045.db2.gz AZBGUGHDIXEFCR-JTQLQIEISA-N 0 3 246.285 2.777 20 0 BFADHN CCc1ncc(CN2CC[C@H](C)[C@H]2CC)cn1 ZINC000414433637 386439731 /nfs/dbraw/zinc/43/97/31/386439731.db2.gz OKFVWUKFJGIOIR-WCQYABFASA-N 0 3 233.359 2.659 20 0 BFADHN CCc1ncc(CN[C@@H](C)CCC2CC2)cn1 ZINC000414433330 386440392 /nfs/dbraw/zinc/44/03/92/386440392.db2.gz NXIBZLWBMYGZDT-NSHDSACASA-N 0 3 233.359 2.707 20 0 BFADHN CC(C)[C@@H](O)CNCc1cc2ccccc2o1 ZINC000134697667 386441326 /nfs/dbraw/zinc/44/13/26/386441326.db2.gz ZOCUEJGFDSOMGR-ZDUSSCGKSA-N 0 3 233.311 2.539 20 0 BFADHN Cc1ccc(CNC[C@]2(O)CCCC2(C)C)o1 ZINC000339464579 386442521 /nfs/dbraw/zinc/44/25/21/386442521.db2.gz GMCZTKOPSAXBHW-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN CCN(CCCO)[C@H](C)c1ccc(F)cc1F ZINC000299421894 386452829 /nfs/dbraw/zinc/45/28/29/386452829.db2.gz RSFYGUGYLXSRAH-SNVBAGLBSA-N 0 3 243.297 2.730 20 0 BFADHN COC[C@H](C)N1CC[C@@H](c2ccccc2F)C1 ZINC000266528311 386445777 /nfs/dbraw/zinc/44/57/77/386445777.db2.gz KYYNMPIYNQPQMM-NWDGAFQWSA-N 0 3 237.318 2.650 20 0 BFADHN c1cc(CN2CC[C@H](c3ccccc3)C2)on1 ZINC000299384032 386446707 /nfs/dbraw/zinc/44/67/07/386446707.db2.gz NZMIWJBPCAQCQD-ZDUSSCGKSA-N 0 3 228.295 2.664 20 0 BFADHN Cc1ccnc(NC[C@@H]2CCCCN2C2CC2)c1 ZINC000277568531 386447100 /nfs/dbraw/zinc/44/71/00/386447100.db2.gz ZLRHVKHGLOOPGG-AWEZNQCLSA-N 0 3 245.370 2.819 20 0 BFADHN Cc1ccc(CN(C)C[C@@H](O)C(C)(C)C)s1 ZINC000290530960 386450179 /nfs/dbraw/zinc/45/01/79/386450179.db2.gz ZIWNACYCYMXTTQ-GFCCVEGCSA-N 0 3 241.400 2.895 20 0 BFADHN Cc1cn[nH]c1CN[C@H]1CCc2c1cccc2F ZINC000290071394 386388885 /nfs/dbraw/zinc/38/88/85/386388885.db2.gz YHXULXYPEPKLQI-ZDUSSCGKSA-N 0 3 245.301 2.634 20 0 BFADHN C[C@H](NCC1CC1)c1nccn1-c1ccccc1 ZINC000290057837 386389694 /nfs/dbraw/zinc/38/96/94/386389694.db2.gz GYKJFKPBAPGKOL-LBPRGKRZSA-N 0 3 241.338 2.933 20 0 BFADHN Cc1cn[nH]c1CN[C@@H](C)c1ccc(C)cc1 ZINC000290077306 386390343 /nfs/dbraw/zinc/39/03/43/386390343.db2.gz YRYYVVHBQWJETO-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1ccc([C@@H](O)CNCc2ccc(C)cc2)o1 ZINC000265984169 386390344 /nfs/dbraw/zinc/39/03/44/386390344.db2.gz MUAUMCQSVAPHQJ-AWEZNQCLSA-N 0 3 245.322 2.720 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](CO)C(C)C)o1 ZINC000336703543 386390865 /nfs/dbraw/zinc/39/08/65/386390865.db2.gz IEBCXSGNTPOVLB-JQWIXIFHSA-N 0 3 225.332 2.510 20 0 BFADHN Cc1ccncc1CN1CCSC[C@@H](C)C1 ZINC000276929970 386391599 /nfs/dbraw/zinc/39/15/99/386391599.db2.gz STVKYFGSJGFKOL-NSHDSACASA-N 0 3 236.384 2.575 20 0 BFADHN CN(Cc1ccncc1)C1Cc2ccccc2C1 ZINC000266037698 386392956 /nfs/dbraw/zinc/39/29/56/386392956.db2.gz UUOUBEQIPRENAQ-UHFFFAOYSA-N 0 3 238.334 2.681 20 0 BFADHN Cc1noc(C)c1C(C)(C)NC[C@@H]1C[C@@H]1C ZINC000336776253 386394585 /nfs/dbraw/zinc/39/45/85/386394585.db2.gz FGNPWILWGAOFQR-KWQFWETISA-N 0 3 222.332 2.772 20 0 BFADHN C[C@@]1(F)CCN(CCc2ccccc2)C1 ZINC000347357152 386394868 /nfs/dbraw/zinc/39/48/68/386394868.db2.gz RUDYXDPTHNPNEW-CYBMUJFWSA-N 0 3 207.292 2.663 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H](CO)C2CCCC2)c1 ZINC000290160357 386401832 /nfs/dbraw/zinc/40/18/32/386401832.db2.gz LXWUHYUKACBFCK-DOMZBBRYSA-N 0 3 248.370 2.592 20 0 BFADHN CC[C@@H](CN[C@@H](c1cccnc1)C1CCC1)OC ZINC000290177343 386402062 /nfs/dbraw/zinc/40/20/62/386402062.db2.gz NEVDILYFORCMFT-LSDHHAIUSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1ccc(OC)nn1 ZINC000282580175 386402920 /nfs/dbraw/zinc/40/29/20/386402920.db2.gz VXQLNQUMGSFWOV-AAEUAGOBSA-N 0 3 249.358 2.544 20 0 BFADHN Cc1ccoc1CN[C@H](C)Cc1c(C)noc1C ZINC000266154158 386405299 /nfs/dbraw/zinc/40/52/99/386405299.db2.gz LIBDHDCGJZMATP-SNVBAGLBSA-N 0 3 248.326 2.914 20 0 BFADHN CCOCCNCc1c(F)cccc1CC ZINC000336776694 386406567 /nfs/dbraw/zinc/40/65/67/386406567.db2.gz GJJAVZPKJULHMD-UHFFFAOYSA-N 0 3 225.307 2.514 20 0 BFADHN Cc1ccoc1CNCCCCn1ccnc1C ZINC000266181843 386407326 /nfs/dbraw/zinc/40/73/26/386407326.db2.gz VKAJTGRZUKMRAO-UHFFFAOYSA-N 0 3 247.342 2.663 20 0 BFADHN Cc1ccoc1CNCCN1CCCC[C@@H]1C ZINC000266178743 386409029 /nfs/dbraw/zinc/40/90/29/386409029.db2.gz FOIWVINAYDSCCF-ZDUSSCGKSA-N 0 3 236.359 2.552 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1ccc2c(c1)COC2)OC ZINC000290243242 386409555 /nfs/dbraw/zinc/40/95/55/386409555.db2.gz STNRRGPWBHBKAS-NHYWBVRUSA-N 0 3 249.354 2.792 20 0 BFADHN C[C@@H](CF)NCCCc1ccc2c(c1)CCO2 ZINC000290222512 386410117 /nfs/dbraw/zinc/41/01/17/386410117.db2.gz RWZXRQKXUHDIQC-NSHDSACASA-N 0 3 237.318 2.502 20 0 BFADHN CCc1ncc(CN(C)C[C@@H]2CC=CCC2)cn1 ZINC000414427300 386414802 /nfs/dbraw/zinc/41/48/02/386414802.db2.gz DZUDOTFBKKISRQ-CYBMUJFWSA-N 0 3 245.370 2.827 20 0 BFADHN Cc1ccoc1CN[C@H]1CSC1(C)C ZINC000282937381 386417026 /nfs/dbraw/zinc/41/70/26/386417026.db2.gz WZFQUEJOHHGODK-JTQLQIEISA-N 0 3 211.330 2.572 20 0 BFADHN CC[C@H](CNCc1occc1C)N1CCCC1 ZINC000266242099 386417440 /nfs/dbraw/zinc/41/74/40/386417440.db2.gz XTMPIAVSMOBHCX-CYBMUJFWSA-N 0 3 236.359 2.552 20 0 BFADHN O[C@@H]1CC[C@@H]2CN([C@@H]3C=CCCC3)CC[C@@H]2C1 ZINC000451710050 386418603 /nfs/dbraw/zinc/41/86/03/386418603.db2.gz PCSBCJNRMPPESM-KBUPBQIOSA-N 0 3 235.371 2.578 20 0 BFADHN C[C@H](N[C@H]1CSC1(C)C)c1cncs1 ZINC000283161607 386424095 /nfs/dbraw/zinc/42/40/95/386424095.db2.gz IQAGNNPPDZYRJV-CBAPKCEASA-N 0 3 228.386 2.688 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@H](C)[C@H]2C)nn1C ZINC000414430310 386426711 /nfs/dbraw/zinc/42/67/11/386426711.db2.gz DUMCXRZIKCPDBV-YUTCNCBUSA-N 0 3 249.402 2.890 20 0 BFADHN CC[C@H](c1ccccc1)N(CC)CC(=O)N(C)C ZINC000299429117 386452366 /nfs/dbraw/zinc/45/23/66/386452366.db2.gz UNTMYNZSJNXSDI-CQSZACIVSA-N 0 3 248.370 2.548 20 0 BFADHN Cc1cn[nH]c1CN(C)[C@H](C)c1ccccc1 ZINC000290411236 386429727 /nfs/dbraw/zinc/42/97/27/386429727.db2.gz LIWSHKMIHVLPNB-GFCCVEGCSA-N 0 3 229.327 2.911 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2nccn2C(C)C)C1 ZINC000336713500 386429839 /nfs/dbraw/zinc/42/98/39/386429839.db2.gz SPVNXCQNNZAMMO-CYBMUJFWSA-N 0 3 235.375 2.942 20 0 BFADHN c1ccc(NC[C@@H]2CCCCN2C2CC2)nc1 ZINC000277367960 386430297 /nfs/dbraw/zinc/43/02/97/386430297.db2.gz HKWYMPLCGTUUAV-ZDUSSCGKSA-N 0 3 231.343 2.510 20 0 BFADHN C[C@H](N[C@@H]1CSC1(C)C)c1ccco1 ZINC000283422543 386434147 /nfs/dbraw/zinc/43/41/47/386434147.db2.gz RRHBKZQTMYGBBW-WCBMZHEXSA-N 0 3 211.330 2.824 20 0 BFADHN C[C@@]1(CNCc2ncc[nH]2)C[C@@H]1c1ccccc1 ZINC000339450225 386435957 /nfs/dbraw/zinc/43/59/57/386435957.db2.gz QFGGQGLIMJPIPP-HIFRSBDPSA-N 0 3 241.338 2.693 20 0 BFADHN COC[C@H](NC[C@@H]1CC[C@H](C)O1)c1ccccc1 ZINC000191050064 386467031 /nfs/dbraw/zinc/46/70/31/386467031.db2.gz CNRGFBCQIKGJHW-QEJZJMRPSA-N 0 3 249.354 2.531 20 0 BFADHN CCOc1ccccc1OCCN1C[C@H](C)[C@@H]1C ZINC000339568536 386468599 /nfs/dbraw/zinc/46/85/99/386468599.db2.gz XZNSAKMEIVWUOQ-STQMWFEESA-N 0 3 249.354 2.804 20 0 BFADHN Cc1ccc(F)cc1CN1C[C@H](C)[C@H]1C ZINC000339570822 386469824 /nfs/dbraw/zinc/46/98/24/386469824.db2.gz XZCFCXHOLYSMBV-WDEREUQCSA-N 0 3 207.292 2.974 20 0 BFADHN Cc1ccc([C@@H](O)CN[C@H](C)c2ccccc2)o1 ZINC000266813116 386470312 /nfs/dbraw/zinc/47/03/12/386470312.db2.gz WPSGMCFZULZLPZ-OCCSQVGLSA-N 0 3 245.322 2.972 20 0 BFADHN COc1cccc(CNCc2ccnc(C)c2)c1 ZINC000391176138 386471415 /nfs/dbraw/zinc/47/14/15/386471415.db2.gz HZKCSZFNSZWUDM-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN COCc1ccc(CN2C[C@H](C)[C@H]2C)cc1 ZINC000339576680 386471775 /nfs/dbraw/zinc/47/17/75/386471775.db2.gz LAUIOGPTCYVYFB-NWDGAFQWSA-N 0 3 219.328 2.673 20 0 BFADHN COCc1ccc(CN2C[C@@H](C)[C@H]2C)cc1 ZINC000339576682 386472182 /nfs/dbraw/zinc/47/21/82/386472182.db2.gz LAUIOGPTCYVYFB-VXGBXAGGSA-N 0 3 219.328 2.673 20 0 BFADHN COC[C@@H](C)CNCc1cc(C)ccc1F ZINC000227285569 386474069 /nfs/dbraw/zinc/47/40/69/386474069.db2.gz GOKXKBRIQKXOAC-NSHDSACASA-N 0 3 225.307 2.506 20 0 BFADHN C[C@H]1[C@H](C(F)(F)F)CCN1CC1=CCCOC1 ZINC000335425725 386477191 /nfs/dbraw/zinc/47/71/91/386477191.db2.gz VOCMKFGOPLSFBP-GXSJLCMTSA-N 0 3 249.276 2.606 20 0 BFADHN CCC[C@H](NC[C@@H](O)CC)c1cc(C)ccn1 ZINC000631630379 386480060 /nfs/dbraw/zinc/48/00/60/386480060.db2.gz GWIHOZVKOAQTQS-STQMWFEESA-N 0 3 236.359 2.592 20 0 BFADHN Cc1ccc(CNCc2ccnc(C)c2)cc1 ZINC000391202761 386484055 /nfs/dbraw/zinc/48/40/55/386484055.db2.gz QCDRLUMLYMQCAW-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN C[C@@H]1CN(CCO[C@H]2CCCC[C@H]2C)[C@H]1C ZINC000339582260 386484591 /nfs/dbraw/zinc/48/45/91/386484591.db2.gz QOMSMYGKQJAGOZ-MQYQWHSLSA-N 0 3 225.376 2.922 20 0 BFADHN Cc1ccoc1CNCCOc1ccccc1 ZINC000135127726 386485277 /nfs/dbraw/zinc/48/52/77/386485277.db2.gz XFBDHSDUGXDITH-UHFFFAOYSA-N 0 3 231.295 2.757 20 0 BFADHN COCc1ccc(CN[C@H]2CCC[C@@H]2F)cc1 ZINC000339584563 386487541 /nfs/dbraw/zinc/48/75/41/386487541.db2.gz OCIACGIUYXSRRW-KBPBESRZSA-N 0 3 237.318 2.813 20 0 BFADHN C[C@@H]1C[C@H]1CC(=O)Nc1ccccc1CN(C)C ZINC000519569796 386489889 /nfs/dbraw/zinc/48/98/89/386489889.db2.gz WLGAZEXDPPVDQE-YPMHNXCESA-N 0 3 246.354 2.733 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2C[C@@](C)(O)C2)cc1 ZINC000417350662 386491199 /nfs/dbraw/zinc/49/11/99/386491199.db2.gz AWDBEMLRRUXZKB-TUKIKUTGSA-N 0 3 233.355 2.813 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCC23CCC3)c2nccn21 ZINC000453374169 386492533 /nfs/dbraw/zinc/49/25/33/386492533.db2.gz XBBLYJLTOQJZBY-WOPDTQHZSA-N 0 3 231.343 2.811 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C[C@@](C)(O)C2)s1 ZINC000417355914 386492911 /nfs/dbraw/zinc/49/29/11/386492911.db2.gz OPQRQHGQDOOBHY-JBLDHEPKSA-N 0 3 225.357 2.621 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC[C@@H](C)[C@H]2C)nn1 ZINC000414446104 386495282 /nfs/dbraw/zinc/49/52/82/386495282.db2.gz NULVOOGMALSNAW-BNOWGMLFSA-N 0 3 247.386 2.947 20 0 BFADHN CC[C@H](N[C@H]1C[C@@](C)(O)C1)c1ccc(F)cc1 ZINC000417358534 386496106 /nfs/dbraw/zinc/49/61/06/386496106.db2.gz UFDDYKUXQUTJRW-MELADBBJSA-N 0 3 237.318 2.780 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1c[nH]nc1C ZINC000290999449 386496250 /nfs/dbraw/zinc/49/62/50/386496250.db2.gz VVQQOPIHLRAMNS-SNVBAGLBSA-N 0 3 209.337 2.729 20 0 BFADHN CC(C)CCCNCc1cn(C)nc1C(F)F ZINC000291015785 386499135 /nfs/dbraw/zinc/49/91/35/386499135.db2.gz AIFFFDVKQXEFSX-UHFFFAOYSA-N 0 3 245.317 2.884 20 0 BFADHN Cc1ccc(CN2C[C@H](C)N(C)[C@@H](C)C2)cc1 ZINC000339632442 386500336 /nfs/dbraw/zinc/50/03/36/386500336.db2.gz YWFMBNYVOYRLQS-KBPBESRZSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1cc(C)cc(CN2C[C@@H](C)N(C)[C@H](C)C2)c1 ZINC000339616447 386502097 /nfs/dbraw/zinc/50/20/97/386502097.db2.gz ACHZBUVAAPULSB-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1cnn(CCN(C)Cc2ccccc2C)c1 ZINC000336790224 386501944 /nfs/dbraw/zinc/50/19/44/386501944.db2.gz WCXVLDSWCSTRNJ-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN CCCCCN[C@H](C)c1cc(C(=O)OC)co1 ZINC000278238191 386504516 /nfs/dbraw/zinc/50/45/16/386504516.db2.gz KYYOPXGVUWLIGV-SNVBAGLBSA-N 0 3 239.315 2.907 20 0 BFADHN CCCCN(CC)Cc1ccc(OC)nc1 ZINC000267199301 386509725 /nfs/dbraw/zinc/50/97/25/386509725.db2.gz IYSWIAMCDFRXNZ-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN Cc1cnn(CCN2CC[C@@H]2c2ccccc2)c1 ZINC000336787562 386511843 /nfs/dbraw/zinc/51/18/43/386511843.db2.gz MEMSBVYJKUMEGO-OAHLLOKOSA-N 0 3 241.338 2.639 20 0 BFADHN Cc1cnc([C@@H](C)N2CC[C@@H](CC3CC3)C2)cn1 ZINC000339672152 386516224 /nfs/dbraw/zinc/51/62/24/386516224.db2.gz GJAWYYUDYAUYNY-OCCSQVGLSA-N 0 3 245.370 2.968 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1ccc(Cl)s1 ZINC000134018312 386520837 /nfs/dbraw/zinc/52/08/37/386520837.db2.gz VEYDHVPRBOFNJY-APPZFPTMSA-N 0 3 231.748 2.669 20 0 BFADHN CO[C@@](C)(CN[C@@H](C)c1cnccc1C)C1CC1 ZINC000291192927 386521034 /nfs/dbraw/zinc/52/10/34/386521034.db2.gz LMEDKYDFHKDAKZ-WFASDCNBSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@@H](O)CCCNCc1ccc(F)cc1Cl ZINC000227953328 386524157 /nfs/dbraw/zinc/52/41/57/386524157.db2.gz YFWVHRVWKRYCDB-SECBINFHSA-N 0 3 245.725 2.730 20 0 BFADHN Cc1cnccc1CCN1CCC12CCC2 ZINC000335452951 386525988 /nfs/dbraw/zinc/52/59/88/386525988.db2.gz PYUKVHACLNAMNI-UHFFFAOYSA-N 0 3 216.328 2.561 20 0 BFADHN C[C@H](O)CCCNCc1cccc(Cl)c1F ZINC000227961202 386526735 /nfs/dbraw/zinc/52/67/35/386526735.db2.gz SGYLACWDUSLPBU-VIFPVBQESA-N 0 3 245.725 2.730 20 0 BFADHN C[C@@H](O)CCCNCc1cc(F)cc(Cl)c1 ZINC000227956407 386527630 /nfs/dbraw/zinc/52/76/30/386527630.db2.gz RUBHLKZMADBMKJ-SECBINFHSA-N 0 3 245.725 2.730 20 0 BFADHN Cc1nc(CN[C@@H]2CCCC[C@@H]2C)co1 ZINC000647971007 386456246 /nfs/dbraw/zinc/45/62/46/386456246.db2.gz PANRZVZRYZQLKO-JOYOIKCWSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1nocc1CNC[C@@H]1C[C@H]1c1ccccc1 ZINC000339510816 386457867 /nfs/dbraw/zinc/45/78/67/386457867.db2.gz BQRGSPJKKBKBIG-ZFWWWQNUSA-N 0 3 242.322 2.876 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)cn1 ZINC000336723987 386458517 /nfs/dbraw/zinc/45/85/17/386458517.db2.gz HBPGWTCZXGBRKF-NQBHXWOUSA-N 0 3 233.359 2.651 20 0 BFADHN COC[C@@H](C)N1CCC[C@@H]1c1ccsc1 ZINC000266656894 386459553 /nfs/dbraw/zinc/45/95/53/386459553.db2.gz KTEZUPHKPLJTEU-ZYHUDNBSSA-N 0 3 225.357 2.920 20 0 BFADHN COC[C@@H](C)N1CCC[C@H]1c1ccsc1 ZINC000266656892 386459912 /nfs/dbraw/zinc/45/99/12/386459912.db2.gz KTEZUPHKPLJTEU-PWSUYJOCSA-N 0 3 225.357 2.920 20 0 BFADHN CC[C@@H](N[C@H]1COC(C)(C)C1)c1ccncc1 ZINC000417920760 386463260 /nfs/dbraw/zinc/46/32/60/386463260.db2.gz DRRLHEZFXVFJJR-CHWSQXEVSA-N 0 3 234.343 2.690 20 0 BFADHN CCc1cc(NC(=O)[C@@H](N)C2CCC2)ccc1C ZINC000399226606 386463743 /nfs/dbraw/zinc/46/37/43/386463743.db2.gz NHBDSSBZBUWZRC-AWEZNQCLSA-N 0 3 246.354 2.623 20 0 BFADHN CO[C@@H](CNC/C=C\c1ccc(F)cc1)C1CC1 ZINC000339525997 386465288 /nfs/dbraw/zinc/46/52/88/386465288.db2.gz FFYFWISECWXUAG-GWQWAINWSA-N 0 3 249.329 2.854 20 0 BFADHN Cc1ccoc1CNCC1(CO)CC2(CCC2)C1 ZINC000278750839 386577705 /nfs/dbraw/zinc/57/77/05/386577705.db2.gz WKFDYUZEPMMGPU-UHFFFAOYSA-N 0 3 249.354 2.620 20 0 BFADHN Cc1oncc1CN1CCCCC[C@@H]1C ZINC000335414673 386580617 /nfs/dbraw/zinc/58/06/17/386580617.db2.gz KIOFBJLHTPNNES-JTQLQIEISA-N 0 3 208.305 2.748 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1ccc(C2CC2)cc1F ZINC000291779536 386581316 /nfs/dbraw/zinc/58/13/16/386581316.db2.gz USDAKHSSORWSNW-MEBBXXQBSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1cc(CN([C@H](C)C(C)C)C2CC2)n(C)n1 ZINC000417705864 386581541 /nfs/dbraw/zinc/58/15/41/386581541.db2.gz VDNJHDGDSYVQGO-GFCCVEGCSA-N 0 3 235.375 2.737 20 0 BFADHN C[C@@H](NC[C@H]1CCSC1)c1ccc(F)cn1 ZINC000228555289 386585058 /nfs/dbraw/zinc/58/50/58/386585058.db2.gz YIIINZQEVQGRHK-NXEZZACHSA-N 0 3 240.347 2.624 20 0 BFADHN Cc1cc(CNCC2(C3CCC3)CCC2)nn1C ZINC000414459661 386589584 /nfs/dbraw/zinc/58/95/84/386589584.db2.gz MIGMRCPEOLWSGG-UHFFFAOYSA-N 0 3 247.386 2.789 20 0 BFADHN F[C@@H]1CCC[C@H](NCc2ccc3c(n2)CCC3)C1 ZINC000335420704 386591809 /nfs/dbraw/zinc/59/18/09/386591809.db2.gz DFMVFSKXSWIBKM-OLZOCXBDSA-N 0 3 248.345 2.941 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@@H]3OCC[C@@H]3C2)c1 ZINC000335423021 386596413 /nfs/dbraw/zinc/59/64/13/386596413.db2.gz KKOWRHJUXNBCLQ-DOMZBBRYSA-N 0 3 249.329 2.745 20 0 BFADHN CCN(CCCO)Cc1cc(Cl)cs1 ZINC000278472089 386530767 /nfs/dbraw/zinc/53/07/67/386530767.db2.gz QREJZKBXRRELND-UHFFFAOYSA-N 0 3 233.764 2.606 20 0 BFADHN Cc1cccc2nc(CN(C)C(C)(C)C)cn21 ZINC000335455084 386530802 /nfs/dbraw/zinc/53/08/02/386530802.db2.gz NZCFIIXJFGBFNY-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN COc1cc(C)c(NC2CCN(C)CC2)cc1C ZINC000278453458 386530903 /nfs/dbraw/zinc/53/09/03/386530903.db2.gz LUIJPQHEACDTOU-UHFFFAOYSA-N 0 3 248.370 2.818 20 0 BFADHN C[C@H](O)CCN(C)[C@@H]1CCc2ccc(F)cc21 ZINC000267399781 386536794 /nfs/dbraw/zinc/53/67/94/386536794.db2.gz ZINGNIAYVSEVFT-IINYFYTJSA-N 0 3 237.318 2.516 20 0 BFADHN Cc1cnccc1CNCCOc1ccccc1 ZINC000228670047 386596731 /nfs/dbraw/zinc/59/67/31/386596731.db2.gz FJTOHAJJGQTNDB-UHFFFAOYSA-N 0 3 242.322 2.559 20 0 BFADHN CCc1ncc(CN(C2CCC2)C2CCC2)cn1 ZINC000414451499 386541594 /nfs/dbraw/zinc/54/15/94/386541594.db2.gz JYGVVXGEYCKLLK-UHFFFAOYSA-N 0 3 245.370 2.946 20 0 BFADHN CCn1cncc1CN(C)C1CCC(C)CC1 ZINC000417684027 386544383 /nfs/dbraw/zinc/54/43/83/386544383.db2.gz OEKFGGKFKSDTGQ-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN CCC[C@@]1(CO)CCN(Cc2ccoc2C)C1 ZINC000414453715 386544940 /nfs/dbraw/zinc/54/49/40/386544940.db2.gz NHTKZKYBONAIHR-CQSZACIVSA-N 0 3 237.343 2.573 20 0 BFADHN CCC[C@]1(CO)CCN(Cc2ccoc2C)C1 ZINC000414453714 386545399 /nfs/dbraw/zinc/54/53/99/386545399.db2.gz NHTKZKYBONAIHR-AWEZNQCLSA-N 0 3 237.343 2.573 20 0 BFADHN F[C@@H]1CCN(C[C@H]2CCCCC2(F)F)C1 ZINC000335467340 386556822 /nfs/dbraw/zinc/55/68/22/386556822.db2.gz KPSDEHYUXMCCTL-NXEZZACHSA-N 0 3 221.266 2.856 20 0 BFADHN CC[C@@H](NC[C@@H](C)N(C)C)c1ccccc1F ZINC000163835536 386557182 /nfs/dbraw/zinc/55/71/82/386557182.db2.gz HILLEDIFSMVQCH-BXUZGUMPSA-N 0 3 238.350 2.817 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1ccoc1C ZINC000414454677 386559269 /nfs/dbraw/zinc/55/92/69/386559269.db2.gz DZRBLMMRFPRPJP-CHWSQXEVSA-N 0 3 223.316 2.635 20 0 BFADHN CO[C@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC000267606968 386560329 /nfs/dbraw/zinc/56/03/29/386560329.db2.gz AQAJHAZHBCQGCL-MFKMUULPSA-N 0 3 223.291 2.607 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@H]1C[C@@](C)(O)C1 ZINC000417361004 386561505 /nfs/dbraw/zinc/56/15/05/386561505.db2.gz YCIMXQPUVQNINJ-JMSVASOKSA-N 0 3 249.354 2.568 20 0 BFADHN FC1(F)CCCC[C@H](CNCc2cocn2)C1 ZINC000291644903 386564547 /nfs/dbraw/zinc/56/45/47/386564547.db2.gz CAHACPKMAGFBHY-JTQLQIEISA-N 0 3 244.285 2.980 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccnn1C(C)C ZINC000337153580 386620209 /nfs/dbraw/zinc/62/02/09/386620209.db2.gz MBARZCLGJVOYFO-LLVKDONJSA-N 0 3 209.337 2.694 20 0 BFADHN CCN(CCC(F)(F)F)C[C@@H](OC)C1CC1 ZINC000644920987 386621090 /nfs/dbraw/zinc/62/10/90/386621090.db2.gz QLDJDAVFXGDUCK-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2COc3ccccc32)[C@H]1C ZINC000336646159 386621471 /nfs/dbraw/zinc/62/14/71/386621471.db2.gz BCFLTZZDHZKLGF-URBCHYCLSA-N 0 3 217.312 2.754 20 0 BFADHN CN(CCCC(C)(C)C)Cc1cnn(C)c1 ZINC000337140908 386623913 /nfs/dbraw/zinc/62/39/13/386623913.db2.gz UWVGKYWDUPSFRC-UHFFFAOYSA-N 0 3 223.364 2.678 20 0 BFADHN CC(C)n1nccc1CN1C[C@@H](C)C[C@H](C)C1 ZINC000337141940 386623945 /nfs/dbraw/zinc/62/39/45/386623945.db2.gz WLMQZCJRDTWTBU-STQMWFEESA-N 0 3 235.375 2.942 20 0 BFADHN C[C@@H]1CN(Cc2cnns2)[C@H]2CCCC[C@H]12 ZINC000450711924 386625823 /nfs/dbraw/zinc/62/58/23/386625823.db2.gz FFVZPCKOMLXEPM-JLLWLGSASA-N 0 3 237.372 2.549 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccccn1)CC(C)C ZINC000417368041 386629758 /nfs/dbraw/zinc/62/97/58/386629758.db2.gz OMXAHODEWURYCA-CHWSQXEVSA-N 0 3 236.359 2.793 20 0 BFADHN CCCCCN(CC(N)=O)[C@H](C)c1ccccc1 ZINC000268236562 386633023 /nfs/dbraw/zinc/63/30/23/386633023.db2.gz ZXNWFVAQCQASMZ-CYBMUJFWSA-N 0 3 248.370 2.725 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN(C)C2CC2)o1 ZINC000179304113 386633934 /nfs/dbraw/zinc/63/39/34/386633934.db2.gz BCTQMJSVKAVGQH-UHFFFAOYSA-N 0 3 231.299 2.572 20 0 BFADHN Cc1nc([C@@H](C)N2CC[C@H](C3CCCC3)C2)n[nH]1 ZINC000335503586 386634936 /nfs/dbraw/zinc/63/49/36/386634936.db2.gz OJEIGGOVEMVDDC-MFKMUULPSA-N 0 3 248.374 2.686 20 0 BFADHN C[C@H](O)CN1[C@H](C)Cc2cc(F)ccc2[C@H]1C ZINC000337176073 386635582 /nfs/dbraw/zinc/63/55/82/386635582.db2.gz IMKOKCJRWMSDBX-OUAUKWLOSA-N 0 3 237.318 2.514 20 0 BFADHN COC(C)(C)[C@H](C)NCc1cccc(F)c1 ZINC000300967546 386636213 /nfs/dbraw/zinc/63/62/13/386636213.db2.gz IXOKHWZNFGWZGN-JTQLQIEISA-N 0 3 225.307 2.729 20 0 BFADHN CCc1ncc(CN[C@@H]2C[C@H]2CC(C)C)cn1 ZINC000414468022 386636541 /nfs/dbraw/zinc/63/65/41/386636541.db2.gz XFRDEYWBLROJJM-CHWSQXEVSA-N 0 3 233.359 2.563 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnn(C(C)C)c1 ZINC000179324487 386637649 /nfs/dbraw/zinc/63/76/49/386637649.db2.gz MPJPXSGLVUMSAS-LLVKDONJSA-N 0 3 209.337 2.694 20 0 BFADHN CN(CCCC(C)(C)C)C[C@H](O)C(F)(F)F ZINC000337194074 386645123 /nfs/dbraw/zinc/64/51/23/386645123.db2.gz VYDRRRVIBSEDOV-VIFPVBQESA-N 0 3 241.297 2.668 20 0 BFADHN CC[C@H](NCCC[C@H](C)O)c1nc(C)cs1 ZINC000450727226 386645603 /nfs/dbraw/zinc/64/56/03/386645603.db2.gz MCBYLKDQPTYOFJ-QWRGUYRKSA-N 0 3 242.388 2.653 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1cc(C)n(C)n1 ZINC000414471447 386647896 /nfs/dbraw/zinc/64/78/96/386647896.db2.gz QYDJRPIAMGOYOM-CHWSQXEVSA-N 0 3 235.375 2.644 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1cc(C)n(C)n1 ZINC000414471449 386648084 /nfs/dbraw/zinc/64/80/84/386648084.db2.gz QYDJRPIAMGOYOM-OLZOCXBDSA-N 0 3 235.375 2.644 20 0 BFADHN CC(C)C[C@H](C)CN1CCO[C@@H](C2CC2)C1 ZINC000337200794 386648515 /nfs/dbraw/zinc/64/85/15/386648515.db2.gz FHSMFSHBXOKQBX-GXTWGEPZSA-N 0 3 225.376 2.779 20 0 BFADHN CCc1ccc([C@H](C)NCCC[C@@H](C)O)o1 ZINC000336782829 386651066 /nfs/dbraw/zinc/65/10/66/386651066.db2.gz YSWXPAZEXPQCBL-MNOVXSKESA-N 0 3 225.332 2.654 20 0 BFADHN C[C@@H](O)CN[C@@H](C)c1cc2cccc(F)c2o1 ZINC000337181975 386651365 /nfs/dbraw/zinc/65/13/65/386651365.db2.gz BCMVOSLHXINMFP-BDAKNGLRSA-N 0 3 237.274 2.603 20 0 BFADHN C[C@H](NCc1cccs1)c1cc[nH]c(=O)c1 ZINC000336781624 386601713 /nfs/dbraw/zinc/60/17/13/386601713.db2.gz VDVZVFRYRXTSQQ-VIFPVBQESA-N 0 3 234.324 2.700 20 0 BFADHN Cc1ncc(CN[C@@H](C)Cc2ccsc2)cn1 ZINC000520205272 386602952 /nfs/dbraw/zinc/60/29/52/386602952.db2.gz GQUWOUQPVJUBCR-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN CCC1(C)CN(CCC(=O)Nc2ccccc2)C1 ZINC000291966289 386606136 /nfs/dbraw/zinc/60/61/36/386606136.db2.gz RSOJLHZKVSINSU-UHFFFAOYSA-N 0 3 246.354 2.747 20 0 BFADHN Cc1occc1CNC[C@@H]1COc2ccccc21 ZINC000414462616 386608131 /nfs/dbraw/zinc/60/81/31/386608131.db2.gz YPMWUEZQDBXDTD-CYBMUJFWSA-N 0 3 243.306 2.854 20 0 BFADHN Cc1nc(CN2CC[C@H](C)C2)sc1C ZINC000268005886 386608488 /nfs/dbraw/zinc/60/84/88/386608488.db2.gz FBWAVVLVSUDCAI-QMMMGPOBSA-N 0 3 210.346 2.602 20 0 BFADHN CCC1CCN(Cc2cncn2CC)CC1 ZINC000417739093 386614853 /nfs/dbraw/zinc/61/48/53/386614853.db2.gz PQHWITYVKHBEPD-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CC(C)n1nccc1CN(C)[C@H](C)C1CC1 ZINC000337124897 386615479 /nfs/dbraw/zinc/61/54/79/386615479.db2.gz DIGQKQOBVJXHOR-LLVKDONJSA-N 0 3 221.348 2.694 20 0 BFADHN CC(C)(C)CCCN1CCOC(C)(C)C1 ZINC000337125585 386616249 /nfs/dbraw/zinc/61/62/49/386616249.db2.gz FBRZDWXKWJYLKY-UHFFFAOYSA-N 0 3 213.365 2.924 20 0 BFADHN CO[C@H](C)CN1Cc2ccccc2[C@@H]1C ZINC000335520484 386659894 /nfs/dbraw/zinc/65/98/94/386659894.db2.gz XAVZXSPVOFBJLE-MNOVXSKESA-N 0 3 205.301 2.598 20 0 BFADHN CSC[C@@H]1CCCN(Cc2cc(C)no2)C1 ZINC000292429104 386668488 /nfs/dbraw/zinc/66/84/88/386668488.db2.gz NJVSAHISRCJQPT-LLVKDONJSA-N 0 3 240.372 2.558 20 0 BFADHN Cc1cnc(CNC[C@H]2CCC[C@@H]2C2CC2)n1C ZINC000414478070 386670505 /nfs/dbraw/zinc/67/05/05/386670505.db2.gz DAXIWUIVVVDGKT-ZIAGYGMSSA-N 0 3 247.386 2.644 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2C2CCC2)ncn1 ZINC000292433482 386670452 /nfs/dbraw/zinc/67/04/52/386670452.db2.gz MJXVANVCQTTYQO-CQSZACIVSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1ccnc(C)c1NC(=O)C(C)C(F)(F)F ZINC000335527250 386670454 /nfs/dbraw/zinc/67/04/54/386670454.db2.gz HDPJGHUEJARKJT-SSDOTTSWSA-N 0 3 246.232 2.835 20 0 BFADHN CCC[C@H](C)N1CCC[C@](O)(C(F)(F)F)C1 ZINC000337242287 386673037 /nfs/dbraw/zinc/67/30/37/386673037.db2.gz GKBIKKQDILHLOD-VHSXEESVSA-N 0 3 239.281 2.564 20 0 BFADHN CO[C@@H]1CCN(CCSC2CCCCC2)C1 ZINC000337241830 386673667 /nfs/dbraw/zinc/67/36/67/386673667.db2.gz GFOCTQYNBFGMCU-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN CCc1nc(C)c(CN2CCC[C@@H]2C)o1 ZINC000335529385 386674967 /nfs/dbraw/zinc/67/49/67/386674967.db2.gz PSYVITSSDKBRMV-VIFPVBQESA-N 0 3 208.305 2.530 20 0 BFADHN CCC[C@@H](C)N1CCN(c2cc(C)ccn2)CC1 ZINC000337246149 386677032 /nfs/dbraw/zinc/67/70/32/386677032.db2.gz JELBDNQKGQFEJN-CQSZACIVSA-N 0 3 247.386 2.701 20 0 BFADHN Cc1cccc2c1ncc(C)c2N(C)C[C@H](C)O ZINC000292483517 386678167 /nfs/dbraw/zinc/67/81/67/386678167.db2.gz ZROYNNUFHNCQIF-LBPRGKRZSA-N 0 3 244.338 2.669 20 0 BFADHN C[C@@H](NCC1Cc2ccccc2C1)c1ccon1 ZINC000520467201 386680543 /nfs/dbraw/zinc/68/05/43/386680543.db2.gz GUJRFNXKDHOSPI-LLVKDONJSA-N 0 3 242.322 2.740 20 0 BFADHN C[C@H](C[S@](C)=O)N(C)CCCC(C)(C)C ZINC000337214979 386656690 /nfs/dbraw/zinc/65/66/90/386656690.db2.gz XYKWSPCTELOINI-ABAIWWIYSA-N 0 3 233.421 2.512 20 0 BFADHN Cc1noc(CCCN(C)[C@@H](C)c2ccco2)n1 ZINC000268685485 386682092 /nfs/dbraw/zinc/68/20/92/386682092.db2.gz PNUZIQBRKPBPKW-JTQLQIEISA-N 0 3 249.314 2.597 20 0 BFADHN CCc1cc(NCC(C)(C)O)c2ccccc2n1 ZINC000124585297 386658897 /nfs/dbraw/zinc/65/88/97/386658897.db2.gz DEATZLAEQQMKIH-UHFFFAOYSA-N 0 3 244.338 2.980 20 0 BFADHN CO[C@@H](C)CN1Cc2ccccc2[C@@H]1C ZINC000335520485 386659010 /nfs/dbraw/zinc/65/90/10/386659010.db2.gz XAVZXSPVOFBJLE-QWRGUYRKSA-N 0 3 205.301 2.598 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@@H](C)C2)n(C)n1 ZINC000417776216 386709947 /nfs/dbraw/zinc/70/99/47/386709947.db2.gz AERGXCJPCNKPNY-VXGBXAGGSA-N 0 3 235.375 2.597 20 0 BFADHN CC[C@H](NCC[C@@H](C)O)c1cc(F)ccc1F ZINC000268871446 386710661 /nfs/dbraw/zinc/71/06/61/386710661.db2.gz IXTALTMRNFALNK-RNCFNFMXSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CN(C3CC3)C[C@H]2C)o1 ZINC000268704449 386684070 /nfs/dbraw/zinc/68/40/70/386684070.db2.gz DFBWMUKVBCFLCM-OSMZGAPFSA-N 0 3 248.370 2.721 20 0 BFADHN C[C@H](N[C@@H]1[C@H]2CCO[C@H]2C1(C)C)c1ccccn1 ZINC000246928783 386684582 /nfs/dbraw/zinc/68/45/82/386684582.db2.gz NICAWQVMEODXKI-OIMNJJJWSA-N 0 3 246.354 2.546 20 0 BFADHN CCCCN(C(=O)[C@@H]1CCCN1C)[C@@H](C)CC ZINC000356999619 386684862 /nfs/dbraw/zinc/68/48/62/386684862.db2.gz VMTXTOGVPZSITD-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN CCn1cncc1CN(C)CCC(C)(C)C ZINC000648059712 386686236 /nfs/dbraw/zinc/68/62/36/386686236.db2.gz DNWLQVGTBZKBAO-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1ccc2c(c1)COC2 ZINC000268722150 386687902 /nfs/dbraw/zinc/68/79/02/386687902.db2.gz PJAMNMLEAOYUFB-IAQYHMDHSA-N 0 3 247.338 2.546 20 0 BFADHN CO[C@H](CN[C@H](C)c1cncs1)CC(C)C ZINC000417381573 386688837 /nfs/dbraw/zinc/68/88/37/386688837.db2.gz VNGZQSLIZJJIIU-MNOVXSKESA-N 0 3 242.388 2.855 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@@H](C)[C@@H]2C)o1 ZINC000357018886 386690081 /nfs/dbraw/zinc/69/00/81/386690081.db2.gz KQVQBPVGSFQCIQ-MIMYLULJSA-N 0 3 208.305 2.774 20 0 BFADHN CCCCN(C)Cc1ccc(F)nc1C ZINC000279520810 386692820 /nfs/dbraw/zinc/69/28/20/386692820.db2.gz OJGBPFXPABTKBU-UHFFFAOYSA-N 0 3 210.296 2.761 20 0 BFADHN Cc1csc([C@H](C)NCCC[C@H]2CCO2)n1 ZINC000638504038 386693840 /nfs/dbraw/zinc/69/38/40/386693840.db2.gz BUPOMMZBESMQBF-QWRGUYRKSA-N 0 3 240.372 2.671 20 0 BFADHN COc1cc(C)nc(CN2C[C@@H](C)C[C@H](C)C2)c1 ZINC000339838681 386693865 /nfs/dbraw/zinc/69/38/65/386693865.db2.gz IETALWMEGNYNKL-RYUDHWBXSA-N 0 3 248.370 2.877 20 0 BFADHN c1nc(CNC[C@H](c2ccccc2)C2CC2)co1 ZINC000292591586 386696411 /nfs/dbraw/zinc/69/64/11/386696411.db2.gz GRXATWRZTUAHMF-OAHLLOKOSA-N 0 3 242.322 2.958 20 0 BFADHN CCOc1ccccc1CN[C@H](C)[C@H](C)OC ZINC000268814926 386697681 /nfs/dbraw/zinc/69/76/81/386697681.db2.gz FWIYIBIBHBLUBW-NEPJUHHUSA-N 0 3 237.343 2.598 20 0 BFADHN CCOc1cccc([C@H](C)N[C@@H]2CCOC2)c1 ZINC000268812588 386698705 /nfs/dbraw/zinc/69/87/05/386698705.db2.gz BFXYCYOQRLKING-WCQYABFASA-N 0 3 235.327 2.525 20 0 BFADHN CCn1cncc1CN(CC1CC1)CC1CC1 ZINC000417771801 386700650 /nfs/dbraw/zinc/70/06/50/386700650.db2.gz GYUBPWCKQDEEMO-UHFFFAOYSA-N 0 3 233.359 2.525 20 0 BFADHN COCC[C@H](C)CNCc1c(F)cccc1F ZINC000414486834 386704493 /nfs/dbraw/zinc/70/44/93/386704493.db2.gz UQOUNTFPUXTDPB-JTQLQIEISA-N 0 3 243.297 2.727 20 0 BFADHN C[C@@H](NCC[C@H](C)O)c1ccc2ccccc2n1 ZINC000268889207 386712269 /nfs/dbraw/zinc/71/22/69/386712269.db2.gz NQKWWBXERWPHAI-NWDGAFQWSA-N 0 3 244.338 2.656 20 0 BFADHN Cc1nc(CN[C@@H](C)c2cccc(C)c2C)n[nH]1 ZINC000292671741 386706197 /nfs/dbraw/zinc/70/61/97/386706197.db2.gz BNOHPYMIHAMGNK-NSHDSACASA-N 0 3 244.342 2.581 20 0 BFADHN Fc1cccc(CN[C@H]2CCCc3cn[nH]c32)c1 ZINC000268883868 386712334 /nfs/dbraw/zinc/71/23/34/386712334.db2.gz YMLZJXFGKZFQOT-ZDUSSCGKSA-N 0 3 245.301 2.716 20 0 BFADHN Cc1ccc2nc(CN[C@@H](C)C3CCC3)cn2c1 ZINC000268853913 386708585 /nfs/dbraw/zinc/70/85/85/386708585.db2.gz HYCGQTAJNGNMHO-LBPRGKRZSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1ccoc1CNC(C)(C)COC(C)C ZINC000396367478 386732087 /nfs/dbraw/zinc/73/20/87/386732087.db2.gz PGNCKLGQCXGVFY-UHFFFAOYSA-N 0 3 225.332 2.881 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H]1CCc2c1cccc2F ZINC000268976410 386732844 /nfs/dbraw/zinc/73/28/44/386732844.db2.gz GYOBJNRBYPRNMA-RBZYPMLTSA-N 0 3 237.318 2.826 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1ccc(C)o1)C1CC1 ZINC000414496896 386737411 /nfs/dbraw/zinc/73/74/11/386737411.db2.gz DZWYZIZJXRDCQV-BXUZGUMPSA-N 0 3 237.343 2.881 20 0 BFADHN CC(F)(F)CCNCc1cccs1 ZINC000292721895 386715557 /nfs/dbraw/zinc/71/55/57/386715557.db2.gz PHOGEZBGHVGRLB-UHFFFAOYSA-N 0 3 205.273 2.883 20 0 BFADHN c1n[nH]c2c1CCN([C@@H]1C=CCCCCC1)C2 ZINC000645100468 386715936 /nfs/dbraw/zinc/71/59/36/386715936.db2.gz KGFWRBKGPGRCPB-CYBMUJFWSA-N 0 3 231.343 2.657 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1cc(C)nn1C ZINC000417786292 386719585 /nfs/dbraw/zinc/71/95/85/386719585.db2.gz FXEBDEQIRSQQQX-CYBMUJFWSA-N 0 3 235.375 2.883 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2CCCC[C@@H]2O)o1 ZINC000268925607 386720845 /nfs/dbraw/zinc/72/08/45/386720845.db2.gz XXFAXQRFNAHDHZ-UHTWSYAYSA-N 0 3 237.343 2.796 20 0 BFADHN c1coc(CN2CCOC[C@@H](C3CCC3)C2)c1 ZINC000292754594 386720959 /nfs/dbraw/zinc/72/09/59/386720959.db2.gz ISOOAEYINQWYMA-ZDUSSCGKSA-N 0 3 235.327 2.528 20 0 BFADHN Cc1nn(C)c(C)c1CN1CC(C)(C)[C@H]1C(C)C ZINC000353744453 386721899 /nfs/dbraw/zinc/72/18/99/386721899.db2.gz FNTDCYPGPXAHLO-CQSZACIVSA-N 0 3 249.402 2.903 20 0 BFADHN C[C@H](CNCC1(F)CC1)Oc1ccccc1F ZINC000526982323 386722108 /nfs/dbraw/zinc/72/21/08/386722108.db2.gz RHXPMXOKMXZHQM-SNVBAGLBSA-N 0 3 241.281 2.685 20 0 BFADHN CCN(CCC1CCOCC1)Cc1ccccn1 ZINC000292778928 386723002 /nfs/dbraw/zinc/72/30/02/386723002.db2.gz YZIQRQPGMSTKOS-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@@H]2C2CC2)nn1C ZINC000414489494 386724815 /nfs/dbraw/zinc/72/48/15/386724815.db2.gz WGPSXIFLXZOSJD-UKRRQHHQSA-N 0 3 247.386 2.644 20 0 BFADHN CCO[C@@H](CNCC1(F)CC1)c1ccccc1 ZINC000526994498 386725470 /nfs/dbraw/zinc/72/54/70/386725470.db2.gz FVTRDIAGFBSTGU-ZDUSSCGKSA-N 0 3 237.318 2.856 20 0 BFADHN CCOC[C@@H](C)N[C@H]1CCOc2ccccc21 ZINC000044689769 386727164 /nfs/dbraw/zinc/72/71/64/386727164.db2.gz OLJWZUJJPUKMLL-YPMHNXCESA-N 0 3 235.327 2.525 20 0 BFADHN COc1cc(CN(C(C)C)C2CCC2)ccn1 ZINC000339865272 386727755 /nfs/dbraw/zinc/72/77/55/386727755.db2.gz GTEDRRYAXISQOE-UHFFFAOYSA-N 0 3 234.343 2.853 20 0 BFADHN Fc1ccc2c(c1)[C@@H](N[C@@H]1CCCOC1)CCC2 ZINC000279862096 386749364 /nfs/dbraw/zinc/74/93/64/386749364.db2.gz SNMZWFDRIXMJCF-HIFRSBDPSA-N 0 3 249.329 2.972 20 0 BFADHN CC[C@H](CNCc1ccsc1Cl)OC ZINC000336663943 386752437 /nfs/dbraw/zinc/75/24/37/386752437.db2.gz UKUVHQMWBSPDGU-SECBINFHSA-N 0 3 233.764 2.916 20 0 BFADHN CC1(C)SC[C@@H]1NCc1ccc2c[nH]nc2c1 ZINC000334154451 386753210 /nfs/dbraw/zinc/75/32/10/386753210.db2.gz RMINQZUONQZROS-LBPRGKRZSA-N 0 3 247.367 2.547 20 0 BFADHN C[C@H]1OCC[C@H]1N[C@H]1CCCc2ccc(F)cc21 ZINC000279904449 386753796 /nfs/dbraw/zinc/75/37/96/386753796.db2.gz WFADQPWHXGGJSO-KMUNFCNLSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@H]1CCCc2ccc(F)cc21 ZINC000279904460 386755399 /nfs/dbraw/zinc/75/53/99/386755399.db2.gz WFADQPWHXGGJSO-VQISRLSMSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H](CNCc1ccsc1Cl)C[C@H](C)O ZINC000336761167 386756475 /nfs/dbraw/zinc/75/64/75/386756475.db2.gz MYTBAQXITUIGEY-BDAKNGLRSA-N 0 3 247.791 2.898 20 0 BFADHN CC1(C)SC[C@H]1NCc1cc(F)cc(F)c1 ZINC000282834262 386760867 /nfs/dbraw/zinc/76/08/67/386760867.db2.gz OGSZBHUUNRHEHD-LLVKDONJSA-N 0 3 243.322 2.948 20 0 BFADHN C[C@H](NCc1cscn1)[C@H]1CC1(C)C ZINC000336663897 386761972 /nfs/dbraw/zinc/76/19/72/386761972.db2.gz UJWMBYSETUDWMY-WCBMZHEXSA-N 0 3 210.346 2.667 20 0 BFADHN CO[C@@H](C)CNCc1cccn1CCC(C)C ZINC000191142132 386762128 /nfs/dbraw/zinc/76/21/28/386762128.db2.gz QHKBXPGDNPVQFL-ZDUSSCGKSA-N 0 3 238.375 2.659 20 0 BFADHN Cc1cc(CN2CCCC(C)(C)CC2)n(C)n1 ZINC000417801597 386764944 /nfs/dbraw/zinc/76/49/44/386764944.db2.gz ZGZSAORDLXORCR-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CCOc1ccc(CN[C@H]2COC(C)(C)C2)cc1 ZINC000396512732 386769293 /nfs/dbraw/zinc/76/92/93/386769293.db2.gz CBPPMZYFBMYRMO-CYBMUJFWSA-N 0 3 249.354 2.742 20 0 BFADHN Cn1ccc(CN2CCC[C@@H]2CC(C)(C)C)n1 ZINC000352868503 386789671 /nfs/dbraw/zinc/78/96/71/386789671.db2.gz SPLBGKCLYHYARJ-CYBMUJFWSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1ccc(CN(C)C[C@@H](O)CCC(C)C)o1 ZINC000280224307 386789723 /nfs/dbraw/zinc/78/97/23/386789723.db2.gz GPYHDYUJMOPZGL-ZDUSSCGKSA-N 0 3 239.359 2.817 20 0 BFADHN COC[C@H]1CCCCN(Cc2ccc(C)nc2)C1 ZINC000344885167 386790674 /nfs/dbraw/zinc/79/06/74/386790674.db2.gz FJKUSCCPKSUYCU-HNNXBMFYSA-N 0 3 248.370 2.639 20 0 BFADHN CO[C@@H](CNCc1ccc2occc2c1)C1CC1 ZINC000293213722 386790862 /nfs/dbraw/zinc/79/08/62/386790862.db2.gz YJNGFWZWYWLQMR-HNNXBMFYSA-N 0 3 245.322 2.947 20 0 BFADHN c1cc(CN[C@@]23C[C@@H]2CCCC3)nc2c1CCC2 ZINC000639396768 386792614 /nfs/dbraw/zinc/79/26/14/386792614.db2.gz WTAVLJAUIISABY-BBRMVZONSA-N 0 3 242.366 2.993 20 0 BFADHN CCc1ccc(CNCC=C(C)C)cn1 ZINC000336761993 386792661 /nfs/dbraw/zinc/79/26/61/386792661.db2.gz NINSMIUBPCSNNN-UHFFFAOYSA-N 0 3 204.317 2.700 20 0 BFADHN CC(C)(CCO)CN[C@@H]1CCCc2occc21 ZINC000192916974 386795753 /nfs/dbraw/zinc/79/57/53/386795753.db2.gz PLLWSIQPRJNRHT-GFCCVEGCSA-N 0 3 237.343 2.655 20 0 BFADHN CCCCCN1CCN(c2cc(C)ccn2)CC1 ZINC000165951459 386795806 /nfs/dbraw/zinc/79/58/06/386795806.db2.gz FLPAUWNMESPEKL-UHFFFAOYSA-N 0 3 247.386 2.702 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccc(O)c1)[C@H]1CCCO1 ZINC000248529749 386771148 /nfs/dbraw/zinc/77/11/48/386771148.db2.gz LHNQVCQGXFVRHU-MISXGVKJSA-N 0 3 235.327 2.610 20 0 BFADHN CCC[C@@H](NCc1ccc(C)nn1)C1CCC1 ZINC000396543082 386772756 /nfs/dbraw/zinc/77/27/56/386772756.db2.gz LVTIJMYXWVGNBC-CQSZACIVSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1ccncc1CN1CCCO[C@@H](C(C)C)C1 ZINC000293081984 386776054 /nfs/dbraw/zinc/77/60/54/386776054.db2.gz JTVPVEQVMKALAZ-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN CC[C@@H](C)CNCc1cn2cc(C)ccc2n1 ZINC000357416337 386779934 /nfs/dbraw/zinc/77/99/34/386779934.db2.gz MPOBKUAQSLUHPL-LLVKDONJSA-N 0 3 231.343 2.778 20 0 BFADHN Cc1ccc(CNCC2CCC(C)CC2)nn1 ZINC000396575681 386780134 /nfs/dbraw/zinc/78/01/34/386780134.db2.gz PPCFFDVZWFWVJN-UHFFFAOYSA-N 0 3 233.359 2.701 20 0 BFADHN CO[C@@H](CN[C@@H]1COC(C)(C)C1)c1ccccc1 ZINC000396579962 386780863 /nfs/dbraw/zinc/78/08/63/386780863.db2.gz HJIILHHNJBXOKL-KBPBESRZSA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@H]1CN(C)CCN1CCc1cccc(C)c1 ZINC000520725051 386781805 /nfs/dbraw/zinc/78/18/05/386781805.db2.gz IPDRDGCISMQGJT-INIZCTEOSA-N 0 3 246.398 2.564 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2ccc(C)nn2)C1 ZINC000396574068 386782692 /nfs/dbraw/zinc/78/26/92/386782692.db2.gz PCLOGRXICBNFFM-OLZOCXBDSA-N 0 3 233.359 2.843 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2ccc(C)nn2)C1 ZINC000396574065 386782822 /nfs/dbraw/zinc/78/28/22/386782822.db2.gz PCLOGRXICBNFFM-CHWSQXEVSA-N 0 3 233.359 2.843 20 0 BFADHN CC(C)(CNCc1cccc(O)c1)OCC1CC1 ZINC000414505775 386787219 /nfs/dbraw/zinc/78/72/19/386787219.db2.gz AOXLEOJGSRZYJN-UHFFFAOYSA-N 0 3 249.354 2.687 20 0 BFADHN CC(C)[C@H]1N(Cc2ccnn2C)CC12CC=CC2 ZINC000648072148 386787457 /nfs/dbraw/zinc/78/74/57/386787457.db2.gz ORLLXEOFNPPRIR-CQSZACIVSA-N 0 3 245.370 2.597 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C(C)(C)C2)n(C)n1 ZINC000417980271 386788213 /nfs/dbraw/zinc/78/82/13/386788213.db2.gz BBEBIUXBSUCLEK-LLVKDONJSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1cnc(CNC2C[C@H](C)C[C@@H](C)C2)n1C ZINC000341006018 386816312 /nfs/dbraw/zinc/81/63/12/386816312.db2.gz GNNSCGOLIJFCQP-GHMZBOCLSA-N 0 3 235.375 2.643 20 0 BFADHN CCOc1ccccc1CN[C@H]1CC[C@@H](OC)C1 ZINC000190930325 386816777 /nfs/dbraw/zinc/81/67/77/386816777.db2.gz JMPCNPGNVNJHGX-UONOGXRCSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1cnc(CNCC(C)(C)C(C)C)n1C ZINC000336671925 386817322 /nfs/dbraw/zinc/81/73/22/386817322.db2.gz NVGNKOOBMIIBAC-UHFFFAOYSA-N 0 3 223.364 2.500 20 0 BFADHN Cc1cnc(CN[C@@H](C)Cc2cccs2)n1C ZINC000341005979 386817537 /nfs/dbraw/zinc/81/75/37/386817537.db2.gz GFPFUIZKSJDLBK-JTQLQIEISA-N 0 3 249.383 2.511 20 0 BFADHN C[C@@H]1CN(CCCOc2ccccc2)[C@H]1C ZINC000293444526 386819469 /nfs/dbraw/zinc/81/94/69/386819469.db2.gz OVIAOLNRVYVCAD-OLZOCXBDSA-N 0 3 219.328 2.796 20 0 BFADHN Clc1ccc(CN[C@@H]2C[C@H]3OCCC[C@H]23)o1 ZINC000336668999 386798633 /nfs/dbraw/zinc/79/86/33/386798633.db2.gz GMISTQSXELCTKT-GMTAPVOTSA-N 0 3 241.718 2.590 20 0 BFADHN CC(C)[C@H]1N(Cc2cncn2C)CC12CC=CC2 ZINC000648072542 386800442 /nfs/dbraw/zinc/80/04/42/386800442.db2.gz HVSKYFFYWADVJP-CQSZACIVSA-N 0 3 245.370 2.597 20 0 BFADHN CSCCCN(C)Cc1cnccc1C ZINC000280318451 386805336 /nfs/dbraw/zinc/80/53/36/386805336.db2.gz GXTMJWUEEUAWSD-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN CCc1nocc1CNC[C@H](C)c1ccncc1 ZINC000645160849 386807340 /nfs/dbraw/zinc/80/73/40/386807340.db2.gz OMLQPRGSQNTQDN-NSHDSACASA-N 0 3 245.326 2.525 20 0 BFADHN C[C@H](O)CNC1(c2ccc(Cl)cc2)CCC1 ZINC000192994576 386809591 /nfs/dbraw/zinc/80/95/91/386809591.db2.gz LKIITQDUXYXSQO-JTQLQIEISA-N 0 3 239.746 2.690 20 0 BFADHN c1ccc(OCCCCN2CCCOCC2)cc1 ZINC000357619013 386814842 /nfs/dbraw/zinc/81/48/42/386814842.db2.gz BIXLQFZHDFMIAN-UHFFFAOYSA-N 0 3 249.354 2.568 20 0 BFADHN CO[C@]1(C)CCCN(Cc2cncs2)CC1 ZINC000280423096 386815370 /nfs/dbraw/zinc/81/53/70/386815370.db2.gz ZFPNKFTVYGHRNI-GFCCVEGCSA-N 0 3 240.372 2.534 20 0 BFADHN COC[C@@H]1CCN1Cc1ccc(C)c(C)c1 ZINC000293422245 386815524 /nfs/dbraw/zinc/81/55/24/386815524.db2.gz ANPWEZMEHRMYAE-AWEZNQCLSA-N 0 3 219.328 2.524 20 0 BFADHN Cc1cnc(CN[C@H](C)Cc2cccs2)n1C ZINC000341005980 386815759 /nfs/dbraw/zinc/81/57/59/386815759.db2.gz GFPFUIZKSJDLBK-SNVBAGLBSA-N 0 3 249.383 2.511 20 0 BFADHN Cc1cnc(CNC[C@H]2CCCC[C@H]2C)n1C ZINC000341040382 386825571 /nfs/dbraw/zinc/82/55/71/386825571.db2.gz FOJTWJLQGDROAV-DGCLKSJQSA-N 0 3 235.375 2.644 20 0 BFADHN COC[C@H](C)CNCc1sccc1Cl ZINC000193050460 386826036 /nfs/dbraw/zinc/82/60/36/386826036.db2.gz UDXWYVXSLXGOQZ-MRVPVSSYSA-N 0 3 233.764 2.774 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1conc1C ZINC000293512248 386827837 /nfs/dbraw/zinc/82/78/37/386827837.db2.gz AEPVUJMGOFWKRN-NSHDSACASA-N 0 3 228.361 2.556 20 0 BFADHN Cc1cnc(CN[C@@H]2C[C@H]2C2CCCCC2)n1C ZINC000341042441 386828250 /nfs/dbraw/zinc/82/82/50/386828250.db2.gz HWYDHKZPXOAWKJ-UONOGXRCSA-N 0 3 247.386 2.787 20 0 BFADHN Cc1cnc(CNC2CCC(C)(C)CC2)n1C ZINC000341044128 386829146 /nfs/dbraw/zinc/82/91/46/386829146.db2.gz KCCCOIBUMDLLTI-UHFFFAOYSA-N 0 3 235.375 2.787 20 0 BFADHN C[C@@H]1CSCCN1C[C@@H]1CCC(F)(F)C1 ZINC000334776115 386829254 /nfs/dbraw/zinc/82/92/54/386829254.db2.gz GINIUNBKDBCPCR-NXEZZACHSA-N 0 3 235.343 2.859 20 0 BFADHN Cc1cnc(CN[C@@H](C)C2CCCCC2)n1C ZINC000341051655 386829424 /nfs/dbraw/zinc/82/94/24/386829424.db2.gz RULHSBUPGGLMIN-LBPRGKRZSA-N 0 3 235.375 2.787 20 0 BFADHN CCC(O)(CC)CN[C@@H](C)c1cnccc1C ZINC000357784584 386841928 /nfs/dbraw/zinc/84/19/28/386841928.db2.gz MOMBDQHJYKACPY-LBPRGKRZSA-N 0 3 236.359 2.592 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2cnccc2C)C1(C)C ZINC000357796280 386845494 /nfs/dbraw/zinc/84/54/94/386845494.db2.gz QESWXXOSRQSFJJ-FPMFFAJLSA-N 0 3 248.370 2.854 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2cnccc2C)C1(C)C ZINC000357796282 386846483 /nfs/dbraw/zinc/84/64/83/386846483.db2.gz QESWXXOSRQSFJJ-IACUBPJLSA-N 0 3 248.370 2.854 20 0 BFADHN C[C@@H]1CCCN(Cc2cnc(C3CC3)nc2)CC1 ZINC000334788159 386851153 /nfs/dbraw/zinc/85/11/53/386851153.db2.gz OJRBZYABIDMMAO-GFCCVEGCSA-N 0 3 245.370 2.976 20 0 BFADHN COC[C@H]1CCN1C[C@H](C)c1ccc(F)cc1 ZINC000293655717 386854143 /nfs/dbraw/zinc/85/41/43/386854143.db2.gz NIIQGVIHPNPGMY-SMDDNHRTSA-N 0 3 237.318 2.650 20 0 BFADHN Cc1nocc1CNC[C@@H](C)Oc1ccccc1 ZINC000293665495 386856532 /nfs/dbraw/zinc/85/65/32/386856532.db2.gz UJMYLJSMLFDHHW-LLVKDONJSA-N 0 3 246.310 2.540 20 0 BFADHN COc1c(O)cccc1CNC1(C(C)C)CC1 ZINC000293675147 386858605 /nfs/dbraw/zinc/85/86/05/386858605.db2.gz PDJWPWOOBMMEDS-UHFFFAOYSA-N 0 3 235.327 2.679 20 0 BFADHN COc1c(O)cccc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000425345589 386859054 /nfs/dbraw/zinc/85/90/54/386859054.db2.gz AFTIEJACKZPCAX-QWHCGFSZSA-N 0 3 247.338 2.679 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cnc(C3CC3)nc2)C1 ZINC000334793428 386859573 /nfs/dbraw/zinc/85/95/73/386859573.db2.gz XUJKNKGDUYFTJZ-TXEJJXNPSA-N 0 3 245.370 2.832 20 0 BFADHN Cc1[nH]ncc1CN1Cc2ccc(Cl)cc2C1 ZINC000334792996 386859705 /nfs/dbraw/zinc/85/97/05/386859705.db2.gz PMCMIUKMAUZQMO-UHFFFAOYSA-N 0 3 247.729 2.887 20 0 BFADHN Cc1noc(C2CN(C[C@H]3CCCC[C@H]3C)C2)n1 ZINC000334793325 386860016 /nfs/dbraw/zinc/86/00/16/386860016.db2.gz ZKYWLILNDJIKBL-ZYHUDNBSSA-N 0 3 249.358 2.604 20 0 BFADHN CC1(C)CN(Cc2ccon2)[C@@H]2CCC[C@H]21 ZINC000335744231 386863400 /nfs/dbraw/zinc/86/34/00/386863400.db2.gz CVELFGSPXJORKH-VXGBXAGGSA-N 0 3 220.316 2.685 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@H]1C=C[C@@H](CO)C1)CC2 ZINC000341247735 386868151 /nfs/dbraw/zinc/86/81/51/386868151.db2.gz SSANPMNTTHBTSL-IVMMDQJWSA-N 0 3 243.350 2.509 20 0 BFADHN CO[C@@H]1CCC[C@@H]1CNCc1ccccc1F ZINC000341255809 386868203 /nfs/dbraw/zinc/86/82/03/386868203.db2.gz HTXJCKWBEDYYMN-TZMCWYRMSA-N 0 3 237.318 2.730 20 0 BFADHN COC[C@H]1CCN1Cc1cccc(C)c1C ZINC000293725940 386869471 /nfs/dbraw/zinc/86/94/71/386869471.db2.gz UJJALKWVKKRULH-CQSZACIVSA-N 0 3 219.328 2.524 20 0 BFADHN COC[C@@H]1CCN1Cc1cccc(C)c1C ZINC000293725938 386869771 /nfs/dbraw/zinc/86/97/71/386869771.db2.gz UJJALKWVKKRULH-AWEZNQCLSA-N 0 3 219.328 2.524 20 0 BFADHN Cc1ccc2nc(CNCC3(C)CC3)cn2c1 ZINC000341260594 386870258 /nfs/dbraw/zinc/87/02/58/386870258.db2.gz OMFCCYJHIFKDQN-UHFFFAOYSA-N 0 3 229.327 2.532 20 0 BFADHN Cc1ccc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)nn1 ZINC000334836157 386875702 /nfs/dbraw/zinc/87/57/02/386875702.db2.gz WVKDRLAFBKVQTQ-ZIAGYGMSSA-N 0 3 245.370 2.796 20 0 BFADHN C[C@@H]1C[C@H](C)CCN(Cc2ccno2)C1 ZINC000335751764 386877581 /nfs/dbraw/zinc/87/75/81/386877581.db2.gz OCWQGNZDFPAAGJ-GHMZBOCLSA-N 0 3 208.305 2.543 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1ccncc1 ZINC000336744760 386879944 /nfs/dbraw/zinc/87/99/44/386879944.db2.gz VFQABWXFKRRCOM-JTQLQIEISA-N 0 3 232.249 2.902 20 0 BFADHN Cc1occc1CN[C@H](C)Cc1ccncc1 ZINC000358017578 386880886 /nfs/dbraw/zinc/88/08/86/386880886.db2.gz HPEOIVBUZYPZAY-LLVKDONJSA-N 0 3 230.311 2.704 20 0 BFADHN Cc1sccc1CN1CC[C@H](n2cccn2)C1 ZINC000293802559 386880946 /nfs/dbraw/zinc/88/09/46/386880946.db2.gz DJTDVHXLPLZVEL-ZDUSSCGKSA-N 0 3 247.367 2.700 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2ccoc2C)C1(C)C ZINC000358022399 386880942 /nfs/dbraw/zinc/88/09/42/386880942.db2.gz PDVVDVIEVXHUPV-OCCSQVGLSA-N 0 3 237.343 2.881 20 0 BFADHN CC[C@H](NCCC1(C)CC1)c1ccn(C)n1 ZINC000336739503 386884004 /nfs/dbraw/zinc/88/40/04/386884004.db2.gz PFJKMFLGYBNEFP-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CCN(CCC1(OC)CCC1)Cc1ccncc1 ZINC000293811529 386884108 /nfs/dbraw/zinc/88/41/08/386884108.db2.gz OFJFIKIGMPLUMB-UHFFFAOYSA-N 0 3 248.370 2.863 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1ccc(F)cn1 ZINC000335756287 386884828 /nfs/dbraw/zinc/88/48/28/386884828.db2.gz UWWBLOFQSONCLE-ZWNOBZJWSA-N 0 3 222.307 2.841 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cnc2ccccc2c1 ZINC000358059836 386886644 /nfs/dbraw/zinc/88/66/44/386886644.db2.gz JKYIJFBYUVKEIN-VXGBXAGGSA-N 0 3 244.338 2.748 20 0 BFADHN Cc1ccc(CN2C[C@H](C)CCC[C@@H]2C)nn1 ZINC000334842225 386888116 /nfs/dbraw/zinc/88/81/16/386888116.db2.gz VBHLBTSCFVEMIG-YPMHNXCESA-N 0 3 233.359 2.796 20 0 BFADHN CC/C=C/CCN1CCOC[C@]1(C)CC ZINC000341306379 386891067 /nfs/dbraw/zinc/89/10/67/386891067.db2.gz WBNDBEQBVMLDMH-YBJDMEARSA-N 0 3 211.349 2.844 20 0 BFADHN CCc1ncc(CN2CCC[C@H](C)[C@@H]2C)cn1 ZINC000334847796 386896441 /nfs/dbraw/zinc/89/64/41/386896441.db2.gz KFRIHQAOKUCGLN-RYUDHWBXSA-N 0 3 233.359 2.659 20 0 BFADHN Cc1cnc(CN[C@H]2CCC23CCCC3)n1C ZINC000341393292 386902213 /nfs/dbraw/zinc/90/22/13/386902213.db2.gz WHDSJIYPCQPCKZ-LBPRGKRZSA-N 0 3 233.359 2.541 20 0 BFADHN CCc1ccc(CNCCN2CC=C(C)CC2)o1 ZINC000358163344 386902716 /nfs/dbraw/zinc/90/27/16/386902716.db2.gz MLVOZHXSTFUJKE-UHFFFAOYSA-N 0 3 248.370 2.584 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1ccncc1Cl ZINC000335766045 386907995 /nfs/dbraw/zinc/90/79/95/386907995.db2.gz IUTSYEONMBKSTB-VHSXEESVSA-N 0 3 224.735 2.965 20 0 BFADHN COC[C@H](C)Nc1ccnc2ccc(C)cc21 ZINC000302153266 386908111 /nfs/dbraw/zinc/90/81/11/386908111.db2.gz LMZANMYUHLGLFQ-NSHDSACASA-N 0 3 230.311 2.990 20 0 BFADHN Cc1ccc2nccc(N[C@@H](C)CCO)c2c1 ZINC000302159010 386908778 /nfs/dbraw/zinc/90/87/78/386908778.db2.gz OERLPWYJWMDYNU-NSHDSACASA-N 0 3 230.311 2.726 20 0 BFADHN Cc1ccc(CNc2nccn2C)cc1C ZINC000334857538 386909153 /nfs/dbraw/zinc/90/91/53/386909153.db2.gz VXJBSCNROFGWML-UHFFFAOYSA-N 0 3 215.300 2.649 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1cnn(C(C)C)c1 ZINC000358183100 386909213 /nfs/dbraw/zinc/90/92/13/386909213.db2.gz DDNPSTVFMNURRK-NSHDSACASA-N 0 3 239.363 2.540 20 0 BFADHN Cc1occc1CN1C[C@@H](C(N)=O)CC[C@H]1C ZINC000334857734 386911675 /nfs/dbraw/zinc/91/16/75/386911675.db2.gz YYKCNGSQIZJDOY-SKDRFNHKSA-N 0 3 236.315 2.724 20 0 BFADHN CO[C@]1(C)CCCN(Cc2ccc(C)nc2C)C1 ZINC000335768104 386911953 /nfs/dbraw/zinc/91/19/53/386911953.db2.gz IZOVEDXNTPCIMY-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@H](C)C2CCC2)[n-]1 ZINC000358260957 386923300 /nfs/dbraw/zinc/92/33/00/386923300.db2.gz CDMIODYWYJRVRO-ZJUUUORDSA-N 0 3 236.363 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@H](C)C2CCC2)[nH]1 ZINC000358260957 386923304 /nfs/dbraw/zinc/92/33/04/386923304.db2.gz CDMIODYWYJRVRO-ZJUUUORDSA-N 0 3 236.363 2.767 20 0 BFADHN CCC[C@@](C)(N)C(=O)N[C@H](C)C1CCCCC1 ZINC000052342589 386926252 /nfs/dbraw/zinc/92/62/52/386926252.db2.gz HTJSEHDBBTWPIC-BXUZGUMPSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCC[C@@H](C)C2)cn1 ZINC000334872412 386933489 /nfs/dbraw/zinc/93/34/89/386933489.db2.gz XMALVNXCEDUHLW-BXUZGUMPSA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@H](N[C@H](C)[C@H](C)OC)c1c(C)noc1C ZINC000358360281 386941549 /nfs/dbraw/zinc/94/15/49/386941549.db2.gz BQEBNDIOCKLJJU-QRTLGDNMSA-N 0 3 240.347 2.756 20 0 BFADHN Cc1ccc2nccc(NCCCCO)c2c1 ZINC000302854610 386941812 /nfs/dbraw/zinc/94/18/12/386941812.db2.gz KOGWTLKZVTTXAY-UHFFFAOYSA-N 0 3 230.311 2.728 20 0 BFADHN CC(C)Cn1nccc1CN1CC[C@@H](C)[C@@H]1C ZINC000648012420 386942090 /nfs/dbraw/zinc/94/20/90/386942090.db2.gz DJHFXOJVKDMPHS-OLZOCXBDSA-N 0 3 235.375 2.769 20 0 BFADHN CC[C@@H](C)N(C)Cc1nc2cccnc2s1 ZINC000341671370 386943034 /nfs/dbraw/zinc/94/30/34/386943034.db2.gz FFQZZKZSRZJLSB-SECBINFHSA-N 0 3 235.356 2.922 20 0 BFADHN COC[C@@H](N[C@H](c1cccnc1)C1CC1)C1CC1 ZINC000358368678 386943536 /nfs/dbraw/zinc/94/35/36/386943536.db2.gz OVMICWHMEJABPJ-CABCVRRESA-N 0 3 246.354 2.547 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1CCO[C@H]1C1CC1 ZINC000358372643 386943566 /nfs/dbraw/zinc/94/35/66/386943566.db2.gz WRWWHOZKCFHENU-GLQYFDAESA-N 0 3 246.354 2.608 20 0 BFADHN C/C(Cl)=C/CN[C@@H]1Cc2cccc(O)c2C1 ZINC000528475298 387007294 /nfs/dbraw/zinc/00/72/94/387007294.db2.gz KKYIUNGQHNNWBY-YOOZJCNJSA-N 0 3 237.730 2.592 20 0 BFADHN Cc1n[nH]cc1CN1CC[C@H](C)C2(CCC2)C1 ZINC000334891837 386965866 /nfs/dbraw/zinc/96/58/66/386965866.db2.gz UEOXUMWCQYSANF-NSHDSACASA-N 0 3 233.359 2.730 20 0 BFADHN CC(C)[C@H](C)N(C)CC(=O)N(C(C)C)C(C)C ZINC000341807407 386974020 /nfs/dbraw/zinc/97/40/20/386974020.db2.gz JJSKOUHAKHPAMM-ZDUSSCGKSA-N 0 3 242.407 2.608 20 0 BFADHN Cc1ccc2ccnc(N(C)CCN(C)C)c2c1 ZINC000514599021 387008004 /nfs/dbraw/zinc/00/80/04/387008004.db2.gz IHBOTBZNNXSHAH-UHFFFAOYSA-N 0 3 243.354 2.541 20 0 BFADHN C[C@H](CN1C[C@@H](C)OC[C@H]1C)c1ccccc1 ZINC000116877207 386985802 /nfs/dbraw/zinc/98/58/02/386985802.db2.gz VKHGLTVYAOSSNJ-MGPQQGTHSA-N 0 3 233.355 2.899 20 0 BFADHN CCCn1cc(CNCc2ccc(C)s2)cn1 ZINC000116913845 386997238 /nfs/dbraw/zinc/99/72/38/386997238.db2.gz HWVWAQQHNYMMDD-UHFFFAOYSA-N 0 3 249.383 2.953 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1cccc(C)n1 ZINC000358742835 387004599 /nfs/dbraw/zinc/00/45/99/387004599.db2.gz ZZCBJULQQUXLRF-ZDUSSCGKSA-N 0 3 238.400 2.963 20 0 BFADHN CO[C@H](C)CN1CCC(CC(F)(F)F)CC1 ZINC000341976622 387004641 /nfs/dbraw/zinc/00/46/41/387004641.db2.gz LCGZORBUSXDGPD-SECBINFHSA-N 0 3 239.281 2.686 20 0 BFADHN CC(C)[C@H]1C[C@@H](CNCc2ccco2)CCO1 ZINC000352024972 387008944 /nfs/dbraw/zinc/00/89/44/387008944.db2.gz BBCFQOODXXWMQC-GXTWGEPZSA-N 0 3 237.343 2.820 20 0 BFADHN C[C@@H](c1cccnc1)N1CCC[C@]2(CCOC2)C1 ZINC000334820874 386949541 /nfs/dbraw/zinc/94/95/41/386949541.db2.gz NKSHLIUUBUWFMC-ZFWWWQNUSA-N 0 3 246.354 2.645 20 0 BFADHN CO[C@H](CN(C)Cc1ccc(C)s1)C1CC1 ZINC000425389999 387038550 /nfs/dbraw/zinc/03/85/50/387038550.db2.gz AMVDCBRLJDDRRU-CYBMUJFWSA-N 0 3 239.384 2.913 20 0 BFADHN CO[C@@H](CN(C)Cc1ccc(C)s1)C1CC1 ZINC000425390001 387039547 /nfs/dbraw/zinc/03/95/47/387039547.db2.gz AMVDCBRLJDDRRU-ZDUSSCGKSA-N 0 3 239.384 2.913 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C(C)C)C2)n(C)n1 ZINC000334959216 387039716 /nfs/dbraw/zinc/03/97/16/387039716.db2.gz VVAOTLFRWCVYPW-CYBMUJFWSA-N 0 3 235.375 2.597 20 0 BFADHN CC(C)c1ccc(NC(=O)[C@@H](N)C2CCC2)cc1 ZINC000399017118 387040378 /nfs/dbraw/zinc/04/03/78/387040378.db2.gz DUNDYQVEWZRXBB-AWEZNQCLSA-N 0 3 246.354 2.876 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCCC1)c1ccon1 ZINC000336764292 387041471 /nfs/dbraw/zinc/04/14/71/387041471.db2.gz QBHJULUDCYCUBK-VHSXEESVSA-N 0 3 208.305 2.904 20 0 BFADHN CC(C)c1cc(CN[C@H]2CSC[C@H]2C)on1 ZINC000648452357 387045389 /nfs/dbraw/zinc/04/53/89/387045389.db2.gz YOTMYIVKUKFRHL-SKDRFNHKSA-N 0 3 240.372 2.639 20 0 BFADHN CCS[C@H]1CCC[C@@H]1NCc1cnoc1C ZINC000336764204 387048785 /nfs/dbraw/zinc/04/87/85/387048785.db2.gz MYZQOKQFSGLTJH-RYUDHWBXSA-N 0 3 240.372 2.747 20 0 BFADHN CC(C)n1cc(CNC[C@@H](C)C(F)(F)F)cn1 ZINC000359113753 387055864 /nfs/dbraw/zinc/05/58/64/387055864.db2.gz CRQVMNPGDHBRAY-SECBINFHSA-N 0 3 249.280 2.752 20 0 BFADHN Cc1occc1CNC[C@H]1CCCS1 ZINC000321242663 387059050 /nfs/dbraw/zinc/05/90/50/387059050.db2.gz NBHZSSYROKJPGT-LLVKDONJSA-N 0 3 211.330 2.573 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCOC2)cs1 ZINC000336229187 387067354 /nfs/dbraw/zinc/06/73/54/387067354.db2.gz LNLUOBPGGYGVSS-GFCCVEGCSA-N 0 3 225.357 2.667 20 0 BFADHN COc1cccc(CN2C[C@H](C)[C@H](C)[C@@H]2C)n1 ZINC000418118608 387062650 /nfs/dbraw/zinc/06/26/50/387062650.db2.gz FEWVEOBKCIFESH-SRVKXCTJSA-N 0 3 234.343 2.567 20 0 BFADHN Fc1ccc(CN2CCC[C@@]3(CCOC3)C2)cc1 ZINC000336223394 387063699 /nfs/dbraw/zinc/06/36/99/387063699.db2.gz ZSEPDDNHLBHLNX-OAHLLOKOSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)n(C)n1 ZINC000334934967 387011159 /nfs/dbraw/zinc/01/11/59/387011159.db2.gz AJVKVKIQTHWCQI-KGLIPLIRSA-N 0 3 247.386 2.739 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H]1COCc2ccccc21 ZINC000425371588 387011843 /nfs/dbraw/zinc/01/18/43/387011843.db2.gz QLGCCPDREMUKEZ-MGPQQGTHSA-N 0 3 249.379 2.742 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H]1COCc2ccccc21 ZINC000425371585 387013080 /nfs/dbraw/zinc/01/30/80/387013080.db2.gz QLGCCPDREMUKEZ-BFHYXJOUSA-N 0 3 249.379 2.742 20 0 BFADHN Cc1nocc1CN1CCCC[C@H](C)C1 ZINC000294701525 387013188 /nfs/dbraw/zinc/01/31/88/387013188.db2.gz ODJVZSFGHCKJBO-JTQLQIEISA-N 0 3 208.305 2.605 20 0 BFADHN CC[C@H](N[C@H](C)c1cnccn1)[C@@H]1CC1(C)C ZINC000417400637 387021830 /nfs/dbraw/zinc/02/18/30/387021830.db2.gz KJTFLACPJFAZQU-WOPDTQHZSA-N 0 3 233.359 2.952 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1ccc(C)c(C)n1 ZINC000425366766 387024368 /nfs/dbraw/zinc/02/43/68/387024368.db2.gz GDMCBTSAUUPXKT-QWHCGFSZSA-N 0 3 236.384 2.682 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1cnc(C)cc1C ZINC000425368559 387026515 /nfs/dbraw/zinc/02/65/15/387026515.db2.gz KKFQLLLSUINUIO-OLZOCXBDSA-N 0 3 236.384 2.682 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1CC[C@](C)(CC)C1 ZINC000399491362 387026700 /nfs/dbraw/zinc/02/67/00/387026700.db2.gz UZAXFFCXOFWZQF-JSGCOSHPSA-N 0 3 241.375 2.840 20 0 BFADHN CCn1cncc1CN[C@H]1CCC12CCCC2 ZINC000418026922 387029009 /nfs/dbraw/zinc/02/90/09/387029009.db2.gz GTFVMUHFAPIFOA-ZDUSSCGKSA-N 0 3 233.359 2.715 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C(C)(C)C)C2)n(C)n1 ZINC000418051025 387068766 /nfs/dbraw/zinc/06/87/66/387068766.db2.gz XHFWMUUIYMTISR-CYBMUJFWSA-N 0 3 249.402 2.987 20 0 BFADHN O[C@H]1CCCN(Cc2cccc(C3CC3)c2)C1 ZINC000334982844 387069682 /nfs/dbraw/zinc/06/96/82/387069682.db2.gz SYKXBOFZSVELGN-HNNXBMFYSA-N 0 3 231.339 2.521 20 0 BFADHN COc1cc(C)c(CN2CCC[C@H]2C)c(C)n1 ZINC000359223819 387069868 /nfs/dbraw/zinc/06/98/68/387069868.db2.gz JRGVEVPKZPWVFY-LLVKDONJSA-N 0 3 234.343 2.691 20 0 BFADHN COc1cc(C)c(CN(C)CCC2CC2)c(C)n1 ZINC000359229594 387070441 /nfs/dbraw/zinc/07/04/41/387070441.db2.gz QWHLGGKHKDRKBF-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN COC[C@H](C)N1Cc2ccccc2C2(CC2)C1 ZINC000186191842 387073518 /nfs/dbraw/zinc/07/35/18/387073518.db2.gz KGZPHYCLUUPCLR-LBPRGKRZSA-N 0 3 231.339 2.569 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2ccncc2F)C[C@H]1C ZINC000418120928 387075210 /nfs/dbraw/zinc/07/52/10/387075210.db2.gz IDQVASVPFOPNHO-GMTAPVOTSA-N 0 3 222.307 2.697 20 0 BFADHN CCc1csc(N[C@@H]2CCN(C)[C@@H](C)C2)n1 ZINC000228126340 387078566 /nfs/dbraw/zinc/07/85/66/387078566.db2.gz LZNBHUHHBJJLFL-GXSJLCMTSA-N 0 3 239.388 2.600 20 0 BFADHN CCCC[C@H](N)C(=O)NCC1(CC)CCCC1 ZINC000228328140 387080357 /nfs/dbraw/zinc/08/03/57/387080357.db2.gz SGKVKTLWFISTMW-LBPRGKRZSA-N 0 3 240.391 2.591 20 0 BFADHN CCn1cncc1CN(C)[C@@H](C)C1CCC1 ZINC000418066633 387081352 /nfs/dbraw/zinc/08/13/52/387081352.db2.gz LNURSXIFQIZWAD-NSHDSACASA-N 0 3 221.348 2.523 20 0 BFADHN CC(C)[C@H]1CCCC[C@@H]1NCc1ncc[nH]1 ZINC000066593866 387086077 /nfs/dbraw/zinc/08/60/77/387086077.db2.gz KIQLVJGJLPUDRD-NEPJUHHUSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@H](NCCOCC(F)F)c1ccsc1 ZINC000188752215 387088959 /nfs/dbraw/zinc/08/89/59/387088959.db2.gz VFZRTHRHVIIHBB-QMMMGPOBSA-N 0 3 235.299 2.680 20 0 BFADHN CCCC[C@@H](N)C(=O)NCC1(CC)CCCC1 ZINC000228450987 387093649 /nfs/dbraw/zinc/09/36/49/387093649.db2.gz SGKVKTLWFISTMW-GFCCVEGCSA-N 0 3 240.391 2.591 20 0 BFADHN Cc1ccc(F)c(CN(C)C2CCOCC2)c1 ZINC000352337014 387093803 /nfs/dbraw/zinc/09/38/03/387093803.db2.gz CJCGPDJBLBXMKR-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN COC[C@H](CC(C)C)NCc1ccc(F)cc1 ZINC000359379714 387094657 /nfs/dbraw/zinc/09/46/57/387094657.db2.gz PKKDVYWULHJLQG-AWEZNQCLSA-N 0 3 239.334 2.976 20 0 BFADHN Cc1ncc(CNC[C@H]2C[C@@H]2c2ccccc2)o1 ZINC000418090180 387096278 /nfs/dbraw/zinc/09/62/78/387096278.db2.gz NHWDPUZPYXJRPQ-UKRRQHHQSA-N 0 3 242.322 2.876 20 0 BFADHN CO[C@@H](CNCc1cccnc1C)CC(C)C ZINC000418090848 387097768 /nfs/dbraw/zinc/09/77/68/387097768.db2.gz DDQGIPADKAIQAX-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN CO[C@@H](CNCc1ccccc1F)CC(C)C ZINC000418091243 387098501 /nfs/dbraw/zinc/09/85/01/387098501.db2.gz DSEVRLSLZHTEJX-CYBMUJFWSA-N 0 3 239.334 2.976 20 0 BFADHN Cc1noc([C@@H]2CCN(CC3=CCCCC3)C2)n1 ZINC000336265730 387099965 /nfs/dbraw/zinc/09/99/65/387099965.db2.gz NITTXLMWBZEMQC-CYBMUJFWSA-N 0 3 247.342 2.668 20 0 BFADHN c1cn(Cc2ccccc2)c(CNC2CCC2)n1 ZINC000114766370 387100774 /nfs/dbraw/zinc/10/07/74/387100774.db2.gz UAKHUXFQAKDAGE-UHFFFAOYSA-N 0 3 241.338 2.574 20 0 BFADHN CCC[C@H]([NH2+][C@@H](C)c1nnc(C)[n-]1)C1CCC1 ZINC000392466602 387102159 /nfs/dbraw/zinc/10/21/59/387102159.db2.gz QYGOJDURXAWJFU-CABZTGNLSA-N 0 3 236.363 2.733 20 0 BFADHN COc1cnccc1[C@@H](C)N[C@@H](C)C1CC1 ZINC000188790543 387102637 /nfs/dbraw/zinc/10/26/37/387102637.db2.gz YWIMZJQHYVWYOY-VHSXEESVSA-N 0 3 220.316 2.539 20 0 BFADHN CO[C@H](CNCc1cnccc1C)CC(C)C ZINC000418099625 387131696 /nfs/dbraw/zinc/13/16/96/387131696.db2.gz QCTPDPHARJHMGF-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN Cn1cccc1CNC/C=C/c1ccccc1 ZINC000119206371 387109464 /nfs/dbraw/zinc/10/94/64/387109464.db2.gz USJQAIKKSWCOLF-WEVVVXLNSA-N 0 3 226.323 2.828 20 0 BFADHN CC(C)C[C@H]1CCCN(Cc2cncn2C)C1 ZINC000119214659 387109895 /nfs/dbraw/zinc/10/98/95/387109895.db2.gz HWCXOIFRFOEMSG-CYBMUJFWSA-N 0 3 235.375 2.678 20 0 BFADHN CCCNC(C)(C)c1nc2ccccc2n1C ZINC000186561425 387110240 /nfs/dbraw/zinc/11/02/40/387110240.db2.gz QKBBGLSYNOPHAR-UHFFFAOYSA-N 0 3 231.343 2.808 20 0 BFADHN C[C@H](Cn1cccn1)NC1(c2ccccc2)CC1 ZINC000443086442 387115234 /nfs/dbraw/zinc/11/52/34/387115234.db2.gz OAXKAEOJYJFJQH-CYBMUJFWSA-N 0 3 241.338 2.551 20 0 BFADHN C[C@H](CF)NC[C@@H]1C[C@H]1c1ccccc1 ZINC000336766707 387116963 /nfs/dbraw/zinc/11/69/63/387116963.db2.gz SHVADNMSNHUUCT-WXHSDQCUSA-N 0 3 207.292 2.738 20 0 BFADHN Cc1cncc(CN2CCSC[C@@H]2C2CC2)c1 ZINC000336291442 387122732 /nfs/dbraw/zinc/12/27/32/387122732.db2.gz BQXNKEKUMLOSAT-CQSZACIVSA-N 0 3 248.395 2.717 20 0 BFADHN CCCC(C)(C)NC(=O)[C@H](CC)N(CC)CC ZINC000359561668 387123305 /nfs/dbraw/zinc/12/33/05/387123305.db2.gz OJSIIWDAAFRWFA-LBPRGKRZSA-N 0 3 242.407 2.802 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1cccc(F)c1Cl ZINC000228727419 387123597 /nfs/dbraw/zinc/12/35/97/387123597.db2.gz CMBODQCIJAFAAM-BDAKNGLRSA-N 0 3 245.725 2.586 20 0 BFADHN COc1ccccc1CCNCc1ccoc1C ZINC000321348413 387125660 /nfs/dbraw/zinc/12/56/60/387125660.db2.gz CGWDJHHUSRBQQA-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN Cc1occc1CNCC[C@H]1CCCCO1 ZINC000321348971 387126687 /nfs/dbraw/zinc/12/66/87/387126687.db2.gz BNQZIURUUPGEJB-CYBMUJFWSA-N 0 3 223.316 2.637 20 0 BFADHN FCCCN1CCC[C@H](Oc2ccccc2)C1 ZINC000370617034 387129278 /nfs/dbraw/zinc/12/92/78/387129278.db2.gz YVWRPICLCKNGPJ-AWEZNQCLSA-N 0 3 237.318 2.889 20 0 BFADHN COC[C@H](CC(C)C)NCc1cccc(F)c1 ZINC000359658966 387142393 /nfs/dbraw/zinc/14/23/93/387142393.db2.gz FSKMJIPWNVAXLB-AWEZNQCLSA-N 0 3 239.334 2.976 20 0 BFADHN CCOCCN(CC)Cc1ccc(C)nc1C ZINC000187006565 387153440 /nfs/dbraw/zinc/15/34/40/387153440.db2.gz LRYPTCMUICBARA-UHFFFAOYSA-N 0 3 236.359 2.557 20 0 BFADHN CCc1ccc(CN(C)C[C@@H](C)OC)cc1 ZINC000353202168 387154553 /nfs/dbraw/zinc/15/45/53/387154553.db2.gz JFONTQPHCDOYAQ-GFCCVEGCSA-N 0 3 221.344 2.716 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@@H](C)[C@H]2C)cn1 ZINC000418131598 387159194 /nfs/dbraw/zinc/15/91/94/387159194.db2.gz XWAAYJOLMMIITH-QJPTWQEYSA-N 0 3 234.343 2.567 20 0 BFADHN COCCCCN(C)Cc1sccc1OC ZINC000418133904 387162001 /nfs/dbraw/zinc/16/20/01/387162001.db2.gz LOVXREQTNBRKAV-UHFFFAOYSA-N 0 3 243.372 2.615 20 0 BFADHN CC1(C)CC[C@H]1NCc1cn2ccsc2n1 ZINC000284417276 387193153 /nfs/dbraw/zinc/19/31/53/387193153.db2.gz QOPYBZUOQPEDMY-SNVBAGLBSA-N 0 3 235.356 2.674 20 0 BFADHN Cc1nocc1CN(CC1CCC1)C(C)C ZINC000353233688 387163697 /nfs/dbraw/zinc/16/36/97/387163697.db2.gz PPEHZNRZXXBRNY-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCC1(C)C ZINC000284244520 387164009 /nfs/dbraw/zinc/16/40/09/387164009.db2.gz IGOVBNSMLROABE-NSHDSACASA-N 0 3 208.305 2.570 20 0 BFADHN Cc1ccc([C@]2(C)CCN([C@@H](C)C(N)=O)C2)cc1 ZINC000336338525 387164135 /nfs/dbraw/zinc/16/41/35/387164135.db2.gz GOSFWCJCQWCTFC-SWLSCSKDSA-N 0 3 246.354 2.882 20 0 BFADHN CC(C)CN[C@@H](CO)c1cccc(Cl)c1F ZINC000229250965 387165743 /nfs/dbraw/zinc/16/57/43/387165743.db2.gz TXRLGTHMNJWYPA-NSHDSACASA-N 0 3 245.725 2.758 20 0 BFADHN CC[C@@H](C(=O)N1CCCC1(C)C)N(CC)CC ZINC000359807981 387166611 /nfs/dbraw/zinc/16/66/11/387166611.db2.gz PAVPSUXYUBAZMV-LBPRGKRZSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@H](N[C@H]1CCC1(C)C)c1ccccn1 ZINC000284227421 387167996 /nfs/dbraw/zinc/16/79/96/387167996.db2.gz HNRUEASAPXKSBN-JQWIXIFHSA-N 0 3 204.317 2.921 20 0 BFADHN OCC1(CNCc2cc(Cl)cs2)CCC1 ZINC000193208272 387178432 /nfs/dbraw/zinc/17/84/32/387178432.db2.gz RYHNGXNLSOGUMN-UHFFFAOYSA-N 0 3 245.775 2.654 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H](C)C[C@H]2C)n1 ZINC000250976394 387179152 /nfs/dbraw/zinc/17/91/52/387179152.db2.gz HMQRSXLONVFXJM-ZYHUDNBSSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H](C)C[C@H]2C)n1 ZINC000250976394 387179154 /nfs/dbraw/zinc/17/91/54/387179154.db2.gz HMQRSXLONVFXJM-ZYHUDNBSSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc(CN2C[C@H](C(N)=O)CC[C@@H]2C)cn1 ZINC000336355826 387185385 /nfs/dbraw/zinc/18/53/85/387185385.db2.gz DOZDDEZVNOVPLX-WCQYABFASA-N 0 3 247.342 2.526 20 0 BFADHN C[C@@H]1C[C@H](O)CN1Cc1ccc(C(F)F)cc1 ZINC000336354804 387185500 /nfs/dbraw/zinc/18/55/00/387185500.db2.gz JAOCNKKXZFBKNF-SKDRFNHKSA-N 0 3 241.281 2.579 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCCC[C@H]2O)o1 ZINC000251207835 387186323 /nfs/dbraw/zinc/18/63/23/387186323.db2.gz BCJIKQSNPSDVNK-GXTWGEPZSA-N 0 3 237.343 2.571 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H]1CCOc2c(C)cccc21 ZINC000353358992 387187598 /nfs/dbraw/zinc/18/75/98/387187598.db2.gz ZDPWUPLTRCEMRD-OUCADQQQSA-N 0 3 249.354 2.832 20 0 BFADHN C1CN[C@@H](c2nc(C3CCCCCC3)no2)C1 ZINC000087945446 387133482 /nfs/dbraw/zinc/13/34/82/387133482.db2.gz ARWBPLHKILEHDS-LLVKDONJSA-N 0 3 235.331 2.932 20 0 BFADHN Cc1cncc(CN2CCSC[C@H](C)C2)c1 ZINC000336305672 387134594 /nfs/dbraw/zinc/13/45/94/387134594.db2.gz FRFKGLJEVZLKRF-GFCCVEGCSA-N 0 3 236.384 2.575 20 0 BFADHN CCCn1cc(CN2CCC(C)(C)CC2)cn1 ZINC000353454415 387204494 /nfs/dbraw/zinc/20/44/94/387204494.db2.gz JXJHCLBYIPZJBN-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCC[C@H](CNCc1ccc2c(c1)COC2)OC ZINC000648633927 387206896 /nfs/dbraw/zinc/20/68/96/387206896.db2.gz SJHDVIJXTJMPHK-OAHLLOKOSA-N 0 3 249.354 2.622 20 0 BFADHN c1ncc(CN2CCC[C@H]2C2CC2)s1 ZINC000371418730 387207103 /nfs/dbraw/zinc/20/71/03/387207103.db2.gz FRSIPKZKPGXDOA-NSHDSACASA-N 0 3 208.330 2.518 20 0 BFADHN CC[C@@H](O)CN[C@H](CC)c1ccccc1F ZINC000229966985 387207479 /nfs/dbraw/zinc/20/74/79/387207479.db2.gz MZPFMJCZLGKYNB-ZWNOBZJWSA-N 0 3 225.307 2.637 20 0 BFADHN C[C@H](F)CCN1CCc2ccsc2C1 ZINC000336379177 387209734 /nfs/dbraw/zinc/20/97/34/387209734.db2.gz MLMSWEKJTFUGBQ-VIFPVBQESA-N 0 3 213.321 2.854 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1ccc(CO)o1 ZINC000571973135 387211314 /nfs/dbraw/zinc/21/13/14/387211314.db2.gz YCRPAKYWWUWVRY-ZIAGYGMSSA-N 0 3 237.343 2.686 20 0 BFADHN CN(C)CCSCCc1ccccc1 ZINC000120245876 387218079 /nfs/dbraw/zinc/21/80/79/387218079.db2.gz CIDPPYYUEWTHGW-UHFFFAOYSA-N 0 3 209.358 2.524 20 0 BFADHN Cc1c[nH]nc1CN[C@@H](C)Cc1cccs1 ZINC000290051533 387232204 /nfs/dbraw/zinc/23/22/04/387232204.db2.gz XCNKYSROMCAVAM-JTQLQIEISA-N 0 3 235.356 2.500 20 0 BFADHN Cc1cc(CN2CCN(C)C[C@H]2C)c(C)s1 ZINC000353584561 387235284 /nfs/dbraw/zinc/23/52/84/387235284.db2.gz IEEAOFHOBURYNY-SNVBAGLBSA-N 0 3 238.400 2.501 20 0 BFADHN C[C@H]1OCC[C@@]1(C)NCc1cc(F)ccc1F ZINC000230152890 387236757 /nfs/dbraw/zinc/23/67/57/387236757.db2.gz ICHNABGZAMIQRT-NOZJJQNGSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1OCC[C@@]1(C)NCc1cc(F)cc(F)c1 ZINC000230153724 387236810 /nfs/dbraw/zinc/23/68/10/387236810.db2.gz VFFUARJDQXABHY-TVQRCGJNSA-N 0 3 241.281 2.622 20 0 BFADHN CCOc1cccc(CN[C@@]2(C)CCO[C@H]2C)c1 ZINC000230155738 387236849 /nfs/dbraw/zinc/23/68/49/387236849.db2.gz MSZYCUBUYAYMCE-WFASDCNBSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H]1OCC[C@]1(C)NCc1ccccc1Cl ZINC000230152141 387237063 /nfs/dbraw/zinc/23/70/63/387237063.db2.gz GCFOJHXOKXUEEF-GWCFXTLKSA-N 0 3 239.746 2.997 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H](CO)CC2CCC2)c1 ZINC000353604658 387240310 /nfs/dbraw/zinc/24/03/10/387240310.db2.gz JSCAFLBOGFIELV-GXTWGEPZSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1cnc(CNCC(C)(C)C(C)(C)C)n1C ZINC000353613725 387241412 /nfs/dbraw/zinc/24/14/12/387241412.db2.gz QBFNYLOIKAVLOS-UHFFFAOYSA-N 0 3 237.391 2.890 20 0 BFADHN CCC1CC(NCc2ccc3cc[nH]c3n2)C1 ZINC000353623659 387242568 /nfs/dbraw/zinc/24/25/68/387242568.db2.gz ORMLJSMDEIDBRF-UHFFFAOYSA-N 0 3 229.327 2.841 20 0 BFADHN Cc1ccnc(CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)n1 ZINC000360209526 387243303 /nfs/dbraw/zinc/24/33/03/387243303.db2.gz QLLDNLWWVKDSNR-UBHSHLNASA-N 0 3 245.370 2.796 20 0 BFADHN Cc1ccnc(CN2C[C@H](C)[C@H]3CCCC[C@H]32)n1 ZINC000360209525 387244048 /nfs/dbraw/zinc/24/40/48/387244048.db2.gz QLLDNLWWVKDSNR-IACUBPJLSA-N 0 3 245.370 2.796 20 0 BFADHN Cc1ccnc([C@@H](C)NCC2(CCO)CCC2)c1 ZINC000353644925 387249310 /nfs/dbraw/zinc/24/93/10/387249310.db2.gz NUQKPXYUCAXQBU-CYBMUJFWSA-N 0 3 248.370 2.593 20 0 BFADHN CCC(C)(C)OCCNCc1ccoc1C ZINC000321478542 387249815 /nfs/dbraw/zinc/24/98/15/387249815.db2.gz IPJRUUDKMSYAIU-UHFFFAOYSA-N 0 3 225.332 2.883 20 0 BFADHN CC[C@@H](NCc1ccc(C)nc1C)[C@@H]1CCCO1 ZINC000187492354 387199012 /nfs/dbraw/zinc/19/90/12/387199012.db2.gz KBSVNBOFNGEQJG-CABCVRRESA-N 0 3 248.370 2.746 20 0 BFADHN Cc1ncc(CN[C@H]2CC[C@H]2C2CCC2)o1 ZINC000459673678 387199978 /nfs/dbraw/zinc/19/99/78/387199978.db2.gz JKDPQBBKOLLVDT-STQMWFEESA-N 0 3 220.316 2.651 20 0 BFADHN c1ccc(C[C@H]2CCN2Cc2ccncc2)cc1 ZINC000353997105 387309578 /nfs/dbraw/zinc/30/95/78/387309578.db2.gz INGVWBCDTATFQZ-MRXNPFEDSA-N 0 3 238.334 2.899 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@H]2CCCSC2)c1 ZINC000286111959 387314031 /nfs/dbraw/zinc/31/40/31/387314031.db2.gz NRYZVMDHJJFINU-NEPJUHHUSA-N 0 3 236.384 2.936 20 0 BFADHN Cc1nc(CCN2C[C@@H]3[C@H](C2)C3(C)C)cs1 ZINC000336485089 387314139 /nfs/dbraw/zinc/31/41/39/387314139.db2.gz ZXWQHVNRFYQANQ-TXEJJXNPSA-N 0 3 236.384 2.582 20 0 BFADHN Cc1cnc(CN2CCCC3(CCCC3)C2)cn1 ZINC000336490541 387316287 /nfs/dbraw/zinc/31/62/87/387316287.db2.gz UUYZWADQXJWTSE-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN CC(C)C[C@@H](C)N(C)C(=O)CN(C)C(C)(C)C ZINC000514936957 387316707 /nfs/dbraw/zinc/31/67/07/387316707.db2.gz YIZLYUPNSPWRAZ-GFCCVEGCSA-N 0 3 242.407 2.610 20 0 BFADHN CC[C@H](NCc1cc(C)ccc1F)C(C)(C)O ZINC000292889462 387254621 /nfs/dbraw/zinc/25/46/21/387254621.db2.gz CVNZTRSQWHPDPW-ZDUSSCGKSA-N 0 3 239.334 2.773 20 0 BFADHN Cc1ccc(CN2CCN(C)CC2(C)C)c(C)c1 ZINC000353678553 387255914 /nfs/dbraw/zinc/25/59/14/387255914.db2.gz DJBUSWRKVDVCAE-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN Clc1ccc(CN[C@@H]2CCO[C@H]2C2CC2)o1 ZINC000230316256 387260340 /nfs/dbraw/zinc/26/03/40/387260340.db2.gz HPYTWZPHSSGFBF-PWSUYJOCSA-N 0 3 241.718 2.590 20 0 BFADHN Clc1ccc(CN[C@@H]2CCO[C@@H]2C2CC2)o1 ZINC000230316249 387260404 /nfs/dbraw/zinc/26/04/04/387260404.db2.gz HPYTWZPHSSGFBF-ZYHUDNBSSA-N 0 3 241.718 2.590 20 0 BFADHN Cc1ccc(CN[C@@H]2CCO[C@H]2C2CC2)cc1F ZINC000230316089 387261096 /nfs/dbraw/zinc/26/10/96/387261096.db2.gz HCXQHLXYJQBMIG-CABCVRRESA-N 0 3 249.329 2.791 20 0 BFADHN Cc1ccc(CN[C@H]2CCO[C@@H]2C2CC2)cc1F ZINC000230316087 387261695 /nfs/dbraw/zinc/26/16/95/387261695.db2.gz HCXQHLXYJQBMIG-LSDHHAIUSA-N 0 3 249.329 2.791 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1ccc(C)o1)OC ZINC000290055045 387265600 /nfs/dbraw/zinc/26/56/00/387265600.db2.gz FHBGFQXOCWRVGJ-QWRGUYRKSA-N 0 3 211.305 2.664 20 0 BFADHN COCc1ccc(CN2C[C@@H](C)C[C@H]2C)o1 ZINC000353754176 387267712 /nfs/dbraw/zinc/26/77/12/387267712.db2.gz YLUWDXUGWJKIAR-WDEREUQCSA-N 0 3 223.316 2.656 20 0 BFADHN C[C@H](NCC1CCC1)c1c(F)cncc1F ZINC000285508246 387270872 /nfs/dbraw/zinc/27/08/72/387270872.db2.gz DFKUKHCZOUPEKX-QMMMGPOBSA-N 0 3 226.270 2.811 20 0 BFADHN CCc1nnc(CN[C@H](CC)[C@@H]2C[C@H]2C)s1 ZINC000321527781 387271543 /nfs/dbraw/zinc/27/15/43/387271543.db2.gz OUOJGOVRIMBPDN-OPRDCNLKSA-N 0 3 239.388 2.625 20 0 BFADHN CCc1nnc(CN[C@H](CC)[C@H]2C[C@@H]2C)s1 ZINC000321527780 387272446 /nfs/dbraw/zinc/27/24/46/387272446.db2.gz OUOJGOVRIMBPDN-LPEHRKFASA-N 0 3 239.388 2.625 20 0 BFADHN CCC[C@H](C)CN1CCO[C@@H](COC(C)C)C1 ZINC000372115384 387273240 /nfs/dbraw/zinc/27/32/40/387273240.db2.gz BVWYOSYAAOQVOO-UONOGXRCSA-N 0 3 243.391 2.548 20 0 BFADHN CO[C@H](C)CN(Cc1ccc(C)o1)C(C)C ZINC000353781801 387273935 /nfs/dbraw/zinc/27/39/35/387273935.db2.gz RPXKGQUNOCIROT-GFCCVEGCSA-N 0 3 225.332 2.833 20 0 BFADHN COc1cc(C)ccc1CN1[C@H](C)C[C@H]1C ZINC000514709736 387275807 /nfs/dbraw/zinc/27/58/07/387275807.db2.gz CIGIXFREWNQLHE-VXGBXAGGSA-N 0 3 219.328 2.986 20 0 BFADHN CC[C@H](CCO)CNCc1sccc1Cl ZINC000193143969 387282310 /nfs/dbraw/zinc/28/23/10/387282310.db2.gz YGQLYPNFNOKQPG-SECBINFHSA-N 0 3 247.791 2.900 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1cccc(F)c1F ZINC000459750332 387284907 /nfs/dbraw/zinc/28/49/07/387284907.db2.gz ZQQYOAQEIJHMFI-NEPJUHHUSA-N 0 3 241.281 2.622 20 0 BFADHN CC(C)(O)CCN1CC[C@@H]1c1ccccc1 ZINC000360439152 387284983 /nfs/dbraw/zinc/28/49/83/387284983.db2.gz WJGOPSROCWDPEB-CYBMUJFWSA-N 0 3 219.328 2.594 20 0 BFADHN C[C@H](O)CCN(C)C/C=C\c1ccc(F)cc1 ZINC000353867104 387286573 /nfs/dbraw/zinc/28/65/73/387286573.db2.gz NURWOEJNOFMTKJ-MVZIDQBPSA-N 0 3 237.318 2.542 20 0 BFADHN CC[C@H](N[C@@H](c1nccn1C)C1CC1)C1CC1 ZINC000353858371 387286843 /nfs/dbraw/zinc/28/68/43/387286843.db2.gz BVQNSKJCBWQXEX-QWHCGFSZSA-N 0 3 233.359 2.649 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H](c1nccn1C)C1CC1 ZINC000353869479 387287001 /nfs/dbraw/zinc/28/70/01/387287001.db2.gz LBJVBFCXIRZGLJ-DGCLKSJQSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H](NCc1cocn1)[C@@H]1CCCC[C@H]1C ZINC000648456419 387287887 /nfs/dbraw/zinc/28/78/87/387287887.db2.gz LRBGELPDXPPSLU-NTZNESFSSA-N 0 3 222.332 2.979 20 0 BFADHN CC[C@H](NCc1ccnn1C)c1cccc(C)c1 ZINC000285868134 387289040 /nfs/dbraw/zinc/28/90/40/387289040.db2.gz YVQXTOFLAZEMJN-HNNXBMFYSA-N 0 3 243.354 2.969 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1nc(C)c(C)[nH]1 ZINC000572258225 387289183 /nfs/dbraw/zinc/28/91/83/387289183.db2.gz LYSHXIXSGYCHFN-NEPJUHHUSA-N 0 3 221.348 2.695 20 0 BFADHN CO[C@@H]1CCN(Cc2ccccc2OC(C)C)C1 ZINC000353884275 387293104 /nfs/dbraw/zinc/29/31/04/387293104.db2.gz WVMOEGMSUWXIFJ-CQSZACIVSA-N 0 3 249.354 2.695 20 0 BFADHN CC(C)CCN(C)C[C@@H]1COc2ccccc2O1 ZINC000302831933 387293280 /nfs/dbraw/zinc/29/32/80/387293280.db2.gz DHHUXZGSOMLZMU-CYBMUJFWSA-N 0 3 249.354 2.804 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CC2(C)C)c1 ZINC000285919761 387293433 /nfs/dbraw/zinc/29/34/33/387293433.db2.gz CHHDKPMOAJVNFM-JQWIXIFHSA-N 0 3 204.317 2.839 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1nccs1 ZINC000336471664 387301627 /nfs/dbraw/zinc/30/16/27/387301627.db2.gz LJLQSBWWDPWXTE-ZJUUUORDSA-N 0 3 210.346 2.764 20 0 BFADHN COC(CN1CCC(C2CCCC2)CC1)OC ZINC000372465128 387302850 /nfs/dbraw/zinc/30/28/50/387302850.db2.gz SYHRGUFJCMOFNM-UHFFFAOYSA-N 0 3 241.375 2.508 20 0 BFADHN CCCCCN1CCC[C@@H]1C(=O)N(CC)CC ZINC000078775201 387306696 /nfs/dbraw/zinc/30/66/96/387306696.db2.gz UOQQVVIXVLOYGU-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@@H](COC)NCc1csc(C)c1 ZINC000285994942 387308047 /nfs/dbraw/zinc/30/80/47/387308047.db2.gz TUJCNXVPAPRQQU-NSHDSACASA-N 0 3 213.346 2.571 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(C#N)cc2F)[C@H]1C ZINC000385694048 387360062 /nfs/dbraw/zinc/36/00/62/387360062.db2.gz SLKPZUJIZPOKCP-BFVZDQMLSA-N 0 3 232.302 2.831 20 0 BFADHN COc1cc(CN2CCCC3(CCC3)C2)ccn1 ZINC000373432510 387366662 /nfs/dbraw/zinc/36/66/62/387366662.db2.gz QPYIUEBEGOOJCT-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN c1csc(CN2CC[C@@H]3CCC[C@@H]32)n1 ZINC000336547950 387370091 /nfs/dbraw/zinc/37/00/91/387370091.db2.gz FSVUOFHHQMQZRH-UWVGGRQHSA-N 0 3 208.330 2.518 20 0 BFADHN c1csc(CN2CC[C@@H]3CCC[C@H]32)n1 ZINC000336547951 387370193 /nfs/dbraw/zinc/37/01/93/387370193.db2.gz FSVUOFHHQMQZRH-VHSXEESVSA-N 0 3 208.330 2.518 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]3CCC[C@@H]32)cn1 ZINC000336552037 387372377 /nfs/dbraw/zinc/37/23/77/387372377.db2.gz MVGOFUOMJRKYBK-JSGCOSHPSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1cnc(CN2CCC[C@H]3CCC[C@H]32)cn1 ZINC000336552039 387373104 /nfs/dbraw/zinc/37/31/04/387373104.db2.gz MVGOFUOMJRKYBK-TZMCWYRMSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCCOC2)s1 ZINC000336552911 387375225 /nfs/dbraw/zinc/37/52/25/387375225.db2.gz PILMMXOLXZJGSN-NSHDSACASA-N 0 3 225.357 2.667 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCCOC2)s1 ZINC000336552910 387376266 /nfs/dbraw/zinc/37/62/66/387376266.db2.gz PILMMXOLXZJGSN-LLVKDONJSA-N 0 3 225.357 2.667 20 0 BFADHN Cc1ccc(CN2C[C@H](C)N(C)[C@@H](C)C2)s1 ZINC000354441286 387376869 /nfs/dbraw/zinc/37/68/69/387376869.db2.gz GWWGIUMZWFBHMR-QWRGUYRKSA-N 0 3 238.400 2.581 20 0 BFADHN C1=CCC(NCc2cc3cccnc3o2)C1 ZINC000648480482 387376983 /nfs/dbraw/zinc/37/69/83/387376983.db2.gz QFCINNZYAIEAPN-UHFFFAOYSA-N 0 3 214.268 2.636 20 0 BFADHN C[C@H]1c2ccsc2CCN1CCn1ccnc1 ZINC000336555623 387378683 /nfs/dbraw/zinc/37/86/83/387378683.db2.gz JSFZKJHSSRPZPA-NSHDSACASA-N 0 3 247.367 2.564 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2ccc(C)nc2)C1(C)C ZINC000162468198 387379211 /nfs/dbraw/zinc/37/92/11/387379211.db2.gz CGVGFVLNCRYGRU-HIFRSBDPSA-N 0 3 248.370 2.683 20 0 BFADHN CC(C)N([C@H](C)Cc1ccsc1)C1COC1 ZINC000648606446 387380503 /nfs/dbraw/zinc/38/05/03/387380503.db2.gz CMBWAXZMUKIKFD-LLVKDONJSA-N 0 3 239.384 2.788 20 0 BFADHN COC[C@@H]1CCN1Cc1ccc2c(c1)CCCC2 ZINC000354056503 387321127 /nfs/dbraw/zinc/32/11/27/387321127.db2.gz IWPQLNAZVWIQDM-INIZCTEOSA-N 0 3 245.366 2.786 20 0 BFADHN COC[C@H]1CCN1Cc1coc2ccccc12 ZINC000354056530 387321694 /nfs/dbraw/zinc/32/16/94/387321694.db2.gz JEOOPSAAABIRCX-GFCCVEGCSA-N 0 3 231.295 2.654 20 0 BFADHN CC[C@@H](N[C@@H]1CNCCC1(F)F)C1CCCC1 ZINC000423477136 387323567 /nfs/dbraw/zinc/32/35/67/387323567.db2.gz JMYIEKQHSQTVHY-VXGBXAGGSA-N 0 3 246.345 2.542 20 0 BFADHN CCC[C@H](C)[C@@H](CC)N[C@@H]1CNCCC1(F)F ZINC000423479027 387327861 /nfs/dbraw/zinc/32/78/61/387327861.db2.gz NROCJDGLWYQPPT-QJPTWQEYSA-N 0 3 248.361 2.788 20 0 BFADHN FC1(CCNCc2ccc3c(n2)CCC3)CCC1 ZINC000515135113 387338435 /nfs/dbraw/zinc/33/84/35/387338435.db2.gz ZXSVPDKGCWMTDT-UHFFFAOYSA-N 0 3 248.345 2.942 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1cccc2cccnc21 ZINC000515121528 387339340 /nfs/dbraw/zinc/33/93/40/387339340.db2.gz LJNSWFXNXFIZAZ-KBPBESRZSA-N 0 3 242.322 2.502 20 0 BFADHN CCc1cccc(F)c1CNC[C@H]1CCOC1 ZINC000354168063 387340832 /nfs/dbraw/zinc/34/08/32/387340832.db2.gz ZAGICTSJYFHIEF-LLVKDONJSA-N 0 3 237.318 2.514 20 0 BFADHN Cc1cc(CN2CC([C@@H]3CCOC3)C2)cs1 ZINC000648563007 387341151 /nfs/dbraw/zinc/34/11/51/387341151.db2.gz FVBQGAAMGHKFTQ-GFCCVEGCSA-N 0 3 237.368 2.525 20 0 BFADHN CN(C)CCc1ccccc1NC(=O)C1(C)CC1 ZINC000354187866 387343726 /nfs/dbraw/zinc/34/37/26/387343726.db2.gz IGHGHUAZSMCLHN-UHFFFAOYSA-N 0 3 246.354 2.529 20 0 BFADHN CC1(CN2CCC(Oc3ccccn3)CC2)CC1 ZINC000515196966 387345203 /nfs/dbraw/zinc/34/52/03/387345203.db2.gz IOMUOMMMKUJWFM-UHFFFAOYSA-N 0 3 246.354 2.725 20 0 BFADHN C[C@H](N[C@@H]1CCc2ccccc21)c1ccnn1C ZINC000235537280 387346492 /nfs/dbraw/zinc/34/64/92/387346492.db2.gz FTSUDKKMGCTZQA-SMDDNHRTSA-N 0 3 241.338 2.758 20 0 BFADHN COc1cc(F)c(CNCC(C)C)cc1OC ZINC000515188687 387347823 /nfs/dbraw/zinc/34/78/23/387347823.db2.gz PZMMFQSDGNVNCU-UHFFFAOYSA-N 0 3 241.306 2.589 20 0 BFADHN C[C@@H](NCc1cnccn1)[C@@H](C)c1ccccc1 ZINC000515213699 387349144 /nfs/dbraw/zinc/34/91/44/387349144.db2.gz DTENBCURJPAQCB-CHWSQXEVSA-N 0 3 241.338 2.758 20 0 BFADHN Cn1c2ccccc2nc1CN[C@H]1CCC1(C)C ZINC000290090480 387350109 /nfs/dbraw/zinc/35/01/09/387350109.db2.gz JMCCDFPNVKWPTA-ZDUSSCGKSA-N 0 3 243.354 2.852 20 0 BFADHN CC(C)(C)OC(=O)c1ccc(CNC2CC2)cc1 ZINC000360986429 387383821 /nfs/dbraw/zinc/38/38/21/387383821.db2.gz KPPSUNIGOLZJJO-UHFFFAOYSA-N 0 3 247.338 2.894 20 0 BFADHN CC[C@H](NCc1cccnc1OC)[C@@H]1C[C@H]1C ZINC000322009141 387352301 /nfs/dbraw/zinc/35/23/01/387352301.db2.gz IHRWNBWSJGLBAE-RTXFEEFZSA-N 0 3 234.343 2.614 20 0 BFADHN Cc1cnn(C)c1CN1CC2(CCC2)[C@H]1C(C)C ZINC000336530070 387353071 /nfs/dbraw/zinc/35/30/71/387353071.db2.gz LUGKVEADDUNXTD-CQSZACIVSA-N 0 3 247.386 2.739 20 0 BFADHN CCc1ccc(CN(CC)[C@@H]2CCOC2)cc1 ZINC000361251439 387424618 /nfs/dbraw/zinc/42/46/18/387424618.db2.gz NTHQTCJWBQGNMY-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN COc1c(O)cccc1CN1CC[C@H]1C(C)C ZINC000352683684 387433918 /nfs/dbraw/zinc/43/39/18/387433918.db2.gz LPSHJAFADQAAIX-LBPRGKRZSA-N 0 3 235.327 2.631 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1C(=O)[C@@H](N)CCC ZINC000423848074 387435162 /nfs/dbraw/zinc/43/51/62/387435162.db2.gz NEKSIKZBOLPCSE-RWMBFGLXSA-N 0 3 240.391 2.541 20 0 BFADHN CCN(CC)Cc1cncc(C(F)(F)F)c1 ZINC000361301900 387436731 /nfs/dbraw/zinc/43/67/31/387436731.db2.gz YXYVHTSPMFKQFU-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN c1csc(CN2CCC(c3cn[nH]c3)CC2)c1 ZINC000374306090 387441060 /nfs/dbraw/zinc/44/10/60/387441060.db2.gz BTQVVHXKSJCPJQ-UHFFFAOYSA-N 0 3 247.367 2.851 20 0 BFADHN CCc1ccc(CN[C@H]2CCCC[C@@H]2OC)cn1 ZINC000352704344 387447355 /nfs/dbraw/zinc/44/73/55/387447355.db2.gz JBJRHONLSPWACL-GJZGRUSLSA-N 0 3 248.370 2.691 20 0 BFADHN C[C@@H](N[C@H](C)C1CCCC1)c1ccncn1 ZINC000395802626 387452642 /nfs/dbraw/zinc/45/26/42/387452642.db2.gz ARZQOICJLSMUKC-GHMZBOCLSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H](CN1CC2(C1)CCOC2)c1ccccc1 ZINC000336613227 387454982 /nfs/dbraw/zinc/45/49/82/387454982.db2.gz QNPHYMOYVYYKEX-CYBMUJFWSA-N 0 3 231.339 2.512 20 0 BFADHN CC(C)CCn1cccc1CNC1CC1 ZINC000082784109 387388623 /nfs/dbraw/zinc/38/86/23/387388623.db2.gz FWUSGHDXWWAXHR-UHFFFAOYSA-N 0 3 206.333 2.786 20 0 BFADHN Cc1ccc2nccc(N[C@@H](C)C[C@@H](C)O)c2c1 ZINC000354560846 387395577 /nfs/dbraw/zinc/39/55/77/387395577.db2.gz DRORLAVBJDCWKH-NWDGAFQWSA-N 0 3 244.338 2.536 20 0 BFADHN CC[C@@H](C)[C@@H](C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000423702309 387401153 /nfs/dbraw/zinc/40/11/53/387401153.db2.gz XXZFZFPQKMIEPY-GHMZBOCLSA-N 0 3 246.354 2.911 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@@H]1C[C@H]1C)C2 ZINC000361105757 387401591 /nfs/dbraw/zinc/40/15/91/387401591.db2.gz CJPRWZZZMFKSTL-YPMHNXCESA-N 0 3 201.313 2.967 20 0 BFADHN Cc1ccnc([C@@H](C)NC[C@H]2CCSC2)c1 ZINC000287284827 387405357 /nfs/dbraw/zinc/40/53/57/387405357.db2.gz DANGCEZCFMBCMW-VXGBXAGGSA-N 0 3 236.384 2.794 20 0 BFADHN CC1(C)COCCN1C[C@H]1C[C@@H]1c1ccccc1 ZINC000352653755 387405865 /nfs/dbraw/zinc/40/58/65/387405865.db2.gz DYSOVEJZBWNRCJ-HUUCEWRRSA-N 0 3 245.366 2.901 20 0 BFADHN CC(C)C1CC(N[C@@H](C)c2cnn(C)c2)C1 ZINC000303870360 387406874 /nfs/dbraw/zinc/40/68/74/387406874.db2.gz LASTXSFOLRWUIV-ZBOXLXRLSA-N 0 3 221.348 2.505 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)C[C@@H]2C)cc1C ZINC000361153263 387406986 /nfs/dbraw/zinc/40/69/86/387406986.db2.gz JABDYFMUYQKLEQ-CABCVRRESA-N 0 3 246.398 2.828 20 0 BFADHN Cc1ccn2cc(CN[C@@H](C)C3CC3)nc2c1 ZINC000361156548 387409207 /nfs/dbraw/zinc/40/92/07/387409207.db2.gz XQXKZNOOKWDHOV-NSHDSACASA-N 0 3 229.327 2.531 20 0 BFADHN Cc1cc(C)n(CCN2CCC[C@H]3CCC[C@H]32)n1 ZINC000336584589 387410567 /nfs/dbraw/zinc/41/05/67/387410567.db2.gz UIMZFDBBGMGGGF-HUUCEWRRSA-N 0 3 247.386 2.764 20 0 BFADHN CC(C)(C)CCN1CCC[C@H]1c1ncc[nH]1 ZINC000374855683 387495122 /nfs/dbraw/zinc/49/51/22/387495122.db2.gz ITHOUHJFYPQTSC-NSHDSACASA-N 0 3 221.348 2.983 20 0 BFADHN CCN(C)c1ccc(CN2CCC23CCC3)cn1 ZINC000361569266 387496114 /nfs/dbraw/zinc/49/61/14/387496114.db2.gz XXSLEBZBXVBSAJ-UHFFFAOYSA-N 0 3 245.370 2.666 20 0 BFADHN Cc1cccc(CNCC2=CCOCC2)c1F ZINC000397636570 387496724 /nfs/dbraw/zinc/49/67/24/387496724.db2.gz PSXCWCWABMLGFW-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN CC(C)C1(CN[C@@H](C)c2ccncn2)CC1 ZINC000395875526 387496869 /nfs/dbraw/zinc/49/68/69/387496869.db2.gz FHHHPUAPHZZNPS-NSHDSACASA-N 0 3 219.332 2.563 20 0 BFADHN OCC1CCC(NCc2ccc(Cl)o2)CC1 ZINC000304624113 387496765 /nfs/dbraw/zinc/49/67/65/387496765.db2.gz POLZFNBYXNLJGV-UHFFFAOYSA-N 0 3 243.734 2.574 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CC[C@@H]2CCC[C@@H]2C1 ZINC000352809687 387501206 /nfs/dbraw/zinc/50/12/06/387501206.db2.gz IJCRRAZPVJTRGW-YNEHKIRRSA-N 0 3 233.359 2.777 20 0 BFADHN CCCCN(CC)CC(=O)N(CCC)CCC ZINC000355261061 387506095 /nfs/dbraw/zinc/50/60/95/387506095.db2.gz IQNNGLPIHIYDGH-UHFFFAOYSA-N 0 3 242.407 2.757 20 0 BFADHN CCCCN(CC)CC(=O)N(CC)CCCC ZINC000355252758 387506374 /nfs/dbraw/zinc/50/63/74/387506374.db2.gz DUUWCGOUMWOMSH-UHFFFAOYSA-N 0 3 242.407 2.757 20 0 BFADHN C[C@H](CF)NCCSCc1ccccc1 ZINC000290103332 387507023 /nfs/dbraw/zinc/50/70/23/387507023.db2.gz HVFANMVFOVEOTQ-LLVKDONJSA-N 0 3 227.348 2.867 20 0 BFADHN CN(C)Cc1cccc(N[C@H]2COC(C)(C)C2)c1 ZINC000396887036 387507468 /nfs/dbraw/zinc/50/74/68/387507468.db2.gz OBUYQNOBXRIXLN-CQSZACIVSA-N 0 3 248.370 2.728 20 0 BFADHN CCCCN(CC)C(=O)CN(C)[C@H](C)C(C)C ZINC000355270171 387510169 /nfs/dbraw/zinc/51/01/69/387510169.db2.gz WJJJNOLGAZGZEK-CYBMUJFWSA-N 0 3 242.407 2.611 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2CCOC[C@@H]2C)c1 ZINC000192646178 387512350 /nfs/dbraw/zinc/51/23/50/387512350.db2.gz MHBYLPHEZLVGPA-CMPLNLGQSA-N 0 3 220.316 2.535 20 0 BFADHN C[C@@H](CNCc1ccccn1)CC(F)(F)F ZINC000396920822 387515738 /nfs/dbraw/zinc/51/57/38/387515738.db2.gz YPXCUYJPOYLIJT-SECBINFHSA-N 0 3 232.249 2.760 20 0 BFADHN CCOc1ccc(CN(C)CCCF)cc1 ZINC000361648127 387518604 /nfs/dbraw/zinc/51/86/04/387518604.db2.gz OADUBPYHRCHFFK-UHFFFAOYSA-N 0 3 225.307 2.877 20 0 BFADHN Cc1n[nH]cc1C1CCN(Cc2ccco2)CC1 ZINC000375267056 387520194 /nfs/dbraw/zinc/52/01/94/387520194.db2.gz OKQMKDCPOQGXLG-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1ccc2c(n1)CCC2 ZINC000516547741 387520230 /nfs/dbraw/zinc/52/02/30/387520230.db2.gz RXFBCPFAYVBBCA-NWDGAFQWSA-N 0 3 230.355 2.801 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCO[C@H]2C2CC2)o1 ZINC000300413389 387520628 /nfs/dbraw/zinc/52/06/28/387520628.db2.gz BLNQDXRBUHIYED-SUHUHFCYSA-N 0 3 235.327 2.806 20 0 BFADHN COCCN(C[C@H]1CC=CCC1)CC1(F)CC1 ZINC000527243467 387543870 /nfs/dbraw/zinc/54/38/70/387543870.db2.gz GCAFSUJVCWKCQO-ZDUSSCGKSA-N 0 3 241.350 2.793 20 0 BFADHN Fc1ccc(CN2CC[C@@H](c3ccco3)C2)cn1 ZINC000375287120 387522467 /nfs/dbraw/zinc/52/24/67/387522467.db2.gz NEVYOLLVHAFEED-GFCCVEGCSA-N 0 3 246.285 2.803 20 0 BFADHN Cc1ccc(CN[C@H]2CCN(C3CCCC3)C2)o1 ZINC000106472729 387526565 /nfs/dbraw/zinc/52/65/65/387526565.db2.gz ZPEQYINFKODXLS-ZDUSSCGKSA-N 0 3 248.370 2.695 20 0 BFADHN C[C@@H](NCCc1cccc(F)c1)c1ccncn1 ZINC000396052496 387527596 /nfs/dbraw/zinc/52/75/96/387527596.db2.gz VLMAGJDIUBKISN-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN CC(C)C[C@@H](C)N1CCO[C@H](C(C)C)C1 ZINC000445456107 387530277 /nfs/dbraw/zinc/53/02/77/387530277.db2.gz NAFMZQNYMYRLBW-OLZOCXBDSA-N 0 3 213.365 2.778 20 0 BFADHN CSCCN1CC[C@H](Oc2ccccc2)C1 ZINC000361770398 387533965 /nfs/dbraw/zinc/53/39/65/387533965.db2.gz WWZTWXBLQCWVSS-ZDUSSCGKSA-N 0 3 237.368 2.503 20 0 BFADHN CC(C)[C@@H](NC[C@@H](C)O)c1ccc(F)cc1F ZINC000192786244 387534243 /nfs/dbraw/zinc/53/42/43/387534243.db2.gz SAZSYUDIGARAIH-NOZJJQNGSA-N 0 3 243.297 2.632 20 0 BFADHN CC(C)=CCN1CCC(c2c[nH]nc2C)CC1 ZINC000375374395 387534377 /nfs/dbraw/zinc/53/43/77/387534377.db2.gz WRTHTGOFMOYTTD-UHFFFAOYSA-N 0 3 233.359 2.864 20 0 BFADHN CC(C)CC[C@@H](NCc1nccn1C)C1CC1 ZINC000310253378 387536574 /nfs/dbraw/zinc/53/65/74/387536574.db2.gz YXYVXYHKZQJWQD-CYBMUJFWSA-N 0 3 235.375 2.725 20 0 BFADHN FCCCCCN1CCNCc2ccccc21 ZINC000310482633 387537802 /nfs/dbraw/zinc/53/78/02/387537802.db2.gz FBHHOTIHKVPSPD-UHFFFAOYSA-N 0 3 236.334 2.736 20 0 BFADHN CC(C)COC1CCN(CC2(F)CC2)CC1 ZINC000527218786 387539523 /nfs/dbraw/zinc/53/95/23/387539523.db2.gz OKBNHGTUUBVRDB-UHFFFAOYSA-N 0 3 229.339 2.626 20 0 BFADHN c1ccc2[nH]c(CN[C@@H]3CCC[C@H]4C[C@H]43)nc2c1 ZINC000310820069 387540948 /nfs/dbraw/zinc/54/09/48/387540948.db2.gz CWBSRURKUYSJFH-QJPTWQEYSA-N 0 3 241.338 2.841 20 0 BFADHN C[C@H](N[C@H]1C[C@H](C)C[C@H](C)C1)c1ccncn1 ZINC000395809162 387463923 /nfs/dbraw/zinc/46/39/23/387463923.db2.gz DMCSGFQBTDFANH-QNWHQSFQSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@H](NC1C[C@H](C)C[C@@H](C)C1)c1ccncn1 ZINC000395809163 387464465 /nfs/dbraw/zinc/46/44/65/387464465.db2.gz DMCSGFQBTDFANH-UTUOFQBUSA-N 0 3 233.359 2.952 20 0 BFADHN CCC1(CNCc2c(OC)cccc2OC)CC1 ZINC000352766177 387466550 /nfs/dbraw/zinc/46/65/50/387466550.db2.gz UZZXWTLQUUSARU-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN FCCCN1CC[C@H]1Cc1ccccc1 ZINC000361519265 387479343 /nfs/dbraw/zinc/47/93/43/387479343.db2.gz NKORURRTYLLDNO-ZDUSSCGKSA-N 0 3 207.292 2.663 20 0 BFADHN CO[C@@H]1CCCN([C@@H](C)c2cccnc2)CC1 ZINC000374703504 387482628 /nfs/dbraw/zinc/48/26/28/387482628.db2.gz VGCYELLSXORHNV-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN CCN(C)c1ccc(CN2CC[C@@H]2C(C)C)cn1 ZINC000361545173 387484385 /nfs/dbraw/zinc/48/43/85/387484385.db2.gz ZUZHMPRRDVKHMS-CQSZACIVSA-N 0 3 247.386 2.768 20 0 BFADHN COC1(CN(C)Cc2ccoc2)CCCC1 ZINC000361546801 387485545 /nfs/dbraw/zinc/48/55/45/387485545.db2.gz CLHGYEZZYQKMDK-UHFFFAOYSA-N 0 3 223.316 2.671 20 0 BFADHN Cc1cc(CN(CC2CC2)CC2CCC2)nn1C ZINC000361554087 387487601 /nfs/dbraw/zinc/48/76/01/387487601.db2.gz RVIGTZVFDWBPLL-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1ccc(CC[C@@H](C)NCc2n[nH]cc2C)o1 ZINC000352799560 387489141 /nfs/dbraw/zinc/48/91/41/387489141.db2.gz OLXMGXQSGICEKB-LLVKDONJSA-N 0 3 247.342 2.730 20 0 BFADHN Cc1ccc(CC[C@H](C)NCc2n[nH]cc2C)o1 ZINC000352799562 387489177 /nfs/dbraw/zinc/48/91/77/387489177.db2.gz OLXMGXQSGICEKB-NSHDSACASA-N 0 3 247.342 2.730 20 0 BFADHN CC(C)CC[C@@H](NCc1ccn(C)n1)C1CC1 ZINC000336724985 387581770 /nfs/dbraw/zinc/58/17/70/387581770.db2.gz ZTMDBDXHCRDEKY-CQSZACIVSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1ccc(C)c(CN[C@H](C)c2cnn(C)c2)c1 ZINC000336790845 387583126 /nfs/dbraw/zinc/58/31/26/387583126.db2.gz YKGBSQZETRPNFW-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cc(C)c(CNCCn2cccn2)cc1C ZINC000042351839 387628192 /nfs/dbraw/zinc/62/81/92/387628192.db2.gz MDQIBRCDIBICEH-UHFFFAOYSA-N 0 3 243.354 2.598 20 0 BFADHN C[C@@H]1CN(Cc2ncccc2Cl)C[C@H]1C ZINC000528397815 387585297 /nfs/dbraw/zinc/58/52/97/387585297.db2.gz VKFLDXZABDXZNN-NXEZZACHSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@@H](NCC12CCC(CC1)C2)c1nccn1C ZINC000362256870 387589328 /nfs/dbraw/zinc/58/93/28/387589328.db2.gz MNTBNVKBVPMIDU-LKSINWNRSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@@H]3CCCC[C@H]3C2)cn1 ZINC000428219707 387591657 /nfs/dbraw/zinc/59/16/57/387591657.db2.gz GAWQKMVDGXRRBA-IHRRRGAJSA-N 0 3 245.370 2.968 20 0 BFADHN CCN(CC1CC1)[C@@H](C)c1cnc(C)cn1 ZINC000428223803 387591724 /nfs/dbraw/zinc/59/17/24/387591724.db2.gz BNMKZOCPCUTWFU-NSHDSACASA-N 0 3 219.332 2.578 20 0 BFADHN CO[C@H](C)CN(Cc1ccnc(C)c1)C(C)C ZINC000527535266 387628713 /nfs/dbraw/zinc/62/87/13/387628713.db2.gz RARNVCVIDRUFSW-CYBMUJFWSA-N 0 3 236.359 2.635 20 0 BFADHN COCCOc1ccccc1CN1[C@@H](C)C[C@@H]1C ZINC000527529532 387598212 /nfs/dbraw/zinc/59/82/12/387598212.db2.gz ZQJAJBCKJXJCGE-STQMWFEESA-N 0 3 249.354 2.695 20 0 BFADHN Cc1ccccc1O[C@H](C)CNCC1(F)CC1 ZINC000527528847 387598768 /nfs/dbraw/zinc/59/87/68/387598768.db2.gz QTPYBHQLZUZJJP-GFCCVEGCSA-N 0 3 237.318 2.854 20 0 BFADHN c1csc(CCN[C@@H]2CCCc3occc32)n1 ZINC000124036046 387603358 /nfs/dbraw/zinc/60/33/58/387603358.db2.gz UUYJAERUEZOZGQ-LLVKDONJSA-N 0 3 248.351 2.946 20 0 BFADHN c1cn(CCNc2ccnc3ccccc32)cn1 ZINC000041721197 387607198 /nfs/dbraw/zinc/60/71/98/387607198.db2.gz CFKOBSIAZANNFW-UHFFFAOYSA-N 0 3 238.294 2.543 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2C[C@H](C)O)ccn1 ZINC000527538371 387607121 /nfs/dbraw/zinc/60/71/21/387607121.db2.gz GSFBWETVBRMXPQ-ZFWWWQNUSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1cc(CN2CCC(C)=C(C)C2)ccn1 ZINC000527539130 387607674 /nfs/dbraw/zinc/60/76/74/387607674.db2.gz KMQQJCOTPQEWAY-UHFFFAOYSA-N 0 3 216.328 2.932 20 0 BFADHN CCCn1nc(C)c(CN2CCC[C@@H]2C)c1C ZINC000124290773 387610865 /nfs/dbraw/zinc/61/08/65/387610865.db2.gz IJRBGVKZPSPQJO-NSHDSACASA-N 0 3 235.375 2.894 20 0 BFADHN COC[C@@H](C)NCc1cc2cc(OC)ccc2o1 ZINC000122888438 387611663 /nfs/dbraw/zinc/61/16/63/387611663.db2.gz ZDCCNDRGWWPNEO-SNVBAGLBSA-N 0 3 249.310 2.566 20 0 BFADHN CCOC1(C)CCN(Cc2ccnc(C)c2)CC1 ZINC000527540209 387612027 /nfs/dbraw/zinc/61/20/27/387612027.db2.gz QBLCERYUUHVOMF-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CSC1(CN(C)Cc2ccoc2)CCC1 ZINC000356080376 387614640 /nfs/dbraw/zinc/61/46/40/387614640.db2.gz CQEJQCBGPZHIJF-UHFFFAOYSA-N 0 3 225.357 2.997 20 0 BFADHN Cc1cn[nH]c1CN1CC[C@H]1Cc1ccccc1 ZINC000356127528 387619229 /nfs/dbraw/zinc/61/92/29/387619229.db2.gz OPAUYJNCIAWQBT-AWEZNQCLSA-N 0 3 241.338 2.535 20 0 BFADHN CSc1ccc(CN(C)CC(C)(C)O)s1 ZINC000356126042 387619493 /nfs/dbraw/zinc/61/94/93/387619493.db2.gz MKJJBHBYOMKNOI-UHFFFAOYSA-N 0 3 245.413 2.673 20 0 BFADHN C[C@H](NCCC(F)(F)F)c1cccc(CO)c1 ZINC000305628685 387619550 /nfs/dbraw/zinc/61/95/50/387619550.db2.gz DNILJKCDXSSYFQ-VIFPVBQESA-N 0 3 247.260 2.782 20 0 BFADHN Cn1ccc(CNCc2cc3ccccc3[nH]2)c1 ZINC000124573447 387623351 /nfs/dbraw/zinc/62/33/51/387623351.db2.gz YWSCNXDKVQMLEI-UHFFFAOYSA-N 0 3 239.322 2.796 20 0 BFADHN Cc1n[nH]cc1CN1CC[C@H](C)C(C)(C)C1 ZINC000355530663 387548147 /nfs/dbraw/zinc/54/81/47/387548147.db2.gz MDLUEQIUEHTRRC-JTQLQIEISA-N 0 3 221.348 2.586 20 0 BFADHN COc1ccccc1CN1[C@@H](C)C[C@@H]1C ZINC000527239549 387548642 /nfs/dbraw/zinc/54/86/42/387548642.db2.gz ICJPZZYKGLKIGJ-QWRGUYRKSA-N 0 3 205.301 2.678 20 0 BFADHN C[C@@H](N[C@H]1CCc2ccccc21)c1ccnn1C ZINC000278194188 387549998 /nfs/dbraw/zinc/54/99/98/387549998.db2.gz FTSUDKKMGCTZQA-RISCZKNCSA-N 0 3 241.338 2.758 20 0 BFADHN C[C@@H](NCCc1ccco1)c1cccc(O)c1 ZINC000035661638 387553558 /nfs/dbraw/zinc/55/35/58/387553558.db2.gz GXSMNWRFAJJNHW-LLVKDONJSA-N 0 3 231.295 2.879 20 0 BFADHN CC1(C)Cc2occc2[C@H](NCC2(O)CCC2)C1 ZINC000305100739 387554781 /nfs/dbraw/zinc/55/47/81/387554781.db2.gz BDIOXHBJWOYEFP-GFCCVEGCSA-N 0 3 249.354 2.798 20 0 BFADHN COC1(CN(C)Cc2cnccc2C)CCCC1 ZINC000355606653 387556480 /nfs/dbraw/zinc/55/64/80/387556480.db2.gz LZGVAXFDCIXLOQ-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CC[C@@H](C)CNCc1c(OC)cccc1OC ZINC000422083599 387557691 /nfs/dbraw/zinc/55/76/91/387557691.db2.gz IWMNPMSPDQWRPI-LLVKDONJSA-N 0 3 237.343 2.840 20 0 BFADHN CCC[C@H](O)CN[C@@H]1CCCOc2ccccc21 ZINC000305155660 387563554 /nfs/dbraw/zinc/56/35/54/387563554.db2.gz VPTXXHYZNDUHCJ-GXTWGEPZSA-N 0 3 249.354 2.651 20 0 BFADHN C[C@@H](NCCCO)c1cc2cccc(F)c2o1 ZINC000355728819 387573314 /nfs/dbraw/zinc/57/33/14/387573314.db2.gz NPSVOHQXLUVXTF-SECBINFHSA-N 0 3 237.274 2.605 20 0 BFADHN Fc1cccc(C2CCN([C@@H]3CCOC3)CC2)c1 ZINC000375720582 387573948 /nfs/dbraw/zinc/57/39/48/387573948.db2.gz AWXLTYFCKQWQPS-OAHLLOKOSA-N 0 3 249.329 2.794 20 0 BFADHN C[C@@H]1C[C@@H](C)[C@H](C)N(Cc2n[nH]c(C3CC3)n2)C1 ZINC000355750917 387577702 /nfs/dbraw/zinc/57/77/02/387577702.db2.gz VLTJVLGGDBFBLC-MXWKQRLJSA-N 0 3 248.374 2.549 20 0 BFADHN Cc1ccc(C)c([C@@H](C)NCCn2cccn2)c1 ZINC000042538906 387633593 /nfs/dbraw/zinc/63/35/93/387633593.db2.gz WVIKUDPNWLAEKK-CQSZACIVSA-N 0 3 243.354 2.851 20 0 BFADHN CCc1ccc(CN[C@@H](C)Cn2cccn2)s1 ZINC000042540486 387634967 /nfs/dbraw/zinc/63/49/67/387634967.db2.gz QXLGXSBOFDJHMJ-NSHDSACASA-N 0 3 249.383 2.685 20 0 BFADHN CC(C)CN1CCc2nc(C(C)C)ncc2C1 ZINC000527620166 387638988 /nfs/dbraw/zinc/63/89/88/387638988.db2.gz YBTIAJUMMIZMGK-UHFFFAOYSA-N 0 3 233.359 2.614 20 0 BFADHN c1cc(CN[C@H]2CC[C@H](c3ccccc3)C2)n[nH]1 ZINC000362639419 387642765 /nfs/dbraw/zinc/64/27/65/387642765.db2.gz MOKYBKVDRUYRNT-KBPBESRZSA-N 0 3 241.338 2.836 20 0 BFADHN C[C@H](NC[C@@H]1CCC(F)(F)C1)c1nccn1C ZINC000430728015 387644323 /nfs/dbraw/zinc/64/43/23/387644323.db2.gz JCFMUYHCBFITKS-VHSXEESVSA-N 0 3 243.301 2.506 20 0 BFADHN CC[C@H](C)[C@](C)(O)CN[C@@H](C)c1ccccn1 ZINC000305746490 387645211 /nfs/dbraw/zinc/64/52/11/387645211.db2.gz VXCZHDNLWVBCKF-SGMGOOAPSA-N 0 3 236.359 2.529 20 0 BFADHN CC(=O)CCN1CC[C@@H](c2ccc(F)cc2)C1 ZINC000376518661 387646816 /nfs/dbraw/zinc/64/68/16/387646816.db2.gz IXBAMZMTLPCUIF-CYBMUJFWSA-N 0 3 235.302 2.594 20 0 BFADHN COc1ncccc1CN[C@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000527637008 387646949 /nfs/dbraw/zinc/64/69/49/387646949.db2.gz UJWPONRPJNSOMS-SWHYSGLUSA-N 0 3 246.354 2.614 20 0 BFADHN Cc1c[nH]nc1CN1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000356402468 387652864 /nfs/dbraw/zinc/65/28/64/387652864.db2.gz YHOGLQMABRZKLQ-CKYFFXLPSA-N 0 3 221.348 2.585 20 0 BFADHN Cc1cnc([C@@H](C)N[C@@H]2CCCOCC2)s1 ZINC000125408239 387654620 /nfs/dbraw/zinc/65/46/20/387654620.db2.gz OOUVIDOCEVTRLO-GHMZBOCLSA-N 0 3 240.372 2.671 20 0 BFADHN Cc1ccc(CN2CC(C)=C[C@H](C)C2)o1 ZINC000430882250 387655698 /nfs/dbraw/zinc/65/56/98/387655698.db2.gz IVVZXRYZULHVHU-JTQLQIEISA-N 0 3 205.301 2.986 20 0 BFADHN COc1ccnc(CN2[C@H](C)CCC2(C)C)c1 ZINC000452004455 387695621 /nfs/dbraw/zinc/69/56/21/387695621.db2.gz HSTJDLCLZYLVAF-LLVKDONJSA-N 0 3 234.343 2.853 20 0 BFADHN Cc1cc(CNCCOC2CCCCC2)ccn1 ZINC000527649612 387660042 /nfs/dbraw/zinc/66/00/42/387660042.db2.gz SBQPHHGXPQSBRN-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN COc1ccncc1CN[C@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000527714178 387670678 /nfs/dbraw/zinc/67/06/78/387670678.db2.gz XEMGKXXKIRGLAJ-SWHYSGLUSA-N 0 3 246.354 2.614 20 0 BFADHN Cc1cccc(CN[C@@]23C[C@@H]2COC3(C)C)c1C ZINC000527757361 387696039 /nfs/dbraw/zinc/69/60/39/387696039.db2.gz DPLODDJWSKNPRC-ZBFHGGJFSA-N 0 3 245.366 2.961 20 0 BFADHN C[C@@H](N[C@@H](C)[C@@H]1C[C@H]1C1CC1)c1ccn(C)n1 ZINC000527721362 387675180 /nfs/dbraw/zinc/67/51/80/387675180.db2.gz RPQBEYBPYDNVBK-LFSVMHDDSA-N 0 3 233.359 2.505 20 0 BFADHN CC(C)(C)CCN1CCO[C@@H]2CCC[C@@H]21 ZINC000362941422 387676971 /nfs/dbraw/zinc/67/69/71/387676971.db2.gz JYZQSIBPFCNOME-NWDGAFQWSA-N 0 3 211.349 2.676 20 0 BFADHN Clc1cnccc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000527724365 387677137 /nfs/dbraw/zinc/67/71/37/387677137.db2.gz CRJFEIFMQQKGPH-PEGIJTEDSA-N 0 3 222.719 2.623 20 0 BFADHN CC1(C)CCC[C@@H]1CCNCc1cc[nH]n1 ZINC000527731371 387679809 /nfs/dbraw/zinc/67/98/09/387679809.db2.gz ZAMJAGOVSKMWQG-LLVKDONJSA-N 0 3 221.348 2.716 20 0 BFADHN COCCCCN1CCC(F)(F)C[C@H]1C ZINC000528770942 387682355 /nfs/dbraw/zinc/68/23/55/387682355.db2.gz WBEWCGAGCOOSRI-SNVBAGLBSA-N 0 3 221.291 2.533 20 0 BFADHN Cc1nc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)[nH]c1C ZINC000527732563 387682616 /nfs/dbraw/zinc/68/26/16/387682616.db2.gz AUXJWYCMPWPQBK-FRRDWIJNSA-N 0 3 233.359 2.552 20 0 BFADHN CC(C)c1ccc2c(c1)[C@H](NCCO)CCCO2 ZINC000126475169 387685836 /nfs/dbraw/zinc/68/58/36/387685836.db2.gz OWWXJPKNWHGADF-CQSZACIVSA-N 0 3 249.354 2.606 20 0 BFADHN CC[C@@H](F)CN1CC[C@H](Nc2ccccc2)C1 ZINC000440771884 387687560 /nfs/dbraw/zinc/68/75/60/387687560.db2.gz SLLONVZNDRCIOZ-OCCSQVGLSA-N 0 3 236.334 2.921 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1cccc(F)c1 ZINC000044689598 387687897 /nfs/dbraw/zinc/68/78/97/387687897.db2.gz GYFNKLJUJIBDLK-WDEREUQCSA-N 0 3 225.307 2.901 20 0 BFADHN CCOC[C@H](C)NCc1ccc(CC)cc1 ZINC000044688263 387689194 /nfs/dbraw/zinc/68/91/94/387689194.db2.gz ZTPJOKUUKSYERT-LBPRGKRZSA-N 0 3 221.344 2.764 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ccc(F)cc1 ZINC000044688785 387689786 /nfs/dbraw/zinc/68/97/86/387689786.db2.gz FBVCNWCDGGNPRQ-WDEREUQCSA-N 0 3 225.307 2.901 20 0 BFADHN COc1cccc(OC)c1CNCC1CC=CC1 ZINC000527746101 387690360 /nfs/dbraw/zinc/69/03/60/387690360.db2.gz UUMREOQPQABXHM-UHFFFAOYSA-N 0 3 247.338 2.760 20 0 BFADHN CCCCCN1CCC[C@@H](c2noc(C)n2)C1 ZINC000377725153 387743293 /nfs/dbraw/zinc/74/32/93/387743293.db2.gz JAOBKDMKOBHFFK-GFCCVEGCSA-N 0 3 237.347 2.748 20 0 BFADHN C[C@@H](Cc1cccc(O)c1)NCc1ccco1 ZINC000356908309 387743663 /nfs/dbraw/zinc/74/36/63/387743663.db2.gz ABCOOMXHQFJIJW-NSHDSACASA-N 0 3 231.295 2.706 20 0 BFADHN C[C@@H](N[C@@H](C)c1ncccc1F)C1CC1 ZINC000527826655 387744919 /nfs/dbraw/zinc/74/49/19/387744919.db2.gz QEEWOCWNVCKVBE-BDAKNGLRSA-N 0 3 208.280 2.670 20 0 BFADHN CC(C)=CCN1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000377783638 387749108 /nfs/dbraw/zinc/74/91/08/387749108.db2.gz OTSKXHSNYSHYSW-KBPBESRZSA-N 0 3 235.371 2.986 20 0 BFADHN C[C@H](NCc1cn2ccccc2n1)[C@H]1CC12CC2 ZINC000527847341 387752523 /nfs/dbraw/zinc/75/25/23/387752523.db2.gz OHYICVVFQZTAPH-WCQYABFASA-N 0 3 241.338 2.613 20 0 BFADHN COc1ncccc1CN1[C@H](C)CCC1(C)C ZINC000452019005 387701129 /nfs/dbraw/zinc/70/11/29/387701129.db2.gz YJZONIZHPSHURC-LLVKDONJSA-N 0 3 234.343 2.853 20 0 BFADHN Cc1ccc(F)c(CN[C@]23C[C@H]2COC3(C)C)c1 ZINC000527767956 387702404 /nfs/dbraw/zinc/70/24/04/387702404.db2.gz YWLGNRPKOLCPLN-SWLSCSKDSA-N 0 3 249.329 2.791 20 0 BFADHN COC[C@@H](C)N[C@H]1CCc2ccc(Cl)cc21 ZINC000127146440 387706568 /nfs/dbraw/zinc/70/65/68/387706568.db2.gz ZODBLIUESUXYBH-RNCFNFMXSA-N 0 3 239.746 2.952 20 0 BFADHN Cc1cc(CN2CCCC(C)(C)CC2)no1 ZINC000127076771 387707029 /nfs/dbraw/zinc/70/70/29/387707029.db2.gz GOCLKNAPOWJOTA-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN CC[C@@H]1C[C@H]1C(=O)Nc1ccc(CN(C)C)cc1 ZINC000448646255 387709710 /nfs/dbraw/zinc/70/97/10/387709710.db2.gz HATMWGOJXSCJPK-TZMCWYRMSA-N 0 3 246.354 2.733 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1cc(F)ccc1C ZINC000527783098 387710388 /nfs/dbraw/zinc/71/03/88/387710388.db2.gz PLAVGJRMFBGOKT-KGLIPLIRSA-N 0 3 237.318 2.791 20 0 BFADHN Fc1ccc(CN2CC[C@@]3(C2)CCCOC3)cc1 ZINC000367463007 387713634 /nfs/dbraw/zinc/71/36/34/387713634.db2.gz JHCSNIBEWAQXAN-OAHLLOKOSA-N 0 3 249.329 2.828 20 0 BFADHN COC[C@H](C)CN[C@@H](C)c1cccc(F)c1F ZINC000127469365 387716338 /nfs/dbraw/zinc/71/63/38/387716338.db2.gz VGELUTSQWLQDLP-ZJUUUORDSA-N 0 3 243.297 2.898 20 0 BFADHN CC(C)CCCN[C@H](c1nccn1C)C1CC1 ZINC000127538218 387717741 /nfs/dbraw/zinc/71/77/41/387717741.db2.gz RURVNHCIQCNUOL-ZDUSSCGKSA-N 0 3 235.375 2.897 20 0 BFADHN CCC[C@@H](C)CN[C@@H]1Cc2ccccc2NC1=O ZINC000527799239 387723389 /nfs/dbraw/zinc/72/33/89/387723389.db2.gz KJMDRHVZDOGLBJ-BXUZGUMPSA-N 0 3 246.354 2.576 20 0 BFADHN CN(Cc1cnn(C)c1)C1CCC(C)(C)CC1 ZINC000127704123 387725596 /nfs/dbraw/zinc/72/55/96/387725596.db2.gz LVQDSYSPLHGVDQ-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@@H](NCc1ncc[nH]1)[C@@H]1CCCC[C@H]1C ZINC000648702236 387726055 /nfs/dbraw/zinc/72/60/55/387726055.db2.gz DYRWDSCTRMPWNC-IJLUTSLNSA-N 0 3 221.348 2.714 20 0 BFADHN CCCn1cc(CN2C[C@@H](C)CC[C@@H]2C)cn1 ZINC000127777692 387726450 /nfs/dbraw/zinc/72/64/50/387726450.db2.gz ZDUOFSYMUDPBDI-STQMWFEESA-N 0 3 235.375 2.914 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@@]1(C)CCOC1 ZINC000356843380 387728970 /nfs/dbraw/zinc/72/89/70/387728970.db2.gz HAARXJJQRSJYNO-SWLSCSKDSA-N 0 3 248.370 2.721 20 0 BFADHN Cc1occc1CNC[C@@H]1CCN1C1CCCC1 ZINC000527809753 387730287 /nfs/dbraw/zinc/73/02/87/387730287.db2.gz NAMCIRGWXVHRGB-HNNXBMFYSA-N 0 3 248.370 2.695 20 0 BFADHN Clc1ccc(Cl)c(CNCC2COC2)c1 ZINC000527816992 387737719 /nfs/dbraw/zinc/73/77/19/387737719.db2.gz JGWQLAQEMOCWFA-UHFFFAOYSA-N 0 3 246.137 2.729 20 0 BFADHN CCC(C)(C)CCN1CCN(CC2CC2)CC1 ZINC000441224048 387738979 /nfs/dbraw/zinc/73/89/79/387738979.db2.gz LAARMBCVFSHKFP-UHFFFAOYSA-N 0 3 238.419 2.840 20 0 BFADHN CCc1ccc(CN2CCOCC[C@H]2C)cc1 ZINC000367654861 387740891 /nfs/dbraw/zinc/74/08/91/387740891.db2.gz CJXYXUGIEMYQSX-CYBMUJFWSA-N 0 3 233.355 2.860 20 0 BFADHN CCc1cnc(CN[C@H](C)[C@@H]2CC23CC3)s1 ZINC000527868698 387741244 /nfs/dbraw/zinc/74/12/44/387741244.db2.gz SVNKEOWGQYEIJF-KOLCDFICSA-N 0 3 236.384 2.984 20 0 BFADHN Cc1ccc(CN2CCOCC[C@H]2C)cc1 ZINC000367661082 387741685 /nfs/dbraw/zinc/74/16/85/387741685.db2.gz KNPQOBJXVKFMNY-CYBMUJFWSA-N 0 3 219.328 2.606 20 0 BFADHN CCc1cc(CN[C@@H](C)[C@H]2CC23CC3)on1 ZINC000527872679 387765678 /nfs/dbraw/zinc/76/56/78/387765678.db2.gz XXPXODICXDSTAN-JOYOIKCWSA-N 0 3 220.316 2.515 20 0 BFADHN Cc1ccc(CN[C@H](C)c2cnccc2N)s1 ZINC000422896913 387765730 /nfs/dbraw/zinc/76/57/30/387765730.db2.gz YTPGMTHQKBJABR-SNVBAGLBSA-N 0 3 247.367 2.715 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H](C)[C@H]2CC23CC3)[n-]1 ZINC000527877477 387767178 /nfs/dbraw/zinc/76/71/78/387767178.db2.gz PURCIKZKRMZRPF-GARJFASQSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@@H](C)[C@H]2CC23CC3)[nH]1 ZINC000527877477 387767183 /nfs/dbraw/zinc/76/71/83/387767183.db2.gz PURCIKZKRMZRPF-GARJFASQSA-N 0 3 248.374 2.767 20 0 BFADHN CN(CC1=CCCC1)C[C@H](O)Cc1ccccc1 ZINC000645922181 387767353 /nfs/dbraw/zinc/76/73/53/387767353.db2.gz HHLIUYNMFKNEKQ-MRXNPFEDSA-N 0 3 245.366 2.632 20 0 BFADHN CCC(C)(C)CCN1CCN(CC(F)F)CC1 ZINC000441536603 387769798 /nfs/dbraw/zinc/76/97/98/387769798.db2.gz XWCLVSNXXRGIDS-UHFFFAOYSA-N 0 3 248.361 2.695 20 0 BFADHN C[C@]1(O)CCCN(C/C=C/c2ccc(F)cc2)C1 ZINC000129523858 387773204 /nfs/dbraw/zinc/77/32/04/387773204.db2.gz IKSSZVIQTTVENE-OMDKTOEGSA-N 0 3 249.329 2.686 20 0 BFADHN COC(=O)C1(CN2Cc3ccccc3[C@H]2C)CC1 ZINC000450457141 387775944 /nfs/dbraw/zinc/77/59/44/387775944.db2.gz UWLJENTXJBKQFH-LLVKDONJSA-N 0 3 245.322 2.516 20 0 BFADHN C[C@H](N[C@H](c1nccn1C)C1CC1)[C@H]1CC12CC2 ZINC000527896891 387777509 /nfs/dbraw/zinc/77/75/09/387777509.db2.gz MGRLINWVLKLDMT-UHTWSYAYSA-N 0 3 245.370 2.649 20 0 BFADHN CN(CC1=CCCC1)[C@H]1CCCc2c1cnn2C ZINC000645838411 387778752 /nfs/dbraw/zinc/77/87/52/387778752.db2.gz RPBRSSCPCDCIJV-AWEZNQCLSA-N 0 3 245.370 2.840 20 0 BFADHN CCc1cc(N2CCSC[C@H](C)C2)ccn1 ZINC000450478379 387784528 /nfs/dbraw/zinc/78/45/28/387784528.db2.gz FXPKBNGWLUTQAH-LLVKDONJSA-N 0 3 236.384 2.833 20 0 BFADHN C[C@@H](N[C@@H](C)c1cscn1)[C@H]1CC12CC2 ZINC000527916067 387785946 /nfs/dbraw/zinc/78/59/46/387785946.db2.gz PZXFFXDHMWREFP-KXUCPTDWSA-N 0 3 222.357 2.982 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000527919111 387787696 /nfs/dbraw/zinc/78/76/96/387787696.db2.gz AQPAPYPUSWDETP-DGAVXFQQSA-N 0 3 247.386 2.990 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000527919113 387787938 /nfs/dbraw/zinc/78/79/38/387787938.db2.gz AQPAPYPUSWDETP-RFQIPJPRSA-N 0 3 247.386 2.990 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](c1cccnc1)C(C)C ZINC000527973011 387812792 /nfs/dbraw/zinc/81/27/92/387812792.db2.gz CKNRRERAXAEKJH-KFWWJZLASA-N 0 3 248.370 2.936 20 0 BFADHN C[C@H](NCCC1CC(O)C1)c1ccccc1F ZINC000432431507 387791250 /nfs/dbraw/zinc/79/12/50/387791250.db2.gz WJQREETYUWHGDN-UNXYVOJBSA-N 0 3 237.318 2.637 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CN2CC3(C2)CCCO3)C1 ZINC000628427744 387793221 /nfs/dbraw/zinc/79/32/21/387793221.db2.gz XCQQTSICMNPFCP-OCCSQVGLSA-N 0 3 235.371 2.844 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN2CC3(C2)CCCO3)C1 ZINC000628427743 387793421 /nfs/dbraw/zinc/79/34/21/387793421.db2.gz XCQQTSICMNPFCP-JSGCOSHPSA-N 0 3 235.371 2.844 20 0 BFADHN Cc1ccc([C@@H]2CCN(Cc3ccno3)C2)cc1 ZINC000378652484 387793909 /nfs/dbraw/zinc/79/39/09/387793909.db2.gz SZNFUHKGHPAEOX-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN C[C@@H](NCC12CC(C1)CO2)c1cccc(F)c1 ZINC000527978963 387795828 /nfs/dbraw/zinc/79/58/28/387795828.db2.gz LYZKSMNWSRECLA-CDWSIMAYSA-N 0 3 235.302 2.655 20 0 BFADHN CCC[C@@H](NCC12CC(C1)CO2)c1ccccn1 ZINC000527981092 387798053 /nfs/dbraw/zinc/79/80/53/387798053.db2.gz KFUZEWXOSXAAMT-JVWICGRDSA-N 0 3 246.354 2.691 20 0 BFADHN CCc1ccc([C@@H](C)NCC23CC(C2)CO3)o1 ZINC000527982164 387799698 /nfs/dbraw/zinc/79/96/98/387799698.db2.gz OQKWDOHQYNMRBZ-CDWSIMAYSA-N 0 3 235.327 2.672 20 0 BFADHN Cc1ccc(CN[C@H]2CCOC2)cc1Cl ZINC000193736786 387801494 /nfs/dbraw/zinc/80/14/94/387801494.db2.gz JLZMODBUWCLUHC-NSHDSACASA-N 0 3 225.719 2.527 20 0 BFADHN COC[C@H](CC(C)C)NCc1cccnc1C ZINC000527984692 387801979 /nfs/dbraw/zinc/80/19/79/387801979.db2.gz HBLMQTWGUUGBGM-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccc(OC)cc1 ZINC000527972669 387813270 /nfs/dbraw/zinc/81/32/70/387813270.db2.gz CESKIUDJUIRBSP-GLQYFDAESA-N 0 3 249.354 2.913 20 0 BFADHN C=Cn1cc(CN(CC(C)C)C2CC2)cn1 ZINC000193719686 387803183 /nfs/dbraw/zinc/80/31/83/387803183.db2.gz KXGKKLNNKWQRHN-UHFFFAOYSA-N 0 3 219.332 2.604 20 0 BFADHN COc1ccc(CCN[C@@H](C)c2ccco2)cn1 ZINC000527988501 387804574 /nfs/dbraw/zinc/80/45/74/387804574.db2.gz SWUPKGFKGYROLD-NSHDSACASA-N 0 3 246.310 2.577 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C)c1ccco1 ZINC000527998099 387806169 /nfs/dbraw/zinc/80/61/69/387806169.db2.gz AUJZWOXMAPQFRS-XPCJQDJLSA-N 0 3 223.316 2.742 20 0 BFADHN CCOc1ccc(CNCCOC(C)C)cc1 ZINC000168959548 387806503 /nfs/dbraw/zinc/80/65/03/387806503.db2.gz WWKNMQJGEVMTKI-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN Cc1cnccc1CNC[C@H](C)C(F)(F)F ZINC000648527566 387808024 /nfs/dbraw/zinc/80/80/24/387808024.db2.gz LQIULRRWLUGHQD-VIFPVBQESA-N 0 3 232.249 2.678 20 0 BFADHN CCN(CCC[C@H]1CCOC1)Cc1ccncc1 ZINC000645844255 387808899 /nfs/dbraw/zinc/80/88/99/387808899.db2.gz UTAFPAAKMCWDGI-HNNXBMFYSA-N 0 3 248.370 2.720 20 0 BFADHN CC[C@@]1(C)COCCN1CC1(C)CCC1 ZINC000432773700 387813492 /nfs/dbraw/zinc/81/34/92/387813492.db2.gz JBMFBDCYLVNEJR-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN c1cnn(CCN2CCC(C3CCCC3)CC2)c1 ZINC000377912307 387757025 /nfs/dbraw/zinc/75/70/25/387757025.db2.gz NHLPCTRDPTZTPP-UHFFFAOYSA-N 0 3 247.386 2.785 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C)c1ccccn1 ZINC000528005871 387820361 /nfs/dbraw/zinc/82/03/61/387820361.db2.gz VHASKOUNVAZVSS-FGPLHTHASA-N 0 3 234.343 2.544 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1cccc(OC)c1 ZINC000135742446 387822892 /nfs/dbraw/zinc/82/28/92/387822892.db2.gz SAVCOKDOQKNCFJ-DGCLKSJQSA-N 0 3 237.343 2.507 20 0 BFADHN CCC(C)(C)CCN1CC[S@](=O)CC[C@H]1C ZINC000433157531 387873078 /nfs/dbraw/zinc/87/30/78/387873078.db2.gz GLLCZHNDNWUNNP-MLGOLLRUSA-N 0 3 245.432 2.656 20 0 BFADHN COCC1(NCc2cc(C)cc(C)c2)CC1 ZINC000309620201 387836693 /nfs/dbraw/zinc/83/66/93/387836693.db2.gz DYYMFCRHELWTBW-UHFFFAOYSA-N 0 3 219.328 2.572 20 0 BFADHN CCC(C)(C)CCN1CC[S@@](=O)CC[C@@H]1C ZINC000433157530 387873610 /nfs/dbraw/zinc/87/36/10/387873610.db2.gz GLLCZHNDNWUNNP-LRDDRELGSA-N 0 3 245.432 2.656 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CC1(C)CCC1 ZINC000432943726 387846598 /nfs/dbraw/zinc/84/65/98/387846598.db2.gz NLSBDVQGBQTASX-NEPJUHHUSA-N 0 3 211.349 2.676 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1cnccc1C ZINC000442822220 387848418 /nfs/dbraw/zinc/84/84/18/387848418.db2.gz ODTJEPDWMAYVHR-QEJZJMRPSA-N 0 3 248.370 2.998 20 0 BFADHN COc1cnccc1[C@H](C)NC1CC(C)(C)C1 ZINC000433097554 387863820 /nfs/dbraw/zinc/86/38/20/387863820.db2.gz NRWHGFPZFWLUCP-JTQLQIEISA-N 0 3 234.343 2.929 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1cc2ccccc2o1 ZINC000134980012 387813729 /nfs/dbraw/zinc/81/37/29/387813729.db2.gz JIYIJTGSOKEEQC-MNOVXSKESA-N 0 3 233.311 2.539 20 0 BFADHN COc1ccccc1[C@@H](C)NCC12CC(C1)CO2 ZINC000527978227 387818049 /nfs/dbraw/zinc/81/80/49/387818049.db2.gz KXPOFUYLTMBSQK-XIKARTHZSA-N 0 3 247.338 2.525 20 0 BFADHN CSc1ccccc1[C@@H](C)N[C@@H]1CC[C@H]1O ZINC000393252688 387889954 /nfs/dbraw/zinc/88/99/54/387889954.db2.gz DUGHGMKMNUACAN-YUSALJHKSA-N 0 3 237.368 2.582 20 0 BFADHN CN(CCC[C@H]1CCOC1)Cc1cccs1 ZINC000645772187 387893911 /nfs/dbraw/zinc/89/39/11/387893911.db2.gz GQZPZPSMMIYTKT-LBPRGKRZSA-N 0 3 239.384 2.997 20 0 BFADHN Fc1ccc2nc(NCc3ccco3)[nH]c2c1 ZINC000236190451 387876604 /nfs/dbraw/zinc/87/66/04/387876604.db2.gz LNFGASQEXDXULI-UHFFFAOYSA-N 0 3 231.230 2.907 20 0 BFADHN Cc1ccsc1[C@H](CO)NCC1=CCCC1 ZINC000645861886 387882338 /nfs/dbraw/zinc/88/23/38/387882338.db2.gz ZKXJIMQKBNZOOA-LBPRGKRZSA-N 0 3 237.368 2.790 20 0 BFADHN CCOCCN1CC=C(c2ccc(F)cc2)CC1 ZINC000194589907 387885473 /nfs/dbraw/zinc/88/54/73/387885473.db2.gz RKEQUYIHLQMDOG-UHFFFAOYSA-N 0 3 249.329 2.951 20 0 BFADHN CCc1ccccc1CNCc1cnn(CC)c1 ZINC000077901869 387918105 /nfs/dbraw/zinc/91/81/05/387918105.db2.gz OQGVCSWVWLQNCM-UHFFFAOYSA-N 0 3 243.354 2.755 20 0 BFADHN CCCC[C@@H](N)C(=O)N1CCC[C@@H](CCC)C1 ZINC000236698128 387900943 /nfs/dbraw/zinc/90/09/43/387900943.db2.gz CBLFUJOQVMNNSX-CHWSQXEVSA-N 0 3 240.391 2.543 20 0 BFADHN CCCC[C@H](N)C(=O)N1CCC[C@@H](CCC)C1 ZINC000236762573 387904923 /nfs/dbraw/zinc/90/49/23/387904923.db2.gz CBLFUJOQVMNNSX-OLZOCXBDSA-N 0 3 240.391 2.543 20 0 BFADHN C/C(Cl)=C/CN(C[C@@H]1CCOC1)C1CC1 ZINC000528348303 387905176 /nfs/dbraw/zinc/90/51/76/387905176.db2.gz BUACLYSUUMAQGW-FGUAACIASA-N 0 3 229.751 2.630 20 0 BFADHN CCCC[C@H](N)C(=O)N1CCC[C@H](CCC)C1 ZINC000236763148 387906156 /nfs/dbraw/zinc/90/61/56/387906156.db2.gz CBLFUJOQVMNNSX-STQMWFEESA-N 0 3 240.391 2.543 20 0 BFADHN CN(CCC[C@H]1CCOC1)Cc1ccoc1 ZINC000645781039 387906266 /nfs/dbraw/zinc/90/62/66/387906266.db2.gz SNWNOKXPTYNUAB-LBPRGKRZSA-N 0 3 223.316 2.528 20 0 BFADHN Cc1noc([C@H]2CCCN(CC3=CCCC3)C2)n1 ZINC000645781042 387906971 /nfs/dbraw/zinc/90/69/71/387906971.db2.gz TWVBTJRQHNQODJ-ZDUSSCGKSA-N 0 3 247.342 2.668 20 0 BFADHN C[C@@H](NC1CC(C(C)(C)C)C1)c1cnccc1N ZINC000423136597 387914087 /nfs/dbraw/zinc/91/40/87/387914087.db2.gz PPLNMTRKDPKCDL-VOMCLLRMSA-N 0 3 247.386 2.969 20 0 BFADHN Cc1nccnc1[C@@H](C)NCC1CC(C)(C)C1 ZINC000527100375 387931194 /nfs/dbraw/zinc/93/11/94/387931194.db2.gz NXGMXXQOEWEJGC-LLVKDONJSA-N 0 3 233.359 2.872 20 0 BFADHN Cc1occc1CN[C@@H](C)[C@H]1CCCCO1 ZINC000321037578 387934163 /nfs/dbraw/zinc/93/41/63/387934163.db2.gz YUEMCMYMUGKMPL-GXFFZTMASA-N 0 3 223.316 2.635 20 0 BFADHN COc1cc(CN2CCC[C@@H](C(C)C)C2)ccn1 ZINC000444748265 387919974 /nfs/dbraw/zinc/91/99/74/387919974.db2.gz OOXZFKVZYIPNNW-CQSZACIVSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H]1CN(Cc2ccccc2)[C@H](C)[C@@H](C)O1 ZINC000438360979 387928699 /nfs/dbraw/zinc/92/86/99/387928699.db2.gz ACDJIZSLEWWNMA-JHJVBQTASA-N 0 3 219.328 2.684 20 0 BFADHN C[C@@H](O)CN[C@]1(c2ccc(Cl)cc2)C[C@H]1C ZINC000459439229 387941083 /nfs/dbraw/zinc/94/10/83/387941083.db2.gz VVLRVLVMUBNYSQ-GIPNMCIBSA-N 0 3 239.746 2.546 20 0 BFADHN OCCN(CCc1ccccc1)CC1=CCCC1 ZINC000645802433 387945813 /nfs/dbraw/zinc/94/58/13/387945813.db2.gz MXNZMTGKIYQGNX-UHFFFAOYSA-N 0 3 245.366 2.634 20 0 BFADHN CC1(C)CN(CC2=CCCC2)C(C)(C)CO1 ZINC000645803516 387947826 /nfs/dbraw/zinc/94/78/26/387947826.db2.gz HDVVRURHCLWHAA-UHFFFAOYSA-N 0 3 223.360 2.986 20 0 BFADHN CCCOc1ccc([C@@H](C)N[C@@H]2CC[C@H]2O)cc1 ZINC000424310904 387956352 /nfs/dbraw/zinc/95/63/52/387956352.db2.gz DEPFVUXCEGCYFX-KCPJHIHWSA-N 0 3 249.354 2.649 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cnc(C2CC2)nc1 ZINC000459500781 387951318 /nfs/dbraw/zinc/95/13/18/387951318.db2.gz NQEAALAPOYVSIB-SMDDNHRTSA-N 0 3 245.370 2.974 20 0 BFADHN CC1CN(Cc2ccc3c(c2)CC(C)(C)O3)C1 ZINC000438856524 387960960 /nfs/dbraw/zinc/96/09/60/387960960.db2.gz LRQUPJJKMIEDGL-UHFFFAOYSA-N 0 3 231.339 2.852 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@H]1C1CCC1)c1ccn(C)n1 ZINC000424303201 387961853 /nfs/dbraw/zinc/96/18/53/387961853.db2.gz ZEEAVOSLIKFYRT-IHRRRGAJSA-N 0 3 247.386 2.897 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@H]1O)c1ccc(F)cc1F ZINC000424311491 387966301 /nfs/dbraw/zinc/96/63/01/387966301.db2.gz FKFFJTSVPYKKLF-YNEHKIRRSA-N 0 3 241.281 2.529 20 0 BFADHN CC[C@H]1CC[C@H](NCc2nccs2)C1 ZINC000070643592 135001141 /nfs/dbraw/zinc/00/11/41/135001141.db2.gz MTBPUCQHTOARRL-UWVGGRQHSA-N 0 3 210.346 2.811 20 0 BFADHN CCN(CC[C@H](C)O)Cc1cccc(Cl)c1 ZINC000438974389 387967256 /nfs/dbraw/zinc/96/72/56/387967256.db2.gz DDAPMWCYUUSICP-NSHDSACASA-N 0 3 241.762 2.933 20 0 BFADHN F[C@]1(c2ccccc2)C[C@H]1N[C@@H]1CCCOCC1 ZINC000424326175 387967805 /nfs/dbraw/zinc/96/78/05/387967805.db2.gz OLEDNSYBBHEUAO-KFWWJZLASA-N 0 3 249.329 2.782 20 0 BFADHN CCCc1ccc([C@H](C)N[C@@H]2CC[C@H]2O)cc1 ZINC000424317115 387967933 /nfs/dbraw/zinc/96/79/33/387967933.db2.gz NYJWXZNMELHWJI-NILFDRSVSA-N 0 3 233.355 2.813 20 0 BFADHN C[C@H]1CN(CCc2ccccc2)CC(C)(C)O1 ZINC000065425491 387972249 /nfs/dbraw/zinc/97/22/49/387972249.db2.gz LYKMHHXMVCMOIU-ZDUSSCGKSA-N 0 3 233.355 2.728 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H]1C[C@H]1C1CCC1 ZINC000424318223 387968226 /nfs/dbraw/zinc/96/82/26/387968226.db2.gz CUBWNZYLUGCDNC-KGYLQXTDSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCO[C@@H](C)C2)C1 ZINC000245645286 387969743 /nfs/dbraw/zinc/96/97/43/387969743.db2.gz ZRTYYVDYPGJJCM-FRRDWIJNSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@H](O)CCN1CCC[C@@H]1c1cccc(F)c1 ZINC000438980151 387970353 /nfs/dbraw/zinc/97/03/53/387970353.db2.gz QMSDLUAKEBFOBT-SMDDNHRTSA-N 0 3 237.318 2.734 20 0 BFADHN CCc1ccccc1CN1CCOCC2(CC2)C1 ZINC000445806340 387971049 /nfs/dbraw/zinc/97/10/49/387971049.db2.gz UYEXMDBMQSLSDK-UHFFFAOYSA-N 0 3 245.366 2.861 20 0 BFADHN CCCOc1ccc([C@H](C)N[C@@H]2CC[C@H]2O)cc1 ZINC000424310906 387957381 /nfs/dbraw/zinc/95/73/81/387957381.db2.gz DEPFVUXCEGCYFX-NILFDRSVSA-N 0 3 249.354 2.649 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@H]1C1CCC1)c1ccn(C)n1 ZINC000424337082 387980667 /nfs/dbraw/zinc/98/06/67/387980667.db2.gz YVNLLVXWKKVGFP-OUCADQQQSA-N 0 3 233.359 2.649 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H]1C1CCC1)c1ccn(C)n1 ZINC000424337083 387981090 /nfs/dbraw/zinc/98/10/90/387981090.db2.gz YVNLLVXWKKVGFP-SGMGOOAPSA-N 0 3 233.359 2.649 20 0 BFADHN c1cc(CN2CC[C@H]3OCCC[C@H]3C2)cs1 ZINC000245689483 387973197 /nfs/dbraw/zinc/97/31/97/387973197.db2.gz BEAZCVAUGJSZQZ-QWHCGFSZSA-N 0 3 237.368 2.749 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@](C)(O)C2)o1 ZINC000245718820 387976641 /nfs/dbraw/zinc/97/66/41/387976641.db2.gz HNPMTBKZQRAQKH-ZLDLUXBVSA-N 0 3 249.354 2.750 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@H]1C1CCC1)c1nccn1C ZINC000424333018 387977585 /nfs/dbraw/zinc/97/75/85/387977585.db2.gz UDCGIVKHNJXKKH-YNEHKIRRSA-N 0 3 233.359 2.649 20 0 BFADHN CC[C@H](NC[C@@H]1CCCCO1)c1ccncc1 ZINC000453070555 387978176 /nfs/dbraw/zinc/97/81/76/387978176.db2.gz FNJLZJUZRSSSDG-KBPBESRZSA-N 0 3 234.343 2.691 20 0 BFADHN Cc1nn(C)cc1[C@@H]1CCCN1CC1=CCCC1 ZINC000645814305 387988927 /nfs/dbraw/zinc/98/89/27/387988927.db2.gz GFIHBDARXLWSII-HNNXBMFYSA-N 0 3 245.370 2.976 20 0 BFADHN C[C@@H]1CN([C@@H]2C=CCCC2)[C@@H](C)[C@H](C)O1 ZINC000446065162 387989042 /nfs/dbraw/zinc/98/90/42/387989042.db2.gz YVXAZLGOHYIYAH-MROQNXINSA-N 0 3 209.333 2.593 20 0 BFADHN C[C@H](c1ccccc1F)N1CCC[C@](C)(O)C1 ZINC000245882440 387989838 /nfs/dbraw/zinc/98/98/38/387989838.db2.gz IJFZSAIELLDGTR-RISCZKNCSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2C[C@@H](O)C2(C)C)o1 ZINC000245872778 387990990 /nfs/dbraw/zinc/99/09/90/387990990.db2.gz ADJPOEUFSRUSGT-DGFQIJBJSA-N 0 3 249.354 2.652 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H](C)C(C)(C)C ZINC000453127080 387991158 /nfs/dbraw/zinc/99/11/58/387991158.db2.gz SROFKECHSMLACU-GHMZBOCLSA-N 0 3 223.364 2.988 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C1)c1nnc2ccccn21 ZINC000080441583 387991557 /nfs/dbraw/zinc/99/15/57/387991557.db2.gz MVZWFTQHTADCMW-WOPDTQHZSA-N 0 3 244.342 2.569 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)N(C)[C@H](C)CC(C)C ZINC000245931678 387994745 /nfs/dbraw/zinc/99/47/45/387994745.db2.gz YUPRZEVIKPYUEC-CHWSQXEVSA-N 0 3 242.407 2.610 20 0 BFADHN CCn1ccnc1[C@H](C)NCCCCSC ZINC000453104931 387984033 /nfs/dbraw/zinc/98/40/33/387984033.db2.gz AEAMWLSOXAXZQB-NSHDSACASA-N 0 3 241.404 2.697 20 0 BFADHN CCC[C@H](N[C@H]1C[C@@H](O)C1(C)C)c1ccccn1 ZINC000245975074 387998997 /nfs/dbraw/zinc/99/89/97/387998997.db2.gz OOQMOYSEVDPIMN-MELADBBJSA-N 0 3 248.370 2.672 20 0 BFADHN CN(CCOC(C)(C)C)CC1=CCCC1 ZINC000645816295 387999177 /nfs/dbraw/zinc/99/91/77/387999177.db2.gz JLVIGPWGKQDWRE-UHFFFAOYSA-N 0 3 211.349 2.844 20 0 BFADHN C[C@H]1CCCN(Cc2cccc3nccn32)[C@H]1C ZINC000246012266 388002257 /nfs/dbraw/zinc/00/22/57/388002257.db2.gz YROSABSKFRUNPC-STQMWFEESA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@H](C)CNC(=O)Nc1cc(C)cc(CN)c1 ZINC000424415478 388005703 /nfs/dbraw/zinc/00/57/03/388005703.db2.gz JILPXXPSPYEBSU-JTQLQIEISA-N 0 3 249.358 2.621 20 0 BFADHN CS[C@H](CN[C@H](C)c1cn[nH]c1)C(C)(C)C ZINC000453199182 388008293 /nfs/dbraw/zinc/00/82/93/388008293.db2.gz WJQNXHXHLAOOOK-MWLCHTKSSA-N 0 3 241.404 2.838 20 0 BFADHN CS[C@@H](CN[C@H](C)c1cn[nH]c1)C(C)(C)C ZINC000453198781 388008541 /nfs/dbraw/zinc/00/85/41/388008541.db2.gz WJQNXHXHLAOOOK-KOLCDFICSA-N 0 3 241.404 2.838 20 0 BFADHN CC[C@H](NCCOC(C)C)c1ccncc1 ZINC000453198887 388008581 /nfs/dbraw/zinc/00/85/81/388008581.db2.gz PCROQFSJDHHARF-ZDUSSCGKSA-N 0 3 222.332 2.547 20 0 BFADHN CC[C@H](CN[C@H](CC)c1ccncc1)OC ZINC000453247209 388025315 /nfs/dbraw/zinc/02/53/15/388025315.db2.gz HWLUBDSHCDXKIL-CHWSQXEVSA-N 0 3 222.332 2.547 20 0 BFADHN CC[C@H](CN[C@@H](CC)c1ccncc1)OC ZINC000453247210 388025597 /nfs/dbraw/zinc/02/55/97/388025597.db2.gz HWLUBDSHCDXKIL-OLZOCXBDSA-N 0 3 222.332 2.547 20 0 BFADHN C[C@@H]1C[C@H](NCCC2(F)CCC2)c2nccn21 ZINC000453212053 388011426 /nfs/dbraw/zinc/01/14/26/388011426.db2.gz BQIJAAFYIZZUSQ-MNOVXSKESA-N 0 3 237.322 2.761 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1CCC[C@@H]1C ZINC000453218296 388012540 /nfs/dbraw/zinc/01/25/40/388012540.db2.gz PSXINVMLZNLWIU-AVGNSLFASA-N 0 3 235.375 2.990 20 0 BFADHN CCn1ccnc1[C@H](C)NCCC1(F)CCC1 ZINC000453229051 388015576 /nfs/dbraw/zinc/01/55/76/388015576.db2.gz PEZPRQBYJUZEJI-NSHDSACASA-N 0 3 239.338 2.836 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@H]1C(F)F)c1ccncc1 ZINC000453236645 388021180 /nfs/dbraw/zinc/02/11/80/388021180.db2.gz URXXFVWRKRCETE-AXFHLTTASA-N 0 3 226.270 2.776 20 0 BFADHN CC[C@@H](C)NC(=O)CN1CCCCC[C@@H]1CC ZINC000246241523 388022925 /nfs/dbraw/zinc/02/29/25/388022925.db2.gz UWAZNHJBEAEUDF-OLZOCXBDSA-N 0 3 240.391 2.556 20 0 BFADHN CCCCN(CC)CC(=O)NC1CCCCC1 ZINC000533215607 388023284 /nfs/dbraw/zinc/02/32/84/388023284.db2.gz YIISMTRZNOAZHI-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN CC(C)[C@@H]1CCN(CC(=O)Nc2ccccc2)C1 ZINC000446715121 388032417 /nfs/dbraw/zinc/03/24/17/388032417.db2.gz AJXLHLCXTBPBSY-CYBMUJFWSA-N 0 3 246.354 2.603 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@H](C)c1nccn1C ZINC000453281106 388032724 /nfs/dbraw/zinc/03/27/24/388032724.db2.gz HFLVXCQEGAUIFE-JHJVBQTASA-N 0 3 235.375 2.897 20 0 BFADHN CCn1ccnc1CN[C@H]1CCC[C@H]1C(C)C ZINC000453282070 388033079 /nfs/dbraw/zinc/03/30/79/388033079.db2.gz COLXMQMEJHGRSG-STQMWFEESA-N 0 3 235.375 2.817 20 0 BFADHN C=Cn1cc(CN[C@H](CC)CC(F)(F)F)cn1 ZINC000446750596 388035015 /nfs/dbraw/zinc/03/50/15/388035015.db2.gz IAQFQICYNXRRGX-SNVBAGLBSA-N 0 3 247.264 2.804 20 0 BFADHN CCc1ccc([C@@H](C)NCC2=CCOCC2)o1 ZINC000453292857 388036475 /nfs/dbraw/zinc/03/64/75/388036475.db2.gz OZQZYANSBKTEON-LLVKDONJSA-N 0 3 235.327 2.839 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1cncc(C)c1)OC ZINC000453295349 388036716 /nfs/dbraw/zinc/03/67/16/388036716.db2.gz GBODHDKDPNFUCX-TZMCWYRMSA-N 0 3 236.359 2.856 20 0 BFADHN COc1cc(CN[C@@]2(C)CCO[C@@H]2C)ccc1C ZINC000446752443 388037945 /nfs/dbraw/zinc/03/79/45/388037945.db2.gz OSXHJVNQUSGNFW-DOMZBBRYSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccnc(CN(C)[C@@H](C)C(C)(C)C)c1 ZINC000533249734 388038667 /nfs/dbraw/zinc/03/86/67/388038667.db2.gz QQNSIJJVBOMCQG-NSHDSACASA-N 0 3 236.359 2.957 20 0 BFADHN CCn1ccnc1CN[C@@H](C1CC1)C1CCCC1 ZINC000453307559 388040986 /nfs/dbraw/zinc/04/09/86/388040986.db2.gz CZURMPYXGJZTRY-OAHLLOKOSA-N 0 3 247.386 2.961 20 0 BFADHN C1=C(CN2CC[C@@H](Oc3ccncc3)C2)CCC1 ZINC000645895171 388041448 /nfs/dbraw/zinc/04/14/48/388041448.db2.gz ZSZXWMPQQYFTLY-OAHLLOKOSA-N 0 3 244.338 2.645 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@H]1CC)c1nccn1C ZINC000453310525 388042604 /nfs/dbraw/zinc/04/26/04/388042604.db2.gz RMWADZZVVILBFT-WOPDTQHZSA-N 0 3 221.348 2.507 20 0 BFADHN CCC[C@H]1CCC[C@@H]1NCc1ccnc(OC)n1 ZINC000453254081 388027070 /nfs/dbraw/zinc/02/70/70/388027070.db2.gz JKRQJXUOKMVISX-AAEUAGOBSA-N 0 3 249.358 2.544 20 0 BFADHN CC/C=C\CN[C@@H]1COc2ccc(OC)cc2C1 ZINC000453315294 388044256 /nfs/dbraw/zinc/04/42/56/388044256.db2.gz JFIVYDUTTNFHNV-ZFDPJTLLSA-N 0 3 247.338 2.555 20 0 BFADHN CC[C@@H]1CCC[C@H]1CN[C@@H](C)c1cnccn1 ZINC000453277730 388031175 /nfs/dbraw/zinc/03/11/75/388031175.db2.gz BOMHGJODUFOYJV-XQQFMLRXSA-N 0 3 233.359 2.954 20 0 BFADHN CCc1ncc(CN[C@@H](C2CC2)C2CCC2)o1 ZINC000453346112 388054096 /nfs/dbraw/zinc/05/40/96/388054096.db2.gz AAZZJDWTOXHODD-CQSZACIVSA-N 0 3 234.343 2.905 20 0 BFADHN C[C@H](NCC1(C)COC1)c1c(F)cccc1F ZINC000083522889 388054173 /nfs/dbraw/zinc/05/41/73/388054173.db2.gz AMVVKQPCCIZRNN-VIFPVBQESA-N 0 3 241.281 2.652 20 0 BFADHN CCn1cc([C@H](C)N[C@H]2CCC23CCC3)cn1 ZINC000453349930 388055790 /nfs/dbraw/zinc/05/57/90/388055790.db2.gz GQDYOYOKHFRANC-AAEUAGOBSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1nccnc1[C@@H](C)NC[C@@H]1C[C@H]1C(C)C ZINC000453364583 388059948 /nfs/dbraw/zinc/05/99/48/388059948.db2.gz SCODPDSBJYVPCL-AGIUHOORSA-N 0 3 233.359 2.728 20 0 BFADHN c1ccc2c(c1)SC[C@@H]2NCC1CC1 ZINC000083748805 388060188 /nfs/dbraw/zinc/06/01/88/388060188.db2.gz RNBFKKCBQUJYON-NSHDSACASA-N 0 3 205.326 2.833 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](CC)[C@@H](C)O)o1 ZINC000453366002 388061676 /nfs/dbraw/zinc/06/16/76/388061676.db2.gz WTDAHSDVVLFTBY-HOSYDEDBSA-N 0 3 225.332 2.652 20 0 BFADHN C[C@@H](NCc1cnc(C2CC2)o1)C1(C)CC1 ZINC000453374184 388062195 /nfs/dbraw/zinc/06/21/95/388062195.db2.gz VUQATCBFUUPEMY-SECBINFHSA-N 0 3 220.316 2.830 20 0 BFADHN C[C@H]1CC[C@H](NCc2cc(C(F)(F)F)n[nH]2)C1 ZINC000440633424 388062382 /nfs/dbraw/zinc/06/23/82/388062382.db2.gz FFCKQFVRFLOMSP-YUMQZZPRSA-N 0 3 247.264 2.707 20 0 BFADHN FC(F)(F)c1cc(CN[C@H]2C=CCCC2)[nH]n1 ZINC000440618008 388062511 /nfs/dbraw/zinc/06/25/11/388062511.db2.gz VHXXUSKUASBYTL-QMMMGPOBSA-N 0 3 245.248 2.627 20 0 BFADHN CO[C@@H]([C@H](C)N[C@@H](C)c1cnccc1C)C1CC1 ZINC000453377405 388064018 /nfs/dbraw/zinc/06/40/18/388064018.db2.gz QCKHHFJCGYKZJC-HUBLWGQQSA-N 0 3 248.370 2.854 20 0 BFADHN COc1cccnc1CN[C@@H]1CCC12CCCC2 ZINC000453379069 388064325 /nfs/dbraw/zinc/06/43/25/388064325.db2.gz WGNYZSYBFGIEDD-CQSZACIVSA-N 0 3 246.354 2.903 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCC[C@H]2O)oc1C ZINC000453378695 388064424 /nfs/dbraw/zinc/06/44/24/388064424.db2.gz JHEBTWWUEUXHLG-WCFLWFBJSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1ccc(NC(=O)[C@@H]2CCCCCN2)cc1C ZINC000083896444 388065123 /nfs/dbraw/zinc/06/51/23/388065123.db2.gz UQXSPTWAOKWEOE-AWEZNQCLSA-N 0 3 246.354 2.774 20 0 BFADHN Cc1cc(C)c(CN[C@@H](C)[C@@]2(C)CCCO2)cn1 ZINC000453383632 388066287 /nfs/dbraw/zinc/06/62/87/388066287.db2.gz ZENSMSAPEZYZCI-DZGCQCFKSA-N 0 3 248.370 2.746 20 0 BFADHN CC[C@H](C)[C@@](C)(O)CN[C@@H](C)c1cncs1 ZINC000163813951 388070458 /nfs/dbraw/zinc/07/04/58/388070458.db2.gz NFVSSQLFMWJRMU-NHCYSSNCSA-N 0 3 242.388 2.591 20 0 BFADHN CC[C@@H](N[C@@H]1CCC[C@H]1O)c1ccccc1F ZINC000453400798 388072366 /nfs/dbraw/zinc/07/23/66/388072366.db2.gz DCRSCPVKRJZSML-MGPQQGTHSA-N 0 3 237.318 2.780 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@H]1O)c1csc(Cl)c1 ZINC000453402949 388073208 /nfs/dbraw/zinc/07/32/08/388073208.db2.gz GDKLEHIQYMEWCV-SZEHBUNVSA-N 0 3 245.775 2.966 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@@H](C)c1ccncn1 ZINC000453405584 388074908 /nfs/dbraw/zinc/07/49/08/388074908.db2.gz BVOVDOGCCJTAEG-IACUBPJLSA-N 0 3 233.359 2.952 20 0 BFADHN Cc1cncc([C@H](C)NC[C@@H]2CC[C@@H](C)O2)c1 ZINC000453411206 388077466 /nfs/dbraw/zinc/07/74/66/388077466.db2.gz QEPJKSIYRINNTG-DYEKYZERSA-N 0 3 234.343 2.608 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@@H](C)c1cnn(C)c1 ZINC000453427709 388081600 /nfs/dbraw/zinc/08/16/00/388081600.db2.gz HPEZKQBBVBUOJA-FPMFFAJLSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](CO)CC(F)F)c(C)o1 ZINC000447599902 388082123 /nfs/dbraw/zinc/08/21/23/388082123.db2.gz LIYJNYMKXJSGSJ-WCBMZHEXSA-N 0 3 247.285 2.563 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)[C@@H](C)C1)c1cnccn1 ZINC000085040463 388083274 /nfs/dbraw/zinc/08/32/74/388083274.db2.gz CRSNOSBWJHNJKY-MROQNXINSA-N 0 3 233.359 2.952 20 0 BFADHN Cc1csc([C@H](C)N[C@H]2CCOC[C@@H]2C)n1 ZINC000195022351 388084069 /nfs/dbraw/zinc/08/40/69/388084069.db2.gz GRKFDDPEANCQJY-LSJOCFKGSA-N 0 3 240.372 2.527 20 0 BFADHN FC1(F)CC(CCNCc2cncs2)C1 ZINC000453585816 388087159 /nfs/dbraw/zinc/08/71/59/388087159.db2.gz HEQCLBOGDMQBAT-UHFFFAOYSA-N 0 3 232.299 2.668 20 0 BFADHN Cc1c[nH]nc1CN[C@H](C)CC(C)(C)C ZINC000453595858 388088540 /nfs/dbraw/zinc/08/85/40/388088540.db2.gz FBEKLGVOZDNMGV-SNVBAGLBSA-N 0 3 209.337 2.632 20 0 BFADHN CCC1CN(Cc2cccc(COC)c2)C1 ZINC000453599121 388090040 /nfs/dbraw/zinc/09/00/40/388090040.db2.gz BKLOCMUKIMDXNO-UHFFFAOYSA-N 0 3 219.328 2.675 20 0 BFADHN C[C@@H](CCN1CCN(C)C[C@@H]1C)c1ccccc1 ZINC000447739495 388090520 /nfs/dbraw/zinc/09/05/20/388090520.db2.gz XOOZTGMXQBVUHL-GJZGRUSLSA-N 0 3 246.398 2.816 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1n[nH]cc1C ZINC000453604004 388090884 /nfs/dbraw/zinc/09/08/84/388090884.db2.gz BWYCJCNSHHACFE-OLZOCXBDSA-N 0 3 221.348 2.632 20 0 BFADHN CCC1CN(Cc2cnc(-c3ccccc3)[nH]2)C1 ZINC000453608078 388091189 /nfs/dbraw/zinc/09/11/89/388091189.db2.gz SSODNVHNKNVEJP-UHFFFAOYSA-N 0 3 241.338 2.919 20 0 BFADHN CCC1CN(Cc2ccc(OC)c(C)c2)C1 ZINC000453603411 388091568 /nfs/dbraw/zinc/09/15/68/388091568.db2.gz LAHXKWMPXOVHBC-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN CCOc1ccccc1CN1CC(CC)C1 ZINC000453608769 388092449 /nfs/dbraw/zinc/09/24/49/388092449.db2.gz UQTRNNVLHRWYFI-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN CCC1CN(Cc2ccccc2OC)C1 ZINC000453609452 388092535 /nfs/dbraw/zinc/09/25/35/388092535.db2.gz WKOLZVUFUQDWAU-UHFFFAOYSA-N 0 3 205.301 2.537 20 0 BFADHN CCn1nccc1CN(CC1CCC1)C(C)C ZINC000195078033 388097112 /nfs/dbraw/zinc/09/71/12/388097112.db2.gz UUUDZHRXQLCVMC-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cn[nH]c2)cc1 ZINC000038005785 388098752 /nfs/dbraw/zinc/09/87/52/388098752.db2.gz XGTVSHXEZDNPJT-LLVKDONJSA-N 0 3 215.300 2.569 20 0 BFADHN CCCNCc1nc2ccc(OC)cc2s1 ZINC000086478128 388103320 /nfs/dbraw/zinc/10/33/20/388103320.db2.gz VSVMTKQFOIMDSN-UHFFFAOYSA-N 0 3 236.340 2.805 20 0 BFADHN Cc1csc(CNCCC2(F)CCC2)n1 ZINC000336661425 388104845 /nfs/dbraw/zinc/10/48/45/388104845.db2.gz CAKVRXXGLXFSSJ-UHFFFAOYSA-N 0 3 228.336 2.823 20 0 BFADHN C[C@@H]1CCC[C@H](N(C)Cc2cnc(N)s2)C1 ZINC000086765943 388107855 /nfs/dbraw/zinc/10/78/55/388107855.db2.gz QRXLIZTVBNMNFP-ZJUUUORDSA-N 0 3 239.388 2.736 20 0 BFADHN C[C@@H](NCC(C)(C)O)c1ccc(Cl)c(F)c1 ZINC000213770905 388108705 /nfs/dbraw/zinc/10/87/05/388108705.db2.gz HFUBYFQYRJMUBA-MRVPVSSYSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccsc2)CS1 ZINC000086935955 388111348 /nfs/dbraw/zinc/11/13/48/388111348.db2.gz FATDUKYMQNWVDH-WCBMZHEXSA-N 0 3 213.371 2.732 20 0 BFADHN CCn1ccnc1CN[C@H]1CC[C@@H]1C(C)(C)C ZINC000453323881 388046234 /nfs/dbraw/zinc/04/62/34/388046234.db2.gz QHLRVXWUNWAVOK-RYUDHWBXSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccnc1CN[C@H]1CCC[C@H]1C1CC1 ZINC000453324724 388046274 /nfs/dbraw/zinc/04/62/74/388046274.db2.gz QUXYWKRAHWDCEH-STQMWFEESA-N 0 3 233.359 2.571 20 0 BFADHN CCOC(=O)C[C@](C)(NC[C@@H](F)CC)C(C)C ZINC000440504450 388046335 /nfs/dbraw/zinc/04/63/35/388046335.db2.gz WXLJFOTTXXVLTO-AAEUAGOBSA-N 0 3 247.354 2.692 20 0 BFADHN c1cc2cccc(CNCc3cccnc3)c2[nH]1 ZINC000083364935 388049260 /nfs/dbraw/zinc/04/92/60/388049260.db2.gz IEJDWJWOEDZKEB-UHFFFAOYSA-N 0 3 237.306 2.853 20 0 BFADHN CN(Cc1cc(C(C)(C)C)n[nH]1)CC1CC1 ZINC000447056879 388049345 /nfs/dbraw/zinc/04/93/45/388049345.db2.gz AJIVMTQRVGTWTR-UHFFFAOYSA-N 0 3 221.348 2.549 20 0 BFADHN CC[C@@H](NC[C@@H]1C[C@H]1C(C)C)c1nccn1C ZINC000453332516 388049812 /nfs/dbraw/zinc/04/98/12/388049812.db2.gz AVXXXSRDTGHDHX-RWMBFGLXSA-N 0 3 235.375 2.753 20 0 BFADHN Cc1ccncc1CN(C)CCC[C@@H]1CCOC1 ZINC000645896382 388050302 /nfs/dbraw/zinc/05/03/02/388050302.db2.gz OUAHWITZCWHVNM-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN CC[C@@H](CSC)N[C@H](C)c1cncs1 ZINC000162349546 388156022 /nfs/dbraw/zinc/15/60/22/388156022.db2.gz WABRVZBCAQULLV-BDAKNGLRSA-N 0 3 230.402 2.935 20 0 BFADHN CC[C@@H](CSC)N[C@@H](C)c1cnn(CC)c1 ZINC000162351139 388156402 /nfs/dbraw/zinc/15/64/02/388156402.db2.gz GTROLYBYIWSJJF-JQWIXIFHSA-N 0 3 241.404 2.695 20 0 BFADHN C[C@@H](NCc1ccn(C)n1)[C@@H]1CCCC[C@H]1C ZINC000449203144 388156823 /nfs/dbraw/zinc/15/68/23/388156823.db2.gz GZKZIVYBDVGMFS-YRGRVCCFSA-N 0 3 235.375 2.725 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@H](C)CC(C)(C)C)[n-]1 ZINC000449203740 388157156 /nfs/dbraw/zinc/15/71/56/388157156.db2.gz KTEHYRTVJYQYRI-NXEZZACHSA-N 0 3 238.379 2.842 20 0 BFADHN CCc1nnc([C@@H](C)N[C@H](C)CC(C)(C)C)[nH]1 ZINC000449203740 388157157 /nfs/dbraw/zinc/15/71/57/388157157.db2.gz KTEHYRTVJYQYRI-NXEZZACHSA-N 0 3 238.379 2.842 20 0 BFADHN CCc1nnc(CN[C@@H]2CCCC[C@H]2C)s1 ZINC000162369020 388158462 /nfs/dbraw/zinc/15/84/62/388158462.db2.gz KKRHLRDSEJHIBY-NXEZZACHSA-N 0 3 239.388 2.769 20 0 BFADHN COc1cc(C)ccc1CN[C@]1(C)CCCOC1 ZINC000449222349 388159360 /nfs/dbraw/zinc/15/93/60/388159360.db2.gz MAKMSOLEDPVNPD-OAHLLOKOSA-N 0 3 249.354 2.662 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@H](CCO)c1ccco1 ZINC000449250255 388162544 /nfs/dbraw/zinc/16/25/44/388162544.db2.gz NLYIPNGNCDUOSZ-DGCLKSJQSA-N 0 3 249.310 2.517 20 0 BFADHN C/C=C\C[C@H](CO)NCc1cccc(C)c1F ZINC000449248111 388162556 /nfs/dbraw/zinc/16/25/56/388162556.db2.gz HXABPZSLWATPBF-DHCBQETCSA-N 0 3 237.318 2.551 20 0 BFADHN Cc1cc(CN2CCN(C)[C@@H](C)[C@H]2C)cs1 ZINC000449252439 388162617 /nfs/dbraw/zinc/16/26/17/388162617.db2.gz VHTFRBFGMGXGFA-NWDGAFQWSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1cc(CN2CCN(C)[C@H](C)[C@@H]2C)cs1 ZINC000449252438 388162976 /nfs/dbraw/zinc/16/29/76/388162976.db2.gz VHTFRBFGMGXGFA-NEPJUHHUSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1nocc1CN1CCC(C2CC2)CC1 ZINC000646206543 388163854 /nfs/dbraw/zinc/16/38/54/388163854.db2.gz FSOWAKFUYLRJPV-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN CSC[C@H](C)NCc1cc(C)ccc1F ZINC000164182032 388167418 /nfs/dbraw/zinc/16/74/18/388167418.db2.gz YUEPYGUFOZRORZ-JTQLQIEISA-N 0 3 227.348 2.975 20 0 BFADHN Cn1cccc1CNCCSC(C)(C)C ZINC000164200400 388168777 /nfs/dbraw/zinc/16/87/77/388168777.db2.gz NKRPTCNYOQXSET-UHFFFAOYSA-N 0 3 226.389 2.646 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@H](C)C2)s1 ZINC000172729036 388169391 /nfs/dbraw/zinc/16/93/91/388169391.db2.gz KFEQDXDLXDTSKO-VIFPVBQESA-N 0 3 224.373 2.992 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@@H]1CCOc2c(F)cccc21 ZINC000488568902 388188138 /nfs/dbraw/zinc/18/81/38/388188138.db2.gz BTPIJBYSZJKXHY-GIPNMCIBSA-N 0 3 235.302 2.895 20 0 BFADHN CC1(F)CC(NC/C=C/c2ccncc2)C1 ZINC000449378766 388188199 /nfs/dbraw/zinc/18/81/99/388188199.db2.gz XTWYQRLYWWVFML-NSCUHMNNSA-N 0 3 220.291 2.575 20 0 BFADHN Cc1cc(CN[C@H]2C[C@@H]2C(F)F)cs1 ZINC000449320750 388172755 /nfs/dbraw/zinc/17/27/55/388172755.db2.gz PDPKNPFTCMOZGS-IUCAKERBSA-N 0 3 217.284 2.800 20 0 BFADHN c1cc2cccc(CNCC3=CCCOC3)c2o1 ZINC000449329786 388174211 /nfs/dbraw/zinc/17/42/11/388174211.db2.gz JTXBGLKLVOBKJT-UHFFFAOYSA-N 0 3 243.306 2.869 20 0 BFADHN C[C@H](C1CCC1)N(C)Cc1ccncc1F ZINC000449331153 388174221 /nfs/dbraw/zinc/17/42/21/388174221.db2.gz NFPBNNAOELKHKE-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN CCN(Cc1cc2cccnc2o1)C[C@@H](C)OC ZINC000449335999 388174741 /nfs/dbraw/zinc/17/47/41/388174741.db2.gz LKQMMCHAFMMAKQ-LLVKDONJSA-N 0 3 248.326 2.685 20 0 BFADHN COCC(C)(C)N(C)Cc1cccc(C)c1F ZINC000449335452 388174966 /nfs/dbraw/zinc/17/49/66/388174966.db2.gz IINCFVSDVIQJLJ-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN CCN(Cc1ccc(C)cc1OC)[C@H]1CCOC1 ZINC000449336384 388175074 /nfs/dbraw/zinc/17/50/74/388175074.db2.gz NOMWOBDROKLNKB-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CCc1ccc(CN2CCN(C)C[C@H]2CC)cc1 ZINC000646281199 388175157 /nfs/dbraw/zinc/17/51/57/388175157.db2.gz CTPRPGLNEJHXOW-MRXNPFEDSA-N 0 3 246.398 2.775 20 0 BFADHN CCN(Cc1ccc(C)cc1OC)[C@@H]1CCOC1 ZINC000449336385 388175400 /nfs/dbraw/zinc/17/54/00/388175400.db2.gz NOMWOBDROKLNKB-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN COc1cc(F)cc(CNCC[C@H](C)F)c1 ZINC000449343972 388177983 /nfs/dbraw/zinc/17/79/83/388177983.db2.gz OQSHHJXDPGTZPC-VIFPVBQESA-N 0 3 229.270 2.672 20 0 BFADHN COC[C@H](NC[C@@H]1C[C@@H]1C)c1ccc(F)cc1 ZINC000488449128 388180135 /nfs/dbraw/zinc/18/01/35/388180135.db2.gz NCSFRIAFJSXQTC-JKOKRWQUSA-N 0 3 237.318 2.759 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cccnc1OC ZINC000488449575 388180442 /nfs/dbraw/zinc/18/04/42/388180442.db2.gz OJSIEVULPXBZFZ-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCO[C@@H](Cc2ccccc2)C1 ZINC000488459518 388181359 /nfs/dbraw/zinc/18/13/59/388181359.db2.gz LQPQPAGYHRXZKE-KBMXLJTQSA-N 0 3 245.366 2.586 20 0 BFADHN COc1c(C)cnc(CN(C)C[C@@H]2C[C@@H]2C)c1C ZINC000488520378 388182185 /nfs/dbraw/zinc/18/21/85/388182185.db2.gz QQNPHIOZTCMAEK-GWCFXTLKSA-N 0 3 248.370 2.795 20 0 BFADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)C2CC2)[n-]1 ZINC000488496340 388183430 /nfs/dbraw/zinc/18/34/30/388183430.db2.gz DPPOGVRTTUFPCL-LPEHRKFASA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@H](C)c1nnc([C@@H](C)N[C@@H](C)C2CC2)[nH]1 ZINC000488496340 388183433 /nfs/dbraw/zinc/18/34/33/388183433.db2.gz DPPOGVRTTUFPCL-LPEHRKFASA-N 0 3 236.363 2.767 20 0 BFADHN CCn1nc(C)c(CNC2CC(C)(F)C2)c1C ZINC000449370121 388183934 /nfs/dbraw/zinc/18/39/34/388183934.db2.gz IOCPHYQKFKGFNK-UHFFFAOYSA-N 0 3 239.338 2.500 20 0 BFADHN C[C@@H]1C[C@H]1CN1Cc2ccccc2OC[C@@H]1C ZINC000488536549 388185456 /nfs/dbraw/zinc/18/54/56/388185456.db2.gz WOGBIFFKZZSFKP-DYEKYZERSA-N 0 3 231.339 2.926 20 0 BFADHN CC[C@H](O)CNC1(c2cccc(F)c2)CCC1 ZINC000646001870 388123357 /nfs/dbraw/zinc/12/33/57/388123357.db2.gz UNQWISVFMPHAMF-ZDUSSCGKSA-N 0 3 237.318 2.565 20 0 BFADHN COCCCNC1(c2ccccc2F)CCC1 ZINC000646024798 388126283 /nfs/dbraw/zinc/12/62/83/388126283.db2.gz OVUDWJRFUXZEEN-UHFFFAOYSA-N 0 3 237.318 2.831 20 0 BFADHN CC(C)[C@@H](C)NC(=O)CN(C)CCC(C)(C)C ZINC000646027080 388126805 /nfs/dbraw/zinc/12/68/05/388126805.db2.gz AYYUREMIAVLBCA-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN COc1cc(C)ccc1CN1CCC[C@H](OC)C1 ZINC000449012793 388128916 /nfs/dbraw/zinc/12/89/16/388128916.db2.gz HCLBRTYVQMCUDW-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1cccc(CN2CC[C@@H](C)C2)c1F ZINC000449017970 388130720 /nfs/dbraw/zinc/13/07/20/388130720.db2.gz IMBOLGLVAFCTHN-SNVBAGLBSA-N 0 3 207.292 2.976 20 0 BFADHN Cc1cccc(CN(C)CC2CC2)c1F ZINC000449017391 388130772 /nfs/dbraw/zinc/13/07/72/388130772.db2.gz PKASVRMXMXVBNY-UHFFFAOYSA-N 0 3 207.292 2.976 20 0 BFADHN COc1cc(F)cc(CN2CCC[C@@H]2C)c1 ZINC000449024304 388131282 /nfs/dbraw/zinc/13/12/82/388131282.db2.gz ABNQMYLCNLZSGP-JTQLQIEISA-N 0 3 223.291 2.819 20 0 BFADHN Cc1cc(CN2CCCC(C)(C)C2)on1 ZINC000171833369 388134372 /nfs/dbraw/zinc/13/43/72/388134372.db2.gz SUSLOWMPYVBVJJ-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1nocc1CN1C2CCCC1CCC2 ZINC000646093340 388141040 /nfs/dbraw/zinc/14/10/40/388141040.db2.gz AHVSHCNWLYTPJW-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN CCC[C@H](CNCc1cc2cccnc2o1)OC ZINC000449377074 388186863 /nfs/dbraw/zinc/18/68/63/388186863.db2.gz NOIRXZBLMKWDRK-GFCCVEGCSA-N 0 3 248.326 2.733 20 0 BFADHN CC[C@@H](NCCn1cccn1)c1ccccc1F ZINC000164074186 388147853 /nfs/dbraw/zinc/14/78/53/388147853.db2.gz SYXKATNUHGEESO-CQSZACIVSA-N 0 3 247.317 2.763 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1ccc(C)c(CC)c1 ZINC000449171592 388152768 /nfs/dbraw/zinc/15/27/68/388152768.db2.gz LCOZZVBCWFUNEW-GFCCVEGCSA-N 0 3 248.370 2.884 20 0 BFADHN Cc1ncc(CN2CCCC[C@H]2C2CCC2)cn1 ZINC000646139235 388154653 /nfs/dbraw/zinc/15/46/53/388154653.db2.gz KJVFUVUPOPSCDT-HNNXBMFYSA-N 0 3 245.370 2.940 20 0 BFADHN c1cc(CN2CCCC[C@@H]2C2CCC2)no1 ZINC000646139123 388154883 /nfs/dbraw/zinc/15/48/83/388154883.db2.gz JBJGWPYBRYPMNS-CYBMUJFWSA-N 0 3 220.316 2.829 20 0 BFADHN C[C@H](Cc1ccoc1)NCc1ccc(F)cn1 ZINC000449187940 388154961 /nfs/dbraw/zinc/15/49/61/388154961.db2.gz DXJHYNBKRLKZNW-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN CCSCCNCc1ccccc1F ZINC000164785861 388248645 /nfs/dbraw/zinc/24/86/45/388248645.db2.gz LMJZVIHUYLJSLD-UHFFFAOYSA-N 0 3 213.321 2.668 20 0 BFADHN CC(C)[C@@H](C)CC(=O)Nc1ccc2c(c1)CNC2 ZINC000449674342 388251636 /nfs/dbraw/zinc/25/16/36/388251636.db2.gz UDJRMCJZFAWNEJ-NSHDSACASA-N 0 3 246.354 2.911 20 0 BFADHN Cc1csc(CNCC2(C(C)C)CC2)n1 ZINC000165599916 388253162 /nfs/dbraw/zinc/25/31/62/388253162.db2.gz UXIFCWARTPBTIG-UHFFFAOYSA-N 0 3 224.373 2.977 20 0 BFADHN C[C@H](NCCOCC1CC1)c1ccsc1 ZINC000167469651 388256086 /nfs/dbraw/zinc/25/60/86/388256086.db2.gz LLXUWLBOKIGUBB-JTQLQIEISA-N 0 3 225.357 2.825 20 0 BFADHN C[C@@H](NCCOCC1CC1)c1ccsc1 ZINC000167469762 388256623 /nfs/dbraw/zinc/25/66/23/388256623.db2.gz LLXUWLBOKIGUBB-SNVBAGLBSA-N 0 3 225.357 2.825 20 0 BFADHN C[C@@H]1CN(CCC[C@@H]2CCOC2)CCC1(F)F ZINC000645918604 388257728 /nfs/dbraw/zinc/25/77/28/388257728.db2.gz YLCOWGDZRZPCHK-VXGBXAGGSA-N 0 3 247.329 2.780 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@H]1C[C@H]1C ZINC000488578913 388188828 /nfs/dbraw/zinc/18/88/28/388188828.db2.gz IGPCGHXUKAYSQO-NQBHXWOUSA-N 0 3 204.317 2.730 20 0 BFADHN Cc1ccc2c(c1)nc(CNC[C@@H]1C[C@H]1C)n2C ZINC000488578965 388189025 /nfs/dbraw/zinc/18/90/25/388189025.db2.gz IRZUAJCESBSIIR-NEPJUHHUSA-N 0 3 243.354 2.627 20 0 BFADHN Cc1ccc2c(c1)nc(CNC[C@H]1C[C@@H]1C)n2C ZINC000488578967 388189335 /nfs/dbraw/zinc/18/93/35/388189335.db2.gz IRZUAJCESBSIIR-NWDGAFQWSA-N 0 3 243.354 2.627 20 0 BFADHN C[C@@H]1CCC[C@@H](C[NH2+]Cc2nnc(C3CC3)[n-]2)C1 ZINC000449406057 388196117 /nfs/dbraw/zinc/19/61/17/388196117.db2.gz RXPJVIFVOMGIAZ-GHMZBOCLSA-N 0 3 248.374 2.598 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2nnc(C3CC3)[nH]2)C1 ZINC000449406057 388196119 /nfs/dbraw/zinc/19/61/19/388196119.db2.gz RXPJVIFVOMGIAZ-GHMZBOCLSA-N 0 3 248.374 2.598 20 0 BFADHN CC[C@H](CN(CC)C1COC1)c1ccccc1 ZINC000449421684 388201262 /nfs/dbraw/zinc/20/12/62/388201262.db2.gz BAYRMFRANMQOJU-CYBMUJFWSA-N 0 3 233.355 2.901 20 0 BFADHN COc1ncccc1CN1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000489012231 388202328 /nfs/dbraw/zinc/20/23/28/388202328.db2.gz OIBDGKAULCUPGD-UPJWGTAASA-N 0 3 248.370 2.957 20 0 BFADHN CC[C@@H](NCc1ccc(C)s1)[C@@H](O)C(F)F ZINC000449428737 388203244 /nfs/dbraw/zinc/20/32/44/388203244.db2.gz YPOZAYIVGHUTBQ-NXEZZACHSA-N 0 3 249.326 2.551 20 0 BFADHN COCc1cccc(CN2CC[C@@H]2C2CC2)c1 ZINC000449429836 388204235 /nfs/dbraw/zinc/20/42/35/388204235.db2.gz VRHXSSATANEKPQ-OAHLLOKOSA-N 0 3 231.339 2.817 20 0 BFADHN CC(C)C[C@]1(C)CCCN1Cc1ccn(C)n1 ZINC000449431938 388204669 /nfs/dbraw/zinc/20/46/69/388204669.db2.gz FDVGCXLHWSYGAA-AWEZNQCLSA-N 0 3 235.375 2.821 20 0 BFADHN CS[C@@H]1CCN(C/C=C\c2ccncc2)C1 ZINC000449446198 388207642 /nfs/dbraw/zinc/20/76/42/388207642.db2.gz OROZESOWTJNOQQ-XQJDBVBESA-N 0 3 234.368 2.532 20 0 BFADHN COc1ccccc1CN1CC[C@H](SC)C1 ZINC000449446224 388208795 /nfs/dbraw/zinc/20/87/95/388208795.db2.gz PBJLJKHWPWBRCO-LBPRGKRZSA-N 0 3 237.368 2.633 20 0 BFADHN CCC[C@H](NCc1cocn1)C(C)(C)C ZINC000449466865 388211996 /nfs/dbraw/zinc/21/19/96/388211996.db2.gz HYWMOQVGWHAIEN-NSHDSACASA-N 0 3 210.321 2.979 20 0 BFADHN Cc1nc(CCN(C)[C@H]2CC2(C)C)cs1 ZINC000489423507 388212744 /nfs/dbraw/zinc/21/27/44/388212744.db2.gz JVIGIGIULOPDQP-NSHDSACASA-N 0 3 224.373 2.724 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccc(C)nc2)C[C@H]1C ZINC000449493323 388217708 /nfs/dbraw/zinc/21/77/08/388217708.db2.gz DQCSBKHJWYVUAP-IUODEOHRSA-N 0 3 248.370 2.637 20 0 BFADHN CCO[C@@H]1CCN(Cc2ncccc2C)C[C@H]1C ZINC000449495502 388218738 /nfs/dbraw/zinc/21/87/38/388218738.db2.gz HUIKCWIFFHIPQE-UKRRQHHQSA-N 0 3 248.370 2.637 20 0 BFADHN CC(C)[C@H](CO)[C@@H](NCc1ccco1)C(C)C ZINC000449513286 388222136 /nfs/dbraw/zinc/22/21/36/388222136.db2.gz VTJRLAIHOBWHTM-KBPBESRZSA-N 0 3 239.359 2.658 20 0 BFADHN Cc1ccoc1CN1CCSC[C@@H]1C1CC1 ZINC000449526162 388224849 /nfs/dbraw/zinc/22/48/49/388224849.db2.gz CXGFQRYHKXEISD-GFCCVEGCSA-N 0 3 237.368 2.915 20 0 BFADHN CCc1nocc1CN1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000449535771 388225806 /nfs/dbraw/zinc/22/58/06/388225806.db2.gz HZJRVFMTMLAJCY-UKRRQHHQSA-N 0 3 246.354 2.857 20 0 BFADHN c1ncc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)s1 ZINC000449545670 388229029 /nfs/dbraw/zinc/22/90/29/388229029.db2.gz ZGDUJWMLUARCEK-STQMWFEESA-N 0 3 234.368 2.764 20 0 BFADHN Cc1cnn(C)c1CN1C[C@H](C(C)C)[C@@H]1C(C)C ZINC000449551523 388230283 /nfs/dbraw/zinc/23/02/83/388230283.db2.gz GTMAKRPCCOIZKF-HIFRSBDPSA-N 0 3 249.402 2.841 20 0 BFADHN Cc1cnn(C)c1CN1C[C@H](C(C)C)[C@H]1C(C)C ZINC000449551524 388230313 /nfs/dbraw/zinc/23/03/13/388230313.db2.gz GTMAKRPCCOIZKF-UKRRQHHQSA-N 0 3 249.402 2.841 20 0 BFADHN CCOc1cccc(CNC[C@@H]2C[C@H]2C(C)C)n1 ZINC000449553844 388231143 /nfs/dbraw/zinc/23/11/43/388231143.db2.gz NTSHAKGGZNLAJM-JSGCOSHPSA-N 0 3 248.370 2.862 20 0 BFADHN Cc1ncc(CN2C[C@@H](C(C)C)[C@H]2C(C)C)cn1 ZINC000449559867 388232220 /nfs/dbraw/zinc/23/22/20/388232220.db2.gz ZMVQJGILEIAUOI-LSDHHAIUSA-N 0 3 247.386 2.897 20 0 BFADHN CCn1ccnc1[C@H](C)NCC1CCCC1 ZINC000449562662 388232876 /nfs/dbraw/zinc/23/28/76/388232876.db2.gz MVOIHZIEWNQYNJ-NSHDSACASA-N 0 3 221.348 2.744 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CC[C@@H](C)C1 ZINC000449562417 388233016 /nfs/dbraw/zinc/23/30/16/388233016.db2.gz KKSFQEWYFWASKT-WOPDTQHZSA-N 0 3 221.348 2.742 20 0 BFADHN CCC1CC(N[C@H](C)c2nccn2CC)C1 ZINC000449564653 388234265 /nfs/dbraw/zinc/23/42/65/388234265.db2.gz CNTKDRBXQARUBK-VOMCLLRMSA-N 0 3 221.348 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1C[C@@H](C)[C@@H]1C ZINC000449567920 388234627 /nfs/dbraw/zinc/23/46/27/388234627.db2.gz ZKIAKJCHZIVILG-NOOOWODRSA-N 0 3 221.348 2.598 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CC[C@H]1C1CC1 ZINC000449566586 388234716 /nfs/dbraw/zinc/23/47/16/388234716.db2.gz PQLIAOGLZJZZGN-WCFLWFBJSA-N 0 3 233.359 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1C[C@H](C)[C@H]1C ZINC000449567921 388235177 /nfs/dbraw/zinc/23/51/77/388235177.db2.gz ZKIAKJCHZIVILG-WHOHXGKFSA-N 0 3 221.348 2.598 20 0 BFADHN CCc1ncc(CN[C@H]2CCCC[C@@H]2C)o1 ZINC000449608198 388241172 /nfs/dbraw/zinc/24/11/72/388241172.db2.gz FXCSYIUZRGVMQU-JQWIXIFHSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1ncc(CN[C@@H]2CCCC[C@@H]2C)o1 ZINC000449608197 388241296 /nfs/dbraw/zinc/24/12/96/388241296.db2.gz FXCSYIUZRGVMQU-CMPLNLGQSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@H]2C2CCC2)o1 ZINC000449608543 388241555 /nfs/dbraw/zinc/24/15/55/388241555.db2.gz IHNMGTZCUPHYKF-STQMWFEESA-N 0 3 234.343 2.905 20 0 BFADHN CCc1ncc(CN[C@@H]2CCCC[C@H]2C)o1 ZINC000449608200 388241936 /nfs/dbraw/zinc/24/19/36/388241936.db2.gz FXCSYIUZRGVMQU-ZYHUDNBSSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@@H](C)C2)o1 ZINC000449611941 388243269 /nfs/dbraw/zinc/24/32/69/388243269.db2.gz ZSDUSEUTGPNDSQ-ZJUUUORDSA-N 0 3 208.305 2.515 20 0 BFADHN CCCc1ncc(CN[C@@H]2CC[C@H]2CC)o1 ZINC000449615269 388243558 /nfs/dbraw/zinc/24/35/58/388243558.db2.gz YNVCQAPEUNXCTB-ZYHUDNBSSA-N 0 3 222.332 2.905 20 0 BFADHN CCC1(NCc2cnc(C3CC3)nc2)CCC1 ZINC000459423036 388244009 /nfs/dbraw/zinc/24/40/09/388244009.db2.gz IMKLYXTWNCHLFL-UHFFFAOYSA-N 0 3 231.343 2.776 20 0 BFADHN CC1(C)COC[C@@H]1NC/C=C/c1ccc(F)cc1 ZINC000449622729 388244527 /nfs/dbraw/zinc/24/45/27/388244527.db2.gz FHBJMVXIZCVMBN-XGACYXMMSA-N 0 3 249.329 2.854 20 0 BFADHN CC1(C)COC[C@H]1NCc1ccc2occc2c1 ZINC000449624716 388245103 /nfs/dbraw/zinc/24/51/03/388245103.db2.gz PUNBXQOFLJUPPD-CQSZACIVSA-N 0 3 245.322 2.947 20 0 BFADHN CC[C@H](C)N1CCN(CC2(C)CCC2)CC1 ZINC000449627862 388245449 /nfs/dbraw/zinc/24/54/49/388245449.db2.gz HOVCKFPDISJBHO-ZDUSSCGKSA-N 0 3 224.392 2.593 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2ccco2)C12CCC2 ZINC000449630350 388245820 /nfs/dbraw/zinc/24/58/20/388245820.db2.gz HMKAKRMJAWIVAI-OLZOCXBDSA-N 0 3 235.327 2.669 20 0 BFADHN CO[C@@H]1C[C@@H](N(C)Cc2occc2C)C12CCC2 ZINC000449634159 388247106 /nfs/dbraw/zinc/24/71/06/388247106.db2.gz RBQRESSMGHVHPY-ZIAGYGMSSA-N 0 3 249.354 2.977 20 0 BFADHN CCSCCNCc1cccc(OC)c1 ZINC000164783695 388248131 /nfs/dbraw/zinc/24/81/31/388248131.db2.gz UMPUQRPGKGUMMW-UHFFFAOYSA-N 0 3 225.357 2.538 20 0 BFADHN O[C@@H]1CC[C@@H]2CN(CC3=CCCCC3)CC[C@H]2C1 ZINC000451694702 388290290 /nfs/dbraw/zinc/29/02/90/388290290.db2.gz CMFUKQNILVRRRH-ARFHVFGLSA-N 0 3 249.398 2.970 20 0 BFADHN CO[C@@H](C)CN1CCCc2ccccc2CC1 ZINC000451712480 388291632 /nfs/dbraw/zinc/29/16/32/388291632.db2.gz QXEHFYJBJDGEER-ZDUSSCGKSA-N 0 3 233.355 2.512 20 0 BFADHN Fc1cc(C2CC2)ccc1CNC1CCOCC1 ZINC000491580721 388304436 /nfs/dbraw/zinc/30/44/36/388304436.db2.gz FAMSCLSGVFSJKE-UHFFFAOYSA-N 0 3 249.329 2.972 20 0 BFADHN CCCCN(C(=O)/C=C/CN(C)C)[C@H](C)CC ZINC000491855043 388324906 /nfs/dbraw/zinc/32/49/06/388324906.db2.gz ZIPFSSAGWBKWBI-WTNCMQEWSA-N 0 3 240.391 2.531 20 0 BFADHN CCCCN(C(=O)/C=C\CN(C)C)[C@@H](C)CC ZINC000491855044 388324940 /nfs/dbraw/zinc/32/49/40/388324940.db2.gz ZIPFSSAGWBKWBI-XPSMFNQNSA-N 0 3 240.391 2.531 20 0 BFADHN CCCCCC[C@@H](C)NC(=O)/C=C\CN(C)C ZINC000491889345 388326490 /nfs/dbraw/zinc/32/64/90/388326490.db2.gz VTOLUDGRBVXOOM-PRWOLLLXSA-N 0 3 240.391 2.579 20 0 BFADHN O[C@@]1(C(F)F)CCN(CCCC2CCCC2)C1 ZINC000451423172 388272510 /nfs/dbraw/zinc/27/25/10/388272510.db2.gz DPDNPTNLFOKEQJ-ZDUSSCGKSA-N 0 3 247.329 2.659 20 0 BFADHN Fc1ccccc1OCCN1CC[C@@H](C2CC2)C1 ZINC000491143518 388272784 /nfs/dbraw/zinc/27/27/84/388272784.db2.gz VRFYBYBWVZQJMB-CYBMUJFWSA-N 0 3 249.329 2.936 20 0 BFADHN CCC[C@H](NCc1nnc(CC)[nH]1)C(C)(C)C ZINC000451441530 388273665 /nfs/dbraw/zinc/27/36/65/388273665.db2.gz RUJXBWIOSQMXTG-JTQLQIEISA-N 0 3 238.379 2.672 20 0 BFADHN CCC[C@@H](NCc1nnc(CC)[nH]1)C(C)(C)C ZINC000451441531 388274020 /nfs/dbraw/zinc/27/40/20/388274020.db2.gz RUJXBWIOSQMXTG-SNVBAGLBSA-N 0 3 238.379 2.672 20 0 BFADHN CC[C@H](F)CN[C@@H]1C[C@](C)(OC)C1(C)C ZINC000451495652 388278107 /nfs/dbraw/zinc/27/81/07/388278107.db2.gz TUZTWSXMVKEFDK-UMNHJUIQSA-N 0 3 217.328 2.528 20 0 BFADHN CCO[C@H]1CCN(Cc2cncc(C)c2)C[C@H]1C ZINC000451542308 388280473 /nfs/dbraw/zinc/28/04/73/388280473.db2.gz NIBQZQFMPGIBCP-HIFRSBDPSA-N 0 3 248.370 2.637 20 0 BFADHN CC[C@H](CN(C)Cc1ccsc1)OC ZINC000642650202 388345128 /nfs/dbraw/zinc/34/51/28/388345128.db2.gz TUJIDZHIQGARGM-LLVKDONJSA-N 0 3 213.346 2.605 20 0 BFADHN CCSCCNCc1c(CC)noc1CC ZINC000276182267 388346848 /nfs/dbraw/zinc/34/68/48/388346848.db2.gz ICXCAZBYPNCRSU-UHFFFAOYSA-N 0 3 242.388 2.642 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cc(C)ccc1F ZINC000276075224 388347028 /nfs/dbraw/zinc/34/70/28/388347028.db2.gz MWDJPHIMYLOIDP-WDEREUQCSA-N 0 3 225.307 2.647 20 0 BFADHN CC[C@H](CO)N[C@@H](C)c1csc(Cl)c1 ZINC000276331768 388348194 /nfs/dbraw/zinc/34/81/94/388348194.db2.gz IROIDFOSAGFQMV-IONNQARKSA-N 0 3 233.764 2.823 20 0 BFADHN CC[C@@H](NC[C@@H](C)OC)c1cccc(F)c1 ZINC000276898436 388351431 /nfs/dbraw/zinc/35/14/31/388351431.db2.gz IRBLHLBVDFZOLK-ZWNOBZJWSA-N 0 3 225.307 2.901 20 0 BFADHN CCC(CC)N(C(=O)CN(C)C(C)C)C1CC1 ZINC000524254934 388353642 /nfs/dbraw/zinc/35/36/42/388353642.db2.gz CRJOETCEHONAPT-UHFFFAOYSA-N 0 3 240.391 2.506 20 0 BFADHN CCC(CC)[C@H](O)CNCc1ccsc1C ZINC000293652651 388356043 /nfs/dbraw/zinc/35/60/43/388356043.db2.gz SNFJTXLFJDTKEV-CYBMUJFWSA-N 0 3 241.400 2.943 20 0 BFADHN CCCc1nc(C)c(CN[C@H]2CCSC2)o1 ZINC000293684190 388358078 /nfs/dbraw/zinc/35/80/78/388358078.db2.gz NJGHUNPOYPYFCE-JTQLQIEISA-N 0 3 240.372 2.531 20 0 BFADHN Cc1sccc1CNC[C@H](O)CC(C)(C)C ZINC000293697634 388359524 /nfs/dbraw/zinc/35/95/24/388359524.db2.gz WXDQHJKERXQHNL-GFCCVEGCSA-N 0 3 241.400 2.943 20 0 BFADHN Cc1sccc1CNC[C@@H](O)CC(C)(C)C ZINC000293697639 388359533 /nfs/dbraw/zinc/35/95/33/388359533.db2.gz WXDQHJKERXQHNL-LBPRGKRZSA-N 0 3 241.400 2.943 20 0 BFADHN C[C@H](CN1CCC(=O)[C@@H](C)C1)C(C)(C)C ZINC000162957765 388367135 /nfs/dbraw/zinc/36/71/35/388367135.db2.gz NNEDWKFGUKXBRR-WDEREUQCSA-N 0 3 211.349 2.580 20 0 BFADHN CCOC[C@H](C)N[C@H](CC)c1ccncc1 ZINC000163756184 388367323 /nfs/dbraw/zinc/36/73/23/388367323.db2.gz AXOPICTUODKEFE-WCQYABFASA-N 0 3 222.332 2.547 20 0 BFADHN CC(C)CN1CCC[C@@H](OCC(F)(F)F)C1 ZINC000524592768 388367437 /nfs/dbraw/zinc/36/74/37/388367437.db2.gz SOMCIHQFWHRPOM-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H]1[C@H](O)CCN1Cc1ccc2c(c1)CCCC2 ZINC000648801626 388374676 /nfs/dbraw/zinc/37/46/76/388374676.db2.gz HNFWGBXEGDNTIW-MLGOLLRUSA-N 0 3 245.366 2.521 20 0 BFADHN Cc1ncncc1[C@H](C)NCCSC(C)C ZINC000572780681 388375233 /nfs/dbraw/zinc/37/52/33/388375233.db2.gz RQCANUYQXIYLPS-JTQLQIEISA-N 0 3 239.388 2.577 20 0 BFADHN Cc1ncncc1[C@@H](C)NCCSC(C)C ZINC000572780682 388375673 /nfs/dbraw/zinc/37/56/73/388375673.db2.gz RQCANUYQXIYLPS-SNVBAGLBSA-N 0 3 239.388 2.577 20 0 BFADHN CC[C@@H](F)CN1CCSCC[C@@H]1C ZINC000306367538 388381826 /nfs/dbraw/zinc/38/18/26/388381826.db2.gz HFYSFTRCVYPZHE-VHSXEESVSA-N 0 3 205.342 2.562 20 0 BFADHN CCCN(C)Cc1nc2ccccc2nc1C ZINC000058399545 388382849 /nfs/dbraw/zinc/38/28/49/388382849.db2.gz OYCBPXRJFZUHFN-UHFFFAOYSA-N 0 3 229.327 2.780 20 0 BFADHN CO[C@@H](CNCc1cc(C)ccc1F)C1CC1 ZINC000293021311 388386046 /nfs/dbraw/zinc/38/60/46/388386046.db2.gz OWZJITSAJPOLOH-AWEZNQCLSA-N 0 3 237.318 2.649 20 0 BFADHN CCCc1nc(C)c(CN[C@H](C)CC)o1 ZINC000293387431 388388383 /nfs/dbraw/zinc/38/83/83/388388383.db2.gz IPBGEEFCAJMEIR-SECBINFHSA-N 0 3 210.321 2.824 20 0 BFADHN CCC[C@H](C)CC(=O)Nc1cccc(CN)c1 ZINC000646579695 388388489 /nfs/dbraw/zinc/38/84/89/388388489.db2.gz NEXLMMKRUQTZKM-NSHDSACASA-N 0 3 234.343 2.910 20 0 BFADHN CCC[C@H](CNCc1ccc(OC)cc1)OC ZINC000293631775 388390232 /nfs/dbraw/zinc/39/02/32/388390232.db2.gz JBDBONIWLICGDO-CQSZACIVSA-N 0 3 237.343 2.600 20 0 BFADHN CC(C)C1(N[C@H](C)c2cncs2)CC1 ZINC000293825753 388391605 /nfs/dbraw/zinc/39/16/05/388391605.db2.gz WRKGILVXFORWIW-SECBINFHSA-N 0 3 210.346 2.982 20 0 BFADHN Cc1nocc1CN[C@H]1CCCC[C@@H]1C ZINC000294097967 388395221 /nfs/dbraw/zinc/39/52/21/388395221.db2.gz MCQRCCGAXZFBQP-CABZTGNLSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@H](NC[C@H]1CCCO1)c1ccsc1 ZINC000294197405 388396822 /nfs/dbraw/zinc/39/68/22/388396822.db2.gz GWZNZMSJXOMALU-NEPJUHHUSA-N 0 3 225.357 2.968 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1cnccc1C)OC ZINC000294353931 388398653 /nfs/dbraw/zinc/39/86/53/388398653.db2.gz ZLAHBFNMLASYSQ-BXUZGUMPSA-N 0 3 236.359 2.541 20 0 BFADHN CCC(CC)N(CCOC)CC1(F)CC1 ZINC000525977710 388441008 /nfs/dbraw/zinc/44/10/08/388441008.db2.gz XZEMZWYYAHLUKY-UHFFFAOYSA-N 0 3 217.328 2.626 20 0 BFADHN CN(CC1(F)CC1)[C@H]1CCc2ccccc2C1 ZINC000525978408 388441965 /nfs/dbraw/zinc/44/19/65/388441965.db2.gz XBTLZBVHSUBLCI-AWEZNQCLSA-N 0 3 233.330 2.978 20 0 BFADHN C[C@@H]([C@H]1Cc2ccccc2O1)N(C)CC1(F)CC1 ZINC000525979598 388444376 /nfs/dbraw/zinc/44/43/76/388444376.db2.gz LXTVMDJUEKXQHK-SMDDNHRTSA-N 0 3 249.329 2.813 20 0 BFADHN CCO[C@@H]1C[C@H](N(C)CC2(F)CC2)C12CCC2 ZINC000525980270 388444570 /nfs/dbraw/zinc/44/45/70/388444570.db2.gz DYPKFGLLHRWJBQ-NWDGAFQWSA-N 0 3 241.350 2.768 20 0 BFADHN Cc1ccoc1CNC(C)(C)CN1CCCC1 ZINC000308614523 388444660 /nfs/dbraw/zinc/44/46/60/388444660.db2.gz PRWORIXSSWUWPM-UHFFFAOYSA-N 0 3 236.359 2.552 20 0 BFADHN CCO[C@@H]1C[C@@H](N(C)CC2(F)CC2)C12CCC2 ZINC000525980272 388445105 /nfs/dbraw/zinc/44/51/05/388445105.db2.gz DYPKFGLLHRWJBQ-VXGBXAGGSA-N 0 3 241.350 2.768 20 0 BFADHN CCC1(C(=O)Nc2cc(C)cc(CN)c2)CC1 ZINC000322760689 388445834 /nfs/dbraw/zinc/44/58/34/388445834.db2.gz ZNDWSAPPTZLHDB-UHFFFAOYSA-N 0 3 232.327 2.582 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2NCC1=CCOCC1 ZINC000334676794 388447618 /nfs/dbraw/zinc/44/76/18/388447618.db2.gz YCDYTBYZWKRVTC-ZDUSSCGKSA-N 0 3 233.311 2.593 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@]2(C)CC=CCC2)n1 ZINC000335242054 388449176 /nfs/dbraw/zinc/44/91/76/388449176.db2.gz UMWMLYVYQUICNA-CQSZACIVSA-N 0 3 232.327 2.684 20 0 BFADHN CCCCC(=O)Nc1cc(C)cc(CN)c1 ZINC000322910930 388449725 /nfs/dbraw/zinc/44/97/25/388449725.db2.gz JMDAGXJHANNWAZ-UHFFFAOYSA-N 0 3 220.316 2.582 20 0 BFADHN CSC1(CN[C@H](C)c2c[nH]nc2C)CCC1 ZINC000193806309 388450676 /nfs/dbraw/zinc/45/06/76/388450676.db2.gz PFCFGMGIVQSWOW-SECBINFHSA-N 0 3 239.388 2.654 20 0 BFADHN Cc1cccc(C)c1OCCNCC1(F)CC1 ZINC000525987316 388451449 /nfs/dbraw/zinc/45/14/49/388451449.db2.gz KSANLMHXHUCEIE-UHFFFAOYSA-N 0 3 237.318 2.774 20 0 BFADHN C[C@@H](NC1CC(C)(C)C1)c1ccccn1 ZINC000335603222 388451998 /nfs/dbraw/zinc/45/19/98/388451998.db2.gz WHITUFRXVLGEJC-SNVBAGLBSA-N 0 3 204.317 2.921 20 0 BFADHN COCC1(NCc2ccc(C(F)F)cc2)CC1 ZINC000525996274 388452322 /nfs/dbraw/zinc/45/23/22/388452322.db2.gz BBEKUIVFHHYJEX-UHFFFAOYSA-N 0 3 241.281 2.893 20 0 BFADHN CC1(C)C[C@H](NCc2ccc(F)c(F)c2)CO1 ZINC000335658486 388452975 /nfs/dbraw/zinc/45/29/75/388452975.db2.gz YMJKCBVTWMVQFN-JTQLQIEISA-N 0 3 241.281 2.622 20 0 BFADHN COc1cc(CN2[C@@H](C)C[C@@H]2C)ccc1C ZINC000525997006 388453955 /nfs/dbraw/zinc/45/39/55/388453955.db2.gz LAINEUZVEMYVRU-RYUDHWBXSA-N 0 3 219.328 2.986 20 0 BFADHN C[C@H](N[C@@H]1CCCC1(C)C)c1ccncn1 ZINC000335916810 388454820 /nfs/dbraw/zinc/45/48/20/388454820.db2.gz BMGIMHWHGPZCCK-CMPLNLGQSA-N 0 3 219.332 2.706 20 0 BFADHN Cc1nocc1CN[C@@H]1CCC12CCCC2 ZINC000336370438 388457592 /nfs/dbraw/zinc/45/75/92/388457592.db2.gz FEPMSLFURLDMNS-GFCCVEGCSA-N 0 3 220.316 2.795 20 0 BFADHN CN(CCc1cscn1)Cc1ccsc1 ZINC000649231576 388463767 /nfs/dbraw/zinc/46/37/67/388463767.db2.gz FQZQVDBWOIQDMP-UHFFFAOYSA-N 0 3 238.381 2.879 20 0 BFADHN COc1cccc(CNC[C@@H]2C[C@H]2C2CC2)c1F ZINC000648846714 388467072 /nfs/dbraw/zinc/46/70/72/388467072.db2.gz KAHPWUJREDSKSS-STQMWFEESA-N 0 3 249.329 2.970 20 0 BFADHN C(NC[C@@H]1C[C@H]1C1CC1)c1nc2c(s1)CCC2 ZINC000648846016 388467262 /nfs/dbraw/zinc/46/72/62/388467262.db2.gz QFJAMONEMPNYJN-QWRGUYRKSA-N 0 3 248.395 2.768 20 0 BFADHN CC[C@H](N[C@H]1CCC[C@@H]1C)c1ccn(C)n1 ZINC000336728369 388470041 /nfs/dbraw/zinc/47/00/41/388470041.db2.gz HCBWRLVCZXDZLN-SRVKXCTJSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccc(C(=O)OC)s1 ZINC000176982169 388470180 /nfs/dbraw/zinc/47/01/80/388470180.db2.gz WHEKLPSYTHGMOM-SECBINFHSA-N 0 3 241.356 2.765 20 0 BFADHN FC(F)O[C@@H]1CCC[C@H]1NCc1ccco1 ZINC000337308469 388472070 /nfs/dbraw/zinc/47/20/70/388472070.db2.gz SURLRBGPKFZMHH-NXEZZACHSA-N 0 3 231.242 2.530 20 0 BFADHN CSC[C@@H](C)N[C@H](C)c1cncs1 ZINC000133956946 388472900 /nfs/dbraw/zinc/47/29/00/388472900.db2.gz KKLAKPHDODYNAU-HTQZYQBOSA-N 0 3 216.375 2.545 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@H]3C[C@H]32)s1 ZINC000337648123 388474327 /nfs/dbraw/zinc/47/43/27/388474327.db2.gz JUDMAWSWZDENLS-HBNTYKKESA-N 0 3 222.357 2.730 20 0 BFADHN C[C@@H](NC[C@H](O)C1CCC1)c1ccsc1 ZINC000316298951 388408779 /nfs/dbraw/zinc/40/87/79/388408779.db2.gz CYOLKMLAQIPEML-SKDRFNHKSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@H](CC(C)(C)O)NCc1ccc(F)c(F)c1 ZINC000295114594 388409239 /nfs/dbraw/zinc/40/92/39/388409239.db2.gz VPYCUZVKMXOYPE-SECBINFHSA-N 0 3 243.297 2.604 20 0 BFADHN COC[C@@H](N[C@@H](C)c1cccc(O)c1)C(C)C ZINC000086697298 388409599 /nfs/dbraw/zinc/40/95/99/388409599.db2.gz SCBBPHAADILIHO-SMDDNHRTSA-N 0 3 237.343 2.714 20 0 BFADHN C[C@@H]1C[C@H](C)N(CCOc2ccccc2F)C1 ZINC000524892938 388413122 /nfs/dbraw/zinc/41/31/22/388413122.db2.gz TWNNTTOSPMVUIX-NEPJUHHUSA-N 0 3 237.318 2.935 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CSC[C@H]2C)s1 ZINC000295763510 388413561 /nfs/dbraw/zinc/41/35/61/388413561.db2.gz QJKMWILRXWRERF-FKTZTGRPSA-N 0 3 242.413 2.854 20 0 BFADHN FC(F)C1(CNCc2cnc(C3CC3)o2)CC1 ZINC000648824613 388414625 /nfs/dbraw/zinc/41/46/25/388414625.db2.gz PRAUKKXVTGZZBF-UHFFFAOYSA-N 0 3 242.269 2.687 20 0 BFADHN CC(F)(F)CCCNCc1ccco1 ZINC000296164669 388418612 /nfs/dbraw/zinc/41/86/12/388418612.db2.gz WRZOAMMTIDWDEB-UHFFFAOYSA-N 0 3 203.232 2.805 20 0 BFADHN COCCN[C@H]1CCc2c1cccc2Cl ZINC000166311507 388425300 /nfs/dbraw/zinc/42/53/00/388425300.db2.gz ZISCPILZXKQQMA-LBPRGKRZSA-N 0 3 225.719 2.563 20 0 BFADHN CCC[C@@H](NCc1ccnc(C)n1)C1CCC1 ZINC000322309693 388431340 /nfs/dbraw/zinc/43/13/40/388431340.db2.gz XXDHHLSDMWBLDL-CQSZACIVSA-N 0 3 233.359 2.843 20 0 BFADHN CC[C@@H]1C[C@@H](CN2CCC[C@@H](F)C2)CCO1 ZINC000573212763 388432201 /nfs/dbraw/zinc/43/22/01/388432201.db2.gz HPFKVEYBUABNJY-YNEHKIRRSA-N 0 3 229.339 2.626 20 0 BFADHN Cc1nsc(C)c1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC000573263116 388437468 /nfs/dbraw/zinc/43/74/68/388437468.db2.gz COMBVIPRVKPOJO-TXEJJXNPSA-N 0 3 236.384 2.848 20 0 BFADHN CCC[C@@H](NCc1nccn1CC)C1CCC1 ZINC000322526579 388438292 /nfs/dbraw/zinc/43/82/92/388438292.db2.gz KYLJRQUYKMJZSQ-CYBMUJFWSA-N 0 3 235.375 2.961 20 0 BFADHN C/C(Cl)=C\CN1CC[C@@H](O)C12CCCC2 ZINC000528513174 388538413 /nfs/dbraw/zinc/53/84/13/388538413.db2.gz SQUKNQNNKLOQTD-LLMHMKPQSA-N 0 3 229.751 2.508 20 0 BFADHN CC(C)N1CCc2nc(C(C)(C)C)ncc2C1 ZINC000528590287 388550433 /nfs/dbraw/zinc/55/04/33/388550433.db2.gz BQSGCNATSYTTDO-UHFFFAOYSA-N 0 3 233.359 2.541 20 0 BFADHN CO[C@@H]1C[C@@H](NC/C=C(\C)Cl)C12CCC2 ZINC000528517392 388540999 /nfs/dbraw/zinc/54/09/99/388540999.db2.gz DVVWWQOEHVRFFS-MJMLHWTPSA-N 0 3 229.751 2.676 20 0 BFADHN C/C(Cl)=C\CN1CC[C@H](O)CC(C)(C)C1 ZINC000528517820 388541219 /nfs/dbraw/zinc/54/12/19/388541219.db2.gz IOUXVPXHNUFJRJ-INJWIWPUSA-N 0 3 231.767 2.612 20 0 BFADHN CO[C@@H]1C[C@@H](NC/C=C(\C)Cl)C1(C)C ZINC000528525263 388542301 /nfs/dbraw/zinc/54/23/01/388542301.db2.gz ZWIGHLATGMHOPH-STFJZOTBSA-N 0 3 217.740 2.532 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](CO)C2CCCC2)o1 ZINC000353480992 388542558 /nfs/dbraw/zinc/54/25/58/388542558.db2.gz JSIWDKOAYKQUHN-DGCLKSJQSA-N 0 3 237.343 2.790 20 0 BFADHN C[C@@H]1C[C@H](C)CN(CCOCC(F)(F)F)C1 ZINC000079710282 388544376 /nfs/dbraw/zinc/54/43/76/388544376.db2.gz XHYBCYMYHKGUHB-AOOOYVTPSA-N 0 3 239.281 2.543 20 0 BFADHN CC(C)n1nccc1CNCC1(C2CC2)CC1 ZINC000354554573 388545129 /nfs/dbraw/zinc/54/51/29/388545129.db2.gz YYEIIHBUUGHNKI-UHFFFAOYSA-N 0 3 233.359 2.744 20 0 BFADHN CCc1ccc(CN[C@@H](C)[C@H]2CCCOC2)o1 ZINC000355768991 388547509 /nfs/dbraw/zinc/54/75/09/388547509.db2.gz QESYGBJYMLIHNN-RYUDHWBXSA-N 0 3 237.343 2.747 20 0 BFADHN COCCC1CCN(Cc2cccs2)CC1 ZINC000528576910 388548304 /nfs/dbraw/zinc/54/83/04/388548304.db2.gz FTRHNHOSHNYUSN-UHFFFAOYSA-N 0 3 239.384 2.997 20 0 BFADHN CCC[C@@H](NCc1nccn1C)[C@H]1CC1(C)C ZINC000397595951 388482425 /nfs/dbraw/zinc/48/24/25/388482425.db2.gz DLBTUKMRQYQCIE-VXGBXAGGSA-N 0 3 235.375 2.725 20 0 BFADHN CCOc1ccc(CNC[C@@H](OC)C2CC2)cc1 ZINC000339526017 388484644 /nfs/dbraw/zinc/48/46/44/388484644.db2.gz FTPRFEVOCNUNCI-OAHLLOKOSA-N 0 3 249.354 2.600 20 0 BFADHN COC(C)(C)CNCc1cc2ccccc2o1 ZINC000135232189 388485598 /nfs/dbraw/zinc/48/55/98/388485598.db2.gz DYRWFMZQAJAEJS-UHFFFAOYSA-N 0 3 233.311 2.947 20 0 BFADHN CO[C@H](CNCc1ccsc1Cl)C1CC1 ZINC000339526223 388485635 /nfs/dbraw/zinc/48/56/35/388485635.db2.gz FOEMCYYQCRADRB-SNVBAGLBSA-N 0 3 245.775 2.916 20 0 BFADHN COC(C)(C)CNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000135233507 388485756 /nfs/dbraw/zinc/48/57/56/388485756.db2.gz VZIOLLASKYXTLY-PWSUYJOCSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@@H](c1ccc(F)cc1F)N(C)CC(C)(C)O ZINC000177724666 388490346 /nfs/dbraw/zinc/49/03/46/388490346.db2.gz NIWADSBPZGFNMK-VIFPVBQESA-N 0 3 243.297 2.729 20 0 BFADHN C[C@H](NC[C@H]1CCCC1(C)C)c1nccn1C ZINC000340516022 388491671 /nfs/dbraw/zinc/49/16/71/388491671.db2.gz AREUQYBQYUZPGN-NWDGAFQWSA-N 0 3 235.375 2.897 20 0 BFADHN CC[C@H](NCc1nnc(C)s1)[C@H]1CC1(C)C ZINC000397705722 388492382 /nfs/dbraw/zinc/49/23/82/388492382.db2.gz RJVIFOPXVOZUNR-ZJUUUORDSA-N 0 3 239.388 2.761 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)n2ccnc21)[C@H]1CC1(C)C ZINC000397711608 388494218 /nfs/dbraw/zinc/49/42/18/388494218.db2.gz PEXYGDPCBXKWTE-NNYUYHANSA-N 0 3 233.359 2.913 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1ccccn1 ZINC000397732641 388495901 /nfs/dbraw/zinc/49/59/01/388495901.db2.gz QTQLYHMHNGRGFH-WISYIIOYSA-N 0 3 202.301 2.531 20 0 BFADHN CCc1ncc(CN[C@@H]2CS[C@H](C)C2)s1 ZINC000397794831 388499350 /nfs/dbraw/zinc/49/93/50/388499350.db2.gz JKPPBRBIYJQQHA-BDAKNGLRSA-N 0 3 242.413 2.689 20 0 BFADHN CCOc1ccccc1CNCC1(CC)COC1 ZINC000343648604 388502402 /nfs/dbraw/zinc/50/24/02/388502402.db2.gz XNBVXMMXRFPJGG-UHFFFAOYSA-N 0 3 249.354 2.602 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCC2(N(C)C)CC2)o1 ZINC000397824307 388502931 /nfs/dbraw/zinc/50/29/31/388502931.db2.gz ANZHYRSCZVJYNX-WCQYABFASA-N 0 3 248.370 2.587 20 0 BFADHN CC[C@@]1(C)CN(CCC(C)(C)C)CCO1 ZINC000135690130 388503759 /nfs/dbraw/zinc/50/37/59/388503759.db2.gz WEHGESHACVRPDZ-ZDUSSCGKSA-N 0 3 213.365 2.924 20 0 BFADHN CO[C@@H](CNCc1ccc(C)c(F)c1)C(C)C ZINC000344726320 388508675 /nfs/dbraw/zinc/50/86/75/388508675.db2.gz HQKJOWOXJSIBNN-AWEZNQCLSA-N 0 3 239.334 2.895 20 0 BFADHN COc1cc(F)cc(CN[C@@H](C)CSC)c1 ZINC000312276458 388510180 /nfs/dbraw/zinc/51/01/80/388510180.db2.gz PFALBKXXRPCNRS-VIFPVBQESA-N 0 3 243.347 2.675 20 0 BFADHN CC(C)[C@H](C)CN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000345346691 388511878 /nfs/dbraw/zinc/51/18/78/388511878.db2.gz CTPNILGOFLPFQN-IJLUTSLNSA-N 0 3 221.348 2.771 20 0 BFADHN C[C@H](CC1CC1)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000345344406 388511889 /nfs/dbraw/zinc/51/18/89/388511889.db2.gz AXQQIBWFGZENGI-CKYFFXLPSA-N 0 3 219.332 2.667 20 0 BFADHN C/C(Cl)=C\CN1CCOC[C@H](C2CCC2)C1 ZINC000528512630 388512904 /nfs/dbraw/zinc/51/29/04/388512904.db2.gz MDJSYDHEPYZXSG-HQIZRNBFSA-N 0 3 243.778 2.878 20 0 BFADHN CC1(CN2CC[C@@]3(C2)OCc2ccccc23)CC1 ZINC000516700931 388515158 /nfs/dbraw/zinc/51/51/58/388515158.db2.gz ZBWFXURYZRBKAN-INIZCTEOSA-N 0 3 243.350 2.918 20 0 BFADHN C/C(Cl)=C\CN1CCC2(C1)CCOCC2 ZINC000528398286 388518884 /nfs/dbraw/zinc/51/88/84/388518884.db2.gz ZWDLONUNLSVMQW-BIIKFXOESA-N 0 3 229.751 2.632 20 0 BFADHN CO[C@@H](CN[C@H]1CSc2ccccc21)C1CC1 ZINC000378843411 388520098 /nfs/dbraw/zinc/52/00/98/388520098.db2.gz ZFYDFVIELNDSLV-STQMWFEESA-N 0 3 249.379 2.848 20 0 BFADHN C[C@H](O)[C@H](N[C@@H](C)C1CC1)c1ccccc1F ZINC000516872298 388521959 /nfs/dbraw/zinc/52/19/59/388521959.db2.gz UXIXFRQBQDEPQK-BHDSKKPTSA-N 0 3 237.318 2.636 20 0 BFADHN CN(Cc1cccnc1)CC1CC(C)(C)C1 ZINC000528418138 388522113 /nfs/dbraw/zinc/52/21/13/388522113.db2.gz CLSHCOKWWZAWPX-UHFFFAOYSA-N 0 3 218.344 2.950 20 0 BFADHN C/C(Cl)=C\CN(C)C1CCC(CO)CC1 ZINC000528425967 388523423 /nfs/dbraw/zinc/52/34/23/388523423.db2.gz SHAXUWFXFYRQLV-JXMROGBWSA-N 0 3 231.767 2.612 20 0 BFADHN C/C(Cl)=C\CN[C@]1(C)CCO[C@@H]1C1CC1 ZINC000528442037 388525781 /nfs/dbraw/zinc/52/57/81/388525781.db2.gz PJTLLLKHNAWIAA-YRCSQKNFSA-N 0 3 229.751 2.676 20 0 BFADHN C/C(Cl)=C/CN(C)C[C@@H]1CCCC[C@@H]1O ZINC000528437197 388526479 /nfs/dbraw/zinc/52/64/79/388526479.db2.gz FHAUNHFYQZDPDZ-XHXQXFLYSA-N 0 3 231.767 2.612 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@H]2C(F)F)s1 ZINC000348176994 388526573 /nfs/dbraw/zinc/52/65/73/388526573.db2.gz DXRUMZXVLFBUNE-IUCAKERBSA-N 0 3 217.284 2.800 20 0 BFADHN CC1(C)CC(CN[C@@H](CCO)c2ccco2)C1 ZINC000528453990 388528285 /nfs/dbraw/zinc/52/82/85/388528285.db2.gz RMBAVTMWEQMEIV-LBPRGKRZSA-N 0 3 237.343 2.729 20 0 BFADHN CC1(C)CC(CN2CCO[C@H](C3CC3)C2)C1 ZINC000528458322 388528709 /nfs/dbraw/zinc/52/87/09/388528709.db2.gz IBKNJEBCPFODJZ-ZDUSSCGKSA-N 0 3 223.360 2.533 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1cscn1 ZINC000517017491 388531852 /nfs/dbraw/zinc/53/18/52/388531852.db2.gz RMSYKGBEGKWLHR-ZNSHCXBVSA-N 0 3 222.357 2.982 20 0 BFADHN CO[C@]1(C)CCCN(C/C=C(\C)Cl)C1 ZINC000528481733 388532122 /nfs/dbraw/zinc/53/21/22/388532122.db2.gz ANCVGMBNXXLRJI-IGLBNKAOSA-N 0 3 217.740 2.630 20 0 BFADHN CCOc1ccccc1OCCN1[C@H](C)C[C@H]1C ZINC000548424441 388535947 /nfs/dbraw/zinc/53/59/47/388535947.db2.gz IUPRKQQGLFXYOS-CHWSQXEVSA-N 0 3 249.354 2.947 20 0 BFADHN CCC[C@@H]1CCCCN1C(=O)CN(CC)CC ZINC000185400042 388586606 /nfs/dbraw/zinc/58/66/06/388586606.db2.gz SVVIAQRSXSGQMH-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@@H](C[S@](C)=O)N(C)C[C@H]1CCC[C@@H](C)C1 ZINC000185410929 388588030 /nfs/dbraw/zinc/58/80/30/388588030.db2.gz LZTJUXKZPKDNDE-DVZHBHJUSA-N 0 3 245.432 2.512 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1nccs1 ZINC000185632702 388589686 /nfs/dbraw/zinc/58/96/86/388589686.db2.gz YWHOAULQLPOZEQ-NXEZZACHSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@@H]1CN([C@@H]2C=CCCCCC2)CCO1 ZINC000549824721 388593057 /nfs/dbraw/zinc/59/30/57/388593057.db2.gz PJHIGVOYSWMLJI-ZIAGYGMSSA-N 0 3 223.360 2.986 20 0 BFADHN c1coc([C@@H]2CCN(CCc3cscn3)C2)c1 ZINC000649307672 388593798 /nfs/dbraw/zinc/59/37/98/388593798.db2.gz URODUBWFKNYJJQ-LLVKDONJSA-N 0 3 248.351 2.768 20 0 BFADHN CCCCN(CC(=O)OC)C1CCCCC1 ZINC000550158497 388600461 /nfs/dbraw/zinc/60/04/61/388600461.db2.gz VFCOHAVQJLUOQU-UHFFFAOYSA-N 0 3 227.348 2.594 20 0 BFADHN CC(C)n1ccc(CN[C@@H](C)[C@@H]2C[C@H]2C)n1 ZINC000521578910 388617887 /nfs/dbraw/zinc/61/78/87/388617887.db2.gz RXHFBJQNNKPYLM-NTZNESFSSA-N 0 3 221.348 2.598 20 0 BFADHN C[C@@H](C[S@](C)=O)N(C)CC1CCC(C)CC1 ZINC000185410367 256854524 /nfs/dbraw/zinc/85/45/24/256854524.db2.gz UNJLBEJTFHNKAD-UWTNAYQSSA-N 0 3 245.432 2.512 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCC[C@](C)(O)C1 ZINC000245835856 388606810 /nfs/dbraw/zinc/60/68/10/388606810.db2.gz AOUFALLJJNSWDN-RISCZKNCSA-N 0 3 237.318 2.734 20 0 BFADHN Cc1ccc2c(c1)nc(CNC1CC(C)C1)n2C ZINC000187585477 388609665 /nfs/dbraw/zinc/60/96/65/388609665.db2.gz JBQMBCBNEAWEII-UHFFFAOYSA-N 0 3 243.354 2.770 20 0 BFADHN CC[C@@H](C)NC(=O)[C@H](C)N(CC)C[C@@H](C)CC ZINC000245896662 388609817 /nfs/dbraw/zinc/60/98/17/388609817.db2.gz SPJLYLRBQWXPGW-XQQFMLRXSA-N 0 3 242.407 2.658 20 0 BFADHN CC[C@@H](C)NC(=O)[C@@H](C)N(CC)C[C@@H](C)CC ZINC000245896669 388609838 /nfs/dbraw/zinc/60/98/38/388609838.db2.gz SPJLYLRBQWXPGW-YNEHKIRRSA-N 0 3 242.407 2.658 20 0 BFADHN C[C@H](O)CCN1CCc2cccc(F)c2[C@@H]1C ZINC000517503751 388552557 /nfs/dbraw/zinc/55/25/57/388552557.db2.gz VKTNJLPILUFTNN-QWRGUYRKSA-N 0 3 237.318 2.516 20 0 BFADHN COc1cc(C)nc(CNCCC=C(C)C)c1 ZINC000359700029 388557429 /nfs/dbraw/zinc/55/74/29/388557429.db2.gz LHIQHYIXCKNBAE-UHFFFAOYSA-N 0 3 234.343 2.845 20 0 BFADHN CC(C)CCN1CCc2nc(C3CC3)ncc2C1 ZINC000528740193 388564862 /nfs/dbraw/zinc/56/48/62/388564862.db2.gz XBKLUHYKRBGCGD-UHFFFAOYSA-N 0 3 245.370 2.758 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+][C@@H]2CCC[C@H]3C[C@H]32)[n-]1 ZINC000548885363 388568096 /nfs/dbraw/zinc/56/80/96/388568096.db2.gz YZGGXVBUKBEYFD-WRWGMCAJSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@@H](C)N[C@@H]2CCC[C@H]3C[C@H]32)[nH]1 ZINC000548885363 388568099 /nfs/dbraw/zinc/56/80/99/388568099.db2.gz YZGGXVBUKBEYFD-WRWGMCAJSA-N 0 3 248.374 2.767 20 0 BFADHN CCc1nnc([C@H](C)N[C@@H]2CC[C@@H]2C2CCC2)[nH]1 ZINC000548884595 388568525 /nfs/dbraw/zinc/56/85/25/388568525.db2.gz DHVIDBPABFVZAW-MVWJERBFSA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CC[C@@H]2C2CCC2)[n-]1 ZINC000548884595 388568527 /nfs/dbraw/zinc/56/85/27/388568527.db2.gz DHVIDBPABFVZAW-MVWJERBFSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN(C)Cc1cnn(C)c1 ZINC000182959061 388568677 /nfs/dbraw/zinc/56/86/77/388568677.db2.gz DPPUPCFYFQBCHW-OCCSQVGLSA-N 0 3 235.375 2.678 20 0 BFADHN CC[C@H](CN1CCC(F)(F)C[C@@H]1C)OC ZINC000528773348 388571973 /nfs/dbraw/zinc/57/19/73/388571973.db2.gz PRBMNZQCEUWEAZ-VHSXEESVSA-N 0 3 221.291 2.531 20 0 BFADHN CC1(CN2CCC[C@H]2c2ccc(=O)[nH]n2)CCC1 ZINC000528790220 388574024 /nfs/dbraw/zinc/57/40/24/388574024.db2.gz VLGHHWQDFCUZCB-LBPRGKRZSA-N 0 3 247.342 2.509 20 0 BFADHN COc1cc(C)nc(CN[C@@H]2C[C@H]2CC(C)C)c1 ZINC000385325986 388574618 /nfs/dbraw/zinc/57/46/18/388574618.db2.gz JLMXEHKFDQZCOR-IUODEOHRSA-N 0 3 248.370 2.923 20 0 BFADHN CC(C)CCN[C@@H](C)c1ncccc1F ZINC000528825202 388577051 /nfs/dbraw/zinc/57/70/51/388577051.db2.gz PRSZMWAUTMGJMA-JTQLQIEISA-N 0 3 210.296 2.917 20 0 BFADHN C[C@H](NCC1CC(F)(F)C1)c1ncccc1F ZINC000528822969 388577129 /nfs/dbraw/zinc/57/71/29/388577129.db2.gz JSGGFHYLNWFYME-QMMMGPOBSA-N 0 3 244.260 2.917 20 0 BFADHN Cc1cncc([C@H](C)NC[C@]2(C)CCCO2)c1 ZINC000184384835 388577127 /nfs/dbraw/zinc/57/71/27/388577127.db2.gz RBVBHGTXGWLCBO-JSGCOSHPSA-N 0 3 234.343 2.610 20 0 BFADHN CC/C=C/CCN1CCC(C(=O)OCC)CC1 ZINC000184421644 388578153 /nfs/dbraw/zinc/57/81/53/388578153.db2.gz MIPAHQHBYGLNMB-AATRIKPKSA-N 0 3 239.359 2.618 20 0 BFADHN CC[C@H](O)CN1CCc2cccc(F)c2[C@H]1C ZINC000549464347 388581395 /nfs/dbraw/zinc/58/13/95/388581395.db2.gz WREKPFAXSXMDGE-PWSUYJOCSA-N 0 3 237.318 2.516 20 0 BFADHN COc1cc(CN2C[C@H](C)[C@H]2C)ccc1C ZINC000552123388 388670179 /nfs/dbraw/zinc/67/01/79/388670179.db2.gz VPWRKALOKQJUCU-NWDGAFQWSA-N 0 3 219.328 2.844 20 0 BFADHN CCCC[C@@H]1CCC[C@H]1NCc1ncccn1 ZINC000191834731 388671400 /nfs/dbraw/zinc/67/14/00/388671400.db2.gz ZKZZMWUUNAEOCQ-CHWSQXEVSA-N 0 3 233.359 2.925 20 0 BFADHN O[C@@H]1CCCN(C/C=C\c2ccc(F)cc2)CC1 ZINC000552146332 388674416 /nfs/dbraw/zinc/67/44/16/388674416.db2.gz AOCYEWQAWXHSLH-PEXHTFQZSA-N 0 3 249.329 2.686 20 0 BFADHN CCN(C)Cc1cccc(OC(F)F)c1 ZINC000064992344 388680185 /nfs/dbraw/zinc/68/01/85/388680185.db2.gz BFXOEUKEJPDVPD-UHFFFAOYSA-N 0 3 215.243 2.740 20 0 BFADHN CCCNC(=O)CN(CCC)[C@H](C)CC(C)C ZINC000552228876 388683115 /nfs/dbraw/zinc/68/31/15/388683115.db2.gz CXJNMJKBPKUEEC-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1scc(CN(C)CC2(C)COC2)c1C ZINC000630076355 388619195 /nfs/dbraw/zinc/61/91/95/388619195.db2.gz LFHGQULHHAYVEJ-UHFFFAOYSA-N 0 3 239.384 2.833 20 0 BFADHN CCN1CCN([C@H]2C=CCCCCC2)CC1 ZINC000551069198 388621493 /nfs/dbraw/zinc/62/14/93/388621493.db2.gz OKMBDHCVPMWPAB-AWEZNQCLSA-N 0 3 222.376 2.513 20 0 BFADHN CCCN(C)CC(=O)NCCCCC(C)(C)C ZINC000521649486 388621934 /nfs/dbraw/zinc/62/19/34/388621934.db2.gz KLNKBJRQJWKCOX-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CCO[C@@H]1C[C@@H](N[C@H]2CCC[C@H]2F)C12CCC2 ZINC000551310047 388625310 /nfs/dbraw/zinc/62/53/10/388625310.db2.gz RPQWNJOBFCNPDB-YVECIDJPSA-N 0 3 241.350 2.814 20 0 BFADHN Cc1ccoc1CNC[C@H]1COc2ccccc21 ZINC000288474356 388626591 /nfs/dbraw/zinc/62/65/91/388626591.db2.gz GBASLQXOUZDNFW-LBPRGKRZSA-N 0 3 243.306 2.854 20 0 BFADHN C[C@H](CF)N[C@@H](C)Cc1ccc(F)cc1 ZINC000288445739 388627206 /nfs/dbraw/zinc/62/72/06/388627206.db2.gz MKEOERGQHOPGJQ-VHSXEESVSA-N 0 3 213.271 2.704 20 0 BFADHN CC(C)C1CCN(CCOCC(F)F)CC1 ZINC000189424546 388633767 /nfs/dbraw/zinc/63/37/67/388633767.db2.gz QJEDJGLKVIMCEF-UHFFFAOYSA-N 0 3 235.318 2.636 20 0 BFADHN C[C@@H]1CCN(CC(=O)c2cccn2C)C[C@@H](C)C1 ZINC000246488717 388638806 /nfs/dbraw/zinc/63/88/06/388638806.db2.gz ANLAYRBSVKHOPP-OLZOCXBDSA-N 0 3 248.370 2.576 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@@H](C)C2)no1 ZINC000246517552 388641404 /nfs/dbraw/zinc/64/14/04/388641404.db2.gz CAQNSGYIKNIEQG-GHMZBOCLSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1nc(NC[C@@H]2CCCN(C)C2)sc1C ZINC000083532837 388642212 /nfs/dbraw/zinc/64/22/12/388642212.db2.gz AQFOSHYHXWONJZ-NSHDSACASA-N 0 3 239.388 2.514 20 0 BFADHN C[C@H]1CN(Cc2coc3ccccc23)CC[C@@H]1O ZINC000190045893 388646010 /nfs/dbraw/zinc/64/60/10/388646010.db2.gz TWVBQPMPYVTIMJ-FZMZJTMJSA-N 0 3 245.322 2.636 20 0 BFADHN CC[C@H](N[C@H](CO)c1ccccc1OC)C1CC1 ZINC000537981690 388657829 /nfs/dbraw/zinc/65/78/29/388657829.db2.gz VPEVABYIELXGLC-UONOGXRCSA-N 0 3 249.354 2.507 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H]2CCSC2)o1 ZINC000224331904 388663359 /nfs/dbraw/zinc/66/33/59/388663359.db2.gz ZYNSMXYNFSEUBL-QWRGUYRKSA-N 0 3 225.357 2.992 20 0 BFADHN Cc1ccc(CN2CCN(C3CC3)CC2)c(C)c1 ZINC000127920269 388734439 /nfs/dbraw/zinc/73/44/39/388734439.db2.gz UFTYIWBKWPSOQH-UHFFFAOYSA-N 0 3 244.382 2.583 20 0 BFADHN Cc1ccc([C@@H](C)NC(=O)CN(C)C(C)C)cc1 ZINC000067572930 388735019 /nfs/dbraw/zinc/73/50/19/388735019.db2.gz GHRZQOTWVYSIQG-CYBMUJFWSA-N 0 3 248.370 2.512 20 0 BFADHN Cc1ccc([C@H](C)NC(=O)CN(C)C(C)C)cc1 ZINC000067572934 388735053 /nfs/dbraw/zinc/73/50/53/388735053.db2.gz GHRZQOTWVYSIQG-ZDUSSCGKSA-N 0 3 248.370 2.512 20 0 BFADHN C[C@H](c1ccccn1)N1CCC[C@H](C)C1 ZINC000250641317 388738325 /nfs/dbraw/zinc/73/83/25/388738325.db2.gz UISVYDCGSSVIAO-NWDGAFQWSA-N 0 3 204.317 2.875 20 0 BFADHN COc1ccc([C@H](C)CN[C@@H](C)CF)cc1 ZINC000288494980 388738916 /nfs/dbraw/zinc/73/89/16/388738916.db2.gz RJHLRSACLNGSNQ-MNOVXSKESA-N 0 3 225.307 2.746 20 0 BFADHN COc1ccc(C)cc1CNC[C@H](C)SC ZINC000128160503 388740057 /nfs/dbraw/zinc/74/00/57/388740057.db2.gz IDKCAILMSJXZJL-NSHDSACASA-N 0 3 239.384 2.845 20 0 BFADHN CO[C@H](C)CNCc1ccc(Cl)cc1C ZINC000227310510 388747125 /nfs/dbraw/zinc/74/71/25/388747125.db2.gz SWIULAWFUDDKAY-SNVBAGLBSA-N 0 3 227.735 2.773 20 0 BFADHN CCCCCNC(=O)CN(CC)[C@@H](C)C(C)C ZINC000065298717 388689835 /nfs/dbraw/zinc/68/98/35/388689835.db2.gz PSDGGSDPSKAERL-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN CCCC[C@H](CC)CN(C)[C@@H](C)C[S@](C)=O ZINC000126145794 388689987 /nfs/dbraw/zinc/68/99/87/388689987.db2.gz HBCMCPSWYIBFTP-HEHGZKQESA-N 0 3 247.448 2.902 20 0 BFADHN C[C@H](C[S@](C)=O)N(C)CC1CCC(C)CC1 ZINC000126145272 388690236 /nfs/dbraw/zinc/69/02/36/388690236.db2.gz UNJLBEJTFHNKAD-AZGFUHLJSA-N 0 3 245.432 2.512 20 0 BFADHN CC1=CCN(Cc2ccc3[nH]cnc3c2)CC1 ZINC000552300563 388691205 /nfs/dbraw/zinc/69/12/05/388691205.db2.gz PRIMIVFKJIGLAT-UHFFFAOYSA-N 0 3 227.311 2.715 20 0 BFADHN CC1=CCN(Cc2ccc3nc[nH]c3c2)CC1 ZINC000552300563 388691208 /nfs/dbraw/zinc/69/12/08/388691208.db2.gz PRIMIVFKJIGLAT-UHFFFAOYSA-N 0 3 227.311 2.715 20 0 BFADHN COCc1ccc(CNC[C@@H]2CC=CCC2)o1 ZINC000126210483 388691854 /nfs/dbraw/zinc/69/18/54/388691854.db2.gz XSZKNQBQHSVACH-GFCCVEGCSA-N 0 3 235.327 2.872 20 0 BFADHN COCc1ccc(CNC[C@H]2CC=CCC2)o1 ZINC000126210258 388691882 /nfs/dbraw/zinc/69/18/82/388691882.db2.gz XSZKNQBQHSVACH-LBPRGKRZSA-N 0 3 235.327 2.872 20 0 BFADHN CCN(C)Cc1cccc(OC)c1OC(C)C ZINC000126302133 388693412 /nfs/dbraw/zinc/69/34/12/388693412.db2.gz XXVPBKTUCONDCJ-UHFFFAOYSA-N 0 3 237.343 2.934 20 0 BFADHN CC(C)(C)CN1CCO[C@@H](Cc2ccccc2)C1 ZINC000538493620 388696829 /nfs/dbraw/zinc/69/68/29/388696829.db2.gz VVDASDQPDUNQFE-HNNXBMFYSA-N 0 3 247.382 2.976 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCC(C)(C)C2)CCO1 ZINC000552379908 388699335 /nfs/dbraw/zinc/69/93/35/388699335.db2.gz WBZMAMBULSNCDN-OLZOCXBDSA-N 0 3 225.376 2.924 20 0 BFADHN CCCC[C@@H](N)C(=O)N[C@@H](CCC)CCCC ZINC000226066571 388699352 /nfs/dbraw/zinc/69/93/52/388699352.db2.gz NHZVZWXLBMSSOR-QWHCGFSZSA-N 0 3 242.407 2.979 20 0 BFADHN COc1ccc(OC)c(CN(C)CC(C)C)c1 ZINC000065962206 388706400 /nfs/dbraw/zinc/70/64/00/388706400.db2.gz ARNWLRZHFHHWME-UHFFFAOYSA-N 0 3 237.343 2.792 20 0 BFADHN COc1cccc(CN[C@@H](C)C2(C)CC2)c1OC ZINC000126974035 388709521 /nfs/dbraw/zinc/70/95/21/388709521.db2.gz CVTRVEVZBCICJY-NSHDSACASA-N 0 3 249.354 2.982 20 0 BFADHN COC[C@@H](C)CN1CCC[C@@H](C(F)(F)F)C1 ZINC000249174577 388711245 /nfs/dbraw/zinc/71/12/45/388711245.db2.gz DOLCWMJTBUHJEC-VHSXEESVSA-N 0 3 239.281 2.543 20 0 BFADHN CCN(CC(=O)N(C)C1CCCCC1)C(C)C ZINC000194088063 388723061 /nfs/dbraw/zinc/72/30/61/388723061.db2.gz BEPNUJYLLMFYHC-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN CCSCC[C@H](C)NCc1nccn1CC ZINC000127481078 388723507 /nfs/dbraw/zinc/72/35/07/388723507.db2.gz MJVPLLUOYGZCTB-NSHDSACASA-N 0 3 241.404 2.524 20 0 BFADHN CCC[C@@H](NCCOCC)c1ccccn1 ZINC000127523123 388725245 /nfs/dbraw/zinc/72/52/45/388725245.db2.gz GZAIFMVKGUSWKB-GFCCVEGCSA-N 0 3 222.332 2.549 20 0 BFADHN Cc1ccc(CN(C)[C@H](C)C2CC2)nc1 ZINC000127515209 388726035 /nfs/dbraw/zinc/72/60/35/388726035.db2.gz METXMZPVLWWNDK-LLVKDONJSA-N 0 3 204.317 2.620 20 0 BFADHN CCCN(C)Cc1cnn(-c2ccc(F)cc2)c1 ZINC000127575786 388727098 /nfs/dbraw/zinc/72/70/98/388727098.db2.gz XCYLTWQRAIFNRP-UHFFFAOYSA-N 0 3 247.317 2.853 20 0 BFADHN C[C@@H]1CN([C@H]2CCc3ccc(F)cc32)CC[C@@H]1O ZINC000249587545 388730096 /nfs/dbraw/zinc/73/00/96/388730096.db2.gz UIMGZUFHBBZXCC-ONERCXAPSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@H](O)[C@H](C)C1 ZINC000249601237 388730368 /nfs/dbraw/zinc/73/03/68/388730368.db2.gz YHQCGHARBHXCKZ-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN CCN1CCN(Cc2cc(C)sc2C)CC1 ZINC000194360391 388730963 /nfs/dbraw/zinc/73/09/63/388730963.db2.gz LVCOVOXZOAFIES-UHFFFAOYSA-N 0 3 238.400 2.502 20 0 BFADHN COCCN[C@@H]1C[C@H](C)c2c1cccc2C ZINC000127816969 388731504 /nfs/dbraw/zinc/73/15/04/388731504.db2.gz FUVZDXYLDLSWCO-WCQYABFASA-N 0 3 219.328 2.779 20 0 BFADHN CCCCN(C)CCN[C@H](C)c1cscn1 ZINC000127850671 388732911 /nfs/dbraw/zinc/73/29/11/388732911.db2.gz SJNFNKGXCWHRIP-LLVKDONJSA-N 0 3 241.404 2.526 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1ccno1 ZINC000229592870 388796177 /nfs/dbraw/zinc/79/61/77/388796177.db2.gz RZQHTWAKEZLPNE-JQWIXIFHSA-N 0 3 208.305 2.733 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](CO)c1ccccc1OC ZINC000554113263 388801828 /nfs/dbraw/zinc/80/18/28/388801828.db2.gz SHUVGHXSRLQRBM-KWCYVHTRSA-N 0 3 249.354 2.507 20 0 BFADHN COc1nc(C)cc(C)c1CN1C[C@H](C)C[C@@H]1C ZINC000554236436 388805033 /nfs/dbraw/zinc/80/50/33/388805033.db2.gz QKTKABWPMGLSHM-MFKMUULPSA-N 0 3 248.370 2.937 20 0 BFADHN COc1nc(C)cc(C)c1CN1C[C@H](C)C[C@H]1C ZINC000554236437 388805262 /nfs/dbraw/zinc/80/52/62/388805262.db2.gz QKTKABWPMGLSHM-ZWNOBZJWSA-N 0 3 248.370 2.937 20 0 BFADHN COc1nc(C)cc(C)c1CN(C)[C@@H](C)C1CC1 ZINC000554221998 388805606 /nfs/dbraw/zinc/80/56/06/388805606.db2.gz YALACDLORUGBJL-LBPRGKRZSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@H](O)CNCc1cc(Cl)cc(Cl)c1 ZINC000229962801 388806814 /nfs/dbraw/zinc/80/68/14/388806814.db2.gz GGSZTBKSPDLSBC-NSHDSACASA-N 0 3 248.153 2.854 20 0 BFADHN CCc1nc2ccccc2c(NCCOC)c1C ZINC000554284824 388807281 /nfs/dbraw/zinc/80/72/81/388807281.db2.gz DVRYNUYVZXZQIH-UHFFFAOYSA-N 0 3 244.338 2.586 20 0 BFADHN Cn1ccnc1[C@H](NCCCC1CC1)C1CC1 ZINC000540495560 388807975 /nfs/dbraw/zinc/80/79/75/388807975.db2.gz YNXWAWDPZPJHEX-CYBMUJFWSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)S[C@@H](C)C2)o1 ZINC000092540524 388810645 /nfs/dbraw/zinc/81/06/45/388810645.db2.gz YDWQUFFFXZFSLC-PHIMTYICSA-N 0 3 225.357 2.914 20 0 BFADHN CC[C@H](CSC)NCc1ccc(C)nc1 ZINC000156498026 388810858 /nfs/dbraw/zinc/81/08/58/388810858.db2.gz DFTWBHNQGVBBQG-GFCCVEGCSA-N 0 3 224.373 2.621 20 0 BFADHN Oc1ccc(CN2CCSC[C@H]2C2CC2)cc1 ZINC000648752530 388811197 /nfs/dbraw/zinc/81/11/97/388811197.db2.gz OKOKDGQAXFSEIY-AWEZNQCLSA-N 0 3 249.379 2.720 20 0 BFADHN C[C@@H](O)CCCNCc1cc(Cl)cs1 ZINC000227952227 388763245 /nfs/dbraw/zinc/76/32/45/388763245.db2.gz PTZNJGGIIOBGSZ-MRVPVSSYSA-N 0 3 233.764 2.652 20 0 BFADHN CC(C)CCN1CCO[C@@H](Cc2ccccc2)C1 ZINC000539572022 388766466 /nfs/dbraw/zinc/76/64/66/388766466.db2.gz KUJZAXILSGRQHW-INIZCTEOSA-N 0 3 247.382 2.976 20 0 BFADHN COCC1(N[C@@H](C)c2ccc(F)c(F)c2)CC1 ZINC000539650853 388768471 /nfs/dbraw/zinc/76/84/71/388768471.db2.gz VLCHBGUVWVTXQE-VIFPVBQESA-N 0 3 241.281 2.794 20 0 BFADHN CCC[C@H](C)CN1CCCC[C@H]1C(=O)OCC ZINC000252708041 388771897 /nfs/dbraw/zinc/77/18/97/388771897.db2.gz YYUZUIBGCCBSJK-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H]1CN(C[C@H]2C[C@H]2c2ccccc2)C[C@H](C)O1 ZINC000539712831 388772262 /nfs/dbraw/zinc/77/22/62/388772262.db2.gz OXVDCGAJCUPCOA-CLWVCHIJSA-N 0 3 245.366 2.899 20 0 BFADHN Cn1ccc(CNC/C=C/c2ccc(F)cc2)c1 ZINC000128615298 388775983 /nfs/dbraw/zinc/77/59/83/388775983.db2.gz GYEPKHBTQIJCET-NSCUHMNNSA-N 0 3 244.313 2.967 20 0 BFADHN CC(C)=CCNCc1cncn1C(C)C ZINC000228873705 388776830 /nfs/dbraw/zinc/77/68/30/388776830.db2.gz YVKPMDLPGDWAPV-UHFFFAOYSA-N 0 3 207.321 2.520 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CC[C@H](O)CC2)o1 ZINC000252731798 388777094 /nfs/dbraw/zinc/77/70/94/388777094.db2.gz RPCKBRBGBSKGQG-SRVKXCTJSA-N 0 3 223.316 2.542 20 0 BFADHN COc1ccc(OC)c(CN2C[C@H](C)C[C@H]2C)c1 ZINC000539818709 388780047 /nfs/dbraw/zinc/78/00/47/388780047.db2.gz HNOPRJRQEABOGG-VXGBXAGGSA-N 0 3 249.354 2.934 20 0 BFADHN CCCCNC(=O)CN(C(C)C)C1CCCC1 ZINC000068448910 388786496 /nfs/dbraw/zinc/78/64/96/388786496.db2.gz UFUKUZVSRUVNNJ-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@H](CF)NC/C=C/c1ccc(F)c(F)c1 ZINC000288537755 388788362 /nfs/dbraw/zinc/78/83/62/388788362.db2.gz WIISWVQVYMZWQS-GKQMSVHHSA-N 0 3 229.245 2.926 20 0 BFADHN Cc1ccc(F)cc1CNC[C@@]1(C)CCCO1 ZINC000129090981 388816081 /nfs/dbraw/zinc/81/60/81/388816081.db2.gz WUUNEFXDDUBWDW-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN COCC1(N[C@H](C)c2ccccc2OC)CC1 ZINC000540749708 388816361 /nfs/dbraw/zinc/81/63/61/388816361.db2.gz LRWVOMKWJKFTPV-LLVKDONJSA-N 0 3 235.327 2.525 20 0 BFADHN CCOC(=O)CN(C1CCCC1)C(C)(C)C ZINC000092901840 388820047 /nfs/dbraw/zinc/82/00/47/388820047.db2.gz OSJQQDTUYZIZON-UHFFFAOYSA-N 0 3 227.348 2.593 20 0 BFADHN C[C@@H]1C[C@H]2CCCC[C@@H]2N1Cc1cc[nH]n1 ZINC000093383673 388835825 /nfs/dbraw/zinc/83/58/25/388835825.db2.gz CVQMRRPPFAOCPN-WZRBSPASSA-N 0 3 219.332 2.563 20 0 BFADHN CC(C)N(Cc1ccc(CO)o1)C1CCC1 ZINC000093608346 388844913 /nfs/dbraw/zinc/84/49/13/388844913.db2.gz IAZNDJWJQHOGTP-UHFFFAOYSA-N 0 3 223.316 2.535 20 0 BFADHN CC(C)C1CC(N[C@H](CO)c2ccccc2)C1 ZINC000232539702 388852943 /nfs/dbraw/zinc/85/29/43/388852943.db2.gz JDJHMMRSXHYPGV-YMAMQOFZSA-N 0 3 233.355 2.744 20 0 BFADHN CCC[C@@H]1CCCC[C@H]1[NH2+]Cc1nnc(C)[n-]1 ZINC000555644994 388857149 /nfs/dbraw/zinc/85/71/49/388857149.db2.gz JHCBCFDQVWHSQW-VXGBXAGGSA-N 0 3 236.363 2.562 20 0 BFADHN CCC[C@@H]1CCCC[C@@H]1[NH2+]Cc1nnc(C)[n-]1 ZINC000555644988 388859031 /nfs/dbraw/zinc/85/90/31/388859031.db2.gz JHCBCFDQVWHSQW-NEPJUHHUSA-N 0 3 236.363 2.562 20 0 BFADHN CC1=CC[C@H](N[C@H]2C[C@@H](C)n3ccnc32)CC1 ZINC000648776383 388862404 /nfs/dbraw/zinc/86/24/04/388862404.db2.gz BYFQDKRGLQAUGC-AGIUHOORSA-N 0 3 231.343 2.977 20 0 BFADHN Cc1ccc([C@@H](NCC[C@@H](C)O)C2CCC2)o1 ZINC000541744163 388866181 /nfs/dbraw/zinc/86/61/81/388866181.db2.gz YBSLKKBZMRJXCV-YGRLFVJLSA-N 0 3 237.343 2.790 20 0 BFADHN CO[C@H](CN1CCc2ccccc2CC1)C1CC1 ZINC000564880102 388895335 /nfs/dbraw/zinc/89/53/35/388895335.db2.gz BIEOENPQTDPMTK-MRXNPFEDSA-N 0 3 245.366 2.512 20 0 BFADHN COc1ccc(CN[C@@H](C)C2(C)CC2)c(OC)c1 ZINC000070142558 388875690 /nfs/dbraw/zinc/87/56/90/388875690.db2.gz BPVWEIYUFDYAFN-NSHDSACASA-N 0 3 249.354 2.982 20 0 BFADHN Cc1cc(OCC[C@@H]2CCCO2)c(C)c(C)n1 ZINC000564549747 388877019 /nfs/dbraw/zinc/87/70/19/388877019.db2.gz AICNBNVZWGNMAA-ZDUSSCGKSA-N 0 3 235.327 2.955 20 0 BFADHN CCCN(Cc1cnc(CC)nc1)C(C)C ZINC000565228646 388929957 /nfs/dbraw/zinc/92/99/57/388929957.db2.gz HBIIERRDTXZYAY-UHFFFAOYSA-N 0 3 221.348 2.659 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(OC)cc1CC ZINC000565527562 388951000 /nfs/dbraw/zinc/95/10/00/388951000.db2.gz XBJAFHJZZOCBCX-GJZGRUSLSA-N 0 3 249.354 2.525 20 0 BFADHN CCn1cccc1CN1C2CCC1CC2 ZINC000565521278 388951605 /nfs/dbraw/zinc/95/16/05/388951605.db2.gz JFOHGWATKCAMRE-UHFFFAOYSA-N 0 3 204.317 2.635 20 0 BFADHN CO[C@H](C)CCNCc1cc(F)c(F)c(F)c1 ZINC000264527971 388991704 /nfs/dbraw/zinc/99/17/04/388991704.db2.gz GFHPWWSDBPSKRI-MRVPVSSYSA-N 0 3 247.260 2.619 20 0 BFADHN C[C@@H]1C[C@H](NCc2cncn2C)c2ccccc21 ZINC000264234015 388991903 /nfs/dbraw/zinc/99/19/03/388991903.db2.gz CKESPGVWFFKGEI-ABAIWWIYSA-N 0 3 241.338 2.758 20 0 BFADHN Cc1ccoc1CNC[C@H](O)c1cccc(F)c1 ZINC000266182420 388994857 /nfs/dbraw/zinc/99/48/57/388994857.db2.gz GGYNAJLVXARLDU-ZDUSSCGKSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1ccoc1CNC[C@@H](O)c1cccc(F)c1 ZINC000266182417 388995800 /nfs/dbraw/zinc/99/58/00/388995800.db2.gz GGYNAJLVXARLDU-CYBMUJFWSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1ccc([C@@H](C)NCCOC2CCC2)o1 ZINC000272198579 389029507 /nfs/dbraw/zinc/02/95/07/389029507.db2.gz IPPHYGHKEGXZOR-LLVKDONJSA-N 0 3 223.316 2.808 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccco1)C(C)C ZINC000268935123 389006339 /nfs/dbraw/zinc/00/63/39/389006339.db2.gz MVZZBQZDSGVQCZ-CMPLNLGQSA-N 0 3 211.305 2.601 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1ccc(F)cn1 ZINC000269011263 389006653 /nfs/dbraw/zinc/00/66/53/389006653.db2.gz MWLTWVADCAWMKS-GXFFZTMASA-N 0 3 222.307 2.889 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ccc(F)cn1 ZINC000268977669 389007287 /nfs/dbraw/zinc/00/72/87/389007287.db2.gz BORWQVVQNNBKIV-ZJUUUORDSA-N 0 3 210.296 2.745 20 0 BFADHN CO[C@H](C)CCNCc1cc(Cl)ccc1F ZINC000269870759 389011715 /nfs/dbraw/zinc/01/17/15/389011715.db2.gz MQVUYULHTXBUNK-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2CCSC2)o1 ZINC000271531756 389021349 /nfs/dbraw/zinc/02/13/49/389021349.db2.gz RZUOKZWMXXRQSL-VHSXEESVSA-N 0 3 225.357 2.998 20 0 BFADHN CCC[C@H](C)N[C@@H]1CCCc2c[nH]nc21 ZINC000271474430 389021376 /nfs/dbraw/zinc/02/13/76/389021376.db2.gz HWPCMTKQAJNLAN-GXSJLCMTSA-N 0 3 207.321 2.565 20 0 BFADHN CCC[C@H](CNCc1ccsc1C)OC ZINC000293638726 389023759 /nfs/dbraw/zinc/02/37/59/389023759.db2.gz JMPGUEQSLJVSAG-GFCCVEGCSA-N 0 3 227.373 2.961 20 0 BFADHN Cc1cncc([C@@H](C)N[C@@H]2CC2(C)C)c1 ZINC000274423843 389046234 /nfs/dbraw/zinc/04/62/34/389046234.db2.gz UNVCOAZPYGVTJE-ZYHUDNBSSA-N 0 3 204.317 2.839 20 0 BFADHN C[C@@H](NCCC(C)(C)O)c1cccnc1Cl ZINC000274813421 389048875 /nfs/dbraw/zinc/04/88/75/389048875.db2.gz VTTPWISFQIZPJF-SECBINFHSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](NCCC(C)(C)O)c1ccc(Cl)cn1 ZINC000274825375 389049428 /nfs/dbraw/zinc/04/94/28/389049428.db2.gz ZQTGSPANPNUAQJ-VIFPVBQESA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1ccc(Cl)cn1 ZINC000274873631 389050012 /nfs/dbraw/zinc/05/00/12/389050012.db2.gz GEKYZNDLEMNTKQ-GXSJLCMTSA-N 0 3 240.734 2.565 20 0 BFADHN CO[C@@H](C)CCN[C@H](C)c1ccc(Cl)cn1 ZINC000274921997 389050279 /nfs/dbraw/zinc/05/02/79/389050279.db2.gz PGBZEIVQNYFVCD-VHSXEESVSA-N 0 3 242.750 2.811 20 0 BFADHN CC(C)(O)CCNCc1cc(F)cc(Cl)c1 ZINC000274940941 389050978 /nfs/dbraw/zinc/05/09/78/389050978.db2.gz BGTUEIYYGLOYKB-UHFFFAOYSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@H](C)N[C@H](CCOC)c1ccco1 ZINC000272534555 389033900 /nfs/dbraw/zinc/03/39/00/389033900.db2.gz JQWFYPZDAWWWLO-GHMZBOCLSA-N 0 3 211.305 2.745 20 0 BFADHN Cc1nocc1CN[C@@H](C)C1CCCC1 ZINC000293641249 389036941 /nfs/dbraw/zinc/03/69/41/389036941.db2.gz RSXPMOWSSHQQAJ-VIFPVBQESA-N 0 3 208.305 2.651 20 0 BFADHN C[C@]1(NCc2ccsc2)CCO[C@H]1C1CC1 ZINC000273086613 389037216 /nfs/dbraw/zinc/03/72/16/389037216.db2.gz KCJDCCXYVPIAEY-STQMWFEESA-N 0 3 237.368 2.795 20 0 BFADHN CCC[C@@H]1CCCC[C@H]1NCc1ncc[nH]1 ZINC000066593771 322818936 /nfs/dbraw/zinc/81/89/36/322818936.db2.gz GQYRJENFWCKQBR-VXGBXAGGSA-N 0 3 221.348 2.858 20 0 BFADHN C/C(=C\c1ccccc1)CN1CC[C@H](C)[C@@H](O)C1 ZINC000349358668 533412241 /nfs/dbraw/zinc/41/22/41/533412241.db2.gz YGQZYICLCGXDFL-HUUYWPLNSA-N 0 3 245.366 2.793 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2C(C)C)nn1C ZINC000487380513 533599728 /nfs/dbraw/zinc/59/97/28/533599728.db2.gz ROUMDKUWJUZANE-AWEZNQCLSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1ccnc(N2CCN(CCC(C)C)CC2)c1 ZINC000301656505 132255945 /nfs/dbraw/zinc/25/59/45/132255945.db2.gz VKFWIWOTDZMKLH-UHFFFAOYSA-N 0 3 247.386 2.558 20 0 BFADHN Cc1ccccc1N1CCN(CCC2CC2)CC1 ZINC000336784039 132454720 /nfs/dbraw/zinc/45/47/20/132454720.db2.gz JPRODEJMRYSJSL-UHFFFAOYSA-N 0 3 244.382 2.917 20 0 BFADHN Cc1cc(CN2CC[C@@H](C3CCCC3)C2)no1 ZINC000335494475 133866239 /nfs/dbraw/zinc/86/62/39/133866239.db2.gz JLBNBESIHVGNCV-CYBMUJFWSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1ccc([C@@H](NCCN2CCC2)C2CCC2)o1 ZINC000349772508 133911053 /nfs/dbraw/zinc/91/10/53/133911053.db2.gz DHFYCUAHGQVWHA-HNNXBMFYSA-N 0 3 248.370 2.725 20 0 BFADHN C[C@H](F)CCN1CC(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC000351959937 134018671 /nfs/dbraw/zinc/01/86/71/134018671.db2.gz SUJXWWKCTWCUBB-SRVKXCTJSA-N 0 3 229.339 2.624 20 0 BFADHN Cn1ccc(CN2CC[C@H]2c2ccccc2)c1 ZINC000335943708 134018767 /nfs/dbraw/zinc/01/87/67/134018767.db2.gz OFJOXMLZEWYIOG-HNNXBMFYSA-N 0 3 226.323 2.972 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cc(C(N)=O)cs1 ZINC000335944614 134019302 /nfs/dbraw/zinc/01/93/02/134019302.db2.gz YRLDAVNEXWLBIF-DTWKUNHWSA-N 0 3 238.356 2.862 20 0 BFADHN CC1(C)CN([C@@H]2C=CCCC2)[C@H]1[C@@H]1CCCO1 ZINC000351977031 134025416 /nfs/dbraw/zinc/02/54/16/134025416.db2.gz VFPNHZYIUYXGFB-RDBSUJKOSA-N 0 3 235.371 2.985 20 0 BFADHN CC1(C)CN([C@@H]2C=CCCC2)[C@@H]1[C@@H]1CCCO1 ZINC000351977028 134025687 /nfs/dbraw/zinc/02/56/87/134025687.db2.gz VFPNHZYIUYXGFB-HZSPNIEDSA-N 0 3 235.371 2.985 20 0 BFADHN C[C@@H]1OCC[C@H]1NC1(c2ccc(F)cc2)CC1 ZINC000335960501 134026695 /nfs/dbraw/zinc/02/66/95/134026695.db2.gz JZUGCDXXKAVRLM-GXFFZTMASA-N 0 3 235.302 2.582 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cn3ccccc3n2)C1 ZINC000335978039 134032060 /nfs/dbraw/zinc/03/20/60/134032060.db2.gz IITFDXLSBSFVCP-VXGBXAGGSA-N 0 3 229.327 2.565 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cn3ccccc3n2)C1 ZINC000335978036 134032254 /nfs/dbraw/zinc/03/22/54/134032254.db2.gz IITFDXLSBSFVCP-NEPJUHHUSA-N 0 3 229.327 2.565 20 0 BFADHN C[C@H]1c2ccccc2CN1CCC(C)(C)O ZINC000335989871 134039869 /nfs/dbraw/zinc/03/98/69/134039869.db2.gz UBHYMDFYTMEROW-NSHDSACASA-N 0 3 219.328 2.724 20 0 BFADHN Cc1nc2n(n1)CCC[C@H]2N[C@@H]1CCC(C)(C)C1 ZINC000335996273 134041958 /nfs/dbraw/zinc/04/19/58/134041958.db2.gz MMUUKMRVELAQNL-VXGBXAGGSA-N 0 3 248.374 2.590 20 0 BFADHN C[C@@H]1CCN(Cc2coc3ccccc23)C[C@@H]1O ZINC000335996607 134042081 /nfs/dbraw/zinc/04/20/81/134042081.db2.gz KYBXMKFENXTFCF-RISCZKNCSA-N 0 3 245.322 2.636 20 0 BFADHN CN(Cc1cccc2cc[nH]c21)[C@@H]1CCCOC1 ZINC000335997129 134042404 /nfs/dbraw/zinc/04/24/04/134042404.db2.gz WKKMSSUASAYHKU-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN CC(C)CC[C@@H](O)CN1CCC(F)(F)[C@@H](C)C1 ZINC000352027705 134044036 /nfs/dbraw/zinc/04/40/36/134044036.db2.gz NARITEUAFUAWJL-NWDGAFQWSA-N 0 3 249.345 2.761 20 0 BFADHN Cc1cc(CN2CCC(C)(C3CC3)CC2)on1 ZINC000336006125 134048948 /nfs/dbraw/zinc/04/89/48/134048948.db2.gz DDBXZLVSTDFOBA-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1c[nH]nc1CN(C)[C@H]1CCCC[C@@H]1C ZINC000336012094 134051530 /nfs/dbraw/zinc/05/15/30/134051530.db2.gz YUNUPSFOKVFATC-GWCFXTLKSA-N 0 3 221.348 2.729 20 0 BFADHN C[C@H](O)CN1CCC[C@@H]1c1cccc(Cl)c1 ZINC000192698791 134055925 /nfs/dbraw/zinc/05/59/25/134055925.db2.gz XDTYOMXEAUKYIZ-GXFFZTMASA-N 0 3 239.746 2.858 20 0 BFADHN Cc1nccc(CN(C)[C@H]2CCC(C)(C)C2)n1 ZINC000336032501 134058871 /nfs/dbraw/zinc/05/88/71/134058871.db2.gz IQLRBYHFLBRAIQ-ZDUSSCGKSA-N 0 3 233.359 2.796 20 0 BFADHN COc1ccc(CN2CCCC23CCC3)nc1 ZINC000336039175 134060729 /nfs/dbraw/zinc/06/07/29/134060729.db2.gz JWAHCFLBKPTFGH-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2ccc(C)nc2)CC1 ZINC000336074784 134073179 /nfs/dbraw/zinc/07/31/79/134073179.db2.gz WZLHEKXBFNCHJH-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN C(=C/c1ccncc1)\CN1CCCCCC1 ZINC000336102682 134083894 /nfs/dbraw/zinc/08/38/94/134083894.db2.gz NNHQSBJLMUDZSN-AATRIKPKSA-N 0 3 216.328 2.971 20 0 BFADHN CC[C@]1(O)CCN([C@H]2CCc3ccc(F)cc32)C1 ZINC000336109191 134085683 /nfs/dbraw/zinc/08/56/83/134085683.db2.gz SMQLTOYFXKIVMP-GJZGRUSLSA-N 0 3 249.329 2.660 20 0 BFADHN C[C@@H](NC1CCC(F)(F)CC1)c1cnccn1 ZINC000336119804 134089870 /nfs/dbraw/zinc/08/98/70/134089870.db2.gz HIXMHSIXFYECCC-SECBINFHSA-N 0 3 241.285 2.705 20 0 BFADHN CC1=C[C@@H](C)CN(C/C=C/c2ccncc2)C1 ZINC000336129465 134093744 /nfs/dbraw/zinc/09/37/44/134093744.db2.gz NWFSCCNLOUUXRH-ITDFMYJTSA-N 0 3 228.339 2.993 20 0 BFADHN CN(C/C=C\c1ccccc1)[C@@H]1CCCOC1 ZINC000352193808 134098132 /nfs/dbraw/zinc/09/81/32/134098132.db2.gz GFDNCDFRHYXPCT-HMZQOCAUSA-N 0 3 231.339 2.811 20 0 BFADHN Cc1cc(-c2csc(C3(N)CCCC3)n2)on1 ZINC000336149662 134103671 /nfs/dbraw/zinc/10/36/71/134103671.db2.gz YNLWSAZJVTXZSJ-UHFFFAOYSA-N 0 3 249.339 2.835 20 0 BFADHN CCN(C[C@H](C)O)[C@@H](C)c1ccc(F)c(F)c1 ZINC000352213500 134108568 /nfs/dbraw/zinc/10/85/68/134108568.db2.gz VJALVKHOCVTYTJ-UWVGGRQHSA-N 0 3 243.297 2.729 20 0 BFADHN CN(C[C@H]1CCCCO1)[C@H]1C=CCCC1 ZINC000336166611 134113391 /nfs/dbraw/zinc/11/33/91/134113391.db2.gz QESIRGNRJSXEJL-QWHCGFSZSA-N 0 3 209.333 2.596 20 0 BFADHN Cc1cccn2c(CN3CC[C@H]3C3CC3)cnc12 ZINC000336216160 134136796 /nfs/dbraw/zinc/13/67/96/134136796.db2.gz FODKEOYVLBMTGR-AWEZNQCLSA-N 0 3 241.338 2.627 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H]2C2CC2)s1 ZINC000336216364 134137145 /nfs/dbraw/zinc/13/71/45/134137145.db2.gz VBZPYCXHAWEALX-NSHDSACASA-N 0 3 222.357 2.744 20 0 BFADHN Cc1ccncc1CN(C)[C@H]1CC1(C)C ZINC000336217991 134137674 /nfs/dbraw/zinc/13/76/74/134137674.db2.gz YJVUKHBDPSSNAX-LBPRGKRZSA-N 0 3 204.317 2.620 20 0 BFADHN Cc1cccc(CCN2C[C@@H](C)N(C)[C@@H](C)C2)c1 ZINC000336217850 134137694 /nfs/dbraw/zinc/13/76/94/134137694.db2.gz WLPXLZUIZIZNIY-GASCZTMLSA-N 0 3 246.398 2.562 20 0 BFADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H](C)C1CC1 ZINC000168018169 134155489 /nfs/dbraw/zinc/15/54/89/134155489.db2.gz ANOGIDGFJHVWST-PWSUYJOCSA-N 0 3 248.374 2.625 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1cc(C)oc1C ZINC000217706645 134250617 /nfs/dbraw/zinc/25/06/17/134250617.db2.gz LSYBPIKQZOVSCS-ZYHUDNBSSA-N 0 3 225.332 2.708 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCCO[C@@H](C)C1 ZINC000170764230 134253619 /nfs/dbraw/zinc/25/36/19/134253619.db2.gz CGSLQDMJJRKVGW-RYUDHWBXSA-N 0 3 237.318 2.998 20 0 BFADHN CCn1ccnc1CN1CCCC[C@@H]1C(C)C ZINC000172252734 134265274 /nfs/dbraw/zinc/26/52/74/134265274.db2.gz IGLGEKMGARFPLK-CYBMUJFWSA-N 0 3 235.375 2.914 20 0 BFADHN CC[C@@H]1CN(CCC2CCCC2)CCO1 ZINC000172746967 134269209 /nfs/dbraw/zinc/26/92/09/134269209.db2.gz RHXHRQKMBNFZHR-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CSCCCN1Cc2ccccc2C1 ZINC000173704029 134276249 /nfs/dbraw/zinc/27/62/49/134276249.db2.gz FSIJHLJNUVTJSQ-UHFFFAOYSA-N 0 3 207.342 2.755 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CC[C@@H](C)C1 ZINC000219262000 134277226 /nfs/dbraw/zinc/27/72/26/134277226.db2.gz JOSJRGWHSUBWBZ-USWWRNFRSA-N 0 3 219.332 2.624 20 0 BFADHN CC[C@@H](C)[C@@H](C)N(Cc1cncn1C)C1CC1 ZINC000177051255 134294321 /nfs/dbraw/zinc/29/43/21/134294321.db2.gz TXDBWQSRZRBOGS-VXGBXAGGSA-N 0 3 235.375 2.819 20 0 BFADHN OCCCCCN1CCS[C@@H]2CCCC[C@@H]21 ZINC000177196505 134296011 /nfs/dbraw/zinc/29/60/11/134296011.db2.gz SNZFMDPEFVBUQK-QWHCGFSZSA-N 0 3 243.416 2.509 20 0 BFADHN OCCCCCN1CCS[C@H]2CCCC[C@@H]21 ZINC000177196520 134296084 /nfs/dbraw/zinc/29/60/84/134296084.db2.gz SNZFMDPEFVBUQK-STQMWFEESA-N 0 3 243.416 2.509 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1nc2ccccc2n1C ZINC000177099343 134297363 /nfs/dbraw/zinc/29/73/63/134297363.db2.gz VYKXAOLFCVWABS-VXGBXAGGSA-N 0 3 243.354 2.946 20 0 BFADHN CCn1ccnc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000177538336 134298917 /nfs/dbraw/zinc/29/89/17/134298917.db2.gz NRDNTFQVWSMMKQ-BETUJISGSA-N 0 3 233.359 2.525 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1cnc2ccccn12 ZINC000177329083 134299399 /nfs/dbraw/zinc/29/93/99/134299399.db2.gz BNYCGSUMHIYYRX-QWHCGFSZSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@@H](COC)NCc1nccc2ccccc21 ZINC000178014976 134302595 /nfs/dbraw/zinc/30/25/95/134302595.db2.gz QDHMWWPCMMRKFL-ZDUSSCGKSA-N 0 3 244.338 2.749 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cccc2c1OCCO2 ZINC000177892863 134304089 /nfs/dbraw/zinc/30/40/89/134304089.db2.gz HRYPPPAVXHOMFU-NWDGAFQWSA-N 0 3 247.338 2.688 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1ccc2c(c1)OCCO2 ZINC000177898116 134304406 /nfs/dbraw/zinc/30/44/06/134304406.db2.gz WWLRJQHKECDZLY-NWDGAFQWSA-N 0 3 247.338 2.688 20 0 BFADHN Cc1ccc(NCc2cc[nH]c2)c(CN(C)C)c1 ZINC000178233871 134305164 /nfs/dbraw/zinc/30/51/64/134305164.db2.gz QXDBZCDGOHBYOP-UHFFFAOYSA-N 0 3 243.354 2.997 20 0 BFADHN C[C@@H](N[C@@H]1COc2ccccc2C1)c1ccoc1 ZINC000178112370 134306561 /nfs/dbraw/zinc/30/65/61/134306561.db2.gz HMNOPNLYYRSVFU-RISCZKNCSA-N 0 3 243.306 2.934 20 0 BFADHN CC(C)[C@@H](O)CN[C@H]1CCCOc2ccccc21 ZINC000178346108 134308901 /nfs/dbraw/zinc/30/89/01/134308901.db2.gz KQRSSGSTJHJPLV-KBPBESRZSA-N 0 3 249.354 2.507 20 0 BFADHN CCOC[C@@H](C)NC/C=C\c1ccccc1 ZINC000220562500 134309565 /nfs/dbraw/zinc/30/95/65/134309565.db2.gz UADIPELAUHAZIV-PGJNLMOESA-N 0 3 219.328 2.714 20 0 BFADHN COC[C@@H](C)N(C)Cc1coc2ccccc12 ZINC000179367617 134316413 /nfs/dbraw/zinc/31/64/13/134316413.db2.gz WRBKFORXENLKFW-LLVKDONJSA-N 0 3 233.311 2.900 20 0 BFADHN COC[C@H](C)N(C)Cc1coc2ccccc12 ZINC000179367608 134316481 /nfs/dbraw/zinc/31/64/81/134316481.db2.gz WRBKFORXENLKFW-NSHDSACASA-N 0 3 233.311 2.900 20 0 BFADHN Cc1nc(C)c(CN(C)[C@@H]2CCSC2)s1 ZINC000179263825 134319732 /nfs/dbraw/zinc/31/97/32/134319732.db2.gz PHGIQRRXWRQBGJ-SNVBAGLBSA-N 0 3 242.413 2.697 20 0 BFADHN C[C@]1(NCc2ccc(F)cc2F)CCCOC1 ZINC000221349241 134320762 /nfs/dbraw/zinc/32/07/62/134320762.db2.gz IOGIRTJTPVOMII-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN CCC[C@H]1CCCCN1Cc1nccn1C ZINC000179520858 134321479 /nfs/dbraw/zinc/32/14/79/134321479.db2.gz BYQLVBBGRQLBHC-LBPRGKRZSA-N 0 3 221.348 2.575 20 0 BFADHN CC1CCC(N(Cc2c[nH]cn2)C2CC2)CC1 ZINC000180034224 134325840 /nfs/dbraw/zinc/32/58/40/134325840.db2.gz KAUULFLDLHAQHU-UHFFFAOYSA-N 0 3 233.359 2.953 20 0 BFADHN CC1CCC(N(Cc2cnc[nH]2)C2CC2)CC1 ZINC000180034224 134325841 /nfs/dbraw/zinc/32/58/41/134325841.db2.gz KAUULFLDLHAQHU-UHFFFAOYSA-N 0 3 233.359 2.953 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C[C@@H](C)N(C3CC3)C2)o1 ZINC000221784641 134326172 /nfs/dbraw/zinc/32/61/72/134326172.db2.gz CKZGYTMAUCROKY-WXHSDQCUSA-N 0 3 248.370 2.864 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@@H](C)N(C3CC3)C2)o1 ZINC000221784550 134326468 /nfs/dbraw/zinc/32/64/68/134326468.db2.gz CKZGYTMAUCROKY-KGYLQXTDSA-N 0 3 248.370 2.864 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@@]2(CCOC2)C1 ZINC000180239875 134328404 /nfs/dbraw/zinc/32/84/04/134328404.db2.gz IIDRANYCFHSFHH-OAHLLOKOSA-N 0 3 249.329 2.747 20 0 BFADHN CCCn1cc(CN2[C@H](C)CC[C@H]2C)cn1 ZINC000180595292 134330048 /nfs/dbraw/zinc/33/00/48/134330048.db2.gz CWZQWRVJDVSESY-VXGBXAGGSA-N 0 3 221.348 2.666 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CSc3ccccc32)CCO1 ZINC000222774990 134334179 /nfs/dbraw/zinc/33/41/79/134334179.db2.gz OOFXYOCBIYEGIU-NTZNESFSSA-N 0 3 249.379 2.991 20 0 BFADHN CCc1nnc(CN2C[C@@H](C)[C@H]3CCCC[C@@H]32)o1 ZINC000182473899 134345133 /nfs/dbraw/zinc/34/51/33/134345133.db2.gz QNWRGFDHSBSPJB-UTUOFQBUSA-N 0 3 249.358 2.643 20 0 BFADHN CCC[C@@H](N[C@@H]1C[C@H](O)C1(C)C)c1ccccn1 ZINC000182631093 134346461 /nfs/dbraw/zinc/34/64/61/134346461.db2.gz OOQMOYSEVDPIMN-MCIONIFRSA-N 0 3 248.370 2.672 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](O)C(F)F)c(C)s1 ZINC000224442937 134347084 /nfs/dbraw/zinc/34/70/84/134347084.db2.gz VIDLAEDLMHFSQT-XVKPBYJWSA-N 0 3 249.326 2.642 20 0 BFADHN CCOc1ccccc1CNC1CC(OCC)C1 ZINC000224424222 134347194 /nfs/dbraw/zinc/34/71/94/134347194.db2.gz BJGZAXHETNRJQY-UHFFFAOYSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H](O)C(F)F)c(C)c1 ZINC000224466242 134347740 /nfs/dbraw/zinc/34/77/40/134347740.db2.gz RIUOHJSRHAIKEP-CMPLNLGQSA-N 0 3 243.297 2.580 20 0 BFADHN Cc1cc(CNCCOCC2CC2)ccc1F ZINC000224535038 134348398 /nfs/dbraw/zinc/34/83/98/134348398.db2.gz PCRGBPOZLMCLJG-UHFFFAOYSA-N 0 3 237.318 2.650 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2cncs2)C1(C)C ZINC000224519413 134348477 /nfs/dbraw/zinc/34/84/77/134348477.db2.gz BSCCVDNRELTWCU-JMJZKYOTSA-N 0 3 240.372 2.607 20 0 BFADHN Clc1cnc(CNCC2CCC2)s1 ZINC000225331236 134354790 /nfs/dbraw/zinc/35/47/90/134354790.db2.gz YKOXHGHAYRIQLR-UHFFFAOYSA-N 0 3 216.737 2.686 20 0 BFADHN CC[C@H](C)N[C@H]1COCc2ccccc21 ZINC000225974062 134358082 /nfs/dbraw/zinc/35/80/82/134358082.db2.gz CTEBTIADAICJBA-GWCFXTLKSA-N 0 3 205.301 2.646 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1CCO[C@@H]1C1CC1 ZINC000226514512 134363999 /nfs/dbraw/zinc/36/39/99/134363999.db2.gz HGHYVWMBPOYRMW-HUUCEWRRSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCO[C@@H](C)C2)o1 ZINC000226580211 134367107 /nfs/dbraw/zinc/36/71/07/134367107.db2.gz XPQYDNUIQVLAGV-SDDRHHMPSA-N 0 3 223.316 2.806 20 0 BFADHN C/C=C/C[C@H](CO)Nc1ccnc2ccccc21 ZINC000184808801 134368880 /nfs/dbraw/zinc/36/88/80/134368880.db2.gz PXLRKAFIAUUTOA-QAVQXKDTSA-N 0 3 242.322 2.974 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@@H]1C)c1nccn1C ZINC000226913480 134371874 /nfs/dbraw/zinc/37/18/74/134371874.db2.gz NJIARCZZTSFRTQ-MROQNXINSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H](NC[C@@H]1CC[C@@H](C)O1)c1ccsc1 ZINC000227038386 134373900 /nfs/dbraw/zinc/37/39/00/134373900.db2.gz PDSZUAXFCUFSBU-FOGDFJRCSA-N 0 3 225.357 2.966 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1nccn1CC ZINC000185631923 134386799 /nfs/dbraw/zinc/38/67/99/134386799.db2.gz MSIRVXZCENOHQB-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccsc2)C1(C)C ZINC000227828126 134389903 /nfs/dbraw/zinc/38/99/03/134389903.db2.gz LBVAEZUUQRRHAH-QWRGUYRKSA-N 0 3 225.357 2.651 20 0 BFADHN CCc1ncc(CNCC2(C)CC2)s1 ZINC000227762277 134392950 /nfs/dbraw/zinc/39/29/50/134392950.db2.gz XUWQUZRENJYQIM-UHFFFAOYSA-N 0 3 210.346 2.595 20 0 BFADHN C[C@@H](O)C[C@H](C)Nc1ccnc2ccccc21 ZINC000228131861 134408318 /nfs/dbraw/zinc/40/83/18/134408318.db2.gz SPTIMVZDJQGNJG-WDEREUQCSA-N 0 3 230.311 2.806 20 0 BFADHN CCOCCN1CCc2c(C)cc(C)cc2C1 ZINC000186700408 134428804 /nfs/dbraw/zinc/42/88/04/134428804.db2.gz ZXXQCYFVXGMPQQ-UHFFFAOYSA-N 0 3 233.355 2.698 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@H]2CC[C@H](C)C2)n1 ZINC000230413763 134502409 /nfs/dbraw/zinc/50/24/09/134502409.db2.gz MNDJXWSVPTUOMF-JQWIXIFHSA-N 0 3 234.343 2.621 20 0 BFADHN Cc1nc(CNC[C@H]2CCC[C@@H]2C)cs1 ZINC000230666050 134519957 /nfs/dbraw/zinc/51/99/57/134519957.db2.gz DSYFJVPOFMRMDK-GXSJLCMTSA-N 0 3 224.373 2.977 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1ccc(F)c(OC)c1 ZINC000131448476 134630138 /nfs/dbraw/zinc/63/01/38/134630138.db2.gz PSIBVBFIQYESAF-ZJUUUORDSA-N 0 3 241.306 2.520 20 0 BFADHN CCc1ccc(CNCCc2ccco2)o1 ZINC000051722261 134685519 /nfs/dbraw/zinc/68/55/19/134685519.db2.gz UOHBPXAHKBKOKB-UHFFFAOYSA-N 0 3 219.284 2.767 20 0 BFADHN CCn1ccnc1CN[C@@H](C)CCC(C)C ZINC000051921026 134691176 /nfs/dbraw/zinc/69/11/76/134691176.db2.gz KSHDMTUWXZRISN-LBPRGKRZSA-N 0 3 223.364 2.817 20 0 BFADHN CCCC[C@@H](N)C(=O)N[C@@H](C)CCC(C)(C)C ZINC000236790861 134836531 /nfs/dbraw/zinc/83/65/31/134836531.db2.gz NLICGZIMPQUAPW-NWDGAFQWSA-N 0 3 242.407 2.835 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000246001297 134874876 /nfs/dbraw/zinc/87/48/76/134874876.db2.gz RVORWIAIOQNXJZ-MELADBBJSA-N 0 3 249.329 2.562 20 0 BFADHN CCOC[C@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC000246095363 134877114 /nfs/dbraw/zinc/87/71/14/134877114.db2.gz AEGWERYXRWUQDC-KGLIPLIRSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@H]1CCC[C@@H](CCNCc2ncc[nH]2)C1 ZINC000070532084 134919793 /nfs/dbraw/zinc/91/97/93/134919793.db2.gz CEKOMFDQDYVAII-RYUDHWBXSA-N 0 3 221.348 2.716 20 0 BFADHN Cc1cccc(NC(=O)CN[C@@H]2CCC[C@H]2C)c1 ZINC000071207290 134930500 /nfs/dbraw/zinc/93/05/00/134930500.db2.gz YYFHIDDIXYYRMK-TZMCWYRMSA-N 0 3 246.354 2.712 20 0 BFADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@H]2C1(C)C)c1ccoc1 ZINC000248134557 134993829 /nfs/dbraw/zinc/99/38/29/134993829.db2.gz OWXQWHDSNKEXKY-FTYKPCCVSA-N 0 3 235.327 2.744 20 0 BFADHN CC[C@H]1CC[C@H](NCc2cc(C)no2)C1 ZINC000070643787 135001861 /nfs/dbraw/zinc/00/18/61/135001861.db2.gz DGKXNBVBAPATKT-QWRGUYRKSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H](O)[C@@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC000248550256 135003574 /nfs/dbraw/zinc/00/35/74/135003574.db2.gz KHVLBVFBPBDHCB-SDDRHHMPSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2nnc(C3CC3)[nH]2)[C@H]1C ZINC000248549626 135003859 /nfs/dbraw/zinc/00/38/59/135003859.db2.gz HMCYCFZRVZHJTQ-JFGNBEQYSA-N 0 3 248.374 2.596 20 0 BFADHN CO[C@H](C)CN(C)[C@H](C)c1cccs1 ZINC000248412712 135006431 /nfs/dbraw/zinc/00/64/31/135006431.db2.gz FOJLKZVEVSEHHO-NXEZZACHSA-N 0 3 213.346 2.776 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@H]3CCCC[C@H]32)no1 ZINC000248408048 135007048 /nfs/dbraw/zinc/00/70/48/135007048.db2.gz BXZDXLRJPCBFPD-ZLKJLUDKSA-N 0 3 234.343 2.994 20 0 BFADHN CO[C@@H](C)CN1CCCC[C@H]1c1cccn1C ZINC000248431865 135008845 /nfs/dbraw/zinc/00/88/45/135008845.db2.gz QFFPXOVYAQHAOJ-JSGCOSHPSA-N 0 3 236.359 2.587 20 0 BFADHN CSCCCN[C@H](C)c1nc(C)cs1 ZINC000070732488 135009496 /nfs/dbraw/zinc/00/94/96/135009496.db2.gz FBAXTPSSSMXXPT-SECBINFHSA-N 0 3 230.402 2.855 20 0 BFADHN CCc1nnc(CN2C[C@H](C)[C@H]3CCCC[C@H]32)o1 ZINC000248433050 135009973 /nfs/dbraw/zinc/00/99/73/135009973.db2.gz QNWRGFDHSBSPJB-QJPTWQEYSA-N 0 3 249.358 2.643 20 0 BFADHN C[C@@H]1CC[C@H](C)N(CCOCC(F)(F)F)C1 ZINC000076149387 135013172 /nfs/dbraw/zinc/01/31/72/135013172.db2.gz GONPUHVUIXBLIY-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CO[C@@]1(C)C[C@@H](N(C)Cc2ccccn2)C1(C)C ZINC000076150914 135014804 /nfs/dbraw/zinc/01/48/04/135014804.db2.gz WITKEJGOSCLSOR-HIFRSBDPSA-N 0 3 248.370 2.717 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@@H](C)[C@H](C)C1 ZINC000248628947 135016824 /nfs/dbraw/zinc/01/68/24/135016824.db2.gz QWYVLBKDCXANRM-UTUOFQBUSA-N 0 3 219.332 2.516 20 0 BFADHN C/C=C/C[C@H](CO)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000248648312 135018529 /nfs/dbraw/zinc/01/85/29/135018529.db2.gz UMMWCYAXTBELTJ-OCPRDKBASA-N 0 3 249.354 2.820 20 0 BFADHN C[C@@H](CCN1CCO[C@H](C)C1)c1ccccc1 ZINC000248993180 135029982 /nfs/dbraw/zinc/02/99/82/135029982.db2.gz OAXYFXMMOGYDFC-UONOGXRCSA-N 0 3 233.355 2.901 20 0 BFADHN C[C@@H]1CCCC[C@@H]1N[C@H](CCO)c1ccco1 ZINC000249057592 135030658 /nfs/dbraw/zinc/03/06/58/135030658.db2.gz XXCLYDYHBPMAQQ-FRRDWIJNSA-N 0 3 237.343 2.871 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)N1CCCC[C@H]1CC ZINC000249815725 135046275 /nfs/dbraw/zinc/04/62/75/135046275.db2.gz BPYGYBKAGXBWPW-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@H]3OCCC[C@@H]23)o1 ZINC000250104523 135049442 /nfs/dbraw/zinc/04/94/42/135049442.db2.gz RVEOGWQLKQQDJJ-CIQGVGRVSA-N 0 3 235.327 2.806 20 0 BFADHN CN(Cc1ccsc1)C[C@H]1CCCC[C@H]1O ZINC000250255625 135051980 /nfs/dbraw/zinc/05/19/80/135051980.db2.gz YDWLIAXBQBROHO-CHWSQXEVSA-N 0 3 239.384 2.731 20 0 BFADHN CCCC[C@H](N)C(=O)NCC(CC)(CC)CC ZINC000236789566 135067653 /nfs/dbraw/zinc/06/76/53/135067653.db2.gz LJPYWXKXDXPASN-LBPRGKRZSA-N 0 3 242.407 2.837 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2COc3ccccc32)C[C@H](C)O1 ZINC000252111601 135083613 /nfs/dbraw/zinc/08/36/13/135083613.db2.gz JQVAQJKEEGHPGC-GFQSEFKGSA-N 0 3 247.338 2.666 20 0 BFADHN CC[C@H](C)C[C@H](CC)N[C@H](C)c1nncn1C ZINC000252391232 135088207 /nfs/dbraw/zinc/08/82/07/135088207.db2.gz PPKVXQGNNGPQGM-TUAOUCFPSA-N 0 3 238.379 2.681 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(F)cc2)CS1 ZINC000086934277 135102288 /nfs/dbraw/zinc/10/22/88/135102288.db2.gz HSDXYXREWWDIDK-CABZTGNLSA-N 0 3 225.332 2.809 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@H](C)c1cc(F)ccc1F ZINC000252746671 135106749 /nfs/dbraw/zinc/10/67/49/135106749.db2.gz BTJACJLGUJYHIJ-KXUCPTDWSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H](N[C@@H](C)C[C@H](C)O)c1ccccc1F ZINC000252746709 135106756 /nfs/dbraw/zinc/10/67/56/135106756.db2.gz BWCLXELSXAWGHV-GARJFASQSA-N 0 3 225.307 2.636 20 0 BFADHN C[C@H](NC[C@@]1(C)CCCO1)c1ccsc1 ZINC000087234477 135116000 /nfs/dbraw/zinc/11/60/00/135116000.db2.gz FSGOAXLXZYBUQV-CMPLNLGQSA-N 0 3 225.357 2.968 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@@H]1C)c1ncc[nH]1 ZINC000252981474 135118356 /nfs/dbraw/zinc/11/83/56/135118356.db2.gz RFXRUNFWXBPFPB-FIQHERPVSA-N 0 3 221.348 2.885 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1ncc[nH]1 ZINC000252981367 135118545 /nfs/dbraw/zinc/11/85/45/135118545.db2.gz QTLSDZAIFCGWFQ-MXWKQRLJSA-N 0 3 207.321 2.639 20 0 BFADHN COCCN(Cc1cccc(F)c1)CC(C)C ZINC000078520224 135129068 /nfs/dbraw/zinc/12/90/68/135129068.db2.gz JKKCAIXNSISBCK-UHFFFAOYSA-N 0 3 239.334 2.930 20 0 BFADHN CCOCCN(C)C/C=C\c1ccccc1 ZINC000255363480 135129147 /nfs/dbraw/zinc/12/91/47/135129147.db2.gz WMLDMPLXTGHKJN-YFHOEESVSA-N 0 3 219.328 2.668 20 0 BFADHN CO[C@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC000255380692 135129295 /nfs/dbraw/zinc/12/92/95/135129295.db2.gz LNZXWSGQLHRDKU-ZHMISCPASA-N 0 3 231.339 2.811 20 0 BFADHN COC[C@H](NCc1cscc1C)C1CC1 ZINC000087481878 135144870 /nfs/dbraw/zinc/14/48/70/135144870.db2.gz NEPGEDJDSNMAMB-LBPRGKRZSA-N 0 3 225.357 2.571 20 0 BFADHN Cc1cc(NCC[C@@H](C)O)c2ccccc2n1 ZINC000078771895 135150531 /nfs/dbraw/zinc/15/05/31/135150531.db2.gz FEEMADBJMRXVRZ-LLVKDONJSA-N 0 3 230.311 2.726 20 0 BFADHN C[C@H](NC[C@H]1CCCS1)c1ccccn1 ZINC000087668140 135162331 /nfs/dbraw/zinc/16/23/31/135162331.db2.gz KBNOKTOTSAPXTI-WDEREUQCSA-N 0 3 222.357 2.628 20 0 BFADHN Cc1ncsc1[C@@H](C)NC[C@@H]1CCCS1 ZINC000087667626 135162795 /nfs/dbraw/zinc/16/27/95/135162795.db2.gz BSIPPLOBPVYISX-SCZZXKLOSA-N 0 3 242.413 2.998 20 0 BFADHN CC[C@H](C)N(CC)CC(=O)N1CCCCCC1 ZINC000353169328 135167315 /nfs/dbraw/zinc/16/73/15/135167315.db2.gz OKDLJQKEZYJOEO-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1ccc(CN[C@H]2CC=CCC2)nc1 ZINC000087782543 135169974 /nfs/dbraw/zinc/16/99/74/135169974.db2.gz KYVRENWDTVPKEE-LBPRGKRZSA-N 0 3 202.301 2.588 20 0 BFADHN Cc1ccc([C@@H](C)NCCOC(F)(F)F)o1 ZINC000084177830 135189320 /nfs/dbraw/zinc/18/93/20/135189320.db2.gz GMMKIUDVNRERGD-MRVPVSSYSA-N 0 3 237.221 2.775 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@H](C(C)(C)C)C2)n1 ZINC000163857572 135207840 /nfs/dbraw/zinc/20/78/40/135207840.db2.gz OFJFQFYURHUNTD-LBPRGKRZSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@H](C(C)(C)C)C2)n1 ZINC000163857572 135207842 /nfs/dbraw/zinc/20/78/42/135207842.db2.gz OFJFQFYURHUNTD-LBPRGKRZSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@H](C)C2)on1 ZINC000084342490 135210678 /nfs/dbraw/zinc/21/06/78/135210678.db2.gz AUZOTVSBOZMVER-JQWIXIFHSA-N 0 3 222.332 2.899 20 0 BFADHN CC[C@@H](C)[C@](C)(O)CNCc1ccsc1 ZINC000163996814 135210808 /nfs/dbraw/zinc/21/08/08/135210808.db2.gz VXDRQXHMOBQATK-ZYHUDNBSSA-N 0 3 227.373 2.635 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](O)[C@@H](C)CC)o1 ZINC000164006886 135212044 /nfs/dbraw/zinc/21/20/44/135212044.db2.gz KJLRBPWNFUVVQL-DMDPSCGWSA-N 0 3 239.359 2.900 20 0 BFADHN CC[C@@H](C)[C@@](C)(O)CNCc1ccc(Cl)o1 ZINC000164003040 135212457 /nfs/dbraw/zinc/21/24/57/135212457.db2.gz LTCQVRKUDWHHMQ-SKDRFNHKSA-N 0 3 245.750 2.820 20 0 BFADHN CCOc1cccc(CN[C@@H]2C[C@H]2C(F)F)c1 ZINC000342227777 135253548 /nfs/dbraw/zinc/25/35/48/135253548.db2.gz LBISPRMAWIMZEU-VXGBXAGGSA-N 0 3 241.281 2.829 20 0 BFADHN COc1cc(CN[C@H]2C[C@H]2C(F)F)ccc1C ZINC000342229253 135253770 /nfs/dbraw/zinc/25/37/70/135253770.db2.gz MCURDNLLHSKZEE-MNOVXSKESA-N 0 3 241.281 2.747 20 0 BFADHN FC(F)[C@@H]1C[C@@H]1NCc1cccc2[nH]ccc21 ZINC000342234368 135254954 /nfs/dbraw/zinc/25/49/54/135254954.db2.gz UPIQTQIJDNZQBX-PWSUYJOCSA-N 0 3 236.265 2.911 20 0 BFADHN FCCN[C@@H](C[C@H]1CCOC1)c1ccccc1 ZINC000342232655 135255013 /nfs/dbraw/zinc/25/50/13/135255013.db2.gz PTVXNYDHFNNUAW-OCCSQVGLSA-N 0 3 237.318 2.713 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1CC[C@H](F)C1 ZINC000342306955 135258029 /nfs/dbraw/zinc/25/80/29/135258029.db2.gz WGMNVHURIVCRDO-QWHCGFSZSA-N 0 3 237.318 2.984 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1cc(C)ccc1C ZINC000261994431 135270537 /nfs/dbraw/zinc/27/05/37/135270537.db2.gz NEOIXLXJUVGMGJ-GFCCVEGCSA-N 0 3 234.343 2.630 20 0 BFADHN CN(Cc1cccc2c1NCC2)[C@H]1CC1(C)C ZINC000262025030 135273772 /nfs/dbraw/zinc/27/37/72/135273772.db2.gz WNNPYQBOBNAEMC-ZDUSSCGKSA-N 0 3 230.355 2.885 20 0 BFADHN CCC[C@H]1CCCN(C(=O)[C@@](C)(N)CCC)C1 ZINC000262119790 135279788 /nfs/dbraw/zinc/27/97/88/135279788.db2.gz JNEARJGQFVYMEA-JSGCOSHPSA-N 0 3 240.391 2.543 20 0 BFADHN Fc1cccc([C@H]2CNCCN2CC2CCC2)c1 ZINC000262166021 135283323 /nfs/dbraw/zinc/28/33/23/135283323.db2.gz HJGRJENKTXJQSB-OAHLLOKOSA-N 0 3 248.345 2.572 20 0 BFADHN CCCN(CCC)C(=O)[C@@H]1CCCN1CCC ZINC000342548493 135287076 /nfs/dbraw/zinc/28/70/76/135287076.db2.gz UVDSFGVVRXXEOW-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN COc1ccc([C@@H]2C[C@@H]2[C@@H](C)NCCF)cc1 ZINC000342574684 135291010 /nfs/dbraw/zinc/29/10/10/135291010.db2.gz JLJUBUSBSRTHHV-HONMWMINSA-N 0 3 237.318 2.746 20 0 BFADHN CCOc1ncccc1CNC1CC(CC)C1 ZINC000342620019 135296665 /nfs/dbraw/zinc/29/66/65/135296665.db2.gz XLXUFUGTDXMIMT-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN CC/C=C\CCN1CCO[C@@H](C(C)C)C1 ZINC000342635326 135298937 /nfs/dbraw/zinc/29/89/37/135298937.db2.gz QIKKWFBHCFILLZ-CFHLNLSMSA-N 0 3 211.349 2.700 20 0 BFADHN CCc1nn(C)c(CC)c1CN[C@H]1CCC1(C)C ZINC000342704344 135312056 /nfs/dbraw/zinc/31/20/56/135312056.db2.gz XUOKMKHCIGVEFI-AWEZNQCLSA-N 0 3 249.402 2.823 20 0 BFADHN CCC1CC(N[C@H](C)C(=O)Nc2ccccc2)C1 ZINC000342703646 135312127 /nfs/dbraw/zinc/31/21/27/135312127.db2.gz WTJMRQYSIHFODZ-LKSINWNRSA-N 0 3 246.354 2.792 20 0 BFADHN Cc1cccc(C)c1CN1CCN(C2CC2)CC1 ZINC000342724699 135315007 /nfs/dbraw/zinc/31/50/07/135315007.db2.gz PGJNCSSFQROUKN-UHFFFAOYSA-N 0 3 244.382 2.583 20 0 BFADHN Cc1nccc(CN(C)[C@@H]2CCC[C@@H](C)C2)n1 ZINC000334170999 135319337 /nfs/dbraw/zinc/31/93/37/135319337.db2.gz BGMJUWJSWPDPGB-BXUZGUMPSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1nccc(CN(C)[C@H]2CCC[C@@H](C)C2)n1 ZINC000334171010 135319572 /nfs/dbraw/zinc/31/95/72/135319572.db2.gz BGMJUWJSWPDPGB-RISCZKNCSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCCC2(C)C)nn1C ZINC000334171059 135319651 /nfs/dbraw/zinc/31/96/51/135319651.db2.gz AAZBKXNJZLTGKY-WCQYABFASA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@H]3CCCC[C@@H]32)n1C ZINC000342797199 135320581 /nfs/dbraw/zinc/32/05/81/135320581.db2.gz NGYAHHIKUWRZMP-YUTCNCBUSA-N 0 3 247.386 2.739 20 0 BFADHN Cc1nc(CN[C@H](C)c2c(C)cccc2C)n[nH]1 ZINC000342802059 135322036 /nfs/dbraw/zinc/32/20/36/135322036.db2.gz HXGFNOUTRICNMM-LLVKDONJSA-N 0 3 244.342 2.581 20 0 BFADHN Cc1ccc(CN2CC[C@H]2C(C)C)nc1 ZINC000334294630 135341353 /nfs/dbraw/zinc/34/13/53/135341353.db2.gz UJWWPSJPBOIKLU-ZDUSSCGKSA-N 0 3 204.317 2.620 20 0 BFADHN CCC[C@](C)(N)C(=O)NC1CCC(C)(C)CC1 ZINC000079678336 135344288 /nfs/dbraw/zinc/34/42/88/135344288.db2.gz ZEQAZWCIBPZTTQ-AWEZNQCLSA-N 0 3 240.391 2.589 20 0 BFADHN CC(C)n1cc(CN2CCCCCC2)cn1 ZINC000334325136 135353531 /nfs/dbraw/zinc/35/35/31/135353531.db2.gz KQKZCMXXYJUMMB-UHFFFAOYSA-N 0 3 221.348 2.840 20 0 BFADHN CC1CC(NCc2cnn(C(C)(C)C)c2)C1 ZINC000080249240 135368061 /nfs/dbraw/zinc/36/80/61/135368061.db2.gz RNKMQBXWQYWVDK-UHFFFAOYSA-N 0 3 221.348 2.526 20 0 BFADHN Cc1csc([C@H](C)NCCc2ccccn2)n1 ZINC000080313727 135369241 /nfs/dbraw/zinc/36/92/41/135369241.db2.gz IXSXBDJPAUSJKC-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cn1nccc1[C@H]1CCCCN1CC1CCC1 ZINC000334358451 135370954 /nfs/dbraw/zinc/37/09/54/135370954.db2.gz SPWMXXMYHLCQET-CQSZACIVSA-N 0 3 233.359 2.747 20 0 BFADHN CCC1(C)CCN(Cc2cnc(C)cn2)CC1 ZINC000334365906 135373900 /nfs/dbraw/zinc/37/39/00/135373900.db2.gz OWMLZSAMKDEFBX-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CO[C@H]1CCN(Cc2cccc(F)c2)[C@@H](C)C1 ZINC000334381077 135379728 /nfs/dbraw/zinc/37/97/28/135379728.db2.gz JBBRJNFSHCGVOA-FZMZJTMJSA-N 0 3 237.318 2.825 20 0 BFADHN C[C@@H](NCc1cc[nH]c1)c1ccc2c(c1)OCO2 ZINC000080773501 135384690 /nfs/dbraw/zinc/38/46/90/135384690.db2.gz POHYJKTWOCHTMU-SNVBAGLBSA-N 0 3 244.294 2.594 20 0 BFADHN Cc1ncc(CN2CC[C@]3(CC[C@H](C)C3)C2)cn1 ZINC000334415535 135394557 /nfs/dbraw/zinc/39/45/57/135394557.db2.gz PULOAHSVZCUMDZ-WFASDCNBSA-N 0 3 245.370 2.797 20 0 BFADHN CCn1ccnc1CNC1CCC(C)(C)CC1 ZINC000079676943 135397610 /nfs/dbraw/zinc/39/76/10/135397610.db2.gz AOWUBOJADMXGGW-UHFFFAOYSA-N 0 3 235.375 2.961 20 0 BFADHN C[C@H](NCC1(C2CC2)CCC1)c1cnccn1 ZINC000090724343 135553160 /nfs/dbraw/zinc/55/31/60/135553160.db2.gz AYOUKPJRZDEXFS-NSHDSACASA-N 0 3 231.343 2.708 20 0 BFADHN CC[C@@H](N[C@@H](C)c1cccnc1)[C@@H]1CCCO1 ZINC000090858009 135555216 /nfs/dbraw/zinc/55/52/16/135555216.db2.gz BASCHXHFNWWBCU-YUTCNCBUSA-N 0 3 234.343 2.690 20 0 BFADHN CSc1ccccc1[C@H](C)N[C@@H]1CCOC1 ZINC000194936674 135654740 /nfs/dbraw/zinc/65/47/40/135654740.db2.gz RPIISVRMBNZEIK-WDEREUQCSA-N 0 3 237.368 2.848 20 0 BFADHN COc1nc(C)cc(C)c1CN(C)CCC1CC1 ZINC000346982564 135986469 /nfs/dbraw/zinc/98/64/69/135986469.db2.gz NQQXINGMNQWEDL-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN Cc1cnn(C)c1CN([C@H](C)C(C)C)C1CC1 ZINC000347644519 136000157 /nfs/dbraw/zinc/00/01/57/136000157.db2.gz VOGJDUGCTKUDCP-GFCCVEGCSA-N 0 3 235.375 2.737 20 0 BFADHN Cc1cccc(CN[C@H]2CC23CC3)c1F ZINC000335283219 136001302 /nfs/dbraw/zinc/00/13/02/136001302.db2.gz XQGUCNKFTPSHLB-NSHDSACASA-N 0 3 205.276 2.776 20 0 BFADHN Cc1cc(CN[C@H]2CC23CC3)ccc1F ZINC000335284103 136001344 /nfs/dbraw/zinc/00/13/44/136001344.db2.gz BMPXULIUXLEPKN-LBPRGKRZSA-N 0 3 205.276 2.776 20 0 BFADHN CC1CCC(CN2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000347652859 136001500 /nfs/dbraw/zinc/00/15/00/136001500.db2.gz RTUVMSQEUWXSNJ-QPPOZKHWSA-N 0 3 225.376 2.922 20 0 BFADHN c1ccc2c(c1)C=C(CN[C@@H]1CC13CC3)CO2 ZINC000335284114 136001598 /nfs/dbraw/zinc/00/15/98/136001598.db2.gz BXJLVOSLCQZFAP-CQSZACIVSA-N 0 3 227.307 2.605 20 0 BFADHN Cc1ccc(CN[C@@H]2CC23CC3)cc1F ZINC000335284078 136001742 /nfs/dbraw/zinc/00/17/42/136001742.db2.gz AQSVHTAUOCXYOU-GFCCVEGCSA-N 0 3 205.276 2.776 20 0 BFADHN COC(=O)CC1(NCc2ccc(C)cc2)CCC1 ZINC000347656699 136002285 /nfs/dbraw/zinc/00/22/85/136002285.db2.gz BWILVJDEBDFCQU-UHFFFAOYSA-N 0 3 247.338 2.570 20 0 BFADHN C[C@@H]1CN(CC23CCC(CC2)C3)C[C@H](C)O1 ZINC000347734677 136004654 /nfs/dbraw/zinc/00/46/54/136004654.db2.gz ZAIFRRFLPVOXIF-VTXSZYRJSA-N 0 3 223.360 2.676 20 0 BFADHN CCc1ccc(CN(C)C[C@@H]2CCCOC2)cn1 ZINC000347785548 136009833 /nfs/dbraw/zinc/00/98/33/136009833.db2.gz QLXYBAVPCVKAPJ-AWEZNQCLSA-N 0 3 248.370 2.502 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1CC12CCC(CC1)C2 ZINC000347744378 136010654 /nfs/dbraw/zinc/01/06/54/136010654.db2.gz SVTVMNRLRWNGDN-KBHBFKLGSA-N 0 3 223.360 2.676 20 0 BFADHN CCc1ccc(CN2CCC(C)(C)C2)cn1 ZINC000347811180 136013141 /nfs/dbraw/zinc/01/31/41/136013141.db2.gz KIIHDZGGWGLOIS-UHFFFAOYSA-N 0 3 218.344 2.876 20 0 BFADHN CCC[C@@H]1CCCN(Cc2c(C)cnn2C)C1 ZINC000347887227 136015015 /nfs/dbraw/zinc/01/50/15/136015015.db2.gz UXDCBCVBFLRJRO-CYBMUJFWSA-N 0 3 235.375 2.741 20 0 BFADHN CCCCCC(=O)N[C@@H]1CCCN(C(C)C)C1 ZINC000347908806 136016174 /nfs/dbraw/zinc/01/61/74/136016174.db2.gz GKMKHHBTGLRSKD-CYBMUJFWSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1cccc(CN2CC=C(C)CC2)n1 ZINC000335309312 136028060 /nfs/dbraw/zinc/02/80/60/136028060.db2.gz VLZBWIOYEARHDO-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCOC(F)(F)F ZINC000348042045 136029228 /nfs/dbraw/zinc/02/92/28/136029228.db2.gz GAGKYEYABSEUEQ-SECBINFHSA-N 0 3 248.248 2.577 20 0 BFADHN CCN(CCc1cccnc1)Cc1ccncc1 ZINC000348128410 136034107 /nfs/dbraw/zinc/03/41/07/136034107.db2.gz OTPWJKKXIJLXHS-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1cn2ccccc2n1 ZINC000348088199 136035217 /nfs/dbraw/zinc/03/52/17/136035217.db2.gz QTMHTPVLHXNJFE-AAEUAGOBSA-N 0 3 229.327 2.613 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1cccc(OC)c1OC ZINC000348101611 136036087 /nfs/dbraw/zinc/03/60/87/136036087.db2.gz IIUPZHNCDAZYTC-DGCLKSJQSA-N 0 3 249.354 2.982 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1C(F)F)c1ccccc1 ZINC000348148019 136039349 /nfs/dbraw/zinc/03/93/49/136039349.db2.gz HQXHUFVYUKCCRX-JMJZKYOTSA-N 0 3 211.255 2.991 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1C(F)F)c1cncc(F)c1 ZINC000348151956 136039643 /nfs/dbraw/zinc/03/96/43/136039643.db2.gz ODOOMOYPJVIQMF-WQGWLQIFSA-N 0 3 230.233 2.525 20 0 BFADHN Cc1ccccc1CN[C@@H]1C[C@@H]1C(F)F ZINC000348187263 136040677 /nfs/dbraw/zinc/04/06/77/136040677.db2.gz PPWXTRNDURPPTD-WDEREUQCSA-N 0 3 211.255 2.738 20 0 BFADHN c1cc([C@H]2CCCN2CC[C@H]2CCCCO2)n[nH]1 ZINC000348160403 136041068 /nfs/dbraw/zinc/04/10/68/136041068.db2.gz PBHPDGUCAJNJNW-TZMCWYRMSA-N 0 3 249.358 2.506 20 0 BFADHN Fc1cccc(CN[C@@H]2C[C@H]2C(F)F)c1 ZINC000348215209 136046021 /nfs/dbraw/zinc/04/60/21/136046021.db2.gz FBCDUZQJTNIVEO-NXEZZACHSA-N 0 3 215.218 2.569 20 0 BFADHN FC(F)[C@@H]1C[C@H]1N[C@H]1CCc2ccccc21 ZINC000348220062 136046919 /nfs/dbraw/zinc/04/69/19/136046919.db2.gz HRDDZELSGSCFIQ-GRYCIOLGSA-N 0 3 223.266 2.917 20 0 BFADHN C[C@H](c1ccc(Cl)cc1)N(C)C1CC(O)C1 ZINC000348219831 136047094 /nfs/dbraw/zinc/04/70/94/136047094.db2.gz MLABPWUBBFPLML-UHEGKEBESA-N 0 3 239.746 2.856 20 0 BFADHN FC(F)[C@@H]1C[C@H]1N[C@H]1CCCc2occc21 ZINC000348223855 136047663 /nfs/dbraw/zinc/04/76/63/136047663.db2.gz MNAGBQBCITXGBF-KXUCPTDWSA-N 0 3 227.254 2.900 20 0 BFADHN C(=C/c1ccncc1)\CN1C[C@H]2CCC[C@H]21 ZINC000335334912 136055353 /nfs/dbraw/zinc/05/53/53/136055353.db2.gz FSCKXFYZJBUWKJ-GWSUEFFPSA-N 0 3 214.312 2.579 20 0 BFADHN CSCCN1CC[C@H](C(F)(F)F)[C@@H]1C ZINC000348249964 136057042 /nfs/dbraw/zinc/05/70/42/136057042.db2.gz XTQIDUJWFRRPFJ-YUMQZZPRSA-N 0 3 227.295 2.622 20 0 BFADHN Cc1cnn(C)c1CN1CC(C)(C)CC(C)(C)C1 ZINC000348259226 136057822 /nfs/dbraw/zinc/05/78/22/136057822.db2.gz DNKYYWABXWRYOT-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN CC[C@@H](NC[C@H]1CCCO1)c1c(C)noc1C ZINC000348278629 136059967 /nfs/dbraw/zinc/05/99/67/136059967.db2.gz AIRHWVLEQHJOJP-VXGBXAGGSA-N 0 3 238.331 2.511 20 0 BFADHN CCCCCN1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000348228306 136060176 /nfs/dbraw/zinc/06/01/76/136060176.db2.gz MEAQZBXVSHAFJA-YPMHNXCESA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H]1CN([C@H]2C=CCCC2)C[C@@H](C2CC2)O1 ZINC000348231790 136060589 /nfs/dbraw/zinc/06/05/89/136060589.db2.gz PMPDGDLOZFXRIQ-XBFCOCLRSA-N 0 3 221.344 2.594 20 0 BFADHN C[C@@H]1CN([C@H]2C=CCCC2)C[C@H](C2CC2)O1 ZINC000348231782 136060940 /nfs/dbraw/zinc/06/09/40/136060940.db2.gz PMPDGDLOZFXRIQ-KWCYVHTRSA-N 0 3 221.344 2.594 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@H]2C[C@@H]2C(F)F)cn1 ZINC000348300282 136063356 /nfs/dbraw/zinc/06/33/56/136063356.db2.gz ITJRAOSOBNISNJ-LSJOCFKGSA-N 0 3 243.301 2.768 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2CC[C@@H]2C)c(F)cn1 ZINC000348301052 136064096 /nfs/dbraw/zinc/06/40/96/136064096.db2.gz KJPMOBKLORGLAX-HOTUBEGUSA-N 0 3 238.306 2.678 20 0 BFADHN CC(C)c1nc(CN2C[C@H]3CCCC[C@@H]3C2)n[nH]1 ZINC000335341707 136064264 /nfs/dbraw/zinc/06/42/64/136064264.db2.gz SXYLWWHEAMFFJU-VXGBXAGGSA-N 0 3 248.374 2.550 20 0 BFADHN Cc1cnc(CCN2[C@H](C)C[C@@H]2C)c(C)c1 ZINC000335349076 136067827 /nfs/dbraw/zinc/06/78/27/136067827.db2.gz DPTHSQYWLAWZII-BETUJISGSA-N 0 3 218.344 2.724 20 0 BFADHN CCn1cc([C@H](C)NCCC2(F)CCC2)cn1 ZINC000348321265 136069343 /nfs/dbraw/zinc/06/93/43/136069343.db2.gz MONYDZQXIZVZIP-NSHDSACASA-N 0 3 239.338 2.836 20 0 BFADHN C[C@H]1CCC[C@H](CN(C)Cc2ccnn2C)C1 ZINC000348369964 136073042 /nfs/dbraw/zinc/07/30/42/136073042.db2.gz LNXUCMJOBCOWKL-STQMWFEESA-N 0 3 235.375 2.678 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](C)C(=O)Nc1ccccc1 ZINC000348395881 136076392 /nfs/dbraw/zinc/07/63/92/136076392.db2.gz LKJFDPVVXAMRET-YRGRVCCFSA-N 0 3 246.354 2.792 20 0 BFADHN CSC1(CN2CCO[C@@H](C(C)(C)C)C2)CC1 ZINC000348406579 136077255 /nfs/dbraw/zinc/07/72/55/136077255.db2.gz TUSXCELDGKQUCT-LLVKDONJSA-N 0 3 243.416 2.629 20 0 BFADHN CCc1ccc(CN2CCC[C@](C)(OC)C2)cn1 ZINC000348416498 136077487 /nfs/dbraw/zinc/07/74/87/136077487.db2.gz ZDXUSOWAXPHDLI-HNNXBMFYSA-N 0 3 248.370 2.645 20 0 BFADHN CSC1(CN2CC(C)(C)OC(C)(C)C2)CC1 ZINC000348416642 136077556 /nfs/dbraw/zinc/07/75/56/136077556.db2.gz SXQYAVLNDJVHGK-UHFFFAOYSA-N 0 3 243.416 2.771 20 0 BFADHN CC[C@H](C(=O)NCC(C)(C)C(C)(C)C)N(C)C ZINC000348474041 136079837 /nfs/dbraw/zinc/07/98/37/136079837.db2.gz CVEXKAMHAZNPIA-LLVKDONJSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1ccoc1CN[C@H]1CCC[C@@H](F)C1 ZINC000335372739 136083881 /nfs/dbraw/zinc/08/38/81/136083881.db2.gz OSFJLOPBVUAVNM-MNOVXSKESA-N 0 3 211.280 2.958 20 0 BFADHN Cc1ccnc([C@H](C)NC2CC(C)(F)C2)c1 ZINC000335374216 136084659 /nfs/dbraw/zinc/08/46/59/136084659.db2.gz QRDPMEWDHJBRPM-ZBOXLXRLSA-N 0 3 222.307 2.931 20 0 BFADHN Cc1ccnc([C@@H](C)NC2CC(C)(F)C2)c1 ZINC000335374214 136084796 /nfs/dbraw/zinc/08/47/96/136084796.db2.gz QRDPMEWDHJBRPM-XSRFYTQQSA-N 0 3 222.307 2.931 20 0 BFADHN CC1(F)CC(NCc2ccc(F)cc2F)C1 ZINC000335375968 136085422 /nfs/dbraw/zinc/08/54/22/136085422.db2.gz OOLONKQXBTWJTR-UHFFFAOYSA-N 0 3 229.245 2.945 20 0 BFADHN CC1(F)CC(NCc2ccc(Cl)o2)C1 ZINC000335376828 136085944 /nfs/dbraw/zinc/08/59/44/136085944.db2.gz XVKFLVICIMBGBY-UHFFFAOYSA-N 0 3 217.671 2.913 20 0 BFADHN Cc1occc1CN[C@H]1CCC[C@H](F)C1 ZINC000335387593 136089431 /nfs/dbraw/zinc/08/94/31/136089431.db2.gz RKKQWZSMIZSIBM-RYUDHWBXSA-N 0 3 211.280 2.958 20 0 BFADHN Cc1occc1CN[C@H]1CCC[C@@H](F)C1 ZINC000335387577 136089447 /nfs/dbraw/zinc/08/94/47/136089447.db2.gz RKKQWZSMIZSIBM-NEPJUHHUSA-N 0 3 211.280 2.958 20 0 BFADHN Cc1ncc(CN2CC[C@@H]3CCCC[C@@H]32)cn1 ZINC000335390434 136090683 /nfs/dbraw/zinc/09/06/83/136090683.db2.gz SYPTUJFUTJMFAM-KBPBESRZSA-N 0 3 231.343 2.550 20 0 BFADHN CC1(C(N)=O)CN(CC2CCC(F)(F)CC2)C1 ZINC000335396204 136092698 /nfs/dbraw/zinc/09/26/98/136092698.db2.gz SIBOFPVFAFRBOX-UHFFFAOYSA-N 0 3 246.301 2.669 20 0 BFADHN Cc1ccccc1[C@H](C)N1CC(C)(C(N)=O)C1 ZINC000335398804 136093272 /nfs/dbraw/zinc/09/32/72/136093272.db2.gz YMIFAHWBJSANDZ-NSHDSACASA-N 0 3 232.327 2.913 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CC2(C)C)cn1 ZINC000335399896 136093921 /nfs/dbraw/zinc/09/39/21/136093921.db2.gz CFQAHHQLIWFBII-GFCCVEGCSA-N 0 3 204.317 2.620 20 0 BFADHN Cc1ccnc(NC2CCN(C)CC2)c1Cl ZINC000335596496 136105102 /nfs/dbraw/zinc/10/51/02/136105102.db2.gz QVDGWUXBJYNMDY-UHFFFAOYSA-N 0 3 239.750 2.550 20 0 BFADHN F[C@H]1CC[C@H](NCc2cc3cccnc3o2)C1 ZINC000335582248 136113005 /nfs/dbraw/zinc/11/30/05/136113005.db2.gz SJIJWGSUYQDRFB-QWRGUYRKSA-N 0 3 234.274 2.808 20 0 BFADHN c1cc(CN2CC(C3CCC3)C2)cs1 ZINC000348700052 136116276 /nfs/dbraw/zinc/11/62/76/136116276.db2.gz BSCBZVCOPHSWGO-UHFFFAOYSA-N 0 3 207.342 2.980 20 0 BFADHN CCOc1cccc(CN(C)C2CC(OC)C2)c1 ZINC000348709283 136116972 /nfs/dbraw/zinc/11/69/72/136116972.db2.gz MCSOTOBDXKVCIZ-UHFFFAOYSA-N 0 3 249.354 2.695 20 0 BFADHN CCc1ccc(CN[C@@H]2CCCC[C@@H]2OC)o1 ZINC000150850437 397733599 /nfs/dbraw/zinc/73/35/99/397733599.db2.gz BTKRAMYGYMJUIV-KGLIPLIRSA-N 0 3 237.343 2.889 20 0 BFADHN Cc1ccncc1CNCC1=CCCCC1 ZINC000295035492 397751886 /nfs/dbraw/zinc/75/18/86/397751886.db2.gz DNXJPJNXZUCIDS-UHFFFAOYSA-N 0 3 216.328 2.980 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1ccn(C)n1 ZINC000168986597 397806114 /nfs/dbraw/zinc/80/61/14/397806114.db2.gz CZJWPYAQSLGSHF-YPMHNXCESA-N 0 3 235.375 2.725 20 0 BFADHN COCC1(CNCc2ccc(C)c(C)n2)CCC1 ZINC000314340558 397837376 /nfs/dbraw/zinc/83/73/76/397837376.db2.gz VADIIJJBRMDTMG-UHFFFAOYSA-N 0 3 248.370 2.605 20 0 BFADHN CCC1CC(N[C@H](C)c2ccccn2)C1 ZINC000308952348 397843636 /nfs/dbraw/zinc/84/36/36/397843636.db2.gz PMIBCEWRFBCDLP-VOMCLLRMSA-N 0 3 204.317 2.921 20 0 BFADHN CC[C@H](N[C@@H](C)CC(C)C)c1ccn(C)n1 ZINC000310000203 397874750 /nfs/dbraw/zinc/87/47/50/397874750.db2.gz DNZCDEYMFFXMND-RYUDHWBXSA-N 0 3 223.364 2.895 20 0 BFADHN CC[C@H](F)CN1CCC([C@@H]2CCCO2)CC1 ZINC000440494864 397927872 /nfs/dbraw/zinc/92/78/72/397927872.db2.gz JSWRSYSLTVQULG-STQMWFEESA-N 0 3 229.339 2.626 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cc2n(n1)CCCC2 ZINC000649575604 397965574 /nfs/dbraw/zinc/96/55/74/397965574.db2.gz VOFVGLFCRUGOLQ-NEPJUHHUSA-N 0 3 235.375 2.744 20 0 BFADHN CC[C@@]1(C)CCCN(CCc2cncc(C)n2)C1 ZINC000649545754 397940588 /nfs/dbraw/zinc/94/05/88/397940588.db2.gz QRYYLRJJMWEHPE-HNNXBMFYSA-N 0 3 247.386 2.840 20 0 BFADHN CC[C@@H](NCc1ncc(C)n1C)[C@H]1CC1(C)C ZINC000418109583 397940896 /nfs/dbraw/zinc/94/08/96/397940896.db2.gz UTAQVZBWWKNARH-VXGBXAGGSA-N 0 3 235.375 2.643 20 0 BFADHN CC[C@H](NCc1ncc(C)n1C)[C@H]1CC1(C)C ZINC000418109580 397940932 /nfs/dbraw/zinc/94/09/32/397940932.db2.gz UTAQVZBWWKNARH-NEPJUHHUSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1occc1CNC[C@@H]1CCCCN1C1CC1 ZINC000414442837 397941435 /nfs/dbraw/zinc/94/14/35/397941435.db2.gz YPQDXRBNAHMOQJ-HNNXBMFYSA-N 0 3 248.370 2.695 20 0 BFADHN CC[C@H]1CCC[C@H](CNCc2ncc[nH]2)C1 ZINC000394652885 397946958 /nfs/dbraw/zinc/94/69/58/397946958.db2.gz YEJYBWXAJNVISS-RYUDHWBXSA-N 0 3 221.348 2.716 20 0 BFADHN C[C@@H](N[C@H]1CCCc2cn[nH]c21)c1ccncc1 ZINC000271432628 397967879 /nfs/dbraw/zinc/96/78/79/397967879.db2.gz BFEVTORGRNJBJY-MFKMUULPSA-N 0 3 242.326 2.533 20 0 BFADHN CC[C@@H](C)C[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000364837702 397915690 /nfs/dbraw/zinc/91/56/90/397915690.db2.gz HGHSWCMSAICPNO-DGCLKSJQSA-N 0 3 244.342 2.530 20 0 BFADHN CC[C@@H](C)CN[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000364837702 397915697 /nfs/dbraw/zinc/91/56/97/397915697.db2.gz HGHSWCMSAICPNO-DGCLKSJQSA-N 0 3 244.342 2.530 20 0 BFADHN CCCC1(CNCc2ccccn2)CC1 ZINC000151570428 397915801 /nfs/dbraw/zinc/91/58/01/397915801.db2.gz LFKACAMACOCXBK-UHFFFAOYSA-N 0 3 204.317 2.752 20 0 BFADHN CCCn1cc(CN(C)[C@@H]2CC[C@H](C)C2)cn1 ZINC000433046772 397917003 /nfs/dbraw/zinc/91/70/03/397917003.db2.gz IOSPYIFBKMXJEE-GXTWGEPZSA-N 0 3 235.375 2.914 20 0 BFADHN Fc1ccc(CN2CCC[C@@H]2C2CC2)nc1 ZINC000364913315 397919423 /nfs/dbraw/zinc/91/94/23/397919423.db2.gz GAYDHQXBGAOOLC-CYBMUJFWSA-N 0 3 220.291 2.595 20 0 BFADHN CCCCN(CCOC)Cc1ccoc1C ZINC000414391071 397922325 /nfs/dbraw/zinc/92/23/25/397922325.db2.gz ZNQOIJNUNJOLRH-UHFFFAOYSA-N 0 3 225.332 2.837 20 0 BFADHN COC[C@@H]1CCN(C/C=C/c2ccc(F)cc2)C1 ZINC000271162056 397964305 /nfs/dbraw/zinc/96/43/05/397964305.db2.gz GDTIHDMDQQBNHE-BAABZTOOSA-N 0 3 249.329 2.807 20 0 BFADHN CCN(CCc1cccs1)Cc1ccno1 ZINC000264684701 397923924 /nfs/dbraw/zinc/92/39/24/397923924.db2.gz DULZXGHYHUEZNX-UHFFFAOYSA-N 0 3 236.340 2.801 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)cs1 ZINC000418140478 397990002 /nfs/dbraw/zinc/99/00/02/397990002.db2.gz XAISDPYGPFIFMY-AEJSXWLSSA-N 0 3 224.373 2.928 20 0 BFADHN CC(C)(C)c1noc([C@H]2CC[C@H](C3CC3)N2)n1 ZINC000650225053 397996735 /nfs/dbraw/zinc/99/67/35/397996735.db2.gz CKBIKHZCVFGQFZ-NXEZZACHSA-N 0 3 235.331 2.570 20 0 BFADHN Cc1cncc(CCN2CCC3(CCC3)CC2)n1 ZINC000649611205 397996752 /nfs/dbraw/zinc/99/67/52/397996752.db2.gz NPRAUZNJXGEBOS-UHFFFAOYSA-N 0 3 245.370 2.594 20 0 BFADHN c1c(CN2CCC3(CCC3)CC2)nn2c1CCC2 ZINC000649611242 397997043 /nfs/dbraw/zinc/99/70/43/397997043.db2.gz PQBFGFHWHJHKHP-UHFFFAOYSA-N 0 3 245.370 2.595 20 0 BFADHN C[C@@H]1C[C@H](C)N1CCOc1cccc(F)c1 ZINC000555328533 398004647 /nfs/dbraw/zinc/00/46/47/398004647.db2.gz KPKQLSFJFJNQJT-PHIMTYICSA-N 0 3 223.291 2.687 20 0 BFADHN C[C@H]1CCC[C@@H](C(=O)Nc2cccc(CN)c2)C1 ZINC000383159107 398007898 /nfs/dbraw/zinc/00/78/98/398007898.db2.gz IRQCSCLGAWLICC-WCQYABFASA-N 0 3 246.354 2.910 20 0 BFADHN FC(F)(F)COCCN1CCCC12CCC2 ZINC000373102910 398012269 /nfs/dbraw/zinc/01/22/69/398012269.db2.gz CGQZLYUQSRUUKH-UHFFFAOYSA-N 0 3 237.265 2.584 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCCC[C@@H](C)C2)n1 ZINC000274971135 398017633 /nfs/dbraw/zinc/01/76/33/398017633.db2.gz WEARLCACFKYZMD-LLVKDONJSA-N 0 3 234.343 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCCC[C@@H](C)C2)n1 ZINC000274971135 398017635 /nfs/dbraw/zinc/01/76/35/398017635.db2.gz WEARLCACFKYZMD-LLVKDONJSA-N 0 3 234.343 2.718 20 0 BFADHN C[C@H](O)CNC1(c2ccccc2Cl)CCC1 ZINC000649644298 398019121 /nfs/dbraw/zinc/01/91/21/398019121.db2.gz ZJSMGWWEKRCATL-JTQLQIEISA-N 0 3 239.746 2.690 20 0 BFADHN COCCNC1(c2ccccc2C)CCC1 ZINC000649644564 398019192 /nfs/dbraw/zinc/01/91/92/398019192.db2.gz IUWAZSFJKKCBBV-UHFFFAOYSA-N 0 3 219.328 2.610 20 0 BFADHN Cc1ncsc1CN1CCC12CCCC2 ZINC000649658234 398024300 /nfs/dbraw/zinc/02/43/00/398024300.db2.gz DMZAQPBJYKWTPV-UHFFFAOYSA-N 0 3 222.357 2.970 20 0 BFADHN COc1cc(CN2CCC23CCCC3)ccn1 ZINC000649657604 398025764 /nfs/dbraw/zinc/02/57/64/398025764.db2.gz GUAWBXYCGHMKAG-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN Cc1ncccc1CN1CCC12CCCC2 ZINC000649658246 398026886 /nfs/dbraw/zinc/02/68/86/398026886.db2.gz DPMBEMXYSQENOA-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN CC(C)CC[C@H](N)C(=O)NC1(C)CCCCC1 ZINC000637938172 398049108 /nfs/dbraw/zinc/04/91/08/398049108.db2.gz WOBSDNZSBPXANW-LBPRGKRZSA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cnc(OC)s1 ZINC000388585496 398041606 /nfs/dbraw/zinc/04/16/06/398041606.db2.gz HSAMHWBGJQESBF-ONGXEEELSA-N 0 3 240.372 2.820 20 0 BFADHN CCn1ccc(CN[C@H]2CCCCC[C@@H]2C)n1 ZINC000649587493 397970726 /nfs/dbraw/zinc/97/07/26/397970726.db2.gz KQMCHCOWHPIHQQ-JSGCOSHPSA-N 0 3 235.375 2.961 20 0 BFADHN C[C@@H]1CCCCN(Cc2cc3n(n2)CCCC3)C1 ZINC000649587830 397972620 /nfs/dbraw/zinc/97/26/20/397972620.db2.gz TWZZEUDLMWDQIN-CYBMUJFWSA-N 0 3 247.386 2.841 20 0 BFADHN Cc1cncc(CCN2CC[C@H](C)C(C)(C)C2)n1 ZINC000649585727 397974457 /nfs/dbraw/zinc/97/44/57/397974457.db2.gz DRZROAZZEMCSTD-LBPRGKRZSA-N 0 3 247.386 2.696 20 0 BFADHN COC(=O)CCN[C@@H](C)c1cccc(C)c1C ZINC000271777704 397974870 /nfs/dbraw/zinc/97/48/70/397974870.db2.gz GFUGFBICFGGGRD-LBPRGKRZSA-N 0 3 235.327 2.517 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2cc3n(n2)CCC3)[C@@H]1C ZINC000649587163 397976825 /nfs/dbraw/zinc/97/68/25/397976825.db2.gz BYASUJHHUVWZOR-JMSVASOKSA-N 0 3 247.386 2.744 20 0 BFADHN CC1(C)CCC[C@H]1NCc1cc2n(n1)CCCC2 ZINC000649591107 397976985 /nfs/dbraw/zinc/97/69/85/397976985.db2.gz NFFGIQQJFWNHMR-CQSZACIVSA-N 0 3 247.386 2.888 20 0 BFADHN CCO[C@@H]1CCN(Cc2cccc(C)n2)C[C@H]1C ZINC000451553501 397977193 /nfs/dbraw/zinc/97/71/93/397977193.db2.gz ZPNUCGBVDBNZDW-IUODEOHRSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1nnsc1CN(CC1CC1)C1CCC1 ZINC000649596526 397984901 /nfs/dbraw/zinc/98/49/01/397984901.db2.gz ZMTSZOWWCMYECB-UHFFFAOYSA-N 0 3 237.372 2.611 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1cc(C)no1 ZINC000389684340 397986952 /nfs/dbraw/zinc/98/69/52/397986952.db2.gz GAUHLIRZHXVQGJ-NXEZZACHSA-N 0 3 210.321 2.897 20 0 BFADHN CC[C@@H]1CO[C@@H](CC)CN1CCCCF ZINC000649719881 398062473 /nfs/dbraw/zinc/06/24/73/398062473.db2.gz YYYNEEAJKLKXJP-NEPJUHHUSA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@@H]1CO[C@@H](CC)CN1CCOC(C)(C)C ZINC000649719658 398062534 /nfs/dbraw/zinc/06/25/34/398062534.db2.gz VAQKGUCHAGZQDM-OLZOCXBDSA-N 0 3 243.391 2.691 20 0 BFADHN COc1ccc(CN2[C@@H](C)CCC2(C)C)nc1 ZINC000650287675 398064382 /nfs/dbraw/zinc/06/43/82/398064382.db2.gz NKLNTJXXNUBHEH-NSHDSACASA-N 0 3 234.343 2.853 20 0 BFADHN COC[C@@]1(C)CCN([C@H](C)c2ccncc2)C1 ZINC000649764343 398092892 /nfs/dbraw/zinc/09/28/92/398092892.db2.gz IKEQWHZLTYCKOE-OCCSQVGLSA-N 0 3 234.343 2.501 20 0 BFADHN CCc1ccc([C@H](COC)NC[C@H]2C[C@@H]2C)o1 ZINC000488438392 398072514 /nfs/dbraw/zinc/07/25/14/398072514.db2.gz GEOPJFHJUZOYGI-LOWVWBTDSA-N 0 3 237.343 2.775 20 0 BFADHN CC[C@@H]1CN([C@@H]2C=CCCC2)[C@@H](C)CO1 ZINC000649733044 398074110 /nfs/dbraw/zinc/07/41/10/398074110.db2.gz FAAGPUMZCVSKTI-YNEHKIRRSA-N 0 3 209.333 2.594 20 0 BFADHN CC[C@H]1CN(CC2=CCCC2)[C@@H](C)CO1 ZINC000649737248 398075560 /nfs/dbraw/zinc/07/55/60/398075560.db2.gz UURTYMBQHQETHP-AAEUAGOBSA-N 0 3 209.333 2.596 20 0 BFADHN CC/C=C\CCN1C[C@H](CC)OC[C@H]1C ZINC000649735117 398076945 /nfs/dbraw/zinc/07/69/45/398076945.db2.gz FTQQDAJIXXZERR-FAVCKAPTSA-N 0 3 211.349 2.842 20 0 BFADHN CC[C@H]1CN(CCCCCF)[C@@H](C)CO1 ZINC000649736968 398078695 /nfs/dbraw/zinc/07/86/95/398078695.db2.gz NRRRZRYTEXIRSZ-RYUDHWBXSA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@@H]1CN(C[C@H](CC(C)C)OC)[C@H](C)CO1 ZINC000649737105 398079610 /nfs/dbraw/zinc/07/96/10/398079610.db2.gz QYDHORGQALQHFH-MCIONIFRSA-N 0 3 243.391 2.547 20 0 BFADHN FC1(F)CC12CCN(CCOCC1CC1)CC2 ZINC000649775605 398095503 /nfs/dbraw/zinc/09/55/03/398095503.db2.gz ONDWTLKUNVMWSX-UHFFFAOYSA-N 0 3 245.313 2.534 20 0 BFADHN Cc1ccc(CNCCC2(F)CCC2)nc1 ZINC000336738799 398087900 /nfs/dbraw/zinc/08/79/00/398087900.db2.gz OPMHXFCPYXOJIY-UHFFFAOYSA-N 0 3 222.307 2.762 20 0 BFADHN CC[C@@H]1CN(C)CCN1Cc1ccccc1C ZINC000556954314 398057021 /nfs/dbraw/zinc/05/70/21/398057021.db2.gz UFEHKJJYROVITE-OAHLLOKOSA-N 0 3 232.371 2.521 20 0 BFADHN CC[C@@H]1COC(C)(C)CN1CCc1cccnc1 ZINC000649716743 398057683 /nfs/dbraw/zinc/05/76/83/398057683.db2.gz MEPNLBJAXQAUPZ-CQSZACIVSA-N 0 3 248.370 2.514 20 0 BFADHN FC1(F)CC12CCN(Cc1ccncc1)CC2 ZINC000649776084 398098287 /nfs/dbraw/zinc/09/82/87/398098287.db2.gz CPCQHNIQUZVYGT-UHFFFAOYSA-N 0 3 238.281 2.703 20 0 BFADHN COc1ncc(CNC[C@H](C)C(C)C)s1 ZINC000308891459 398101576 /nfs/dbraw/zinc/10/15/76/398101576.db2.gz YEPGQMAXSLCZNQ-VIFPVBQESA-N 0 3 228.361 2.533 20 0 BFADHN C/C=C/CN[C@@H](C)c1cc(OC)ccc1OC ZINC000384737857 398104179 /nfs/dbraw/zinc/10/41/79/398104179.db2.gz LDXZFACRBXCLIJ-QRGHLMKCSA-N 0 3 235.327 2.931 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC3(CC3(F)F)CC2)O1 ZINC000649773848 398097063 /nfs/dbraw/zinc/09/70/63/398097063.db2.gz ILURGLHLYVFUGQ-GHMZBOCLSA-N 0 3 245.313 2.675 20 0 BFADHN CCOc1cccc(CN2C[C@@H]3CCC[C@@H]3C2)n1 ZINC000126918097 398097105 /nfs/dbraw/zinc/09/71/05/398097105.db2.gz HECZZYFVGHMHEE-BETUJISGSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1cncc(CN2CCCO[C@@H](C(C)C)C2)c1 ZINC000293234402 398115610 /nfs/dbraw/zinc/11/56/10/398115610.db2.gz SKJAAMVZNCZHQM-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1nc(C)c(CNC2(C3CCC3)CC2)o1 ZINC000649811210 398117783 /nfs/dbraw/zinc/11/77/83/398117783.db2.gz JHRDGCJKIAXSCY-UHFFFAOYSA-N 0 3 220.316 2.714 20 0 BFADHN COc1ncc(CNC[C@@H]2CC[C@H](C)C2)s1 ZINC000309371482 398119671 /nfs/dbraw/zinc/11/96/71/398119671.db2.gz PLRXQUIKXKFGHB-VHSXEESVSA-N 0 3 240.372 2.678 20 0 BFADHN Cc1cn[nH]c1CN(C)Cc1cccc(C)c1C ZINC000292810288 398109668 /nfs/dbraw/zinc/10/96/68/398109668.db2.gz OQUHDCGDTKKWRO-UHFFFAOYSA-N 0 3 243.354 2.967 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1ccc(C)o1 ZINC000090725089 398111500 /nfs/dbraw/zinc/11/15/00/398111500.db2.gz CIAXJYBCJCALDT-ZJUUUORDSA-N 0 3 213.346 2.990 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1cncc(F)c1 ZINC000090725022 398112098 /nfs/dbraw/zinc/11/20/98/398112098.db2.gz CBXRDYWQDQREEI-DTWKUNHWSA-N 0 3 228.336 2.623 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)C(C)(C)C2)nn1 ZINC000334869376 398112436 /nfs/dbraw/zinc/11/24/36/398112436.db2.gz WLTYPQPQQADCKN-NSHDSACASA-N 0 3 233.359 2.653 20 0 BFADHN FC(F)n1ccnc1CNC1(C2CCC2)CC1 ZINC000649808209 398112880 /nfs/dbraw/zinc/11/28/80/398112880.db2.gz VZWLNDJFAARETP-UHFFFAOYSA-N 0 3 241.285 2.701 20 0 BFADHN CO[C@@H](CN[C@H]1CCc2c1cccc2F)C1CC1 ZINC000293780715 398124021 /nfs/dbraw/zinc/12/40/21/398124021.db2.gz SXNLETQCLWHPOK-GJZGRUSLSA-N 0 3 249.329 2.828 20 0 BFADHN CCCCNCc1cn2cc(C)ccc2n1 ZINC000263756550 398125241 /nfs/dbraw/zinc/12/52/41/398125241.db2.gz KAHWWNVXJHBHJP-UHFFFAOYSA-N 0 3 217.316 2.532 20 0 BFADHN C[C@@H]1CN([C@@H]2C=CCCC2)C2(CCC2)CO1 ZINC000649824555 398127312 /nfs/dbraw/zinc/12/73/12/398127312.db2.gz GCMLIVMLZUNFAG-CHWSQXEVSA-N 0 3 221.344 2.739 20 0 BFADHN Cc1cc(CN2CCC[C@@H](O)[C@H]2C)c(C)s1 ZINC000295049314 398131284 /nfs/dbraw/zinc/13/12/84/398131284.db2.gz PHEDAHFULUXKNB-ZWNOBZJWSA-N 0 3 239.384 2.710 20 0 BFADHN Fc1ccc(CN[C@@H]2CCCOC2)cc1Cl ZINC000070014289 398122397 /nfs/dbraw/zinc/12/23/97/398122397.db2.gz JMIWPKAHNRJBPF-SNVBAGLBSA-N 0 3 243.709 2.748 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H](C)C1)c1cnccn1 ZINC000070024929 398123153 /nfs/dbraw/zinc/12/31/53/398123153.db2.gz OZTUQZKMHQXHCU-WOPDTQHZSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H]1CN(CC2(C)CCC2)C2(CCC2)CO1 ZINC000649821851 398123331 /nfs/dbraw/zinc/12/33/31/398123331.db2.gz RQANSUKKLDMEES-LBPRGKRZSA-N 0 3 223.360 2.820 20 0 BFADHN C[C@@H]1CN(CC2(C)CCC2)C2(CCC2)CO1 ZINC000649821850 398123442 /nfs/dbraw/zinc/12/34/42/398123442.db2.gz RQANSUKKLDMEES-GFCCVEGCSA-N 0 3 223.360 2.820 20 0 BFADHN CCOCCN1CCc2ccccc2[C@@H](C)C1 ZINC000649832966 398132140 /nfs/dbraw/zinc/13/21/40/398132140.db2.gz AMLJFBZQIGKQGZ-ZDUSSCGKSA-N 0 3 233.355 2.685 20 0 BFADHN C[C@@H]1CN(Cc2c[nH]cn2)CCc2ccccc21 ZINC000649836084 398133455 /nfs/dbraw/zinc/13/34/55/398133455.db2.gz ZMQVPSKLBWTJCD-GFCCVEGCSA-N 0 3 241.338 2.572 20 0 BFADHN C[C@@H]1CN(Cc2cnc[nH]2)CCc2ccccc21 ZINC000649836084 398133458 /nfs/dbraw/zinc/13/34/58/398133458.db2.gz ZMQVPSKLBWTJCD-GFCCVEGCSA-N 0 3 241.338 2.572 20 0 BFADHN CC[C@@H](NCCSC(C)C)c1nccn1C ZINC000286888258 398135098 /nfs/dbraw/zinc/13/50/98/398135098.db2.gz CWILTLZBABFJFJ-LLVKDONJSA-N 0 3 241.404 2.602 20 0 BFADHN CC[C@H](NCc1conc1C)[C@H]1CC1(C)C ZINC000398113765 322864685 /nfs/dbraw/zinc/86/46/85/322864685.db2.gz SXGDZESVKYTGQG-NEPJUHHUSA-N 0 3 222.332 2.897 20 0 BFADHN CC(C)NCc1nc2cccc(Cl)c2n1C ZINC000037474962 167120122 /nfs/dbraw/zinc/12/01/22/167120122.db2.gz YAQGZLJOKMQDPX-UHFFFAOYSA-N 0 3 237.734 2.725 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@H](C3CC3)C2)o1 ZINC000685585993 487559474 /nfs/dbraw/zinc/55/94/74/487559474.db2.gz ZTEOEQFNURKKOE-ZDUSSCGKSA-N 0 3 234.343 2.913 20 0 BFADHN CC1(C(=O)Nc2cccc(CN)c2)CCCC1 ZINC000094897261 487562769 /nfs/dbraw/zinc/56/27/69/487562769.db2.gz YIBGOXGUGZECOW-UHFFFAOYSA-N 0 3 232.327 2.664 20 0 BFADHN CC(C)OC(=O)CCN[C@@H](C)c1ccccc1 ZINC000127263218 167157530 /nfs/dbraw/zinc/15/75/30/167157530.db2.gz NLCBNZPOUWHSHO-LBPRGKRZSA-N 0 3 235.327 2.679 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN(C)Cc1cn[nH]c1 ZINC000680329272 487563072 /nfs/dbraw/zinc/56/30/72/487563072.db2.gz NSIZGXJBCHUFEO-DGCLKSJQSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@H]1CC[C@H](NCc2cccc(O)c2)CS1 ZINC000655704759 487565543 /nfs/dbraw/zinc/56/55/43/487565543.db2.gz KFZDMGFQYKIVRO-JQWIXIFHSA-N 0 3 237.368 2.766 20 0 BFADHN C[C@@H]1CCCN(Cc2c(C3CCC3)cnn2C)C1 ZINC000667471530 487565855 /nfs/dbraw/zinc/56/58/55/487565855.db2.gz BFSPYLJPOCRJOM-GFCCVEGCSA-N 0 3 247.386 2.920 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccc(C)c(C)n2)CCO1 ZINC000313780071 487566635 /nfs/dbraw/zinc/56/66/35/487566635.db2.gz AARCYMBMPTVTAS-HIFRSBDPSA-N 0 3 248.370 2.746 20 0 BFADHN COc1cccc(C2(CNCC3(F)CC3)CC2)c1 ZINC000685623307 487567174 /nfs/dbraw/zinc/56/71/74/487567174.db2.gz ZGZQEQZVVIQVRB-UHFFFAOYSA-N 0 3 249.329 2.819 20 0 BFADHN Fc1ccc(CN2CC(C3CCOCC3)C2)cc1 ZINC000669716054 487568298 /nfs/dbraw/zinc/56/82/98/487568298.db2.gz DPZVEAJKZUMTPD-UHFFFAOYSA-N 0 3 249.329 2.684 20 0 BFADHN CC(C)OCCCN(C)[C@@H](C)c1cccnc1 ZINC000119562289 167208797 /nfs/dbraw/zinc/20/87/97/167208797.db2.gz BNZFXZAPUPKTCW-ZDUSSCGKSA-N 0 3 236.359 2.890 20 0 BFADHN CC(C)OCCN(C)C[C@@H](C)c1nccs1 ZINC000183929236 167228165 /nfs/dbraw/zinc/22/81/65/167228165.db2.gz ICFSEHLYWDYAJJ-LLVKDONJSA-N 0 3 242.388 2.603 20 0 BFADHN CN(Cc1c(C2CC2)cnn1C)C[C@H]1CC1(C)C ZINC000668814333 487581073 /nfs/dbraw/zinc/58/10/73/487581073.db2.gz GUBFYPYEWJDDDS-GFCCVEGCSA-N 0 3 247.386 2.775 20 0 BFADHN C[C@@H]1CCN(CC[C@@H]2CCCCO2)C[C@H]1F ZINC000680387874 487582631 /nfs/dbraw/zinc/58/26/31/487582631.db2.gz GCBISNCVRXSLCO-FRRDWIJNSA-N 0 3 229.339 2.626 20 0 BFADHN CN(Cc1ccnn1CC1CC1)C[C@H]1CC1(C)C ZINC000668814899 487582726 /nfs/dbraw/zinc/58/27/26/487582726.db2.gz XTIMOYYNLBSAGP-CYBMUJFWSA-N 0 3 247.386 2.771 20 0 BFADHN CC(C)OCCN1CC[C@H]1c1ccccc1 ZINC000336679533 167235899 /nfs/dbraw/zinc/23/58/99/167235899.db2.gz PNUBDCXHKQBIOF-AWEZNQCLSA-N 0 3 219.328 2.858 20 0 BFADHN COC[C@@H]1CCCN1Cc1cc(C)ccc1OC ZINC000674469924 487584109 /nfs/dbraw/zinc/58/41/09/487584109.db2.gz LPUFQJCWCVXHTQ-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CC(C)OCCNC(C)(C)c1ccccc1 ZINC000299577178 167240656 /nfs/dbraw/zinc/24/06/56/167240656.db2.gz CGOYNERCCBPXPI-UHFFFAOYSA-N 0 3 221.344 2.936 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2CS[C@@H](C)C2)n1 ZINC000086936147 487587291 /nfs/dbraw/zinc/58/72/91/487587291.db2.gz PQENXSDKUBRXLT-GUBZILKMSA-N 0 3 242.413 2.996 20 0 BFADHN CC(C)OC[C@@H]1CN(CCC(C)(C)C)CCO1 ZINC000372124813 167264102 /nfs/dbraw/zinc/26/41/02/167264102.db2.gz VFMBXXDYEYDQSK-ZDUSSCGKSA-N 0 3 243.391 2.548 20 0 BFADHN CN(Cc1cn[nH]c1)C[C@H]1CCc2ccccc21 ZINC000679536063 487589415 /nfs/dbraw/zinc/58/94/15/487589415.db2.gz JZRSIQKDHCTNKD-CQSZACIVSA-N 0 3 241.338 2.572 20 0 BFADHN C[C@H](N[C@H]1CS[C@@H](C)C1)c1cccnc1 ZINC000086934481 487590466 /nfs/dbraw/zinc/59/04/66/487590466.db2.gz DNWVGCBTMXXCPS-JBLDHEPKSA-N 0 3 222.357 2.626 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cc(C)nc(C)n1 ZINC000671302940 487596769 /nfs/dbraw/zinc/59/67/69/487596769.db2.gz KUOPGBALGWXDRG-OCCSQVGLSA-N 0 3 233.359 2.762 20 0 BFADHN C[C@@H]1CCC[C@@H](N(C)Cc2cnc3n2CCC3)C1 ZINC000667499464 487597609 /nfs/dbraw/zinc/59/76/09/487597609.db2.gz KURQKCNVEMEAEW-CHWSQXEVSA-N 0 3 247.386 2.840 20 0 BFADHN CC[C@H](NCc1cccc(C)n1)[C@@H]1CCCCO1 ZINC000653873725 487599943 /nfs/dbraw/zinc/59/99/43/487599943.db2.gz VXVDZRJFCMWMHE-GJZGRUSLSA-N 0 3 248.370 2.827 20 0 BFADHN Cc1ccc(CNCC2(O)CCC(C)CC2)o1 ZINC000087045762 487600787 /nfs/dbraw/zinc/60/07/87/487600787.db2.gz PFRZTGXADYIGOF-UHFFFAOYSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1ccc([C@H](NCC2(CO)CC2)C2CCC2)o1 ZINC000680465954 487600836 /nfs/dbraw/zinc/60/08/36/487600836.db2.gz MIKBZBLNYDTMLP-CQSZACIVSA-N 0 3 249.354 2.791 20 0 BFADHN Cc1ccc([C@H](C)NCCOC(C)C)c(C)n1 ZINC000680470853 487601959 /nfs/dbraw/zinc/60/19/59/487601959.db2.gz ZMJMFXGBTRHAJL-LBPRGKRZSA-N 0 3 236.359 2.774 20 0 BFADHN C[C@@]1(C(F)F)CN(C[C@H]2CC=CCC2)CCO1 ZINC000668823649 487604717 /nfs/dbraw/zinc/60/47/17/487604717.db2.gz AUZSWYBNZQGSQQ-AAEUAGOBSA-N 0 3 245.313 2.699 20 0 BFADHN COc1ccccc1/C=C\CNCCOC(C)C ZINC000680485352 487605177 /nfs/dbraw/zinc/60/51/77/487605177.db2.gz XAQSTTQRFOXZFV-VURMDHGXSA-N 0 3 249.354 2.723 20 0 BFADHN CC(C)SCCN1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000368476561 167328811 /nfs/dbraw/zinc/32/88/11/167328811.db2.gz DUDNMWGGHUYKKU-WCQYABFASA-N 0 3 243.416 2.627 20 0 BFADHN COCCN[C@@]1(c2ccccc2)CC1(C)C ZINC000662340148 409650559 /nfs/dbraw/zinc/65/05/59/409650559.db2.gz IJXJQATVYPGVRQ-CQSZACIVSA-N 0 3 219.328 2.548 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)C[C@@H]1CC1(C)C ZINC000662348586 409668311 /nfs/dbraw/zinc/66/83/11/409668311.db2.gz VXSQNBZPEPHWDK-LBPRGKRZSA-N 0 3 235.375 2.515 20 0 BFADHN CCC(CC)CN1CCO[C@@](C)(C(F)F)C1 ZINC000662368073 409676996 /nfs/dbraw/zinc/67/69/96/409676996.db2.gz WAUHZZQXTNVMGP-GFCCVEGCSA-N 0 3 235.318 2.779 20 0 BFADHN CC(C)n1cc(CNC2(C3CCC3)CC2)cn1 ZINC000651959188 409726333 /nfs/dbraw/zinc/72/63/33/409726333.db2.gz BXMWASHXUPZTGW-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN c1nc(CCN2C[C@@H]3CCCC[C@@H]32)cs1 ZINC000660931868 409978753 /nfs/dbraw/zinc/97/87/53/409978753.db2.gz ROVACUDUQNDRMP-JQWIXIFHSA-N 0 3 222.357 2.560 20 0 BFADHN COc1ccc(CN(C)[C@H](C)C(C)(C)C)cn1 ZINC000674751789 487611732 /nfs/dbraw/zinc/61/17/32/487611732.db2.gz TYTXHRHRDNRFGC-LLVKDONJSA-N 0 3 236.359 2.957 20 0 BFADHN CCc1ccc(CN[C@H]2CCS[C@@H]2C)o1 ZINC000308936774 409951001 /nfs/dbraw/zinc/95/10/01/409951001.db2.gz OKRVCJJAZLFIRK-SKDRFNHKSA-N 0 3 225.357 2.826 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1ccc(F)cn1 ZINC000090725941 410008609 /nfs/dbraw/zinc/00/86/09/410008609.db2.gz GEMHJXOXJGXBKC-DTWKUNHWSA-N 0 3 228.336 2.623 20 0 BFADHN CC(C)C[C@@H]1CCN(Cc2cccnc2)C1 ZINC000074994123 410045865 /nfs/dbraw/zinc/04/58/65/410045865.db2.gz IORWSPMSSODPTP-ZDUSSCGKSA-N 0 3 218.344 2.950 20 0 BFADHN CCCCNC(C)(C)C(=O)Nc1ccccc1 ZINC000674753826 487612942 /nfs/dbraw/zinc/61/29/42/487612942.db2.gz QAXZSAMOXWLDPK-UHFFFAOYSA-N 0 3 234.343 2.793 20 0 BFADHN Brc1ccc(CNCC2CCC2)o1 ZINC000037180205 166097965 /nfs/dbraw/zinc/09/79/65/166097965.db2.gz HECNTBZNQPLACS-UHFFFAOYSA-N 0 3 244.132 2.932 20 0 BFADHN COc1ccccc1CN[C@H](C)COCC1CC1 ZINC000651981296 410119620 /nfs/dbraw/zinc/11/96/20/410119620.db2.gz GOAXRMSCSRTCTG-GFCCVEGCSA-N 0 3 249.354 2.600 20 0 BFADHN Cc1ccc(CN[C@H](C)COCC2CC2)s1 ZINC000651981704 410121728 /nfs/dbraw/zinc/12/17/28/410121728.db2.gz YLNLPYKOEJIYFA-SNVBAGLBSA-N 0 3 239.384 2.961 20 0 BFADHN Cc1cccc(C)c1CN(C)Cc1cnc[nH]1 ZINC000661084046 410135706 /nfs/dbraw/zinc/13/57/06/410135706.db2.gz ABWWOBLHNDILJG-UHFFFAOYSA-N 0 3 229.327 2.659 20 0 BFADHN Cc1csc(CCNCc2ccc(C)o2)n1 ZINC000057399157 410168494 /nfs/dbraw/zinc/16/84/94/410168494.db2.gz AHJOCIWXMGZCCN-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN CC[C@@H](C)N(CC)Cc1cn2ccccc2n1 ZINC000661108402 410257885 /nfs/dbraw/zinc/25/78/85/410257885.db2.gz YUYLGSOVDODPSA-GFCCVEGCSA-N 0 3 231.343 2.955 20 0 BFADHN CC[C@H](C)N(CC)Cc1cc2n(n1)CCCC2 ZINC000661104368 410230937 /nfs/dbraw/zinc/23/09/37/410230937.db2.gz LINVLWQWZNGHID-LBPRGKRZSA-N 0 3 235.375 2.840 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1cnccc1N ZINC000650742028 410374603 /nfs/dbraw/zinc/37/46/03/410374603.db2.gz PYBCJEATJCJJPQ-COPLHBTASA-N 0 3 247.386 2.969 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1cnccc1N ZINC000650742029 410375151 /nfs/dbraw/zinc/37/51/51/410375151.db2.gz PYBCJEATJCJJPQ-UHIISALHSA-N 0 3 247.386 2.969 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1cc(OC)no1 ZINC000661231384 410380164 /nfs/dbraw/zinc/38/01/64/410380164.db2.gz MEQKYGULIDCZEG-VIFPVBQESA-N 0 3 226.320 2.598 20 0 BFADHN CC(C)Oc1ncccc1CNC[C@H]1C[C@H]1C ZINC000683110505 487615635 /nfs/dbraw/zinc/61/56/35/487615635.db2.gz ROJMYPYFPKEHET-DGCLKSJQSA-N 0 3 234.343 2.614 20 0 BFADHN C(=C\c1ccncc1)\CNCc1ccsc1 ZINC000336674049 166228037 /nfs/dbraw/zinc/22/80/37/166228037.db2.gz OXCKCZVWOKEEGM-UPHRSURJSA-N 0 3 230.336 2.946 20 0 BFADHN CCC[C@@H](CN[C@@H]1CCc2c1cccc2O)OC ZINC000393492578 410703900 /nfs/dbraw/zinc/70/39/00/410703900.db2.gz UKISNQKNQSTHKJ-SMDDNHRTSA-N 0 3 249.354 2.784 20 0 BFADHN CC(C)(C)[C@@H](NCCO)c1ccc(F)cc1F ZINC000271761608 166698694 /nfs/dbraw/zinc/69/86/94/166698694.db2.gz GERRTADVPFSWKL-LBPRGKRZSA-N 0 3 243.297 2.634 20 0 BFADHN Cc1cnc([C@@H](C)N2CC[C@H](C3CCC3)C2)cn1 ZINC000665290082 410776636 /nfs/dbraw/zinc/77/66/36/410776636.db2.gz IPVUJZWASOIRSH-OCCSQVGLSA-N 0 3 245.370 2.968 20 0 BFADHN CC(C)CCCNCc1cn2ccccc2n1 ZINC000038093973 166815137 /nfs/dbraw/zinc/81/51/37/166815137.db2.gz IPRAYTPCRGARTB-UHFFFAOYSA-N 0 3 231.343 2.860 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1ccnc(C)n1 ZINC000651195807 410857021 /nfs/dbraw/zinc/85/70/21/410857021.db2.gz GIGGCAGRWLMOBY-JTQLQIEISA-N 0 3 221.348 2.699 20 0 BFADHN Cc1cc(CN[C@@H](C)c2csc(C)c2)n[nH]1 ZINC000651232979 410872614 /nfs/dbraw/zinc/87/26/14/410872614.db2.gz UBTDENANHNAVAD-JTQLQIEISA-N 0 3 235.356 2.939 20 0 BFADHN CC(C)CNCc1c(F)cc(F)cc1F ZINC000083348905 167007651 /nfs/dbraw/zinc/00/76/51/167007651.db2.gz JEVCOOKGLTWOLC-UHFFFAOYSA-N 0 3 217.234 2.850 20 0 BFADHN CC(C)CNCc1cn2cc(Cl)ccc2n1 ZINC000105857684 167009530 /nfs/dbraw/zinc/00/95/30/167009530.db2.gz HWNNGTGRQBXRDM-UHFFFAOYSA-N 0 3 237.734 2.733 20 0 BFADHN CC(C)CNCc1nc(-c2ccccc2)c[nH]1 ZINC000069236586 167009615 /nfs/dbraw/zinc/00/96/15/167009615.db2.gz GXCFSPPNPKMTMQ-UHFFFAOYSA-N 0 3 229.327 2.822 20 0 BFADHN CC(C)NCc1cc(-c2cnn(C)c2)ccc1F ZINC000038073105 167117572 /nfs/dbraw/zinc/11/75/72/167117572.db2.gz HBMUSFMGWLBZFK-UHFFFAOYSA-N 0 3 247.317 2.724 20 0 BFADHN CC(C)NCc1csc(-c2ccccn2)n1 ZINC000035053796 167122117 /nfs/dbraw/zinc/12/21/17/167122117.db2.gz MBMBAFDDGHEGNM-UHFFFAOYSA-N 0 3 233.340 2.703 20 0 BFADHN CC(C)N[C@@H]1CCOc2c(F)cccc21 ZINC000035652592 167134684 /nfs/dbraw/zinc/13/46/84/167134684.db2.gz WIUCQENCJHEFMA-LLVKDONJSA-N 0 3 209.264 2.647 20 0 BFADHN CC(C)OC(=O)CCNC(C)(C)c1ccccc1 ZINC000266023352 167155809 /nfs/dbraw/zinc/15/58/09/167155809.db2.gz QJHMJRUANDBJON-UHFFFAOYSA-N 0 3 249.354 2.853 20 0 BFADHN c1nc(CN[C@H](C2CC2)C2CCCC2)co1 ZINC000651298187 410908463 /nfs/dbraw/zinc/90/84/63/410908463.db2.gz DZAHXEHYPXAZSR-ZDUSSCGKSA-N 0 3 220.316 2.733 20 0 BFADHN Cc1nc(CN2CC[C@H](C3CCCCC3)C2)n[nH]1 ZINC000661578951 410911152 /nfs/dbraw/zinc/91/11/52/410911152.db2.gz SYSQKEHHTZAZBX-ZDUSSCGKSA-N 0 3 248.374 2.515 20 0 BFADHN CC(C)OC1CC(N2CCCc3occc3C2)C1 ZINC000334789557 167176931 /nfs/dbraw/zinc/17/69/31/167176931.db2.gz VHWLFWCLWGQZQW-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN CC(C)OCCCN1CCc2ccc(O)cc2C1 ZINC000336734547 167215380 /nfs/dbraw/zinc/21/53/80/167215380.db2.gz WDCLNZQHWPIZQN-UHFFFAOYSA-N 0 3 249.354 2.565 20 0 BFADHN CC(C)OCCCN1CCc2sccc2C1 ZINC000171498082 167216925 /nfs/dbraw/zinc/21/69/25/167216925.db2.gz LARGVIBTQDFYON-UHFFFAOYSA-N 0 3 239.384 2.921 20 0 BFADHN CC(C)OCCCNCc1ccc(F)c(F)c1 ZINC000020197867 167222262 /nfs/dbraw/zinc/22/22/62/167222262.db2.gz QXWBTGXERDIFTE-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CC(C)OCCCN[C@@H](C)c1ccccn1 ZINC000020198018 167222488 /nfs/dbraw/zinc/22/24/88/167222488.db2.gz YUWOHDXMMUWLAV-LBPRGKRZSA-N 0 3 222.332 2.547 20 0 BFADHN CC(C)OCCCN[C@@H](C)c1cncc(F)c1 ZINC000178193255 167223341 /nfs/dbraw/zinc/22/33/41/167223341.db2.gz FFHKLIYQGCLPGR-NSHDSACASA-N 0 3 240.322 2.686 20 0 BFADHN CC(C)OCCN1CCC=C(c2cccnc2)C1 ZINC000289449391 167232371 /nfs/dbraw/zinc/23/23/71/167232371.db2.gz XFYBEFADRHPREX-UHFFFAOYSA-N 0 3 246.354 2.596 20 0 BFADHN CC(C)OCCN1CC[C@@H]1c1ccccc1 ZINC000336679558 167234747 /nfs/dbraw/zinc/23/47/47/167234747.db2.gz PNUBDCXHKQBIOF-CQSZACIVSA-N 0 3 219.328 2.858 20 0 BFADHN CC(C)OCCN1CC[C@H](Nc2ccccc2)C1 ZINC000102845329 167235488 /nfs/dbraw/zinc/23/54/88/167235488.db2.gz SCQPJACXVSQVFD-HNNXBMFYSA-N 0 3 248.370 2.598 20 0 BFADHN CC(C)OCCNCc1ccc(Cl)s1 ZINC000053554918 167241845 /nfs/dbraw/zinc/24/18/45/167241845.db2.gz LRGPGZCAEHGRFQ-UHFFFAOYSA-N 0 3 233.764 2.916 20 0 BFADHN CC(C)OCCNCc1cc(F)cc(Cl)c1 ZINC000168269162 167241852 /nfs/dbraw/zinc/24/18/52/167241852.db2.gz IFCKEFVHHONEAV-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN CC(C)OC[C@H](C)N[C@H](C)c1ccccn1 ZINC000336744986 167268012 /nfs/dbraw/zinc/26/80/12/167268012.db2.gz QKBAFHPWYFRVEG-NWDGAFQWSA-N 0 3 222.332 2.546 20 0 BFADHN CC(C)O[C@@H]1C[C@@H](NCc2cccnc2)C1(C)C ZINC000185185811 167281845 /nfs/dbraw/zinc/28/18/45/167281845.db2.gz FGPCPXCPXZMZJK-ZIAGYGMSSA-N 0 3 248.370 2.763 20 0 BFADHN CC(C)O[C@H]1C[C@@H](NCc2ccco2)C1(C)C ZINC000185186770 167282565 /nfs/dbraw/zinc/28/25/65/167282565.db2.gz VQJSRAGKHVZPIV-OLZOCXBDSA-N 0 3 237.343 2.961 20 0 BFADHN Cc1ccoc1CN1CCC(OC(C)C)CC1 ZINC000556824943 322927907 /nfs/dbraw/zinc/92/79/07/322927907.db2.gz GMEQQRPFWKQDME-UHFFFAOYSA-N 0 3 237.343 2.977 20 0 BFADHN CC(C)Oc1cccc(CN[C@H]2CCCOC2)c1 ZINC000109545981 167306338 /nfs/dbraw/zinc/30/63/38/167306338.db2.gz NVZRIPAVHMYECG-AWEZNQCLSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)Oc1cccc([C@H](C)N[C@H]2CCOC2)c1 ZINC000104060886 167309030 /nfs/dbraw/zinc/30/90/30/167309030.db2.gz DKRSTGLGDYNPJP-JSGCOSHPSA-N 0 3 249.354 2.913 20 0 BFADHN CC(C)[C@@H](C)N(C)CC(=O)N(C(C)C)C(C)C ZINC000341807406 167365920 /nfs/dbraw/zinc/36/59/20/167365920.db2.gz JJSKOUHAKHPAMM-CYBMUJFWSA-N 0 3 242.407 2.608 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cn2ccsc2n1 ZINC000341805386 167367803 /nfs/dbraw/zinc/36/78/03/167367803.db2.gz CVMWKDWWNLJRHF-SNVBAGLBSA-N 0 3 237.372 2.872 20 0 BFADHN CC(C)c1ccc(CN[C@@H](CO)CCF)cc1 ZINC000652014846 410949298 /nfs/dbraw/zinc/94/92/98/410949298.db2.gz LZRSNAFASZLVNN-CQSZACIVSA-N 0 3 239.334 2.620 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H]2CCOC2(C)C)s1 ZINC000651397406 410978030 /nfs/dbraw/zinc/97/80/30/410978030.db2.gz ZVUGXZZOFUODGY-LDYMZIIASA-N 0 3 240.372 2.670 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H]2COCC2(C)C)s1 ZINC000651463745 411048129 /nfs/dbraw/zinc/04/81/29/411048129.db2.gz ZTCJHPRNLJCBIE-KCJUWKMLSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1ccc2nccc(N(C)[C@H](C)CCO)c2c1 ZINC000651471721 411054584 /nfs/dbraw/zinc/05/45/84/411054584.db2.gz BSRNGUCLKSWZEV-GFCCVEGCSA-N 0 3 244.338 2.750 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H](O)C2CCC2)c(C)n1 ZINC000651474403 411057221 /nfs/dbraw/zinc/05/72/21/411057221.db2.gz SSJQBDAYBSXWSO-ABAIWWIYSA-N 0 3 248.370 2.510 20 0 BFADHN FC1(CNC[C@@H]2CC[C@@H](c3ccccc3)O2)CC1 ZINC000652035124 411084642 /nfs/dbraw/zinc/08/46/42/411084642.db2.gz MPABNAZZVMVZAV-KBPBESRZSA-N 0 3 249.329 2.998 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H](C(C)C)C1CC1 ZINC000651455068 411037336 /nfs/dbraw/zinc/03/73/36/411037336.db2.gz WVRZDEZYGAHQJS-YPMHNXCESA-N 0 3 235.375 2.988 20 0 BFADHN CCN(Cc1cc2cnccc2o1)C1CC1 ZINC000651613606 411130527 /nfs/dbraw/zinc/13/05/27/411130527.db2.gz JHRPGGRMFKYIFR-UHFFFAOYSA-N 0 3 216.284 2.812 20 0 BFADHN COC[C@@H](CC(C)(C)C)NCc1ccoc1 ZINC000651685434 411136066 /nfs/dbraw/zinc/13/60/66/411136066.db2.gz SWXUEAWXWAZWIS-GFCCVEGCSA-N 0 3 225.332 2.820 20 0 BFADHN CC[C@]1(C)CCC[C@H]1NCc1ccno1 ZINC000651760849 411142116 /nfs/dbraw/zinc/14/21/16/411142116.db2.gz UGVFBWCPJNEXGF-VXGBXAGGSA-N 0 3 208.305 2.733 20 0 BFADHN CC[C@]1(C)CCC[C@@H]1NCc1ccno1 ZINC000651760823 411142140 /nfs/dbraw/zinc/14/21/40/411142140.db2.gz UGVFBWCPJNEXGF-NWDGAFQWSA-N 0 3 208.305 2.733 20 0 BFADHN CC[C@@]1(C)CCC[C@H]1NCc1ccno1 ZINC000651760822 411142378 /nfs/dbraw/zinc/14/23/78/411142378.db2.gz UGVFBWCPJNEXGF-NEPJUHHUSA-N 0 3 208.305 2.733 20 0 BFADHN CC(C)C1CN(Cc2cc3cnccc3o2)C1 ZINC000651790111 411144037 /nfs/dbraw/zinc/14/40/37/411144037.db2.gz KYHRUOCROMCRPT-UHFFFAOYSA-N 0 3 230.311 2.916 20 0 BFADHN CC[C@]1(C)CCC[C@@H]1NCc1snnc1C ZINC000651816003 411145019 /nfs/dbraw/zinc/14/50/19/411145019.db2.gz YBQHBBKXJAWRNG-NWDGAFQWSA-N 0 3 239.388 2.905 20 0 BFADHN CC[C@@]1(C)CCC[C@@H]1NCc1snnc1C ZINC000651816004 411145073 /nfs/dbraw/zinc/14/50/73/411145073.db2.gz YBQHBBKXJAWRNG-RYUDHWBXSA-N 0 3 239.388 2.905 20 0 BFADHN C[C@H](F)CCNCc1cc2cnccc2o1 ZINC000651817692 411145319 /nfs/dbraw/zinc/14/53/19/411145319.db2.gz WWLHGFMCFUXNDK-VIFPVBQESA-N 0 3 222.263 2.666 20 0 BFADHN CC[C@]1(C)CCC[C@@H]1NCc1nnc(C2CC2)[nH]1 ZINC000651819940 411145926 /nfs/dbraw/zinc/14/59/26/411145926.db2.gz RFEYJCNUYUSGPF-SMDDNHRTSA-N 0 3 248.374 2.741 20 0 BFADHN c1c2cnccc2oc1CN1CC[C@@H]1C1CC1 ZINC000651820394 411145978 /nfs/dbraw/zinc/14/59/78/411145978.db2.gz FJJMBHPGQIPXFB-CYBMUJFWSA-N 0 3 228.295 2.812 20 0 BFADHN CC/C=C\CNCc1cc2cnccc2o1 ZINC000651821291 411145986 /nfs/dbraw/zinc/14/59/86/411145986.db2.gz KLUFIFBBVPUKJI-ARJAWSKDSA-N 0 3 216.284 2.884 20 0 BFADHN COCc1ccc(CN2CCC23CCCC3)o1 ZINC000651873765 411148340 /nfs/dbraw/zinc/14/83/40/411148340.db2.gz VLBHVRVESIOESF-UHFFFAOYSA-N 0 3 235.327 2.945 20 0 BFADHN Cc1ccoc1CN1CCC[C@@H](OC(C)C)C1 ZINC000651898219 411149734 /nfs/dbraw/zinc/14/97/34/411149734.db2.gz XWJBGENVDIYGQC-CYBMUJFWSA-N 0 3 237.343 2.977 20 0 BFADHN C[C@H](NCc1ccc(F)cn1)C(C)(C)C(F)F ZINC000657908698 411164111 /nfs/dbraw/zinc/16/41/11/411164111.db2.gz AFJXOTNLLSGOLF-QMMMGPOBSA-N 0 3 246.276 2.990 20 0 BFADHN COc1cc(CN2[C@@H](C)CC[C@@H]2C)sn1 ZINC000660000174 411164287 /nfs/dbraw/zinc/16/42/87/411164287.db2.gz PHUQEZFUJJOSMC-IUCAKERBSA-N 0 3 226.345 2.525 20 0 BFADHN CC[C@H](N[C@@H]1COC2(CCC2)C1)c1ccncc1 ZINC000657885776 411153273 /nfs/dbraw/zinc/15/32/73/411153273.db2.gz BRCXYZGIPHGFEO-KBPBESRZSA-N 0 3 246.354 2.834 20 0 BFADHN C(CN1CCc2n[nH]nc2C1)C1CCCCCC1 ZINC000653637003 411154240 /nfs/dbraw/zinc/15/42/40/411154240.db2.gz ZUZQNKWNGBVYMG-UHFFFAOYSA-N 0 3 248.374 2.523 20 0 BFADHN CC[C@]1(C)CCN(CCO[C@H]2CC2(F)F)C1 ZINC000660000607 411164472 /nfs/dbraw/zinc/16/44/72/411164472.db2.gz YRYCUXLIWPWNIO-WDEREUQCSA-N 0 3 233.302 2.533 20 0 BFADHN Cc1cnc(CNC2CC(CF)(CF)C2)s1 ZINC000657892809 411156031 /nfs/dbraw/zinc/15/60/31/411156031.db2.gz MXRNHOAXCODELO-UHFFFAOYSA-N 0 3 246.326 2.629 20 0 BFADHN FCC[C@H]1CCCN(Cc2ccncc2)C1 ZINC000661691775 411157619 /nfs/dbraw/zinc/15/76/19/411157619.db2.gz AXFKYMOYWFMYJQ-GFCCVEGCSA-N 0 3 222.307 2.653 20 0 BFADHN Cc1nc(CN2C[C@H]3CCC[C@@H]3C2)c(C)o1 ZINC000659996816 411162234 /nfs/dbraw/zinc/16/22/34/411162234.db2.gz DKNSRDITSRBUTB-VXGBXAGGSA-N 0 3 220.316 2.523 20 0 BFADHN Cc1cc(CN[C@H]2[C@@H]3CCC[C@@H]3C2(C)C)ncn1 ZINC000657933863 411172037 /nfs/dbraw/zinc/17/20/37/411172037.db2.gz UTJDWXHFLNOKSW-RDBSUJKOSA-N 0 3 245.370 2.699 20 0 BFADHN Cc1cnc([C@@H](C)N[C@H](C)C2CCC2)cn1 ZINC000309566051 411175505 /nfs/dbraw/zinc/17/55/05/411175505.db2.gz MDQHIUMUATZUMM-GHMZBOCLSA-N 0 3 219.332 2.624 20 0 BFADHN Cc1ccccc1[C@@H]1C[C@H]1N[C@@H](C)c1cn[nH]c1 ZINC000652240512 411178384 /nfs/dbraw/zinc/17/83/84/411178384.db2.gz DRFMUDMUOFKAJE-TUKIKUTGSA-N 0 3 241.338 2.925 20 0 BFADHN CC(C)n1cc(CNCCC(C)(F)F)cn1 ZINC000294346487 167748355 /nfs/dbraw/zinc/74/83/55/167748355.db2.gz YVNJASIRQVEVPG-UHFFFAOYSA-N 0 3 231.290 2.599 20 0 BFADHN C[C@H](CC(F)(F)F)N[C@H]1CCCn2nccc21 ZINC000657977312 411185856 /nfs/dbraw/zinc/18/58/56/411185856.db2.gz YRGSBQJTOYFTDZ-BDAKNGLRSA-N 0 3 247.264 2.649 20 0 BFADHN C[C@H](COCC1CC1)N[C@@H](C)c1cncs1 ZINC000652285835 411187603 /nfs/dbraw/zinc/18/76/03/411187603.db2.gz GTBOLXOHLLLTOB-ZJUUUORDSA-N 0 3 240.372 2.609 20 0 BFADHN CN(Cc1cccnc1)C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000660125369 411244024 /nfs/dbraw/zinc/24/40/24/411244024.db2.gz HXTSAWYSILWJEL-YUELXQCFSA-N 0 3 230.355 2.950 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H](C)COCC1CC1 ZINC000652286910 411188353 /nfs/dbraw/zinc/18/83/53/411188353.db2.gz IYTLVGXVAYPBNK-OLZOCXBDSA-N 0 3 248.370 2.856 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1cc2cnccc2o1 ZINC000657986316 411190348 /nfs/dbraw/zinc/19/03/48/411190348.db2.gz VKDRHHMXZCMYOW-UWVGGRQHSA-N 0 3 234.299 2.513 20 0 BFADHN CC[C@@H](N[C@@H](CO)CCF)c1ccsc1 ZINC000652300503 411192493 /nfs/dbraw/zinc/19/24/93/411192493.db2.gz FYGJQWQNUNCHBU-GHMZBOCLSA-N 0 3 231.336 2.509 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](CO)CCF)c(C)s1 ZINC000652305436 411195560 /nfs/dbraw/zinc/19/55/60/411195560.db2.gz BZHYDTNKZPWEDC-MWLCHTKSSA-N 0 3 245.363 2.736 20 0 BFADHN Cc1ccc(F)cc1CN1C[C@@H]2COC[C@]2(C)C1 ZINC000661700846 411197003 /nfs/dbraw/zinc/19/70/03/411197003.db2.gz HSOSPCHCXTUCFA-HIFRSBDPSA-N 0 3 249.329 2.602 20 0 BFADHN CC[C@H](CN[C@@H](C)c1cc2cnccc2o1)OC ZINC000658018332 411198335 /nfs/dbraw/zinc/19/83/35/411198335.db2.gz APMRQIBYCVJMKP-CMPLNLGQSA-N 0 3 248.326 2.903 20 0 BFADHN C[C@]12COC[C@H]1CN(C/C=C\c1ccccc1)C2 ZINC000661701244 411198917 /nfs/dbraw/zinc/19/89/17/411198917.db2.gz LPBYSSYYWUKWTN-RQTGCPSESA-N 0 3 243.350 2.668 20 0 BFADHN C[C@]12COC[C@@H]1CN(C/C=C/c1ccccc1)C2 ZINC000661701246 411199067 /nfs/dbraw/zinc/19/90/67/411199067.db2.gz LPBYSSYYWUKWTN-TWZSPHTKSA-N 0 3 243.350 2.668 20 0 BFADHN Cc1nnsc1CN[C@@H](C)C(C)(C)C(F)F ZINC000658020965 411199508 /nfs/dbraw/zinc/19/95/08/411199508.db2.gz MEXYZMCPPNWAMA-ZETCQYMHSA-N 0 3 249.330 2.616 20 0 BFADHN Cc1cc([C@@H](C)NC2CC3(CCC3)C2)nn1C ZINC000652328111 411200984 /nfs/dbraw/zinc/20/09/84/411200984.db2.gz VLJAWPGVXUSKFR-LLVKDONJSA-N 0 3 233.359 2.712 20 0 BFADHN CC[C@H](NCc1ccc(C)nc1)[C@H]1CCCCO1 ZINC000653872813 411203086 /nfs/dbraw/zinc/20/30/86/411203086.db2.gz GLOXVFCOBRNMRN-LSDHHAIUSA-N 0 3 248.370 2.827 20 0 BFADHN CN([C@@H]1CCCc2c1cnn2C)[C@@H]1C=CCCC1 ZINC000246223618 411203489 /nfs/dbraw/zinc/20/34/89/411203489.db2.gz DRBUSLIVFNGRDN-TZMCWYRMSA-N 0 3 245.370 2.838 20 0 BFADHN CC[C@@H](NCc1cncc(C)c1)[C@H]1CCCCO1 ZINC000653875598 411205474 /nfs/dbraw/zinc/20/54/74/411205474.db2.gz WZLWYKMVVBWRKM-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN F[C@@H]1CCCC[C@H]1N[C@H]1COC2(CCC2)C1 ZINC000658034272 411209176 /nfs/dbraw/zinc/20/91/76/411209176.db2.gz HKINQDOYIKAFQD-IJLUTSLNSA-N 0 3 227.323 2.568 20 0 BFADHN Cc1ncc(CN[C@H]2[C@@H]3CCC[C@@H]3C2(C)C)o1 ZINC000658034983 411210483 /nfs/dbraw/zinc/21/04/83/411210483.db2.gz KJCKLDYCJLFJQB-AGIUHOORSA-N 0 3 234.343 2.897 20 0 BFADHN CCn1ccc(CN[C@@H]2[C@@H]3CCC[C@@H]3C2(C)C)n1 ZINC000658035133 411210520 /nfs/dbraw/zinc/21/05/20/411210520.db2.gz QIQJFBWDAPWDNA-HZSPNIEDSA-N 0 3 247.386 2.817 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@H](C)CC[C@@H]2C)c2ncnn21 ZINC000658102228 411246050 /nfs/dbraw/zinc/24/60/50/411246050.db2.gz OYQDBWLDFTUCKE-MLGHIDQZSA-N 0 3 248.374 2.698 20 0 BFADHN Fc1cccnc1N[C@H]1CCCN2CCCC[C@H]12 ZINC000656228367 411214322 /nfs/dbraw/zinc/21/43/22/411214322.db2.gz WHDAYCRGOYVHCI-QWHCGFSZSA-N 0 3 249.333 2.650 20 0 BFADHN Fc1cccnc1N[C@H]1CCCN2CCCC[C@@H]12 ZINC000656228370 411214458 /nfs/dbraw/zinc/21/44/58/411214458.db2.gz WHDAYCRGOYVHCI-STQMWFEESA-N 0 3 249.333 2.650 20 0 BFADHN CC(C)n1nccc1CN[C@H]1CCC1(C)C ZINC000336731089 167794864 /nfs/dbraw/zinc/79/48/64/167794864.db2.gz FBWGVMBDGRBCCN-LBPRGKRZSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCCC[C@H]2C)c2ncnn21 ZINC000658103929 411246690 /nfs/dbraw/zinc/24/66/90/411246690.db2.gz ZOUKAARIZNZMTK-FVCCEPFGSA-N 0 3 248.374 2.842 20 0 BFADHN CC1(NCc2ccc3c(n2)CCC3)CC=CC1 ZINC000656267922 411222309 /nfs/dbraw/zinc/22/23/09/411222309.db2.gz NRCSBGDWGDCIDG-UHFFFAOYSA-N 0 3 228.339 2.769 20 0 BFADHN COc1ccc2c(c1)CN(C[C@@H]1CCSC1)C2 ZINC000656275424 411224863 /nfs/dbraw/zinc/22/48/63/411224863.db2.gz NQAZGSASKSZRPQ-NSHDSACASA-N 0 3 249.379 2.764 20 0 BFADHN C[C@H](O)CN(Cc1cccc(C2CC2)c1)C1CC1 ZINC000656279577 411226440 /nfs/dbraw/zinc/22/64/40/411226440.db2.gz OHJFXBZFFYTMSA-LBPRGKRZSA-N 0 3 245.366 2.909 20 0 BFADHN CN(CCC1CCCCCC1)C(C)(C)C(N)=O ZINC000653712401 411227282 /nfs/dbraw/zinc/22/72/82/411227282.db2.gz BOBBOZKFWXWDMH-UHFFFAOYSA-N 0 3 240.391 2.543 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ccccn1)c1ccccn1 ZINC000658063694 411229101 /nfs/dbraw/zinc/22/91/01/411229101.db2.gz LAQMXZUFOOFYBV-STQMWFEESA-N 0 3 241.338 2.931 20 0 BFADHN C[C@@H](N[C@@H]1CCN(C(C)(C)C)C1)c1ccoc1 ZINC000658089586 411241108 /nfs/dbraw/zinc/24/11/08/411241108.db2.gz AAOVCLBKSQKBIC-DGCLKSJQSA-N 0 3 236.359 2.803 20 0 BFADHN C[C@@H](N[C@H]1CCSC1)c1cc2cnccc2o1 ZINC000657921342 411167142 /nfs/dbraw/zinc/16/71/42/411167142.db2.gz DATAOKZDGNVMJQ-KOLCDFICSA-N 0 3 248.351 2.984 20 0 BFADHN C[C@@H]1CC[C@@H](NC/C=C/CO)c2sccc21 ZINC000658137690 411261647 /nfs/dbraw/zinc/26/16/47/411261647.db2.gz LFPKQXNFVBOLTQ-RXUHEVMZSA-N 0 3 237.368 2.825 20 0 BFADHN C[C@@H]1CCc2c(F)cccc2[C@H]1NC/C=C\CO ZINC000658137912 411262489 /nfs/dbraw/zinc/26/24/89/411262489.db2.gz JWSHSQUGABXJRN-RRMSCMEVSA-N 0 3 249.329 2.587 20 0 BFADHN C[C@@H](NC/C=C\CO)c1cccc(C2CC2)c1 ZINC000658139832 411265103 /nfs/dbraw/zinc/26/51/03/411265103.db2.gz SYCLXOLYBSWXQZ-ZZKXABKFSA-N 0 3 231.339 2.763 20 0 BFADHN C(CC1CCC1)CN1CCOC[C@H]1C1CC1 ZINC000660151910 411266156 /nfs/dbraw/zinc/26/61/56/411266156.db2.gz XRIDGMLBYVGNTM-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN CO[C@H](C)CN[C@H]1CCc2c1cc(F)cc2F ZINC000656683368 411327261 /nfs/dbraw/zinc/32/72/61/411327261.db2.gz IEBFWKHWZAJNLS-OQPBUACISA-N 0 3 241.281 2.577 20 0 BFADHN CCc1cc(CN[C@H]2CC[C@H](C)SC2)on1 ZINC000655715478 411269513 /nfs/dbraw/zinc/26/95/13/411269513.db2.gz LASMHEWFYJGWCA-ONGXEEELSA-N 0 3 240.372 2.611 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)C2(CO)CC2)oc1C ZINC000658156871 411270025 /nfs/dbraw/zinc/27/00/25/411270025.db2.gz WRUUYZPXMSHESZ-JQWIXIFHSA-N 0 3 237.343 2.708 20 0 BFADHN CCc1ccncc1NC(=O)C(C)C(F)(F)F ZINC000652896352 411270636 /nfs/dbraw/zinc/27/06/36/411270636.db2.gz JUNBQFHPECZABP-SSDOTTSWSA-N 0 3 246.232 2.781 20 0 BFADHN CC/C=C/CCN[C@H](CO)c1ccc(F)cc1 ZINC000274492498 167846883 /nfs/dbraw/zinc/84/68/83/167846883.db2.gz SVDXIJJXUOZFDL-RDFMZFSFSA-N 0 3 237.318 2.805 20 0 BFADHN CC[C@H]1CCN(Cc2ccc3c(n2)CCC3)C1 ZINC000656450524 411272610 /nfs/dbraw/zinc/27/26/10/411272610.db2.gz PTAFSJBCNBKWMK-LBPRGKRZSA-N 0 3 230.355 2.802 20 0 BFADHN C[C@H]1CN(Cc2cccc(C(C)(C)C)c2)C[C@@H]1O ZINC000660167427 411275028 /nfs/dbraw/zinc/27/50/28/411275028.db2.gz ZIZZSGRZKBAQNT-WFASDCNBSA-N 0 3 247.382 2.797 20 0 BFADHN C[C@@H](NCCO[C@H]1CC1(F)F)c1ccccc1 ZINC000656684982 411327924 /nfs/dbraw/zinc/32/79/24/411327924.db2.gz ZLPWXBBANIJGJN-PWSUYJOCSA-N 0 3 241.281 2.761 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](C)c2ccc(C)nc2C)C1 ZINC000658163405 411276244 /nfs/dbraw/zinc/27/62/44/411276244.db2.gz OFMDLTKOWVXEGP-IHRRRGAJSA-N 0 3 248.370 2.917 20 0 BFADHN C[C@H](NCCO[C@H]1CC1(F)F)c1cccs1 ZINC000658164260 411276957 /nfs/dbraw/zinc/27/69/57/411276957.db2.gz CSQACFHQXOFUFB-WPRPVWTQSA-N 0 3 247.310 2.823 20 0 BFADHN C[C@@H](NCCO[C@@H]1CC1(F)F)c1ccsc1 ZINC000658168358 411278510 /nfs/dbraw/zinc/27/85/10/411278510.db2.gz YCNZRQLPYYSSQS-PSASIEDQSA-N 0 3 247.310 2.823 20 0 BFADHN CCCC[C@H](C)[C@H](C)NCc1cn(CC)nn1 ZINC000658177291 411282347 /nfs/dbraw/zinc/28/23/47/411282347.db2.gz TVNCUDXMJSBGQL-RYUDHWBXSA-N 0 3 238.379 2.602 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)NCc1cn(CC)nn1 ZINC000658177292 411283019 /nfs/dbraw/zinc/28/30/19/411283019.db2.gz TVNCUDXMJSBGQL-VXGBXAGGSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1cc(C)cc(CN[C@@H](C)c2ccnn2C)c1 ZINC000658177866 411283071 /nfs/dbraw/zinc/28/30/71/411283071.db2.gz ZMJWZSAONODJGL-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NCCCOC(C)(C)C ZINC000658183518 411284402 /nfs/dbraw/zinc/28/44/02/411284402.db2.gz DTVMIVPYMHGAKS-JTQLQIEISA-N 0 3 239.363 2.574 20 0 BFADHN CC[C@@H](NCCC(C)(C)F)c1ccn(C)n1 ZINC000658191198 411287047 /nfs/dbraw/zinc/28/70/47/411287047.db2.gz MXZCTSXUQPZBRE-SNVBAGLBSA-N 0 3 227.327 2.599 20 0 BFADHN CC1(C)CCC[C@H]1N[C@@H]1CCCn2ccnc21 ZINC000655720627 411289373 /nfs/dbraw/zinc/28/93/73/411289373.db2.gz BBHPWSCMOGHPJD-VXGBXAGGSA-N 0 3 233.359 2.886 20 0 BFADHN CC(C)Oc1ccnc(CN2CCC[C@@H]2C)c1 ZINC000649545402 411289728 /nfs/dbraw/zinc/28/97/28/411289728.db2.gz DEOOVGIQDBFANK-LBPRGKRZSA-N 0 3 234.343 2.853 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCc1ccoc1 ZINC000658199400 411290500 /nfs/dbraw/zinc/29/05/00/411290500.db2.gz JMWPJMJPUFOQBK-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN CC[C@H](NC[C@@H]1CCC[C@H]2C[C@H]21)c1ccn(C)n1 ZINC000658206480 411293459 /nfs/dbraw/zinc/29/34/59/411293459.db2.gz OMEPGTVWSPHRAG-FQUUOJAGSA-N 0 3 247.386 2.897 20 0 BFADHN CCn1cc([C@H](C)N[C@]2(C)CC2(C)C)cn1 ZINC000658306548 411329735 /nfs/dbraw/zinc/32/97/35/411329735.db2.gz DVBXCPGPZSFMKX-GXFFZTMASA-N 0 3 221.348 2.742 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CC[C@H](O)[C@H](C)C1 ZINC000186792976 411295937 /nfs/dbraw/zinc/29/59/37/411295937.db2.gz PXWHIOPISOLULV-SUNKGSAMSA-N 0 3 237.318 2.589 20 0 BFADHN CC(C)[C@H](C)CN[C@H]1CCCn2ccnc21 ZINC000655723091 411296042 /nfs/dbraw/zinc/29/60/42/411296042.db2.gz GXCGBXLFDSFRDT-NEPJUHHUSA-N 0 3 221.348 2.600 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H](C)O1)c1ccco1 ZINC000658218021 411297489 /nfs/dbraw/zinc/29/74/89/411297489.db2.gz GNTIPXDITXWXEJ-WOPDTQHZSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@H](NCC[C@]1(C)CC1(F)F)c1ccn(C)n1 ZINC000658218987 411298528 /nfs/dbraw/zinc/29/85/28/411298528.db2.gz GLZCDCJXKXMQPG-GXSJLCMTSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@@H](NCC[C@@]1(C)CC1(F)F)c1ccn(C)n1 ZINC000658218988 411298913 /nfs/dbraw/zinc/29/89/13/411298913.db2.gz GLZCDCJXKXMQPG-KOLCDFICSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1ccc(F)cn1 ZINC000658221094 411299368 /nfs/dbraw/zinc/29/93/68/411299368.db2.gz RKVMZMLYVFZQJR-KCJUWKMLSA-N 0 3 244.260 2.917 20 0 BFADHN Cc1cncc([C@H](C)NC[C@@H]2CCC[C@H](C)O2)c1 ZINC000658220200 411299645 /nfs/dbraw/zinc/29/96/45/411299645.db2.gz OZONXXCSMOADBU-YDHLFZDLSA-N 0 3 248.370 2.998 20 0 BFADHN C[C@@H](NCCCC1CCC1)c1ccncn1 ZINC000658220463 411300376 /nfs/dbraw/zinc/30/03/76/411300376.db2.gz HIXWDPASGJDKRH-LLVKDONJSA-N 0 3 219.332 2.708 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)C[C@H](C)O1 ZINC000659813883 411300861 /nfs/dbraw/zinc/30/08/61/411300861.db2.gz KZIHMRAAVUXUDY-ITGHMWBKSA-N 0 3 223.360 2.532 20 0 BFADHN CC[C@@H](NC[C@@]1(C)CC1(F)F)c1ccn(C)n1 ZINC000658222599 411302001 /nfs/dbraw/zinc/30/20/01/411302001.db2.gz WFQJAEWFQCKHIZ-MWLCHTKSSA-N 0 3 243.301 2.506 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1ncnn1CC ZINC000355599759 411303538 /nfs/dbraw/zinc/30/35/38/411303538.db2.gz ODSSTYMNGMIRJB-NEPJUHHUSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@]1(C)CC1(C)C ZINC000658309497 411330688 /nfs/dbraw/zinc/33/06/88/411330688.db2.gz WOBUXUATJYEISU-PRHODGIISA-N 0 3 207.321 2.557 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@@]1(C)CC1(C)C ZINC000658309500 411330779 /nfs/dbraw/zinc/33/07/79/411330779.db2.gz WOBUXUATJYEISU-UFBFGSQYSA-N 0 3 207.321 2.557 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccccn1)C1CCC1 ZINC000660244952 411304379 /nfs/dbraw/zinc/30/43/79/411304379.db2.gz VYGFCGYQPPKNSQ-FZMZJTMJSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1nncn1CC ZINC000158448966 411305009 /nfs/dbraw/zinc/30/50/09/411305009.db2.gz VFOGTRNHEOLPML-NWDGAFQWSA-N 0 3 238.379 2.602 20 0 BFADHN CC[C@H](N[C@H](C)c1cc(C)n(C)n1)C1CC1 ZINC000658237390 411306452 /nfs/dbraw/zinc/30/64/52/411306452.db2.gz ZPMQAEMAXNNLFK-PWSUYJOCSA-N 0 3 221.348 2.568 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CCC1CCC1 ZINC000659841941 411331225 /nfs/dbraw/zinc/33/12/25/411331225.db2.gz VNXHRZAXKGKMEA-DGCLKSJQSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@H](O)[C@H]1CCCN1Cc1ccccc1Cl ZINC000661768068 411309859 /nfs/dbraw/zinc/30/98/59/411309859.db2.gz UIXWHFHTRIXYLE-GXFFZTMASA-N 0 3 239.746 2.685 20 0 BFADHN O[C@@H]1C[C@@H](N[C@@H]2CCCc3occc32)C12CCC2 ZINC000658248058 411312113 /nfs/dbraw/zinc/31/21/13/411312113.db2.gz BNSDCSXYBKUMHE-MRVWCRGKSA-N 0 3 247.338 2.550 20 0 BFADHN Cc1nc(CN2C[C@@H](C)C[C@H](C)C2)c(C)o1 ZINC000659829744 411312699 /nfs/dbraw/zinc/31/26/99/411312699.db2.gz UTXDDYCOFCTNNS-UWVGGRQHSA-N 0 3 222.332 2.769 20 0 BFADHN OC[C@@H](CN[C@@H]1CCCc2occc21)CC1CC1 ZINC000658251895 411314808 /nfs/dbraw/zinc/31/48/08/411314808.db2.gz KTSKSBYPTQDZNK-TZMCWYRMSA-N 0 3 249.354 2.655 20 0 BFADHN CCN(CCCO)Cc1ccc(Cl)c(F)c1 ZINC000219824981 411315037 /nfs/dbraw/zinc/31/50/37/411315037.db2.gz SSWYTYWJRKFOQZ-UHFFFAOYSA-N 0 3 245.725 2.683 20 0 BFADHN CCCc1ccc(CN2CC(C(C)(C)O)C2)cc1 ZINC000656693482 411331916 /nfs/dbraw/zinc/33/19/16/411331916.db2.gz FGDSHAXSWCJECA-UHFFFAOYSA-N 0 3 247.382 2.842 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C12CCC2)c1cccs1 ZINC000658255425 411316022 /nfs/dbraw/zinc/31/60/22/411316022.db2.gz UDVOZJAHAZCCKU-DLOVCJGASA-N 0 3 237.368 2.702 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](O)C12CCC2)c1ccc(F)cc1 ZINC000658255770 411316119 /nfs/dbraw/zinc/31/61/19/411316119.db2.gz VTGWVSXSJOCSHT-GDLCADMTSA-N 0 3 249.329 2.780 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C12CCC2)c1ccc(F)cc1 ZINC000658255769 411316350 /nfs/dbraw/zinc/31/63/50/411316350.db2.gz VTGWVSXSJOCSHT-BPNCWPANSA-N 0 3 249.329 2.780 20 0 BFADHN CC(C)CC1(N[C@H](C)c2ccncn2)CC1 ZINC000658320095 411332274 /nfs/dbraw/zinc/33/22/74/411332274.db2.gz YLKCDNSLEOJZAH-LLVKDONJSA-N 0 3 219.332 2.706 20 0 BFADHN COc1ccc(CN2C[C@@H](C)C[C@H]2C)cc1O ZINC000162910858 411332538 /nfs/dbraw/zinc/33/25/38/411332538.db2.gz JRNIGOOVCNGNOJ-WDEREUQCSA-N 0 3 235.327 2.631 20 0 BFADHN CO[C@H](CN1CCCc2occc2C1)C1CCC1 ZINC000660562497 411322067 /nfs/dbraw/zinc/32/20/67/411322067.db2.gz LKEKHAYZASNKJC-OAHLLOKOSA-N 0 3 249.354 2.843 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@H]3C[C@H]3C2)c1 ZINC000661966617 411332862 /nfs/dbraw/zinc/33/28/62/411332862.db2.gz KPHZZZLUKAZDEV-RYUDHWBXSA-N 0 3 219.303 2.976 20 0 BFADHN CC(C)[C@@H](CO)Nc1ccnc2ccccc21 ZINC000080789649 411249918 /nfs/dbraw/zinc/24/99/18/411249918.db2.gz RFOOAYWRKAMOOR-CQSZACIVSA-N 0 3 230.311 2.664 20 0 BFADHN CC[C@@H](C)CCN[C@@H](C)c1nccnc1C ZINC000658121382 411250221 /nfs/dbraw/zinc/25/02/21/411250221.db2.gz PNWFPEFHFWBCGW-MNOVXSKESA-N 0 3 221.348 2.872 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1c(OC)cccc1OC ZINC000658118483 411250272 /nfs/dbraw/zinc/25/02/72/411250272.db2.gz GDSIZPDEQDUJAV-DGCLKSJQSA-N 0 3 249.354 2.982 20 0 BFADHN COc1cccc(OC)c1CN[C@H](C)CC1CC1 ZINC000658121284 411250403 /nfs/dbraw/zinc/25/04/03/411250403.db2.gz OQGFXDBFTRWSIF-LLVKDONJSA-N 0 3 249.354 2.982 20 0 BFADHN CC[C@@H](C)CCN[C@@H](CC)c1ccn(C)n1 ZINC000658122282 411251352 /nfs/dbraw/zinc/25/13/52/411251352.db2.gz TVMRTMBTHIDGTG-NEPJUHHUSA-N 0 3 223.364 2.897 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1cc2n(n1)CCCC2 ZINC000655770142 411251578 /nfs/dbraw/zinc/25/15/78/411251578.db2.gz ZRYUHPQRHRFYEO-STQMWFEESA-N 0 3 247.386 2.745 20 0 BFADHN CC(C)[C@H](N[C@H]1CCCn2ccnc21)C1CC1 ZINC000658301695 411326377 /nfs/dbraw/zinc/32/63/77/411326377.db2.gz LEFBQSIFKBHYIW-STQMWFEESA-N 0 3 233.359 2.742 20 0 BFADHN CCC(CC)NCc1c(OC)cccc1OC ZINC000658122548 411251739 /nfs/dbraw/zinc/25/17/39/411251739.db2.gz VRYXXYOULZMHRA-UHFFFAOYSA-N 0 3 237.343 2.982 20 0 BFADHN CC[C@@H](N[C@@H](C(C)C)C1CC1)c1ccn(C)n1 ZINC000658299558 411326496 /nfs/dbraw/zinc/32/64/96/411326496.db2.gz GJXUKVMTELESLY-OCCSQVGLSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ccn2c(CN(C)CC3CCC3)cnc2c1 ZINC000127768077 411252643 /nfs/dbraw/zinc/25/26/43/411252643.db2.gz YTFUNFBXMBCNHV-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CC[C@H](C)CCN[C@H](C)c1cc2n(n1)CCC2 ZINC000658124623 411252828 /nfs/dbraw/zinc/25/28/28/411252828.db2.gz QNCYQQPJJMGKAJ-NWDGAFQWSA-N 0 3 235.375 2.916 20 0 BFADHN CC(C)(O)C1CN(CC(C)(C)c2ccccc2)C1 ZINC000656701682 411326528 /nfs/dbraw/zinc/32/65/28/411326528.db2.gz XPLIDEIKTZGHNS-UHFFFAOYSA-N 0 3 247.382 2.667 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN1CCc2n[nH]cc2C1 ZINC000660137239 411254479 /nfs/dbraw/zinc/25/44/79/411254479.db2.gz PPTZCCJBQRIJOT-NWDGAFQWSA-N 0 3 233.359 2.594 20 0 BFADHN Cc1c2ccccc2oc1[C@H](C)NC/C=C\CO ZINC000658132528 411256158 /nfs/dbraw/zinc/25/61/58/411256158.db2.gz IFOGXKHVJOWKSW-FJOGCWAESA-N 0 3 245.322 2.940 20 0 BFADHN C[C@H](NC/C=C\CO)c1ccc(F)c(Cl)c1 ZINC000658132596 411256404 /nfs/dbraw/zinc/25/64/04/411256404.db2.gz IVZKBTZVLUXAPO-XADBCAIWSA-N 0 3 243.709 2.678 20 0 BFADHN COc1ccccc1[C@H]1CCCCN1C[C@@H](C)O ZINC000656438626 411256604 /nfs/dbraw/zinc/25/66/04/411256604.db2.gz WUZMCEZNPPXTKO-TZMCWYRMSA-N 0 3 249.354 2.603 20 0 BFADHN CC[C@H](NC/C=C/CO)c1ccc(Cl)cc1 ZINC000658132821 411256871 /nfs/dbraw/zinc/25/68/71/411256871.db2.gz NQZCWRGBSALBKL-OOPCZODUSA-N 0 3 239.746 2.929 20 0 BFADHN c1cn2c(n1)[C@@H](N[C@@H](C1CC1)C1CCC1)CCC2 ZINC000655774673 411257659 /nfs/dbraw/zinc/25/76/59/411257659.db2.gz DPFFIANSHVIXBK-UONOGXRCSA-N 0 3 245.370 2.886 20 0 BFADHN Cc1ccc([C@@H](C)NC/C=C\CO)c(C)c1 ZINC000658133690 411257819 /nfs/dbraw/zinc/25/78/19/411257819.db2.gz RWCLPWRQEPXKAM-DSYXLKISSA-N 0 3 219.328 2.503 20 0 BFADHN C[C@H](NC/C=C\CO)c1ccc2c(c1)CCCC2 ZINC000658133806 411258020 /nfs/dbraw/zinc/25/80/20/411258020.db2.gz OBKLTNBYLUALLS-ZFDPJTLLSA-N 0 3 245.366 2.765 20 0 BFADHN Cc1ccc([C@H](C)NC/C=C\CO)c(C)c1 ZINC000658133693 411258434 /nfs/dbraw/zinc/25/84/34/411258434.db2.gz RWCLPWRQEPXKAM-ZFDPJTLLSA-N 0 3 219.328 2.503 20 0 BFADHN CC[C@H](NC/C=C/CO)c1cccc(C)c1 ZINC000658134488 411259599 /nfs/dbraw/zinc/25/95/99/411259599.db2.gz ABLVKGGVXKNLKF-NNTXTVRGSA-N 0 3 219.328 2.584 20 0 BFADHN CC1(C)CC[C@@H](NC/C=C/CO)c2ccccc21 ZINC000658136160 411260705 /nfs/dbraw/zinc/26/07/05/411260705.db2.gz GSOFRARZGGURGR-LLYBFZRZSA-N 0 3 245.366 2.937 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1)c1ccc(Cl)c(F)c1 ZINC000658348554 411349493 /nfs/dbraw/zinc/34/94/93/411349493.db2.gz BVFFGVFOHIKMND-HGNGGELXSA-N 0 3 243.709 2.653 20 0 BFADHN CC[C@@H](N[C@H]1C[C@H](O)C1)c1cc(F)ccc1F ZINC000658348514 411349661 /nfs/dbraw/zinc/34/96/61/411349661.db2.gz AKTUAVMFVNGAFL-GIPNMCIBSA-N 0 3 241.281 2.529 20 0 BFADHN CC[C@@H](N[C@H]1C[C@H](O)C1)c1ccc(Cl)cc1 ZINC000658351590 411354022 /nfs/dbraw/zinc/35/40/22/411354022.db2.gz PAFNZWMQYMPQGC-JHJVBQTASA-N 0 3 239.746 2.904 20 0 BFADHN COc1cccc(CN[C@@H](C)[C@@H](C)OC)c1C ZINC000658354313 411356423 /nfs/dbraw/zinc/35/64/23/411356423.db2.gz VAEPQBLDIVBCSL-NWDGAFQWSA-N 0 3 237.343 2.517 20 0 BFADHN Cc1nc(CN[C@H]2CC3CCC2CC3)co1 ZINC000660625927 411359166 /nfs/dbraw/zinc/35/91/66/411359166.db2.gz SQVZHIGEZJBVPX-XIVSLSHWSA-N 0 3 220.316 2.651 20 0 BFADHN CC1(C)COC[C@H]1NCc1ccc(Cl)s1 ZINC000313059571 167973775 /nfs/dbraw/zinc/97/37/75/167973775.db2.gz VVKXZJYWIXZTIS-SECBINFHSA-N 0 3 245.775 2.916 20 0 BFADHN Fc1cccc(CCN2CC[C@H]3C[C@H]3C2)c1F ZINC000661967127 411334752 /nfs/dbraw/zinc/33/47/52/411334752.db2.gz BPOUVGJTDSRHSE-RYUDHWBXSA-N 0 3 237.293 2.849 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@H]2C[C@H]2C1 ZINC000661968854 411337773 /nfs/dbraw/zinc/33/77/73/411337773.db2.gz IBQOEKSLLWLXFS-AAEUAGOBSA-N 0 3 219.303 2.976 20 0 BFADHN C[C@@H](NC[C@@H]1CCOC1(C)C)c1ccco1 ZINC000658332017 411339101 /nfs/dbraw/zinc/33/91/01/411339101.db2.gz OMEZTLNQQLDDQY-MNOVXSKESA-N 0 3 223.316 2.745 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](c1cccnc1)C(C)C ZINC000658332180 411339540 /nfs/dbraw/zinc/33/95/40/411339540.db2.gz OQLAHCSTOVWQSL-MCIONIFRSA-N 0 3 234.343 2.546 20 0 BFADHN C[C@H](NC[C@@H]1CCOC1(C)C)c1ccccn1 ZINC000658331829 411339601 /nfs/dbraw/zinc/33/96/01/411339601.db2.gz PXLXHXFONQMOHC-RYUDHWBXSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@H](NC[C@H]1CCOC1(C)C)c1nccs1 ZINC000658332121 411339990 /nfs/dbraw/zinc/33/99/90/411339990.db2.gz RMEOZZDOWAUGFY-VHSXEESVSA-N 0 3 240.372 2.609 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccccc1N(C)C ZINC000658333362 411340972 /nfs/dbraw/zinc/34/09/72/411340972.db2.gz WUBMRPCOOSNEGC-GLQYFDAESA-N 0 3 248.370 2.581 20 0 BFADHN CCOc1ccccc1CN1CC[C@H]2C[C@H]2C1 ZINC000661975704 411341204 /nfs/dbraw/zinc/34/12/04/411341204.db2.gz KYOLLLISWXEYQZ-JSGCOSHPSA-N 0 3 231.339 2.927 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccc(F)c(F)c1 ZINC000658334287 411342342 /nfs/dbraw/zinc/34/23/42/411342342.db2.gz HQCIZCNZQSXRBA-CKLFPEKLSA-N 0 3 241.281 2.793 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@@H]1C[C@]1(C)OC ZINC000658334461 411342367 /nfs/dbraw/zinc/34/23/67/411342367.db2.gz JSUPKPYCASECNQ-DFBGVHRSSA-N 0 3 249.354 2.913 20 0 BFADHN CCOc1ccc(CN2CC[C@@H]3C[C@@H]3C2)cc1 ZINC000661976213 411342648 /nfs/dbraw/zinc/34/26/48/411342648.db2.gz UDUHVTKYULJGPP-ZIAGYGMSSA-N 0 3 231.339 2.927 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccccc1 ZINC000658334599 411343324 /nfs/dbraw/zinc/34/33/24/411343324.db2.gz NWNNVFHTLHLCLH-UHTWSYAYSA-N 0 3 205.301 2.515 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@]1(C)OC)c1ccccc1 ZINC000658334862 411343489 /nfs/dbraw/zinc/34/34/89/411343489.db2.gz VYOGZIMGFOKMNU-MCIONIFRSA-N 0 3 219.328 2.905 20 0 BFADHN CC[C@@H](C)CN1CCC(=O)CC12CCC2 ZINC000653764083 411344183 /nfs/dbraw/zinc/34/41/83/411344183.db2.gz ZXABPDHNKAYAFB-LLVKDONJSA-N 0 3 209.333 2.620 20 0 BFADHN CC[C@H](C)CN1CCC(=O)CC12CCC2 ZINC000653764084 411344572 /nfs/dbraw/zinc/34/45/72/411344572.db2.gz ZXABPDHNKAYAFB-NSHDSACASA-N 0 3 209.333 2.620 20 0 BFADHN CC1(C)[C@H](NCc2ccc(C3CC3)cc2)C[C@@H]1O ZINC000128131384 168001770 /nfs/dbraw/zinc/00/17/70/168001770.db2.gz ACFRARQVQVWMLB-CABCVRRESA-N 0 3 245.366 2.813 20 0 BFADHN CC1(C)[C@H](NCc2cccc(Cl)c2)C[C@@H]1O ZINC000163364040 168001908 /nfs/dbraw/zinc/00/19/08/168001908.db2.gz LPZJFPGQLQPDTG-NEPJUHHUSA-N 0 3 239.746 2.589 20 0 BFADHN C[C@H](N[C@@H]1[C@@H]2CCC[C@@H]2C1(C)C)c1nccn1C ZINC000658337009 411345303 /nfs/dbraw/zinc/34/53/03/411345303.db2.gz BJAAZOUVFJWRLA-QNWHQSFQSA-N 0 3 247.386 2.895 20 0 BFADHN C[C@@H](CC1CCC1)N[C@@H](C)c1nccn1C ZINC000658338443 411345951 /nfs/dbraw/zinc/34/59/51/411345951.db2.gz YEEZWSPRLSWTKO-QWRGUYRKSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@H](N[C@H](C)c1nccn1C)[C@@H]1C[C@H]1C ZINC000658339744 411345982 /nfs/dbraw/zinc/34/59/82/411345982.db2.gz VEWCBYCAPQGGRQ-KKOKHZNYSA-N 0 3 221.348 2.505 20 0 BFADHN CC1(CCNCc2ccn(C(F)F)n2)CC1 ZINC000336747429 168021087 /nfs/dbraw/zinc/02/10/87/168021087.db2.gz SCJJYJXSKNNPDP-UHFFFAOYSA-N 0 3 229.274 2.558 20 0 BFADHN CC1(CNCc2c(F)cccc2Cl)COC1 ZINC000082694103 168042193 /nfs/dbraw/zinc/04/21/93/168042193.db2.gz KAFIQDABEDNUIR-UHFFFAOYSA-N 0 3 243.709 2.605 20 0 BFADHN CC1(CNCc2cscn2)CCCC1 ZINC000082619035 168043230 /nfs/dbraw/zinc/04/32/30/168043230.db2.gz MXYKVYVOKDCBOI-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](O)C1)c1ccc2c(c1)CCCC2 ZINC000658349880 411348174 /nfs/dbraw/zinc/34/81/74/411348174.db2.gz LLDFZACBWZBGHA-HFBAOOFYSA-N 0 3 245.366 2.739 20 0 BFADHN CC1(CO)CCN(C/C=C\c2ccccc2)CC1 ZINC000358041639 168049990 /nfs/dbraw/zinc/04/99/90/168049990.db2.gz TUQBMSYAGRNYTF-YVMONPNESA-N 0 3 245.366 2.794 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@@H]1CCC[C@H](F)C1 ZINC000655778546 411348251 /nfs/dbraw/zinc/34/82/51/411348251.db2.gz PBHWHJNEJSNPJN-SDDRHHMPSA-N 0 3 237.322 2.716 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1)c1ccc2c(c1)CCCC2 ZINC000658349881 411348972 /nfs/dbraw/zinc/34/89/72/411348972.db2.gz LLDFZACBWZBGHA-UVBJJODRSA-N 0 3 245.366 2.739 20 0 BFADHN CC1(NCc2ccn(C(F)F)n2)CCCC1 ZINC000336779967 168063971 /nfs/dbraw/zinc/06/39/71/168063971.db2.gz PYRBCNIHHMSWDY-UHFFFAOYSA-N 0 3 229.274 2.701 20 0 BFADHN CC1(NCc2ccco2)Cc2ccccc2C1 ZINC000092853735 168064412 /nfs/dbraw/zinc/06/44/12/168064412.db2.gz DGXGQJWLXKBODF-UHFFFAOYSA-N 0 3 227.307 2.927 20 0 BFADHN CC1(O)CCN(C/C=C/c2ccc(F)cc2)CC1 ZINC000179725648 168066632 /nfs/dbraw/zinc/06/66/32/168066632.db2.gz NKZARALWSIKTSZ-NSCUHMNNSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1cc(C)n(CCN2CCC(C)=C(C)C2)n1 ZINC000280677123 168084695 /nfs/dbraw/zinc/08/46/95/168084695.db2.gz GJTOUFCLMTXLLZ-UHFFFAOYSA-N 0 3 233.359 2.542 20 0 BFADHN CC(C)c1cccc(CNCCn2cccn2)c1 ZINC000659904442 411379221 /nfs/dbraw/zinc/37/92/21/411379221.db2.gz ZORHEKQCNLYJBW-UHFFFAOYSA-N 0 3 243.354 2.796 20 0 BFADHN CC1=CCCN(CCOc2ccccc2)C1 ZINC000280873664 168097723 /nfs/dbraw/zinc/09/77/23/168097723.db2.gz OKZOZULSNIPZHD-UHFFFAOYSA-N 0 3 217.312 2.717 20 0 BFADHN C[C@@H](CCCc1cccnc1)NCc1ccno1 ZINC000656829204 411379896 /nfs/dbraw/zinc/37/98/96/411379896.db2.gz OPTZAPXWNAWAAM-LBPRGKRZSA-N 0 3 245.326 2.571 20 0 BFADHN Cc1ccc([C@@H](C)NCCN2CC=C(C)CC2)o1 ZINC000358220191 168113042 /nfs/dbraw/zinc/11/30/42/168113042.db2.gz DVPGIWJWIBIJLX-CQSZACIVSA-N 0 3 248.370 2.891 20 0 BFADHN Cc1ccc([C@H](O)CN2CC=C(C)CC2)cc1 ZINC000270387481 168114012 /nfs/dbraw/zinc/11/40/12/168114012.db2.gz XLRGKXZBDNWZBE-OAHLLOKOSA-N 0 3 231.339 2.680 20 0 BFADHN Cc1ccc(CN2CC=C(C)CC2)c(C)n1 ZINC000336623241 168116287 /nfs/dbraw/zinc/11/62/87/168116287.db2.gz JBOPWBVOWJVILR-UHFFFAOYSA-N 0 3 216.328 2.850 20 0 BFADHN CC1=CC[C@H](N[C@@H](CCO)c2ccco2)CC1 ZINC000285210959 168121496 /nfs/dbraw/zinc/12/14/96/168121496.db2.gz OMBBNSCCVYTSTL-STQMWFEESA-N 0 3 235.327 2.792 20 0 BFADHN Cc1cnccc1CN1CC(C)=C[C@@H](C)C1 ZINC000336228894 168123120 /nfs/dbraw/zinc/12/31/20/168123120.db2.gz KTMJZZOWHYRFSW-LLVKDONJSA-N 0 3 216.328 2.788 20 0 BFADHN Cc1ccc(CN2CC(C)=C[C@@H](C)C2)cn1 ZINC000336547672 168123680 /nfs/dbraw/zinc/12/36/80/168123680.db2.gz AONXYPCNBXVJDF-LLVKDONJSA-N 0 3 216.328 2.788 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CN(C)Cc2cnn(C)c2)C1 ZINC000290547446 168123754 /nfs/dbraw/zinc/12/37/54/168123754.db2.gz WMMUETLZDHMNOR-TZMCWYRMSA-N 0 3 247.386 2.844 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CN(C)Cc2ccnn2C)C1 ZINC000356390671 168125008 /nfs/dbraw/zinc/12/50/08/168125008.db2.gz JBBAGFJMFAJUOT-OCCSQVGLSA-N 0 3 247.386 2.844 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CN(C)Cc2cnccn2)C1 ZINC000290486286 168125343 /nfs/dbraw/zinc/12/53/43/168125343.db2.gz RIPPRHHQUHITSV-OCCSQVGLSA-N 0 3 245.370 2.901 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CN2CCOCC23CC3)C1 ZINC000356340861 168125467 /nfs/dbraw/zinc/12/54/67/168125467.db2.gz PFOJMJJEUGJORV-OCCSQVGLSA-N 0 3 235.371 2.844 20 0 BFADHN CCc1nocc1CN(C)C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000660658910 411374865 /nfs/dbraw/zinc/37/48/65/411374865.db2.gz WIMQLXIXFJYYNH-ZSBIGDGJSA-N 0 3 234.343 2.715 20 0 BFADHN C[C@@H](NCC1CCC(C)CC1)c1ncc[nH]1 ZINC000124808488 168156309 /nfs/dbraw/zinc/15/63/09/168156309.db2.gz UNRWROXPZCNLHM-MOENNCHZSA-N 0 3 221.348 2.887 20 0 BFADHN CC(C)n1cc(CNC2CCC(C)CC2)nn1 ZINC000310183124 168163603 /nfs/dbraw/zinc/16/36/03/168163603.db2.gz XTKKRTSKGWUNSI-UHFFFAOYSA-N 0 3 236.363 2.527 20 0 BFADHN CC1CCN(Cc2cnn3ccccc23)CC1 ZINC000179524897 168184010 /nfs/dbraw/zinc/18/40/10/168184010.db2.gz IAGBYBADLCLYJO-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CCC(=O)CCCN1CCS[C@H](C)[C@H]1C ZINC000300063963 168198231 /nfs/dbraw/zinc/19/82/31/168198231.db2.gz BMVGHPSDOGEPQN-GHMZBOCLSA-N 0 3 229.389 2.572 20 0 BFADHN CCC(=O)CCN(CC)CCc1cccs1 ZINC000276785525 168200209 /nfs/dbraw/zinc/20/02/09/168200209.db2.gz AYDODNQHQVMKGZ-UHFFFAOYSA-N 0 3 239.384 2.982 20 0 BFADHN CCC(=O)CCN(CC)Cc1cccs1 ZINC000190167212 168201482 /nfs/dbraw/zinc/20/14/82/168201482.db2.gz UFZJDMJNWUZMFV-UHFFFAOYSA-N 0 3 225.357 2.939 20 0 BFADHN CC[C@H]1CCCCCN1Cc1cn(C)cn1 ZINC000659948611 411391218 /nfs/dbraw/zinc/39/12/18/411391218.db2.gz RELOHOWUBGISIH-ZDUSSCGKSA-N 0 3 221.348 2.575 20 0 BFADHN Cn1cnc(CN2CCCC3(CCCCC3)C2)c1 ZINC000659919206 411382923 /nfs/dbraw/zinc/38/29/23/411382923.db2.gz APVRRLKWRRQSRK-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN CCC(=O)Nc1cccc(CN(CC)CC)c1 ZINC000047447771 168246190 /nfs/dbraw/zinc/24/61/90/168246190.db2.gz PHILBIJVEWUARF-UHFFFAOYSA-N 0 3 234.343 2.877 20 0 BFADHN CCC(C)(C)CCN1CCC(=O)[C@@H](C)C1 ZINC000338564610 168275513 /nfs/dbraw/zinc/27/55/13/168275513.db2.gz ANRCIYPKNVEOCK-NSHDSACASA-N 0 3 211.349 2.724 20 0 BFADHN CCC(C)(C)CCN1CCOC[C@H]1C1CC1 ZINC000341004851 168276403 /nfs/dbraw/zinc/27/64/03/168276403.db2.gz CXEJONVCILAZDL-ZDUSSCGKSA-N 0 3 225.376 2.924 20 0 BFADHN CCC[C@H](C)NC(=O)C[C@@H]1NCc2ccccc21 ZINC000659273032 411386915 /nfs/dbraw/zinc/38/69/15/411386915.db2.gz YTRYJSGXFJCMGT-FZMZJTMJSA-N 0 3 246.354 2.526 20 0 BFADHN CCC(C)(C)CNCc1ccn(C(F)F)n1 ZINC000336782625 168281934 /nfs/dbraw/zinc/28/19/34/168281934.db2.gz YGOGFGLWVKDPPE-UHFFFAOYSA-N 0 3 231.290 2.804 20 0 BFADHN CCC(C)(C)CNCc1cc(F)ncc1F ZINC000296715006 168282139 /nfs/dbraw/zinc/28/21/39/168282139.db2.gz IWIWOZPDIDHRPY-UHFFFAOYSA-N 0 3 228.286 2.886 20 0 BFADHN CCC(C)(C)CNCc1ccc(C(=O)OC)o1 ZINC000174396708 168282391 /nfs/dbraw/zinc/28/23/91/168282391.db2.gz QOQJNSADWGTRQM-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN c1ccc(CCCN2CCC[C@@H]3COC[C@@H]32)cc1 ZINC000657304550 411456252 /nfs/dbraw/zinc/45/62/52/411456252.db2.gz MKKXFGFXZUIJOD-CVEARBPZSA-N 0 3 245.366 2.730 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NCc1ccc2occc2c1 ZINC000285780533 411457365 /nfs/dbraw/zinc/45/73/65/411457365.db2.gz DXWCNLOXLUUGDX-UONOGXRCSA-N 0 3 245.322 2.682 20 0 BFADHN CC1(C)CN(CCCC2CCC2)CCO1 ZINC000659811422 411459844 /nfs/dbraw/zinc/45/98/44/411459844.db2.gz GFEGCNSPIUUGPD-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN CC1(C)CCC(NCc2cocn2)CC1 ZINC000336675226 411395816 /nfs/dbraw/zinc/39/58/16/411395816.db2.gz AHIICBLOGIJCQO-UHFFFAOYSA-N 0 3 208.305 2.733 20 0 BFADHN COc1cc(CN(C)CC2CCCCC2)on1 ZINC000659813353 411461169 /nfs/dbraw/zinc/46/11/69/411461169.db2.gz SHYMZEFJBTXQQM-UHFFFAOYSA-N 0 3 238.331 2.695 20 0 BFADHN CCCC(C)(C)C(=O)Nc1cccc(CN)c1 ZINC000653043349 411401753 /nfs/dbraw/zinc/40/17/53/411401753.db2.gz QCPFBYCDHGDIBN-UHFFFAOYSA-N 0 3 234.343 2.910 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccc(C)cc2C)CO1 ZINC000657315385 411461496 /nfs/dbraw/zinc/46/14/96/411461496.db2.gz SNXSLXLAUOQAMV-CABCVRRESA-N 0 3 233.355 2.961 20 0 BFADHN CCC(=O)CCCN1CCC2(CC2(F)F)CC1 ZINC000404805225 411408370 /nfs/dbraw/zinc/40/83/70/411408370.db2.gz XQIQDSXYCQJPMQ-UHFFFAOYSA-N 0 3 245.313 2.867 20 0 BFADHN CC[C@@H]1C[C@@H](Nc2cccc(CN(C)C)c2)CO1 ZINC000657322205 411461826 /nfs/dbraw/zinc/46/18/26/411461826.db2.gz JBWAUIKCSJAUAZ-HUUCEWRRSA-N 0 3 248.370 2.728 20 0 BFADHN Cc1ccc2c(c1)[C@@H](N[C@@H]1CCOC1)CCCO2 ZINC000182386705 411408755 /nfs/dbraw/zinc/40/87/55/411408755.db2.gz ZYYSECQCVKPODP-OCCSQVGLSA-N 0 3 247.338 2.587 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccc(C)cc2C)CO1 ZINC000657315410 411461871 /nfs/dbraw/zinc/46/18/71/411461871.db2.gz SNXSLXLAUOQAMV-LSDHHAIUSA-N 0 3 233.355 2.961 20 0 BFADHN Cc1ccc(C)c([C@H](C)N[C@@H]2CCOC2)c1 ZINC000070966877 411410286 /nfs/dbraw/zinc/41/02/86/411410286.db2.gz JCNKBZFOHZRARG-QWHCGFSZSA-N 0 3 219.328 2.743 20 0 BFADHN CN(Cc1ccc(F)cc1)[C@@H]1COC(C)(C)C1 ZINC000659816020 411461982 /nfs/dbraw/zinc/46/19/82/411461982.db2.gz MWRQYJLAOAUMRJ-ZDUSSCGKSA-N 0 3 237.318 2.825 20 0 BFADHN CCN(CCOC)Cc1ccc2ccccc2n1 ZINC000265546813 411417223 /nfs/dbraw/zinc/41/72/23/411417223.db2.gz XNHWNDNFDDFVSQ-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]3COC[C@@H]32)c(C)c1 ZINC000657208870 411418644 /nfs/dbraw/zinc/41/86/44/411418644.db2.gz GILYJQWKTPKCST-CVEARBPZSA-N 0 3 245.366 2.914 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1CC[C@@H](CO)C1 ZINC000266944788 411418874 /nfs/dbraw/zinc/41/88/74/411418874.db2.gz SIBARBIPDZHRMH-BXUZGUMPSA-N 0 3 237.318 2.591 20 0 BFADHN CCOc1ccc(CN[C@H]2CCC[C@@H]3C[C@@H]32)nc1 ZINC000657233410 411424001 /nfs/dbraw/zinc/42/40/01/411424001.db2.gz FTXFOHGLOCFRSF-UGFHNGPFSA-N 0 3 246.354 2.759 20 0 BFADHN C[C@@H]1C[C@@H](CNCc2ccccc2F)[C@H](C)O1 ZINC000414521078 411425299 /nfs/dbraw/zinc/42/52/99/411425299.db2.gz VUAHJQYTYDEABZ-MDZLAQPJSA-N 0 3 237.318 2.729 20 0 BFADHN CC[C@H](CC(F)F)CN1C[C@@H](C)OC[C@H]1C ZINC000659821402 411463050 /nfs/dbraw/zinc/46/30/50/411463050.db2.gz NHNACXMYDQKFSY-GMTAPVOTSA-N 0 3 235.318 2.777 20 0 BFADHN COc1cc([C@@H](C)N[C@H]2CC2(C)C)ccn1 ZINC000655741814 411442183 /nfs/dbraw/zinc/44/21/83/411442183.db2.gz MSOABAPHIPTSFZ-KOLCDFICSA-N 0 3 220.316 2.539 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@H]1CCC(F)(F)C1 ZINC000655745676 411447424 /nfs/dbraw/zinc/44/74/24/411447424.db2.gz BSKPOSDTTQTHRP-UWVGGRQHSA-N 0 3 241.285 2.623 20 0 BFADHN CO[C@@]1(C)CCCN(CCOc2ccccc2)C1 ZINC000279289734 411447463 /nfs/dbraw/zinc/44/74/63/411447463.db2.gz KYDMOURNKVOZDV-HNNXBMFYSA-N 0 3 249.354 2.566 20 0 BFADHN C[C@H](N[C@@H]1CC1(C)C)c1cn2ccccc2n1 ZINC000655742606 411447517 /nfs/dbraw/zinc/44/75/17/411447517.db2.gz VLURPZBMPPYZFL-CMPLNLGQSA-N 0 3 229.327 2.783 20 0 BFADHN CC(C)(CCN1CCO[C@H](C2CC2)C1)C1CC1 ZINC000653622734 411448832 /nfs/dbraw/zinc/44/88/32/411448832.db2.gz QUUXGVOAOZJLFC-AWEZNQCLSA-N 0 3 237.387 2.924 20 0 BFADHN C[C@@H](NCc1cnn2c1CCC2)c1ccccc1 ZINC000657289306 411449888 /nfs/dbraw/zinc/44/98/88/411449888.db2.gz FUYACCTVULOKCI-GFCCVEGCSA-N 0 3 241.338 2.680 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccc(C)cc2)CO1 ZINC000657290635 411451293 /nfs/dbraw/zinc/45/12/93/411451293.db2.gz LOXWDMFXBXKKCM-KGLIPLIRSA-N 0 3 219.328 2.652 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccc(C)cc2)CO1 ZINC000657290633 411451465 /nfs/dbraw/zinc/45/14/65/411451465.db2.gz LOXWDMFXBXKKCM-KBPBESRZSA-N 0 3 219.328 2.652 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccc(Cl)cc2)CO1 ZINC000657291038 411451542 /nfs/dbraw/zinc/45/15/42/411451542.db2.gz RINPUOWRUBCFHB-OLZOCXBDSA-N 0 3 239.746 2.997 20 0 BFADHN CCOc1ccc(CNC[C@H]2CCCO2)c(C)c1 ZINC000657290361 411452114 /nfs/dbraw/zinc/45/21/14/411452114.db2.gz NBXPBLYKLVHNPH-OAHLLOKOSA-N 0 3 249.354 2.662 20 0 BFADHN Cc1ccc2cc(CNC[C@@H]3CCCO3)[nH]c2c1 ZINC000657291166 411452361 /nfs/dbraw/zinc/45/23/61/411452361.db2.gz UWVNDSMCDGZPDZ-AWEZNQCLSA-N 0 3 244.338 2.745 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccc(F)c(C)c2)CO1 ZINC000657295710 411454208 /nfs/dbraw/zinc/45/42/08/411454208.db2.gz VSDHGHZJDBLYOQ-QWHCGFSZSA-N 0 3 237.318 2.791 20 0 BFADHN CO[C@@H]1CCN(CCOc2ccccc2)[C@@H](C)C1 ZINC000281832191 411454209 /nfs/dbraw/zinc/45/42/09/411454209.db2.gz XUWHIKQAVGMGNZ-DZGCQCFKSA-N 0 3 249.354 2.565 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2CCCC[C@H]2C)n1 ZINC000657328674 411467677 /nfs/dbraw/zinc/46/76/77/411467677.db2.gz ALVQJUQCQGUYKN-ZYHUDNBSSA-N 0 3 221.348 2.634 20 0 BFADHN Cc1c[nH]c(CN[C@H]2[C@H](C)CCC[C@@H]2C)n1 ZINC000657331501 411468463 /nfs/dbraw/zinc/46/84/63/411468463.db2.gz FDSOJHJDWXRHBS-IWIIMEHWSA-N 0 3 221.348 2.632 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H]2CCc3c2cccc3F)CO1 ZINC000657336755 411471996 /nfs/dbraw/zinc/47/19/96/411471996.db2.gz WBLLOJPDQNTRBV-RWSFTLGLSA-N 0 3 249.329 2.970 20 0 BFADHN COCCN(Cc1ccco1)C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000659839363 411472596 /nfs/dbraw/zinc/47/25/96/411472596.db2.gz WAKUMVNYZFHVLG-BTTYYORXSA-N 0 3 249.354 2.774 20 0 BFADHN CCc1nocc1CN([C@H](C)C1CC1)C1CC1 ZINC000659841525 411472784 /nfs/dbraw/zinc/47/27/84/411472784.db2.gz CIOKIDAVQMVFOM-SNVBAGLBSA-N 0 3 234.343 3.000 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccccn1)c1cncs1 ZINC000655837569 411532923 /nfs/dbraw/zinc/53/29/23/411532923.db2.gz UQHWVESNVIUALV-WDEREUQCSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(N(C)C)nc2)[C@H](C)C1 ZINC000127180804 411473926 /nfs/dbraw/zinc/47/39/26/411473926.db2.gz MCNZHBVLZDHSQK-CHWSQXEVSA-N 0 3 247.386 2.768 20 0 BFADHN COCC1(CNCc2ccc([C@@H]3C[C@@H]3C)o2)CC1 ZINC000655837970 411533039 /nfs/dbraw/zinc/53/30/39/411533039.db2.gz HMRZCYKFHGZAHN-WCQYABFASA-N 0 3 249.354 2.919 20 0 BFADHN C[C@@H](Cc1ccccn1)N[C@@H](C)c1ccccn1 ZINC000655838052 411533100 /nfs/dbraw/zinc/53/31/00/411533100.db2.gz ZHMWBKFDMRQXQV-STQMWFEESA-N 0 3 241.338 2.758 20 0 BFADHN COCC1(CNCc2cc(C)ccc2F)CC1 ZINC000655837819 411533124 /nfs/dbraw/zinc/53/31/24/411533124.db2.gz WUKLIMSRHRCGRP-UHFFFAOYSA-N 0 3 237.318 2.650 20 0 BFADHN C[C@H]1CC[C@H](NCc2cn(C3CCC3)nn2)CC1 ZINC000657355195 411478598 /nfs/dbraw/zinc/47/85/98/411478598.db2.gz FERWCOIXQWQFKS-HAQNSBGRSA-N 0 3 248.374 2.671 20 0 BFADHN CC(C)n1ccnc1CNC[C@H]1CC1(C)C ZINC000657358379 411479412 /nfs/dbraw/zinc/47/94/12/411479412.db2.gz CNAQJNHNUJEDRD-LLVKDONJSA-N 0 3 221.348 2.600 20 0 BFADHN COc1cc(CN2CCC[C@@H](C)CC2)sn1 ZINC000659858021 411479944 /nfs/dbraw/zinc/47/99/44/411479944.db2.gz RTHHOJDHXDYEER-SNVBAGLBSA-N 0 3 240.372 2.774 20 0 BFADHN CCc1ccc([C@@H](C)NCCC(C)(C)CO)o1 ZINC000296382284 411480567 /nfs/dbraw/zinc/48/05/67/411480567.db2.gz JQVDSHYQFMVBGM-LLVKDONJSA-N 0 3 239.359 2.901 20 0 BFADHN Cc1cc(CN(C)CC(C)(C)C)nc(C)n1 ZINC000659870559 411484549 /nfs/dbraw/zinc/48/45/49/411484549.db2.gz CTITXQIRFZRDNL-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1ncc(CN[C@H]2C[C@H]2C2CCCCC2)n1C ZINC000657379657 411487487 /nfs/dbraw/zinc/48/74/87/411487487.db2.gz CMIQMMKEUUTIMW-GJZGRUSLSA-N 0 3 247.386 2.787 20 0 BFADHN Cc1c[nH]c(CN[C@@H](C)[C@@H](C)c2ccccc2)n1 ZINC000657385764 411489181 /nfs/dbraw/zinc/48/91/81/411489181.db2.gz ZFCDYIBYIWRJHO-OLZOCXBDSA-N 0 3 243.354 3.000 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cn(C2CCC2)nn1 ZINC000657393606 411489843 /nfs/dbraw/zinc/48/98/43/411489843.db2.gz BUGNLYSGZPFDSO-BXUZGUMPSA-N 0 3 248.374 2.671 20 0 BFADHN CCC1(CNCc2nccn2C(C)C)CC1 ZINC000657388042 411490488 /nfs/dbraw/zinc/49/04/88/411490488.db2.gz BETFTZXMXVECMH-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN Cc1c[nH]c(CNCC2(CC(C)C)CC2)n1 ZINC000657393113 411492393 /nfs/dbraw/zinc/49/23/93/411492393.db2.gz MAWCNSSDXDGTQA-UHFFFAOYSA-N 0 3 221.348 2.634 20 0 BFADHN Cc1cc(CN2[C@H](C)CCC[C@@H]2C)nc(C)n1 ZINC000659909981 411495101 /nfs/dbraw/zinc/49/51/01/411495101.db2.gz HNRVQIJXPXEWML-TXEJJXNPSA-N 0 3 233.359 2.856 20 0 BFADHN CCOc1ccc(CNC2(COC)CC2)c(C)c1 ZINC000657398975 411495483 /nfs/dbraw/zinc/49/54/83/411495483.db2.gz QGQKBICUDJRONA-UHFFFAOYSA-N 0 3 249.354 2.662 20 0 BFADHN COCC1(NCc2cc3ccncc3s2)CC1 ZINC000657400077 411496633 /nfs/dbraw/zinc/49/66/33/411496633.db2.gz PGANKZWUYWBUSK-UHFFFAOYSA-N 0 3 248.351 2.565 20 0 BFADHN CC(C)C[C@@H](C)NCc1cn(C2CCC2)nn1 ZINC000657414974 411498232 /nfs/dbraw/zinc/49/82/32/411498232.db2.gz XPMLYZSDRUUEFJ-LLVKDONJSA-N 0 3 236.363 2.527 20 0 BFADHN CC[C@@H]1C[C@H](Nc2cc(C)cc(C)n2)CO1 ZINC000657414297 411498507 /nfs/dbraw/zinc/49/85/07/411498507.db2.gz VTKROODBUWZZFR-NWDGAFQWSA-N 0 3 220.316 2.678 20 0 BFADHN COC[C@@H](CC(C)(C)C)NCC1(F)CC1 ZINC000655843303 411534107 /nfs/dbraw/zinc/53/41/07/411534107.db2.gz QVFBPMTWMIUPSP-SNVBAGLBSA-N 0 3 217.328 2.529 20 0 BFADHN CC[C@@H]1C[C@H](NCc2cc(C)cc(OC)c2)CO1 ZINC000657414995 411500196 /nfs/dbraw/zinc/50/01/96/411500196.db2.gz YABYNKOZNLKZHH-UONOGXRCSA-N 0 3 249.354 2.661 20 0 BFADHN c1cc(CNCCC2=CCCC2)n(CC2CC2)n1 ZINC000657415297 411500329 /nfs/dbraw/zinc/50/03/29/411500329.db2.gz IJQHSGXEYGWVJX-UHFFFAOYSA-N 0 3 245.370 2.883 20 0 BFADHN C[C@@H](C1CCCCC1)N(C)Cc1cn(C)cn1 ZINC000660426610 411500642 /nfs/dbraw/zinc/50/06/42/411500642.db2.gz IKVAROZILHTKNT-LBPRGKRZSA-N 0 3 235.375 2.821 20 0 BFADHN CCn1cc(CN(C)C[C@@H]2CC[C@@H]3C[C@@H]3C2)cn1 ZINC000659927738 411501032 /nfs/dbraw/zinc/50/10/32/411501032.db2.gz ILVVMDDGTYAXOV-YUELXQCFSA-N 0 3 247.386 2.771 20 0 BFADHN c1cc([C@@H]2CCCN2C[C@@H]2C[C@H]3C[C@H]3C2)n[nH]1 ZINC000660432804 411501733 /nfs/dbraw/zinc/50/17/33/411501733.db2.gz XHWNPLWFVKWRMS-OPDFLTKYSA-N 0 3 231.343 2.593 20 0 BFADHN CC1(C)C[C@H](N2CCC[C@@H]2c2ccco2)CO1 ZINC000659933980 411503067 /nfs/dbraw/zinc/50/30/67/411503067.db2.gz LWQSNFOINKQZIY-NWDGAFQWSA-N 0 3 235.327 2.984 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@@H]1CO[C@@H](CC)C1 ZINC000657425761 411505119 /nfs/dbraw/zinc/50/51/19/411505119.db2.gz ONSIQUAXXBLKTC-UBHSHLNASA-N 0 3 248.370 2.862 20 0 BFADHN CCCn1nccc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000657495029 411534502 /nfs/dbraw/zinc/53/45/02/411534502.db2.gz FHQUMTKEXCSFFS-UONOGXRCSA-N 0 3 233.359 2.571 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3cc(C4CC4)no3)C[C@@H]21 ZINC000659955748 411510596 /nfs/dbraw/zinc/51/05/96/411510596.db2.gz NAFGKKOFRLIPPZ-TXEJJXNPSA-N 0 3 232.327 2.640 20 0 BFADHN Cc1c[nH]c(CN[C@H]2CCCC(C)(C)C2)n1 ZINC000657442995 411511625 /nfs/dbraw/zinc/51/16/25/411511625.db2.gz VMRNEIOWBRASGR-NSHDSACASA-N 0 3 221.348 2.777 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cc(OC)ns1 ZINC000659973443 411512107 /nfs/dbraw/zinc/51/21/07/411512107.db2.gz DVAJADWWYSXASJ-VHSXEESVSA-N 0 3 240.372 2.772 20 0 BFADHN CSc1cccc(CN(C)CC[C@@H](C)O)c1 ZINC000659957483 411512159 /nfs/dbraw/zinc/51/21/59/411512159.db2.gz TWLMLKSNCUZSDP-LLVKDONJSA-N 0 3 239.384 2.611 20 0 BFADHN CCC(C)(C)CNCc1nc(C)c(C)[nH]1 ZINC000657446452 411513475 /nfs/dbraw/zinc/51/34/75/411513475.db2.gz HIMUMDJABNZTON-UHFFFAOYSA-N 0 3 209.337 2.552 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cc(OC)ns1 ZINC000659973442 411514713 /nfs/dbraw/zinc/51/47/13/411514713.db2.gz DVAJADWWYSXASJ-UWVGGRQHSA-N 0 3 240.372 2.772 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2ccsc2)CO1 ZINC000657461204 411517564 /nfs/dbraw/zinc/51/75/64/411517564.db2.gz FCDVTNLJEWADNZ-YUSALJHKSA-N 0 3 225.357 2.966 20 0 BFADHN Cc1nc(CN2CC[C@@H](C)[C@H]2C)c(C)o1 ZINC000659984662 411519898 /nfs/dbraw/zinc/51/98/98/411519898.db2.gz QLFHBTCBBYNRSK-RKDXNWHRSA-N 0 3 208.305 2.522 20 0 BFADHN Cc1ccc2cc(CN[C@@H](C)CF)[nH]c2c1 ZINC000657466661 411519895 /nfs/dbraw/zinc/51/98/95/411519895.db2.gz MCSHOSMNNULWON-JTQLQIEISA-N 0 3 220.291 2.924 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1ccc(F)c(F)c1F ZINC000657465694 411519998 /nfs/dbraw/zinc/51/99/98/411519998.db2.gz DTNQEBGWPRXNOQ-XCBNKYQSSA-N 0 3 229.245 2.992 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1ccc(F)c(F)c1F ZINC000657465692 411520007 /nfs/dbraw/zinc/52/00/07/411520007.db2.gz DTNQEBGWPRXNOQ-GMSGAONNSA-N 0 3 229.245 2.992 20 0 BFADHN CC[C@@H](CC(F)F)CN(C)Cc1cnccn1 ZINC000659991630 411522309 /nfs/dbraw/zinc/52/23/09/411522309.db2.gz XROORFXUKAXYND-JTQLQIEISA-N 0 3 243.301 2.590 20 0 BFADHN CC(C)(C)C1CC(NCc2cnn3c2CCC3)C1 ZINC000657475557 411525223 /nfs/dbraw/zinc/52/52/23/411525223.db2.gz XOALHHGKHYPSNJ-UHFFFAOYSA-N 0 3 247.386 2.744 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1cc2n(n1)CCC2 ZINC000657477985 411525741 /nfs/dbraw/zinc/52/57/41/411525741.db2.gz AYNVUZAMWNKEDF-OLZOCXBDSA-N 0 3 247.386 2.745 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1nc(C)c[nH]1 ZINC000657478022 411525755 /nfs/dbraw/zinc/52/57/55/411525755.db2.gz CAMQPRMZIUCWAY-RYUDHWBXSA-N 0 3 221.348 2.634 20 0 BFADHN COCC1(CN[C@H](C)c2cc(C)oc2C)CC1 ZINC000655818403 411525817 /nfs/dbraw/zinc/52/58/17/411525817.db2.gz RKEGUTXXXIKXNX-LLVKDONJSA-N 0 3 237.343 2.974 20 0 BFADHN c1ccc(CN[C@@H](C2CC2)[C@@H]2CCCCO2)nc1 ZINC000655811770 411525977 /nfs/dbraw/zinc/52/59/77/411525977.db2.gz WBBWMEZWQCUJMZ-GJZGRUSLSA-N 0 3 246.354 2.519 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1cc2n(n1)CCC2 ZINC000657477989 411526260 /nfs/dbraw/zinc/52/62/60/411526260.db2.gz AYNVUZAMWNKEDF-STQMWFEESA-N 0 3 247.386 2.745 20 0 BFADHN C[C@@H]1OCCN(CCCC2CCC2)[C@H]1C ZINC000660011055 411527126 /nfs/dbraw/zinc/52/71/26/411527126.db2.gz NGYMDIPKVQTPAJ-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1CCCC1CCC1 ZINC000660011050 411527585 /nfs/dbraw/zinc/52/75/85/411527585.db2.gz NGYMDIPKVQTPAJ-NEPJUHHUSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1nc(CN[C@H](C2CC2)C2CCC2)[nH]c1C ZINC000657486784 411530805 /nfs/dbraw/zinc/53/08/05/411530805.db2.gz BAIVVWGKYJAUCX-AWEZNQCLSA-N 0 3 233.359 2.695 20 0 BFADHN CC[C@H]1C[C@@H](N[C@@H]2C[C@H]2c2ccccc2F)CO1 ZINC000657488057 411530993 /nfs/dbraw/zinc/53/09/93/411530993.db2.gz KFWIPBPDQOEWLS-REJLFOLJSA-N 0 3 249.329 2.839 20 0 BFADHN Cn1nc(C(F)(F)F)cc1CNCC(C)(C)C ZINC000657325886 411465045 /nfs/dbraw/zinc/46/50/45/411465045.db2.gz ABFJSDVFKRKOSM-UHFFFAOYSA-N 0 3 249.280 2.575 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cnc2ccccc2n1 ZINC000659826461 411465612 /nfs/dbraw/zinc/46/56/12/411465612.db2.gz KTMQVFKWADVODL-NSHDSACASA-N 0 3 241.338 2.860 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnc2ccccc2n1 ZINC000660064909 411532528 /nfs/dbraw/zinc/53/25/28/411532528.db2.gz YFEPERUYAWJYHK-LLVKDONJSA-N 0 3 229.327 2.860 20 0 BFADHN CCOc1ccccc1CN[C@H]1CO[C@H](CC)C1 ZINC000657327536 411466502 /nfs/dbraw/zinc/46/65/02/411466502.db2.gz XWSBJWXRRRLCLX-ZIAGYGMSSA-N 0 3 249.354 2.742 20 0 BFADHN CCN1CC[C@@H](NCc2ccccc2Cl)C1 ZINC000328347695 170012564 /nfs/dbraw/zinc/01/25/64/170012564.db2.gz MLTUOWAQYQALCB-GFCCVEGCSA-N 0 3 238.762 2.524 20 0 BFADHN CCc1cccc(CNCc2cnc(C)n2C)c1 ZINC000657503231 411538967 /nfs/dbraw/zinc/53/89/67/411538967.db2.gz XHBLTIDJLBIUSV-UHFFFAOYSA-N 0 3 243.354 2.581 20 0 BFADHN CC[C@@H](NCc1ccco1)[C@H]1CCCCO1 ZINC000655856651 411539296 /nfs/dbraw/zinc/53/92/96/411539296.db2.gz PYVGTCXBHDCCRA-CHWSQXEVSA-N 0 3 223.316 2.717 20 0 BFADHN CC[C@H]1C[C@@H](NCc2cccc(C)c2OC)CO1 ZINC000657504825 411539565 /nfs/dbraw/zinc/53/95/65/411539565.db2.gz OKMVYPHAPVRLIY-KGLIPLIRSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccc(CN(C)CCC=C(C)C)cn1 ZINC000660118059 411539642 /nfs/dbraw/zinc/53/96/42/411539642.db2.gz OCBNISLZWKAOME-UHFFFAOYSA-N 0 3 234.343 2.878 20 0 BFADHN CCN1C[C@@H](C)N(CCSC(C)C)C[C@@H]1C ZINC000338290143 170027869 /nfs/dbraw/zinc/02/78/69/170027869.db2.gz VLKIIRRKQHIIJX-QWHCGFSZSA-N 0 3 244.448 2.543 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2cccc(C)c2OC)CO1 ZINC000657504828 411539708 /nfs/dbraw/zinc/53/97/08/411539708.db2.gz OKMVYPHAPVRLIY-ZIAGYGMSSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)(C)N1CC[C@H]1CNCc1ccsc1 ZINC000657522791 411540744 /nfs/dbraw/zinc/54/07/44/411540744.db2.gz DRXJTRAUBFISLS-LBPRGKRZSA-N 0 3 238.400 2.711 20 0 BFADHN Cc1ccc(CNC[C@H]2CCN2C(C)(C)C)o1 ZINC000657524488 411542252 /nfs/dbraw/zinc/54/22/52/411542252.db2.gz PQBSWPLJHOLWAC-GFCCVEGCSA-N 0 3 236.359 2.550 20 0 BFADHN CCNC(=O)CN(C(C)C)[C@H](C)c1ccccc1 ZINC000292248556 170053969 /nfs/dbraw/zinc/05/39/69/170053969.db2.gz QSARTNFQSIRLKW-CYBMUJFWSA-N 0 3 248.370 2.594 20 0 BFADHN CO[C@@H](CN(C)[C@H](C)c1ccccn1)C1CCC1 ZINC000660139713 411543854 /nfs/dbraw/zinc/54/38/54/411543854.db2.gz DVZKRDSHSVGEFE-DOMZBBRYSA-N 0 3 248.370 2.890 20 0 BFADHN CCc1cccc(CN[C@H]2CO[C@H](CC)C2)c1 ZINC000657502139 411536934 /nfs/dbraw/zinc/53/69/34/411536934.db2.gz FSSHRXYUBIWSPV-HUUCEWRRSA-N 0 3 233.355 2.906 20 0 BFADHN CC(C)n1ccnc1CNC[C@H](C)CC(F)F ZINC000657497892 411537261 /nfs/dbraw/zinc/53/72/61/411537261.db2.gz GALORFANYIFWBK-SNVBAGLBSA-N 0 3 245.317 2.845 20 0 BFADHN Cc1ccc2cc(CN[C@H]3CO[C@H](C)C3)[nH]c2c1 ZINC000657500210 411537660 /nfs/dbraw/zinc/53/76/60/411537660.db2.gz LRJIBCMPDIROSC-BXUZGUMPSA-N 0 3 244.338 2.743 20 0 BFADHN CCN[C@H](C)c1ccc(Br)cn1 ZINC000133163757 170159098 /nfs/dbraw/zinc/15/90/98/170159098.db2.gz FTLITQWECBUPRY-SSDOTTSWSA-N 0 3 229.121 2.515 20 0 BFADHN CCOCCN(C)C[C@H](OC)C1CCCCC1 ZINC000660169043 411551101 /nfs/dbraw/zinc/55/11/01/411551101.db2.gz IJRALRFBZWDQHN-AWEZNQCLSA-N 0 3 243.391 2.550 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCN(C(C)(C)C)C1 ZINC000657561076 411551470 /nfs/dbraw/zinc/55/14/70/411551470.db2.gz CYZYWDKUZPNGGI-GFCCVEGCSA-N 0 3 236.359 2.550 20 0 BFADHN CCc1ncc(CN[C@]23C[C@H]2CCC3)s1 ZINC000657550094 411551558 /nfs/dbraw/zinc/55/15/58/411551558.db2.gz GSRINYGVZIREIU-BXKDBHETSA-N 0 3 222.357 2.738 20 0 BFADHN Cc1nc(CN[C@H]2[C@H](C)CCC[C@@H]2C)co1 ZINC000660178982 411552011 /nfs/dbraw/zinc/55/20/11/411552011.db2.gz LOJJUESPJNDJFX-IWIIMEHWSA-N 0 3 222.332 2.897 20 0 BFADHN CCNCc1cc2cc(OC)ccc2o1 ZINC000073711133 170126693 /nfs/dbraw/zinc/12/66/93/170126693.db2.gz ZYADUOIMBVZXKK-UHFFFAOYSA-N 0 3 205.257 2.551 20 0 BFADHN C[C@H]1C[C@H](NC[C@H]2CCCC[C@H]2C)c2ncnn21 ZINC000657569317 411553569 /nfs/dbraw/zinc/55/35/69/411553569.db2.gz MDKMAAIJXRVOCT-XQHKEYJVSA-N 0 3 248.374 2.700 20 0 BFADHN CCNCc1nc(-c2ccc(OC)cc2)cs1 ZINC000045206529 170130959 /nfs/dbraw/zinc/13/09/59/170130959.db2.gz HURNUVLAKAMDBP-UHFFFAOYSA-N 0 3 248.351 2.928 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@H]2CCCC[C@H]2C)c2ncnn21 ZINC000657569312 411553616 /nfs/dbraw/zinc/55/36/16/411553616.db2.gz MDKMAAIJXRVOCT-FDYHWXHSSA-N 0 3 248.374 2.700 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1ccc(CO)o1 ZINC000657578900 411554157 /nfs/dbraw/zinc/55/41/57/411554157.db2.gz MYKNABUWWOZHRU-SNVBAGLBSA-N 0 3 225.332 2.686 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1cc(C)n(C)n1 ZINC000657579459 411554242 /nfs/dbraw/zinc/55/42/42/411554242.db2.gz PVNWMULEQULMNM-LLVKDONJSA-N 0 3 223.364 2.643 20 0 BFADHN CCN[C@@H](C)c1cc(OC)c(OC)cc1F ZINC000061561404 170140656 /nfs/dbraw/zinc/14/06/56/170140656.db2.gz AJWXDJSHYTYZPY-QMMMGPOBSA-N 0 3 227.279 2.513 20 0 BFADHN CCN[C@@H](CC(=O)OC(C)C)c1ccc(C)cc1 ZINC000361086142 170142523 /nfs/dbraw/zinc/14/25/23/170142523.db2.gz MPNSHUHMEXJDSX-AWEZNQCLSA-N 0 3 249.354 2.987 20 0 BFADHN CCN[C@@H](CC(=O)OC(C)C)c1ccccc1 ZINC000181830804 170142615 /nfs/dbraw/zinc/14/26/15/170142615.db2.gz BMQWMBHGKQUMAZ-ZDUSSCGKSA-N 0 3 235.327 2.679 20 0 BFADHN CC(C)=CCCN1CCO[C@H](C(C)C)C1 ZINC000660219335 411555067 /nfs/dbraw/zinc/55/50/67/411555067.db2.gz BSAOYHFCDLEKCN-ZDUSSCGKSA-N 0 3 211.349 2.700 20 0 BFADHN Cc1cc(CNCC2C[C@@H](C)C[C@H](C)C2)nn1C ZINC000657589137 411555335 /nfs/dbraw/zinc/55/53/35/411555335.db2.gz FYCUBQHEMUEXBP-RYUDHWBXSA-N 0 3 249.402 2.890 20 0 BFADHN C[C@H]1CC[C@@](C)(CNCc2nccn2C)C1(C)C ZINC000657589681 411555745 /nfs/dbraw/zinc/55/57/45/411555745.db2.gz NONIHRJAGMJKHG-WFASDCNBSA-N 0 3 249.402 2.972 20 0 BFADHN CCN[C@@H]1CCCOc2ccc(F)cc21 ZINC000035652876 170150597 /nfs/dbraw/zinc/15/05/97/170150597.db2.gz LDDPDIHPZVVLAW-LLVKDONJSA-N 0 3 209.264 2.649 20 0 BFADHN C[C@@H]1CC(CNCc2ccn(C)n2)C[C@@H](C)C1 ZINC000657589627 411555996 /nfs/dbraw/zinc/55/59/96/411555996.db2.gz NENITOGZSTWUNG-RYUDHWBXSA-N 0 3 235.375 2.582 20 0 BFADHN CO[C@H](CN1CC[C@@H](C)[C@@H](F)C1)C1CCCC1 ZINC000660221332 411556264 /nfs/dbraw/zinc/55/62/64/411556264.db2.gz OHEADGCZICBTDS-KWCYVHTRSA-N 0 3 243.366 2.872 20 0 BFADHN CCN[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000043392488 170159262 /nfs/dbraw/zinc/15/92/62/170159262.db2.gz GMKHWOJQADVNIK-ZCFIWIBFSA-N 0 3 203.207 2.774 20 0 BFADHN C[C@@H](CNCc1ccco1)c1ccccn1 ZINC000657532547 411545272 /nfs/dbraw/zinc/54/52/72/411545272.db2.gz JJUHUYKFCVNPEL-NSHDSACASA-N 0 3 216.284 2.568 20 0 BFADHN CCN[C@H](c1ccc(OC)cc1F)C1CC1 ZINC000036766976 170163788 /nfs/dbraw/zinc/16/37/88/170163788.db2.gz CUHBZBSLOZNLDO-ZDUSSCGKSA-N 0 3 223.291 2.895 20 0 BFADHN CCN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000087342114 170164938 /nfs/dbraw/zinc/16/49/38/170164938.db2.gz TTZZWFLWVWZDCT-NSHDSACASA-N 0 3 207.321 2.641 20 0 BFADHN CCc1nocc1CNC[C@@H](C)c1ccccn1 ZINC000657536591 411545844 /nfs/dbraw/zinc/54/58/44/411545844.db2.gz YHVANSLSOSYNOE-LLVKDONJSA-N 0 3 245.326 2.525 20 0 BFADHN Cc1ccc(CNC[C@@H](C)c2ccccn2)nc1 ZINC000657536128 411545871 /nfs/dbraw/zinc/54/58/71/411545871.db2.gz TZRLMVQUSXLBOW-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@@H]1C[C@H](O)CN1Cc1cccc(C(C)(C)C)c1 ZINC000660148336 411546199 /nfs/dbraw/zinc/54/61/99/411546199.db2.gz KPVXTRYXWLWSRH-DOMZBBRYSA-N 0 3 247.382 2.939 20 0 BFADHN Fc1cc(F)cc(CN[C@@]23C[C@@H]2CCC3)c1 ZINC000657549423 411546731 /nfs/dbraw/zinc/54/67/31/411546731.db2.gz DFJBZEOEVYNUCC-GWCFXTLKSA-N 0 3 223.266 2.997 20 0 BFADHN CCNc1ccnc2ccc(OC)cc21 ZINC000050843496 170175755 /nfs/dbraw/zinc/17/57/55/170175755.db2.gz ALWSNKKQVVUPJC-UHFFFAOYSA-N 0 3 202.257 2.675 20 0 BFADHN C(N[C@]12C[C@H]1CCC2)c1nc2c(s1)CCC2 ZINC000657552011 411548042 /nfs/dbraw/zinc/54/80/42/411548042.db2.gz QFUQNTNSPGKKJW-NOZJJQNGSA-N 0 3 234.368 2.664 20 0 BFADHN OC[C@H](NCc1ccc(Cl)s1)C1CCC1 ZINC000657557391 411550209 /nfs/dbraw/zinc/55/02/09/411550209.db2.gz PBBUMOZXWKBHAI-JTQLQIEISA-N 0 3 245.775 2.652 20 0 BFADHN CCOC(=O)CCCNC1(c2ccccc2)CC1 ZINC000263997251 170202992 /nfs/dbraw/zinc/20/29/92/170202992.db2.gz MFLWGLUZKTXYAO-UHFFFAOYSA-N 0 3 247.338 2.609 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)co1 ZINC000660305698 411566316 /nfs/dbraw/zinc/56/63/16/411566316.db2.gz MKNBXWGOZFSRST-GBIKHYSHSA-N 0 3 222.332 2.897 20 0 BFADHN Fc1ccc(CN[C@@H]2COC3(CCC3)C2)cc1 ZINC000657629905 411566350 /nfs/dbraw/zinc/56/63/50/411566350.db2.gz OTVHGTDYMHSAII-ZDUSSCGKSA-N 0 3 235.302 2.627 20 0 BFADHN c1cc(CN[C@H]2COC3(CCC3)C2)cs1 ZINC000657630183 411566573 /nfs/dbraw/zinc/56/65/73/411566573.db2.gz XHAAXJCVROLFPA-LLVKDONJSA-N 0 3 223.341 2.549 20 0 BFADHN OC[C@@H](NCCC1CCC1)c1ccsc1 ZINC000660302987 411566591 /nfs/dbraw/zinc/56/65/91/411566591.db2.gz KGFRIUKAELUCJT-GFCCVEGCSA-N 0 3 225.357 2.561 20 0 BFADHN c1csc(CN[C@H]2COC3(CCC3)C2)c1 ZINC000657629773 411566644 /nfs/dbraw/zinc/56/66/44/411566644.db2.gz IXCVOJLLUZIGLV-SNVBAGLBSA-N 0 3 223.341 2.549 20 0 BFADHN Cc1ccccc1CN[C@H]1COC2(CCC2)C1 ZINC000657629897 411566689 /nfs/dbraw/zinc/56/66/89/411566689.db2.gz OFIHQFALETZOMG-CQSZACIVSA-N 0 3 231.339 2.796 20 0 BFADHN COc1cc(CN[C@@H]2CCCCC2(C)C)on1 ZINC000660306054 411566832 /nfs/dbraw/zinc/56/68/32/411566832.db2.gz NQUDZJQCIIZCAB-LLVKDONJSA-N 0 3 238.331 2.742 20 0 BFADHN CCOC(=O)CN(CCC(C)C)C(C)(C)C ZINC000355278826 170217137 /nfs/dbraw/zinc/21/71/37/170217137.db2.gz LPQGQGHRMODAFV-UHFFFAOYSA-N 0 3 229.364 2.696 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1nc(C)oc1C ZINC000660316967 411567718 /nfs/dbraw/zinc/56/77/18/411567718.db2.gz LZRBXLNLSVUXDT-TVQRCGJNSA-N 0 3 222.332 2.912 20 0 BFADHN COc1ccccc1[C@H](CO)NCCC1CCC1 ZINC000660235807 411556583 /nfs/dbraw/zinc/55/65/83/411556583.db2.gz IDBVVNALUKQUSZ-AWEZNQCLSA-N 0 3 249.354 2.508 20 0 BFADHN CC(C)(C)c1ccc(CNC/C=C/CO)s1 ZINC000657592077 411556797 /nfs/dbraw/zinc/55/67/97/411556797.db2.gz FDRUHUVRICBNNG-SNAWJCMRSA-N 0 3 239.384 2.684 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@H]3CCCC[C@H]32)co1 ZINC000660238955 411557555 /nfs/dbraw/zinc/55/75/55/411557555.db2.gz OGITWUAOVAJWKF-ZLKJLUDKSA-N 0 3 234.343 2.994 20 0 BFADHN CC[C@H](C)CCNCc1cn(C2CCC2)nn1 ZINC000657587265 411557866 /nfs/dbraw/zinc/55/78/66/411557866.db2.gz TWDYXJVHXVEZPW-NSHDSACASA-N 0 3 236.363 2.529 20 0 BFADHN CC[C@H](C)CCNCc1ncc(COC)s1 ZINC000657587057 411558094 /nfs/dbraw/zinc/55/80/94/411558094.db2.gz PYPGMINGSUOTLB-JTQLQIEISA-N 0 3 242.388 2.815 20 0 BFADHN Cc1nc(CN2CC[C@@H](C(C)(C)C)C2)co1 ZINC000660250733 411560180 /nfs/dbraw/zinc/56/01/80/411560180.db2.gz CQNCYIVVJLKQCI-LLVKDONJSA-N 0 3 222.332 2.851 20 0 BFADHN COC[C@H](NCC1CC=CC1)c1ccco1 ZINC000660251518 411560345 /nfs/dbraw/zinc/56/03/45/411560345.db2.gz OWHYOFPUTNECTD-LBPRGKRZSA-N 0 3 221.300 2.523 20 0 BFADHN CCCC[C@@H](NCc1cn(C)cn1)C1CCC1 ZINC000660255254 411560620 /nfs/dbraw/zinc/56/06/20/411560620.db2.gz ZJAYXFLCQQHDFV-CQSZACIVSA-N 0 3 235.375 2.869 20 0 BFADHN CCOC(=O)[C@H](C)N1CCC[C@@H](C(C)(C)C)C1 ZINC000361543281 170266188 /nfs/dbraw/zinc/26/61/88/170266188.db2.gz MPJCZBFSPLHDHC-NWDGAFQWSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H](NCc1sccc1Cl)C1(CO)CC1 ZINC000657607181 411561321 /nfs/dbraw/zinc/56/13/21/411561321.db2.gz GMYOLVFEUJMXLZ-QMMMGPOBSA-N 0 3 245.775 2.652 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1CCC(C)CC1 ZINC000360003464 170270766 /nfs/dbraw/zinc/27/07/66/170270766.db2.gz JBTNQUXOSXYPDZ-ZDUSSCGKSA-N 0 3 241.375 2.696 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccc(C)cc2OC)C1 ZINC000657611500 411563234 /nfs/dbraw/zinc/56/32/34/411563234.db2.gz OAKTUAWHFZKYCH-HDJSIYSDSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)[C@H](N[C@H]1CCOC1)c1ccccc1F ZINC000657616465 411564278 /nfs/dbraw/zinc/56/42/78/411564278.db2.gz YKZJTAILUXDZQC-FZMZJTMJSA-N 0 3 237.318 2.901 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C(C)(C)C2)nc(C)n1 ZINC000660279189 411564673 /nfs/dbraw/zinc/56/46/73/411564673.db2.gz YLRMZQNFHAGCLG-LLVKDONJSA-N 0 3 247.386 2.961 20 0 BFADHN CCOC(=O)c1cccc(-c2cnccc2N)c1 ZINC000091369104 170298304 /nfs/dbraw/zinc/29/83/04/170298304.db2.gz SNJUGDWBICGIMS-UHFFFAOYSA-N 0 3 242.278 2.508 20 0 BFADHN COCc1ccc(CNC[C@@H]2CCC[C@H]3C[C@H]32)o1 ZINC000657654974 411570954 /nfs/dbraw/zinc/57/09/54/411570954.db2.gz AUWCIVYSYGHBGJ-SLEUVZQESA-N 0 3 249.354 2.952 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@@H]2CCC[C@@H]3C[C@@H]32)n1 ZINC000657655582 411571258 /nfs/dbraw/zinc/57/12/58/411571258.db2.gz DIUFHNVPNSEXSX-AGIUHOORSA-N 0 3 246.354 2.621 20 0 BFADHN COc1cc(F)cc(CNCCc2ccoc2)c1 ZINC000657654087 411571267 /nfs/dbraw/zinc/57/12/67/411571267.db2.gz RGEQKXOATKKUGF-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN CCOC(C)(C)CNCc1cccc(OC)c1 ZINC000159190645 170312764 /nfs/dbraw/zinc/31/27/64/170312764.db2.gz NRDSZHUEDMHFKM-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN CCOC(C)(C)CNCc1cc(F)cc(F)c1 ZINC000186533441 170312973 /nfs/dbraw/zinc/31/29/73/170312973.db2.gz XIQAQCVUEPXDIC-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1ccncc1F ZINC000340502450 170313344 /nfs/dbraw/zinc/31/33/44/170313344.db2.gz KGJQVENYWMKHRE-JTQLQIEISA-N 0 3 240.322 2.686 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1cc(C)ccn1 ZINC000287618613 170313605 /nfs/dbraw/zinc/31/36/05/170313605.db2.gz SUFAMIOZCPDVRA-GFCCVEGCSA-N 0 3 236.359 2.856 20 0 BFADHN C[C@H]1CCC[C@H](CNCc2ccc(F)cc2)O1 ZINC000657667491 411573060 /nfs/dbraw/zinc/57/30/60/411573060.db2.gz WCVXUDGLXUYAGY-SMDDNHRTSA-N 0 3 237.318 2.873 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2ccc(Cl)o2)O1 ZINC000657667428 411573117 /nfs/dbraw/zinc/57/31/17/411573117.db2.gz VVHHBBUVLQMBNU-ZJUUUORDSA-N 0 3 243.734 2.980 20 0 BFADHN CCOC1(CNCc2ccc(C)cn2)CCCC1 ZINC000336796846 170319085 /nfs/dbraw/zinc/31/90/85/170319085.db2.gz WJPSQKSQAYRQSK-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CCOC1(CNCc2ccc(C)nc2)CCCC1 ZINC000336794344 170319149 /nfs/dbraw/zinc/31/91/49/170319149.db2.gz BJXUECAYGVAEOJ-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CCOC1(CNCc2ccccn2)CCCC1 ZINC000292952270 170319493 /nfs/dbraw/zinc/31/94/93/170319493.db2.gz KRNIQFLNLYVLSG-UHFFFAOYSA-N 0 3 234.343 2.521 20 0 BFADHN CCOC1(C)CCN(Cc2ccncc2C)CC1 ZINC000295955198 170319549 /nfs/dbraw/zinc/31/95/49/170319549.db2.gz HLDHZXUFFMIGLR-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CCN(Cc1cn(C)cn1)[C@@H]1CCCC[C@@H]1C ZINC000660368576 411573815 /nfs/dbraw/zinc/57/38/15/411573815.db2.gz TYUYZZFZWXTOMM-GXTWGEPZSA-N 0 3 235.375 2.821 20 0 BFADHN CCOC1CC(NCc2ccc(F)c(F)c2)C1 ZINC000124468820 170329059 /nfs/dbraw/zinc/32/90/59/170329059.db2.gz BTZZTKBFOLZLQJ-UHFFFAOYSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1ccoc1CNC[C@H]1CCC[C@@H](C)O1 ZINC000657668360 411574094 /nfs/dbraw/zinc/57/40/94/411574094.db2.gz UITZCOASPJLOMY-VXGBXAGGSA-N 0 3 223.316 2.635 20 0 BFADHN C[C@@H]1CN(CCC2CCC2)[C@@H](C)[C@@H](C)O1 ZINC000660373462 411574212 /nfs/dbraw/zinc/57/42/12/411574212.db2.gz AJUZWTIDLVOMGA-GRYCIOLGSA-N 0 3 211.349 2.674 20 0 BFADHN CCOC1CC2(C1)CCN(C[C@H](F)CC)C2 ZINC000353005342 170332067 /nfs/dbraw/zinc/33/20/67/170332067.db2.gz CHRMWDCYHTYZIL-PNESKVBLSA-N 0 3 229.339 2.626 20 0 BFADHN C[C@@]1(CCNCc2ccccn2)CC1(F)F ZINC000657669985 411574299 /nfs/dbraw/zinc/57/42/99/411574299.db2.gz CJZLNFGOKHUFGT-LLVKDONJSA-N 0 3 226.270 2.607 20 0 BFADHN c1nc2c(s1)CN(C[C@@H]1CC[C@@H]3C[C@@H]3C1)CC2 ZINC000660374243 411574579 /nfs/dbraw/zinc/57/45/79/411574579.db2.gz FXPMNHYAHFYURV-UTUOFQBUSA-N 0 3 248.395 2.937 20 0 BFADHN CCc1nocc1CNCC[C@]1(C)CC1(F)F ZINC000657670144 411574756 /nfs/dbraw/zinc/57/47/56/411574756.db2.gz KMCUSSDHAUAFOJ-LLVKDONJSA-N 0 3 244.285 2.762 20 0 BFADHN COc1cccc(CNC[C@@]2(C)CC2(F)F)c1 ZINC000657669993 411574789 /nfs/dbraw/zinc/57/47/89/411574789.db2.gz QRUFBLBONCZIFY-GFCCVEGCSA-N 0 3 241.281 2.830 20 0 BFADHN Cc1cnc(CNCC[C@@]2(C)CC2(F)F)s1 ZINC000657671569 411575104 /nfs/dbraw/zinc/57/51/04/411575104.db2.gz VZKIMPKTBQNYJC-JTQLQIEISA-N 0 3 246.326 2.977 20 0 BFADHN CCOCC(C)(C)NCc1ccc(F)c(F)c1 ZINC000293246144 170354488 /nfs/dbraw/zinc/35/44/88/170354488.db2.gz LTVKRUNAIZOREM-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CCOCC(C)(C)NCc1ccccc1OC ZINC000293004355 170355367 /nfs/dbraw/zinc/35/53/67/170355367.db2.gz PCEZKELJIPKEFU-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN Cc1nc(CNCC[C@@]2(C)CC2(F)F)[nH]c1C ZINC000657673437 411575886 /nfs/dbraw/zinc/57/58/86/411575886.db2.gz QVZGJKQFZQQTSV-NSHDSACASA-N 0 3 243.301 2.552 20 0 BFADHN CC[C@H](CC(F)F)CN1CCO[C@H](C2CC2)C1 ZINC000660320790 411568514 /nfs/dbraw/zinc/56/85/14/411568514.db2.gz AGXYIRCRXLQTBQ-PWSUYJOCSA-N 0 3 247.329 2.779 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCO[C@@H](C2CC2)C1 ZINC000660320785 411568539 /nfs/dbraw/zinc/56/85/39/411568539.db2.gz AGXYIRCRXLQTBQ-CMPLNLGQSA-N 0 3 247.329 2.779 20 0 BFADHN CCOCCCN(C)[C@@H](C)c1ccncc1 ZINC000119788706 170370707 /nfs/dbraw/zinc/37/07/07/170370707.db2.gz LDNYAVOIBBNFDF-LBPRGKRZSA-N 0 3 222.332 2.501 20 0 BFADHN Cc1ncsc1CNCCC(C)(C)F ZINC000657644797 411569007 /nfs/dbraw/zinc/56/90/07/411569007.db2.gz DUNMTGRWKXDEJR-UHFFFAOYSA-N 0 3 216.325 2.679 20 0 BFADHN CCOCCCNCc1c(F)cccc1CC ZINC000353910336 170380756 /nfs/dbraw/zinc/38/07/56/170380756.db2.gz CLBXTYKTRGCOSL-UHFFFAOYSA-N 0 3 239.334 2.904 20 0 BFADHN CCOCCCNCc1cc(Cl)ccc1F ZINC000083374612 170380846 /nfs/dbraw/zinc/38/08/46/170380846.db2.gz YMRRNYIAXSTTES-UHFFFAOYSA-N 0 3 245.725 2.995 20 0 BFADHN CCOCCCNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000019962870 170381458 /nfs/dbraw/zinc/38/14/58/170381458.db2.gz WSLJBLPZEZSSLE-WCQYABFASA-N 0 3 237.343 2.919 20 0 BFADHN CCOCCCN[C@@H](C)c1ccccc1F ZINC000019962927 170381498 /nfs/dbraw/zinc/38/14/98/170381498.db2.gz XRZQMXLBTGBEEX-NSHDSACASA-N 0 3 225.307 2.903 20 0 BFADHN CCOCCCN[C@H](CC)c1nc(C)cs1 ZINC000309652170 170383078 /nfs/dbraw/zinc/38/30/78/170383078.db2.gz ONEVDNBPJIARRL-LLVKDONJSA-N 0 3 242.388 2.919 20 0 BFADHN CC(C)(C)c1n[nH]cc1CNCCc1ccoc1 ZINC000657652348 411569758 /nfs/dbraw/zinc/56/97/58/411569758.db2.gz IVXYYEFRXNGXLQ-UHFFFAOYSA-N 0 3 247.342 2.633 20 0 BFADHN COc1ccnc(CN[C@H](C)CC(C)C)c1F ZINC000657673715 411575938 /nfs/dbraw/zinc/57/59/38/411575938.db2.gz UAQDKEKVXACCEU-SNVBAGLBSA-N 0 3 240.322 2.754 20 0 BFADHN CCOCCN(C)C/C=C/c1ccc(F)cc1 ZINC000181481941 170388053 /nfs/dbraw/zinc/38/80/53/170388053.db2.gz SOTCVIROOWPEPJ-SNAWJCMRSA-N 0 3 237.318 2.807 20 0 BFADHN CCOCCN(C)Cc1cccc2cc[nH]c21 ZINC000272353867 170390342 /nfs/dbraw/zinc/39/03/42/170390342.db2.gz LKFVWXPFDXTCHS-UHFFFAOYSA-N 0 3 232.327 2.636 20 0 BFADHN CCOCCN(C)Cc1ccc(F)cc1C ZINC000181478238 170390833 /nfs/dbraw/zinc/39/08/33/170390833.db2.gz HJAZMWXLUWXZFE-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN CCOCCN(CC)[C@@H](C)c1cccc(O)c1 ZINC000148793688 170395627 /nfs/dbraw/zinc/39/56/27/170395627.db2.gz WQCHHBSEBMDCHM-LBPRGKRZSA-N 0 3 237.343 2.812 20 0 BFADHN CCOCCN(CC)Cc1ccccc1OC ZINC000128252604 170396058 /nfs/dbraw/zinc/39/60/58/170396058.db2.gz GQIUJGUAPFZTPW-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN CCOCCN(CC)[C@H](C)c1cccnc1 ZINC000270698936 170396121 /nfs/dbraw/zinc/39/61/21/170396121.db2.gz ZXWRGJDAFMPFBY-GFCCVEGCSA-N 0 3 222.332 2.501 20 0 BFADHN CCOCCN1CCCC[C@H]1c1cccn1C ZINC000175118074 170397701 /nfs/dbraw/zinc/39/77/01/170397701.db2.gz QKNSEGGBZKUTLL-AWEZNQCLSA-N 0 3 236.359 2.589 20 0 BFADHN CO[C@@H](C)CNCc1cccc(C(C)C)c1 ZINC000663325622 411625366 /nfs/dbraw/zinc/62/53/66/411625366.db2.gz XNUNGIPOHKHAPN-LBPRGKRZSA-N 0 3 221.344 2.935 20 0 BFADHN c1c(CN[C@@H]2CCC[C@@H]3C[C@@H]32)onc1C1CC1 ZINC000660783470 411630461 /nfs/dbraw/zinc/63/04/61/411630461.db2.gz QDIYBSXKZRORCX-KGYLQXTDSA-N 0 3 232.327 2.830 20 0 BFADHN CCOCCN[C@@H](C)c1nccc2ccccc21 ZINC000289967074 170414242 /nfs/dbraw/zinc/41/42/42/170414242.db2.gz XFLZZXRLDQMTRU-LBPRGKRZSA-N 0 3 244.338 2.922 20 0 BFADHN CC1(CNCc2cnccc2C(F)(F)F)CC1 ZINC000663353996 411635867 /nfs/dbraw/zinc/63/58/67/411635867.db2.gz HXBMACHKEAGURV-UHFFFAOYSA-N 0 3 244.260 2.990 20 0 BFADHN C(CN1CCO[C@@H](C2CCC2)C1)C1CCC1 ZINC000660797173 411636185 /nfs/dbraw/zinc/63/61/85/411636185.db2.gz MRNVSYTYGZYNGU-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN Cn1ncc2c1CCCN(CCCC1CCC1)C2 ZINC000660794807 411636450 /nfs/dbraw/zinc/63/64/50/411636450.db2.gz AOODRWDNVSYKOH-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN O=C1CCN(C[C@@H]2C[C@H]3C[C@H]3C2)C2(CCC2)C1 ZINC000660819803 411644707 /nfs/dbraw/zinc/64/47/07/411644707.db2.gz QMRNUKNGNOZYLU-CLLJXQQHSA-N 0 3 233.355 2.620 20 0 BFADHN Cc1ncccc1CNC[C@]1(C)CC1(F)F ZINC000657663672 411576368 /nfs/dbraw/zinc/57/63/68/411576368.db2.gz DYRYYZHDFQMUQQ-NSHDSACASA-N 0 3 226.270 2.525 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2cccs2)O1 ZINC000657663924 411576537 /nfs/dbraw/zinc/57/65/37/411576537.db2.gz KENNVVWGANYNSD-GHMZBOCLSA-N 0 3 225.357 2.795 20 0 BFADHN CCc1ncc(CNC[C@]2(C)CC2(F)F)s1 ZINC000657664297 411577122 /nfs/dbraw/zinc/57/71/22/411577122.db2.gz IXNAOJVVBZJRCO-JTQLQIEISA-N 0 3 246.326 2.840 20 0 BFADHN Cc1ccc(CNC[C@@]2(C)CC2(F)F)nc1 ZINC000657664338 411577331 /nfs/dbraw/zinc/57/73/31/411577331.db2.gz JDNMBHPAPNDTMZ-LLVKDONJSA-N 0 3 226.270 2.525 20 0 BFADHN CC(C)n1cc(CNC[C@]2(C)CC2(F)F)cn1 ZINC000657665396 411577443 /nfs/dbraw/zinc/57/74/43/411577443.db2.gz ORVHPSKOKIXFIE-NSHDSACASA-N 0 3 243.301 2.599 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2ccccc2)O1 ZINC000657666200 411578123 /nfs/dbraw/zinc/57/81/23/411578123.db2.gz RMKDHQXOFWUQJH-OCCSQVGLSA-N 0 3 219.328 2.734 20 0 BFADHN CC[C@](C)(NCc1cn(C2CCC2)nn1)C1CC1 ZINC000657686813 411578491 /nfs/dbraw/zinc/57/84/91/411578491.db2.gz CUTAWZSLUNDJBR-AWEZNQCLSA-N 0 3 248.374 2.671 20 0 BFADHN Oc1ccc2c(c1)[C@@H](N[C@@H]1CCCSC1)CC2 ZINC000657694167 411578728 /nfs/dbraw/zinc/57/87/28/411578728.db2.gz SNTOLLLGDPUATO-RISCZKNCSA-N 0 3 249.379 2.865 20 0 BFADHN CC[C@@](C)(NCc1cn(C(C)C)nn1)C1CC1 ZINC000657690800 411579399 /nfs/dbraw/zinc/57/93/99/411579399.db2.gz XDAZAWLCYCVWRB-CYBMUJFWSA-N 0 3 236.363 2.527 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H]2CCc3ccc(O)cc32)CO1 ZINC000657693135 411579893 /nfs/dbraw/zinc/57/98/93/411579893.db2.gz HBCYIEWKHBMQMV-NJZAAPMLSA-N 0 3 247.338 2.537 20 0 BFADHN CC[C@H](NCc1nccn1C(C)C)C1CC1 ZINC000657679758 411580479 /nfs/dbraw/zinc/58/04/79/411580479.db2.gz FPBZBWSAFWCRLH-LBPRGKRZSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@@H](NCc1cn2cccc(F)c2n1)C1CC1 ZINC000657681847 411580521 /nfs/dbraw/zinc/58/05/21/411580521.db2.gz UCISFRVTSDIDDQ-CYBMUJFWSA-N 0 3 247.317 2.752 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1cc(C2CC2)no1 ZINC000660409874 411580645 /nfs/dbraw/zinc/58/06/45/411580645.db2.gz INYRSLZSKAWHGU-JOYOIKCWSA-N 0 3 220.316 2.830 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1nc(C)oc1C ZINC000660410620 411580687 /nfs/dbraw/zinc/58/06/87/411580687.db2.gz QFKMPJRHRIKCCF-NWDGAFQWSA-N 0 3 222.332 2.960 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1nc(C)oc1C ZINC000660410621 411581007 /nfs/dbraw/zinc/58/10/07/411581007.db2.gz QFKMPJRHRIKCCF-RYUDHWBXSA-N 0 3 222.332 2.960 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CCC[C@H]2CC)[n-]1 ZINC000660411230 411581270 /nfs/dbraw/zinc/58/12/70/411581270.db2.gz VRBBHSLQBUSTEO-HBNTYKKESA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@H](C)N[C@@H]2CCC[C@H]2CC)[nH]1 ZINC000660411230 411581272 /nfs/dbraw/zinc/58/12/72/411581272.db2.gz VRBBHSLQBUSTEO-HBNTYKKESA-N 0 3 236.363 2.596 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1C[C@@H](O)C12CCC2 ZINC000657701697 411582631 /nfs/dbraw/zinc/58/26/31/411582631.db2.gz AEJWLSRZGZLITN-ZIAGYGMSSA-N 0 3 249.329 2.527 20 0 BFADHN O[C@@H]1C[C@H](NC/C=C/c2ccccc2)C12CCC2 ZINC000657704402 411583808 /nfs/dbraw/zinc/58/38/08/411583808.db2.gz GDAXFAOMCFKQQZ-VSWCJUERSA-N 0 3 243.350 2.593 20 0 BFADHN Cc1cc(C)cc(CN[C@@H]2C[C@@H](O)C23CCC3)c1 ZINC000657705446 411584685 /nfs/dbraw/zinc/58/46/85/411584685.db2.gz YRYVITFXRPAGPJ-HUUCEWRRSA-N 0 3 245.366 2.697 20 0 BFADHN Fc1cc(CN[C@H]2[C@@H]3CCC[C@@H]32)cc(F)c1F ZINC000657733500 411587372 /nfs/dbraw/zinc/58/73/72/411587372.db2.gz DDPRSJGXQVCIDH-WOFXILAISA-N 0 3 241.256 2.992 20 0 BFADHN Cc1cnccc1CNC[C@H]1C(C)(C)C1(F)F ZINC000657738612 411588995 /nfs/dbraw/zinc/58/89/95/411588995.db2.gz MERRZTLTIYYXPR-NSHDSACASA-N 0 3 240.297 2.771 20 0 BFADHN Cc1occc1CNC[C@@H]1C(C)(C)C1(F)F ZINC000657739372 411589046 /nfs/dbraw/zinc/58/90/46/411589046.db2.gz RXEREHULSOXPMJ-SNVBAGLBSA-N 0 3 229.270 2.969 20 0 BFADHN C[C@H](NCc1cocn1)C1CCC(F)CC1 ZINC000657743674 411589767 /nfs/dbraw/zinc/58/97/67/411589767.db2.gz IXZHNHNOADBQEJ-WHXUTIOJSA-N 0 3 226.295 2.681 20 0 BFADHN CC(C)c1cccc(CN(C)CCCO)c1 ZINC000660475282 411590215 /nfs/dbraw/zinc/59/02/15/411590215.db2.gz ATZVNNZCYAJSRZ-UHFFFAOYSA-N 0 3 221.344 2.624 20 0 BFADHN CC(C)[C@@H](NCc1cn(C2CCC2)nn1)C1CC1 ZINC000657757053 411590339 /nfs/dbraw/zinc/59/03/39/411590339.db2.gz LOYVGVXOQGHWAN-CQSZACIVSA-N 0 3 248.374 2.527 20 0 BFADHN CC(NCc1cscn1)(C1CC1)C1CC1 ZINC000657754690 411590387 /nfs/dbraw/zinc/59/03/87/411590387.db2.gz BZDCGRQHKKWUIP-UHFFFAOYSA-N 0 3 222.357 2.811 20 0 BFADHN COc1ccc(CN[C@@]2(C)CC2(C)C)cc1O ZINC000657760811 411590881 /nfs/dbraw/zinc/59/08/81/411590881.db2.gz TYXAZTVJDMLQRF-AWEZNQCLSA-N 0 3 235.327 2.679 20 0 BFADHN CCOC[C@H](C)NCc1cccc(OCC)c1 ZINC000044691838 170446192 /nfs/dbraw/zinc/44/61/92/170446192.db2.gz NSAVOBWIYKFCAT-LBPRGKRZSA-N 0 3 237.343 2.600 20 0 BFADHN CC(C)c1ncc(CN(C)CC2CC=CC2)cn1 ZINC000660478891 411591561 /nfs/dbraw/zinc/59/15/61/411591561.db2.gz STWMLUIKPBAJLQ-UHFFFAOYSA-N 0 3 245.370 2.998 20 0 BFADHN CC(=O)Nc1ccc(CNCC2=CCCC2)cc1 ZINC000657748424 411591972 /nfs/dbraw/zinc/59/19/72/411591972.db2.gz IQHYWDHTIHGDGO-UHFFFAOYSA-N 0 3 244.338 2.845 20 0 BFADHN C1=C(CNCc2cnc(C3CC3)nc2)CCC1 ZINC000657749828 411592100 /nfs/dbraw/zinc/59/21/00/411592100.db2.gz OQERNYHXDSCNPO-UHFFFAOYSA-N 0 3 229.327 2.554 20 0 BFADHN Cc1cccc2ncc(CNCC3=CCCC3)n21 ZINC000657749607 411592104 /nfs/dbraw/zinc/59/21/04/411592104.db2.gz HCJICEOKQXEDMG-UHFFFAOYSA-N 0 3 241.338 2.843 20 0 BFADHN CC(C)CC1(NCc2cncc(F)c2)CC1 ZINC000657774462 411594559 /nfs/dbraw/zinc/59/45/59/411594559.db2.gz HJLGCNYGOUSWKX-UHFFFAOYSA-N 0 3 222.307 2.889 20 0 BFADHN CO[C@@H](CNCc1ccccn1)C1CCCCC1 ZINC000657783034 411595206 /nfs/dbraw/zinc/59/52/06/411595206.db2.gz PXVBUXUXJDFZKR-HNNXBMFYSA-N 0 3 248.370 2.767 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@]2(C)OC)cc1 ZINC000657788223 411595896 /nfs/dbraw/zinc/59/58/96/411595896.db2.gz HURPBPYFMAJOGJ-KGLIPLIRSA-N 0 3 219.328 2.516 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cccc(OC(C)C)c1 ZINC000657788060 411596067 /nfs/dbraw/zinc/59/60/67/411596067.db2.gz DWTYYXZIISEKRO-CABCVRRESA-N 0 3 249.354 2.741 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cc(C)cc(C)c1 ZINC000657788894 411596625 /nfs/dbraw/zinc/59/66/25/411596625.db2.gz XIBCNDDUCQFAGE-KGLIPLIRSA-N 0 3 219.328 2.570 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc(SC)cc1 ZINC000657789735 411596714 /nfs/dbraw/zinc/59/67/14/411596714.db2.gz RTJQLFXHXJTJNS-OLZOCXBDSA-N 0 3 237.368 2.676 20 0 BFADHN Fc1ccc2c(c1)C[C@@H]1[C@@H](NCC3(F)CC3)[C@H]21 ZINC000657790299 411596959 /nfs/dbraw/zinc/59/69/59/411596959.db2.gz WJJLDDARVPLPSQ-YNEHKIRRSA-N 0 3 235.277 2.556 20 0 BFADHN CC(C)n1ccnc1CNCCCc1ccco1 ZINC000657781632 411597220 /nfs/dbraw/zinc/59/72/20/411597220.db2.gz FJPPNKCIVKGUJL-UHFFFAOYSA-N 0 3 247.342 2.779 20 0 BFADHN CC[C@H](CC(F)F)CN1CCN(CC)C[C@@H]1C ZINC000660539677 411597463 /nfs/dbraw/zinc/59/74/63/411597463.db2.gz VQVNWBNVGRQYLW-NWDGAFQWSA-N 0 3 248.361 2.694 20 0 BFADHN Cc1nc(CNCCCc2ccco2)cs1 ZINC000657780102 411597565 /nfs/dbraw/zinc/59/75/65/411597565.db2.gz LOMAXHNSQSDBAL-UHFFFAOYSA-N 0 3 236.340 2.767 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cccc(C2CC2)c1 ZINC000657790431 411597622 /nfs/dbraw/zinc/59/76/22/411597622.db2.gz QIWMSJZBKSPNRS-CABCVRRESA-N 0 3 231.339 2.831 20 0 BFADHN Fc1ccc2c(c1)C[C@@H]1[C@H](NCc3ccco3)[C@H]21 ZINC000657791318 411597684 /nfs/dbraw/zinc/59/76/84/411597684.db2.gz RPNWDDHXDWPYOG-ZNMIVQPWSA-N 0 3 243.281 2.847 20 0 BFADHN CO[C@@H](C)CN(C)[C@@H]1C[C@@H]1c1ccccc1 ZINC000660553492 411598776 /nfs/dbraw/zinc/59/87/76/411598776.db2.gz ZDTUKZSCWPBAHO-IACUBPJLSA-N 0 3 219.328 2.509 20 0 BFADHN Cc1cccc2c1CCC[C@@H]2NCc1cn[nH]c1 ZINC000657796672 411599425 /nfs/dbraw/zinc/59/94/25/411599425.db2.gz HOTIHYRQZAFAQC-HNNXBMFYSA-N 0 3 241.338 2.885 20 0 BFADHN CCc1nc([C@H](C)N2CCC[C@@H](C3CC3)C2)n[nH]1 ZINC000660559435 411600419 /nfs/dbraw/zinc/60/04/19/411600419.db2.gz HQJBHHUTSRHRTH-CMPLNLGQSA-N 0 3 248.374 2.550 20 0 BFADHN Cc1cc2cc(CN[C@H]3C[C@H](O)C3)oc2cc1C ZINC000657809893 411602210 /nfs/dbraw/zinc/60/22/10/411602210.db2.gz SXIQZSJIAIYQPT-JOCQHMNTSA-N 0 3 245.322 2.663 20 0 BFADHN COCCCN[C@@H](C)c1cc2cnccc2o1 ZINC000657816377 411605449 /nfs/dbraw/zinc/60/54/49/411605449.db2.gz IQDHOFPWGZEEFK-JTQLQIEISA-N 0 3 234.299 2.515 20 0 BFADHN C[C@H](NC1CC(CF)(CF)C1)c1ccccn1 ZINC000657817102 411605800 /nfs/dbraw/zinc/60/58/00/411605800.db2.gz NAOUMBNLYZXWCP-JTQLQIEISA-N 0 3 240.297 2.820 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1cc2cnccc2o1 ZINC000657818470 411606094 /nfs/dbraw/zinc/60/60/94/411606094.db2.gz TZLMGSYLJNFJMD-JQWIXIFHSA-N 0 3 246.310 2.657 20 0 BFADHN C[C@@H](O)[C@@H](NCc1cccs1)c1ccccc1 ZINC000657817123 411606102 /nfs/dbraw/zinc/60/61/02/411606102.db2.gz MLWDXOFBGNTMJN-BXUZGUMPSA-N 0 3 247.363 2.960 20 0 BFADHN Cc1ccccc1CN[C@H]1CCCn2nccc21 ZINC000657818463 411606235 /nfs/dbraw/zinc/60/62/35/411606235.db2.gz BJHLERQOXYRXDO-AWEZNQCLSA-N 0 3 241.338 2.816 20 0 BFADHN CCOCCN[C@H](C)c1ccc(C(F)F)nc1 ZINC000657840913 411609768 /nfs/dbraw/zinc/60/97/68/411609768.db2.gz YKUIUFIYYFWZAN-SECBINFHSA-N 0 3 244.285 2.706 20 0 BFADHN CCOCCN[C@H](C)c1cc2cnccc2o1 ZINC000657840691 411609842 /nfs/dbraw/zinc/60/98/42/411609842.db2.gz VBRYEQPYWAZGEZ-SNVBAGLBSA-N 0 3 234.299 2.515 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1cc2cnccc2o1 ZINC000657875280 411614838 /nfs/dbraw/zinc/61/48/38/411614838.db2.gz IUMFSXYQSMLAHZ-JQWIXIFHSA-N 0 3 246.310 2.657 20 0 BFADHN COc1cccc(CN[C@@H]2CCCC23CC3)n1 ZINC000657873993 411615416 /nfs/dbraw/zinc/61/54/16/411615416.db2.gz XKHROYSUVDTFJM-GFCCVEGCSA-N 0 3 232.327 2.513 20 0 BFADHN CC(C)=CCCN(C)Cc1ccccn1 ZINC000660676748 411616892 /nfs/dbraw/zinc/61/68/92/411616892.db2.gz AEAJHHCNQBCQAI-UHFFFAOYSA-N 0 3 204.317 2.870 20 0 BFADHN Cc1nc(CN2C[C@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)co1 ZINC000660678176 411616918 /nfs/dbraw/zinc/61/69/18/411616918.db2.gz XXHQJXVXNPCAOM-DHMWGJHJSA-N 0 3 244.338 2.627 20 0 BFADHN CO[C@H](CN1CC[C@H](F)C1)C1CCCCC1 ZINC000660692293 411617762 /nfs/dbraw/zinc/61/77/62/411617762.db2.gz NFXDSJPZOKDKTQ-QWHCGFSZSA-N 0 3 229.339 2.626 20 0 BFADHN CCc1nocc1CN1[C@@H](C)CCC1(C)C ZINC000660753890 411621239 /nfs/dbraw/zinc/62/12/39/411621239.db2.gz DPDFQZMJSLODGH-JTQLQIEISA-N 0 3 222.332 3.000 20 0 BFADHN Cc1nc(CN2[C@@H](C)CCC2(C)C)co1 ZINC000660754565 411621350 /nfs/dbraw/zinc/62/13/50/411621350.db2.gz JBBVXMNHKFTAKB-VIFPVBQESA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@H](NCCOCCF)c1ccc(F)cc1 ZINC000663311542 411621760 /nfs/dbraw/zinc/62/17/60/411621760.db2.gz XVEJSLFIXRHSRX-ZDUSSCGKSA-N 0 3 243.297 2.853 20 0 BFADHN CC[C@@H](C)N(CC)Cc1ccc(OC)cn1 ZINC000661102807 411706612 /nfs/dbraw/zinc/70/66/12/411706612.db2.gz ARIGVFHZKUEUJE-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN CC[C@@H](C)N(CC)CC(=O)N(C(C)C)C(C)C ZINC000661105856 411710452 /nfs/dbraw/zinc/71/04/52/411710452.db2.gz JYBINEMCCLTUNM-CYBMUJFWSA-N 0 3 242.407 2.752 20 0 BFADHN COCC1(N(C)Cc2ccc(C)cc2)CC1 ZINC000663452762 411660762 /nfs/dbraw/zinc/66/07/62/411660762.db2.gz KMFMDJSEHJIVBV-UHFFFAOYSA-N 0 3 219.328 2.606 20 0 BFADHN CC[C@@H](NCCOCCF)c1cccc(F)c1 ZINC000663459708 411662896 /nfs/dbraw/zinc/66/28/96/411662896.db2.gz FOGGCLIAAAQALI-CYBMUJFWSA-N 0 3 243.297 2.853 20 0 BFADHN C[C@@H]1CN(CCC2CCC2)CC2(CCC2)O1 ZINC000660907130 411667061 /nfs/dbraw/zinc/66/70/61/411667061.db2.gz NAEMJHNCKYOFOH-GFCCVEGCSA-N 0 3 223.360 2.820 20 0 BFADHN CC[C@H]1CN(CCC=C(C)C)[C@H](C)CO1 ZINC000660912376 411669477 /nfs/dbraw/zinc/66/94/77/411669477.db2.gz JYMRQFYPEGUWNH-OLZOCXBDSA-N 0 3 211.349 2.842 20 0 BFADHN CCc1nocc1CN[C@H](C)C(C)(C)C ZINC000660919896 411672204 /nfs/dbraw/zinc/67/22/04/411672204.db2.gz LFKKYSSTNCUPPN-SECBINFHSA-N 0 3 210.321 2.761 20 0 BFADHN C[C@@H]1CN(CCC2CCC2)C2(CCC2)CO1 ZINC000660920764 411672694 /nfs/dbraw/zinc/67/26/94/411672694.db2.gz RIJWZQHAGNMIHX-GFCCVEGCSA-N 0 3 223.360 2.820 20 0 BFADHN CCOc1ccc(CN2CCCC2)cc1 ZINC000102667724 170542506 /nfs/dbraw/zinc/54/25/06/170542506.db2.gz VIUDHQLVCSVBLJ-UHFFFAOYSA-N 0 3 205.301 2.681 20 0 BFADHN CCc1nc(C)c(CN2C[C@@H]3CCCC[C@@H]32)o1 ZINC000660929948 411679291 /nfs/dbraw/zinc/67/92/91/411679291.db2.gz DHBKERSKZHQRBL-RYUDHWBXSA-N 0 3 234.343 2.920 20 0 BFADHN Cc1ncsc1CN1C[C@@H]2CCCC[C@@H]21 ZINC000660930384 411680922 /nfs/dbraw/zinc/68/09/22/411680922.db2.gz GITWWTFYWKXMMW-QWRGUYRKSA-N 0 3 222.357 2.826 20 0 BFADHN CC(C)C[C@H](C)CN(C)Cc1c[nH]cn1 ZINC000661089537 411698644 /nfs/dbraw/zinc/69/86/44/411698644.db2.gz CAJTVWGFWVTSNM-NSHDSACASA-N 0 3 209.337 2.524 20 0 BFADHN CC(C)C[C@H](C)CN(C)Cc1cnc[nH]1 ZINC000661089537 411698645 /nfs/dbraw/zinc/69/86/45/411698645.db2.gz CAJTVWGFWVTSNM-NSHDSACASA-N 0 3 209.337 2.524 20 0 BFADHN CN(Cc1cnc[nH]1)[C@@H]1C=CCCCCC1 ZINC000661091187 411701511 /nfs/dbraw/zinc/70/15/11/411701511.db2.gz WMUHVAAGQXXAPB-CYBMUJFWSA-N 0 3 219.332 2.730 20 0 BFADHN Fc1ccc([C@@H]2CCN2CC2CC2)cc1 ZINC000661509968 411791558 /nfs/dbraw/zinc/79/15/58/411791558.db2.gz FLECGNBIJMXSCO-ZDUSSCGKSA-N 0 3 205.276 2.983 20 0 BFADHN CCOc1ccccc1CN[C@H](CC)COC ZINC000079758197 170592862 /nfs/dbraw/zinc/59/28/62/170592862.db2.gz GQUAONAYVCGUAN-CYBMUJFWSA-N 0 3 237.343 2.600 20 0 BFADHN Cc1ccc2c(c1)CN(CCC[C@@H](C)O)CC2 ZINC000661372397 411757121 /nfs/dbraw/zinc/75/71/21/411757121.db2.gz LWXDOSGLQUQDEK-CYBMUJFWSA-N 0 3 233.355 2.514 20 0 BFADHN CC[C@H](CN1CCc2ccc(C)cc2C1)OC ZINC000661371652 411757398 /nfs/dbraw/zinc/75/73/98/411757398.db2.gz BKPKVPPSVJWDPG-OAHLLOKOSA-N 0 3 233.355 2.778 20 0 BFADHN CCSCCCNCc1cncn1C(C)C ZINC000300968112 170628585 /nfs/dbraw/zinc/62/85/85/170628585.db2.gz RMXNIVANNWDXLU-UHFFFAOYSA-N 0 3 241.404 2.697 20 0 BFADHN Cc1cc(Cl)cc(C)c1CN[C@@H]1CCOC1 ZINC000133489429 411775538 /nfs/dbraw/zinc/77/55/38/411775538.db2.gz NWORNTSQAXFFPC-GFCCVEGCSA-N 0 3 239.746 2.835 20 0 BFADHN CCSCC[C@@H](C)NCc1ccco1 ZINC000127495033 170641720 /nfs/dbraw/zinc/64/17/20/170641720.db2.gz XDRVMEYMUXYXSV-SNVBAGLBSA-N 0 3 213.346 2.901 20 0 BFADHN CC(C)[C@@H](NCC[C@H](C)O)c1ccccc1F ZINC000661486213 411785693 /nfs/dbraw/zinc/78/56/93/411785693.db2.gz UQHQYWDOJMQWQP-SMDDNHRTSA-N 0 3 239.334 2.883 20 0 BFADHN CC(C)[C@@H](O)CN1CC[C@@H]1c1ccc(F)cc1 ZINC000661510951 411791157 /nfs/dbraw/zinc/79/11/57/411791157.db2.gz WAJYICSSISNQIV-KGLIPLIRSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@]1(C2CC2)CN([C@H]2C=CCCC2)CCO1 ZINC000661169669 411726344 /nfs/dbraw/zinc/72/63/44/411726344.db2.gz FZUNCAMALGREDL-UONOGXRCSA-N 0 3 221.344 2.596 20 0 BFADHN CC(C)=CCCN1CCO[C@@](C)(C2CC2)C1 ZINC000661172316 411727966 /nfs/dbraw/zinc/72/79/66/411727966.db2.gz RDDDEQCSPIFSMS-CQSZACIVSA-N 0 3 223.360 2.844 20 0 BFADHN C[C@@H]1CN(CCC(C)(C)C2CC2)C[C@@H](C)C1=O ZINC000661539402 411798706 /nfs/dbraw/zinc/79/87/06/411798706.db2.gz RKOMKHABTUHYGI-VXGBXAGGSA-N 0 3 237.387 2.970 20 0 BFADHN Cc1cc(CN2C[C@H](C)C(O)[C@@H](C)C2)cs1 ZINC000661621769 411820480 /nfs/dbraw/zinc/82/04/80/411820480.db2.gz OUOGZKSHDVRMCB-UWVGGRQHSA-N 0 3 239.384 2.505 20 0 BFADHN CCC1(CC)CCCN(Cc2ccnn2C)C1 ZINC000661671569 411833159 /nfs/dbraw/zinc/83/31/59/411833159.db2.gz DSEFXDADWXLKLY-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN CCC1(CC)CCCN(Cc2cncn2C)C1 ZINC000661676519 411834114 /nfs/dbraw/zinc/83/41/14/411834114.db2.gz HNWONZDSXAQGOR-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN Cc1noc(C)c1CN1CCC[C@H](CCF)C1 ZINC000661687367 411838164 /nfs/dbraw/zinc/83/81/64/411838164.db2.gz BLBYHTJXOPHHCM-GFCCVEGCSA-N 0 3 240.322 2.863 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](CCF)C2)cn1 ZINC000661690882 411841682 /nfs/dbraw/zinc/84/16/82/411841682.db2.gz WIZWFYJSCYCOPC-ZDUSSCGKSA-N 0 3 236.334 2.962 20 0 BFADHN Cc1cc(F)ccc1CN1C[C@H]2COC[C@]2(C)C1 ZINC000661702241 411848320 /nfs/dbraw/zinc/84/83/20/411848320.db2.gz VCECCLOTNGGNDY-ZFWWWQNUSA-N 0 3 249.329 2.602 20 0 BFADHN CCc1ccccc1CN[C@@H]1C[C@H](O)C12CCC2 ZINC000661832117 411894858 /nfs/dbraw/zinc/89/48/58/411894858.db2.gz XHFPWURKLAHIJX-CABCVRRESA-N 0 3 245.366 2.642 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)N[C@H](C)c1ccccc1C ZINC000040854848 170795163 /nfs/dbraw/zinc/79/51/63/170795163.db2.gz WDVRWUAUJILCPA-QKCSRTOESA-N 0 3 248.370 2.546 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)Nc1ccc(Cl)cc1 ZINC000011959840 170795943 /nfs/dbraw/zinc/79/59/43/170795943.db2.gz VJDCEQQQZSYJEV-KCJUWKMLSA-N 0 3 240.734 2.652 20 0 BFADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1cnn(C)c1 ZINC000354989186 170815499 /nfs/dbraw/zinc/81/54/99/170815499.db2.gz WJJOCZHPUKXDKX-SCZZXKLOSA-N 0 3 249.280 2.802 20 0 BFADHN CC[C@@H](CCO)CNCc1sccc1Cl ZINC000193143959 170817658 /nfs/dbraw/zinc/81/76/58/170817658.db2.gz YGQLYPNFNOKQPG-VIFPVBQESA-N 0 3 247.791 2.900 20 0 BFADHN COc1cccc(CN2CC[C@@H]3C[C@@H]3C2)c1 ZINC000661971416 411926968 /nfs/dbraw/zinc/92/69/68/411926968.db2.gz OCKYMJQQZXUFJK-CHWSQXEVSA-N 0 3 217.312 2.537 20 0 BFADHN Fc1ccc(CCN2CC[C@H]3C[C@H]3C2)cc1F ZINC000661970950 411927059 /nfs/dbraw/zinc/92/70/59/411927059.db2.gz GNHCZULRRMZQEV-RYUDHWBXSA-N 0 3 237.293 2.849 20 0 BFADHN Fc1ccc(CCN2CC[C@@H]3C[C@@H]3C2)cc1F ZINC000661970953 411927219 /nfs/dbraw/zinc/92/72/19/411927219.db2.gz GNHCZULRRMZQEV-VXGBXAGGSA-N 0 3 237.293 2.849 20 0 BFADHN Fc1ccccc1OCCN1CC[C@H]2C[C@H]2C1 ZINC000661979131 411933446 /nfs/dbraw/zinc/93/34/46/411933446.db2.gz SUVUKWBQBBJAHR-RYUDHWBXSA-N 0 3 235.302 2.546 20 0 BFADHN CC(C)=CCN1CC2(CCCC2)OC[C@H]1C ZINC000661978552 411933595 /nfs/dbraw/zinc/93/35/95/411933595.db2.gz MFKNFCVTIOBGHS-CYBMUJFWSA-N 0 3 223.360 2.986 20 0 BFADHN CCCCN1CCC(c2ccon2)CC1 ZINC000662047099 411966625 /nfs/dbraw/zinc/96/66/25/411966625.db2.gz YNUBJYIVHCTTOU-UHFFFAOYSA-N 0 3 208.305 2.654 20 0 BFADHN Clc1ccccc1CN1CCC2(COC2)C1 ZINC000662072282 411971169 /nfs/dbraw/zinc/97/11/69/411971169.db2.gz FJPNPQKOSYPBIO-UHFFFAOYSA-N 0 3 237.730 2.562 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCC2(COC2)C1 ZINC000662076121 411976855 /nfs/dbraw/zinc/97/68/55/411976855.db2.gz TXWVZPNWYPIUPJ-LLVKDONJSA-N 0 3 235.302 2.609 20 0 BFADHN Oc1ccc2c(c1)CN(CC1CCCC1)CCO2 ZINC000662092710 411985621 /nfs/dbraw/zinc/98/56/21/411985621.db2.gz MVYKJAMVMUYUML-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN CCC[C@@H](C)N1CCOc2ccc(O)cc2C1 ZINC000662091697 411986185 /nfs/dbraw/zinc/98/61/85/411986185.db2.gz CVMCHDKPBUANGZ-LLVKDONJSA-N 0 3 235.327 2.775 20 0 BFADHN CC(C)(CCN1CCc2nccnc2C1)C1CC1 ZINC000662119044 411987005 /nfs/dbraw/zinc/98/70/05/411987005.db2.gz JDMGFUFBLPGEFW-UHFFFAOYSA-N 0 3 245.370 2.661 20 0 BFADHN COc1cccc(C2(NC[C@H](C)OC)CCC2)c1 ZINC000662109814 411988555 /nfs/dbraw/zinc/98/85/55/411988555.db2.gz QDNWEHYPTVOXCF-LBPRGKRZSA-N 0 3 249.354 2.699 20 0 BFADHN CC(C)C[C@@H](C)CN1CCc2nccnc2C1 ZINC000662119780 411989509 /nfs/dbraw/zinc/98/95/09/411989509.db2.gz WDNBOZDTRXIYNS-GFCCVEGCSA-N 0 3 233.359 2.517 20 0 BFADHN CC(C)C[C@H](C)CN1CCc2nccnc2C1 ZINC000662119781 411990144 /nfs/dbraw/zinc/99/01/44/411990144.db2.gz WDNBOZDTRXIYNS-LBPRGKRZSA-N 0 3 233.359 2.517 20 0 BFADHN CC[C@@H](NCC[C@H](C)O)c1cc(F)ccc1F ZINC000268871448 170896628 /nfs/dbraw/zinc/89/66/28/170896628.db2.gz IXTALTMRNFALNK-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1cc2n(n1)CCC2 ZINC000662129211 411996011 /nfs/dbraw/zinc/99/60/11/411996011.db2.gz ZAZNLVFSQYYDFJ-QXMXGUDHSA-N 0 3 245.370 2.592 20 0 BFADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1cncc(F)c1 ZINC000662130728 411996376 /nfs/dbraw/zinc/99/63/76/411996376.db2.gz VTPYZDIXRMASEY-FTNCPSPGSA-N 0 3 234.318 2.984 20 0 BFADHN c1cc(CN2CCCC[C@H]2CC2CCC2)n[nH]1 ZINC000662154644 412009021 /nfs/dbraw/zinc/00/90/21/412009021.db2.gz BTVDHDZTRBWXMG-AWEZNQCLSA-N 0 3 233.359 2.954 20 0 BFADHN CC(C)[C@@H](C)N[C@H](CO)c1ccc(F)cc1F ZINC000662162680 412013002 /nfs/dbraw/zinc/01/30/02/412013002.db2.gz LYADWUSBEMRILP-NOZJJQNGSA-N 0 3 243.297 2.632 20 0 BFADHN c1nc(CN2CCCC[C@@H]2CC2CCC2)c[nH]1 ZINC000662158754 412013464 /nfs/dbraw/zinc/01/34/64/412013464.db2.gz WTDFMCHHTJETIU-CQSZACIVSA-N 0 3 233.359 2.954 20 0 BFADHN Cc1noc([C@H](C)NC2[C@@H](C)CCC[C@@H]2C)n1 ZINC000664422161 411951758 /nfs/dbraw/zinc/95/17/58/411951758.db2.gz RJAUBGBYQGQORY-GUBZILKMSA-N 0 3 237.347 2.853 20 0 BFADHN C1=C[C@H](N2CC[C@H]3COC[C@@H]3C2)CCCCC1 ZINC000662036987 411953689 /nfs/dbraw/zinc/95/36/89/411953689.db2.gz SVHIDBVSBCYURB-KKUMJFAQSA-N 0 3 235.371 2.844 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@H]2COC[C@H]2C1 ZINC000662038307 411955621 /nfs/dbraw/zinc/95/56/21/411955621.db2.gz SNBANFWPRCNQRA-KWCYVHTRSA-N 0 3 249.329 2.855 20 0 BFADHN c1cc(CN2CCC[C@H](C3CCC3)C2)on1 ZINC000662039567 411956800 /nfs/dbraw/zinc/95/68/00/411956800.db2.gz PPTRQYUYMNLPBL-LBPRGKRZSA-N 0 3 220.316 2.687 20 0 BFADHN Cc1nccc(CN2CCC[C@@H](C3CCC3)C2)n1 ZINC000662044263 411963081 /nfs/dbraw/zinc/96/30/81/411963081.db2.gz PUSXOYXEXCRCDW-CQSZACIVSA-N 0 3 245.370 2.797 20 0 BFADHN CCCN1CC(C)(C)[C@H]1c1ccncc1 ZINC000664832885 412028915 /nfs/dbraw/zinc/02/89/15/412028915.db2.gz OZIHGRICGRGRBN-GFCCVEGCSA-N 0 3 204.317 2.875 20 0 BFADHN CC1(C)CN(CCCCCO)[C@@H]1c1ccncc1 ZINC000664832999 412029831 /nfs/dbraw/zinc/02/98/31/412029831.db2.gz IGSHINFAQVHLSK-CQSZACIVSA-N 0 3 248.370 2.627 20 0 BFADHN CC1(C)Cc2n[nH]cc2CN(CC2=CCCC2)C1 ZINC000664864677 412035110 /nfs/dbraw/zinc/03/51/10/412035110.db2.gz UPXIGKNCJUMBPN-UHFFFAOYSA-N 0 3 245.370 2.904 20 0 BFADHN CN(Cc1cccs1)CC1=CCSC1 ZINC000662267694 412059876 /nfs/dbraw/zinc/05/98/76/412059876.db2.gz IBMNDGNEDXJWCE-UHFFFAOYSA-N 0 3 225.382 2.853 20 0 BFADHN CN(CC1=CCSC1)Cc1ccc(F)cc1 ZINC000662262912 412053330 /nfs/dbraw/zinc/05/33/30/412053330.db2.gz FPCVTUXHWCKOKI-UHFFFAOYSA-N 0 3 237.343 2.931 20 0 BFADHN CC[C@@H]1CCN(Cc2cccc3nccn32)C1 ZINC000180708465 171011222 /nfs/dbraw/zinc/01/12/22/171011222.db2.gz MFAMCEHURDCIRP-GFCCVEGCSA-N 0 3 229.327 2.566 20 0 BFADHN CC[C@@H]1CCN(Cc2cccc(O)c2OC)C1 ZINC000272444251 171012591 /nfs/dbraw/zinc/01/25/91/171012591.db2.gz OWBDBGQLGHENJJ-LLVKDONJSA-N 0 3 235.327 2.633 20 0 BFADHN CC[C@@H]1CCN(Cc2nc(C)c(C)s2)C1 ZINC000176398856 171014243 /nfs/dbraw/zinc/01/42/43/171014243.db2.gz CYRAIQHWIWNJMQ-LLVKDONJSA-N 0 3 224.373 2.992 20 0 BFADHN CC[C@@H]1CCN1Cc1ccccc1OCCOC ZINC000292897975 171023460 /nfs/dbraw/zinc/02/34/60/171023460.db2.gz ZQSUXWYSTPTQHA-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@@H]1CCN1Cc1cc(OC)cc(OC)c1 ZINC000292757743 171024217 /nfs/dbraw/zinc/02/42/17/171024217.db2.gz JERFJXXNBWUYRM-GFCCVEGCSA-N 0 3 235.327 2.688 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc(NC(C)=O)cc1 ZINC000292862617 171024493 /nfs/dbraw/zinc/02/44/93/171024493.db2.gz VLJMJOVEYGYWAT-CQSZACIVSA-N 0 3 232.327 2.629 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cnccc1OC ZINC000287557285 171037706 /nfs/dbraw/zinc/03/77/06/171037706.db2.gz AZINMTIYSUHHGU-DGCLKSJQSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cc(C)ncn1 ZINC000293148946 171038456 /nfs/dbraw/zinc/03/84/56/171038456.db2.gz MIUWOMUNJYJQCY-DGCLKSJQSA-N 0 3 219.332 2.548 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1ncc(C)o1 ZINC000271532603 171053044 /nfs/dbraw/zinc/05/30/44/171053044.db2.gz MLSIRCQTQCEMAM-GXSJLCMTSA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cccc(OC)n1 ZINC000271585864 171054158 /nfs/dbraw/zinc/05/41/58/171054158.db2.gz SKCXGDHADSYHAP-WCQYABFASA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1nccn1CC ZINC000357530265 171054686 /nfs/dbraw/zinc/05/46/86/171054686.db2.gz OSXDIKCQYLJFNO-NWDGAFQWSA-N 0 3 221.348 2.666 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](C)c1ccccn1 ZINC000336729528 171060246 /nfs/dbraw/zinc/06/02/46/171060246.db2.gz JCPGHCWGUIQPJY-NQBHXWOUSA-N 0 3 204.317 2.921 20 0 BFADHN CC[C@@H]1CN([C@@H]2CCc3ccc(F)cc32)C[C@H]1O ZINC000270970814 171095288 /nfs/dbraw/zinc/09/52/88/171095288.db2.gz WFVSRLXJOJCKKD-VCTAVGKDSA-N 0 3 249.329 2.516 20 0 BFADHN CC[C@@H]1CN([C@H](C)c2ccc(F)cc2)C[C@@H]1O ZINC000341023693 171096558 /nfs/dbraw/zinc/09/65/58/171096558.db2.gz JTWFMJMIHKJBBU-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@@H]1CN([C@H](C)c2ccccc2F)C[C@@H]1O ZINC000271005889 171096633 /nfs/dbraw/zinc/09/66/33/171096633.db2.gz ZGEGVDALJVWHMW-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@@H]1COCCN1CCCCOC(C)(C)C ZINC000354918604 171106136 /nfs/dbraw/zinc/10/61/36/171106136.db2.gz NBGOVFBCNOEENX-CYBMUJFWSA-N 0 3 243.391 2.693 20 0 BFADHN CC[C@@H]1COCCN1C[C@H]1CCC[C@H](C)C1 ZINC000356982107 171106325 /nfs/dbraw/zinc/10/63/25/171106325.db2.gz LOVXXXLNJAINAW-MELADBBJSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1Cc1ccccc1 ZINC000268183740 171109591 /nfs/dbraw/zinc/10/95/91/171109591.db2.gz NVEWPPIPWAWNJS-GXTWGEPZSA-N 0 3 219.328 2.686 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1ccc(OC)cn1 ZINC000338315810 171118223 /nfs/dbraw/zinc/11/82/23/171118223.db2.gz LEVYFDDGFLBZGG-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1ccc(OC)nc1 ZINC000274996156 171156241 /nfs/dbraw/zinc/15/62/41/171156241.db2.gz OWBAKYMOQGFYRQ-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1ccc(OC)cn1 ZINC000275047586 171156370 /nfs/dbraw/zinc/15/63/70/171156370.db2.gz ZHTVUCYIJVQIEW-SMDDNHRTSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1ccc(OC)cn1 ZINC000275047577 171161319 /nfs/dbraw/zinc/16/13/19/171161319.db2.gz ZHTVUCYIJVQIEW-BXUZGUMPSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@](C)(CO)NCc1ccc(Cl)c(F)c1 ZINC000070898474 171171074 /nfs/dbraw/zinc/17/10/74/171171074.db2.gz XVTSYPWEDSQAON-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@](C)(NCCCCCO)c1nccs1 ZINC000266723504 171174966 /nfs/dbraw/zinc/17/49/66/171174966.db2.gz IEGBSMLGPLTWIA-GFCCVEGCSA-N 0 3 242.388 2.521 20 0 BFADHN CC[C@@](C)(O)CN1Cc2ccccc2[C@@H]1C ZINC000336541595 171180164 /nfs/dbraw/zinc/18/01/64/171180164.db2.gz ABDZBBSDGGIWBW-SMDDNHRTSA-N 0 3 219.328 2.724 20 0 BFADHN CC[C@@](C)(O)CNCc1ccc(Cl)c(F)c1 ZINC000082687248 171183702 /nfs/dbraw/zinc/18/37/02/171183702.db2.gz VKGMBTUCCSKXTM-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1cc(C)c(C)o1 ZINC000282160900 171185981 /nfs/dbraw/zinc/18/59/81/171185981.db2.gz LRKMUNQGEGEHGF-ZWNOBZJWSA-N 0 3 225.332 2.708 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1ccc(Cl)cn1 ZINC000274897010 171186203 /nfs/dbraw/zinc/18/62/03/171186203.db2.gz KLLUOPBSRMFRMH-BXKDBHETSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@@](C)(O)CNc1ccnc2ccccc21 ZINC000083421544 171186547 /nfs/dbraw/zinc/18/65/47/171186547.db2.gz DZEZGRLNZYYVAB-CQSZACIVSA-N 0 3 230.311 2.808 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2ccno2)C1 ZINC000266653855 171199234 /nfs/dbraw/zinc/19/92/34/171199234.db2.gz ZKYFUSUQWOMTFX-LBPRGKRZSA-N 0 3 208.305 2.687 20 0 BFADHN CC[C@@]1(C)CN(CC2(SC)CCC2)CCO1 ZINC000356437257 171203205 /nfs/dbraw/zinc/20/32/05/171203205.db2.gz ZANVQCKPXZGKHJ-LBPRGKRZSA-N 0 3 243.416 2.773 20 0 BFADHN CC[C@@]1(C)C[C@H]1C(=O)N(CC(F)(F)F)C1CC1 ZINC000124145657 171206993 /nfs/dbraw/zinc/20/69/93/171206993.db2.gz HYEIPOUGIBBDAE-ONGXEEELSA-N 0 3 249.276 2.976 20 0 BFADHN CC[C@@]1(C)C[C@H]1C(=O)N(C)c1ncccc1C ZINC000336408510 171207332 /nfs/dbraw/zinc/20/73/32/171207332.db2.gz KDVQUZUBKRZRMB-FZMZJTMJSA-N 0 3 232.327 2.789 20 0 BFADHN CC[C@H](C)CN(C)Cc1cnn2ccccc12 ZINC000179686726 171275140 /nfs/dbraw/zinc/27/51/40/171275140.db2.gz HPCVKKDRKWIWTO-LBPRGKRZSA-N 0 3 231.343 2.812 20 0 BFADHN CC[C@H](C)CN(C)Cc1cnc(C)s1 ZINC000111255011 171275256 /nfs/dbraw/zinc/27/52/56/171275256.db2.gz SQTWFTOYHROGMQ-VIFPVBQESA-N 0 3 212.362 2.929 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cc(C)n(C)n1 ZINC000336683997 171277912 /nfs/dbraw/zinc/27/79/12/171277912.db2.gz UHFINVUOPAJJHU-NSHDSACASA-N 0 3 223.364 2.597 20 0 BFADHN CC[C@H](C)CN1CCC[C@@H](c2noc(C)n2)C1 ZINC000372982728 171280280 /nfs/dbraw/zinc/28/02/80/171280280.db2.gz SGIDXOZWLVERRC-CMPLNLGQSA-N 0 3 237.347 2.604 20 0 BFADHN CC[C@H](C)CN1CCN(c2cccs2)CC1 ZINC000121227353 171280879 /nfs/dbraw/zinc/28/08/79/171280879.db2.gz SWVWTOIJHHBYOE-LBPRGKRZSA-N 0 3 238.400 2.916 20 0 BFADHN CC[C@H](C)CNCc1ccc(Cl)cn1 ZINC000128257766 171286312 /nfs/dbraw/zinc/28/63/12/171286312.db2.gz ADZMXMLFODFYCU-VIFPVBQESA-N 0 3 212.724 2.871 20 0 BFADHN CC[C@H](C)CN[C@@H](COC)c1ccc(C)o1 ZINC000093078672 171287004 /nfs/dbraw/zinc/28/70/04/171287004.db2.gz ZBAHJYHZRDSQOL-JQWIXIFHSA-N 0 3 225.332 2.911 20 0 BFADHN CC[C@H](C)CN[C@H](CCOC)c1ccco1 ZINC000272546560 171287509 /nfs/dbraw/zinc/28/75/09/171287509.db2.gz LTMWPDAMAYGZQT-NWDGAFQWSA-N 0 3 225.332 2.993 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1ncnn1CC ZINC000355599762 171299055 /nfs/dbraw/zinc/29/90/55/171299055.db2.gz ODSSTYMNGMIRJB-RYUDHWBXSA-N 0 3 238.379 2.602 20 0 BFADHN CC[C@H](C)N(CCC(C)(C)C)CC(=O)OC ZINC000337259722 171312156 /nfs/dbraw/zinc/31/21/56/171312156.db2.gz VOTHWYPFFQVKAS-NSHDSACASA-N 0 3 229.364 2.696 20 0 BFADHN CC[C@H](C)N1CCN(C)[C@@H](Cc2ccccc2)C1 ZINC000284674781 171319700 /nfs/dbraw/zinc/31/97/00/171319700.db2.gz GOBYCVFZICVKKL-HOCLYGCPSA-N 0 3 246.398 2.644 20 0 BFADHN CC[C@H](C)NCC(=O)Nc1ccc(Cl)cc1 ZINC000020036594 171339254 /nfs/dbraw/zinc/33/92/54/171339254.db2.gz VAXMDMHEEHQHDH-VIFPVBQESA-N 0 3 240.734 2.667 20 0 BFADHN CC[C@H](C)NCc1ccc(F)c(F)c1F ZINC000083348901 171342821 /nfs/dbraw/zinc/34/28/21/171342821.db2.gz IIKVIYMXQJUSEJ-ZETCQYMHSA-N 0 3 217.234 2.992 20 0 BFADHN CC[C@H](C)NCc1cc(F)ccc1OC ZINC000057496551 171343431 /nfs/dbraw/zinc/34/34/31/171343431.db2.gz FVAAATBASTZRTM-VIFPVBQESA-N 0 3 211.280 2.722 20 0 BFADHN CC[C@H](C)NCc1nc2ccccc2o1 ZINC000037390477 171343976 /nfs/dbraw/zinc/34/39/76/171343976.db2.gz HBJSIPZYFZKCAZ-VIFPVBQESA-N 0 3 204.273 2.716 20 0 BFADHN CC[C@H](C)NCc1cnc2ccccc2n1 ZINC000037186518 171344091 /nfs/dbraw/zinc/34/40/91/171344091.db2.gz CRFIXHSTBWWJNV-JTQLQIEISA-N 0 3 215.300 2.518 20 0 BFADHN CC[C@H](C)NCc1ncc(CSC)s1 ZINC000293995298 171344106 /nfs/dbraw/zinc/34/41/06/171344106.db2.gz FTNHZEQDZFIVBQ-QMMMGPOBSA-N 0 3 230.402 2.894 20 0 BFADHN CC[C@H](C)NCc1nc(-c2ccccc2)c[nH]1 ZINC000037618100 171344172 /nfs/dbraw/zinc/34/41/72/171344172.db2.gz VCRHPQQZMQONBC-NSHDSACASA-N 0 3 229.327 2.965 20 0 BFADHN CC[C@H](C)NCc1ccn(-c2ccccc2)n1 ZINC000038065399 171344579 /nfs/dbraw/zinc/34/45/79/171344579.db2.gz OWRJIMCLIAFSOZ-LBPRGKRZSA-N 0 3 229.327 2.760 20 0 BFADHN CC[C@H](C)N[C@H]1COc2ccc(F)cc21 ZINC000335714803 171349052 /nfs/dbraw/zinc/34/90/52/171349052.db2.gz JPFVKBGRNZBBCZ-KWQFWETISA-N 0 3 209.264 2.647 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@@H](C)c1ccco1)OC ZINC000294146344 171368238 /nfs/dbraw/zinc/36/82/38/171368238.db2.gz GZWDZPDBFABQQQ-GMXVVIOVSA-N 0 3 225.332 2.991 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1cccnc1C)OC ZINC000362975803 171368435 /nfs/dbraw/zinc/36/84/35/171368435.db2.gz HCLCBRHOMIZAHU-SMDDNHRTSA-N 0 3 236.359 2.541 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1cnccc1C)OC ZINC000294353950 171368709 /nfs/dbraw/zinc/36/87/09/171368709.db2.gz ZLAHBFNMLASYSQ-SMDDNHRTSA-N 0 3 236.359 2.541 20 0 BFADHN CC[C@H](C)[C@@H](O)CNCc1csc(C)c1 ZINC000295175487 171377981 /nfs/dbraw/zinc/37/79/81/171377981.db2.gz MACQYYVPYIOTSW-CABZTGNLSA-N 0 3 227.373 2.553 20 0 BFADHN CC[C@H](C)[C@H](C)NC(=O)C[C@@H](N)c1ccccc1 ZINC000218805899 171386309 /nfs/dbraw/zinc/38/63/09/171386309.db2.gz VKGHSRRXVPUBAS-SGMGOOAPSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H](C)[C@H](CN[C@H](C)c1cccnc1)OC ZINC000294318075 171392833 /nfs/dbraw/zinc/39/28/33/171392833.db2.gz WKRLVUXDQAXWMR-SCRDCRAPSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](C)[C@H](CN[C@@H](C)c1cncs1)OC ZINC000294142902 171393438 /nfs/dbraw/zinc/39/34/38/171393438.db2.gz GQDBMBYHTYZIJC-DCAQKATOSA-N 0 3 242.388 2.855 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)NCC(C)(C)CC(C)C ZINC000237021201 171394439 /nfs/dbraw/zinc/39/44/39/171394439.db2.gz RKTVYXDSYCAHIS-RYUDHWBXSA-N 0 3 242.407 2.548 20 0 BFADHN Cc1nsc(C)c1CN1CC2CC1(C)C2 ZINC000662386190 412139492 /nfs/dbraw/zinc/13/94/92/412139492.db2.gz XASJNPSLPZMCQV-UHFFFAOYSA-N 0 3 222.357 2.744 20 0 BFADHN NCc1cccc(NC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)c1 ZINC000662392917 412143144 /nfs/dbraw/zinc/14/31/44/412143144.db2.gz NYIXFHKFJBLPDF-BNOWGMLFSA-N 0 3 244.338 2.520 20 0 BFADHN CC12CC(C1)CN2CCc1noc2ccccc21 ZINC000662386184 412139541 /nfs/dbraw/zinc/13/95/41/412139541.db2.gz WVMVEWLTIDSLSF-UHFFFAOYSA-N 0 3 242.322 2.855 20 0 BFADHN COc1ncccc1CN(C)C[C@@H]1CC1(C)C ZINC000662344335 412112991 /nfs/dbraw/zinc/11/29/91/412112991.db2.gz PICVFLKDWBQLOA-LBPRGKRZSA-N 0 3 234.343 2.568 20 0 BFADHN CC[C@H](CNCc1cc(F)c(F)c(F)c1)OC ZINC000289763100 171437424 /nfs/dbraw/zinc/43/74/24/171437424.db2.gz JBTWRWIXQIVHHA-SECBINFHSA-N 0 3 247.260 2.619 20 0 BFADHN Cc1noc(C)c1CN(C)C[C@@H]1CC1(C)C ZINC000662345077 412113949 /nfs/dbraw/zinc/11/39/49/412113949.db2.gz VVAXFFFGFDCNPF-NSHDSACASA-N 0 3 222.332 2.769 20 0 BFADHN Cc1cccc(CN(C)C[C@@H]2CC2(C)C)n1 ZINC000662345494 412114466 /nfs/dbraw/zinc/11/44/66/412114466.db2.gz ZFKKNLBADWKREU-LBPRGKRZSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1ccncc1CN(C)C[C@H]1CC1(C)C ZINC000662346233 412117133 /nfs/dbraw/zinc/11/71/33/412117133.db2.gz UAIUYVSJUZDTBZ-CYBMUJFWSA-N 0 3 218.344 2.868 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1ccc(C(C)C)cc1 ZINC000662349399 412120316 /nfs/dbraw/zinc/12/03/16/412120316.db2.gz YQJPCWHNRISIDE-CYBMUJFWSA-N 0 3 234.343 2.747 20 0 BFADHN CCCC[C@H](CC)CCCN(C)CC(=O)NC ZINC000662355598 412121842 /nfs/dbraw/zinc/12/18/42/412121842.db2.gz DJFIHAJUQOUWEF-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN CCC[C@H](C)N1C[C@H](C)O[C@@](C)(C(F)F)C1 ZINC000662360003 412123660 /nfs/dbraw/zinc/12/36/60/412123660.db2.gz OPECRFLYDFYLMZ-JBLDHEPKSA-N 0 3 235.318 2.920 20 0 BFADHN CC[C@H](NC)C(=O)Nc1cccc(C(C)C)c1 ZINC000662362597 412123956 /nfs/dbraw/zinc/12/39/56/412123956.db2.gz QSLLRGUVKDNWHE-ZDUSSCGKSA-N 0 3 234.343 2.747 20 0 BFADHN C[C@H]1CN(CCC2CC2)C[C@](C)(C(F)F)O1 ZINC000662359889 412124238 /nfs/dbraw/zinc/12/42/38/412124238.db2.gz OJBZFBKJJLXPEF-JOYOIKCWSA-N 0 3 233.302 2.531 20 0 BFADHN CC[C@H](NC)C(=O)Nc1ccc(C)c(Cl)c1 ZINC000662366549 412125341 /nfs/dbraw/zinc/12/53/41/412125341.db2.gz YKXCEHWXHLHOFF-NSHDSACASA-N 0 3 240.734 2.585 20 0 BFADHN CCCN(CCC)C(=O)c1cccc2c1CNC2 ZINC000662367937 412126187 /nfs/dbraw/zinc/12/61/87/412126187.db2.gz TVQPHIMMFPXWHR-UHFFFAOYSA-N 0 3 246.354 2.552 20 0 BFADHN CC12CC(C1)CN2Cc1ccc(F)cc1 ZINC000662381606 412133463 /nfs/dbraw/zinc/13/34/63/412133463.db2.gz XXXCTTLECOGTEV-UHFFFAOYSA-N 0 3 205.276 2.810 20 0 BFADHN CC1(C)CC[C@@H]1C(=O)Nc1cccc(CN)c1 ZINC000662392219 412143037 /nfs/dbraw/zinc/14/30/37/412143037.db2.gz DRYPZURKAJFBSY-GFCCVEGCSA-N 0 3 232.327 2.520 20 0 BFADHN Cc1nc(C)c(CN2CC3CC2(C)C3)s1 ZINC000662384485 412135291 /nfs/dbraw/zinc/13/52/91/412135291.db2.gz YBIOCYJMROUMJX-UHFFFAOYSA-N 0 3 222.357 2.744 20 0 BFADHN CCC[C@H](C)N(C)C(=O)c1cccc2c1CNC2 ZINC000662384721 412135528 /nfs/dbraw/zinc/13/55/28/412135528.db2.gz VGJBWHDZLSNBOD-NSHDSACASA-N 0 3 246.354 2.550 20 0 BFADHN CC[C@H](NC(=O)[C@@H](N)C(C)C)c1ccc(C)cc1 ZINC000037028267 171504368 /nfs/dbraw/zinc/50/43/68/171504368.db2.gz ICJJZMAWUXYTOL-KBPBESRZSA-N 0 3 248.370 2.546 20 0 BFADHN CCC[C@@H](CC)NC(=O)c1cccc2c1CNC2 ZINC000662421171 412162011 /nfs/dbraw/zinc/16/20/11/412162011.db2.gz DLSUKEJRQAHNJN-GFCCVEGCSA-N 0 3 246.354 2.598 20 0 BFADHN Cc1noc(C)c1CN1CC[C@@H](C2CCC2)C1 ZINC000665284387 412230077 /nfs/dbraw/zinc/23/00/77/412230077.db2.gz JMGXYFIXQXBSQV-CYBMUJFWSA-N 0 3 234.343 2.913 20 0 BFADHN COc1ccc(CN2CC[C@H](C3CCC3)C2)nc1 ZINC000665288056 412233248 /nfs/dbraw/zinc/23/32/48/412233248.db2.gz BILMOUWTCZVBMO-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@@H](C2CCC2)C1 ZINC000665290031 412235158 /nfs/dbraw/zinc/23/51/58/412235158.db2.gz GHTPJVWOLIPCLH-DGCLKSJQSA-N 0 3 231.343 2.660 20 0 BFADHN CC[C@H]1CC[C@H](C)N1CC(=O)Nc1ccccc1 ZINC000271488380 171645518 /nfs/dbraw/zinc/64/55/18/171645518.db2.gz HRHSUNWZFJZDNH-JSGCOSHPSA-N 0 3 246.354 2.888 20 0 BFADHN CCc1ccc(NC(=O)[C@@H](CC)NC)cc1CC ZINC000662822320 412311810 /nfs/dbraw/zinc/31/18/10/412311810.db2.gz NHUFIKMCMDMNJE-CQSZACIVSA-N 0 3 248.370 2.748 20 0 BFADHN CCCC[C@H](CC)CCCN(C)C(=O)[C@H](C)N ZINC000663000926 412366777 /nfs/dbraw/zinc/36/67/77/412366777.db2.gz NGPUBQHLSUTMRN-STQMWFEESA-N 0 3 242.407 2.789 20 0 BFADHN CCCC[C@@H](CC)CCCN(C)C(=O)[C@@H](C)N ZINC000663000921 412366861 /nfs/dbraw/zinc/36/68/61/412366861.db2.gz NGPUBQHLSUTMRN-CHWSQXEVSA-N 0 3 242.407 2.789 20 0 BFADHN CCCC[C@H](CC)CCCN(C)C(=O)[C@@H](C)N ZINC000663000923 412367015 /nfs/dbraw/zinc/36/70/15/412367015.db2.gz NGPUBQHLSUTMRN-OLZOCXBDSA-N 0 3 242.407 2.789 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1cc(F)ccc1F ZINC000087011347 171704427 /nfs/dbraw/zinc/70/44/27/171704427.db2.gz NZGMMJRREKQULV-RNCFNFMXSA-N 0 3 243.297 2.776 20 0 BFADHN OC1(CCN2CC[C@@H]2c2cccc(F)c2)CCC1 ZINC000663056027 412377265 /nfs/dbraw/zinc/37/72/65/412377265.db2.gz GTYYAGKMGFZNPS-CQSZACIVSA-N 0 3 249.329 2.878 20 0 BFADHN Cc1ccc(CNCc2ccc(CO)cc2)s1 ZINC000133068212 412395859 /nfs/dbraw/zinc/39/58/59/412395859.db2.gz LIFHEYCTIJBMSR-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](CO)CC2CC2)o1 ZINC000678605030 487623260 /nfs/dbraw/zinc/62/32/60/487623260.db2.gz BTHJBVKVMJSWHA-PWSUYJOCSA-N 0 3 237.343 2.654 20 0 BFADHN CCc1ccc(CN(C)CCC2CC2)nc1 ZINC000339042764 171793327 /nfs/dbraw/zinc/79/33/27/171793327.db2.gz AXFMWKWSTRORPW-UHFFFAOYSA-N 0 3 218.344 2.876 20 0 BFADHN C[C@@H](NCCOCCF)c1ccccc1Cl ZINC000663309652 412410823 /nfs/dbraw/zinc/41/08/23/412410823.db2.gz DVMWXCMJPJZSDY-SNVBAGLBSA-N 0 3 245.725 2.977 20 0 BFADHN CCc1ccc(CNCc2cccn2C)s1 ZINC000161651825 171808918 /nfs/dbraw/zinc/80/89/18/171808918.db2.gz XJYSEHVVPINXQU-UHFFFAOYSA-N 0 3 234.368 2.939 20 0 BFADHN CCc1ccc(CN[C@@H](C)c2cn[nH]c2)s1 ZINC000229881283 171810158 /nfs/dbraw/zinc/81/01/58/171810158.db2.gz UPMKEKWLKITVJS-VIFPVBQESA-N 0 3 235.356 2.884 20 0 BFADHN CCc1ccc2nccc(NC[C@H](C)O)c2c1 ZINC000295451069 171851604 /nfs/dbraw/zinc/85/16/04/171851604.db2.gz NPQRVKITCNLCAC-JTQLQIEISA-N 0 3 230.311 2.590 20 0 BFADHN CCc1cccc(CN2CCC(O)(CC)CC2)c1 ZINC000276137057 171856188 /nfs/dbraw/zinc/85/61/88/171856188.db2.gz BYPXEFNZTTZKTF-UHFFFAOYSA-N 0 3 247.382 2.986 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@H](CC)OC ZINC000353554738 171900009 /nfs/dbraw/zinc/90/00/09/171900009.db2.gz AHLLWQMRILVBED-YPMHNXCESA-N 0 3 236.359 2.720 20 0 BFADHN CCc1cccnc1[C@H](C)NCC(C)(C)OC ZINC000356825141 171900188 /nfs/dbraw/zinc/90/01/88/171900188.db2.gz AOEQUPYGUSURNP-NSHDSACASA-N 0 3 236.359 2.720 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CC[C@H](C)C2)o1 ZINC000309615746 171955172 /nfs/dbraw/zinc/95/51/72/171955172.db2.gz CUIYWJPDWIHLKG-GXSJLCMTSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@H](C)C2CCCC2)[n-]1 ZINC000358252244 172002496 /nfs/dbraw/zinc/00/24/96/172002496.db2.gz SRMSTYGKOXVFSC-NXEZZACHSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@@H](C)N[C@H](C)C2CCCC2)[nH]1 ZINC000358252244 172002498 /nfs/dbraw/zinc/00/24/98/172002498.db2.gz SRMSTYGKOXVFSC-NXEZZACHSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@H](C)[NH2+]C2CCC3(CC3)CC2)[n-]1 ZINC000330196206 172006510 /nfs/dbraw/zinc/00/65/10/172006510.db2.gz PWMBSBCNMSACEL-JTQLQIEISA-N 0 3 248.374 2.741 20 0 BFADHN CCc1nnc([C@H](C)NC2CCC3(CC3)CC2)[nH]1 ZINC000330196206 172006513 /nfs/dbraw/zinc/00/65/13/172006513.db2.gz PWMBSBCNMSACEL-JTQLQIEISA-N 0 3 248.374 2.741 20 0 BFADHN CCc1ncc(CN2CCC[C@H](C)C2)s1 ZINC000270983249 172016384 /nfs/dbraw/zinc/01/63/84/172016384.db2.gz FBRRSKNBCOGYEF-JTQLQIEISA-N 0 3 224.373 2.937 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@H](F)C2)s1 ZINC000336759932 172019945 /nfs/dbraw/zinc/01/99/45/172019945.db2.gz LHJCSDSJHOVCJP-IUCAKERBSA-N 0 3 228.336 2.686 20 0 BFADHN CCc1noc(C)c1CN1CC(C)=C[C@H](C)C1 ZINC000336316532 172075532 /nfs/dbraw/zinc/07/55/32/172075532.db2.gz HEFQBFBRYIDIRA-JTQLQIEISA-N 0 3 234.343 2.943 20 0 BFADHN CCc1noc(C)c1CN(CC)C1CCC1 ZINC000336701877 172075686 /nfs/dbraw/zinc/07/56/86/172075686.db2.gz MCBZKVLCRJQSLF-UHFFFAOYSA-N 0 3 222.332 2.920 20 0 BFADHN CCc1noc(C)c1CN1CCC[C@@H]1CC ZINC000336690152 172077561 /nfs/dbraw/zinc/07/75/61/172077561.db2.gz AJBRLLHYPQHJDD-NSHDSACASA-N 0 3 222.332 2.920 20 0 BFADHN CCc1nocc1CN(C)CCc1ccccc1 ZINC000292871273 172093532 /nfs/dbraw/zinc/09/35/32/172093532.db2.gz AZBFZTOBEAPZNX-UHFFFAOYSA-N 0 3 244.338 2.912 20 0 BFADHN CCc1nocc1CN(C)C1CCCC1 ZINC000293547808 172093601 /nfs/dbraw/zinc/09/36/01/172093601.db2.gz YQNRBVCLEPOYLP-UHFFFAOYSA-N 0 3 208.305 2.611 20 0 BFADHN CCc1nocc1CN1CCCCCC1 ZINC000336323976 172098364 /nfs/dbraw/zinc/09/83/64/172098364.db2.gz LGFIHCXOPDWAEP-UHFFFAOYSA-N 0 3 208.305 2.613 20 0 BFADHN CCc1nocc1CNCCC1CCCC1 ZINC000339178638 172099405 /nfs/dbraw/zinc/09/94/05/172099405.db2.gz VSPOICAJSHLIRN-UHFFFAOYSA-N 0 3 222.332 2.907 20 0 BFADHN CCc1nocc1CNC[C@H]1CCCCS1 ZINC000339343403 172100191 /nfs/dbraw/zinc/10/01/91/172100191.db2.gz JSKUYDPVUZSKAK-LLVKDONJSA-N 0 3 240.372 2.612 20 0 BFADHN CCn1cc(CCN(C)[C@H](C)c2ccco2)cn1 ZINC000276293593 172158740 /nfs/dbraw/zinc/15/87/40/172158740.db2.gz ILDZHYGQJGUDFP-GFCCVEGCSA-N 0 3 247.342 2.732 20 0 BFADHN CCn1cc(CN(C)C[C@H]2CC=CCC2)cn1 ZINC000353917258 172161620 /nfs/dbraw/zinc/16/16/20/172161620.db2.gz UTQCDHNAMWLJNU-ZDUSSCGKSA-N 0 3 233.359 2.691 20 0 BFADHN CCn1cc(CN(C)C[C@H]2CC=CCC2)c(C)n1 ZINC000097610178 172161651 /nfs/dbraw/zinc/16/16/51/172161651.db2.gz DDORUDYQLQMMNO-AWEZNQCLSA-N 0 3 247.386 3.000 20 0 BFADHN CCn1cc([C@H](C)N[C@H]2C=CCCC2)cn1 ZINC000271424482 172197797 /nfs/dbraw/zinc/19/77/97/172197797.db2.gz AZJPSBXJWGOQRT-AAEUAGOBSA-N 0 3 219.332 2.662 20 0 BFADHN CCn1ccnc1CN1CCC[C@@H]1C(C)C ZINC000341832769 172219903 /nfs/dbraw/zinc/21/99/03/172219903.db2.gz YAJKHSCLRXDEKU-GFCCVEGCSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1ccnc1CN1C[C@@H](C)CC1(C)C ZINC000336555073 172221880 /nfs/dbraw/zinc/22/18/80/172221880.db2.gz WDFSXBOYURUAMZ-NSHDSACASA-N 0 3 221.348 2.523 20 0 BFADHN CCn1ccnc1CNC(C1CCC1)C1CCC1 ZINC000353084250 172223937 /nfs/dbraw/zinc/22/39/37/172223937.db2.gz IUUKOMLZAXQUQB-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN CCn1ccnc1CN[C@H](C)CCC1CC1 ZINC000336777349 172224022 /nfs/dbraw/zinc/22/40/22/172224022.db2.gz IFOKUTNLPRFNBW-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccnc1CN[C@H]1CCC(C)(C)C1 ZINC000122394878 172224299 /nfs/dbraw/zinc/22/42/99/172224299.db2.gz AFOVPNNJCXJQJB-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H]1CC=CCC1 ZINC000296823023 172227107 /nfs/dbraw/zinc/22/71/07/172227107.db2.gz MHRNTAYTERERMA-VXGBXAGGSA-N 0 3 219.332 2.662 20 0 BFADHN CCn1nc(CNCC[C@@H](C)F)c2ccccc21 ZINC000339498195 172250546 /nfs/dbraw/zinc/25/05/46/172250546.db2.gz OEIVRFXTAOCXCA-LLVKDONJSA-N 0 3 249.333 2.894 20 0 BFADHN CCn1nccc1CN(C)CCC(C)(C)C ZINC000352340846 172263867 /nfs/dbraw/zinc/26/38/67/172263867.db2.gz FKJLVBMDBVVVJR-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN CCn1nccc1CN1CCC[C@](C)(CC)C1 ZINC000127232780 172266075 /nfs/dbraw/zinc/26/60/75/172266075.db2.gz NUNLZQFVDUIBIP-AWEZNQCLSA-N 0 3 235.375 2.915 20 0 BFADHN CCn1nccc1CN1CCC(CC)(CC)C1 ZINC000353610968 172267393 /nfs/dbraw/zinc/26/73/93/172267393.db2.gz YFBJCIGVLODKOQ-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCn1nccc1CN1CC[C@@]2(CC[C@H](C)C2)C1 ZINC000359314770 172269663 /nfs/dbraw/zinc/26/96/63/172269663.db2.gz XIGXYTAZMNGAHD-DZGCQCFKSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1nccc1CN[C@@H](C)Cc1cccs1 ZINC000125161041 172272599 /nfs/dbraw/zinc/27/25/99/172272599.db2.gz LOPURMXYBGKXOI-NSHDSACASA-N 0 3 249.383 2.685 20 0 BFADHN CCn1ncnc1CN[C@@H]1CCCC12CCCC2 ZINC000340375769 172281659 /nfs/dbraw/zinc/28/16/59/172281659.db2.gz UXRIHSSWMDXDOE-GFCCVEGCSA-N 0 3 248.374 2.501 20 0 BFADHN CCn1ncnc1CN[C@H](C)CCC=C(C)C ZINC000355597303 172282038 /nfs/dbraw/zinc/28/20/38/172282038.db2.gz DSZXVFFLMVHZAO-GFCCVEGCSA-N 0 3 236.363 2.523 20 0 BFADHN CN1CCC[C@@H](Nc2ccc3ncsc3c2)C1 ZINC000076691959 173020986 /nfs/dbraw/zinc/02/09/86/173020986.db2.gz ADDHCTRBTLAWLF-LLVKDONJSA-N 0 3 247.367 2.802 20 0 BFADHN CN1CCN(CCCCF)C2(CCCCC2)C1 ZINC000367144694 173080549 /nfs/dbraw/zinc/08/05/49/173080549.db2.gz PDUYUHIEIVDASG-UHFFFAOYSA-N 0 3 242.382 2.686 20 0 BFADHN CN1CC[C@@H](CNc2nc3ccccc3s2)C1 ZINC000037647761 173118407 /nfs/dbraw/zinc/11/84/07/173118407.db2.gz OZHCWUBFARPZKB-JTQLQIEISA-N 0 3 247.367 2.660 20 0 BFADHN CNC(=O)[C@H](C)N[C@@H](C)c1ccc(C(C)C)cc1 ZINC000042213291 173302837 /nfs/dbraw/zinc/30/28/37/173302837.db2.gz NKSWVHDAJMMYDQ-RYUDHWBXSA-N 0 3 248.370 2.595 20 0 BFADHN CNCc1cc(C(F)(F)F)ccc1F ZINC000082699490 173386453 /nfs/dbraw/zinc/38/64/53/173386453.db2.gz UWNQYQDSTUBRIO-UHFFFAOYSA-N 0 3 207.170 2.564 20 0 BFADHN CNCc1cc(F)cc(C(F)(F)F)c1 ZINC000059782198 173386697 /nfs/dbraw/zinc/38/66/97/173386697.db2.gz BSHXOUCKAXRBEP-UHFFFAOYSA-N 0 3 207.170 2.564 20 0 BFADHN CNCc1cccc(NC(=O)C[C@H]2C=CCC2)c1 ZINC000036282530 173389732 /nfs/dbraw/zinc/38/97/32/173389732.db2.gz CUXPAAJCHIVQFU-LBPRGKRZSA-N 0 3 244.338 2.701 20 0 BFADHN CNCc1ccccc1NC(=O)[C@@H](C)C(C)C ZINC000070125447 173390163 /nfs/dbraw/zinc/39/01/63/173390163.db2.gz YLZVMMPPEJRENF-NSHDSACASA-N 0 3 234.343 2.637 20 0 BFADHN COC1(CN[C@H](C)c2ccccn2)CCCC1 ZINC000295962933 174005177 /nfs/dbraw/zinc/00/51/77/174005177.db2.gz HYXOQVDRVNHUEQ-GFCCVEGCSA-N 0 3 234.343 2.691 20 0 BFADHN COC1CCN(Cc2cc(C)c(O)c(C)c2)CC1 ZINC000106006583 174028072 /nfs/dbraw/zinc/02/80/72/174028072.db2.gz VSJIWKQPKSISLQ-UHFFFAOYSA-N 0 3 249.354 2.620 20 0 BFADHN COCC(C)(C)CN[C@@H](C)c1cc(C)ccn1 ZINC000293653444 174073925 /nfs/dbraw/zinc/07/39/25/174073925.db2.gz LMFIWHQWJGAIIY-LBPRGKRZSA-N 0 3 236.359 2.713 20 0 BFADHN COCC(C)(C)N(C)Cc1cccc(OC)c1 ZINC000292136588 174080201 /nfs/dbraw/zinc/08/02/01/174080201.db2.gz IXRVNKSRUUMVEC-UHFFFAOYSA-N 0 3 237.343 2.552 20 0 BFADHN COCC1(C)CCN([C@H](C)c2cccnc2)CC1 ZINC000358744295 174091954 /nfs/dbraw/zinc/09/19/54/174091954.db2.gz JEKDWEKFCBZTEF-CYBMUJFWSA-N 0 3 248.370 2.891 20 0 BFADHN COCC1(C)CCN(Cc2ccco2)CC1 ZINC000354762611 174092510 /nfs/dbraw/zinc/09/25/10/174092510.db2.gz LKBCLTMEBJQETJ-UHFFFAOYSA-N 0 3 223.316 2.528 20 0 BFADHN COCC1(CCN[C@H](C)c2ccccn2)CCC1 ZINC000340443669 174096092 /nfs/dbraw/zinc/09/60/92/174096092.db2.gz HTAJSHDNEWJVPO-CYBMUJFWSA-N 0 3 248.370 2.939 20 0 BFADHN COCC1(CN[C@@H](C)c2cncs2)CCC1 ZINC000282494525 174104954 /nfs/dbraw/zinc/10/49/54/174104954.db2.gz HWHCZUASYSFNMY-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN COCC1(CN[C@@H](C)c2ccccn2)CCC1 ZINC000282400396 174105108 /nfs/dbraw/zinc/10/51/08/174105108.db2.gz BVQYWICEVVIVHX-LBPRGKRZSA-N 0 3 234.343 2.549 20 0 BFADHN COCC1(CN[C@H](C)c2nccs2)CCC1 ZINC000282756512 174105331 /nfs/dbraw/zinc/10/53/31/174105331.db2.gz NZNBFTZHIFIKCP-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN COCC1CCC(NCc2ccco2)CC1 ZINC000294868865 174116981 /nfs/dbraw/zinc/11/69/81/174116981.db2.gz WLIMFKKFLWCNCG-UHFFFAOYSA-N 0 3 223.316 2.574 20 0 BFADHN COCC1CCN([C@H](C)c2cccnc2)CC1 ZINC000264889929 174120898 /nfs/dbraw/zinc/12/08/98/174120898.db2.gz WXGKPULVSCRNIZ-GFCCVEGCSA-N 0 3 234.343 2.501 20 0 BFADHN COCCC(C)(C)CN[C@@H](C)c1cscn1 ZINC000135015144 174143828 /nfs/dbraw/zinc/14/38/28/174143828.db2.gz ABVLUBCDXXZMLK-JTQLQIEISA-N 0 3 242.388 2.856 20 0 BFADHN COCCC1(CNCc2ccc(Cl)o2)CC1 ZINC000124588160 174155704 /nfs/dbraw/zinc/15/57/04/174155704.db2.gz HWSXCRBPXBLRPX-UHFFFAOYSA-N 0 3 243.734 2.839 20 0 BFADHN COCCC1(CNCc2ccc(C)o2)CCC1 ZINC000151975882 174156433 /nfs/dbraw/zinc/15/64/33/174156433.db2.gz HTQFJKHPIJWNAG-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN COCCCCCN(C)[C@H](C)c1ccncc1 ZINC000127614160 174175778 /nfs/dbraw/zinc/17/57/78/174175778.db2.gz UWZZCFMRHBTUBG-CYBMUJFWSA-N 0 3 236.359 2.891 20 0 BFADHN COCCCCN1CCc2cc(F)ccc2C1 ZINC000091891429 174194685 /nfs/dbraw/zinc/19/46/85/174194685.db2.gz UFGYTTPCNDXKSC-UHFFFAOYSA-N 0 3 237.318 2.610 20 0 BFADHN COCCCCNCc1c(F)cccc1Cl ZINC000044349718 174199707 /nfs/dbraw/zinc/19/97/07/174199707.db2.gz PMLQWZSUOCWMNO-UHFFFAOYSA-N 0 3 245.725 2.995 20 0 BFADHN COCCCCNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000044655334 174200510 /nfs/dbraw/zinc/20/05/10/174200510.db2.gz WYVBKXQRUSFMAQ-DGCLKSJQSA-N 0 3 237.343 2.919 20 0 BFADHN COCCCCN[C@@H](C)c1csc(C)n1 ZINC000044657379 174201815 /nfs/dbraw/zinc/20/18/15/174201815.db2.gz USIRFBAAGYQSKG-VIFPVBQESA-N 0 3 228.361 2.529 20 0 BFADHN COCCCN[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000151662337 174249836 /nfs/dbraw/zinc/24/98/36/174249836.db2.gz WUSRHMJACXBDRP-MRVPVSSYSA-N 0 3 247.260 2.791 20 0 BFADHN COCCC[C@@H](C)N[C@@H]1COc2ccc(C)cc21 ZINC000367556458 174261564 /nfs/dbraw/zinc/26/15/64/174261564.db2.gz HUQBXHOOUAZNHT-TZMCWYRMSA-N 0 3 249.354 2.833 20 0 BFADHN COCCC[C@@H](C)N[C@@H](C)c1ccccn1 ZINC000178126999 174261882 /nfs/dbraw/zinc/26/18/82/174261882.db2.gz QKCDJYXGNFCTDO-NEPJUHHUSA-N 0 3 222.332 2.547 20 0 BFADHN COCCC[C@H](NCCF)c1ccc(F)cc1 ZINC000282117183 174264891 /nfs/dbraw/zinc/26/48/91/174264891.db2.gz AOFJISPAFHEPAV-ZDUSSCGKSA-N 0 3 243.297 2.853 20 0 BFADHN COCCN(C)C/C(C)=C/c1ccccc1 ZINC000127146400 174275457 /nfs/dbraw/zinc/27/54/57/174275457.db2.gz HXNTWLPGUZCOAO-ACCUITESSA-N 0 3 219.328 2.668 20 0 BFADHN COCCN(C)C[C@H](C)c1cc(F)cc(F)c1 ZINC000294626164 174279122 /nfs/dbraw/zinc/27/91/22/174279122.db2.gz ZGZFCKKDOPWJIP-JTQLQIEISA-N 0 3 243.297 2.647 20 0 BFADHN COCCN(C)Cc1ccc(F)c(Cl)c1 ZINC000127123464 174280873 /nfs/dbraw/zinc/28/08/73/174280873.db2.gz PROYCYCIBYAADO-UHFFFAOYSA-N 0 3 231.698 2.557 20 0 BFADHN COCCN(C)Cc1ccc(C(F)F)cc1 ZINC000274090254 174281585 /nfs/dbraw/zinc/28/15/85/174281585.db2.gz UQYBOBAOXPWNKF-UHFFFAOYSA-N 0 3 229.270 2.702 20 0 BFADHN COCCN(C)[C@@H]1CCCc2ccc(F)cc21 ZINC000131712639 174282953 /nfs/dbraw/zinc/28/29/53/174282953.db2.gz YTFQHDBNEXWWHT-CQSZACIVSA-N 0 3 237.318 2.781 20 0 BFADHN COCCN(CCC1CC1)Cc1ccco1 ZINC000359832425 174289314 /nfs/dbraw/zinc/28/93/14/174289314.db2.gz DBMBSWCEYBBYJL-UHFFFAOYSA-N 0 3 223.316 2.528 20 0 BFADHN COCCN(Cc1cccnc1)[C@H]1CC[C@H](C)C1 ZINC000276788659 174300709 /nfs/dbraw/zinc/30/07/09/174300709.db2.gz KRPOHHCALHNJGL-ZFWWWQNUSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN(Cc1cccnc1)CC1CCCC1 ZINC000191107948 174301130 /nfs/dbraw/zinc/30/11/30/174301130.db2.gz YSGYPFRDASPBSR-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN COCCN1CCC(=Cc2ccccc2F)CC1 ZINC000362486695 174303623 /nfs/dbraw/zinc/30/36/23/174303623.db2.gz ROQPOBBUFTUFND-UHFFFAOYSA-N 0 3 249.329 2.951 20 0 BFADHN COCCN1CCCCC[C@@H]1c1ccco1 ZINC000078830664 174305469 /nfs/dbraw/zinc/30/54/69/174305469.db2.gz ASZGBKTUFVRPGN-GFCCVEGCSA-N 0 3 223.316 2.843 20 0 BFADHN COCCN1CCc2ccc(C(C)(C)C)cc2C1 ZINC000358067246 174316274 /nfs/dbraw/zinc/31/62/74/174316274.db2.gz VUOLGDFSRHJUBT-UHFFFAOYSA-N 0 3 247.382 2.989 20 0 BFADHN COCCN1[C@H](C)Cc2cc(OC)ccc2[C@@H]1C ZINC000359536693 174319443 /nfs/dbraw/zinc/31/94/43/174319443.db2.gz KNEDOQZNYRWGKB-NEPJUHHUSA-N 0 3 249.354 2.649 20 0 BFADHN COCCN1[C@H](C)Cc2cc(F)ccc2[C@@H]1C ZINC000354833574 174319767 /nfs/dbraw/zinc/31/97/67/174319767.db2.gz OJBLWQAPYCVCBC-MNOVXSKESA-N 0 3 237.318 2.780 20 0 BFADHN COCCNC1(c2ccc(Cl)cc2)CCC1 ZINC000104707326 174330038 /nfs/dbraw/zinc/33/00/38/174330038.db2.gz XWGBJERSXKQNFI-UHFFFAOYSA-N 0 3 239.746 2.955 20 0 BFADHN COCCNC(C)(C)c1ccc(Cl)cc1 ZINC000264152357 174330304 /nfs/dbraw/zinc/33/03/04/174330304.db2.gz SZVVFCRMKYJHFG-UHFFFAOYSA-N 0 3 227.735 2.811 20 0 BFADHN COCCNC1(c2ccc(Cl)cc2)CC1 ZINC000336773358 174330553 /nfs/dbraw/zinc/33/05/53/174330553.db2.gz YNXVGFAUTFSJTG-UHFFFAOYSA-N 0 3 225.719 2.565 20 0 BFADHN COCCNCc1ccc(C(C)(C)C)cc1 ZINC000019881095 174336228 /nfs/dbraw/zinc/33/62/28/174336228.db2.gz QYVIFQWIFOVDJY-UHFFFAOYSA-N 0 3 221.344 2.720 20 0 BFADHN COCCN[C@@H](C)c1cc(C)sc1C ZINC000020085616 174338003 /nfs/dbraw/zinc/33/80/03/174338003.db2.gz AUXPMLPUHOBNGL-VIFPVBQESA-N 0 3 213.346 2.662 20 0 BFADHN COCCN[C@H](c1cccnc1)C1CCCCC1 ZINC000372605526 174339893 /nfs/dbraw/zinc/33/98/93/174339893.db2.gz IGEAIQCGURJSJE-HNNXBMFYSA-N 0 3 248.370 2.939 20 0 BFADHN COCCN[C@H](C)c1ccc(OC(F)F)cc1 ZINC000020085579 174340267 /nfs/dbraw/zinc/34/02/67/174340267.db2.gz LZIYJQZCPXQXQK-SECBINFHSA-N 0 3 245.269 2.585 20 0 BFADHN COCCOc1ccccc1CN1CCC[C@@H]1C ZINC000124375193 174370117 /nfs/dbraw/zinc/37/01/17/174370117.db2.gz BIJUPICPRFXJOA-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN COCC[C@@H](C)NCc1ccc(Cl)cc1F ZINC000133010225 174384099 /nfs/dbraw/zinc/38/40/99/174384099.db2.gz BHIDWYRSNKTYOV-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN COCC[C@@H](NCC=C(C)C)c1ccco1 ZINC000273260010 174393467 /nfs/dbraw/zinc/39/34/67/174393467.db2.gz KOPQSZRZKMVBMO-GFCCVEGCSA-N 0 3 223.316 2.913 20 0 BFADHN COCC[C@@H](NCCCCF)c1ccco1 ZINC000285292735 174393854 /nfs/dbraw/zinc/39/38/54/174393854.db2.gz BGMHSIRWAINTSW-LLVKDONJSA-N 0 3 229.295 2.697 20 0 BFADHN COCC[C@@H](NCCC(C)C)c1ccco1 ZINC000273209382 174394098 /nfs/dbraw/zinc/39/40/98/174394098.db2.gz BKKLUBHWESKAEJ-GFCCVEGCSA-N 0 3 225.332 2.993 20 0 BFADHN COCC[C@@H](NCC[C@H](C)F)c1ccco1 ZINC000336767931 174394233 /nfs/dbraw/zinc/39/42/33/174394233.db2.gz QEOJTOAVEJFGSU-WDEREUQCSA-N 0 3 229.295 2.695 20 0 BFADHN COCC[C@@H](NCc1cscn1)C(C)(C)C ZINC000336762059 174394528 /nfs/dbraw/zinc/39/45/28/174394528.db2.gz KWGXZNIDVXWFRP-LLVKDONJSA-N 0 3 242.388 2.684 20 0 BFADHN COCC[C@H](C)N[C@H](C)c1csc(C)n1 ZINC000081705660 174408688 /nfs/dbraw/zinc/40/86/88/174408688.db2.gz AFBAOLGPXFENEZ-DTWKUNHWSA-N 0 3 228.361 2.527 20 0 BFADHN COC[C@@H]1CCN1Cc1ccccc1SC ZINC000339293534 174513198 /nfs/dbraw/zinc/51/31/98/174513198.db2.gz LJJFBRWNCQLCGL-LBPRGKRZSA-N 0 3 237.368 2.629 20 0 BFADHN COC[C@H]1CCN1C/C(C)=C\c1ccccc1 ZINC000354057804 174608483 /nfs/dbraw/zinc/60/84/83/174608483.db2.gz ZZRKMRQJAMWBID-VSKPTYQZSA-N 0 3 231.339 2.811 20 0 BFADHN CO[C@@H](C)CN[C@@H](CC(C)C)c1ccccn1 ZINC000181883351 174704993 /nfs/dbraw/zinc/70/49/93/174704993.db2.gz OXASJIFIDVHZAR-JSGCOSHPSA-N 0 3 236.359 2.793 20 0 BFADHN CO[C@@H](C)CN[C@H]1CC(C)(C)Cc2occc21 ZINC000188138166 174706308 /nfs/dbraw/zinc/70/63/08/174706308.db2.gz QPCDNILSOQWNPN-JQWIXIFHSA-N 0 3 237.343 2.918 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1cccc(F)c1 ZINC000164065892 174706591 /nfs/dbraw/zinc/70/65/91/174706591.db2.gz QNQOMPVUJAFQSA-VHSXEESVSA-N 0 3 211.280 2.511 20 0 BFADHN CO[C@H](CNCc1cc(F)ccc1F)C(C)C ZINC000266602000 174866837 /nfs/dbraw/zinc/86/68/37/174866837.db2.gz DQZBEPCAPAMCHO-CYBMUJFWSA-N 0 3 243.297 2.725 20 0 BFADHN C[C@H]1CCCN(Cc2ccnn2CC2CC2)[C@H]1C ZINC000667568273 487629202 /nfs/dbraw/zinc/62/92/02/487629202.db2.gz ZGCCXZNXXDIWRX-STQMWFEESA-N 0 3 247.386 2.914 20 0 BFADHN COc1cc([C@H](C)NCC(C)C)c(F)cn1 ZINC000286798557 175016128 /nfs/dbraw/zinc/01/61/28/175016128.db2.gz AHVMQNOEYSKZER-VIFPVBQESA-N 0 3 226.295 2.536 20 0 BFADHN COc1ccc(C)cc1CN(C)[C@@H]1CCCOC1 ZINC000336730248 175074534 /nfs/dbraw/zinc/07/45/34/175074534.db2.gz PVALJWKQLVECNE-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN COc1ccc(C)cc1CN1CCCO[C@H](C)C1 ZINC000336519436 175074666 /nfs/dbraw/zinc/07/46/66/175074666.db2.gz DXZBDJNXERSCQO-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN COc1ccc(C)cc1CN(C)CCSC ZINC000336676315 175075099 /nfs/dbraw/zinc/07/50/99/175075099.db2.gz NCHUQUWBYGTPSE-UHFFFAOYSA-N 0 3 239.384 2.798 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1CCC[C@H]1OC ZINC000049948811 175075684 /nfs/dbraw/zinc/07/56/84/175075684.db2.gz OPWAKUWVGBQCEB-DZGCQCFKSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccc(C)cc1[C@H](C)NCC1(C)COC1 ZINC000094721818 175081619 /nfs/dbraw/zinc/08/16/19/175081619.db2.gz CKYMQVZADMJTFK-LBPRGKRZSA-N 0 3 249.354 2.691 20 0 BFADHN COc1ccc(CC[C@@H](C)N[C@H](C)CF)cc1 ZINC000289224093 175092750 /nfs/dbraw/zinc/09/27/50/175092750.db2.gz AIBWJSJPPLECRM-VXGBXAGGSA-N 0 3 239.334 2.964 20 0 BFADHN COc1ccc(CN(C)C2CC2)cc1C ZINC000271065686 175094576 /nfs/dbraw/zinc/09/45/76/175094576.db2.gz JAMCTKAAOMCWGT-UHFFFAOYSA-N 0 3 205.301 2.598 20 0 BFADHN COc1ccc(CN(C)[C@@H]2C=CCCC2)cc1O ZINC000177221335 175096393 /nfs/dbraw/zinc/09/63/93/175096393.db2.gz UXXMBDXQBBKJAU-CYBMUJFWSA-N 0 3 247.338 2.941 20 0 BFADHN COc1ccc(CN2CCC[C@H](C3CC3)C2)cn1 ZINC000289536693 175099590 /nfs/dbraw/zinc/09/95/90/175099590.db2.gz WNFFLZQYSFCZBZ-AWEZNQCLSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ccc(CN2CCC[C@H](C)CC2)nc1 ZINC000271922811 175100616 /nfs/dbraw/zinc/10/06/16/175100616.db2.gz KQGRITUEFLJIBQ-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C[C@H](C)C2)nc1 ZINC000338275372 175101432 /nfs/dbraw/zinc/10/14/32/175101432.db2.gz LPUTYDJKUQZRCQ-OLZOCXBDSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)[C@@H](C)C2)cc1O ZINC000093480859 175101460 /nfs/dbraw/zinc/10/14/60/175101460.db2.gz TZICRXVWIWUDCM-NEPJUHHUSA-N 0 3 249.354 2.879 20 0 BFADHN COc1ccc(CNCc2cc(C)cs2)cn1 ZINC000344607829 175108101 /nfs/dbraw/zinc/10/81/01/175108101.db2.gz GEXGGUYHHCPZRZ-UHFFFAOYSA-N 0 3 248.351 2.750 20 0 BFADHN COc1ccc(CN[C@@H](C)CSC)cc1F ZINC000076769606 175109227 /nfs/dbraw/zinc/10/92/27/175109227.db2.gz AGNZCWVLQUUAMG-VIFPVBQESA-N 0 3 243.347 2.675 20 0 BFADHN COc1ccc(CSCCN(C)C)cc1F ZINC000120210679 175114017 /nfs/dbraw/zinc/11/40/17/175114017.db2.gz SMBGCDWFKZLTIS-UHFFFAOYSA-N 0 3 243.347 2.629 20 0 BFADHN COc1ccc(F)c(CNCCC(C)(F)F)c1 ZINC000296186456 175124013 /nfs/dbraw/zinc/12/40/13/175124013.db2.gz YFPPEKATFIELBR-UHFFFAOYSA-N 0 3 247.260 2.969 20 0 BFADHN COc1ccc(F)c(CNC2(C)CCC2)c1 ZINC000293156290 175124278 /nfs/dbraw/zinc/12/42/78/175124278.db2.gz FGNLNJGYKOQSDM-UHFFFAOYSA-N 0 3 223.291 2.867 20 0 BFADHN COc1ccc(F)c(CNCC2(C)CC2)c1 ZINC000339292107 175124667 /nfs/dbraw/zinc/12/46/67/175124667.db2.gz VVIFJUWFYIABEE-UHFFFAOYSA-N 0 3 223.291 2.724 20 0 BFADHN COc1ccc(F)c(CNCC(C)C)c1 ZINC000339172158 175124700 /nfs/dbraw/zinc/12/47/00/175124700.db2.gz NGBALGMNROPRJM-UHFFFAOYSA-N 0 3 211.280 2.580 20 0 BFADHN COc1ccc(F)c(CNCC[C@H](C)F)c1 ZINC000339498725 175124792 /nfs/dbraw/zinc/12/47/92/175124792.db2.gz RZPFUZSBFYDPQB-VIFPVBQESA-N 0 3 229.270 2.672 20 0 BFADHN COc1ccc(F)cc1CNC[C@H]1C[C@H]1C ZINC000276847956 175130011 /nfs/dbraw/zinc/13/00/11/175130011.db2.gz CUBKKFMGZAZHAG-NXEZZACHSA-N 0 3 223.291 2.580 20 0 BFADHN COc1ccc(F)cc1CNC[C@@H]1C[C@@H]1C ZINC000276847957 175130334 /nfs/dbraw/zinc/13/03/34/175130334.db2.gz CUBKKFMGZAZHAG-UWVGGRQHSA-N 0 3 223.291 2.580 20 0 BFADHN COc1ccc(F)cc1CNC[C@H](C)SC ZINC000291105179 175130580 /nfs/dbraw/zinc/13/05/80/175130580.db2.gz BHYRCDMXFSZSNV-VIFPVBQESA-N 0 3 243.347 2.675 20 0 BFADHN COc1ccc(OC)c(CN[C@H](C)C2CC2)c1 ZINC000035136415 175165085 /nfs/dbraw/zinc/16/50/85/175165085.db2.gz JHNMPIIZEJDXJG-SNVBAGLBSA-N 0 3 235.327 2.592 20 0 BFADHN COc1ccc(OC)c(CN(C)C2CCC2)c1 ZINC000173914558 175165090 /nfs/dbraw/zinc/16/50/90/175165090.db2.gz ZTKCTDVGGGANQY-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN COc1ccc(OC)c(CN[C@@H]2CC2(C)C)c1 ZINC000044371809 175165110 /nfs/dbraw/zinc/16/51/10/175165110.db2.gz IHOGHUNXJYHLKX-CYBMUJFWSA-N 0 3 235.327 2.592 20 0 BFADHN COc1ccc(OC)c([C@H](C)NC2CCC2)c1 ZINC000044481346 175169101 /nfs/dbraw/zinc/16/91/01/175169101.db2.gz SJYRGXBJVXAXIJ-JTQLQIEISA-N 0 3 235.327 2.907 20 0 BFADHN COc1ccc(SC[C@@H](C)N(C)C)cc1 ZINC000358848382 175175420 /nfs/dbraw/zinc/17/54/20/175175420.db2.gz BLSUNQXTIWNPFL-SNVBAGLBSA-N 0 3 225.357 2.737 20 0 BFADHN COc1ccc([C@@H](C)NCCOC2CCC2)cc1 ZINC000272274370 175177326 /nfs/dbraw/zinc/17/73/26/175177326.db2.gz NVGNOGFBKUHTJL-GFCCVEGCSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccc([C@@H](CCO)NCC=C(C)C)cc1 ZINC000182411495 175178141 /nfs/dbraw/zinc/17/81/41/175178141.db2.gz PRIROTUDXRLMLD-OAHLLOKOSA-N 0 3 249.354 2.675 20 0 BFADHN COc1ccc([C@H](C)NC[C@H](C)OC)cc1C ZINC000276996924 175188597 /nfs/dbraw/zinc/18/85/97/175188597.db2.gz YKURJKMHDVVCQT-RYUDHWBXSA-N 0 3 237.343 2.689 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2CCO[C@H]2C)cc1 ZINC000120686746 175190281 /nfs/dbraw/zinc/19/02/81/175190281.db2.gz QHXBXLLBMZCERY-MJVIPROJSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccc([C@H](CO)N(C)CC=C(C)C)cc1 ZINC000264903425 175191071 /nfs/dbraw/zinc/19/10/71/175191071.db2.gz IKDZTYOCLMPWGV-HNNXBMFYSA-N 0 3 249.354 2.627 20 0 BFADHN COc1ccc2c(c1)C1(CC1)CN(C(C)C)C2 ZINC000368694090 175206595 /nfs/dbraw/zinc/20/65/95/175206595.db2.gz WNBMGDUZSNILRJ-UHFFFAOYSA-N 0 3 231.339 2.951 20 0 BFADHN COc1ccc2c(c1)[C@@H](NCC1CC1)CCO2 ZINC000037187368 175214411 /nfs/dbraw/zinc/21/44/11/175214411.db2.gz WZMJBHFOBFHANC-ZDUSSCGKSA-N 0 3 233.311 2.518 20 0 BFADHN COc1ccc2c(c1)[C@H](NCC1CC1)CCO2 ZINC000037187367 175216030 /nfs/dbraw/zinc/21/60/30/175216030.db2.gz WZMJBHFOBFHANC-CYBMUJFWSA-N 0 3 233.311 2.518 20 0 BFADHN COc1ccc2nc(CNC3CCC3)sc2c1 ZINC000353783015 175228630 /nfs/dbraw/zinc/22/86/30/175228630.db2.gz WMRMZKGGLWADRQ-UHFFFAOYSA-N 0 3 248.351 2.947 20 0 BFADHN COc1cccc(CCNCc2occc2C)c1 ZINC000230642629 175252767 /nfs/dbraw/zinc/25/27/67/175252767.db2.gz JEWRGISAJYCULT-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN COc1cccc(CN(C)CC(C)(C)C)n1 ZINC000171827651 175254001 /nfs/dbraw/zinc/25/40/01/175254001.db2.gz WEUZPALRQVPXLN-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN COc1cccc(CN(C)CCOC2CCC2)c1 ZINC000287471488 175254890 /nfs/dbraw/zinc/25/48/90/175254890.db2.gz WNJVBDBQHSGLIQ-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN COc1cccc(CN(C)CCCSC)c1 ZINC000266042700 175255894 /nfs/dbraw/zinc/25/58/94/175255894.db2.gz VHJCFTIDQUPKAD-UHFFFAOYSA-N 0 3 239.384 2.880 20 0 BFADHN COc1cccc(CN2CCC(CF)CC2)c1 ZINC000295008489 175257294 /nfs/dbraw/zinc/25/72/94/175257294.db2.gz JGXDMNLGESEEPS-UHFFFAOYSA-N 0 3 237.318 2.877 20 0 BFADHN COc1cccc(CN2CCC[C@@H]2C2CCC2)n1 ZINC000171860082 175258667 /nfs/dbraw/zinc/25/86/67/175258667.db2.gz AKBSXAISJXSGCA-CQSZACIVSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cccc(CN2CCCOC(C)(C)C2)c1 ZINC000371739186 175258695 /nfs/dbraw/zinc/25/86/95/175258695.db2.gz KCGALWUPUPTCJQ-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN COc1cccc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)n1 ZINC000355782144 175261685 /nfs/dbraw/zinc/26/16/85/175261685.db2.gz DPUVAYHPIPYGTA-UPJWGTAASA-N 0 3 248.370 2.957 20 0 BFADHN COc1cccc(CNC2(C)CCC2)c1OC ZINC000159915634 175263063 /nfs/dbraw/zinc/26/30/63/175263063.db2.gz IGIUWBQITZXACW-UHFFFAOYSA-N 0 3 235.327 2.736 20 0 BFADHN COc1cccc(CNCC(C)(C)C)c1OC ZINC000040492630 175263526 /nfs/dbraw/zinc/26/35/26/175263526.db2.gz WZXCRBFIPRFNEC-UHFFFAOYSA-N 0 3 237.343 2.840 20 0 BFADHN COc1cccc(CNc2ccncc2)c1 ZINC000037680768 175268881 /nfs/dbraw/zinc/26/88/81/175268881.db2.gz GPUDIAVJKGMGEC-UHFFFAOYSA-N 0 3 214.268 2.702 20 0 BFADHN COc1cccc(CNc2cc(C)cc(C)n2)n1 ZINC000191524165 175269291 /nfs/dbraw/zinc/26/92/91/175269291.db2.gz KZIQSBJHENROKZ-UHFFFAOYSA-N 0 3 243.310 2.714 20 0 BFADHN COc1cccc(F)c1[C@H](C)NCC1CC1 ZINC000125139523 175274130 /nfs/dbraw/zinc/27/41/30/175274130.db2.gz PRRVGAJSEZDUOC-VIFPVBQESA-N 0 3 223.291 2.895 20 0 BFADHN COc1cccc(OC)c1CN[C@H]1CC=CCC1 ZINC000352749106 175281745 /nfs/dbraw/zinc/28/17/45/175281745.db2.gz LQFPFJHQDLAUHI-LBPRGKRZSA-N 0 3 247.338 2.902 20 0 BFADHN COc1cccc([C@@H](C)NC[C@H]2CCCCO2)c1 ZINC000042812215 175287216 /nfs/dbraw/zinc/28/72/16/175287216.db2.gz VPRNMZUPLXWDLW-IUODEOHRSA-N 0 3 249.354 2.915 20 0 BFADHN COc1cccc([C@H]2CCCN2CCC(C)=O)c1 ZINC000123365193 175296110 /nfs/dbraw/zinc/29/61/10/175296110.db2.gz KZNJIOWNNRSRSD-OAHLLOKOSA-N 0 3 247.338 2.811 20 0 BFADHN COc1ccccc1CN(C)CC1CCC1 ZINC000173490070 175323990 /nfs/dbraw/zinc/32/39/90/175323990.db2.gz FUKLQPCZXJIBJK-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN COc1ccccc1CN(C(C)C)[C@@H]1CCOC1 ZINC000291236685 175324273 /nfs/dbraw/zinc/32/42/73/175324273.db2.gz KUEXRQGXKLRYHP-CQSZACIVSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccccc1CN[C@@H]1CCC1(C)C ZINC000284281478 175330248 /nfs/dbraw/zinc/33/02/48/175330248.db2.gz JUNHURRXWYJFKT-CYBMUJFWSA-N 0 3 219.328 2.973 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@@H](OC)C1CC1 ZINC000293678518 175344597 /nfs/dbraw/zinc/34/45/97/175344597.db2.gz KCXMPYVUQCILOM-IAQYHMDHSA-N 0 3 249.354 2.771 20 0 BFADHN COc1ccccc1[C@@H](C)NCC[C@H]1CCCO1 ZINC000038095411 175344872 /nfs/dbraw/zinc/34/48/72/175344872.db2.gz JZPOYIJJVSYVDQ-CHWSQXEVSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccccc1[C@@H](C)NCCOCC1CC1 ZINC000223382716 175344914 /nfs/dbraw/zinc/34/49/14/175344914.db2.gz HYHBZRMFNKLNMF-GFCCVEGCSA-N 0 3 249.354 2.772 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H](C)CCCO ZINC000094941565 175346371 /nfs/dbraw/zinc/34/63/71/175346371.db2.gz RWUCGBGTCLXPQS-NWDGAFQWSA-N 0 3 237.343 2.507 20 0 BFADHN COc1ccccc1[C@H](C)NCC[C@H](C)OC ZINC000268893082 175351303 /nfs/dbraw/zinc/35/13/03/175351303.db2.gz ZHDGJUKUFRURGU-RYUDHWBXSA-N 0 3 237.343 2.771 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@H](OC)C1CC1 ZINC000293678520 175352026 /nfs/dbraw/zinc/35/20/26/175352026.db2.gz KCXMPYVUQCILOM-NHYWBVRUSA-N 0 3 249.354 2.771 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1C[C@H](C)O[C@H]1C ZINC000296672433 175352146 /nfs/dbraw/zinc/35/21/46/175352146.db2.gz HBONSDDBMWVOTK-ZJQBRPOHSA-N 0 3 249.354 2.912 20 0 BFADHN COc1ccnc(CN2CCCCCCC2)c1 ZINC000106859365 175357756 /nfs/dbraw/zinc/35/77/56/175357756.db2.gz SDIJCUVXNSDPDY-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN COc1ccnc(CN2CC[C@@H](C)C[C@H]2C)c1 ZINC000133073416 175358601 /nfs/dbraw/zinc/35/86/01/175358601.db2.gz WFYMZHDKZPVIPE-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN2CCCCC[C@@H]2C)c1 ZINC000358580867 175358622 /nfs/dbraw/zinc/35/86/22/175358622.db2.gz PQTUBTXZSNPKIC-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN COc1ccnc(CN([C@H](C)C2CC2)C2CC2)c1 ZINC000106933774 175359439 /nfs/dbraw/zinc/35/94/39/175359439.db2.gz PHMBBXBBUWNUAB-LLVKDONJSA-N 0 3 246.354 2.853 20 0 BFADHN COc1ccnc(CN2CCC3(CCC3)CC2)c1 ZINC000377395620 175359465 /nfs/dbraw/zinc/35/94/65/175359465.db2.gz WZYNGYZXKJMQAI-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN COc1ccnc(CN2C[C@@H](C)C[C@H](C)C2)c1 ZINC000106859330 175360387 /nfs/dbraw/zinc/36/03/87/175360387.db2.gz YBHOODQURPTJIV-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccncc1CN[C@@H]1CCC12CCC2 ZINC000294331771 175371171 /nfs/dbraw/zinc/37/11/71/175371171.db2.gz OZSAVODHMZXQIK-CYBMUJFWSA-N 0 3 232.327 2.513 20 0 BFADHN COc1ccncc1CN[C@@H]1CCC12CCCC2 ZINC000294472060 175372090 /nfs/dbraw/zinc/37/20/90/175372090.db2.gz WSJTUSOBUGDMLP-CQSZACIVSA-N 0 3 246.354 2.903 20 0 BFADHN COc1ccncc1CN[C@H]1CCC12CCCC2 ZINC000294472050 175373304 /nfs/dbraw/zinc/37/33/04/175373304.db2.gz WSJTUSOBUGDMLP-AWEZNQCLSA-N 0 3 246.354 2.903 20 0 BFADHN COc1ccsc1CN[C@@H]1CSC[C@H]1C ZINC000308936055 175377682 /nfs/dbraw/zinc/37/76/82/175377682.db2.gz KVUWAHFIFILKOS-RKDXNWHRSA-N 0 3 243.397 2.598 20 0 BFADHN COc1ccsc1CNC1CC(F)(F)C1 ZINC000277391665 175378890 /nfs/dbraw/zinc/37/88/90/175378890.db2.gz DIPLBAKMLLKYNJ-UHFFFAOYSA-N 0 3 233.283 2.644 20 0 BFADHN COc1ccsc1CN1CCC[C@H](C)C1 ZINC000271012194 175378913 /nfs/dbraw/zinc/37/89/13/175378913.db2.gz PYVWCCVSJNWMPR-JTQLQIEISA-N 0 3 225.357 2.989 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@@H]1CCCOC1 ZINC000274599068 175379327 /nfs/dbraw/zinc/37/93/27/175379327.db2.gz PGGFSVOULCEPIX-NXEZZACHSA-N 0 3 241.356 2.586 20 0 BFADHN COc1ccsc1[C@@H](C)NCCOC(C)C ZINC000186286419 175379879 /nfs/dbraw/zinc/37/98/79/175379879.db2.gz SVCPOPTWSSGXIF-SNVBAGLBSA-N 0 3 243.372 2.832 20 0 BFADHN COc1ncc(CN2CCCCC[C@@H]2C)c(C)n1 ZINC000337200098 175399013 /nfs/dbraw/zinc/39/90/13/175399013.db2.gz ZJEYOOCCIVZFSZ-NSHDSACASA-N 0 3 249.358 2.558 20 0 BFADHN CSC1(CN[C@@H](C)c2cccnc2)CC1 ZINC000193330608 175453726 /nfs/dbraw/zinc/45/37/26/175453726.db2.gz YYIYHPVUKKWCML-JTQLQIEISA-N 0 3 222.357 2.628 20 0 BFADHN CSCCCCN[C@@H]1C[C@H](C)n2ccnc21 ZINC000345363796 175475657 /nfs/dbraw/zinc/47/56/57/175475657.db2.gz WNBJMCJMVPUEGQ-WDEREUQCSA-N 0 3 239.388 2.622 20 0 BFADHN C[C@@H](CO)CNCc1c(Cl)cccc1Cl ZINC000088195390 175743347 /nfs/dbraw/zinc/74/33/47/175743347.db2.gz UJKLKFPLIBNYRT-MRVPVSSYSA-N 0 3 248.153 2.711 20 0 BFADHN C[C@@H](CO)CN[C@H](C)c1nccc2ccccc21 ZINC000289929965 175744200 /nfs/dbraw/zinc/74/42/00/175744200.db2.gz VQBVQVHNHZCILY-VXGBXAGGSA-N 0 3 244.338 2.514 20 0 BFADHN C[C@@H](NCc1cn[nH]c1)c1ccc2c(c1)CCC2 ZINC000038006071 175905361 /nfs/dbraw/zinc/90/53/61/175905361.db2.gz DHFAWHKHNUTEPN-LLVKDONJSA-N 0 3 241.338 2.749 20 0 BFADHN C[C@@H](N[C@H]1CCCSC1)c1cccc(O)c1 ZINC000061588048 175925725 /nfs/dbraw/zinc/92/57/25/175925725.db2.gz BVNDURBIINXTQC-PWSUYJOCSA-N 0 3 237.368 2.938 20 0 BFADHN C[C@@H](c1ccc(F)c(F)c1)N(C)CCCCO ZINC000170871115 176013952 /nfs/dbraw/zinc/01/39/52/176013952.db2.gz LJALAVDEGXOSAZ-JTQLQIEISA-N 0 3 243.297 2.730 20 0 BFADHN C[C@@H](O)CN(C)[C@@H](C)c1ccc(Cl)cc1 ZINC000062016006 176014012 /nfs/dbraw/zinc/01/40/12/176014012.db2.gz HILCEOJHWZOXJQ-ZJUUUORDSA-N 0 3 227.735 2.714 20 0 BFADHN C[C@@H](c1ccc(Cl)cc1)N1CC[C@](C)(O)C1 ZINC000267322610 176014345 /nfs/dbraw/zinc/01/43/45/176014345.db2.gz HKNXTVNHOKTNQM-GWCFXTLKSA-N 0 3 239.746 2.858 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N(C)CCn1ccnc1 ZINC000179312632 176015486 /nfs/dbraw/zinc/01/54/86/176015486.db2.gz VXJKQKGVZAKRNS-LBPRGKRZSA-N 0 3 247.317 2.715 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCC[C@H](CO)C1 ZINC000177667864 176018247 /nfs/dbraw/zinc/01/82/47/176018247.db2.gz DLFGOQYPNVVOEM-RYUDHWBXSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)CC(C)(C)CO ZINC000062019009 176024826 /nfs/dbraw/zinc/02/48/26/176024826.db2.gz NLHDADARZDYRNC-NSHDSACASA-N 0 3 239.334 2.837 20 0 BFADHN C[C@@H](c1ccccc1F)N1CCCO[C@H](C)C1 ZINC000170765874 176025543 /nfs/dbraw/zinc/02/55/43/176025543.db2.gz LSNZCBQDCJSFEY-NEPJUHHUSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCCCCCO ZINC000091701811 176025720 /nfs/dbraw/zinc/02/57/20/176025720.db2.gz ACBZHNVPZZTROI-ZDUSSCGKSA-N 0 3 236.359 2.627 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCC1CCOCC1 ZINC000120678038 176026587 /nfs/dbraw/zinc/02/65/87/176026587.db2.gz YBVXGWOHVYPCTQ-ZDUSSCGKSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@@H](c1cccnc1)N1CCC(C)(C)C1 ZINC000266606830 176029027 /nfs/dbraw/zinc/02/90/27/176029027.db2.gz UAAZOPZQPNBLJO-NSHDSACASA-N 0 3 204.317 2.875 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCC1CCOCC1 ZINC000268126137 176029230 /nfs/dbraw/zinc/02/92/30/176029230.db2.gz BJBVSUITFDPAEX-ZDUSSCGKSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCN1CCCCC1 ZINC000162467085 176029538 /nfs/dbraw/zinc/02/95/38/176029538.db2.gz VWQAPFJTHFYELO-AWEZNQCLSA-N 0 3 247.386 2.560 20 0 BFADHN C[C@@H](c1cccnc1)N1CC[C@@]2(C1)CCCOC2 ZINC000341968074 176030027 /nfs/dbraw/zinc/03/00/27/176030027.db2.gz AEZFKVKYSQMRFJ-DZGCQCFKSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@@H](c1cccnc1)N1CCSC[C@H](C)C1 ZINC000276860295 176030144 /nfs/dbraw/zinc/03/01/44/176030144.db2.gz KFFJEIZNUMAKBF-NEPJUHHUSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@@H](O)CCN([C@@H](C)c1ccco1)C1CC1 ZINC000336679253 176031480 /nfs/dbraw/zinc/03/14/80/176031480.db2.gz OYKNFYWDUNDODE-MNOVXSKESA-N 0 3 223.316 2.576 20 0 BFADHN C[C@@H](c1ccco1)N(C)CCN1CCCCC1 ZINC000077173243 176031689 /nfs/dbraw/zinc/03/16/89/176031689.db2.gz ZVINNYGIJCYKPB-ZDUSSCGKSA-N 0 3 236.359 2.758 20 0 BFADHN C[C@@H](c1ccco1)N(C)C[C@H](O)C(C)(C)C ZINC000284350170 176032244 /nfs/dbraw/zinc/03/22/44/176032244.db2.gz RZJSJZAFGXPKDA-JQWIXIFHSA-N 0 3 225.332 2.679 20 0 BFADHN C[C@@H](c1cnccn1)N(C)CCc1cccs1 ZINC000184584908 176035015 /nfs/dbraw/zinc/03/50/15/176035015.db2.gz IOWGHBYYICYTDV-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN C[C@@H](c1cnccn1)N1CCCC[C@@H](C)C1 ZINC000185261927 176035439 /nfs/dbraw/zinc/03/54/39/176035439.db2.gz DXYNLTHQGSTHDZ-NEPJUHHUSA-N 0 3 219.332 2.660 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@@H](CC2CC2)C1 ZINC000339680421 176036940 /nfs/dbraw/zinc/03/69/40/176036940.db2.gz UVXBFQGAOZJDSJ-AAEUAGOBSA-N 0 3 231.343 2.660 20 0 BFADHN C[C@@H]1CC(O)C[C@@H](C)N1Cc1ccc(F)cc1 ZINC000191250343 176055025 /nfs/dbraw/zinc/05/50/25/176055025.db2.gz PPNXKGVWLFDFNS-GHMZBOCLSA-N 0 3 237.318 2.559 20 0 BFADHN C[C@@H]1CCC(C)(C)N1Cc1cncc(F)c1 ZINC000334692413 176061469 /nfs/dbraw/zinc/06/14/69/176061469.db2.gz OPKOHYPEZUMZFK-SNVBAGLBSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1C[C@H]2CC[C@@H](C1)O2 ZINC000346190041 176077010 /nfs/dbraw/zinc/07/70/10/176077010.db2.gz VKZYMTMGGDHDEM-SYQHCUMBSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@@H]1CCCC[C@@H]1NCc1cscn1 ZINC000040420497 176081273 /nfs/dbraw/zinc/08/12/73/176081273.db2.gz DTZDZMWQDKRMQF-KOLCDFICSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1C[C@H]2CC[C@@H](C1)O2 ZINC000346190039 176084441 /nfs/dbraw/zinc/08/44/41/176084441.db2.gz VKZYMTMGGDHDEM-RQJABVFESA-N 0 3 223.360 2.676 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CCOC[C@H]1C ZINC000346227847 176084527 /nfs/dbraw/zinc/08/45/27/176084527.db2.gz YAQKJACJCRWTKS-UPJWGTAASA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCOC[C@H]2C2CC2)C1 ZINC000357013023 176117177 /nfs/dbraw/zinc/11/71/77/176117177.db2.gz WPLRRPOMOZOINE-NFAWXSAZSA-N 0 3 237.387 2.924 20 0 BFADHN C[C@@H]1CCC[C@@H](CCN2CCOC[C@H]2C)C1 ZINC000362463498 176117415 /nfs/dbraw/zinc/11/74/15/176117415.db2.gz HWPNCTSZRRCVIP-MCIONIFRSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCOCC2(C)C)C1 ZINC000357004130 176118186 /nfs/dbraw/zinc/11/81/86/176118186.db2.gz PEFDMYRVVFXJIY-CHWSQXEVSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1nc2ccccc2n1C ZINC000105504660 176131696 /nfs/dbraw/zinc/13/16/96/176131696.db2.gz BARJPFOOFKOLMH-NEPJUHHUSA-N 0 3 243.354 2.852 20 0 BFADHN C[C@@H]1CCC[C@H](N(C)Cc2ccno2)C1 ZINC000264684744 176140557 /nfs/dbraw/zinc/14/05/57/176140557.db2.gz DWNDJIVCKUOEFW-MNOVXSKESA-N 0 3 208.305 2.685 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2cocn2)[C@@H]1C ZINC000183158766 176143974 /nfs/dbraw/zinc/14/39/74/176143974.db2.gz NSQDWSBFENMCTL-FOGDFJRCSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CCC[C@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000088727673 176150537 /nfs/dbraw/zinc/15/05/37/176150537.db2.gz YSRWUFCCILKNNP-BXKDBHETSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1cn2ccccc2n1 ZINC000112719165 176150837 /nfs/dbraw/zinc/15/08/37/176150837.db2.gz PMELYUXRXFEZSH-DGCLKSJQSA-N 0 3 229.327 2.613 20 0 BFADHN C[C@@H]1CCN(CCOCC(F)(F)F)C[C@@H]1C ZINC000122473079 176171037 /nfs/dbraw/zinc/17/10/37/176171037.db2.gz VZFUDGMAIJOTBZ-ZJUUUORDSA-N 0 3 239.281 2.543 20 0 BFADHN C[C@@H]1CCN(Cc2ccccc2Cl)[C@@H]1CO ZINC000189720620 176175401 /nfs/dbraw/zinc/17/54/01/176175401.db2.gz GKCDJUCQZFITPO-ZWNOBZJWSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@@H]1CCN(Cc2ccc3occc3c2)C[C@@H]1O ZINC000336394488 176175666 /nfs/dbraw/zinc/17/56/66/176175666.db2.gz MEWXLBWVCMYDCM-RISCZKNCSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@@H]1CCN(Cc2cncc(F)c2)C[C@@H]1C ZINC000275174981 176177892 /nfs/dbraw/zinc/17/78/92/176177892.db2.gz YSJCCWTYJGVBHZ-MNOVXSKESA-N 0 3 222.307 2.699 20 0 BFADHN C[C@@H]1CCN(Cc2ccncc2)[C@H](C)C1 ZINC000130767308 176178087 /nfs/dbraw/zinc/17/80/87/176178087.db2.gz IXHPLUFXIWURFJ-VXGBXAGGSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@@H]1CCN(Cc2cn(C)nc2C(F)F)[C@@H]1C ZINC000274460124 176178493 /nfs/dbraw/zinc/17/84/93/176178493.db2.gz HKWIQSYXOYYZKS-RKDXNWHRSA-N 0 3 243.301 2.588 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@@H](C)[C@H](O)C1 ZINC000305768015 176180175 /nfs/dbraw/zinc/18/01/75/176180175.db2.gz UBIUCVZJNVTYLJ-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H]1CCSCCN1Cc1ccco1 ZINC000191822071 176193780 /nfs/dbraw/zinc/19/37/80/176193780.db2.gz AEPDMZYIWRQYSR-SNVBAGLBSA-N 0 3 211.330 2.607 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2cncs2)C1 ZINC000093498236 176197797 /nfs/dbraw/zinc/19/77/97/176197797.db2.gz WTLOTTNRSYCWEN-NXEZZACHSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@H](c1ccncc1)N(C)C[C@@H]1CC[C@@H](C)O1 ZINC000248982499 176201871 /nfs/dbraw/zinc/20/18/71/176201871.db2.gz VZGPFFKYALUGAI-BZPMIXESSA-N 0 3 234.343 2.642 20 0 BFADHN C[C@@H]1CC[C@@H](CN(C)Cc2cccs2)O1 ZINC000276483877 176202337 /nfs/dbraw/zinc/20/23/37/176202337.db2.gz PLFWPASGFPRESY-MNOVXSKESA-N 0 3 225.357 2.747 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCc3ccsc3C2)O1 ZINC000276455201 176203999 /nfs/dbraw/zinc/20/39/99/176203999.db2.gz VFTJUNQOHAMBEI-PWSUYJOCSA-N 0 3 237.368 2.674 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC[C@H]2c2cccnc2)O1 ZINC000336784775 176204490 /nfs/dbraw/zinc/20/44/90/176204490.db2.gz XEWOULUMFPVRQH-SNPRPXQTSA-N 0 3 246.354 2.786 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2cc(F)ccc2F)O1 ZINC000128492864 176205755 /nfs/dbraw/zinc/20/57/55/176205755.db2.gz DRXMDLPAKQOYEY-SKDRFNHKSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H]1CC[C@H](NCc2ncc(Cl)n2C)CC1 ZINC000253139121 176213627 /nfs/dbraw/zinc/21/36/27/176213627.db2.gz VUWBMAZWLALLOP-MGCOHNPYSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1cnc(C(C)(C)C)nc1 ZINC000310149272 176216731 /nfs/dbraw/zinc/21/67/31/176216731.db2.gz FGLOWCBCIFJIEY-PWSUYJOCSA-N 0 3 233.359 2.662 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1cccc2c1OCCCO2 ZINC000310841377 176216894 /nfs/dbraw/zinc/21/68/94/176216894.db2.gz BEHBDEBRMFHYDK-YPMHNXCESA-N 0 3 247.338 2.736 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@@H](c2nccn2C)C2CC2)C1 ZINC000359038921 176226958 /nfs/dbraw/zinc/22/69/58/176226958.db2.gz VHNXSYITDHRNPY-MBNYWOFBSA-N 0 3 247.386 2.897 20 0 BFADHN C[C@@H]1CC[C@H]([NH2+]Cc2cc([O-])cc(F)c2)C1 ZINC000128536870 176233584 /nfs/dbraw/zinc/23/35/84/176233584.db2.gz VCVIXBJKSAMQQF-SKDRFNHKSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@@H]1CC[C@H](N[C@H]2COc3ccccc32)C1 ZINC000252134552 176234452 /nfs/dbraw/zinc/23/44/52/176234452.db2.gz MKICJDNPQPNPIR-MDZLAQPJSA-N 0 3 217.312 2.898 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1nccn1-c1ccccc1 ZINC000353136488 176237393 /nfs/dbraw/zinc/23/73/93/176237393.db2.gz KZCMLUZXDSSEHI-TZMCWYRMSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@@H]1CN(CC2(C)CCC2)C[C@H](C2CC2)O1 ZINC000355219306 176284184 /nfs/dbraw/zinc/28/41/84/176284184.db2.gz MASZZQMKQPENPX-DGCLKSJQSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@@H]1CN(CCCCCF)C[C@@H](C2CC2)O1 ZINC000375362409 176288704 /nfs/dbraw/zinc/28/87/04/176288704.db2.gz PMSZDQUPIJRQKS-YPMHNXCESA-N 0 3 229.339 2.626 20 0 BFADHN C[C@@H]1CN(CCOCC(F)(F)F)C(C)(C)C1 ZINC000336689643 176292662 /nfs/dbraw/zinc/29/26/62/176292662.db2.gz ZSCSAZIZQAFEAG-VIFPVBQESA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H]1CN(CCc2ccccn2)CCC1(F)F ZINC000289849233 176293464 /nfs/dbraw/zinc/29/34/64/176293464.db2.gz POUMEEWCFMAHEQ-LLVKDONJSA-N 0 3 240.297 2.601 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCC(F)(F)C2)C[C@@H](C)O1 ZINC000338252125 176297135 /nfs/dbraw/zinc/29/71/35/176297135.db2.gz GEZDXKPSBJDLFN-IJLUTSLNSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@H]1CN(Cc2cccnc2Cl)C[C@H]1C ZINC000072870108 176307090 /nfs/dbraw/zinc/30/70/90/176307090.db2.gz HUSQEEGKNYBZFA-AOOOYVTPSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@H]1CN(Cc2cnc(C(C)(C)C)nc2)C[C@H]1C ZINC000284446853 176309348 /nfs/dbraw/zinc/30/93/48/176309348.db2.gz TYTNNJXIILWKCX-TXEJJXNPSA-N 0 3 247.386 2.862 20 0 BFADHN C[C@@H]1COCCN(C/C=C/c2ccc(F)cc2)C1 ZINC000370052618 176331612 /nfs/dbraw/zinc/33/16/12/176331612.db2.gz IQFYPCYTYLAGOK-IBUXWKBASA-N 0 3 249.329 2.807 20 0 BFADHN C[C@@H]1COCC[C@@H]1Nc1cccc(CN(C)C)c1 ZINC000195055533 176341946 /nfs/dbraw/zinc/34/19/46/176341946.db2.gz QQNVQZAQICTDBJ-DOMZBBRYSA-N 0 3 248.370 2.585 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1ccncc1Cl ZINC000309141189 176353681 /nfs/dbraw/zinc/35/36/81/176353681.db2.gz TWPGPWVBJHCHCQ-KCJUWKMLSA-N 0 3 242.775 2.576 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1ccc(F)c(F)c1 ZINC000304487608 176355401 /nfs/dbraw/zinc/35/54/01/176355401.db2.gz LZJWFMZSAJFVDU-PRHODGIISA-N 0 3 243.322 2.806 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cc(F)ccc2N)C1 ZINC000035308430 176362296 /nfs/dbraw/zinc/36/22/96/176362296.db2.gz MWLMIRGCTGHAOQ-GHMZBOCLSA-N 0 3 236.334 2.886 20 0 BFADHN C[C@@H]1C[C@@H](CN(C)CCC(F)(F)F)CCO1 ZINC000352691121 176368054 /nfs/dbraw/zinc/36/80/54/176368054.db2.gz IWSGATRPMDNBRY-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H](NC[C@H]1CCO[C@H](C)C1)c1ccco1 ZINC000271590756 176369523 /nfs/dbraw/zinc/36/95/23/176369523.db2.gz SIYVCHXDWCWCRM-UTUOFQBUSA-N 0 3 223.316 2.745 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@@H]2CC=CCC2)c2nccn21 ZINC000294109431 176376184 /nfs/dbraw/zinc/37/61/84/176376184.db2.gz NBJAEASPQDDYSS-JHJVBQTASA-N 0 3 231.343 2.835 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cccc3c2OCO3)[C@@H]1C ZINC000336713937 176377660 /nfs/dbraw/zinc/37/76/60/176377660.db2.gz OOKMTWPNZXAAHX-CKYFFXLPSA-N 0 3 233.311 2.549 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(Cl)cc2)[C@@H](C)O1 ZINC000294906463 176378176 /nfs/dbraw/zinc/37/81/76/176378176.db2.gz ZRJRDHKIZVNLNY-GIPNMCIBSA-N 0 3 239.746 2.996 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1nccn1-c1ccccc1 ZINC000276967760 176396677 /nfs/dbraw/zinc/39/66/77/176396677.db2.gz NYZVACBHQKGDFD-CHWSQXEVSA-N 0 3 241.338 2.618 20 0 BFADHN Cc1cnccc1CN1C[C@@H]2CCCC[C@@H]21 ZINC000668298638 487634047 /nfs/dbraw/zinc/63/40/47/487634047.db2.gz FWRRFUOYUDQBPT-KBPBESRZSA-N 0 3 216.328 2.764 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(F)cc2F)[C@H](C)O1 ZINC000295220590 176485465 /nfs/dbraw/zinc/48/54/65/176485465.db2.gz QZXJSHCHEUERPR-VYUIOLGVSA-N 0 3 241.281 2.620 20 0 BFADHN C[C@@](O)(CNCc1ccccn1)C1CCCCC1 ZINC000191670706 176518171 /nfs/dbraw/zinc/51/81/71/176518171.db2.gz GEJJCTHWSANALK-OAHLLOKOSA-N 0 3 248.370 2.503 20 0 BFADHN C[C@@]1(NCc2ccccc2Cl)CCOC1 ZINC000120174138 176539021 /nfs/dbraw/zinc/53/90/21/176539021.db2.gz PYIYWZAIZQIVTO-GFCCVEGCSA-N 0 3 225.719 2.609 20 0 BFADHN CC[C@H](C)CN1CCOc2ccccc2C1 ZINC000674861230 487634571 /nfs/dbraw/zinc/63/45/71/487634571.db2.gz PCADXPQSPJYRBX-LBPRGKRZSA-N 0 3 219.328 2.927 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1ccc(Cl)cc1F ZINC000162981223 176713154 /nfs/dbraw/zinc/71/31/54/176713154.db2.gz LKXDCARSCUHTNZ-BDAKNGLRSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@H](NCC1(C(F)F)CC1)c1cncc(F)c1 ZINC000359002461 176835425 /nfs/dbraw/zinc/83/54/25/176835425.db2.gz OYWREMBNLUXKBL-QMMMGPOBSA-N 0 3 244.260 2.917 20 0 BFADHN C[C@H](NCCCCF)c1nc2ccccc2n1C ZINC000310988055 176843214 /nfs/dbraw/zinc/84/32/14/176843214.db2.gz KVEDGBNUKYHXBP-NSHDSACASA-N 0 3 249.333 2.974 20 0 BFADHN C[C@H](NCCCc1ccccn1)c1nccs1 ZINC000181490766 176846705 /nfs/dbraw/zinc/84/67/05/176846705.db2.gz LKIWIXXQBOXCMN-NSHDSACASA-N 0 3 247.367 2.822 20 0 BFADHN C[C@@H](F)CCN[C@@H](C)c1cncc(F)c1 ZINC000309014039 176852081 /nfs/dbraw/zinc/85/20/81/176852081.db2.gz SWQNSXKTGHMKDN-BDAKNGLRSA-N 0 3 214.259 2.619 20 0 BFADHN C[C@@H](O)CCN[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000268917658 176852516 /nfs/dbraw/zinc/85/25/16/176852516.db2.gz VLOXOMHAZMTOPB-SFYZADRCSA-N 0 3 247.260 2.525 20 0 BFADHN C[C@H](NCc1c(F)cc(F)cc1F)C1CC1 ZINC000339522780 176873429 /nfs/dbraw/zinc/87/34/29/176873429.db2.gz AZBVBUOBIKNBEV-ZETCQYMHSA-N 0 3 229.245 2.992 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccs1)c1cnn(C)c1 ZINC000044495394 176915836 /nfs/dbraw/zinc/91/58/36/176915836.db2.gz VBEWILQUQWLRJW-ZJUUUORDSA-N 0 3 235.356 2.893 20 0 BFADHN C[C@H](N[C@H]1CCOC1)c1ccccc1Cl ZINC000070964421 176925452 /nfs/dbraw/zinc/92/54/52/176925452.db2.gz JSHIXAZHRMYWCA-UWVGGRQHSA-N 0 3 225.719 2.780 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1(C)C)c1ccsc1 ZINC000226601430 176929614 /nfs/dbraw/zinc/92/96/14/176929614.db2.gz BKASCYXBYVXCSF-INTQDDNPSA-N 0 3 225.357 2.558 20 0 BFADHN C[C@@H](N[C@@H](C)C[C@H](C)O)c1cccc(F)c1F ZINC000300417767 177001698 /nfs/dbraw/zinc/00/16/98/177001698.db2.gz NKADWXFZZFCWHU-LPEHRKFASA-N 0 3 243.297 2.775 20 0 BFADHN C[C@H](O)[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC000272620849 177008933 /nfs/dbraw/zinc/00/89/33/177008933.db2.gz USAPSYQDWMOSCO-WCQYABFASA-N 0 3 245.322 2.636 20 0 BFADHN C[C@H](O)[C@@H]1CCN(Cc2ccc3occc3c2)C1 ZINC000290191820 177009946 /nfs/dbraw/zinc/00/99/46/177009946.db2.gz CFDNASLCNVTPHY-SMDDNHRTSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@H]([C@H](C)O)C1 ZINC000272630611 177015851 /nfs/dbraw/zinc/01/58/51/177015851.db2.gz WFXACXQNVDUROH-MDZLAQPJSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](O)c1ncc(CNC2(C)CCCC2)s1 ZINC000289198767 177019674 /nfs/dbraw/zinc/01/96/74/177019674.db2.gz HNLLNMORPBOMKR-VIFPVBQESA-N 0 3 240.372 2.619 20 0 BFADHN C[C@H](c1cccc(Cl)c1)N(C)CCCO ZINC000052162373 177036495 /nfs/dbraw/zinc/03/64/95/177036495.db2.gz TVOHLRVQZHALIH-SNVBAGLBSA-N 0 3 227.735 2.715 20 0 BFADHN C[C@H](c1cccc(Cl)c1)N1CC[C@@H](CO)C1 ZINC000224475075 177036803 /nfs/dbraw/zinc/03/68/03/177036803.db2.gz QESCUFHEWUGLRS-GHMZBOCLSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@H](c1cccc(Cl)c1)N1CC[C@@](C)(O)C1 ZINC000177986981 177036954 /nfs/dbraw/zinc/03/69/54/177036954.db2.gz NMLGCDWSZYEGGO-ZWNOBZJWSA-N 0 3 239.746 2.858 20 0 BFADHN C[C@H](c1ccccc1F)N(C)[C@@H]1CCC[C@H]1O ZINC000226284984 177041314 /nfs/dbraw/zinc/04/13/14/177041314.db2.gz UACBSGDGAKKKSR-LERXQTSPSA-N 0 3 237.318 2.732 20 0 BFADHN C[C@H](c1ccccc1Cl)N1CC[C@@H](CO)C1 ZINC000224475318 177041610 /nfs/dbraw/zinc/04/16/10/177041610.db2.gz KZVSBWRHXANZGI-GHMZBOCLSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCN1CCCCC1 ZINC000162467201 177045355 /nfs/dbraw/zinc/04/53/55/177045355.db2.gz VWQAPFJTHFYELO-CQSZACIVSA-N 0 3 247.386 2.560 20 0 BFADHN C[C@H](c1ccco1)N(C)CCCn1ccnc1 ZINC000183168497 177047050 /nfs/dbraw/zinc/04/70/50/177047050.db2.gz KOKZUQPBCPCCEP-GFCCVEGCSA-N 0 3 233.315 2.559 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCc1cscn1 ZINC000340292112 177050495 /nfs/dbraw/zinc/05/04/95/177050495.db2.gz WNPOIQICUGRCKL-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1ccncc1F ZINC000335193840 177063865 /nfs/dbraw/zinc/06/38/65/177063865.db2.gz WVHGIVIQEKEAQH-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CCCCN(Cc2cncc(F)c2)C1 ZINC000275153966 177071000 /nfs/dbraw/zinc/07/10/00/177071000.db2.gz UGWAOBCRRRPAIR-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN C[C@H]1CCCCN1Cc1cnn(CC(F)F)c1 ZINC000295002734 177076778 /nfs/dbraw/zinc/07/67/78/177076778.db2.gz UXKMIQAEMRQXAL-JTQLQIEISA-N 0 3 243.301 2.523 20 0 BFADHN C[C@H]1CCCC[C@@]1(C)NCc1ncccn1 ZINC000308711641 177085200 /nfs/dbraw/zinc/08/52/00/177085200.db2.gz OWBMIVRNAFBJDO-WCQYABFASA-N 0 3 219.332 2.535 20 0 BFADHN C[C@H]1CCCC[C@H]1CN1C[C@H]2CC[C@@H](C1)O2 ZINC000346190043 177085446 /nfs/dbraw/zinc/08/54/46/177085446.db2.gz VKZYMTMGGDHDEM-XDQVBPFNSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@H]1CCCC[C@H]1N[C@@H](CCO)c1ccco1 ZINC000186520475 177088509 /nfs/dbraw/zinc/08/85/09/177088509.db2.gz XXCLYDYHBPMAQQ-XQQFMLRXSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1ccccn1 ZINC000172856806 177102411 /nfs/dbraw/zinc/10/24/11/177102411.db2.gz VQMWHLDWAGQMLU-NWDGAFQWSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@H]1CCCN1C[C@@H](O)c1ccccc1Cl ZINC000247703890 177109750 /nfs/dbraw/zinc/10/97/50/177109750.db2.gz YLAXIDURFANEDF-GXFFZTMASA-N 0 3 239.746 2.858 20 0 BFADHN C[C@H]1CCCN1C/C=C/c1ccncc1 ZINC000336621790 177109756 /nfs/dbraw/zinc/10/97/56/177109756.db2.gz AGTHFMHXRRQZTN-PYEVWLCESA-N 0 3 202.301 2.579 20 0 BFADHN C[C@H]1CCC[C@@H](CN2CCOCC23CC3)C1 ZINC000338597502 177119004 /nfs/dbraw/zinc/11/90/04/177119004.db2.gz LIPDRXFSPULDSQ-QWHCGFSZSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@H]1CCC[C@H](C)N1Cc1cccnc1 ZINC000001619772 177132460 /nfs/dbraw/zinc/13/24/60/177132460.db2.gz JDNPBYIOUAUZBG-RYUDHWBXSA-N 0 3 204.317 2.845 20 0 BFADHN C[C@H]1CCC[C@H](NCc2ncccc2N(C)C)C1 ZINC000353256059 177137196 /nfs/dbraw/zinc/13/71/96/177137196.db2.gz WUHNSJZVBGWTOR-STQMWFEESA-N 0 3 247.386 2.816 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1nc(-c2ccco2)no1 ZINC000279199812 177142588 /nfs/dbraw/zinc/14/25/88/177142588.db2.gz FVJRJJNTUJBCPN-VHSXEESVSA-N 0 3 247.298 2.608 20 0 BFADHN C[C@H]1CCN(Cc2cc3ccccc3o2)[C@@H]1CO ZINC000190445956 177163394 /nfs/dbraw/zinc/16/33/94/177163394.db2.gz YXZYGHQGDRIHGT-SMDDNHRTSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@H]1CCN(Cc2ccccc2Cl)[C@@H]1CO ZINC000189720636 177164723 /nfs/dbraw/zinc/16/47/23/177164723.db2.gz GKCDJUCQZFITPO-GXFFZTMASA-N 0 3 239.746 2.543 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1Cc1cn2ccccc2n1 ZINC000077319130 177166686 /nfs/dbraw/zinc/16/66/86/177166686.db2.gz BUKCOJMYHAPCNM-QWHCGFSZSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@H]1CCN(Cc2ccnc(N)c2)CC1(C)C ZINC000278853925 177167637 /nfs/dbraw/zinc/16/76/37/177167637.db2.gz MGHGMNZKIVEYJH-NSHDSACASA-N 0 3 233.359 2.532 20 0 BFADHN C[C@H]1CCN(Cc2sccc2Cl)[C@@H]1CO ZINC000278715905 177168656 /nfs/dbraw/zinc/16/86/56/177168656.db2.gz QEIROYLDCKVHJI-WCBMZHEXSA-N 0 3 245.775 2.604 20 0 BFADHN C[C@H]1CCOCCN1CCCCC(F)(F)F ZINC000374990522 177177409 /nfs/dbraw/zinc/17/74/09/177177409.db2.gz MZPNSJVHXKSNKL-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN C[C@H]1CCOCCN1Cc1ccc2c(c1)CCC2 ZINC000367634244 177177610 /nfs/dbraw/zinc/17/76/10/177177610.db2.gz NXVGTLJFMOTINN-ZDUSSCGKSA-N 0 3 245.366 2.786 20 0 BFADHN C[C@H]1CC[C@@H](CNC2(c3ccc(F)cc3)CC2)O1 ZINC000354665754 177190166 /nfs/dbraw/zinc/19/01/66/177190166.db2.gz HUWVZKVMJYCIII-FZMZJTMJSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2ccn(C(F)F)n2)C1 ZINC000355602540 177190294 /nfs/dbraw/zinc/19/02/94/177190294.db2.gz VKCFMPGJXMMVSA-VHSXEESVSA-N 0 3 243.301 2.804 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1nccn1-c1ccccc1 ZINC000353136482 177195855 /nfs/dbraw/zinc/19/58/55/177195855.db2.gz KZCMLUZXDSSEHI-JSGCOSHPSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@H]1CC[C@H]1NCc1nc(-c2ccccc2)c[nH]1 ZINC000353108591 177207035 /nfs/dbraw/zinc/20/70/35/177207035.db2.gz HOPCTEPHCDCFRZ-WCQYABFASA-N 0 3 241.338 2.965 20 0 BFADHN C[C@H]1CN(CC2CCCCCC2)CCC1=O ZINC000351139149 177246656 /nfs/dbraw/zinc/24/66/56/177246656.db2.gz IBAVAACUYXWCEW-LBPRGKRZSA-N 0 3 223.360 2.868 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CCCOc1ccc(F)cc1 ZINC000339570677 177249030 /nfs/dbraw/zinc/24/90/30/177249030.db2.gz YWBGULAUARMJBZ-NWDGAFQWSA-N 0 3 237.318 2.935 20 0 BFADHN C[C@H]1CN(CCCF)Cc2ccccc2O1 ZINC000292489291 177249972 /nfs/dbraw/zinc/24/99/72/177249972.db2.gz SUQAOCUWCBNUSA-NSHDSACASA-N 0 3 223.291 2.629 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2C[C@@H](C)O[C@@H](C)C2)C1 ZINC000348925253 177257349 /nfs/dbraw/zinc/25/73/49/177257349.db2.gz BTPRBKMCWPNEIM-YIYPIFLZSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@H]1CN(C[C@@H](O)c2cc3ccccc3o2)[C@H]1C ZINC000293533742 177258172 /nfs/dbraw/zinc/25/81/72/177258172.db2.gz XHTKCFKSFJSAIJ-GMXVVIOVSA-N 0 3 245.322 2.806 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)C[C@H](C)O1 ZINC000340244204 177258189 /nfs/dbraw/zinc/25/81/89/177258189.db2.gz VMDWEIZXEVPYBZ-OUAUKWLOSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1Cc1cccc2[nH]ccc21 ZINC000092018383 177264439 /nfs/dbraw/zinc/26/44/39/177264439.db2.gz HCVDPEUWIXNUTE-NEPJUHHUSA-N 0 3 244.338 2.777 20 0 BFADHN C[C@@H](c1ccccc1F)N1CCCO[C@@H](C)C1 ZINC000170765877 177274135 /nfs/dbraw/zinc/27/41/35/177274135.db2.gz LSNZCBQDCJSFEY-RYUDHWBXSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@H](c1ccccc1)N1C[C@H](C)N(C)[C@@H](C)C1 ZINC000339619890 177275604 /nfs/dbraw/zinc/27/56/04/177275604.db2.gz ZQSZMDHUYPBBMM-MELADBBJSA-N 0 3 232.371 2.772 20 0 BFADHN C[C@H]1CSCCN1CCCCCF ZINC000308302068 177304843 /nfs/dbraw/zinc/30/48/43/177304843.db2.gz MIYIUUOAARTMAR-JTQLQIEISA-N 0 3 205.342 2.564 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1ccc(F)cc1F ZINC000285773276 177305729 /nfs/dbraw/zinc/30/57/29/177305729.db2.gz DNEXPKXTBLNYIF-UFBFGSQYSA-N 0 3 243.322 2.806 20 0 BFADHN C[C@H]1C[C@H]([NH2+]Cc2nnc(C3CC3)[n-]2)C[C@@H](C)C1 ZINC000331463981 177318016 /nfs/dbraw/zinc/31/80/16/177318016.db2.gz HEDYFPJGCIQDIH-IAZYJMLFSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H]1C[C@H](NCc2nnc(C3CC3)[nH]2)C[C@@H](C)C1 ZINC000331463981 177318017 /nfs/dbraw/zinc/31/80/17/177318017.db2.gz HEDYFPJGCIQDIH-IAZYJMLFSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](C)C[C@H](C)C1)c1cnccn1 ZINC000246901532 177318965 /nfs/dbraw/zinc/31/89/65/177318965.db2.gz LMCXRYJTSVPOEK-XQHKEYJVSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1c[nH]nc1C(C)(C)C ZINC000336608617 177319808 /nfs/dbraw/zinc/31/98/08/177319808.db2.gz VOGUMKDETGLQOD-AOOOYVTPSA-N 0 3 221.348 2.690 20 0 BFADHN C[C@H]1C[C@@H](NCC2(C)CCC2)c2nccn21 ZINC000335769235 177331114 /nfs/dbraw/zinc/33/11/14/177331114.db2.gz CMSYCEFVIRGKPV-WDEREUQCSA-N 0 3 219.332 2.669 20 0 BFADHN C[C@H]1C[C@@H](NCCCO)c2ccsc2S1 ZINC000122035019 177331543 /nfs/dbraw/zinc/33/15/43/177331543.db2.gz ARJNMHICOZNMKO-WCBMZHEXSA-N 0 3 243.397 2.646 20 0 BFADHN C[C@@H]1CCC[C@@H]1CN[C@@H]1C[C@H](C)n2ccnc21 ZINC000345348514 177332106 /nfs/dbraw/zinc/33/21/06/177332106.db2.gz MJADJDXFMRELCR-YVECIDJPSA-N 0 3 233.359 2.915 20 0 BFADHN C[C@H]1C[C@@H](O)CN1Cc1cc2ccccc2s1 ZINC000336590640 177337424 /nfs/dbraw/zinc/33/74/24/177337424.db2.gz VGYJRDSKYLSAAM-CMPLNLGQSA-N 0 3 247.363 2.856 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1cccc2c1OCCCO2 ZINC000132102391 177345426 /nfs/dbraw/zinc/34/54/26/177345426.db2.gz AXMKBIBOASCANP-WCQYABFASA-N 0 3 247.338 2.594 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1ccc(F)cc1F ZINC000136256348 177345944 /nfs/dbraw/zinc/34/59/44/177345944.db2.gz HHLAPMCPYRMAKV-WCBMZHEXSA-N 0 3 211.255 2.710 20 0 BFADHN C[C@H]1C[C@@H]1NCc1ccc(Cl)c(F)c1 ZINC000052275538 177350306 /nfs/dbraw/zinc/35/03/06/177350306.db2.gz RHOXMEHWLAIGFE-CPCISQLKSA-N 0 3 213.683 2.977 20 0 BFADHN C[C@@H](O)CN(Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000192961277 177351185 /nfs/dbraw/zinc/35/11/85/177351185.db2.gz URIWUPUVFIBYQX-WDMOLILDSA-N 0 3 249.354 2.748 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cncc(F)c2)C1 ZINC000274370863 177359076 /nfs/dbraw/zinc/35/90/76/177359076.db2.gz QADVSDLHMZDSRE-QWRGUYRKSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(Cl)o2)C[C@H](C)O1 ZINC000335150314 177370883 /nfs/dbraw/zinc/37/08/83/177370883.db2.gz WBYPBYUMHWEXSQ-ILWJIGKKSA-N 0 3 243.734 2.979 20 0 BFADHN C[C@H]1C[C@H]1CNCc1nc(C2CC2)cs1 ZINC000336769591 177382072 /nfs/dbraw/zinc/38/20/72/177382072.db2.gz VUFGCCVHLZWHLZ-WPRPVWTQSA-N 0 3 222.357 2.766 20 0 BFADHN C[C@H]1C[C@H]1NCc1cnc(C(C)(C)C)s1 ZINC000062839059 177386075 /nfs/dbraw/zinc/38/60/75/177386075.db2.gz XLBSOIQFDHOMAQ-WCBMZHEXSA-N 0 3 224.373 2.939 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN[C@H]2CCCC[C@@H]2O)o1 ZINC000189789878 177387522 /nfs/dbraw/zinc/38/75/22/177387522.db2.gz ZZTOBKKQTSOJCO-GHYVTOPFSA-N 0 3 249.354 2.796 20 0 BFADHN C[C@H]1N([C@@H]2C=CCCC2)CCOC1(C)C ZINC000357012044 177392706 /nfs/dbraw/zinc/39/27/06/177392706.db2.gz JEQBTVCESWPRQK-VXGBXAGGSA-N 0 3 209.333 2.594 20 0 BFADHN C[C@H]1OCCN(Cc2cccc3[nH]ccc32)[C@@H]1C ZINC000093418723 177399406 /nfs/dbraw/zinc/39/94/06/177399406.db2.gz OWYDYDRDSRBHPK-VXGBXAGGSA-N 0 3 244.338 2.777 20 0 BFADHN CCOc1ccc(CN2CC[C@H](C(C)C)C2)nc1 ZINC000674868395 487639357 /nfs/dbraw/zinc/63/93/57/487639357.db2.gz RINVWHJNDASQJE-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN Nc1cccc(CN2CCC(C(F)F)CC2)c1 ZINC000307869115 487639644 /nfs/dbraw/zinc/63/96/44/487639644.db2.gz PMRXJQODRHPULI-UHFFFAOYSA-N 0 3 240.297 2.746 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nccn1C)C1CCC1 ZINC000324708937 487640316 /nfs/dbraw/zinc/64/03/16/487640316.db2.gz WDKJZUBVLPWTKW-CMPLNLGQSA-N 0 3 221.348 2.649 20 0 BFADHN Cn1ncc2c1CC(C)(C)CN(CC1(C)CC1)C2 ZINC000668845755 487643390 /nfs/dbraw/zinc/64/33/90/487643390.db2.gz FAGXUUMRMSHUOX-UHFFFAOYSA-N 0 3 247.386 2.605 20 0 BFADHN Cc1ccoc1CN(C)[C@@H]1CCO[C@H](C)C1 ZINC000335524541 487643550 /nfs/dbraw/zinc/64/35/50/487643550.db2.gz DJHDKUHTCXSOKB-VXGBXAGGSA-N 0 3 223.316 2.587 20 0 BFADHN Oc1cccc(CNC/C=C/c2ccncc2)c1 ZINC000486952350 225274991 /nfs/dbraw/zinc/27/49/91/225274991.db2.gz BUXRZBYZIXHTBE-DUXPYHPUSA-N 0 3 240.306 2.590 20 0 BFADHN CO[C@@H](CNC/C=C\c1ccncc1)C(C)(C)C ZINC000487330232 225300129 /nfs/dbraw/zinc/30/01/29/225300129.db2.gz UUQSSXDDWUVFPV-GXMNPTEKSA-N 0 3 248.370 2.746 20 0 BFADHN COCCN(Cc1cccs1)C[C@@H]1C[C@@H]1C ZINC000488314847 225370172 /nfs/dbraw/zinc/37/01/72/225370172.db2.gz CTNQCNJABLCJMV-RYUDHWBXSA-N 0 3 239.384 2.853 20 0 BFADHN C[C@H]1C[C@H]1CN1CCOC2(CCCCC2)C1 ZINC000488340838 225379088 /nfs/dbraw/zinc/37/90/88/225379088.db2.gz ATFSNHYUAPFNSJ-STQMWFEESA-N 0 3 223.360 2.678 20 0 BFADHN Cc1nc2sccn2c1CN(C)C[C@H]1C[C@H]1C ZINC000488353173 225383919 /nfs/dbraw/zinc/38/39/19/225383919.db2.gz PLNIXDODPSACOT-MWLCHTKSSA-N 0 3 249.383 2.792 20 0 BFADHN C[C@H]1C[C@H]1CN1CCN(c2cccs2)CC1 ZINC000488362552 225387197 /nfs/dbraw/zinc/38/71/97/225387197.db2.gz ZZFFFRCZXPNEQC-RYUDHWBXSA-N 0 3 236.384 2.526 20 0 BFADHN COCCN(Cc1ccc(C)o1)C[C@H]1C[C@@H]1C ZINC000488293297 225363398 /nfs/dbraw/zinc/36/33/98/225363398.db2.gz FNHDFVDDYJCYJY-WCQYABFASA-N 0 3 237.343 2.692 20 0 BFADHN Cc1ccccc1N1CCN(C[C@H]2C[C@H]2C)CC1 ZINC000488307965 225366247 /nfs/dbraw/zinc/36/62/47/225366247.db2.gz BSFZKNGIVRULMH-HUUCEWRRSA-N 0 3 244.382 2.773 20 0 BFADHN COc1cccc(CNC2(C3CC3)CC2)c1F ZINC000669683253 487646032 /nfs/dbraw/zinc/64/60/32/487646032.db2.gz DAVMXKMVRSGVSI-UHFFFAOYSA-N 0 3 235.302 2.867 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H](C)COC(C)C ZINC000669684310 487647294 /nfs/dbraw/zinc/64/72/94/487647294.db2.gz YTOOXCVPQNOONH-STQMWFEESA-N 0 3 236.359 2.854 20 0 BFADHN C[C@H]1C[C@@H]1c1nc(C2C[C@H]3CC[C@@H](C2)N3C)no1 ZINC000680778990 487647386 /nfs/dbraw/zinc/64/73/86/487647386.db2.gz YVZKJONZZLOCMI-AZWZAMPBSA-N 0 3 247.342 2.533 20 0 BFADHN C[C@@H]1CN(CCCOC(C)(C)C)CC(C)(C)O1 ZINC000674905480 487648983 /nfs/dbraw/zinc/64/89/83/487648983.db2.gz QCRKBMOHWNTEAW-GFCCVEGCSA-N 0 3 243.391 2.691 20 0 BFADHN CCc1noc(CC)c1CN[C@@H]1C[C@@H](C)[C@H]1C ZINC000495050378 226275358 /nfs/dbraw/zinc/27/53/58/226275358.db2.gz TXKDQVJLLUNLFU-GIPNMCIBSA-N 0 3 236.359 2.934 20 0 BFADHN Cc1cccc2nc(CN[C@@H]3C[C@@H](C)[C@@H]3C)cn21 ZINC000495083101 226276390 /nfs/dbraw/zinc/27/63/90/226276390.db2.gz YBXAIYLEKWOFEF-SCDSUCTJSA-N 0 3 243.354 2.777 20 0 BFADHN COCCN(Cc1ccc(C)cc1C)C1CC1 ZINC000507160325 226366239 /nfs/dbraw/zinc/36/62/39/226366239.db2.gz LNBREXMGCSGAKK-UHFFFAOYSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1nocc1CN(CCC(C)C)C1CC1 ZINC000507161100 226366451 /nfs/dbraw/zinc/36/64/51/226366451.db2.gz PLWUBPTXQPOXOA-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN OCCN(Cc1ccc(C2CCC2)cc1)C1CC1 ZINC000507225323 226367661 /nfs/dbraw/zinc/36/76/61/226367661.db2.gz CIKXBFSAAXHZKU-UHFFFAOYSA-N 0 3 245.366 2.911 20 0 BFADHN Cc1nocc1CN([C@H](C)C1CC1)C1CC1 ZINC000507357646 226368521 /nfs/dbraw/zinc/36/85/21/226368521.db2.gz JOJHAIJNQJHPGQ-SNVBAGLBSA-N 0 3 220.316 2.746 20 0 BFADHN CCc1nocc1CN1C[C@H](C)C[C@H]1CC ZINC000508638726 226373566 /nfs/dbraw/zinc/37/35/66/226373566.db2.gz SISGAQBJWTYWRC-ZYHUDNBSSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1ccc(CN[C@H](C)[C@@H]2CCCOC2)nc1 ZINC000389035123 262711416 /nfs/dbraw/zinc/71/14/16/262711416.db2.gz PLVNIOKDVXSDBY-TZMCWYRMSA-N 0 3 248.370 2.549 20 0 BFADHN Cc1cc(CNCCCc2cccs2)nn1C ZINC000666292278 487663188 /nfs/dbraw/zinc/66/31/88/487663188.db2.gz IYBDTHFQFAMOGW-UHFFFAOYSA-N 0 3 249.383 2.512 20 0 BFADHN CC1(CNCc2cnc3ccccc3n2)CCC1 ZINC000232902521 487667787 /nfs/dbraw/zinc/66/77/87/487667787.db2.gz NSRFQYBBDWUZIA-UHFFFAOYSA-N 0 3 241.338 2.910 20 0 BFADHN CN(C)c1ccccc1CNC[C@@H]1CCC=CO1 ZINC000275473813 487669423 /nfs/dbraw/zinc/66/94/23/487669423.db2.gz BPIQTZVEBFZVQZ-AWEZNQCLSA-N 0 3 246.354 2.535 20 0 BFADHN CCC(C)(C)CNC(=O)Nc1cccc(CN)c1 ZINC000232928933 487671524 /nfs/dbraw/zinc/67/15/24/487671524.db2.gz URXYSGVQZWSKCY-UHFFFAOYSA-N 0 3 249.358 2.703 20 0 BFADHN CC1(C)CN(CC2(O)CCC2)[C@H]1c1ccccc1 ZINC000675124737 487671791 /nfs/dbraw/zinc/67/17/91/487671791.db2.gz AYQRKJSJZPIZKF-AWEZNQCLSA-N 0 3 245.366 2.985 20 0 BFADHN CCCN1CC[C@H](N[C@@H](C)c2ccc(C)o2)C1 ZINC000328379614 487672082 /nfs/dbraw/zinc/67/20/82/487672082.db2.gz UXIZAOAWRDJLJL-STQMWFEESA-N 0 3 236.359 2.723 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCOC[C@H]1Cc1ccccc1 ZINC000488315290 229181534 /nfs/dbraw/zinc/18/15/34/229181534.db2.gz PVCKUDSETSDGEL-VNQPRFMTSA-N 0 3 245.366 2.586 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2ccc(C)o2)C12CCC2 ZINC000308339701 229227648 /nfs/dbraw/zinc/22/76/48/229227648.db2.gz AOMPIKWDWASMQH-CHWSQXEVSA-N 0 3 235.327 2.635 20 0 BFADHN COc1nccc(CN[C@]2(C)CCCC[C@@H]2C)n1 ZINC000308715057 229244271 /nfs/dbraw/zinc/24/42/71/229244271.db2.gz OLMPBNCYMBGTEG-SMDDNHRTSA-N 0 3 249.358 2.544 20 0 BFADHN CC1(C)C[C@@H]1CNCc1cnc2ccccc2n1 ZINC000232940599 487672498 /nfs/dbraw/zinc/67/24/98/487672498.db2.gz NVRVURSUHPHHNF-LLVKDONJSA-N 0 3 241.338 2.766 20 0 BFADHN Cc1cccc2[nH]c(CN(C)[C@@H]3CCOC3)cc21 ZINC000667597142 487673170 /nfs/dbraw/zinc/67/31/70/487673170.db2.gz CFILYTLNGJSJRS-CYBMUJFWSA-N 0 3 244.338 2.697 20 0 BFADHN CC[C@H](C)CCNCc1cccc2c1OCO2 ZINC000394397180 487674029 /nfs/dbraw/zinc/67/40/29/487674029.db2.gz HQXZDURLCYHTPW-NSHDSACASA-N 0 3 235.327 2.941 20 0 BFADHN CC[C@@H](O)[C@@H]1CCCCN1Cc1ccc(C)nc1 ZINC000680933982 487674322 /nfs/dbraw/zinc/67/43/22/487674322.db2.gz IHBGUMAGBCEORN-LSDHHAIUSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@H](C)N1CCC[C@@](OC)(C(F)(F)F)C1 ZINC000669693509 487682763 /nfs/dbraw/zinc/68/27/63/487682763.db2.gz YHMQJDFCNCWICD-UWVGGRQHSA-N 0 3 239.281 2.828 20 0 BFADHN CCOC1CC(CN(C)Cc2ccsc2)C1 ZINC000671676486 487683883 /nfs/dbraw/zinc/68/38/83/487683883.db2.gz DUGVBPOILJJLGL-UHFFFAOYSA-N 0 3 239.384 2.995 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)c(C)s1 ZINC000087781883 487684969 /nfs/dbraw/zinc/68/49/69/487684969.db2.gz AWKSPASWUSDGHP-UPJWGTAASA-N 0 3 237.368 2.774 20 0 BFADHN CCCn1nccc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000667642597 487689020 /nfs/dbraw/zinc/68/90/20/487689020.db2.gz DHRFYOAWBNGUID-BETUJISGSA-N 0 3 233.359 2.525 20 0 BFADHN Fc1cnccc1CNC1CCC(F)(F)CC1 ZINC000336206684 487690963 /nfs/dbraw/zinc/69/09/63/487690963.db2.gz CEVCMUXJUQBSTQ-UHFFFAOYSA-N 0 3 244.260 2.888 20 0 BFADHN Fc1cnccc1CN[C@H]1CC12CCCC2 ZINC000336208659 487691334 /nfs/dbraw/zinc/69/13/34/487691334.db2.gz XWBABCIALVQDTA-LBPRGKRZSA-N 0 3 220.291 2.643 20 0 BFADHN C[C@@H]1CN(CCC(C)(C)C2CC2)CCC1=O ZINC000328468341 487693591 /nfs/dbraw/zinc/69/35/91/487693591.db2.gz YIVOCNFFJZGCIF-LLVKDONJSA-N 0 3 223.360 2.724 20 0 BFADHN CCCn1nccc1CN1C[C@@H](C)CC[C@H]1C ZINC000667650265 487696474 /nfs/dbraw/zinc/69/64/74/487696474.db2.gz QZJDKKHLLJNEMY-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN C/C(Cl)=C\CN[C@H]1Cc2cccc(O)c2C1 ZINC000528475297 262771426 /nfs/dbraw/zinc/77/14/26/262771426.db2.gz KKYIUNGQHNNWBY-NKLKJHRZSA-N 0 3 237.730 2.592 20 0 BFADHN CC[C@H](NCc1cc(Cl)cs1)[C@H](C)O ZINC000395334311 262786766 /nfs/dbraw/zinc/78/67/66/262786766.db2.gz CBKVQQDPCGQZFG-XVKPBYJWSA-N 0 3 233.764 2.651 20 0 BFADHN CCc1ccc(CN[C@H]2COC(C)(C)C2)s1 ZINC000396925513 262826938 /nfs/dbraw/zinc/82/69/38/262826938.db2.gz MCLRBPWDTILKBJ-SNVBAGLBSA-N 0 3 239.384 2.968 20 0 BFADHN C[C@H](CNCC1(F)CC1)N1CCc2ccccc21 ZINC000527520724 262851702 /nfs/dbraw/zinc/85/17/02/262851702.db2.gz MAPDPKYDKPLATI-GFCCVEGCSA-N 0 3 248.345 2.529 20 0 BFADHN FC(F)[C@@H]1C[C@@H]1NCc1ccc2cc[nH]c2c1 ZINC000527729160 262876879 /nfs/dbraw/zinc/87/68/79/262876879.db2.gz VDUWGHCELYOFSU-PWSUYJOCSA-N 0 3 236.265 2.911 20 0 BFADHN CCc1ccc(CN[C@]23C[C@H]2COC3(C)C)cc1 ZINC000527763984 262880439 /nfs/dbraw/zinc/88/04/39/262880439.db2.gz YBTRFKCJYORARY-GOEBONIOSA-N 0 3 245.366 2.906 20 0 BFADHN Cc1cnc(CN[C@@H](C)[C@H]2CC23CC3)s1 ZINC000527870404 262886469 /nfs/dbraw/zinc/88/64/69/262886469.db2.gz RZKXHCOHLSKSJQ-VHSXEESVSA-N 0 3 222.357 2.730 20 0 BFADHN COCCC1CCN([C@@H](C)c2cccnc2)CC1 ZINC000527934905 262896664 /nfs/dbraw/zinc/89/66/64/262896664.db2.gz MCXVTNQKQWLZID-ZDUSSCGKSA-N 0 3 248.370 2.891 20 0 BFADHN CC[C@H](C)CCNCc1c(C)noc1C ZINC000394485011 487699735 /nfs/dbraw/zinc/69/97/35/487699735.db2.gz XLKXXFJVLFWLML-VIFPVBQESA-N 0 3 210.321 2.817 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1ccnn1CC1CC1 ZINC000667664840 487706236 /nfs/dbraw/zinc/70/62/36/487706236.db2.gz UGLFKEGTNXXKIG-NWDGAFQWSA-N 0 3 233.359 2.523 20 0 BFADHN Cc1nc(C)c(CN2CCCC[C@@H]2C)o1 ZINC000683897301 487710061 /nfs/dbraw/zinc/71/00/61/487710061.db2.gz XQUFRRRQVSKACU-VIFPVBQESA-N 0 3 208.305 2.666 20 0 BFADHN C[C@H]1CC[C@H]1NCc1ncccc1C(F)(F)F ZINC000671730853 487712482 /nfs/dbraw/zinc/71/24/82/487712482.db2.gz QTVYXZDCUHAEHW-WCBMZHEXSA-N 0 3 244.260 2.989 20 0 BFADHN CCC(C)(C)[C@@H](C)N[C@@H]1CCn2ccnc21 ZINC000651370678 487716253 /nfs/dbraw/zinc/71/62/53/487716253.db2.gz JMPDAAAVDHFGMK-GHMZBOCLSA-N 0 3 221.348 2.742 20 0 BFADHN CCN(Cc1n[nH]c2ccccc21)C1CC1 ZINC000678138054 487722528 /nfs/dbraw/zinc/72/25/28/487722528.db2.gz AEVMUAIYGCLWGJ-UHFFFAOYSA-N 0 3 215.300 2.547 20 0 BFADHN CCN(Cc1[nH]nc2ccccc21)C1CC1 ZINC000678138054 487722534 /nfs/dbraw/zinc/72/25/34/487722534.db2.gz AEVMUAIYGCLWGJ-UHFFFAOYSA-N 0 3 215.300 2.547 20 0 BFADHN C[C@H](CN(C)Cc1c[nH]cn1)c1ccc(F)cc1 ZINC000668422199 487726876 /nfs/dbraw/zinc/72/68/76/487726876.db2.gz TWFFYGZUIFKCHG-LLVKDONJSA-N 0 3 247.317 2.784 20 0 BFADHN C[C@H](CN(C)Cc1cnc[nH]1)c1ccc(F)cc1 ZINC000668422199 487726880 /nfs/dbraw/zinc/72/68/80/487726880.db2.gz TWFFYGZUIFKCHG-LLVKDONJSA-N 0 3 247.317 2.784 20 0 BFADHN Cc1cc(C)c(CN(C)Cc2cnc[nH]2)cc1C ZINC000668423468 487729273 /nfs/dbraw/zinc/72/92/73/487729273.db2.gz ZHRJWHSMTCFHFY-UHFFFAOYSA-N 0 3 243.354 2.967 20 0 BFADHN C[C@H]1CCN(CCCC2CCOCC2)C[C@H]1F ZINC000681121767 487733521 /nfs/dbraw/zinc/73/35/21/487733521.db2.gz YSJRVCNMOQQVPH-GXTWGEPZSA-N 0 3 243.366 2.873 20 0 BFADHN COC[C@H]1CCCN1CCCCC(F)(F)F ZINC000155022534 585010978 /nfs/dbraw/zinc/01/09/78/585010978.db2.gz FVYOXWKQMMZJRS-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN C/C=C\C[C@H](CO)N[C@@H](C)c1ccc(C)nc1C ZINC000681141228 487737015 /nfs/dbraw/zinc/73/70/15/487737015.db2.gz HYBBNKNATOIYNM-MEWZEZIVSA-N 0 3 248.370 2.676 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1ncnn1C(C)C ZINC000088592759 487737531 /nfs/dbraw/zinc/73/75/31/487737531.db2.gz VNFQYHAENBIFOU-RYUDHWBXSA-N 0 3 238.379 2.773 20 0 BFADHN CCC[C@H](C)NC(=O)Nc1cccc(CNC)c1 ZINC000667786778 487742292 /nfs/dbraw/zinc/74/22/92/487742292.db2.gz RNBDLFFEEGFPBC-NSHDSACASA-N 0 3 249.358 2.716 20 0 BFADHN CC(C)CCN(C)C(=O)Nc1cccc(CN)c1 ZINC000042457972 487750236 /nfs/dbraw/zinc/75/02/36/487750236.db2.gz ZZNNEMVELGJPJU-UHFFFAOYSA-N 0 3 249.358 2.655 20 0 BFADHN CC[C@@H](O)CNCc1ccc(C)cc1Cl ZINC000233900357 487751483 /nfs/dbraw/zinc/75/14/83/487751483.db2.gz FDBSRLKGJYXKMI-LLVKDONJSA-N 0 3 227.735 2.509 20 0 BFADHN C[C@@H]1N(CCCOC(C)(C)C)CCOC1(C)C ZINC000675640636 487758768 /nfs/dbraw/zinc/75/87/68/487758768.db2.gz JLEFEEFGCKQMGO-LBPRGKRZSA-N 0 3 243.391 2.691 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2CCOC[C@@H]2C)n1 ZINC000195022322 487762628 /nfs/dbraw/zinc/76/26/28/487762628.db2.gz GRKFDDPEANCQJY-INTQDDNPSA-N 0 3 240.372 2.527 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cc2n(n1)CCCC2 ZINC000649593302 487767436 /nfs/dbraw/zinc/76/74/36/487767436.db2.gz KRHSEAFOSSDMSR-DOMZBBRYSA-N 0 3 247.386 2.888 20 0 BFADHN c1cc(CN[C@@]23C[C@@H]2CCC3)nc2c1CCC2 ZINC000668448942 487771222 /nfs/dbraw/zinc/77/12/22/487771222.db2.gz XJBNRFVECCOKOO-WFASDCNBSA-N 0 3 228.339 2.603 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@@H](C)C(C)C ZINC000675808355 487781463 /nfs/dbraw/zinc/78/14/63/487781463.db2.gz NBIRNIBFXIWSJD-LBPRGKRZSA-N 0 3 236.359 2.841 20 0 BFADHN c1coc(C2CCN(CC3=CCCOC3)CC2)c1 ZINC000668462448 487785189 /nfs/dbraw/zinc/78/51/89/487785189.db2.gz OWLPPDMMVJIHLF-UHFFFAOYSA-N 0 3 247.338 2.806 20 0 BFADHN COCCC[C@@H](C)NCc1cc(C(C)C)no1 ZINC000675882517 487786323 /nfs/dbraw/zinc/78/63/23/487786323.db2.gz NAMLJHKFBSZKDE-LLVKDONJSA-N 0 3 240.347 2.703 20 0 BFADHN Cc1cc(CN[C@@H](C)c2cccc(C)c2)n[nH]1 ZINC000675893770 487788355 /nfs/dbraw/zinc/78/83/55/487788355.db2.gz VAAVLPGNKCQDMY-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN C[C@H]1C[C@H](NCCC2CCCCC2)c2ncnn21 ZINC000668468741 487792566 /nfs/dbraw/zinc/79/25/66/487792566.db2.gz OBGIJRLLZLPDQU-AAEUAGOBSA-N 0 3 248.374 2.844 20 0 BFADHN C[C@@H]1C[C@H](NCCC2CCCCC2)c2ncnn21 ZINC000668468744 487793126 /nfs/dbraw/zinc/79/31/26/487793126.db2.gz OBGIJRLLZLPDQU-YPMHNXCESA-N 0 3 248.374 2.844 20 0 BFADHN Cc1occc1CN1CCOCC2(CCCC2)C1 ZINC000666356986 487794373 /nfs/dbraw/zinc/79/43/73/487794373.db2.gz SQQXLNOWNQUNJG-UHFFFAOYSA-N 0 3 249.354 2.981 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CC[C@@H]2CCC[C@H]21 ZINC000668012647 487796341 /nfs/dbraw/zinc/79/63/41/487796341.db2.gz HDRWPJIIZVIRAQ-GXTWGEPZSA-N 0 3 245.370 2.672 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]1C1CC1)c1ncc[nH]1 ZINC000414185600 487797537 /nfs/dbraw/zinc/79/75/37/487797537.db2.gz GSQYCNUQGBDXKZ-DRZSPHRISA-N 0 3 233.359 2.887 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@H]1C1CC1)c1ncc[nH]1 ZINC000414185601 487798037 /nfs/dbraw/zinc/79/80/37/487798037.db2.gz GSQYCNUQGBDXKZ-UHTWSYAYSA-N 0 3 233.359 2.887 20 0 BFADHN C[C@@H](NC[C@@H](C)CC(F)(F)F)c1ncc[nH]1 ZINC000414251451 487801515 /nfs/dbraw/zinc/80/15/15/487801515.db2.gz ABMDMYNTZGQTQD-JGVFFNPUSA-N 0 3 235.253 2.649 20 0 BFADHN C[C@H](CN[C@@H](C)c1ncc[nH]1)CC(F)(F)F ZINC000414251453 487801583 /nfs/dbraw/zinc/80/15/83/487801583.db2.gz ABMDMYNTZGQTQD-YUMQZZPRSA-N 0 3 235.253 2.649 20 0 BFADHN Cc1ccc(CCNCC(C)(C)F)cc1 ZINC000107150676 487806298 /nfs/dbraw/zinc/80/62/98/487806298.db2.gz UBNBDTCGERURER-UHFFFAOYSA-N 0 3 209.308 2.875 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCC[C@@H]2C)CCC1=O ZINC000042863777 487806959 /nfs/dbraw/zinc/80/69/59/487806959.db2.gz SXMHTUZFCKGYIZ-YNEHKIRRSA-N 0 3 223.360 2.724 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2CCSC2)c1 ZINC000274663949 487813573 /nfs/dbraw/zinc/81/35/73/487813573.db2.gz WVFYUUPISNIDLL-CMPLNLGQSA-N 0 3 222.357 2.546 20 0 BFADHN CCC[C@H](C)NC(=O)[C@@H](N)CC1CCCCC1 ZINC000302331459 487820095 /nfs/dbraw/zinc/82/00/95/487820095.db2.gz GFUCZFVZAVYRAG-AAEUAGOBSA-N 0 3 240.391 2.589 20 0 BFADHN CCn1nccc1CN1CC[C@H](C2CCC2)C1 ZINC000666487945 487819779 /nfs/dbraw/zinc/81/97/79/487819779.db2.gz WRZAMYTYLJRNRL-ZDUSSCGKSA-N 0 3 233.359 2.525 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1CC[C@H](O)CC1 ZINC000252729681 322944485 /nfs/dbraw/zinc/94/44/85/322944485.db2.gz HUCVZDKQYJDHQZ-JHJVBQTASA-N 0 3 249.354 2.649 20 0 BFADHN CC1(C)C[C@@H](NCc2cn[nH]c2)c2ccccc21 ZINC000676239712 487826721 /nfs/dbraw/zinc/82/67/21/487826721.db2.gz DDJYFUIZXJJFHY-CQSZACIVSA-N 0 3 241.338 2.922 20 0 BFADHN C[C@]12CCN(C/C=C\Cl)C[C@H]1C2(F)F ZINC000794607062 586045893 /nfs/dbraw/zinc/04/58/93/586045893.db2.gz VQKOXEARSADWRR-SJLHTBIVSA-N 0 3 221.678 2.716 20 0 BFADHN Cc1ccc(CN[C@H]2COCC2(C)C)cc1F ZINC000313071014 322946938 /nfs/dbraw/zinc/94/69/38/322946938.db2.gz VMVHPUDLHYONEN-ZDUSSCGKSA-N 0 3 237.318 2.649 20 0 BFADHN CC[C@H](O)[C@H](CC)NCc1cc(C)ccc1F ZINC000313084952 322947635 /nfs/dbraw/zinc/94/76/35/322947635.db2.gz JYBGGDLAKWNVOY-KBPBESRZSA-N 0 3 239.334 2.773 20 0 BFADHN Cl/C=C\CN1CCSC[C@@H]1C1CCC1 ZINC000842171457 588004430 /nfs/dbraw/zinc/00/44/30/588004430.db2.gz RIFKTOQBIMLJCW-SRMRHOMVSA-N 0 3 231.792 2.956 20 0 BFADHN CC[C@@H](O)[C@H](CC)NCc1ccc(F)cc1F ZINC000313092730 322947872 /nfs/dbraw/zinc/94/78/72/322947872.db2.gz BNPXGTGJWZUBAD-QWHCGFSZSA-N 0 3 243.297 2.604 20 0 BFADHN COc1ccc(CNC[C@@H]2C[C@H]2C2CC2)c(F)c1 ZINC000651825290 487838169 /nfs/dbraw/zinc/83/81/69/487838169.db2.gz KJPODQCQZKMZMY-JSGCOSHPSA-N 0 3 249.329 2.970 20 0 BFADHN CS[C@H]1C[C@H](NCc2ccoc2C)C1 ZINC000865733328 589458981 /nfs/dbraw/zinc/45/89/81/589458981.db2.gz ICRUMVGMBLFRTC-XYPYZODXSA-N 0 3 211.330 2.572 20 0 BFADHN FC1(CNCCN2CCCc3ccccc32)CC1 ZINC000684372715 487844904 /nfs/dbraw/zinc/84/49/04/487844904.db2.gz RQSMSTGRIWKCSM-UHFFFAOYSA-N 0 3 248.345 2.531 20 0 BFADHN CC[C@@H]1COCCN1CCC(C)(C)SC ZINC000876617158 590222560 /nfs/dbraw/zinc/22/25/60/590222560.db2.gz GSMKMSFRGWLAGG-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN CCCCCCNCc1ccc(Cl)nn1 ZINC000876886964 590254558 /nfs/dbraw/zinc/25/45/58/590254558.db2.gz GCKKKJPSEXSTLV-UHFFFAOYSA-N 0 3 227.739 2.800 20 0 BFADHN c1cc(CN2CCC[C@@H]2Cc2ccccc2)no1 ZINC000077248514 487845773 /nfs/dbraw/zinc/84/57/73/487845773.db2.gz AQDIRJIMEVWVAX-OAHLLOKOSA-N 0 3 242.322 2.882 20 0 BFADHN C[C@@H]1CN(CCO[C@H]2CC2(F)F)CC(C)(C)C1 ZINC000660248967 487846003 /nfs/dbraw/zinc/84/60/03/487846003.db2.gz WHKSEOSPKFTDGS-QWRGUYRKSA-N 0 3 247.329 2.779 20 0 BFADHN COC[C@H](C)N1CCC(CC(F)(F)F)CC1 ZINC000341981543 487846649 /nfs/dbraw/zinc/84/66/49/487846649.db2.gz CHCOJABGSCIQAN-VIFPVBQESA-N 0 3 239.281 2.686 20 0 BFADHN OCc1ccc(CN2CCC(C3CCC3)CC2)o1 ZINC000668492326 487847824 /nfs/dbraw/zinc/84/78/24/487847824.db2.gz IISZKEOGIHBLSU-UHFFFAOYSA-N 0 3 249.354 2.784 20 0 BFADHN Cc1nnsc1CN[C@H](C)CCC1CC1 ZINC000336745896 487847721 /nfs/dbraw/zinc/84/77/21/487847721.db2.gz BPOCCUJDAGUHSM-MRVPVSSYSA-N 0 3 225.361 2.515 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc(Cl)nc1 ZINC000308574491 631433397 /nfs/dbraw/zinc/43/33/97/631433397.db2.gz CZBJZAZAMQANSE-NWALNABHSA-N 0 3 210.708 2.962 20 0 BFADHN Cc1ncc(CN2CCC(C3CCC3)CC2)n1C ZINC000668492830 487848929 /nfs/dbraw/zinc/84/89/29/487848929.db2.gz CJCBVABLHSUAKI-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@@H](N[C@@H](CO)CC1CCCC1)c1ccoc1 ZINC000558697550 322953813 /nfs/dbraw/zinc/95/38/13/322953813.db2.gz IZFCSXOBKQNRGM-BXUZGUMPSA-N 0 3 237.343 2.871 20 0 BFADHN CCN(Cc1cn[nH]c1)[C@H](C)Cc1ccsc1 ZINC000681755109 487855868 /nfs/dbraw/zinc/85/58/68/487855868.db2.gz NRMLBKMQLICZLW-LLVKDONJSA-N 0 3 249.383 2.924 20 0 BFADHN Cc1c(CN(C)C2CCC(C)CC2)cnn1C ZINC000334254603 487862721 /nfs/dbraw/zinc/86/27/21/487862721.db2.gz DLOBJJCDSMYRJO-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@@H]1CN(CCC(C)(C)C2CC2)C[C@H](C)O1 ZINC000653479579 487863288 /nfs/dbraw/zinc/86/32/88/487863288.db2.gz ZRGSJLPUYNFOFL-TXEJJXNPSA-N 0 3 225.376 2.922 20 0 BFADHN CCN(Cc1cnc2n1CCC2)CC(C)(C)C ZINC000668183720 487866250 /nfs/dbraw/zinc/86/62/50/487866250.db2.gz NFYWVWSYUCMXTA-UHFFFAOYSA-N 0 3 235.375 2.697 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+]C[C@H](C)C(C)(C)C)[n-]1 ZINC000670268090 487876774 /nfs/dbraw/zinc/87/67/74/487876774.db2.gz HCXIKRLUXHFNDU-VHSXEESVSA-N 0 3 238.379 2.700 20 0 BFADHN CCc1nnc([C@@H](C)NC[C@H](C)C(C)(C)C)[nH]1 ZINC000670268090 487876780 /nfs/dbraw/zinc/87/67/80/487876780.db2.gz HCXIKRLUXHFNDU-VHSXEESVSA-N 0 3 238.379 2.700 20 0 BFADHN CC(C)COCCCN[C@@H](C)c1ccoc1 ZINC000087289618 322963637 /nfs/dbraw/zinc/96/36/37/322963637.db2.gz ZEPOHVQRGZTIAB-LBPRGKRZSA-N 0 3 225.332 2.993 20 0 BFADHN C[C@H]1CCN(CCCCCF)C[C@H]1F ZINC000671242868 487877669 /nfs/dbraw/zinc/87/76/69/487877669.db2.gz SFVHXWATQJQGQT-WDEREUQCSA-N 0 3 205.292 2.806 20 0 BFADHN CC[C@@H](O)[C@H]1CCCCN1Cc1ccc(C)cn1 ZINC000676614281 487878584 /nfs/dbraw/zinc/87/85/84/487878584.db2.gz RHOIXHMBIWQHAH-HUUCEWRRSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@H](O)[C@@H]1CCCCN1Cc1ccc(C)cn1 ZINC000676614279 487880051 /nfs/dbraw/zinc/88/00/51/487880051.db2.gz RHOIXHMBIWQHAH-GJZGRUSLSA-N 0 3 248.370 2.515 20 0 BFADHN C#CCN(Cc1ccc(C)s1)C(C)C ZINC000065162087 643152080 /nfs/dbraw/zinc/15/20/80/643152080.db2.gz RALBNXFJAFZCGM-UHFFFAOYSA-N 0 3 207.342 2.900 20 0 BFADHN CCC[C@H](N[C@@H]1CCO[C@@H]1C)c1ccccn1 ZINC000676639617 487885621 /nfs/dbraw/zinc/88/56/21/487885621.db2.gz PPACWRIVPDGCRF-BZPMIXESSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ccnc(CN2CCC[C@H]2[C@H]2CCCO2)c1 ZINC000671245281 487888773 /nfs/dbraw/zinc/88/87/73/487888773.db2.gz ZMBKMDZDHBUTNI-LSDHHAIUSA-N 0 3 246.354 2.533 20 0 BFADHN CC1(C)CCC[C@H](CN2CC[C@](F)(CO)C2)C1 ZINC000670273724 487897831 /nfs/dbraw/zinc/89/78/31/487897831.db2.gz UWZGZZCGURGAAZ-GXTWGEPZSA-N 0 3 243.366 2.609 20 0 BFADHN Cc1ncc([C@H](C)N2CCCCC2)c(C)n1 ZINC000682076362 487900735 /nfs/dbraw/zinc/90/07/35/487900735.db2.gz JUHGHESOGKYBRO-NSHDSACASA-N 0 3 219.332 2.640 20 0 BFADHN COc1cccc([C@@H](C)N2CCC(OC)CC2)c1 ZINC000488106042 533701027 /nfs/dbraw/zinc/70/10/27/533701027.db2.gz LCGXQSHBNRXPIZ-GFCCVEGCSA-N 0 3 249.354 2.867 20 0 BFADHN FC(F)C1CN(CC2CCCCC2)C1 ZINC000676720353 487905193 /nfs/dbraw/zinc/90/51/93/487905193.db2.gz XKGHJSYZFCDXQH-UHFFFAOYSA-N 0 3 203.276 2.764 20 0 BFADHN COCC1(N(C)Cc2cc(F)ccc2C)CC1 ZINC000682085454 487905838 /nfs/dbraw/zinc/90/58/38/487905838.db2.gz FIVNURAYCMFPEV-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN c1ccc(C2=CCCN([C@@H]3CCCOC3)C2)cc1 ZINC000671253213 487907991 /nfs/dbraw/zinc/90/79/91/487907991.db2.gz GUWWVJCVZAFZCF-MRXNPFEDSA-N 0 3 243.350 2.955 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2cc[nH]n2)CC1 ZINC000083435639 487912603 /nfs/dbraw/zinc/91/26/03/487912603.db2.gz JKYLOYPUBZRUEQ-RYUDHWBXSA-N 0 3 221.348 2.858 20 0 BFADHN CN(CC[C@H]1CCOC1)Cc1ccsc1 ZINC000682152507 487913876 /nfs/dbraw/zinc/91/38/76/487913876.db2.gz SAXWFHYCUKPFDP-NSHDSACASA-N 0 3 225.357 2.607 20 0 BFADHN COC[C@H](C)CN1CCOc2ccccc2[C@H]1C ZINC000682153108 487916067 /nfs/dbraw/zinc/91/60/67/487916067.db2.gz XQXTZWGKXVBYOE-CHWSQXEVSA-N 0 3 249.354 2.725 20 0 BFADHN COC[C@H](C)CN1CC[C@@H]1c1cccc(F)c1 ZINC000682155818 487916958 /nfs/dbraw/zinc/91/69/58/487916958.db2.gz CYLGNYSQSLPOEK-BXUZGUMPSA-N 0 3 237.318 2.855 20 0 BFADHN CC1(C)C[C@@H]1NCc1ncccc1C(F)(F)F ZINC000666413673 487925793 /nfs/dbraw/zinc/92/57/93/487925793.db2.gz GVAJPMOHZLDARY-JTQLQIEISA-N 0 3 244.260 2.989 20 0 BFADHN Cc1cccc([C@H](C)N2CCc3cn[nH]c3C2)c1 ZINC000676792660 487928831 /nfs/dbraw/zinc/92/88/31/487928831.db2.gz FQGLEXSRZQIULX-LBPRGKRZSA-N 0 3 241.338 2.837 20 0 BFADHN C1=CCN(C[C@@H]2CCC3(CCCC3)O2)CC1 ZINC000669932854 487936469 /nfs/dbraw/zinc/93/64/69/487936469.db2.gz PLSAYZWGAODGQA-ZDUSSCGKSA-N 0 3 221.344 2.740 20 0 BFADHN COCCN(Cc1cncc(C)c1)C1CCCC1 ZINC000682367343 487941199 /nfs/dbraw/zinc/94/11/99/487941199.db2.gz LFHZKSUHZZKXCF-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CC/C=C\CNC/C=C/c1ccncc1 ZINC000449454841 487945900 /nfs/dbraw/zinc/94/59/00/487945900.db2.gz KIVKORAPKUFBKN-DNVGVPOPSA-N 0 3 202.301 2.651 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1Cc1ccc(O)cc1 ZINC000682404684 487948274 /nfs/dbraw/zinc/94/82/74/487948274.db2.gz IBQGKAKCLDIEJW-MNOVXSKESA-N 0 3 237.368 2.718 20 0 BFADHN CC(C)(C)C1CN(Cc2ccc(CO)cc2)C1 ZINC000227496675 487949225 /nfs/dbraw/zinc/94/92/25/487949225.db2.gz JMPBTNRUTWOWAZ-UHFFFAOYSA-N 0 3 233.355 2.657 20 0 BFADHN CC1(C)C[C@@H](N[C@H]2CCCc3cccnc32)CO1 ZINC000396543290 487953494 /nfs/dbraw/zinc/95/34/94/487953494.db2.gz SPSYCVMQMNIKLQ-OLZOCXBDSA-N 0 3 246.354 2.616 20 0 BFADHN CC1(C)C[C@H](N[C@H]2CCCc3cccnc32)CO1 ZINC000396543293 487953540 /nfs/dbraw/zinc/95/35/40/487953540.db2.gz SPSYCVMQMNIKLQ-STQMWFEESA-N 0 3 246.354 2.616 20 0 BFADHN CC1(C)C[C@H](N[C@@H]2CCCc3cccnc32)CO1 ZINC000396543292 487953552 /nfs/dbraw/zinc/95/35/52/487953552.db2.gz SPSYCVMQMNIKLQ-QWHCGFSZSA-N 0 3 246.354 2.616 20 0 BFADHN COC[C@H](C)CNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000044478062 322840904 /nfs/dbraw/zinc/84/09/04/322840904.db2.gz PXEAXMBIVYOALV-NTZNESFSSA-N 0 3 237.343 2.775 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@@H]2CC[C@H]3C[C@H]3C2)n1 ZINC000403375556 322979427 /nfs/dbraw/zinc/97/94/27/322979427.db2.gz NLXPOXCIAWKMAW-FRRDWIJNSA-N 0 3 246.354 2.621 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc2occc2c1 ZINC000560495995 322988671 /nfs/dbraw/zinc/98/86/71/322988671.db2.gz GZBVTDDNZNBALC-OCCSQVGLSA-N 0 3 231.295 2.700 20 0 BFADHN Cc1ncc(CN2CCCC[C@@H]2C)s1 ZINC000128024441 323006138 /nfs/dbraw/zinc/00/61/38/323006138.db2.gz CVMRTMDMSZOXGT-VIFPVBQESA-N 0 3 210.346 2.826 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1O)c1cccc(F)c1F ZINC000245959638 323007865 /nfs/dbraw/zinc/00/78/65/323007865.db2.gz XXKLNEJVKMKGAV-XXILOJSOSA-N 0 3 241.281 2.529 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1O)c1cc(F)cc(F)c1 ZINC000246311615 323008622 /nfs/dbraw/zinc/00/86/22/323008622.db2.gz DTUMHKRCQRFXCK-ZEGGKSINSA-N 0 3 241.281 2.529 20 0 BFADHN c1nc2cc(CN3CC(C4CCC4)C3)ccc2[nH]1 ZINC000561966973 323009548 /nfs/dbraw/zinc/00/95/48/323009548.db2.gz DNYQUXJTXMOQFM-UHFFFAOYSA-N 0 3 241.338 2.795 20 0 BFADHN c1nc2ccc(CN3CC(C4CCC4)C3)cc2[nH]1 ZINC000561966973 323009549 /nfs/dbraw/zinc/00/95/49/323009549.db2.gz DNYQUXJTXMOQFM-UHFFFAOYSA-N 0 3 241.338 2.795 20 0 BFADHN C[C@H]1OCCN(CC2Cc3ccccc3C2)[C@H]1C ZINC000562200035 323013274 /nfs/dbraw/zinc/01/32/74/323013274.db2.gz BIEFIIANVXJTGV-QWHCGFSZSA-N 0 3 245.366 2.511 20 0 BFADHN COC[C@H](N[C@H](C)c1ccc(F)cn1)C(C)C ZINC000134068707 323021640 /nfs/dbraw/zinc/02/16/40/323021640.db2.gz UZKVMXNOAMWXPI-MFKMUULPSA-N 0 3 240.322 2.542 20 0 BFADHN CC/C=C/CNCc1ccc2[nH]cnc2c1 ZINC000562524591 323022438 /nfs/dbraw/zinc/02/24/38/323022438.db2.gz MTYZSZYMLIIEFZ-ONEGZZNKSA-N 0 3 215.300 2.619 20 0 BFADHN CC/C=C/CNCc1ccc2nc[nH]c2c1 ZINC000562524591 323022439 /nfs/dbraw/zinc/02/24/39/323022439.db2.gz MTYZSZYMLIIEFZ-ONEGZZNKSA-N 0 3 215.300 2.619 20 0 BFADHN CCn1ccnc1CN[C@H](C)C(C)(C)CC ZINC000562569421 323024709 /nfs/dbraw/zinc/02/47/09/323024709.db2.gz UTFHJLPQKKEXLX-LLVKDONJSA-N 0 3 223.364 2.817 20 0 BFADHN COCCCCCN1CCO[C@@H](C(C)(C)C)C1 ZINC000562614631 323025672 /nfs/dbraw/zinc/02/56/72/323025672.db2.gz CINHVEMIJAFQOK-CYBMUJFWSA-N 0 3 243.391 2.550 20 0 BFADHN CC(C)[C@H]1N(CC2(F)CC2)CC12CCOCC2 ZINC000562738665 323029317 /nfs/dbraw/zinc/02/93/17/323029317.db2.gz AFARVPNBQWMDHV-GFCCVEGCSA-N 0 3 241.350 2.626 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CCC23CCCC3)[nH]1 ZINC000562786633 323030658 /nfs/dbraw/zinc/03/06/58/323030658.db2.gz MUQLANMPPDSKTE-NSHDSACASA-N 0 3 248.374 2.741 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CCC23CCCC3)[n-]1 ZINC000562786633 323030659 /nfs/dbraw/zinc/03/06/59/323030659.db2.gz MUQLANMPPDSKTE-NSHDSACASA-N 0 3 248.374 2.741 20 0 BFADHN C[C@@H](NC[C@@H]1CC12CC2)c1cscn1 ZINC000562797795 323030753 /nfs/dbraw/zinc/03/07/53/323030753.db2.gz IYXSGYQEIUTONB-BDAKNGLRSA-N 0 3 208.330 2.594 20 0 BFADHN C[C@H](F)CCN(C)Cc1cccc(O)c1 ZINC000562852905 323032168 /nfs/dbraw/zinc/03/21/68/323032168.db2.gz JDIXCZJRQWDESQ-JTQLQIEISA-N 0 3 211.280 2.572 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)[C@@H]1C)c1cscn1 ZINC000306995088 323032861 /nfs/dbraw/zinc/03/28/61/323032861.db2.gz PGOLKBQMBJGNQB-AATLWQCWSA-N 0 3 210.346 2.838 20 0 BFADHN CCCN1CC[C@@](F)(c2ccccc2)C1 ZINC000570059053 323048987 /nfs/dbraw/zinc/04/89/87/323048987.db2.gz UVFQQDKZDMRPNU-ZDUSSCGKSA-N 0 3 207.292 2.967 20 0 BFADHN Cc1cc([C@H]2CCCN2CC2(C)CC2)on1 ZINC000570112307 323050458 /nfs/dbraw/zinc/05/04/58/323050458.db2.gz QRALNJXQXUHOOT-LLVKDONJSA-N 0 3 220.316 2.920 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2ccoc2)C1(C)C ZINC000224538842 323052502 /nfs/dbraw/zinc/05/25/02/323052502.db2.gz YYPMWQJDSIJXFL-MVWJERBFSA-N 0 3 223.316 2.744 20 0 BFADHN CCN(CC(C)C)[C@H](C)C(=O)NC(C)(C)CC ZINC000153766944 323053465 /nfs/dbraw/zinc/05/34/65/323053465.db2.gz GSEZUXZYOISTNH-GFCCVEGCSA-N 0 3 242.407 2.658 20 0 BFADHN CCCN(CC(=O)Nc1ccccc1)C(C)C ZINC000570462584 323059969 /nfs/dbraw/zinc/05/99/69/323059969.db2.gz UNKLSUXTAHBSEA-UHFFFAOYSA-N 0 3 234.343 2.746 20 0 BFADHN CC(C)[C@H]1CCN1Cc1ccc2c(n1)CCC2 ZINC000570680661 323066754 /nfs/dbraw/zinc/06/67/54/323066754.db2.gz JNAYOQPCYMCFFP-OAHLLOKOSA-N 0 3 230.355 2.801 20 0 BFADHN c1cnc(CNCCCC2CCCCC2)cn1 ZINC000570680839 323066846 /nfs/dbraw/zinc/06/68/46/323066846.db2.gz OMODBCVUAJAEQN-UHFFFAOYSA-N 0 3 233.359 2.927 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cncs1 ZINC000157446310 323069578 /nfs/dbraw/zinc/06/95/78/323069578.db2.gz UUNIQRATDJBOKG-SNVBAGLBSA-N 0 3 210.346 2.908 20 0 BFADHN CC[C@@H](C)CNCc1noc2ccccc12 ZINC000570826525 323071893 /nfs/dbraw/zinc/07/18/93/323071893.db2.gz FKRPXWCMRIYWQW-SNVBAGLBSA-N 0 3 218.300 2.964 20 0 BFADHN C[C@@H](O)[C@@H](C)NCc1cc(C2CC2)ccc1F ZINC000562998407 323078915 /nfs/dbraw/zinc/07/89/15/323078915.db2.gz HVGSNKSPPRPUTE-NXEZZACHSA-N 0 3 237.318 2.562 20 0 BFADHN C[C@H](CCC1CC1)NCc1ncccc1F ZINC000309333003 323082054 /nfs/dbraw/zinc/08/20/54/323082054.db2.gz IHCVVLJGYPZQST-SNVBAGLBSA-N 0 3 222.307 2.889 20 0 BFADHN CC[C@@H](N[C@@H](C)c1cscn1)C1CC1 ZINC000323867648 323083398 /nfs/dbraw/zinc/08/33/98/323083398.db2.gz KTQXHZKHYNMBME-WCBMZHEXSA-N 0 3 210.346 2.982 20 0 BFADHN CC/C=C\CCN1CCO[C@]2(CCSC2)C1 ZINC000563066911 323084253 /nfs/dbraw/zinc/08/42/53/323084253.db2.gz CSNZUWMOKBQYTH-DHCBQETCSA-N 0 3 241.400 2.551 20 0 BFADHN F[C@@H]1CCC[C@H](NCc2cnc(C3CC3)nc2)C1 ZINC000567853689 323093029 /nfs/dbraw/zinc/09/30/29/323093029.db2.gz KTDDLVKVVWJUFG-OLZOCXBDSA-N 0 3 249.333 2.724 20 0 BFADHN CCC[C@H](N[C@H](C)CC)c1nnc2ccccn21 ZINC000129269583 323098427 /nfs/dbraw/zinc/09/84/27/323098427.db2.gz JYMRCMAUTYIDMS-NEPJUHHUSA-N 0 3 246.358 2.959 20 0 BFADHN Cc1ccc(CCNCc2ccc(C)cn2)o1 ZINC000531631135 323110668 /nfs/dbraw/zinc/11/06/68/323110668.db2.gz IAIHLQJIBRPCOS-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CC(C)=CCN1CCC(Nc2ccccn2)CC1 ZINC000534126007 323113525 /nfs/dbraw/zinc/11/35/25/323113525.db2.gz OSZIXRZRWFFKMC-UHFFFAOYSA-N 0 3 245.370 2.924 20 0 BFADHN CC(C)N(C)Cc1cnc(-c2ccccc2)nc1 ZINC000534327217 323116932 /nfs/dbraw/zinc/11/69/32/323116932.db2.gz FSHCCEDIQKXPIL-UHFFFAOYSA-N 0 3 241.338 2.984 20 0 BFADHN CC(C)n1cc(CN2C3CCC2CC3)cn1 ZINC000534331426 323117062 /nfs/dbraw/zinc/11/70/62/323117062.db2.gz KWQAVQGTFPSUOV-UHFFFAOYSA-N 0 3 219.332 2.591 20 0 BFADHN CCC(CC)N(Cc1ccn(C)n1)C1CC1 ZINC000534344877 323117322 /nfs/dbraw/zinc/11/73/22/323117322.db2.gz ZLMDMZLTMRHIGV-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN COC[C@H](NCc1noc2ccccc12)C(C)C ZINC000563418900 323123859 /nfs/dbraw/zinc/12/38/59/323123859.db2.gz QXHJOCRKODOIGA-ZDUSSCGKSA-N 0 3 248.326 2.588 20 0 BFADHN Cc1nc(CNCCc2ccc(C)cc2)[nH]c1C ZINC000563439234 323125552 /nfs/dbraw/zinc/12/55/52/323125552.db2.gz GDKPZEXKBINYRB-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN COC[C@@H](NCc1c(C)nsc1C)C(C)C ZINC000565167649 323128068 /nfs/dbraw/zinc/12/80/68/323128068.db2.gz ZAECTXNZZMOEKD-GFCCVEGCSA-N 0 3 242.388 2.521 20 0 BFADHN C[C@@H](Cc1ccsc1)N[C@@H](C)c1cn[nH]c1 ZINC000303496216 323134200 /nfs/dbraw/zinc/13/42/00/323134200.db2.gz DUCAJMJVOIRIGE-UWVGGRQHSA-N 0 3 235.356 2.753 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](CC)c1ccc(OC)cc1 ZINC000571389290 323143451 /nfs/dbraw/zinc/14/34/51/323143451.db2.gz LZNFKUXHWBLZKQ-SOUVJXGZSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1nc(CNCCc2ccccc2C)[nH]c1C ZINC000571419295 323144382 /nfs/dbraw/zinc/14/43/82/323144382.db2.gz VCLYPKUDQUCWAA-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN FCCCN1CCC[C@@H]1c1ccccn1 ZINC000531969529 323160018 /nfs/dbraw/zinc/16/00/18/323160018.db2.gz ZXGYGHBQJCPNKQ-GFCCVEGCSA-N 0 3 208.280 2.578 20 0 BFADHN CSCCN(Cc1ccccn1)C(C)C ZINC000534811245 323160894 /nfs/dbraw/zinc/16/08/94/323160894.db2.gz PZRCXGVAEGSKPS-UHFFFAOYSA-N 0 3 224.373 2.655 20 0 BFADHN CCc1ccc(CN(CCO)C2CCC2)s1 ZINC000221582149 323162494 /nfs/dbraw/zinc/16/24/94/323162494.db2.gz QCMHFPGAUNWDSV-UHFFFAOYSA-N 0 3 239.384 2.657 20 0 BFADHN Cc1cc(CN[C@@H](C)Cn2ccnc2)c(C)s1 ZINC000049686619 323163861 /nfs/dbraw/zinc/16/38/61/323163861.db2.gz KJAJRJLZFPBUEG-JTQLQIEISA-N 0 3 249.383 2.740 20 0 BFADHN CC[C@@H](NCC(C)(C)O)c1ccccc1OC ZINC000112675140 323171411 /nfs/dbraw/zinc/17/14/11/323171411.db2.gz FWKDEXUZRRZGFQ-GFCCVEGCSA-N 0 3 237.343 2.507 20 0 BFADHN CC(C)[C@H]1CCCC[C@H]1NCc1ccn(C)n1 ZINC000112675686 323171471 /nfs/dbraw/zinc/17/14/71/323171471.db2.gz RJVCSSGRUNNLIS-ZIAGYGMSSA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@H](NCC1CC(C)(C)C1)c1ccn(C)n1 ZINC000525858698 323176907 /nfs/dbraw/zinc/17/69/07/323176907.db2.gz SRRROQYKZSIVIP-LBPRGKRZSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1ccc(F)cc1F ZINC000308844743 323179792 /nfs/dbraw/zinc/17/97/92/323179792.db2.gz ZSFXLCPKUCEXEW-PRHODGIISA-N 0 3 211.255 2.853 20 0 BFADHN CCN(CCOc1ccccc1)C[C@H]1CCCO1 ZINC000051555278 323189879 /nfs/dbraw/zinc/18/98/79/323189879.db2.gz UKXWPRJWXQQSEB-OAHLLOKOSA-N 0 3 249.354 2.566 20 0 BFADHN Cc1ncsc1CN1C2CCC1CC2 ZINC000535779932 323191226 /nfs/dbraw/zinc/19/12/26/323191226.db2.gz YSLWPCQOLZGRIK-UHFFFAOYSA-N 0 3 208.330 2.578 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(CC)s1 ZINC000309031697 323197417 /nfs/dbraw/zinc/19/74/17/323197417.db2.gz FEFNRWHDAZJVKE-NWDGAFQWSA-N 0 3 225.357 2.578 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1cc(C)sc1C ZINC000309040610 323197685 /nfs/dbraw/zinc/19/76/85/323197685.db2.gz KZPZPHGEOQVAAM-VXGBXAGGSA-N 0 3 225.357 2.632 20 0 BFADHN Cc1nn(C)cc1CN(C)[C@@H](C)C(C)(C)C ZINC000536655853 323200047 /nfs/dbraw/zinc/20/00/47/323200047.db2.gz VHXOWOZRUJMAAO-NSHDSACASA-N 0 3 223.364 2.595 20 0 BFADHN CC(C)c1cccc(NC(=O)[C@H](N)C2CCC2)c1 ZINC000399233981 323201011 /nfs/dbraw/zinc/20/10/11/323201011.db2.gz QGJRWAAXYOFHSS-CQSZACIVSA-N 0 3 246.354 2.876 20 0 BFADHN Cc1cccc(CCN[C@@H](C)c2ccncn2)c1 ZINC000395791649 323242013 /nfs/dbraw/zinc/24/20/13/323242013.db2.gz VBQZETQDBARSDD-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@@H](C)CC1)c1ccncn1 ZINC000395912266 323260605 /nfs/dbraw/zinc/26/06/05/323260605.db2.gz LLYMZMJLHWRWJK-IJLUTSLNSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@@H](NCC(C)(C)C1CCC1)c1ccncn1 ZINC000395914845 323263607 /nfs/dbraw/zinc/26/36/07/323263607.db2.gz QVWZXFBNCXHVBC-LLVKDONJSA-N 0 3 233.359 2.954 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2cn(C)nc2C)o1 ZINC000532450387 323265399 /nfs/dbraw/zinc/26/53/99/323265399.db2.gz FWEFFDDLIJINQU-NSHDSACASA-N 0 3 247.342 2.523 20 0 BFADHN C[C@@H](N[C@H](C1CC1)C1CCC1)c1nccn1C ZINC000324711501 323266185 /nfs/dbraw/zinc/26/61/85/323266185.db2.gz CEKGRDJIMAPTSW-MFKMUULPSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@H](N(C)CC(=O)Nc1ccccc1)C(C)(C)C ZINC000532490532 323269369 /nfs/dbraw/zinc/26/93/69/323269369.db2.gz FFQURONYIPYACD-LBPRGKRZSA-N 0 3 248.370 2.992 20 0 BFADHN Cc1ccc([C@@H](C)NCCN2CC[C@H](C)C2)o1 ZINC000532531004 323273793 /nfs/dbraw/zinc/27/37/93/323273793.db2.gz BSGDSRCOXKLMIA-WCQYABFASA-N 0 3 236.359 2.580 20 0 BFADHN Cc1ccc([C@@H](C)NCCN2CC[C@@H](C)C2)o1 ZINC000532531003 323274233 /nfs/dbraw/zinc/27/42/33/323274233.db2.gz BSGDSRCOXKLMIA-DGCLKSJQSA-N 0 3 236.359 2.580 20 0 BFADHN C[C@@H](NCCc1ccc(F)cc1)c1ccncn1 ZINC000396013679 323282563 /nfs/dbraw/zinc/28/25/63/323282563.db2.gz IXIUQNZFXWFQEL-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN CCc1cnc(CN(CC2CC2)CC2CC2)o1 ZINC000532709115 323291074 /nfs/dbraw/zinc/29/10/74/323291074.db2.gz VYHBYZFRGIVXKM-UHFFFAOYSA-N 0 3 234.343 2.859 20 0 BFADHN Cc1ncc(CNC(C)(C)COC(C)C)s1 ZINC000396348151 323310231 /nfs/dbraw/zinc/31/02/31/323310231.db2.gz CCVKRDVUHSMVEL-UHFFFAOYSA-N 0 3 242.388 2.745 20 0 BFADHN Cc1cnc(CN[C@H](C)[C@H]2CC2(C)C)s1 ZINC000309098913 323316951 /nfs/dbraw/zinc/31/69/51/323316951.db2.gz DWJMUJISMNRTEI-NXEZZACHSA-N 0 3 224.373 2.976 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@H]2C2CC2)s1 ZINC000309207061 323328688 /nfs/dbraw/zinc/32/86/88/323328688.db2.gz SFKWEYUSNIYAFQ-WDEREUQCSA-N 0 3 222.357 2.730 20 0 BFADHN CO[C@@H](CN(Cc1ccccn1)C(C)C)C1CC1 ZINC000563953416 323333304 /nfs/dbraw/zinc/33/33/04/323333304.db2.gz LPLGOGQVCOLNJG-HNNXBMFYSA-N 0 3 248.370 2.717 20 0 BFADHN CO[C@H](CNCc1ccc(C)o1)CC(C)C ZINC000418104942 323350064 /nfs/dbraw/zinc/35/00/64/323350064.db2.gz VMWQGUOWGOUASP-ZDUSSCGKSA-N 0 3 225.332 2.739 20 0 BFADHN CC(C)(NCc1cn2ccccc2n1)C1CC1 ZINC000230673899 323398267 /nfs/dbraw/zinc/39/82/67/323398267.db2.gz WRWCINYLHBUABB-UHFFFAOYSA-N 0 3 229.327 2.613 20 0 BFADHN COCC1(NCc2cc(C)ccc2C)CC1 ZINC000309665545 323444863 /nfs/dbraw/zinc/44/48/63/323444863.db2.gz TWAFIWCKWYDNLX-UHFFFAOYSA-N 0 3 219.328 2.572 20 0 BFADHN CC[C@H](NCCCC1CC1)c1ccn(C)n1 ZINC000309713866 323453489 /nfs/dbraw/zinc/45/34/89/323453489.db2.gz RXXGGJKDHQPJHF-LBPRGKRZSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1ccsc1CN[C@@]12C[C@@H]1COC2(C)C ZINC000527761546 323682848 /nfs/dbraw/zinc/68/28/48/323682848.db2.gz BOXHFFRUTVQBMV-MFKMUULPSA-N 0 3 237.368 2.714 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1cccc(Cl)c1 ZINC000527783348 323690686 /nfs/dbraw/zinc/69/06/86/323690686.db2.gz JVIFBEWMQAWEDS-OLZOCXBDSA-N 0 3 239.746 2.997 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1sccc1C ZINC000527783671 323690795 /nfs/dbraw/zinc/69/07/95/323690795.db2.gz PKRSVCZWDFINRN-NEPJUHHUSA-N 0 3 225.357 2.714 20 0 BFADHN CCc1cccc(Cl)c1CNCC1COC1 ZINC000527817058 323701195 /nfs/dbraw/zinc/70/11/95/323701195.db2.gz CZCXCUNDBQCALL-UHFFFAOYSA-N 0 3 239.746 2.638 20 0 BFADHN CC(C)c1cccc([C@@H](C)N[C@H]2C[C@@H](O)C2)c1 ZINC000527906049 323715787 /nfs/dbraw/zinc/71/57/87/323715787.db2.gz KKMQJFBWYNIUFZ-DFBGVHRSSA-N 0 3 233.355 2.984 20 0 BFADHN CCCc1ncc(CN[C@@H](C)[C@@H]2CC23CC3)o1 ZINC000527907234 323716828 /nfs/dbraw/zinc/71/68/28/323716828.db2.gz UUJXUXCVHDTZAR-JQWIXIFHSA-N 0 3 234.343 2.905 20 0 BFADHN Cc1cc([C@H](C)NCC23CC(C2)CO3)c(C)o1 ZINC000527978557 323741363 /nfs/dbraw/zinc/74/13/63/323741363.db2.gz DQARMOYZQURBHY-JKDOVBDQSA-N 0 3 235.327 2.726 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)[C@H]1C)c1ncccc1F ZINC000528037183 323744591 /nfs/dbraw/zinc/74/45/91/323744591.db2.gz DTRGZVAEAIFFNQ-MWGHHZFTSA-N 0 3 222.307 2.916 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)[C@@H]1C)c1ncccc1F ZINC000528037185 323745139 /nfs/dbraw/zinc/74/51/39/323745139.db2.gz DTRGZVAEAIFFNQ-WDCWCFNPSA-N 0 3 222.307 2.916 20 0 BFADHN CC[C@@H](N[C@H](C)CCO)c1ccccc1OC ZINC000121345685 324012201 /nfs/dbraw/zinc/01/22/01/324012201.db2.gz HITWWIOTLHRRFT-DGCLKSJQSA-N 0 3 237.343 2.507 20 0 BFADHN CCC[C@@H](CCO)CN[C@H](C)c1ccoc1 ZINC000122059543 324028964 /nfs/dbraw/zinc/02/89/64/324028964.db2.gz OULXCTQULGNCQX-NEPJUHHUSA-N 0 3 225.332 2.729 20 0 BFADHN CC[C@@]1(C)C[C@H]1C(=O)Nc1ccccc1CNC ZINC000122658640 324052348 /nfs/dbraw/zinc/05/23/48/324052348.db2.gz KVSOGKSNSMIRGT-WFASDCNBSA-N 0 3 246.354 2.781 20 0 BFADHN CC[C@@H](NC1(COC)CC1)c1cccc(OC)c1 ZINC000537449810 324072629 /nfs/dbraw/zinc/07/26/29/324072629.db2.gz OHNHJCYHAQNCKK-CQSZACIVSA-N 0 3 249.354 2.915 20 0 BFADHN CCc1ccc(CN(C)[C@H]2CCOC2)s1 ZINC000537637030 324091836 /nfs/dbraw/zinc/09/18/36/324091836.db2.gz IEGKTZKPSLZAAI-JTQLQIEISA-N 0 3 225.357 2.531 20 0 BFADHN Cc1cccn2c(CN3C[C@@H](C)C[C@H]3C)cnc12 ZINC000537618214 324093074 /nfs/dbraw/zinc/09/30/74/324093074.db2.gz PYYJJDGXCWLPQF-WCQYABFASA-N 0 3 243.354 2.873 20 0 BFADHN CCc1ccc(CN(C)CC(C)(C)OC)o1 ZINC000537725362 324100726 /nfs/dbraw/zinc/10/07/26/324100726.db2.gz ROHRYMLXLPTVOX-UHFFFAOYSA-N 0 3 225.332 2.699 20 0 BFADHN c1cc(-c2ccc(CN3CC[C@H]3C3CC3)o2)n[nH]1 ZINC000449424228 324139982 /nfs/dbraw/zinc/13/99/82/324139982.db2.gz DEOHNHCPKCLCCC-ZDUSSCGKSA-N 0 3 243.310 2.654 20 0 BFADHN Cc1cc(CNCC(C)(C)C(C)C)no1 ZINC000459512980 324147757 /nfs/dbraw/zinc/14/77/57/324147757.db2.gz NXDLJIRTJCAOLI-UHFFFAOYSA-N 0 3 210.321 2.755 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCC[C@@H](C)C2)nn1C ZINC000487404109 324153776 /nfs/dbraw/zinc/15/37/76/324153776.db2.gz HIRCMIVWMYHAPI-BXUZGUMPSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCC[C@H](C)C2)nn1C ZINC000487404112 324153904 /nfs/dbraw/zinc/15/39/04/324153904.db2.gz HIRCMIVWMYHAPI-SMDDNHRTSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cc(CO)ccc1F ZINC000528396801 324220567 /nfs/dbraw/zinc/22/05/67/324220567.db2.gz PZGBDMXKUXOELZ-WDEREUQCSA-N 0 3 237.318 2.548 20 0 BFADHN CO[C@H]1CCCN(Cc2ccc3cc[nH]c3c2)C1 ZINC000529523863 324323794 /nfs/dbraw/zinc/32/37/94/324323794.db2.gz DQFCWPLMZKWQGC-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccc2cc[nH]c2c1 ZINC000529525550 324324861 /nfs/dbraw/zinc/32/48/61/324324861.db2.gz WLMFNNALMMKSOQ-LLVKDONJSA-N 0 3 232.327 2.635 20 0 BFADHN Cc1cc(CN[C@@H](C)C2CC(F)(F)C2)on1 ZINC000529538636 324331162 /nfs/dbraw/zinc/33/11/62/324331162.db2.gz HUBHCLNERLCIQH-QMMMGPOBSA-N 0 3 230.258 2.506 20 0 BFADHN CC(C)C(C)(C)CNCc1ccc(F)cn1 ZINC000529551789 324339072 /nfs/dbraw/zinc/33/90/72/324339072.db2.gz HSRIRAQUYJYJDL-UHFFFAOYSA-N 0 3 224.323 2.993 20 0 BFADHN CC[C@@H](C)CNc1ccc2c(c1)OCCNC2 ZINC000530246275 324556443 /nfs/dbraw/zinc/55/64/43/324556443.db2.gz DUEJCXGGFSJUMU-LLVKDONJSA-N 0 3 234.343 2.627 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1ccc(C)nc1C ZINC000682437044 487964049 /nfs/dbraw/zinc/96/40/49/487964049.db2.gz YHQCZMIOJTXYBV-DRZSPHRISA-N 0 3 236.359 2.772 20 0 BFADHN COCC1(N[C@@H](C)c2ccc(C)cc2OC)CC1 ZINC000538550203 324714837 /nfs/dbraw/zinc/71/48/37/324714837.db2.gz XFFDNCWKGDVFLU-LBPRGKRZSA-N 0 3 249.354 2.833 20 0 BFADHN Cc1ncc([C@H](C)N2C[C@@H]3CCC[C@H]32)c(C)n1 ZINC000682439281 487965066 /nfs/dbraw/zinc/96/50/66/487965066.db2.gz PCSGPLZUUJWSPT-VHRBIJSZSA-N 0 3 231.343 2.639 20 0 BFADHN COCC(C)(C)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000066996031 324776180 /nfs/dbraw/zinc/77/61/80/324776180.db2.gz XXJQALVULLYMDH-JQWIXIFHSA-N 0 3 237.343 2.918 20 0 BFADHN COCC(C)(C)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000066996033 324776239 /nfs/dbraw/zinc/77/62/39/324776239.db2.gz XXJQALVULLYMDH-CMPLNLGQSA-N 0 3 237.343 2.918 20 0 BFADHN Cc1ccncc1[C@H](C)NCCOC(C)(C)C ZINC000539625752 324846817 /nfs/dbraw/zinc/84/68/17/324846817.db2.gz UQIDYRMIXNESTJ-LBPRGKRZSA-N 0 3 236.359 2.856 20 0 BFADHN C[C@H](NCCOC[C@H]1CCCO1)c1ccccc1 ZINC000129512191 324956098 /nfs/dbraw/zinc/95/60/98/324956098.db2.gz BEJKLUOFMKHBSR-DZGCQCFKSA-N 0 3 249.354 2.533 20 0 BFADHN CC[C@@H](NC[C@@H]1CC=CCC1)c1ccn(C)n1 ZINC000541743347 324976612 /nfs/dbraw/zinc/97/66/12/324976612.db2.gz IVPIEXXIVOUFJA-CHWSQXEVSA-N 0 3 233.359 2.817 20 0 BFADHN COc1ccc(CN[C@H](C)C2(C)CC2)c(OC)c1 ZINC000070142560 324995291 /nfs/dbraw/zinc/99/52/91/324995291.db2.gz BPVWEIYUFDYAFN-LLVKDONJSA-N 0 3 249.354 2.982 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccsc1)c1cnccn1 ZINC000070479474 325012094 /nfs/dbraw/zinc/01/20/94/325012094.db2.gz DPDDGBCTLVXKIC-WDEREUQCSA-N 0 3 247.367 2.820 20 0 BFADHN CN(CC[C@@H]1CCCCO1)Cc1cccc(O)c1 ZINC000542508535 325032077 /nfs/dbraw/zinc/03/20/77/325032077.db2.gz WDVXSHFDXAFSJL-HNNXBMFYSA-N 0 3 249.354 2.783 20 0 BFADHN CC(C)C[C@H]1CCCN(Cc2ccnn2C)C1 ZINC000130274257 325032750 /nfs/dbraw/zinc/03/27/50/325032750.db2.gz JZBYACIGLLKIMC-CYBMUJFWSA-N 0 3 235.375 2.678 20 0 BFADHN Cc1ccoc1CNC[C@H]1CCCS1 ZINC000130428088 325050915 /nfs/dbraw/zinc/05/09/15/325050915.db2.gz LRXQQGZSSWLSGR-SNVBAGLBSA-N 0 3 211.330 2.573 20 0 BFADHN CC(C)CSCCCN1CCOC(C)(C)C1 ZINC000130464039 325054632 /nfs/dbraw/zinc/05/46/32/325054632.db2.gz WQCFTTNIZMLOND-UHFFFAOYSA-N 0 3 245.432 2.877 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N1CC[C@H](CC)C1 ZINC000542929142 325063591 /nfs/dbraw/zinc/06/35/91/325063591.db2.gz RUNNZPVMFZHEIP-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1CC[C@@H](CC)C1 ZINC000542929140 325063613 /nfs/dbraw/zinc/06/36/13/325063613.db2.gz RUNNZPVMFZHEIP-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N1CCCCCC1 ZINC000542933216 325064067 /nfs/dbraw/zinc/06/40/67/325064067.db2.gz MRGDCUQSDMFKNA-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN CCc1cnc(CNC(CC)CC)s1 ZINC000130614158 325073118 /nfs/dbraw/zinc/07/31/18/325073118.db2.gz CLUBUQNXWXRGJP-UHFFFAOYSA-N 0 3 212.362 2.984 20 0 BFADHN CC1CCC(CN2CCc3n[nH]cc3C2)CC1 ZINC000543444362 325088957 /nfs/dbraw/zinc/08/89/57/325088957.db2.gz SCKOGHPZHIPVLM-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN CC1(C)COCCN1Cc1cccc2[nH]ccc21 ZINC000130913781 325101883 /nfs/dbraw/zinc/10/18/83/325101883.db2.gz PECZMYYIPWHIQK-UHFFFAOYSA-N 0 3 244.338 2.779 20 0 BFADHN CC(C)[C@@](C)(O)CN[C@H](C)c1ccccc1F ZINC000130947966 325104080 /nfs/dbraw/zinc/10/40/80/325104080.db2.gz CVHYCLMRZDWKAB-RISCZKNCSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1ccccc1[C@H](CO)N[C@H]1C=CCCC1 ZINC000543839415 325117154 /nfs/dbraw/zinc/11/71/54/325117154.db2.gz KHRYVEADUVPWQE-ZFWWWQNUSA-N 0 3 231.339 2.727 20 0 BFADHN COC(C)(C)CNCc1cccc(Cl)c1F ZINC000131140827 325121416 /nfs/dbraw/zinc/12/14/16/325121416.db2.gz MFWXVUZRFYXEHW-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN CC[C@@H](C)C[C@H](C)NC(=O)[C@@H](C(C)C)N(C)C ZINC000132095960 325198466 /nfs/dbraw/zinc/19/84/66/325198466.db2.gz LOYQMEUOESHISU-FRRDWIJNSA-N 0 3 242.407 2.514 20 0 BFADHN CC[C@H]1CN(C[C@@H](C)Cc2ccccc2)CCO1 ZINC000132158053 325202015 /nfs/dbraw/zinc/20/20/15/325202015.db2.gz ZGYXAITXWOCLDO-HOCLYGCPSA-N 0 3 247.382 2.976 20 0 BFADHN CCc1ccc(CCN2CCOC(C)(C)C2)cc1 ZINC000545289023 325208332 /nfs/dbraw/zinc/20/83/32/325208332.db2.gz HSMBOPQNBTWJOU-UHFFFAOYSA-N 0 3 247.382 2.902 20 0 BFADHN CC(C)CSCCCN1CCSCC1 ZINC000132372976 325213121 /nfs/dbraw/zinc/21/31/21/325213121.db2.gz FBNSLJSPIJLHBZ-UHFFFAOYSA-N 0 3 233.446 2.815 20 0 BFADHN C[C@]1(CO)CCCN1Cc1ccc(Cl)cc1 ZINC000545398200 325219522 /nfs/dbraw/zinc/21/95/22/325219522.db2.gz CFOMJXREODUERN-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN CCCCN(CC)[C@H](C)C(=O)NC(CC)CC ZINC000132649456 325232547 /nfs/dbraw/zinc/23/25/47/325232547.db2.gz JTPDEJAPNDMWOJ-GFCCVEGCSA-N 0 3 242.407 2.802 20 0 BFADHN CCN(Cc1cnn(C(C)(C)C)c1)C1CC1 ZINC000133335699 325287109 /nfs/dbraw/zinc/28/71/09/325287109.db2.gz UBQSZDWRWNTAHA-UHFFFAOYSA-N 0 3 221.348 2.622 20 0 BFADHN COC[C@H](N[C@@H](C)c1cncc(C)c1)C(C)C ZINC000546645788 325297714 /nfs/dbraw/zinc/29/77/14/325297714.db2.gz XWDHYJOBDSPCDG-JSGCOSHPSA-N 0 3 236.359 2.712 20 0 BFADHN CCN(CC)CC(=O)N[C@H](C)c1ccc(C)cc1 ZINC000075682476 325306851 /nfs/dbraw/zinc/30/68/51/325306851.db2.gz MJSZXVPKMDDCEN-CYBMUJFWSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@@H]1CN(CCOCCC(C)C)CCS1 ZINC000076071783 325329083 /nfs/dbraw/zinc/32/90/83/325329083.db2.gz UPKOONQJACWPSR-CYBMUJFWSA-N 0 3 245.432 2.877 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2ccc(C)cn2)C1(C)C ZINC000134381366 325356400 /nfs/dbraw/zinc/35/64/00/325356400.db2.gz YHBGEVTUOOZXCJ-DZGCQCFKSA-N 0 3 248.370 2.683 20 0 BFADHN CC(C)n1cncc1CN1C2CCC1CC2 ZINC000564322146 325361008 /nfs/dbraw/zinc/36/10/08/325361008.db2.gz GEGUXQVWUBXDOE-UHFFFAOYSA-N 0 3 219.332 2.591 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CCCC(=O)OC(C)(C)C ZINC000547769059 325394629 /nfs/dbraw/zinc/39/46/29/325394629.db2.gz JRYOZNNAWDVQMI-GHMZBOCLSA-N 0 3 227.348 2.591 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1cccc(-n2cccn2)c1 ZINC000548098018 325429951 /nfs/dbraw/zinc/42/99/51/325429951.db2.gz CNFKZQWMQPWBIT-CHWSQXEVSA-N 0 3 241.338 2.855 20 0 BFADHN CC(C)N(C(=O)[C@H](C)N1[C@H](C)C[C@H]1C)C(C)C ZINC000548426273 325456753 /nfs/dbraw/zinc/45/67/53/325456753.db2.gz GTOQPTFYOUDREW-UPJWGTAASA-N 0 3 240.391 2.503 20 0 BFADHN CO[C@H](CN1[C@H](C)C[C@H]1C)c1ccccc1 ZINC000549039981 325495374 /nfs/dbraw/zinc/49/53/74/325495374.db2.gz LNIVXZCPEXXIGK-YRGRVCCFSA-N 0 3 219.328 2.857 20 0 BFADHN Cc1csc([C@H](C)N[C@@H](C)[C@H]2CCOC2)n1 ZINC000247069501 325585853 /nfs/dbraw/zinc/58/58/53/325585853.db2.gz QCCNJUBMLOLLGS-DCAQKATOSA-N 0 3 240.372 2.527 20 0 BFADHN CC[C@H](N[C@@H](C)[C@H]1CCOC1)c1nccs1 ZINC000248001095 325599292 /nfs/dbraw/zinc/59/92/92/325599292.db2.gz KJSUMHBGUAIBDT-DCAQKATOSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cocn2)C[C@H]1C ZINC000248646759 325615915 /nfs/dbraw/zinc/61/59/15/325615915.db2.gz PMIGOLKTQNDOPR-GMTAPVOTSA-N 0 3 208.305 2.589 20 0 BFADHN CCC(O)(CC)CNCc1ccc(F)cc1F ZINC000088225805 325650876 /nfs/dbraw/zinc/65/08/76/325650876.db2.gz CTMVLOAPWNJCJJ-UHFFFAOYSA-N 0 3 243.297 2.606 20 0 BFADHN CC(C)[C@H](C)C(=O)Nc1ccccc1CN(C)C ZINC000554506423 325722613 /nfs/dbraw/zinc/72/26/13/325722613.db2.gz DDAGNLUKKZPLPB-LBPRGKRZSA-N 0 3 248.370 2.979 20 0 BFADHN CCCn1nc(C)c(CN[C@H]2[C@@H]3CCC[C@@H]32)c1C ZINC000565671556 325942200 /nfs/dbraw/zinc/94/22/00/325942200.db2.gz OPCXHNQIEGDXLJ-NHAGDIPZSA-N 0 3 247.386 2.798 20 0 BFADHN C[C@H](NCCCC1(O)CCC1)c1ccoc1 ZINC000566082890 325986827 /nfs/dbraw/zinc/98/68/27/325986827.db2.gz IHDHXHMJDIKFGE-NSHDSACASA-N 0 3 223.316 2.625 20 0 BFADHN CC[C@H](N[C@@H]1CCC[C@H](F)C1)c1ccn(C)n1 ZINC000566221752 326017334 /nfs/dbraw/zinc/01/73/34/326017334.db2.gz QQPZLMFLGDYEMM-TUAOUCFPSA-N 0 3 239.338 2.742 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1cn2ccccc2n1 ZINC000566475502 326053981 /nfs/dbraw/zinc/05/39/81/326053981.db2.gz MENXFSYFEOZLBP-RVMXOQNASA-N 0 3 241.338 2.783 20 0 BFADHN Cc1csc(CN[C@H]2CCCC23CC3)n1 ZINC000566498672 326058907 /nfs/dbraw/zinc/05/89/07/326058907.db2.gz GCDMSZMCPLKADJ-JTQLQIEISA-N 0 3 222.357 2.874 20 0 BFADHN OCc1ccc(CNC2(C3CCC3)CCC2)o1 ZINC000566547807 326063191 /nfs/dbraw/zinc/06/31/91/326063191.db2.gz NTSZCMWAZKNEMT-UHFFFAOYSA-N 0 3 235.327 2.584 20 0 BFADHN Cc1ccc(CCNCC2(F)CC2)c(C)c1 ZINC000309720580 326165375 /nfs/dbraw/zinc/16/53/75/326165375.db2.gz VVUJDVFOQNVISH-UHFFFAOYSA-N 0 3 221.319 2.938 20 0 BFADHN C[C@@H](NCc1ncccc1F)[C@@H]1CC1(C)C ZINC000309823957 326170260 /nfs/dbraw/zinc/17/02/60/326170260.db2.gz WYDWBRCLDLJSGB-ZJUUUORDSA-N 0 3 222.307 2.745 20 0 BFADHN CCCCCN(C(=O)[C@@H](C)N)[C@H](C)CCC ZINC000155767974 326211332 /nfs/dbraw/zinc/21/13/32/326211332.db2.gz OEFUTJCAGDXCON-VXGBXAGGSA-N 0 3 228.380 2.541 20 0 BFADHN Cc1cncc([C@@H](C)NCCOCC2CCC2)c1 ZINC000567535679 326211999 /nfs/dbraw/zinc/21/19/99/326211999.db2.gz BIYSPBUPJKOSGX-CYBMUJFWSA-N 0 3 248.370 2.857 20 0 BFADHN Cc1cccc(C)c1CN1CCO[C@H](C)[C@@H]1C ZINC000156122925 326219303 /nfs/dbraw/zinc/21/93/03/326219303.db2.gz HXFFGMCTSDHSEF-UONOGXRCSA-N 0 3 233.355 2.913 20 0 BFADHN C[C@H]1c2cccn2CCN1CC1(C)CC1 ZINC000567602550 326226814 /nfs/dbraw/zinc/22/68/14/326226814.db2.gz AVFNPNOHJBTNSN-NSHDSACASA-N 0 3 204.317 2.665 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H]1CCCC12CC2 ZINC000567628022 326232180 /nfs/dbraw/zinc/23/21/80/326232180.db2.gz HEKGZBITLKSNRV-ZDUSSCGKSA-N 0 3 247.386 2.961 20 0 BFADHN CCN(CC)Cc1cnn(-c2ccc(F)cc2)c1 ZINC000156942601 326250254 /nfs/dbraw/zinc/25/02/54/326250254.db2.gz SYZRUYQIZVNGBX-UHFFFAOYSA-N 0 3 247.317 2.853 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cnc3ccccn23)C1 ZINC000157330661 326268356 /nfs/dbraw/zinc/26/83/56/326268356.db2.gz LQNHMMITHSSMBK-CHWSQXEVSA-N 0 3 243.354 2.812 20 0 BFADHN CSC1(CN2CCC[C@H](F)C2)CCC1 ZINC000568054595 326301430 /nfs/dbraw/zinc/30/14/30/326301430.db2.gz WZTNVQPDXNPRDG-JTQLQIEISA-N 0 3 217.353 2.706 20 0 BFADHN CCn1nc(C)c(CN(C)[C@@H](C)C2CC2)c1C ZINC000158054247 326306045 /nfs/dbraw/zinc/30/60/45/326306045.db2.gz HWHAGZJJCSVBQS-NSHDSACASA-N 0 3 235.375 2.750 20 0 BFADHN C[C@@H]1CN(Cc2ccccc2)CC2(CCC2)O1 ZINC000568084956 326306095 /nfs/dbraw/zinc/30/60/95/326306095.db2.gz ZQNUIXPPZLETLV-CYBMUJFWSA-N 0 3 231.339 2.830 20 0 BFADHN Cc1cccn2c(CN(C)[C@H](C)C3CC3)cnc12 ZINC000158077943 326307415 /nfs/dbraw/zinc/30/74/15/326307415.db2.gz LFZKKCYVCKFJIA-GFCCVEGCSA-N 0 3 243.354 2.873 20 0 BFADHN CCCCN(C)Cc1cnc2nc(C)cc(C)n12 ZINC000158159681 326310363 /nfs/dbraw/zinc/31/03/63/326310363.db2.gz HORADLCKJWAHPP-UHFFFAOYSA-N 0 3 246.358 2.578 20 0 BFADHN CCCN(C(=O)CN1[C@@H](C)CC[C@@H]1C)C(C)C ZINC000568227754 326329147 /nfs/dbraw/zinc/32/91/47/326329147.db2.gz BLRFMIVIFLUFIE-STQMWFEESA-N 0 3 240.391 2.506 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2cncnc2C)o1 ZINC000568238393 326332453 /nfs/dbraw/zinc/33/24/53/326332453.db2.gz UGQISOZBDIRCKB-LLVKDONJSA-N 0 3 245.326 2.580 20 0 BFADHN COc1cc2c(c(CN(C)C(C)C)c1)O[C@H](C)C2 ZINC000158701328 326338056 /nfs/dbraw/zinc/33/80/56/326338056.db2.gz UGPDTTDNZGVQIX-LLVKDONJSA-N 0 3 249.354 2.859 20 0 BFADHN CCC[C@@H](N)C(=O)NC(CC(C)C)CC(C)C ZINC000158738642 326341335 /nfs/dbraw/zinc/34/13/35/326341335.db2.gz JSLDCVKLFKRLMY-CYBMUJFWSA-N 0 3 242.407 2.691 20 0 BFADHN CCC[C@@H](NC[C@H]1CCCN1C)c1ccccn1 ZINC000158804584 326343635 /nfs/dbraw/zinc/34/36/35/326343635.db2.gz DQLBCSWKUCSONQ-ZIAGYGMSSA-N 0 3 247.386 2.607 20 0 BFADHN C[C@@H](NCc1cc[nH]n1)[C@@H]1CCCC[C@H]1C ZINC000568355055 326348428 /nfs/dbraw/zinc/34/84/28/326348428.db2.gz HISRKIVSJGEOGC-NQBHXWOUSA-N 0 3 221.348 2.714 20 0 BFADHN Cc1ccoc1CN[C@H]1CCC12CCOCC2 ZINC000568464626 326357782 /nfs/dbraw/zinc/35/77/82/326357782.db2.gz QMUCMYRAGHBAGA-ZDUSSCGKSA-N 0 3 235.327 2.637 20 0 BFADHN CCO[C@@H]1C[C@@H]1NC1CC(c2ccccc2F)C1 ZINC000568471136 326357902 /nfs/dbraw/zinc/35/79/02/326357902.db2.gz GSEXKWMCPAPCCG-RLNQVHQUSA-N 0 3 249.329 2.839 20 0 BFADHN CCO[C@H]1C[C@H]1NC1CC(c2ccccc2F)C1 ZINC000568471133 326358055 /nfs/dbraw/zinc/35/80/55/326358055.db2.gz GSEXKWMCPAPCCG-FBDPFYNOSA-N 0 3 249.329 2.839 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCC23CC3)c2nccn21 ZINC000568534149 326364339 /nfs/dbraw/zinc/36/43/39/326364339.db2.gz WIYWUZWVHQIASR-IJLUTSLNSA-N 0 3 231.343 2.811 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CCC[C@H](F)C1 ZINC000568627413 326368431 /nfs/dbraw/zinc/36/84/31/326368431.db2.gz XMTOAWGEWPDOJI-SDDRHHMPSA-N 0 3 237.322 2.716 20 0 BFADHN CC/C=C/CN[C@@H](C)c1ccnc(OC)c1 ZINC000568664615 326371957 /nfs/dbraw/zinc/37/19/57/326371957.db2.gz WZQGBOIMQXPCFV-QRGHLMKCSA-N 0 3 220.316 2.707 20 0 BFADHN CCCC[C@H](C)N(C)CC(=O)N[C@@H](C)C(C)C ZINC000568698499 326372132 /nfs/dbraw/zinc/37/21/32/326372132.db2.gz NPYJYZJSYJMEFN-STQMWFEESA-N 0 3 242.407 2.658 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1CCCc2c(O)cccc21 ZINC000569050380 326387834 /nfs/dbraw/zinc/38/78/34/326387834.db2.gz MQKDLEQPPBHQAS-VNHYZAJKSA-N 0 3 247.338 2.537 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](c1ccccc1)C1CC1 ZINC000569072607 326388837 /nfs/dbraw/zinc/38/88/37/326388837.db2.gz ZSUMZNOGWHXXRU-ZNMIVQPWSA-N 0 3 231.339 2.905 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](C)O[C@@H](C)C1)c1cscn1 ZINC000569122594 326391757 /nfs/dbraw/zinc/39/17/57/326391757.db2.gz QLIDMTZEYHUIIT-YTWAJWBKSA-N 0 3 240.372 2.750 20 0 BFADHN C[C@H](c1ccccc1)[C@@H](O)CNCc1ccco1 ZINC000569198136 326394473 /nfs/dbraw/zinc/39/44/73/326394473.db2.gz QMQDKGYMKZZZOL-DOMZBBRYSA-N 0 3 245.322 2.534 20 0 BFADHN COC(=O)C(C)(C)N(C)C[C@@H]1CCCC[C@H]1C ZINC000569271047 326398667 /nfs/dbraw/zinc/39/86/67/326398667.db2.gz PTVAQJXLJJVTGZ-NEPJUHHUSA-N 0 3 241.375 2.696 20 0 BFADHN CC(C)[C@H](NCc1cn2ccsc2n1)C1CC1 ZINC000324325795 326414934 /nfs/dbraw/zinc/41/49/34/326414934.db2.gz ZHXWAUSTRPNFDD-LBPRGKRZSA-N 0 3 249.383 2.920 20 0 BFADHN C[C@@H](O)[C@@H](C)NCc1ccc(C2CC2)cc1F ZINC000573111629 326430421 /nfs/dbraw/zinc/43/04/21/326430421.db2.gz KNUCQCLSAZEYNH-NXEZZACHSA-N 0 3 237.318 2.562 20 0 BFADHN Cc1cc(C)cc(CN[C@@H]2COC(C)(C)C2)c1 ZINC000396552002 487972869 /nfs/dbraw/zinc/97/28/69/487972869.db2.gz SWQPDPCSWOWWTF-AWEZNQCLSA-N 0 3 233.355 2.961 20 0 BFADHN CN(CCC(C)(C)C1CC1)Cc1c[nH]cn1 ZINC000661094086 487979190 /nfs/dbraw/zinc/97/91/90/487979190.db2.gz SVAOQEVFOQYZSM-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CN(CCC(C)(C)C1CC1)Cc1cnc[nH]1 ZINC000661094086 487979194 /nfs/dbraw/zinc/97/91/94/487979194.db2.gz SVAOQEVFOQYZSM-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN COC(C)(C)CCN1CC2(C1)CC(F)(F)C2 ZINC000682531510 487978702 /nfs/dbraw/zinc/97/87/02/487978702.db2.gz KMCKAXLEYKAKPF-UHFFFAOYSA-N 0 3 233.302 2.533 20 0 BFADHN CCn1nccc1CNC/C=C\c1ccccc1 ZINC000677138384 487981758 /nfs/dbraw/zinc/98/17/58/487981758.db2.gz MZTLXCYFWPMBOZ-TWGQIWQCSA-N 0 3 241.338 2.706 20 0 BFADHN FCCC[C@@H]1CCC[C@H]1NCc1cocn1 ZINC000309575591 487987081 /nfs/dbraw/zinc/98/70/81/487987081.db2.gz ORBCANATVHURKE-CMPLNLGQSA-N 0 3 226.295 2.683 20 0 BFADHN CCN1CCN(CCc2ccc(C)cc2)C[C@@H]1C ZINC000677191794 487994353 /nfs/dbraw/zinc/99/43/53/487994353.db2.gz LHJCXUWFUIPPPU-HNNXBMFYSA-N 0 3 246.398 2.564 20 0 BFADHN CCN1CCN(Cc2cccc(C)c2)C[C@@H]1C ZINC000677191347 487995297 /nfs/dbraw/zinc/99/52/97/487995297.db2.gz AHDFGOJHPHNZBM-AWEZNQCLSA-N 0 3 232.371 2.521 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)C2CCC2)nn1C ZINC000309609868 487995328 /nfs/dbraw/zinc/99/53/28/487995328.db2.gz ZRRPTUZOWJKRSP-QWRGUYRKSA-N 0 3 221.348 2.568 20 0 BFADHN Clc1cnccc1CNCCC1CC1 ZINC000084085162 487998787 /nfs/dbraw/zinc/99/87/87/487998787.db2.gz HNOFYSOUIVBWTI-UHFFFAOYSA-N 0 3 210.708 2.625 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)o1 ZINC000172038370 487998601 /nfs/dbraw/zinc/99/86/01/487998601.db2.gz JGEPONYDTMAVDS-VEQZDLLVSA-N 0 3 247.338 2.813 20 0 BFADHN CC(C)=CCCN1CCN(C2CCC2)CC1 ZINC000659924071 488001836 /nfs/dbraw/zinc/00/18/36/488001836.db2.gz BAIZFWMKUUGKJW-UHFFFAOYSA-N 0 3 222.376 2.513 20 0 BFADHN CC1(C)CCCN(CCO[C@@H]2CC2(F)F)C1 ZINC000659924617 488001914 /nfs/dbraw/zinc/00/19/14/488001914.db2.gz BRKMCIDOVGHJSD-SNVBAGLBSA-N 0 3 233.302 2.533 20 0 BFADHN OC[C@H](CC1CC1)NCc1cc2ccccc2o1 ZINC000677245317 488004942 /nfs/dbraw/zinc/00/49/42/488004942.db2.gz MXEQIYPIOLNOFK-ZDUSSCGKSA-N 0 3 245.322 2.683 20 0 BFADHN Cc1cc(CN[C@H]2CC[C@H]2C(C)(C)C)ncn1 ZINC000672605536 488009044 /nfs/dbraw/zinc/00/90/44/488009044.db2.gz NHNJNDOFMCWYKJ-OLZOCXBDSA-N 0 3 233.359 2.699 20 0 BFADHN COc1c(C)cccc1CNC1CC(C)C1 ZINC000655813358 488009327 /nfs/dbraw/zinc/00/93/27/488009327.db2.gz WKYVVPNJYRZPRA-UHFFFAOYSA-N 0 3 219.328 2.892 20 0 BFADHN C[C@H](NCc1ccccc1F)[C@@H]1CC1(F)F ZINC000666445087 488011632 /nfs/dbraw/zinc/01/16/32/488011632.db2.gz OTHONWLRGFVFIV-WPRPVWTQSA-N 0 3 229.245 2.959 20 0 BFADHN C[C@@H](NCc1cccc(F)c1)[C@H]1CC1(F)F ZINC000666445099 488011803 /nfs/dbraw/zinc/01/18/03/488011803.db2.gz PCGBKTSBKSSKGI-LDYMZIIASA-N 0 3 229.245 2.959 20 0 BFADHN CC[C@H](C)N(CC)Cc1cccnc1OC ZINC000661104498 488011307 /nfs/dbraw/zinc/01/13/07/488011307.db2.gz OPRQXISNRYRLMP-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN Cc1ccncc1CN[C@@H](C)[C@@H]1CC1(F)F ZINC000666444959 488012287 /nfs/dbraw/zinc/01/22/87/488012287.db2.gz MPKZBXJVQNQUGM-ONGXEEELSA-N 0 3 226.270 2.523 20 0 BFADHN Cc1ncsc1CN[C@@H](C)[C@@H]1CC1(F)F ZINC000666445373 488012670 /nfs/dbraw/zinc/01/26/70/488012670.db2.gz SLEMHKFOUKYKKH-XPUUQOCRSA-N 0 3 232.299 2.585 20 0 BFADHN CCCN(Cc1ccnn1CCC)CC1CC1 ZINC000667462883 488013686 /nfs/dbraw/zinc/01/36/86/488013686.db2.gz YHNVUVJIKLBCKV-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1sccc1CN[C@H]1CCSC1 ZINC000293544030 488013898 /nfs/dbraw/zinc/01/38/98/488013898.db2.gz KLAXLOXHRCNOPI-JTQLQIEISA-N 0 3 213.371 2.652 20 0 BFADHN Cc1sccc1CNC1CC(F)(F)C1 ZINC000293382802 488014144 /nfs/dbraw/zinc/01/41/44/488014144.db2.gz WIKABXKNNWACKV-UHFFFAOYSA-N 0 3 217.284 2.944 20 0 BFADHN CCN(Cc1cnc2n1CCC2)C1CCCCC1 ZINC000667470116 488016210 /nfs/dbraw/zinc/01/62/10/488016210.db2.gz PBNHAPRRPOHSLH-UHFFFAOYSA-N 0 3 247.386 2.984 20 0 BFADHN CC(C)[C@@H](C)N(Cc1cnc2n1CCC2)C1CC1 ZINC000667470890 488016955 /nfs/dbraw/zinc/01/69/55/488016955.db2.gz PARRZHUYXXIYGE-GFCCVEGCSA-N 0 3 247.386 2.838 20 0 BFADHN CC(C)C(C)(C)NCc1cn2cccc(F)c2n1 ZINC000677321517 488017021 /nfs/dbraw/zinc/01/70/21/488017021.db2.gz XSIFFOONQOVQPL-UHFFFAOYSA-N 0 3 249.333 2.998 20 0 BFADHN CCCn1nccc1CN1C[C@@H](C)C[C@H](C)C1 ZINC000667472323 488017625 /nfs/dbraw/zinc/01/76/25/488017625.db2.gz COCAJZRWJIZRSC-STQMWFEESA-N 0 3 235.375 2.771 20 0 BFADHN CCCc1ccc(CN[C@@]2(C)CCOC2)cc1 ZINC000677323627 488017825 /nfs/dbraw/zinc/01/78/25/488017825.db2.gz VWPUVVOBGYSKBQ-HNNXBMFYSA-N 0 3 233.355 2.908 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2ccnn2CC2CC2)C1 ZINC000667472386 488018495 /nfs/dbraw/zinc/01/84/95/488018495.db2.gz FYWFCQTWOPVTAW-BETUJISGSA-N 0 3 247.386 2.771 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2ccnn2CC2CC2)C1 ZINC000667472388 488019203 /nfs/dbraw/zinc/01/92/03/488019203.db2.gz FYWFCQTWOPVTAW-STQMWFEESA-N 0 3 247.386 2.771 20 0 BFADHN CC1CCC(N(C)Cc2cnn3c2CCC3)CC1 ZINC000667484591 488024203 /nfs/dbraw/zinc/02/42/03/488024203.db2.gz QTBLGSYWKIGCCW-UHFFFAOYSA-N 0 3 247.386 2.840 20 0 BFADHN CCC[C@@H](N)c1cn([C@H](C)c2ccccc2)nn1 ZINC000229968779 488025583 /nfs/dbraw/zinc/02/55/83/488025583.db2.gz JHBYNRRSYMRBIF-DGCLKSJQSA-N 0 3 244.342 2.687 20 0 BFADHN CCC[C@H](N)c1cn([C@H](C)c2ccccc2)nn1 ZINC000229968786 488025598 /nfs/dbraw/zinc/02/55/98/488025598.db2.gz JHBYNRRSYMRBIF-YPMHNXCESA-N 0 3 244.342 2.687 20 0 BFADHN Cc1ncc(CN(C)C2CCCCCC2)n1C ZINC000667491770 488025381 /nfs/dbraw/zinc/02/53/81/488025381.db2.gz GTEAPAPOTJMPJY-UHFFFAOYSA-N 0 3 235.375 2.883 20 0 BFADHN Cc1ccc(CNCC2(CO)CC2)c(Cl)c1 ZINC000235863143 488026402 /nfs/dbraw/zinc/02/64/02/488026402.db2.gz LBJYSIHCIJHPRF-UHFFFAOYSA-N 0 3 239.746 2.511 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CC=C(C(F)(F)F)CC1 ZINC000488315551 533716993 /nfs/dbraw/zinc/71/69/93/533716993.db2.gz FEHCOMPSJKSAAO-RKDXNWHRSA-N 0 3 219.250 2.837 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1ccnn1CC1CC1 ZINC000667499387 488029898 /nfs/dbraw/zinc/02/98/98/488029898.db2.gz IPZWJHHKYURYQO-GFCCVEGCSA-N 0 3 235.375 2.769 20 0 BFADHN CC(C)CCN(Cc1cnc2n1CCC2)C1CC1 ZINC000667497504 488030467 /nfs/dbraw/zinc/03/04/67/488030467.db2.gz DRUKTRAFGTYGOC-UHFFFAOYSA-N 0 3 247.386 2.840 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1ccnn1CC1CC1 ZINC000667499388 488030706 /nfs/dbraw/zinc/03/07/06/488030706.db2.gz IPZWJHHKYURYQO-LBPRGKRZSA-N 0 3 235.375 2.769 20 0 BFADHN C[C@H](O)CCCN1CCCc2sccc2C1 ZINC000682759832 488031954 /nfs/dbraw/zinc/03/19/54/488031954.db2.gz YLEMNBPIRCQPBM-NSHDSACASA-N 0 3 239.384 2.657 20 0 BFADHN CCCn1nccc1CN1CCC[C@H]1C(C)C ZINC000667503013 488031537 /nfs/dbraw/zinc/03/15/37/488031537.db2.gz WZKNBSJTVNXIEF-AWEZNQCLSA-N 0 3 235.375 2.914 20 0 BFADHN CCC[C@@H](C)N(C)Cc1ccnn1CCC ZINC000667506087 488033290 /nfs/dbraw/zinc/03/32/90/488033290.db2.gz ALMMTLSNMJCRJA-GFCCVEGCSA-N 0 3 223.364 2.914 20 0 BFADHN COC[C@@H](C)Oc1ccc(CN(C)C2CC2)cc1 ZINC000667504332 488034037 /nfs/dbraw/zinc/03/40/37/488034037.db2.gz OUIQIPQMNUYMKZ-GFCCVEGCSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1cc(CN[C@H]2C[C@H](C)C[C@H](C)C2)ncn1 ZINC000677424826 488034475 /nfs/dbraw/zinc/03/44/75/488034475.db2.gz NRWHJAIQUVMSOO-PJXYFTJBSA-N 0 3 233.359 2.699 20 0 BFADHN C[C@@H]1CCN(C[C@H](O)CC2CCCC2)C[C@@H]1F ZINC000682772678 488036069 /nfs/dbraw/zinc/03/60/69/488036069.db2.gz QHNPONMQPDQEPQ-BNOWGMLFSA-N 0 3 243.366 2.608 20 0 BFADHN C[C@@H]1CCN(C[C@@H](O)CC2CCCC2)C[C@@H]1F ZINC000682772687 488036466 /nfs/dbraw/zinc/03/64/66/488036466.db2.gz QHNPONMQPDQEPQ-XBFCOCLRSA-N 0 3 243.366 2.608 20 0 BFADHN CC[C@H]1CCCN(Cc2ccnn2CC2CC2)C1 ZINC000667531447 488037966 /nfs/dbraw/zinc/03/79/66/488037966.db2.gz GQTXCRKWUIGXAM-ZDUSSCGKSA-N 0 3 247.386 2.915 20 0 BFADHN CC[C@@H](C)CN(C)Cc1ccnn1CC1CC1 ZINC000667530409 488038094 /nfs/dbraw/zinc/03/80/94/488038094.db2.gz YJMDJTYHUXMPIS-GFCCVEGCSA-N 0 3 235.375 2.771 20 0 BFADHN CCc1ccc(CN(C)C[C@H](O)C2CC2)s1 ZINC000685460679 488038315 /nfs/dbraw/zinc/03/83/15/488038315.db2.gz FQKSZLVHXZRSSH-ZDUSSCGKSA-N 0 3 239.384 2.513 20 0 BFADHN CCCn1nccc1CN(CC1CC1)C(C)C ZINC000667523781 488039273 /nfs/dbraw/zinc/03/92/73/488039273.db2.gz PUHJTFVTAJIEHA-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN CC(C)c1cccc2c1CCN(C[C@H](C)CO)C2 ZINC000682778539 488039678 /nfs/dbraw/zinc/03/96/78/488039678.db2.gz JKWOYVVBZPMOON-ZDUSSCGKSA-N 0 3 247.382 2.797 20 0 BFADHN CN(Cc1ccnn1CC1CC1)CC(C)(C)C ZINC000667535054 488040379 /nfs/dbraw/zinc/04/03/79/488040379.db2.gz WWTKSSOLVCMNJM-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN c1c2ccncc2sc1CN1CCCOCC1 ZINC000667532479 488039938 /nfs/dbraw/zinc/03/99/38/488039938.db2.gz OQMFVPYEVBOINZ-UHFFFAOYSA-N 0 3 248.351 2.519 20 0 BFADHN C[C@@H](N[C@@H]1COC(C)(C)C1)c1ccsc1 ZINC000396878512 488046715 /nfs/dbraw/zinc/04/67/15/488046715.db2.gz NPTBQBFCCVSLCP-KOLCDFICSA-N 0 3 225.357 2.966 20 0 BFADHN C[C@H]1CCCN(Cc2c(C3CC3)cnn2C)CC1 ZINC000667561404 488046816 /nfs/dbraw/zinc/04/68/16/488046816.db2.gz VUNZMYAZEFHIJV-LBPRGKRZSA-N 0 3 247.386 2.920 20 0 BFADHN COCCCN(C)Cc1cc2c(cccc2C)[nH]1 ZINC000667560096 488046481 /nfs/dbraw/zinc/04/64/81/488046481.db2.gz LWJFMAYOANGESA-UHFFFAOYSA-N 0 3 246.354 2.945 20 0 BFADHN C[C@@H]1CCCN(Cc2ccnn2CC2CC2)CC1 ZINC000667560898 488046625 /nfs/dbraw/zinc/04/66/25/488046625.db2.gz FPHUNWKCDBEPPN-CYBMUJFWSA-N 0 3 247.386 2.915 20 0 BFADHN CC(C)SCCN1CC[C@@H](C)[C@@H](F)C1 ZINC000619781309 488050930 /nfs/dbraw/zinc/05/09/30/488050930.db2.gz SDPKUWHLOHNOBG-MNOVXSKESA-N 0 3 219.369 2.808 20 0 BFADHN CC(C)(C)[C@H]1CCCN1Cc1cnc2n1CCC2 ZINC000667590429 488053792 /nfs/dbraw/zinc/05/37/92/488053792.db2.gz VRDHNFFPRHZCDX-CYBMUJFWSA-N 0 3 247.386 2.840 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H]1CCCc2cccnc21 ZINC000091661486 488057565 /nfs/dbraw/zinc/05/75/65/488057565.db2.gz XIRMEZYRJDFFOW-MGPQQGTHSA-N 0 3 246.354 2.616 20 0 BFADHN Cc1c[nH]c(CN2CCCC[C@H]2C(C)C)n1 ZINC000628177322 488058571 /nfs/dbraw/zinc/05/85/71/488058571.db2.gz UJXNFDMHRLWFEF-LBPRGKRZSA-N 0 3 221.348 2.729 20 0 BFADHN CCC[C@H](C)N(C)CC(=O)NC1CCCCC1 ZINC000075703249 488062394 /nfs/dbraw/zinc/06/23/94/488062394.db2.gz VBVSTUYXFJGOSK-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CC(C)N1CCN([C@H](C)c2ccccc2)CC1 ZINC000075635014 488064075 /nfs/dbraw/zinc/06/40/75/488064075.db2.gz LMUOEXBZUBOWNP-CQSZACIVSA-N 0 3 232.371 2.774 20 0 BFADHN Cc1cc(CN2CCC[C@H](C3CC3)C2)nc(C)n1 ZINC000660560080 488064670 /nfs/dbraw/zinc/06/46/70/488064670.db2.gz JPENFBREUJZAHU-AWEZNQCLSA-N 0 3 245.370 2.715 20 0 BFADHN C[C@H](CN[C@@H](C)c1cc2n(n1)CCC2)C1CC1 ZINC000666085353 488065241 /nfs/dbraw/zinc/06/52/41/488065241.db2.gz HFHORIKWLXHNSZ-MNOVXSKESA-N 0 3 233.359 2.526 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](C(C)C)CC2)n1C ZINC000667641899 488066437 /nfs/dbraw/zinc/06/64/37/488066437.db2.gz HLWWIVUOAGHCPU-CQSZACIVSA-N 0 3 249.402 2.987 20 0 BFADHN CCc1ccc([C@H](C)NCC[C@H](O)CC)o1 ZINC000123419716 488072584 /nfs/dbraw/zinc/07/25/84/488072584.db2.gz UTEZROPOYCVXPK-WDEREUQCSA-N 0 3 225.332 2.654 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2c(C3CC3)cnn2C)C1 ZINC000667664980 488080792 /nfs/dbraw/zinc/08/07/92/488080792.db2.gz VZWFFMBAEBCRBY-GHMZBOCLSA-N 0 3 233.359 2.528 20 0 BFADHN COC1(CN2CC[C@H](C)[C@H](F)C2)CCCC1 ZINC000682997474 488081563 /nfs/dbraw/zinc/08/15/63/488081563.db2.gz SPWXJYLBFZQSKJ-NWDGAFQWSA-N 0 3 229.339 2.626 20 0 BFADHN Cc1ncc(CN2CCC3(C2)CCCCC3)n1C ZINC000667670570 488081877 /nfs/dbraw/zinc/08/18/77/488081877.db2.gz TXGTWDFPCZIMPF-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN Cc1cc(CN(C)C)cc(NC(=O)[C@H]2C[C@H]2C)c1 ZINC000677600016 488082593 /nfs/dbraw/zinc/08/25/93/488082593.db2.gz RQKDXCIMVOCSKY-RISCZKNCSA-N 0 3 246.354 2.651 20 0 BFADHN C[C@@H]1CCSCCN1Cc1ccc(O)cc1 ZINC000683000979 488083097 /nfs/dbraw/zinc/08/30/97/488083097.db2.gz KMXHFKNLJZIJRZ-LLVKDONJSA-N 0 3 237.368 2.720 20 0 BFADHN Cc1ccc(CN(C)CC2=CCCOC2)cc1F ZINC000683001312 488083120 /nfs/dbraw/zinc/08/31/20/488083120.db2.gz RILNWBBZLHLRHF-UHFFFAOYSA-N 0 3 249.329 2.913 20 0 BFADHN Clc1ccc2c(c1)C[C@@H](N1CCC1)C2 ZINC001172711483 974924465 /nfs/dbraw/zinc/92/44/65/974924465.db2.gz AUDCNFVJSROHJS-LBPRGKRZSA-N 0 3 207.704 2.513 20 0 BFADHN CCc1cccc(CN2CC3(CC3)C2)c1 ZINC000521055138 488087654 /nfs/dbraw/zinc/08/76/54/488087654.db2.gz WZRHIZUEIDOUSD-UHFFFAOYSA-N 0 3 201.313 2.845 20 0 BFADHN CCCn1nccc1CN(C)[C@H](C)C1(C)CC1 ZINC000667695929 488090885 /nfs/dbraw/zinc/09/08/85/488090885.db2.gz GYJOJZVSEIMIPQ-GFCCVEGCSA-N 0 3 235.375 2.914 20 0 BFADHN CC[C@@H](O)[C@H]1CCCCN1Cc1cc(C)ccn1 ZINC000683011970 488091797 /nfs/dbraw/zinc/09/17/97/488091797.db2.gz WFIIUVNUUUUYSB-HUUCEWRRSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1ccnc(CN2CC[C@@H](C)[C@H](C)C2)c1 ZINC000683019679 488095862 /nfs/dbraw/zinc/09/58/62/488095862.db2.gz PHOGOUATHHDDDD-CHWSQXEVSA-N 0 3 218.344 2.868 20 0 BFADHN c1nc(C2CC2)sc1CN1CC[C@H]2C[C@H]2C1 ZINC000668676737 488098584 /nfs/dbraw/zinc/09/85/84/488098584.db2.gz FJOIJRBJEAIKSS-QWRGUYRKSA-N 0 3 234.368 2.862 20 0 BFADHN Cc1nc(CN[C@H]2CCC[C@H]3C[C@H]32)cs1 ZINC000378678647 488100048 /nfs/dbraw/zinc/10/00/48/488100048.db2.gz SKCDTHIVLTUPFK-WCQGTBRESA-N 0 3 222.357 2.730 20 0 BFADHN Cc1noc(C)c1CCN1CCC2(CC2)CC1 ZINC000677717929 488100145 /nfs/dbraw/zinc/10/01/45/488100145.db2.gz UGEXUQUIGMLHCP-UHFFFAOYSA-N 0 3 234.343 2.710 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC2(CCOC2)CC1 ZINC000677718942 488100249 /nfs/dbraw/zinc/10/02/49/488100249.db2.gz ODYGWDUSQQZCMD-ZDUSSCGKSA-N 0 3 246.354 2.645 20 0 BFADHN CCn1ccc(CN(C)C[C@@H]2CC[C@@H](C)O2)c1 ZINC000683031161 488101650 /nfs/dbraw/zinc/10/16/50/488101650.db2.gz KCLFWZPWAGGYQP-OCCSQVGLSA-N 0 3 236.359 2.507 20 0 BFADHN Cc1cc(C)cc(N(C)C(=O)C2(N)CCCC2)c1 ZINC000237050865 488101743 /nfs/dbraw/zinc/10/17/43/488101743.db2.gz DOKGPQUMPPXRPT-UHFFFAOYSA-N 0 3 246.354 2.538 20 0 BFADHN COc1cc(C)ccc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000668678086 488102730 /nfs/dbraw/zinc/10/27/30/488102730.db2.gz DAQFUQZBCONMCC-TZMCWYRMSA-N 0 3 231.339 2.845 20 0 BFADHN CC(C)=CCCNC(=O)Nc1cccc(CN)c1 ZINC000667735498 488104892 /nfs/dbraw/zinc/10/48/92/488104892.db2.gz VPECXOKRHJLJJE-UHFFFAOYSA-N 0 3 247.342 2.623 20 0 BFADHN Cn1ncc(C2CCC2)c1CN1CC[C@@H]2C[C@@H]2C1 ZINC000668679108 488105654 /nfs/dbraw/zinc/10/56/54/488105654.db2.gz ZPOKFKIQPGQWBI-CHWSQXEVSA-N 0 3 245.370 2.529 20 0 BFADHN COCC1(N(C)Cc2cccc(Cl)c2)CC1 ZINC000677728985 488106859 /nfs/dbraw/zinc/10/68/59/488106859.db2.gz RCKSHAUGFMOWEU-UHFFFAOYSA-N 0 3 239.746 2.951 20 0 BFADHN C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1nc2c(s1)CCC2 ZINC000657231794 488106642 /nfs/dbraw/zinc/10/66/42/488106642.db2.gz RMICWJDTCQXXNK-VWYCJHECSA-N 0 3 248.395 2.910 20 0 BFADHN C[C@H](c1ccccn1)N1CC[C@@H](C(F)F)C1 ZINC000677731525 488109934 /nfs/dbraw/zinc/10/99/34/488109934.db2.gz SRRVYIGNTZAWGE-NXEZZACHSA-N 0 3 226.270 2.730 20 0 BFADHN C[C@H]1C[C@H]1CN1CCOc2ccccc2C1 ZINC000683108343 488109977 /nfs/dbraw/zinc/10/99/77/488109977.db2.gz AUOLRZDXYSRJDH-AAEUAGOBSA-N 0 3 217.312 2.537 20 0 BFADHN FC1(F)CC2(CN(CC3CCCC3)C2)C1 ZINC000677734082 488112382 /nfs/dbraw/zinc/11/23/82/488112382.db2.gz WSUAFAOMKGCTBA-UHFFFAOYSA-N 0 3 215.287 2.908 20 0 BFADHN FC(F)[C@@H]1CCN(CCOc2ccccc2)C1 ZINC000677736138 488114540 /nfs/dbraw/zinc/11/45/40/488114540.db2.gz YAYIIAOYGFPUJH-LLVKDONJSA-N 0 3 241.281 2.652 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@H]1CO[C@@H](C2CC2)C1 ZINC000667781303 488119185 /nfs/dbraw/zinc/11/91/85/488119185.db2.gz FTIHDBILUQYTLQ-OSAQELSMSA-N 0 3 247.338 2.814 20 0 BFADHN Cc1nnc(CNC[C@@H](C)C(C)(C)C)s1 ZINC000389894623 488122653 /nfs/dbraw/zinc/12/26/53/488122653.db2.gz XYGXYFOMZCSESX-MRVPVSSYSA-N 0 3 227.377 2.618 20 0 BFADHN Cc1cc(CNC2([C@@H](C)O)CC2)ccc1Cl ZINC000668698763 488130918 /nfs/dbraw/zinc/13/09/18/488130918.db2.gz GYJOCJFAIGBUOP-SNVBAGLBSA-N 0 3 239.746 2.651 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1ccc2c(n1)CCC2 ZINC000668699774 488132072 /nfs/dbraw/zinc/13/20/72/488132072.db2.gz BOKIMLAPPFQHJI-OAHLLOKOSA-N 0 3 230.355 2.849 20 0 BFADHN CCCc1cccc(CNC2([C@@H](C)O)CC2)c1 ZINC000668700027 488133441 /nfs/dbraw/zinc/13/34/41/488133441.db2.gz ABSSQECWMBZDLV-GFCCVEGCSA-N 0 3 233.355 2.642 20 0 BFADHN Cc1cccc2c1CN([C@H]1CCCOC1)CC2 ZINC000683227539 488133687 /nfs/dbraw/zinc/13/36/87/488133687.db2.gz WSJFMVDXWYYWTM-AWEZNQCLSA-N 0 3 231.339 2.532 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@@H]1CC=CCC1)CCC2 ZINC000655699617 487537744 /nfs/dbraw/zinc/53/77/44/487537744.db2.gz FHRUFEDBTGNEDU-CHWSQXEVSA-N 0 3 231.343 2.664 20 0 BFADHN Cc1noc([C@H](C)N2C[C@@H]3CCCC[C@H]3C2)n1 ZINC000674252452 487538674 /nfs/dbraw/zinc/53/86/74/487538674.db2.gz RKPHTUCALBQIIY-DLOVCJGASA-N 0 3 235.331 2.561 20 0 BFADHN CC[C@H]1CCCN1Cc1oc(C)nc1C ZINC000685562494 487544898 /nfs/dbraw/zinc/54/48/98/487544898.db2.gz LDIAQUAKDVAQCO-NSHDSACASA-N 0 3 208.305 2.666 20 0 BFADHN CO[C@@H](C)CN(Cc1oc(C)nc1C)C(C)C ZINC000685565125 487547272 /nfs/dbraw/zinc/54/72/72/487547272.db2.gz SQRPLGHBALISEQ-JTQLQIEISA-N 0 3 240.347 2.537 20 0 BFADHN Cc1c(CN2CCC(C3CCC3)CC2)cnn1C ZINC000661376471 487548198 /nfs/dbraw/zinc/54/81/98/487548198.db2.gz KWDKYSNYEFBRQN-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NC[C@H]1CCCO1)CC2 ZINC000174978347 487549972 /nfs/dbraw/zinc/54/99/72/487549972.db2.gz MCNOJEKLCOANHJ-OCCSQVGLSA-N 0 3 235.302 2.582 20 0 BFADHN c1cc2c(s1)CCCN([C@H]1CCCOC1)C2 ZINC000674302548 487554911 /nfs/dbraw/zinc/55/49/11/487554911.db2.gz NYISOQBTNPPCCF-LBPRGKRZSA-N 0 3 237.368 2.675 20 0 BFADHN CC[C@@H](C(N)=O)N1CCSC2(CCC2)CC1 ZINC000334637089 487531110 /nfs/dbraw/zinc/53/11/10/487531110.db2.gz QCANWPBFGVCTQT-JTQLQIEISA-N 0 3 242.388 2.662 20 0 BFADHN CCCn1nccc1CN1CC(C)(C)C[C@H]1C ZINC000668240258 487531197 /nfs/dbraw/zinc/53/11/97/487531197.db2.gz BRHUYUKUDXCSAJ-GFCCVEGCSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccc(F)c1)C1(CO)CC1 ZINC000658160480 487556613 /nfs/dbraw/zinc/55/66/13/487556613.db2.gz NRYCBICCGYSSJQ-WDEREUQCSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1cnn(C)c1CN(CCC1CC1)CC1CC1 ZINC000668746963 487470697 /nfs/dbraw/zinc/47/06/97/487470697.db2.gz AHWKDSKSJJSYQQ-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN c1ccc2c(c1)ccnc2CN[C@@H]1CCCOC1 ZINC000673932206 487484196 /nfs/dbraw/zinc/48/41/96/487484196.db2.gz MSVJNZXVEFFXLC-CYBMUJFWSA-N 0 3 242.322 2.503 20 0 BFADHN CC(C)CCCN[C@H]1CCCn2ccnc21 ZINC000655696450 487484920 /nfs/dbraw/zinc/48/49/20/487484920.db2.gz PQTVSKXJOIDRES-LBPRGKRZSA-N 0 3 221.348 2.744 20 0 BFADHN CC[C@@H](N[C@H](C)c1nccnc1C)C1CC1 ZINC000323995182 487485392 /nfs/dbraw/zinc/48/53/92/487485392.db2.gz KZVRDBUXOMVYKH-ZYHUDNBSSA-N 0 3 219.332 2.624 20 0 BFADHN FC(F)(F)[C@H]1CCC[C@@H](NCc2ccno2)C1 ZINC000231882263 487485975 /nfs/dbraw/zinc/48/59/75/487485975.db2.gz JKGAFFBSPMEMFD-DTWKUNHWSA-N 0 3 248.248 2.885 20 0 BFADHN C[C@@H]1CN(Cc2ccc(O)cc2)C[C@H](C)S1 ZINC000679396093 487486757 /nfs/dbraw/zinc/48/67/57/487486757.db2.gz PGQISEDKCWLLHI-PHIMTYICSA-N 0 3 237.368 2.718 20 0 BFADHN C[C@@H](O)CN(Cc1cccc(Cl)c1)C1CC1 ZINC000685000301 487488058 /nfs/dbraw/zinc/48/80/58/487488058.db2.gz LYKJDHAUDGTWOR-SNVBAGLBSA-N 0 3 239.746 2.685 20 0 BFADHN CC(C)C[C@@H](C)CN(C)Cc1cn[nH]c1 ZINC000685003847 487490583 /nfs/dbraw/zinc/49/05/83/487490583.db2.gz OSQRLVLQIYSXLW-LLVKDONJSA-N 0 3 209.337 2.524 20 0 BFADHN c1cc(CCCN2CC=CCC2)cs1 ZINC000679935992 487498275 /nfs/dbraw/zinc/49/82/75/487498275.db2.gz VLHCDPXUTSBVJN-UHFFFAOYSA-N 0 3 207.342 2.943 20 0 BFADHN Fc1cccc([C@H]2CCN2C[C@@H]2CCOC2)c1 ZINC000674011557 487500084 /nfs/dbraw/zinc/50/00/84/487500084.db2.gz VQXALDXRZBWRLE-SMDDNHRTSA-N 0 3 235.302 2.609 20 0 BFADHN CCCCOc1ccccc1CN[C@@H]1CCOC1 ZINC000130332771 487500793 /nfs/dbraw/zinc/50/07/93/487500793.db2.gz FDUZDJYJAKIVTF-CQSZACIVSA-N 0 3 249.354 2.744 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@H](C)C1CC1 ZINC000674048372 487504191 /nfs/dbraw/zinc/50/41/91/487504191.db2.gz LLTCWMFJJBGSOB-LLVKDONJSA-N 0 3 234.343 2.595 20 0 BFADHN CCCc1ccc(CNC[C@H](C)OC)cc1 ZINC000381454421 487507673 /nfs/dbraw/zinc/50/76/73/487507673.db2.gz IVJRXHJNXIVFGY-LBPRGKRZSA-N 0 3 221.344 2.764 20 0 BFADHN c1ccc([C@@H]2C[C@H]2CN2CC3(CC3)C2)cc1 ZINC000334517710 487520706 /nfs/dbraw/zinc/52/07/06/487520706.db2.gz KLWNHTKRGPSNSB-KBPBESRZSA-N 0 3 213.324 2.886 20 0 BFADHN FC1(CN2CCC(c3cccnc3)CC2)CC1 ZINC000685456259 487527214 /nfs/dbraw/zinc/52/72/14/487527214.db2.gz KPBSGZYYPURSOU-UHFFFAOYSA-N 0 3 234.318 2.763 20 0 BFADHN CCC(C)(C)CCN(C)Cc1cn[nH]c1 ZINC000674753163 487613067 /nfs/dbraw/zinc/61/30/67/487613067.db2.gz WMIAFQQNUGBDDM-UHFFFAOYSA-N 0 3 209.337 2.668 20 0 BFADHN CCc1nn(C)cc1CN1CC[C@@H](C)C(C)(C)C1 ZINC000680651486 487628604 /nfs/dbraw/zinc/62/86/04/487628604.db2.gz WACSHZDSTJFCFY-GFCCVEGCSA-N 0 3 249.402 2.851 20 0 BFADHN C[C@@H]1C[C@@H]1c1nc(C2C[C@H]3CC[C@@H](C2)N3C)no1 ZINC000680778992 487646958 /nfs/dbraw/zinc/64/69/58/487646958.db2.gz YVZKJONZZLOCMI-MGMMNNENSA-N 0 3 247.342 2.533 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1ncccc1C(F)(F)F ZINC000671730851 487712323 /nfs/dbraw/zinc/71/23/23/487712323.db2.gz QTVYXZDCUHAEHW-PSASIEDQSA-N 0 3 244.260 2.989 20 0 BFADHN CC[C@H](O)CN1CC(C)(C)[C@@H]1c1ccccc1 ZINC000675497383 487741207 /nfs/dbraw/zinc/74/12/07/487741207.db2.gz DCRUKKITWHXWRC-KBPBESRZSA-N 0 3 233.355 2.840 20 0 BFADHN Cc1ccnc(NCc2[nH]nc3ccccc32)c1 ZINC000676198186 487820265 /nfs/dbraw/zinc/82/02/65/487820265.db2.gz AIUKVJMKBOZSDM-UHFFFAOYSA-N 0 3 238.294 2.878 20 0 BFADHN c1c2ccccc2[nH]c1CN[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000651934391 487870036 /nfs/dbraw/zinc/87/00/36/487870036.db2.gz FWPWEAMZPGPZQL-YUELXQCFSA-N 0 3 242.322 2.577 20 0 BFADHN c1ccc2c(c1)CC[C@H]2N1CCO[C@H](C2CC2)C1 ZINC000682072517 487895241 /nfs/dbraw/zinc/89/52/41/487895241.db2.gz JHFRMBUXLMSFQH-CVEARBPZSA-N 0 3 243.350 2.785 20 0 BFADHN OC[C@H]1CCCCCN1Cc1ccccc1F ZINC000157059721 487900633 /nfs/dbraw/zinc/90/06/33/487900633.db2.gz MWSNSCJZUKWANU-CYBMUJFWSA-N 0 3 237.318 2.563 20 0 BFADHN CCN(CC)Cc1cnc(OC)c(Cl)c1 ZINC000676882451 487940830 /nfs/dbraw/zinc/94/08/30/487940830.db2.gz CRNWOAUCFXNCEG-UHFFFAOYSA-N 0 3 228.723 2.585 20 0 BFADHN Cn1ncc(C2CC2)c1CN1C[C@H]2CCC[C@@H]2C1 ZINC000667642761 488066582 /nfs/dbraw/zinc/06/65/82/488066582.db2.gz JRMNVGFYEADCEH-CHWSQXEVSA-N 0 3 245.370 2.529 20 0 BFADHN C[C@@H](c1cccnc1)N1CCC(C)CC1 ZINC000079601290 488072858 /nfs/dbraw/zinc/07/28/58/488072858.db2.gz RMGLRKNLZDRODM-LBPRGKRZSA-N 0 3 204.317 2.875 20 0 BFADHN CCOc1cccc(CN2CC[C@H]3C[C@H]3C2)c1 ZINC000668675738 488094912 /nfs/dbraw/zinc/09/49/12/488094912.db2.gz HMMAQMGFYQIADX-KBPBESRZSA-N 0 3 231.339 2.927 20 0 BFADHN Oc1ccc(CN[C@@H]2CCCC[C@H]2F)cc1 ZINC000378712434 488101819 /nfs/dbraw/zinc/10/18/19/488101819.db2.gz UZGLCCBGLYKLRO-CHWSQXEVSA-N 0 3 223.291 2.763 20 0 BFADHN CCCn1nc(C)c(CN2CC[C@H]3C[C@H]3C2)c1C ZINC000668677701 488102001 /nfs/dbraw/zinc/10/20/01/488102001.db2.gz VNKZWCCTAHAPGM-KBPBESRZSA-N 0 3 247.386 2.752 20 0 BFADHN COc1cccc(CN[C@H](C)C2CC2)c1F ZINC000160462761 488134870 /nfs/dbraw/zinc/13/48/70/488134870.db2.gz HGFHYEHVHPVRRU-SECBINFHSA-N 0 3 223.291 2.722 20 0 BFADHN Cc1nc(CNC[C@@H](C)c2ccncc2)cs1 ZINC000674070983 488136233 /nfs/dbraw/zinc/13/62/33/488136233.db2.gz UAPNGIFAYFQFLH-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N(C)C[C@@H](O)C1CC1 ZINC000677892638 488150994 /nfs/dbraw/zinc/15/09/94/488150994.db2.gz PSZYGLKTLJWSIC-IINYFYTJSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1nc(CN[C@H](C)[C@@H]2C[C@H]2C)cs1 ZINC000321756120 488152404 /nfs/dbraw/zinc/15/24/04/488152404.db2.gz BUKMKRAPMYINNA-SOCHQFKDSA-N 0 3 210.346 2.586 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](C3CC3)C2)nc1 ZINC000677904876 488153285 /nfs/dbraw/zinc/15/32/85/488153285.db2.gz SKBZLYXXJGIJLB-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1cccc(C)c1CN[C@@H]1CO[C@@H](C2CC2)C1 ZINC000667910836 488153293 /nfs/dbraw/zinc/15/32/93/488153293.db2.gz ZYECCTSLGYOHTM-GOEBONIOSA-N 0 3 245.366 2.961 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCc3cccnc32)CS1 ZINC000397858979 488159333 /nfs/dbraw/zinc/15/93/33/488159333.db2.gz NOLNDNIYQPHYCF-RTXFEEFZSA-N 0 3 248.395 2.943 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1cc2cnccc2o1 ZINC000657878844 488159525 /nfs/dbraw/zinc/15/95/25/488159525.db2.gz ICOHBHJYVSVEAP-HZMBPMFUSA-N 0 3 248.326 2.639 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000667932408 488162434 /nfs/dbraw/zinc/16/24/34/488162434.db2.gz FODPVSNVIHCOQA-RDBSUJKOSA-N 0 3 247.386 2.817 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC3(COC3)C2)o1 ZINC000668720223 488162525 /nfs/dbraw/zinc/16/25/25/488162525.db2.gz OCJNTJJTPAXBIZ-DGCLKSJQSA-N 0 3 247.338 2.625 20 0 BFADHN Fc1ccc(/C=C/CN2CCC3(COC3)C2)cc1 ZINC000668720342 488163696 /nfs/dbraw/zinc/16/36/96/488163696.db2.gz RSCNIWZUJONQHZ-OWOJBTEDSA-N 0 3 247.313 2.561 20 0 BFADHN Cc1cc(COc2cc(C)nc(C)c2C)nn1C ZINC000655677566 488167457 /nfs/dbraw/zinc/16/74/57/488167457.db2.gz FQWXJBMNPJMNAQ-UHFFFAOYSA-N 0 3 245.326 2.628 20 0 BFADHN O[C@H]1CCC[C@@H]1CNCc1cscc1Cl ZINC000380020903 488167882 /nfs/dbraw/zinc/16/78/82/488167882.db2.gz WHNAYPYHHYHKNQ-KCJUWKMLSA-N 0 3 245.775 2.652 20 0 BFADHN Cc1ncc(CN2CC[C@]3(CC[C@H](C)C3)C2)n1C ZINC000667964704 488169645 /nfs/dbraw/zinc/16/96/45/488169645.db2.gz ODTVWIPHVXFEHA-WFASDCNBSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1ncc(CN2CC[C@]3(CC[C@@H](C)C3)C2)n1C ZINC000667964701 488170100 /nfs/dbraw/zinc/17/01/00/488170100.db2.gz ODTVWIPHVXFEHA-DOMZBBRYSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@H]1CN(Cc2cnc3n2CCC3)CC(C)(C)C1 ZINC000667969097 488171285 /nfs/dbraw/zinc/17/12/85/488171285.db2.gz DBDDSKSGFCUGKZ-GFCCVEGCSA-N 0 3 247.386 2.697 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cnc2n1CCC2 ZINC000667984565 488176126 /nfs/dbraw/zinc/17/61/26/488176126.db2.gz WYPNFGDCGLGMTP-ZDUSSCGKSA-N 0 3 247.386 2.984 20 0 BFADHN C[C@H](c1ccccc1)N1CCSCC1 ZINC000077666122 488182821 /nfs/dbraw/zinc/18/28/21/488182821.db2.gz OGMYJXKPUUAAEZ-LLVKDONJSA-N 0 3 207.342 2.796 20 0 BFADHN O[C@H](CN[C@@H]1CCc2ccc(F)cc21)C1CCC1 ZINC000453389550 488191052 /nfs/dbraw/zinc/19/10/52/488191052.db2.gz RRVAMYHKDNNXIA-HUUCEWRRSA-N 0 3 249.329 2.564 20 0 BFADHN Cc1ncc(CN(CC2CCC2)C(C)C)n1C ZINC000668069087 488198254 /nfs/dbraw/zinc/19/82/54/488198254.db2.gz FMMHWGWGICEFLQ-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@H]1CCCN(Cc2nn(C)c3ccccc23)C1 ZINC000678120260 488199882 /nfs/dbraw/zinc/19/98/82/488199882.db2.gz DJIYMENJEXVBGG-LBPRGKRZSA-N 0 3 243.354 2.805 20 0 BFADHN c1ccc2c(CN3C4CCC3CC4)n[nH]c2c1 ZINC000678131912 488201566 /nfs/dbraw/zinc/20/15/66/488201566.db2.gz RBKJEMNGSFAOPM-UHFFFAOYSA-N 0 3 227.311 2.690 20 0 BFADHN c1ccc2c(c1)n[nH]c2CN1C2CCC1CC2 ZINC000678131912 488201568 /nfs/dbraw/zinc/20/15/68/488201568.db2.gz RBKJEMNGSFAOPM-UHFFFAOYSA-N 0 3 227.311 2.690 20 0 BFADHN CCN(Cc1c(C2CC2)cnn1C)CC1CCC1 ZINC000668097036 488202141 /nfs/dbraw/zinc/20/21/41/488202141.db2.gz WTZZTDQHSOBZIN-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN CCOc1ccc(CN2CC(C)C2)c(C)c1 ZINC000668093159 488202219 /nfs/dbraw/zinc/20/22/19/488202219.db2.gz CQAVWEVGMNMBQW-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN c1nc2ccccn2c1CN1CCC[C@H]1C1CC1 ZINC000675248778 488202671 /nfs/dbraw/zinc/20/26/71/488202671.db2.gz YZDNDDFZIFONKH-AWEZNQCLSA-N 0 3 241.338 2.709 20 0 BFADHN CC(C)CCN(C)Cc1ccc(N(C)C)nc1 ZINC000678137045 488203819 /nfs/dbraw/zinc/20/38/19/488203819.db2.gz JFZVWOQFNNDLAO-UHFFFAOYSA-N 0 3 235.375 2.626 20 0 BFADHN CN(Cc1n[nH]c2ccccc21)[C@H]1CCSC1 ZINC000678137921 488204217 /nfs/dbraw/zinc/20/42/17/488204217.db2.gz YQDDBQRYIRVEFO-JTQLQIEISA-N 0 3 247.367 2.500 20 0 BFADHN CN(Cc1[nH]nc2ccccc21)[C@H]1CCSC1 ZINC000678137921 488204220 /nfs/dbraw/zinc/20/42/20/488204220.db2.gz YQDDBQRYIRVEFO-JTQLQIEISA-N 0 3 247.367 2.500 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)CCC(C)C ZINC000678136174 488204382 /nfs/dbraw/zinc/20/43/82/488204382.db2.gz CGSSJFBISLOMKH-UHFFFAOYSA-N 0 3 209.337 2.504 20 0 BFADHN CCCN(Cc1n[nH]c2ccccc21)C1CC1 ZINC000678138442 488205503 /nfs/dbraw/zinc/20/55/03/488205503.db2.gz UMRVKNPOKZEKDY-UHFFFAOYSA-N 0 3 229.327 2.937 20 0 BFADHN CCCN(Cc1[nH]nc2ccccc21)C1CC1 ZINC000678138442 488205506 /nfs/dbraw/zinc/20/55/06/488205506.db2.gz UMRVKNPOKZEKDY-UHFFFAOYSA-N 0 3 229.327 2.937 20 0 BFADHN CCCCCN(Cc1cn[nH]c1)C(C)C ZINC000678141360 488206009 /nfs/dbraw/zinc/20/60/09/488206009.db2.gz GTUJTEXDKUXHQA-UHFFFAOYSA-N 0 3 209.337 2.810 20 0 BFADHN c1n[nH]cc1CN(C1CC1)C1CCCCC1 ZINC000678141398 488206819 /nfs/dbraw/zinc/20/68/19/488206819.db2.gz YPBJOFWZCHTTGB-UHFFFAOYSA-N 0 3 219.332 2.707 20 0 BFADHN Cc1cc([C@@H](C)NCC2(CO)CCC2)c(C)o1 ZINC000683691239 488207106 /nfs/dbraw/zinc/20/71/06/488207106.db2.gz ACDVCULLDGFOEH-LLVKDONJSA-N 0 3 237.343 2.710 20 0 BFADHN COCCN(Cc1cccnc1C)C1CCCC1 ZINC000678156550 488209065 /nfs/dbraw/zinc/20/90/65/488209065.db2.gz XHULJGLGXUWSRM-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cn2cccc(F)c2n1 ZINC000678173489 488212214 /nfs/dbraw/zinc/21/22/14/488212214.db2.gz XBMLRBPPMDTOBK-LLVKDONJSA-N 0 3 249.333 2.951 20 0 BFADHN CC[C@@H](NCc1nc2ccccc2n1C)C1CC1 ZINC000323696826 488216965 /nfs/dbraw/zinc/21/69/65/488216965.db2.gz HXKHBFQBBOILOQ-GFCCVEGCSA-N 0 3 243.354 2.852 20 0 BFADHN CC[C@H]1CCCN1Cc1nn(C)c2ccccc12 ZINC000678210290 488217113 /nfs/dbraw/zinc/21/71/13/488217113.db2.gz IWHQYWFBNMXXQD-LBPRGKRZSA-N 0 3 243.354 2.948 20 0 BFADHN COc1ccc2c(c1)OCC[C@@H]2NCC1(C)CC1 ZINC000683770450 488218330 /nfs/dbraw/zinc/21/83/30/488218330.db2.gz BAZBAEXYUGQHEV-ZDUSSCGKSA-N 0 3 247.338 2.909 20 0 BFADHN CCOc1ccc(CN2CC[C@H]2COC)c(C)c1 ZINC000668192468 488218818 /nfs/dbraw/zinc/21/88/18/488218818.db2.gz CQDDBXMMUWXQFS-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN c1cc2cc(CN[C@@H]3C[C@H]4CC[C@@H]3O4)ccc2o1 ZINC000286740573 488219142 /nfs/dbraw/zinc/21/91/42/488219142.db2.gz YFENIKRREIYTRQ-NFAWXSAZSA-N 0 3 243.306 2.842 20 0 BFADHN CC[C@H](NCc1ccc(COC)o1)C1CC1 ZINC000323800667 488222654 /nfs/dbraw/zinc/22/26/54/488222654.db2.gz GKRXJHOVGQGLBR-ZDUSSCGKSA-N 0 3 223.316 2.704 20 0 BFADHN COc1ccc(CN(C)CC2(C)CC2)c(OC)c1 ZINC000683823522 488226058 /nfs/dbraw/zinc/22/60/58/488226058.db2.gz WOEJTCYRXSQTFC-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN CCN1CCN(Cc2ccc(C)c(C)c2)C[C@@H]1C ZINC000678261784 488228407 /nfs/dbraw/zinc/22/84/07/488228407.db2.gz SNOLTJFLSQAGHU-HNNXBMFYSA-N 0 3 246.398 2.829 20 0 BFADHN C[C@H]1CN(Cc2nn(C)c3ccccc23)C[C@H]1C ZINC000678270934 488232650 /nfs/dbraw/zinc/23/26/50/488232650.db2.gz MQNXMXLMFIAGGU-TXEJJXNPSA-N 0 3 243.354 2.661 20 0 BFADHN COc1cccc(CN(C)C(C)C)c1F ZINC000192934539 488232954 /nfs/dbraw/zinc/23/29/54/488232954.db2.gz LQPQQZLRXHLJMK-UHFFFAOYSA-N 0 3 211.280 2.675 20 0 BFADHN C[C@H]1COCCCN1C/C=C\c1ccc(F)cc1 ZINC000678273713 488233827 /nfs/dbraw/zinc/23/38/27/488233827.db2.gz LMFGAWQFUCRHOV-LSYFEZSPSA-N 0 3 249.329 2.950 20 0 BFADHN Cc1cc(CN2CCCOC[C@@H]2C)ccc1F ZINC000678273054 488233959 /nfs/dbraw/zinc/23/39/59/488233959.db2.gz IMHVHHKGEIMVGS-LBPRGKRZSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1nc(C)c(CN2C[C@H](C)C[C@H](C)C2)o1 ZINC000683897205 488238977 /nfs/dbraw/zinc/23/89/77/488238977.db2.gz RZFSLFRPFMVUJC-AOOOYVTPSA-N 0 3 222.332 2.769 20 0 BFADHN Cn1cnc(CN2CCC[C@H]2C2CCCC2)c1 ZINC000683899395 488240924 /nfs/dbraw/zinc/24/09/24/488240924.db2.gz VBBGGAPDBZNFQQ-AWEZNQCLSA-N 0 3 233.359 2.575 20 0 BFADHN C[C@H]1CCN(Cc2nn(C)c3ccccc23)[C@H]1C ZINC000678332972 488241187 /nfs/dbraw/zinc/24/11/87/488241187.db2.gz CBXAUPPZOGSGQN-RYUDHWBXSA-N 0 3 243.354 2.804 20 0 BFADHN CN(CC1=CCCOC1)[C@H]1C[C@@H]1c1ccccc1 ZINC000678339370 488242904 /nfs/dbraw/zinc/24/29/04/488242904.db2.gz MNAVRIMIXMXTAF-CVEARBPZSA-N 0 3 243.350 2.821 20 0 BFADHN c1c2ccccc2[nH]c1CNCCOCC1CC1 ZINC000683926587 488245749 /nfs/dbraw/zinc/24/57/49/488245749.db2.gz BTZVJAAHUBMBEG-UHFFFAOYSA-N 0 3 244.338 2.684 20 0 BFADHN OCC[C@H]1CCCN1Cc1cc2ccccc2[nH]1 ZINC000684025101 488256874 /nfs/dbraw/zinc/25/68/74/488256874.db2.gz LPRAXPRBINCGIC-CQSZACIVSA-N 0 3 244.338 2.515 20 0 BFADHN OCCN(Cc1cc2ccccc2[nH]1)C1CCC1 ZINC000684024141 488257139 /nfs/dbraw/zinc/25/71/39/488257139.db2.gz NHVQJOHXKVPWNN-UHFFFAOYSA-N 0 3 244.338 2.515 20 0 BFADHN CC(C)N(Cc1cc2ccccc2[nH]1)C[C@H](C)O ZINC000684022876 488257172 /nfs/dbraw/zinc/25/71/72/488257172.db2.gz OZBUPJGFEGHGLQ-LBPRGKRZSA-N 0 3 246.354 2.759 20 0 BFADHN C[C@@H]1CCC[C@H](CN(C)Cc2cn[nH]c2)C1 ZINC000678466502 488257750 /nfs/dbraw/zinc/25/77/50/488257750.db2.gz GXGKLRBAJYLXIG-NEPJUHHUSA-N 0 3 221.348 2.668 20 0 BFADHN Cc1ccc([C@H](C)NCC2=CCCOC2)c(C)n1 ZINC000672618087 488262368 /nfs/dbraw/zinc/26/23/68/488262368.db2.gz WMFZRSKNJUDOQW-LBPRGKRZSA-N 0 3 246.354 2.696 20 0 BFADHN CCC1(O)CCC(NCc2ccc(C)o2)CC1 ZINC000678497376 488262835 /nfs/dbraw/zinc/26/28/35/488262835.db2.gz BZUHTUJEWHKNRM-UHFFFAOYSA-N 0 3 237.343 2.761 20 0 BFADHN Cc1ccncc1[C@H](C)NCCOCC(C)C ZINC000678541588 488265393 /nfs/dbraw/zinc/26/53/93/488265393.db2.gz ICZDZTLLPFOMKY-ZDUSSCGKSA-N 0 3 236.359 2.713 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H](CO)CC1CC1 ZINC000678606848 488272056 /nfs/dbraw/zinc/27/20/56/488272056.db2.gz LPGJZONBZKGVKQ-AAEUAGOBSA-N 0 3 249.354 2.507 20 0 BFADHN Cc1cc(CN[C@@H](c2cccnc2)C(C)C)n[nH]1 ZINC000678689819 488276360 /nfs/dbraw/zinc/27/63/60/488276360.db2.gz MUOVQSVZIHMLCA-CQSZACIVSA-N 0 3 244.342 2.600 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc2c(c1)CCC(=O)N2 ZINC000678750838 488280233 /nfs/dbraw/zinc/28/02/33/488280233.db2.gz ZFSBSMBGNKTQKY-UFFNRZRYSA-N 0 3 244.338 2.798 20 0 BFADHN COCCN(C)CC(C)(C)c1ccccc1 ZINC000678812312 488285952 /nfs/dbraw/zinc/28/59/52/488285952.db2.gz PKOPEMUSHAXNOY-UHFFFAOYSA-N 0 3 221.344 2.542 20 0 BFADHN C(c1nc2c(s1)CCC2)N1C[C@H]2CCC[C@@H]2C1 ZINC000678829764 488288881 /nfs/dbraw/zinc/28/88/81/488288881.db2.gz BYFXFAAAOODKTJ-GHMZBOCLSA-N 0 3 248.395 2.864 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1n[nH]c2ccccc21 ZINC000678851591 488290740 /nfs/dbraw/zinc/29/07/40/488290740.db2.gz RKZCARYJDPQVSX-AWEZNQCLSA-N 0 3 229.327 2.793 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1[nH]nc2ccccc21 ZINC000678851591 488290743 /nfs/dbraw/zinc/29/07/43/488290743.db2.gz RKZCARYJDPQVSX-AWEZNQCLSA-N 0 3 229.327 2.793 20 0 BFADHN CC(C)C(NCc1nnc(C2CC2)o1)C(C)C ZINC000678900471 488295039 /nfs/dbraw/zinc/29/50/39/488295039.db2.gz OOZUXVNYTWBILS-UHFFFAOYSA-N 0 3 237.347 2.717 20 0 BFADHN CCC[C@@H]1CCCC[C@H]1NCc1cn(C)cn1 ZINC000673601332 488295888 /nfs/dbraw/zinc/29/58/88/488295888.db2.gz AFLDXYYPBUNFQP-TZMCWYRMSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1cccc([C@H](C)CNCc2cn(C)cn2)c1 ZINC000673602486 488297019 /nfs/dbraw/zinc/29/70/19/488297019.db2.gz ITFAVCCRJJHFLL-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN c1ccc2c(c1)ccnc2CN[C@H]1CCCOC1 ZINC000673679721 488303057 /nfs/dbraw/zinc/30/30/57/488303057.db2.gz MSVJNZXVEFFXLC-ZDUSSCGKSA-N 0 3 242.322 2.503 20 0 BFADHN CC(C)C1CN(Cc2ccc3c(c2)ncn3C)C1 ZINC000684407706 488307302 /nfs/dbraw/zinc/30/73/02/488307302.db2.gz CAIVFGNRWSVQFK-UHFFFAOYSA-N 0 3 243.354 2.661 20 0 BFADHN Cc1ncsc1CN1CC(C(C)C)C1 ZINC000684408865 488307761 /nfs/dbraw/zinc/30/77/61/488307761.db2.gz WQBZFAZANHWEOL-UHFFFAOYSA-N 0 3 210.346 2.539 20 0 BFADHN COCc1cccc(CN2CC(C(C)C)C2)c1 ZINC000684408105 488307892 /nfs/dbraw/zinc/30/78/92/488307892.db2.gz LRMLWRKUHCTETR-UHFFFAOYSA-N 0 3 233.355 2.921 20 0 BFADHN CC(=O)Nc1ccc(CN2CC(C(C)C)C2)cc1 ZINC000684408474 488307928 /nfs/dbraw/zinc/30/79/28/488307928.db2.gz QTYDHBFBHNRSEW-UHFFFAOYSA-N 0 3 246.354 2.733 20 0 BFADHN COc1ccc(CN2CC(C(C)C)C2)cc1 ZINC000684408224 488308002 /nfs/dbraw/zinc/30/80/02/488308002.db2.gz GTHAQZDDGLTQEJ-UHFFFAOYSA-N 0 3 219.328 2.783 20 0 BFADHN CCn1nc(C)c(CN2CC(C(C)C)C2)c1C ZINC000684408607 488308007 /nfs/dbraw/zinc/30/80/07/488308007.db2.gz KLLCMQIPHPPJCB-UHFFFAOYSA-N 0 3 235.375 2.608 20 0 BFADHN Cn1nc(CNCCC2(C)CC2)c2ccccc21 ZINC000684409902 488308535 /nfs/dbraw/zinc/30/85/35/488308535.db2.gz KOQYTBZZLXNPKK-UHFFFAOYSA-N 0 3 243.354 2.853 20 0 BFADHN C[C@H](CCc1ccccc1)N(C)Cc1c[nH]cn1 ZINC000684615737 488332089 /nfs/dbraw/zinc/33/20/89/488332089.db2.gz BBJCQNXSRGEPIU-CYBMUJFWSA-N 0 3 243.354 2.863 20 0 BFADHN C[C@H](CCc1ccccc1)N(C)Cc1cnc[nH]1 ZINC000684615737 488332092 /nfs/dbraw/zinc/33/20/92/488332092.db2.gz BBJCQNXSRGEPIU-CYBMUJFWSA-N 0 3 243.354 2.863 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2c[nH]nc2C)o1 ZINC000679332384 488340509 /nfs/dbraw/zinc/34/05/09/488340509.db2.gz HOPXMSWPKYJRSE-JTQLQIEISA-N 0 3 233.315 2.513 20 0 BFADHN c1cnn(-c2cccc(CN3CC=CCC3)c2)c1 ZINC000679360180 488345943 /nfs/dbraw/zinc/34/59/43/488345943.db2.gz RVYNWCPQRLQKKD-UHFFFAOYSA-N 0 3 239.322 2.634 20 0 BFADHN C[C@H](NC1CC=CC1)c1cn2ccccc2n1 ZINC000684836377 488347855 /nfs/dbraw/zinc/34/78/55/488347855.db2.gz WEDFUGMADOVGKY-NSHDSACASA-N 0 3 227.311 2.704 20 0 BFADHN COc1ccc([C@H](NC2CSC2)C2CC2)cc1 ZINC000679627862 488360192 /nfs/dbraw/zinc/36/01/92/488360192.db2.gz ZEBZJLFVXHEMGP-CQSZACIVSA-N 0 3 249.379 2.851 20 0 BFADHN CC[C@H]1CCCC[C@H]1N(C)Cc1nccn1C ZINC000120668548 490066717 /nfs/dbraw/zinc/06/67/17/490066717.db2.gz JNEAQVUOOSJZQW-QWHCGFSZSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@H](CO)CN(C)Cc1ccc(Cl)c(F)c1 ZINC000121619757 490103176 /nfs/dbraw/zinc/10/31/76/490103176.db2.gz CSFRVDJRHQZPPZ-VIFPVBQESA-N 0 3 245.725 2.539 20 0 BFADHN Cc1ccnc(CN[C@H](C)C(C)(C)C)c1 ZINC000380180656 490129188 /nfs/dbraw/zinc/12/91/88/490129188.db2.gz FZBLRYWKOYLXPH-LLVKDONJSA-N 0 3 206.333 2.914 20 0 BFADHN CCOC[C@H](C)NCc1cc2ccccc2[nH]1 ZINC000122242586 490130399 /nfs/dbraw/zinc/13/03/99/490130399.db2.gz ZMJYMMKONTYQCC-NSHDSACASA-N 0 3 232.327 2.683 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1csc(N(C)C)n1 ZINC000122328127 490133164 /nfs/dbraw/zinc/13/31/64/490133164.db2.gz XEEKTBGMPDDHRS-UWVGGRQHSA-N 0 3 241.404 2.733 20 0 BFADHN CC(C)N1C[C@H](C)OC2(CCCCC2)C1 ZINC000653908351 490157110 /nfs/dbraw/zinc/15/71/10/490157110.db2.gz KQZHGTBRMJDETN-LBPRGKRZSA-N 0 3 211.349 2.818 20 0 BFADHN COc1ccc(CN[C@@H]2COC(C)(C)C2)c(C)c1 ZINC000584400152 490165542 /nfs/dbraw/zinc/16/55/42/490165542.db2.gz DUEQCHNQTSEOHI-ZDUSSCGKSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)(C)c1ccc(NC(=O)CNC2CC2)cc1 ZINC000037386659 490167817 /nfs/dbraw/zinc/16/78/17/490167817.db2.gz ZNVSRONOONHCDT-UHFFFAOYSA-N 0 3 246.354 2.675 20 0 BFADHN C[C@H](N[C@H]1CCOC1)c1ccc(Cl)c(F)c1 ZINC000215033993 490181079 /nfs/dbraw/zinc/18/10/79/490181079.db2.gz CHDRYMCSFKMCQX-WPRPVWTQSA-N 0 3 243.709 2.919 20 0 BFADHN CCc1cccc(F)c1CN[C@H](C)C[C@H](C)O ZINC000354622827 490192751 /nfs/dbraw/zinc/19/27/51/490192751.db2.gz BPRMXLCHYCRJQK-MNOVXSKESA-N 0 3 239.334 2.637 20 0 BFADHN CO[C@H]1CCC[C@@H](NCc2ccccc2F)C1 ZINC000070325897 490207001 /nfs/dbraw/zinc/20/70/01/490207001.db2.gz CZHXRVDXZITWJT-OLZOCXBDSA-N 0 3 237.318 2.873 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NC1CCSCC1 ZINC000078679800 490217090 /nfs/dbraw/zinc/21/70/90/490217090.db2.gz WZHWVIALOBXFLZ-MRVPVSSYSA-N 0 3 240.372 2.838 20 0 BFADHN CC1CC(N[C@H]2CCCc3cccnc32)C1 ZINC000123357779 490224730 /nfs/dbraw/zinc/22/47/30/490224730.db2.gz XOBHWBLOYAMESK-GDKBPFBDSA-N 0 3 216.328 2.847 20 0 BFADHN COc1ccc(OC)c(CN2CC[C@@H]3C[C@@H]3C2)c1 ZINC000661972586 490243559 /nfs/dbraw/zinc/24/35/59/490243559.db2.gz LIXSVPGWBBUUCY-VXGBXAGGSA-N 0 3 247.338 2.546 20 0 BFADHN COc1cc(CN(C)C2CCCCCC2)on1 ZINC000659816410 490256002 /nfs/dbraw/zinc/25/60/02/490256002.db2.gz RPBFLDXUCBVUBS-UHFFFAOYSA-N 0 3 238.331 2.838 20 0 BFADHN COc1c(C)cccc1CN[C@@H]1C[C@H](C)O[C@H]1C ZINC000655812475 490259060 /nfs/dbraw/zinc/25/90/60/490259060.db2.gz PRUFNZZNZAETQS-SGMGOOAPSA-N 0 3 249.354 2.659 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CCC(F)(F)C2)o1 ZINC000311878383 490275834 /nfs/dbraw/zinc/27/58/34/490275834.db2.gz VXGBGBUETAHWMJ-SNVBAGLBSA-N 0 3 244.285 2.816 20 0 BFADHN CC[C@]1(CO)CCCN([C@@H](C)c2ccncc2)C1 ZINC000681710871 490299372 /nfs/dbraw/zinc/29/93/72/490299372.db2.gz XWSPEWZMJRJSOF-ZFWWWQNUSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H](C)[C@@H](CN(C)Cc1cccn1C)OC ZINC000638105451 490301153 /nfs/dbraw/zinc/30/11/53/490301153.db2.gz IMQVGYFMXYJWIZ-GXTWGEPZSA-N 0 3 238.375 2.518 20 0 BFADHN C[C@H]1c2ccsc2CCN1CCc1cn[nH]c1 ZINC000297564002 490317540 /nfs/dbraw/zinc/31/75/40/490317540.db2.gz CKUUIGJLODMLJL-JTQLQIEISA-N 0 3 247.367 2.633 20 0 BFADHN C[C@@H](NCC1(CO)CCCCC1)c1ccoc1 ZINC000124296970 490345885 /nfs/dbraw/zinc/34/58/85/490345885.db2.gz YMZOPLLAPWDTKH-GFCCVEGCSA-N 0 3 237.343 2.873 20 0 BFADHN C[C@H](NCC1CCCCC1)c1ncc[nH]1 ZINC000124675287 490393677 /nfs/dbraw/zinc/39/36/77/490393677.db2.gz LAQBDUUMDZFFQO-JTQLQIEISA-N 0 3 207.321 2.641 20 0 BFADHN C[C@H](NC1CCCCCC1)c1ncc[nH]1 ZINC000124812283 490404836 /nfs/dbraw/zinc/40/48/36/490404836.db2.gz NCLQVHYWGLOIBO-JTQLQIEISA-N 0 3 207.321 2.783 20 0 BFADHN COc1ccc(OC)c(CNC2CC=CC2)c1 ZINC000125246316 490421895 /nfs/dbraw/zinc/42/18/95/490421895.db2.gz BVFDDJKXSYVTAC-UHFFFAOYSA-N 0 3 233.311 2.512 20 0 BFADHN CCc1cc(CNC[C@H]2CC=CCC2)on1 ZINC000125707509 490440131 /nfs/dbraw/zinc/44/01/31/490440131.db2.gz CCOZYKSAMSOVKO-NSHDSACASA-N 0 3 220.316 2.683 20 0 BFADHN COC[C@@H]1CCCN1C/C=C/c1ccccc1 ZINC000222024459 490464568 /nfs/dbraw/zinc/46/45/68/490464568.db2.gz JJYVYTOAXNVRGE-BOSPYUDASA-N 0 3 231.339 2.811 20 0 BFADHN COC[C@@H]1CCCN1C/C=C\c1ccccc1 ZINC000222024397 490464875 /nfs/dbraw/zinc/46/48/75/490464875.db2.gz JJYVYTOAXNVRGE-ZHMISCPASA-N 0 3 231.339 2.811 20 0 BFADHN C[C@@H](NCC(C)(C)CO)c1ccc(F)c(F)c1 ZINC000126356494 490491491 /nfs/dbraw/zinc/49/14/91/490491491.db2.gz YNOSFEPQFKHSIY-SECBINFHSA-N 0 3 243.297 2.634 20 0 BFADHN C1=CC[C@]2(CC1)CCCN(Cc1cncnc1)C2 ZINC000126766954 490515850 /nfs/dbraw/zinc/51/58/50/490515850.db2.gz MSQJZPZPDZQMTC-HNNXBMFYSA-N 0 3 243.354 2.799 20 0 BFADHN OCCN[C@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000126893707 490524185 /nfs/dbraw/zinc/52/41/85/490524185.db2.gz VGVCGEFKULXJDE-JTQLQIEISA-N 0 3 246.137 2.563 20 0 BFADHN CCC[C@H](C)N[C@@H](C)c1nccnc1C ZINC000219072545 490551489 /nfs/dbraw/zinc/55/14/89/490551489.db2.gz IKYXNEJLDQWTIH-ONGXEEELSA-N 0 3 207.321 2.624 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1ccccc1F ZINC000220515349 490605151 /nfs/dbraw/zinc/60/51/51/490605151.db2.gz KRGJKOGHJALSBG-JOYOIKCWSA-N 0 3 225.332 2.809 20 0 BFADHN COc1ccccc1CNC1CC=CC1 ZINC000131178877 490622974 /nfs/dbraw/zinc/62/29/74/490622974.db2.gz ZRGVUSAACYUGSP-UHFFFAOYSA-N 0 3 203.285 2.503 20 0 BFADHN CCc1ccc(CNC[C@@H](C)C2CC2)o1 ZINC000131252209 490625468 /nfs/dbraw/zinc/62/54/68/490625468.db2.gz WHADONOZUUVKEU-SNVBAGLBSA-N 0 3 207.317 2.978 20 0 BFADHN C[C@H](N[C@H]1CCS[C@H]1C)c1ccoc1 ZINC000306596933 490642215 /nfs/dbraw/zinc/64/22/15/490642215.db2.gz NNMMTNPCHFFKIX-QXEWZRGKSA-N 0 3 211.330 2.824 20 0 BFADHN CC[C@H](F)CN1C[C@@H](C)S[C@@H](C)C1 ZINC000307144431 490656233 /nfs/dbraw/zinc/65/62/33/490656233.db2.gz ADZFYELURMBWRL-AEJSXWLSSA-N 0 3 205.342 2.560 20 0 BFADHN Clc1cscc1CN[C@H]1CCSC1 ZINC000307280909 490655948 /nfs/dbraw/zinc/65/59/48/490655948.db2.gz GQIMICYVTDBCIJ-QMMMGPOBSA-N 0 3 233.789 2.997 20 0 BFADHN Cc1occc1CN[C@H]1CCS[C@@H]1C ZINC000307297999 490658994 /nfs/dbraw/zinc/65/89/94/490658994.db2.gz QCNVPYWRMWKQAC-KOLCDFICSA-N 0 3 211.330 2.572 20 0 BFADHN CN(Cc1cn[nH]c1)[C@H]1CCCc2ccccc21 ZINC000085825480 491053593 /nfs/dbraw/zinc/05/35/93/491053593.db2.gz YBTWJQUJOXZSDK-HNNXBMFYSA-N 0 3 241.338 2.919 20 0 BFADHN CC[C@H](N[C@@H](C)c1nnc2ccccn21)C1CC1 ZINC000086117083 491057923 /nfs/dbraw/zinc/05/79/23/491057923.db2.gz FNYNWUAMVKUSCA-JQWIXIFHSA-N 0 3 244.342 2.569 20 0 BFADHN Clc1cscc1CNC[C@@H]1CCCOC1 ZINC000308877869 491049367 /nfs/dbraw/zinc/04/93/67/491049367.db2.gz OHYJSMXTVMUSEZ-VIFPVBQESA-N 0 3 245.775 2.918 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCc3cc(F)ccc32)CO1 ZINC000573322784 491092638 /nfs/dbraw/zinc/09/26/38/491092638.db2.gz BJGFJTKNLKPZFU-DGFSRKRXSA-N 0 3 249.329 2.970 20 0 BFADHN CCc1ccc(-c2noc([C@@H]3CCCN3)n2)cc1 ZINC000036825416 491097888 /nfs/dbraw/zinc/09/78/88/491097888.db2.gz YDDZMNUTRWMASR-LBPRGKRZSA-N 0 3 243.310 2.724 20 0 BFADHN CC1(C)C[C@]1(C)NCc1cnc(C2CC2)nc1 ZINC000657762137 491102946 /nfs/dbraw/zinc/10/29/46/491102946.db2.gz WIRYFRLLPRMECU-AWEZNQCLSA-N 0 3 231.343 2.632 20 0 BFADHN CC[C@@H](C)CNCc1nn(C)c2ccccc12 ZINC000089174367 491109666 /nfs/dbraw/zinc/10/96/66/491109666.db2.gz BAYITZFALOWEOQ-LLVKDONJSA-N 0 3 231.343 2.709 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCCC1CC1 ZINC000086110222 491115069 /nfs/dbraw/zinc/11/50/69/491115069.db2.gz DWRJEIFRNNEZFZ-MRVPVSSYSA-N 0 3 208.305 2.742 20 0 BFADHN CC[C@H](O)CCCN[C@@H](C)c1cnc(C)s1 ZINC000130214490 491122685 /nfs/dbraw/zinc/12/26/85/491122685.db2.gz CSQXRLHPLPQUPO-ONGXEEELSA-N 0 3 242.388 2.653 20 0 BFADHN Cn1cnc(CNCCC2=CCCCCC2)c1 ZINC000673606625 491123545 /nfs/dbraw/zinc/12/35/45/491123545.db2.gz ZPGYOAGCSUAJRW-UHFFFAOYSA-N 0 3 233.359 2.790 20 0 BFADHN COC(C)(C)CNCc1ccc(C)c(F)c1 ZINC000133020489 491127548 /nfs/dbraw/zinc/12/75/48/491127548.db2.gz RKRYMGCXSDSXJF-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN COC1CC(N[C@H](C)c2ccsc2)C1 ZINC000230674898 491130477 /nfs/dbraw/zinc/13/04/77/491130477.db2.gz RAXMPZATEIURNU-MFAVDMRSSA-N 0 3 211.330 2.576 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1nccs1 ZINC000230650406 491130495 /nfs/dbraw/zinc/13/04/95/491130495.db2.gz KYWKKULMSDJKGV-UWVGGRQHSA-N 0 3 210.346 2.669 20 0 BFADHN CCC[C@](C)(O)CN[C@@H]1CCCc2cccnc21 ZINC000230686030 491130683 /nfs/dbraw/zinc/13/06/83/491130683.db2.gz NVLHBSIVEQKFNW-HIFRSBDPSA-N 0 3 248.370 2.600 20 0 BFADHN CC(C)OCCCN[C@H]1CCCc2cccnc21 ZINC000091660442 491131225 /nfs/dbraw/zinc/13/12/25/491131225.db2.gz WVILJUGUJRJWNO-AWEZNQCLSA-N 0 3 248.370 2.864 20 0 BFADHN Cc1ncc(CN2CCC[C@H](C3CCC3)C2)n1C ZINC000668719602 491132400 /nfs/dbraw/zinc/13/24/00/491132400.db2.gz ZXJBMVKTJKBCQZ-AWEZNQCLSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@@H](NCCc1ccc(CO)cc1)c1ccco1 ZINC000305790192 491133370 /nfs/dbraw/zinc/13/33/70/491133370.db2.gz TUPLHNOOEPFVRS-GFCCVEGCSA-N 0 3 245.322 2.665 20 0 BFADHN C[C@H](NCC1(CO)CC1)c1ccccc1Cl ZINC000131827623 491133505 /nfs/dbraw/zinc/13/35/05/491133505.db2.gz NCKYNKZWFSKEGV-JTQLQIEISA-N 0 3 239.746 2.763 20 0 BFADHN CN(Cc1coc2ccccc12)[C@H]1CCOC1 ZINC000132056274 491134043 /nfs/dbraw/zinc/13/40/43/491134043.db2.gz MWLUOYUYOTUQSX-LBPRGKRZSA-N 0 3 231.295 2.654 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H]1NCc1cocn1 ZINC000308991599 491142031 /nfs/dbraw/zinc/14/20/31/491142031.db2.gz LHHZPFYTCKESIE-RYUDHWBXSA-N 0 3 208.305 2.589 20 0 BFADHN c1csc(CNC[C@@H]2Cc3ccccc32)n1 ZINC000082432816 491146381 /nfs/dbraw/zinc/14/63/81/491146381.db2.gz NMYMPOBPGIODJX-NSHDSACASA-N 0 3 230.336 2.573 20 0 BFADHN C[C@H](N[C@@H]1CC2CCC1CC2)c1ncc[nH]1 ZINC000309443536 491148335 /nfs/dbraw/zinc/14/83/35/491148335.db2.gz KRTDIQXZSGQAKO-WNYYMSAVSA-N 0 3 219.332 2.639 20 0 BFADHN OC[C@H](N[C@H]1CC[C@H]1C1CC1)c1ccsc1 ZINC000309516491 491149826 /nfs/dbraw/zinc/14/98/26/491149826.db2.gz RTXUMYOSGCXNCQ-AVGNSLFASA-N 0 3 237.368 2.560 20 0 BFADHN CC[C@@]1(NCc2ccc(Cl)cc2)CCOC1 ZINC000309699080 491154716 /nfs/dbraw/zinc/15/47/16/491154716.db2.gz LZRYDVFLMIPYPO-CYBMUJFWSA-N 0 3 239.746 2.999 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@H]1NCc1cocn1 ZINC000309716023 491154888 /nfs/dbraw/zinc/15/48/88/491154888.db2.gz SWKQAIAQQIHZEC-NQBHXWOUSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1cc(CN[C@H](C)c2sccc2C)[nH]n1 ZINC000309761918 491156042 /nfs/dbraw/zinc/15/60/42/491156042.db2.gz IVTSNQQJRNNUGD-SNVBAGLBSA-N 0 3 235.356 2.939 20 0 BFADHN Cc1cc(CN[C@H](C)c2sccc2C)n[nH]1 ZINC000309761918 491156043 /nfs/dbraw/zinc/15/60/43/491156043.db2.gz IVTSNQQJRNNUGD-SNVBAGLBSA-N 0 3 235.356 2.939 20 0 BFADHN CC[C@H](N[C@H](C)c1nccs1)C1CC1 ZINC000083056848 491159558 /nfs/dbraw/zinc/15/95/58/491159558.db2.gz KPBPJOODDRAIJO-SCZZXKLOSA-N 0 3 210.346 2.982 20 0 BFADHN CCCC[C@H](CC)NCc1cc(C)ncn1 ZINC000310022265 491160242 /nfs/dbraw/zinc/16/02/42/491160242.db2.gz NXXFZEFEKXZSQJ-LBPRGKRZSA-N 0 3 221.348 2.843 20 0 BFADHN COc1cccc(CN[C@H]2CCC(F)(F)C2)c1 ZINC000310565104 491162029 /nfs/dbraw/zinc/16/20/29/491162029.db2.gz LIVYROZOTAYXJC-NSHDSACASA-N 0 3 241.281 2.973 20 0 BFADHN CCOc1ccc(CN2C[C@H](C)C[C@H](C)C2)nc1 ZINC000083595664 491162284 /nfs/dbraw/zinc/16/22/84/491162284.db2.gz OVQKRNFENYOSHD-BETUJISGSA-N 0 3 248.370 2.958 20 0 BFADHN C/C=C\C[C@@H]1CCCN(CCOC(F)F)C1 ZINC000860185684 582513354 /nfs/dbraw/zinc/51/33/54/582513354.db2.gz RYJGVBLTRRHPGA-OTDNITJGSA-N 0 3 233.302 2.904 20 0 BFADHN CCCCCC(=O)OCCN1CCCCC1 ZINC000143284494 582565009 /nfs/dbraw/zinc/56/50/09/582565009.db2.gz ZRTKPZKISPGPLY-UHFFFAOYSA-N 0 3 227.348 2.596 20 0 BFADHN C[C@H](CC1CCCCC1)NCc1nccn1C ZINC000487158338 533783245 /nfs/dbraw/zinc/78/32/45/533783245.db2.gz MLMREBSOSLEAMV-GFCCVEGCSA-N 0 3 235.375 2.869 20 0 BFADHN CC[C@@H](C)CN(CC)[C@@H](C(N)=O)c1ccccc1 ZINC000252802105 535511550 /nfs/dbraw/zinc/51/15/50/535511550.db2.gz RGJCMXWTXNVGTP-TZMCWYRMSA-N 0 3 248.370 2.581 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CCO[C@H](C)C1 ZINC000219920873 535512152 /nfs/dbraw/zinc/51/21/52/535512152.db2.gz MLJCIAFDTBPKDB-TZMCWYRMSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1cc(F)ccc1CN(C)CCC[C@@H](C)O ZINC000488060606 533876851 /nfs/dbraw/zinc/87/68/51/533876851.db2.gz JBUVBSMZUGBKGK-GFCCVEGCSA-N 0 3 239.334 2.727 20 0 BFADHN CCC[C@H](C)CN([C@H](C)C(=O)OC)C1CCC1 ZINC000248912715 535607705 /nfs/dbraw/zinc/60/77/05/535607705.db2.gz YPQXBVQJEJFBQS-NWDGAFQWSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H]1C[C@H]1CN1CC=C(C(F)(F)F)CC1 ZINC000488315548 534018806 /nfs/dbraw/zinc/01/88/06/534018806.db2.gz FEHCOMPSJKSAAO-BDAKNGLRSA-N 0 3 219.250 2.837 20 0 BFADHN COc1ccc(CN2CCC[C@H](C)C2)o1 ZINC000891446693 582687401 /nfs/dbraw/zinc/68/74/01/582687401.db2.gz KKCZFRULJXRCRH-JTQLQIEISA-N 0 3 209.289 2.520 20 0 BFADHN CC[C@@H](N[C@@H](C)COC)c1ccccc1F ZINC000166290524 535808058 /nfs/dbraw/zinc/80/80/58/535808058.db2.gz MXLZDNFISKCMOG-GXFFZTMASA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](C)c1ccncc1OC ZINC000348318471 535823850 /nfs/dbraw/zinc/82/38/50/535823850.db2.gz YFERGEQPFALDRX-WZRBSPASSA-N 0 3 234.343 2.929 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@@H](C)c1cnc(C)s1 ZINC000090417028 582706126 /nfs/dbraw/zinc/70/61/26/582706126.db2.gz WRLZLAVNQJLTQF-ATZCPNFKSA-N 0 3 242.388 2.509 20 0 BFADHN CC[C@H](NCCC1(F)CCC1)c1nccn1C ZINC000348334178 535898502 /nfs/dbraw/zinc/89/85/02/535898502.db2.gz OFFKIKDTBUMPII-NSHDSACASA-N 0 3 239.338 2.743 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnc(F)cc1C ZINC000891780685 582720317 /nfs/dbraw/zinc/72/03/17/582720317.db2.gz ZHOBWEXOSKSKPT-GFCCVEGCSA-N 0 3 222.307 2.904 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccc(F)cn2)C1 ZINC000335678838 535910586 /nfs/dbraw/zinc/91/05/86/535910586.db2.gz HTCBGWBYOUYLAF-CYBMUJFWSA-N 0 3 222.307 2.843 20 0 BFADHN CC[C@H]1CC[C@@H](NCc2nccs2)C1 ZINC000070643594 536094354 /nfs/dbraw/zinc/09/43/54/536094354.db2.gz MTBPUCQHTOARRL-VHSXEESVSA-N 0 3 210.346 2.811 20 0 BFADHN CCn1cc(CN2C[C@@H](C)CC[C@@H]2C)c(C)n1 ZINC000892066173 582783307 /nfs/dbraw/zinc/78/33/07/582783307.db2.gz AZIDQGBEWDMGBL-RYUDHWBXSA-N 0 3 235.375 2.832 20 0 BFADHN Cc1cc(CN2[C@@H](C)CC[C@@H]2C)cnc1F ZINC000892224002 582809411 /nfs/dbraw/zinc/80/94/11/582809411.db2.gz OSUOTSPWSIHUHB-QWRGUYRKSA-N 0 3 222.307 2.902 20 0 BFADHN Cc1cc(F)ncc1CN(C)CCC1CC1 ZINC000892235921 582810816 /nfs/dbraw/zinc/81/08/16/582810816.db2.gz PMGHTODQOYKQNY-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN CC(C)(C)CN[C@@H]1COCc2ccccc21 ZINC000225994137 534612577 /nfs/dbraw/zinc/61/25/77/534612577.db2.gz JYDSDMCZABSVCV-CYBMUJFWSA-N 0 3 219.328 2.894 20 0 BFADHN COCc1cccc(CN[C@H]2C=CCC2)c1 ZINC000829347166 582856901 /nfs/dbraw/zinc/85/69/01/582856901.db2.gz GOAYKWILCOUQQJ-AWEZNQCLSA-N 0 3 217.312 2.641 20 0 BFADHN CC(=O)CN[C@@H](C)Cc1c(F)cccc1Cl ZINC001172846757 974957518 /nfs/dbraw/zinc/95/75/18/974957518.db2.gz XBXXJTRAGNLQBI-QMMMGPOBSA-N 0 3 243.709 2.589 20 0 BFADHN CC[C@@H](C)[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000892430060 582885009 /nfs/dbraw/zinc/88/50/09/582885009.db2.gz ZOXTWCQYKYZJHD-MRVPVSSYSA-N 0 3 213.708 2.934 20 0 BFADHN COC[C@H](C)NCc1ccc(C(F)F)c(F)c1 ZINC000892444377 582889110 /nfs/dbraw/zinc/88/91/10/582889110.db2.gz QRDJHVNBGNGANS-QMMMGPOBSA-N 0 3 247.260 2.888 20 0 BFADHN CN(Cc1cn(C)nc1-c1cccs1)C1CC1 ZINC000179691008 536484631 /nfs/dbraw/zinc/48/46/31/536484631.db2.gz MXHKFEBURMZBBG-UHFFFAOYSA-N 0 3 247.367 2.743 20 0 BFADHN CC(C)C1CC(NCc2cccc(N(C)C)n2)C1 ZINC000488433242 527627797 /nfs/dbraw/zinc/62/77/97/527627797.db2.gz JZRWEBFYPOJMOZ-UHFFFAOYSA-N 0 3 247.386 2.672 20 0 BFADHN CC(C)[C@H]1CN(CC2CCCCC2)CCO1 ZINC000481463747 527853890 /nfs/dbraw/zinc/85/38/90/527853890.db2.gz ZIRPKGHTOFPSQN-CQSZACIVSA-N 0 3 225.376 2.924 20 0 BFADHN CCC1CCN([C@H](CC(C)C)C(=O)OC)CC1 ZINC000509328154 527869167 /nfs/dbraw/zinc/86/91/67/527869167.db2.gz PLNAQYMQOFUEFY-CYBMUJFWSA-N 0 3 241.375 2.696 20 0 BFADHN CCC[C@H](C)CN(CC(=O)OC)[C@H](C)CC ZINC000467515873 528032049 /nfs/dbraw/zinc/03/20/49/528032049.db2.gz BNWARXAVJNUWEJ-NWDGAFQWSA-N 0 3 229.364 2.696 20 0 BFADHN CCC[C@H](N)C(=O)N1CCCC[C@H]1[C@H](C)CC ZINC000490820950 528162901 /nfs/dbraw/zinc/16/29/01/528162901.db2.gz CAJFQBGVXHLEMQ-AGIUHOORSA-N 0 3 240.391 2.541 20 0 BFADHN CCCCN(CCCC)Cc1ccn(C)n1 ZINC000505355022 528404936 /nfs/dbraw/zinc/40/49/36/528404936.db2.gz BZLPQKIJIVDZKP-UHFFFAOYSA-N 0 3 223.364 2.822 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cnn(CC(F)F)c1 ZINC000507192215 528407583 /nfs/dbraw/zinc/40/75/83/528407583.db2.gz RJDIOIGZUBSUJF-SNVBAGLBSA-N 0 3 245.317 2.625 20 0 BFADHN CC(C)Cc1cccc([C@H](C)NCCO)c1 ZINC000285468645 528660525 /nfs/dbraw/zinc/66/05/25/528660525.db2.gz AQFJACFCTNZJEB-LBPRGKRZSA-N 0 3 221.344 2.528 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CCCC[C@@H](C)C1 ZINC000481586226 528747632 /nfs/dbraw/zinc/74/76/32/528747632.db2.gz LBQFBHUZSHYBTF-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN CCOC(=O)CN(C[C@H](C)CC)C1CCCC1 ZINC000481051243 528801484 /nfs/dbraw/zinc/80/14/84/528801484.db2.gz GPEUHBQWYDBUET-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H]1C[C@@H]1C[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000892570826 582917430 /nfs/dbraw/zinc/91/74/30/582917430.db2.gz PGHPOTPLYPBPFK-PSASIEDQSA-N 0 3 225.719 2.791 20 0 BFADHN CCCC[C@H](CC)CN1CCO[C@@H](C)C1 ZINC000510611972 528907074 /nfs/dbraw/zinc/90/70/74/528907074.db2.gz CTACHUHYKCNTGT-STQMWFEESA-N 0 3 213.365 2.924 20 0 BFADHN CC[C@@H](N[C@@H]1CCOC1)c1ccccc1F ZINC000166508284 529203166 /nfs/dbraw/zinc/20/31/66/529203166.db2.gz JWHQWBHBFNADGQ-ZWNOBZJWSA-N 0 3 223.291 2.655 20 0 BFADHN CC[C@H]1CCCC[C@@H]1CNCc1cc[nH]n1 ZINC000401775956 529204479 /nfs/dbraw/zinc/20/44/79/529204479.db2.gz YZIJNDWEUNJXBU-NWDGAFQWSA-N 0 3 221.348 2.716 20 0 BFADHN CC[C@H]1CCCC[C@@H]1CNCc1nccn1C ZINC000401446086 529204670 /nfs/dbraw/zinc/20/46/70/529204670.db2.gz NGHWCYOSBKBNCL-QWHCGFSZSA-N 0 3 235.375 2.726 20 0 BFADHN CC[C@H]1CCCC[C@H]1CNCc1nccn1C ZINC000401446087 529209162 /nfs/dbraw/zinc/20/91/62/529209162.db2.gz NGHWCYOSBKBNCL-STQMWFEESA-N 0 3 235.375 2.726 20 0 BFADHN CC[C@H]1CCCC[C@H]1CNCc1ncc[nH]1 ZINC000401737385 529209346 /nfs/dbraw/zinc/20/93/46/529209346.db2.gz HIOCAAWQYMFRME-RYUDHWBXSA-N 0 3 221.348 2.716 20 0 BFADHN CC[C@@H](C(=O)OC(C)(C)C)N1CCCCCC1 ZINC000483530014 529294834 /nfs/dbraw/zinc/29/48/34/529294834.db2.gz JCIGTKABVRYIGK-LBPRGKRZSA-N 0 3 241.375 2.983 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)Nc1cc(C)ccc1C ZINC000501101632 529341092 /nfs/dbraw/zinc/34/10/92/529341092.db2.gz OZXDTQYJAISFBP-CYBMUJFWSA-N 0 3 248.370 2.972 20 0 BFADHN CC(C)CC[C@H](C)N(C)[C@H](C)C[S@](C)=O ZINC000251885805 534939135 /nfs/dbraw/zinc/93/91/35/534939135.db2.gz WDALUAFVJBWAFK-ZOWXZIJZSA-N 0 3 233.421 2.510 20 0 BFADHN CC(C)(C)OC(=O)[C@H]1CCCN1CCC1CC1 ZINC000167643267 534944405 /nfs/dbraw/zinc/94/44/05/534944405.db2.gz YDOXBLNAAMEMOJ-GFCCVEGCSA-N 0 3 239.359 2.593 20 0 BFADHN CC(C)CN(Cc1cnc2ccccn12)C1CC1 ZINC000177263077 535060342 /nfs/dbraw/zinc/06/03/42/535060342.db2.gz UHWAIVZXCIIZGA-UHFFFAOYSA-N 0 3 243.354 2.955 20 0 BFADHN CC1(CNCc2cccc3c2OCCCO3)CC1 ZINC000224169119 535153516 /nfs/dbraw/zinc/15/35/16/535153516.db2.gz KTBUUHGLCTYKPL-UHFFFAOYSA-N 0 3 247.338 2.738 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1ccncc1F ZINC000336208121 535183637 /nfs/dbraw/zinc/18/36/37/535183637.db2.gz ZCFBJSVRQXXMJC-CYBMUJFWSA-N 0 3 222.307 2.841 20 0 BFADHN CC(C)[C@H]1CN(C[C@H]2CC[C@@H](C)O2)CCS1 ZINC000248907850 535238252 /nfs/dbraw/zinc/23/82/52/535238252.db2.gz MHCFOTLNDZZMAV-JHJVBQTASA-N 0 3 243.416 2.627 20 0 BFADHN CC1(C)CC[C@@H](CN2CCSC(C)(C)C2)O1 ZINC000184661873 535319760 /nfs/dbraw/zinc/31/97/60/535319760.db2.gz YLBKHUGCRATGEP-NSHDSACASA-N 0 3 243.416 2.771 20 0 BFADHN CC1(C)CC[C@H](CN2CCSC(C)(C)C2)O1 ZINC000184661857 535325330 /nfs/dbraw/zinc/32/53/30/535325330.db2.gz YLBKHUGCRATGEP-LLVKDONJSA-N 0 3 243.416 2.771 20 0 BFADHN CC1(C)C[C@H](NCc2ccc(Cl)o2)CCO1 ZINC000169015917 535368082 /nfs/dbraw/zinc/36/80/82/535368082.db2.gz QBHONYBNOSDADS-SECBINFHSA-N 0 3 243.734 2.980 20 0 BFADHN CCN(Cc1cnn(C)c1)CC12CCC(CC1)C2 ZINC000347786316 535387189 /nfs/dbraw/zinc/38/71/89/535387189.db2.gz NRFHVVHABIQSHK-UHFFFAOYSA-N 0 3 247.386 2.822 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N(CC)CC1CC1 ZINC000182166603 535637698 /nfs/dbraw/zinc/63/76/98/535637698.db2.gz WFLLMVRDXXOMCW-ZDUSSCGKSA-N 0 3 241.375 2.696 20 0 BFADHN CCN(CCOC)Cc1c(C)cccc1C ZINC000342680382 535747788 /nfs/dbraw/zinc/74/77/88/535747788.db2.gz JCDBVUHBOGBSMT-UHFFFAOYSA-N 0 3 221.344 2.772 20 0 BFADHN CC[C@@H](NCCC1(F)CCC1)c1ccn(C)n1 ZINC000348440065 535803380 /nfs/dbraw/zinc/80/33/80/535803380.db2.gz ZEXFNQPRGBAWCR-LLVKDONJSA-N 0 3 239.338 2.743 20 0 BFADHN CC[C@@H](N[C@@H]1CCOC1)c1cccc(F)c1 ZINC000164084943 535808420 /nfs/dbraw/zinc/80/84/20/535808420.db2.gz SCQOYUMFLSKVMJ-CHWSQXEVSA-N 0 3 223.291 2.655 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1cn2c(cccc2C)n1 ZINC000348111294 535823647 /nfs/dbraw/zinc/82/36/47/535823647.db2.gz AJMFXTOWECLHDK-OCCSQVGLSA-N 0 3 243.354 2.921 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](C)C(=O)Nc1ccccc1 ZINC000348395879 535823680 /nfs/dbraw/zinc/82/36/80/535823680.db2.gz LKJFDPVVXAMRET-SCRDCRAPSA-N 0 3 246.354 2.792 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](CO)c1ccc(F)cc1 ZINC000348370304 535823746 /nfs/dbraw/zinc/82/37/46/535823746.db2.gz FSTRRDDIYHCOCJ-SWHYSGLUSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1[C@@H]1C=CCCC1 ZINC000343037527 535843371 /nfs/dbraw/zinc/84/33/71/535843371.db2.gz KAWSULNDWLPOIH-YNEHKIRRSA-N 0 3 209.333 2.594 20 0 BFADHN CC[C@@H]1C[C@H](CN[C@@H](C)c2ccon2)CCO1 ZINC000351582796 535900813 /nfs/dbraw/zinc/90/08/13/535900813.db2.gz RCEUCAHXAGYEBO-QJPTWQEYSA-N 0 3 238.331 2.530 20 0 BFADHN CCn1cc(CN2CCCC(C)(C)CC2)cn1 ZINC000093660275 536051656 /nfs/dbraw/zinc/05/16/56/536051656.db2.gz KMSTUWPBJSHWOX-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1ccc(CNC2CC(OC(C)C)C2)o1 ZINC000393808477 582925548 /nfs/dbraw/zinc/92/55/48/582925548.db2.gz QRGSFGQBDWOINV-UHFFFAOYSA-N 0 3 223.316 2.634 20 0 BFADHN CC[C@H]1CCCN1Cc1ccncc1F ZINC000336219957 536071496 /nfs/dbraw/zinc/07/14/96/536071496.db2.gz TUNPGTOOKGZTLU-NSHDSACASA-N 0 3 208.280 2.595 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1nccn1CC(C)C ZINC000348105559 536100706 /nfs/dbraw/zinc/10/07/06/536100706.db2.gz GIRPZHLHGXIFLH-QWHCGFSZSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@H](C)N[C@@H]1COCc2ccccc21 ZINC000225974035 536175139 /nfs/dbraw/zinc/17/51/39/536175139.db2.gz CTEBTIADAICJBA-GXFFZTMASA-N 0 3 205.301 2.646 20 0 BFADHN COCCCN(C)Cc1ccccc1OC1CC1 ZINC000347750754 536512920 /nfs/dbraw/zinc/51/29/20/536512920.db2.gz OSJCKQJZPBNFPW-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN CO[C@@H]1CCN(Cc2ccccc2F)C[C@H]1C ZINC000334738876 536686946 /nfs/dbraw/zinc/68/69/46/536686946.db2.gz RAEZANCSWXWKKL-BXUZGUMPSA-N 0 3 237.318 2.683 20 0 BFADHN CO[C@@H]1CCN(Cc2cccc(F)c2)[C@@H](C)C1 ZINC000334381084 536687179 /nfs/dbraw/zinc/68/71/79/536687179.db2.gz JBBRJNFSHCGVOA-SMDDNHRTSA-N 0 3 237.318 2.825 20 0 BFADHN CO[C@@H]1CN(Cc2cccs2)CC[C@H]1C ZINC000249108162 536731751 /nfs/dbraw/zinc/73/17/51/536731751.db2.gz AGEYKKCDBAEBCG-ZYHUDNBSSA-N 0 3 225.357 2.605 20 0 BFADHN COCc1ccc(CN[C@H](C)CC2CC2)o1 ZINC000166695251 536782766 /nfs/dbraw/zinc/78/27/66/536782766.db2.gz SNLUMUDLGKWALP-SNVBAGLBSA-N 0 3 223.316 2.704 20 0 BFADHN COCC(C)(C)NCc1cc(C)ccc1OC ZINC000066996155 536795663 /nfs/dbraw/zinc/79/56/63/536795663.db2.gz TVWXLLPSLGZWTC-UHFFFAOYSA-N 0 3 237.343 2.518 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2ccc(Cl)o2)C1(C)C ZINC000227828473 536797065 /nfs/dbraw/zinc/79/70/65/536797065.db2.gz NJKGUEWZBHTTIC-NXEZZACHSA-N 0 3 243.734 2.836 20 0 BFADHN COc1ccccc1CN[C@@H]1C[C@H](OC)C1(C)C ZINC000227831032 537048652 /nfs/dbraw/zinc/04/86/52/537048652.db2.gz XWUFFURSAFMXDT-KGLIPLIRSA-N 0 3 249.354 2.598 20 0 BFADHN CO[C@@H]1CCC[C@H](N2CC[C@](C)(F)[C@H](F)C2)C1 ZINC001172931181 974982015 /nfs/dbraw/zinc/98/20/15/974982015.db2.gz MVUYMIJTWKRKNO-LOWDOPEQSA-N 0 3 247.329 2.716 20 0 BFADHN CCc1nn(C)cc1CN(C1CC1)C1CCCC1 ZINC000179679588 566339394 /nfs/dbraw/zinc/33/93/94/566339394.db2.gz XMAZXPOLFNSPCU-UHFFFAOYSA-N 0 3 247.386 2.890 20 0 BFADHN Cc1oc2ccccc2c1CN[C@@H]1CCOC1 ZINC000123355816 566429516 /nfs/dbraw/zinc/42/95/16/566429516.db2.gz QEYHFQNYZPXEGW-LLVKDONJSA-N 0 3 231.295 2.620 20 0 BFADHN COCC[C@@H](C)N1Cc2ccc(C)cc2C1 ZINC000361594551 566481742 /nfs/dbraw/zinc/48/17/42/566481742.db2.gz ORJSCVNXIBBQTA-GFCCVEGCSA-N 0 3 219.328 2.736 20 0 BFADHN CCOc1ccc(CNC(C)(C)CC)o1 ZINC000892778353 582981399 /nfs/dbraw/zinc/98/13/99/582981399.db2.gz KPUKZUOUMCAMKI-UHFFFAOYSA-N 0 3 211.305 2.957 20 0 BFADHN COc1ccc(CN[C@@H]2CCC[C@H]2C)o1 ZINC000892945541 583014567 /nfs/dbraw/zinc/01/45/67/583014567.db2.gz BBEIIIOSGLKTED-MWLCHTKSSA-N 0 3 209.289 2.566 20 0 BFADHN COc1nccc(CN[C@H]2CCC[C@@H]2C)c1F ZINC000892946358 583014913 /nfs/dbraw/zinc/01/49/13/583014913.db2.gz YYBPSVQXQVFJMW-ONGXEEELSA-N 0 3 238.306 2.508 20 0 BFADHN CCOc1ccc(CNC(C)(C)C2CC2)o1 ZINC000892957356 583020752 /nfs/dbraw/zinc/02/07/52/583020752.db2.gz RHACULIPYBLYIX-UHFFFAOYSA-N 0 3 223.316 2.957 20 0 BFADHN Cc1cc(F)ncc1CNC[C@@H]1CCCS1 ZINC000893112045 583050922 /nfs/dbraw/zinc/05/09/22/583050922.db2.gz WEUVZVRNBDFPNG-NSHDSACASA-N 0 3 240.347 2.514 20 0 BFADHN C[C@@H]1CCC[C@H](Oc2ccnc(N)c2)[C@@H]1C ZINC001226652239 974998459 /nfs/dbraw/zinc/99/84/59/974998459.db2.gz DUCXZCSTVBOALT-FOGDFJRCSA-N 0 3 220.316 2.867 20 0 BFADHN Cc1c(Cl)cccc1CNC[C@@H]1CCCO1 ZINC000219667983 583175519 /nfs/dbraw/zinc/17/55/19/583175519.db2.gz RIXCCCSUQRGKQH-LBPRGKRZSA-N 0 3 239.746 2.917 20 0 BFADHN CCCN(CCC)Cc1[nH]nc(C)c1C ZINC000893870450 583236407 /nfs/dbraw/zinc/23/64/07/583236407.db2.gz DCLTVSHZGCMDOI-UHFFFAOYSA-N 0 3 209.337 2.649 20 0 BFADHN Cc1n[nH]c(CN2C[C@H](C)C[C@H](C)C2)c1C ZINC000893872677 583237548 /nfs/dbraw/zinc/23/75/48/583237548.db2.gz XWHFJDINWOAABK-AOOOYVTPSA-N 0 3 221.348 2.504 20 0 BFADHN Cc1coc(CN2CCC(OC(C)C)CC2)c1 ZINC000893969869 583263998 /nfs/dbraw/zinc/26/39/98/583263998.db2.gz SPSLSIIKCOOTSJ-UHFFFAOYSA-N 0 3 237.343 2.977 20 0 BFADHN CC[C@H]1CCC[C@H]1Nc1ccnc(CO)c1 ZINC000858443153 582461219 /nfs/dbraw/zinc/46/12/19/582461219.db2.gz PWMXGIFOBXXXQG-GXFFZTMASA-N 0 3 220.316 2.565 20 0 BFADHN CCCN(Cc1c2c(nn1C)CCC2)CC1CC1 ZINC000891393519 582676599 /nfs/dbraw/zinc/67/65/99/582676599.db2.gz HFEAVAJWBDAMQE-UHFFFAOYSA-N 0 3 247.386 2.531 20 0 BFADHN COc1ccc2nccc(N3CCCC3)c2c1 ZINC000891394960 582677271 /nfs/dbraw/zinc/67/72/71/582677271.db2.gz KUKJZTCJDAIRJG-UHFFFAOYSA-N 0 3 228.295 2.844 20 0 BFADHN COC(=O)C1CCN(CCCCC(C)C)CC1 ZINC000891460310 582690982 /nfs/dbraw/zinc/69/09/82/582690982.db2.gz IJHOAAALJZRYNK-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1ccnn1C1CCC1 ZINC000891643673 582705484 /nfs/dbraw/zinc/70/54/84/582705484.db2.gz NHWJIPSLVXDXFK-LLVKDONJSA-N 0 3 233.359 2.838 20 0 BFADHN CC(C)CN(Cc1c2c(nn1C)CCC2)C1CC1 ZINC000891668621 582707424 /nfs/dbraw/zinc/70/74/24/582707424.db2.gz QRMNBRHRGHSCFF-UHFFFAOYSA-N 0 3 247.386 2.529 20 0 BFADHN Cn1cc(CN2CCCC(C)(C)C2)c(C2CC2)n1 ZINC000891721766 582714363 /nfs/dbraw/zinc/71/43/63/582714363.db2.gz FBYDVOACOLTQLD-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN CCC1CCN(Cc2ccc(OC)o2)CC1 ZINC000891747458 582716045 /nfs/dbraw/zinc/71/60/45/582716045.db2.gz JKPBVORVMPOYLL-UHFFFAOYSA-N 0 3 223.316 2.910 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnn(C2CCC2)c1 ZINC000891779434 582719332 /nfs/dbraw/zinc/71/93/32/582719332.db2.gz KSYMAAGTZMVKGH-CYBMUJFWSA-N 0 3 233.359 2.983 20 0 BFADHN COc1ccc(CN2CCC[C@@H]2C2CC2)o1 ZINC000891932316 582739716 /nfs/dbraw/zinc/73/97/16/582739716.db2.gz AJDTZSBHBQRHFQ-GFCCVEGCSA-N 0 3 221.300 2.663 20 0 BFADHN CCC(C)(C)N(C)Cc1cnn(C)c1C1CC1 ZINC000892005800 582759477 /nfs/dbraw/zinc/75/94/77/582759477.db2.gz YYCPOARKOVCDLF-UHFFFAOYSA-N 0 3 235.375 2.918 20 0 BFADHN C[C@H]1CCCN1Cc1nccc2c1CCCC2 ZINC000892015272 582761495 /nfs/dbraw/zinc/76/14/95/582761495.db2.gz WXRZIVQRTZCSEW-LBPRGKRZSA-N 0 3 230.355 2.945 20 0 BFADHN C[C@@H]1CCCN1Cc1nccc2c1CCCC2 ZINC000892015271 582761575 /nfs/dbraw/zinc/76/15/75/582761575.db2.gz WXRZIVQRTZCSEW-GFCCVEGCSA-N 0 3 230.355 2.945 20 0 BFADHN COc1ccc(CN2CC[C@H](c3ccco3)C2)o1 ZINC000892018494 582762653 /nfs/dbraw/zinc/76/26/53/582762653.db2.gz GSQRJAIIGUZMGR-NSHDSACASA-N 0 3 247.294 2.871 20 0 BFADHN CCN(Cc1cnn(CC2CCC2)c1)CC1CC1 ZINC000892035199 582768305 /nfs/dbraw/zinc/76/83/05/582768305.db2.gz AKPHLCUUKJNBRE-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CCN(Cc1cn2ccnc2s1)CC1CC1 ZINC000892036643 582769143 /nfs/dbraw/zinc/76/91/43/582769143.db2.gz XYXVNKCFDXTPEG-UHFFFAOYSA-N 0 3 235.356 2.628 20 0 BFADHN CCN(Cc1cn(C)nc1C1CC1)CC1CC1 ZINC000892036077 582769595 /nfs/dbraw/zinc/76/95/95/582769595.db2.gz RPWDSXCOVIWFFV-UHFFFAOYSA-N 0 3 233.359 2.529 20 0 BFADHN COc1ccc(CN2CCC3(CCC3)C2)o1 ZINC000892056407 582777635 /nfs/dbraw/zinc/77/76/35/582777635.db2.gz SGZMQAZOKYQYSU-UHFFFAOYSA-N 0 3 221.300 2.664 20 0 BFADHN Cc1cc(F)ncc1CN1CCC2(CCC2)C1 ZINC000892055735 582778098 /nfs/dbraw/zinc/77/80/98/582778098.db2.gz KVVMHQQBEBWTLJ-UHFFFAOYSA-N 0 3 234.318 2.905 20 0 BFADHN COC(=O)c1ccc(CN(C)C2CCC2)cc1C ZINC000892058826 582779034 /nfs/dbraw/zinc/77/90/34/582779034.db2.gz JHECGCRVBYHUAG-UHFFFAOYSA-N 0 3 247.338 2.766 20 0 BFADHN CCn1cc(CN2CC[C@@H](C)[C@@H](C)C2)c(C)n1 ZINC000892066940 582783172 /nfs/dbraw/zinc/78/31/72/582783172.db2.gz ZZVSHZIJMTYKPU-NEPJUHHUSA-N 0 3 235.375 2.689 20 0 BFADHN C[C@@H]1CN(Cc2cnn(C3CCC3)c2)C[C@H]1C ZINC000892068893 582784424 /nfs/dbraw/zinc/78/44/24/582784424.db2.gz VVRCXFSVRTXTIS-VXGBXAGGSA-N 0 3 233.359 2.696 20 0 BFADHN CC1=CCN(C[C@H]2C[C@]2(C)Br)CC1 ZINC000892069934 582785545 /nfs/dbraw/zinc/78/55/45/582785545.db2.gz QPNXWYVANIYCOR-MNOVXSKESA-N 0 3 244.176 2.812 20 0 BFADHN CCN(Cc1cnn(C2CCC2)c1)C1CCC1 ZINC000892086551 582787806 /nfs/dbraw/zinc/78/78/06/582787806.db2.gz RVHWSOZFQNZSIV-UHFFFAOYSA-N 0 3 233.359 2.983 20 0 BFADHN CC(=O)[C@H]1CCCN(Cc2ccccc2C)C1 ZINC000104429383 582793783 /nfs/dbraw/zinc/79/37/83/582793783.db2.gz YTIDERBWGSQEFX-HNNXBMFYSA-N 0 3 231.339 2.796 20 0 BFADHN CCn1cc(CN2CC[C@@](C)(CC)C2)c(C)n1 ZINC000892146499 582801269 /nfs/dbraw/zinc/80/12/69/582801269.db2.gz OEOAAGALKFGDBI-CQSZACIVSA-N 0 3 235.375 2.833 20 0 BFADHN c1cc(CN[C@@H](C2CC2)[C@@H]2CCCCO2)co1 ZINC000848559573 582803019 /nfs/dbraw/zinc/80/30/19/582803019.db2.gz FUCCHXGJSRCVAT-KBPBESRZSA-N 0 3 235.327 2.717 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](C)[C@@H]2C)o1 ZINC000892215172 582808248 /nfs/dbraw/zinc/80/82/48/582808248.db2.gz SNDPRLPEKNWIDR-MNOVXSKESA-N 0 3 223.316 2.909 20 0 BFADHN COc1ccc(CN2CC[C@H](C(C)C)C2)o1 ZINC000892221983 582808696 /nfs/dbraw/zinc/80/86/96/582808696.db2.gz LCPBTJUHNNPSIH-NSHDSACASA-N 0 3 223.316 2.766 20 0 BFADHN CC[C@@H]1CCN(Cc2cnn(C)c2C2CC2)C1 ZINC000892224333 582808712 /nfs/dbraw/zinc/80/87/12/582808712.db2.gz UCINNHPWBMPXJH-LLVKDONJSA-N 0 3 233.359 2.529 20 0 BFADHN CC[C@H]1CCN(Cc2cn(C)nc2C2CC2)C1 ZINC000892223907 582809342 /nfs/dbraw/zinc/80/93/42/582809342.db2.gz NIIOWSOCDPFHAK-NSHDSACASA-N 0 3 233.359 2.529 20 0 BFADHN Cc1cc(CN2[C@H](C)CC[C@@H]2C)cnc1F ZINC000892224000 582809921 /nfs/dbraw/zinc/80/99/21/582809921.db2.gz OSUOTSPWSIHUHB-PHIMTYICSA-N 0 3 222.307 2.902 20 0 BFADHN CC[C@H]1CCN(Cc2cnc(F)c(C)c2)C1 ZINC000892224431 582810291 /nfs/dbraw/zinc/81/02/91/582810291.db2.gz VHXCUQSAWKYSGG-NSHDSACASA-N 0 3 222.307 2.761 20 0 BFADHN COc1ccccc1CN[C@@H](C)[C@H]1CCCCO1 ZINC000393593759 582812294 /nfs/dbraw/zinc/81/22/94/582812294.db2.gz AOICRYXHYOZQKC-GXTWGEPZSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCc3ccccc32)C[C@H](C)O1 ZINC000780288591 582827109 /nfs/dbraw/zinc/82/71/09/582827109.db2.gz PBSCPPNYFGBHAO-VNHYZAJKSA-N 0 3 245.366 2.826 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCCc1ccncc1 ZINC000092332553 582855057 /nfs/dbraw/zinc/85/50/57/582855057.db2.gz IWNKIPAHBXFAPP-SNVBAGLBSA-N 0 3 245.326 2.580 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCC[C@H]1CCCO1 ZINC000092331518 582855073 /nfs/dbraw/zinc/85/50/73/582855073.db2.gz ZJFLRPKROSLICZ-JOYOIKCWSA-N 0 3 238.331 2.511 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@H]1CCCCO1 ZINC000092332022 582855222 /nfs/dbraw/zinc/85/52/22/582855222.db2.gz PHWZBBCWQPESGE-JOYOIKCWSA-N 0 3 238.331 2.511 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@@H]1CCCCO1 ZINC000092332017 582855379 /nfs/dbraw/zinc/85/53/79/582855379.db2.gz PHWZBBCWQPESGE-CABZTGNLSA-N 0 3 238.331 2.511 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCCc1cccnc1 ZINC000092331981 582855412 /nfs/dbraw/zinc/85/54/12/582855412.db2.gz CSUZPIWGTMYUBT-JTQLQIEISA-N 0 3 245.326 2.580 20 0 BFADHN CCOc1ccccc1CN[C@H]1C=CCC1 ZINC000829347029 582856786 /nfs/dbraw/zinc/85/67/86/582856786.db2.gz FNPDNUKQAGNKOE-ZDUSSCGKSA-N 0 3 217.312 2.894 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cccc2[nH]c(=O)oc21 ZINC000892403948 582877584 /nfs/dbraw/zinc/87/75/84/582877584.db2.gz UUVHKDWWGLLBPJ-LBPRGKRZSA-N 0 3 246.310 2.764 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cn(C)nc1C1CC1 ZINC000892403898 582877799 /nfs/dbraw/zinc/87/77/99/582877799.db2.gz UCDXXSDRLRWFEQ-CYBMUJFWSA-N 0 3 233.359 2.528 20 0 BFADHN CC(C)Cc1ccc(CNC[C@H]2CCCO2)cn1 ZINC000892432203 582886546 /nfs/dbraw/zinc/88/65/46/582886546.db2.gz LDUVIBGNPJQMRN-OAHLLOKOSA-N 0 3 248.370 2.549 20 0 BFADHN COc1ccc(CN[C@@H]2CCC[C@@H](C)C2)o1 ZINC000892510289 582902094 /nfs/dbraw/zinc/90/20/94/582902094.db2.gz JGIGHDUIOOLFCK-GHMZBOCLSA-N 0 3 223.316 2.957 20 0 BFADHN Fc1cncc([C@@H](N[C@@H]2C=CCC2)C2CC2)c1 ZINC000850510293 582923417 /nfs/dbraw/zinc/92/34/17/582923417.db2.gz SEIHXFPOUSSOLF-KGLIPLIRSA-N 0 3 232.302 2.980 20 0 BFADHN C[C@H](N[C@@H]1C=CCC1)c1ccc([S@@](C)=O)cc1 ZINC000850510924 582923516 /nfs/dbraw/zinc/92/35/16/582923516.db2.gz XXRDMBQQTXETPN-XTQGRXLLSA-N 0 3 249.379 2.793 20 0 BFADHN CC[C@@H]1COC[C@@H]1N[C@H](C)c1cnc(C)s1 ZINC000850539609 582927812 /nfs/dbraw/zinc/92/78/12/582927812.db2.gz GFBRCJNRYWAFTF-IEBDPFPHSA-N 0 3 240.372 2.527 20 0 BFADHN CCOCCCCNCc1ccc(OCC)o1 ZINC000892624807 582932576 /nfs/dbraw/zinc/93/25/76/582932576.db2.gz WJBSITOZZSNUSS-UHFFFAOYSA-N 0 3 241.331 2.585 20 0 BFADHN CCc1ccc([C@H](COC)N[C@@H]2CC[C@@H]2C)o1 ZINC000783243890 582953200 /nfs/dbraw/zinc/95/32/00/582953200.db2.gz PIZUPRSKHHIXPK-UHTWSYAYSA-N 0 3 237.343 2.918 20 0 BFADHN Cn1nc(CN2CCCCCC2)c2ccccc21 ZINC000394122378 582956022 /nfs/dbraw/zinc/95/60/22/582956022.db2.gz MWVGAFATHGJVMH-UHFFFAOYSA-N 0 3 243.354 2.949 20 0 BFADHN C[C@@H](COc1ccccc1)NCC1(F)CC1 ZINC000892695060 582957892 /nfs/dbraw/zinc/95/78/92/582957892.db2.gz ZXSDKBIFICZXRY-NSHDSACASA-N 0 3 223.291 2.546 20 0 BFADHN Nc1cc(NC[C@H]2CCCS2)c(Cl)cn1 ZINC000892883755 583004693 /nfs/dbraw/zinc/00/46/93/583004693.db2.gz FHRZCGZCSDIHHR-SSDOTTSWSA-N 0 3 243.763 2.625 20 0 BFADHN CC(=O)OC[C@@H](C)N[C@@H]1CCc2c1cccc2C ZINC000892921591 583009186 /nfs/dbraw/zinc/00/91/86/583009186.db2.gz WVSFABDGNSOQIJ-IAQYHMDHSA-N 0 3 247.338 2.524 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(F)n2)[C@H](C)C1 ZINC000852191062 583009472 /nfs/dbraw/zinc/00/94/72/583009472.db2.gz SUPNESJLGDOSAT-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN COc1ccc(CNC[C@@H]2Cc3ccccc32)o1 ZINC000892929503 583010925 /nfs/dbraw/zinc/01/09/25/583010925.db2.gz OYCYFJVRLZUWKY-LBPRGKRZSA-N 0 3 243.306 2.718 20 0 BFADHN CCC[C@H](CC)NCc1ccc(OC)o1 ZINC000892941665 583013449 /nfs/dbraw/zinc/01/34/49/583013449.db2.gz GKOMSBLSFIMOSU-JTQLQIEISA-N 0 3 211.305 2.957 20 0 BFADHN CCOc1ccc(CN[C@H](C)CSC)o1 ZINC000893004005 583028393 /nfs/dbraw/zinc/02/83/93/583028393.db2.gz CZUBLNGZCUMKDS-SECBINFHSA-N 0 3 229.345 2.519 20 0 BFADHN CCCSC[C@H](C)N[C@@H](C)c1cnn(C)c1 ZINC000893032101 583034209 /nfs/dbraw/zinc/03/42/09/583034209.db2.gz HRMPYMOHVQNGPS-QWRGUYRKSA-N 0 3 241.404 2.602 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1ccc(OC)o1 ZINC000893108407 583050575 /nfs/dbraw/zinc/05/05/75/583050575.db2.gz OHDAGKRSMAVPQW-JQWIXIFHSA-N 0 3 223.316 2.957 20 0 BFADHN Clc1n[nH]c(C2CC2)c1CNC1CC=CC1 ZINC000893132812 583054816 /nfs/dbraw/zinc/05/48/16/583054816.db2.gz UNSLUEIBGLZQIJ-UHFFFAOYSA-N 0 3 237.734 2.749 20 0 BFADHN COc1ccc(CNCC2(C3CC3)CCC2)nc1 ZINC000893182958 583065691 /nfs/dbraw/zinc/06/56/91/583065691.db2.gz MSUWINIWHPEPFB-UHFFFAOYSA-N 0 3 246.354 2.760 20 0 BFADHN Cn1cc(CNC[C@@H]2CC=CCC2)c(Cl)n1 ZINC000856767427 588876278 /nfs/dbraw/zinc/87/62/78/588876278.db2.gz NUXBDSUJTXYRQY-SNVBAGLBSA-N 0 3 239.750 2.519 20 0 BFADHN CCOCCNCc1ccc(C(F)F)c(F)c1 ZINC000893327149 583102082 /nfs/dbraw/zinc/10/20/82/583102082.db2.gz HADCJZQMRUJTCL-UHFFFAOYSA-N 0 3 247.260 2.889 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1cn(C)nc1Cl ZINC000856783786 588877526 /nfs/dbraw/zinc/87/75/26/588877526.db2.gz HBMINULHIGUIEX-ZJUUUORDSA-N 0 3 241.766 2.599 20 0 BFADHN CC[C@@](C)(NCc1cn(C)nc1Cl)C1CC1 ZINC000856795983 588878075 /nfs/dbraw/zinc/87/80/75/588878075.db2.gz MIRVSAZUKDQQFG-GFCCVEGCSA-N 0 3 241.766 2.742 20 0 BFADHN Cn1cc(CN[C@]2(C)CC=CCC2)c(Cl)n1 ZINC000856790566 588878148 /nfs/dbraw/zinc/87/81/48/588878148.db2.gz FJGXDIQCDNYSQC-GFCCVEGCSA-N 0 3 239.750 2.662 20 0 BFADHN Cc1nonc1CN[C@@H]1CCCC[C@H]1C(C)C ZINC000215046752 583167577 /nfs/dbraw/zinc/16/75/77/583167577.db2.gz MNIKRSHUBCBKTQ-NWDGAFQWSA-N 0 3 237.347 2.682 20 0 BFADHN Cc1nccnc1CN1CCCCCCC1 ZINC000857011897 588881807 /nfs/dbraw/zinc/88/18/07/588881807.db2.gz OJOQGQCNTIQKCC-UHFFFAOYSA-N 0 3 219.332 2.551 20 0 BFADHN Cc1occc1CNCC[C@H](O)c1ccccc1 ZINC000840947877 583176817 /nfs/dbraw/zinc/17/68/17/583176817.db2.gz AWBIBNRAPSRRPG-HNNXBMFYSA-N 0 3 245.322 2.801 20 0 BFADHN Cc1nccnc1CN1CCC2(CCCC2)CC1 ZINC000857017939 588882009 /nfs/dbraw/zinc/88/20/09/588882009.db2.gz WMHGYHUHANVBKZ-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN COc1cccc2cc(CNC[C@@H](C)OC)oc21 ZINC000223997241 583182735 /nfs/dbraw/zinc/18/27/35/583182735.db2.gz OHPKFTQNUWRXJX-SNVBAGLBSA-N 0 3 249.310 2.566 20 0 BFADHN C[C@H]1[C@@H](C)N(Cn2cccnc2=S)C[C@H]1C ZINC000841695146 583204191 /nfs/dbraw/zinc/20/41/91/583204191.db2.gz ZLCFAXDONWOCBZ-GMTAPVOTSA-N 0 3 237.372 2.546 20 0 BFADHN CC1(c2ccccc2)CN(C[C@@H]2CCC=CO2)C1 ZINC000857057917 588884612 /nfs/dbraw/zinc/88/46/12/588884612.db2.gz GQABEIFEORCDHA-HNNXBMFYSA-N 0 3 243.350 2.953 20 0 BFADHN CCO[C@H]1CCCN(Cc2cc(C)co2)C1 ZINC000893862965 583233655 /nfs/dbraw/zinc/23/36/55/583233655.db2.gz ASNOVEHUNRECFX-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1cn(C)nc1Cl ZINC000856772598 588876958 /nfs/dbraw/zinc/87/69/58/588876958.db2.gz YZOAZGAKRBNKNT-NXEZZACHSA-N 0 3 243.782 2.988 20 0 BFADHN CCCN(Cc1[nH]nc(C)c1C)CC1CC1 ZINC000893863740 583235290 /nfs/dbraw/zinc/23/52/90/583235290.db2.gz MTOHWVBIHISBHW-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN CCCCN(CC)Cc1c(C)ccnc1N ZINC000893878509 583239523 /nfs/dbraw/zinc/23/95/23/583239523.db2.gz XSCUJDQVZJPPJQ-UHFFFAOYSA-N 0 3 221.348 2.594 20 0 BFADHN CCN(C)Cc1ccccc1OCC(F)F ZINC000893921949 583248212 /nfs/dbraw/zinc/24/82/12/583248212.db2.gz VINXTWHMGCABTD-UHFFFAOYSA-N 0 3 229.270 2.782 20 0 BFADHN CC(F)(F)CCNc1cc(N)ncc1Cl ZINC000894007253 583270085 /nfs/dbraw/zinc/27/00/85/583270085.db2.gz HVVFSEWOXHZLLJ-UHFFFAOYSA-N 0 3 235.665 2.774 20 0 BFADHN Cc1n[nH]c(CN([C@@H](C)C2CC2)C2CC2)c1C ZINC000893952545 583261940 /nfs/dbraw/zinc/26/19/40/583261940.db2.gz KKTNAVLSNXHGBX-NSHDSACASA-N 0 3 233.359 2.789 20 0 BFADHN CO[C@H]1CCCN(C/C=C\c2cccc(F)c2)C1 ZINC000893958475 583262507 /nfs/dbraw/zinc/26/25/07/583262507.db2.gz BAYIFKCORXHKOR-BYPUDFOVSA-N 0 3 249.329 2.950 20 0 BFADHN CCC1CCN(Cc2ocnc2C)CC1 ZINC000893968969 583263541 /nfs/dbraw/zinc/26/35/41/583263541.db2.gz PDARDQRTDDZTGH-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnc2onc(C)c2c1 ZINC000893972468 583265113 /nfs/dbraw/zinc/26/51/13/583265113.db2.gz BWGKAEYTLVZYJU-GFCCVEGCSA-N 0 3 245.326 2.906 20 0 BFADHN CCN(Cc1ocnc1C)[C@H](C)C(C)C ZINC000893977979 583266074 /nfs/dbraw/zinc/26/60/74/583266074.db2.gz MFPXLWBDDLWNKC-LLVKDONJSA-N 0 3 210.321 2.849 20 0 BFADHN COCCCN(C)C/C=C/c1cccc(F)c1 ZINC000893977231 583266442 /nfs/dbraw/zinc/26/64/42/583266442.db2.gz BXKYSTLOXOLLHH-QPJJXVBHSA-N 0 3 237.318 2.807 20 0 BFADHN Cc1ncoc1CN1CCC(C)(C)CC1 ZINC000893995546 583268055 /nfs/dbraw/zinc/26/80/55/583268055.db2.gz NJCYJHCTKGPXIB-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN OCCCN(C/C=C\c1cccc(F)c1)C1CC1 ZINC000894021084 583274395 /nfs/dbraw/zinc/27/43/95/583274395.db2.gz OJNXEWOPDRUKHI-DJWKRKHSSA-N 0 3 249.329 2.686 20 0 BFADHN CCN(Cc1cnc2onc(C)c2c1)CC1CC1 ZINC000894021860 583274645 /nfs/dbraw/zinc/27/46/45/583274645.db2.gz XWFIXEJBNUELNX-UHFFFAOYSA-N 0 3 245.326 2.763 20 0 BFADHN CCCCN(CCOC)Cc1cc(C)co1 ZINC000894020155 583275163 /nfs/dbraw/zinc/27/51/63/583275163.db2.gz DLEQVZAMFABEER-UHFFFAOYSA-N 0 3 225.332 2.837 20 0 BFADHN Cc1n[nH]c(CN2CCC3(CCCC3)C2)c1C ZINC000894020190 583275191 /nfs/dbraw/zinc/27/51/91/583275191.db2.gz FORUMVGKVVLQIQ-UHFFFAOYSA-N 0 3 233.359 2.793 20 0 BFADHN CC[C@]1(C)CCCN(Cc2c(C)ccnc2N)C1 ZINC000894032631 583276630 /nfs/dbraw/zinc/27/66/30/583276630.db2.gz POKOKMRFVQFSBD-OAHLLOKOSA-N 0 3 247.386 2.984 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2c(C)ccnc2N)C1 ZINC000894032630 583277332 /nfs/dbraw/zinc/27/73/32/583277332.db2.gz POKOKMRFVQFSBD-HNNXBMFYSA-N 0 3 247.386 2.984 20 0 BFADHN Cn1cc(CN[C@H]2CC23CCCC3)c(C2CC2)n1 ZINC000894034918 583277926 /nfs/dbraw/zinc/27/79/26/583277926.db2.gz QHZWFLCGGWFTNL-ZDUSSCGKSA-N 0 3 245.370 2.720 20 0 BFADHN c1cn2cc(CN[C@H]3CC34CCCC4)sc2n1 ZINC000894033703 583278146 /nfs/dbraw/zinc/27/81/46/583278146.db2.gz IGUHSJHOLWAVNF-NSHDSACASA-N 0 3 247.367 2.818 20 0 BFADHN CCc1onc(C)c1CN[C@H]1CC12CCCC2 ZINC000894034110 583278319 /nfs/dbraw/zinc/27/83/19/583278319.db2.gz KLIJQTPAWOLDPK-ZDUSSCGKSA-N 0 3 234.343 2.968 20 0 BFADHN Cc1ncoc1CN1C[C@H](C)CC[C@@H]1C ZINC000894035191 583279331 /nfs/dbraw/zinc/27/93/31/583279331.db2.gz WGPQMJPOTFSQNL-ZJUUUORDSA-N 0 3 208.305 2.603 20 0 BFADHN COc1ccc(CN2CCS[C@H](C)CC2)o1 ZINC000894027306 583282266 /nfs/dbraw/zinc/28/22/66/583282266.db2.gz CCDBUCOJKJEQGS-SNVBAGLBSA-N 0 3 241.356 2.616 20 0 BFADHN CCN(Cc1cnc2onc(C)c2c1)C1CCC1 ZINC000894040125 583283995 /nfs/dbraw/zinc/28/39/95/583283995.db2.gz PDMFAUFREVEXRB-UHFFFAOYSA-N 0 3 245.326 2.906 20 0 BFADHN Cc1noc2ncc(CN3C[C@@H](C)C[C@@H]3C)cc12 ZINC000894045431 583287068 /nfs/dbraw/zinc/28/70/68/583287068.db2.gz OQPFMBGWSFINGM-UWVGGRQHSA-N 0 3 245.326 2.762 20 0 BFADHN Cc1noc2ncc(CN3CC[C@H](C)[C@@H]3C)cc12 ZINC000894086354 583295895 /nfs/dbraw/zinc/29/58/95/583295895.db2.gz VVEGJOSUXZAUSM-ONGXEEELSA-N 0 3 245.326 2.762 20 0 BFADHN CS[C@H]1CCCCN(Cc2ocnc2C)C1 ZINC000894094676 583298139 /nfs/dbraw/zinc/29/81/39/583298139.db2.gz NQRKKRWYHUPQRC-NSHDSACASA-N 0 3 240.372 2.701 20 0 BFADHN Cc1coc(CN2CCC[C@H]3COCC[C@@H]32)c1 ZINC000894087354 583298747 /nfs/dbraw/zinc/29/87/47/583298747.db2.gz BQPLYDHMXVTVMW-JSGCOSHPSA-N 0 3 235.327 2.589 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1ccc2oc(=O)oc2c1 ZINC000894092993 583299548 /nfs/dbraw/zinc/29/95/48/583299548.db2.gz ASGMHUSVAHJGBI-UWVGGRQHSA-N 0 3 247.294 2.759 20 0 BFADHN Cc1ncoc1CNc1cccc(CN(C)C)c1 ZINC000894102575 583305617 /nfs/dbraw/zinc/30/56/17/583305617.db2.gz MMGVGBXVVUFFNI-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@H]1C[C@@]1(C)Br ZINC000894138916 583316163 /nfs/dbraw/zinc/31/61/63/583316163.db2.gz JARQIVJMDUXAFC-UTINFBMNSA-N 0 3 232.165 2.643 20 0 BFADHN c1nc(CNCCCSc2ccccc2)c[nH]1 ZINC000894142156 583317077 /nfs/dbraw/zinc/31/70/77/583317077.db2.gz ZUPZYVSENLQBDZ-UHFFFAOYSA-N 0 3 247.367 2.682 20 0 BFADHN c1ncc(CNCCCSc2ccccc2)[nH]1 ZINC000894142156 583317080 /nfs/dbraw/zinc/31/70/80/583317080.db2.gz ZUPZYVSENLQBDZ-UHFFFAOYSA-N 0 3 247.367 2.682 20 0 BFADHN Cc1ccnc(N)c1CNCCC1=CCCCC1 ZINC000894157484 583320900 /nfs/dbraw/zinc/32/09/00/583320900.db2.gz UXHCNWZLVWCUNA-UHFFFAOYSA-N 0 3 245.370 2.952 20 0 BFADHN Cc1ncoc1CN[C@@H](C)Cc1ccsc1 ZINC000894198958 583336800 /nfs/dbraw/zinc/33/68/00/583336800.db2.gz HYASDDHWUQAVJH-VIFPVBQESA-N 0 3 236.340 2.765 20 0 BFADHN O[C@@H](CN1CC(CC2CC2)C1)c1ccc(F)cc1 ZINC000857212257 588894058 /nfs/dbraw/zinc/89/40/58/588894058.db2.gz IVPZUCNACAKCEQ-HNNXBMFYSA-N 0 3 249.329 2.591 20 0 BFADHN Fc1cc(CN[C@]23C[C@H]2CCCC3)c(F)cn1 ZINC000877686802 583342546 /nfs/dbraw/zinc/34/25/46/583342546.db2.gz YCZMGUPCVWZNIV-ZWNOBZJWSA-N 0 3 238.281 2.782 20 0 BFADHN Oc1cccc(CN2CC[C@@H]3CSC[C@H]3C2)c1 ZINC000877737928 583344605 /nfs/dbraw/zinc/34/46/05/583344605.db2.gz IYYRTWPRFITULK-CHWSQXEVSA-N 0 3 249.379 2.577 20 0 BFADHN Cc1ncccc1CN1CC[C@H]2CSC[C@H]2C1 ZINC000877737934 583344780 /nfs/dbraw/zinc/34/47/80/583344780.db2.gz IZJQPCPCQKBQAR-UONOGXRCSA-N 0 3 248.395 2.575 20 0 BFADHN C[C@@]1(C(F)(F)F)CCN(C[C@@H]2CCC=CO2)C1 ZINC000877812621 583348740 /nfs/dbraw/zinc/34/87/40/583348740.db2.gz RQGQFQSCOFPLTK-WDEREUQCSA-N 0 3 249.276 2.953 20 0 BFADHN Cc1ncoc1CNC1[C@H](C)CCC[C@H]1C ZINC000894207535 583352335 /nfs/dbraw/zinc/35/23/35/583352335.db2.gz YRKVCUATGORWEC-NXEZZACHSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ncoc1CN[C@H]1[C@H](C)CCC[C@@H]1C ZINC000894207534 583352567 /nfs/dbraw/zinc/35/25/67/583352567.db2.gz YRKVCUATGORWEC-IWIIMEHWSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ncoc1CN[C@@H]1CC[C@@H](C)C[C@@H]1C ZINC000894261046 583399464 /nfs/dbraw/zinc/39/94/64/583399464.db2.gz PLLFXJJBBVXLSK-JFGNBEQYSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(C)cc(C[C@@H](C)NCc2c[nH]cn2)c1 ZINC000894303585 583416151 /nfs/dbraw/zinc/41/61/51/583416151.db2.gz DBFAKZCFUOLANG-CYBMUJFWSA-N 0 3 243.354 2.747 20 0 BFADHN Cc1ncoc1CNCCC1=CCCC1 ZINC000894359768 583436407 /nfs/dbraw/zinc/43/64/07/583436407.db2.gz QWSQXMICTGLUPG-UHFFFAOYSA-N 0 3 206.289 2.573 20 0 BFADHN CC1(C)COC[C@H]1N[C@H](c1cccnc1)C1CC1 ZINC000894367609 583439387 /nfs/dbraw/zinc/43/93/87/583439387.db2.gz HGRCIIZJHLNFDI-KGLIPLIRSA-N 0 3 246.354 2.547 20 0 BFADHN Cc1ncoc1CNC1CCC(F)(F)CC1 ZINC000894369776 583440223 /nfs/dbraw/zinc/44/02/23/583440223.db2.gz XPPFXNBGYQTCND-UHFFFAOYSA-N 0 3 230.258 2.651 20 0 BFADHN COc1ccc(CN(C)[C@H]2C[C@@H](OC)C2)c(C)c1 ZINC000894398584 583448706 /nfs/dbraw/zinc/44/87/06/583448706.db2.gz UZMONCWZQCWKIQ-OTVXOJSOSA-N 0 3 249.354 2.613 20 0 BFADHN Cc1ncoc1CN1CC[C@@]2(CC[C@H](C)C2)C1 ZINC000894440107 583459920 /nfs/dbraw/zinc/45/99/20/583459920.db2.gz UGAXEUGIISNSEL-SMDDNHRTSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1n[nH]c(CN(C)C[C@@H]2CC=CCC2)c1C ZINC000894446916 583462004 /nfs/dbraw/zinc/46/20/04/583462004.db2.gz YKDHLFTWTDUBLK-CYBMUJFWSA-N 0 3 233.359 2.815 20 0 BFADHN Cc1[nH]nc(CN(C)C[C@@H]2CC=CCC2)c1C ZINC000894446916 583462007 /nfs/dbraw/zinc/46/20/07/583462007.db2.gz YKDHLFTWTDUBLK-CYBMUJFWSA-N 0 3 233.359 2.815 20 0 BFADHN Cc1ncoc1CN1CC[C@@H](C)C(C)(C)C1 ZINC000894463983 583466020 /nfs/dbraw/zinc/46/60/20/583466020.db2.gz FIUVREUVGTVMQP-SNVBAGLBSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1ncoc1CN[C@@H]1CC[C@@H](C)[C@@H](C)C1 ZINC000894461028 583467202 /nfs/dbraw/zinc/46/72/02/583467202.db2.gz JTFUAEPXIHGWPX-JFGNBEQYSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ncoc1CN[C@@H](C)CCC1CC1 ZINC000894477199 583470145 /nfs/dbraw/zinc/47/01/45/583470145.db2.gz FYKYRANDMLZUCA-VIFPVBQESA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@@]1(O)CCN(C/C=C/c2cccc(F)c2)C1 ZINC000894505161 583474566 /nfs/dbraw/zinc/47/45/66/583474566.db2.gz XIGYJXBHFPUQOO-CSPWOOARSA-N 0 3 249.329 2.686 20 0 BFADHN C[C@@H]1CCN(C/C=C/c2cccc(F)c2)[C@H]1CO ZINC000894535108 583478641 /nfs/dbraw/zinc/47/86/41/583478641.db2.gz WTYCQHZLBPWDQD-MOEUOMFESA-N 0 3 249.329 2.542 20 0 BFADHN Cc1coc(CN2CC[C@H](C)C[C@H]2[C@H](C)O)c1 ZINC000894534212 583479127 /nfs/dbraw/zinc/47/91/27/583479127.db2.gz YPJLKTYQDQMBDK-JKOKRWQUSA-N 0 3 237.343 2.569 20 0 BFADHN c1cc2c(cc1CN1CC(C3CC3)C1)CCCO2 ZINC000894564837 583483937 /nfs/dbraw/zinc/48/39/37/583483937.db2.gz FYXXUHWSSABZPB-UHFFFAOYSA-N 0 3 243.350 2.853 20 0 BFADHN Fc1ccc(CCN2CC(C3CC3)C2)cc1F ZINC000894566668 583485122 /nfs/dbraw/zinc/48/51/22/583485122.db2.gz SDSNIAMOBXBPQD-UHFFFAOYSA-N 0 3 237.293 2.849 20 0 BFADHN C[C@@H](CN1CCC(C)CC1)Nc1nccs1 ZINC000894574866 583486557 /nfs/dbraw/zinc/48/65/57/583486557.db2.gz KHQWTTZWJCGAEI-NSHDSACASA-N 0 3 239.388 2.675 20 0 BFADHN CCC1(CC)[C@H](N[C@@H](C)CF)C[C@H]1OC ZINC000894600440 583489996 /nfs/dbraw/zinc/48/99/96/583489996.db2.gz HBTOOKNWSLUVFE-HBNTYKKESA-N 0 3 217.328 2.528 20 0 BFADHN C[C@@H](CF)N[C@@H](C)[C@@H]1COc2ccccc2C1 ZINC000894600647 583491432 /nfs/dbraw/zinc/49/14/32/583491432.db2.gz JUJWUOJITPHJOB-GVXVVHGQSA-N 0 3 237.318 2.574 20 0 BFADHN C[C@@H](CF)N[C@@H](C)[C@H]1COc2ccccc2C1 ZINC000894600646 583491486 /nfs/dbraw/zinc/49/14/86/583491486.db2.gz JUJWUOJITPHJOB-GMXVVIOVSA-N 0 3 237.318 2.574 20 0 BFADHN Cc1ccnc(N)c1CN(C)[C@H]1CCC(C)(C)C1 ZINC000894630591 583496772 /nfs/dbraw/zinc/49/67/72/583496772.db2.gz YVEGGAZXUULRCE-LBPRGKRZSA-N 0 3 247.386 2.983 20 0 BFADHN CC(C)C1(NCc2cnn(C)c2C2CC2)CC1 ZINC000894625528 583496908 /nfs/dbraw/zinc/49/69/08/583496908.db2.gz DLOQFOZYPKEGKN-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN Cc1ncoc1CN(C)[C@@H]1CCC(C)(C)C1 ZINC000894629040 583497633 /nfs/dbraw/zinc/49/76/33/583497633.db2.gz PRCSBAXALILYLJ-LLVKDONJSA-N 0 3 222.332 2.994 20 0 BFADHN COCc1c(C)cc(C)c(CNCCF)c1C ZINC000894646902 583500668 /nfs/dbraw/zinc/50/06/68/583500668.db2.gz AVJKKTRNPOIGIO-UHFFFAOYSA-N 0 3 239.334 2.817 20 0 BFADHN CC(C)CCCCNCc1nnc(C2CC2)[nH]1 ZINC000894677665 583503988 /nfs/dbraw/zinc/50/39/88/583503988.db2.gz YRCMWGGSULVXBU-UHFFFAOYSA-N 0 3 236.363 2.598 20 0 BFADHN CC(C)CCCC[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000894677665 583503989 /nfs/dbraw/zinc/50/39/89/583503989.db2.gz YRCMWGGSULVXBU-UHFFFAOYSA-N 0 3 236.363 2.598 20 0 BFADHN Cc1noc(C)c1CCN1CCC[C@H](CF)C1 ZINC000880237597 583506135 /nfs/dbraw/zinc/50/61/35/583506135.db2.gz YHFQDZBEAGMYKW-GFCCVEGCSA-N 0 3 240.322 2.515 20 0 BFADHN c1cc2c(c(CN3CC[C@@H]3C3CC3)c1)OCC2 ZINC000894687338 583506758 /nfs/dbraw/zinc/50/67/58/583506758.db2.gz CKDXOVVYEJNYSE-CQSZACIVSA-N 0 3 229.323 2.606 20 0 BFADHN Cc1ncccc1CN1CCC[C@H](CF)C1 ZINC000880237923 583506764 /nfs/dbraw/zinc/50/67/64/583506764.db2.gz KTOLLMLSROOXQX-GFCCVEGCSA-N 0 3 222.307 2.572 20 0 BFADHN CCC1(NCc2cnc(F)cc2C)CC1 ZINC000894682798 583506808 /nfs/dbraw/zinc/50/68/08/583506808.db2.gz IABMSDDCTKMDTD-UHFFFAOYSA-N 0 3 208.280 2.561 20 0 BFADHN O=c1[nH]c2cccc(CN3CC[C@@H]3C3CC3)c2o1 ZINC000894690481 583508901 /nfs/dbraw/zinc/50/89/01/583508901.db2.gz QGCZFNSXPJOWAW-GFCCVEGCSA-N 0 3 244.294 2.518 20 0 BFADHN Cc1ncoc1CN(C)[C@@H]1CCCC[C@H]1C ZINC000894703459 583510813 /nfs/dbraw/zinc/51/08/13/583510813.db2.gz XOCIDUODXAPEPZ-ZYHUDNBSSA-N 0 3 222.332 2.994 20 0 BFADHN COc1ccc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)o1 ZINC000894736878 583514611 /nfs/dbraw/zinc/51/46/11/583514611.db2.gz VWLQKXOGEHHEMH-ZFWWWQNUSA-N 0 3 247.338 2.909 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H](C)CCCC(C)C)[nH]1 ZINC000894773366 583517487 /nfs/dbraw/zinc/51/74/87/583517487.db2.gz UWGNOVZBJZXOEY-QWRGUYRKSA-N 0 3 238.379 2.979 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H](C)CCCC(C)C)[n-]1 ZINC000894773366 583517488 /nfs/dbraw/zinc/51/74/88/583517488.db2.gz UWGNOVZBJZXOEY-QWRGUYRKSA-N 0 3 238.379 2.979 20 0 BFADHN Cc1ncoc1CN1CCC[C@H](C2CC2)C1 ZINC000894786237 583521837 /nfs/dbraw/zinc/52/18/37/583521837.db2.gz DEXJTMVGENSGLP-LBPRGKRZSA-N 0 3 220.316 2.605 20 0 BFADHN CCN(Cc1[nH]nc(C)c1C)CC(C)(C)C ZINC000894816506 583522600 /nfs/dbraw/zinc/52/26/00/583522600.db2.gz DGKDPHHISQELRH-UHFFFAOYSA-N 0 3 223.364 2.895 20 0 BFADHN CCN(Cc1n[nH]c(C)c1C)CC(C)(C)C ZINC000894816506 583522602 /nfs/dbraw/zinc/52/26/02/583522602.db2.gz DGKDPHHISQELRH-UHFFFAOYSA-N 0 3 223.364 2.895 20 0 BFADHN CCC[C@@H](O)CN1CCc2ccsc2[C@H]1C ZINC000880377150 583530279 /nfs/dbraw/zinc/53/02/79/583530279.db2.gz PJXJWZBJWQUNMP-ZYHUDNBSSA-N 0 3 239.384 2.828 20 0 BFADHN C[C@@H]1CN(Cc2cc3cccnc3o2)[C@@H]1C ZINC000894860472 583534234 /nfs/dbraw/zinc/53/42/34/583534234.db2.gz NNTKWGHFSHEJSQ-NXEZZACHSA-N 0 3 216.284 2.668 20 0 BFADHN COCc1csc(CN2C[C@@H](C)[C@H]2C)c1 ZINC000894862014 583535712 /nfs/dbraw/zinc/53/57/12/583535712.db2.gz RMKUGPAOSHSMFD-NXEZZACHSA-N 0 3 225.357 2.735 20 0 BFADHN Cc1coc(CN2CCN(C3CC3)C[C@H](C)C2)c1 ZINC000894867879 583538283 /nfs/dbraw/zinc/53/82/83/583538283.db2.gz AOAVWNLPXDUMLA-CYBMUJFWSA-N 0 3 248.370 2.504 20 0 BFADHN Cc1ncoc1CNCCCC(C)(F)F ZINC000894869432 583539451 /nfs/dbraw/zinc/53/94/51/583539451.db2.gz WKLBTLPTVVITJG-UHFFFAOYSA-N 0 3 218.247 2.508 20 0 BFADHN CCOc1ccc(CN[C@H]2CC2(C)C)o1 ZINC000894902058 583551934 /nfs/dbraw/zinc/55/19/34/583551934.db2.gz WWTRCAWZWIQFOO-JTQLQIEISA-N 0 3 209.289 2.566 20 0 BFADHN CO[C@@H]1CCN(Cc2cc(C)co2)CC1(C)C ZINC000894904453 583553176 /nfs/dbraw/zinc/55/31/76/583553176.db2.gz OSWFMAIBSBNNOF-CYBMUJFWSA-N 0 3 237.343 2.835 20 0 BFADHN Cc1n[nH]c(CN2C[C@H](C3CC3)[C@@H]2C2CC2)c1C ZINC000894904927 583553802 /nfs/dbraw/zinc/55/38/02/583553802.db2.gz BKAUMSRUKZMMTH-HIFRSBDPSA-N 0 3 245.370 2.647 20 0 BFADHN Cc1[nH]nc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)c1C ZINC000894904927 583553803 /nfs/dbraw/zinc/55/38/03/583553803.db2.gz BKAUMSRUKZMMTH-HIFRSBDPSA-N 0 3 245.370 2.647 20 0 BFADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1c[nH]cn1 ZINC000894908571 583554348 /nfs/dbraw/zinc/55/43/48/583554348.db2.gz HTZYLAAXKSWLOE-CHWSQXEVSA-N 0 3 221.348 2.522 20 0 BFADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1cnc[nH]1 ZINC000894908571 583554350 /nfs/dbraw/zinc/55/43/50/583554350.db2.gz HTZYLAAXKSWLOE-CHWSQXEVSA-N 0 3 221.348 2.522 20 0 BFADHN CCn1cc(CN2CC(C)(C)C[C@@H]2C)c(C)n1 ZINC000894923633 583556661 /nfs/dbraw/zinc/55/66/61/583556661.db2.gz VILOBSKDGZETDQ-NSHDSACASA-N 0 3 235.375 2.832 20 0 BFADHN Cc1coc(CN(C)[C@H]2CCN(C(C)C)C2)c1 ZINC000894960965 583559428 /nfs/dbraw/zinc/55/94/28/583559428.db2.gz ZAMURXFFNMZTRE-ZDUSSCGKSA-N 0 3 236.359 2.503 20 0 BFADHN Cc1ncoc1CN[C@@H](C)CC(C)(C)C ZINC000894970091 583560575 /nfs/dbraw/zinc/56/05/75/583560575.db2.gz VODSDTTVQQDHHP-VIFPVBQESA-N 0 3 210.321 2.897 20 0 BFADHN Cc1ncoc1CNC[C@H]1CCC[C@@H]1C1CC1 ZINC000894985159 583564764 /nfs/dbraw/zinc/56/47/64/583564764.db2.gz GKNOHEICSRGOFR-CHWSQXEVSA-N 0 3 234.343 2.899 20 0 BFADHN Cc1n[nH]c(CN2CC(C)(C)C[C@@H]2C)c1C ZINC000894997912 583566558 /nfs/dbraw/zinc/56/65/58/583566558.db2.gz BISIVUVAUIDNNR-VIFPVBQESA-N 0 3 221.348 2.647 20 0 BFADHN Cc1ncoc1CN1CC(C)(C)C[C@H]1C ZINC000894997908 583566703 /nfs/dbraw/zinc/56/67/03/583566703.db2.gz BGUHPSJZIHKXPA-SECBINFHSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H](N[C@@H]1CCOC2(CCCC2)C1)c1ncc[nH]1 ZINC000334320270 583579764 /nfs/dbraw/zinc/57/97/64/583579764.db2.gz VNLLCTAJPLLGNU-NWDGAFQWSA-N 0 3 249.358 2.552 20 0 BFADHN Cc1coc(CN2CC[C@@H](c3cccnc3)C2)c1 ZINC000895074938 583580229 /nfs/dbraw/zinc/58/02/29/583580229.db2.gz GJGNHDKUJFGEOX-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN Cc1ncoc1CNC[C@H]1CC2CCC1CC2 ZINC000895076399 583580725 /nfs/dbraw/zinc/58/07/25/583580725.db2.gz OTZKEEKWSCLHMT-WXRRBKDZSA-N 0 3 234.343 2.899 20 0 BFADHN FC1(F)CC[C@@H](N[C@H]2CCCc3c[nH]nc32)C1 ZINC000857858867 588914196 /nfs/dbraw/zinc/91/41/96/588914196.db2.gz BNAKHUYAPRKUIS-ZJUUUORDSA-N 0 3 241.285 2.565 20 0 BFADHN C[C@H]1CC[C@H](N[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000857860538 588914329 /nfs/dbraw/zinc/91/43/29/588914329.db2.gz UIJHMAWTSMYABN-RAIGVLPGSA-N 0 3 233.359 2.955 20 0 BFADHN Cc1cc(CN(C)C(C)(C)C)cnc1F ZINC000895115141 583591512 /nfs/dbraw/zinc/59/15/12/583591512.db2.gz HMERKXJMQGUPHG-UHFFFAOYSA-N 0 3 210.296 2.759 20 0 BFADHN CC1(CN[C@H]2CCCc3c[nH]nc32)CCC1 ZINC000857857529 588914487 /nfs/dbraw/zinc/91/44/87/588914487.db2.gz DOIVICALQKOCMV-NSHDSACASA-N 0 3 219.332 2.567 20 0 BFADHN CC1(CN[C@H]2CCCc3c[nH]nc32)CCCC1 ZINC000857860899 588914739 /nfs/dbraw/zinc/91/47/39/588914739.db2.gz VZSBNFQINDPRMD-LBPRGKRZSA-N 0 3 233.359 2.957 20 0 BFADHN CC(C)Cc1ccc(CN[C@@H]2CO[C@H](C)C2)cn1 ZINC000895123406 583597017 /nfs/dbraw/zinc/59/70/17/583597017.db2.gz KAXMFPCUQWTLNL-DOMZBBRYSA-N 0 3 248.370 2.547 20 0 BFADHN CC(C)Cc1ccc(CN[C@H]2CO[C@H](C)C2)cn1 ZINC000895123409 583597053 /nfs/dbraw/zinc/59/70/53/583597053.db2.gz KAXMFPCUQWTLNL-IUODEOHRSA-N 0 3 248.370 2.547 20 0 BFADHN C[C@H](N[C@H]1CCCc2c[nH]nc21)C1(C)CC1 ZINC000857862122 588914961 /nfs/dbraw/zinc/91/49/61/588914961.db2.gz YQDGAIUUHHJWMS-ONGXEEELSA-N 0 3 219.332 2.565 20 0 BFADHN C[C@@H](N[C@@H]1CCCc2c[nH]nc21)C1(C)CC1 ZINC000857862121 588915189 /nfs/dbraw/zinc/91/51/89/588915189.db2.gz YQDGAIUUHHJWMS-MWLCHTKSSA-N 0 3 219.332 2.565 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@@H]2CCCc3c[nH]nc32)C1 ZINC000857864976 588915357 /nfs/dbraw/zinc/91/53/57/588915357.db2.gz LRUGUFIFEMAHOO-NTZNESFSSA-N 0 3 233.359 2.813 20 0 BFADHN Cn1cc(CN2C[C@@H]3CCCC[C@@H]32)c(C2CC2)n1 ZINC000895232940 583629645 /nfs/dbraw/zinc/62/96/45/583629645.db2.gz CQDVOMGWNCNFQM-JSGCOSHPSA-N 0 3 245.370 2.672 20 0 BFADHN Cn1ncc(CN2C[C@@H]3CCCC[C@@H]32)c1C1CC1 ZINC000895237465 583630375 /nfs/dbraw/zinc/63/03/75/583630375.db2.gz SAZMKHQMLNHSLP-JSGCOSHPSA-N 0 3 245.370 2.672 20 0 BFADHN Cc1ncoc1CN1CCC[C@@H](CCF)C1 ZINC000895274365 583635079 /nfs/dbraw/zinc/63/50/79/583635079.db2.gz IMNVOEAKUOEUNZ-NSHDSACASA-N 0 3 226.295 2.555 20 0 BFADHN Cc1ncoc1CNCC[C@@]1(C)CC1(F)F ZINC000895276857 583635459 /nfs/dbraw/zinc/63/54/59/583635459.db2.gz ZZSZMVLCIYCMNA-JTQLQIEISA-N 0 3 230.258 2.508 20 0 BFADHN CC1=NO[C@@H](CNCCc2cc(C)cc(C)c2)C1 ZINC000895299144 583640895 /nfs/dbraw/zinc/64/08/95/583640895.db2.gz CDNCJBOOWXAVJG-OAHLLOKOSA-N 0 3 246.354 2.600 20 0 BFADHN CCCc1cccc(CNC[C@@H]2CC(C)=NO2)c1 ZINC000895304676 583642654 /nfs/dbraw/zinc/64/26/54/583642654.db2.gz PFLQJASTHJPRCH-HNNXBMFYSA-N 0 3 246.354 2.894 20 0 BFADHN CC1=NO[C@H](CN[C@@H](C)CSC(C)(C)C)C1 ZINC000895306610 583643615 /nfs/dbraw/zinc/64/36/15/583643615.db2.gz HWWBONWBKVWUKP-QWRGUYRKSA-N 0 3 244.404 2.661 20 0 BFADHN Cc1ccc(CCN(C)Cc2c[nH]cn2)cc1C ZINC000895302381 583644111 /nfs/dbraw/zinc/64/41/11/583644111.db2.gz LQCFFSHWMFLCKL-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN Cc1ccc(CCN(C)Cc2cnc[nH]2)cc1C ZINC000895302381 583644112 /nfs/dbraw/zinc/64/41/12/583644112.db2.gz LQCFFSHWMFLCKL-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN CCc1ccc(CNC[C@@H]2CC(C)=NO2)s1 ZINC000895312189 583647593 /nfs/dbraw/zinc/64/75/93/583647593.db2.gz WDUIQHWCAJKZDO-JTQLQIEISA-N 0 3 238.356 2.565 20 0 BFADHN COc1ccc(CNC[C@@H](C)c2ccccn2)o1 ZINC000895307159 583647595 /nfs/dbraw/zinc/64/75/95/583647595.db2.gz UJJABBXSDDCMBU-LLVKDONJSA-N 0 3 246.310 2.577 20 0 BFADHN Cc1ccc(CN2CCCOCC2)c(C)c1 ZINC000335568409 583648289 /nfs/dbraw/zinc/64/82/89/583648289.db2.gz KCMBEDPTTUGRFS-UHFFFAOYSA-N 0 3 219.328 2.526 20 0 BFADHN Cc1ncoc1CNC(C)(C1CC1)C1CC1 ZINC000895332508 583652119 /nfs/dbraw/zinc/65/21/19/583652119.db2.gz KUFAJVMDGUEEBF-UHFFFAOYSA-N 0 3 220.316 2.651 20 0 BFADHN Fc1ccc(OCCCNCc2ccoc2)cc1 ZINC000727468168 583656020 /nfs/dbraw/zinc/65/60/20/583656020.db2.gz GCJMNUHUOPYLKY-UHFFFAOYSA-N 0 3 249.285 2.977 20 0 BFADHN COc1cccc(CN[C@@H]2COCC2(C)C)c1C ZINC000895352199 583656842 /nfs/dbraw/zinc/65/68/42/583656842.db2.gz LLJOPPUSRMMYRF-CQSZACIVSA-N 0 3 249.354 2.518 20 0 BFADHN c1cc(CNC[C@@H]2COc3ccccc3C2)co1 ZINC000727469286 583657127 /nfs/dbraw/zinc/65/71/27/583657127.db2.gz VDZQGEHEKYKGTF-CYBMUJFWSA-N 0 3 243.306 2.621 20 0 BFADHN COc1ccc(CNCCCOC(C)(C)C)o1 ZINC000895368236 583660970 /nfs/dbraw/zinc/66/09/70/583660970.db2.gz FOODPYSADWESSR-UHFFFAOYSA-N 0 3 241.331 2.583 20 0 BFADHN Cn1ncc(CNCCC(C)(C)F)c1C1CC1 ZINC000895370944 583661415 /nfs/dbraw/zinc/66/14/15/583661415.db2.gz SPXPCYKNJVNPCR-UHFFFAOYSA-N 0 3 239.338 2.525 20 0 BFADHN Cc1ncoc1CNCC[C@H]1CC1(Cl)Cl ZINC000895380106 583662218 /nfs/dbraw/zinc/66/22/18/583662218.db2.gz XPWMGRYRPIYGQG-QMMMGPOBSA-N 0 3 249.141 2.657 20 0 BFADHN Cc1cc(F)ncc1CNC[C@]1(C)CC1(F)F ZINC000895380816 583662234 /nfs/dbraw/zinc/66/22/34/583662234.db2.gz ONVLGPUQZHODRT-NSHDSACASA-N 0 3 244.260 2.664 20 0 BFADHN CCOc1ccc(CN[C@@H](CC)C2CC2)o1 ZINC000895390871 583664013 /nfs/dbraw/zinc/66/40/13/583664013.db2.gz BKYOFBPAOIFULT-LBPRGKRZSA-N 0 3 223.316 2.957 20 0 BFADHN Cc1ncoc1CN1CCCC2(CC2)CC1 ZINC000895415668 583667506 /nfs/dbraw/zinc/66/75/06/583667506.db2.gz WGNOCADXPUFRPS-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN Clc1cscc1CNCC1CSC1 ZINC000895421442 583673114 /nfs/dbraw/zinc/67/31/14/583673114.db2.gz IGOSCFLEGKGJBD-UHFFFAOYSA-N 0 3 233.789 2.854 20 0 BFADHN C[C@@H]1Cc2cc(CN3CC[C@@H]4C[C@@H]4C3)ccc2O1 ZINC000895435072 583673167 /nfs/dbraw/zinc/67/31/67/583673167.db2.gz XJRUAVDHBOPADJ-UXIGCNINSA-N 0 3 243.350 2.852 20 0 BFADHN CCc1cccc(F)c1CNCC1CSC1 ZINC000895421881 583673377 /nfs/dbraw/zinc/67/33/77/583673377.db2.gz LQJSHPLJMQEMIS-UHFFFAOYSA-N 0 3 239.359 2.841 20 0 BFADHN Cn1ncc(CN[C@@]2(C)CC2(C)C)c1C1CC1 ZINC000895443577 583674191 /nfs/dbraw/zinc/67/41/91/583674191.db2.gz XFRKSBRHSMRJPY-AWEZNQCLSA-N 0 3 233.359 2.576 20 0 BFADHN CCc1onc(C)c1CNCCCc1ccco1 ZINC000895454383 583677910 /nfs/dbraw/zinc/67/79/10/583677910.db2.gz ZPQKOKJANLCOMI-UHFFFAOYSA-N 0 3 248.326 2.861 20 0 BFADHN Fc1c(Cl)nccc1CNC[C@H]1C=CCC1 ZINC000895527172 583684594 /nfs/dbraw/zinc/68/45/94/583684594.db2.gz IDUVJODHKUPPBK-VIFPVBQESA-N 0 3 240.709 2.930 20 0 BFADHN Cc1ncoc1CNCC1(CC2CC2)CC1 ZINC000895531578 583685587 /nfs/dbraw/zinc/68/55/87/583685587.db2.gz NKDOKXZGDQDGOR-UHFFFAOYSA-N 0 3 220.316 2.653 20 0 BFADHN CCC[C@H](C)CCNCc1cn2cccnc2n1 ZINC000895531335 583685826 /nfs/dbraw/zinc/68/58/26/583685826.db2.gz FIWQGKWSOHROOT-LBPRGKRZSA-N 0 3 246.358 2.645 20 0 BFADHN Cc1ccc(CN2CCC(F)CC2)s1 ZINC000895548725 583686788 /nfs/dbraw/zinc/68/67/88/583686788.db2.gz CFAUJVYLMSAYOE-UHFFFAOYSA-N 0 3 213.321 2.990 20 0 BFADHN CCc1cc(CN[C@@H]2C[C@@H]3CC[C@@H](C3)C2)on1 ZINC000883016841 583686848 /nfs/dbraw/zinc/68/68/48/583686848.db2.gz NSBPKLYXOBIWJG-PTEHBNRSSA-N 0 3 234.343 2.905 20 0 BFADHN C=C/C=C\CCNCc1cc(C)c(C(=O)OC)o1 ZINC000895541129 583688232 /nfs/dbraw/zinc/68/82/32/583688232.db2.gz LBGRKHWDAAHHAT-WAYWQWQTSA-N 0 3 249.310 2.597 20 0 BFADHN FC[C@H]1CCCN(Cc2ccc3c(c2)CCO3)C1 ZINC000895545469 583689356 /nfs/dbraw/zinc/68/93/56/583689356.db2.gz DYEXVQFTCPMGNG-CYBMUJFWSA-N 0 3 249.329 2.803 20 0 BFADHN Cc1ccoc1CN1CCC[C@H](CF)C1 ZINC000895544178 583689547 /nfs/dbraw/zinc/68/95/47/583689547.db2.gz JEDPBBYIZWNETG-LLVKDONJSA-N 0 3 211.280 2.770 20 0 BFADHN COc1ccccc1CN1CCC[C@H](CF)C1 ZINC000895546527 583689779 /nfs/dbraw/zinc/68/97/79/583689779.db2.gz OIDUAVQBEDZQQQ-GFCCVEGCSA-N 0 3 237.318 2.877 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN1C[C@@H]2C[C@@H]2C1 ZINC000895559309 583691474 /nfs/dbraw/zinc/69/14/74/583691474.db2.gz OUEXYYCDWYUAOB-BETUJISGSA-N 0 3 233.359 2.533 20 0 BFADHN COc1ccsc1CN1CC[C@H](CF)C1 ZINC000895572540 583691935 /nfs/dbraw/zinc/69/19/35/583691935.db2.gz ILWFBTLGTZBUMP-SECBINFHSA-N 0 3 229.320 2.548 20 0 BFADHN COc1cccc(C)c1CN1CC[C@@H](CF)C1 ZINC000895571977 583692273 /nfs/dbraw/zinc/69/22/73/583692273.db2.gz DGJGIOOTNZDPPA-LBPRGKRZSA-N 0 3 237.318 2.795 20 0 BFADHN Cc1cc(CN2CC[C@@H](CF)C2)ccc1F ZINC000895573668 583693097 /nfs/dbraw/zinc/69/30/97/583693097.db2.gz RDPNZXMMZIHPST-LBPRGKRZSA-N 0 3 225.282 2.926 20 0 BFADHN Cc1cc(CN2CC[C@@H](CF)C2)c(C)o1 ZINC000895574252 583693150 /nfs/dbraw/zinc/69/31/50/583693150.db2.gz VLIJJRLWEGADFN-NSHDSACASA-N 0 3 211.280 2.688 20 0 BFADHN COc1ccc(CN2CC[C@@H](CF)C2)cc1C ZINC000895575874 583693164 /nfs/dbraw/zinc/69/31/64/583693164.db2.gz VQHLVJJQZPGQPL-ZDUSSCGKSA-N 0 3 237.318 2.795 20 0 BFADHN CC(C)(NCc1ccco1)[C@@H](O)c1ccccc1 ZINC000895657862 583701844 /nfs/dbraw/zinc/70/18/44/583701844.db2.gz FZMMRAMALZCHSG-AWEZNQCLSA-N 0 3 245.322 2.881 20 0 BFADHN Cc1ccc2oc(N[C@@H]3CCN(C)[C@@H]3C)nc2c1 ZINC000895741045 583714472 /nfs/dbraw/zinc/71/44/72/583714472.db2.gz XJAIMDNDRAPJHB-GHMZBOCLSA-N 0 3 245.326 2.641 20 0 BFADHN CC(C)c1cnc(N[C@@H]2CCN(C)[C@@H]2C)s1 ZINC000895736911 583718452 /nfs/dbraw/zinc/71/84/52/583718452.db2.gz PUXHNGZCLCEJIJ-NXEZZACHSA-N 0 3 239.388 2.771 20 0 BFADHN CC(C)c1cnc(N[C@@H]2CCN(C)[C@H]2C)s1 ZINC000895736914 583718582 /nfs/dbraw/zinc/71/85/82/583718582.db2.gz PUXHNGZCLCEJIJ-VHSXEESVSA-N 0 3 239.388 2.771 20 0 BFADHN COc1ccc(CN[C@@]23C[C@@H]2CCCC3)nc1 ZINC000895751805 583720009 /nfs/dbraw/zinc/72/00/09/583720009.db2.gz CITROTBASUAXBD-FZMZJTMJSA-N 0 3 232.327 2.513 20 0 BFADHN CCCSC[C@H](C)NCc1ccnc(F)c1 ZINC000895769933 583724148 /nfs/dbraw/zinc/72/41/48/583724148.db2.gz WHPDQEWAZXFUFE-JTQLQIEISA-N 0 3 242.363 2.842 20 0 BFADHN Cc1ccc(CN2CC[C@@H]([C@H]3CCCO3)C2)o1 ZINC000895843433 583734578 /nfs/dbraw/zinc/73/45/78/583734578.db2.gz IFOZLIKIMYZHMU-TZMCWYRMSA-N 0 3 235.327 2.589 20 0 BFADHN CCCSC[C@H](C)N[C@@H](C)c1ccnn1C ZINC000895862790 583735820 /nfs/dbraw/zinc/73/58/20/583735820.db2.gz FERRBLXGORFREX-QWRGUYRKSA-N 0 3 241.404 2.602 20 0 BFADHN Clc1ccc(CNC2CCC=CCC2)nn1 ZINC000895895041 583738960 /nfs/dbraw/zinc/73/89/60/583738960.db2.gz QTSLVDSRGJSTPY-UHFFFAOYSA-N 0 3 237.734 2.718 20 0 BFADHN CN(C)c1ccc(F)cc1CN(C)CCCF ZINC000895896140 583743777 /nfs/dbraw/zinc/74/37/77/583743777.db2.gz OMKREUQNYHMUOM-UHFFFAOYSA-N 0 3 242.313 2.683 20 0 BFADHN C/C(=C\c1ccccc1)CN1C[C@@H](O)CC[C@H]1C ZINC000895926910 583748331 /nfs/dbraw/zinc/74/83/31/583748331.db2.gz HGLSBSGQLODDRP-QCIVWTMKSA-N 0 3 245.366 2.935 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2C[C@@H](O)CC[C@H]2C)o1 ZINC000895926782 583748469 /nfs/dbraw/zinc/74/84/69/583748469.db2.gz FTXNCZQBXPPDKT-NRWUCQMLSA-N 0 3 249.354 2.748 20 0 BFADHN COc1ccc(CNCCC[C@H]2C=CCC2)nc1 ZINC000895930476 583748657 /nfs/dbraw/zinc/74/86/57/583748657.db2.gz LRPGBWXIHDWOLS-ZDUSSCGKSA-N 0 3 246.354 2.926 20 0 BFADHN COCC1CN(Cc2ccc(CC(C)C)cc2)C1 ZINC000895971503 583756343 /nfs/dbraw/zinc/75/63/43/583756343.db2.gz RKRBKHBAHVYMSD-UHFFFAOYSA-N 0 3 247.382 2.963 20 0 BFADHN C=C/C=C\CCNCc1c(C)noc1CC ZINC000895996489 583759479 /nfs/dbraw/zinc/75/94/79/583759479.db2.gz XEGWPBYOMISIKB-SREVYHEPSA-N 0 3 220.316 2.767 20 0 BFADHN FC[C@@H]1CCCN(Cc2cccc3c2OCC3)C1 ZINC000895999601 583760039 /nfs/dbraw/zinc/76/00/39/583760039.db2.gz LMXQLIFOZBOOHL-LBPRGKRZSA-N 0 3 249.329 2.803 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1CCO[C@@H]1C ZINC000147861774 583777182 /nfs/dbraw/zinc/77/71/82/583777182.db2.gz GFFIHQIVLKHHEV-WZRBSPASSA-N 0 3 235.327 2.523 20 0 BFADHN Cc1nc([C@@H]2CCN(CC3(C(C)C)CC3)C2)no1 ZINC000896231187 583784521 /nfs/dbraw/zinc/78/45/21/583784521.db2.gz PRBLQMHHVZPPGW-GFCCVEGCSA-N 0 3 249.358 2.604 20 0 BFADHN CN(C)c1ccnc(CNC2CC3(CCC3)C2)c1 ZINC000896299128 583789758 /nfs/dbraw/zinc/78/97/58/583789758.db2.gz SWRPPBYPNOMZEL-UHFFFAOYSA-N 0 3 245.370 2.570 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)sc1C ZINC000896397063 583799521 /nfs/dbraw/zinc/79/95/21/583799521.db2.gz BTUPBUHKFDROPJ-KPQFEUGASA-N 0 3 236.384 2.894 20 0 BFADHN Cc1n[nH]c(CN2CC[C@@H](C)C[C@@H](C)C2)c1C ZINC000896479248 583805265 /nfs/dbraw/zinc/80/52/65/583805265.db2.gz AMUSRLPFHYKUIU-GHMZBOCLSA-N 0 3 235.375 2.895 20 0 BFADHN OCc1cc(NCC2CCC=CCC2)ccn1 ZINC000896548902 583809938 /nfs/dbraw/zinc/80/99/38/583809938.db2.gz BXYJKLPXJZZOME-UHFFFAOYSA-N 0 3 232.327 2.732 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3c2CCC3)CCO1 ZINC000896576766 583813996 /nfs/dbraw/zinc/81/39/96/583813996.db2.gz FIVWNDUKFJSUBV-CYBMUJFWSA-N 0 3 245.366 2.786 20 0 BFADHN Cc1scc(CN2CCO[C@H](C)CC2)c1C ZINC000896578148 583814932 /nfs/dbraw/zinc/81/49/32/583814932.db2.gz GNRNBDTTYQRYIN-SNVBAGLBSA-N 0 3 239.384 2.976 20 0 BFADHN Cc1cccc(CN2CCO[C@H](C)CC2)c1C ZINC000896584629 583815330 /nfs/dbraw/zinc/81/53/30/583815330.db2.gz PJSLTYWNMHVCDZ-CYBMUJFWSA-N 0 3 233.355 2.914 20 0 BFADHN CC[C@@](C)(CN[C@@H](c1ccccn1)C1CC1)OC ZINC000896610618 583817202 /nfs/dbraw/zinc/81/72/02/583817202.db2.gz XKNDSJUEJLXCID-CABCVRRESA-N 0 3 248.370 2.937 20 0 BFADHN C[C@H](N[C@H](c1ncccn1)C1CC1)C1CCC1 ZINC000896600236 583817220 /nfs/dbraw/zinc/81/72/20/583817220.db2.gz VCCADYOTNKEIAU-GWCFXTLKSA-N 0 3 231.343 2.706 20 0 BFADHN CC[C@H](NCCC1CCC1)c1nccn1C ZINC000132304433 583837908 /nfs/dbraw/zinc/83/79/08/583837908.db2.gz DECYAUNWXOGKAS-LBPRGKRZSA-N 0 3 221.348 2.651 20 0 BFADHN COc1ccc(CNCCC2CC=CC2)o1 ZINC000896865390 583842328 /nfs/dbraw/zinc/84/23/28/583842328.db2.gz WTXGFWGZSODONU-UHFFFAOYSA-N 0 3 221.300 2.734 20 0 BFADHN Fc1cc(CN[C@@H]2CC23CCCC3)ccn1 ZINC000858160723 588936054 /nfs/dbraw/zinc/93/60/54/588936054.db2.gz NREDWKGTJAWGTJ-LLVKDONJSA-N 0 3 220.291 2.643 20 0 BFADHN Fc1cc(CNCC2=CCCCC2)ccn1 ZINC000858171559 588936855 /nfs/dbraw/zinc/93/68/55/588936855.db2.gz YOYNZHCIFOQKQO-UHFFFAOYSA-N 0 3 220.291 2.811 20 0 BFADHN CC(C)(C)OCCCNCc1ccnc(F)c1 ZINC000858190031 588937966 /nfs/dbraw/zinc/93/79/66/588937966.db2.gz YQRPNQVTLHBFFJ-UHFFFAOYSA-N 0 3 240.322 2.516 20 0 BFADHN CCO[C@H]1CCN(C/C=C/c2cccc(F)c2)C1 ZINC000897061329 583875499 /nfs/dbraw/zinc/87/54/99/583875499.db2.gz IHWWBZUXMLJDOI-DRDHIDPGSA-N 0 3 249.329 2.950 20 0 BFADHN CS[C@H]1CC[C@H]1N[C@H]1CCCc2cccnc21 ZINC000897072440 583877719 /nfs/dbraw/zinc/87/77/19/583877719.db2.gz ODKNMUXLYSYKOG-AGIUHOORSA-N 0 3 248.395 2.943 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(C)s2)C1 ZINC000897071523 583878231 /nfs/dbraw/zinc/87/82/31/583878231.db2.gz SYZAVDHLYZTYCY-NSHDSACASA-N 0 3 225.357 2.667 20 0 BFADHN CO[C@H]1CC[C@H]1N(C)c1ccnc2ccccc21 ZINC000897149214 583884718 /nfs/dbraw/zinc/88/47/18/583884718.db2.gz QJYMVHQUCFYVTP-CABCVRRESA-N 0 3 242.322 2.848 20 0 BFADHN CC1=CC[C@@H](NCc2cc(C3CC3)n(C)n2)CC1 ZINC000897232089 583898842 /nfs/dbraw/zinc/89/88/42/583898842.db2.gz MTTVAHTVPBKFQL-CYBMUJFWSA-N 0 3 245.370 2.886 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@H]([NH2+]Cc2cncc([O-])c2)C1 ZINC000897314980 583917806 /nfs/dbraw/zinc/91/78/06/583917806.db2.gz FOKDJIMSKYTAHK-JTNHKYCSSA-N 0 3 234.343 2.702 20 0 BFADHN C[C@H](CCC(F)(F)F)[NH2+]Cc1cncc([O-])c1 ZINC000897315521 583918500 /nfs/dbraw/zinc/91/85/00/583918500.db2.gz MRZZAMYSAYBKCA-MRVPVSSYSA-N 0 3 248.248 2.608 20 0 BFADHN [O-]c1cncc(C[NH2+][C@H]2CCCC[C@H]2C2CC2)c1 ZINC000897316292 583918896 /nfs/dbraw/zinc/91/88/96/583918896.db2.gz YFURONBISYCCPD-GJZGRUSLSA-N 0 3 246.354 2.846 20 0 BFADHN CC(C)[C@H]1CCC[C@@H]1[NH2+]Cc1cncc([O-])c1 ZINC000897315314 583918967 /nfs/dbraw/zinc/91/89/67/583918967.db2.gz JLZCYVJSMFONGP-KGLIPLIRSA-N 0 3 234.343 2.702 20 0 BFADHN C/C=C/CNCc1cc(C)c(OC)c(C)c1 ZINC000858290029 588942405 /nfs/dbraw/zinc/94/24/05/588942405.db2.gz CHEKOXWQOYVVJF-AATRIKPKSA-N 0 3 219.328 2.978 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1cccc(O)c1F ZINC000872064913 583944820 /nfs/dbraw/zinc/94/48/20/583944820.db2.gz PCUXKQSGZOKGHG-GUBZILKMSA-N 0 3 241.306 2.605 20 0 BFADHN Cc1c(CN[C@H]2CSC2(C)C)ccnc1F ZINC000862357891 583961867 /nfs/dbraw/zinc/96/18/67/583961867.db2.gz LMHYPGHVSKRAKY-JTQLQIEISA-N 0 3 240.347 2.513 20 0 BFADHN Cc1cccc2c1C[C@@H](NCc1ccoc1)CO2 ZINC000858216190 588939077 /nfs/dbraw/zinc/93/90/77/588939077.db2.gz VKWBXPPICIYISV-CYBMUJFWSA-N 0 3 243.306 2.681 20 0 BFADHN Clc1ncccc1CNCC1CC=CC1 ZINC000858220831 588939452 /nfs/dbraw/zinc/93/94/52/588939452.db2.gz PTHSTBXBXAMNGU-UHFFFAOYSA-N 0 3 222.719 2.791 20 0 BFADHN Cc1nc(C)c(CNCC(C)(C)C2CC2)o1 ZINC000897436659 583977840 /nfs/dbraw/zinc/97/78/40/583977840.db2.gz BDPCBQWIEXCSRL-UHFFFAOYSA-N 0 3 222.332 2.817 20 0 BFADHN Cc1ccc(CNCC(C)(C)C2CC2)nc1 ZINC000897438587 583983572 /nfs/dbraw/zinc/98/35/72/583983572.db2.gz FMVPBUDFGGXCEY-UHFFFAOYSA-N 0 3 218.344 2.916 20 0 BFADHN Cn1cc(CNCC(C)(C)C2CC2)c(Cl)n1 ZINC000897438551 583983770 /nfs/dbraw/zinc/98/37/70/583983770.db2.gz XOQAQJFQYWAFJI-UHFFFAOYSA-N 0 3 241.766 2.599 20 0 BFADHN CC(C)(CNCc1ccccn1)C1CC1 ZINC000897442227 583984892 /nfs/dbraw/zinc/98/48/92/583984892.db2.gz CUUOKRBSVPJDTR-UHFFFAOYSA-N 0 3 204.317 2.607 20 0 BFADHN CC1(C)CC[C@@H](CCNCc2ccccn2)OC1 ZINC000897477777 583991701 /nfs/dbraw/zinc/99/17/01/583991701.db2.gz GIHLPUQPAMCFLS-AWEZNQCLSA-N 0 3 248.370 2.767 20 0 BFADHN CC1(C)CC[C@H](CCNCc2ccoc2)OC1 ZINC000897478086 583991721 /nfs/dbraw/zinc/99/17/21/583991721.db2.gz KELFHLYCCWUAAS-CYBMUJFWSA-N 0 3 237.343 2.965 20 0 BFADHN CC(C)c1cccc([C@H](C)N[C@@H]2CC[C@H]2O)c1 ZINC000858427918 588953646 /nfs/dbraw/zinc/95/36/46/588953646.db2.gz LGZZQJSAOWKLGW-NILFDRSVSA-N 0 3 233.355 2.984 20 0 BFADHN Cc1cccc2c1CCN(C[C@@H]1CCCCO1)C2 ZINC000729477600 584015127 /nfs/dbraw/zinc/01/51/27/584015127.db2.gz KRTKBBNZJQHUBN-HNNXBMFYSA-N 0 3 245.366 2.922 20 0 BFADHN C[C@H](Cc1cccc(O)c1)NCc1ccoc1 ZINC000729680926 584022551 /nfs/dbraw/zinc/02/25/51/584022551.db2.gz HHLKPFQDCZTCOR-LLVKDONJSA-N 0 3 231.295 2.706 20 0 BFADHN CC1(C)C[C@H](NCc2ccns2)CCS1 ZINC000897794264 584048921 /nfs/dbraw/zinc/04/89/21/584048921.db2.gz UFZMSCFJNQWIRV-SECBINFHSA-N 0 3 242.413 2.907 20 0 BFADHN C/C(=C\c1ccccc1)CN[C@H]1CC12CC(O)C2 ZINC000897851291 584054544 /nfs/dbraw/zinc/05/45/44/584054544.db2.gz UOYBEWKGRODXCV-WZUPJGIYSA-N 0 3 243.350 2.593 20 0 BFADHN COC[C@@H](CC(C)C)N[C@H](C)c1cncs1 ZINC000897931725 584059301 /nfs/dbraw/zinc/05/93/01/584059301.db2.gz CBOPJIXOZJTZAJ-GHMZBOCLSA-N 0 3 242.388 2.855 20 0 BFADHN COC[C@H](CC(C)C)N[C@@H](C)c1cncs1 ZINC000897931727 584059315 /nfs/dbraw/zinc/05/93/15/584059315.db2.gz CBOPJIXOZJTZAJ-QWRGUYRKSA-N 0 3 242.388 2.855 20 0 BFADHN CCCCC1(NCc2cnc(OC)s2)CC1 ZINC000897936361 584062110 /nfs/dbraw/zinc/06/21/10/584062110.db2.gz VHLPHLQFLWYYLH-UHFFFAOYSA-N 0 3 240.372 2.964 20 0 BFADHN CCCCC1(NCc2cnc3cnccn23)CC1 ZINC000897935443 584062357 /nfs/dbraw/zinc/06/23/57/584062357.db2.gz AEGLSAYBCAPUBW-UHFFFAOYSA-N 0 3 244.342 2.542 20 0 BFADHN Cc1ccoc1CN[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897953455 584064030 /nfs/dbraw/zinc/06/40/30/584064030.db2.gz PJATVKKFBWWOKB-GRYCIOLGSA-N 0 3 205.301 2.866 20 0 BFADHN Cc1ccoc1CN[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897953457 584064163 /nfs/dbraw/zinc/06/41/63/584064163.db2.gz PJATVKKFBWWOKB-QJPTWQEYSA-N 0 3 205.301 2.866 20 0 BFADHN c1c(CN[C@@H]2C[C@H]3CCC[C@H]32)nc2ccccn12 ZINC000897954240 584064469 /nfs/dbraw/zinc/06/44/69/584064469.db2.gz YVVQQWODLZWHIE-MRVWCRGKSA-N 0 3 241.338 2.613 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H]3CCC[C@H]23)nc1 ZINC000897954681 584064558 /nfs/dbraw/zinc/06/45/58/584064558.db2.gz JJOQZSFUUUGXHU-FPMFFAJLSA-N 0 3 216.328 2.668 20 0 BFADHN CCc1cnc(CN[C@@H]2C[C@@H]3CCC[C@H]23)s1 ZINC000897955745 584065058 /nfs/dbraw/zinc/06/50/58/584065058.db2.gz YDKPZPJVRIKVNV-ZMLRMANQSA-N 0 3 236.384 2.984 20 0 BFADHN OCc1cc(N[C@H]2C[C@H]2C2CCCCC2)ccn1 ZINC000858424201 588953460 /nfs/dbraw/zinc/95/34/60/588953460.db2.gz VTVXKNUORFZGLK-GJZGRUSLSA-N 0 3 246.354 2.955 20 0 BFADHN C(N[C@@H]1C[C@@H]2CCC[C@H]12)c1nc2c(s1)CCC2 ZINC000897955291 584065374 /nfs/dbraw/zinc/06/53/74/584065374.db2.gz SCKDUBITLZMGSP-JBLDHEPKSA-N 0 3 248.395 2.910 20 0 BFADHN c1cc(CN[C@@H]2C[C@H]3CCC[C@H]32)nc2c1CCC2 ZINC000897959286 584066788 /nfs/dbraw/zinc/06/67/88/584066788.db2.gz RTYVOPZFHMPOMN-XNRPHZJLSA-N 0 3 242.366 2.849 20 0 BFADHN c1cc(CN[C@@H]2C[C@@H]3CCC[C@H]23)nc2c1CCC2 ZINC000897959283 584067064 /nfs/dbraw/zinc/06/70/64/584067064.db2.gz RTYVOPZFHMPOMN-DUVNUKRYSA-N 0 3 242.366 2.849 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2C[C@H]3CCC[C@H]32)o1 ZINC000897959242 584067187 /nfs/dbraw/zinc/06/71/87/584067187.db2.gz QYFYWLWIAGCCTL-IJLUTSLNSA-N 0 3 220.316 2.570 20 0 BFADHN CC[C@H](C)C[C@@H](C)Nc1ccnc(CO)c1 ZINC000858425110 588953977 /nfs/dbraw/zinc/95/39/77/588953977.db2.gz FHHUGKWLOPFPDI-WDEREUQCSA-N 0 3 222.332 2.811 20 0 BFADHN c1cc(CN[C@@H]2C[C@@H]3CCC[C@H]23)n(C2CCC2)n1 ZINC000897960522 584067834 /nfs/dbraw/zinc/06/78/34/584067834.db2.gz VLNGWOKJMRMURP-TUKIKUTGSA-N 0 3 245.370 2.886 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@@H]3CCC[C@H]23)o1 ZINC000897960245 584067936 /nfs/dbraw/zinc/06/79/36/584067936.db2.gz PGWDTHACXSNVSO-WCFLWFBJSA-N 0 3 235.327 2.957 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2cccnc2)sn1 ZINC000897966974 584069531 /nfs/dbraw/zinc/06/95/31/584069531.db2.gz INIJSDZVJQLMTL-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN CCn1nncc1CNC[C@H]1CCC=CCCC1 ZINC000898031703 584077353 /nfs/dbraw/zinc/07/73/53/584077353.db2.gz GXBQDEIQMPNVJX-ZDUSSCGKSA-N 0 3 248.374 2.524 20 0 BFADHN Cc1coc(CNC[C@]23C[C@H]2COC32CCC2)c1 ZINC000898062030 584081435 /nfs/dbraw/zinc/08/14/35/584081435.db2.gz RLJDXTATMBIGRU-GXTWGEPZSA-N 0 3 247.338 2.637 20 0 BFADHN COc1ccc(CN2C[C@@H]3CCC[C@@H]3C2)o1 ZINC000898071482 584082404 /nfs/dbraw/zinc/08/24/04/584082404.db2.gz LSXXJSKOJRMBOM-PHIMTYICSA-N 0 3 221.300 2.520 20 0 BFADHN c1coc(CN2CC[C@H](Cc3nccs3)C2)c1 ZINC000898071056 584082615 /nfs/dbraw/zinc/08/26/15/584082615.db2.gz DNBAZDVPNOQKNY-LLVKDONJSA-N 0 3 248.351 2.801 20 0 BFADHN CCC[C@@H](CN1CC(Cc2ccoc2)C1)OC ZINC000898159665 584087985 /nfs/dbraw/zinc/08/79/85/584087985.db2.gz BJHNDLRBDGPUEW-AWEZNQCLSA-N 0 3 237.343 2.569 20 0 BFADHN OCCc1ccc(CNCc2ccccc2)cc1 ZINC000898172515 584088906 /nfs/dbraw/zinc/08/89/06/584088906.db2.gz MBAVRCOPRDLEFR-UHFFFAOYSA-N 0 3 241.334 2.511 20 0 BFADHN CC(C)CC[C@@H]1CCCN1c1ccnc(CO)c1 ZINC000858450848 588956015 /nfs/dbraw/zinc/95/60/15/588956015.db2.gz NIXNCFXSHDCXMM-AWEZNQCLSA-N 0 3 248.370 2.979 20 0 BFADHN O[C@H](CCNCc1cc2ccccc2o1)C1CC1 ZINC000898206138 584091836 /nfs/dbraw/zinc/09/18/36/584091836.db2.gz MABFWIXTRQIQGO-CQSZACIVSA-N 0 3 245.322 2.683 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCC[C@@H](O)C2CC2)o1 ZINC000898205250 584091929 /nfs/dbraw/zinc/09/19/29/584091929.db2.gz CDCOOHVYYMMREG-DDTOSNHZSA-N 0 3 249.354 2.654 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1c1ccnc(CO)c1 ZINC000858450977 588956126 /nfs/dbraw/zinc/95/61/26/588956126.db2.gz PNHBETFFZBADQC-IUODEOHRSA-N 0 3 248.370 2.979 20 0 BFADHN Cc1cc(CNCCc2cccnc2C)c(C)o1 ZINC000898254354 584101550 /nfs/dbraw/zinc/10/15/50/584101550.db2.gz BZVLEPBKQVMGNH-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN OCc1cc(NC2CCC(F)(F)CC2)ccn1 ZINC000858462296 588957091 /nfs/dbraw/zinc/95/70/91/588957091.db2.gz LDPFGOPXFIHJNN-UHFFFAOYSA-N 0 3 242.269 2.564 20 0 BFADHN CCc1ccc(CNCCc2cccnc2C)o1 ZINC000898256239 584106731 /nfs/dbraw/zinc/10/67/31/584106731.db2.gz ULDQIJBOJKBADJ-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN CC1(C)COC[C@H]1N[C@@H](c1ccncc1)C1CC1 ZINC000898321875 584106986 /nfs/dbraw/zinc/10/69/86/584106986.db2.gz XCDFBXXHOYJFFZ-ZIAGYGMSSA-N 0 3 246.354 2.547 20 0 BFADHN c1cc([C@@H](NC[C@@H]2CCC=CO2)C2CC2)ccn1 ZINC000898322804 584107141 /nfs/dbraw/zinc/10/71/41/584107141.db2.gz RRADCRJAHMQPFM-GJZGRUSLSA-N 0 3 244.338 2.815 20 0 BFADHN COc1ccsc1CNC(C)(C)CSC ZINC000898305508 584108953 /nfs/dbraw/zinc/10/89/53/584108953.db2.gz GSSPMXXEMYMKAJ-UHFFFAOYSA-N 0 3 245.413 2.988 20 0 BFADHN COc1ccc(CNC(C)(C)CSC)o1 ZINC000898304673 584109263 /nfs/dbraw/zinc/10/92/63/584109263.db2.gz IEXNMHWIVGLOOD-UHFFFAOYSA-N 0 3 229.345 2.519 20 0 BFADHN CCC[C@H](CN[C@@H](c1ccncc1)C1CC1)OC ZINC000898319228 584110734 /nfs/dbraw/zinc/11/07/34/584110734.db2.gz AYJDIHKXZHOOHS-HUUCEWRRSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1cc(CN[C@@H]2COC[C@@H]2C2CC2)cs1 ZINC000898380270 584115022 /nfs/dbraw/zinc/11/50/22/584115022.db2.gz MVTONOFFKVAVPH-CHWSQXEVSA-N 0 3 237.368 2.571 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)NC1CCCCCCC1 ZINC000019508807 584124725 /nfs/dbraw/zinc/12/47/25/584124725.db2.gz IDNLVVUFKXQZOS-YPMHNXCESA-N 0 3 240.391 2.589 20 0 BFADHN CO[C@H](CNC/C=C/Cl)Cc1ccccc1 ZINC000898429315 584132179 /nfs/dbraw/zinc/13/21/79/584132179.db2.gz MPLJPMPYVNBPDF-LJLILKBBSA-N 0 3 239.746 2.586 20 0 BFADHN Cl/C=C/CNC[C@@H]1CC1(Cl)Cl ZINC000898429377 584133220 /nfs/dbraw/zinc/13/32/20/584133220.db2.gz OAJUPTYZLOZNJJ-IPWVHJGXSA-N 0 3 214.523 2.522 20 0 BFADHN COCc1csc(CNC/C=C/Cl)c1 ZINC000898429580 584133453 /nfs/dbraw/zinc/13/34/53/584133453.db2.gz QREGTGFXDZLLNF-NSCUHMNNSA-N 0 3 231.748 2.737 20 0 BFADHN Cl/C=C\CN[C@@H]1Cc2[nH]c3ccccc3c2C1 ZINC000898429515 584133470 /nfs/dbraw/zinc/13/34/70/584133470.db2.gz PCOSXTDHZFNIJV-NWPZZWLHSA-N 0 3 246.741 2.977 20 0 BFADHN C[C@]12CSC[C@H]1CN(Cc1cccc(O)c1)C2 ZINC000898423273 584133723 /nfs/dbraw/zinc/13/37/23/584133723.db2.gz RYJVWOSTWMVJJE-OCCSQVGLSA-N 0 3 249.379 2.577 20 0 BFADHN Cc1ccoc1CN1C[C@@H]2CSC[C@]2(C)C1 ZINC000898423218 584133755 /nfs/dbraw/zinc/13/37/55/584133755.db2.gz RECYOZGXJSLRFS-YPMHNXCESA-N 0 3 237.368 2.773 20 0 BFADHN CC(C)(C)OC1CC(NC/C=C\Cl)C1 ZINC000898431628 584134029 /nfs/dbraw/zinc/13/40/29/584134029.db2.gz AYQPKDHHJFATAP-PLNGDYQASA-N 0 3 217.740 2.675 20 0 BFADHN Cc1ccc(CCNC/C=C\Cl)cc1 ZINC000898430030 584134296 /nfs/dbraw/zinc/13/42/96/584134296.db2.gz SRSODRNZFDXQIX-WAPJZHGLSA-N 0 3 209.720 2.880 20 0 BFADHN Cc1ccc(F)cc1CNC/C=C/Cl ZINC000898431982 584134915 /nfs/dbraw/zinc/13/49/15/584134915.db2.gz DOJKAXIAIDUKJM-GORDUTHDSA-N 0 3 213.683 2.976 20 0 BFADHN COc1ccc(C[C@@H](C)NC/C=C\Cl)cc1 ZINC000898432458 584135088 /nfs/dbraw/zinc/13/50/88/584135088.db2.gz FWRVGCMMBUTSLL-UVYAAXSVSA-N 0 3 239.746 2.968 20 0 BFADHN COC1(CNC/C=C\Cl)CCCCC1 ZINC000898432772 584135156 /nfs/dbraw/zinc/13/51/56/584135156.db2.gz IFVIXVKWABBWFE-YVMONPNESA-N 0 3 217.740 2.678 20 0 BFADHN COc1ccc(C[C@H](C)NC/C=C/Cl)cc1 ZINC000898432454 584135407 /nfs/dbraw/zinc/13/54/07/584135407.db2.gz FWRVGCMMBUTSLL-AEBAWRHJSA-N 0 3 239.746 2.968 20 0 BFADHN c1c[nH]c(CNC2(C3CCCCC3)CC2)n1 ZINC000898461354 584137833 /nfs/dbraw/zinc/13/78/33/584137833.db2.gz ABEITQRRUMLDED-UHFFFAOYSA-N 0 3 219.332 2.612 20 0 BFADHN CC(C)C(C)(C)CNc1ccnc(CO)c1 ZINC000858507480 588961503 /nfs/dbraw/zinc/96/15/03/588961503.db2.gz ZVXGZVGAHUYZDQ-UHFFFAOYSA-N 0 3 222.332 2.668 20 0 BFADHN CC1(C)CCC[C@@H]1CNc1ccnc(CO)c1 ZINC000858555545 588965315 /nfs/dbraw/zinc/96/53/15/588965315.db2.gz IKVJZTJUZZOPKU-LLVKDONJSA-N 0 3 234.343 2.812 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNc1ccnc(CO)c1 ZINC000858558674 588965479 /nfs/dbraw/zinc/96/54/79/588965479.db2.gz WWNCHYFZPPTYKI-VXGBXAGGSA-N 0 3 234.343 2.812 20 0 BFADHN CC[C@H](Nc1ccnc(CO)c1)C1CCCC1 ZINC000858563090 588966244 /nfs/dbraw/zinc/96/62/44/588966244.db2.gz MNJVJJBPKGDKOQ-AWEZNQCLSA-N 0 3 234.343 2.955 20 0 BFADHN C[C@H](N[C@@H]1COC2(CCC2)C1)c1ccns1 ZINC000858576060 588967897 /nfs/dbraw/zinc/96/78/97/588967897.db2.gz HFSLZOADXZSSLS-UWVGGRQHSA-N 0 3 238.356 2.505 20 0 BFADHN C[C@@H]1CCN1C[C@@H](O)c1cc2ccccc2o1 ZINC000899894228 584248190 /nfs/dbraw/zinc/24/81/90/584248190.db2.gz VFNGUSKLTSCQIN-ZYHUDNBSSA-N 0 3 231.295 2.560 20 0 BFADHN CC[C@H](N)c1cn(CC2CCC3(CC3)CC2)nn1 ZINC000900602583 584330029 /nfs/dbraw/zinc/33/00/29/584330029.db2.gz XCEBPKCIJFZFGL-LBPRGKRZSA-N 0 3 248.374 2.658 20 0 BFADHN OCc1cc(NC[C@@H]2CCCC23CC3)ccn1 ZINC000858856142 588979482 /nfs/dbraw/zinc/97/94/82/588979482.db2.gz WQUSESLQJQGBGD-NSHDSACASA-N 0 3 232.327 2.566 20 0 BFADHN C/C=C\C[C@H]1CCCN(c2cc(C)nc(N)n2)C1 ZINC000858863435 588980160 /nfs/dbraw/zinc/98/01/60/588980160.db2.gz JSIDQZLBPRLEJL-MVZIDQBPSA-N 0 3 246.358 2.550 20 0 BFADHN C/C=C\C[C@@H]1CCCN(c2cc(C)nc(N)n2)C1 ZINC000858863439 588980328 /nfs/dbraw/zinc/98/03/28/588980328.db2.gz JSIDQZLBPRLEJL-VSQXVHSFSA-N 0 3 246.358 2.550 20 0 BFADHN CN(Cc1cc([C@@H]2CCCO2)no1)C(C)(C)C ZINC000901393750 584438037 /nfs/dbraw/zinc/43/80/37/584438037.db2.gz QSQIQFXENIGFNZ-LBPRGKRZSA-N 0 3 238.331 2.756 20 0 BFADHN CN1CC[C@@H](Cc2nc(C3CCCCC3)no2)C1 ZINC000901916454 584503808 /nfs/dbraw/zinc/50/38/08/584503808.db2.gz HMNCYRVPVOOTRI-NSHDSACASA-N 0 3 249.358 2.612 20 0 BFADHN CCn1cnc(CN[C@@H]2CCC[C@H](C)[C@H]2C)c1 ZINC000902026371 584513213 /nfs/dbraw/zinc/51/32/13/584513213.db2.gz PMZIPLPUBXXZJV-OUCADQQQSA-N 0 3 235.375 2.817 20 0 BFADHN CCNc1ccccc1CNCCSC ZINC000902033491 584518886 /nfs/dbraw/zinc/51/88/86/584518886.db2.gz HYSRSPOBTRSVFW-UHFFFAOYSA-N 0 3 224.373 2.571 20 0 BFADHN CCn1cnc(CNC[C@H]2CCC[C@H](C)C2)c1 ZINC000902096527 584525039 /nfs/dbraw/zinc/52/50/39/584525039.db2.gz JNZWOGMKGKHOOY-STQMWFEESA-N 0 3 235.375 2.819 20 0 BFADHN CNc1ccccc1CNCCc1nccs1 ZINC000902138997 584526142 /nfs/dbraw/zinc/52/61/42/584526142.db2.gz NSFJRKORSAZNLI-UHFFFAOYSA-N 0 3 247.367 2.517 20 0 BFADHN CCn1cnc(CNC2(C)CCCCC2)c1 ZINC000902184977 584534001 /nfs/dbraw/zinc/53/40/01/584534001.db2.gz XVBSKOHGRTWEHF-UHFFFAOYSA-N 0 3 221.348 2.715 20 0 BFADHN CNc1ccc(C)cc1CNCCOCC1CC1 ZINC000902218678 584539370 /nfs/dbraw/zinc/53/93/70/584539370.db2.gz SRPBKHKSZMNMFN-UHFFFAOYSA-N 0 3 248.370 2.553 20 0 BFADHN CCn1cnc(CNC(C)(CC)CC)c1 ZINC000902247889 584546334 /nfs/dbraw/zinc/54/63/34/584546334.db2.gz KNMREFNNJLZTPK-UHFFFAOYSA-N 0 3 209.337 2.571 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cn(CC)cn1 ZINC000902310964 584554949 /nfs/dbraw/zinc/55/49/49/584554949.db2.gz HTALFLNNLNZIRM-DGCLKSJQSA-N 0 3 221.348 2.571 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccnc(F)c2)C1 ZINC000859061816 588995689 /nfs/dbraw/zinc/99/56/89/588995689.db2.gz LZLVJWQVENZBLS-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccnc(F)c1 ZINC000859063997 588996250 /nfs/dbraw/zinc/99/62/50/588996250.db2.gz QRPGYRHSVHQFIE-LBPRGKRZSA-N 0 3 222.307 2.985 20 0 BFADHN CNc1ccccc1CN[C@@H](C)c1ccc[nH]1 ZINC000902420494 584592325 /nfs/dbraw/zinc/59/23/25/584592325.db2.gz XLVQTJPZIAETAF-NSHDSACASA-N 0 3 229.327 2.907 20 0 BFADHN C[C@@H](N[C@@H]1Cc2ccccc2[C@H]1N)c1ccco1 ZINC000902442028 584597901 /nfs/dbraw/zinc/59/79/01/584597901.db2.gz HADFXDBGAFSRJX-WDBKCZKBSA-N 0 3 242.322 2.555 20 0 BFADHN CCn1cnc(CN[C@@H]2CCC(C)(C)C2)c1 ZINC000902443240 584598159 /nfs/dbraw/zinc/59/81/59/584598159.db2.gz DXMCCZYPCYMSAY-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2csc(N)n2)C1 ZINC000902492021 584617886 /nfs/dbraw/zinc/61/78/86/584617886.db2.gz VSLNMXKTOJPRCP-UWVGGRQHSA-N 0 3 239.388 2.784 20 0 BFADHN CCn1cnc(CN[C@@H]2CC[C@H](C)[C@@H](C)C2)c1 ZINC000902481402 584624270 /nfs/dbraw/zinc/62/42/70/584624270.db2.gz CKLNKAISRRINCO-RWMBFGLXSA-N 0 3 235.375 2.817 20 0 BFADHN C[C@H]1C[C@H](NCc2csc(N)n2)C[C@@H](C)C1 ZINC000902489227 584627752 /nfs/dbraw/zinc/62/77/52/584627752.db2.gz JVHVVLXGHRDLJF-MYJAWHEDSA-N 0 3 239.388 2.640 20 0 BFADHN CNc1ccc(C)cc1CN[C@H]1CC1(C)C ZINC000902596562 584635612 /nfs/dbraw/zinc/63/56/12/584635612.db2.gz OPPVVQUSUFSUPE-ZDUSSCGKSA-N 0 3 218.344 2.925 20 0 BFADHN CNc1ccccc1CN[C@H]1C[C@@H](OC(C)C)C1 ZINC000902595654 584635859 /nfs/dbraw/zinc/63/58/59/584635859.db2.gz VQSCSZLDPQRIOU-OKILXGFUSA-N 0 3 248.370 2.774 20 0 BFADHN CCNc1ccccc1CNC[C@@H](CC)OC ZINC000902572391 584636708 /nfs/dbraw/zinc/63/67/08/584636708.db2.gz SNWLRQQZXPTOSV-CYBMUJFWSA-N 0 3 236.359 2.633 20 0 BFADHN CNc1ccccc1CNCC1(OC)CCCC1 ZINC000902574552 584637458 /nfs/dbraw/zinc/63/74/58/584637458.db2.gz KEBMXFSYFIQFAQ-UHFFFAOYSA-N 0 3 248.370 2.777 20 0 BFADHN CCn1cnc(CNC2(CC)CCCC2)c1 ZINC000902577761 584637974 /nfs/dbraw/zinc/63/79/74/584637974.db2.gz GPGVKOMBNXFDTR-UHFFFAOYSA-N 0 3 221.348 2.715 20 0 BFADHN CNc1ccc(C)cc1CNC[C@H]1CC[C@H](C)O1 ZINC000902584950 584638915 /nfs/dbraw/zinc/63/89/15/584638915.db2.gz AMHVVMMSJCZLKT-GXTWGEPZSA-N 0 3 248.370 2.694 20 0 BFADHN CNc1ccccc1CN[C@@H](C)[C@@H](OC)C1CC1 ZINC000902583925 584638935 /nfs/dbraw/zinc/63/89/35/584638935.db2.gz ISIRRSRUQWCNRB-XHDPSFHLSA-N 0 3 248.370 2.631 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1ccccc1NC ZINC000902586276 584639514 /nfs/dbraw/zinc/63/95/14/584639514.db2.gz NDNMXNAMUNNKCP-HUUCEWRRSA-N 0 3 248.370 2.776 20 0 BFADHN CCn1cnc(CNC[C@@H]2CC3CCC2CC3)c1 ZINC000902605785 584642309 /nfs/dbraw/zinc/64/23/09/584642309.db2.gz UOKYLIVHYRIETP-RUXDESIVSA-N 0 3 247.386 2.819 20 0 BFADHN CNc1ccccc1CN[C@H](C)COCC1CC1 ZINC000902616925 584644171 /nfs/dbraw/zinc/64/41/71/584644171.db2.gz YPJXXPHQLPFCEK-GFCCVEGCSA-N 0 3 248.370 2.633 20 0 BFADHN CNc1ccccc1CN[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C ZINC000902626387 584645650 /nfs/dbraw/zinc/64/56/50/584645650.db2.gz XOBXVRNVJDZEHQ-YXMPFFBPSA-N 0 3 248.370 2.630 20 0 BFADHN CNc1ccccc1CN[C@@H]1[C@H](C)O[C@H](C)[C@H]1C ZINC000902626385 584645820 /nfs/dbraw/zinc/64/58/20/584645820.db2.gz XOBXVRNVJDZEHQ-FJJYHAOUSA-N 0 3 248.370 2.630 20 0 BFADHN C/C=C\CNCc1ccccc1NCC ZINC000902626532 584645939 /nfs/dbraw/zinc/64/59/39/584645939.db2.gz ZRGMNFUWVQGWKA-HYXAFXHYSA-N 0 3 204.317 2.784 20 0 BFADHN Cn1cnc(CNC[C@@H]2CCC=CCCC2)c1 ZINC000902695224 584656718 /nfs/dbraw/zinc/65/67/18/584656718.db2.gz ALPIYQNEQLDRDW-CYBMUJFWSA-N 0 3 233.359 2.646 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H](c2ccncc2)C2CC2)[C@@H](C)O1 ZINC000902718657 584658034 /nfs/dbraw/zinc/65/80/34/584658034.db2.gz HOHMOYGMLHWGNI-HKCMKHECSA-N 0 3 246.354 2.688 20 0 BFADHN COCCN[C@H]1C[C@H](C)Cc2cc(OC)ccc21 ZINC000902720953 584660030 /nfs/dbraw/zinc/66/00/30/584660030.db2.gz RAYCLBUUJHZHHT-ABAIWWIYSA-N 0 3 249.354 2.555 20 0 BFADHN c1coc(CNC2C[C@H]3CCC[C@@H]3C2)c1 ZINC000902720610 584660054 /nfs/dbraw/zinc/66/00/54/584660054.db2.gz MYVOUPSZUJFZPY-GHMZBOCLSA-N 0 3 205.301 2.948 20 0 BFADHN c1csc(CN[C@@H]2C[C@H]3CCC[C@H]3C2)n1 ZINC000902759294 584665745 /nfs/dbraw/zinc/66/57/45/584665745.db2.gz UARZVBAXBGRQLN-JGPRNRPPSA-N 0 3 222.357 2.811 20 0 BFADHN CC(C)=CCC[C@H](C)N[C@H](C)c1cn(C)nn1 ZINC000903007404 584717252 /nfs/dbraw/zinc/71/72/52/584717252.db2.gz YPKZPPQMUWHBME-NWDGAFQWSA-N 0 3 236.363 2.601 20 0 BFADHN CC(C)=CCC[C@@H](C)N[C@@H](C)c1cn(C)nn1 ZINC000903007403 584717643 /nfs/dbraw/zinc/71/76/43/584717643.db2.gz YPKZPPQMUWHBME-NEPJUHHUSA-N 0 3 236.363 2.601 20 0 BFADHN c1coc(CCN[C@H](c2ccccn2)C2CC2)c1 ZINC000903058533 584722502 /nfs/dbraw/zinc/72/25/02/584722502.db2.gz RLHBZFBGBNBPMT-HNNXBMFYSA-N 0 3 242.322 2.958 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](c1nncn1C)C(C)C ZINC000903062809 584722696 /nfs/dbraw/zinc/72/26/96/584722696.db2.gz XISYDFDVNJLESW-SDDRHHMPSA-N 0 3 238.379 2.536 20 0 BFADHN CC1(C)C[C@@]1(C)CN[C@H]1CCCc2c[nH]nc21 ZINC000903088449 584725177 /nfs/dbraw/zinc/72/51/77/584725177.db2.gz QWTJGLHAMAXISB-FZMZJTMJSA-N 0 3 233.359 2.813 20 0 BFADHN C[C@H](N[C@@H]1CCCc2cn[nH]c21)c1ccns1 ZINC000903073863 584726249 /nfs/dbraw/zinc/72/62/49/584726249.db2.gz VMSVNHHEFHSDOX-WCBMZHEXSA-N 0 3 248.355 2.594 20 0 BFADHN CCC[C@](C)(O)CN[C@@H](c1ccccn1)C1CC1 ZINC000903096887 584728935 /nfs/dbraw/zinc/72/89/35/584728935.db2.gz MQARIIQLWYRVNZ-CABCVRRESA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@@H](c1ccccn1)C1CC1 ZINC000903096753 584729191 /nfs/dbraw/zinc/72/91/91/584729191.db2.gz KDBVPZGYKWIDDX-NILFDRSVSA-N 0 3 248.370 2.529 20 0 BFADHN CCCn1cc([C@@H](C)NC2(C3CC3)CCC2)nn1 ZINC000903095099 584729218 /nfs/dbraw/zinc/72/92/18/584729218.db2.gz TZFRFNDHSOOGCF-LLVKDONJSA-N 0 3 248.374 2.671 20 0 BFADHN CC(C)N(C(=O)[C@@H]1CCCN1C(C)C)C(C)C ZINC000903141962 584730618 /nfs/dbraw/zinc/73/06/18/584730618.db2.gz VOXUITDLAACQDQ-ZDUSSCGKSA-N 0 3 240.391 2.505 20 0 BFADHN CC[C@H](NCC[C@@H]1C[C@H]1C1CC1)c1nccn1C ZINC000903161592 584731425 /nfs/dbraw/zinc/73/14/25/584731425.db2.gz LBCYOFDYMKPWQT-RDBSUJKOSA-N 0 3 247.386 2.897 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCC[C@@H]1CC[C@H]2C[C@H]21 ZINC000903163279 584731911 /nfs/dbraw/zinc/73/19/11/584731911.db2.gz BBVXWAKJDUCIJW-IMIFBBOLSA-N 0 3 245.370 2.813 20 0 BFADHN C[C@H](CC(C)(C)O)N[C@@H](c1ccccn1)C1CC1 ZINC000903178074 584733070 /nfs/dbraw/zinc/73/30/70/584733070.db2.gz DYZKBOFHRSZGGE-BXUZGUMPSA-N 0 3 248.370 2.672 20 0 BFADHN c1ccc([C@@H](N[C@@H]2CC23CC3)C2CC2)nc1 ZINC000903193406 584734172 /nfs/dbraw/zinc/73/41/72/584734172.db2.gz WNLQHCZCVYIDHU-OLZOCXBDSA-N 0 3 214.312 2.675 20 0 BFADHN C[C@H](CCC1CC1)NCc1cc(N(C)C)ccn1 ZINC000903199046 584735127 /nfs/dbraw/zinc/73/51/27/584735127.db2.gz SHBDHRSZABLMRS-GFCCVEGCSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@@H](NCc1cc(N(C)C)ccn1)C(C)(C)C ZINC000903202012 584735686 /nfs/dbraw/zinc/73/56/86/584735686.db2.gz UHJYEFXTKZRTQE-LLVKDONJSA-N 0 3 235.375 2.672 20 0 BFADHN CCC[C@@H](O)CCN[C@H](c1ccccn1)C1CC1 ZINC000903224855 584736131 /nfs/dbraw/zinc/73/61/31/584736131.db2.gz BVIQPZJEQSKUPY-HIFRSBDPSA-N 0 3 248.370 2.673 20 0 BFADHN Cc1nonc1CN[C@@H]1[C@H](C)CC[C@H](C)[C@@H]1C ZINC000903216818 584737404 /nfs/dbraw/zinc/73/74/04/584737404.db2.gz JABJLDXXBPJUTO-MPXOCVNLSA-N 0 3 237.347 2.538 20 0 BFADHN Cc1nonc1CN[C@@H]1[C@H](C)CC[C@H](C)[C@H]1C ZINC000903216817 584737452 /nfs/dbraw/zinc/73/74/52/584737452.db2.gz JABJLDXXBPJUTO-DCJFZGGZSA-N 0 3 237.347 2.538 20 0 BFADHN c1cnc([C@H](N[C@H]2CCC[C@@H]3C[C@@H]32)C2CC2)nc1 ZINC000903223615 584737970 /nfs/dbraw/zinc/73/79/70/584737970.db2.gz IADQVXDQJKUOHB-ZOBORPQBSA-N 0 3 243.354 2.706 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC(C)(C)C1CC1 ZINC000903239599 584738117 /nfs/dbraw/zinc/73/81/17/584738117.db2.gz HUTSQSLVIWMWMU-LLVKDONJSA-N 0 3 235.375 2.990 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1Cc1ccnc(F)c1 ZINC000859133663 589007033 /nfs/dbraw/zinc/00/70/33/589007033.db2.gz DQHFVWGYHHZIGB-GHMZBOCLSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1Cc1ccnc(F)c1 ZINC000859133664 589007129 /nfs/dbraw/zinc/00/71/29/589007129.db2.gz DQHFVWGYHHZIGB-PHIMTYICSA-N 0 3 222.307 2.984 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CCN(C)[C@H]2C)o1 ZINC000903300261 584743817 /nfs/dbraw/zinc/74/38/17/584743817.db2.gz GFWYHWIEQFEYKP-GVXVVHGQSA-N 0 3 236.359 2.585 20 0 BFADHN CCC[C@H](N[C@H]1CCN(C)[C@H]1C)c1ccccn1 ZINC000903301585 584745000 /nfs/dbraw/zinc/74/50/00/584745000.db2.gz SCXGRPYGSUOWHQ-YDHLFZDLSA-N 0 3 247.386 2.605 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)oc1C ZINC000903286737 584745536 /nfs/dbraw/zinc/74/55/36/584745536.db2.gz NHLKYKTWEKUILQ-KVMADOOQSA-N 0 3 247.338 2.723 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1ccc(F)cc1 ZINC000903287159 584745620 /nfs/dbraw/zinc/74/56/20/584745620.db2.gz AVLTVJYWOQNYCV-GKEDWUBWSA-N 0 3 247.313 2.652 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1ccsc1 ZINC000903288754 584745768 /nfs/dbraw/zinc/74/57/68/584745768.db2.gz MKJVEFBEEQNBJW-OBTGPFSDSA-N 0 3 235.352 2.575 20 0 BFADHN CC[C@H](C)CN(C)Cc1ccnc(F)c1 ZINC000859137008 589007489 /nfs/dbraw/zinc/00/74/89/589007489.db2.gz SYGRGHLDDQILIA-JTQLQIEISA-N 0 3 210.296 2.699 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@H]3CCC[C@H]32)nn1C ZINC000903319782 584746633 /nfs/dbraw/zinc/74/66/33/584746633.db2.gz XGWBPURBZWHYTF-FMCLSXCISA-N 0 3 233.359 2.568 20 0 BFADHN c1cnc([C@@H](N[C@H]2CCC[C@H]3C[C@H]32)C2CC2)nc1 ZINC000903322310 584746964 /nfs/dbraw/zinc/74/69/64/584746964.db2.gz IADQVXDQJKUOHB-CRWXNKLISA-N 0 3 243.354 2.706 20 0 BFADHN c1cnc([C@H](N[C@@H]2CC[C@H]2C2CC2)C2CC2)nc1 ZINC000903322010 584746999 /nfs/dbraw/zinc/74/69/99/584746999.db2.gz FVLRNTVZNMYENA-BFHYXJOUSA-N 0 3 243.354 2.706 20 0 BFADHN CC[C@H](N[C@H](c1ncccn1)C1CC1)[C@H]1C[C@@H]1C ZINC000903323955 584747517 /nfs/dbraw/zinc/74/75/17/584747517.db2.gz PDMMYDFLRGHUEE-PYJNHQTQSA-N 0 3 245.370 2.952 20 0 BFADHN Fc1cc(CN2CC[C@@H](c3ccco3)C2)ccn1 ZINC000859135533 589007541 /nfs/dbraw/zinc/00/75/41/589007541.db2.gz HDWHHHRKCGHQEZ-GFCCVEGCSA-N 0 3 246.285 2.803 20 0 BFADHN CCCCC1(N[C@H](C)c2ncc[nH]2)CC1 ZINC000903308651 584747763 /nfs/dbraw/zinc/74/77/63/584747763.db2.gz ASIXHLHXVQZTSN-SNVBAGLBSA-N 0 3 207.321 2.783 20 0 BFADHN CCCCC1(N[C@@H]2CCn3ccnc32)CC1 ZINC000903313347 584748670 /nfs/dbraw/zinc/74/86/70/584748670.db2.gz NYVHSOUGIAESIX-LLVKDONJSA-N 0 3 219.332 2.640 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCC[C@@H]12)c1nccs1 ZINC000903314569 584749180 /nfs/dbraw/zinc/74/91/80/584749180.db2.gz APUPKIUNKYRFQH-UKKRHICBSA-N 0 3 222.357 2.982 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2C[C@@H]3CCC[C@@H]23)on1 ZINC000903316467 584749668 /nfs/dbraw/zinc/74/96/68/584749668.db2.gz IWKOOERVQZQENF-UKKRHICBSA-N 0 3 236.315 2.522 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCC[C@H]12)c1cncs1 ZINC000903316226 584749678 /nfs/dbraw/zinc/74/96/78/584749678.db2.gz IQNTUAMMFVJATJ-XWLWVQCSSA-N 0 3 222.357 2.982 20 0 BFADHN CCC[C@H](NC[C@H]1CCN1C)c1cc(C)ccn1 ZINC000903316986 584750019 /nfs/dbraw/zinc/75/00/19/584750019.db2.gz RLXPFUHFJZKQGI-KGLIPLIRSA-N 0 3 247.386 2.525 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@@H]3CCC[C@H]23)c2nccn21 ZINC000903318580 584750928 /nfs/dbraw/zinc/75/09/28/584750928.db2.gz QJNJWIJFEYROBU-SJHCENCUSA-N 0 3 231.343 2.667 20 0 BFADHN CCOC1CC(N[C@H](c2ccccn2)C2CC2)C1 ZINC000903335051 584751417 /nfs/dbraw/zinc/75/14/17/584751417.db2.gz KHGOTPIEVAPQCF-PIMMBPRGSA-N 0 3 246.354 2.690 20 0 BFADHN CC1(C)C[C@H](N[C@H](c2ccccn2)C2CC2)CO1 ZINC000903334753 584751531 /nfs/dbraw/zinc/75/15/31/584751531.db2.gz FWEMTVBIYFJFQK-JSGCOSHPSA-N 0 3 246.354 2.690 20 0 BFADHN CCOC1CC(N[C@@H](c2ccccn2)C2CC2)C1 ZINC000903335052 584751557 /nfs/dbraw/zinc/75/15/57/584751557.db2.gz KHGOTPIEVAPQCF-SSDMNJCBSA-N 0 3 246.354 2.690 20 0 BFADHN CC[C@@H](COC)N[C@@H](c1ccccn1)C1CC1 ZINC000903341104 584752041 /nfs/dbraw/zinc/75/20/41/584752041.db2.gz WFGYBCPWAQMDNK-GXTWGEPZSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)c1ccc(N[C@@H]2CN(C)C[C@H]2C)cn1 ZINC000903340542 584752160 /nfs/dbraw/zinc/75/21/60/584752160.db2.gz UZAPUMBAKHHRMA-BXUZGUMPSA-N 0 3 233.359 2.567 20 0 BFADHN CC[C@@H](COC)N[C@H](c1ccccn1)C1CC1 ZINC000903341105 584752169 /nfs/dbraw/zinc/75/21/69/584752169.db2.gz WFGYBCPWAQMDNK-JSGCOSHPSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)c1ccc(N[C@H]2CN(C)C[C@H]2C)cn1 ZINC000903340544 584752224 /nfs/dbraw/zinc/75/22/24/584752224.db2.gz UZAPUMBAKHHRMA-RISCZKNCSA-N 0 3 233.359 2.567 20 0 BFADHN COC[C@@H](N[C@@H](c1ccccn1)C1CC1)C(C)C ZINC000903340680 584752259 /nfs/dbraw/zinc/75/22/59/584752259.db2.gz RXOGMEYOXLMZPQ-HUUCEWRRSA-N 0 3 248.370 2.793 20 0 BFADHN C[C@@H](NC[C@@H]1CCC=CCCC1)c1cn(C)nn1 ZINC000903347255 584752925 /nfs/dbraw/zinc/75/29/25/584752925.db2.gz ZESAZFDDMNUPAA-CHWSQXEVSA-N 0 3 248.374 2.602 20 0 BFADHN CC(C)C[C@H](C)N[C@H](c1ncccn1)C1CC1 ZINC000903329939 584754114 /nfs/dbraw/zinc/75/41/14/584754114.db2.gz GLRVCXIKANCMOR-AAEUAGOBSA-N 0 3 233.359 2.952 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@H](C)c1cncc(C)c1 ZINC000903332196 584754658 /nfs/dbraw/zinc/75/46/58/584754658.db2.gz QWGCCAFIJPUHAY-VXGBXAGGSA-N 0 3 236.359 2.854 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@H]1NCc1cn(C)cn1 ZINC000903350344 584754865 /nfs/dbraw/zinc/75/48/65/584754865.db2.gz ARBJAQCRJGWXLU-OUCADQQQSA-N 0 3 235.375 2.725 20 0 BFADHN CCC[C@@H](C)[C@@H](CC)NCc1cn(C)cn1 ZINC000903351086 584755554 /nfs/dbraw/zinc/75/55/54/584755554.db2.gz HGLDJIZLBMQSFJ-DGCLKSJQSA-N 0 3 223.364 2.725 20 0 BFADHN C[C@H](NC[C@]12C[C@H]1COC21CCC1)c1ccco1 ZINC000903358280 584755723 /nfs/dbraw/zinc/75/57/23/584755723.db2.gz IBFQCVICPZNOKH-SGMGOOAPSA-N 0 3 247.338 2.889 20 0 BFADHN C[C@@H](NC[C@]12C[C@H]1COC21CCC1)c1ccoc1 ZINC000903360143 584755792 /nfs/dbraw/zinc/75/57/92/584755792.db2.gz VAZWGWCGRJNEHQ-KWCYVHTRSA-N 0 3 247.338 2.889 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2COC[C@H]2C)o1 ZINC000903395030 584756831 /nfs/dbraw/zinc/75/68/31/584756831.db2.gz JEGNBVDKBMVKQK-SCVCMEIPSA-N 0 3 223.316 2.528 20 0 BFADHN CCc1ccc([C@H](C)NCC[C@H](O)C2CC2)o1 ZINC000903409074 584759147 /nfs/dbraw/zinc/75/91/47/584759147.db2.gz VMNWTIKQYZNCMB-GWCFXTLKSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1ncccc1CCN[C@H](C)c1nccs1 ZINC000903414571 584759729 /nfs/dbraw/zinc/75/97/29/584759729.db2.gz BXWMVAQPIVAGEH-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CC=CC[C@H]1C ZINC000903415206 584760204 /nfs/dbraw/zinc/76/02/04/584760204.db2.gz ZLKNJAIIHDGSGA-KGYLQXTDSA-N 0 3 231.343 2.790 20 0 BFADHN CC[C@@H]1COC[C@@H]1N[C@@H](c1ccncc1)C1CC1 ZINC000903428923 584761543 /nfs/dbraw/zinc/76/15/43/584761543.db2.gz MSYJYGRQJSEVDF-BYCMXARLSA-N 0 3 246.354 2.547 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2COC[C@@H]2C2CC2)oc1C ZINC000903435583 584761999 /nfs/dbraw/zinc/76/19/99/584761999.db2.gz DOBXQHRWYNYLLM-GDLCADMTSA-N 0 3 249.354 2.972 20 0 BFADHN C[C@H](N[C@H]1COC[C@@H]1C1CC1)c1cccc(F)c1 ZINC000903435279 584762322 /nfs/dbraw/zinc/76/23/22/584762322.db2.gz CCHSRFJCQUZYDD-VQISRLSMSA-N 0 3 249.329 2.901 20 0 BFADHN C[C@@H](NC1(C)C(C)(C)C1(C)C)c1cnccn1 ZINC000903442381 584767291 /nfs/dbraw/zinc/76/72/91/584767291.db2.gz OISCLUQXPAUREG-SNVBAGLBSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@@H](NC1(C)C(C)(C)C1(C)C)c1ccn(C)n1 ZINC000903442192 584767423 /nfs/dbraw/zinc/76/74/23/584767423.db2.gz LMZLFYJOXPNRAZ-SNVBAGLBSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H](NC1(C)C(C)(C)C1(C)C)c1cn(C)cn1 ZINC000903442913 584767773 /nfs/dbraw/zinc/76/77/73/584767773.db2.gz YIQSULLZPLPAAO-SNVBAGLBSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@@H](NC/C=C\Cl)c1ccncc1 ZINC000903445764 584770099 /nfs/dbraw/zinc/77/00/99/584770099.db2.gz VMJBCPQNFFFZJT-ZTYXWKCZSA-N 0 3 210.708 2.875 20 0 BFADHN C[C@@H](NC/C=C/Cl)C1(Br)CC1 ZINC000903446255 584770333 /nfs/dbraw/zinc/77/03/33/584770333.db2.gz XICMKRVFDWCDEB-AJCRVFRXSA-N 0 3 238.556 2.645 20 0 BFADHN CC[C@@H]1C[C@H]1CN1CCOc2cc(N)ccc2C1 ZINC000903476949 584777375 /nfs/dbraw/zinc/77/73/75/584777375.db2.gz PDYWPKDYMBOVEN-YPMHNXCESA-N 0 3 246.354 2.509 20 0 BFADHN CCC[C@H](C)CN1CCOc2ccc(N)cc2C1 ZINC000903944352 584829483 /nfs/dbraw/zinc/82/94/83/584829483.db2.gz IPUJENFDBMAQGJ-LBPRGKRZSA-N 0 3 248.370 2.899 20 0 BFADHN CCCC[C@@H](CCC)NCc1ccc(N)nn1 ZINC000904363545 584874454 /nfs/dbraw/zinc/87/44/54/584874454.db2.gz IGHXSIKSQGJXDC-LLVKDONJSA-N 0 3 236.363 2.507 20 0 BFADHN CCC(=O)CCN1CCC[C@@H]1c1ccccn1 ZINC000753737101 584905762 /nfs/dbraw/zinc/90/57/62/584905762.db2.gz CXYVSJCHJSYWSJ-CQSZACIVSA-N 0 3 232.327 2.588 20 0 BFADHN FC(F)Oc1cccc(CN2CC[C@H]3C[C@H]32)c1 ZINC000761081016 584909023 /nfs/dbraw/zinc/90/90/23/584909023.db2.gz FFGHVOMLSNGEFD-CMPLNLGQSA-N 0 3 239.265 2.882 20 0 BFADHN CC(C)COC(=O)c1cccc(CN(C)C)c1 ZINC000835079485 584919207 /nfs/dbraw/zinc/91/92/07/584919207.db2.gz XUNVOUJAYMTETF-UHFFFAOYSA-N 0 3 235.327 2.561 20 0 BFADHN C[C@@H]1c2ccccc2CN1CCC1OCCCO1 ZINC000754657021 584927236 /nfs/dbraw/zinc/92/72/36/584927236.db2.gz ABNKSZXCNMYIEU-GFCCVEGCSA-N 0 3 247.338 2.716 20 0 BFADHN C[C@H]1c2ccccc2CN1CCC1OCCCO1 ZINC000754657039 584927297 /nfs/dbraw/zinc/92/72/97/584927297.db2.gz ABNKSZXCNMYIEU-LBPRGKRZSA-N 0 3 247.338 2.716 20 0 BFADHN CN(CC1CC1)Cn1ccc(-c2cccs2)n1 ZINC000731659402 584928042 /nfs/dbraw/zinc/92/80/42/584928042.db2.gz BESXUAXLWUZMBQ-UHFFFAOYSA-N 0 3 247.367 2.911 20 0 BFADHN CCCCCCN(C)CC(=O)N1CCCCC1 ZINC000731861777 584929722 /nfs/dbraw/zinc/92/97/22/584929722.db2.gz NNVHIWRDKMPUGG-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1ccc2c(c1)COC2 ZINC000815163861 584973375 /nfs/dbraw/zinc/97/33/75/584973375.db2.gz RPGXAWYXJYPTKH-WDEREUQCSA-N 0 3 217.312 2.557 20 0 BFADHN Oc1ccc(CCCNCc2ccoc2)cc1 ZINC000735678123 584980662 /nfs/dbraw/zinc/98/06/62/584980662.db2.gz WEVDXNDYDVCTKX-UHFFFAOYSA-N 0 3 231.295 2.708 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@H]2CC(C)C)n(C)n1 ZINC000840787231 585031035 /nfs/dbraw/zinc/03/10/35/585031035.db2.gz BJQGUWKPKFHNMX-YNEHKIRRSA-N 0 3 235.375 2.814 20 0 BFADHN CC[C@H](C)[C@@H]1CCCCN1Cc1nonc1C ZINC000738313312 585042539 /nfs/dbraw/zinc/04/25/39/585042539.db2.gz GRNXZSLQVGSBPG-GWCFXTLKSA-N 0 3 237.347 2.779 20 0 BFADHN CC(C)=CC(=O)Nc1ccc(CN(C)C)c(C)c1 ZINC000838279209 585052970 /nfs/dbraw/zinc/05/29/70/585052970.db2.gz DLPPXUIHOJVEAD-UHFFFAOYSA-N 0 3 246.354 2.961 20 0 BFADHN C[C@H](c1cccs1)N(C)C[C@H](O)C1CC1 ZINC000838792343 585068435 /nfs/dbraw/zinc/06/84/35/585068435.db2.gz JLXRIGBSUMFEDJ-KOLCDFICSA-N 0 3 225.357 2.512 20 0 BFADHN C[C@H]1c2c(F)cccc2CCN1C[C@H](O)C1CC1 ZINC000838852295 585074927 /nfs/dbraw/zinc/07/49/27/585074927.db2.gz BRKFJUIXAWNOOG-HZMBPMFUSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@@H]1c2c(F)cccc2CCN1C[C@H](O)C1CC1 ZINC000838852300 585074974 /nfs/dbraw/zinc/07/49/74/585074974.db2.gz BRKFJUIXAWNOOG-YGRLFVJLSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@H]1c2ccc(F)cc2CCN1C[C@@H](O)C1CC1 ZINC000838854706 585075082 /nfs/dbraw/zinc/07/50/82/585075082.db2.gz QUSNLXGTCOFOLY-ZUZCIYMTSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@H](CNCc1cn2cccnc2n1)C(C)(C)C ZINC000838955647 585080793 /nfs/dbraw/zinc/08/07/93/585080793.db2.gz MSUKVXHRXBUDFW-LLVKDONJSA-N 0 3 246.358 2.501 20 0 BFADHN C1=CO[C@H](CN2CC3(C2)CCCC3)CC1 ZINC000839107288 585091367 /nfs/dbraw/zinc/09/13/67/585091367.db2.gz XCEKECWKNZWRGA-LBPRGKRZSA-N 0 3 207.317 2.555 20 0 BFADHN CCC[C@H](NCc1cnn(CC)n1)C(C)(C)C ZINC000839126633 585093368 /nfs/dbraw/zinc/09/33/68/585093368.db2.gz IYPOJUWGEJQCSH-LBPRGKRZSA-N 0 3 238.379 2.602 20 0 BFADHN CCC1(CC)CN(C[C@@H]2CCC=CO2)C1 ZINC000839131890 585093992 /nfs/dbraw/zinc/09/39/92/585093992.db2.gz GJTCKHCIKDCULM-LBPRGKRZSA-N 0 3 209.333 2.801 20 0 BFADHN CCC1(CC)CN(C[C@H]2CCC=CO2)C1 ZINC000839131889 585094171 /nfs/dbraw/zinc/09/41/71/585094171.db2.gz GJTCKHCIKDCULM-GFCCVEGCSA-N 0 3 209.333 2.801 20 0 BFADHN C[C@@]1(NCc2cc(F)ncc2F)CC=CCC1 ZINC000839190788 585097392 /nfs/dbraw/zinc/09/73/92/585097392.db2.gz BTRDMHRBOGEVKA-CYBMUJFWSA-N 0 3 238.281 2.948 20 0 BFADHN CCCCCC[C@H](O)CN1C[C@@H]2C[C@H]1CS2 ZINC000839201654 585099712 /nfs/dbraw/zinc/09/97/12/585099712.db2.gz NTVKDYAIIHJAOK-AVGNSLFASA-N 0 3 243.416 2.507 20 0 BFADHN Cc1ccc([C@@H]2CCCN2CC2OCCO2)cc1 ZINC000741157109 585110759 /nfs/dbraw/zinc/11/07/59/585110759.db2.gz RWOQMOCCBZPAGQ-AWEZNQCLSA-N 0 3 247.338 2.505 20 0 BFADHN CCCc1cccc(CN[C@]23C[C@H]2COC3)c1 ZINC000886200565 585112459 /nfs/dbraw/zinc/11/24/59/585112459.db2.gz ROOIEYCWHIFEFP-GJZGRUSLSA-N 0 3 231.339 2.518 20 0 BFADHN CCCOC(=O)[C@H](C)N1C[C@@H](C)CCC[C@@H]1C ZINC000741795693 585118838 /nfs/dbraw/zinc/11/88/38/585118838.db2.gz SAICGRGSIUVVFJ-AVGNSLFASA-N 0 3 241.375 2.839 20 0 BFADHN CCCOC(=O)[C@@H](C)N1CCC[C@@H]1C(C)(C)C ZINC000741785250 585119216 /nfs/dbraw/zinc/11/92/16/585119216.db2.gz PVTFECSKIXRPCB-VXGBXAGGSA-N 0 3 241.375 2.839 20 0 BFADHN CCCCCC[C@H](C)C(=O)NC[C@@H](C)N(C)C ZINC000742044699 585121713 /nfs/dbraw/zinc/12/17/13/585121713.db2.gz PJHMBYVWQHUNHQ-QWHCGFSZSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@H](CNCc1ccoc1)Oc1cccc(F)c1 ZINC000742381278 585125572 /nfs/dbraw/zinc/12/55/72/585125572.db2.gz XZWQWKKLXFRXDA-NSHDSACASA-N 0 3 249.285 2.976 20 0 BFADHN Cc1cc(N[C@@H]2C[C@H]2C2CCCCC2)nc(N)n1 ZINC000742414573 585125907 /nfs/dbraw/zinc/12/59/07/585125907.db2.gz WWCNINMAPVXFEJ-NWDGAFQWSA-N 0 3 246.358 2.748 20 0 BFADHN CCCCC[C@@H](C)CC(=O)NC[C@H](C)N(C)C ZINC000742532449 585127722 /nfs/dbraw/zinc/12/77/22/585127722.db2.gz MEFKQDKHKPQSPX-OLZOCXBDSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1ccc(OCCSCCN(C)C)cc1 ZINC000742796686 585131517 /nfs/dbraw/zinc/13/15/17/585131517.db2.gz WWOQMPNFDPQFJQ-UHFFFAOYSA-N 0 3 239.384 2.669 20 0 BFADHN COc1cccc(SCCN2C[C@@H]3C[C@@H]3C2)c1 ZINC000886348057 585134043 /nfs/dbraw/zinc/13/40/43/585134043.db2.gz FSOFHONVVYSFMX-TXEJJXNPSA-N 0 3 249.379 2.739 20 0 BFADHN Cc1occc1CN(C)CCCCCCCO ZINC000743842171 585140349 /nfs/dbraw/zinc/14/03/49/585140349.db2.gz BGGPVTSNMGSXPP-UHFFFAOYSA-N 0 3 239.359 2.963 20 0 BFADHN CCCCOC(=O)[C@H](C)N1C[C@@H](C)C[C@@H]1CC ZINC000745990897 585162520 /nfs/dbraw/zinc/16/25/20/585162520.db2.gz KFYCOGVLOSAEQL-AVGNSLFASA-N 0 3 241.375 2.839 20 0 BFADHN FCCN1CCC[C@H]1Cc1ccccc1 ZINC000746500850 585169158 /nfs/dbraw/zinc/16/91/58/585169158.db2.gz XCBBFNWKPATZDQ-ZDUSSCGKSA-N 0 3 207.292 2.663 20 0 BFADHN Cc1cc([C@@H](C)NC2(C3CC3)CCC2)nn1C ZINC000886824922 585180801 /nfs/dbraw/zinc/18/08/01/585180801.db2.gz JFKWUKPYWYIHIS-LLVKDONJSA-N 0 3 233.359 2.712 20 0 BFADHN CC(=O)CCN1CCC[C@H]1c1ccc(O)cc1 ZINC000747671026 585182080 /nfs/dbraw/zinc/18/20/80/585182080.db2.gz ZHXUXXJWNLKRQD-AWEZNQCLSA-N 0 3 233.311 2.508 20 0 BFADHN CC[C@]1(C)CCCN(C/C=C(/C)C(=O)OC)C1 ZINC000748822321 585196999 /nfs/dbraw/zinc/19/69/99/585196999.db2.gz BCELISWXMMGVEL-YRBHFNIASA-N 0 3 239.359 2.618 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CCc1cccs1 ZINC000749436756 585202535 /nfs/dbraw/zinc/20/25/35/585202535.db2.gz QBSGOUONFAEEDK-NWDGAFQWSA-N 0 3 239.384 2.790 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)[C@H](C)CC(C)C ZINC000749903104 585208020 /nfs/dbraw/zinc/20/80/20/585208020.db2.gz YORAGNMXWODDJK-LLVKDONJSA-N 0 3 237.391 2.903 20 0 BFADHN C[C@H]1CC[C@H](C)C1NCc1cn2ccccc2n1 ZINC000749922598 585208396 /nfs/dbraw/zinc/20/83/96/585208396.db2.gz BUUVVFKPZYVNPG-RYUDHWBXSA-N 0 3 243.354 2.859 20 0 BFADHN CCC[C@H](C)CCCN1CCN(C)[C@@H](C)C1 ZINC000859216549 589020185 /nfs/dbraw/zinc/02/01/85/589020185.db2.gz LQKFXDYWKBCETF-KBPBESRZSA-N 0 3 226.408 2.839 20 0 BFADHN Cc1ccc(CN2CC[C@H]3C[C@H]32)cc1F ZINC000859216500 589020251 /nfs/dbraw/zinc/02/02/51/589020251.db2.gz KPXZSKAISAICSD-WCQYABFASA-N 0 3 205.276 2.728 20 0 BFADHN CCCCCCN(C)CC(=O)N1CCC[C@@H]1C ZINC000751991535 585240392 /nfs/dbraw/zinc/24/03/92/585240392.db2.gz UNULPPCXQFGZSX-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1noc(C)c1[C@@H](C)CNCc1ccoc1 ZINC000752107458 585242315 /nfs/dbraw/zinc/24/23/15/585242315.db2.gz PQIZVHSMWTXBOM-VIFPVBQESA-N 0 3 234.299 2.778 20 0 BFADHN OCCC1(CNCc2ccoc2)CCCCC1 ZINC000753374295 585257488 /nfs/dbraw/zinc/25/74/88/585257488.db2.gz SSIXZUNORWWCIC-UHFFFAOYSA-N 0 3 237.343 2.702 20 0 BFADHN COc1cc(C)c([C@H](C)N[C@@H]2CCOC2)cc1C ZINC000753686857 585266259 /nfs/dbraw/zinc/26/62/59/585266259.db2.gz LNUGTZILZDZZHB-QWHCGFSZSA-N 0 3 249.354 2.752 20 0 BFADHN CCC(=O)CCN1CC[C@@H]1Cc1ccccc1 ZINC000753737527 585267569 /nfs/dbraw/zinc/26/75/69/585267569.db2.gz GDLJJPFXHLWHKW-CQSZACIVSA-N 0 3 231.339 2.673 20 0 BFADHN CCCCN(Cc1ccnc(N(C)C)c1)C1CC1 ZINC000754011234 585275164 /nfs/dbraw/zinc/27/51/64/585275164.db2.gz SKFBBWRJUYTABW-UHFFFAOYSA-N 0 3 247.386 2.912 20 0 BFADHN Cc1cc([C@@H]2CCCN2C[C@@H]2C[C@@H]2C)no1 ZINC000754100122 585278244 /nfs/dbraw/zinc/27/82/44/585278244.db2.gz HWBMVLFVCAEFMC-GAFUQQFSSA-N 0 3 220.316 2.776 20 0 BFADHN C[C@@H]1CN(Cc2cc3ccccc3s2)C[C@H]1O ZINC000754097464 585278367 /nfs/dbraw/zinc/27/83/67/585278367.db2.gz NHCFMSMZCUAKQB-ZWNOBZJWSA-N 0 3 247.363 2.714 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H]2CC[C@@H]2C)[n-]1 ZINC000754100302 585278431 /nfs/dbraw/zinc/27/84/31/585278431.db2.gz CUGLVPRAOGTOKM-NAKRPEOUSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)N[C@H]2CC[C@@H]2C)[nH]1 ZINC000754100302 585278432 /nfs/dbraw/zinc/27/84/32/585278432.db2.gz CUGLVPRAOGTOKM-NAKRPEOUSA-N 0 3 236.363 2.767 20 0 BFADHN CCN(C)c1ccccc1CNCc1cc[nH]c1 ZINC000754880839 585292017 /nfs/dbraw/zinc/29/20/17/585292017.db2.gz AZZIJJWIIMAWMM-UHFFFAOYSA-N 0 3 243.354 2.761 20 0 BFADHN CC[C@H](C)OC(=O)C(C)(C)N(C)C1CCCC1 ZINC000754874979 585292049 /nfs/dbraw/zinc/29/20/49/585292049.db2.gz UFCSGPFEZOEDBI-NSHDSACASA-N 0 3 241.375 2.981 20 0 BFADHN CC[C@H]1CC(=O)N(CN(C)[C@H](C)C(C)(C)C)C1 ZINC000755914977 585310316 /nfs/dbraw/zinc/31/03/16/585310316.db2.gz WSMOJJLNEUGJHW-NEPJUHHUSA-N 0 3 240.391 2.569 20 0 BFADHN CCN(C(=O)CN[C@@H](C)C(C)C)c1ccccc1 ZINC000756200186 585315741 /nfs/dbraw/zinc/31/57/41/585315741.db2.gz NCVBLOUTVQWGEI-ZDUSSCGKSA-N 0 3 248.370 2.674 20 0 BFADHN Cc1ccc(CNC2COC(C)(C)OC2)c(C)c1 ZINC000758009995 585348356 /nfs/dbraw/zinc/34/83/56/585348356.db2.gz DZHRGULICXWNBI-UHFFFAOYSA-N 0 3 249.354 2.545 20 0 BFADHN CC(C)(CNCc1ccoc1)[C@@H]1CCCCO1 ZINC000758496419 585356594 /nfs/dbraw/zinc/35/65/94/585356594.db2.gz CBPMAGYQSDSFAD-ZDUSSCGKSA-N 0 3 237.343 2.965 20 0 BFADHN COC(C)(C)CN(C)C[C@H]1CC1(Cl)Cl ZINC000759017327 585363626 /nfs/dbraw/zinc/36/36/26/585363626.db2.gz CBZBWZYVIRSTSL-MRVPVSSYSA-N 0 3 240.174 2.537 20 0 BFADHN CC(C)[C@H]1CCN1C[C@@H](O)c1ccc(F)cc1 ZINC000759067867 585363942 /nfs/dbraw/zinc/36/39/42/585363942.db2.gz CWVTXQKSPGCKBV-ZIAGYGMSSA-N 0 3 237.318 2.589 20 0 BFADHN CC(C)[C@H]1CCN1C[C@@H](O)c1cccc(F)c1 ZINC000759070215 585364011 /nfs/dbraw/zinc/36/40/11/585364011.db2.gz LQLVPGITSBGMNK-ZIAGYGMSSA-N 0 3 237.318 2.589 20 0 BFADHN CCC(CC)CC(=O)N[C@@H](CN(C)C)C(C)C ZINC000759217977 585365676 /nfs/dbraw/zinc/36/56/76/585365676.db2.gz HZGMXYFPGRNKEA-ZDUSSCGKSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1cc(N2CCC[C@@H](CC(C)C)C2)nc(N)n1 ZINC000759240643 585366214 /nfs/dbraw/zinc/36/62/14/585366214.db2.gz MTMNKDUKDVZHRU-LBPRGKRZSA-N 0 3 248.374 2.630 20 0 BFADHN CC[C@H](Cc1ccccc1)N(C)CCF ZINC000759345954 585370201 /nfs/dbraw/zinc/37/02/01/585370201.db2.gz UWHIGCZINBPHPU-CYBMUJFWSA-N 0 3 209.308 2.909 20 0 BFADHN CC[C@H]1CN(C)c2ccccc2CN1CCF ZINC000759373695 585371620 /nfs/dbraw/zinc/37/16/20/585371620.db2.gz QZEUSRHIAYPGGK-ZDUSSCGKSA-N 0 3 236.334 2.687 20 0 BFADHN CCCCN(C)C1(C(=O)OC)CCCCC1 ZINC000759391628 585371943 /nfs/dbraw/zinc/37/19/43/585371943.db2.gz FJYCNVUQXQQYPR-UHFFFAOYSA-N 0 3 227.348 2.594 20 0 BFADHN CC(C)OCCCN1CC[C@@H](C(F)(F)F)C1 ZINC000761052630 585404489 /nfs/dbraw/zinc/40/44/89/585404489.db2.gz PFMKBUCTGTZMAL-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCN(C)[C@H](C)C1 ZINC000761077467 585406175 /nfs/dbraw/zinc/40/61/75/585406175.db2.gz SYVGBURXMGYRNE-NEPJUHHUSA-N 0 3 236.334 2.523 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@@H]2C[C@@H]21 ZINC000761097845 585408952 /nfs/dbraw/zinc/40/89/52/585408952.db2.gz VXOFVONLCRONOE-BREBYQMCSA-N 0 3 205.276 2.981 20 0 BFADHN CCOC(=O)CN(C[Si](C)(C)C)C(C)(C)C ZINC000762393578 585431577 /nfs/dbraw/zinc/43/15/77/585431577.db2.gz SEMKSMDMNNSUBL-UHFFFAOYSA-N 0 3 245.439 2.527 20 0 BFADHN CCOc1cccc(CN[C@@H](C)C2(C)CC2)n1 ZINC000763413811 585447827 /nfs/dbraw/zinc/44/78/27/585447827.db2.gz ODSSITILLFXTBH-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN COCCCNc1ccnc2cc(OC)ccc21 ZINC000765448542 585486687 /nfs/dbraw/zinc/48/66/87/585486687.db2.gz HXKWTJFTPGNWLH-UHFFFAOYSA-N 0 3 246.310 2.692 20 0 BFADHN CC(C)[C@H](N[C@@H]1CCCOC1)c1ccccn1 ZINC000766082930 585497551 /nfs/dbraw/zinc/49/75/51/585497551.db2.gz UFSKJSGUFGSUJD-OCCSQVGLSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1ccc(CN(C)CCC(=O)C2CC2)cc1 ZINC000767363162 585517944 /nfs/dbraw/zinc/51/79/44/585517944.db2.gz UGEVDYWHVOXVCH-UHFFFAOYSA-N 0 3 231.339 2.796 20 0 BFADHN O=C(CCN1CCCc2ccccc2C1)C1CC1 ZINC000767373985 585518422 /nfs/dbraw/zinc/51/84/22/585518422.db2.gz PDZZKYHBRGANAK-UHFFFAOYSA-N 0 3 243.350 2.804 20 0 BFADHN Cc1cncc([C@@H](C)NCCOC2CCC2)c1 ZINC000768249377 585534670 /nfs/dbraw/zinc/53/46/70/585534670.db2.gz PTIHKBKHRQRLAJ-GFCCVEGCSA-N 0 3 234.343 2.610 20 0 BFADHN COC[C@H]1CCCCN(Cc2ccoc2)C1 ZINC000768539722 585540114 /nfs/dbraw/zinc/54/01/14/585540114.db2.gz BHSJQCVWBHHTFM-LBPRGKRZSA-N 0 3 223.316 2.528 20 0 BFADHN C[C@@H]1COCCN(C/C=C\c2ccc(F)cc2)C1 ZINC000769455696 585557551 /nfs/dbraw/zinc/55/75/51/585557551.db2.gz IQFYPCYTYLAGOK-ZRMMWKCHSA-N 0 3 249.329 2.807 20 0 BFADHN CCC1(O)CCN(Cc2cc(C)oc2C)CC1 ZINC000769483757 585558223 /nfs/dbraw/zinc/55/82/23/585558223.db2.gz IVASMZOILZSOHR-UHFFFAOYSA-N 0 3 237.343 2.633 20 0 BFADHN O[C@H](CN1CCCC12CCC2)c1cccc(F)c1 ZINC000770004535 585569191 /nfs/dbraw/zinc/56/91/91/585569191.db2.gz XFDCJAAAQIUVQU-CQSZACIVSA-N 0 3 249.329 2.878 20 0 BFADHN COC[C@@H]1CCCN1Cc1ccc(OC)c(C)c1 ZINC000770287678 585572339 /nfs/dbraw/zinc/57/23/39/585572339.db2.gz YUAUPDPUFQLTBX-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CC(C)(C)/C=C/C(=O)OCCN1CCCCC1 ZINC000770368115 585573270 /nfs/dbraw/zinc/57/32/70/585573270.db2.gz ILRJEXQQDTXGME-BQYQJAHWSA-N 0 3 239.359 2.618 20 0 BFADHN CC[C@H](C)[C@H](C)C(=O)OCCN1CCCCC1 ZINC000770415386 585573874 /nfs/dbraw/zinc/57/38/74/585573874.db2.gz PFRIHVUMRWOSRA-STQMWFEESA-N 0 3 241.375 2.698 20 0 BFADHN c1cnc2ccc(CN3CC[C@@H]4C[C@@H]43)cc2c1 ZINC000770668107 585579331 /nfs/dbraw/zinc/57/93/31/585579331.db2.gz VUFDYQBVEKACTO-HIFRSBDPSA-N 0 3 224.307 2.829 20 0 BFADHN CCCCCC[C@H](C)C(=O)N[C@@H](C)CN(C)C ZINC000805428614 585592383 /nfs/dbraw/zinc/59/23/83/585592383.db2.gz RVSNJNVTHVTAMY-STQMWFEESA-N 0 3 242.407 2.659 20 0 BFADHN CCN(CC)CCOC(=O)C[C@H](C)CC(C)C ZINC000772079689 585608242 /nfs/dbraw/zinc/60/82/42/585608242.db2.gz CFVVOZLRTGZLOC-CYBMUJFWSA-N 0 3 243.391 2.944 20 0 BFADHN CC[C@@H](CC(=O)OCCN(CC)CC)C(C)C ZINC000772094062 585608434 /nfs/dbraw/zinc/60/84/34/585608434.db2.gz YZHLQOJDHYDERX-ZDUSSCGKSA-N 0 3 243.391 2.944 20 0 BFADHN CCN(CC)CCOC(=O)C1(CC)CCCC1 ZINC000772186820 585609675 /nfs/dbraw/zinc/60/96/75/585609675.db2.gz HGWNENOIHCPPMV-UHFFFAOYSA-N 0 3 241.375 2.842 20 0 BFADHN CCCC1(C(=O)OCCN(CC)CC)CCC1 ZINC000772188926 585609795 /nfs/dbraw/zinc/60/97/95/585609795.db2.gz VJQBCBQSJRKDIP-UHFFFAOYSA-N 0 3 241.375 2.842 20 0 BFADHN CCN(CC)CCOC(=O)C[C@H]1CC[C@@H](C)C1 ZINC000772185384 585609891 /nfs/dbraw/zinc/60/98/91/585609891.db2.gz GUSVNLANQAGCOV-OLZOCXBDSA-N 0 3 241.375 2.698 20 0 BFADHN CCCOC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1CC ZINC000772341119 585612219 /nfs/dbraw/zinc/61/22/19/585612219.db2.gz CFOYLKVCMAYOHQ-WOPDTQHZSA-N 0 3 227.348 2.591 20 0 BFADHN CCCCOC(=O)[C@H](C)N1[C@H](CC)CC[C@@H]1C ZINC000772346477 585612997 /nfs/dbraw/zinc/61/29/97/585612997.db2.gz NMMPJCTWKSOZSG-RWMBFGLXSA-N 0 3 241.375 2.981 20 0 BFADHN C[C@@H]1CCCN1CCN[C@H]1CCCc2occc21 ZINC000774206433 585653969 /nfs/dbraw/zinc/65/39/69/585653969.db2.gz CEERIZIZMRXFNA-OCCSQVGLSA-N 0 3 248.370 2.731 20 0 BFADHN Cc1cccc(F)c1CNC[C@H]1CCC=CO1 ZINC000775028173 585670605 /nfs/dbraw/zinc/67/06/05/585670605.db2.gz CPGOULSOULNWTN-GFCCVEGCSA-N 0 3 235.302 2.916 20 0 BFADHN Fc1cccc(C2(NCCN3CCCC3)CC2)c1 ZINC000775455513 585678003 /nfs/dbraw/zinc/67/80/03/585678003.db2.gz UXBWMRVCBVQLRJ-UHFFFAOYSA-N 0 3 248.345 2.500 20 0 BFADHN CC(C)[C@H](NC[C@H]1CCCO1)c1ccccn1 ZINC000775447027 585678037 /nfs/dbraw/zinc/67/80/37/585678037.db2.gz VQOAMMWUZFMEMV-OCCSQVGLSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1nonc1CN[C@H]1CCC(C)(C)C[C@H]1C ZINC000775706837 585683605 /nfs/dbraw/zinc/68/36/05/585683605.db2.gz IWLRUOOFMXYNFP-KOLCDFICSA-N 0 3 237.347 2.682 20 0 BFADHN Cc1ccc(F)cc1CNC[C@H](O)c1ccco1 ZINC000778076081 585725395 /nfs/dbraw/zinc/72/53/95/585725395.db2.gz XCYMTEAUJKSQIC-ZDUSSCGKSA-N 0 3 249.285 2.550 20 0 BFADHN CCCCCCN1CCC(O)(C(F)F)CC1 ZINC000778309173 585730922 /nfs/dbraw/zinc/73/09/22/585730922.db2.gz REFMETIOWOIPHM-UHFFFAOYSA-N 0 3 235.318 2.659 20 0 BFADHN CCN(CC)CCOC(=O)C[C@@H]1C=CCCC1 ZINC000778400464 585733816 /nfs/dbraw/zinc/73/38/16/585733816.db2.gz VGMQBYMHAURLBQ-CYBMUJFWSA-N 0 3 239.359 2.618 20 0 BFADHN O[C@H](CN1CC=CCC1)c1ccc(Cl)cc1 ZINC000778971924 585743603 /nfs/dbraw/zinc/74/36/03/585743603.db2.gz KLKPRIXLIFXYQZ-CYBMUJFWSA-N 0 3 237.730 2.635 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1ccc(F)nc1 ZINC000779153560 585746397 /nfs/dbraw/zinc/74/63/97/585746397.db2.gz GODPCKUCOGKGBQ-SNVBAGLBSA-N 0 3 210.296 2.697 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1ccc(F)nc1 ZINC000779153398 585745845 /nfs/dbraw/zinc/74/58/45/585745845.db2.gz CNWGIABYHFWVRL-LBPRGKRZSA-N 0 3 222.307 2.841 20 0 BFADHN c1ccc2c(c1)cncc2CN1CC=CCC1 ZINC000779159308 585746304 /nfs/dbraw/zinc/74/63/04/585746304.db2.gz DPBWYGULWQXDES-UHFFFAOYSA-N 0 3 224.307 2.997 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1ccc(F)nc1 ZINC000779158386 585746336 /nfs/dbraw/zinc/74/63/36/585746336.db2.gz PYZMJAQEAYBZBY-JQWIXIFHSA-N 0 3 222.307 2.841 20 0 BFADHN CN(C)CCN(Cc1ccoc1)C1CCCC1 ZINC000779172842 585746743 /nfs/dbraw/zinc/74/67/43/585746743.db2.gz XJFRHGSNOIUALN-UHFFFAOYSA-N 0 3 236.359 2.586 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCc3ccccc32)[C@H](C)CO1 ZINC000780285531 585776748 /nfs/dbraw/zinc/77/67/48/585776748.db2.gz DUXYWRXYBGHMHV-NFAWXSAZSA-N 0 3 245.366 2.826 20 0 BFADHN CC[C@@](C)(O)CN[C@H](c1ccccn1)C(C)C ZINC000781903482 585804789 /nfs/dbraw/zinc/80/47/89/585804789.db2.gz UGZOJZAVFKASDY-UONOGXRCSA-N 0 3 236.359 2.529 20 0 BFADHN CCN(Cc1nonc1C)[C@@H]1CCCC[C@H]1C ZINC000781924917 585805336 /nfs/dbraw/zinc/80/53/36/585805336.db2.gz RRNYHPLZGXIPJS-ZWNOBZJWSA-N 0 3 237.347 2.779 20 0 BFADHN C[C@H](C1CC1)N1CCC(C(=O)C(F)(F)F)CC1 ZINC000782194927 585811979 /nfs/dbraw/zinc/81/19/79/585811979.db2.gz XBKICMMWMCXKJR-MRVPVSSYSA-N 0 3 249.276 2.628 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1C[C@H]2C[C@@]2(CO)C1 ZINC000782611788 585822202 /nfs/dbraw/zinc/82/22/02/585822202.db2.gz DXQSVMSYSFVXFR-YUELXQCFSA-N 0 3 249.329 2.591 20 0 BFADHN CCN(CCOC1CCC1)CCc1ccccn1 ZINC000805743228 585832463 /nfs/dbraw/zinc/83/24/63/585832463.db2.gz DYFBLDVBHJLRNA-UHFFFAOYSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1cncc([C@H](C)NC[C@@H]2CCC=CO2)c1 ZINC000783308393 585833669 /nfs/dbraw/zinc/83/36/69/585833669.db2.gz DGKFCQFSHFMELZ-JSGCOSHPSA-N 0 3 232.327 2.733 20 0 BFADHN Cc1cncc([C@H](C)NC[C@H]2CCC=CO2)c1 ZINC000783308392 585833683 /nfs/dbraw/zinc/83/36/83/585833683.db2.gz DGKFCQFSHFMELZ-GXTWGEPZSA-N 0 3 232.327 2.733 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@H]1CCC=CO1 ZINC000783307630 585833780 /nfs/dbraw/zinc/83/37/80/585833780.db2.gz ORPWORBALMUETG-QWHCGFSZSA-N 0 3 232.327 2.733 20 0 BFADHN CCCC[C@H](CC)CCN(CC)CC(=O)NC ZINC000783526846 585836101 /nfs/dbraw/zinc/83/61/01/585836101.db2.gz PJRILXUEYRQFAM-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@@H]2C[C@@H]21 ZINC000784224386 585853129 /nfs/dbraw/zinc/85/31/29/585853129.db2.gz VHVYKISYFHPJEM-MFKMUULPSA-N 0 3 205.276 2.728 20 0 BFADHN Cc1cccc([C@H]2CCN(CCF)C2)c1 ZINC000784478378 585860967 /nfs/dbraw/zinc/86/09/67/585860967.db2.gz BJCPETAIRKKURU-ZDUSSCGKSA-N 0 3 207.292 2.754 20 0 BFADHN CO[C@H]1CN(Cc2cc(C)oc2C)CC[C@H]1C ZINC000784667104 585865227 /nfs/dbraw/zinc/86/52/27/585865227.db2.gz DOCRZJUKRROMGK-YGRLFVJLSA-N 0 3 237.343 2.753 20 0 BFADHN CC[C@@H](C)OC(=O)[C@H]1CCCN1C1CCCC1 ZINC000786893877 585898330 /nfs/dbraw/zinc/89/83/30/585898330.db2.gz YODNGVPTBNSZQK-DGCLKSJQSA-N 0 3 239.359 2.735 20 0 BFADHN CC[C@@H](C)OC(=O)[C@@H]1CCCN1C1CCCC1 ZINC000786893879 585898440 /nfs/dbraw/zinc/89/84/40/585898440.db2.gz YODNGVPTBNSZQK-YPMHNXCESA-N 0 3 239.359 2.735 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccc(F)nc1C ZINC000787088264 585900954 /nfs/dbraw/zinc/90/09/54/585900954.db2.gz LZMFOHLRFOOFCW-SECBINFHSA-N 0 3 210.296 2.759 20 0 BFADHN Cc1nc(F)ccc1CN1CC[C@@H](C2CC2)C1 ZINC000787192320 585902824 /nfs/dbraw/zinc/90/28/24/585902824.db2.gz PMTYZAHOMIUZHD-CYBMUJFWSA-N 0 3 234.318 2.761 20 0 BFADHN C[C@H]1C[C@@H](C(C)(C)C)CCN1CC1OCCO1 ZINC000805839943 585910023 /nfs/dbraw/zinc/91/00/23/585910023.db2.gz VUYIRPMGUHLYRJ-RYUDHWBXSA-N 0 3 241.375 2.506 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC=CO2)C[C@H](C)S1 ZINC000788446018 585923972 /nfs/dbraw/zinc/92/39/72/585923972.db2.gz NKDFGRBLUYDIHS-TUAOUCFPSA-N 0 3 227.373 2.505 20 0 BFADHN CSC1(CN(C)Cc2c(C)noc2C)CC1 ZINC000788842561 585931047 /nfs/dbraw/zinc/93/10/47/585931047.db2.gz HUWOCQJMQRJNNW-UHFFFAOYSA-N 0 3 240.372 2.619 20 0 BFADHN CCCCCC[C@H](C)N[C@H](C)c1ncnn1C ZINC000788986079 585932827 /nfs/dbraw/zinc/93/28/27/585932827.db2.gz ZFLCQVQIXUBDRK-NWDGAFQWSA-N 0 3 238.379 2.825 20 0 BFADHN Cc1cccc2c1CCN(C[C@H]1CC[C@H](C)O1)C2 ZINC000789318093 585939727 /nfs/dbraw/zinc/93/97/27/585939727.db2.gz FNLPWVWUWMSVNF-DZGCQCFKSA-N 0 3 245.366 2.921 20 0 BFADHN CC(C)[C@H](NC[C@H]1CC[C@@H](C)O1)c1ccccn1 ZINC000789361310 585941519 /nfs/dbraw/zinc/94/15/19/585941519.db2.gz GNJKYOSDTDCENV-NFAWXSAZSA-N 0 3 248.370 2.936 20 0 BFADHN C/C=C/COC(=O)[C@@H]1CCCN1C1CCCC1 ZINC000789801158 585950159 /nfs/dbraw/zinc/95/01/59/585950159.db2.gz NSJZLXUUCFGYBC-IBUXWKBASA-N 0 3 237.343 2.513 20 0 BFADHN CC1CCC(C)(CNCc2cn(C)cn2)CC1 ZINC000808410498 585963999 /nfs/dbraw/zinc/96/39/99/585963999.db2.gz IIMBYLLEBRIYCV-UHFFFAOYSA-N 0 3 235.375 2.726 20 0 BFADHN CCN(CC)Cc1ccc(C(=O)OC2CC2)cc1 ZINC000805925086 585965542 /nfs/dbraw/zinc/96/55/42/585965542.db2.gz DDHPRRUOSWPBGA-UHFFFAOYSA-N 0 3 247.338 2.848 20 0 BFADHN Cc1ccccc1[C@H](O)CN1[C@@H](C)C[C@@H]1C ZINC000791565880 585983980 /nfs/dbraw/zinc/98/39/80/585983980.db2.gz KIAJSRSMRLEJCO-SGMGOOAPSA-N 0 3 219.328 2.511 20 0 BFADHN C[C@@H]1C[C@@H](C)N1C[C@H](O)c1ccc(Cl)cc1 ZINC000791567634 585984374 /nfs/dbraw/zinc/98/43/74/585984374.db2.gz TUCBFPIDMIWLGX-BREBYQMCSA-N 0 3 239.746 2.856 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1ccc2c(c1)COC2 ZINC000791578426 585984548 /nfs/dbraw/zinc/98/45/48/585984548.db2.gz UNAMGVMPBDEOMC-GHMZBOCLSA-N 0 3 217.312 2.700 20 0 BFADHN C[C@H]1CCSCCN1Cc1ccoc1 ZINC000792197057 585997460 /nfs/dbraw/zinc/99/74/60/585997460.db2.gz PCPJPGSDNOWTBE-JTQLQIEISA-N 0 3 211.330 2.607 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)Cc2ccco2)n(C)n1 ZINC000793527811 586021754 /nfs/dbraw/zinc/02/17/54/586021754.db2.gz WBIJKDYNRPPLDW-JQWIXIFHSA-N 0 3 247.342 2.603 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCC(F)(F)C2)n(C)n1 ZINC000793549727 586022807 /nfs/dbraw/zinc/02/28/07/586022807.db2.gz RTABQNAHNQJUKI-UWVGGRQHSA-N 0 3 243.301 2.567 20 0 BFADHN CC(C)n1ccc(CNC2[C@H](C)CC[C@H]2C)n1 ZINC000794604342 586045712 /nfs/dbraw/zinc/04/57/12/586045712.db2.gz KRMOUUKCABJIDP-VXGBXAGGSA-N 0 3 235.375 2.988 20 0 BFADHN CN(Cc1ccc(CF)cc1)[C@@H]1CCCOC1 ZINC000796208359 586098953 /nfs/dbraw/zinc/09/89/53/586098953.db2.gz YBMIOMDUQYRSIM-CQSZACIVSA-N 0 3 237.318 2.767 20 0 BFADHN C[C@H]1CN(Cc2cnc[nH]2)Cc2ccccc21 ZINC000796438876 586103410 /nfs/dbraw/zinc/10/34/10/586103410.db2.gz FNEZYQJOVIUILP-NSHDSACASA-N 0 3 227.311 2.529 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1c(Cl)nc2ccccn21 ZINC000796526189 586106108 /nfs/dbraw/zinc/10/61/08/586106108.db2.gz KAFSGDFMMVHOCS-UWVGGRQHSA-N 0 3 249.745 2.876 20 0 BFADHN CC(C)(C)OCCCN1CCC(F)(F)CC1 ZINC000796541460 586106389 /nfs/dbraw/zinc/10/63/89/586106389.db2.gz LGJKYNATWUTUMR-UHFFFAOYSA-N 0 3 235.318 2.923 20 0 BFADHN FC1(F)CCN(CC[C@H]2CCCCO2)CC1 ZINC000796548252 586106985 /nfs/dbraw/zinc/10/69/85/586106985.db2.gz CBPCJBPDXLYRLJ-LLVKDONJSA-N 0 3 233.302 2.677 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CC[C@H]1C1CC1 ZINC000798005551 586135787 /nfs/dbraw/zinc/13/57/87/586135787.db2.gz WUWZHYNUGYDCAV-WCFLWFBJSA-N 0 3 231.343 2.624 20 0 BFADHN c1ccc([C@@H]2CCN(C[C@H]3CCC=CO3)C2)nc1 ZINC000798963411 586154531 /nfs/dbraw/zinc/15/45/31/586154531.db2.gz BSFMMMUDQQPMMN-ZIAGYGMSSA-N 0 3 244.338 2.564 20 0 BFADHN CC(C)(C)OCCN1CC[C@H](c2ccccn2)C1 ZINC000799194851 586165900 /nfs/dbraw/zinc/16/59/00/586165900.db2.gz VHJDXJPIAWBDKT-ZDUSSCGKSA-N 0 3 248.370 2.686 20 0 BFADHN CCC[C@H](C)CCCN1CC[C@@](O)(C(F)F)C1 ZINC000859325016 589031573 /nfs/dbraw/zinc/03/15/73/589031573.db2.gz ZEQTYQWBYDMGPV-AAEUAGOBSA-N 0 3 249.345 2.905 20 0 BFADHN CCC[C@H](C)CCCN1CC[C@](O)(C(F)F)C1 ZINC000859325023 589031940 /nfs/dbraw/zinc/03/19/40/589031940.db2.gz ZEQTYQWBYDMGPV-WCQYABFASA-N 0 3 249.345 2.905 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](CCO)C2CC2)oc1C ZINC000800482587 586221913 /nfs/dbraw/zinc/22/19/13/586221913.db2.gz VQMFOEAXWLXSML-MFKMUULPSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](CCO)C2CC2)oc1C ZINC000800482588 586222205 /nfs/dbraw/zinc/22/22/05/586222205.db2.gz VQMFOEAXWLXSML-ZWNOBZJWSA-N 0 3 237.343 2.708 20 0 BFADHN CCCC[C@H](C)C(=O)N[C@H](CN(C)C)C(C)C ZINC000800493610 586223699 /nfs/dbraw/zinc/22/36/99/586223699.db2.gz OJHJPGUIRMRDAC-QWHCGFSZSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@@H]1C[C@@H](CCNCc2ccoc2)C[C@H](C)O1 ZINC000801732515 586270082 /nfs/dbraw/zinc/27/00/82/586270082.db2.gz NEIDOPXUODMYFX-CLLJXQQHSA-N 0 3 237.343 2.963 20 0 BFADHN CCCCCOC(=O)C1CCN(C2CC2)CC1 ZINC000801871772 586275760 /nfs/dbraw/zinc/27/57/60/586275760.db2.gz GJURUISLPRYAAO-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN C[Si](C)(C)CN1Cc2ccc(O)cc2C1 ZINC000859480090 589040085 /nfs/dbraw/zinc/04/00/85/589040085.db2.gz XRJHIXKSFRRAJB-UHFFFAOYSA-N 0 3 221.376 2.585 20 0 BFADHN C[C@@H]1CN(C)[C@@H](C)CN1CCSC(C)(C)C ZINC000801939140 586280945 /nfs/dbraw/zinc/28/09/45/586280945.db2.gz AQYAWFOLCUYEMU-NWDGAFQWSA-N 0 3 244.448 2.543 20 0 BFADHN CCCCN(CCCC)CN1CC[C@H](C)C1=O ZINC000839962289 586303143 /nfs/dbraw/zinc/30/31/43/586303143.db2.gz SQFWEUHDONVQJI-ZDUSSCGKSA-N 0 3 240.391 2.715 20 0 BFADHN CCCCCN(CN1CC[C@H](C)C1=O)C(C)C ZINC000839964209 586304605 /nfs/dbraw/zinc/30/46/05/586304605.db2.gz PEDOKGOPGUPWCT-ZDUSSCGKSA-N 0 3 240.391 2.713 20 0 BFADHN CCN(CC)CCOC(=O)c1csc(C)c1 ZINC000803758037 586372771 /nfs/dbraw/zinc/37/27/71/586372771.db2.gz JFEYMTVSLUXUTI-UHFFFAOYSA-N 0 3 241.356 2.555 20 0 BFADHN CC[C@H](C)COC(=O)CC[C@H]1CCCCN1C ZINC000803858330 586377249 /nfs/dbraw/zinc/37/72/49/586377249.db2.gz ONLMZPWDCAKIKE-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN CCCCCOC(=O)CC[C@@H]1CCCCN1C ZINC000803854043 586377319 /nfs/dbraw/zinc/37/73/19/586377319.db2.gz HDSKNFACKHZVOH-ZDUSSCGKSA-N 0 3 241.375 2.984 20 0 BFADHN CN1CCCC[C@H]1CCC(=O)OCCC1CC1 ZINC000803856404 586377745 /nfs/dbraw/zinc/37/77/45/586377745.db2.gz PWAWGWVOBDVQDV-ZDUSSCGKSA-N 0 3 239.359 2.594 20 0 BFADHN CC(C)(C)C(=O)CCN(C1CC1)C1CC1 ZINC000859626932 589050111 /nfs/dbraw/zinc/05/01/11/589050111.db2.gz IBMCNWFHVOZPMB-UHFFFAOYSA-N 0 3 209.333 2.619 20 0 BFADHN CC(=O)Oc1ccc(CN(C2CC2)C2CC2)cc1 ZINC000859627606 589050174 /nfs/dbraw/zinc/05/01/74/589050174.db2.gz NZQGCIKVBWJLSQ-UHFFFAOYSA-N 0 3 245.322 2.739 20 0 BFADHN c1csc(CCN2CCO[C@@H]3CCC[C@@H]32)c1 ZINC000859636780 589050774 /nfs/dbraw/zinc/05/07/74/589050774.db2.gz VUICZOXUTGNISC-QWHCGFSZSA-N 0 3 237.368 2.544 20 0 BFADHN Cc1cccc2c1CCN(CCC(=O)C(C)C)C2 ZINC000808720814 586484303 /nfs/dbraw/zinc/48/43/03/586484303.db2.gz ZECMUFZVIPNOHM-UHFFFAOYSA-N 0 3 245.366 2.968 20 0 BFADHN CCCN(CCN1CCCC1)Cc1ccoc1 ZINC000809700251 586514034 /nfs/dbraw/zinc/51/40/34/586514034.db2.gz BEWPLPBHCGCMCC-UHFFFAOYSA-N 0 3 236.359 2.587 20 0 BFADHN C[C@@H]1C[C@@H](c2ccccc2)N(Cc2cn[nH]c2)C1 ZINC000809716513 586514393 /nfs/dbraw/zinc/51/43/93/586514393.db2.gz WGGXSNBDASLXTM-DOMZBBRYSA-N 0 3 241.338 2.993 20 0 BFADHN c1cncc([C@H]2CCCN2C[C@@H]2CCC=CO2)c1 ZINC000809728754 586515401 /nfs/dbraw/zinc/51/54/01/586515401.db2.gz GVCABDBPRVYGJA-LSDHHAIUSA-N 0 3 244.338 2.911 20 0 BFADHN CCOC1CC(N(C)Cc2cc(C)oc2C)C1 ZINC000809773600 586519196 /nfs/dbraw/zinc/51/91/96/586519196.db2.gz HADXFBNMVXPRJL-UHFFFAOYSA-N 0 3 237.343 2.896 20 0 BFADHN Cc1cccc2c1OC[C@H](NCc1ccoc1)C2 ZINC000810178547 586535852 /nfs/dbraw/zinc/53/58/52/586535852.db2.gz QQBGPZXBHVDLQD-CQSZACIVSA-N 0 3 243.306 2.681 20 0 BFADHN Cc1cccc(CNCCO[C@@H]2CCCCO2)c1 ZINC000813333369 586600549 /nfs/dbraw/zinc/60/05/49/586600549.db2.gz MARIOLYPNAPNCW-OAHLLOKOSA-N 0 3 249.354 2.628 20 0 BFADHN C[C@]12CCN(C[C@H]3CCC=CO3)C[C@H]1C2(F)F ZINC000814169799 586615630 /nfs/dbraw/zinc/61/56/30/586615630.db2.gz MPBKAPHBUZFAJP-UTUOFQBUSA-N 0 3 243.297 2.656 20 0 BFADHN CC[C@H]1CC[C@H](Nc2cc(C)nc(N)n2)CC1 ZINC000814261746 586620795 /nfs/dbraw/zinc/62/07/95/586620795.db2.gz FAOUXZCXRAQEAT-XYPYZODXSA-N 0 3 234.347 2.748 20 0 BFADHN CC1(C)CCN1C[C@@H](O)c1cc2ccccc2o1 ZINC000815071912 586665504 /nfs/dbraw/zinc/66/55/04/586665504.db2.gz ZBEJNCWAUOOBNN-GFCCVEGCSA-N 0 3 245.322 2.951 20 0 BFADHN C[C@@H]1CN([C@@H]2C=CCCCCC2)CCN1C ZINC000815079249 586665613 /nfs/dbraw/zinc/66/56/13/586665613.db2.gz NXEXPFDHLGOAAA-ZIAGYGMSSA-N 0 3 222.376 2.511 20 0 BFADHN CCOc1ccc(CN2C[C@@H](C)[C@H]2C)cc1 ZINC000815164016 586671239 /nfs/dbraw/zinc/67/12/39/586671239.db2.gz UBFOMXDXTGNPEV-VXGBXAGGSA-N 0 3 219.328 2.926 20 0 BFADHN Cc1ccc(OCCN2C[C@@H](C)[C@H]2C)cc1 ZINC000815163950 586671572 /nfs/dbraw/zinc/67/15/72/586671572.db2.gz SONSUIQJRXTNGH-CHWSQXEVSA-N 0 3 219.328 2.714 20 0 BFADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H]1C=C[C@H](CO)C1 ZINC000815588918 586688039 /nfs/dbraw/zinc/68/80/39/586688039.db2.gz CRZYNZUYOOLWFI-MBNYWOFBSA-N 0 3 249.329 2.722 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@@H]1CCCOC1 ZINC000815577683 586688461 /nfs/dbraw/zinc/68/84/61/586688461.db2.gz WILUWAYSYKNMOR-WCQYABFASA-N 0 3 237.318 2.964 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@H]1CCO[C@H]1C ZINC000815599330 586688917 /nfs/dbraw/zinc/68/89/17/586688917.db2.gz QNRPRUPCVTXUPH-MJVIPROJSA-N 0 3 237.318 2.962 20 0 BFADHN CC1=CC[C@H](NCc2cccc(F)n2)CC1 ZINC000816558014 586740802 /nfs/dbraw/zinc/74/08/02/586740802.db2.gz JSCPUKVNAFUGDE-NSHDSACASA-N 0 3 220.291 2.809 20 0 BFADHN CCCn1nc(C)c(CN2C[C@@H](C)[C@H]2C)c1C ZINC000816646327 586748009 /nfs/dbraw/zinc/74/80/09/586748009.db2.gz BXVOVQVDAFIEJQ-ZYHUDNBSSA-N 0 3 235.375 2.750 20 0 BFADHN CCCn1nc(C)c(CN2C[C@@H](C)[C@@H]2C)c1C ZINC000816646326 586748080 /nfs/dbraw/zinc/74/80/80/586748080.db2.gz BXVOVQVDAFIEJQ-PWSUYJOCSA-N 0 3 235.375 2.750 20 0 BFADHN C[C@@H]1CN(Cc2c[nH]nc2-c2cccs2)[C@@H]1C ZINC000816646411 586748938 /nfs/dbraw/zinc/74/89/38/586748938.db2.gz CZWXWIQXVDXWEJ-NXEZZACHSA-N 0 3 247.367 2.978 20 0 BFADHN COCCOc1cccc(CN2C[C@@H](C)[C@H]2C)c1 ZINC000816648073 586750577 /nfs/dbraw/zinc/75/05/77/586750577.db2.gz DHAPBINDNNOIJK-CHWSQXEVSA-N 0 3 249.354 2.552 20 0 BFADHN CC(C)N(C)c1ccc(CN2C[C@H](C)[C@H]2C)cn1 ZINC000816648846 586751192 /nfs/dbraw/zinc/75/11/92/586751192.db2.gz PZWYXZRMLAQARC-QWHCGFSZSA-N 0 3 247.386 2.766 20 0 BFADHN CCOc1cccc(CN2C[C@@H](C)[C@@H]2C)c1 ZINC000816649117 586751236 /nfs/dbraw/zinc/75/12/36/586751236.db2.gz UFLSTLSKWNLLER-NEPJUHHUSA-N 0 3 219.328 2.926 20 0 BFADHN C[C@@H]1CN(Cc2cnc(-c3ccccc3)[nH]2)[C@H]1C ZINC000816648557 586751331 /nfs/dbraw/zinc/75/13/31/586751331.db2.gz MDYASKNEKRYSDM-NEPJUHHUSA-N 0 3 241.338 2.917 20 0 BFADHN COc1ccsc1CN1C[C@H](C)[C@H]1C ZINC000816649456 586752322 /nfs/dbraw/zinc/75/23/22/586752322.db2.gz ZOYRWSDMHRCBPK-DTWKUNHWSA-N 0 3 211.330 2.597 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCC=CO2)C[C@H](CC)O1 ZINC000817412497 586801682 /nfs/dbraw/zinc/80/16/82/586801682.db2.gz LXCXEGLBRPRCLX-HZSPNIEDSA-N 0 3 239.359 2.569 20 0 BFADHN CNCc1ccccc1NC(=O)C[C@@H](C)C1CC1 ZINC000818560214 586901211 /nfs/dbraw/zinc/90/12/11/586901211.db2.gz DPTKTIJJEWRHCD-LLVKDONJSA-N 0 3 246.354 2.781 20 0 BFADHN CCNCC(=O)N1CCC[C@@H](C)c2ccccc21 ZINC000818604547 586905346 /nfs/dbraw/zinc/90/53/46/586905346.db2.gz ICYGKHVGDVSAAC-GFCCVEGCSA-N 0 3 246.354 2.526 20 0 BFADHN C[C@H](NC[C@H](O)c1cccc(F)c1)c1ccc[nH]1 ZINC000819194458 586937490 /nfs/dbraw/zinc/93/74/90/586937490.db2.gz RPMNMKNFZLVEEA-HZMBPMFUSA-N 0 3 248.301 2.538 20 0 BFADHN CCCCCC[C@H](O)CN[C@@H](C)c1ccc[nH]1 ZINC000819194976 586938241 /nfs/dbraw/zinc/93/82/41/586938241.db2.gz WAUBTSMYJLJHRK-STQMWFEESA-N 0 3 238.375 2.997 20 0 BFADHN CC/C=C/CNC[C@@H](O)c1cccc(Cl)c1 ZINC000819343978 586954383 /nfs/dbraw/zinc/95/43/83/586954383.db2.gz FCVMGLUCRKRDSI-ITDFMYJTSA-N 0 3 239.746 2.929 20 0 BFADHN CC/C=C/CNC[C@H](O)c1ccc(F)cc1C ZINC000819344688 586954861 /nfs/dbraw/zinc/95/48/61/586954861.db2.gz LAFLDRRVPZZAQE-NNTXTVRGSA-N 0 3 237.318 2.723 20 0 BFADHN CCO[C@@H](CN[C@H](C)c1ccns1)C1CC1 ZINC000860060125 589077710 /nfs/dbraw/zinc/07/77/10/589077710.db2.gz UFJFSDUUWSVAJZ-KOLCDFICSA-N 0 3 240.372 2.609 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccns1)CC(C)C ZINC000860059802 589078149 /nfs/dbraw/zinc/07/81/49/589078149.db2.gz PPFYYTCEWYQQCV-WDEREUQCSA-N 0 3 242.388 2.855 20 0 BFADHN CC[C@H]1CN(C)CCN1CCSC(C)(C)C ZINC000821336746 587108804 /nfs/dbraw/zinc/10/88/04/587108804.db2.gz JMXVGTFNNSYOOC-LBPRGKRZSA-N 0 3 244.448 2.544 20 0 BFADHN CO[C@@H]1CC[C@@H](N2CCc3ccc(F)cc3C2)C1 ZINC000821396634 587116335 /nfs/dbraw/zinc/11/63/35/587116335.db2.gz RXGCYNPTRWYBJM-HUUCEWRRSA-N 0 3 249.329 2.751 20 0 BFADHN CO[C@H]1CC[C@H](N2CCc3cc(F)ccc3C2)C1 ZINC000821402657 587117313 /nfs/dbraw/zinc/11/73/13/587117313.db2.gz WJNOOTGDDPBFOE-GJZGRUSLSA-N 0 3 249.329 2.751 20 0 BFADHN CO[C@@H](CN[C@@H](c1ccccn1)C(C)C)C1CC1 ZINC000822817883 587187656 /nfs/dbraw/zinc/18/76/56/587187656.db2.gz BAYFAZBDMOQJHG-LSDHHAIUSA-N 0 3 248.370 2.793 20 0 BFADHN Cc1ccc(F)c(-c2noc([C@@H]3CCCN3)n2)c1 ZINC000823064088 587200580 /nfs/dbraw/zinc/20/05/80/587200580.db2.gz GQQHADYJCOTXIV-NSHDSACASA-N 0 3 247.273 2.609 20 0 BFADHN CC(C)C1(CNCc2cc(C3CC3)nn2C)CC1 ZINC000823961505 587247145 /nfs/dbraw/zinc/24/71/45/587247145.db2.gz STGQQUCQEPQKHT-UHFFFAOYSA-N 0 3 247.386 2.823 20 0 BFADHN CC1(NCc2ccnc(Cl)c2F)CCC1 ZINC000824107858 587255957 /nfs/dbraw/zinc/25/59/57/587255957.db2.gz HKXRRDIISUMTQA-UHFFFAOYSA-N 0 3 228.698 2.906 20 0 BFADHN CCCN(C(=O)[C@@H]1CCCN1C(C)C)C(C)C ZINC000824264976 587264053 /nfs/dbraw/zinc/26/40/53/587264053.db2.gz WSDMTCYURLSLAZ-ZDUSSCGKSA-N 0 3 240.391 2.506 20 0 BFADHN CC(C)C1CC(NCc2cc(C3CC3)nn2C)C1 ZINC000824287745 587264994 /nfs/dbraw/zinc/26/49/94/587264994.db2.gz XMNFIDHOUNLRNG-UHFFFAOYSA-N 0 3 247.386 2.822 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2cc(F)ncc2F)C1 ZINC000824320110 587265896 /nfs/dbraw/zinc/26/58/96/587265896.db2.gz DGFFTGBBRJJBNW-NXEZZACHSA-N 0 3 240.297 2.886 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1cc(F)ncc1F ZINC000824331994 587266222 /nfs/dbraw/zinc/26/62/22/587266222.db2.gz CLPJUGIOFYOVJF-VHSXEESVSA-N 0 3 240.297 2.886 20 0 BFADHN Cn1nc(C2CC2)cc1CN[C@H]1CC[C@@H]1C1CC1 ZINC000824332425 587266275 /nfs/dbraw/zinc/26/62/75/587266275.db2.gz LHSVZUZWBQYJPP-KGLIPLIRSA-N 0 3 245.370 2.576 20 0 BFADHN Cc1nonc1CN[C@H](C)[C@@H]1CCCC[C@@H]1C ZINC000824388708 587268464 /nfs/dbraw/zinc/26/84/64/587268464.db2.gz FTAUVASLUUMOFR-HOSYDEDBSA-N 0 3 237.347 2.682 20 0 BFADHN C[C@@]1(F)CCCN(C[C@@H]2CCC=CO2)C1 ZINC000824472446 587271535 /nfs/dbraw/zinc/27/15/35/587271535.db2.gz ROCNVBZMBJVKEQ-NWDGAFQWSA-N 0 3 213.296 2.503 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN[C@@H]2CCn3ccnc32)C1 ZINC000824526227 587273115 /nfs/dbraw/zinc/27/31/15/587273115.db2.gz JEYYFLGJYIIGCI-IACUBPJLSA-N 0 3 245.370 2.910 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@H]1N[C@@H]1CCn2ccnc21 ZINC000824525803 587273423 /nfs/dbraw/zinc/27/34/23/587273423.db2.gz DOLAGFHLJGJESW-IJLUTSLNSA-N 0 3 233.359 2.742 20 0 BFADHN c1cn2c(n1)[C@@H](N[C@H]1CCCC13CCC3)CC2 ZINC000824533706 587274369 /nfs/dbraw/zinc/27/43/69/587274369.db2.gz KOYWRRNZEQTVFD-RYUDHWBXSA-N 0 3 231.343 2.640 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1cc(F)cnc1Cl ZINC000824543215 587275088 /nfs/dbraw/zinc/27/50/88/587275088.db2.gz GYKLMZZGYNGLRH-XVKPBYJWSA-N 0 3 228.698 2.762 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1cc(F)cnc1Cl ZINC000824543214 587275119 /nfs/dbraw/zinc/27/51/19/587275119.db2.gz GYKLMZZGYNGLRH-XCBNKYQSSA-N 0 3 228.698 2.762 20 0 BFADHN CCCCC[C@H](C)NCc1nnc(C(C)C)[nH]1 ZINC000824602894 587278797 /nfs/dbraw/zinc/27/87/97/587278797.db2.gz GBODTTUSDGNRBE-NSHDSACASA-N 0 3 238.379 2.987 20 0 BFADHN CCCCC[C@H](C)[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000824602894 587278798 /nfs/dbraw/zinc/27/87/98/587278798.db2.gz GBODTTUSDGNRBE-NSHDSACASA-N 0 3 238.379 2.987 20 0 BFADHN Cc1cc(CN2CC[C@@H]2C2CC2)c(C)o1 ZINC000824650260 587280615 /nfs/dbraw/zinc/28/06/15/587280615.db2.gz LQMSTHGSSAVSDW-CYBMUJFWSA-N 0 3 205.301 2.881 20 0 BFADHN Cc1ncc(CN2CCC3(CCCC3)CC2)n1C ZINC000824708343 587283848 /nfs/dbraw/zinc/28/38/48/587283848.db2.gz CKMJETRDMKTTQZ-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN Cn1cccc1CNC[C@]1(C)CC1(Cl)Cl ZINC000824764540 587285910 /nfs/dbraw/zinc/28/59/10/587285910.db2.gz BITNVKJBDYGZJY-JTQLQIEISA-N 0 3 247.169 2.699 20 0 BFADHN C[C@]1(CNCc2cccnc2)CC1(Cl)Cl ZINC000824765663 587286316 /nfs/dbraw/zinc/28/63/16/587286316.db2.gz OKXXTIJXVIXKTP-SNVBAGLBSA-N 0 3 245.153 2.755 20 0 BFADHN C[C@]1(CNCc2ccncc2)CC1(Cl)Cl ZINC000824765447 587286337 /nfs/dbraw/zinc/28/63/37/587286337.db2.gz LKDYDGBJYFUYRU-SNVBAGLBSA-N 0 3 245.153 2.755 20 0 BFADHN Fc1ccc(CNC[C@H]2CCCC2(F)F)cn1 ZINC000824799938 587287937 /nfs/dbraw/zinc/28/79/37/587287937.db2.gz RXOZQTMMJDLXGH-SNVBAGLBSA-N 0 3 244.260 2.746 20 0 BFADHN CCn1ccnc1[C@@H](C)NC1CC(C(F)F)C1 ZINC000825006130 587298250 /nfs/dbraw/zinc/29/82/50/587298250.db2.gz YIWXJAWPGGMXRS-XNWIYYODSA-N 0 3 243.301 2.597 20 0 BFADHN CCc1nc(CN[C@H]2CCCC[C@@H]2C)co1 ZINC000825057830 587301162 /nfs/dbraw/zinc/30/11/62/587301162.db2.gz QHKDMIARIPKOPP-JQWIXIFHSA-N 0 3 222.332 2.905 20 0 BFADHN CCC[C@H](CC)NCc1coc(CC)n1 ZINC000825058248 587301264 /nfs/dbraw/zinc/30/12/64/587301264.db2.gz VIQORCIWLJSQGE-JTQLQIEISA-N 0 3 210.321 2.905 20 0 BFADHN CCc1nc(CN[C@H](C)[C@H]2CC2(C)C)co1 ZINC000825062350 587301509 /nfs/dbraw/zinc/30/15/09/587301509.db2.gz TVCXQRPGBKOWRK-MWLCHTKSSA-N 0 3 222.332 2.761 20 0 BFADHN Cc1cc(CN[C@H]2CO[C@@H](C3CC3)C2)c(C)o1 ZINC000825279598 587312884 /nfs/dbraw/zinc/31/28/84/587312884.db2.gz VQVIKUQIRVDWMR-ZIAGYGMSSA-N 0 3 235.327 2.554 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1cc(C)oc1C ZINC000825284633 587312971 /nfs/dbraw/zinc/31/29/71/587312971.db2.gz UIIKNZRQQGTZQD-ZIAGYGMSSA-N 0 3 237.343 2.944 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1ccoc1 ZINC000825299788 587313974 /nfs/dbraw/zinc/31/39/74/587313974.db2.gz OITDKPKKGWTFBM-CHWSQXEVSA-N 0 3 223.316 2.717 20 0 BFADHN c1nc2n(c1CN1CCCCCCCC1)CCC2 ZINC000826075037 587350281 /nfs/dbraw/zinc/35/02/81/587350281.db2.gz MOLZZZCXUSDDPF-UHFFFAOYSA-N 0 3 247.386 2.986 20 0 BFADHN COCCN(CCF)C[C@H](C)C(C)(C)C ZINC000827049547 587368744 /nfs/dbraw/zinc/36/87/44/587368744.db2.gz YXDCVBNLERYIME-NSHDSACASA-N 0 3 219.344 2.587 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H]1C[C@H](O)c2ccccc21 ZINC000827168992 587371858 /nfs/dbraw/zinc/37/18/58/587371858.db2.gz BCOQQGWKSIWXEZ-XDQVBPFNSA-N 0 3 249.379 2.648 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H]1C[C@@H](O)c2ccccc21 ZINC000827168989 587371881 /nfs/dbraw/zinc/37/18/81/587371881.db2.gz BCOQQGWKSIWXEZ-REWJHTLYSA-N 0 3 249.379 2.648 20 0 BFADHN CN(C[C@]1(C)CC1(Cl)Cl)C1CC1 ZINC000827415287 587380629 /nfs/dbraw/zinc/38/06/29/587380629.db2.gz DYCLANIWXWPQKC-QMMMGPOBSA-N 0 3 208.132 2.665 20 0 BFADHN Cc1ccc(CN2CCC[C@H](C3CCC3)C2)nn1 ZINC000827626584 587393565 /nfs/dbraw/zinc/39/35/65/587393565.db2.gz CEZNDAAKLPFTBF-AWEZNQCLSA-N 0 3 245.370 2.797 20 0 BFADHN CC[C@@H]1CN(CCC(=O)CC(C)C)CCS1 ZINC000827959018 587413434 /nfs/dbraw/zinc/41/34/34/587413434.db2.gz IVKGEMTZLIAAIM-CYBMUJFWSA-N 0 3 243.416 2.819 20 0 BFADHN C[C@@H](NC1CSC1)c1ccc2c(c1)CCCO2 ZINC000827963040 587413535 /nfs/dbraw/zinc/41/35/35/587413535.db2.gz XYYUDWQUCMYDPG-SNVBAGLBSA-N 0 3 249.379 2.778 20 0 BFADHN CC(C)CCC1CCN(CC[S@@](C)=O)CC1 ZINC000828047139 587417507 /nfs/dbraw/zinc/41/75/07/587417507.db2.gz GTJPMUXZBFXAEU-MRXNPFEDSA-N 0 3 245.432 2.513 20 0 BFADHN CC(C)CC(=O)CCN1CCSCC[C@@H]1C ZINC000828421286 587441586 /nfs/dbraw/zinc/44/15/86/587441586.db2.gz PCIAWFDLJHHQFS-LBPRGKRZSA-N 0 3 243.416 2.819 20 0 BFADHN C[C@@H]1CN(CC/C=C\c2ccccc2)CCN1C ZINC000828529331 587447976 /nfs/dbraw/zinc/44/79/76/587447976.db2.gz MAUPUGAWMDZDAZ-IZIDJEDMSA-N 0 3 244.382 2.726 20 0 BFADHN FC1(F)CC(CN2CC3(C2)CC(F)(F)C3)C1 ZINC000828550880 587450454 /nfs/dbraw/zinc/45/04/54/587450454.db2.gz RANPNHYOSRZDCK-UHFFFAOYSA-N 0 3 237.240 2.763 20 0 BFADHN Cc1ccc([C@H](C)NCc2cccnc2N)s1 ZINC000828816517 587463402 /nfs/dbraw/zinc/46/34/02/587463402.db2.gz YPYPYYZGFDNUSP-JTQLQIEISA-N 0 3 247.367 2.885 20 0 BFADHN CCCOC(=O)[C@H](C)N1CC[C@@H]2CCCC[C@@H]21 ZINC000829029709 587480366 /nfs/dbraw/zinc/48/03/66/587480366.db2.gz YNRUMWVGYAKRAZ-AVGNSLFASA-N 0 3 239.359 2.593 20 0 BFADHN CC(C)N(C[C@H]1CCc2ccccc21)C1COC1 ZINC000830221023 587541845 /nfs/dbraw/zinc/54/18/45/587541845.db2.gz JTKJDMCAJGDGFH-CQSZACIVSA-N 0 3 245.366 2.826 20 0 BFADHN COc1ncc(CN(C)C(C)(C)C)cc1Cl ZINC000830553034 587557648 /nfs/dbraw/zinc/55/76/48/587557648.db2.gz AUZSITJOQRUOKP-UHFFFAOYSA-N 0 3 242.750 2.974 20 0 BFADHN CN(Cc1ccc(CF)cc1)CC(C)(C)CO ZINC000830703891 587564230 /nfs/dbraw/zinc/56/42/30/587564230.db2.gz IOQZWLZKMGRAKC-UHFFFAOYSA-N 0 3 239.334 2.606 20 0 BFADHN CO[C@]1(C)C[C@H](NC/C=C/Cl)C1(C)C ZINC000831338501 587599349 /nfs/dbraw/zinc/59/93/49/587599349.db2.gz JIMPJDJNTMALTG-DNGSPIRNSA-N 0 3 217.740 2.532 20 0 BFADHN CC(C)O[C@@H]1C[C@@H](NC/C=C\Cl)C1(C)C ZINC000831353537 587600486 /nfs/dbraw/zinc/60/04/86/587600486.db2.gz VAEOWVIQWUGPMD-ADRPCIBZSA-N 0 3 231.767 2.921 20 0 BFADHN CCc1nc(CN2CCCCCCCC2)n[nH]1 ZINC000831937827 587637627 /nfs/dbraw/zinc/63/76/27/587637627.db2.gz YOIYJQFZPZOPGY-UHFFFAOYSA-N 0 3 236.363 2.523 20 0 BFADHN C[C@@H](CC(=O)Nc1cccc(CN)c1)C1CC1 ZINC000832222896 587651318 /nfs/dbraw/zinc/65/13/18/587651318.db2.gz KHSTZVOSRMSFAU-JTQLQIEISA-N 0 3 232.327 2.520 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NC/C=C\Cl ZINC000832624233 587670478 /nfs/dbraw/zinc/67/04/78/587670478.db2.gz BXHFKKQSLIRYDT-UGMIWWEVSA-N 0 3 217.740 2.676 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1CC[C@H]1C1CC1 ZINC000834384108 587754111 /nfs/dbraw/zinc/75/41/11/587754111.db2.gz ZOXLREJZTNBDFJ-LSDHHAIUSA-N 0 3 249.329 2.652 20 0 BFADHN CCCN[C@H](C)c1nc(Br)cs1 ZINC000834487834 587756507 /nfs/dbraw/zinc/75/65/07/587756507.db2.gz ONTVKOBPGTWFCK-ZCFIWIBFSA-N 0 3 249.177 2.966 20 0 BFADHN Cc1cc(CNC[C@H]2CC[C@H](C)O2)c(C)o1 ZINC000835088815 587781846 /nfs/dbraw/zinc/78/18/46/587781846.db2.gz VCZWYDFYKHBCJO-TVQRCGJNSA-N 0 3 223.316 2.554 20 0 BFADHN Cc1cc(CN)cc(NC(=O)C[C@@H](C)C2CC2)c1 ZINC000837306309 587864574 /nfs/dbraw/zinc/86/45/74/587864574.db2.gz BZULCHKXHOPBQM-LLVKDONJSA-N 0 3 246.354 2.828 20 0 BFADHN CC(C)N1CCCC[C@@H]1COC(=O)C(C)(C)C ZINC000837437756 587872586 /nfs/dbraw/zinc/87/25/86/587872586.db2.gz PLPIKTWAJXGAJU-GFCCVEGCSA-N 0 3 241.375 2.839 20 0 BFADHN CC(C)N1CCCC[C@H]1COC(=O)C1(C)CC1 ZINC000837576507 587883249 /nfs/dbraw/zinc/88/32/49/587883249.db2.gz WVIDTWAIAUENSP-LBPRGKRZSA-N 0 3 239.359 2.593 20 0 BFADHN CCN(CC)CCOC(=O)c1cc(C)cs1 ZINC000837763749 587894699 /nfs/dbraw/zinc/89/46/99/587894699.db2.gz PMHDMZJLXIPSLI-UHFFFAOYSA-N 0 3 241.356 2.555 20 0 BFADHN C[C@@H](NCCC1CCC1)c1cc2n(n1)CCC2 ZINC000840726965 587917992 /nfs/dbraw/zinc/91/79/92/587917992.db2.gz CTGNZKXUHXOGBW-LLVKDONJSA-N 0 3 233.359 2.670 20 0 BFADHN CCC(CC)N(Cc1ccc(C)nn1)C1CC1 ZINC000840910395 587929609 /nfs/dbraw/zinc/92/96/09/587929609.db2.gz LWEOZGUAOHFYEU-UHFFFAOYSA-N 0 3 233.359 2.938 20 0 BFADHN C[C@@H](NCc1ccc(Cl)nc1)[C@H]1CC1(F)F ZINC000840967530 587931830 /nfs/dbraw/zinc/93/18/30/587931830.db2.gz GXWLHIMTHSGMBN-VXNVDRBHSA-N 0 3 246.688 2.868 20 0 BFADHN Cc1cc(CN[C@@H]2CS[C@H](C)C2)c(C)o1 ZINC000840957524 587931996 /nfs/dbraw/zinc/93/19/96/587931996.db2.gz CKTJKPMFKHDARM-SKDRFNHKSA-N 0 3 225.357 2.880 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1ccoc1)C1CC1 ZINC000840961284 587932395 /nfs/dbraw/zinc/93/23/95/587932395.db2.gz UMPYKIGSPBJNFD-ZWNOBZJWSA-N 0 3 223.316 2.573 20 0 BFADHN C[C@H]1C[C@H](NCc2cccnc2Cl)CS1 ZINC000840959835 587932459 /nfs/dbraw/zinc/93/24/59/587932459.db2.gz ZTRBNAMWTVMGQT-WPRPVWTQSA-N 0 3 242.775 2.719 20 0 BFADHN CC[C@H](NCc1cc(C)oc1C)[C@@H](O)C(C)C ZINC000840967143 587932682 /nfs/dbraw/zinc/93/26/82/587932682.db2.gz LXXCFVYJYWFOHF-KBPBESRZSA-N 0 3 239.359 2.782 20 0 BFADHN CC(C)OCC(C)(C)NCc1ccc(F)nc1 ZINC000840973192 587932916 /nfs/dbraw/zinc/93/29/16/587932916.db2.gz FETKNUOUJAMPAE-UHFFFAOYSA-N 0 3 240.322 2.514 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@@H](CO)C2)c(C)o1 ZINC000840976019 587932966 /nfs/dbraw/zinc/93/29/66/587932966.db2.gz BBGAFZYSMKBSRU-OCCSQVGLSA-N 0 3 237.343 2.537 20 0 BFADHN CCCCCC[C@H](C)N[C@H]1CNCCC1(F)F ZINC000841081939 587944859 /nfs/dbraw/zinc/94/48/59/587944859.db2.gz VRKPGDVQJKDGPX-RYUDHWBXSA-N 0 3 248.361 2.932 20 0 BFADHN CCn1cncc1CN1CCC2(CCCC2)C1 ZINC000841843978 587977418 /nfs/dbraw/zinc/97/74/18/587977418.db2.gz QFDKRPIZRFGNLE-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN C[C@@H]1CN(Cc2ccc(F)nc2)[C@@H](C)[C@H]1C ZINC000841889578 587981362 /nfs/dbraw/zinc/98/13/62/587981362.db2.gz FBBAWRLTAOMJTM-VWYCJHECSA-N 0 3 222.307 2.697 20 0 BFADHN OC[C@H](CC1CCCCC1)NCc1ccoc1 ZINC000841893740 587982466 /nfs/dbraw/zinc/98/24/66/587982466.db2.gz ORSHPMIMWGSXRY-AWEZNQCLSA-N 0 3 237.343 2.701 20 0 BFADHN CC[C@@H](CN(C)CCc1ccccc1F)OC ZINC000842147083 588003164 /nfs/dbraw/zinc/00/31/64/588003164.db2.gz AHQNAPTYXWFXGP-ZDUSSCGKSA-N 0 3 239.334 2.725 20 0 BFADHN CC[C@H](C)N(C)C[C@H](O)c1ccc(F)cc1F ZINC000104685975 588009598 /nfs/dbraw/zinc/00/95/98/588009598.db2.gz LOFBSPSQVDXNNQ-ZANVPECISA-N 0 3 243.297 2.729 20 0 BFADHN CCNCc1cc(Br)cnc1Cl ZINC000842661445 588050733 /nfs/dbraw/zinc/05/07/33/588050733.db2.gz VHYOOJBUKMUDIT-UHFFFAOYSA-N 0 3 249.539 2.607 20 0 BFADHN Cc1nc(F)ccc1CN[C@H]1CCS[C@@H]1C ZINC000842778234 588062588 /nfs/dbraw/zinc/06/25/88/588062588.db2.gz DUUHFRREFYCQTR-KOLCDFICSA-N 0 3 240.347 2.513 20 0 BFADHN C[C@@H]1CC[C@@H]1N[C@H](CCO)c1ccccc1F ZINC000842923808 588072002 /nfs/dbraw/zinc/07/20/02/588072002.db2.gz KNSGJPQSAQCHMN-DDTOSNHZSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@H](C)C(C)(C)C ZINC000121529610 588085440 /nfs/dbraw/zinc/08/54/40/588085440.db2.gz YKVKVUDWZCJJHK-SECBINFHSA-N 0 3 243.782 2.906 20 0 BFADHN CCC/C(C)=C/C(=O)NC[C@H](N)c1ccccc1 ZINC000843337061 588100569 /nfs/dbraw/zinc/10/05/69/588100569.db2.gz KUFQIDHJRIXNQN-WONIAPNHSA-N 0 3 246.354 2.549 20 0 BFADHN Cc1cc(CN2CC[C@@H](OC(C)C)C2)c(C)o1 ZINC000843414090 588107352 /nfs/dbraw/zinc/10/73/52/588107352.db2.gz UVYPJXVAZOBAER-CQSZACIVSA-N 0 3 237.343 2.896 20 0 BFADHN Fc1cc(CN[C@@H]2CC[C@@H]3C[C@@H]3C2)c(F)cn1 ZINC000843435731 588109761 /nfs/dbraw/zinc/10/97/61/588109761.db2.gz XRTVFXYDXMIMSN-FXPVBKGRSA-N 0 3 238.281 2.638 20 0 BFADHN CCN(CC)CCOC(=O)c1sccc1C ZINC000844258456 588177999 /nfs/dbraw/zinc/17/79/99/588177999.db2.gz YAZDYQONVHGAHD-UHFFFAOYSA-N 0 3 241.356 2.555 20 0 BFADHN CC(C)C(=O)CCN1CCC2(CC2(F)F)CC1 ZINC000844409586 588190830 /nfs/dbraw/zinc/19/08/30/588190830.db2.gz LZZMDJVSQMGUTJ-UHFFFAOYSA-N 0 3 245.313 2.723 20 0 BFADHN C[C@@H]1CN(CC2CC(F)(F)C2)C2(CCC2)CO1 ZINC000844422410 588191640 /nfs/dbraw/zinc/19/16/40/588191640.db2.gz OXSBZEAFDFHGTC-SNVBAGLBSA-N 0 3 245.313 2.675 20 0 BFADHN FC1(F)C[C@@]12CCCN(Cc1ccncc1)C2 ZINC000844436938 588193067 /nfs/dbraw/zinc/19/30/67/588193067.db2.gz JETWXBVQZVWKCW-GFCCVEGCSA-N 0 3 238.281 2.703 20 0 BFADHN FC1(F)C[C@]12CCCN(Cc1ccncc1)C2 ZINC000844436939 588193158 /nfs/dbraw/zinc/19/31/58/588193158.db2.gz JETWXBVQZVWKCW-LBPRGKRZSA-N 0 3 238.281 2.703 20 0 BFADHN Cc1cccc(CN2C[C@H]3[C@@H](C2)C3(F)F)c1 ZINC000844451139 588195574 /nfs/dbraw/zinc/19/55/74/588195574.db2.gz QUOPVQHVXWSUOZ-TXEJJXNPSA-N 0 3 223.266 2.692 20 0 BFADHN FC1(F)[C@H]2CN(C[C@@H]3C[C@H]3c3ccccc3)C[C@H]21 ZINC000844459456 588196650 /nfs/dbraw/zinc/19/66/50/588196650.db2.gz BHYQTEBLJLQSKL-XDQVBPFNSA-N 0 3 249.304 2.987 20 0 BFADHN CC(C)(C)SCCN1C[C@H]2[C@@H](C1)C2(F)F ZINC000844463624 588197197 /nfs/dbraw/zinc/19/71/97/588197197.db2.gz RPTFFRQLXRKOAD-DTORHVGOSA-N 0 3 235.343 2.715 20 0 BFADHN CCC/C=C\C(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845742237 588243394 /nfs/dbraw/zinc/24/33/94/588243394.db2.gz XUNVEYOOWDUGBU-QDZRJHCZSA-N 0 3 239.359 2.759 20 0 BFADHN FC1(F)[C@H]2CN(c3ccnc4ccccc43)C[C@H]21 ZINC000845751932 588243670 /nfs/dbraw/zinc/24/36/70/588243670.db2.gz DBULPUHFWHIBCE-PHIMTYICSA-N 0 3 246.260 2.936 20 0 BFADHN c1nn2ccccc2c1CN1CCC12CCCC2 ZINC000845999433 588253034 /nfs/dbraw/zinc/25/30/34/588253034.db2.gz JFMRFHWYYNIREU-UHFFFAOYSA-N 0 3 241.338 2.853 20 0 BFADHN CC(C)O[C@H]1CCCN(C[C@@H]2CCC=CO2)C1 ZINC000846016486 588253714 /nfs/dbraw/zinc/25/37/14/588253714.db2.gz UJUZOIHHTNGVMV-KBPBESRZSA-N 0 3 239.359 2.569 20 0 BFADHN CC[C@H]1COC(C)(C)CN1C[C@H]1CCC=CO1 ZINC000846027957 588253944 /nfs/dbraw/zinc/25/39/44/588253944.db2.gz RZEOVYGDCCPFGQ-QWHCGFSZSA-N 0 3 239.359 2.569 20 0 BFADHN Cc1nocc1CNCC[C@@]1(C)C[C@@]1(F)Cl ZINC000846033471 588254110 /nfs/dbraw/zinc/25/41/10/588254110.db2.gz FWOXKQASZQJHRV-QWRGUYRKSA-N 0 3 246.713 2.777 20 0 BFADHN C[C@@]1(CCNCc2cscn2)C[C@@]1(F)Cl ZINC000846033573 588254197 /nfs/dbraw/zinc/25/41/97/588254197.db2.gz HIFQEDQIJMVWLM-ZJUUUORDSA-N 0 3 248.754 2.937 20 0 BFADHN C[C@]1(CCNCc2nccs2)C[C@]1(F)Cl ZINC000846034896 588254358 /nfs/dbraw/zinc/25/43/58/588254358.db2.gz JIOOTHCXKBGHGN-VHSXEESVSA-N 0 3 248.754 2.937 20 0 BFADHN C[C@@]1(CCNCc2nccs2)C[C@]1(F)Cl ZINC000846034894 588254456 /nfs/dbraw/zinc/25/44/56/588254456.db2.gz JIOOTHCXKBGHGN-NXEZZACHSA-N 0 3 248.754 2.937 20 0 BFADHN C[C@H](CCO)N(C)Cc1cc(Cl)cs1 ZINC000846110700 588256945 /nfs/dbraw/zinc/25/69/45/588256945.db2.gz KELYJNSCFIVIAD-MRVPVSSYSA-N 0 3 233.764 2.604 20 0 BFADHN Cn1cccc1CN1CCC[C@@]2(CC2(F)F)C1 ZINC000846108209 588256952 /nfs/dbraw/zinc/25/69/52/588256952.db2.gz NDKNPANFRSAZIV-GFCCVEGCSA-N 0 3 240.297 2.646 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@@H](CO)CCF ZINC000846210010 588260017 /nfs/dbraw/zinc/26/00/17/588260017.db2.gz VMNWQIWUVVOCPI-CMPLNLGQSA-N 0 3 243.297 2.505 20 0 BFADHN CCC1CCN(Cc2ccc3nonc3c2)CC1 ZINC000846776812 588287793 /nfs/dbraw/zinc/28/77/93/588287793.db2.gz YQDCVWFJIUVDSF-UHFFFAOYSA-N 0 3 245.326 2.845 20 0 BFADHN CCCN(CC)CC1(Br)CC1 ZINC000846780231 588287851 /nfs/dbraw/zinc/28/78/51/588287851.db2.gz CIGHVLIJSFWUTJ-UHFFFAOYSA-N 0 3 220.154 2.646 20 0 BFADHN CCn1nccc1-c1ccc(CN(C)C)cc1 ZINC000846779926 588287984 /nfs/dbraw/zinc/28/79/84/588287984.db2.gz QXNHLVWNPOYLTA-UHFFFAOYSA-N 0 3 229.327 2.632 20 0 BFADHN CC(=O)C1CCN([C@@H]2CCc3ccccc32)CC1 ZINC000846939390 588297926 /nfs/dbraw/zinc/29/79/26/588297926.db2.gz FAWRNZSEMXPZIV-MRXNPFEDSA-N 0 3 243.350 2.975 20 0 BFADHN C[C@@H](COC(=O)C1CCCC1)N1CCCCC1 ZINC000847958312 588345377 /nfs/dbraw/zinc/34/53/77/588345377.db2.gz HHKOUYYVCAAJRQ-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN C[C@@H](COC(=O)C1=CCCC1)N1CCCCC1 ZINC000847958921 588345425 /nfs/dbraw/zinc/34/54/25/588345425.db2.gz CDXFZJQUCXZJQN-LBPRGKRZSA-N 0 3 237.343 2.514 20 0 BFADHN CCC[C@H](C)C(=O)OC[C@@H](C)N1CCCCC1 ZINC000847960798 588345585 /nfs/dbraw/zinc/34/55/85/588345585.db2.gz QTCMJNGIURCLLX-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H](Cc1ccco1)N[C@@H]1CCCn2ccnc21 ZINC000848521276 588390987 /nfs/dbraw/zinc/39/09/87/588390987.db2.gz RUCARCZGWIFLNS-DGCLKSJQSA-N 0 3 245.326 2.532 20 0 BFADHN COc1cc([C@@H](C)N[C@@H](C)c2ccc[nH]2)ccn1 ZINC000848535005 588393894 /nfs/dbraw/zinc/39/38/94/588393894.db2.gz ANFXORKGXOFTAQ-MNOVXSKESA-N 0 3 245.326 2.830 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cccc(F)n2)CS1 ZINC000848536992 588394408 /nfs/dbraw/zinc/39/44/08/588394408.db2.gz ZBEIJYVRDCTTSC-MWLCHTKSSA-N 0 3 240.347 2.594 20 0 BFADHN C[C@H](CNCc1cc2n(n1)CCCC2)C(C)(C)C ZINC000848537059 588394718 /nfs/dbraw/zinc/39/47/18/588394718.db2.gz YJBYMLFARNMCAI-GFCCVEGCSA-N 0 3 249.402 2.991 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1noc2ccccc21 ZINC000848575665 588398688 /nfs/dbraw/zinc/39/86/88/588398688.db2.gz UXOXDWVYJLMJPD-KOLCDFICSA-N 0 3 216.284 2.716 20 0 BFADHN CC[C@@](C)(NCc1cncn1C)c1ccccc1 ZINC000107717497 588400757 /nfs/dbraw/zinc/40/07/57/588400757.db2.gz QXDFOLIGZAAINK-OAHLLOKOSA-N 0 3 243.354 2.835 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnc(Cl)n1C ZINC000848880640 588416521 /nfs/dbraw/zinc/41/65/21/588416521.db2.gz GTPDDOGFTPIYGX-VIFPVBQESA-N 0 3 229.755 2.694 20 0 BFADHN O[C@@H](CN1C[C@@H]2CCCC[C@@H]21)c1ccc(F)cc1 ZINC000849325875 588473214 /nfs/dbraw/zinc/47/32/14/588473214.db2.gz WCRVREXDCKUWTM-QEJZJMRPSA-N 0 3 249.329 2.734 20 0 BFADHN Cc1c[nH]c(CNC[C@H](C)c2cccc(F)c2)n1 ZINC000850082107 588518770 /nfs/dbraw/zinc/51/87/70/588518770.db2.gz QCRVXXLTCIJLSN-JTQLQIEISA-N 0 3 247.317 2.751 20 0 BFADHN CC[C@H](C)CCNCc1cnc(Cl)n1C ZINC000850355057 588538744 /nfs/dbraw/zinc/53/87/44/588538744.db2.gz CHYNCVPRYOCEOZ-VIFPVBQESA-N 0 3 229.755 2.599 20 0 BFADHN CC[C@H](C)CCNCc1cnc([C@@H](C)O)s1 ZINC000850355076 588538869 /nfs/dbraw/zinc/53/88/69/588538869.db2.gz CWXVACLBLZEDOU-VHSXEESVSA-N 0 3 242.388 2.722 20 0 BFADHN Clc1ccc(CN[C@H]2C=CCC2)cn1 ZINC000850358626 588538951 /nfs/dbraw/zinc/53/89/51/588538951.db2.gz HVLBIMKCKUQTEI-JTQLQIEISA-N 0 3 208.692 2.543 20 0 BFADHN C1=C[C@@H](NCc2c[nH]c(-c3ccccc3)n2)CC1 ZINC000850358570 588539085 /nfs/dbraw/zinc/53/90/85/588539085.db2.gz GKZDVFOQBYFHKQ-CYBMUJFWSA-N 0 3 239.322 2.885 20 0 BFADHN C1=C[C@@H](NCc2cnc(-c3ccccc3)[nH]2)CC1 ZINC000850358570 588539087 /nfs/dbraw/zinc/53/90/87/588539087.db2.gz GKZDVFOQBYFHKQ-CYBMUJFWSA-N 0 3 239.322 2.885 20 0 BFADHN Cc1cc(CN[C@@H]2C=CCC2)cnc1Cl ZINC000850358523 588539317 /nfs/dbraw/zinc/53/93/17/588539317.db2.gz FMNPGSNPWCIKRF-LLVKDONJSA-N 0 3 222.719 2.852 20 0 BFADHN Cc1cc(CN[C@@H]2COC3(CCC3)C2)c(C)o1 ZINC000850369672 588541025 /nfs/dbraw/zinc/54/10/25/588541025.db2.gz IMFQRIODSAJJHV-ZDUSSCGKSA-N 0 3 235.327 2.698 20 0 BFADHN CCSC1(CNCc2ccc(F)nc2)CC1 ZINC000850394486 588541270 /nfs/dbraw/zinc/54/12/70/588541270.db2.gz SYFAMUPOKBDEGO-UHFFFAOYSA-N 0 3 240.347 2.596 20 0 BFADHN Cc1nc(F)ccc1CNC[C@@]1(C)CC1(F)F ZINC000850378908 588542232 /nfs/dbraw/zinc/54/22/32/588542232.db2.gz AYTVJHSFSNPECK-LLVKDONJSA-N 0 3 244.260 2.664 20 0 BFADHN O[C@@H]1C[C@@H](N[C@@H]2CCCSC2)c2ccccc21 ZINC000850376333 588542265 /nfs/dbraw/zinc/54/22/65/588542265.db2.gz XMGCYCFAISLQDJ-LERXQTSPSA-N 0 3 249.379 2.650 20 0 BFADHN CC[C@H]1COC[C@H]1NCc1ccc(C)cc1OC ZINC000850383832 588542793 /nfs/dbraw/zinc/54/27/93/588542793.db2.gz VLTIYSNLSSTQEM-GXTWGEPZSA-N 0 3 249.354 2.518 20 0 BFADHN Clc1ccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)cn1 ZINC000850392046 588543803 /nfs/dbraw/zinc/54/38/03/588543803.db2.gz FIAINIKXPAFTQZ-PEGIJTEDSA-N 0 3 222.719 2.623 20 0 BFADHN Cc1ccc(CNC[C@@H]2C(C)(C)C2(F)F)cn1 ZINC000850394671 588543913 /nfs/dbraw/zinc/54/39/13/588543913.db2.gz YZQMCMNAEFAFDQ-LLVKDONJSA-N 0 3 240.297 2.771 20 0 BFADHN FC(F)(F)c1cc(CNCC2=CCCC2)[nH]n1 ZINC000850396044 588543926 /nfs/dbraw/zinc/54/39/26/588543926.db2.gz PAOBTEYQTJOFNV-UHFFFAOYSA-N 0 3 245.248 2.628 20 0 BFADHN Cc1cc(CNCC2SCCS2)c(C)o1 ZINC000850402160 588544336 /nfs/dbraw/zinc/54/43/36/588544336.db2.gz QEGDUMZDWYOIME-UHFFFAOYSA-N 0 3 243.397 2.792 20 0 BFADHN COC[C@H](C)N[C@H](C)c1ccc2c(c1)CCCO2 ZINC000850419524 588545039 /nfs/dbraw/zinc/54/50/39/588545039.db2.gz CAUMRUZEEHXPBB-NWDGAFQWSA-N 0 3 249.354 2.697 20 0 BFADHN CCOCCN[C@H](C)c1ccc2c(c1)CCCO2 ZINC000850423942 588545536 /nfs/dbraw/zinc/54/55/36/588545536.db2.gz DJQDHDFUBQOAJC-GFCCVEGCSA-N 0 3 249.354 2.699 20 0 BFADHN CCOCCN[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000850423945 588545642 /nfs/dbraw/zinc/54/56/42/588545642.db2.gz DJQDHDFUBQOAJC-LBPRGKRZSA-N 0 3 249.354 2.699 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@@H]1C[C@@H](C)n2ncnc21 ZINC000850499841 588552325 /nfs/dbraw/zinc/55/23/25/588552325.db2.gz YVIKNOWJGUMDEU-GMTAPVOTSA-N 0 3 236.363 2.698 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1cccnc1N1CCCC1 ZINC000850502801 588552759 /nfs/dbraw/zinc/55/27/59/588552759.db2.gz ZULZFLNGDAYOSX-TZMCWYRMSA-N 0 3 245.370 2.570 20 0 BFADHN CSCC1(CCN[C@H](C)c2ncc[nH]2)CC1 ZINC000850505324 588552956 /nfs/dbraw/zinc/55/29/56/588552956.db2.gz AXLCGMBELUPYCT-SNVBAGLBSA-N 0 3 239.388 2.594 20 0 BFADHN CC[C@H](N[C@@H]1C=CCC1)c1ccncc1 ZINC000850507573 588553933 /nfs/dbraw/zinc/55/39/33/588553933.db2.gz KACQUHHSQJHJLH-OLZOCXBDSA-N 0 3 202.301 2.841 20 0 BFADHN C1=C[C@H](N[C@H](c2nc[nH]n2)C2CCCCC2)CC1 ZINC000850509616 588554737 /nfs/dbraw/zinc/55/47/37/588554737.db2.gz SBKFLGUFZHDQHW-STQMWFEESA-N 0 3 246.358 2.734 20 0 BFADHN C1=C[C@H]([NH2+][C@H](c2nnc[n-]2)C2CCCCC2)CC1 ZINC000850509616 588554739 /nfs/dbraw/zinc/55/47/39/588554739.db2.gz SBKFLGUFZHDQHW-STQMWFEESA-N 0 3 246.358 2.734 20 0 BFADHN C1=C[C@H](N[C@H](c2nnc[nH]2)C2CCCCC2)CC1 ZINC000850509616 588554741 /nfs/dbraw/zinc/55/47/41/588554741.db2.gz SBKFLGUFZHDQHW-STQMWFEESA-N 0 3 246.358 2.734 20 0 BFADHN C[C@H](N[C@H]1C=CCC1)c1ccc([S@@](C)=O)cc1 ZINC000850510922 588555208 /nfs/dbraw/zinc/55/52/08/588555208.db2.gz XXRDMBQQTXETPN-PLQHRBFRSA-N 0 3 249.379 2.793 20 0 BFADHN COC[C@H](N[C@H]1CC[C@@H]1C)c1cccc(OC)c1 ZINC000850513736 588556207 /nfs/dbraw/zinc/55/62/07/588556207.db2.gz ITRHIIRLKOIZSE-CQDKDKBSSA-N 0 3 249.354 2.771 20 0 BFADHN Cc1cncc([C@@H](C)N[C@@H]2COC3(CCC3)C2)c1 ZINC000850524867 588556812 /nfs/dbraw/zinc/55/68/12/588556812.db2.gz ITIUZBWSPDZITG-OCCSQVGLSA-N 0 3 246.354 2.752 20 0 BFADHN CC(C)CC1(N[C@@H](C)c2ncc[nH]2)CC1 ZINC000850567218 588561047 /nfs/dbraw/zinc/56/10/47/588561047.db2.gz YGVKBWJQSXCWTL-JTQLQIEISA-N 0 3 207.321 2.639 20 0 BFADHN CCCCCC[C@@H](CC)CN(C)C(=O)[C@H](C)N ZINC000851647329 588613059 /nfs/dbraw/zinc/61/30/59/588613059.db2.gz JMWYURISXNEHGT-QWHCGFSZSA-N 0 3 242.407 2.789 20 0 BFADHN CO[C@H]1CC[C@H](N(C)Cc2ccc(C)o2)C1 ZINC000851718004 588616265 /nfs/dbraw/zinc/61/62/65/588616265.db2.gz BCNSIBXRZNHGJC-RYUDHWBXSA-N 0 3 223.316 2.587 20 0 BFADHN CN(Cc1cccc(F)n1)C1CCCC1 ZINC000851718088 588616424 /nfs/dbraw/zinc/61/64/24/588616424.db2.gz SSJIVOLVEHDKIM-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN CC(C)N(Cc1ccccc1)C[C@H]1CCC(=O)O1 ZINC000851724707 588617787 /nfs/dbraw/zinc/61/77/87/588617787.db2.gz FIQQHAZSTUPJFZ-CQSZACIVSA-N 0 3 247.338 2.603 20 0 BFADHN c1nonc1CN1CCC[C@H]1C1CCCCC1 ZINC000851731138 588619277 /nfs/dbraw/zinc/61/92/77/588619277.db2.gz XMONPEITWBLYMD-ZDUSSCGKSA-N 0 3 235.331 2.614 20 0 BFADHN Cc1ccccc1[C@@H](C)N(C)C[C@H]1CCC(=O)O1 ZINC000851733990 588620179 /nfs/dbraw/zinc/62/01/79/588620179.db2.gz MADAXIBKZARTLS-CHWSQXEVSA-N 0 3 247.338 2.693 20 0 BFADHN CO[C@@H]1CC[C@@H](N(C)Cc2ccoc2C)C1 ZINC000851736893 588620554 /nfs/dbraw/zinc/62/05/54/588620554.db2.gz XBXAFUWTANQBTG-CHWSQXEVSA-N 0 3 223.316 2.587 20 0 BFADHN CCN(Cc1ccc(C)cc1)C[C@H]1CCC(=O)O1 ZINC000851738429 588620814 /nfs/dbraw/zinc/62/08/14/588620814.db2.gz GVAPIJOOMDLLCL-CQSZACIVSA-N 0 3 247.338 2.523 20 0 BFADHN CCC1(C)CCN(C[C@H](O)c2ccccn2)CC1 ZINC000851746270 588621953 /nfs/dbraw/zinc/62/19/53/588621953.db2.gz RHBFNJCLDCRPQT-AWEZNQCLSA-N 0 3 248.370 2.627 20 0 BFADHN c1nonc1CN(CC1CC1)C1CCCCC1 ZINC000851749218 588622983 /nfs/dbraw/zinc/62/29/83/588622983.db2.gz WAGHTDYRICWNJC-UHFFFAOYSA-N 0 3 235.331 2.614 20 0 BFADHN Fc1cccc(CN2CCC[C@H]2C2CCC2)n1 ZINC000851750147 588623260 /nfs/dbraw/zinc/62/32/60/588623260.db2.gz YIQPIJYLXKCYIU-ZDUSSCGKSA-N 0 3 234.318 2.985 20 0 BFADHN CC1(C)CCCN1Cc1cccc(F)n1 ZINC000851750416 588623295 /nfs/dbraw/zinc/62/32/95/588623295.db2.gz FFGZXBKTJGYGCQ-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN CC(C)C[C@H]1CCCCCN1Cc1cnon1 ZINC000851782947 588627815 /nfs/dbraw/zinc/62/78/15/588627815.db2.gz ZNRJNWHMCZKPDG-CYBMUJFWSA-N 0 3 237.347 2.860 20 0 BFADHN FC1(F)C[C@H]1OCCN1CCC2(CCCC2)C1 ZINC000851790550 588628717 /nfs/dbraw/zinc/62/87/17/588628717.db2.gz LFYNRVMTWJMRLD-LLVKDONJSA-N 0 3 245.313 2.677 20 0 BFADHN CC(C)C[C@@H]1CCCN(CCOC(F)F)C1 ZINC000851807545 588628859 /nfs/dbraw/zinc/62/88/59/588628859.db2.gz AOYDHXWQUXBHJN-NSHDSACASA-N 0 3 235.318 2.984 20 0 BFADHN CCc1nocc1CN1C[C@H](C)CC1(C)C ZINC000851799890 588630244 /nfs/dbraw/zinc/63/02/44/588630244.db2.gz UQLSXNDYKWSVTR-SNVBAGLBSA-N 0 3 222.332 2.857 20 0 BFADHN C[C@H](N(C)Cc1cccc(F)n1)C1(C)CC1 ZINC000851806097 588631226 /nfs/dbraw/zinc/63/12/26/588631226.db2.gz ISABKXQGIKQWHJ-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN CCC(C)(C)N(C)Cc1cccc(F)n1 ZINC000851843012 588634258 /nfs/dbraw/zinc/63/42/58/588634258.db2.gz WTSSCVFIOJJXQB-UHFFFAOYSA-N 0 3 210.296 2.841 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(F)n2)C[C@@H]1C ZINC000851886795 588640589 /nfs/dbraw/zinc/64/05/89/588640589.db2.gz SIJIIZCVHYTEEL-MNOVXSKESA-N 0 3 222.307 2.699 20 0 BFADHN CC[C@@H](NC[C@H]1CCC(=O)O1)c1ccc(C)cc1 ZINC000851892736 588641473 /nfs/dbraw/zinc/64/14/73/588641473.db2.gz LPFDEQCOZXWRLZ-ZIAGYGMSSA-N 0 3 247.338 2.741 20 0 BFADHN CCC[C@@H](NC[C@@H]1CCC(=O)O1)c1ccccc1 ZINC000851893836 588641593 /nfs/dbraw/zinc/64/15/93/588641593.db2.gz WJPWDVBJJDVYOG-UONOGXRCSA-N 0 3 247.338 2.823 20 0 BFADHN C[C@H]1CN(CCOC(F)F)CC(C)(C)C1 ZINC000851921869 588643766 /nfs/dbraw/zinc/64/37/66/588643766.db2.gz DNYMTCLWJSKZFC-SECBINFHSA-N 0 3 221.291 2.594 20 0 BFADHN CC[C@H](NCCOC(F)F)c1c(C)noc1C ZINC000851928343 588644809 /nfs/dbraw/zinc/64/48/09/588644809.db2.gz SBMYVIWBPACLHH-VIFPVBQESA-N 0 3 248.273 2.571 20 0 BFADHN CC[C@H](NCC=C(Cl)Cl)[C@H]1CCCO1 ZINC000851928696 588644954 /nfs/dbraw/zinc/64/49/54/588644954.db2.gz WGXCNRYUCWZJPX-DTWKUNHWSA-N 0 3 238.158 2.853 20 0 BFADHN C[C@H]1CCN(CCOC(F)F)CC1(C)C ZINC000851936794 588645837 /nfs/dbraw/zinc/64/58/37/588645837.db2.gz WXGOHQAUKRXGOZ-VIFPVBQESA-N 0 3 221.291 2.594 20 0 BFADHN Cn1cnc(CN2CCCC3(CCCC3)C2)c1 ZINC000851967670 588648941 /nfs/dbraw/zinc/64/89/41/588648941.db2.gz DMIYBOLJAUEXRQ-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN CO[C@H](CN1CCC(F)(F)CC1)C1CCC1 ZINC000852001011 588653846 /nfs/dbraw/zinc/65/38/46/588653846.db2.gz CFYKBBMUXNARNU-LLVKDONJSA-N 0 3 233.302 2.533 20 0 BFADHN C[C@@]1(F)CCCN(Cc2cccc(N)c2)C1 ZINC000852095552 588662118 /nfs/dbraw/zinc/66/21/18/588662118.db2.gz APOWUYFXZKRLBR-CYBMUJFWSA-N 0 3 222.307 2.593 20 0 BFADHN Cc1ccc(CN(C)CCOC(F)F)cc1C ZINC000852150517 588667715 /nfs/dbraw/zinc/66/77/15/588667715.db2.gz ZDLBIKSZOFBMHV-UHFFFAOYSA-N 0 3 243.297 2.974 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1cccc(F)n1 ZINC000852177085 588669598 /nfs/dbraw/zinc/66/95/98/588669598.db2.gz YIYUYUYLMJQFEA-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CN(Cc2cccc(F)n2)[C@@H](C)[C@H]1C ZINC000852181622 588670116 /nfs/dbraw/zinc/67/01/16/588670116.db2.gz KXXPXYFIFGSJIX-VWYCJHECSA-N 0 3 222.307 2.697 20 0 BFADHN CO[C@@H]1CC[C@@H](N2CCC[C@]3(CC3(F)F)C2)C1 ZINC000852213455 588673038 /nfs/dbraw/zinc/67/30/38/588673038.db2.gz LSSSUTUPMILZTH-UTUOFQBUSA-N 0 3 245.313 2.675 20 0 BFADHN COCCN(CCF)C[C@@H]1CCCC[C@H]1C ZINC000852479878 588683211 /nfs/dbraw/zinc/68/32/11/588683211.db2.gz KAJCSZDXIQSYAD-OLZOCXBDSA-N 0 3 231.355 2.731 20 0 BFADHN COCCN(CCF)CCCCC(F)(F)F ZINC000852480778 588684230 /nfs/dbraw/zinc/68/42/30/588684230.db2.gz BZVSQJPAWRFXTB-UHFFFAOYSA-N 0 3 245.260 2.637 20 0 BFADHN COCCN(CCF)C[C@@H]1CCC[C@H](C)C1 ZINC000852480806 588684383 /nfs/dbraw/zinc/68/43/83/588684383.db2.gz CSMFKQCSDWWILS-QWHCGFSZSA-N 0 3 231.355 2.731 20 0 BFADHN O[C@H]1C[C@H](NCc2ccc(CF)cc2)C12CCC2 ZINC000852583144 588691913 /nfs/dbraw/zinc/69/19/13/588691913.db2.gz RQMSFDMUHSDTPF-KBPBESRZSA-N 0 3 249.329 2.549 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2cnc(C)cn2)C1 ZINC000852592407 588692429 /nfs/dbraw/zinc/69/24/29/588692429.db2.gz OXPMCKJDVFYDLV-CHWSQXEVSA-N 0 3 233.359 2.796 20 0 BFADHN CC(C)CC(=O)CCN(C)CC1=CCSC1 ZINC000852746614 588699052 /nfs/dbraw/zinc/69/90/52/588699052.db2.gz MBUOKCZGVWHTLK-UHFFFAOYSA-N 0 3 241.400 2.597 20 0 BFADHN CN(Cc1ccnc(N(C)C)c1)C[C@@H]1CC1(C)C ZINC000852773535 588701687 /nfs/dbraw/zinc/70/16/87/588701687.db2.gz ZWABTLWKXFITFT-ZDUSSCGKSA-N 0 3 247.386 2.626 20 0 BFADHN Cc1ccccc1OCCN1CC2CC1(C)C2 ZINC000852785168 588702379 /nfs/dbraw/zinc/70/23/79/588702379.db2.gz BAAJDRJFWLRBES-UHFFFAOYSA-N 0 3 231.339 2.858 20 0 BFADHN CC[C@H](NC)C(=O)N(C)c1ccc(C(C)C)cc1 ZINC000852848753 588707928 /nfs/dbraw/zinc/70/79/28/588707928.db2.gz RAWGQMVUKBEGEV-AWEZNQCLSA-N 0 3 248.370 2.771 20 0 BFADHN Cc1c(F)nccc1CNCc1cccs1 ZINC000862261555 589197357 /nfs/dbraw/zinc/19/73/57/589197357.db2.gz HRQQWSQHIZOGPS-UHFFFAOYSA-N 0 3 236.315 2.880 20 0 BFADHN C[C@H](NCc1cccnc1F)C1CC(F)(F)C1 ZINC000862350623 589201705 /nfs/dbraw/zinc/20/17/05/589201705.db2.gz CMRCRFRROLQJQJ-QMMMGPOBSA-N 0 3 244.260 2.744 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CNCc1nonc1C ZINC000862367487 589205230 /nfs/dbraw/zinc/20/52/30/589205230.db2.gz KHDYXCXTACQDCS-VXGBXAGGSA-N 0 3 237.347 2.684 20 0 BFADHN Cc1c(CN[C@@H]2CS[C@@H](C)C2)ccnc1F ZINC000862394807 589208277 /nfs/dbraw/zinc/20/82/77/589208277.db2.gz KZMACOMCSADHRP-KWQFWETISA-N 0 3 240.347 2.513 20 0 BFADHN Cc1c(CNC2CC3(CCC3)C2)ccnc1F ZINC000862402653 589209071 /nfs/dbraw/zinc/20/90/71/589209071.db2.gz QDQKECFMXFVRKZ-UHFFFAOYSA-N 0 3 234.318 2.951 20 0 BFADHN Cc1c(CNC[C@]2(C)CC2(F)F)ccnc1F ZINC000862415427 589211348 /nfs/dbraw/zinc/21/13/48/589211348.db2.gz GNUDWHAODKJFJD-NSHDSACASA-N 0 3 244.260 2.664 20 0 BFADHN Cc1c(F)nccc1CNCC1=CCCC1 ZINC000862419878 589211933 /nfs/dbraw/zinc/21/19/33/589211933.db2.gz TWRGJGPERZCIPF-UHFFFAOYSA-N 0 3 220.291 2.729 20 0 BFADHN Cc1cc(CNC[C@]2(C)C[C@H]3C[C@H]3C2)no1 ZINC000862528231 589217636 /nfs/dbraw/zinc/21/76/36/589217636.db2.gz RABKCFGIUWFDAP-PTEHBNRSSA-N 0 3 220.316 2.509 20 0 BFADHN CC(C)c1ccc(-c2cnn3c2CNCC3)cc1 ZINC000863677416 589310602 /nfs/dbraw/zinc/31/06/02/589310602.db2.gz LANHCQHNFRFYKP-UHFFFAOYSA-N 0 3 241.338 2.777 20 0 BFADHN CN[C@@H](CO)c1cccc(-c2coc(C)c2)c1 ZINC000863722749 589313248 /nfs/dbraw/zinc/31/32/48/589313248.db2.gz LSUIIPSNAUPREM-AWEZNQCLSA-N 0 3 231.295 2.508 20 0 BFADHN Cc1c(F)nccc1-c1ccc2c(c1)CCNC2 ZINC000863730825 589313548 /nfs/dbraw/zinc/31/35/48/589313548.db2.gz MEQSPMGXZKUBDA-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN COc1c(C)cc(CN[C@]2(C)CCOC2)cc1C ZINC000120139301 589382746 /nfs/dbraw/zinc/38/27/46/589382746.db2.gz BJKAQGGLUGMVEF-OAHLLOKOSA-N 0 3 249.354 2.581 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2cn(C3CC3)cn2)C1 ZINC000865407739 589433493 /nfs/dbraw/zinc/43/34/93/589433493.db2.gz HRJGDZDRQYUYEV-NEPJUHHUSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1scc(CNC[C@@H](O)CC(C)C)c1C ZINC000865437149 589436237 /nfs/dbraw/zinc/43/62/37/589436237.db2.gz AZNKKOJPPOQQTA-ZDUSSCGKSA-N 0 3 241.400 2.862 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1cc2c(cc[nH]c2=O)o1 ZINC000865452697 589437102 /nfs/dbraw/zinc/43/71/02/589437102.db2.gz NJVNWVSFLNRATH-JOYOIKCWSA-N 0 3 246.310 2.812 20 0 BFADHN C[C@H](CNCc1cn(C2CC2)cn1)C(C)(C)C ZINC000865465406 589437924 /nfs/dbraw/zinc/43/79/24/589437924.db2.gz ZEKXQJBDWSXDOK-LLVKDONJSA-N 0 3 235.375 2.990 20 0 BFADHN CCOc1cc(F)cc(CNCCCF)c1 ZINC000865495835 589440949 /nfs/dbraw/zinc/44/09/49/589440949.db2.gz QCMGAQIDYJAWEE-UHFFFAOYSA-N 0 3 229.270 2.674 20 0 BFADHN C[C@@H](NCc1cc2c(cc[nH]c2=O)o1)C1CCC1 ZINC000865510246 589442521 /nfs/dbraw/zinc/44/25/21/589442521.db2.gz IRROKQOOZKIWDL-SECBINFHSA-N 0 3 246.310 2.812 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2cn(C3CC3)cn2)C1 ZINC000865548476 589445070 /nfs/dbraw/zinc/44/50/70/589445070.db2.gz BTUDWFYBQFHBKY-NEPJUHHUSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1cn(C2CC2)cn1 ZINC000865552477 589445773 /nfs/dbraw/zinc/44/57/73/589445773.db2.gz MFVMADSBNREPSS-NWDGAFQWSA-N 0 3 233.359 2.744 20 0 BFADHN CCOc1cc(F)cc(CN[C@@H]2CC23CC3)c1 ZINC000865609492 589451112 /nfs/dbraw/zinc/45/11/12/589451112.db2.gz NLNOWNDIALIWHA-CYBMUJFWSA-N 0 3 235.302 2.867 20 0 BFADHN OC/C=C\CNCc1cccc(CC2CCC2)c1 ZINC000865623682 589451969 /nfs/dbraw/zinc/45/19/69/589451969.db2.gz RDDTYQPFWRLMLY-UPHRSURJSA-N 0 3 245.366 2.667 20 0 BFADHN CC(C)(C)Oc1cccc(CNC/C=C/CO)c1 ZINC000865623627 589452087 /nfs/dbraw/zinc/45/20/87/589452087.db2.gz QIVPJZLUQNARJL-SNAWJCMRSA-N 0 3 249.354 2.502 20 0 BFADHN CC1(C)C[C@]1(C)NCc1cc2c(cc[nH]c2=O)o1 ZINC000865631505 589452485 /nfs/dbraw/zinc/45/24/85/589452485.db2.gz FKLNOVHVCDLKTF-AWEZNQCLSA-N 0 3 246.310 2.812 20 0 BFADHN CN(C)c1ccc(F)cc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000865632525 589452824 /nfs/dbraw/zinc/45/28/24/589452824.db2.gz WZRVSCXTNFQNSX-AGGWBTHJSA-N 0 3 248.345 2.780 20 0 BFADHN Fc1ccc(CN[C@]23C[C@H]2CCCC3)cn1 ZINC000865703652 589457430 /nfs/dbraw/zinc/45/74/30/589457430.db2.gz KISQBADTSCLHSD-DGCLKSJQSA-N 0 3 220.291 2.643 20 0 BFADHN CCC[C@@](C)(CO)NCc1cc(C)oc1C ZINC000120705121 589457833 /nfs/dbraw/zinc/45/78/33/589457833.db2.gz MHSKUMJPJAPEGO-ZDUSSCGKSA-N 0 3 225.332 2.537 20 0 BFADHN CS[C@H]1C[C@H](NCc2cc(F)cc(F)c2)C1 ZINC000865734260 589457903 /nfs/dbraw/zinc/45/79/03/589457903.db2.gz PYAJBWGAHDBMOK-HAQNSBGRSA-N 0 3 243.322 2.948 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ccnc(F)c2)CS1 ZINC000865721971 589458558 /nfs/dbraw/zinc/45/85/58/589458558.db2.gz CIFQXKDZJNIYFD-KOLCDFICSA-N 0 3 240.347 2.594 20 0 BFADHN CS[C@H]1C[C@H](NCc2sc(C)nc2C)C1 ZINC000865734321 589459166 /nfs/dbraw/zinc/45/91/66/589459166.db2.gz SLXHBSJECSOSTO-MGCOHNPYSA-N 0 3 242.413 2.744 20 0 BFADHN COc1ccccc1CN[C@H]1C[C@H](SC)C1 ZINC000865734930 589459354 /nfs/dbraw/zinc/45/93/54/589459354.db2.gz VLNDLZMWGBVDES-HAQNSBGRSA-N 0 3 237.368 2.679 20 0 BFADHN CCc1ncc(CN[C@H]2C[C@H](SC)C2)s1 ZINC000865734407 589459502 /nfs/dbraw/zinc/45/95/02/589459502.db2.gz WVDWCAHXKLSPQW-KYZUINATSA-N 0 3 242.413 2.689 20 0 BFADHN Cc1cc(CNC(C)(C)[C@H]2CCCCO2)ccn1 ZINC000865743784 589460519 /nfs/dbraw/zinc/46/05/19/589460519.db2.gz IKSMSEAHGXNWBT-CQSZACIVSA-N 0 3 248.370 2.827 20 0 BFADHN Cc1cnccc1CNC(C)(C)[C@@H]1CCCCO1 ZINC000865743339 589460584 /nfs/dbraw/zinc/46/05/84/589460584.db2.gz AUKGXVAQJGUMLI-AWEZNQCLSA-N 0 3 248.370 2.827 20 0 BFADHN CCc1ncc(CNCCCCC2CC2)cn1 ZINC000865786511 589461874 /nfs/dbraw/zinc/46/18/74/589461874.db2.gz PCEMJFBAFGCUAX-UHFFFAOYSA-N 0 3 233.359 2.709 20 0 BFADHN C[C@@H]1CC[C@H](NCc2nn(C)cc2C(F)F)C1 ZINC000865808179 589462767 /nfs/dbraw/zinc/46/27/67/589462767.db2.gz CMFXAZYIEXBMRS-BDAKNGLRSA-N 0 3 243.301 2.636 20 0 BFADHN Cn1cc(C(F)F)c(CNC2CCCCC2)n1 ZINC000865810811 589463027 /nfs/dbraw/zinc/46/30/27/589463027.db2.gz UAORMZKPMFFEFO-UHFFFAOYSA-N 0 3 243.301 2.780 20 0 BFADHN CC(C)CNCc1noc2cc(F)ccc12 ZINC000865835607 589463770 /nfs/dbraw/zinc/46/37/70/589463770.db2.gz BUWXFKRWFDMODG-UHFFFAOYSA-N 0 3 222.263 2.713 20 0 BFADHN Cc1ccc(C)c(CN[C@@H](C)c2ccnn2C)c1 ZINC000865839751 589464405 /nfs/dbraw/zinc/46/44/05/589464405.db2.gz RPXOXETYHJAOEJ-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cccc(CN[C@H](C)c2ccnn2C)c1C ZINC000865839590 589464529 /nfs/dbraw/zinc/46/45/29/589464529.db2.gz OGYDWPSAUFBOKG-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN Fc1cccc(CN[C@]23CCC[C@H]2OCC3)c1 ZINC000865860999 589465466 /nfs/dbraw/zinc/46/54/66/589465466.db2.gz ZZZYQYNSMRWBAD-KGLIPLIRSA-N 0 3 235.302 2.627 20 0 BFADHN Fc1ccccc1CN[C@]12CCC[C@H]1OCC2 ZINC000865859298 589465608 /nfs/dbraw/zinc/46/56/08/589465608.db2.gz KUCMFENBOOJYPB-KGLIPLIRSA-N 0 3 235.302 2.627 20 0 BFADHN Cc1ccc(CN[C@]23CCC[C@H]2OCC3)cc1F ZINC000865860271 589465659 /nfs/dbraw/zinc/46/56/59/589465659.db2.gz UGTWZGHMUVAZCV-CABCVRRESA-N 0 3 249.329 2.935 20 0 BFADHN Fc1cccc(/C=C/CNC[C@H]2CCCO2)c1 ZINC000885894348 589469212 /nfs/dbraw/zinc/46/92/12/589469212.db2.gz QKPBWBIMCZQERI-JBQRSBCVSA-N 0 3 235.302 2.608 20 0 BFADHN C[C@H](CC1CCC1)Nc1ccnc(CO)c1 ZINC000866101497 589476009 /nfs/dbraw/zinc/47/60/09/589476009.db2.gz VQEMXOBMBBZJMH-SNVBAGLBSA-N 0 3 220.316 2.565 20 0 BFADHN CCc1cc(N2CC[C@H]3CSC[C@@H]3C2)ccn1 ZINC000866202249 589479925 /nfs/dbraw/zinc/47/99/25/589479925.db2.gz TVMUVEOKOUJBSF-RYUDHWBXSA-N 0 3 248.395 2.833 20 0 BFADHN CC(C)[C@@H](NC[C@@](C)(O)C1CC1)c1ccccn1 ZINC000866266071 589483770 /nfs/dbraw/zinc/48/37/70/589483770.db2.gz WPXAEMKPMHDUTH-HUUCEWRRSA-N 0 3 248.370 2.529 20 0 BFADHN c1cnc2c(c1)[C@H](NC[C@@H]1CCC=CO1)CCC2 ZINC000866343598 589487262 /nfs/dbraw/zinc/48/72/62/589487262.db2.gz LRGGEDVGPVXSSX-SWLSCSKDSA-N 0 3 244.338 2.741 20 0 BFADHN c1cnc2c(c1)[C@@H](NC[C@@H]1CCC=CO1)CCC2 ZINC000866343599 589487362 /nfs/dbraw/zinc/48/73/62/589487362.db2.gz LRGGEDVGPVXSSX-WFASDCNBSA-N 0 3 244.338 2.741 20 0 BFADHN CC(C)[C@@H](NC[C@@H](O)C1CCC1)c1ccccn1 ZINC000866369564 589488491 /nfs/dbraw/zinc/48/84/91/589488491.db2.gz MGHIZOKBHLMQJU-HUUCEWRRSA-N 0 3 248.370 2.529 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)o1 ZINC000866448028 589493312 /nfs/dbraw/zinc/49/33/12/589493312.db2.gz RVJSLOONCSPOSG-OOAGNDBOSA-N 0 3 247.338 2.662 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2[C@@H]3OC[C@H]4C[C@H]2C[C@H]34)o1 ZINC000866448027 589493411 /nfs/dbraw/zinc/49/34/11/589493411.db2.gz RVJSLOONCSPOSG-MDFWMIKMSA-N 0 3 247.338 2.662 20 0 BFADHN F[C@@H]1CCCC[C@@H]1N[C@H]1COC2(CCC2)C1 ZINC000866451291 589493677 /nfs/dbraw/zinc/49/36/77/589493677.db2.gz HKINQDOYIKAFQD-UTUOFQBUSA-N 0 3 227.323 2.568 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2ccncc2)C1 ZINC000866486833 589496628 /nfs/dbraw/zinc/49/66/28/589496628.db2.gz QLAVVXQQOMZXLC-DLOVCJGASA-N 0 3 222.357 2.626 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H]2CCCc3cccnc32)C1 ZINC000866488421 589496769 /nfs/dbraw/zinc/49/67/69/589496769.db2.gz NQVZTXHIJQMPBD-JHJVBQTASA-N 0 3 248.395 2.943 20 0 BFADHN C[C@@H](NCCCCC1CC1)c1ccn(C)n1 ZINC000866540776 589499719 /nfs/dbraw/zinc/49/97/19/589499719.db2.gz CTSSGBFZUWUGEA-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN C[C@H](NCCCCC1CC1)c1ccn(C)n1 ZINC000866540777 589499750 /nfs/dbraw/zinc/49/97/50/589499750.db2.gz CTSSGBFZUWUGEA-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1nn(C)cc1C(F)F ZINC000866563470 589500563 /nfs/dbraw/zinc/50/05/63/589500563.db2.gz MNXCJFYMUAEBMR-BDAKNGLRSA-N 0 3 245.317 2.882 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1nn(C)cc1C(F)F ZINC000866566816 589500731 /nfs/dbraw/zinc/50/07/31/589500731.db2.gz HPCLCSOXDGMSCZ-PSASIEDQSA-N 0 3 243.301 2.636 20 0 BFADHN CC(C)(C)c1ccc(CN[C@H]2CCCOC2)o1 ZINC000866574749 589501045 /nfs/dbraw/zinc/50/10/45/589501045.db2.gz YCVTVIBCLNPSTP-NSHDSACASA-N 0 3 237.343 2.846 20 0 BFADHN C[C@H](c1ccccn1)N1CC[C@@H]2CSC[C@@H]2C1 ZINC000866581833 589501406 /nfs/dbraw/zinc/50/14/06/589501406.db2.gz DJPVSOWLQFUUTI-UPJWGTAASA-N 0 3 248.395 2.828 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@H]2CSC[C@H]2C1 ZINC000866584037 589502127 /nfs/dbraw/zinc/50/21/27/589502127.db2.gz WTZKONVMOFRTNO-KWCYVHTRSA-N 0 3 248.395 2.828 20 0 BFADHN Nc1ccc2c(c1)OCCN(CCC1CCC1)C2 ZINC000866687192 589511636 /nfs/dbraw/zinc/51/16/36/589511636.db2.gz OKHMZWPUWKIYNI-UHFFFAOYSA-N 0 3 246.354 2.653 20 0 BFADHN CC[C@H](C)C(=O)N1c2ccccc2[C@H](N)C1(C)C ZINC000867990530 589542531 /nfs/dbraw/zinc/54/25/31/589542531.db2.gz NRQHUPLSOAKGHN-GWCFXTLKSA-N 0 3 246.354 2.858 20 0 BFADHN C/C=C(\C)C(=O)N1c2ccccc2[C@H](N)C1(C)C ZINC000867989688 589542690 /nfs/dbraw/zinc/54/26/90/589542690.db2.gz OCRIADLBYNKQJR-KADSFNECSA-N 0 3 244.338 2.778 20 0 BFADHN CC[C@H](C)C(=O)N1c2ccccc2[C@@H](N)C1(C)C ZINC000867990535 589542850 /nfs/dbraw/zinc/54/28/50/589542850.db2.gz NRQHUPLSOAKGHN-GXFFZTMASA-N 0 3 246.354 2.858 20 0 BFADHN CCCCOCCCNCc1ccoc1 ZINC000122199687 589562536 /nfs/dbraw/zinc/56/25/36/589562536.db2.gz IVIFWCWCFUPNGJ-UHFFFAOYSA-N 0 3 211.305 2.576 20 0 BFADHN FC(F)(F)SCCNCc1ccoc1 ZINC000122206251 589565687 /nfs/dbraw/zinc/56/56/87/589565687.db2.gz MQBZZCPIVWVOCN-UHFFFAOYSA-N 0 3 225.235 2.622 20 0 BFADHN c1cc(CNCCC2CCC2)c2c(c1)OCCO2 ZINC000123014627 589651913 /nfs/dbraw/zinc/65/19/13/589651913.db2.gz RPWCQVMFDOFBDP-UHFFFAOYSA-N 0 3 247.338 2.738 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)c1cc(C)cs1 ZINC000870547537 589672955 /nfs/dbraw/zinc/67/29/55/589672955.db2.gz JDJMOTZWPVHMRC-JTQLQIEISA-N 0 3 241.356 2.554 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)c1ccsc1C ZINC000870548669 589673873 /nfs/dbraw/zinc/67/38/73/589673873.db2.gz SQRFKWNZSYQSSC-SNVBAGLBSA-N 0 3 241.356 2.554 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1ccnc(Cl)c1 ZINC000123402621 589701426 /nfs/dbraw/zinc/70/14/26/589701426.db2.gz MSKRFUBVEKQHIW-ONGXEEELSA-N 0 3 242.750 2.547 20 0 BFADHN CN(C)CCSCc1scnc1Cl ZINC000871658010 589783740 /nfs/dbraw/zinc/78/37/40/589783740.db2.gz MQZTYZAOJXJSGQ-UHFFFAOYSA-N 0 3 236.793 2.591 20 0 BFADHN CN(C)CCSCSC(C)(C)C ZINC000871658471 589784175 /nfs/dbraw/zinc/78/41/75/589784175.db2.gz VORFGLGXMVXFTO-UHFFFAOYSA-N 0 3 207.408 2.770 20 0 BFADHN CCOCCC[NH2+][C@H](C)c1cccc([O-])c1F ZINC000872016317 589855867 /nfs/dbraw/zinc/85/58/67/589855867.db2.gz HXWTXMIRXHJFHC-SNVBAGLBSA-N 0 3 241.306 2.609 20 0 BFADHN CC(C)C[NH2+][C@@H](C)c1cccc([O-])c1F ZINC000872017048 589856411 /nfs/dbraw/zinc/85/64/11/589856411.db2.gz RWYHHVAQDJGSGE-VIFPVBQESA-N 0 3 211.280 2.838 20 0 BFADHN C[C@@H](N[C@@H](C)c1cc(O)ccc1F)C1CC1 ZINC000872018850 589857031 /nfs/dbraw/zinc/85/70/31/589857031.db2.gz QPNMCUZMGNEFIE-BDAKNGLRSA-N 0 3 223.291 2.980 20 0 BFADHN CO[C@H](C)CCN[C@H](C)c1cc(O)ccc1F ZINC000872032254 589857237 /nfs/dbraw/zinc/85/72/37/589857237.db2.gz YYSIPPDHOOJGOW-NXEZZACHSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@H]([NH2+]C[C@@H]1C[C@H]1C)c1cccc([O-])c1F ZINC000872027872 589857718 /nfs/dbraw/zinc/85/77/18/589857718.db2.gz MVGQVCZGKCJRTP-UTLUCORTSA-N 0 3 223.291 2.838 20 0 BFADHN COCC[C@H](C)N[C@H](C)c1cc(O)ccc1F ZINC000872032299 589857876 /nfs/dbraw/zinc/85/78/76/589857876.db2.gz ZCGPCUGQKHIFOC-VHSXEESVSA-N 0 3 241.306 2.607 20 0 BFADHN CSC[C@@H](C)N[C@@H](C)c1cc(O)ccc1F ZINC000872037973 589859146 /nfs/dbraw/zinc/85/91/46/589859146.db2.gz JEGZBDDZANQLBW-BDAKNGLRSA-N 0 3 243.347 2.933 20 0 BFADHN CSCCCN[C@@H](C)c1cc(O)ccc1F ZINC000872037769 589859317 /nfs/dbraw/zinc/85/93/17/589859317.db2.gz HPVXLZCHIZSQSX-VIFPVBQESA-N 0 3 243.347 2.935 20 0 BFADHN CS[C@@H](C)C[NH2+][C@@H](C)c1cccc([O-])c1F ZINC000872054124 589861966 /nfs/dbraw/zinc/86/19/66/589861966.db2.gz ZQRGISHTJHIOMA-IUCAKERBSA-N 0 3 243.347 2.933 20 0 BFADHN CC[C@@H](CCC(F)(F)F)NCc1cocn1 ZINC000872054663 589862321 /nfs/dbraw/zinc/86/23/21/589862321.db2.gz AYGDPYPLMIQIKR-QMMMGPOBSA-N 0 3 236.237 2.885 20 0 BFADHN C[C@H](N[C@H]1CC1(C)C)c1cc(O)ccc1F ZINC000872077944 589865322 /nfs/dbraw/zinc/86/53/22/589865322.db2.gz CNVSWASBASIKPX-UFBFGSQYSA-N 0 3 223.291 2.980 20 0 BFADHN CCC[C@H](C)[C@@H](CC)NCc1nonc1C ZINC000872137591 589875317 /nfs/dbraw/zinc/87/53/17/589875317.db2.gz ULTOHEQUWJGZOV-GXSJLCMTSA-N 0 3 225.336 2.682 20 0 BFADHN CCCCCC[C@H](O)CNCC(C)(C)F ZINC000872216838 589895490 /nfs/dbraw/zinc/89/54/90/589895490.db2.gz GHVDYNHHJUIWJH-NSHDSACASA-N 0 3 219.344 2.655 20 0 BFADHN CC(C)C[C@@H](N)C(=O)NC1CCCCCCC1 ZINC000019427001 589908865 /nfs/dbraw/zinc/90/88/65/589908865.db2.gz AIDUIOVTIIUDAY-CYBMUJFWSA-N 0 3 240.391 2.589 20 0 BFADHN CCn1nccc1CNCCC[C@@H]1C=CCC1 ZINC000873154241 589987738 /nfs/dbraw/zinc/98/77/38/589987738.db2.gz SHZPFQJCWHRTRW-CYBMUJFWSA-N 0 3 233.359 2.739 20 0 BFADHN C1=C[C@@H](CCCNCc2cncs2)CC1 ZINC000873154461 589988147 /nfs/dbraw/zinc/98/81/47/589988147.db2.gz XKWDLQUJIXSQRX-LLVKDONJSA-N 0 3 222.357 2.979 20 0 BFADHN Cc1ccc(CNCC2(OC(C)C)CCC2)cn1 ZINC000873166647 589989455 /nfs/dbraw/zinc/98/94/55/589989455.db2.gz HXAUZQUUGPGDBR-UHFFFAOYSA-N 0 3 248.370 2.827 20 0 BFADHN Cc1noc(C)c1CNCCc1ccc(F)cc1 ZINC000020252864 590093193 /nfs/dbraw/zinc/09/31/93/590093193.db2.gz MYKUFCJAFOLSOW-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NCc1ccc(C)cc1 ZINC000020349377 590102225 /nfs/dbraw/zinc/10/22/25/590102225.db2.gz GQKRQARDLMRAIV-GFCCVEGCSA-N 0 3 243.354 2.888 20 0 BFADHN O=c1[nH]ccc2oc(CN3CCCCCC3)cc21 ZINC000876524466 590208750 /nfs/dbraw/zinc/20/87/50/590208750.db2.gz RFFTWSBORZQQIM-UHFFFAOYSA-N 0 3 246.310 2.909 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cc2c(cc[nH]c2=O)o1 ZINC000876590596 590219279 /nfs/dbraw/zinc/21/92/79/590219279.db2.gz YJIHCGRZHOFTIQ-SECBINFHSA-N 0 3 246.310 2.764 20 0 BFADHN CCN[C@@H](C)C(=O)N(C)c1ccc(C(C)C)cc1 ZINC000876688691 590230293 /nfs/dbraw/zinc/23/02/93/590230293.db2.gz VOBRFABQEXEXJC-LBPRGKRZSA-N 0 3 248.370 2.771 20 0 BFADHN Cc1cc(C)cc(CCN(C)[C@@H]2CCOC2)c1 ZINC000876690819 590231441 /nfs/dbraw/zinc/23/14/41/590231441.db2.gz SBWKXGDNALILNH-OAHLLOKOSA-N 0 3 233.355 2.567 20 0 BFADHN COc1cccc(C)c1CN1CCC1(C)C ZINC000876744638 590236107 /nfs/dbraw/zinc/23/61/07/590236107.db2.gz UYXXRQPQAKRKHT-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN C[C@H]1CN(Cc2ccns2)C(C)(C)C1 ZINC000876745147 590236574 /nfs/dbraw/zinc/23/65/74/590236574.db2.gz FMCNKLLRTBTJAB-SECBINFHSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@H]1CCN(Cc2cc3c(cc[nH]c3=O)o2)[C@H]1C ZINC000876800744 590243487 /nfs/dbraw/zinc/24/34/87/590243487.db2.gz UTSYCUYARZHVAW-UWVGGRQHSA-N 0 3 246.310 2.764 20 0 BFADHN COc1c(C)cnc(CN2CC[C@@H]2C(C)C)c1C ZINC000876878479 590252359 /nfs/dbraw/zinc/25/23/59/590252359.db2.gz CVSCSVOQVKSMKT-CQSZACIVSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@H]1CCCC[C@H]1NCc1ccc(Cl)nn1 ZINC000876895187 590255244 /nfs/dbraw/zinc/25/52/44/590255244.db2.gz WNQNHORLVSLPRA-GXSJLCMTSA-N 0 3 239.750 2.798 20 0 BFADHN CC(C)CCCNCc1ccc(Cl)nn1 ZINC000876915284 590256591 /nfs/dbraw/zinc/25/65/91/590256591.db2.gz OSEPGCVFAYDNPT-UHFFFAOYSA-N 0 3 227.739 2.656 20 0 BFADHN Cc1ccc(CNC[C@H]2CC2(Cl)Cl)cn1 ZINC000876939561 590259443 /nfs/dbraw/zinc/25/94/43/590259443.db2.gz HREUTJVKUWHOCC-SNVBAGLBSA-N 0 3 245.153 2.673 20 0 BFADHN CCC[C@@H](CC)NCc1cc(OC)cnc1F ZINC000877021000 590269960 /nfs/dbraw/zinc/26/99/60/590269960.db2.gz KVWDBTJRWCJRQW-LLVKDONJSA-N 0 3 240.322 2.898 20 0 BFADHN CCC(C)(CC)NCc1ccc(Cl)nn1 ZINC000877034494 590272006 /nfs/dbraw/zinc/27/20/06/590272006.db2.gz IUFIOWWPBRKUGW-UHFFFAOYSA-N 0 3 227.739 2.798 20 0 BFADHN C[C@H](NCc1ccc(Cl)nn1)C1CCCC1 ZINC000877047157 590274485 /nfs/dbraw/zinc/27/44/85/590274485.db2.gz YHIRJJAJXAZBDB-VIFPVBQESA-N 0 3 239.750 2.798 20 0 BFADHN Nc1c(Cl)cccc1CN[C@@H]1CCSC1 ZINC000877072429 590277907 /nfs/dbraw/zinc/27/79/07/590277907.db2.gz MIPALPHNBKBSAQ-SECBINFHSA-N 0 3 242.775 2.517 20 0 BFADHN Clc1csc(CNCCC2CC2)n1 ZINC000877072989 590277942 /nfs/dbraw/zinc/27/79/42/590277942.db2.gz ZTPOSVGAYNBYFG-UHFFFAOYSA-N 0 3 216.737 2.686 20 0 BFADHN COc1cnc(F)c(CN[C@H](C)CC(C)C)c1 ZINC000877111653 590287201 /nfs/dbraw/zinc/28/72/01/590287201.db2.gz KXLSEIKCGDKYOI-SNVBAGLBSA-N 0 3 240.322 2.754 20 0 BFADHN CSC(C)(C)CCNCc1cccc(F)n1 ZINC000877115284 590287769 /nfs/dbraw/zinc/28/77/69/590287769.db2.gz KLOJDDYCMJMOJT-UHFFFAOYSA-N 0 3 242.363 2.842 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccc[nH]1)C1CCCC1 ZINC000877124367 590289636 /nfs/dbraw/zinc/28/96/36/590289636.db2.gz NHIZJPCHTPVDPZ-SMDDNHRTSA-N 0 3 236.359 2.871 20 0 BFADHN C[C@H]1CC[C@@H](NCc2cc(C3CC3)no2)C1 ZINC000877460946 590331386 /nfs/dbraw/zinc/33/13/86/590331386.db2.gz ZTOJQHCEHPQWSS-GXSJLCMTSA-N 0 3 220.316 2.830 20 0 BFADHN CCC(C)(C)CNCc1ccc(Cl)nn1 ZINC000877476822 590336308 /nfs/dbraw/zinc/33/63/08/590336308.db2.gz OISPYZCKEFVASF-UHFFFAOYSA-N 0 3 227.739 2.656 20 0 BFADHN c1cc(CN2CCCC23CCC3)sn1 ZINC000877479378 590336967 /nfs/dbraw/zinc/33/69/67/590336967.db2.gz BYUDQAPNOHCKQA-UHFFFAOYSA-N 0 3 208.330 2.662 20 0 BFADHN CO[C@](C)(CN1CCC(F)(F)CC1)C1CC1 ZINC000877492581 590339699 /nfs/dbraw/zinc/33/96/99/590339699.db2.gz MIRDHJCTUNMKGT-LLVKDONJSA-N 0 3 233.302 2.533 20 0 BFADHN CC1(C)SC[C@@H]1NC[C@@H]1CC1(Cl)Cl ZINC000877504707 590343296 /nfs/dbraw/zinc/34/32/96/590343296.db2.gz QHNUHDXYZYBJRR-BQBZGAKWSA-N 0 3 240.199 2.664 20 0 BFADHN CC1(C)C(NCc2ccc(Cl)nn2)C1(C)C ZINC000877528455 590349289 /nfs/dbraw/zinc/34/92/89/590349289.db2.gz AKBVGBFREIUJSA-UHFFFAOYSA-N 0 3 239.750 2.654 20 0 BFADHN ClC1(Cl)C[C@@H]1CN1CC(C2CC2)C1 ZINC000877542748 590351990 /nfs/dbraw/zinc/35/19/90/590351990.db2.gz WUYKKARTWCZKRE-SECBINFHSA-N 0 3 220.143 2.522 20 0 BFADHN CC[C@@](C)(CN1CCC[C@@](C)(F)C1)OC ZINC000877557354 590358160 /nfs/dbraw/zinc/35/81/60/590358160.db2.gz KYTDKIAZWGLSMW-NEPJUHHUSA-N 0 3 217.328 2.626 20 0 BFADHN CC/C=C/CNCc1cccc(Cl)c1N ZINC000877563730 590361016 /nfs/dbraw/zinc/36/10/16/590361016.db2.gz OSIJQEAQZBRKBR-ONEGZZNKSA-N 0 3 224.735 2.978 20 0 BFADHN COc1cccnc1CNCC1(C)CCCC1 ZINC000877574481 590363398 /nfs/dbraw/zinc/36/33/98/590363398.db2.gz LJSOISGDUQCNRJ-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN COCC1(C)CN(CCc2cc(C)cc(C)c2)C1 ZINC000877582080 590365605 /nfs/dbraw/zinc/36/56/05/590365605.db2.gz HQMNURIAVUGUQR-UHFFFAOYSA-N 0 3 247.382 2.814 20 0 BFADHN COCC[C@@H](C)CNCc1nc(Cl)cs1 ZINC000877588173 590368766 /nfs/dbraw/zinc/36/87/66/590368766.db2.gz WDJIXGHXRTXKNI-MRVPVSSYSA-N 0 3 248.779 2.559 20 0 BFADHN Nc1c(Cl)cccc1CN[C@H]1C=CCC1 ZINC000877624027 590376557 /nfs/dbraw/zinc/37/65/57/590376557.db2.gz HFSHMTSBGADUMH-JTQLQIEISA-N 0 3 222.719 2.730 20 0 BFADHN Cc1nc2ccccc2nc1CN[C@@H]1C=CCC1 ZINC000877624592 590376660 /nfs/dbraw/zinc/37/66/60/590376660.db2.gz REQXKBURSLTQOR-GFCCVEGCSA-N 0 3 239.322 2.746 20 0 BFADHN CC[C@](C)(NCc1ccc(Cl)nn1)C1CC1 ZINC000877630535 590378271 /nfs/dbraw/zinc/37/82/71/590378271.db2.gz GQEOTLIWYSULOL-LBPRGKRZSA-N 0 3 239.750 2.798 20 0 BFADHN Fc1ccc(/C=C\CNC2CSC2)cc1F ZINC000877635032 590379437 /nfs/dbraw/zinc/37/94/37/590379437.db2.gz ZMIOXRBEKOENEC-UPHRSURJSA-N 0 3 241.306 2.683 20 0 BFADHN Fc1ccc(/C=C/CNC2CSC2)cc1F ZINC000877635031 590379827 /nfs/dbraw/zinc/37/98/27/590379827.db2.gz ZMIOXRBEKOENEC-OWOJBTEDSA-N 0 3 241.306 2.683 20 0 BFADHN ClC1(Cl)C[C@@H]1CN1CC(CC2CC2)C1 ZINC000877646779 590383606 /nfs/dbraw/zinc/38/36/06/590383606.db2.gz NVVKBLQLNQHIQQ-SNVBAGLBSA-N 0 3 234.170 2.912 20 0 BFADHN CN(Cc1ccc(Cl)nn1)C[C@H]1CC1(C)C ZINC000877644704 590383729 /nfs/dbraw/zinc/38/37/29/590383729.db2.gz QVDWPASGIYUWHY-SECBINFHSA-N 0 3 239.750 2.608 20 0 BFADHN c1ccc(C2CN(C[C@H]3CCC=CO3)C2)cc1 ZINC000877666146 590388437 /nfs/dbraw/zinc/38/84/37/590388437.db2.gz XRQXEZHKZICUMH-OAHLLOKOSA-N 0 3 229.323 2.779 20 0 BFADHN CC[C@@](C)(CN[C@@H]1CCCC[C@@H]1F)OC ZINC000877681770 590390551 /nfs/dbraw/zinc/39/05/51/590390551.db2.gz CGCJRRAJWLLPQY-TUAOUCFPSA-N 0 3 217.328 2.672 20 0 BFADHN C[C@@H](N[C@@H]1CCCC[C@@H]1F)[C@@H]1CC1(F)F ZINC000877682678 590390825 /nfs/dbraw/zinc/39/08/25/590390825.db2.gz SINWJCGCYPMAHA-XFWSIPNHSA-N 0 3 221.266 2.900 20 0 BFADHN CC(C)OC1CC(N[C@@H]2CCCC[C@@H]2F)C1 ZINC000877682536 590391337 /nfs/dbraw/zinc/39/13/37/590391337.db2.gz OCAPYNTVFHINAI-IFWUJCSASA-N 0 3 229.339 2.813 20 0 BFADHN Fc1cc(CNC[C@@H]2CC2(Cl)Cl)ccn1 ZINC000877697448 590394045 /nfs/dbraw/zinc/39/40/45/590394045.db2.gz MYOHUYJZBRGOAA-QMMMGPOBSA-N 0 3 249.116 2.504 20 0 BFADHN FC(F)(F)[C@@H]1C[C@H]1NC[C@H]1CC1(Cl)Cl ZINC000877703407 590395484 /nfs/dbraw/zinc/39/54/84/590395484.db2.gz HPOMLZUOKXLCSE-HSUXUTPPSA-N 0 3 248.075 2.721 20 0 BFADHN Clc1ccc(CNCCCCC2CC2)nn1 ZINC000877729812 590403686 /nfs/dbraw/zinc/40/36/86/590403686.db2.gz AUNSPPQNYAIAPX-UHFFFAOYSA-N 0 3 239.750 2.800 20 0 BFADHN Cc1sccc1CN1C[C@H](O)CC(C)(C)C1 ZINC000877753169 590416602 /nfs/dbraw/zinc/41/66/02/590416602.db2.gz WSZBLYWPCGEDRO-GFCCVEGCSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@H]1C[C@H]1CNCc1noc2cc(F)ccc12 ZINC000877761688 590419296 /nfs/dbraw/zinc/41/92/96/590419296.db2.gz MRACYFVEDRROBO-IUCAKERBSA-N 0 3 234.274 2.713 20 0 BFADHN CSC(C)(C)CCN[C@@H](C)c1ccnn1C ZINC000877763446 590419391 /nfs/dbraw/zinc/41/93/91/590419391.db2.gz YFBKBSCQNHOWHR-JTQLQIEISA-N 0 3 241.404 2.602 20 0 BFADHN Cc1ncc(CNCCC[C@@H]2C=CCC2)o1 ZINC000877807221 590426553 /nfs/dbraw/zinc/42/65/53/590426553.db2.gz VZQKGWLAOZGMCN-GFCCVEGCSA-N 0 3 220.316 2.819 20 0 BFADHN Cc1c(CNC2(C)CC(F)(F)C2)ccnc1F ZINC000877833408 590429913 /nfs/dbraw/zinc/42/99/13/590429913.db2.gz HODOKYXQITXGJW-UHFFFAOYSA-N 0 3 244.260 2.807 20 0 BFADHN Cc1conc1CN1CCCCCCC1 ZINC000877902586 590442810 /nfs/dbraw/zinc/44/28/10/590442810.db2.gz RUTXOAZBHKGGDO-UHFFFAOYSA-N 0 3 208.305 2.749 20 0 BFADHN C[C@@H]1CN(CC2CC3(CCC3)C2)C[C@H](C)O1 ZINC000877901905 590443397 /nfs/dbraw/zinc/44/33/97/590443397.db2.gz ZCTUIEZHXVROQN-TXEJJXNPSA-N 0 3 223.360 2.676 20 0 BFADHN CC(C)[C@@H](O)CCN(C)Cc1cccc(F)c1 ZINC000877932632 590451508 /nfs/dbraw/zinc/45/15/08/590451508.db2.gz ISPUIURLQZGTMB-AWEZNQCLSA-N 0 3 239.334 2.665 20 0 BFADHN C=C/C=C/CCN(CCOC)Cc1ccco1 ZINC000877957102 590457136 /nfs/dbraw/zinc/45/71/36/590457136.db2.gz GNQRECLNCIIOKG-SNAWJCMRSA-N 0 3 235.327 2.860 20 0 BFADHN C=C/C=C/CCN1C[C@H](C)OC[C@@H]1CC ZINC000877963712 590460078 /nfs/dbraw/zinc/46/00/78/590460078.db2.gz JAMUMDJVCQMKEJ-XKZLPGLHSA-N 0 3 209.333 2.618 20 0 BFADHN CCCN(Cc1nocc1C)CC(C)C ZINC000878007666 590470840 /nfs/dbraw/zinc/47/08/40/590470840.db2.gz ZDPHBHYTTKSYRS-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN CC(C)(C)SCCCN1CCCOCC1 ZINC000878014848 590471546 /nfs/dbraw/zinc/47/15/46/590471546.db2.gz RBQQPFLXWBKFQD-UHFFFAOYSA-N 0 3 231.405 2.631 20 0 BFADHN Cc1conc1CN1CCC[C@@H]1CC(C)C ZINC000878020557 590472685 /nfs/dbraw/zinc/47/26/85/590472685.db2.gz RLOGUIUKXSDHLG-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN CCN(Cc1ccoc1)C[C@H]1C[C@@H](OC)C1 ZINC000878036856 590477549 /nfs/dbraw/zinc/47/75/49/590477549.db2.gz RHMZXVXILDIFCA-BETUJISGSA-N 0 3 223.316 2.527 20 0 BFADHN Cc1conc1CN1CCC[C@H]1C1CCC1 ZINC000878047892 590480944 /nfs/dbraw/zinc/48/09/44/590480944.db2.gz KNFNVONSMWGXEF-ZDUSSCGKSA-N 0 3 220.316 2.748 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC(C)(C)CO2)CCS1 ZINC000878057013 590484772 /nfs/dbraw/zinc/48/47/72/590484772.db2.gz ZMJALMOKICYOQD-NEPJUHHUSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1cccc2c1CCN(CC[C@@H](O)C(C)C)C2 ZINC000878056316 590484793 /nfs/dbraw/zinc/48/47/93/590484793.db2.gz REZAFMHTCHGAJI-MRXNPFEDSA-N 0 3 247.382 2.760 20 0 BFADHN Cc1cccc2c1CCN(CC[C@H](O)C(C)C)C2 ZINC000878056314 590484804 /nfs/dbraw/zinc/48/48/04/590484804.db2.gz REZAFMHTCHGAJI-INIZCTEOSA-N 0 3 247.382 2.760 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC3(CCC3)O2)CCS1 ZINC000878055318 590484948 /nfs/dbraw/zinc/48/49/48/590484948.db2.gz OANAVLPLEWUXCQ-NEPJUHHUSA-N 0 3 241.400 2.525 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC(C)(C)CO2)CCS1 ZINC000878057018 590485001 /nfs/dbraw/zinc/48/50/01/590485001.db2.gz ZMJALMOKICYOQD-VXGBXAGGSA-N 0 3 243.416 2.629 20 0 BFADHN C[C@H]1CSCCN1C[C@@H]1CCC(C)(C)CO1 ZINC000878120404 590499013 /nfs/dbraw/zinc/49/90/13/590499013.db2.gz HPTXUAOLNMIFNO-RYUDHWBXSA-N 0 3 243.416 2.629 20 0 BFADHN C[C@@H]1CSCCN1C[C@H]1CCC(C)(C)CO1 ZINC000878120405 590499344 /nfs/dbraw/zinc/49/93/44/590499344.db2.gz HPTXUAOLNMIFNO-VXGBXAGGSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1conc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000878133122 590503675 /nfs/dbraw/zinc/50/36/75/590503675.db2.gz GHRZYNPWXOMTOV-AAEUAGOBSA-N 0 3 220.316 2.748 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1nocc1C ZINC000878155346 590508903 /nfs/dbraw/zinc/50/89/03/590508903.db2.gz OKHKOEVGBGBLRE-MWLCHTKSSA-N 0 3 208.305 2.603 20 0 BFADHN CO[C@H]1C[C@@H](CN2Cc3ccccc3[C@H]2C)C1 ZINC000878157979 590509462 /nfs/dbraw/zinc/50/94/62/590509462.db2.gz QMGYTPIPWFEJKU-BZPMIXESSA-N 0 3 231.339 2.988 20 0 BFADHN CC(C)[C@H](O)CCN1CCC[C@H]1c1ccc[nH]1 ZINC000878214610 590524986 /nfs/dbraw/zinc/52/49/86/590524986.db2.gz YUCCCLQVKBQWTM-UONOGXRCSA-N 0 3 236.359 2.559 20 0 BFADHN C=C/C=C/CCN1CCc2ccccc2[C@H]1CO ZINC000878267769 590531298 /nfs/dbraw/zinc/53/12/98/590531298.db2.gz HCTYNZCGUITFFN-QDLOVBKTSA-N 0 3 243.350 2.710 20 0 BFADHN CN(CC[C@H]1CCCC1(F)F)Cc1cn[nH]c1 ZINC000878263823 590531610 /nfs/dbraw/zinc/53/16/10/590531610.db2.gz WPYXHCGAOPJKPJ-LLVKDONJSA-N 0 3 243.301 2.667 20 0 BFADHN C=C/C=C\CCN1CCc2ccccc2[C@@H]1CO ZINC000878267770 590531984 /nfs/dbraw/zinc/53/19/84/590531984.db2.gz HCTYNZCGUITFFN-QGZUEGPWSA-N 0 3 243.350 2.710 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2C[C@H]1CCC2(CCC2)O1 ZINC000878294763 590536641 /nfs/dbraw/zinc/53/66/41/590536641.db2.gz ORQIPVNZTTXAAP-BFHYXJOUSA-N 0 3 233.355 2.881 20 0 BFADHN CC1=CCN(C[C@@H]2CCCC(C)(C)O2)CC1 ZINC000878300331 590537323 /nfs/dbraw/zinc/53/73/23/590537323.db2.gz GPWXOUUFDPZNPK-ZDUSSCGKSA-N 0 3 223.360 2.986 20 0 BFADHN CC1=CCN(C[C@H]2CC3(CCC3)CO2)CC1 ZINC000878300816 590537636 /nfs/dbraw/zinc/53/76/36/590537636.db2.gz OBVCUDPWTSHJMC-CYBMUJFWSA-N 0 3 221.344 2.598 20 0 BFADHN C[C@H]1OCC[C@@H]1CN1CCc2c(F)cccc2C1 ZINC000878303239 590538737 /nfs/dbraw/zinc/53/87/37/590538737.db2.gz KUFOWDUIYQDTDQ-VXGBXAGGSA-N 0 3 249.329 2.609 20 0 BFADHN C=C/C=C\CCN1CCOC[C@@]1(C)CC ZINC000878318291 590543880 /nfs/dbraw/zinc/54/38/80/590543880.db2.gz RBOWKACUEDGXHW-FMFIFOJESA-N 0 3 209.333 2.620 20 0 BFADHN FC(F)[C@H]1CCN(C[C@@H]2CC3(CCC3)CO2)C1 ZINC000878321988 590544902 /nfs/dbraw/zinc/54/49/02/590544902.db2.gz YPCKOLKHORQMOD-QWRGUYRKSA-N 0 3 245.313 2.533 20 0 BFADHN FC(F)[C@@H]1CCN(C[C@H]2CC3(CCC3)CO2)C1 ZINC000878321986 590545117 /nfs/dbraw/zinc/54/51/17/590545117.db2.gz YPCKOLKHORQMOD-GHMZBOCLSA-N 0 3 245.313 2.533 20 0 BFADHN C[C@@H]1CCOCCN1CC[C@H]1CCCC1(F)F ZINC000878374578 590555153 /nfs/dbraw/zinc/55/51/53/590555153.db2.gz URIQBADIAFQDMO-VXGBXAGGSA-N 0 3 247.329 2.923 20 0 BFADHN Cc1conc1CN1C[C@H](C)[C@H]2CCCC[C@H]21 ZINC000878399050 590559578 /nfs/dbraw/zinc/55/95/78/590559578.db2.gz IAUCHGCDRGOGCZ-ZKYQVNSYSA-N 0 3 234.343 2.994 20 0 BFADHN OC[C@H](NCCCC1CC1)c1cccc(F)c1 ZINC000878395990 590559703 /nfs/dbraw/zinc/55/97/03/590559703.db2.gz HYDLUPIANNHMMB-AWEZNQCLSA-N 0 3 237.318 2.639 20 0 BFADHN C[C@H](NC[C@H]1CC2(CCC2)CO1)c1ccccn1 ZINC000878400344 590559960 /nfs/dbraw/zinc/55/99/60/590559960.db2.gz BXIHKOQIGABWSU-QWHCGFSZSA-N 0 3 246.354 2.691 20 0 BFADHN COC[C@H](NCCCC1CC1)c1ccco1 ZINC000878409561 590562324 /nfs/dbraw/zinc/56/23/24/590562324.db2.gz LHBWHYLLZHOZMV-LBPRGKRZSA-N 0 3 223.316 2.747 20 0 BFADHN C[C@H](NC[C@H]1CC2(CCC2)CO1)c1ccco1 ZINC000878414871 590562550 /nfs/dbraw/zinc/56/25/50/590562550.db2.gz OUAXINGMVFSBAE-NWDGAFQWSA-N 0 3 235.327 2.889 20 0 BFADHN C=C/C=C/CCN[C@H](CO)c1ccsc1 ZINC000878442560 590568275 /nfs/dbraw/zinc/56/82/75/590568275.db2.gz NWNSWSGKMCJNIS-AAOUONPWSA-N 0 3 223.341 2.503 20 0 BFADHN C[C@@H]1C[C@@H](C)N1C[C@H]1CCC2(CCC2)O1 ZINC000878532196 590587596 /nfs/dbraw/zinc/58/75/96/590587596.db2.gz BAWMDDBANGBSHK-IJLUTSLNSA-N 0 3 209.333 2.571 20 0 BFADHN C=C/C=C/CCN1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000878535286 590588042 /nfs/dbraw/zinc/58/80/42/590588042.db2.gz TVMOVKPXFANPSA-VSYHDTTKSA-N 0 3 221.344 2.618 20 0 BFADHN CC1=CCCN(C[C@H]2CC3(CCC3)CO2)C1 ZINC000878548092 590590059 /nfs/dbraw/zinc/59/00/59/590590059.db2.gz GFYSTVFXNKTRKM-CYBMUJFWSA-N 0 3 221.344 2.598 20 0 BFADHN CC1(C)CO[C@@H](CN2CCC[C@](C)(F)C2)C1 ZINC000878657599 590603975 /nfs/dbraw/zinc/60/39/75/590603975.db2.gz GNYHPFWCRBDMDZ-YPMHNXCESA-N 0 3 229.339 2.626 20 0 BFADHN C=C/C=C/CCN1C[C@H](C)OC2(CCC2)C1 ZINC000878771018 590620552 /nfs/dbraw/zinc/62/05/52/590620552.db2.gz ZYCJXTVWCVBROS-IHVVCDCBSA-N 0 3 221.344 2.762 20 0 BFADHN C=C/C=C/CCN1C[C@H](CC)OC[C@@H]1C ZINC000878805150 590621714 /nfs/dbraw/zinc/62/17/14/590621714.db2.gz GPRDDJBBKMUDTJ-XKZLPGLHSA-N 0 3 209.333 2.618 20 0 BFADHN C[C@H]1C[C@H](CN2CCC=C(Cl)C2)CCO1 ZINC000878792095 590623671 /nfs/dbraw/zinc/62/36/71/590623671.db2.gz UYFPSEYNIHSIAN-WDEREUQCSA-N 0 3 229.751 2.630 20 0 BFADHN CC1(C)CCC[C@@H](CN2C[C@H]3[C@@H](C2)C3(F)F)O1 ZINC000878812021 590626359 /nfs/dbraw/zinc/62/63/59/590626359.db2.gz LVJKWSIRSMBJQL-GARJFASQSA-N 0 3 245.313 2.531 20 0 BFADHN C=C/C=C/CCN1C[C@@H](C)OCC12CCC2 ZINC000878807735 590626617 /nfs/dbraw/zinc/62/66/17/590626617.db2.gz SFGQSIHAAJRYNB-MUBLQREKSA-N 0 3 221.344 2.762 20 0 BFADHN C=C/C=C\CCN1CCO[C@@](C)(C2CC2)C1 ZINC000878888995 590637784 /nfs/dbraw/zinc/63/77/84/590637784.db2.gz RISYNZPXLOIUGB-ZRUQZJFASA-N 0 3 221.344 2.620 20 0 BFADHN CN(CC[C@@H]1CCCC1(F)F)Cc1cnc[nH]1 ZINC000878884828 590640243 /nfs/dbraw/zinc/64/02/43/590640243.db2.gz MUPSGDFFHYIBGI-JTQLQIEISA-N 0 3 243.301 2.667 20 0 BFADHN C[C@@H]1COC2(CCC2)CN1CCCC1CC1 ZINC000878918399 590651495 /nfs/dbraw/zinc/65/14/95/590651495.db2.gz MTESSZZHONTTOY-GFCCVEGCSA-N 0 3 223.360 2.820 20 0 BFADHN CC(C)[C@H](O)CCN1CCC(F)(F)C[C@@H]1C ZINC000879053204 590685650 /nfs/dbraw/zinc/68/56/50/590685650.db2.gz SRPMOQGSXTUMCA-WDEREUQCSA-N 0 3 235.318 2.513 20 0 BFADHN CSC1CCN(C/C=C/Cl)CC1 ZINC000879095751 590696728 /nfs/dbraw/zinc/69/67/28/590696728.db2.gz GTNDKUOLJRBZEA-GORDUTHDSA-N 0 3 205.754 2.566 20 0 BFADHN Cc1cc(CNC[C@H]2CCCCO2)c(C)o1 ZINC000127601238 590720708 /nfs/dbraw/zinc/72/07/08/590720708.db2.gz GNSSNMNSNKUUOM-CYBMUJFWSA-N 0 3 223.316 2.555 20 0 BFADHN CCC(O)(CC)CNCc1cc(C)oc1C ZINC000127605639 590723254 /nfs/dbraw/zinc/72/32/54/590723254.db2.gz NMHVQVBTJDQVJC-UHFFFAOYSA-N 0 3 225.332 2.537 20 0 BFADHN CO[C@@H]1CC[C@@H](C)N(Cc2ccc(F)cc2)C1 ZINC000879320046 590739861 /nfs/dbraw/zinc/73/98/61/590739861.db2.gz YNOWJKCLPHZCPI-BXUZGUMPSA-N 0 3 237.318 2.825 20 0 BFADHN CO[C@@H]1CC[C@@H](C)N(Cc2ccsc2)C1 ZINC000879324263 590744824 /nfs/dbraw/zinc/74/48/24/590744824.db2.gz GORFFPUYHIBMEN-ZYHUDNBSSA-N 0 3 225.357 2.747 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@@H]3CC=CC[C@@H]3C2)o1 ZINC000879530112 590792638 /nfs/dbraw/zinc/79/26/38/590792638.db2.gz MICOUTHKBFEMJD-RWMBFGLXSA-N 0 3 232.327 2.942 20 0 BFADHN Cc1ccnc(CN2CC[C@@H]3CSC[C@@H]3C2)c1 ZINC000879565002 590804186 /nfs/dbraw/zinc/80/41/86/590804186.db2.gz KCMDEPDTTNMXSS-OLZOCXBDSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1ccnc(CN2CC[C@H]3CSC[C@H]3C2)c1 ZINC000879565004 590804490 /nfs/dbraw/zinc/80/44/90/590804490.db2.gz KCMDEPDTTNMXSS-QWHCGFSZSA-N 0 3 248.395 2.575 20 0 BFADHN CCC(O)(CC)C(C)(C)NCc1nccs1 ZINC000879697873 590851593 /nfs/dbraw/zinc/85/15/93/590851593.db2.gz MEZMASOQCUEXEX-UHFFFAOYSA-N 0 3 242.388 2.562 20 0 BFADHN CO[C@@H](C)CN1CCC[C@@H]1c1cncc(C)c1 ZINC000879750725 590862093 /nfs/dbraw/zinc/86/20/93/590862093.db2.gz YNJPIIRVVPBNQK-GXTWGEPZSA-N 0 3 234.343 2.562 20 0 BFADHN Cc1cncc([C@@H]2CCCN2CCCF)c1 ZINC000879750304 590863455 /nfs/dbraw/zinc/86/34/55/590863455.db2.gz QBHCOFNQVHEORJ-ZDUSSCGKSA-N 0 3 222.307 2.887 20 0 BFADHN CC(=O)CCN(C)[C@H](C)c1ccc(C)cc1 ZINC000128117565 590895394 /nfs/dbraw/zinc/89/53/94/590895394.db2.gz PRLCTXYVGSQCDK-CYBMUJFWSA-N 0 3 219.328 2.967 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC[C@@H](C(C)(C)O)C1 ZINC000879963423 590900007 /nfs/dbraw/zinc/90/00/07/590900007.db2.gz WIPZTQPKFJDGAU-QWHCGFSZSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@H](c1cccnc1)N1CCC[C@H](C(C)(C)O)C1 ZINC000879961738 590900165 /nfs/dbraw/zinc/90/01/65/590900165.db2.gz HMHLRHCAPWSJHT-OCCSQVGLSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@]1(C(F)(F)F)CCN(CCC(=O)C2CC2)C1 ZINC000880003044 590907423 /nfs/dbraw/zinc/90/74/23/590907423.db2.gz WSLBNYWDKRCWNT-LLVKDONJSA-N 0 3 249.276 2.630 20 0 BFADHN CCC[C@@H](C)N1CCO[C@@H](C(F)(F)F)CC1 ZINC000880053512 590914808 /nfs/dbraw/zinc/91/48/08/590914808.db2.gz OLNQNNZTKHKDLT-NXEZZACHSA-N 0 3 239.281 2.828 20 0 BFADHN FC(F)(F)[C@@H]1CCN(C2CCCC2)CCO1 ZINC000880048969 590915753 /nfs/dbraw/zinc/91/57/53/590915753.db2.gz DHZJWYNAIFTERZ-JTQLQIEISA-N 0 3 237.265 2.582 20 0 BFADHN CC[C@H](C)N1CC[C@H](C(F)(F)F)O[C@H](C)C1 ZINC000880067371 590916108 /nfs/dbraw/zinc/91/61/08/590916108.db2.gz RTQYNKQCRIQJIA-IVZWLZJFSA-N 0 3 239.281 2.827 20 0 BFADHN CC/C=C\CCN[C@@H](C)c1nccnc1C ZINC000880109007 590927060 /nfs/dbraw/zinc/92/70/60/590927060.db2.gz NWCVFUPXDNGMOE-GZTOBOFZSA-N 0 3 219.332 2.792 20 0 BFADHN CC(C)c1cc(CN[C@@H]2CCCSC2)on1 ZINC000128275001 590955679 /nfs/dbraw/zinc/95/56/79/590955679.db2.gz ZJZULWRZSDBVCE-SNVBAGLBSA-N 0 3 240.372 2.783 20 0 BFADHN C[C@@H](c1ccncc1)N1CCC[C@H](CF)C1 ZINC000880231946 590962354 /nfs/dbraw/zinc/96/23/54/590962354.db2.gz BXZNBMXMGAEVDQ-NWDGAFQWSA-N 0 3 222.307 2.824 20 0 BFADHN FC[C@@H]1CCCN(Cc2ccc3c(c2)COC3)C1 ZINC000880237083 590967590 /nfs/dbraw/zinc/96/75/90/590967590.db2.gz PQOUVYMMWHASJQ-ZDUSSCGKSA-N 0 3 249.329 2.898 20 0 BFADHN Cc1nc(CCN2CCC[C@H](CF)C2)cs1 ZINC000880237133 590967606 /nfs/dbraw/zinc/96/76/06/590967606.db2.gz QOPVMLYQGPWHML-LLVKDONJSA-N 0 3 242.363 2.676 20 0 BFADHN FC[C@H]1CCCN(Cc2ccc3c[nH]nc3c2)C1 ZINC000880237534 590968117 /nfs/dbraw/zinc/96/81/17/590968117.db2.gz DEQAEWDLUBBYOJ-GFCCVEGCSA-N 0 3 247.317 2.744 20 0 BFADHN CO[C@H](CN1CCC(F)CC1)c1ccccc1 ZINC000880239383 590972990 /nfs/dbraw/zinc/97/29/90/590972990.db2.gz GEHVUSZRTHYSDF-CQSZACIVSA-N 0 3 237.318 2.808 20 0 BFADHN FC1CCN(Cc2ccc3c(c2)COC3)CC1 ZINC000880240108 590974153 /nfs/dbraw/zinc/97/41/53/590974153.db2.gz MGXHBJCUVKDWMF-UHFFFAOYSA-N 0 3 235.302 2.651 20 0 BFADHN FC1CCN(CCCOc2ccccc2)CC1 ZINC000880242106 590975345 /nfs/dbraw/zinc/97/53/45/590975345.db2.gz PLHDDPXWRLKQLZ-UHFFFAOYSA-N 0 3 237.318 2.889 20 0 BFADHN C[C@@H](c1cccnc1)N1CCC(F)CC1 ZINC000880241353 590975775 /nfs/dbraw/zinc/97/57/75/590975775.db2.gz ALMLFMVQXTUYCF-JTQLQIEISA-N 0 3 208.280 2.577 20 0 BFADHN Cc1ccccc1OCCN1CC[C@H](CF)C1 ZINC000880290638 590985502 /nfs/dbraw/zinc/98/55/02/590985502.db2.gz AFMLRHHPAHMYRC-CYBMUJFWSA-N 0 3 237.318 2.665 20 0 BFADHN COCc1cccc(CN2CC[C@H](CF)C2)c1 ZINC000880297342 590990205 /nfs/dbraw/zinc/99/02/05/590990205.db2.gz MYWWEHDJLXBFAM-CQSZACIVSA-N 0 3 237.318 2.624 20 0 BFADHN C[C@H]1c2sccc2CCN1CCn1cccn1 ZINC000880376263 591015784 /nfs/dbraw/zinc/01/57/84/591015784.db2.gz DSEDCQFJPPGLPX-NSHDSACASA-N 0 3 247.367 2.564 20 0 BFADHN CC(C)C[C@H](C)CN1CCc2ncncc2C1 ZINC000880599590 591108197 /nfs/dbraw/zinc/10/81/97/591108197.db2.gz MZQVFZOSUMXVDP-LBPRGKRZSA-N 0 3 233.359 2.517 20 0 BFADHN CCC[C@H](C)NCc1nc2c(s1)CCC2 ZINC000128845621 591166905 /nfs/dbraw/zinc/16/69/05/591166905.db2.gz UJDQKVVWDOPYNL-VIFPVBQESA-N 0 3 224.373 2.910 20 0 BFADHN c1cc(CNC[C@H]2CSCCS2)cs1 ZINC000129896024 591417590 /nfs/dbraw/zinc/41/75/90/591417590.db2.gz JIULYOXYWJTIBH-JTQLQIEISA-N 0 3 245.438 2.686 20 0 BFADHN CCC(CC)CN(CC)c1cc(C)nc(N)n1 ZINC000130422116 591467001 /nfs/dbraw/zinc/46/70/01/591467001.db2.gz YEBSWBKEXCWSIH-UHFFFAOYSA-N 0 3 236.363 2.630 20 0 BFADHN Fc1ccc(CN[C@@H]2C[C@@H]3CC[C@@H](C3)C2)nc1 ZINC000883047486 591527115 /nfs/dbraw/zinc/52/71/15/591527115.db2.gz RIRWQDJAGFIEDA-GNXNZQSNSA-N 0 3 234.318 2.889 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H](C)C2CCC2)n1 ZINC000883076913 591535917 /nfs/dbraw/zinc/53/59/17/591535917.db2.gz RBGDMGDAYDNFAW-JTQLQIEISA-N 0 3 221.348 2.811 20 0 BFADHN CC(C)c1c[nH]c(CN[C@H]2CC[C@H](C)C2)n1 ZINC000883098381 591539702 /nfs/dbraw/zinc/53/97/02/591539702.db2.gz MOCKMUQFLPNPTI-QWRGUYRKSA-N 0 3 221.348 2.811 20 0 BFADHN CC[C@H](N[C@H](C)CO)c1sccc1Cl ZINC000883175438 591562482 /nfs/dbraw/zinc/56/24/82/591562482.db2.gz HJFQPOAYQYPBNQ-APPZFPTMSA-N 0 3 233.764 2.823 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CSCCS1 ZINC000131165408 591565482 /nfs/dbraw/zinc/56/54/82/591565482.db2.gz OKXZNFWEUSFQRX-SNVBAGLBSA-N 0 3 243.397 2.526 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CCCC3(CC3)C2)[n-]1 ZINC000883225449 591577910 /nfs/dbraw/zinc/57/79/10/591577910.db2.gz HLVINPHANUJCNT-LLVKDONJSA-N 0 3 248.374 2.741 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCCC3(CC3)C2)[nH]1 ZINC000883225449 591577914 /nfs/dbraw/zinc/57/79/14/591577914.db2.gz HLVINPHANUJCNT-LLVKDONJSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cnc3ccccc3n2)C1 ZINC000131268516 591580305 /nfs/dbraw/zinc/58/03/05/591580305.db2.gz JHQGFJUGIZRXER-VXGBXAGGSA-N 0 3 241.338 2.908 20 0 BFADHN O=c1[nH]ccc2oc(CNC[C@H]3C=CCC3)cc21 ZINC000883236453 591582459 /nfs/dbraw/zinc/58/24/59/591582459.db2.gz BJZPVOZBVWKOGW-JTQLQIEISA-N 0 3 244.294 2.589 20 0 BFADHN CCSc1ccc(CN[C@@H]2CCOC2)cc1 ZINC000883236062 591582863 /nfs/dbraw/zinc/58/28/63/591582863.db2.gz QDDATEHFKPOULU-GFCCVEGCSA-N 0 3 237.368 2.677 20 0 BFADHN Cc1ccc2nc(CNC[C@@H]3C=CCC3)cn2c1 ZINC000883237867 591583925 /nfs/dbraw/zinc/58/39/25/591583925.db2.gz MIFIYORYWGSZBH-CYBMUJFWSA-N 0 3 241.338 2.699 20 0 BFADHN Cc1ccc2nc(CNC[C@H]3C=CCC3)cn2c1 ZINC000883237868 591584106 /nfs/dbraw/zinc/58/41/06/591584106.db2.gz MIFIYORYWGSZBH-ZDUSSCGKSA-N 0 3 241.338 2.699 20 0 BFADHN C1=C[C@H](CNCc2ccc(-c3cc[nH]n3)o2)CC1 ZINC000883237753 591584145 /nfs/dbraw/zinc/58/41/45/591584145.db2.gz JNMOHGDFFBLGPZ-NSHDSACASA-N 0 3 243.310 2.726 20 0 BFADHN CC[C@@H](NCCc1ccns1)c1ccncc1 ZINC000883247778 591588608 /nfs/dbraw/zinc/58/86/08/591588608.db2.gz WBKYCICLGCLAEH-CYBMUJFWSA-N 0 3 247.367 2.822 20 0 BFADHN CCC[C@@H](C)CCNCc1cnc(Cl)n1C ZINC000883250818 591590815 /nfs/dbraw/zinc/59/08/15/591590815.db2.gz PPBAQFNSLHVAEK-SNVBAGLBSA-N 0 3 243.782 2.989 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CC2(CC3CC3)CC2)n1 ZINC000883249433 591590964 /nfs/dbraw/zinc/59/09/64/591590964.db2.gz GBAZCRSVCPXNRV-UHFFFAOYSA-N 0 3 246.354 2.766 20 0 BFADHN CCC[C@H](C)CCNCc1cn(CCC)nn1 ZINC000883250644 591591476 /nfs/dbraw/zinc/59/14/76/591591476.db2.gz HQONEXCDPQWDJT-LBPRGKRZSA-N 0 3 238.379 2.604 20 0 BFADHN CCC[C@@H](C)CCNCc1cn(C)nc1Cl ZINC000883250561 591591633 /nfs/dbraw/zinc/59/16/33/591591633.db2.gz DJYGECSLACJNND-SNVBAGLBSA-N 0 3 243.782 2.989 20 0 BFADHN CCc1ncc(CNC[C@]2(C)CC2(C)C)cn1 ZINC000883252736 591592220 /nfs/dbraw/zinc/59/22/20/591592220.db2.gz WBWDDXMQFFXPJI-AWEZNQCLSA-N 0 3 233.359 2.565 20 0 BFADHN CC1(C)C[C@]1(C)CNCc1cc2n(n1)CCCC2 ZINC000883252313 591592286 /nfs/dbraw/zinc/59/22/86/591592286.db2.gz CLICGGGAYHHJEG-OAHLLOKOSA-N 0 3 247.386 2.745 20 0 BFADHN CCC[C@H](C)CCNCc1n[nH]cc1C ZINC000883251259 591592905 /nfs/dbraw/zinc/59/29/05/591592905.db2.gz IVOHFFRPPOZEDY-JTQLQIEISA-N 0 3 209.337 2.634 20 0 BFADHN CCC[C@H](C)CCN[C@H](C)c1nccn1C ZINC000883257669 591594579 /nfs/dbraw/zinc/59/45/79/591594579.db2.gz HPRYUSLLCITXPQ-NWDGAFQWSA-N 0 3 223.364 2.897 20 0 BFADHN CCC[C@H](C)CCN[C@H](C)c1ccc(=O)[nH]n1 ZINC000883258351 591595657 /nfs/dbraw/zinc/59/56/57/591595657.db2.gz XRVZIPCAYDSQEA-WDEREUQCSA-N 0 3 237.347 2.659 20 0 BFADHN CCC[C@@H](C)CCN[C@@H](C)c1ccc(=O)[nH]n1 ZINC000883258349 591595783 /nfs/dbraw/zinc/59/57/83/591595783.db2.gz XRVZIPCAYDSQEA-MNOVXSKESA-N 0 3 237.347 2.659 20 0 BFADHN CCC[C@H](C)CCN[C@H](C)c1ccn(C)n1 ZINC000883257932 591595932 /nfs/dbraw/zinc/59/59/32/591595932.db2.gz NQAMPULMMWFBIP-NWDGAFQWSA-N 0 3 223.364 2.897 20 0 BFADHN CCC[C@@H](C)CCN[C@@H](C)c1ccn(C)n1 ZINC000883257931 591596180 /nfs/dbraw/zinc/59/61/80/591596180.db2.gz NQAMPULMMWFBIP-NEPJUHHUSA-N 0 3 223.364 2.897 20 0 BFADHN C=C/C=C\CCNCc1ccnn1CC1CCC1 ZINC000883259218 591597249 /nfs/dbraw/zinc/59/72/49/591597249.db2.gz GLXGKVWTMLUJBV-ARJAWSKDSA-N 0 3 245.370 2.905 20 0 BFADHN C[C@H](NC[C@@]1(C)CC1(C)C)c1ccn(C)n1 ZINC000883260134 591597319 /nfs/dbraw/zinc/59/73/19/591597319.db2.gz LAHKDVUBIZGSJF-GXFFZTMASA-N 0 3 221.348 2.507 20 0 BFADHN CSC(C)(C)CNCc1ccc(C)cn1 ZINC000131372690 591601254 /nfs/dbraw/zinc/60/12/54/591601254.db2.gz HYPXBAXJKVXMOJ-UHFFFAOYSA-N 0 3 224.373 2.621 20 0 BFADHN C=C/C=C\CCN[C@@H](C)c1cncnc1C ZINC000883268576 591602002 /nfs/dbraw/zinc/60/20/02/591602002.db2.gz OJVWCQVXAFNIIC-GZTOBOFZSA-N 0 3 217.316 2.568 20 0 BFADHN C=C/C=C\CCN[C@@H](C)c1cc(C)nn1C ZINC000883269082 591602078 /nfs/dbraw/zinc/60/20/78/591602078.db2.gz ZIZUYPIABZYEIC-DGMVEKRQSA-N 0 3 219.332 2.511 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H]2C[C@H](OC)C2)o1 ZINC000883270370 591603104 /nfs/dbraw/zinc/60/31/04/591603104.db2.gz MFXMKTTZXSZKLN-GVXVVHGQSA-N 0 3 237.343 2.918 20 0 BFADHN Cc1ccc(CNCCC2CCC2)nc1 ZINC000131374909 591605363 /nfs/dbraw/zinc/60/53/63/591605363.db2.gz BPMDJNMWQSYFKM-UHFFFAOYSA-N 0 3 204.317 2.670 20 0 BFADHN Cc1ccc(CN[C@@H](C)C2CC2)c(Cl)n1 ZINC000883292126 591607471 /nfs/dbraw/zinc/60/74/71/591607471.db2.gz DUSBIOIYDDHYIB-VIFPVBQESA-N 0 3 224.735 2.932 20 0 BFADHN CC[C@@H](C)NCc1ccc(C)nc1Cl ZINC000883301054 591609648 /nfs/dbraw/zinc/60/96/48/591609648.db2.gz ONMJFMSRUSHIOI-MRVPVSSYSA-N 0 3 212.724 2.932 20 0 BFADHN CC[C@H](NC1(c2ncccn2)CCC1)[C@@H]1C[C@@H]1C ZINC000883311842 591610155 /nfs/dbraw/zinc/61/01/55/591610155.db2.gz MFEIBTADUQQNIO-XQQFMLRXSA-N 0 3 245.370 2.880 20 0 BFADHN C[C@@H](NC1CC(C(F)F)C1)c1cncs1 ZINC000883319101 591614014 /nfs/dbraw/zinc/61/40/14/591614014.db2.gz OQIAWWZIGCEIME-JECWYVHBSA-N 0 3 232.299 2.837 20 0 BFADHN Oc1ccc(Cl)c(CN[C@@H]2CCSC2)c1 ZINC000883325476 591616118 /nfs/dbraw/zinc/61/61/18/591616118.db2.gz BXAWRZGGMDPHKP-SECBINFHSA-N 0 3 243.759 2.641 20 0 BFADHN Cc1cc(N[C@@H]2CN(C3CC3)C[C@H]2C)cnc1C ZINC000883341946 591620839 /nfs/dbraw/zinc/62/08/39/591620839.db2.gz FLTHDYSGBVYXOV-IAQYHMDHSA-N 0 3 245.370 2.593 20 0 BFADHN Cc1cc(N[C@H]2C[C@H](C)N(C3CC3)C2)cnc1C ZINC000883342345 591621877 /nfs/dbraw/zinc/62/18/77/591621877.db2.gz TYCNJSCNPAKCFT-FZMZJTMJSA-N 0 3 245.370 2.736 20 0 BFADHN Cc1cc(N[C@H]2CCCc3[nH]ncc32)cnc1C ZINC000883342964 591623908 /nfs/dbraw/zinc/62/39/08/591623908.db2.gz SWAOKTWUJRMUOW-ZDUSSCGKSA-N 0 3 242.326 2.911 20 0 BFADHN Cc1occc1CN[C@@H]1CCC[C@]12CCCO2 ZINC000883368973 591633032 /nfs/dbraw/zinc/63/30/32/591633032.db2.gz GSXPYZNTQOGSMK-KGLIPLIRSA-N 0 3 235.327 2.779 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCC[C@]12CCCO2 ZINC000883370283 591634600 /nfs/dbraw/zinc/63/46/00/591634600.db2.gz IYRQOBOHEJGAKT-KGLIPLIRSA-N 0 3 235.327 2.779 20 0 BFADHN C[C@H]1SCCN(CCC(=O)C(C)(C)C)[C@H]1C ZINC000132691915 591730419 /nfs/dbraw/zinc/73/04/19/591730419.db2.gz LLIIZJDJTRHUSU-WDEREUQCSA-N 0 3 243.416 2.818 20 0 BFADHN C[C@H]1SCCN(CCC(=O)C(C)(C)C)[C@@H]1C ZINC000132691685 591730530 /nfs/dbraw/zinc/73/05/30/591730530.db2.gz LLIIZJDJTRHUSU-GHMZBOCLSA-N 0 3 243.416 2.818 20 0 BFADHN CS[C@@H]1CC[C@@H](NCc2c(C)noc2C)C1 ZINC000133948233 591870045 /nfs/dbraw/zinc/87/00/45/591870045.db2.gz YATHYFKMVDMBGY-GHMZBOCLSA-N 0 3 240.372 2.665 20 0 BFADHN CS[C@@H]1CC[C@@H](NCc2ccc(C)cn2)C1 ZINC000134372375 591923732 /nfs/dbraw/zinc/92/37/32/591923732.db2.gz MJASYBZEODTAHD-DGCLKSJQSA-N 0 3 236.384 2.764 20 0 BFADHN Cc1cnc(NC[C@@H]2N(C)CCCC2(C)C)o1 ZINC000884491521 591955158 /nfs/dbraw/zinc/95/51/58/591955158.db2.gz XGLOCEQZZYIIGC-NSHDSACASA-N 0 3 237.347 2.515 20 0 BFADHN CC(C)OCCN[C@H](C)c1ccnc(Cl)c1 ZINC000134948738 591963374 /nfs/dbraw/zinc/96/33/74/591963374.db2.gz SFZAIOVOIIENQE-SNVBAGLBSA-N 0 3 242.750 2.811 20 0 BFADHN C[C@H](CNCc1ccoc1)c1nccs1 ZINC000134986303 591968214 /nfs/dbraw/zinc/96/82/14/591968214.db2.gz WATZBPDGMSLEIL-SECBINFHSA-N 0 3 222.313 2.629 20 0 BFADHN Cc1cnc(NC[C@H]2CCN2C2CCCCC2)o1 ZINC000884563452 591981548 /nfs/dbraw/zinc/98/15/48/591981548.db2.gz GFDUEVCNTSVZBO-CYBMUJFWSA-N 0 3 249.358 2.802 20 0 BFADHN CC(C)(C)C(=O)CCN1CCSC(C)(C)C1 ZINC000135216933 591997258 /nfs/dbraw/zinc/99/72/58/591997258.db2.gz AGGNCDYPMOJQRY-UHFFFAOYSA-N 0 3 243.416 2.819 20 0 BFADHN Cc1ccncc1CN[C@H](C)Cc1ccco1 ZINC000135442069 592013265 /nfs/dbraw/zinc/01/32/65/592013265.db2.gz LNSPXJKXFLLVQW-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN CC[C@@H](COC)NCc1cncc2ccccc21 ZINC000135781869 592054796 /nfs/dbraw/zinc/05/47/96/592054796.db2.gz JQNUULDTRXXTMG-AWEZNQCLSA-N 0 3 244.338 2.749 20 0 BFADHN CC[C@H](C)NCc1ccc(OC)c(OC)c1F ZINC000885894058 592106843 /nfs/dbraw/zinc/10/68/43/592106843.db2.gz FOVZWPAWKXKVCX-VIFPVBQESA-N 0 3 241.306 2.731 20 0 BFADHN CC[C@@H](CNCc1cc(C)co1)N1CCCC1 ZINC000885920524 592108627 /nfs/dbraw/zinc/10/86/27/592108627.db2.gz BHNFDGXSSDIPHF-ZDUSSCGKSA-N 0 3 236.359 2.552 20 0 BFADHN Fc1cccc(/C=C/CNC[C@H]2CCCCO2)c1 ZINC000885941713 592111738 /nfs/dbraw/zinc/11/17/38/592111738.db2.gz FANUYADHQJSQCH-CSPWOOARSA-N 0 3 249.329 2.998 20 0 BFADHN CC[C@H]1CN(CC[C@@H]2C[C@H]2C2CC2)CCO1 ZINC000885951338 592113864 /nfs/dbraw/zinc/11/38/64/592113864.db2.gz FETXPOXMWOTPAV-RDBSUJKOSA-N 0 3 223.360 2.533 20 0 BFADHN FC(F)(F)SCCN1C2CCC1CC2 ZINC000885949325 592114203 /nfs/dbraw/zinc/11/42/03/592114203.db2.gz USUHTFDVAQZGED-UHFFFAOYSA-N 0 3 225.279 2.866 20 0 BFADHN Cc1coc(CNCCc2c(C)noc2C)c1 ZINC000885975747 592118826 /nfs/dbraw/zinc/11/88/26/592118826.db2.gz VWMZBRSKYUQXQE-UHFFFAOYSA-N 0 3 234.299 2.525 20 0 BFADHN Cc1coc(CNC[C@](C)(O)c2ccccc2)c1 ZINC000885985463 592122109 /nfs/dbraw/zinc/12/21/09/592122109.db2.gz ILLOESBIBGBFTG-HNNXBMFYSA-N 0 3 245.322 2.585 20 0 BFADHN Cc1coc(CN[C@@H]2[C@H]3CCCO[C@@H]3C2(C)C)c1 ZINC000885999306 592126130 /nfs/dbraw/zinc/12/61/30/592126130.db2.gz HCXJCNZZFYDXGI-MCIONIFRSA-N 0 3 249.354 2.881 20 0 BFADHN CO[C@@H](CNCc1cc(C)co1)C(C)(C)C ZINC000886006065 592127960 /nfs/dbraw/zinc/12/79/60/592127960.db2.gz MYVSLKWBDALDKB-LBPRGKRZSA-N 0 3 225.332 2.739 20 0 BFADHN C[C@H]1CSCCN1CCOC1CCCCC1 ZINC000886016641 592132411 /nfs/dbraw/zinc/13/24/11/592132411.db2.gz IOGOJNZAOPZIOM-LBPRGKRZSA-N 0 3 243.416 2.773 20 0 BFADHN C[C@@H]1c2ccccc2CN1C[C@H]1C[C@]12CCOC2 ZINC000886030710 592136427 /nfs/dbraw/zinc/13/64/27/592136427.db2.gz SEEMJYPLZQCSND-XPKDYRNWSA-N 0 3 243.350 2.990 20 0 BFADHN CC(C)CC1CCN(C[C@@](C)(O)C(F)F)CC1 ZINC000886041468 592139140 /nfs/dbraw/zinc/13/91/40/592139140.db2.gz MRIYDPMYVSWGCR-CYBMUJFWSA-N 0 3 249.345 2.761 20 0 BFADHN C[C@H]1OCCN(CC[C@@H]2C[C@H]2C2CC2)[C@H]1C ZINC000886040888 592139478 /nfs/dbraw/zinc/13/94/78/592139478.db2.gz GJALNGLXADSKIO-UNJBNNCHSA-N 0 3 223.360 2.532 20 0 BFADHN Cc1cscc1CNCc1n[nH]c(C)c1C ZINC000886094324 592147889 /nfs/dbraw/zinc/14/78/89/592147889.db2.gz PIOSASXJZREUDT-UHFFFAOYSA-N 0 3 235.356 2.686 20 0 BFADHN Cc1n[nH]c(CNC2CC(C(C)(C)C)C2)c1C ZINC000886125232 592158693 /nfs/dbraw/zinc/15/86/93/592158693.db2.gz LZHWCLIEOHERCP-UHFFFAOYSA-N 0 3 235.375 2.941 20 0 BFADHN Cc1coc(CNC[C@@H]2CCOC(C)(C)C2)c1 ZINC000886138233 592161532 /nfs/dbraw/zinc/16/15/32/592161532.db2.gz FEQGSMCZCMCTCL-GFCCVEGCSA-N 0 3 237.343 2.883 20 0 BFADHN C[C@@H]1CC[C@@H](CNC/C=C/c2cccc(F)c2)O1 ZINC000886140450 592161574 /nfs/dbraw/zinc/16/15/74/592161574.db2.gz ICKRXRBVBOTUJU-MOEUOMFESA-N 0 3 249.329 2.996 20 0 BFADHN C[C@@H]1CC[C@H](CNC/C=C\c2cccc(F)c2)O1 ZINC000886140449 592162067 /nfs/dbraw/zinc/16/20/67/592162067.db2.gz ICKRXRBVBOTUJU-KUXCDZRCSA-N 0 3 249.329 2.996 20 0 BFADHN CO[C@H](CNCc1cc(C)co1)CC(C)C ZINC000886147340 592163511 /nfs/dbraw/zinc/16/35/11/592163511.db2.gz PRXPXCGONOQJQW-LBPRGKRZSA-N 0 3 225.332 2.739 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C/c2cccc(F)c2)[C@@H](C)O1 ZINC000886174727 592169368 /nfs/dbraw/zinc/16/93/68/592169368.db2.gz HZGFEVTZCISEPF-OMZGOWTESA-N 0 3 249.329 2.994 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C\c2cccc(F)c2)[C@@H](C)O1 ZINC000886174726 592170008 /nfs/dbraw/zinc/17/00/08/592170008.db2.gz HZGFEVTZCISEPF-HOWNJSKPSA-N 0 3 249.329 2.994 20 0 BFADHN CC1=C(C)CN(C[C@@H]2CC[C@@H](C3CC3)O2)CC1 ZINC000886184273 592171401 /nfs/dbraw/zinc/17/14/01/592171401.db2.gz YEYKHWJCBGIZNG-GJZGRUSLSA-N 0 3 235.371 2.986 20 0 BFADHN c1cc(C2CCC2)ccc1CN[C@]12C[C@H]1COC2 ZINC000886200213 592175008 /nfs/dbraw/zinc/17/50/08/592175008.db2.gz CINMRXYTVBMCJL-HOTGVXAUSA-N 0 3 243.350 2.833 20 0 BFADHN C(CN(C1CC1)C1CC1)OC1CCCCC1 ZINC000886296920 592201614 /nfs/dbraw/zinc/20/16/14/592201614.db2.gz DTEHTIHCBRVCDJ-UHFFFAOYSA-N 0 3 223.360 2.963 20 0 BFADHN c1csc(CNC[C@@H]2CC3(CCC3)CO2)c1 ZINC000886291199 592201622 /nfs/dbraw/zinc/20/16/22/592201622.db2.gz ZOFSJCYDKOINLL-NSHDSACASA-N 0 3 237.368 2.797 20 0 BFADHN Fc1ccccc1SCCN1C[C@@H]2C[C@@H]2C1 ZINC000886330609 592209945 /nfs/dbraw/zinc/20/99/45/592209945.db2.gz UXAXOBCONSXWHQ-PHIMTYICSA-N 0 3 237.343 2.870 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCc3c2cccc3F)[C@@H](C)O1 ZINC000886361548 592217773 /nfs/dbraw/zinc/21/77/73/592217773.db2.gz LOQCZOYGPYLXSK-ZMRCNFHJSA-N 0 3 249.329 2.969 20 0 BFADHN c1c(CNC2CCC=CCC2)nnn1C1CCC1 ZINC000886376628 592221588 /nfs/dbraw/zinc/22/15/88/592221588.db2.gz LLEBFOMLSFJNLC-UHFFFAOYSA-N 0 3 246.358 2.592 20 0 BFADHN c1nc(C2CC2)oc1CNC1(C2CC2)CCC1 ZINC000886389463 592222101 /nfs/dbraw/zinc/22/21/01/592222101.db2.gz KELXVOXTDLQYFZ-UHFFFAOYSA-N 0 3 232.327 2.974 20 0 BFADHN CC(C)c1c[nH]c(CNC2(C3CC3)CCC2)n1 ZINC000886389634 592222485 /nfs/dbraw/zinc/22/24/85/592222485.db2.gz RLSBCOKSYFZVBU-UHFFFAOYSA-N 0 3 233.359 2.955 20 0 BFADHN CCCC[C@@H](COC)N[C@H]1CCc2cccnc21 ZINC000886530124 592246974 /nfs/dbraw/zinc/24/69/74/592246974.db2.gz DQDIIRKKZPZOKK-KBPBESRZSA-N 0 3 248.370 2.864 20 0 BFADHN CO[C@@H](CN[C@@H]1CCc2cccnc21)C(C)(C)C ZINC000886534831 592251012 /nfs/dbraw/zinc/25/10/12/592251012.db2.gz PKXMXRUSGCXCAE-OLZOCXBDSA-N 0 3 248.370 2.720 20 0 BFADHN CO[C@H](CN[C@@H]1CCc2cccnc21)C(C)(C)C ZINC000886534830 592251118 /nfs/dbraw/zinc/25/11/18/592251118.db2.gz PKXMXRUSGCXCAE-CHWSQXEVSA-N 0 3 248.370 2.720 20 0 BFADHN CC/C=C\CN[C@H](c1cnn(C)c1)C(C)C ZINC000886618693 592277839 /nfs/dbraw/zinc/27/78/39/592277839.db2.gz IHUVAZRKKDEXAL-FWWRYZNZSA-N 0 3 221.348 2.673 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H]2CCc3cccnc32)CCO1 ZINC000886628304 592280756 /nfs/dbraw/zinc/28/07/56/592280756.db2.gz METKIFVXMNAEOO-MCIONIFRSA-N 0 3 246.354 2.616 20 0 BFADHN COC1([C@H](C)N[C@H]2CCc3cccnc32)CCC1 ZINC000886626549 592280832 /nfs/dbraw/zinc/28/08/32/592280832.db2.gz FJSDFIBDZQYBAN-AAEUAGOBSA-N 0 3 246.354 2.616 20 0 BFADHN CO[C@@H](CN[C@H]1CCc2cccnc21)CC(C)C ZINC000886626922 592281309 /nfs/dbraw/zinc/28/13/09/592281309.db2.gz OGEVNRJRQXGOHE-KGLIPLIRSA-N 0 3 248.370 2.720 20 0 BFADHN c1cnc2c(c1)CC[C@H]2NC1(C2CCC2)CC1 ZINC000886631822 592282517 /nfs/dbraw/zinc/28/25/17/592282517.db2.gz XIMPXCKQIKWGQE-CYBMUJFWSA-N 0 3 228.339 2.991 20 0 BFADHN CC(C)[C@H](NCC1=CCCC1)c1cnn(C)c1 ZINC000886638221 592285457 /nfs/dbraw/zinc/28/54/57/592285457.db2.gz OFKRSLBRMIDMME-AWEZNQCLSA-N 0 3 233.359 2.817 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1cc(C2CC2)no1 ZINC000886684705 592302416 /nfs/dbraw/zinc/30/24/16/592302416.db2.gz QGJLNNPYNUMBCU-SKDRFNHKSA-N 0 3 220.316 2.830 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)no1 ZINC000886693578 592305812 /nfs/dbraw/zinc/30/58/12/592305812.db2.gz DXOXYAHOZFBEGW-ALQCUDPJSA-N 0 3 234.343 2.926 20 0 BFADHN C[C@@H](NC1CCC=CCC1)c1ccn(C)n1 ZINC000886816320 592333811 /nfs/dbraw/zinc/33/38/11/592333811.db2.gz RGAIZLOGHVLPIK-LLVKDONJSA-N 0 3 219.332 2.570 20 0 BFADHN CC[C@@H](O)CNC(C)(C)c1ccc(F)cc1F ZINC000886896181 592390426 /nfs/dbraw/zinc/39/04/26/592390426.db2.gz APOGSVNGVSJKJE-SNVBAGLBSA-N 0 3 243.297 2.560 20 0 BFADHN OC1(C[C@H]2CCCCN2CCF)CCCCC1 ZINC000887035006 592428311 /nfs/dbraw/zinc/42/83/11/592428311.db2.gz DQEIPIUPKRQYGA-CYBMUJFWSA-N 0 3 243.366 2.896 20 0 BFADHN CCN1Cc2ccccc2OC2(CCC2)C1 ZINC000887150876 592463089 /nfs/dbraw/zinc/46/30/89/592463089.db2.gz BFDIRTDPCQVOLT-UHFFFAOYSA-N 0 3 217.312 2.824 20 0 BFADHN COc1ccc(CN2CCC[C@@H]2C)cc1OC ZINC000124363883 592491789 /nfs/dbraw/zinc/49/17/89/592491789.db2.gz YHJLAIPCXLTSOQ-NSHDSACASA-N 0 3 235.327 2.688 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1CCS[C@H]1C ZINC000300332941 592506596 /nfs/dbraw/zinc/50/65/96/592506596.db2.gz CKGOXEGJTIHUJH-BKDNQFJXSA-N 0 3 240.372 2.836 20 0 BFADHN CC(C)C1(O)CN(CCCC(C)(C)C)C1 ZINC000300916420 592524464 /nfs/dbraw/zinc/52/44/64/592524464.db2.gz YVKJOWJAOHPQDL-UHFFFAOYSA-N 0 3 213.365 2.515 20 0 BFADHN c1ccc2ncc(CN[C@H]3CCCOC3)cc2c1 ZINC000301314316 592533379 /nfs/dbraw/zinc/53/33/79/592533379.db2.gz GQOOBXIBWUDNEF-AWEZNQCLSA-N 0 3 242.322 2.503 20 0 BFADHN CC(C)(C)c1ccc(NC(=O)C2(N)CCC2)cc1 ZINC000147448320 592555913 /nfs/dbraw/zinc/55/59/13/592555913.db2.gz IRDNBCAILMZNET-UHFFFAOYSA-N 0 3 246.354 2.804 20 0 BFADHN CCCCC[C@@H](C)NC(=O)CN(CC)C(C)C ZINC000194087612 634386102 /nfs/dbraw/zinc/38/61/02/634386102.db2.gz NZJFRNHPZARLOS-CYBMUJFWSA-N 0 3 242.407 2.802 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCc3n[nH]cc32)s1 ZINC000335765450 634518504 /nfs/dbraw/zinc/51/85/04/634518504.db2.gz QAEVUQXVIMDVAW-GFCCVEGCSA-N 0 3 247.367 2.947 20 0 BFADHN CN(c1ccnc(CO)c1)C1CCC(C)(C)CC1 ZINC000094860531 635393275 /nfs/dbraw/zinc/39/32/75/635393275.db2.gz XTHGWRFUABZUKK-UHFFFAOYSA-N 0 3 248.370 2.979 20 0 BFADHN CCc1ccc(CN[C@@H](C)c2ccnn2C)cc1 ZINC000234142767 635548947 /nfs/dbraw/zinc/54/89/47/635548947.db2.gz WXVXRXJDHDDNLD-LBPRGKRZSA-N 0 3 243.354 2.833 20 0 BFADHN Cc1cc(N2CCC3(CCCC3)CC2)nc(N)n1 ZINC000078022303 631337471 /nfs/dbraw/zinc/33/74/71/631337471.db2.gz WWDZGMLHCIFKHE-UHFFFAOYSA-N 0 3 246.358 2.528 20 0 BFADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1ccnnc1 ZINC000926174097 631375383 /nfs/dbraw/zinc/37/53/83/631375383.db2.gz IBGKSBHSODAMMI-WCBMZHEXSA-N 0 3 247.264 2.858 20 0 BFADHN CCN(Cc1ccnn1C1CCC1)C(C)C ZINC000891570700 631393426 /nfs/dbraw/zinc/39/34/26/631393426.db2.gz DCMNQKVIECUJTK-UHFFFAOYSA-N 0 3 221.348 2.838 20 0 BFADHN C#CCN(Cc1occc1C)C1CCCC1 ZINC000093819803 631571363 /nfs/dbraw/zinc/57/13/63/631571363.db2.gz QNKILIGQIJYDHW-UHFFFAOYSA-N 0 3 217.312 2.966 20 0 BFADHN CC(C)(C)c1ccc(CN2CC[C@@H]2CO)cc1 ZINC000934028456 631626964 /nfs/dbraw/zinc/62/69/64/631626964.db2.gz YARBKYXTEVHODR-CQSZACIVSA-N 0 3 233.355 2.551 20 0 BFADHN Cc1ccc([C@H](C)Nc2ccncc2CO)s1 ZINC000891963408 631643320 /nfs/dbraw/zinc/64/33/20/631643320.db2.gz HPEPEGBFGAJONB-JTQLQIEISA-N 0 3 248.351 2.539 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@H]1CCC=CO1 ZINC000248997062 635685338 /nfs/dbraw/zinc/68/53/38/635685338.db2.gz PHFNWSQPXITXDV-TZMCWYRMSA-N 0 3 232.327 2.767 20 0 BFADHN Cc1nc(CN[C@@H](C)C2(C)CC2)cs1 ZINC000041010494 631687743 /nfs/dbraw/zinc/68/77/43/631687743.db2.gz UMGVLKXJQIDXAZ-QMMMGPOBSA-N 0 3 210.346 2.730 20 0 BFADHN CCC[C@](C)(NCC1OCCO1)c1ccccc1 ZINC000934183342 631719954 /nfs/dbraw/zinc/71/99/54/631719954.db2.gz RNYDQOHQMZGEJB-HNNXBMFYSA-N 0 3 249.354 2.664 20 0 BFADHN CC(C)CC[C@@H](O)CN[C@H](C)c1cncs1 ZINC000934193045 631724865 /nfs/dbraw/zinc/72/48/65/631724865.db2.gz CAEAALZJJBYGPD-GHMZBOCLSA-N 0 3 242.388 2.591 20 0 BFADHN CCO[C@H](CN[C@@H](C)c1cncs1)C1CC1 ZINC000934196631 631727200 /nfs/dbraw/zinc/72/72/00/631727200.db2.gz VSUOHNVSNNPFCV-GXSJLCMTSA-N 0 3 240.372 2.609 20 0 BFADHN COCCN[C@@H](c1ncccc1C)C(C)(C)C ZINC000934287667 631759273 /nfs/dbraw/zinc/75/92/73/631759273.db2.gz GVECZKHNMVKPBE-ZDUSSCGKSA-N 0 3 236.359 2.713 20 0 BFADHN Cc1nnsc1[C@H](C)N1C[C@@H]2CCC[C@@H]2C1 ZINC000934344582 631792678 /nfs/dbraw/zinc/79/26/78/631792678.db2.gz DSVABXJGEJMCMB-GARJFASQSA-N 0 3 237.372 2.639 20 0 BFADHN CC(C)C[C@@H](O)CNCc1ccsc1Cl ZINC000309075350 631836404 /nfs/dbraw/zinc/83/64/04/631836404.db2.gz JPRXJZCRETYACV-SNVBAGLBSA-N 0 3 247.791 2.898 20 0 BFADHN CC(F)(F)CCCN1CCOC[C@@]1(C)C1CC1 ZINC000934475559 631869645 /nfs/dbraw/zinc/86/96/45/631869645.db2.gz NCGALLFHKULQHA-LBPRGKRZSA-N 0 3 247.329 2.923 20 0 BFADHN OCc1cnccc1N1CCC2(CCCC2)CC1 ZINC000126500269 632121676 /nfs/dbraw/zinc/12/16/76/632121676.db2.gz VPGNDPWVDVNKKX-UHFFFAOYSA-N 0 3 246.354 2.735 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cc(C)cc(Cl)n1 ZINC000309495977 632416331 /nfs/dbraw/zinc/41/63/31/632416331.db2.gz YJQBZOPAEGUCPA-NXEZZACHSA-N 0 3 242.750 2.556 20 0 BFADHN C[C@H](CC(F)(F)F)NCc1ccc(F)nc1 ZINC000309502665 632416797 /nfs/dbraw/zinc/41/67/97/632416797.db2.gz VFESUVZHOLMUEX-SSDOTTSWSA-N 0 3 236.212 2.651 20 0 BFADHN Cc1cc(CN[C@@H](C(C)C)C2CC2)no1 ZINC000308923022 632452066 /nfs/dbraw/zinc/45/20/66/632452066.db2.gz CQAOZXWIPZSCAN-LBPRGKRZSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000926616024 632700788 /nfs/dbraw/zinc/70/07/88/632700788.db2.gz GBNIAFYXWFFKCJ-WRWGMCAJSA-N 0 3 232.327 2.749 20 0 BFADHN C[C@@H]([NH2+][C@H]1CC12CC2)c1cc([O-])cc(F)c1 ZINC000926617352 632709143 /nfs/dbraw/zinc/70/91/43/632709143.db2.gz XQZRCCRGXWENSH-PELKAZGASA-N 0 3 221.275 2.734 20 0 BFADHN CCCCCC[C@@H](C)NC(=O)[C@H](N)CC1CC1 ZINC000310255758 632711335 /nfs/dbraw/zinc/71/13/35/632711335.db2.gz PFSUPXBBXCVENW-DGCLKSJQSA-N 0 3 240.391 2.589 20 0 BFADHN COc1ccc(CNCCc2cccc(F)c2)o1 ZINC000892462698 632732392 /nfs/dbraw/zinc/73/23/92/632732392.db2.gz VVUBZBVQZXSLHF-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN Cc1nc(CN[C@H]2CC[C@H]2C2CC2)cs1 ZINC000309195373 632758917 /nfs/dbraw/zinc/75/89/17/632758917.db2.gz QIUFOWNAHJIILX-RYUDHWBXSA-N 0 3 222.357 2.730 20 0 BFADHN C[C@H](NC[C@@H](O)c1cccc(F)c1)c1ccoc1 ZINC000177782456 632880515 /nfs/dbraw/zinc/88/05/15/632880515.db2.gz RRDDDELPFNPLSY-IINYFYTJSA-N 0 3 249.285 2.803 20 0 BFADHN C[C@H]([NH2+][C@@H](C)C1CC1)c1cc([O-])cc(F)c1 ZINC000924569355 632912265 /nfs/dbraw/zinc/91/22/65/632912265.db2.gz CDZLYRAZERJHLJ-IUCAKERBSA-N 0 3 223.291 2.980 20 0 BFADHN Cc1cc(CNCCOC(C)C)cc(Cl)n1 ZINC000309320702 632933790 /nfs/dbraw/zinc/93/37/90/632933790.db2.gz FBOSBJBHYRXREA-UHFFFAOYSA-N 0 3 242.750 2.558 20 0 BFADHN Cn1c(Cl)cnc1CNC(C)(C)C(C)(C)C ZINC000309337114 632946393 /nfs/dbraw/zinc/94/63/93/632946393.db2.gz KHBMGCYUQWPIQK-UHFFFAOYSA-N 0 3 243.782 2.988 20 0 BFADHN Cc1cc(NCC2(C)CCCCC2)nc(N)n1 ZINC000229596202 632981473 /nfs/dbraw/zinc/98/14/73/632981473.db2.gz IMIQNYGFIQJRBM-UHFFFAOYSA-N 0 3 234.347 2.750 20 0 BFADHN CCO[C@@H]1C[C@H](N(C)C/C=C\Cl)C1(C)C ZINC000255895085 632986622 /nfs/dbraw/zinc/98/66/22/632986622.db2.gz TULJKHBKGBDRFJ-QIAMSNJGSA-N 0 3 231.767 2.874 20 0 BFADHN C/C=C/CN[C@H](C)c1ccnn1CC1CCC1 ZINC000926770948 633018000 /nfs/dbraw/zinc/01/80/00/633018000.db2.gz WWSGSCCUWMOAFX-AAOUONPWSA-N 0 3 233.359 2.910 20 0 BFADHN Cc1nonc1[C@@H](C)NC[C@@H]1CCCC12CC2 ZINC000926774625 633034019 /nfs/dbraw/zinc/03/40/19/633034019.db2.gz ATRCTDAPDQRVRY-KOLCDFICSA-N 0 3 235.331 2.609 20 0 BFADHN C[C@H](NCC(C)(C)F)C1(Br)CC1 ZINC000926778156 633046303 /nfs/dbraw/zinc/04/63/03/633046303.db2.gz CEQKIBCSIHDXDH-ZETCQYMHSA-N 0 3 238.144 2.640 20 0 BFADHN Cc1coc(CN2CCS[C@@H](C)CC2)c1 ZINC000894562878 635909123 /nfs/dbraw/zinc/90/91/23/635909123.db2.gz CZRMADKIOKUCIC-NSHDSACASA-N 0 3 225.357 2.915 20 0 BFADHN C[C@@H]([NH2+]Cc1ccc([O-])c(F)c1)C1CCC1 ZINC000178525742 633124262 /nfs/dbraw/zinc/12/42/62/633124262.db2.gz ZHMITACMKUVEMA-SECBINFHSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccsc1)c1ccoc1 ZINC000168035584 633137518 /nfs/dbraw/zinc/13/75/18/633137518.db2.gz GWMHVMZLFGDFSU-BXKDBHETSA-N 0 3 237.324 2.725 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@H]1C=CCC1 ZINC000926834799 633184932 /nfs/dbraw/zinc/18/49/32/633184932.db2.gz ACWWOUYHYAEFAF-RYUDHWBXSA-N 0 3 232.327 2.916 20 0 BFADHN CCC[C@H](C)CCN[C@@H]1C[C@H](C)n2ncnc21 ZINC000926841329 633211500 /nfs/dbraw/zinc/21/15/00/633211500.db2.gz JGMZCVVUTMFOFX-SDDRHHMPSA-N 0 3 236.363 2.700 20 0 BFADHN CCOC[C@H](C)NCc1cc(C)nc(Cl)c1 ZINC000309664633 633219852 /nfs/dbraw/zinc/21/98/52/633219852.db2.gz SUCQFLPGWIOKAG-JTQLQIEISA-N 0 3 242.750 2.558 20 0 BFADHN Cc1n[nH]c(CN2CC(C)(C)[C@H]2C(C)C)c1C ZINC000894854571 635954995 /nfs/dbraw/zinc/95/49/95/635954995.db2.gz GZZBZPIQJDGNNY-CYBMUJFWSA-N 0 3 235.375 2.893 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(c2ccnc(CO)c2)C1 ZINC000310287264 636006375 /nfs/dbraw/zinc/00/63/75/636006375.db2.gz YCKKOKIXRZNQIF-NWDGAFQWSA-N 0 3 234.343 2.589 20 0 BFADHN CCC1(C)CN(Cc2cccc3c2CCOC3)C1 ZINC000894980297 636011556 /nfs/dbraw/zinc/01/15/56/636011556.db2.gz NUXWZSLFBZFWLP-UHFFFAOYSA-N 0 3 245.366 2.991 20 0 BFADHN CC[C@@H](COC)[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000925106394 636046644 /nfs/dbraw/zinc/04/66/44/636046644.db2.gz OJZQRNNNENXFAM-CABZTGNLSA-N 0 3 241.306 2.607 20 0 BFADHN CCOc1ccc(CNC[C@@H]2CCCS2)o1 ZINC000893109975 633839722 /nfs/dbraw/zinc/83/97/22/633839722.db2.gz DHBKBZNSBLXOQZ-NSHDSACASA-N 0 3 241.356 2.664 20 0 BFADHN C[C@H]1CC[C@]2(CCN(c3ccncc3CO)C2)C1 ZINC000893122231 633843287 /nfs/dbraw/zinc/84/32/87/633843287.db2.gz VWWXGTFEAXRHCI-WFASDCNBSA-N 0 3 246.354 2.590 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1cccc(Cl)n1 ZINC000309157546 633851267 /nfs/dbraw/zinc/85/12/67/633851267.db2.gz XUCLFUZASSHZFE-PSASIEDQSA-N 0 3 242.775 2.576 20 0 BFADHN CC(C)n1cc([C@H](C)NCCC2CCC2)nn1 ZINC000925514348 633855017 /nfs/dbraw/zinc/85/50/17/633855017.db2.gz DMOZPNKJLKEBCM-NSHDSACASA-N 0 3 236.363 2.700 20 0 BFADHN CCC(CC)(CC)CN[C@H](C)c1cnn(C)n1 ZINC000925525202 633901489 /nfs/dbraw/zinc/90/14/89/633901489.db2.gz AVVXBILJRFEFEN-LLVKDONJSA-N 0 3 238.379 2.682 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC=CO2)c(C)o1 ZINC000309843369 634026352 /nfs/dbraw/zinc/02/63/52/634026352.db2.gz FHJROPBGTQWTJM-ZDUSSCGKSA-N 0 3 221.300 2.679 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1nonc1C ZINC000310025049 634085954 /nfs/dbraw/zinc/08/59/54/634085954.db2.gz OUTMAXRFRSTOLR-ONGXEEELSA-N 0 3 225.336 2.682 20 0 BFADHN CCN(CCSC)Cc1cc(C)cc(C)n1 ZINC000930827609 634125475 /nfs/dbraw/zinc/12/54/75/634125475.db2.gz VXPPTKBYKWCNCP-UHFFFAOYSA-N 0 3 238.400 2.883 20 0 BFADHN Cc1cn(C)nc1CN(CCC1CC1)CC1CC1 ZINC000931068852 634209951 /nfs/dbraw/zinc/20/99/51/634209951.db2.gz QJMUAHJCEKNIRO-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN Fc1ccccc1OCCNCc1ccoc1 ZINC000053566099 634245019 /nfs/dbraw/zinc/24/50/19/634245019.db2.gz AIZOPNPOUIXADY-UHFFFAOYSA-N 0 3 235.258 2.587 20 0 BFADHN CCC(C)(C)OCCNCc1ccoc1 ZINC000053568723 634245651 /nfs/dbraw/zinc/24/56/51/634245651.db2.gz OUSHGJCLZKBQML-UHFFFAOYSA-N 0 3 211.305 2.574 20 0 BFADHN FC(F)(F)C1CCN(C[C@H]2CCC=CO2)CC1 ZINC000193671841 634285309 /nfs/dbraw/zinc/28/53/09/634285309.db2.gz XBUDAWQUSYGAKF-LLVKDONJSA-N 0 3 249.276 2.953 20 0 BFADHN COC/C(C)=C\CN1CCC(F)(F)C[C@H]1C ZINC000931256023 634289930 /nfs/dbraw/zinc/28/99/30/634289930.db2.gz WRVFTTFRFLZCDF-NEOSZVFXSA-N 0 3 233.302 2.699 20 0 BFADHN COCCN1CC=C(c2ccc(C)cc2)CC1 ZINC000053813726 635129997 /nfs/dbraw/zinc/12/99/97/635129997.db2.gz HDLWDXHNNAFZML-UHFFFAOYSA-N 0 3 231.339 2.731 20 0 BFADHN Cc1cccc([C@H](C)NCc2cc(N)ccn2)c1 ZINC000925772500 635213677 /nfs/dbraw/zinc/21/36/77/635213677.db2.gz SMTFYAMIJQNBGZ-LBPRGKRZSA-N 0 3 241.338 2.823 20 0 BFADHN CCCCC[C@H](C)NC(=O)CN(C)CCCC ZINC000061757867 635341660 /nfs/dbraw/zinc/34/16/60/635341660.db2.gz ZZIPKRQDVHQELK-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN Cn1nc(CNC2CC3(CCC3)C2)cc1C1CC1 ZINC000897230061 636277507 /nfs/dbraw/zinc/27/75/07/636277507.db2.gz DIEGPOOOFBUQPW-UHFFFAOYSA-N 0 3 245.370 2.720 20 0 BFADHN CC[C@H](N[C@@H](C)[C@H](C)O)c1ccc(F)cc1F ZINC000341856693 636305573 /nfs/dbraw/zinc/30/55/73/636305573.db2.gz ZQOXDOFGTZDECI-RVBZMBCESA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H](O)[C@@H](C)NCc1ccc(-c2ccccc2)o1 ZINC000342189329 636328681 /nfs/dbraw/zinc/32/86/81/636328681.db2.gz INGKYMMVIGNLSP-VXGBXAGGSA-N 0 3 245.322 2.806 20 0 BFADHN Cc1ccc(CN[C@H](C)C[C@@H](O)c2ccco2)o1 ZINC000305745782 636331082 /nfs/dbraw/zinc/33/10/82/636331082.db2.gz PHZYAUVYYVRTFW-ZWNOBZJWSA-N 0 3 249.310 2.783 20 0 BFADHN CCCCCC[C@@H](C)NC(=O)CN(C)C(C)C ZINC000152577129 636830172 /nfs/dbraw/zinc/83/01/72/636830172.db2.gz JMGNUCPSZPOVLR-CYBMUJFWSA-N 0 3 242.407 2.802 20 0 BFADHN CC[C@@H](NCc1cnn(C)c1)c1cccs1 ZINC000041054707 636959035 /nfs/dbraw/zinc/95/90/35/636959035.db2.gz WJIKQXZFDMQIOJ-LLVKDONJSA-N 0 3 235.356 2.723 20 0 BFADHN Cc1cc(C)cc(-c2noc([C@H]3CCCN3)n2)c1 ZINC000096878234 637011727 /nfs/dbraw/zinc/01/17/27/637011727.db2.gz HKUYRFQNRABBLA-GFCCVEGCSA-N 0 3 243.310 2.778 20 0 BFADHN Cn1nc(CN[C@H]2CC[C@@H]2C2CC2)cc1C1CC1 ZINC000927106614 637034259 /nfs/dbraw/zinc/03/42/59/637034259.db2.gz BJULQHOPDUDETO-KGLIPLIRSA-N 0 3 245.370 2.576 20 0 BFADHN CCCn1cc([C@@H](C)NC[C@]23C[C@H]2CCC3)nn1 ZINC000927120490 637037567 /nfs/dbraw/zinc/03/75/67/637037567.db2.gz FZDHSTBZUHGOLJ-BZPMIXESSA-N 0 3 248.374 2.529 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1CCCSC1 ZINC000927139243 637051149 /nfs/dbraw/zinc/05/11/49/637051149.db2.gz IUSDYKCCSPALTN-SNVBAGLBSA-N 0 3 240.372 2.531 20 0 BFADHN C[C@H](NC1([C@H]2CCCCO2)CC1)c1cccnc1 ZINC000927161635 637071414 /nfs/dbraw/zinc/07/14/14/637071414.db2.gz QNZHDBGEJCHZKU-GXTWGEPZSA-N 0 3 246.354 2.834 20 0 BFADHN Cc1nonc1[C@H](C)NCC1CCC(C)CC1 ZINC000924765119 637138077 /nfs/dbraw/zinc/13/80/77/637138077.db2.gz KVFMINICRDTSPA-YZRBJQDESA-N 0 3 237.347 2.855 20 0 BFADHN c1csc(-c2noc(C34CCC(CC3)N4)n2)c1 ZINC000899993647 637161512 /nfs/dbraw/zinc/16/15/12/637161512.db2.gz JLUCAKYXURAMIA-UHFFFAOYSA-N 0 3 247.323 2.539 20 0 BFADHN Cc1cc(OCc2ccc(N)nc2)c(C)c(C)n1 ZINC000902419342 637303938 /nfs/dbraw/zinc/30/39/38/637303938.db2.gz UBHHMQUMTNRLTA-UHFFFAOYSA-N 0 3 243.310 2.563 20 0 BFADHN CCn1cnc(CN[C@@H]2C[C@H]2CC(C)(C)C)c1 ZINC000902613911 637315406 /nfs/dbraw/zinc/31/54/06/637315406.db2.gz ARAXOPMEYRDTIX-WCQYABFASA-N 0 3 235.375 2.817 20 0 BFADHN CCC1(NCc2ccncc2Cl)CC1 ZINC000379734219 637342568 /nfs/dbraw/zinc/34/25/68/637342568.db2.gz DAFVSIYOBUXHJB-UHFFFAOYSA-N 0 3 210.708 2.767 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CCC[C@@H](C)C1 ZINC000924795029 637390038 /nfs/dbraw/zinc/39/00/38/637390038.db2.gz UXCNJCPXSJZWDO-WCABBAIRSA-N 0 3 223.320 2.607 20 0 BFADHN Cc1ccc(-c2ccc(F)c3c2CCNC3)cn1 ZINC000904787529 637473280 /nfs/dbraw/zinc/47/32/80/637473280.db2.gz JJFOISBWTLAFJD-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN Cc1cnc(-c2ccc(CN(C)C)s2)nc1 ZINC000904864648 637513110 /nfs/dbraw/zinc/51/31/10/637513110.db2.gz NXRAJZRYPWAJJX-UHFFFAOYSA-N 0 3 233.340 2.575 20 0 BFADHN CCC[C@H](C)NC(=O)C(C)(C)N1CCCCC1 ZINC000905769550 637925677 /nfs/dbraw/zinc/92/56/77/637925677.db2.gz GEKCTBHOHWPDRN-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN c1csc(-c2cnc([C@H]3CCCN3)nc2)c1 ZINC000906155315 638064628 /nfs/dbraw/zinc/06/46/28/638064628.db2.gz FROULSJMLLVFKL-SNVBAGLBSA-N 0 3 231.324 2.630 20 0 BFADHN CC(C)N(Cc1cn(C2CC2)nn1)C1CCCC1 ZINC000906277990 638125726 /nfs/dbraw/zinc/12/57/26/638125726.db2.gz OCIOBLSVARNCFE-UHFFFAOYSA-N 0 3 248.374 2.766 20 0 BFADHN C[C@H](NCc1cn(C2CC2)nn1)C1CCCCC1 ZINC000906285342 638125776 /nfs/dbraw/zinc/12/57/76/638125776.db2.gz GJOKUTPGWHODST-NSHDSACASA-N 0 3 248.374 2.671 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2cn(C3CC3)nn2)[C@H]1C ZINC000906295454 638134998 /nfs/dbraw/zinc/13/49/98/638134998.db2.gz CVHLVCIZDKSDPZ-SUNKGSAMSA-N 0 3 248.374 2.527 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1cn(C2CC2)nn1 ZINC000906296390 638137560 /nfs/dbraw/zinc/13/75/60/638137560.db2.gz LBRCAHXBZJACTK-FZMZJTMJSA-N 0 3 248.374 2.671 20 0 BFADHN CC[C@@H](NCc1cn(C2CC2)nn1)[C@@H]1CC1(C)C ZINC000906313622 638141436 /nfs/dbraw/zinc/14/14/36/638141436.db2.gz DBUOQFHARKAZPZ-QWHCGFSZSA-N 0 3 248.374 2.527 20 0 BFADHN CCCCNCc1nc(C2CC2)cs1 ZINC000906530134 638186251 /nfs/dbraw/zinc/18/62/51/638186251.db2.gz YZQYZGZXNPCRRK-UHFFFAOYSA-N 0 3 210.346 2.910 20 0 BFADHN CCCC[NH2+][C@H](C)c1nnc([C@H](C)CC)[n-]1 ZINC000906527735 638193329 /nfs/dbraw/zinc/19/33/29/638193329.db2.gz NIYOZKCPABZDPS-NXEZZACHSA-N 0 3 224.352 2.769 20 0 BFADHN CCn1nc(C)c([C@@H](C)NC[C@H]2CC=CCC2)n1 ZINC000924807459 638206030 /nfs/dbraw/zinc/20/60/30/638206030.db2.gz VKFSWWUKRRVJQL-YPMHNXCESA-N 0 3 248.374 2.613 20 0 BFADHN C[C@@H]1CN([C@@H]2C[C@@H]2c2cccc(F)c2)CCCO1 ZINC000906592607 638214817 /nfs/dbraw/zinc/21/48/17/638214817.db2.gz RTTXOKVXSCXNOM-KCPJHIHWSA-N 0 3 249.329 2.792 20 0 BFADHN C[C@@H]1CCN(C[C@H]2C[C@@H]3[C@H](C2)C3(F)F)C[C@@H]1F ZINC000930245952 639657231 /nfs/dbraw/zinc/65/72/31/639657231.db2.gz WYCPKPDFBSSCIV-ZIQFBCGOSA-N 0 3 247.304 2.958 20 0 BFADHN CCOC1CC(N(C)Cc2cc(C)cc(C)n2)C1 ZINC000930489663 639779724 /nfs/dbraw/zinc/77/97/24/639779724.db2.gz ZOHPNGXXYMDQLY-UHFFFAOYSA-N 0 3 248.370 2.698 20 0 BFADHN COC/C(C)=C/CN1CCCc2occc2C1 ZINC000930505542 639787567 /nfs/dbraw/zinc/78/75/67/639787567.db2.gz AXYBFGVUMSTUNZ-LFYBBSHMSA-N 0 3 235.327 2.621 20 0 BFADHN Cc1nnsc1[C@H](C)N[C@@H]1CCC1(C)C ZINC000930631562 639832126 /nfs/dbraw/zinc/83/21/26/639832126.db2.gz RCHBQWFGJAISKP-IONNQARKSA-N 0 3 225.361 2.686 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@@H](CC(C)(C)C)C1 ZINC000930669398 639854817 /nfs/dbraw/zinc/85/48/17/639854817.db2.gz DPZKZSWSXIHIPG-ZDUSSCGKSA-N 0 3 249.402 2.987 20 0 BFADHN C[C@H]1CCCC[C@@]1(C)NCc1nncs1 ZINC000930691541 639862503 /nfs/dbraw/zinc/86/25/03/639862503.db2.gz CAJWCMUDUBZZQB-GXSJLCMTSA-N 0 3 225.361 2.597 20 0 BFADHN C[C@H]1CCCC[C@]1(C)NCc1nncs1 ZINC000930691546 639862896 /nfs/dbraw/zinc/86/28/96/639862896.db2.gz CAJWCMUDUBZZQB-ONGXEEELSA-N 0 3 225.361 2.597 20 0 BFADHN N[C@@H](C(=O)Nc1ccccc1)C1CCCCCC1 ZINC000911895628 639873919 /nfs/dbraw/zinc/87/39/19/639873919.db2.gz WOCXXBHLGZFZNT-CQSZACIVSA-N 0 3 246.354 2.923 20 0 BFADHN CCc1ncc(CN2CC(C)(C)C[C@@H]2C)o1 ZINC000930723321 639878616 /nfs/dbraw/zinc/87/86/16/639878616.db2.gz YYWNQRDZYHCHPO-JTQLQIEISA-N 0 3 222.332 2.857 20 0 BFADHN Cc1nnsc1[C@@H](C)NC1(C2CCC2)CC1 ZINC000930855424 639950823 /nfs/dbraw/zinc/95/08/23/639950823.db2.gz DCTPVTNIHMYVGC-MRVPVSSYSA-N 0 3 237.372 2.830 20 0 BFADHN CCCN(CC)C(=O)[C@@H](N)C1CCCCCC1 ZINC000912262407 640007508 /nfs/dbraw/zinc/00/75/08/640007508.db2.gz UNOYNIQQAKXOSP-ZDUSSCGKSA-N 0 3 240.391 2.543 20 0 BFADHN Cc1cc(C)nc(CN2CC[C@@H](C)O[C@@H](C)C2)c1 ZINC000931021500 640025586 /nfs/dbraw/zinc/02/55/86/640025586.db2.gz LGGASFZTGBZUNZ-KGLIPLIRSA-N 0 3 248.370 2.698 20 0 BFADHN CC1CC(CN2CCC[C@H]2c2ncccn2)C1 ZINC000931054718 640044096 /nfs/dbraw/zinc/04/40/96/640044096.db2.gz ONJRKMJJAUZCSI-BPCQOVAHSA-N 0 3 231.343 2.660 20 0 BFADHN CC/C=C\CCN1Cc2c[nH]nc2[C@H](C)C1 ZINC000931461636 640220880 /nfs/dbraw/zinc/22/08/80/640220880.db2.gz WPBHZHOAQKZXFH-DOGVGXBMSA-N 0 3 219.332 2.685 20 0 BFADHN COC/C(C)=C/CN[C@@H](C)c1cnc(C)s1 ZINC000931466114 640226742 /nfs/dbraw/zinc/22/67/42/640226742.db2.gz CCWWIYWEQWEYCF-CYNRKNSPSA-N 0 3 240.372 2.695 20 0 BFADHN C[C@H]1CN(CCCC2CC2)Cc2c[nH]nc21 ZINC000931467471 640227522 /nfs/dbraw/zinc/22/75/22/640227522.db2.gz NXFHADGDUIYJIL-JTQLQIEISA-N 0 3 219.332 2.519 20 0 BFADHN CC(C)(C)CCCN1CCc2nccc(N)c2C1 ZINC000931517841 640241425 /nfs/dbraw/zinc/24/14/25/640241425.db2.gz GAUSCONKKUKSAX-UHFFFAOYSA-N 0 3 247.386 2.848 20 0 BFADHN Cc1cc(C)nc(CN2CCC[C@H](CF)C2)c1 ZINC000931682169 640312111 /nfs/dbraw/zinc/31/21/11/640312111.db2.gz IIMWGVYMBCYBEJ-CYBMUJFWSA-N 0 3 236.334 2.880 20 0 BFADHN FC(F)(F)[C@@H]1CN(C2CCCC2)CCS1 ZINC000932082101 640416725 /nfs/dbraw/zinc/41/67/25/640416725.db2.gz WCRCMJLLQHVKHP-VIFPVBQESA-N 0 3 239.306 2.909 20 0 BFADHN CC(=O)CN1CCC[C@H]1[C@H](C)c1ccccc1 ZINC000932275922 640470312 /nfs/dbraw/zinc/47/03/12/640470312.db2.gz QTUARSJVRVUJNF-HIFRSBDPSA-N 0 3 231.339 2.844 20 0 BFADHN Cc1cc(C)c(CN2CCO[C@@H](C)CC2)c(C)n1 ZINC000932335504 640476381 /nfs/dbraw/zinc/47/63/81/640476381.db2.gz HTNITOSTCAZJPT-ZDUSSCGKSA-N 0 3 248.370 2.618 20 0 BFADHN C[C@@H]1CCN(Cc2ccccc2CF)CCO1 ZINC000932337731 640481231 /nfs/dbraw/zinc/48/12/31/640481231.db2.gz SCEDVSBMQDDDBJ-GFCCVEGCSA-N 0 3 237.318 2.767 20 0 BFADHN CC1(CN[C@@H](c2ncccn2)C2CC2)CCC1 ZINC000932352080 640492789 /nfs/dbraw/zinc/49/27/89/640492789.db2.gz FMPBBGCHZSRFPR-GFCCVEGCSA-N 0 3 231.343 2.708 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H](c1ncccn1)C1CC1 ZINC000932353203 640496427 /nfs/dbraw/zinc/49/64/27/640496427.db2.gz XKJMYZLAGNVUGP-WXHSDQCUSA-N 0 3 231.343 2.563 20 0 BFADHN C/C=C\CN[C@H](c1ccccn1)C1CC1 ZINC000932383315 640509574 /nfs/dbraw/zinc/50/95/74/640509574.db2.gz XPPUQGYQMNNASX-ZRMMWKCHSA-N 0 3 202.301 2.698 20 0 BFADHN CC(C)COCCN[C@@H](c1ccccn1)C1CC1 ZINC000932383382 640510710 /nfs/dbraw/zinc/51/07/10/640510710.db2.gz DGGNMGLVUUMCSE-OAHLLOKOSA-N 0 3 248.370 2.795 20 0 BFADHN CSCC1CCN(Cc2cccnc2)CC1 ZINC000932592129 640579810 /nfs/dbraw/zinc/57/98/10/640579810.db2.gz NUVLFIZLSZBTIY-UHFFFAOYSA-N 0 3 236.384 2.657 20 0 BFADHN Cc1cc(N[C@@H]2CCC[C@H](C)[C@@H]2C)nc(N)n1 ZINC000045723738 640652876 /nfs/dbraw/zinc/65/28/76/640652876.db2.gz HVTMNTIFICKOIS-INTQDDNPSA-N 0 3 234.347 2.604 20 0 BFADHN C[C@H](c1ccccc1)N(C)Cc1cccc(=O)[nH]1 ZINC000933395599 640714608 /nfs/dbraw/zinc/71/46/08/640714608.db2.gz JXFIKLIIHMIUBB-GFCCVEGCSA-N 0 3 242.322 2.980 20 0 BFADHN CCC[C@H](C)N(C)Cc1ccc(Cl)nn1 ZINC000167396056 640714700 /nfs/dbraw/zinc/71/47/00/640714700.db2.gz JCBOJVHMDQFHDR-VIFPVBQESA-N 0 3 227.739 2.750 20 0 BFADHN CCC[C@@H](C)N(C)Cc1ccc(Cl)nn1 ZINC000167395939 640716255 /nfs/dbraw/zinc/71/62/55/640716255.db2.gz JCBOJVHMDQFHDR-SECBINFHSA-N 0 3 227.739 2.750 20 0 BFADHN C[C@@H](N(C)Cc1ccc(Cl)nn1)C(C)(C)C ZINC000167402792 640717458 /nfs/dbraw/zinc/71/74/58/640717458.db2.gz ZLAOKCCOYNFHCK-SECBINFHSA-N 0 3 241.766 2.996 20 0 BFADHN Cc1cnc(CN2C[C@@H]3[C@@H]4CC[C@@H](C4)[C@]3(C)C2)o1 ZINC000933706278 640750747 /nfs/dbraw/zinc/75/07/47/640750747.db2.gz TZVBUWFJWPCZAE-COMQUAJESA-N 0 3 246.354 2.851 20 0 BFADHN Cc1nc(CNC2(C)CCC2)sc1C ZINC000228852632 640900250 /nfs/dbraw/zinc/90/02/50/640900250.db2.gz DMFPQAURUQKGNF-UHFFFAOYSA-N 0 3 210.346 2.792 20 0 BFADHN CC[C@@H](C)C[C@@H](C)Nc1cc(C)nc(N)n1 ZINC000087836830 641064865 /nfs/dbraw/zinc/06/48/65/641064865.db2.gz NEFGKEWQTQURED-RKDXNWHRSA-N 0 3 222.336 2.604 20 0 BFADHN C[C@H](NC1COC(C)(C)OC1)c1cccs1 ZINC000230680846 641080581 /nfs/dbraw/zinc/08/05/81/641080581.db2.gz ZCIDWHSFPLZNKB-VIFPVBQESA-N 0 3 241.356 2.550 20 0 BFADHN CCC1(CC)CCN(c2ccnc(CO)c2)CC1 ZINC000062955408 641116678 /nfs/dbraw/zinc/11/66/78/641116678.db2.gz YIIXHRPWLDGXJP-UHFFFAOYSA-N 0 3 248.370 2.981 20 0 BFADHN Cc1ccc(CN2CCCSC[C@H]2C)cn1 ZINC000934298232 641121220 /nfs/dbraw/zinc/12/12/20/641121220.db2.gz XYHGWQKXEMSBFL-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1nn(C)c(CNCCC(C)(C)C)c1Cl ZINC000130019772 641137878 /nfs/dbraw/zinc/13/78/78/641137878.db2.gz LJEZSSHIGIEUTJ-UHFFFAOYSA-N 0 3 243.782 2.908 20 0 BFADHN CCC[C@@H]1CC(=O)N(CN(CC)CC(C)C)C1 ZINC000173308289 641168201 /nfs/dbraw/zinc/16/82/01/641168201.db2.gz RLXNVCMGZRYTDI-CYBMUJFWSA-N 0 3 240.391 2.570 20 0 BFADHN S=c1[nH]ccn1CN1CCC[C@H]1C1CCC1 ZINC000173338888 641172361 /nfs/dbraw/zinc/17/23/61/641172361.db2.gz VYDWPCSGFAGGFU-NSHDSACASA-N 0 3 237.372 2.768 20 0 BFADHN C[C@H](CN1CC[C@H](O)[C@@H](F)C1)C1CCCCC1 ZINC000934565824 641197757 /nfs/dbraw/zinc/19/77/57/641197757.db2.gz NNXBOARPKSQRML-XBFCOCLRSA-N 0 3 243.366 2.608 20 0 BFADHN COC(=O)c1ccoc1CN[C@@H]1CC=CC[C@H]1C ZINC000934619866 641214764 /nfs/dbraw/zinc/21/47/64/641214764.db2.gz PZKCARDOXMVBHJ-ZYHUDNBSSA-N 0 3 249.310 2.511 20 0 BFADHN Cc1cnc([C@@H](C)N[C@@H]2CC=CC[C@H]2C)o1 ZINC000934621194 641218131 /nfs/dbraw/zinc/21/81/31/641218131.db2.gz UIRKCIXRRNCJOG-YUSALJHKSA-N 0 3 220.316 2.988 20 0 BFADHN CSCC(C)(C)NCc1cccc(C)n1 ZINC000934671403 641241709 /nfs/dbraw/zinc/24/17/09/641241709.db2.gz YCCDENQWWKIOBJ-UHFFFAOYSA-N 0 3 224.373 2.621 20 0 BFADHN Cn1ccc(CNC2(C3CCCCC3)CC2)n1 ZINC000934789376 641270593 /nfs/dbraw/zinc/27/05/93/641270593.db2.gz XHPLMIFUDXHJJK-UHFFFAOYSA-N 0 3 233.359 2.623 20 0 BFADHN CCc1nnc(CNC2(C3CCCCC3)CC2)[nH]1 ZINC000934790288 641272163 /nfs/dbraw/zinc/27/21/63/641272163.db2.gz XKZJTAGMZZQUQI-UHFFFAOYSA-N 0 3 248.374 2.570 20 0 BFADHN CSCCCC[NH2+]Cc1ccc([O-])c(F)c1 ZINC000232423495 641275925 /nfs/dbraw/zinc/27/59/25/641275925.db2.gz MZWPAMWQQIOJDA-UHFFFAOYSA-N 0 3 243.347 2.764 20 0 BFADHN CCSc1ccccc1CN(C)C[C@@H](C)O ZINC000929707773 641412270 /nfs/dbraw/zinc/41/22/70/641412270.db2.gz ZEAKAEJGWRZHTR-LLVKDONJSA-N 0 3 239.384 2.611 20 0 BFADHN N#CC(C(=O)C1CC1)c1ccc2ccccc2n1 ZINC000042654289 641556176 /nfs/dbraw/zinc/55/61/76/641556176.db2.gz RYKHTEIUMZAHKA-LBPRGKRZSA-N 0 3 236.274 2.821 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2COC[C@H]2C2CC2)c1 ZINC000914433823 641703097 /nfs/dbraw/zinc/70/30/97/641703097.db2.gz XFQZLWHDBUARMH-DZGCQCFKSA-N 0 3 249.329 2.649 20 0 BFADHN C[C@H](c1ccncc1)N(C)C[C@@H]1CCC=CO1 ZINC000190210393 641849926 /nfs/dbraw/zinc/84/99/26/641849926.db2.gz OVEWKTKMFQYQLF-OCCSQVGLSA-N 0 3 232.327 2.767 20 0 BFADHN CCN(C[C@H]1CC1(Cl)Cl)C1CC1 ZINC000307969850 642326870 /nfs/dbraw/zinc/32/68/70/642326870.db2.gz GDJAIGHUDWAJLC-SSDOTTSWSA-N 0 3 208.132 2.665 20 0 BFADHN Cc1cc(NCCCC(C)(C)C)nc(N)n1 ZINC000077226811 642351075 /nfs/dbraw/zinc/35/10/75/642351075.db2.gz OJQTZPBDFZTGNR-UHFFFAOYSA-N 0 3 222.336 2.605 20 0 BFADHN C1=C(CN2CCOC[C@H]2CC2CC2)CCC1 ZINC000933213171 642391454 /nfs/dbraw/zinc/39/14/54/642391454.db2.gz MGVJAINNDQEWIZ-CQSZACIVSA-N 0 3 221.344 2.598 20 0 BFADHN CCn1cnc2c1CCN(CCCC(C)C)C2 ZINC000933255546 642425652 /nfs/dbraw/zinc/42/56/52/642425652.db2.gz LBFWHEDBYHALQI-UHFFFAOYSA-N 0 3 235.375 2.697 20 0 BFADHN CCCCNCc1c(Cl)n[nH]c1C1CC1 ZINC000921472496 642631282 /nfs/dbraw/zinc/63/12/82/642631282.db2.gz IIEQEZWIMYKTPD-UHFFFAOYSA-N 0 3 227.739 2.830 20 0 BFADHN Fc1ccccc1C1(NC[C@H]2CCCCO2)CC1 ZINC000179641524 642732509 /nfs/dbraw/zinc/73/25/09/642732509.db2.gz IFPFTPBYXWEWNF-GFCCVEGCSA-N 0 3 249.329 2.974 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](O)c2ccco2)c(C)o1 ZINC000070141825 642976428 /nfs/dbraw/zinc/97/64/28/642976428.db2.gz SEDUDGARTDUEAC-MFKMUULPSA-N 0 3 249.310 2.874 20 0 BFADHN Cc1nnsc1[C@@H](C)N1CCC[C@@H](C)C1 ZINC000929212825 642997724 /nfs/dbraw/zinc/99/77/24/642997724.db2.gz YODPJCKMVCXUSZ-PSASIEDQSA-N 0 3 225.361 2.639 20 0 BFADHN CCCCC[C@H](C)NC(=O)CN1CCC[C@H]1C ZINC000246845697 643080674 /nfs/dbraw/zinc/08/06/74/643080674.db2.gz HSVVQELSVULBSP-QWHCGFSZSA-N 0 3 240.391 2.556 20 0 BFADHN c1ccc(-c2cnc([C@H]3CCCN3)nc2)cc1 ZINC000071396765 643140715 /nfs/dbraw/zinc/14/07/15/643140715.db2.gz FURHXUDZRIQWQL-CYBMUJFWSA-N 0 3 225.295 2.568 20 0 BFADHN CC[C@H](NCc1ccco1)[C@@H](O)c1ccccc1 ZINC000071686921 643167282 /nfs/dbraw/zinc/16/72/82/643167282.db2.gz DHDYLSWSOBJTRY-GJZGRUSLSA-N 0 3 245.322 2.881 20 0 BFADHN Cc1ccc([C@H](O)[C@@H](C)NCc2ccco2)cc1 ZINC000071687518 643168273 /nfs/dbraw/zinc/16/82/73/643168273.db2.gz GSFWNFYIUAFRNZ-IUODEOHRSA-N 0 3 245.322 2.800 20 0 BFADHN C[C@H](COCC1CCCCC1)NCCF ZINC000932420831 643237111 /nfs/dbraw/zinc/23/71/11/643237111.db2.gz IIZOVVCOKCGTAL-LLVKDONJSA-N 0 3 217.328 2.531 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cn1cccnc1=S ZINC000184973025 643255197 /nfs/dbraw/zinc/25/51/97/643255197.db2.gz RUOUXUPBVRODJD-MNOVXSKESA-N 0 3 237.372 2.690 20 0 BFADHN CC[C@@]1(NCc2cc(C)ccc2F)CCOC1 ZINC000393418814 643356439 /nfs/dbraw/zinc/35/64/39/643356439.db2.gz BZWZQVJZCCGTNP-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN COCCOc1ccc(CN2C[C@H](C)[C@H]2C)cc1 ZINC000816648467 643556382 /nfs/dbraw/zinc/55/63/82/643556382.db2.gz LADJRAXQLZXNGB-QWHCGFSZSA-N 0 3 249.354 2.552 20 0 BFADHN Cc1nn(C)c(CNCCCC(C)C)c1Cl ZINC000084270523 643655287 /nfs/dbraw/zinc/65/52/87/643655287.db2.gz ZGCCNVLRVBXCTK-UHFFFAOYSA-N 0 3 243.782 2.908 20 0 BFADHN Cc1nn(C)c(CN[C@H](C)CC(C)C)c1Cl ZINC000084270432 643656530 /nfs/dbraw/zinc/65/65/30/643656530.db2.gz CLKYSHHKMPXRQF-SECBINFHSA-N 0 3 243.782 2.906 20 0 BFADHN O[C@@H]1C[C@@H](NCc2ccccc2)c2ccccc21 ZINC000197176558 643669420 /nfs/dbraw/zinc/66/94/20/643669420.db2.gz CFUXHFRAIHQGQH-HZPDHXFCSA-N 0 3 239.318 2.955 20 0 BFADHN CCC[C@@H]1C[C@H]1NCc1c(Cl)n[nH]c1CC ZINC000921808023 643799343 /nfs/dbraw/zinc/79/93/43/643799343.db2.gz XNUJZCCJWFSAGG-LDYMZIIASA-N 0 3 241.766 2.904 20 0 BFADHN Cc1cnc(Cl)c(CNC2CC(C)C2)c1 ZINC000921811166 643800651 /nfs/dbraw/zinc/80/06/51/643800651.db2.gz ZTSSEEQWSJNJMS-UHFFFAOYSA-N 0 3 224.735 2.932 20 0 BFADHN CC(C)=CCNCc1ccnn1C1CCC1 ZINC000922047377 643853990 /nfs/dbraw/zinc/85/39/90/643853990.db2.gz RTGKHDPMSXMRPB-UHFFFAOYSA-N 0 3 219.332 2.664 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccccc1)c1ccco1 ZINC000035188412 643913666 /nfs/dbraw/zinc/91/36/66/643913666.db2.gz QZGTYCZTXUEEPJ-DGCLKSJQSA-N 0 3 231.295 2.664 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H](c1ccccn1)C1CC1 ZINC000922584469 644038171 /nfs/dbraw/zinc/03/81/71/644038171.db2.gz LEWDGVAMPCXERL-SWLSCSKDSA-N 0 3 248.370 2.936 20 0 BFADHN CCC[C@H](O)CCNCc1ccc(F)cc1F ZINC000922825873 644102292 /nfs/dbraw/zinc/10/22/92/644102292.db2.gz RCEIRAWPGXOSBK-LBPRGKRZSA-N 0 3 243.297 2.606 20 0 BFADHN CCc1ccc(CNC[C@@]2(C)CCCCO2)o1 ZINC000922818070 644107236 /nfs/dbraw/zinc/10/72/36/644107236.db2.gz DRMGSSMWHHLJGM-CQSZACIVSA-N 0 3 237.343 2.891 20 0 BFADHN CCC[C@H](O)CCNCc1ccc(SC)o1 ZINC000922819448 644109151 /nfs/dbraw/zinc/10/91/51/644109151.db2.gz CCHPRXYSIKJKIJ-JTQLQIEISA-N 0 3 243.372 2.642 20 0 BFADHN Cc1csc(CN[C@@H]2CCS[C@@H](C)C2)n1 ZINC000922836926 644113313 /nfs/dbraw/zinc/11/33/13/644113313.db2.gz PLPDFDRBKNHXRV-VHSXEESVSA-N 0 3 242.413 2.825 20 0 BFADHN Cc1cnc(CN[C@@H]2CCS[C@H](C)C2)s1 ZINC000922838712 644118147 /nfs/dbraw/zinc/11/81/47/644118147.db2.gz NXVSUAUDVDLCHC-PSASIEDQSA-N 0 3 242.413 2.825 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCc3cccnc32)[C@@H](C)O1 ZINC000922882810 644125810 /nfs/dbraw/zinc/12/58/10/644125810.db2.gz FFNFKAGIOWCGOR-MHDGFBEUSA-N 0 3 246.354 2.615 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCc3cccnc32)[C@H](C)O1 ZINC000922882812 644126192 /nfs/dbraw/zinc/12/61/92/644126192.db2.gz FFNFKAGIOWCGOR-UVLXDEKHSA-N 0 3 246.354 2.615 20 0 BFADHN CC(C)n1ncnc1CNCC1CCC=CCC1 ZINC000922966268 644201822 /nfs/dbraw/zinc/20/18/22/644201822.db2.gz OSHIEWIDKJZWEE-UHFFFAOYSA-N 0 3 248.374 2.695 20 0 BFADHN CC[C@@H]1CCC[C@H]([NH2+]Cc2cncc([O-])c2)C1 ZINC000922975899 644206092 /nfs/dbraw/zinc/20/60/92/644206092.db2.gz NLHDNBXCRRRUDL-YPMHNXCESA-N 0 3 234.343 2.846 20 0 BFADHN C[C@H]1CC(C)(C)C[C@@H]1[NH2+]Cc1cncc([O-])c1 ZINC000922976344 644208316 /nfs/dbraw/zinc/20/83/16/644208316.db2.gz WJNNYCRBHOMXPI-GWCFXTLKSA-N 0 3 234.343 2.702 20 0 BFADHN [O-]c1cncc(C[NH2+]C2CCCCCC2)c1 ZINC000922976987 644212125 /nfs/dbraw/zinc/21/21/25/644212125.db2.gz LDJYMGNCSVWVOM-UHFFFAOYSA-N 0 3 220.316 2.600 20 0 BFADHN Cc1ncccc1CNC1([C@H]2CCCCO2)CC1 ZINC000923029961 644241762 /nfs/dbraw/zinc/24/17/62/644241762.db2.gz MVHBHJLFMYLAIL-CQSZACIVSA-N 0 3 246.354 2.581 20 0 BFADHN CCOCCCN(C)Cc1ccc(Cl)nc1 ZINC000080910995 644296655 /nfs/dbraw/zinc/29/66/55/644296655.db2.gz ILXRMZYATBYTOS-UHFFFAOYSA-N 0 3 242.750 2.593 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccco1)c1cccc(F)c1 ZINC000088264608 644515144 /nfs/dbraw/zinc/51/51/44/644515144.db2.gz ILWZLRZAXVJUJD-ZWNOBZJWSA-N 0 3 249.285 2.803 20 0 BFADHN Cc1csc(CN2C[C@@H]3CC=CC[C@@H]3C2)n1 ZINC000088601767 644523838 /nfs/dbraw/zinc/52/38/38/644523838.db2.gz OPRUYFVZJSNGOR-TXEJJXNPSA-N 0 3 234.368 2.850 20 0 BFADHN CCN(C/C=C(/C)C(=O)OC)Cc1ccccc1 ZINC000088630405 644526312 /nfs/dbraw/zinc/52/63/12/644526312.db2.gz CBDIBYBYNPADHU-RAXLEYEMSA-N 0 3 247.338 2.628 20 0 BFADHN CCCCC[C@@H](C)NC(=O)CN(C)[C@H](C)CC ZINC000245453100 644526744 /nfs/dbraw/zinc/52/67/44/644526744.db2.gz QYSQVZLGEOWHPZ-CHWSQXEVSA-N 0 3 242.407 2.802 20 0 BFADHN CCCCCCN(CCO)Cc1ccc(C)o1 ZINC000091916994 644642534 /nfs/dbraw/zinc/64/25/34/644642534.db2.gz OMTVJXQBCGIFLD-UHFFFAOYSA-N 0 3 239.359 2.963 20 0 BFADHN CCOCCN(CC)Cc1cc(C)oc1C ZINC000092537851 644662734 /nfs/dbraw/zinc/66/27/34/644662734.db2.gz XSIDNZZWMCFBTM-UHFFFAOYSA-N 0 3 225.332 2.755 20 0 BFADHN Cc1ccc2c(c1)[C@@H](N[C@@H]1CCCSC1)CO2 ZINC000783517201 644756269 /nfs/dbraw/zinc/75/62/69/644756269.db2.gz GJYBWNWBKHBENF-YPMHNXCESA-N 0 3 249.379 2.914 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@@H](C)C(C)(C)C)n1 ZINC000096610169 644826404 /nfs/dbraw/zinc/82/64/04/644826404.db2.gz FNXXTYUMSBKDEY-SNVBAGLBSA-N 0 3 236.359 2.867 20 0 BFADHN Cc1ccc(CN(CCC(C)C)C2CC2)nn1 ZINC000414374150 644861895 /nfs/dbraw/zinc/86/18/95/644861895.db2.gz VAEBFPLOTXNMSN-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@@]1(C)CC(=O)N(CN(C)[C@H](C)C(C)C)C1 ZINC000808139424 644875579 /nfs/dbraw/zinc/87/55/79/644875579.db2.gz GPSUZEXPPDYKKL-OCCSQVGLSA-N 0 3 240.391 2.569 20 0 BFADHN CC(C)CC[C@H](NCc1ccon1)C1CC1 ZINC000304294559 644888572 /nfs/dbraw/zinc/88/85/72/644888572.db2.gz HQCKIQJWDOAPET-ZDUSSCGKSA-N 0 3 222.332 2.979 20 0 BFADHN CCN(CCOc1ccccc1C)CCC(C)=O ZINC000817284028 644970093 /nfs/dbraw/zinc/97/00/93/644970093.db2.gz IMZKWDZCFQHMAZ-UHFFFAOYSA-N 0 3 249.354 2.675 20 0 BFADHN CC1(C)CC(CNCc2cc(F)ncc2F)C1 ZINC000824237837 645054583 /nfs/dbraw/zinc/05/45/83/645054583.db2.gz WSPDHOGHBDGWIN-UHFFFAOYSA-N 0 3 240.297 2.886 20 0 BFADHN CC(C)CC(=O)CCN1CCC(F)(F)[C@H](C)C1 ZINC000828554307 645104836 /nfs/dbraw/zinc/10/48/36/645104836.db2.gz HDJSYJTXMKCVHI-LLVKDONJSA-N 0 3 247.329 2.969 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H]1CCCc2oc(C)nc21 ZINC000926521197 645252628 /nfs/dbraw/zinc/25/26/28/645252628.db2.gz MNCUGXUYULUAQM-GRYCIOLGSA-N 0 3 234.343 2.996 20 0 BFADHN COc1cc([C@H](C)NC[C@@H]2C[C@H]2C(C)C)on1 ZINC000926532603 645256932 /nfs/dbraw/zinc/25/69/32/645256932.db2.gz BGVRRVQHVLEDGV-DCAQKATOSA-N 0 3 238.331 2.626 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1ccns1 ZINC000926548325 645261047 /nfs/dbraw/zinc/26/10/47/645261047.db2.gz DZEGMRJPLRTUGE-GMTAPVOTSA-N 0 3 240.372 2.751 20 0 BFADHN CCc1nc(CNC2[C@H](C)CC[C@H]2C)co1 ZINC000926536233 645263293 /nfs/dbraw/zinc/26/32/93/645263293.db2.gz LCYCLTRBSUBXQC-NXEZZACHSA-N 0 3 222.332 2.761 20 0 BFADHN CC[C@H](N[C@H]1C[C@@H](C)n2ncnc21)C1CCCC1 ZINC000926544178 645267867 /nfs/dbraw/zinc/26/78/67/645267867.db2.gz WDXMSABJJSRKOD-WXHSDQCUSA-N 0 3 248.374 2.842 20 0 BFADHN C[C@@H](NCC(C)(C)C1=CCCC1)c1cnn(C)n1 ZINC000926557825 645278173 /nfs/dbraw/zinc/27/81/73/645278173.db2.gz NKLOTXLXBDETNZ-LLVKDONJSA-N 0 3 248.374 2.602 20 0 BFADHN C[C@H]1COC[C@@H]1NC1(c2ccccc2F)CCC1 ZINC000926633104 645325210 /nfs/dbraw/zinc/32/52/10/645325210.db2.gz JLKSKYMOUPGMSF-FZMZJTMJSA-N 0 3 249.329 2.829 20 0 BFADHN CC[C@H](C)C[C@@H](CO)N[C@H](C)c1ccns1 ZINC000926635227 645325452 /nfs/dbraw/zinc/32/54/52/645325452.db2.gz OKIMCUAASXNHSF-AXFHLTTASA-N 0 3 242.388 2.591 20 0 BFADHN COc1cc([C@@H](C)NC2CC3(CCC3)C2)on1 ZINC000926659192 645342913 /nfs/dbraw/zinc/34/29/13/645342913.db2.gz FRFLZUFKPDGERI-SECBINFHSA-N 0 3 236.315 2.667 20 0 BFADHN COc1c(C)cccc1CN[C@@H]1CO[C@@H](C)[C@H]1C ZINC000926664564 645344380 /nfs/dbraw/zinc/34/43/80/645344380.db2.gz RDLXBHKSWZFCTQ-MBNYWOFBSA-N 0 3 249.354 2.517 20 0 BFADHN CC[C@@H](N[C@@H]1COC[C@H]1C)c1ccccc1F ZINC000926663225 645345265 /nfs/dbraw/zinc/34/52/65/645345265.db2.gz VJGBWKDCQGWRDM-LERXQTSPSA-N 0 3 237.318 2.901 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@@H](C)c1cc(CO)on1 ZINC000926684924 645355663 /nfs/dbraw/zinc/35/56/63/645355663.db2.gz FXUHGLNTHPVTFV-UWVGGRQHSA-N 0 3 240.347 2.642 20 0 BFADHN CC[C@H](C)CCN[C@H](C)c1cc(OC)no1 ZINC000926685327 645356265 /nfs/dbraw/zinc/35/62/65/645356265.db2.gz MQEOTNAGJQPZAB-VHSXEESVSA-N 0 3 226.320 2.770 20 0 BFADHN C[C@H](N[C@H]1C=CCC1)c1cnn(C2CCC2)c1 ZINC000926692853 645363850 /nfs/dbraw/zinc/36/38/50/645363850.db2.gz ZKRWEGKSGMOSDM-AAEUAGOBSA-N 0 3 231.343 2.977 20 0 BFADHN COc1ccnc([C@@H](C)NCCC(C)(C)F)c1 ZINC000926702418 645368371 /nfs/dbraw/zinc/36/83/71/645368371.db2.gz PYNPMALUMYXHPJ-SNVBAGLBSA-N 0 3 240.322 2.879 20 0 BFADHN CC(C)(C)[C@@H]1CCC[C@H]1NCc1ncccn1 ZINC000926709763 645372878 /nfs/dbraw/zinc/37/28/78/645372878.db2.gz KVJNZJRDUVRQCN-VXGBXAGGSA-N 0 3 233.359 2.781 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](c1ccccn1)C1CCC1 ZINC000926728661 645390278 /nfs/dbraw/zinc/39/02/78/645390278.db2.gz UVBGELHQGNAAFN-ILXRZTDVSA-N 0 3 246.354 2.690 20 0 BFADHN C/C=C\CN[C@H](C)c1cccc2c1OCO2 ZINC000926769673 645413202 /nfs/dbraw/zinc/41/32/02/645413202.db2.gz IGERVOIXGVLRNF-UMBAGQNISA-N 0 3 219.284 2.642 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccnn1C1CCC1 ZINC000926770599 645416762 /nfs/dbraw/zinc/41/67/62/645416762.db2.gz SAUATUFTJQMCLD-BYCRGOAPSA-N 0 3 219.332 2.835 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@@H]2CCCC23CC3)nn1 ZINC000926776502 645422163 /nfs/dbraw/zinc/42/21/63/645422163.db2.gz CKHNOOSXWAVYCI-DGCLKSJQSA-N 0 3 248.374 2.842 20 0 BFADHN CCC[C@@H]1C[C@@H]1N[C@@H](C)c1cc(OC)ccn1 ZINC000925486439 645452752 /nfs/dbraw/zinc/45/27/52/645452752.db2.gz SHDFUUFIVNGMDN-WDMOLILDSA-N 0 3 234.343 2.929 20 0 BFADHN COc1cc([C@H](C)NC[C@]2(C)CC2(C)C)on1 ZINC000926843321 645454507 /nfs/dbraw/zinc/45/45/07/645454507.db2.gz VCUWTJASLGKOMP-ZANVPECISA-N 0 3 238.331 2.770 20 0 BFADHN CCn1nc(C)c([C@@H](C)NC2CCC=CCC2)n1 ZINC000926877437 645470974 /nfs/dbraw/zinc/47/09/74/645470974.db2.gz OBZZFLWPFSZAQN-LLVKDONJSA-N 0 3 248.374 2.756 20 0 BFADHN Cc1nonc1[C@H](C)NC1CCC=CCC1 ZINC000926877849 645471389 /nfs/dbraw/zinc/47/13/89/645471389.db2.gz PUZYPKFPSOZABV-VIFPVBQESA-N 0 3 221.304 2.527 20 0 BFADHN CC(C)n1cc([C@@H](C)NCCC2CC=CC2)nn1 ZINC000926950065 645492903 /nfs/dbraw/zinc/49/29/03/645492903.db2.gz MNWYXKDWAGRFCP-GFCCVEGCSA-N 0 3 248.374 2.866 20 0 BFADHN COC[C@H](CN[C@@H](C)c1cc(C)on1)C(C)C ZINC000927097101 645549325 /nfs/dbraw/zinc/54/93/25/645549325.db2.gz RNWLZFZGQYJWLZ-RYUDHWBXSA-N 0 3 240.347 2.552 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1cc(C2CC2)n(C)n1 ZINC000927107825 645553486 /nfs/dbraw/zinc/55/34/86/645553486.db2.gz LSQWVSGXIFPLGW-ZWNOBZJWSA-N 0 3 233.359 2.576 20 0 BFADHN C[C@H](CC1CC1)NCc1cc(C2CC2)n(C)n1 ZINC000927111553 645553660 /nfs/dbraw/zinc/55/36/60/645553660.db2.gz DPPZWYKGAGDVDP-SNVBAGLBSA-N 0 3 233.359 2.576 20 0 BFADHN Cn1nc(CN[C@@H]2CCC(C)(C)C2)cc1C1CC1 ZINC000927109732 645553801 /nfs/dbraw/zinc/55/38/01/645553801.db2.gz WHYUBWBSZJXPSW-GFCCVEGCSA-N 0 3 247.386 2.966 20 0 BFADHN C[C@@H](NC1([C@H]2CCCCO2)CC1)c1ccccn1 ZINC000927158886 645578005 /nfs/dbraw/zinc/57/80/05/645578005.db2.gz CSMBNAVIEAIMKS-TZMCWYRMSA-N 0 3 246.354 2.834 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)no1 ZINC000886693580 645890122 /nfs/dbraw/zinc/89/01/22/645890122.db2.gz DXOXYAHOZFBEGW-QFEZGCEISA-N 0 3 234.343 2.926 20 0 BFADHN CCC[C@H](C)c1noc(C2(CN(C)C)CC2)n1 ZINC000923869504 645960577 /nfs/dbraw/zinc/96/05/77/645960577.db2.gz UJIPXVWNPQGIBD-JTQLQIEISA-N 0 3 237.347 2.566 20 0 BFADHN COC/C(C)=C/CN(C)Cc1ccc(F)cc1 ZINC000929136616 645964504 /nfs/dbraw/zinc/96/45/04/645964504.db2.gz PWBCAXDTDZGJGM-XYOKQWHBSA-N 0 3 237.318 2.850 20 0 BFADHN Cc1cn(C)nc1CN(C)C1CCC(C)CC1 ZINC000929176301 645988531 /nfs/dbraw/zinc/98/85/31/645988531.db2.gz SDFLQSDZXUWNLQ-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN FC(F)(F)[C@@H]1CC[C@H](CN2C3CCC2CC3)O1 ZINC000929247895 646031961 /nfs/dbraw/zinc/03/19/61/646031961.db2.gz DSRNLIUGRMWGAE-LXKPXOPUSA-N 0 3 249.276 2.723 20 0 BFADHN C([C@@H]1CCCC2(CCC2)O1)N1CCSCC1 ZINC000929277521 646042887 /nfs/dbraw/zinc/04/28/87/646042887.db2.gz QSHLNPTYTOCNPF-LBPRGKRZSA-N 0 3 241.400 2.527 20 0 BFADHN CC(C)CCC[C@@H](C)N[C@H](C)c1cnn(C)n1 ZINC000924529280 646050345 /nfs/dbraw/zinc/05/03/45/646050345.db2.gz OENMAESWGLBRHG-VXGBXAGGSA-N 0 3 238.379 2.681 20 0 BFADHN CCc1ncc(CN([C@H](C)C2CC2)C2CC2)o1 ZINC000929274109 646051756 /nfs/dbraw/zinc/05/17/56/646051756.db2.gz AEKIVKSCFCGPOY-SNVBAGLBSA-N 0 3 234.343 3.000 20 0 BFADHN CC[C@](O)(CN(C(C)C)C1CC1)C(F)(F)F ZINC000929274676 646052900 /nfs/dbraw/zinc/05/29/00/646052900.db2.gz ITQBGVBRJUSTAE-JTQLQIEISA-N 0 3 239.281 2.563 20 0 BFADHN Cc1cn(C)nc1CN(CC(C)C)C(C)C ZINC000929275072 646055138 /nfs/dbraw/zinc/05/51/38/646055138.db2.gz OXOLBLJGVHPQNW-UHFFFAOYSA-N 0 3 223.364 2.595 20 0 BFADHN CCCCCCN[C@H](C)c1cc(OC)no1 ZINC000924540121 646059440 /nfs/dbraw/zinc/05/94/40/646059440.db2.gz VVEFZCQFOGOVOS-SNVBAGLBSA-N 0 3 226.320 2.914 20 0 BFADHN CCCCCN[C@H](C)c1cc(OC)no1 ZINC000924544405 646064128 /nfs/dbraw/zinc/06/41/28/646064128.db2.gz WBCQHBJOJIOKHD-SECBINFHSA-N 0 3 212.293 2.524 20 0 BFADHN CCC1CCN(Cc2cc(C)nnc2C)CC1 ZINC000929296909 646067501 /nfs/dbraw/zinc/06/75/01/646067501.db2.gz FCQSWVPGGLBSFF-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N[C@@H]1C[C@@H](C)n2ncnc21 ZINC000924621615 646078213 /nfs/dbraw/zinc/07/82/13/646078213.db2.gz YOPLFAOVUOAWRJ-FVCCEPFGSA-N 0 3 248.374 2.842 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N[C@H](C)c1nonc1C ZINC000924620104 646078904 /nfs/dbraw/zinc/07/89/04/646078904.db2.gz LNWZCPRSFSUGOL-YUSALJHKSA-N 0 3 237.347 2.997 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N[C@H]1C[C@H](C)n2ncnc21 ZINC000924621619 646079300 /nfs/dbraw/zinc/07/93/00/646079300.db2.gz YOPLFAOVUOAWRJ-RNJOBUHISA-N 0 3 248.374 2.842 20 0 BFADHN Cc1nc(CN[C@H]2C[C@H]3C=C[C@@H]2CC3)cs1 ZINC000924723343 646095982 /nfs/dbraw/zinc/09/59/82/646095982.db2.gz QPOGQPMFECVGFM-LOWVWBTDSA-N 0 3 234.368 2.896 20 0 BFADHN C[C@H](c1ccco1)N(C)CCc1ccns1 ZINC000929371230 646100531 /nfs/dbraw/zinc/10/05/31/646100531.db2.gz VHAWXIICTPMCKK-SNVBAGLBSA-N 0 3 236.340 2.972 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1cn(C(C)C)nn1 ZINC000924773000 646104504 /nfs/dbraw/zinc/10/45/04/646104504.db2.gz GVTPESHEEVWHHX-TUAOUCFPSA-N 0 3 238.379 2.944 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1nn(CC)nc1C ZINC000924772986 646104729 /nfs/dbraw/zinc/10/47/29/646104729.db2.gz GQQUMTFKZCRIRC-DCAQKATOSA-N 0 3 238.379 2.692 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1nn(CC)nc1C ZINC000924772985 646105080 /nfs/dbraw/zinc/10/50/80/646105080.db2.gz GQQUMTFKZCRIRC-AXFHLTTASA-N 0 3 238.379 2.692 20 0 BFADHN CC(C)n1cc([C@H](C)NC[C@@H]2CC=CCC2)nn1 ZINC000924806684 646112997 /nfs/dbraw/zinc/11/29/97/646112997.db2.gz QQYKMGSJKALNNA-QWHCGFSZSA-N 0 3 248.374 2.866 20 0 BFADHN C[C@H](c1ccc(F)nc1)N1CC[C@H](C)C1 ZINC000929461118 646122346 /nfs/dbraw/zinc/12/23/46/646122346.db2.gz FFTGUCDYKXFYMG-VHSXEESVSA-N 0 3 208.280 2.624 20 0 BFADHN C[C@@H](NCCc1ccccc1O)c1ccns1 ZINC000924899651 646126531 /nfs/dbraw/zinc/12/65/31/646126531.db2.gz YCUMFCPFIRUKGM-SNVBAGLBSA-N 0 3 248.351 2.742 20 0 BFADHN CSCCC[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000925095247 646182530 /nfs/dbraw/zinc/18/25/30/646182530.db2.gz RWIUDYFRHVUJEQ-SECBINFHSA-N 0 3 243.347 2.935 20 0 BFADHN CSCCCN[C@@H]1CCCc2oc(C)nc21 ZINC000925092756 646183250 /nfs/dbraw/zinc/18/32/50/646183250.db2.gz DHFRPEHBMUUNBJ-SNVBAGLBSA-N 0 3 240.372 2.703 20 0 BFADHN Cc1cn(C)nc1CN(C1CC1)C1CCCC1 ZINC000929600241 646192765 /nfs/dbraw/zinc/19/27/65/646192765.db2.gz PSLHYKPNIIWYCR-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN CCc1ncc(CN2[C@H](C)CCC[C@H]2C)o1 ZINC000929604372 646194756 /nfs/dbraw/zinc/19/47/56/646194756.db2.gz KWWCCROHDPVMTK-GHMZBOCLSA-N 0 3 222.332 3.000 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1CO[C@@H](C)[C@H]1C ZINC000925163334 646197822 /nfs/dbraw/zinc/19/78/22/646197822.db2.gz CGNXAQSHRMZLKN-UHIISALHSA-N 0 3 237.318 2.647 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H](c3ccco3)C2)[nH]1 ZINC000929619091 646205151 /nfs/dbraw/zinc/20/51/51/646205151.db2.gz XFZFYLKTEOJUII-GFCCVEGCSA-N 0 3 245.326 2.609 20 0 BFADHN C[C@@H]([NH2+]C1CCC1)c1cc([O-])cc(F)c1 ZINC000925375057 646253950 /nfs/dbraw/zinc/25/39/50/646253950.db2.gz AOIMVOPIEIITAP-MRVPVSSYSA-N 0 3 209.264 2.734 20 0 BFADHN C[C@@H]1CCCN1C[C@H]1CC[C@H](C(F)(F)F)O1 ZINC000929756415 646289603 /nfs/dbraw/zinc/28/96/03/646289603.db2.gz YAICDUGOBJHMGO-OPRDCNLKSA-N 0 3 237.265 2.581 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@H]1C[C@H](C)n2ncnc21 ZINC000925479415 646291349 /nfs/dbraw/zinc/29/13/49/646291349.db2.gz YVEFDPLTJDHKNQ-BJDJZHNGSA-N 0 3 236.363 2.698 20 0 BFADHN CN(Cc1cnccn1)C[C@@H]1CC2CCC1CC2 ZINC000929797184 646325560 /nfs/dbraw/zinc/32/55/60/646325560.db2.gz ITGUHWPERCZMPD-RUXDESIVSA-N 0 3 245.370 2.735 20 0 BFADHN COC/C(C)=C\CN1CCC[C@H]1c1ccccn1 ZINC000929813605 646333135 /nfs/dbraw/zinc/33/31/35/646333135.db2.gz ZTXBXLOVDLCLCV-ODDCISTRSA-N 0 3 246.354 2.811 20 0 BFADHN CCc1ncc(CN(C)[C@@H](C)C2(C)CC2)o1 ZINC000929817866 646336381 /nfs/dbraw/zinc/33/63/81/646336381.db2.gz RWBIEAPMKJZTPZ-JTQLQIEISA-N 0 3 222.332 2.857 20 0 BFADHN CC(C)[C@@H]1CCN([C@@H](C)c2ncccn2)C1 ZINC000929820296 646337387 /nfs/dbraw/zinc/33/73/87/646337387.db2.gz HKARTTVWVPUSCV-NWDGAFQWSA-N 0 3 219.332 2.516 20 0 BFADHN CCC[C@@H]1CCCN(Cc2nn(C)cc2C)C1 ZINC000929823597 646338593 /nfs/dbraw/zinc/33/85/93/646338593.db2.gz ARQVMZJBQRDOSD-CYBMUJFWSA-N 0 3 235.375 2.741 20 0 BFADHN CC(C)C[C@@H](C)N[C@H](C)c1cn(C(C)C)nn1 ZINC000925602843 646354299 /nfs/dbraw/zinc/35/42/99/646354299.db2.gz XGYXEMYGWFMCQG-VXGBXAGGSA-N 0 3 238.379 2.944 20 0 BFADHN FC(F)C1CCN(CCc2ccns2)CC1 ZINC000929845692 646354945 /nfs/dbraw/zinc/35/49/45/646354945.db2.gz GUZSGOGIBCRHPR-UHFFFAOYSA-N 0 3 246.326 2.663 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H](C)C2CCC2)nn1 ZINC000925633985 646378425 /nfs/dbraw/zinc/37/84/25/646378425.db2.gz GIJBNROPWXQJAX-QWRGUYRKSA-N 0 3 236.363 2.698 20 0 BFADHN Cc1cccnc1[C@H](NCCOC1CC1)C(C)C ZINC000929981784 646383854 /nfs/dbraw/zinc/38/38/54/646383854.db2.gz QKPPOAYETQOWOU-CQSZACIVSA-N 0 3 248.370 2.856 20 0 BFADHN CCSc1ccccc1CN(C)[C@H](C)CO ZINC000930086426 646426155 /nfs/dbraw/zinc/42/61/55/646426155.db2.gz MJJKKUVPJXXFPD-LLVKDONJSA-N 0 3 239.384 2.611 20 0 BFADHN Cc1nnsc1[C@H](C)N1CC[C@@H](C)[C@H](C)C1 ZINC000930145188 646457723 /nfs/dbraw/zinc/45/77/23/646457723.db2.gz IFLCYFFECORAKK-KKZNHRDASA-N 0 3 239.388 2.885 20 0 BFADHN Cc1nnsc1[C@H](C)N1CC[C@H](C)[C@H](C)C1 ZINC000930145191 646457850 /nfs/dbraw/zinc/45/78/50/646457850.db2.gz IFLCYFFECORAKK-NGZCFLSTSA-N 0 3 239.388 2.885 20 0 BFADHN Cc1cc([C@@H](C)NCc2cc(N)ccn2)cs1 ZINC000925767475 646466067 /nfs/dbraw/zinc/46/60/67/646466067.db2.gz POSAVFXASZQRMG-SNVBAGLBSA-N 0 3 247.367 2.885 20 0 BFADHN Cc1cc([C@H](C)NCc2cc(N)ccn2)oc1C ZINC000925769119 646470558 /nfs/dbraw/zinc/47/05/58/646470558.db2.gz YNDAHVOSZVKRST-JTQLQIEISA-N 0 3 245.326 2.724 20 0 BFADHN CC[C@@H]1COC[C@@H]1NC1(c2ccccc2F)CC1 ZINC000925884421 646532371 /nfs/dbraw/zinc/53/23/71/646532371.db2.gz VORDDLMQNZBAPB-RISCZKNCSA-N 0 3 249.329 2.829 20 0 BFADHN CC[C@H]1COC[C@@H]1NC1(c2ccccc2F)CC1 ZINC000925884420 646532639 /nfs/dbraw/zinc/53/26/39/646532639.db2.gz VORDDLMQNZBAPB-FZMZJTMJSA-N 0 3 249.329 2.829 20 0 BFADHN Cc1nonc1[C@H](C)N[C@H]1CCC[C@H](C)CC1 ZINC000926243295 646603007 /nfs/dbraw/zinc/60/30/07/646603007.db2.gz KDQUOSWHLWACOM-NHCYSSNCSA-N 0 3 237.347 2.997 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NCCCCF ZINC000926464829 646651105 /nfs/dbraw/zinc/65/11/05/646651105.db2.gz XYWHNDGJLLFOGU-JTQLQIEISA-N 0 3 226.295 2.700 20 0 BFADHN Cc1nnsc1CNC1CC2(C1)CCCC2 ZINC000926475060 646654004 /nfs/dbraw/zinc/65/40/04/646654004.db2.gz WCGCPJXPCQTUQW-UHFFFAOYSA-N 0 3 237.372 2.659 20 0 BFADHN CCc1[nH]nc(Cl)c1CNCCCC1CC1 ZINC000921755372 711938932 /nfs/dbraw/zinc/93/89/32/711938932.db2.gz AJMNBYIAOVTUSS-UHFFFAOYSA-N 0 3 241.766 2.905 20 0 BFADHN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1NCC=C(Cl)Cl ZINC000701024647 712012189 /nfs/dbraw/zinc/01/21/89/712012189.db2.gz HGQFRIQRBRGGKJ-QHOPCYEYSA-N 0 3 238.158 2.707 20 0 BFADHN C[C@@H](NC[C@]1(C)CC1(C)C)c1nccn1C ZINC000701987294 712035243 /nfs/dbraw/zinc/03/52/43/712035243.db2.gz QIUOFYRHBXEOIZ-MFKMUULPSA-N 0 3 221.348 2.507 20 0 BFADHN Cc1nnc(CN[C@@H]2C[C@@H]3CC[C@@H](C3)C2)s1 ZINC000710939155 712266729 /nfs/dbraw/zinc/26/67/29/712266729.db2.gz MYYFQUTYRDHWME-JGPRNRPPSA-N 0 3 237.372 2.515 20 0 BFADHN C[C@H]1COC[C@@H]1NCc1cccc(Cl)c1F ZINC000711099492 712280031 /nfs/dbraw/zinc/28/00/31/712280031.db2.gz XIRRSNXRSQCUIO-KWQFWETISA-N 0 3 243.709 2.604 20 0 BFADHN CCS[C@H]1CC[C@@H](N(C)CCF)C1 ZINC000379397509 712381990 /nfs/dbraw/zinc/38/19/90/712381990.db2.gz OKJUVBIUVHOTIV-ZJUUUORDSA-N 0 3 205.342 2.562 20 0 BFADHN C=C/C=C\CCNCc1c(C)nn(C)c1Cl ZINC000713501676 712463588 /nfs/dbraw/zinc/46/35/88/712463588.db2.gz JPFJMSWKDIGCEL-WAYWQWQTSA-N 0 3 239.750 2.604 20 0 BFADHN C=C/C=C\CCNCc1ncc(CC)s1 ZINC000713499319 712463699 /nfs/dbraw/zinc/46/36/99/712463699.db2.gz JCYOFTDXDMRARP-WAYWQWQTSA-N 0 3 222.357 2.927 20 0 BFADHN C=C/C=C/CCN[C@H](C)c1nccs1 ZINC000713660395 712469101 /nfs/dbraw/zinc/46/91/01/712469101.db2.gz NAZIINJNAKBNTM-ORAHPGNNSA-N 0 3 208.330 2.926 20 0 BFADHN CCCn1cc(CNC2(CC)CCCC2)nn1 ZINC000714406311 712485161 /nfs/dbraw/zinc/48/51/61/712485161.db2.gz AGISWQKXPQGXGR-UHFFFAOYSA-N 0 3 236.363 2.501 20 0 BFADHN CCCn1cc(CN[C@@H](C)CC(C)(C)C)nn1 ZINC000714416942 712485637 /nfs/dbraw/zinc/48/56/37/712485637.db2.gz QAKGBOPXZHZHOY-NSHDSACASA-N 0 3 238.379 2.602 20 0 BFADHN Cc1cnc(CNCC[C@@H]2CCCS2)s1 ZINC000715623577 712528092 /nfs/dbraw/zinc/52/80/92/712528092.db2.gz QJILMUJSMHZJLE-JTQLQIEISA-N 0 3 242.413 2.827 20 0 BFADHN CCCCCC[C@H](C)N[C@H](C)c1cn(C)nn1 ZINC000715901981 712541180 /nfs/dbraw/zinc/54/11/80/712541180.db2.gz MHGNJCPVFHRQQR-NWDGAFQWSA-N 0 3 238.379 2.825 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H]2CCC[C@@H]2C)nn1 ZINC000715924562 712542458 /nfs/dbraw/zinc/54/24/58/712542458.db2.gz WLGNSDSGRUUVDU-SDDRHHMPSA-N 0 3 236.363 2.527 20 0 BFADHN CCCCCC[C@@H](C)N[C@H](C)c1cn(C)nn1 ZINC000715901986 712541215 /nfs/dbraw/zinc/54/12/15/712541215.db2.gz MHGNJCPVFHRQQR-VXGBXAGGSA-N 0 3 238.379 2.825 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1ccns1)OC ZINC000716000410 712551874 /nfs/dbraw/zinc/55/18/74/712551874.db2.gz SWDWDAMVYCHYNQ-ZJUUUORDSA-N 0 3 228.361 2.609 20 0 BFADHN CC[C@@H](C[C@@H](C)O)NCc1cccc(F)c1F ZINC000716853589 712578492 /nfs/dbraw/zinc/57/84/92/712578492.db2.gz PUDFNODHXMFJEG-KOLCDFICSA-N 0 3 243.297 2.604 20 0 BFADHN COCCCNCc1ccnc(Cl)c1Cl ZINC000379923706 712627742 /nfs/dbraw/zinc/62/77/42/712627742.db2.gz JOZXRYGMXGBPOC-UHFFFAOYSA-N 0 3 249.141 2.515 20 0 BFADHN C[C@@H](NC[C@@H]1CC(C)(C)CO1)c1ccoc1 ZINC000717393877 712653893 /nfs/dbraw/zinc/65/38/93/712653893.db2.gz JNAHXZQTMPQTAU-PWSUYJOCSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1nonc1CN[C@@H]1CC(C)(C)CC[C@@H]1C ZINC000717454924 712659006 /nfs/dbraw/zinc/65/90/06/712659006.db2.gz LKMXTLQPLATSLP-GXSJLCMTSA-N 0 3 237.347 2.682 20 0 BFADHN CCc1ccc(CN[C@@H](C)C(C)(C)OC)nc1 ZINC000720252109 712829775 /nfs/dbraw/zinc/82/97/75/712829775.db2.gz YRIXGOGGJNYQMZ-NSHDSACASA-N 0 3 236.359 2.547 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1c(C)cccc1F ZINC000381318155 710623084 /nfs/dbraw/zinc/62/30/84/710623084.db2.gz NURVBDZZPFMKIK-MNOVXSKESA-N 0 3 225.307 2.647 20 0 BFADHN CSCC[C@H](C)N[C@H](C)c1cc(C)n(C)n1 ZINC000381360886 710626944 /nfs/dbraw/zinc/62/69/44/710626944.db2.gz FQXTUFBBHHLXNO-GXSJLCMTSA-N 0 3 241.404 2.521 20 0 BFADHN CC(C)OC[C@H](C)NCc1ccc(Cl)nc1 ZINC000381346989 710625704 /nfs/dbraw/zinc/62/57/04/710625704.db2.gz ZIFPNXIJYNRCPB-JTQLQIEISA-N 0 3 242.750 2.638 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@H](C)C1(C)CC1 ZINC000381523521 710649841 /nfs/dbraw/zinc/64/98/41/710649841.db2.gz VOIFJXQLUDBBGF-SECBINFHSA-N 0 3 241.766 2.660 20 0 BFADHN Cn1cc([C@H](NC/C=C\Cl)C(C)(C)C)cn1 ZINC000381577920 710656707 /nfs/dbraw/zinc/65/67/07/710656707.db2.gz DAQDJQOARGUYHN-GZTOBOFZSA-N 0 3 241.766 2.849 20 0 BFADHN Cn1cc([C@H](NC/C=C/Cl)C(C)(C)C)cn1 ZINC000381577923 710657476 /nfs/dbraw/zinc/65/74/76/710657476.db2.gz DAQDJQOARGUYHN-QRGHLMKCSA-N 0 3 241.766 2.849 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H](C)c1c(C)noc1C ZINC000383786075 710931844 /nfs/dbraw/zinc/93/18/44/710931844.db2.gz QCSYENLNUDYTMV-XXILOJSOSA-N 0 3 238.331 2.510 20 0 BFADHN CCOC(=O)/C=C/CNC(C)(C)c1ccccc1 ZINC000385446255 711013678 /nfs/dbraw/zinc/01/36/78/711013678.db2.gz FNVXPMVZZLZSEM-DHZHZOJOSA-N 0 3 247.338 2.631 20 0 BFADHN CCN(CCC1CC1)Cc1ccc(Cl)nn1 ZINC000385624306 711016636 /nfs/dbraw/zinc/01/66/36/711016636.db2.gz AEKQPJFMCHWIAJ-UHFFFAOYSA-N 0 3 239.750 2.752 20 0 BFADHN Cl/C=C/CN[C@H](c1cccnc1)C1CC1 ZINC000390003241 711102715 /nfs/dbraw/zinc/10/27/15/711102715.db2.gz VBXJZJGJFMHEGV-QSLRECBCSA-N 0 3 222.719 2.875 20 0 BFADHN CC(C)C1(CNCc2cnn(C)c2Cl)CC1 ZINC000393518965 711162040 /nfs/dbraw/zinc/16/20/40/711162040.db2.gz MYVZBUAXOXYRHN-UHFFFAOYSA-N 0 3 241.766 2.599 20 0 BFADHN CCCCCCNCc1cnn(C)c1Cl ZINC000393911261 711178107 /nfs/dbraw/zinc/17/81/07/711178107.db2.gz UAQNEJQMAPAPNE-UHFFFAOYSA-N 0 3 229.755 2.743 20 0 BFADHN COCCCCN(C)Cc1cccc(Cl)n1 ZINC000397149244 711377610 /nfs/dbraw/zinc/37/76/10/711377610.db2.gz GNRGSRBHRGCGBU-UHFFFAOYSA-N 0 3 242.750 2.593 20 0 BFADHN Cc1cc(CNCC[C@H]2CC2(Cl)Cl)on1 ZINC000399176687 711422681 /nfs/dbraw/zinc/42/26/81/711422681.db2.gz PWPGXMQDKFNEJQ-QMMMGPOBSA-N 0 3 249.141 2.657 20 0 BFADHN Cc1nnc([C@@H](C)NC[C@@H](C)c2ccccc2)[nH]1 ZINC000392347227 711145387 /nfs/dbraw/zinc/14/53/87/711145387.db2.gz GPCBGWPEQXMELX-GHMZBOCLSA-N 0 3 244.342 2.567 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCCCC2(C)C)[n-]1 ZINC000392385732 711145551 /nfs/dbraw/zinc/14/55/51/711145551.db2.gz WZURPFIDZNGFFN-ONGXEEELSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCC[C@@H](C)CC2)[n-]1 ZINC000392390654 711147098 /nfs/dbraw/zinc/14/70/98/711147098.db2.gz UMRWDXDSHKGARF-SCVCMEIPSA-N 0 3 236.363 2.733 20 0 BFADHN CCc1cc(CNCc2ccsc2C)n[nH]1 ZINC000382333938 711474865 /nfs/dbraw/zinc/47/48/65/711474865.db2.gz XJHJLEKHIQUOMI-UHFFFAOYSA-N 0 3 235.356 2.632 20 0 BFADHN CO[C@H]1C[C@H](NCc2cc(C)cc(C)n2)C1(C)C ZINC000723605583 711525045 /nfs/dbraw/zinc/52/50/45/711525045.db2.gz BYGSEWHRSBUGRY-KBPBESRZSA-N 0 3 248.370 2.602 20 0 BFADHN C[C@@H](NCCOC(C)(C)C)c1ccns1 ZINC000715949608 711562069 /nfs/dbraw/zinc/56/20/69/711562069.db2.gz HUQBYFBGMRRZNM-SECBINFHSA-N 0 3 228.361 2.609 20 0 BFADHN c1cc(CN(CCc2ccccn2)C2CC2)co1 ZINC000934004771 711561318 /nfs/dbraw/zinc/56/13/18/711561318.db2.gz UGLICYCHAKLHNF-UHFFFAOYSA-N 0 3 242.322 2.882 20 0 BFADHN Cn1ncc(Cl)c1CNCCC1CC=CC1 ZINC000724204206 711569721 /nfs/dbraw/zinc/56/97/21/711569721.db2.gz YXTSIFJAXOTDLY-UHFFFAOYSA-N 0 3 239.750 2.519 20 0 BFADHN CCn1cc([C@@H](C)N[C@@H](C)C2(Cl)CC2)cn1 ZINC000926541421 711633128 /nfs/dbraw/zinc/63/31/28/711633128.db2.gz JENXTVJULCOKPJ-ZJUUUORDSA-N 0 3 241.766 2.714 20 0 BFADHN C[C@H](CNCc1ncc(Cl)n1C)C(C)(C)C ZINC000162800867 711680481 /nfs/dbraw/zinc/68/04/81/711680481.db2.gz WGLRFFUMNQSABJ-SECBINFHSA-N 0 3 243.782 2.845 20 0 BFADHN [O-]c1cc(Cl)cc(C[NH2+]CC2CCC2)c1 ZINC000892714311 711686094 /nfs/dbraw/zinc/68/60/94/711686094.db2.gz MPIQSTRGMSACRO-UHFFFAOYSA-N 0 3 225.719 2.935 20 0 BFADHN Cc1nc(C)c(CN2CCC(C3CC3)CC2)[nH]1 ZINC000930544189 711696414 /nfs/dbraw/zinc/69/64/14/711696414.db2.gz DOOSMGSJVWFGJK-UHFFFAOYSA-N 0 3 233.359 2.649 20 0 BFADHN CN(Cc1ccccc1CF)CC1=CCCOC1 ZINC000930997232 711706223 /nfs/dbraw/zinc/70/62/23/711706223.db2.gz JDPOWYQBJSFKHF-UHFFFAOYSA-N 0 3 249.329 2.935 20 0 BFADHN C[C@H](NC[C@H]1CC[C@@H](C2CC2)O1)c1ccoc1 ZINC000722317333 711734909 /nfs/dbraw/zinc/73/49/09/711734909.db2.gz YVCXQGYDHWIHBL-GDLCADMTSA-N 0 3 235.327 2.888 20 0 BFADHN CCC[C@H](N[C@@H]1COCC1(C)C)c1ccccn1 ZINC000894235230 711765611 /nfs/dbraw/zinc/76/56/11/711765611.db2.gz PYEXADNUQYBUJU-UONOGXRCSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ncoc1CN[C@@H]1C[C@H]1Cc1ccccc1 ZINC000894270757 711766944 /nfs/dbraw/zinc/76/69/44/711766944.db2.gz ZIAMQCZVVHOOIJ-ZIAGYGMSSA-N 0 3 242.322 2.704 20 0 BFADHN Cc1cc(C)nc(NC2CCC(C)(O)CC2)c1 ZINC000721779711 711769631 /nfs/dbraw/zinc/76/96/31/711769631.db2.gz BUECIKKONBFRNU-UHFFFAOYSA-N 0 3 234.343 2.804 20 0 BFADHN C[C@@H]1CCN(C/C=C\Cl)CCS1 ZINC000307995252 711775827 /nfs/dbraw/zinc/77/58/27/711775827.db2.gz BEZSTJYXLOLGDO-FIFYQCSRSA-N 0 3 205.754 2.566 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H](C)c1cncs1 ZINC000379657226 711806248 /nfs/dbraw/zinc/80/62/48/711806248.db2.gz MEYJDXCUIOKUMG-DJLDLDEBSA-N 0 3 228.386 2.688 20 0 BFADHN C[C@@H](NC[C@@H](O)C1CC1)c1ccccc1Cl ZINC000070856131 711897192 /nfs/dbraw/zinc/89/71/92/711897192.db2.gz RQHOZKNYAQKLCN-NOZJJQNGSA-N 0 3 239.746 2.762 20 0 BFADHN CSCCCNCc1cc(C)cnc1Cl ZINC000921755186 711938546 /nfs/dbraw/zinc/93/85/46/711938546.db2.gz QMERTUWOCVRQNH-UHFFFAOYSA-N 0 3 244.791 2.886 20 0 BFADHN Fc1ccc(CN[C@@H]2C=CCC2)cc1F ZINC000700502303 711995479 /nfs/dbraw/zinc/99/54/79/711995479.db2.gz IXYLDCQTIUVJFK-SNVBAGLBSA-N 0 3 209.239 2.773 20 0 BFADHN Cc1cn2c(CN[C@H]3C=CCC3)c(C)nc2s1 ZINC000700587160 711998285 /nfs/dbraw/zinc/99/82/85/711998285.db2.gz HBIRGYYWRFEJBW-NSHDSACASA-N 0 3 247.367 2.821 20 0 BFADHN CC1(C)C[C@]1(C)[NH2+]Cc1ccc([O-])c(F)c1 ZINC000701970122 712033744 /nfs/dbraw/zinc/03/37/44/712033744.db2.gz VGQIYKYNDSXHOR-ZDUSSCGKSA-N 0 3 223.291 2.810 20 0 BFADHN Cc1csc(CNC2(C)CC=CC2)n1 ZINC000702141720 712040052 /nfs/dbraw/zinc/04/00/52/712040052.db2.gz NOBLAOKAFLYDQL-UHFFFAOYSA-N 0 3 208.330 2.650 20 0 BFADHN Cc1ccc(N(C)C(=O)[C@H](N)CCC(C)C)cc1 ZINC000704499736 712111269 /nfs/dbraw/zinc/11/12/69/712111269.db2.gz BBODNZQVYCDRBT-CQSZACIVSA-N 0 3 248.370 2.721 20 0 BFADHN CCC[C@@H](CNCc1cnc(C)s1)OCC ZINC000705330044 712128308 /nfs/dbraw/zinc/12/83/08/712128308.db2.gz HFEFOLGRUDNBEM-NSHDSACASA-N 0 3 242.388 2.746 20 0 BFADHN CCC[C@H](CNCc1ccoc1)OCC ZINC000705313778 712128561 /nfs/dbraw/zinc/12/85/61/712128561.db2.gz DHCAAWZQJZYNGE-GFCCVEGCSA-N 0 3 211.305 2.574 20 0 BFADHN CCC[C@@H](CNCc1ccoc1)OCC ZINC000705313782 712128769 /nfs/dbraw/zinc/12/87/69/712128769.db2.gz DHCAAWZQJZYNGE-LBPRGKRZSA-N 0 3 211.305 2.574 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cc(Cl)n(C)n1 ZINC000706189120 712142038 /nfs/dbraw/zinc/14/20/38/712142038.db2.gz QXBCBFKWIZKHTB-ONGXEEELSA-N 0 3 241.766 2.742 20 0 BFADHN Fc1cc(Cl)cc(CNC2CSC2)c1 ZINC000706439953 712146766 /nfs/dbraw/zinc/14/67/66/712146766.db2.gz WCMYIROTGBKLAX-UHFFFAOYSA-N 0 3 231.723 2.684 20 0 BFADHN CSC1CC(NCc2ccc(Cl)o2)C1 ZINC000706887303 712158882 /nfs/dbraw/zinc/15/88/82/712158882.db2.gz VHZGIBLCFREWKW-UHFFFAOYSA-N 0 3 231.748 2.917 20 0 BFADHN CC(C)(C)[C@H]1CCCN(c2ccncc2CO)C1 ZINC000708475188 712181161 /nfs/dbraw/zinc/18/11/61/712181161.db2.gz NGBJEKKIBRGMMC-ZDUSSCGKSA-N 0 3 248.370 2.836 20 0 BFADHN Cc1nonc1CN[C@@H]1C[C@@H](C)CC(C)(C)C1 ZINC000708252570 712184729 /nfs/dbraw/zinc/18/47/29/712184729.db2.gz SJDKBQCGVSKVRC-MWLCHTKSSA-N 0 3 237.347 2.682 20 0 BFADHN CSC1CC(NCc2cccc(F)c2F)C1 ZINC000708288965 712185457 /nfs/dbraw/zinc/18/54/57/712185457.db2.gz HPUOGHWJPUXXSG-UHFFFAOYSA-N 0 3 243.322 2.948 20 0 BFADHN CSC1CC(NCc2ccc(Cl)cn2)C1 ZINC000708305497 712186312 /nfs/dbraw/zinc/18/63/12/712186312.db2.gz MACJCAFJOTYJMK-UHFFFAOYSA-N 0 3 242.775 2.719 20 0 BFADHN Cc1nc(CNCC(C)(C)C(C)(F)F)[nH]c1C ZINC000708969247 712204615 /nfs/dbraw/zinc/20/46/15/712204615.db2.gz DPGGLNBIJZOVIT-UHFFFAOYSA-N 0 3 245.317 2.798 20 0 BFADHN C[C@@H](Cc1cccs1)N[C@@H](C)c1cn(C)cn1 ZINC000709916747 712232662 /nfs/dbraw/zinc/23/26/62/712232662.db2.gz BJIHQJHEVFTFDB-QWRGUYRKSA-N 0 3 249.383 2.763 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC1(F)F)c1cn(C)cn1 ZINC000709916825 712232860 /nfs/dbraw/zinc/23/28/60/712232860.db2.gz BSJWBSNQZINAKC-ZJUUUORDSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1cn(C)cn1 ZINC000709921788 712233681 /nfs/dbraw/zinc/23/36/81/712233681.db2.gz ULPQCZWERYGEDY-VHSXEESVSA-N 0 3 243.301 2.649 20 0 BFADHN C[C@@H](NCCCC(C)(C)C)c1cn(C)cn1 ZINC000709977429 712236468 /nfs/dbraw/zinc/23/64/68/712236468.db2.gz VAQKUHSSVGIRHD-LLVKDONJSA-N 0 3 223.364 2.897 20 0 BFADHN Cc1ccccc1CCN[C@H](C)c1cn(C)cn1 ZINC000709975349 712236771 /nfs/dbraw/zinc/23/67/71/712236771.db2.gz HPLDSUMBYAQWCJ-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN C[C@@H](NCC(C)(C)C(C)(F)F)c1cn(C)cn1 ZINC000710035856 712243150 /nfs/dbraw/zinc/24/31/50/712243150.db2.gz WVULSEFEIQDLBB-SECBINFHSA-N 0 3 245.317 2.752 20 0 BFADHN CC[C@@H](C)CCNCc1c(Cl)c(C)nn1C ZINC000710215950 712248056 /nfs/dbraw/zinc/24/80/56/712248056.db2.gz PIUFBTXPCUCZRT-SECBINFHSA-N 0 3 243.782 2.908 20 0 BFADHN CC(C)n1ccnc1CNC[C@]12C[C@H]1CCC2 ZINC000710502243 712253840 /nfs/dbraw/zinc/25/38/40/712253840.db2.gz YCDXTPWVNMLKTD-OCCSQVGLSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@H](N[C@@H]1COC[C@@H]1C)c1cccc(F)c1F ZINC000710856142 712259487 /nfs/dbraw/zinc/25/94/87/712259487.db2.gz XXPNFTMUWMRHKE-HOTUBEGUSA-N 0 3 241.281 2.650 20 0 BFADHN CC[C@@H](N[C@@H]1CCC1(OC)OC)c1ccccc1 ZINC000710984936 712271834 /nfs/dbraw/zinc/27/18/34/712271834.db2.gz ADIXYBGDIXCMTP-ZIAGYGMSSA-N 0 3 249.354 2.879 20 0 BFADHN CCN(Cc1cc(C(=O)OC)cs1)C(C)C ZINC000711404433 712297844 /nfs/dbraw/zinc/29/78/44/712297844.db2.gz GAIFQEOALNYXBC-UHFFFAOYSA-N 0 3 241.356 2.765 20 0 BFADHN Cc1occc1CNC[C@H]1CCC2(CCC2)O1 ZINC000711428250 712299241 /nfs/dbraw/zinc/29/92/41/712299241.db2.gz VOGVPKGYLWFSHA-CYBMUJFWSA-N 0 3 235.327 2.779 20 0 BFADHN Oc1ccc(CN2CCC[C@@H](CF)C2)cc1F ZINC000711475114 712305286 /nfs/dbraw/zinc/30/52/86/712305286.db2.gz HSTZAEYTKHSOFQ-NSHDSACASA-N 0 3 241.281 2.713 20 0 BFADHN CC(C)C1(CNCc2nccn2C2CC2)CC1 ZINC000711745142 712325937 /nfs/dbraw/zinc/32/59/37/712325937.db2.gz GNDUNCGSFUCVNY-UHFFFAOYSA-N 0 3 233.359 2.744 20 0 BFADHN CC(=O)CCN1CCc2ccsc2[C@@H]1C ZINC000711837935 712332444 /nfs/dbraw/zinc/33/24/44/712332444.db2.gz YGJUITMZCYBDHH-JTQLQIEISA-N 0 3 223.341 2.646 20 0 BFADHN CCC(F)(F)CN[C@H](C1CCC1)[C@@H]1CCOC1 ZINC000711922585 712339392 /nfs/dbraw/zinc/33/93/92/712339392.db2.gz CDHYFUUXIUBFRW-VXGBXAGGSA-N 0 3 247.329 2.827 20 0 BFADHN CCC[C@@H](C)NCc1ccsc1C(=O)OC ZINC000378765253 712361198 /nfs/dbraw/zinc/36/11/98/712361198.db2.gz VJCUGVOUFYHLRG-SECBINFHSA-N 0 3 241.356 2.813 20 0 BFADHN CCCCC[C@H](C)N[C@H]1CCn2ccnc21 ZINC000379004568 712368135 /nfs/dbraw/zinc/36/81/35/712368135.db2.gz DKWJDYMBSAODOF-RYUDHWBXSA-N 0 3 221.348 2.886 20 0 BFADHN C[C@@H](CC1CCC1)NCc1cnn(C)c1Cl ZINC000712467748 712430545 /nfs/dbraw/zinc/43/05/45/712430545.db2.gz XQUJGAUXZWZBFI-VIFPVBQESA-N 0 3 241.766 2.742 20 0 BFADHN Cn1ncc(CNC[C@@]2(C)CC2(C)C)c1Cl ZINC000712453282 712430718 /nfs/dbraw/zinc/43/07/18/712430718.db2.gz VCZRALZACTWKPK-GFCCVEGCSA-N 0 3 241.766 2.599 20 0 BFADHN CCN1CC[C@H]1CNc1ccc2ccccc2n1 ZINC000712593672 712435341 /nfs/dbraw/zinc/43/53/41/712435341.db2.gz DFCGGJBINVMQGW-ZDUSSCGKSA-N 0 3 241.338 2.741 20 0 BFADHN C[C@@H](NCC[C@@H]1C[C@H]1C1CC1)c1nccn1C ZINC000712644409 712439198 /nfs/dbraw/zinc/43/91/98/712439198.db2.gz YJOZDDOCAKZISB-RTXFEEFZSA-N 0 3 233.359 2.507 20 0 BFADHN C[C@H](NCC[C@@H]1C[C@H]1C1CC1)c1nccn1C ZINC000712644410 712439385 /nfs/dbraw/zinc/43/93/85/712439385.db2.gz YJOZDDOCAKZISB-UHTWSYAYSA-N 0 3 233.359 2.507 20 0 BFADHN CCN1CC[C@@H]1CNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000712526934 712440911 /nfs/dbraw/zinc/44/09/11/712440911.db2.gz FZSMLEFJJMGRQF-BZPMIXESSA-N 0 3 248.370 2.587 20 0 BFADHN CCCn1cc(CNCC[C@@H]2CC=CCC2)nn1 ZINC000714435307 712486560 /nfs/dbraw/zinc/48/65/60/712486560.db2.gz DANSFKKNTCBJRP-CYBMUJFWSA-N 0 3 248.374 2.524 20 0 BFADHN Cc1nccnc1[C@H](C)NC1CC(C(C)C)C1 ZINC000714601313 712488178 /nfs/dbraw/zinc/48/81/78/712488178.db2.gz LAVRPCJBBNSPIN-HIFPTAJRSA-N 0 3 233.359 2.870 20 0 BFADHN CCCn1cc(CN[C@H](C)CCC(C)C)nn1 ZINC000714459655 712490559 /nfs/dbraw/zinc/49/05/59/712490559.db2.gz NTXBBEVLOAUAIX-GFCCVEGCSA-N 0 3 238.379 2.602 20 0 BFADHN CCCn1cc(CNC2(C)CCCCC2)nn1 ZINC000714511651 712493703 /nfs/dbraw/zinc/49/37/03/712493703.db2.gz BCZKHBORXWLQGD-UHFFFAOYSA-N 0 3 236.363 2.501 20 0 BFADHN CCn1cc(CNC2(CC)CCCCC2)nn1 ZINC000714915672 712500038 /nfs/dbraw/zinc/50/00/38/712500038.db2.gz GTTXEPKZDMOTHT-UHFFFAOYSA-N 0 3 236.363 2.501 20 0 BFADHN CC[C@@H](NCCOC1CC1)c1ccccc1OC ZINC000714981975 712503215 /nfs/dbraw/zinc/50/32/15/712503215.db2.gz HKYVPNDBHNUKRK-CQSZACIVSA-N 0 3 249.354 2.915 20 0 BFADHN Cc1nc(CNCC[C@H]2CCCS2)cs1 ZINC000715841994 712533098 /nfs/dbraw/zinc/53/30/98/712533098.db2.gz SGKGEGFQWOFATA-LLVKDONJSA-N 0 3 242.413 2.827 20 0 BFADHN CCCn1cc([C@H](C)NC(C2CC2)C2CC2)nn1 ZINC000715833719 712533905 /nfs/dbraw/zinc/53/39/05/712533905.db2.gz DWAGSSBJZMOZHZ-JTQLQIEISA-N 0 3 248.374 2.527 20 0 BFADHN CCCCCN[C@H](C)c1cn(CCC)nn1 ZINC000715848241 712535783 /nfs/dbraw/zinc/53/57/83/712535783.db2.gz ADOYRDUNOBQGQK-LLVKDONJSA-N 0 3 224.352 2.529 20 0 BFADHN CCCCCC[C@@H](C)N[C@@H](C)c1cn(C)nn1 ZINC000715901979 712541076 /nfs/dbraw/zinc/54/10/76/712541076.db2.gz MHGNJCPVFHRQQR-NEPJUHHUSA-N 0 3 238.379 2.825 20 0 BFADHN CCCn1cc([C@H](C)NCC2(C)CCC2)nn1 ZINC000715905227 712541678 /nfs/dbraw/zinc/54/16/78/712541678.db2.gz AFSDQGQABXLWNN-NSHDSACASA-N 0 3 236.363 2.529 20 0 BFADHN CC(C)SCCN[C@H](C)c1ccns1 ZINC000715918687 712542371 /nfs/dbraw/zinc/54/23/71/712542371.db2.gz HBRJECRBFGXOIP-SECBINFHSA-N 0 3 230.402 2.935 20 0 BFADHN CCCn1cc([C@H](C)NCCC2CCC2)nn1 ZINC000715925104 712543361 /nfs/dbraw/zinc/54/33/61/712543361.db2.gz YZKPAMBGPOYZTH-NSHDSACASA-N 0 3 236.363 2.529 20 0 BFADHN CCCn1cc([C@@H](C)N[C@H](C)CC(C)C)nn1 ZINC000715932575 712543534 /nfs/dbraw/zinc/54/35/34/712543534.db2.gz FLMWVVHVOJAKPW-VXGBXAGGSA-N 0 3 238.379 2.773 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H](C)CC(C)C)nn1 ZINC000715932573 712543593 /nfs/dbraw/zinc/54/35/93/712543593.db2.gz FLMWVVHVOJAKPW-RYUDHWBXSA-N 0 3 238.379 2.773 20 0 BFADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1ccns1 ZINC000715940000 712544149 /nfs/dbraw/zinc/54/41/49/712544149.db2.gz CBKJSWDSLLTEBI-VGMNWLOBSA-N 0 3 228.386 2.688 20 0 BFADHN C[C@H](NCC(C)(C)C1=CCCC1)c1cn(C)nn1 ZINC000715946300 712545195 /nfs/dbraw/zinc/54/51/95/712545195.db2.gz SALUYRYOYBGCKA-NSHDSACASA-N 0 3 248.374 2.602 20 0 BFADHN CC(C)CCOCCN[C@H](C)c1ccns1 ZINC000715999694 712551798 /nfs/dbraw/zinc/55/17/98/712551798.db2.gz LVVXHKLOTUAFNF-LLVKDONJSA-N 0 3 242.388 2.856 20 0 BFADHN c1coc(CNC[C@@H]2CCC3(CCC3)CO2)c1 ZINC000716291996 712553710 /nfs/dbraw/zinc/55/37/10/712553710.db2.gz NEMMKOIOFKQEBM-ZDUSSCGKSA-N 0 3 235.327 2.719 20 0 BFADHN Cn1c(Cl)cnc1CNCCCCC1CC1 ZINC000716329911 712555005 /nfs/dbraw/zinc/55/50/05/712555005.db2.gz ALTJEWUCZPJREI-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN Cc1n[nH]c(CNCc2ccc(C)cc2C)c1C ZINC000716438147 712560434 /nfs/dbraw/zinc/56/04/34/712560434.db2.gz BIMFAMRCKLJHKQ-UHFFFAOYSA-N 0 3 243.354 2.933 20 0 BFADHN CC[C@@H](NCC1(C)OCCO1)c1ccsc1 ZINC000716887808 712580537 /nfs/dbraw/zinc/58/05/37/712580537.db2.gz LNRBMDKVPJFTHV-LLVKDONJSA-N 0 3 241.356 2.552 20 0 BFADHN CC(C)n1ccnc1CNC1(C2CC2)CCC1 ZINC000717863287 712639144 /nfs/dbraw/zinc/63/91/44/712639144.db2.gz LUEXISSBJSMORV-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1nnsc1[C@H](C)N1CC[C@H](C2CC2)C1 ZINC000930140601 712642062 /nfs/dbraw/zinc/64/20/62/712642062.db2.gz WORIIAUNAANHCP-ONGXEEELSA-N 0 3 237.372 2.639 20 0 BFADHN c1cn(C2CC2)c(CNC2(C3CC3)CCC2)n1 ZINC000717837736 712686333 /nfs/dbraw/zinc/68/63/33/712686333.db2.gz ONTPINBTWIEBRQ-UHFFFAOYSA-N 0 3 231.343 2.640 20 0 BFADHN CC(C)c1cc(CN[C@@H]2CC[C@H]2C)on1 ZINC000380131952 712691390 /nfs/dbraw/zinc/69/13/90/712691390.db2.gz SZSYKXZPIAHRCZ-MWLCHTKSSA-N 0 3 208.305 2.686 20 0 BFADHN FC(F)c1ccc(CN[C@]23C[C@H]2COC3)cc1 ZINC000717997523 712735460 /nfs/dbraw/zinc/73/54/60/712735460.db2.gz NZYHUPOCJXHECD-AAEUAGOBSA-N 0 3 239.265 2.503 20 0 BFADHN CCOC[C@H](NCc1cc(C)co1)C(C)C ZINC000718054455 712739438 /nfs/dbraw/zinc/73/94/38/712739438.db2.gz VXOKJYQDRPRSQA-ZDUSSCGKSA-N 0 3 225.332 2.739 20 0 BFADHN Cc1coc(CNC[C@H]2CCC[C@@H](C)O2)c1 ZINC000718068495 712740513 /nfs/dbraw/zinc/74/05/13/712740513.db2.gz VHTXSUWBBYGLAT-VXGBXAGGSA-N 0 3 223.316 2.635 20 0 BFADHN Cn1c(Cl)cnc1CNC1CCC=CCC1 ZINC000718094699 712742113 /nfs/dbraw/zinc/74/21/13/712742113.db2.gz WLZBLRGDELBVKV-UHFFFAOYSA-N 0 3 239.750 2.662 20 0 BFADHN CC[C@@](C)(CNCc1cnc(Cl)s1)OC ZINC000718208068 712753922 /nfs/dbraw/zinc/75/39/22/712753922.db2.gz NJCMTVZUMCNXJI-JTQLQIEISA-N 0 3 248.779 2.701 20 0 BFADHN C[C@@H](NC[C@H](O)c1cccc(F)c1)c1ccco1 ZINC000037890618 712781270 /nfs/dbraw/zinc/78/12/70/712781270.db2.gz DMNYJNMGLLXSEB-MFKMUULPSA-N 0 3 249.285 2.803 20 0 BFADHN C[C@@H](NC[C@@H](O)c1cccc(F)c1)c1ccco1 ZINC000037890620 712781275 /nfs/dbraw/zinc/78/12/75/712781275.db2.gz DMNYJNMGLLXSEB-ZWNOBZJWSA-N 0 3 249.285 2.803 20 0 BFADHN C[C@H](NC[C@H](O)c1cccc(F)c1)c1ccco1 ZINC000037890617 712781600 /nfs/dbraw/zinc/78/16/00/712781600.db2.gz DMNYJNMGLLXSEB-GWCFXTLKSA-N 0 3 249.285 2.803 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1cnc(Cl)s1 ZINC000718866697 712786926 /nfs/dbraw/zinc/78/69/26/712786926.db2.gz SJQMVGXDUFWVKN-HTQZYQBOSA-N 0 3 248.804 2.780 20 0 BFADHN CC[C@@](C)(NCc1cc(C)on1)C1CC1 ZINC000720368029 712836023 /nfs/dbraw/zinc/83/60/23/712836023.db2.gz BPGVLWVMMZYTGT-GFCCVEGCSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1conc1CN[C@H]1CC2CCC1CC2 ZINC000720410248 712840729 /nfs/dbraw/zinc/84/07/29/712840729.db2.gz OZLJOUQDBTZAJU-MCIGGMRASA-N 0 3 220.316 2.651 20 0 BFADHN CC1([NH2+]Cc2ccc([O-])c(F)c2)CC(F)(F)C1 ZINC000720910658 712877079 /nfs/dbraw/zinc/87/70/79/712877079.db2.gz BJKVIBWHBXLZIH-UHFFFAOYSA-N 0 3 245.244 2.809 20 0 BFADHN CC1(CNCc2cscn2)CC(F)(F)C1 ZINC000720992838 712886415 /nfs/dbraw/zinc/88/64/15/712886415.db2.gz OAWXIKJKJGFKKN-UHFFFAOYSA-N 0 3 232.299 2.668 20 0 BFADHN C[C@@H]1CCN1CCc1ccc(F)c(F)c1 ZINC001209240375 957370582 /nfs/dbraw/zinc/37/05/82/957370582.db2.gz WXRHLEUJEAYFHE-SECBINFHSA-N 0 3 211.255 2.602 20 0 BFADHN C[C@@H]1CCCN(c2cc(CN(C)C)ccn2)[C@H]1C ZINC001165051642 721998501 /nfs/dbraw/zinc/99/85/01/721998501.db2.gz INFWLKFFCWUXCT-OLZOCXBDSA-N 0 3 247.386 2.768 20 0 BFADHN CCCC1CCN(Cc2c[nH]nc2C)CC1 ZINC000724774117 959430476 /nfs/dbraw/zinc/43/04/76/959430476.db2.gz ATCGCMKYAWAHAW-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN CCC[C@H](OC[C@@H]1COC(C)(C)N1)[C@@H](C)CC ZINC001217867797 959693290 /nfs/dbraw/zinc/69/32/90/959693290.db2.gz USVFHFFHSJKKES-XQQFMLRXSA-N 0 3 243.391 2.942 20 0 BFADHN Cc1ccc(CN(C)CC2COC2)c(Cl)c1 ZINC001140800636 960592668 /nfs/dbraw/zinc/59/26/68/960592668.db2.gz PMBDZUYWMRRAOY-UHFFFAOYSA-N 0 3 239.746 2.727 20 0 BFADHN CCOC1CCN(Cc2[nH]cc(C)c2C)CC1 ZINC001140860307 960636499 /nfs/dbraw/zinc/63/64/99/960636499.db2.gz PBAGUBZIFSBYMW-UHFFFAOYSA-N 0 3 236.359 2.632 20 0 BFADHN CCCCc1nc(CN(C)CC2CC2)c[nH]1 ZINC001141067126 960850603 /nfs/dbraw/zinc/85/06/03/960850603.db2.gz SRRGVKLNBQTIFQ-UHFFFAOYSA-N 0 3 221.348 2.594 20 0 BFADHN CCN(Cc1cnc(C(F)(F)F)nc1)C(C)C ZINC001141450943 961069355 /nfs/dbraw/zinc/06/93/55/961069355.db2.gz BSXAKQBVGDEURC-UHFFFAOYSA-N 0 3 247.264 2.726 20 0 BFADHN CC(C)N(C)Cc1[nH]cnc1C(C)(C)C ZINC001142209815 961344228 /nfs/dbraw/zinc/34/42/28/961344228.db2.gz VMQMESXIRLNQRX-UHFFFAOYSA-N 0 3 209.337 2.547 20 0 BFADHN C[C@H]1CCCN1Cc1[nH]cnc1C(C)(C)C ZINC001142209440 961351172 /nfs/dbraw/zinc/35/11/72/961351172.db2.gz NVNHVRLTGBFKCX-JTQLQIEISA-N 0 3 221.348 2.692 20 0 BFADHN COc1cc(OC(F)(F)F)ccc1NC=N ZINC001167868133 961360115 /nfs/dbraw/zinc/36/01/15/961360115.db2.gz SLJIPYISZCEZIS-UHFFFAOYSA-N 0 3 234.177 2.613 20 0 BFADHN Fc1ccc(CNCCOC2CCC2)cc1F ZINC000690690892 961555712 /nfs/dbraw/zinc/55/57/12/961555712.db2.gz VATKCPGQKKYJEC-UHFFFAOYSA-N 0 3 241.281 2.624 20 0 BFADHN C[C@H]([NH2+][C@H]1C[C@@H]1C)c1cc([O-])cc(F)c1 ZINC000924947609 962585584 /nfs/dbraw/zinc/58/55/84/962585584.db2.gz DEVOTMZGCIMSCT-UBJUHAIHSA-N 0 3 209.264 2.590 20 0 BFADHN Cc1ncc(CNCC(C)(C)C(C)(F)F)o1 ZINC000697127419 963745641 /nfs/dbraw/zinc/74/56/41/963745641.db2.gz GALQTYMWOWXLNT-UHFFFAOYSA-N 0 3 232.274 2.754 20 0 BFADHN CCSC[C@H](C)N(C)Cc1cccnc1C ZINC000093739570 964252692 /nfs/dbraw/zinc/25/26/92/964252692.db2.gz QWYREJHDGIMXKB-NSHDSACASA-N 0 3 238.400 2.963 20 0 BFADHN C[C@H]1CCN1Cc1cc2cccnc2s1 ZINC001233346088 965491443 /nfs/dbraw/zinc/49/14/43/965491443.db2.gz QOPHVPWXWUJNTG-VIFPVBQESA-N 0 3 218.325 2.891 20 0 BFADHN CCc1onc(C)c1CN(CC)CC1CC1 ZINC000929624339 965524969 /nfs/dbraw/zinc/52/49/69/965524969.db2.gz JYICTDSDOIVJOR-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN CN(CCF)[C@@H]1CCc2cc(F)ccc2C1 ZINC001168448557 965734843 /nfs/dbraw/zinc/73/48/43/965734843.db2.gz NTFYLBNBASQKBW-CYBMUJFWSA-N 0 3 225.282 2.584 20 0 BFADHN C[C@H]1C[C@@H](CO)N(Cc2ccc(Cl)cc2)C1 ZINC001203919038 966820759 /nfs/dbraw/zinc/82/07/59/966820759.db2.gz PHEJYMJRLNPLEM-GWCFXTLKSA-N 0 3 239.746 2.543 20 0 BFADHN c1cc(CN2CC[C@@]3(CCCO3)C2)cs1 ZINC001204034775 966859934 /nfs/dbraw/zinc/85/99/34/966859934.db2.gz NUXFXZDTYRBYCB-LBPRGKRZSA-N 0 3 223.341 2.503 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3C[C@@H]32)oc1C ZINC001204538342 967040539 /nfs/dbraw/zinc/04/05/39/967040539.db2.gz APBJOBKSLCLXPB-YPMHNXCESA-N 0 3 205.301 2.881 20 0 BFADHN c1nocc1CN1CCC2(CCCC2)CC1 ZINC001205677541 967768947 /nfs/dbraw/zinc/76/89/47/967768947.db2.gz LLRHUSWTHDBRIK-UHFFFAOYSA-N 0 3 220.316 2.831 20 0 BFADHN CC[C@@](C)(NCc1nnc(C)s1)C1CC1 ZINC000698374884 968060287 /nfs/dbraw/zinc/06/02/87/968060287.db2.gz FDXLTLOFOIQVGR-LLVKDONJSA-N 0 3 225.361 2.515 20 0 BFADHN COc1cnccc1CN(C)C[C@@H]1CC1(C)C ZINC001206480433 968331717 /nfs/dbraw/zinc/33/17/17/968331717.db2.gz WXKXRXGQTHCZOM-LBPRGKRZSA-N 0 3 234.343 2.568 20 0 BFADHN CCc1nccc(CN2CCC(CC)CC2)n1 ZINC001207084283 968618522 /nfs/dbraw/zinc/61/85/22/968618522.db2.gz PEFFWSNANQYZIG-UHFFFAOYSA-N 0 3 233.359 2.661 20 0 BFADHN CCCC1CCN(Cc2ccnc(C)n2)CC1 ZINC001207165818 968674799 /nfs/dbraw/zinc/67/47/99/968674799.db2.gz ISQUYRPYDAYHOB-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN C[C@@]1(F)CCN(CC2CCSCC2)C[C@@H]1F ZINC001207696597 968864259 /nfs/dbraw/zinc/86/42/59/968864259.db2.gz IZDSYUYSGPLLOY-NWDGAFQWSA-N 0 3 249.370 2.902 20 0 BFADHN CC/C=C\CCCN1C[C@@H](C)O[C@H](C)C1 ZINC001208131694 969031636 /nfs/dbraw/zinc/03/16/36/969031636.db2.gz NDSDWBFXYQLTMY-NTQVKLLNSA-N 0 3 211.349 2.842 20 0 BFADHN CC/C=C\CCCN(C)Cc1cc(C)nn1C ZINC001208132725 969032895 /nfs/dbraw/zinc/03/28/95/969032895.db2.gz OJPDGRLVQKENIQ-SREVYHEPSA-N 0 3 235.375 2.907 20 0 BFADHN Clc1cnc2c(c1)CN(CCC1CC1)CC2 ZINC001208688574 969195804 /nfs/dbraw/zinc/19/58/04/969195804.db2.gz NLQWQURYPHENGT-UHFFFAOYSA-N 0 3 236.746 2.893 20 0 BFADHN Cc1cnc(Cl)c(NC2=CCN(C)CC2)c1 ZINC001208720199 969204330 /nfs/dbraw/zinc/20/43/30/969204330.db2.gz YSTUXPVMSYOSHR-UHFFFAOYSA-N 0 3 237.734 2.675 20 0 BFADHN Cc1ncc(CN(C)CCCC(C)C)cn1 ZINC001208736148 969227871 /nfs/dbraw/zinc/22/78/71/969227871.db2.gz CXLPUYXTINRQMC-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN CN(C)Cc1ccc(NCCC2CCC2)nc1 ZINC001168887286 969263727 /nfs/dbraw/zinc/26/37/27/969263727.db2.gz YOMXKIKTGBJDPX-UHFFFAOYSA-N 0 3 233.359 2.745 20 0 BFADHN CC(C)O[C@H]1CCN(C[C@H](C)C(F)(F)F)C1 ZINC001208892243 969279695 /nfs/dbraw/zinc/27/96/95/969279695.db2.gz PNKSWWRMNXQNPJ-UWVGGRQHSA-N 0 3 239.281 2.684 20 0 BFADHN CCC(=O)C(C)(C)CN1CC[C@@H](C)[C@H](F)C1 ZINC001208917189 969302689 /nfs/dbraw/zinc/30/26/89/969302689.db2.gz DWLCWYIDAZRFPV-GHMZBOCLSA-N 0 3 229.339 2.672 20 0 BFADHN Cc1n[nH]cc1CN1CC[C@@H](C)C(F)(F)CC1 ZINC001209555160 969541155 /nfs/dbraw/zinc/54/11/55/969541155.db2.gz VHMRVZGUKGTBPZ-SECBINFHSA-N 0 3 243.301 2.585 20 0 BFADHN CC1(C)CN(C2CCC(F)(F)CC2)CCO1 ZINC001254217335 969541213 /nfs/dbraw/zinc/54/12/13/969541213.db2.gz USLXWGZIUWIPPC-UHFFFAOYSA-N 0 3 233.302 2.675 20 0 BFADHN C[C@]1(F)CCN(C2CCSCC2)C[C@@H]1F ZINC001255087731 969916289 /nfs/dbraw/zinc/91/62/89/969916289.db2.gz HOQPZRNQWVRANX-QWRGUYRKSA-N 0 3 235.343 2.654 20 0 BFADHN CCCC[C@H](C)NCc1cc(OCC)ncn1 ZINC001255318888 970092730 /nfs/dbraw/zinc/09/27/30/970092730.db2.gz CNVZCAPONATUCE-NSHDSACASA-N 0 3 237.347 2.544 20 0 BFADHN CC(C)CCC[C@H](C)N1C[C@H](F)C[C@@H](CO)C1 ZINC001170078541 970184209 /nfs/dbraw/zinc/18/42/09/970184209.db2.gz UFEDXFODNKRLIK-BFHYXJOUSA-N 0 3 245.382 2.854 20 0 BFADHN CC(C)CCC[C@@H](C)N1C[C@H](F)C[C@H]1CO ZINC001170077327 970179959 /nfs/dbraw/zinc/17/99/59/970179959.db2.gz IYDDOLBUTQKUMO-UPJWGTAASA-N 0 3 231.355 2.606 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ncc(F)cc2F)C1 ZINC001170101824 970296899 /nfs/dbraw/zinc/29/68/99/970296899.db2.gz PUYMGPVLQSRULR-SCZZXKLOSA-N 0 3 226.270 2.638 20 0 BFADHN C[C@@H](CC(F)(F)F)N1CC[C@]2(CCCO2)C1 ZINC001255645113 970420351 /nfs/dbraw/zinc/42/03/51/970420351.db2.gz ALNSDOWZPBUTJH-VHSXEESVSA-N 0 3 237.265 2.582 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N(C)C1CCCCC1 ZINC000400946719 970650017 /nfs/dbraw/zinc/65/00/17/970650017.db2.gz HRJVSUBNNKGXJE-WCQYABFASA-N 0 3 240.391 2.541 20 0 BFADHN CC(C)CC[C@H](C)N[C@@H](CO)c1ccccn1 ZINC001256357121 970758907 /nfs/dbraw/zinc/75/89/07/970758907.db2.gz BKDSPSLCONQYLL-JSGCOSHPSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@H]1CC[C@H](Nc2ccc(CN)nc2)C1 ZINC001173274894 975158067 /nfs/dbraw/zinc/15/80/67/975158067.db2.gz QQYADQRUQZBGOE-QWRGUYRKSA-N 0 3 219.332 2.531 20 0 BFADHN CCCN(CC(=O)OCC)[C@@H]1CC[C@@H](CC)C1 ZINC001173293004 975169247 /nfs/dbraw/zinc/16/92/47/975169247.db2.gz JGHWWANMPNLTQE-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN CCSC[C@H](C)N1CCC[C@](C)(F)C1 ZINC001173300652 975196525 /nfs/dbraw/zinc/19/65/25/975196525.db2.gz MRNQPWHHPOJSMQ-QWRGUYRKSA-N 0 3 219.369 2.952 20 0 BFADHN CC(C)n1ccnc1CN[C@@H]1CCC[C@H](F)C1 ZINC001333845943 976123156 /nfs/dbraw/zinc/12/31/56/976123156.db2.gz GXOVSECAHSKAJS-NWDGAFQWSA-N 0 3 239.338 2.834 20 0 BFADHN CC(=O)c1cccc(C)c1O[C@@H](C)CN(C)C ZINC001230318735 977174281 /nfs/dbraw/zinc/17/42/81/977174281.db2.gz LPCHNXGQQCBRKD-NSHDSACASA-N 0 3 235.327 2.527 20 0 BFADHN Cc1cc(C)cc(NC2=CCCN(C)C2)c1 ZINC001175576291 977576555 /nfs/dbraw/zinc/57/65/55/977576555.db2.gz GZULUMKIZMVBOA-UHFFFAOYSA-N 0 3 216.328 2.935 20 0 BFADHN C[N@H+](Cc1cncc([O-])c1)C1CCCCC1 ZINC001232039867 977868466 /nfs/dbraw/zinc/86/84/66/977868466.db2.gz NHYHJKUCXHBIHY-UHFFFAOYSA-N 0 3 220.316 2.552 20 0 BFADHN C[N@@H+](Cc1cncc([O-])c1)C1CCCCC1 ZINC001232039867 977868469 /nfs/dbraw/zinc/86/84/69/977868469.db2.gz NHYHJKUCXHBIHY-UHFFFAOYSA-N 0 3 220.316 2.552 20 0 BFADHN C[C@@H]1CCN(Cc2ccns2)[C@@H](C)C1 ZINC001232191847 977920224 /nfs/dbraw/zinc/92/02/24/977920224.db2.gz JAOSOLJNFVOMQY-ZJUUUORDSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cnsc2)C1 ZINC001232228951 977960206 /nfs/dbraw/zinc/96/02/06/977960206.db2.gz CHGICPVMVGYHRF-AOOOYVTPSA-N 0 3 210.346 2.621 20 0 BFADHN CC1CC(N(C)Cc2cccc(CF)n2)C1 ZINC001232535821 978163842 /nfs/dbraw/zinc/16/38/42/978163842.db2.gz MHSWMGCHTBNIDU-UHFFFAOYSA-N 0 3 222.307 2.781 20 0 BFADHN CC1CN(Cc2cc3cccnc3s2)C1 ZINC001233345493 978569784 /nfs/dbraw/zinc/56/97/84/978569784.db2.gz WOULYJZVCOFDNT-UHFFFAOYSA-N 0 3 218.325 2.748 20 0 BFADHN Fc1ccc(CCN2CCC(F)CC2)c(F)c1 ZINC001338289407 978653846 /nfs/dbraw/zinc/65/38/46/978653846.db2.gz PJALBJYWSUQHAC-UHFFFAOYSA-N 0 3 243.272 2.941 20 0 BFADHN Cc1nc(F)ccc1CN1CCC[C@@H]2C[C@@H]21 ZINC001235820377 979160909 /nfs/dbraw/zinc/16/09/09/979160909.db2.gz NBNZDPSPGLOLGM-PWSUYJOCSA-N 0 3 220.291 2.513 20 0 BFADHN CN[C@H]1CCN1CCCc1cccc(Cl)c1 ZINC001236168113 979420450 /nfs/dbraw/zinc/42/04/50/979420450.db2.gz SYJANIMUPUBDJM-CYBMUJFWSA-N 0 3 238.762 2.524 20 0 BFADHN Cc1nc(C)c(CN(C)CC2CCC2)o1 ZINC001236591868 979672779 /nfs/dbraw/zinc/67/27/79/979672779.db2.gz TYWYYGUKBPPWIG-UHFFFAOYSA-N 0 3 208.305 2.523 20 0 BFADHN CCCCC1(NC(=O)[C@H](N)CCC(C)C)CC1 ZINC001342169138 979689501 /nfs/dbraw/zinc/68/95/01/979689501.db2.gz KMBLPAQHRWJZQX-GFCCVEGCSA-N 0 3 240.391 2.589 20 0 BFADHN F[C@H]1CCC[N@H+](Cc2occc2[S-])CC1 ZINC001237183304 979834611 /nfs/dbraw/zinc/83/46/11/979834611.db2.gz ZIAQBTFUMZUFIA-VIFPVBQESA-N 0 3 229.320 2.892 20 0 BFADHN F[C@H]1CCC[N@@H+](Cc2occc2[S-])CC1 ZINC001237183304 979834621 /nfs/dbraw/zinc/83/46/21/979834621.db2.gz ZIAQBTFUMZUFIA-VIFPVBQESA-N 0 3 229.320 2.892 20 0 BFADHN CCCOC1CCN(Cc2ccco2)CC1 ZINC001203278441 980509547 /nfs/dbraw/zinc/50/95/47/980509547.db2.gz PTNICXDPLJBATP-UHFFFAOYSA-N 0 3 223.316 2.671 20 0 BFADHN C[C@H]([NH2+]C1(C)CC1)c1cccc([O-])c1F ZINC001352084513 982460663 /nfs/dbraw/zinc/46/06/63/982460663.db2.gz PAAVHBVZUCXEEG-QMMMGPOBSA-N 0 3 209.264 2.734 20 0 BFADHN Cc1cccc(CN2C[C@@H](C)[C@@H](F)C2)c1 ZINC001203887753 982630199 /nfs/dbraw/zinc/63/01/99/982630199.db2.gz IKVLGGTZCSRDMN-YPMHNXCESA-N 0 3 207.292 2.785 20 0 BFADHN Cc1nc2c(s1)CN(CC(C)(C)C)CC2 ZINC001201440570 983243743 /nfs/dbraw/zinc/24/37/43/983243743.db2.gz SVNNCCMECJXBOG-UHFFFAOYSA-N 0 3 224.373 2.856 20 0 BFADHN Cn1ccc(CNCC(C)(C)C2CCCC2)n1 ZINC001201490843 983258046 /nfs/dbraw/zinc/25/80/46/983258046.db2.gz FGAYXZCQICRWKQ-UHFFFAOYSA-N 0 3 235.375 2.726 20 0 BFADHN CCC[C@H](C)CN(C)[C@H](C(=O)OC)C(C)C ZINC001201553258 983311055 /nfs/dbraw/zinc/31/10/55/983311055.db2.gz JOKQVVQWUIONIW-RYUDHWBXSA-N 0 3 229.364 2.552 20 0 BFADHN COC[C@@H](NCC1CC(C)C1)c1ccc(C)o1 ZINC001354594773 983326271 /nfs/dbraw/zinc/32/62/71/983326271.db2.gz NNFCEYZNCUWMQG-KGPNIALWSA-N 0 3 237.343 2.911 20 0 BFADHN CCC[C@@H](O)CNCc1ccc(F)c(C)c1F ZINC001252804569 983896618 /nfs/dbraw/zinc/89/66/18/983896618.db2.gz RFFMGYHICCRZJH-LLVKDONJSA-N 0 3 243.297 2.524 20 0 BFADHN CCOCC[C@@H](C)N1CC2(C1)CC(F)(F)C2 ZINC001172423129 974696534 /nfs/dbraw/zinc/69/65/34/974696534.db2.gz JOLLWAFBGWBYEQ-SNVBAGLBSA-N 0 3 233.302 2.533 20 0 BFADHN CC(C)OCC[C@H](C)NCc1ncccc1F ZINC001172432596 974715823 /nfs/dbraw/zinc/71/58/23/974715823.db2.gz BAFKNEXTVQDEGQ-NSHDSACASA-N 0 3 240.322 2.514 20 0 BFADHN Cc1ccc(F)cc1O[C@H]1CCCN(C)C1 ZINC001225987580 974750929 /nfs/dbraw/zinc/75/09/29/974750929.db2.gz FIDMTVRUQPOMKI-LBPRGKRZSA-N 0 3 223.291 2.607 20 0 BFADHN CC[C@H](CC(C)C)n1cnc2c1CNCC2 ZINC001172397417 974756290 /nfs/dbraw/zinc/75/62/90/974756290.db2.gz PWJUUCLLBSZTNA-LLVKDONJSA-N 0 3 221.348 2.526 20 0 BFADHN CC(C)CC[C@H](C)N1CC(N2CC[C@H](F)C2)C1 ZINC001256368742 970780857 /nfs/dbraw/zinc/78/08/57/970780857.db2.gz DEZQNSSGZLUOQY-STQMWFEESA-N 0 3 242.382 2.539 20 0 BFADHN CC(C)CC[C@H](C)N1CCc2nn(C)cc2C1 ZINC001256370819 970784398 /nfs/dbraw/zinc/78/43/98/970784398.db2.gz KSBYERANSHUSTE-LBPRGKRZSA-N 0 3 235.375 2.603 20 0 BFADHN CCN([C@@H](C)CCC(C)C)[C@@H](C)C(=O)OC ZINC001256374850 970791915 /nfs/dbraw/zinc/79/19/15/970791915.db2.gz PAPPDPOXIUGDBW-RYUDHWBXSA-N 0 3 229.364 2.695 20 0 BFADHN C[C@H](Cc1ccccn1)NCOc1ccccc1 ZINC001256402209 970810190 /nfs/dbraw/zinc/81/01/90/970810190.db2.gz FNIDLCJPLVOCMZ-CYBMUJFWSA-N 0 3 242.322 2.639 20 0 BFADHN Cc1cc(OC(C)C)ncc1Nc1nccn1C ZINC001215770082 970838878 /nfs/dbraw/zinc/83/88/78/970838878.db2.gz UCZIACBYZZIKRB-UHFFFAOYSA-N 0 3 246.314 2.654 20 0 BFADHN CCOC1CCC(N2Cc3cccnc3C2)CC1 ZINC001256437230 970841992 /nfs/dbraw/zinc/84/19/92/970841992.db2.gz XMMJQLUCUALEAI-UHFFFAOYSA-N 0 3 246.354 2.745 20 0 BFADHN CCc1cc(Nc2ccncc2C)ccc1CO ZINC001216101128 970939104 /nfs/dbraw/zinc/93/91/04/970939104.db2.gz BVASCKVHBPNYMM-UHFFFAOYSA-N 0 3 242.322 2.610 20 0 BFADHN Cc1ncc(Nc2cc(C)c(CO)c(C)c2)n1C ZINC001216123083 970947734 /nfs/dbraw/zinc/94/77/34/970947734.db2.gz HCFIFVHFJJBJFT-UHFFFAOYSA-N 0 3 245.326 2.581 20 0 BFADHN C[C@@H](Cc1ccncc1)N(C)C1CC(F)(F)C1 ZINC001256734787 970954623 /nfs/dbraw/zinc/95/46/23/970954623.db2.gz CAFDYPZMXKWJFL-JTQLQIEISA-N 0 3 240.297 2.742 20 0 BFADHN C[C@H](Cc1ccncc1)N1CCC[C@](C)(F)C1 ZINC001256737022 970969094 /nfs/dbraw/zinc/96/90/94/970969094.db2.gz WHMHWKLWVJLQNI-OCCSQVGLSA-N 0 3 236.334 2.837 20 0 BFADHN CCOc1cc(F)cc(C2=CNCCC2)c1 ZINC001243950599 971047318 /nfs/dbraw/zinc/04/73/18/971047318.db2.gz HRCOLYVMZICQSC-UHFFFAOYSA-N 0 3 221.275 2.949 20 0 BFADHN COc1cc(C)c(C)cc1Nc1nccn1C ZINC001216373075 971064046 /nfs/dbraw/zinc/06/40/46/971064046.db2.gz YEITUVBGPBPNLE-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN C[C@@H]1CSC[C@H]1NCC=C(Cl)Cl ZINC000403793638 971065651 /nfs/dbraw/zinc/06/56/51/971065651.db2.gz CCCRCHFTSVAOPV-RNFRBKRXSA-N 0 3 226.172 2.647 20 0 BFADHN CCC[C@@H](CC)NCc1nc(C)oc1C ZINC001257243742 971137028 /nfs/dbraw/zinc/13/70/28/971137028.db2.gz DLEGBQLXORRWGS-LLVKDONJSA-N 0 3 210.321 2.960 20 0 BFADHN CCC[C@H](CC)N1CC2(C1)CCCCO2 ZINC001257258789 971157920 /nfs/dbraw/zinc/15/79/20/971157920.db2.gz GMZHLALERIAHJT-LBPRGKRZSA-N 0 3 211.349 2.820 20 0 BFADHN CCC[C@H](CC)N1CCn2cccc2C1 ZINC001257264110 971161051 /nfs/dbraw/zinc/16/10/51/971161051.db2.gz HUDNVARUXNUCQX-LBPRGKRZSA-N 0 3 206.333 2.882 20 0 BFADHN CCCCCC[C@H](C)NCc1cn(CC)nn1 ZINC000404462331 971166235 /nfs/dbraw/zinc/16/62/35/971166235.db2.gz RYVQXZKRMPVILR-LBPRGKRZSA-N 0 3 238.379 2.747 20 0 BFADHN CCC(CC)NCC(=O)c1cc(F)ccc1F ZINC001257291805 971178295 /nfs/dbraw/zinc/17/82/95/971178295.db2.gz WFNCVYREXQWBHX-UHFFFAOYSA-N 0 3 241.281 2.926 20 0 BFADHN CCC[C@H](C)NCc1cn(C2CCC2)cn1 ZINC001257314161 971208260 /nfs/dbraw/zinc/20/82/60/971208260.db2.gz SDEYOBYYZCKNIX-NSHDSACASA-N 0 3 221.348 2.886 20 0 BFADHN CCC[C@@H](C)NCc1cc(OC(C)C)ncn1 ZINC001257312302 971206119 /nfs/dbraw/zinc/20/61/19/971206119.db2.gz BJJLVKUGQFRGKP-LLVKDONJSA-N 0 3 237.347 2.542 20 0 BFADHN CCC[C@H](C)NCc1cc(OC(C)C)ncn1 ZINC001257312303 971207136 /nfs/dbraw/zinc/20/71/36/971207136.db2.gz BJJLVKUGQFRGKP-NSHDSACASA-N 0 3 237.347 2.542 20 0 BFADHN CCC[C@H](C)N1CCc2ncccc2C1 ZINC001257320103 971217372 /nfs/dbraw/zinc/21/73/72/971217372.db2.gz FHWFGUDCUPEXRE-NSHDSACASA-N 0 3 204.317 2.628 20 0 BFADHN Cc1cc(N)ccc1-c1ccc2[nH]c(N)nc2c1 ZINC001244370158 971224681 /nfs/dbraw/zinc/22/46/81/971224681.db2.gz ZVIBKTKBVDFELB-UHFFFAOYSA-N 0 3 238.294 2.703 20 0 BFADHN Cc1cc(N)ccc1-c1ccc2nc(N)[nH]c2c1 ZINC001244370158 971224689 /nfs/dbraw/zinc/22/46/89/971224689.db2.gz ZVIBKTKBVDFELB-UHFFFAOYSA-N 0 3 238.294 2.703 20 0 BFADHN c1nc(CNC2CCCC2)cn1C1CCC1 ZINC001257346442 971259138 /nfs/dbraw/zinc/25/91/38/971259138.db2.gz IBRPXMNOJBLUEZ-UHFFFAOYSA-N 0 3 219.332 2.640 20 0 BFADHN CCCCCC[C@@H](C)N1C[C@H]2C[C@@H](C1)O2 ZINC001257367595 971284527 /nfs/dbraw/zinc/28/45/27/971284527.db2.gz DGOYLRBLJCMBCI-UPJWGTAASA-N 0 3 211.349 2.818 20 0 BFADHN C[C@@H]1OC[C@H](NCc2cc3ccccc3o2)[C@@H]1C ZINC000405693719 971292657 /nfs/dbraw/zinc/29/26/57/971292657.db2.gz XDNOGWHWZDKQGJ-SUNKGSAMSA-N 0 3 245.322 2.946 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CO[C@H](C)[C@@H]2C)o1 ZINC000405710838 971295271 /nfs/dbraw/zinc/29/52/71/971295271.db2.gz BKXVNZZAGNUINI-QJRXBVQLSA-N 0 3 249.354 2.916 20 0 BFADHN CC[C@H](C)N[C@@H]1CCc2ccccc2C1=O ZINC001257435518 971346617 /nfs/dbraw/zinc/34/66/17/971346617.db2.gz GAEXZIWWPORGJG-GXFFZTMASA-N 0 3 217.312 2.572 20 0 BFADHN CC[C@H](C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001257443620 971356994 /nfs/dbraw/zinc/35/69/94/971356994.db2.gz OYRAOIJQYRJURN-ZETCQYMHSA-N 0 3 247.264 2.519 20 0 BFADHN FC1C[C@@H]2COC[C@H](C1)N2C1CCCCC1 ZINC001257459468 971383293 /nfs/dbraw/zinc/38/32/93/971383293.db2.gz UPXRBWIVSOPTIZ-VGPLMAKISA-N 0 3 227.323 2.520 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N1C[C@H](F)C[C@H]1CO ZINC001257477901 971401432 /nfs/dbraw/zinc/40/14/32/971401432.db2.gz PKLTYLHUGSCDRG-FQUUOJAGSA-N 0 3 245.382 2.996 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N[C@@H]1COC[C@@H]1F ZINC001257478855 971404921 /nfs/dbraw/zinc/40/49/21/971404921.db2.gz XNZWFLFDGCAHTC-QNWHQSFQSA-N 0 3 231.355 2.918 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N(C)CC(=O)N(C)C ZINC001257479806 971405943 /nfs/dbraw/zinc/40/59/43/971405943.db2.gz AIQWOWLEMFDVKY-STQMWFEESA-N 0 3 242.407 2.611 20 0 BFADHN CCCCC[C@@H](C)N1CC[C@](F)(C(=O)OC)C1 ZINC001257508522 971430182 /nfs/dbraw/zinc/43/01/82/971430182.db2.gz HPFOKAZGELSITH-DGCLKSJQSA-N 0 3 245.338 2.542 20 0 BFADHN CCCCC[C@@H](C)n1ncc2c1CCNC2 ZINC001257512919 971433389 /nfs/dbraw/zinc/43/33/89/971433389.db2.gz QHZZWTOBQLWXMI-LLVKDONJSA-N 0 3 221.348 2.670 20 0 BFADHN CCCCC[C@H](C)N(C1CC1)C1COC1 ZINC001257516482 971439076 /nfs/dbraw/zinc/43/90/76/971439076.db2.gz LEXZTFSSAISRDP-NSHDSACASA-N 0 3 211.349 2.818 20 0 BFADHN CCCCC[C@@H](C)N(C)Cc1cncnc1 ZINC001257516628 971441671 /nfs/dbraw/zinc/44/16/71/971441671.db2.gz CANXZJKKCFXPFY-GFCCVEGCSA-N 0 3 221.348 2.877 20 0 BFADHN CCCCC[C@H](C)N1CCN(CCF)CC1 ZINC001257517054 971442221 /nfs/dbraw/zinc/44/22/21/971442221.db2.gz QNXWNSQFUNKSKR-ZDUSSCGKSA-N 0 3 230.371 2.542 20 0 BFADHN CCCCC[C@H](C)N(C)Cc1cnccn1 ZINC001257517050 971442785 /nfs/dbraw/zinc/44/27/85/971442785.db2.gz QLSQDWMFQDMBCF-LBPRGKRZSA-N 0 3 221.348 2.877 20 0 BFADHN CCCCC[C@@H](C)N1CCN(C(C)=O)C[C@@H]1C ZINC001257519431 971446935 /nfs/dbraw/zinc/44/69/35/971446935.db2.gz HWQVQYJARAFMPQ-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H](C[C@@H](C)CC)OC[C@H]1COC(C)(C)N1 ZINC001217867789 971460838 /nfs/dbraw/zinc/46/08/38/971460838.db2.gz UKDMJWJKHLKKBK-RWMBFGLXSA-N 0 3 243.391 2.942 20 0 BFADHN CC[C@H](OC[C@@H]1COC(C)(C)N1)C(C)(C)C ZINC001217865283 971457865 /nfs/dbraw/zinc/45/78/65/971457865.db2.gz KBHOOZXNJPTXPW-MNOVXSKESA-N 0 3 229.364 2.552 20 0 BFADHN CC[C@H](C)C[C@H](CC)OC[C@H]1COC(C)(C)N1 ZINC001217867788 971461789 /nfs/dbraw/zinc/46/17/89/971461789.db2.gz UKDMJWJKHLKKBK-AVGNSLFASA-N 0 3 243.391 2.942 20 0 BFADHN Cc1ccccc1[C@H](C)OC[C@@H]1COC(C)(C)N1 ZINC001217870553 971464456 /nfs/dbraw/zinc/46/44/56/971464456.db2.gz LRMQEYQZXVCEOG-QWHCGFSZSA-N 0 3 249.354 2.797 20 0 BFADHN Cc1ccccc1[C@@H](C)OC[C@@H]1COC(C)(C)N1 ZINC001217870551 971465051 /nfs/dbraw/zinc/46/50/51/971465051.db2.gz LRMQEYQZXVCEOG-CHWSQXEVSA-N 0 3 249.354 2.797 20 0 BFADHN Fc1cc(F)c2c(c1)CC[C@@H](N[C@@H]1C[C@H]1F)C2 ZINC001170664335 971469334 /nfs/dbraw/zinc/46/93/34/971469334.db2.gz IUSBCTXALIXBAP-OASPWFOLSA-N 0 3 241.256 2.522 20 0 BFADHN CCCCC[C@H](CCC)OC[C@@H]1CNCCO1 ZINC001217894981 971496328 /nfs/dbraw/zinc/49/63/28/971496328.db2.gz HVUYTSCCTVGZQS-KBPBESRZSA-N 0 3 243.391 2.740 20 0 BFADHN Fc1cc(F)c2c(c1)CC[C@H](N1CCC1)C2 ZINC001170684874 971512223 /nfs/dbraw/zinc/51/22/23/971512223.db2.gz GKSFMGQBILSHOE-NSHDSACASA-N 0 3 223.266 2.528 20 0 BFADHN Cc1cc(OC2CNC2)nc2ccc(Cl)cc12 ZINC001218050128 971591698 /nfs/dbraw/zinc/59/16/98/971591698.db2.gz OMZZMSNXSJTFRT-UHFFFAOYSA-N 0 3 248.713 2.547 20 0 BFADHN Cc1cc(O[C@@H]2CCNC[C@H]2F)ccc1Cl ZINC001218067069 971592757 /nfs/dbraw/zinc/59/27/57/971592757.db2.gz QLQIOJFHDGFSLA-VXGBXAGGSA-N 0 3 243.709 2.727 20 0 BFADHN C[C@H](Oc1cccc2c1CNC2)C1CCC1 ZINC001218201371 971623295 /nfs/dbraw/zinc/62/32/95/971623295.db2.gz HLSIXNHOGZWGON-JTQLQIEISA-N 0 3 217.312 2.857 20 0 BFADHN CC1(C)[C@@H]2C[C@H](N3CCc4cnccc4C3)C[C@@H]21 ZINC001170737694 971681614 /nfs/dbraw/zinc/68/16/14/971681614.db2.gz BWODWLPSTCCUNO-QDMKHBRRSA-N 0 3 242.366 2.874 20 0 BFADHN C[C@@H]1NC[C@H]1Oc1nc(-c2ccccc2)cs1 ZINC001218383243 971760624 /nfs/dbraw/zinc/76/06/24/971760624.db2.gz FTEQANMSQAJKHN-JOYOIKCWSA-N 0 3 246.335 2.549 20 0 BFADHN Cc1cccc([C@@H](C)N[C@H]2COC(C)(C)C2)n1 ZINC001172275428 974490346 /nfs/dbraw/zinc/49/03/46/974490346.db2.gz QKOWHJOFLRKIQP-VXGBXAGGSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1ccc(F)c(O[C@@H]2CNCCC2(F)F)c1 ZINC001218449367 971822693 /nfs/dbraw/zinc/82/26/93/971822693.db2.gz UXSAEWKDCDVFQX-LLVKDONJSA-N 0 3 245.244 2.510 20 0 BFADHN Cc1cccc(O[C@H]2CNCCC2(F)F)c1F ZINC001218449262 971821010 /nfs/dbraw/zinc/82/10/10/971821010.db2.gz SSJSGLOBCZBCQT-JTQLQIEISA-N 0 3 245.244 2.510 20 0 BFADHN NCc1cc(-c2cc3ccc(F)cc3[nH]2)ccn1 ZINC001245587549 971890579 /nfs/dbraw/zinc/89/05/79/971890579.db2.gz AARCVWCXJVWTIP-UHFFFAOYSA-N 0 3 241.269 2.828 20 0 BFADHN CCCOC1CN([C@@H]2CC[C@H](C)[C@@H](C)C2)C1 ZINC001172373814 974571327 /nfs/dbraw/zinc/57/13/27/974571327.db2.gz NRZPGFHTHZINGX-RWMBFGLXSA-N 0 3 225.376 2.922 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N1C[C@@H](C)O[C@@H](C)C1 ZINC001172334372 974599881 /nfs/dbraw/zinc/59/98/81/974599881.db2.gz BMXLHRZULDUHFT-RQJABVFESA-N 0 3 243.391 2.689 20 0 BFADHN F[C@@H]1C[C@H]1N[C@@H]1CCc2cccc(Cl)c2C1 ZINC001170901189 972069535 /nfs/dbraw/zinc/06/95/35/972069535.db2.gz BMHOEIMXBQERPE-OASPWFOLSA-N 0 3 239.721 2.897 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N[C@@H](C)c1ccno1 ZINC001172352240 974621507 /nfs/dbraw/zinc/62/15/07/974621507.db2.gz QXFFPCGBMAIYDX-SRVKXCTJSA-N 0 3 240.347 2.919 20 0 BFADHN CCC(=O)NCCN(C)[C@H](C)c1cccc(C)c1 ZINC001266247728 956872665 /nfs/dbraw/zinc/87/26/65/956872665.db2.gz URAASMWDKOMEIL-CYBMUJFWSA-N 0 3 248.370 2.514 20 0 BFADHN Fc1cccc(F)c1CCN1C[C@H]2CC[C@@H]1C2 ZINC001208117463 956955937 /nfs/dbraw/zinc/95/59/37/956955937.db2.gz YAEXLTRYHSSIMU-WDEREUQCSA-N 0 3 237.293 2.992 20 0 BFADHN c1cnc([C@@H](NCCCC2CC2)C2CC2)nc1 ZINC000932351602 957109981 /nfs/dbraw/zinc/10/99/81/957109981.db2.gz AILOYVHFVUUIIY-ZDUSSCGKSA-N 0 3 231.343 2.708 20 0 BFADHN CC/C=C\CCN1CC2(C1)C[C@@H](F)CS2 ZINC001208729564 957149103 /nfs/dbraw/zinc/14/91/03/957149103.db2.gz CNIFKFSYENBVEE-DLRQAJBASA-N 0 3 229.364 2.872 20 0 BFADHN c1ccc([C@H]2CCCN2Cc2ccnnc2)cc1 ZINC001139982968 957275879 /nfs/dbraw/zinc/27/58/79/957275879.db2.gz IQEBSWNBBCNTAZ-OAHLLOKOSA-N 0 3 239.322 2.814 20 0 BFADHN CN(C)c1cccc(N(C)C[C@@H]2CC2(C)C)n1 ZINC001167092888 957282383 /nfs/dbraw/zinc/28/23/83/957282383.db2.gz WEHUJTLCJMKRDO-NSHDSACASA-N 0 3 233.359 2.630 20 0 BFADHN COCCCCN1Cc2cccc(C)c2C1 ZINC001209214076 957356105 /nfs/dbraw/zinc/35/61/05/957356105.db2.gz KCSMTDLSINDCKT-UHFFFAOYSA-N 0 3 219.328 2.737 20 0 BFADHN C[C@H]1C[C@@H](CF)N(CCOc2ccccc2)C1 ZINC001209295061 957387005 /nfs/dbraw/zinc/38/70/05/957387005.db2.gz CPPHDXPSYPLLCQ-STQMWFEESA-N 0 3 237.318 2.745 20 0 BFADHN CN(C)Cc1ccc(F)cc1C(F)(F)F ZINC001204476297 957576133 /nfs/dbraw/zinc/57/61/33/957576133.db2.gz RWBYGHTUTDHGAI-UHFFFAOYSA-N 0 3 221.197 2.906 20 0 BFADHN CC(C)(C)OCCNCc1cccc2c1OCC2 ZINC000693068721 957648339 /nfs/dbraw/zinc/64/83/39/957648339.db2.gz ZQTQMZJGPAJGHY-UHFFFAOYSA-N 0 3 249.354 2.526 20 0 BFADHN CC/C=C/CNCc1cccc2c1OCC2 ZINC000693137958 957696886 /nfs/dbraw/zinc/69/68/86/957696886.db2.gz CFBUBEZZNPCWJA-ONEGZZNKSA-N 0 3 217.312 2.677 20 0 BFADHN C[C@H]1C[C@@H](NCc2nccs2)CCS1 ZINC000888077971 957752967 /nfs/dbraw/zinc/75/29/67/957752967.db2.gz SEKHUCAXBKPOJJ-IUCAKERBSA-N 0 3 228.386 2.517 20 0 BFADHN Cc1ccn2c(CN3CCCC34CC4)cnc2c1 ZINC001136885135 972295684 /nfs/dbraw/zinc/29/56/84/972295684.db2.gz HFVTWFRVYMBEIC-UHFFFAOYSA-N 0 3 241.338 2.771 20 0 BFADHN C[C@H]1CCCCN(Cc2ncc3ccccn32)C1 ZINC001207049579 957858594 /nfs/dbraw/zinc/85/85/94/957858594.db2.gz YDRIFGIZBIWWPF-ZDUSSCGKSA-N 0 3 243.354 2.956 20 0 BFADHN Cc1cccn2c(CN3CCCC34CC4)cnc12 ZINC001136958400 972305995 /nfs/dbraw/zinc/30/59/95/972305995.db2.gz PQPYJBKXIAPLBC-UHFFFAOYSA-N 0 3 241.338 2.771 20 0 BFADHN Clc1cncc(CN2CCC[C@@H]3C[C@@H]32)c1 ZINC001136997839 972318656 /nfs/dbraw/zinc/31/86/56/972318656.db2.gz QZSIZHZNSXPGDK-PWSUYJOCSA-N 0 3 222.719 2.719 20 0 BFADHN c1cc(CN2CCC[C@H]3C[C@H]32)cc2c1COC2 ZINC001137028832 972333469 /nfs/dbraw/zinc/33/34/69/972333469.db2.gz HKPSJXBCKFCKRK-SWLSCSKDSA-N 0 3 229.323 2.701 20 0 BFADHN CCN(Cc1ccc(OCCOC)o1)C(C)C ZINC000891571413 958466785 /nfs/dbraw/zinc/46/67/85/958466785.db2.gz RKMUXJFBYMROBU-UHFFFAOYSA-N 0 3 241.331 2.535 20 0 BFADHN F[C@@H]1C[C@H]1N[C@H]1CCc2cc(Cl)ccc2C1 ZINC001171099740 972356510 /nfs/dbraw/zinc/35/65/10/972356510.db2.gz KPXDUCFMKOZGFW-YNEHKIRRSA-N 0 3 239.721 2.897 20 0 BFADHN CCOc1ccc(-c2cc(N)c(C)cn2)c(C)n1 ZINC001222096506 972367342 /nfs/dbraw/zinc/36/73/42/972367342.db2.gz BDVNUEBIBUFYMG-UHFFFAOYSA-N 0 3 243.310 2.741 20 0 BFADHN CC(C)n1cc(CNC[C@@]23C[C@@H]2CCCC3)nn1 ZINC000693548390 958764003 /nfs/dbraw/zinc/76/40/03/958764003.db2.gz WLBGNDSOMWYXTO-GXTWGEPZSA-N 0 3 248.374 2.529 20 0 BFADHN C#CCN(Cc1ccsc1)CC1CC1 ZINC000092099632 958857809 /nfs/dbraw/zinc/85/78/09/958857809.db2.gz CBLDNWOUTBYGAO-UHFFFAOYSA-N 0 3 205.326 2.593 20 0 BFADHN c1nc(CNC[C@@]23C[C@@H]2CCCC3)cs1 ZINC000693589551 958899053 /nfs/dbraw/zinc/89/90/53/958899053.db2.gz VDWKHOLZOWLNOK-CMPLNLGQSA-N 0 3 222.357 2.813 20 0 BFADHN C[C@H]1C[C@@H](n2cncc2CN)CC(C)(C)C1 ZINC000708361542 959369791 /nfs/dbraw/zinc/36/97/91/959369791.db2.gz BXDNQDRMRJKSRM-WDEREUQCSA-N 0 3 221.348 2.729 20 0 BFADHN COC(C)(C)CNc1ccc2nc(C)[nH]c2c1 ZINC000320260135 972445635 /nfs/dbraw/zinc/44/56/35/972445635.db2.gz JYSFYZNDHXHLCE-UHFFFAOYSA-N 0 3 233.315 2.708 20 0 BFADHN C[C@@H]1Cc2ccccc2CN1Cc1ccc[nH]1 ZINC000190686666 960233124 /nfs/dbraw/zinc/23/31/24/960233124.db2.gz HTCUSERMCRIOMK-GFCCVEGCSA-N 0 3 226.323 2.962 20 0 BFADHN Cc1ccncc1CN[C@@H](C)C1(Cl)CC1 ZINC000695650472 960327040 /nfs/dbraw/zinc/32/70/40/960327040.db2.gz VZTRUVAFDYEVOM-JTQLQIEISA-N 0 3 224.735 2.640 20 0 BFADHN CCOCC[C@@H](C)N[C@H](C)c1nccs1 ZINC001172410753 974673545 /nfs/dbraw/zinc/67/35/45/974673545.db2.gz JJXHQCNZJGQFQB-NXEZZACHSA-N 0 3 228.361 2.609 20 0 BFADHN CC(C)n1cc(CN[C@H]2CCCC3(CC3)C2)nn1 ZINC000695718629 960398395 /nfs/dbraw/zinc/39/83/95/960398395.db2.gz VOVVCSPJZWRNNF-LBPRGKRZSA-N 0 3 248.374 2.671 20 0 BFADHN c1nc(CNCC2CCC3(CC3)CC2)co1 ZINC000695717529 960400341 /nfs/dbraw/zinc/40/03/41/960400341.db2.gz PILHYJGZWIGULU-UHFFFAOYSA-N 0 3 220.316 2.735 20 0 BFADHN COC[C@H]1CCCN1Cc1ccc(C)cc1F ZINC001141191117 960447171 /nfs/dbraw/zinc/44/71/71/960447171.db2.gz ABWBSYDCJUMITI-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN CC1CN(CCCc2ccccc2F)C1 ZINC001207490277 960526395 /nfs/dbraw/zinc/52/63/95/960526395.db2.gz OCCYZFKLCZLQRV-UHFFFAOYSA-N 0 3 207.292 2.710 20 0 BFADHN Nc1ccc(Cl)cc1CN1CC2CC(C2)C1 ZINC001140719272 960530706 /nfs/dbraw/zinc/53/07/06/960530706.db2.gz QNLFDQUYMBGWTI-UHFFFAOYSA-N 0 3 236.746 2.764 20 0 BFADHN Cc1nc(CNCCc2ccoc2)sc1C ZINC000692767325 960650250 /nfs/dbraw/zinc/65/02/50/960650250.db2.gz CDELMEJAMUXTGU-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN Cc1cc(CN(C)CCc2ccccc2F)n[nH]1 ZINC001203081409 960758049 /nfs/dbraw/zinc/75/80/49/960758049.db2.gz SKEMVXZVINMRLU-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN Cc1ccncc1CN1CC[C@@]2(C1)CCCCO2 ZINC001141033627 960827274 /nfs/dbraw/zinc/82/72/74/960827274.db2.gz YNRXMOOCPTYRLI-OAHLLOKOSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1cccc(CCN2CCOC[C@@H]2C(C)C)c1 ZINC001207536672 960854871 /nfs/dbraw/zinc/85/48/71/960854871.db2.gz MVXLHLDEZYBZCY-MRXNPFEDSA-N 0 3 247.382 2.894 20 0 BFADHN CCCCc1nc(CN2CCC[C@H]2C)c[nH]1 ZINC001141066995 960855270 /nfs/dbraw/zinc/85/52/70/960855270.db2.gz NNIBATSWIHFLTG-LLVKDONJSA-N 0 3 221.348 2.737 20 0 BFADHN CCCCc1nc(CN(C)CCC2CC2)c[nH]1 ZINC001141071437 960862687 /nfs/dbraw/zinc/86/26/87/960862687.db2.gz OXWAQMSFHNRJAH-UHFFFAOYSA-N 0 3 235.375 2.984 20 0 BFADHN CN(C)c1cccc(CN2CC(C)(C)C2)c1 ZINC001141257650 961021942 /nfs/dbraw/zinc/02/19/42/961021942.db2.gz SRUGSGUPESBFBR-UHFFFAOYSA-N 0 3 218.344 2.594 20 0 BFADHN CN(C)c1cccc(CN2CC3(CCC3)C2)c1 ZINC001141259293 961028432 /nfs/dbraw/zinc/02/84/32/961028432.db2.gz KLQZVXCEHGPNNG-UHFFFAOYSA-N 0 3 230.355 2.739 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3nc[nH]c32)C[C@H]1F ZINC001142672789 961589135 /nfs/dbraw/zinc/58/91/35/961589135.db2.gz MWXBRAVLHKWLAN-ZYHUDNBSSA-N 0 3 247.317 2.743 20 0 BFADHN C[C@]1(F)CCCN(Cc2cccc3[nH]cnc32)C1 ZINC001142676188 961599386 /nfs/dbraw/zinc/59/93/86/961599386.db2.gz CDOLPLGEVHCSFZ-AWEZNQCLSA-N 0 3 247.317 2.887 20 0 BFADHN Cc1ccc(C(=O)CN[C@@H]2C[C@H]3C[C@H]3C2)cc1 ZINC001167908003 961801876 /nfs/dbraw/zinc/80/18/76/961801876.db2.gz AROLUNIFLMGEDO-BTTYYORXSA-N 0 3 229.323 2.566 20 0 BFADHN c1nc(CN[C@@H]2C[C@H]3C[C@H]3C2)cn1CC1CCC1 ZINC001167915267 961841738 /nfs/dbraw/zinc/84/17/38/961841738.db2.gz AXGRDAFVFHWKQJ-BTTYYORXSA-N 0 3 245.370 2.571 20 0 BFADHN CC1(F)CCN(Cc2cccn3ccnc23)CC1 ZINC001142944909 961849488 /nfs/dbraw/zinc/84/94/88/961849488.db2.gz VLSGEWGNIRNNQX-UHFFFAOYSA-N 0 3 247.317 2.658 20 0 BFADHN Cc1cc(N[C@@H]2CCCC3(CC3)C2)nc(N)n1 ZINC000696218401 961875009 /nfs/dbraw/zinc/87/50/09/961875009.db2.gz AUOJOPPQLNPKGE-SNVBAGLBSA-N 0 3 232.331 2.502 20 0 BFADHN COc1cc(C)cc(OC)c1CN1CC[C@H](C)C1 ZINC001143136327 961886089 /nfs/dbraw/zinc/88/60/89/961886089.db2.gz BRKNUNRRCJSCPN-NSHDSACASA-N 0 3 249.354 2.854 20 0 BFADHN COc1cc(C)cc(CN2CCCO[C@H](C)C2)c1 ZINC001143150924 961891977 /nfs/dbraw/zinc/89/19/77/961891977.db2.gz YQYNMSQMHYUTCE-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1ccc(CN2CCCC3(CCC3)C2)c(N)n1 ZINC001143206247 961943396 /nfs/dbraw/zinc/94/33/96/961943396.db2.gz DKWPUECOGKMACP-UHFFFAOYSA-N 0 3 245.370 2.738 20 0 BFADHN FC[C@@H]1CCCN(Cc2cc3cccnc3[nH]2)C1 ZINC001143224686 961962121 /nfs/dbraw/zinc/96/21/21/961962121.db2.gz YTKZAJGBUODSEG-NSHDSACASA-N 0 3 247.317 2.744 20 0 BFADHN CN(C)Cc1cc(F)cc(OC(F)(F)F)c1 ZINC001143256252 961981522 /nfs/dbraw/zinc/98/15/22/961981522.db2.gz GUDFZQGCSXKFMV-UHFFFAOYSA-N 0 3 237.196 2.786 20 0 BFADHN COc1ccc(CCN2CCC[C@@H]2CF)cc1 ZINC000293121288 962013761 /nfs/dbraw/zinc/01/37/61/962013761.db2.gz RMYQVPBMYWVQGX-CYBMUJFWSA-N 0 3 237.318 2.672 20 0 BFADHN Clc1ccc2c(n1)CCN([C@H]1C[C@H]3C[C@H]3C1)C2 ZINC001167938168 962126931 /nfs/dbraw/zinc/12/69/31/962126931.db2.gz CCQOZJLTNLAEFE-GDNZZTSVSA-N 0 3 248.757 2.892 20 0 BFADHN CN1CCC[C@@H](Oc2cnc3sccc3c2)C1 ZINC001225452388 962152919 /nfs/dbraw/zinc/15/29/19/962152919.db2.gz YFRBCVLGWNRLTE-LLVKDONJSA-N 0 3 248.351 2.769 20 0 BFADHN c1ccc([C@H]2CN([C@H]3C[C@H]4C[C@H]4C3)CCO2)cc1 ZINC001167940180 962163649 /nfs/dbraw/zinc/16/36/49/962163649.db2.gz PHYRDSQOGCWBJW-FXUDXRNXSA-N 0 3 243.350 2.858 20 0 BFADHN [O-]c1ccc(C[N@@H+]2CCC[C@H]3CCCC[C@@H]32)nc1 ZINC000132385343 962420515 /nfs/dbraw/zinc/42/05/15/962420515.db2.gz XXCMIOLAJDFWNR-DOMZBBRYSA-N 0 3 246.354 2.942 20 0 BFADHN [O-]c1ccc(C[N@H+]2CCC[C@H]3CCCC[C@@H]32)nc1 ZINC000132385343 962420521 /nfs/dbraw/zinc/42/05/21/962420521.db2.gz XXCMIOLAJDFWNR-DOMZBBRYSA-N 0 3 246.354 2.942 20 0 BFADHN C[N@H+](Cc1ccc([O-])cn1)C1CCCCC1 ZINC000132434770 962423831 /nfs/dbraw/zinc/42/38/31/962423831.db2.gz FQYLEZSOYUVRLQ-UHFFFAOYSA-N 0 3 220.316 2.552 20 0 BFADHN C[N@@H+](Cc1ccc([O-])cn1)C1CCCCC1 ZINC000132434770 962423838 /nfs/dbraw/zinc/42/38/38/962423838.db2.gz FQYLEZSOYUVRLQ-UHFFFAOYSA-N 0 3 220.316 2.552 20 0 BFADHN CCC(CC)N(C)Cc1ncccc1OC ZINC001206650395 962640200 /nfs/dbraw/zinc/64/02/00/962640200.db2.gz IZWDXFLOOUSHSO-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN COc1cccnc1CN1CCC[C@H]2CCC[C@H]21 ZINC001206650800 962681330 /nfs/dbraw/zinc/68/13/30/962681330.db2.gz YVRIARJMEASRRG-TZMCWYRMSA-N 0 3 246.354 2.855 20 0 BFADHN CCN1CCC[C@@H](Oc2cc(F)ccc2F)C1 ZINC001225903328 962901910 /nfs/dbraw/zinc/90/19/10/962901910.db2.gz WOVVENAGETUJIM-LLVKDONJSA-N 0 3 241.281 2.828 20 0 BFADHN CN(C)c1cccc(N[C@@H]2CCCC[C@H]2F)n1 ZINC001155059569 962956636 /nfs/dbraw/zinc/95/66/36/962956636.db2.gz MEFZIJPKRAUUGV-GHMZBOCLSA-N 0 3 237.322 2.840 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1csnc1OC ZINC000712891458 962999205 /nfs/dbraw/zinc/99/92/05/962999205.db2.gz VYQWFODNRCYBOW-ONGXEEELSA-N 0 3 240.372 2.820 20 0 BFADHN CO[C@H]1CCCN(Cc2cc(C)cc(F)c2)C1 ZINC001143580268 963151416 /nfs/dbraw/zinc/15/14/16/963151416.db2.gz CEOWZQDYVKOGDM-AWEZNQCLSA-N 0 3 237.318 2.745 20 0 BFADHN C[C@@H](N[C@H]1COC2(CCC2)C1)c1ccoc1 ZINC000688342246 963183827 /nfs/dbraw/zinc/18/38/27/963183827.db2.gz MHFJSLHXCCYQLW-ZYHUDNBSSA-N 0 3 221.300 2.642 20 0 BFADHN Cc1nc(NC2(C)CCC2)cc(N2CCCC2)n1 ZINC001156067459 963247181 /nfs/dbraw/zinc/24/71/81/963247181.db2.gz MFYWELQXNLDOKR-UHFFFAOYSA-N 0 3 246.358 2.740 20 0 BFADHN COc1cccc([C@@H](C)Oc2ccnc(N)c2)c1 ZINC001226657922 963278101 /nfs/dbraw/zinc/27/81/01/963278101.db2.gz UIBKMBQCKDVYSF-SNVBAGLBSA-N 0 3 244.294 2.812 20 0 BFADHN COCCN(C)Cc1coc2ccc(F)cc12 ZINC001141847118 963446214 /nfs/dbraw/zinc/44/62/14/963446214.db2.gz XPEYSSOYGDBZKX-UHFFFAOYSA-N 0 3 237.274 2.650 20 0 BFADHN CN(Cc1coc2ccc(F)cc12)[C@H]1CCOC1 ZINC001141854781 963449542 /nfs/dbraw/zinc/44/95/42/963449542.db2.gz PLQKLFXGMDWFOA-LBPRGKRZSA-N 0 3 249.285 2.793 20 0 BFADHN CCCN(C)c1ccc(CN2CCCC2)cn1 ZINC001156881947 963478694 /nfs/dbraw/zinc/47/86/94/963478694.db2.gz SXEQLEIWTCTQRR-UHFFFAOYSA-N 0 3 233.359 2.524 20 0 BFADHN C[C@H](CN(C)C)Oc1ccc2c(c1)C(=O)CCC2 ZINC000713982131 963570855 /nfs/dbraw/zinc/57/08/55/963570855.db2.gz GSXQDOWRJWXUSB-LLVKDONJSA-N 0 3 247.338 2.535 20 0 BFADHN Cc1ccc([C@H](C)Nc2cccc(N)n2)nc1 ZINC001158014834 963793636 /nfs/dbraw/zinc/79/36/36/963793636.db2.gz RUMLEWZAJVHEMU-JTQLQIEISA-N 0 3 228.299 2.540 20 0 BFADHN COc1cccnc1CNc1cc(C)cc(C)n1 ZINC001158320730 963847123 /nfs/dbraw/zinc/84/71/23/963847123.db2.gz JVLZBPGPYCLLAT-UHFFFAOYSA-N 0 3 243.310 2.714 20 0 BFADHN CC(C)(C)c1cc(NC2=CNCCC2)on1 ZINC001159170266 964008309 /nfs/dbraw/zinc/00/83/09/964008309.db2.gz HRSAPBKWCXSEIH-UHFFFAOYSA-N 0 3 221.304 2.609 20 0 BFADHN F[C@H]1C[C@H](CNc2c[nH]cc3ccnc2-3)C1 ZINC001168238079 964028052 /nfs/dbraw/zinc/02/80/52/964028052.db2.gz SONUQHRCWAELGU-CZMCAQCFSA-N 0 3 219.263 2.723 20 0 BFADHN Fc1cnc(NC2=CNCCC2)c(Cl)c1 ZINC001159254377 964032427 /nfs/dbraw/zinc/03/24/27/964032427.db2.gz OPFJPNNWJWMGKN-UHFFFAOYSA-N 0 3 227.670 2.511 20 0 BFADHN Cn1ccnc1Nc1cc(Cl)nc(Cl)c1 ZINC001212087073 964252522 /nfs/dbraw/zinc/25/25/22/964252522.db2.gz BAZXAHDMVLEROR-UHFFFAOYSA-N 0 3 243.097 2.866 20 0 BFADHN COc1ccc2c(c1)C[C@@H](N1CCC[C@@H]1CF)C2 ZINC001168290915 964388788 /nfs/dbraw/zinc/38/87/88/964388788.db2.gz CUFDFHZHOXKTNC-KGLIPLIRSA-N 0 3 249.329 2.596 20 0 BFADHN Cc1nc2ccc(NCCOC(C)C)cc2[nH]1 ZINC001161788699 964756367 /nfs/dbraw/zinc/75/63/67/964756367.db2.gz NRNMCUICEXMHJQ-UHFFFAOYSA-N 0 3 233.315 2.708 20 0 BFADHN Cn1cncc1N[C@@H](c1ccccc1)C1CC1 ZINC001161958357 964838633 /nfs/dbraw/zinc/83/86/33/964838633.db2.gz NVQICCBHRONQOC-AWEZNQCLSA-N 0 3 227.311 2.983 20 0 BFADHN Cc1c2[nH]cnc2ccc1NC1CC(F)C1 ZINC001162212290 964873899 /nfs/dbraw/zinc/87/38/99/964873899.db2.gz GQVBDDNZNARPMV-UHFFFAOYSA-N 0 3 219.263 2.784 20 0 BFADHN C[C@@]1(F)CCCN(Cc2cccc3[nH]cnc32)C1 ZINC001142676189 964900046 /nfs/dbraw/zinc/90/00/46/964900046.db2.gz CDOLPLGEVHCSFZ-CQSZACIVSA-N 0 3 247.317 2.887 20 0 BFADHN Cc1ccc2ccc(-n3cnc(CN)c3)cc2c1 ZINC001162304292 964919305 /nfs/dbraw/zinc/91/93/05/964919305.db2.gz DQQZBGRGEUAQON-UHFFFAOYSA-N 0 3 237.306 2.793 20 0 BFADHN C[C@H]1CCCC[C@H]1CNc1cncn1C ZINC001162526793 965029058 /nfs/dbraw/zinc/02/90/58/965029058.db2.gz SFELNIUYYQNFIU-QWRGUYRKSA-N 0 3 207.321 2.658 20 0 BFADHN NCc1ccnn1-c1ccc(F)c2ccccc21 ZINC001162593591 965062221 /nfs/dbraw/zinc/06/22/21/965062221.db2.gz KSDAQGHHFJCRPU-UHFFFAOYSA-N 0 3 241.269 2.623 20 0 BFADHN Cc1cc(-n2nccc2CN)cc(C(C)(C)C)c1 ZINC001162597059 965070941 /nfs/dbraw/zinc/07/09/41/965070941.db2.gz BMVTXSRZHVRKMS-UHFFFAOYSA-N 0 3 243.354 2.937 20 0 BFADHN CC(C)Oc1ncc(NC(=N)C(C)(C)C)cn1 ZINC001162665274 965103206 /nfs/dbraw/zinc/10/32/06/965103206.db2.gz BIMMCWURXXGXSW-UHFFFAOYSA-N 0 3 236.319 2.699 20 0 BFADHN C[C@@H](N[C@@H]1C=CCC1)c1cncc(F)c1 ZINC000698206767 965108902 /nfs/dbraw/zinc/10/89/02/965108902.db2.gz SFSVOVDOSOUPPS-BXKDBHETSA-N 0 3 206.264 2.590 20 0 BFADHN Cc1nc(CNCCC(C)(C)F)sc1C ZINC000698235597 965119380 /nfs/dbraw/zinc/11/93/80/965119380.db2.gz GYJKGLGMIKRYGR-UHFFFAOYSA-N 0 3 230.352 2.988 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCCC[C@H]2C2CC2)[n-]1 ZINC000696061844 965230706 /nfs/dbraw/zinc/23/07/06/965230706.db2.gz OWIOYMQKAFCNTJ-XDTLVQLUSA-N 0 3 248.374 2.733 20 0 BFADHN Oc1cccc(N[C@@H]2CNCc3ccsc32)c1 ZINC001163238686 965311625 /nfs/dbraw/zinc/31/16/25/965311625.db2.gz MBRDXKUVJSEAKF-GFCCVEGCSA-N 0 3 246.335 2.710 20 0 BFADHN Cc1ncc(Nc2ccn(C(C)C)c2)n1C ZINC001203164075 965475865 /nfs/dbraw/zinc/47/58/65/965475865.db2.gz CGQKDQLILXUYCD-UHFFFAOYSA-N 0 3 218.304 2.855 20 0 BFADHN Cc1cc(CN2C[C@H]3CC[C@@H](C2)C3=O)sc1C ZINC001233691908 965565715 /nfs/dbraw/zinc/56/57/15/965565715.db2.gz ICYJFGBAZPKOEJ-TXEJJXNPSA-N 0 3 249.379 2.776 20 0 BFADHN CCc1cccc(CC)c1-n1nc(CN)cc1C ZINC001163988315 965682947 /nfs/dbraw/zinc/68/29/47/965682947.db2.gz KUTATPJPDIZFRR-UHFFFAOYSA-N 0 3 243.354 2.764 20 0 BFADHN CC1(C)C[C@@H](CNc2c[nH]cc3ccnc2-3)O1 ZINC001168457307 965760058 /nfs/dbraw/zinc/76/00/58/965760058.db2.gz XQRCSHLJHNSKAV-JTQLQIEISA-N 0 3 231.299 2.542 20 0 BFADHN CC1(C)C[C@@H](CNc2cncc3cc[nH]c32)O1 ZINC001168457307 965760061 /nfs/dbraw/zinc/76/00/61/965760061.db2.gz XQRCSHLJHNSKAV-JTQLQIEISA-N 0 3 231.299 2.542 20 0 BFADHN C[C@@H](COc1ccccc1)NCc1ccoc1 ZINC000699327804 965845708 /nfs/dbraw/zinc/84/57/08/965845708.db2.gz VKYUXWSLHOMCJK-LBPRGKRZSA-N 0 3 231.295 2.837 20 0 BFADHN CCc1ccc(CN2CCC3(CC3)C2)o1 ZINC001204391030 965946626 /nfs/dbraw/zinc/94/66/26/965946626.db2.gz MSCVTGMTKDQFBG-UHFFFAOYSA-N 0 3 205.301 2.828 20 0 BFADHN CCc1ccc(CN2CC[C@H](OC(C)C)C2)o1 ZINC001204395649 965989673 /nfs/dbraw/zinc/98/96/73/965989673.db2.gz WZWOEBNQXMMWFA-AWEZNQCLSA-N 0 3 237.343 2.841 20 0 BFADHN c1cc2c[nH]cc(NC[C@H]3CCCCO3)c-2n1 ZINC001165228428 965993499 /nfs/dbraw/zinc/99/34/99/965993499.db2.gz BFRLQHINXIHMLE-LLVKDONJSA-N 0 3 231.299 2.544 20 0 BFADHN c1cc2cncc(NC[C@H]3CCCCO3)c2[nH]1 ZINC001165228428 965993511 /nfs/dbraw/zinc/99/35/11/965993511.db2.gz BFRLQHINXIHMLE-LLVKDONJSA-N 0 3 231.299 2.544 20 0 BFADHN CCc1cc(CN(C)C(CC)CC)on1 ZINC001203227205 966046647 /nfs/dbraw/zinc/04/66/47/966046647.db2.gz VSXPWWCBEPSDBD-UHFFFAOYSA-N 0 3 210.321 2.857 20 0 BFADHN C[C@@H]1COC[C@H]1NCc1cc(Cl)ccc1F ZINC000699765857 966077029 /nfs/dbraw/zinc/07/70/29/966077029.db2.gz ZWWXPFDYDXUHGW-PRHODGIISA-N 0 3 243.709 2.604 20 0 BFADHN C[C@H]1COC[C@H]1NCc1cc2ccccc2o1 ZINC000699778284 966081254 /nfs/dbraw/zinc/08/12/54/966081254.db2.gz OZNVQAXWNQZKMP-GXFFZTMASA-N 0 3 231.295 2.557 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2COC[C@H]2C)o1 ZINC000699790716 966094620 /nfs/dbraw/zinc/09/46/20/966094620.db2.gz SUIJKLZDMAAFND-AAXDQBDMSA-N 0 3 235.327 2.528 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@@H]1COC[C@H]1C ZINC000699838739 966124964 /nfs/dbraw/zinc/12/49/64/966124964.db2.gz RQDRUEFQEAVGNY-MBNYWOFBSA-N 0 3 249.354 2.771 20 0 BFADHN CC(C)Oc1ccccc1CN[C@@H]1COC[C@@H]1C ZINC000699839995 966129739 /nfs/dbraw/zinc/12/97/39/966129739.db2.gz UHLYFWBHBKIFLH-GXTWGEPZSA-N 0 3 249.354 2.598 20 0 BFADHN CN(CCCc1ccccc1)c1cccc(N)n1 ZINC001166555286 966303140 /nfs/dbraw/zinc/30/31/40/966303140.db2.gz OQJIXLXNHNBCOY-UHFFFAOYSA-N 0 3 241.338 2.733 20 0 BFADHN CCc1cc(CN2C[C@H](C)CC23CC3)on1 ZINC001203227383 966570281 /nfs/dbraw/zinc/57/02/81/966570281.db2.gz CWUVKURXSMOBIX-SNVBAGLBSA-N 0 3 220.316 2.611 20 0 BFADHN Fc1ccc(CN2CCNC3=CCCC[C@H]32)cc1 ZINC001237220851 966648851 /nfs/dbraw/zinc/64/88/51/966648851.db2.gz SSACJRXQRWWHQI-OAHLLOKOSA-N 0 3 246.329 2.667 20 0 BFADHN COc1ccccc1CN1C[C@@H]2C[C@H]1C[C@@H]2F ZINC001203437584 966649215 /nfs/dbraw/zinc/64/92/15/966649215.db2.gz HBWNPQXUWHSCPB-AVGNSLFASA-N 0 3 235.302 2.628 20 0 BFADHN CCOc1ccccc1CN1CC[C@@H](OCC)C1 ZINC001203545340 966684205 /nfs/dbraw/zinc/68/42/05/966684205.db2.gz INOVTLBFZYDQIF-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN COC[C@H]1CCN1Cc1ccc(OC)c(C)c1C ZINC001203727790 966753541 /nfs/dbraw/zinc/75/35/41/966753541.db2.gz YZQHSEKJXMXSND-CQSZACIVSA-N 0 3 249.354 2.533 20 0 BFADHN Cc1ccc(CN2CC3(CSC3)C2)c(C)c1 ZINC001203746031 966766781 /nfs/dbraw/zinc/76/67/81/966766781.db2.gz ZJSVOWBMJDQELY-UHFFFAOYSA-N 0 3 233.380 2.852 20 0 BFADHN O[C@H]1CCCCN(Cc2cccc(Cl)c2)C1 ZINC001203797763 966788905 /nfs/dbraw/zinc/78/89/05/966788905.db2.gz QBNAQCCAVBJUBU-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN COc1ccc(CN2CCC3(CC3)CC2)cc1O ZINC001203865126 966803831 /nfs/dbraw/zinc/80/38/31/966803831.db2.gz BLPILJRHTCTFLW-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN CC(C)N1CCN(Cc2cccs2)C[C@@H]1C ZINC001204017105 966853906 /nfs/dbraw/zinc/85/39/06/966853906.db2.gz LQAIGLZJZQDATK-LBPRGKRZSA-N 0 3 238.400 2.663 20 0 BFADHN CC(C)(C)N1CCN(Cc2cccs2)CC1 ZINC001204015128 966855986 /nfs/dbraw/zinc/85/59/86/966855986.db2.gz ITSPAWMQNYFRDC-UHFFFAOYSA-N 0 3 238.400 2.664 20 0 BFADHN c1cc(CN2CC[C@]3(C2)CCCCO3)cs1 ZINC001204034069 966860266 /nfs/dbraw/zinc/86/02/66/966860266.db2.gz BBMBXDAWDLDUHU-ZDUSSCGKSA-N 0 3 237.368 2.893 20 0 BFADHN CC(C)(C)N1CCN(Cc2ccsc2)CC1 ZINC001204039944 966864809 /nfs/dbraw/zinc/86/48/09/966864809.db2.gz DGCPLZNAHUFNNU-UHFFFAOYSA-N 0 3 238.400 2.664 20 0 BFADHN CNCc1cc(-c2ccc(SC)cc2)ncn1 ZINC001239495800 966927038 /nfs/dbraw/zinc/92/70/38/966927038.db2.gz ADVNPHIPJSFJFH-UHFFFAOYSA-N 0 3 245.351 2.585 20 0 BFADHN FC(F)(F)Oc1ccc(CN2CC=CC2)cc1 ZINC001204414062 966994052 /nfs/dbraw/zinc/99/40/52/966994052.db2.gz RXYNGKGHCUNBIG-UHFFFAOYSA-N 0 3 243.228 2.957 20 0 BFADHN Cc1c(F)cccc1CN(C)[C@H]1CCCOC1 ZINC001204514305 967017736 /nfs/dbraw/zinc/01/77/36/967017736.db2.gz UJCARVHKEYBDPE-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cc(CN2C[C@@H]3C[C@H]2C[C@H]3F)oc1C ZINC001204534544 967037264 /nfs/dbraw/zinc/03/72/64/967037264.db2.gz IOOPAPDMDFESPG-GMXVVIOVSA-N 0 3 223.291 2.829 20 0 BFADHN Cc1nc[nH]c1CN1CCC(C(C)(C)C)CC1 ZINC001204589006 967081795 /nfs/dbraw/zinc/08/17/95/967081795.db2.gz QIVQOSHVEBMXAK-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN Cn1ccnc1Nc1cc2cc(F)ccc2[nH]1 ZINC001249850871 967090677 /nfs/dbraw/zinc/09/06/77/967090677.db2.gz CZDNKSUTXZGHEQ-UHFFFAOYSA-N 0 3 230.246 2.784 20 0 BFADHN COc1ccc(CN(C)CCC2CC2)nc1C ZINC001249907803 967142259 /nfs/dbraw/zinc/14/22/59/967142259.db2.gz SHSOZVXZGSNCOQ-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN COc1ccc(CN2C[C@H](C)CC23CC3)nc1C ZINC001249913801 967152184 /nfs/dbraw/zinc/15/21/84/967152184.db2.gz IDKAHZOWCKLOOD-LLVKDONJSA-N 0 3 246.354 2.773 20 0 BFADHN CCN(Cc1cnc(Cl)c(OC)c1)C1CC1 ZINC001249917941 967159446 /nfs/dbraw/zinc/15/94/46/967159446.db2.gz WFUYFKNPLFYSRR-UHFFFAOYSA-N 0 3 240.734 2.728 20 0 BFADHN Clc1cccc2c1C[C@@H](NCc1ccno1)C2 ZINC001168675619 967275922 /nfs/dbraw/zinc/27/59/22/967275922.db2.gz GAGRZUTWMNEOTG-JTQLQIEISA-N 0 3 248.713 2.585 20 0 BFADHN FC(F)(F)CC1=CC[C@@H](N2CCOCC2)CC1 ZINC001250033041 967287805 /nfs/dbraw/zinc/28/78/05/967287805.db2.gz XJEPCZSSRPACLD-LLVKDONJSA-N 0 3 249.276 2.750 20 0 BFADHN COc1cc(F)c(C)cc1CN(C)C(C)C ZINC001250087871 967354216 /nfs/dbraw/zinc/35/42/16/967354216.db2.gz HPSFKCLODWVRDB-UHFFFAOYSA-N 0 3 225.307 2.983 20 0 BFADHN CC[C@@H](C)C[C@H](C)Nc1cccc(CN)n1 ZINC001168690613 967377697 /nfs/dbraw/zinc/37/76/97/967377697.db2.gz ATQJYJRBTLHTJU-MNOVXSKESA-N 0 3 221.348 2.777 20 0 BFADHN CC[C@@H](C)C[C@@H](C)Nc1ccc2c(n1)CNCC2 ZINC001168695088 967411907 /nfs/dbraw/zinc/41/19/07/967411907.db2.gz OFLDMNJYINAIQB-VXGBXAGGSA-N 0 3 247.386 2.964 20 0 BFADHN Cc1ccc(CCN2CCCC2)c(F)c1F ZINC001250165948 967462116 /nfs/dbraw/zinc/46/21/16/967462116.db2.gz BCZBOXOGWRWXFW-UHFFFAOYSA-N 0 3 225.282 2.912 20 0 BFADHN Cc1cc(F)c(CCN2CCCC2)cc1F ZINC001250168295 967466978 /nfs/dbraw/zinc/46/69/78/967466978.db2.gz SABPEFSADZFSIZ-UHFFFAOYSA-N 0 3 225.282 2.912 20 0 BFADHN Cc1c(N)ccnc1-c1ccc(F)c2n[nH]cc21 ZINC001205319719 967536170 /nfs/dbraw/zinc/53/61/70/967536170.db2.gz NPGGHVXKLMXWJY-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN COc1ccc(F)cc1CCN1CCCCC1 ZINC001250238385 967546402 /nfs/dbraw/zinc/54/64/02/967546402.db2.gz BFEARPPQHNRWGB-UHFFFAOYSA-N 0 3 237.318 2.863 20 0 BFADHN [O-]c1ccc(CC[NH+]2CCCCC2)cc1F ZINC001250240433 967550506 /nfs/dbraw/zinc/55/05/06/967550506.db2.gz KQPBTUYDBWMRHP-UHFFFAOYSA-N 0 3 223.291 2.560 20 0 BFADHN COc1c(F)cccc1CCN1CCCCC1 ZINC001250241167 967551107 /nfs/dbraw/zinc/55/11/07/967551107.db2.gz DBKHQNJLQPDDAU-UHFFFAOYSA-N 0 3 237.318 2.863 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc(F)nc2)[C@@H]1C ZINC001205471261 967638914 /nfs/dbraw/zinc/63/89/14/967638914.db2.gz KKUWJWWYAJUMME-ZYHUDNBSSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@H]1CCN(Cc2ccc(F)nc2)[C@H]1C ZINC001205471258 967642811 /nfs/dbraw/zinc/64/28/11/967642811.db2.gz KKUWJWWYAJUMME-JQWIXIFHSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1ccc(F)c(CN2C[C@H]3OC[C@@H](C)[C@H]3C2)c1 ZINC001205655732 967746526 /nfs/dbraw/zinc/74/65/26/967746526.db2.gz ZOWPTHYLXFKYHM-UXIGCNINSA-N 0 3 249.329 2.601 20 0 BFADHN c1cc(CN2CC(C3CC3)C2)n(C2CC2)c1 ZINC001117747137 967748027 /nfs/dbraw/zinc/74/80/27/967748027.db2.gz BINCEFYBLFLSEP-UHFFFAOYSA-N 0 3 216.328 2.665 20 0 BFADHN Cc1nc2ccc(NCc3cnoc3C)cc2[nH]1 ZINC001162269219 967779797 /nfs/dbraw/zinc/77/97/97/967779797.db2.gz IFFOYFFSKIUBBE-UHFFFAOYSA-N 0 3 242.282 2.780 20 0 BFADHN CCN(Cc1ccncc1)C[C@]1(C)CCCCO1 ZINC001205706413 967801304 /nfs/dbraw/zinc/80/13/04/967801304.db2.gz IBQLCCJLVSKSNE-HNNXBMFYSA-N 0 3 248.370 2.863 20 0 BFADHN Cc1cnccc1CN1CC[C@]2(C1)CCCCO2 ZINC001205835095 967845963 /nfs/dbraw/zinc/84/59/63/967845963.db2.gz IJMICWOFRXYGEK-HNNXBMFYSA-N 0 3 246.354 2.535 20 0 BFADHN CCc1cc(Nc2cnn(CC(C)C)c2)ccn1 ZINC001205849956 967853966 /nfs/dbraw/zinc/85/39/66/967853966.db2.gz FCJBMKYEJKZAGI-UHFFFAOYSA-N 0 3 244.342 2.662 20 0 BFADHN CCc1nc(-c2nc3ccc(F)cc3[nH]2)c(C)[nH]1 ZINC001250446072 967874159 /nfs/dbraw/zinc/87/41/59/967874159.db2.gz QMAGEOQFEVIUIZ-UHFFFAOYSA-N 0 3 244.273 2.963 20 0 BFADHN Cc1cc(CN2CC[C@H]3CCCC[C@@H]3C2)n(C)n1 ZINC001205928541 967888487 /nfs/dbraw/zinc/88/84/87/967888487.db2.gz WAVBMKYQJOEDTR-ZIAGYGMSSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@H](COc1ccccc1)NCc1ccccn1 ZINC000711000687 967961216 /nfs/dbraw/zinc/96/12/16/967961216.db2.gz NOLIHSOFIQGECR-CYBMUJFWSA-N 0 3 242.322 2.639 20 0 BFADHN CN(C)Cc1cccc(-c2ccc(O)cc2F)n1 ZINC001206044481 968008692 /nfs/dbraw/zinc/00/86/92/968008692.db2.gz NSAVSNUJGQJFBK-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN CCOC1CN(C[C@H]2CCCC[C@H]2CC)C1 ZINC001206174113 968111323 /nfs/dbraw/zinc/11/13/23/968111323.db2.gz IEJCMXBJPQDNJT-CHWSQXEVSA-N 0 3 225.376 2.924 20 0 BFADHN CC1(O)CN([C@H]2CC[C@](C)(c3ccccc3)C2)C1 ZINC001206187174 968114453 /nfs/dbraw/zinc/11/44/53/968114453.db2.gz RUCPHEQJJKKEDW-GJZGRUSLSA-N 0 3 245.366 2.563 20 0 BFADHN Cc1cc(O)cc(-c2cc(CN(C)C)ccn2)c1 ZINC001206219287 968151634 /nfs/dbraw/zinc/15/16/34/968151634.db2.gz LIKBFOKIFZZKGV-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN CN1CC=C(c2cccc3cccnc32)CC1 ZINC001250640038 968183830 /nfs/dbraw/zinc/18/38/30/968183830.db2.gz CWSDUWYHYZRQOE-UHFFFAOYSA-N 0 3 224.307 2.954 20 0 BFADHN COc1cnccc1CN1[C@@H](C)CCC[C@@H]1C ZINC001206438302 968309084 /nfs/dbraw/zinc/30/90/84/968309084.db2.gz PNXXHENHDRIAHV-RYUDHWBXSA-N 0 3 234.343 2.853 20 0 BFADHN Nc1cc(Nc2cccc(Cl)c2[O-])cc[nH+]1 ZINC001206557000 968379387 /nfs/dbraw/zinc/37/93/87/968379387.db2.gz GDWCUGRZERGFMK-UHFFFAOYSA-N 0 3 235.674 2.766 20 0 BFADHN CCCCN(CC)Cc1ncccc1OC ZINC001206610757 968419403 /nfs/dbraw/zinc/41/94/03/968419403.db2.gz MCJGCPGMJCJCOH-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN COc1cc(C)ccc1CN1CC[C@H]1C ZINC001206741350 968450373 /nfs/dbraw/zinc/45/03/73/968450373.db2.gz REQLNXXZNVNBON-LLVKDONJSA-N 0 3 205.301 2.598 20 0 BFADHN Cc1ncc(CN2CCC[C@H]3CCCC[C@H]32)n1C ZINC001206794855 968476709 /nfs/dbraw/zinc/47/67/09/968476709.db2.gz ZIGIJTQLKLHTSP-UKRRQHHQSA-N 0 3 247.386 2.883 20 0 BFADHN Cc1ncc(CN2CCC[C@H]3CCCC[C@@H]32)n1C ZINC001206794854 968479483 /nfs/dbraw/zinc/47/94/83/968479483.db2.gz ZIGIJTQLKLHTSP-HIFRSBDPSA-N 0 3 247.386 2.883 20 0 BFADHN CCCC[C@H](O)CNCc1ccc(F)cc1F ZINC001252078242 968497070 /nfs/dbraw/zinc/49/70/70/968497070.db2.gz MBVYFPGHZRUFPL-LBPRGKRZSA-N 0 3 243.297 2.606 20 0 BFADHN Cc1ccnc(CN2CC[C@]3(C2)CCCCO3)c1 ZINC001206845866 968497637 /nfs/dbraw/zinc/49/76/37/968497637.db2.gz CJPGZUGRZHCENH-HNNXBMFYSA-N 0 3 246.354 2.535 20 0 BFADHN CCCOC1CCN(Cc2cc(C)ccn2)CC1 ZINC001206855369 968504565 /nfs/dbraw/zinc/50/45/65/968504565.db2.gz UZLUYPXXDVOAHR-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CCCCCN(C)Cc1cncc(OC)c1 ZINC001206989402 968529548 /nfs/dbraw/zinc/52/95/48/968529548.db2.gz OJDJVKUAXWNRJL-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN C[C@@H]1CCCN(Cc2ncccc2F)CC1 ZINC001207029409 968561966 /nfs/dbraw/zinc/56/19/66/968561966.db2.gz PVJJXSZQTLHUCF-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN CCCN(Cc1ncccc1F)CC1CC1 ZINC001207026701 968562119 /nfs/dbraw/zinc/56/21/19/968562119.db2.gz MTARLFBGUQZYNV-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN Cc1ncc(CN2CC[C@@](C)(CF)C2)s1 ZINC001207058113 968586573 /nfs/dbraw/zinc/58/65/73/968586573.db2.gz BESGBQXSGXVZCJ-NSHDSACASA-N 0 3 228.336 2.633 20 0 BFADHN CCCC[C@@H](O)CNCc1cc(F)ccc1F ZINC001252108429 968589410 /nfs/dbraw/zinc/58/94/10/968589410.db2.gz OKLHKKRLMROGOH-GFCCVEGCSA-N 0 3 243.297 2.606 20 0 BFADHN O=c1cccc(CN2CCCC3(CCC3)C2)[nH]1 ZINC001207075120 968608924 /nfs/dbraw/zinc/60/89/24/968608924.db2.gz WRWHFLAFMQWYHW-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN CCCCCN(C)Cc1ccnc(CC)n1 ZINC001207082009 968613857 /nfs/dbraw/zinc/61/38/57/968613857.db2.gz BVWOSUXGAHGISU-UHFFFAOYSA-N 0 3 221.348 2.661 20 0 BFADHN CCc1nccc(CN2CCC3(CCC3)CC2)n1 ZINC001207083584 968617424 /nfs/dbraw/zinc/61/74/24/968617424.db2.gz CDAZKQJXTRMRBY-UHFFFAOYSA-N 0 3 245.370 2.805 20 0 BFADHN CCc1nccc(CN2CCCC[C@@H]2CC)n1 ZINC001207087986 968621891 /nfs/dbraw/zinc/62/18/91/968621891.db2.gz IGSVKKGGVHGAIJ-ZDUSSCGKSA-N 0 3 233.359 2.804 20 0 BFADHN CCn1ccnc1CN1CCC[C@H](C)[C@H](C)C1 ZINC001207140164 968652959 /nfs/dbraw/zinc/65/29/59/968652959.db2.gz FKJUGLJEQRRYCJ-QWHCGFSZSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1cncc(CN2CCC3(CCCO3)CC2)c1 ZINC001207161324 968670575 /nfs/dbraw/zinc/67/05/75/968670575.db2.gz YPKSOQAMFWQDBJ-UHFFFAOYSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1ncccc1-c1ccc2[nH]c(N)nc2c1 ZINC001240730865 968672103 /nfs/dbraw/zinc/67/21/03/968672103.db2.gz WBBRGLRTXWLIPJ-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Cc1ncccc1-c1ccc2nc(N)[nH]c2c1 ZINC001240730865 968672106 /nfs/dbraw/zinc/67/21/06/968672106.db2.gz WBBRGLRTXWLIPJ-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN C=Cc1nc(C)cc2c1cc(C(=O)OCC)n2C ZINC001240828652 968707442 /nfs/dbraw/zinc/70/74/42/968707442.db2.gz YDRCULBIKSVJDQ-UHFFFAOYSA-N 0 3 244.294 2.701 20 0 BFADHN CC1=CC[C@@H](CCN2C[C@@H]3C[C@H]2CO3)C1(C)C ZINC001207278806 968712292 /nfs/dbraw/zinc/71/22/92/968712292.db2.gz JBRDNCFMOLTYKY-IHRRRGAJSA-N 0 3 235.371 2.842 20 0 BFADHN Cc1cc(-c2ccc(C3=NCCC3)cc2)n(C)n1 ZINC001240858287 968772661 /nfs/dbraw/zinc/77/26/61/968772661.db2.gz VFIYXKQBJFAZJO-UHFFFAOYSA-N 0 3 239.322 2.978 20 0 BFADHN C1=C[C@@H]2C[C@H]1C[C@@H]2CN1CCc2cnccc2C1 ZINC001207616350 968837262 /nfs/dbraw/zinc/83/72/62/968837262.db2.gz XVMHAIQHKDGDFS-WOSRLPQWSA-N 0 3 240.350 2.652 20 0 BFADHN C1=C[C@@H]2C[C@H]1C[C@H]2CN1CCc2ncccc2C1 ZINC001207626382 968841274 /nfs/dbraw/zinc/84/12/74/968841274.db2.gz BZJYOECDCCPNFV-GUTXKFCHSA-N 0 3 240.350 2.652 20 0 BFADHN CC(C)(C)C(=N)Nc1cc(-n2ccnc2)ccn1 ZINC001155181729 968915156 /nfs/dbraw/zinc/91/51/56/968915156.db2.gz PFHZDOPJFIFZHN-UHFFFAOYSA-N 0 3 243.314 2.703 20 0 BFADHN Cc1ccc(CCCN2CC(C)(C)C2)o1 ZINC001207938986 968935746 /nfs/dbraw/zinc/93/57/46/968935746.db2.gz YGEKECGEYIGIDZ-UHFFFAOYSA-N 0 3 207.317 2.862 20 0 BFADHN CC(C)CC(C)(C)CNCc1ccn(C)n1 ZINC000165540460 968942125 /nfs/dbraw/zinc/94/21/25/968942125.db2.gz MFKSNGXVZWFZNP-UHFFFAOYSA-N 0 3 223.364 2.582 20 0 BFADHN CC(C)(C)c1cccc(-c2cc(CN)ncn2)c1 ZINC001241297267 968968315 /nfs/dbraw/zinc/96/83/15/968968315.db2.gz UNKYRALIERPZSM-UHFFFAOYSA-N 0 3 241.338 2.900 20 0 BFADHN Cc1nc2cccc(C3=CCN(C)CC3)c2o1 ZINC001241330507 968979994 /nfs/dbraw/zinc/97/99/94/968979994.db2.gz UKVXCPWRBBOLRK-UHFFFAOYSA-N 0 3 228.295 2.855 20 0 BFADHN CN1CC=C(c2ccc(OC3CCC3)nc2)CC1 ZINC001241331843 968980327 /nfs/dbraw/zinc/98/03/27/968980327.db2.gz APJOEDLPWZAAOL-UHFFFAOYSA-N 0 3 244.338 2.732 20 0 BFADHN Cn1cnc2c1CN(CCCC1CCCC1)CC2 ZINC001208094671 968996187 /nfs/dbraw/zinc/99/61/87/968996187.db2.gz OWGPZTFBTKCSIU-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN CC/C=C\CCCN1CC[C@@](C)(O)[C@@H](F)C1 ZINC001208134780 969034027 /nfs/dbraw/zinc/03/40/27/969034027.db2.gz OQTOIHATEAGPQD-OEFFGFFESA-N 0 3 229.339 2.528 20 0 BFADHN CC/C=C\CCCN1CCC[C@]1(C)C(=O)OC ZINC001208134915 969034610 /nfs/dbraw/zinc/03/46/10/969034610.db2.gz RUBQFDBUVXLZJC-GEXIGZQTSA-N 0 3 239.359 2.760 20 0 BFADHN CC/C=C\CCCN1CCOC[C@@H]1CC ZINC001208136665 969040621 /nfs/dbraw/zinc/04/06/21/969040621.db2.gz XAVNBFKITVZHQW-SZZPACECSA-N 0 3 211.349 2.844 20 0 BFADHN Cc1nc(OC(C)C)ccc1C1=CCCN(C)C1 ZINC001241570582 969057154 /nfs/dbraw/zinc/05/71/54/969057154.db2.gz KFRBDGHFCBKCOE-UHFFFAOYSA-N 0 3 246.354 2.896 20 0 BFADHN COc1ccc2[nH]ccc2c1C1=CCCN(C)C1 ZINC001241570063 969057363 /nfs/dbraw/zinc/05/73/63/969057363.db2.gz FEOTUQOVMWAUQW-UHFFFAOYSA-N 0 3 242.322 2.895 20 0 BFADHN Cc1ccc([C@H](C)CCN2CC3(CC(O)C3)C2)o1 ZINC001208150693 969074615 /nfs/dbraw/zinc/07/46/15/969074615.db2.gz HCTXWCVAXOAPSB-LLVKDONJSA-N 0 3 249.354 2.538 20 0 BFADHN CC1=C[C@H](C)[C@H](CN2CCOCC23CC3)CC1 ZINC001208181908 969111450 /nfs/dbraw/zinc/11/14/50/969111450.db2.gz RQJNAURUKPDUCY-KBPBESRZSA-N 0 3 235.371 2.844 20 0 BFADHN CC1=C[C@@H](C)[C@@H](CN(C2CC2)C2COC2)CC1 ZINC001208180630 969114306 /nfs/dbraw/zinc/11/43/06/969114306.db2.gz HTTKDMCOMGBEKD-CHWSQXEVSA-N 0 3 235.371 2.842 20 0 BFADHN Fc1cccc2c1CC[C@@H]2N1CCCOCC1 ZINC001253312570 969133351 /nfs/dbraw/zinc/13/33/51/969133351.db2.gz NXWYGUQTZLHPMI-AWEZNQCLSA-N 0 3 235.302 2.535 20 0 BFADHN CCc1nn(C)c(CC)c1CNC/C=C/C1CC1 ZINC001324490160 969140138 /nfs/dbraw/zinc/14/01/38/969140138.db2.gz DMXOTQVQAPJYDM-VOTSOKGWSA-N 0 3 247.386 2.601 20 0 BFADHN CC/C=C\CCN1CCN(c2cccnc2)CC1 ZINC001208725016 969207963 /nfs/dbraw/zinc/20/79/63/969207963.db2.gz GCPOBNSOCFAAKH-ARJAWSKDSA-N 0 3 245.370 2.560 20 0 BFADHN CC/C=C\CCN1CCc2occc2C1 ZINC001208730105 969217555 /nfs/dbraw/zinc/21/75/55/969217555.db2.gz OJRGIZREQKJPQF-ARJAWSKDSA-N 0 3 205.301 2.994 20 0 BFADHN Cc1cnn(C2CCC2)c1NC1=CCN(C)CC1 ZINC001208802791 969244012 /nfs/dbraw/zinc/24/40/12/969244012.db2.gz PCQQDLASDTVFOA-UHFFFAOYSA-N 0 3 246.358 2.548 20 0 BFADHN CCCc1ccnc(NC2=CCN(C)CC2)c1 ZINC001208802462 969244773 /nfs/dbraw/zinc/24/47/73/969244773.db2.gz MBMKERMDLLJJTG-UHFFFAOYSA-N 0 3 231.343 2.665 20 0 BFADHN CN1CC=C(Nc2csc3cnccc23)CC1 ZINC001208794011 969246259 /nfs/dbraw/zinc/24/62/59/969246259.db2.gz MJNBFEXMFZXQTN-UHFFFAOYSA-N 0 3 245.351 2.928 20 0 BFADHN CN1CC=C(Nc2cccc3cccnc32)CC1 ZINC001208837959 969248311 /nfs/dbraw/zinc/24/83/11/969248311.db2.gz LNJFFMBJJPGGCC-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN CN1CC=C(Nc2ccc(F)c(F)c2)CC1 ZINC001208838009 969248521 /nfs/dbraw/zinc/24/85/21/969248521.db2.gz MKZSBQNCPAQFEO-UHFFFAOYSA-N 0 3 224.254 2.596 20 0 BFADHN CCc1nccc(C)c1NC1=CCN(C)CC1 ZINC001208838221 969249437 /nfs/dbraw/zinc/24/94/37/969249437.db2.gz PUBOVAQBEZREQI-UHFFFAOYSA-N 0 3 231.343 2.584 20 0 BFADHN Cc1ccc(NC2=CCN(C)CC2)c(C)c1 ZINC001208837521 969249569 /nfs/dbraw/zinc/24/95/69/969249569.db2.gz KKHXHTCYUMASSY-UHFFFAOYSA-N 0 3 216.328 2.935 20 0 BFADHN Cc1cc(C)cc(NC2=CCN(C)CC2)c1 ZINC001208838027 969250718 /nfs/dbraw/zinc/25/07/18/969250718.db2.gz MXUSEYHJBIKDGE-UHFFFAOYSA-N 0 3 216.328 2.935 20 0 BFADHN Cc1cc(C)c(N)c(NC2=CCN(C)CC2)c1 ZINC001208843450 969254969 /nfs/dbraw/zinc/25/49/69/969254969.db2.gz DNSPUGGIHDBITB-UHFFFAOYSA-N 0 3 231.343 2.517 20 0 BFADHN COc1cc(C)cc(NC2=CCN(C)CC2)c1 ZINC001208845466 969255771 /nfs/dbraw/zinc/25/57/71/969255771.db2.gz XEINTHBIGTXGEO-UHFFFAOYSA-N 0 3 232.327 2.635 20 0 BFADHN COc1ccccc1CCCN(C)CCF ZINC001208847589 969257401 /nfs/dbraw/zinc/25/74/01/969257401.db2.gz NRAYHVMUCXOSGM-UHFFFAOYSA-N 0 3 225.307 2.529 20 0 BFADHN C=Cc1ccc(NC2=CCN(C)CC2)cc1 ZINC001208845600 969258098 /nfs/dbraw/zinc/25/80/98/969258098.db2.gz YVXVADFAUDXLOL-UHFFFAOYSA-N 0 3 214.312 2.961 20 0 BFADHN Cn1ncc2c1CCN(CC1(C)CCCCC1)C2 ZINC001208901600 969290982 /nfs/dbraw/zinc/29/09/82/969290982.db2.gz BTCWGVAYCVCZAF-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN Cc1c(F)ccc(NC2=CCN(C)CC2)c1F ZINC001208910414 969296491 /nfs/dbraw/zinc/29/64/91/969296491.db2.gz OTYQCGDMRACDDC-UHFFFAOYSA-N 0 3 238.281 2.905 20 0 BFADHN C=Cc1ccccc1NC1=CCN(C)CC1 ZINC001208910198 969297408 /nfs/dbraw/zinc/29/74/08/969297408.db2.gz LNVYLNAGRADUMA-UHFFFAOYSA-N 0 3 214.312 2.961 20 0 BFADHN CN1CC=C(Nc2cc(N)cc(Cl)c2)CC1 ZINC001208980234 969315550 /nfs/dbraw/zinc/31/55/50/969315550.db2.gz RNPOAOLNZACVKJ-UHFFFAOYSA-N 0 3 237.734 2.554 20 0 BFADHN CNc1cccc(C)c1NC1=CCN(C)CC1 ZINC001208978567 969316797 /nfs/dbraw/zinc/31/67/97/969316797.db2.gz DLJDEJILHAHBGE-UHFFFAOYSA-N 0 3 231.343 2.668 20 0 BFADHN CCN(CCCOC)Cc1ccccc1F ZINC001209054241 969338814 /nfs/dbraw/zinc/33/88/14/969338814.db2.gz ZRTNTEHKPWOAFW-UHFFFAOYSA-N 0 3 225.307 2.684 20 0 BFADHN CC[C@H](C)[C@H](C(=O)OC)N(CC)CCC1CC1 ZINC001169014179 969343096 /nfs/dbraw/zinc/34/30/96/969343096.db2.gz MLYLXMCCXYTBOK-WCQYABFASA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H]1C[C@@H](F)CN1CCc1ccc2c(c1)CCO2 ZINC001209142535 969356182 /nfs/dbraw/zinc/35/61/82/969356182.db2.gz DLNYTFJWHCUZAP-BXUZGUMPSA-N 0 3 249.329 2.596 20 0 BFADHN c1cc2c(o1)CCN(CCCc1ccncc1)C2 ZINC001209189046 969378871 /nfs/dbraw/zinc/37/88/71/969378871.db2.gz KCHREJOURWIFCQ-UHFFFAOYSA-N 0 3 242.322 2.666 20 0 BFADHN Cc1ccc(Cl)c(CNC[C@H](O)C(C)C)c1 ZINC001253784979 969403543 /nfs/dbraw/zinc/40/35/43/969403543.db2.gz PFWLPALJESRYHA-ZDUSSCGKSA-N 0 3 241.762 2.755 20 0 BFADHN CC[C@@]12C[C@@H](CO1)N(CCc1cccs1)C2 ZINC001209227600 969411408 /nfs/dbraw/zinc/41/14/08/969411408.db2.gz RDKFNKNBKFOZAX-AAEUAGOBSA-N 0 3 237.368 2.544 20 0 BFADHN C[C@@H](N)c1ccn(-c2nccc3sccc32)n1 ZINC001169227645 969492966 /nfs/dbraw/zinc/49/29/66/969492966.db2.gz DLSAOZQVSWHNOS-MRVPVSSYSA-N 0 3 244.323 2.502 20 0 BFADHN Cc1cc(C)c(-n2ccc([C@@H](C)N)n2)c(C)c1 ZINC001169231375 969506744 /nfs/dbraw/zinc/50/67/44/969506744.db2.gz IENQEWJCWMVEGJ-GFCCVEGCSA-N 0 3 229.327 2.817 20 0 BFADHN Cc1cc(-n2ccc([C@@H](C)N)n2)cc(C)c1C ZINC001169231902 969507250 /nfs/dbraw/zinc/50/72/50/969507250.db2.gz WBFADUSTEZDRQS-GFCCVEGCSA-N 0 3 229.327 2.817 20 0 BFADHN C[C@@H](N)c1ccn(-c2cc(F)cc3cc[nH]c32)n1 ZINC001169231519 969507681 /nfs/dbraw/zinc/50/76/81/969507681.db2.gz LMKATUVPKGNLHY-MRVPVSSYSA-N 0 3 244.273 2.512 20 0 BFADHN Cc1cc(C)c(-n2ccc([C@H](C)N)n2)c(C)c1 ZINC001169231376 969508403 /nfs/dbraw/zinc/50/84/03/969508403.db2.gz IENQEWJCWMVEGJ-LBPRGKRZSA-N 0 3 229.327 2.817 20 0 BFADHN CCCc1ccc(-n2ccc([C@@H](C)N)n2)cc1 ZINC001169233058 969514157 /nfs/dbraw/zinc/51/41/57/969514157.db2.gz NDGJYFSBQBEHBR-LLVKDONJSA-N 0 3 229.327 2.845 20 0 BFADHN Cc1ccc(-n2ccc([C@@H](C)N)n2)c2cc[nH]c21 ZINC001169233581 969514243 /nfs/dbraw/zinc/51/42/43/969514243.db2.gz AHOCCEZTPBYBSL-SNVBAGLBSA-N 0 3 240.310 2.682 20 0 BFADHN Cc1cc(-n2ccc([C@H](C)N)n2)cc2cc[nH]c21 ZINC001169235071 969520292 /nfs/dbraw/zinc/52/02/92/969520292.db2.gz PFDRJFPWRBMJHU-JTQLQIEISA-N 0 3 240.310 2.682 20 0 BFADHN c1cc2ccc(CN3CC[C@@]34CCOC4)cc2[nH]1 ZINC001209515748 969531859 /nfs/dbraw/zinc/53/18/59/969531859.db2.gz GFTPGOUERWWGJZ-HNNXBMFYSA-N 0 3 242.322 2.533 20 0 BFADHN Cn1nc2ccccc2c1CN1CCC(F)CC1 ZINC001209533861 969532879 /nfs/dbraw/zinc/53/28/79/969532879.db2.gz DMAIHXWFDNBSIR-UHFFFAOYSA-N 0 3 247.317 2.507 20 0 BFADHN Cc1nc2ccc(N[C@H]3CCC[C@@H](O)C3)cc2[nH]1 ZINC001169250868 969538848 /nfs/dbraw/zinc/53/88/48/969538848.db2.gz ICWXAEQQHRCILW-CMPLNLGQSA-N 0 3 245.326 2.587 20 0 BFADHN C[C@H]1CCN(C2CCC(F)(F)CC2)CCC1=O ZINC001254218491 969543195 /nfs/dbraw/zinc/54/31/95/969543195.db2.gz IYIXNSCLOPQLRT-JTQLQIEISA-N 0 3 245.313 2.865 20 0 BFADHN COc1cc(CN2CC[C@H](C)C[C@H]2C)ccn1 ZINC001209642980 969570287 /nfs/dbraw/zinc/57/02/87/969570287.db2.gz YIHPIFPVIQCCPZ-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN Fc1cccc(C2(N[C@@H]3CCCOC3)CC2)c1 ZINC001254368638 969580905 /nfs/dbraw/zinc/58/09/05/969580905.db2.gz STEYWRPXBJOEND-CYBMUJFWSA-N 0 3 235.302 2.583 20 0 BFADHN CC[C@@H](N[C@@H]1CCCOC1)c1ccc(C)o1 ZINC001254369115 969581430 /nfs/dbraw/zinc/58/14/30/969581430.db2.gz YBQMDCVOZJHUGD-VXGBXAGGSA-N 0 3 223.316 2.808 20 0 BFADHN COc1ccccc1[C@H](C)N(C)[C@@H]1CCCOC1 ZINC001254386417 969603758 /nfs/dbraw/zinc/60/37/58/969603758.db2.gz QMEDADDFKZHMJJ-QWHCGFSZSA-N 0 3 249.354 2.867 20 0 BFADHN C1CC12CCC(N1CC3(C1)CCCCO3)CC2 ZINC001254442038 969631674 /nfs/dbraw/zinc/63/16/74/969631674.db2.gz AFUGLMRSGRJCGQ-UHFFFAOYSA-N 0 3 235.371 2.964 20 0 BFADHN Cn1cc2c(n1)CCN(C1CCC3(CC3)CC1)C2 ZINC001254444868 969634622 /nfs/dbraw/zinc/63/46/22/969634622.db2.gz BFPJOGHJWOBMEZ-UHFFFAOYSA-N 0 3 245.370 2.501 20 0 BFADHN Cc1cc2[nH]ncc2cc1Nc1cncc(N)c1 ZINC001210101571 969801722 /nfs/dbraw/zinc/80/17/22/969801722.db2.gz HECPSAZNUBHDSE-UHFFFAOYSA-N 0 3 239.282 2.592 20 0 BFADHN COc1ncc(Cl)cc1Nc1ccncc1C ZINC001210449947 969890400 /nfs/dbraw/zinc/89/04/00/969890400.db2.gz SBHNYWBWCNUEAZ-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN C[C@@H](O)c1cccc(-c2cc(N(C)C)ccn2)c1 ZINC001242546017 969997082 /nfs/dbraw/zinc/99/70/82/969997082.db2.gz KFEBOMCXFKTOMH-LLVKDONJSA-N 0 3 242.322 2.868 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCSC2)nc1 ZINC001255270729 970022952 /nfs/dbraw/zinc/02/29/52/970022952.db2.gz LHEYKNPDRFDGNS-QWRGUYRKSA-N 0 3 222.357 2.546 20 0 BFADHN CCc1cccc(C)c1-c1cc(CNC)ncn1 ZINC001242817433 970094097 /nfs/dbraw/zinc/09/40/97/970094097.db2.gz VJLWSGVQSAOVQJ-UHFFFAOYSA-N 0 3 241.338 2.734 20 0 BFADHN CC(C)CCC[C@H](C)N[C@@H]1COC[C@@H]1F ZINC001170073801 970165415 /nfs/dbraw/zinc/16/54/15/970165415.db2.gz NTSQFKMLKPGLJK-SDDRHHMPSA-N 0 3 217.328 2.528 20 0 BFADHN COC1CCC(N2CC[C@@](C)(F)[C@@H](F)C2)CC1 ZINC001255457561 970185862 /nfs/dbraw/zinc/18/58/62/970185862.db2.gz WWFVZOMPNPSTQT-IFWUJCSASA-N 0 3 247.329 2.716 20 0 BFADHN COC1CCC(N2CCc3occc3C2)CC1 ZINC001255463847 970192054 /nfs/dbraw/zinc/19/20/54/970192054.db2.gz SYXMJWOMQGBDBM-UHFFFAOYSA-N 0 3 235.327 2.595 20 0 BFADHN CC(C)CCC[C@H](C)N1CCc2nn(C)cc2C1 ZINC001170084936 970202056 /nfs/dbraw/zinc/20/20/56/970202056.db2.gz ZZNRIINESQPKPZ-ZDUSSCGKSA-N 0 3 249.402 2.993 20 0 BFADHN CC(C)CCC[C@@H](C)n1cnc(CN)c1 ZINC000300765496 970297159 /nfs/dbraw/zinc/29/71/59/970297159.db2.gz XYQVAYNYGYFBRJ-LLVKDONJSA-N 0 3 209.337 2.729 20 0 BFADHN NCc1cn(C2CCC(C(F)(F)F)CC2)cn1 ZINC001255506649 970270098 /nfs/dbraw/zinc/27/00/98/970270098.db2.gz FTVAQAYKFGAHJK-UHFFFAOYSA-N 0 3 247.264 2.635 20 0 BFADHN C[C@H]1CC[C@H](N2CCN(C)c3ncccc3C2)C1 ZINC001170144859 970430299 /nfs/dbraw/zinc/43/02/99/970430299.db2.gz PRSNZKQCGPDTLT-JSGCOSHPSA-N 0 3 245.370 2.522 20 0 BFADHN CNc1nccc(CN(C)CC2CCCCC2)n1 ZINC000302583634 970448729 /nfs/dbraw/zinc/44/87/29/970448729.db2.gz GEVIIIXSBDJXDU-UHFFFAOYSA-N 0 3 248.374 2.530 20 0 BFADHN Cc1ccc(C[C@H](C)N[C@@H]2C[C@H]2F)cc1 ZINC001255777989 970483822 /nfs/dbraw/zinc/48/38/22/970483822.db2.gz PCRKULOQYSVSFV-CYZMBNFOSA-N 0 3 207.292 2.626 20 0 BFADHN C[C@H](Cc1ccc(F)cc1)n1ccc([C@H](C)N)n1 ZINC001255861463 970526680 /nfs/dbraw/zinc/52/66/80/970526680.db2.gz YYMSGKHPIXEBFC-MNOVXSKESA-N 0 3 247.317 2.846 20 0 BFADHN C[C@@H](Cc1ccc(F)cc1)N(C)CCF ZINC001255880466 970542149 /nfs/dbraw/zinc/54/21/49/970542149.db2.gz SWPVTBYPHXEBRL-JTQLQIEISA-N 0 3 213.271 2.658 20 0 BFADHN CC[C@H]1C[C@H](NCOc2ccccc2)CCO1 ZINC001170178014 970554304 /nfs/dbraw/zinc/55/43/04/970554304.db2.gz MGPWTXHBDCBVKG-OLZOCXBDSA-N 0 3 235.327 2.570 20 0 BFADHN Cc1cnccc1Nc1c(C)cc(CO)cc1C ZINC001214944625 970578794 /nfs/dbraw/zinc/57/87/94/970578794.db2.gz YLIXXCVBMYVRNE-UHFFFAOYSA-N 0 3 242.322 2.665 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)Nc1ccc(C)cc1 ZINC000400792178 970626757 /nfs/dbraw/zinc/62/67/57/970626757.db2.gz VCZWJUWWBHWSNK-MFKMUULPSA-N 0 3 234.343 2.697 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)Nc1ccc(F)cc1 ZINC000400905869 970642998 /nfs/dbraw/zinc/64/29/98/970642998.db2.gz PNSNVXCCIHGZCJ-CABZTGNLSA-N 0 3 238.306 2.528 20 0 BFADHN Cc1cc(NCC2CN(C)C2)ccc1OC(C)C ZINC001170258754 970649263 /nfs/dbraw/zinc/64/92/63/970649263.db2.gz MKFALEHNFOYZRS-UHFFFAOYSA-N 0 3 248.370 2.756 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N1CCCC[C@H]1CC ZINC000400972844 970653919 /nfs/dbraw/zinc/65/39/19/970653919.db2.gz OWQULVFTRSAYPU-YNEHKIRRSA-N 0 3 240.391 2.541 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)N1CCCCCCC1 ZINC000400981205 970654272 /nfs/dbraw/zinc/65/42/72/970654272.db2.gz RTOREZXVARQBSV-OLZOCXBDSA-N 0 3 240.391 2.543 20 0 BFADHN CCCC(C)(C)C(=O)Nc1cnccc1N(C)C ZINC001144109921 972577691 /nfs/dbraw/zinc/57/76/91/972577691.db2.gz MSTSFKUTLITUNN-UHFFFAOYSA-N 0 3 249.358 2.912 20 0 BFADHN Cc1c(O)cccc1CN1CCC(F)CC1 ZINC001144108240 972580657 /nfs/dbraw/zinc/58/06/57/972580657.db2.gz UTWKAXGLJBOZNI-UHFFFAOYSA-N 0 3 223.291 2.635 20 0 BFADHN Cc1c(O)cccc1CN(C)C[C@@H]1CCCCO1 ZINC001144118824 972590550 /nfs/dbraw/zinc/59/05/50/972590550.db2.gz LXEGQUHSNKHFNZ-AWEZNQCLSA-N 0 3 249.354 2.702 20 0 BFADHN CC1(F)CCN(Cc2ccc3cc[nH]c3n2)CC1 ZINC001144168680 972665759 /nfs/dbraw/zinc/66/57/59/972665759.db2.gz HVVQXINZAKMVJQ-UHFFFAOYSA-N 0 3 247.317 2.887 20 0 BFADHN CN(Cc1ncn2ccccc12)CC1CCCC1 ZINC001144624846 972888786 /nfs/dbraw/zinc/88/87/86/972888786.db2.gz TVYGWCQAJYSOAD-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN C[C@H]1CCCN(Cc2ncn3ccccc23)CC1 ZINC001144615200 972891166 /nfs/dbraw/zinc/89/11/66/972891166.db2.gz GQQXYYJRYJWXMP-ZDUSSCGKSA-N 0 3 243.354 2.956 20 0 BFADHN CC1(C)CCN(Cc2ncn3ccccc23)CC1 ZINC001144622217 972893627 /nfs/dbraw/zinc/89/36/27/972893627.db2.gz GPXQZTVJKAMITQ-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN C[C@@H]1CCCN(Cc2ncn3ccccc23)[C@H]1C ZINC001144622861 972895043 /nfs/dbraw/zinc/89/50/43/972895043.db2.gz ORRKKCIVXMTTTC-OLZOCXBDSA-N 0 3 243.354 2.955 20 0 BFADHN c1nc(CN2CCC3(CC3)CC2)c2ccccn12 ZINC001144616615 972895414 /nfs/dbraw/zinc/89/54/14/972895414.db2.gz RWRVCZYSKOJKPS-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN CN[C@@H]1CCN1[C@@H](C)CCc1ccc(C)cc1 ZINC001246898469 973372790 /nfs/dbraw/zinc/37/27/90/973372790.db2.gz MQHIVJDRMMXMGZ-ZFWWWQNUSA-N 0 3 232.371 2.567 20 0 BFADHN CN[C@@H]1CCN1[C@H](C)CCc1ccc(C)cc1 ZINC001246898448 973373406 /nfs/dbraw/zinc/37/34/06/973373406.db2.gz MQHIVJDRMMXMGZ-HIFRSBDPSA-N 0 3 232.371 2.567 20 0 BFADHN Fc1cc(CN2CC[C@H](C3CCC3)C2)ccn1 ZINC001328868434 973377676 /nfs/dbraw/zinc/37/76/76/973377676.db2.gz XGXXZYBZUNEXGW-ZDUSSCGKSA-N 0 3 234.318 2.843 20 0 BFADHN CCN1CCC[C@H](O[C@H](C)c2nccs2)C1 ZINC001224007444 973399584 /nfs/dbraw/zinc/39/95/84/973399584.db2.gz POGLEBCKCAKZMC-MNOVXSKESA-N 0 3 240.372 2.705 20 0 BFADHN COCc1cccc(CNC/C=C/Cl)c1 ZINC000234786199 973747710 /nfs/dbraw/zinc/74/77/10/973747710.db2.gz RIKWIERWIRZPIK-ZZXKWVIFSA-N 0 3 225.719 2.675 20 0 BFADHN CC(C)Cn1nccc1CNC/C=C/C1CC1 ZINC001329412904 973751246 /nfs/dbraw/zinc/75/12/46/973751246.db2.gz CCMZJTJIFSPPSS-ONEGZZNKSA-N 0 3 233.359 2.595 20 0 BFADHN Cc1c(F)nccc1CNC/C=C\C1CC1 ZINC001329520630 973809325 /nfs/dbraw/zinc/80/93/25/973809325.db2.gz CDWICGUEDCQIPQ-IHWYPQMZSA-N 0 3 220.291 2.585 20 0 BFADHN Fc1cc(F)c2c(c1)C[C@H](N[C@@H]1C[C@H]1F)CC2 ZINC001171345913 973924826 /nfs/dbraw/zinc/92/48/26/973924826.db2.gz OMVYVPWNFMFMTL-OASPWFOLSA-N 0 3 241.256 2.522 20 0 BFADHN Cc1cccc2c1CC[C@@H](N[C@@H]1C[C@H]1F)C2 ZINC001171553006 974098667 /nfs/dbraw/zinc/09/86/67/974098667.db2.gz JWCKSBDRAVXWBV-MRVWCRGKSA-N 0 3 219.303 2.552 20 0 BFADHN CCN(C1COC1)[C@@H]1CCc2c(C)cccc2C1 ZINC001171569771 974103640 /nfs/dbraw/zinc/10/36/40/974103640.db2.gz BDQQAHIYERBRES-CQSZACIVSA-N 0 3 245.366 2.573 20 0 BFADHN Cc1cccc2c1CC[C@H](N1CCO[C@@H](C)C1)C2 ZINC001171565145 974105671 /nfs/dbraw/zinc/10/56/71/974105671.db2.gz LWZDSQDQCNSUDB-ZFWWWQNUSA-N 0 3 245.366 2.573 20 0 BFADHN Cc1cccc2c1CC[C@H](N(C)CCF)C2 ZINC001171578628 974107971 /nfs/dbraw/zinc/10/79/71/974107971.db2.gz LRNLFMFASGVYGU-ZDUSSCGKSA-N 0 3 221.319 2.754 20 0 BFADHN COC[C@@H](CN1CCC=C(Cl)C1)C(C)C ZINC001171665927 974131065 /nfs/dbraw/zinc/13/10/65/974131065.db2.gz JILWLXGXCICBAJ-LLVKDONJSA-N 0 3 231.767 2.733 20 0 BFADHN CC1(C)CN([C@@H]2Cc3cccc(F)c3C2)C1 ZINC001171792766 974183839 /nfs/dbraw/zinc/18/38/39/974183839.db2.gz JBNLKBBLEBKNEE-LLVKDONJSA-N 0 3 219.303 2.635 20 0 BFADHN CCN1CCC[C@@H](Oc2ccccc2F)C1 ZINC001225200046 974215331 /nfs/dbraw/zinc/21/53/31/974215331.db2.gz DGKLLQODKZMUMK-LLVKDONJSA-N 0 3 223.291 2.689 20 0 BFADHN CCOc1ccccc1O[C@H]1CN2CCC1CC2 ZINC001225212382 974235574 /nfs/dbraw/zinc/23/55/74/974235574.db2.gz IDORHDNESSWFEA-HNNXBMFYSA-N 0 3 247.338 2.558 20 0 BFADHN CO[C@H](CN(C)CCC(F)(F)F)C1CCC1 ZINC001330548541 974309516 /nfs/dbraw/zinc/30/95/16/974309516.db2.gz ZCUFJPSZLIDSIF-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1ccc(F)c(O[C@@H]2CCN(C(C)C)C2)c1 ZINC001225353250 974438440 /nfs/dbraw/zinc/43/84/40/974438440.db2.gz DHVAXEYPVTZZRS-GFCCVEGCSA-N 0 3 237.318 2.996 20 0 BFADHN C[C@H](NC1C[C@H]2CC[C@@H](C1)O2)c1cscn1 ZINC001172218435 974445739 /nfs/dbraw/zinc/44/57/39/974445739.db2.gz VJZSMVXADHNVQG-OFLUOSHYSA-N 0 3 238.356 2.504 20 0 BFADHN CC(C)c1ncccc1O[C@@H]1CN2CCC1CC2 ZINC001225521904 974536490 /nfs/dbraw/zinc/53/64/90/974536490.db2.gz LZVQWHSPSLKBEP-CQSZACIVSA-N 0 3 246.354 2.678 20 0 BFADHN CCCOC1CN([C@@H]2CC[C@@H](C)[C@@H](C)C2)C1 ZINC001172373812 974568543 /nfs/dbraw/zinc/56/85/43/974568543.db2.gz NRZPGFHTHZINGX-FRRDWIJNSA-N 0 3 225.376 2.922 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)N1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001172331102 974593977 /nfs/dbraw/zinc/59/39/77/974593977.db2.gz PBQXTHCLNNJXTH-DGTMBMJNSA-N 0 3 243.366 2.870 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001172331110 974596648 /nfs/dbraw/zinc/59/66/48/974596648.db2.gz PBQXTHCLNNJXTH-PEDHHIEDSA-N 0 3 243.366 2.870 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)N1C[C@@H](C)OC[C@H]1C ZINC001172348103 974618950 /nfs/dbraw/zinc/61/89/50/974618950.db2.gz OAXLZJHJEUEYJH-REWJHTLYSA-N 0 3 243.391 2.689 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)N1C[C@H](C)O[C@@H](C)C1 ZINC001172351135 974620268 /nfs/dbraw/zinc/62/02/68/974620268.db2.gz BMXLHRZULDUHFT-CRWXNKLISA-N 0 3 243.391 2.689 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)N1C[C@H](C)O[C@@H](C)C1 ZINC001172351136 974620782 /nfs/dbraw/zinc/62/07/82/974620782.db2.gz BMXLHRZULDUHFT-MQYQWHSLSA-N 0 3 243.391 2.689 20 0 BFADHN C[C@H](N)c1ccn([C@@H]2CC[C@H](C)[C@@H](C)C2)n1 ZINC001172363556 974635225 /nfs/dbraw/zinc/63/52/25/974635225.db2.gz LBTQIIDRGHXKTB-FIQHERPVSA-N 0 3 221.348 2.900 20 0 BFADHN CCN1CC[C@@H](Oc2ccccc2SC)C1 ZINC001225668454 974636155 /nfs/dbraw/zinc/63/61/55/974636155.db2.gz LEQLMVPYHXZZLZ-LLVKDONJSA-N 0 3 237.368 2.882 20 0 BFADHN CC(C)N1CC[C@@H](Oc2cc(F)ccc2F)C1 ZINC001225902201 974722686 /nfs/dbraw/zinc/72/26/86/974722686.db2.gz FNKHWCDWTWBCEZ-LLVKDONJSA-N 0 3 241.281 2.826 20 0 BFADHN CC[C@H](CC(C)C)NCc1cc(C)on1 ZINC001172383202 974725281 /nfs/dbraw/zinc/72/52/81/974725281.db2.gz LCKYTDDIWQUWIG-LLVKDONJSA-N 0 3 210.321 2.897 20 0 BFADHN CSc1ccc(CN2CC[C@H](C)C2)o1 ZINC001331529717 974747778 /nfs/dbraw/zinc/74/77/78/974747778.db2.gz LOGRYXZTUKDPMH-VIFPVBQESA-N 0 3 211.330 2.843 20 0 BFADHN CC(C)COCC[C@H](C)N1C[C@@H](C)OC[C@@H]1C ZINC001172482097 974785071 /nfs/dbraw/zinc/78/50/71/974785071.db2.gz WKIIBEKEFNAWMV-MELADBBJSA-N 0 3 243.391 2.547 20 0 BFADHN CC(C)COCC[C@@H](C)N1C[C@@H](C)OC[C@H]1C ZINC001172485324 974789517 /nfs/dbraw/zinc/78/95/17/974789517.db2.gz WKIIBEKEFNAWMV-MGPQQGTHSA-N 0 3 243.391 2.547 20 0 BFADHN CC(C)OCC[C@@H](C)N1CC(c2cccnc2)C1 ZINC001172457918 974821414 /nfs/dbraw/zinc/82/14/14/974821414.db2.gz WOBIIMGXSCBYNS-CYBMUJFWSA-N 0 3 248.370 2.684 20 0 BFADHN NC1=CN([C@H]2CC[C@@H](c3ccccc3)C2)CC=C1 ZINC001172559491 974869508 /nfs/dbraw/zinc/86/95/08/974869508.db2.gz MCVIPJRQONWFJF-ZBFHGGJFSA-N 0 3 240.350 2.995 20 0 BFADHN NCc1cn([C@@H]2CC[C@@H](c3ccccc3)C2)cn1 ZINC001172548381 974878358 /nfs/dbraw/zinc/87/83/58/974878358.db2.gz DMNGTOTUNGAFCE-UKRRQHHQSA-N 0 3 241.338 2.851 20 0 BFADHN C[C@@H]1C[C@@H](NCCCC(F)F)c2nccn21 ZINC001331964978 974892856 /nfs/dbraw/zinc/89/28/56/974892856.db2.gz NGFUJWNETTVBBO-RKDXNWHRSA-N 0 3 229.274 2.524 20 0 BFADHN C[C@@H]1CCN1[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001172719309 974903953 /nfs/dbraw/zinc/90/39/53/974903953.db2.gz ODTWTXOVYDIYDZ-NOZJJQNGSA-N 0 3 221.731 2.901 20 0 BFADHN CC1CN([C@H]2Cc3ccc(Cl)cc3C2)C1 ZINC001172719253 974906021 /nfs/dbraw/zinc/90/60/21/974906021.db2.gz NRJJOFMKQMZYEJ-ZDUSSCGKSA-N 0 3 221.731 2.759 20 0 BFADHN O[C@@H]1CN(C2CCC3(CCCC3)CC2)C[C@@H]1F ZINC001172727836 974909966 /nfs/dbraw/zinc/90/99/66/974909966.db2.gz YYIJDRWKZIZUNN-QWHCGFSZSA-N 0 3 241.350 2.504 20 0 BFADHN FCC1(N[C@H]2Cc3ccc(Cl)cc3C2)CC1 ZINC001172708092 974926152 /nfs/dbraw/zinc/92/61/52/974926152.db2.gz RPIXUOUNYMMNSD-LBPRGKRZSA-N 0 3 239.721 2.899 20 0 BFADHN Cc1ccc2c(c1)C[C@H](N1CC[C@@H](F)C1)CC2 ZINC001172827701 974947716 /nfs/dbraw/zinc/94/77/16/974947716.db2.gz MZNVVHVNRCELHH-HUUCEWRRSA-N 0 3 233.330 2.896 20 0 BFADHN Cc1ccc2c(c1)C[C@@H](N1CC[C@H](F)C1)CC2 ZINC001172827699 974949181 /nfs/dbraw/zinc/94/91/81/974949181.db2.gz MZNVVHVNRCELHH-GJZGRUSLSA-N 0 3 233.330 2.896 20 0 BFADHN CN1CCC(Oc2cc(Cl)ccc2F)CC1 ZINC001226690832 975025888 /nfs/dbraw/zinc/02/58/88/975025888.db2.gz SFOVTZBRKONSPB-UHFFFAOYSA-N 0 3 243.709 2.952 20 0 BFADHN c1cc2c(c(O[C@@H]3CN4CCC3CC4)c1)CCC2 ZINC001226795995 975089575 /nfs/dbraw/zinc/08/95/75/975089575.db2.gz UTXIUDFCTLVKTF-MRXNPFEDSA-N 0 3 243.350 2.648 20 0 BFADHN CC[C@@H]1CC[C@H](N2CCC(=O)[C@H](F)CC2)C1 ZINC001173270754 975141028 /nfs/dbraw/zinc/14/10/28/975141028.db2.gz AKABWRHIDNHNMN-GRYCIOLGSA-N 0 3 227.323 2.568 20 0 BFADHN CC[C@@H]1CC[C@@H](N2CCCC[C@H]2C(=O)OC)C1 ZINC001173274560 975154063 /nfs/dbraw/zinc/15/40/63/975154063.db2.gz RSTXVQJJWOGJET-UPJWGTAASA-N 0 3 239.359 2.593 20 0 BFADHN CCC(=O)c1ccc(O[C@@H]2CCN(CC)C2)cc1 ZINC001226954538 975187056 /nfs/dbraw/zinc/18/70/56/975187056.db2.gz MCPMZUQYRJRALD-CQSZACIVSA-N 0 3 247.338 2.752 20 0 BFADHN CC(C)c1ccc(O)cc1O[C@H]1CCN(C)C1 ZINC001227019593 975221693 /nfs/dbraw/zinc/22/16/93/975221693.db2.gz ZWLAZRRCAMUCJO-LBPRGKRZSA-N 0 3 235.327 2.599 20 0 BFADHN c1nc(-c2ccccc2)oc1CN1CC[C@@H]2C[C@@H]21 ZINC001332682788 975247642 /nfs/dbraw/zinc/24/76/42/975247642.db2.gz FFKQQLSSRQJQIH-OCCSQVGLSA-N 0 3 240.306 2.936 20 0 BFADHN NCc1cnnn1[C@@H]1CCCC2(CCCCC2)C1 ZINC001173341574 975284314 /nfs/dbraw/zinc/28/43/14/975284314.db2.gz NMFITBKXAKKJOJ-GFCCVEGCSA-N 0 3 248.374 2.802 20 0 BFADHN Cc1cn(C)nc1CN1CCC[C@@H](C(C)C)C1 ZINC001332951643 975334749 /nfs/dbraw/zinc/33/47/49/975334749.db2.gz KLNXBJVJWVXPPH-CYBMUJFWSA-N 0 3 235.375 2.597 20 0 BFADHN CCc1cc(Nc2ccccc2F)ccn1 ZINC001173845041 975732703 /nfs/dbraw/zinc/73/27/03/975732703.db2.gz DSERCOSRTOYUOQ-UHFFFAOYSA-N 0 3 216.259 2.949 20 0 BFADHN CCCC(=O)c1ccccc1O[C@H](C)CN(C)C ZINC001228024549 975855820 /nfs/dbraw/zinc/85/58/20/975855820.db2.gz LDGABISQSVEVET-GFCCVEGCSA-N 0 3 249.354 2.998 20 0 BFADHN CC(=O)c1cccc(Nc2ccncc2C)c1 ZINC001173898313 975887111 /nfs/dbraw/zinc/88/71/11/975887111.db2.gz MPDOFUFZMMNMIT-UHFFFAOYSA-N 0 3 226.279 2.758 20 0 BFADHN CN(C)Cc1ccccc1Nc1cc[nH]c(=O)c1 ZINC001173927506 975888734 /nfs/dbraw/zinc/88/87/34/975888734.db2.gz DPLIIIMRGLBAHC-UHFFFAOYSA-N 0 3 243.310 2.592 20 0 BFADHN COc1cccc(OC)c1Nc1ccncc1C ZINC001173922224 975900319 /nfs/dbraw/zinc/90/03/19/975900319.db2.gz PYHLHLWGMRRYGX-UHFFFAOYSA-N 0 3 244.294 2.573 20 0 BFADHN CCCOc1ccccc1OC1CCN(C)CC1 ZINC001228182142 975983834 /nfs/dbraw/zinc/98/38/34/975983834.db2.gz MSCYUIPKIVFXQC-UHFFFAOYSA-N 0 3 249.354 2.948 20 0 BFADHN CCc1cc(Nc2cc(F)ccc2OC)ccn1 ZINC001173955459 975987621 /nfs/dbraw/zinc/98/76/21/975987621.db2.gz MHSCCASNRUOSAW-UHFFFAOYSA-N 0 3 246.285 2.957 20 0 BFADHN [O-]c1ccc(C[NH2+][C@H]2C=CCCC2)cc1F ZINC001333696874 975999794 /nfs/dbraw/zinc/99/97/94/975999794.db2.gz GEHLCXICUCMVNQ-NSHDSACASA-N 0 3 221.275 2.730 20 0 BFADHN C[C@H]1CCN(c2cc(N)ncc2Cl)C[C@H]1F ZINC001333696150 976002598 /nfs/dbraw/zinc/00/25/98/976002598.db2.gz APBOQWJTDMPJKT-IONNQARKSA-N 0 3 243.713 2.502 20 0 BFADHN Cc1nccc(Nc2ccccc2CN(C)C)n1 ZINC001173928013 976038082 /nfs/dbraw/zinc/03/80/82/976038082.db2.gz UWMOOOYPNMLYRM-UHFFFAOYSA-N 0 3 242.326 2.590 20 0 BFADHN CCn1cc(Nc2ccccc2CN(C)C)cn1 ZINC001173929318 976038555 /nfs/dbraw/zinc/03/85/55/976038555.db2.gz OVJWDJAOFIMKKO-UHFFFAOYSA-N 0 3 244.342 2.708 20 0 BFADHN Cc1cncnc1Nc1ccccc1CN(C)C ZINC001173929124 976038978 /nfs/dbraw/zinc/03/89/78/976038978.db2.gz WJBNDLGFXTZBIO-UHFFFAOYSA-N 0 3 242.326 2.590 20 0 BFADHN CCOc1ccc(CN[C@@H]2CCCSC2)o1 ZINC001333750288 976061042 /nfs/dbraw/zinc/06/10/42/976061042.db2.gz ZJTKHLJEGQDRGR-SNVBAGLBSA-N 0 3 241.356 2.664 20 0 BFADHN Cc1ncc(Nc2cc(F)ccc2F)n1C ZINC001173950030 976106082 /nfs/dbraw/zinc/10/60/82/976106082.db2.gz QHBDDEBTXOCRLL-UHFFFAOYSA-N 0 3 223.226 2.750 20 0 BFADHN C[C@@H](c1ncccn1)N1CCCC[C@@H](C)C1 ZINC001334094866 976300748 /nfs/dbraw/zinc/30/07/48/976300748.db2.gz YKYIPSZWXRELER-NEPJUHHUSA-N 0 3 219.332 2.660 20 0 BFADHN COc1ccc(Nc2ccncc2C)c(F)c1 ZINC001174143092 976375605 /nfs/dbraw/zinc/37/56/05/976375605.db2.gz UMKVVOFBYZTAOD-UHFFFAOYSA-N 0 3 232.258 2.703 20 0 BFADHN C[C@@H]1CN(CCCC(F)(F)F)CCS1 ZINC001334233733 976378875 /nfs/dbraw/zinc/37/88/75/976378875.db2.gz VWVKTXTXMSWJDM-MRVPVSSYSA-N 0 3 227.295 2.766 20 0 BFADHN O=c1[nH]c2cccc(CNC/C=C/C3CC3)c2o1 ZINC001334232186 976391541 /nfs/dbraw/zinc/39/15/41/976391541.db2.gz ALHWTADJOGYJBR-NSCUHMNNSA-N 0 3 244.294 2.589 20 0 BFADHN CN1CCc2cc(O[C@@H]3C=CCC3)ccc2C1 ZINC001228945937 976599627 /nfs/dbraw/zinc/59/96/27/976599627.db2.gz YIGSVYVCSZQZDT-CQSZACIVSA-N 0 3 229.323 2.772 20 0 BFADHN Cc1cnccc1Nc1cc(F)cnc1Cl ZINC001174536625 976816659 /nfs/dbraw/zinc/81/66/59/976816659.db2.gz QNXVYHIKXNZCCX-UHFFFAOYSA-N 0 3 237.665 2.743 20 0 BFADHN Cc1cnccc1Nc1ccc(C(F)F)nc1 ZINC001174536768 976817752 /nfs/dbraw/zinc/81/77/52/976817752.db2.gz UTTNGXDDHBRXOM-UHFFFAOYSA-N 0 3 235.237 2.888 20 0 BFADHN Cc1cnccc1Nc1ncnc2sccc21 ZINC001174536304 976817831 /nfs/dbraw/zinc/81/78/31/976817831.db2.gz SPLVXTLNJHJWPW-UHFFFAOYSA-N 0 3 242.307 2.560 20 0 BFADHN Cc1ccnc(C)c1Nc1ccncc1C ZINC001174536637 976818092 /nfs/dbraw/zinc/81/80/92/976818092.db2.gz HVWJDEYLGQUUMU-UHFFFAOYSA-N 0 3 213.284 2.567 20 0 BFADHN COc1cc(Nc2ccncc2C)cc(Cl)n1 ZINC001174536737 976818518 /nfs/dbraw/zinc/81/85/18/976818518.db2.gz SWHXZYCOBLLKIN-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN Cc1cnccc1N(c1ccc(N)cn1)C1CC1 ZINC001174537342 976821368 /nfs/dbraw/zinc/82/13/68/976821368.db2.gz GXLOKDPTEUZYSV-UHFFFAOYSA-N 0 3 240.310 2.668 20 0 BFADHN Cc1cnccc1Nc1csc2nccnc12 ZINC001174536856 976821480 /nfs/dbraw/zinc/82/14/80/976821480.db2.gz MQFJIWQIELJXDR-UHFFFAOYSA-N 0 3 242.307 2.560 20 0 BFADHN Cc1cnccc1Nc1cn(CC2CCC2)cn1 ZINC001174537626 976823399 /nfs/dbraw/zinc/82/33/99/976823399.db2.gz NCGKXLVURWWRSX-UHFFFAOYSA-N 0 3 242.326 2.552 20 0 BFADHN COc1cc(Nc2ccncc2C)ccc1F ZINC001174538031 976824322 /nfs/dbraw/zinc/82/43/22/976824322.db2.gz ZDAXBJUUCOLFQY-UHFFFAOYSA-N 0 3 232.258 2.703 20 0 BFADHN Cc1cnccc1Nc1ccc(O)c(F)c1F ZINC001174537881 976824471 /nfs/dbraw/zinc/82/44/71/976824471.db2.gz STHUMLOGUSFYJA-UHFFFAOYSA-N 0 3 236.221 2.539 20 0 BFADHN Cc1cnccc1Nc1cc(N)cc(Cl)c1 ZINC001174538288 976825064 /nfs/dbraw/zinc/82/50/64/976825064.db2.gz FTFOPPIIKFUHGK-UHFFFAOYSA-N 0 3 233.702 2.791 20 0 BFADHN Cc1cnccc1Nc1c(F)cc(F)cc1F ZINC001174537796 976826294 /nfs/dbraw/zinc/82/62/94/976826294.db2.gz QMSUARUPRLNYEY-UHFFFAOYSA-N 0 3 238.212 2.973 20 0 BFADHN COc1cc(C)cc(Nc2ccncc2C)c1 ZINC001174539150 976827510 /nfs/dbraw/zinc/82/75/10/976827510.db2.gz GDMCDSFDSNNURZ-UHFFFAOYSA-N 0 3 228.295 2.873 20 0 BFADHN Cc1cnccc1Nc1c(C)cc(O)cc1C ZINC001174539538 976828646 /nfs/dbraw/zinc/82/86/46/976828646.db2.gz KYIHVPQWQSJDPP-UHFFFAOYSA-N 0 3 228.295 2.878 20 0 BFADHN COc1cc(F)cc(Nc2ccncc2C)c1 ZINC001174539930 976831415 /nfs/dbraw/zinc/83/14/15/976831415.db2.gz USICWADXQGTSKQ-UHFFFAOYSA-N 0 3 232.258 2.703 20 0 BFADHN CC(=O)[C@@H](C)Oc1cccc([C@@H](C)N(C)C)c1 ZINC001229628399 976916615 /nfs/dbraw/zinc/91/66/15/976916615.db2.gz UHPPTKRHLGGVBI-ZYHUDNBSSA-N 0 3 235.327 2.666 20 0 BFADHN Cc1cc(Nc2cc(CC(C)C)no2)ccn1 ZINC001174651854 976935254 /nfs/dbraw/zinc/93/52/54/976935254.db2.gz OUUFWJFGRXIMPY-UHFFFAOYSA-N 0 3 231.299 2.742 20 0 BFADHN Cc1cc(Nc2cc(C3CCC3)no2)ccn1 ZINC001174652175 976935507 /nfs/dbraw/zinc/93/55/07/976935507.db2.gz RKLCXPLCKNIFRD-UHFFFAOYSA-N 0 3 229.283 2.811 20 0 BFADHN Cc1cc(Nc2cc(C(C)(C)C)no2)ccn1 ZINC001174651703 976935717 /nfs/dbraw/zinc/93/57/17/976935717.db2.gz LTGLNYGWWGHSJK-UHFFFAOYSA-N 0 3 231.299 2.841 20 0 BFADHN CC(C)n1cc([C@@H](C)NCCCC(F)F)nn1 ZINC001335297733 976937667 /nfs/dbraw/zinc/93/76/67/976937667.db2.gz RVIKEFCBBUFFGY-SECBINFHSA-N 0 3 246.305 2.555 20 0 BFADHN Cc1cc(Nc2ncccc2Cl)ccn1 ZINC001174653500 976940119 /nfs/dbraw/zinc/94/01/19/976940119.db2.gz FWLACBRIOMDYPK-UHFFFAOYSA-N 0 3 219.675 2.604 20 0 BFADHN CC(=O)c1ccsc1Nc1ccnc(C)c1 ZINC001174653573 976940373 /nfs/dbraw/zinc/94/03/73/976940373.db2.gz RKSTVOQJHGGQNU-UHFFFAOYSA-N 0 3 232.308 2.820 20 0 BFADHN CN(C)Cc1ccccc1OC[C@H]1CCC=CO1 ZINC001229699796 976951267 /nfs/dbraw/zinc/95/12/67/976951267.db2.gz SHCHFESQRKTKLF-CQSZACIVSA-N 0 3 247.338 2.820 20 0 BFADHN CCOC[C@@H](C)Oc1ccccc1CN(C)C ZINC001229699506 976951710 /nfs/dbraw/zinc/95/17/10/976951710.db2.gz OIRLYAWOOIYULL-GFCCVEGCSA-N 0 3 237.343 2.552 20 0 BFADHN C[C@@H]1C[C@H](Oc2ccccc2CN(C)C)CCO1 ZINC001229701238 976956887 /nfs/dbraw/zinc/95/68/87/976956887.db2.gz HCBZFBUZXHCHLO-TZMCWYRMSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1ncc(Nc2ccc3c(c2)CCCO3)n1C ZINC001174720872 976971406 /nfs/dbraw/zinc/97/14/06/976971406.db2.gz QXWUBMATLXXPFP-UHFFFAOYSA-N 0 3 243.310 2.797 20 0 BFADHN C=CNc1cccc2c1OCC[C@H]2N(C)C ZINC001174787510 977020453 /nfs/dbraw/zinc/02/04/53/977020453.db2.gz ZKJQUFQYDQTNNN-GFCCVEGCSA-N 0 3 218.300 2.627 20 0 BFADHN c1cc(CN[C@@H]2C=CCCC2)n(CC2CCC2)n1 ZINC001335497331 977099748 /nfs/dbraw/zinc/09/97/48/977099748.db2.gz JNCJMWGPIUEDJG-CQSZACIVSA-N 0 3 245.370 2.882 20 0 BFADHN Cc1ncc(Nc2cc(F)ccc2C)n1C ZINC001174886313 977114962 /nfs/dbraw/zinc/11/49/62/977114962.db2.gz VRPXGHPBZVGVHP-UHFFFAOYSA-N 0 3 219.263 2.920 20 0 BFADHN Cl/C=C/CNCc1cccn1C1CC1 ZINC001335584728 977161093 /nfs/dbraw/zinc/16/10/93/977161093.db2.gz YJGXWFZFFXVKGY-QHHAFSJGSA-N 0 3 210.708 2.665 20 0 BFADHN COCc1ccc(Nc2cnc(C)n2C)cc1 ZINC001174920077 977164531 /nfs/dbraw/zinc/16/45/31/977164531.db2.gz QNCKGUHMXXVCSI-UHFFFAOYSA-N 0 3 231.299 2.619 20 0 BFADHN Cc1ncc(Nc2cc(F)c(F)c(F)c2)n1C ZINC001174958775 977188281 /nfs/dbraw/zinc/18/82/81/977188281.db2.gz LLBUJJBFBQKVEY-UHFFFAOYSA-N 0 3 241.216 2.889 20 0 BFADHN CCc1cccc(O)c1O[C@@H]1CCCN(CC)C1 ZINC001230376736 977199838 /nfs/dbraw/zinc/19/98/38/977199838.db2.gz JFFWJQQWMIRAFI-CYBMUJFWSA-N 0 3 249.354 2.818 20 0 BFADHN CCOc1cc(F)cc(Nc2nccn2C)c1 ZINC001175001647 977201556 /nfs/dbraw/zinc/20/15/56/977201556.db2.gz IUDGDADMKZDDRZ-UHFFFAOYSA-N 0 3 235.262 2.702 20 0 BFADHN Cc1ccc2ncc(O[C@H]3CCN(C)C3)cc2c1 ZINC001230523758 977231999 /nfs/dbraw/zinc/23/19/99/977231999.db2.gz GCNFEVMOQNKFKV-ZDUSSCGKSA-N 0 3 242.322 2.626 20 0 BFADHN CC(=O)c1ccccc1Nc1cnc(C)n1C ZINC001175176668 977321870 /nfs/dbraw/zinc/32/18/70/977321870.db2.gz ILWREOFUKYZAOO-UHFFFAOYSA-N 0 3 229.283 2.675 20 0 BFADHN Cc1ncc(Nc2ccc(F)c(C)c2)n1C ZINC001175252708 977328064 /nfs/dbraw/zinc/32/80/64/977328064.db2.gz GTKQXBGUQYJCBG-UHFFFAOYSA-N 0 3 219.263 2.920 20 0 BFADHN CCOc1ccc(Nc2nccn2C)c(C)c1 ZINC001175242625 977341245 /nfs/dbraw/zinc/34/12/45/977341245.db2.gz MMBYHZAGAVXAAX-UHFFFAOYSA-N 0 3 231.299 2.871 20 0 BFADHN CCOc1cc(F)ccc1Nc1nccn1C ZINC001175287532 977352400 /nfs/dbraw/zinc/35/24/00/977352400.db2.gz GSVOAYCCRIKCNA-UHFFFAOYSA-N 0 3 235.262 2.702 20 0 BFADHN CCC[C@H](Oc1nncc2n[nH]cc21)[C@@H](C)CC ZINC001230899806 977368524 /nfs/dbraw/zinc/36/85/24/977368524.db2.gz AFRNOHMWZSPTQH-CABZTGNLSA-N 0 3 248.330 2.947 20 0 BFADHN CCCC(CCC)Oc1nncc2n[nH]cc21 ZINC001230900885 977370838 /nfs/dbraw/zinc/37/08/38/977370838.db2.gz LSZCRYDSEYQXKK-UHFFFAOYSA-N 0 3 234.303 2.701 20 0 BFADHN COc1cc(Nc2cnc(C)n2C)ccc1F ZINC001175396319 977387403 /nfs/dbraw/zinc/38/74/03/977387403.db2.gz KTAOQYMPOKHREE-UHFFFAOYSA-N 0 3 235.262 2.620 20 0 BFADHN CCN1CC[C@H](Oc2nc3cccc(C)c3o2)C1 ZINC001231163629 977518071 /nfs/dbraw/zinc/51/80/71/977518071.db2.gz HWAUPZSVYYUHNC-NSHDSACASA-N 0 3 246.310 2.609 20 0 BFADHN CCc1cccc(NC2=CCCN(C)C2)c1 ZINC001175576607 977577263 /nfs/dbraw/zinc/57/72/63/977577263.db2.gz MWHBEHYZZCIQKK-UHFFFAOYSA-N 0 3 216.328 2.880 20 0 BFADHN Cn1c(NC2=CCCN(C)C2)cc2ccccc21 ZINC001175576005 977578515 /nfs/dbraw/zinc/57/85/15/977578515.db2.gz FXKAEQRLBPANIG-UHFFFAOYSA-N 0 3 241.338 2.810 20 0 BFADHN CN1CCC=C(Nc2ccc3sncc3c2)C1 ZINC001175579670 977593102 /nfs/dbraw/zinc/59/31/02/977593102.db2.gz FZQRAZLTSGFGDR-UHFFFAOYSA-N 0 3 245.351 2.928 20 0 BFADHN COc1cc(C)cc(NC2=CCCN(C)C2)c1 ZINC001175579884 977597519 /nfs/dbraw/zinc/59/75/19/977597519.db2.gz RKJIWKHQOONUFI-UHFFFAOYSA-N 0 3 232.327 2.635 20 0 BFADHN Cc1cc(NC2=CCCN(C)C2)c(C)cc1N ZINC001175580810 977602755 /nfs/dbraw/zinc/60/27/55/977602755.db2.gz VFFFCXNWRLQSLK-UHFFFAOYSA-N 0 3 231.343 2.517 20 0 BFADHN C[C@H]1C[C@@H](CF)N(Cc2c[nH]c3cnccc23)C1 ZINC001231513963 977616624 /nfs/dbraw/zinc/61/66/24/977616624.db2.gz HCNYQWMMMRBMBU-JQWIXIFHSA-N 0 3 247.317 2.743 20 0 BFADHN c1[nH]c2cnccc2c1CN1CCC[C@@H]2C[C@@H]21 ZINC001231520335 977623259 /nfs/dbraw/zinc/62/32/59/977623259.db2.gz VIQGOBJLQBFTQP-YGRLFVJLSA-N 0 3 227.311 2.547 20 0 BFADHN Cc1ncn(C)c1Nc1ccc2c(C)[nH]nc2c1 ZINC001175660587 977640980 /nfs/dbraw/zinc/64/09/80/977640980.db2.gz SGBGZQBRQFADQD-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN CCCN(CCOC)Cc1ccc(CC)cn1 ZINC001231637533 977654501 /nfs/dbraw/zinc/65/45/01/977654501.db2.gz IWLXCMQLRLDSLR-UHFFFAOYSA-N 0 3 236.359 2.502 20 0 BFADHN CCOc1ccc(CN2CCCCCC2)cn1 ZINC001231650326 977663481 /nfs/dbraw/zinc/66/34/81/977663481.db2.gz YKHVCTOBSUPBQT-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN CCOc1ccc(CN2CC3CCC2CC3)cn1 ZINC001231653952 977665468 /nfs/dbraw/zinc/66/54/68/977665468.db2.gz DFJYTMCPQMDJIA-UHFFFAOYSA-N 0 3 246.354 2.855 20 0 BFADHN COCc1ccc(CN2CC[C@@](C)(CF)C2)o1 ZINC001231691267 977682882 /nfs/dbraw/zinc/68/28/82/977682882.db2.gz DKNVVYSVHMWZGQ-ZDUSSCGKSA-N 0 3 241.306 2.608 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H]3CCC[C@H]3C2)n1 ZINC001231671280 977684315 /nfs/dbraw/zinc/68/43/15/977684315.db2.gz RTBKBFRQUAEDCM-STQMWFEESA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H]3CCC[C@H]3C2)n1 ZINC001231671280 977684320 /nfs/dbraw/zinc/68/43/20/977684320.db2.gz RTBKBFRQUAEDCM-STQMWFEESA-N 0 3 246.354 2.718 20 0 BFADHN CCCCC1(NCc2cc(OC)ccn2)CC1 ZINC001336463364 977704502 /nfs/dbraw/zinc/70/45/02/977704502.db2.gz MRDJDDIBTJWKJQ-UHFFFAOYSA-N 0 3 234.343 2.903 20 0 BFADHN CCOc1ncccc1CN(C)[C@H](C)CC ZINC001231763270 977732149 /nfs/dbraw/zinc/73/21/49/977732149.db2.gz VQHHCRQFUJAOLM-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN CCOc1ncccc1CN(C)CC(C)C ZINC001231760788 977732926 /nfs/dbraw/zinc/73/29/26/977732926.db2.gz OCJKQCUZQPRXPS-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN CCOc1ncccc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC001231760831 977733836 /nfs/dbraw/zinc/73/38/36/977733836.db2.gz OLWFDTCNZYPMFK-BETUJISGSA-N 0 3 246.354 2.712 20 0 BFADHN Cn1ccnc1Nc1ccc2scnc2c1 ZINC001175910718 977811369 /nfs/dbraw/zinc/81/13/69/977811369.db2.gz AFNRTRVESBGDSS-UHFFFAOYSA-N 0 3 230.296 2.773 20 0 BFADHN F[C@@H]1CCCCN(Cc2cc3c[nH]ccc-3n2)C1 ZINC001232011644 977838082 /nfs/dbraw/zinc/83/80/82/977838082.db2.gz NNUQIDZZWLCZKU-GFCCVEGCSA-N 0 3 247.317 2.887 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CCC[C@@H]3CCC[C@H]32)c1 ZINC001232045005 977870305 /nfs/dbraw/zinc/87/03/05/977870305.db2.gz PRIYTJCEBHCKHX-GXTWGEPZSA-N 0 3 232.327 2.552 20 0 BFADHN [O-]c1cncc(C[N@H+]2CCC[C@@H]3CCC[C@H]32)c1 ZINC001232045005 977870309 /nfs/dbraw/zinc/87/03/09/977870309.db2.gz PRIYTJCEBHCKHX-GXTWGEPZSA-N 0 3 232.327 2.552 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CCC[C@H]3CCC[C@@H]32)c1 ZINC001232045007 977870979 /nfs/dbraw/zinc/87/09/79/977870979.db2.gz PRIYTJCEBHCKHX-OCCSQVGLSA-N 0 3 232.327 2.552 20 0 BFADHN [O-]c1cncc(C[N@H+]2CCC[C@H]3CCC[C@@H]32)c1 ZINC001232045007 977870982 /nfs/dbraw/zinc/87/09/82/977870982.db2.gz PRIYTJCEBHCKHX-OCCSQVGLSA-N 0 3 232.327 2.552 20 0 BFADHN Oc1cncc(CN2CCCC3(CCC3)C2)c1 ZINC001232045744 977871307 /nfs/dbraw/zinc/87/13/07/977871307.db2.gz BRQVYLJYCMPSLS-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CCCC3(CCC3)C2)c1 ZINC001232045744 977871313 /nfs/dbraw/zinc/87/13/13/977871313.db2.gz BRQVYLJYCMPSLS-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN [O-]c1cncc(C[N@H+]2CCCC3(CCC3)C2)c1 ZINC001232045744 977871314 /nfs/dbraw/zinc/87/13/14/977871314.db2.gz BRQVYLJYCMPSLS-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN FC[C@@H]1CCCN(Cc2cc3ncccc3[nH]2)C1 ZINC001232065071 977878669 /nfs/dbraw/zinc/87/86/69/977878669.db2.gz KXCOPWJSLLZLBX-NSHDSACASA-N 0 3 247.317 2.744 20 0 BFADHN CCCN(C)Cc1cccc(C(F)(F)F)n1 ZINC001232067145 977881062 /nfs/dbraw/zinc/88/10/62/977881062.db2.gz RBYNJIIDHHTIKR-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN CCN(CC)Cc1cccc(C(F)(F)F)n1 ZINC001232067653 977881576 /nfs/dbraw/zinc/88/15/76/977881576.db2.gz VCMRPICBYFVYKN-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN Cc1ccc(CN2C[C@@H]3C[C@H]2C[C@@H]3F)c(C)n1 ZINC001232085252 977884811 /nfs/dbraw/zinc/88/48/11/977884811.db2.gz LTHYFBSRACIPJM-IHRRRGAJSA-N 0 3 234.318 2.631 20 0 BFADHN COc1cc(F)c(Cl)cc1Nc1ncc[nH]1 ZINC001176085409 977887574 /nfs/dbraw/zinc/88/75/74/977887574.db2.gz GXXJSZVFVJUQAT-UHFFFAOYSA-N 0 3 241.653 2.954 20 0 BFADHN c1c2ccncc2[nH]c1CN1CCC2(CC2)CC1 ZINC001232090946 977889838 /nfs/dbraw/zinc/88/98/38/977889838.db2.gz QWWYOABMNWBHEI-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN CCCOc1ccccc1Nc1ncc[nH]1 ZINC001176085862 977891155 /nfs/dbraw/zinc/89/11/55/977891155.db2.gz SGZRPTOAPBMLMZ-UHFFFAOYSA-N 0 3 217.272 2.942 20 0 BFADHN c1c[nH]c(Nc2ccccc2OCC2CC2)n1 ZINC001176090938 977893717 /nfs/dbraw/zinc/89/37/17/977893717.db2.gz RZJODGRDPFYLQC-UHFFFAOYSA-N 0 3 229.283 2.942 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1Cc1ccns1 ZINC001232191846 977915411 /nfs/dbraw/zinc/91/54/11/977915411.db2.gz JAOSOLJNFVOMQY-VHSXEESVSA-N 0 3 210.346 2.764 20 0 BFADHN COC(C)(C)[C@@H]1CCCN1Cc1cnsc1 ZINC001232227652 977959559 /nfs/dbraw/zinc/95/95/59/977959559.db2.gz QXIRLGAUNSYBFW-NSHDSACASA-N 0 3 240.372 2.533 20 0 BFADHN CCCCCN(C)Cc1cccc(COC)n1 ZINC001232250888 977977828 /nfs/dbraw/zinc/97/78/28/977977828.db2.gz HBBQQLMPTAIQKN-UHFFFAOYSA-N 0 3 236.359 2.850 20 0 BFADHN CCCN(C)Cc1ccc(SC)nc1 ZINC001232325332 978006595 /nfs/dbraw/zinc/00/65/95/978006595.db2.gz ZYJYSQNSTWPPCP-UHFFFAOYSA-N 0 3 210.346 2.645 20 0 BFADHN CCCN(CC)Cc1cn2cc(F)ccc2n1 ZINC001232409721 978052200 /nfs/dbraw/zinc/05/22/00/978052200.db2.gz PJMGZLXYOJXWRR-UHFFFAOYSA-N 0 3 235.306 2.705 20 0 BFADHN CC[C@@H](C)N(C)Cc1cn2cc(F)ccc2n1 ZINC001232410142 978052282 /nfs/dbraw/zinc/05/22/82/978052282.db2.gz BBGUBIWLLGZMNT-SNVBAGLBSA-N 0 3 235.306 2.704 20 0 BFADHN C(c1[nH]nc2c1CCC2)N1CCC2(CCC2)CC1 ZINC001232424007 978058442 /nfs/dbraw/zinc/05/84/42/978058442.db2.gz ALLNBCVRAMHDPM-UHFFFAOYSA-N 0 3 245.370 2.665 20 0 BFADHN CCC1CCN(Cc2[nH]nc3c2CCC3)CC1 ZINC001232424363 978060111 /nfs/dbraw/zinc/06/01/11/978060111.db2.gz HJKDPQXLVYXFNR-UHFFFAOYSA-N 0 3 233.359 2.520 20 0 BFADHN Cc1ncc(CN2CCC(F)(F)C(C)(C)C2)[nH]1 ZINC001232459483 978083662 /nfs/dbraw/zinc/08/36/62/978083662.db2.gz DSZBBGOELDWQAQ-UHFFFAOYSA-N 0 3 243.301 2.585 20 0 BFADHN Cc1ncc(CN2CCc3ccccc3[C@@H]2C)[nH]1 ZINC001232458960 978088825 /nfs/dbraw/zinc/08/88/25/978088825.db2.gz XVWFEVCLXPAAGX-NSHDSACASA-N 0 3 241.338 2.837 20 0 BFADHN Cn1ccnc1Nc1cccc(OCC2CC2)c1 ZINC001176490569 978099583 /nfs/dbraw/zinc/09/95/83/978099583.db2.gz LKXXDZHRGHQULT-UHFFFAOYSA-N 0 3 243.310 2.953 20 0 BFADHN Cc1nc(CN2CCCC3(CCCC3)C2)c[nH]1 ZINC001232460730 978102867 /nfs/dbraw/zinc/10/28/67/978102867.db2.gz UTISFXCRKORMLX-UHFFFAOYSA-N 0 3 233.359 2.874 20 0 BFADHN Cc1cnc(CN2C[C@H]3[C@H]4C=C[C@H](C4)[C@@]3(C)C2)o1 ZINC001176609877 978136256 /nfs/dbraw/zinc/13/62/56/978136256.db2.gz HCTVKXOWWPBYDP-SFDCQRBFSA-N 0 3 244.338 2.627 20 0 BFADHN Fc1ccnc(CN2CC[C@H]3CCC[C@H]3C2)c1 ZINC001232492524 978145048 /nfs/dbraw/zinc/14/50/48/978145048.db2.gz FMXRCAMUGKKRDO-NEPJUHHUSA-N 0 3 234.318 2.843 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3cccc(CF)n3)C[C@@H]21 ZINC001232540019 978165977 /nfs/dbraw/zinc/16/59/77/978165977.db2.gz VYZQYRRNTOKMDC-BETUJISGSA-N 0 3 234.318 2.639 20 0 BFADHN CCOc1ccnc(CN2C[C@@H](C)CC23CC3)c1 ZINC001232586242 978192692 /nfs/dbraw/zinc/19/26/92/978192692.db2.gz AVDYXLFECCYHCT-LBPRGKRZSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cccc(C)c1CN1CCC[C@H]2C[C@H]21 ZINC001232623261 978199857 /nfs/dbraw/zinc/19/98/57/978199857.db2.gz MACSJDAFLULGLA-GXTWGEPZSA-N 0 3 231.339 2.988 20 0 BFADHN CCCn1cnc(CN2CC[C@H]3CCC[C@H]3C2)c1 ZINC001232797630 978287202 /nfs/dbraw/zinc/28/72/02/978287202.db2.gz MKMRYIVOBBKROQ-KGLIPLIRSA-N 0 3 247.386 2.915 20 0 BFADHN CCCn1cnc(CN(CC2CC2)C(C)C)c1 ZINC001232799193 978294078 /nfs/dbraw/zinc/29/40/78/978294078.db2.gz ZLKOANPXPXJCIQ-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN COc1cc(CN2CCC[C@@H]2C)c(OC)cc1C ZINC001232833486 978304400 /nfs/dbraw/zinc/30/44/00/978304400.db2.gz LFNMGFGHCJRJMU-LBPRGKRZSA-N 0 3 249.354 2.997 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(OC(F)F)nc2)C1 ZINC001232952510 978353466 /nfs/dbraw/zinc/35/34/66/978353466.db2.gz BVICKRSJPNEEPY-SECBINFHSA-N 0 3 242.269 2.525 20 0 BFADHN C[C@H]1CCCN1Cc1ccc(OC(F)F)nc1 ZINC001232952315 978354775 /nfs/dbraw/zinc/35/47/75/978354775.db2.gz JOLYAOSXLQKAEA-VIFPVBQESA-N 0 3 242.269 2.667 20 0 BFADHN Cc1ncc(F)cc1CN1CCC[C@@H](C)C1 ZINC001232987352 978387159 /nfs/dbraw/zinc/38/71/59/978387159.db2.gz UBHFLLXGUQUKAB-SNVBAGLBSA-N 0 3 222.307 2.761 20 0 BFADHN Cc1cc(C)c(CN2C[C@@H]3C[C@H]2C[C@@H]3F)cn1 ZINC001233009582 978409389 /nfs/dbraw/zinc/40/93/89/978409389.db2.gz FHNVEGHIPNWDJM-UBHSHLNASA-N 0 3 234.318 2.631 20 0 BFADHN COc1nsc(CNCC2CCC2)c1Cl ZINC001177646187 978416886 /nfs/dbraw/zinc/41/68/86/978416886.db2.gz JDOWJBZBDGVQIQ-UHFFFAOYSA-N 0 3 246.763 2.695 20 0 BFADHN C[N@H+](Cc1cccc([O-])c1F)C(C)(C)C ZINC001233052124 978446139 /nfs/dbraw/zinc/44/61/39/978446139.db2.gz GLKHMYLEKJXXRV-UHFFFAOYSA-N 0 3 211.280 2.762 20 0 BFADHN C[N@@H+](Cc1cccc([O-])c1F)C(C)(C)C ZINC001233052124 978446148 /nfs/dbraw/zinc/44/61/48/978446148.db2.gz GLKHMYLEKJXXRV-UHFFFAOYSA-N 0 3 211.280 2.762 20 0 BFADHN Fc1ccc2c(c1)n[nH]c2CN1CCCC12CC2 ZINC001233119649 978470312 /nfs/dbraw/zinc/47/03/12/978470312.db2.gz GAOMSOKMSZVANQ-UHFFFAOYSA-N 0 3 245.301 2.830 20 0 BFADHN Cc1cc(CN2CC[C@](C)(CF)C2)cnc1C ZINC001233156896 978475662 /nfs/dbraw/zinc/47/56/62/978475662.db2.gz WNXHCBNPCLSETQ-CQSZACIVSA-N 0 3 236.334 2.880 20 0 BFADHN Cc1cc(CN2CCC[C@@H](CF)C2)cnc1C ZINC001233161994 978479714 /nfs/dbraw/zinc/47/97/14/978479714.db2.gz MYDCLDSDJRAQAR-ZDUSSCGKSA-N 0 3 236.334 2.880 20 0 BFADHN Cc1cc(CN2CCC(CF)CC2)cnc1C ZINC001233162055 978479894 /nfs/dbraw/zinc/47/98/94/978479894.db2.gz PXEQJLXLCDRNMS-UHFFFAOYSA-N 0 3 236.334 2.880 20 0 BFADHN Cc1cc(CN2C[C@@H]3C[C@H]2C[C@@H]3F)cnc1C ZINC001233160753 978480032 /nfs/dbraw/zinc/48/00/32/978480032.db2.gz GMQUEURRLSJFHI-IHRRRGAJSA-N 0 3 234.318 2.631 20 0 BFADHN Cc1ccc(CN2C[C@@H]3C[C@H]2C[C@H]3F)cc1O ZINC001233233153 978502416 /nfs/dbraw/zinc/50/24/16/978502416.db2.gz BWLFRFMQITWAMZ-RWMBFGLXSA-N 0 3 235.302 2.633 20 0 BFADHN CC[C@@H](C)c1cccc(CN2CCN(C)CC2)c1 ZINC001249863020 978538758 /nfs/dbraw/zinc/53/87/58/978538758.db2.gz NMIVSDPJIASMQF-CQSZACIVSA-N 0 3 246.398 2.948 20 0 BFADHN CCCN(CCOC)Cc1ccc(F)c(F)c1 ZINC001204223519 978616259 /nfs/dbraw/zinc/61/62/59/978616259.db2.gz ISUWGFYQICMYDO-UHFFFAOYSA-N 0 3 243.297 2.823 20 0 BFADHN CCC1(C(=O)Nc2cnccc2N(C)C)CCC1 ZINC001179018414 978656192 /nfs/dbraw/zinc/65/61/92/978656192.db2.gz NAWAGBOGDNMAEV-UHFFFAOYSA-N 0 3 247.342 2.666 20 0 BFADHN c1cc2cc(O[C@@H]3CN4CCC3CC4)ccc2o1 ZINC001233677191 978669117 /nfs/dbraw/zinc/66/91/17/978669117.db2.gz OBJDCRQXCCAWBW-OAHLLOKOSA-N 0 3 243.306 2.906 20 0 BFADHN CC(C)CC1=CC[C@H](N2CCOCC2)CC1 ZINC001249925983 978684539 /nfs/dbraw/zinc/68/45/39/978684539.db2.gz FLFVPDCZRHXTRU-AWEZNQCLSA-N 0 3 223.360 2.844 20 0 BFADHN Cc1c(F)ccc(O[C@H]2CCCN(C)C2)c1C ZINC001233863491 978718312 /nfs/dbraw/zinc/71/83/12/978718312.db2.gz LSTCSJUEKCPOIN-LBPRGKRZSA-N 0 3 237.318 2.916 20 0 BFADHN CCCn1cncc1CN1C[C@H](C)CC[C@H]1C ZINC001179855037 978767279 /nfs/dbraw/zinc/76/72/79/978767279.db2.gz MNKHCGAOYGOEMU-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN CCCn1cncc1CN1C[C@H](C)CC[C@@H]1C ZINC001179855038 978769072 /nfs/dbraw/zinc/76/90/72/978769072.db2.gz MNKHCGAOYGOEMU-OLZOCXBDSA-N 0 3 235.375 2.914 20 0 BFADHN CN1CCC[C@@H](Oc2ccc(C(C)(C)C)nc2)C1 ZINC001234176469 978785353 /nfs/dbraw/zinc/78/53/53/978785353.db2.gz JLCJCGWHYXHIMR-CYBMUJFWSA-N 0 3 248.370 2.852 20 0 BFADHN CC(C)Oc1cccc(O[C@@H]2CCCN(C)C2)c1 ZINC001234287680 978812293 /nfs/dbraw/zinc/81/22/93/978812293.db2.gz ATBFLXHNIBHDAH-OAHLLOKOSA-N 0 3 249.354 2.947 20 0 BFADHN CCN1CC[C@@H](Oc2ccc(C)c(C(C)=O)c2)C1 ZINC001234321374 978820638 /nfs/dbraw/zinc/82/06/38/978820638.db2.gz JFNQYJLVPJJQLY-CQSZACIVSA-N 0 3 247.338 2.671 20 0 BFADHN CC[C@@H](C)[C@H]1CCCCN1CC(=O)OC(C)C ZINC001339379219 978843499 /nfs/dbraw/zinc/84/34/99/978843499.db2.gz TYFKOJXDOIZALC-CHWSQXEVSA-N 0 3 241.375 2.839 20 0 BFADHN CCN1CC[C@H](Oc2cccc3ncsc32)C1 ZINC001234477695 978847381 /nfs/dbraw/zinc/84/73/81/978847381.db2.gz ONULFMXUEXHDBF-JTQLQIEISA-N 0 3 248.351 2.769 20 0 BFADHN CCc1cccc(OC)c1O[C@H]1CCN(CC)C1 ZINC001234639331 978882304 /nfs/dbraw/zinc/88/23/04/978882304.db2.gz HRLRWDXAAOIUEB-ZDUSSCGKSA-N 0 3 249.354 2.731 20 0 BFADHN CCCCN(C)Cc1c(N)cccc1F ZINC000070961510 978946953 /nfs/dbraw/zinc/94/69/53/978946953.db2.gz UIXQPTGRDGTZQV-UHFFFAOYSA-N 0 3 210.296 2.640 20 0 BFADHN CCn1ccc(CN2CCCC[C@H](C)C2)n1 ZINC001180962596 978970504 /nfs/dbraw/zinc/97/05/04/978970504.db2.gz NNQUCGKXQZDRNH-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN C[C@@H]1CCCCCN1Cc1ncccc1F ZINC001181006900 978980805 /nfs/dbraw/zinc/98/08/05/978980805.db2.gz BTMOOQOEBSQGLO-LLVKDONJSA-N 0 3 222.307 2.985 20 0 BFADHN CCc1cccc(CN2C[C@@H]3C[C@H]2C[C@@H]3F)n1 ZINC001235263596 979015408 /nfs/dbraw/zinc/01/54/08/979015408.db2.gz JEGMRAYMOOGJAE-BPNCWPANSA-N 0 3 234.318 2.576 20 0 BFADHN Cc1cnc(F)c(CN2CCC3(CC3)CC2)c1 ZINC001235329295 979023745 /nfs/dbraw/zinc/02/37/45/979023745.db2.gz RJNQISGVEIZMLW-UHFFFAOYSA-N 0 3 234.318 2.905 20 0 BFADHN CC[C@H]1CCCN1Cc1cc(C)cnc1F ZINC001235333161 979026912 /nfs/dbraw/zinc/02/69/12/979026912.db2.gz ASXXIQNPSRVHOX-LBPRGKRZSA-N 0 3 222.307 2.904 20 0 BFADHN CCOc1cc(O)cc(CN2CCC[C@H]2C)c1 ZINC001235388039 979035376 /nfs/dbraw/zinc/03/53/76/979035376.db2.gz YLUKGUQXZXDRHN-LLVKDONJSA-N 0 3 235.327 2.775 20 0 BFADHN COc1cncc(CN(C)C2CC(C)C2)c1C ZINC001235460168 979049257 /nfs/dbraw/zinc/04/92/57/979049257.db2.gz HLNBSBNKFRYZEK-UHFFFAOYSA-N 0 3 234.343 2.629 20 0 BFADHN Cc1cc(C)nc(CN2CCCSCC2)c1 ZINC001340692881 979066258 /nfs/dbraw/zinc/06/62/58/979066258.db2.gz ANKXDXNOXUUFOE-UHFFFAOYSA-N 0 3 236.384 2.637 20 0 BFADHN c1cc2c(c(CN3CC4(C3)CCCC4)c1)OCC2 ZINC001181543688 979148278 /nfs/dbraw/zinc/14/82/78/979148278.db2.gz HRHHBOQKRUPVGG-UHFFFAOYSA-N 0 3 243.350 2.998 20 0 BFADHN CC[C@@H]1CCC[C@@H](CN2CC(C)(OC)C2)C1 ZINC001181544664 979150089 /nfs/dbraw/zinc/15/00/89/979150089.db2.gz VGUIASYHBPIUGR-CHWSQXEVSA-N 0 3 225.376 2.924 20 0 BFADHN CN[C@H]1CCN1C[C@@H](C)CCC=C(C)C ZINC001236166666 979419810 /nfs/dbraw/zinc/41/98/10/979419810.db2.gz MIDMDSVNZSRRDN-QWHCGFSZSA-N 0 3 210.365 2.620 20 0 BFADHN CN[C@H]1CCN1CC[C@@H](C)CC(C)(C)C ZINC001236169303 979424676 /nfs/dbraw/zinc/42/46/76/979424676.db2.gz ZBFIASQMEWTHCJ-VXGBXAGGSA-N 0 3 212.381 2.700 20 0 BFADHN CCN1CCN(c2ccc(CC3CC3)cc2)CC1 ZINC001250037512 979461340 /nfs/dbraw/zinc/46/13/40/979461340.db2.gz XBHNSNNNQFCPKC-UHFFFAOYSA-N 0 3 244.382 2.781 20 0 BFADHN F[C@@H]1CCCC[C@H]1NCc1ccc2nonc2c1 ZINC001182344528 979502841 /nfs/dbraw/zinc/50/28/41/979502841.db2.gz LATWFXGWPKITEQ-GHMZBOCLSA-N 0 3 249.289 2.593 20 0 BFADHN C[C@H]1CCN(Cc2cc(F)cc3c2OCCC3)C1 ZINC001236616124 979682320 /nfs/dbraw/zinc/68/23/20/979682320.db2.gz MZMIANOJCHVVRF-NSHDSACASA-N 0 3 249.329 2.993 20 0 BFADHN CCOc1cncc(CN2CC3CCC2CC3)c1 ZINC001236840318 979750443 /nfs/dbraw/zinc/75/04/43/979750443.db2.gz LRIDGTWPNHNRBY-UHFFFAOYSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@H](CN1CCNC1)c1cccc2ccccc21 ZINC001236843838 979754271 /nfs/dbraw/zinc/75/42/71/979754271.db2.gz MLFQMTVIEIVRMU-CYBMUJFWSA-N 0 3 240.350 2.806 20 0 BFADHN Cc1cccc(CN2CCC[C@@H]2CO)c1Cl ZINC001236851292 979756991 /nfs/dbraw/zinc/75/69/91/979756991.db2.gz CIQWOFOUCXLDCR-GFCCVEGCSA-N 0 3 239.746 2.605 20 0 BFADHN CNc1ccccc1CN1CCS[C@H](C)C1 ZINC001183463729 979836520 /nfs/dbraw/zinc/83/65/20/979836520.db2.gz JRVTXLDEIFBGOC-LLVKDONJSA-N 0 3 236.384 2.666 20 0 BFADHN FC[C@@H]1CCC[N@H+](Cc2occc2[S-])C1 ZINC001237190065 979842133 /nfs/dbraw/zinc/84/21/33/979842133.db2.gz DYWIOZLTJSZGCT-VIFPVBQESA-N 0 3 229.320 2.750 20 0 BFADHN FC[C@@H]1CCC[N@@H+](Cc2occc2[S-])C1 ZINC001237190065 979842138 /nfs/dbraw/zinc/84/21/38/979842138.db2.gz DYWIOZLTJSZGCT-VIFPVBQESA-N 0 3 229.320 2.750 20 0 BFADHN c1ccc(CN2CCNC3=CCCC[C@@H]32)cc1 ZINC001237220107 979851381 /nfs/dbraw/zinc/85/13/81/979851381.db2.gz QQAOIUFRWOVQDL-HNNXBMFYSA-N 0 3 228.339 2.528 20 0 BFADHN Cc1cc(F)cc(C)c1CN1CC[C@H]2OCC[C@H]21 ZINC001237316554 979862362 /nfs/dbraw/zinc/86/23/62/979862362.db2.gz DTWPKFHGTUOGTC-HUUCEWRRSA-N 0 3 249.329 2.806 20 0 BFADHN CC(=O)[C@H]1CCN(Cc2c(C)cc(F)cc2C)C1 ZINC001237326224 979867264 /nfs/dbraw/zinc/86/72/64/979867264.db2.gz QCAWFRNDZGPSAR-ZDUSSCGKSA-N 0 3 249.329 2.853 20 0 BFADHN COC[C@@H]1CCN1Cc1c(C)cc(F)cc1C ZINC001237336514 979874725 /nfs/dbraw/zinc/87/47/25/979874725.db2.gz WTIZXQAELAWYPO-ZDUSSCGKSA-N 0 3 237.318 2.663 20 0 BFADHN Fc1cc(CN2CC3CC(C3)C2)cnc1Cl ZINC001237408175 979884653 /nfs/dbraw/zinc/88/46/53/979884653.db2.gz SUMYTRJSEOIIGM-UHFFFAOYSA-N 0 3 240.709 2.716 20 0 BFADHN C[C@@H]1CCN1Cc1ccc(F)c2cccnc12 ZINC001237424082 979888808 /nfs/dbraw/zinc/88/88/08/979888808.db2.gz CRLRMRNEIDYZLJ-SNVBAGLBSA-N 0 3 230.286 2.968 20 0 BFADHN c1c2ccccn2nc1CN1CCC2(CC2)CC1 ZINC001237439555 979893633 /nfs/dbraw/zinc/89/36/33/979893633.db2.gz RSNXERKTQSPGJY-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN CC(C)c1cccc(CN2CC(N(C)C)C2)c1 ZINC001237457646 979903250 /nfs/dbraw/zinc/90/32/50/979903250.db2.gz YICQOVZPSXJVJM-UHFFFAOYSA-N 0 3 232.371 2.556 20 0 BFADHN CCN(Cc1cccc(C)c1OC)C1CC1 ZINC001237502083 979920748 /nfs/dbraw/zinc/92/07/48/979920748.db2.gz YCLYBIDUFONZNB-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN COc1c(C)cccc1CN1CCCO[C@H](C)C1 ZINC001237502143 979924324 /nfs/dbraw/zinc/92/43/24/979924324.db2.gz YWBVHCWOBQPXEF-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN COc1ccc(CN2CCC(C3CC3)CC2)o1 ZINC001343170059 980027780 /nfs/dbraw/zinc/02/77/80/980027780.db2.gz JHFKHOJWLPMKDL-UHFFFAOYSA-N 0 3 235.327 2.910 20 0 BFADHN CCCCN(C)Cc1ccc(F)c(C)n1 ZINC001237813442 980060997 /nfs/dbraw/zinc/06/09/97/980060997.db2.gz KRUNYGLQDKVJHC-UHFFFAOYSA-N 0 3 210.296 2.761 20 0 BFADHN Cc1nc(CN2C[C@H](C)CC23CC3)ccc1F ZINC001237823274 980072074 /nfs/dbraw/zinc/07/20/74/980072074.db2.gz IIMFTDZIHZMUGL-SNVBAGLBSA-N 0 3 234.318 2.904 20 0 BFADHN COc1ccoc1CN1CCC(C)(C)C1 ZINC001237871938 980109339 /nfs/dbraw/zinc/10/93/39/980109339.db2.gz OHPZWVUBNQPONY-UHFFFAOYSA-N 0 3 209.289 2.520 20 0 BFADHN Fc1cc(CCN2CCCC2)cc(F)c1F ZINC001250164050 980120035 /nfs/dbraw/zinc/12/00/35/980120035.db2.gz LSVDUAYDQKLXFY-UHFFFAOYSA-N 0 3 229.245 2.742 20 0 BFADHN COc1ncc(C2CC2)cc1CN1CCC[C@H]1C ZINC001238025367 980130854 /nfs/dbraw/zinc/13/08/54/980130854.db2.gz DNXANMKNEDKNNR-LLVKDONJSA-N 0 3 246.354 2.952 20 0 BFADHN CC(=O)[C@H]1CCCN(Cc2cc(O)ccc2C)C1 ZINC001238108072 980155171 /nfs/dbraw/zinc/15/51/71/980155171.db2.gz WRFRHRSATQRBKS-ZDUSSCGKSA-N 0 3 247.338 2.502 20 0 BFADHN CCCN(CCOC)Cc1cncc(C2CC2)c1 ZINC001238186073 980216647 /nfs/dbraw/zinc/21/66/47/980216647.db2.gz IKYZRLNZHOHNJB-UHFFFAOYSA-N 0 3 248.370 2.817 20 0 BFADHN Cc1cnc(CN2C[C@@H]3C[C@H]2C[C@H]3F)c(C)c1 ZINC001238430755 980255692 /nfs/dbraw/zinc/25/56/92/980255692.db2.gz UQRSLUZBPRKUPP-RWMBFGLXSA-N 0 3 234.318 2.631 20 0 BFADHN CCN(CC)Cc1cncc(OC(C)C)c1 ZINC001238465171 980271087 /nfs/dbraw/zinc/27/10/87/980271087.db2.gz SMOXVAOQRKDBEE-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN COc1cc(CN(C)CC(C)(C)C)cnc1F ZINC001238460892 980271114 /nfs/dbraw/zinc/27/11/14/980271114.db2.gz VZZKRKOFBNXETL-UHFFFAOYSA-N 0 3 240.322 2.707 20 0 BFADHN CC(C)Oc1cncc(CN2CCC[C@@H]3C[C@@H]32)c1 ZINC001238466297 980272346 /nfs/dbraw/zinc/27/23/46/980272346.db2.gz VESCSGWFYLACAU-HIFRSBDPSA-N 0 3 246.354 2.853 20 0 BFADHN OC[C@H]1CCN(Cc2ccc(F)c(C3CC3)c2)C1 ZINC001238500053 980285056 /nfs/dbraw/zinc/28/50/56/980285056.db2.gz CQIDSUCUHHFXFX-LBPRGKRZSA-N 0 3 249.329 2.517 20 0 BFADHN Cc1ccc(Cl)c(CN2C[C@@H](O)C[C@H]2C)c1 ZINC001238561887 980288458 /nfs/dbraw/zinc/28/84/58/980288458.db2.gz YBZGIGKDBWHLOT-PWSUYJOCSA-N 0 3 239.746 2.604 20 0 BFADHN CC(C)Oc1cc(CN(C)C(C)C)cnc1F ZINC001238590097 980296810 /nfs/dbraw/zinc/29/68/10/980296810.db2.gz HUGJWLLGSLSWMJ-UHFFFAOYSA-N 0 3 240.322 2.848 20 0 BFADHN CCOc1ccc(C)c(CN2CCC2)c1 ZINC001238706592 980308521 /nfs/dbraw/zinc/30/85/21/980308521.db2.gz XYHRXFWQRBQYGM-UHFFFAOYSA-N 0 3 205.301 2.599 20 0 BFADHN CCCN(C)Cc1cc(C)cnc1SC ZINC001238715267 980314009 /nfs/dbraw/zinc/31/40/09/980314009.db2.gz XBYXJHNBIYNLHD-UHFFFAOYSA-N 0 3 224.373 2.954 20 0 BFADHN Oc1cccc(F)c1CCN1CCCCC1 ZINC001250242570 980381894 /nfs/dbraw/zinc/38/18/94/980381894.db2.gz UNSWQKLQKNFWHX-UHFFFAOYSA-N 0 3 223.291 2.560 20 0 BFADHN CNCc1cc(-c2coc3ccccc23)ncn1 ZINC001239055501 980426836 /nfs/dbraw/zinc/42/68/36/980426836.db2.gz GTSIYDBMJSZVHM-UHFFFAOYSA-N 0 3 239.278 2.609 20 0 BFADHN c1cn(C2CC2)c(CNCC23CCC(CC2)C3)n1 ZINC001345077472 980543493 /nfs/dbraw/zinc/54/34/93/980543493.db2.gz QRKMIMCEJNMVGC-UHFFFAOYSA-N 0 3 245.370 2.888 20 0 BFADHN Fc1ccc(C2=CCOC2)cc1CN1CCCC1 ZINC001239494913 980654469 /nfs/dbraw/zinc/65/44/69/980654469.db2.gz NVIZEOKCMNRLDG-UHFFFAOYSA-N 0 3 247.313 2.835 20 0 BFADHN c1cc(-c2ccc3c(c2)COC32CNC2)cs1 ZINC001239510867 980681355 /nfs/dbraw/zinc/68/13/55/980681355.db2.gz CPNFICBPTQZMQF-UHFFFAOYSA-N 0 3 243.331 2.744 20 0 BFADHN COc1ccccc1-c1cccc(CN(C)C)n1 ZINC001239540123 980717768 /nfs/dbraw/zinc/71/77/68/980717768.db2.gz MCBDKADHXJGPTN-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN Cc1cc(F)ccc1-c1ccc(CN)nc1 ZINC001239738973 980885082 /nfs/dbraw/zinc/88/50/82/980885082.db2.gz URQOMBUKMPCEEW-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN COc1ccc(C)cc1-c1ccc(CN)nc1 ZINC001239767479 980906090 /nfs/dbraw/zinc/90/60/90/980906090.db2.gz HXKBLVDVRMLVEP-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN COc1ccc(C)cc1-c1ccnc(CN)c1 ZINC001239769201 980906154 /nfs/dbraw/zinc/90/61/54/980906154.db2.gz CULZSTCQBQOYNE-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN COc1cncc(-c2cc3[nH]ccc3c(C)n2)c1 ZINC001239928818 981037758 /nfs/dbraw/zinc/03/77/58/981037758.db2.gz QXZIBLBERBFSTA-UHFFFAOYSA-N 0 3 239.278 2.942 20 0 BFADHN CN(C)c1ccc2nc(C3CC3)[nH]c2c1 ZINC001250427046 981064116 /nfs/dbraw/zinc/06/41/16/981064116.db2.gz MYTIBAGUHYOYGW-UHFFFAOYSA-N 0 3 201.273 2.506 20 0 BFADHN CC(C)Oc1cccc(-c2ccnc(CN)c2)c1 ZINC001239969353 981069837 /nfs/dbraw/zinc/06/98/37/981069837.db2.gz LVQHZLLLGPJKPH-UHFFFAOYSA-N 0 3 242.322 2.995 20 0 BFADHN CC[C@H](N)c1cn(C[C@@H]2CC3CCC2CC3)nn1 ZINC000691695234 981074541 /nfs/dbraw/zinc/07/45/41/981074541.db2.gz BYSPWIIATZYTEL-TYUFSLCMSA-N 0 3 248.374 2.514 20 0 BFADHN CC[C@@H](COCC1CC1)NCc1ncccc1C ZINC001347424849 981075028 /nfs/dbraw/zinc/07/50/28/981075028.db2.gz FUUOKDZVFBWTAO-AWEZNQCLSA-N 0 3 248.370 2.685 20 0 BFADHN CC[C@@H](N)c1cn(C[C@@H]2CC3CCC2CC3)nn1 ZINC000691695222 981076499 /nfs/dbraw/zinc/07/64/99/981076499.db2.gz BYSPWIIATZYTEL-IFWUJCSASA-N 0 3 248.374 2.514 20 0 BFADHN CCCO[C@@H]1CCN(Cc2ccc(C)o2)C1 ZINC001203294188 981161788 /nfs/dbraw/zinc/16/17/88/981161788.db2.gz VMGJNPYRJHDVOU-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1cc(CN2CC[C@@H](CC(C)(C)C)C2)[nH]n1 ZINC001347793603 981175707 /nfs/dbraw/zinc/17/57/07/981175707.db2.gz LUUHLZCMZIRFIM-LBPRGKRZSA-N 0 3 235.375 2.976 20 0 BFADHN CCc1ccc(C(C)(C)NCC2=NOCC2)cc1 ZINC001192720218 981187074 /nfs/dbraw/zinc/18/70/74/981187074.db2.gz SCKANNQKJDPYGV-UHFFFAOYSA-N 0 3 246.354 2.850 20 0 BFADHN CN(C)/C=C/c1ccncc1-c1cncc(F)c1 ZINC001240237844 981203770 /nfs/dbraw/zinc/20/37/70/981203770.db2.gz SCQBDAUMRDFCPP-GQCTYLIASA-N 0 3 243.285 2.815 20 0 BFADHN CNCc1cc(-c2ccc3occc3c2)ncn1 ZINC001240423225 981371467 /nfs/dbraw/zinc/37/14/67/981371467.db2.gz CSTIWMRKKNRWCG-UHFFFAOYSA-N 0 3 239.278 2.609 20 0 BFADHN FCc1ccc(CN2CC[C@@]23CCOC3)cc1 ZINC001348631391 981409924 /nfs/dbraw/zinc/40/99/24/981409924.db2.gz QMQXCDXZEMZKEL-AWEZNQCLSA-N 0 3 235.302 2.521 20 0 BFADHN Cc1sccc1-c1ccc2c(n1)CNCC2 ZINC001240499159 981422855 /nfs/dbraw/zinc/42/28/55/981422855.db2.gz LFXWBGXQWXJEIM-UHFFFAOYSA-N 0 3 230.336 2.764 20 0 BFADHN COc1cc(-c2cncc3cc[nH]c32)ccc1O ZINC001240614434 981513482 /nfs/dbraw/zinc/51/34/82/981513482.db2.gz PHLHHMBXBDVBEO-UHFFFAOYSA-N 0 3 240.262 2.944 20 0 BFADHN CC(C)=Cc1cncc([C@@H]2CN3CCC2CC3)n1 ZINC001240633283 981526953 /nfs/dbraw/zinc/52/69/53/981526953.db2.gz VSGRJDMRXZGWEQ-CQSZACIVSA-N 0 3 243.354 2.709 20 0 BFADHN CCn1cc(-c2ccc3c(N)ccnc3c2)cn1 ZINC001240651536 981560441 /nfs/dbraw/zinc/56/04/41/981560441.db2.gz GERARSREAXEWAO-UHFFFAOYSA-N 0 3 238.294 2.700 20 0 BFADHN CNCc1cc(-c2cccc(C(F)F)c2)ncn1 ZINC001240690887 981595902 /nfs/dbraw/zinc/59/59/02/981595902.db2.gz AVMRKWGLGSAVKU-UHFFFAOYSA-N 0 3 249.264 2.801 20 0 BFADHN COC1(CN2CC(c3ccc(F)cc3)C2)CCC1 ZINC001349624794 981599920 /nfs/dbraw/zinc/59/99/20/981599920.db2.gz KZXJGGLKQAEDNA-UHFFFAOYSA-N 0 3 249.329 2.794 20 0 BFADHN C[NH+](C)Cc1cccc(-c2ccc([O-])c(F)c2)n1 ZINC001240785771 981829246 /nfs/dbraw/zinc/82/92/46/981829246.db2.gz DQTJYTYTQCLLSC-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN [NH3+]Cc1cccc(-c2ccc([O-])c(F)c2)c1F ZINC001240787691 981833385 /nfs/dbraw/zinc/83/33/85/981833385.db2.gz OJPXOARMHAKJNA-UHFFFAOYSA-N 0 3 235.233 2.796 20 0 BFADHN C[NH2+]Cc1ccccc1-c1ccc([O-])c(F)c1 ZINC001240788811 981835942 /nfs/dbraw/zinc/83/59/42/981835942.db2.gz LOJKOTVQDQOGGA-UHFFFAOYSA-N 0 3 231.270 2.918 20 0 BFADHN c1cc2ccc(-c3ccc4c(n3)CNCC4)cc2[nH]1 ZINC001240796987 981841563 /nfs/dbraw/zinc/84/15/63/981841563.db2.gz QDIGWNCFFSEUKU-UHFFFAOYSA-N 0 3 249.317 2.876 20 0 BFADHN NCc1ccc(-c2ccc3cc[nH]c3c2)cn1 ZINC001240798329 981843422 /nfs/dbraw/zinc/84/34/22/981843422.db2.gz XMQOKWYSRDRXAT-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN Cc1cnc(-c2ccc(OC(C)C)nc2)cc1N ZINC001240809319 981864084 /nfs/dbraw/zinc/86/40/84/981864084.db2.gz SMMAFKRZLYBTRY-UHFFFAOYSA-N 0 3 243.310 2.821 20 0 BFADHN COc1cc(CN2CC[C@@H](CF)C2)ccc1F ZINC001350329943 981931176 /nfs/dbraw/zinc/93/11/76/981931176.db2.gz PHLDFUSHBKLCBU-NSHDSACASA-N 0 3 241.281 2.626 20 0 BFADHN NCc1cc(-c2cccc3ncccc32)ccn1 ZINC001240938612 982013766 /nfs/dbraw/zinc/01/37/66/982013766.db2.gz XKDQUSYMQVCUFW-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN COc1c(C)cc(-c2ccnc(CN)c2)cc1C ZINC001241017484 982112539 /nfs/dbraw/zinc/11/25/39/982112539.db2.gz IXQIDPITAVMPPR-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN COc1cc(F)cc(-c2cc(N)c(C)cn2)c1 ZINC001241027667 982121481 /nfs/dbraw/zinc/12/14/81/982121481.db2.gz UKBAAQDLXAFUCI-UHFFFAOYSA-N 0 3 232.258 2.787 20 0 BFADHN COc1cc(-c2ccnc(CN)c2)ccc1C ZINC001241035323 982134263 /nfs/dbraw/zinc/13/42/63/982134263.db2.gz PIXCUPWNYJJTHZ-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN CN(C)Cc1ccnc(-c2ccc(O)cc2)c1 ZINC001241061569 982162331 /nfs/dbraw/zinc/16/23/31/982162331.db2.gz FRSLIHXQWLMLJP-UHFFFAOYSA-N 0 3 228.295 2.516 20 0 BFADHN Oc1ccc(-c2cncc3cc[nH]c32)cc1 ZINC001241062837 982174109 /nfs/dbraw/zinc/17/41/09/982174109.db2.gz CVMVUOXCNTUTKO-UHFFFAOYSA-N 0 3 210.236 2.936 20 0 BFADHN COc1cc(OC)cc(-c2cc(N)c(C)cn2)c1 ZINC001241129106 982260874 /nfs/dbraw/zinc/26/08/74/982260874.db2.gz KYDOFDQGYMJJIY-UHFFFAOYSA-N 0 3 244.294 2.656 20 0 BFADHN Fc1ccc([C@@H]2CCN(Cc3cnoc3)C2)cc1 ZINC001205703440 982262679 /nfs/dbraw/zinc/26/26/79/982262679.db2.gz BJZSDJKSOCRGBV-CYBMUJFWSA-N 0 3 246.285 2.803 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H](COC)c1ccco1 ZINC001351286872 982263771 /nfs/dbraw/zinc/26/37/71/982263771.db2.gz HYQLJYPDNFZDMF-WOPDTQHZSA-N 0 3 223.316 2.603 20 0 BFADHN Cc1nc(-c2ccnc(N)c2)cc2[nH]ccc21 ZINC001241157745 982297349 /nfs/dbraw/zinc/29/73/49/982297349.db2.gz SJELOLLQJUTFNI-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN CC[C@@H](C)[C@H](C(=O)OC)N(C)CCC(C)(C)C ZINC001195498871 982298225 /nfs/dbraw/zinc/29/82/25/982298225.db2.gz RGFWIXUKLINZKD-VXGBXAGGSA-N 0 3 243.391 2.942 20 0 BFADHN CCOc1cccc(-c2ccnc(CN)c2)c1F ZINC001241266273 982400674 /nfs/dbraw/zinc/40/06/74/982400674.db2.gz SIEPINYZZDTRCU-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN CN(C)Cc1cncc(-c2cc(O)cc(F)c2)c1 ZINC001241276859 982408299 /nfs/dbraw/zinc/40/82/99/982408299.db2.gz CKRDYPNALXAJLF-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN Nc1cccc(F)c1CN(CC1CC1)C1CC1 ZINC000080365098 982408553 /nfs/dbraw/zinc/40/85/53/982408553.db2.gz KAVQZSRKSKEYGW-UHFFFAOYSA-N 0 3 234.318 2.782 20 0 BFADHN Cc1ccc2ncc(C3=CCN(C)CC3)nc2c1 ZINC001241309452 982446389 /nfs/dbraw/zinc/44/63/89/982446389.db2.gz SLERWWUGJCZWBK-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN CN1CC=C(c2cccc(C(F)(F)F)n2)CC1 ZINC001241308507 982449720 /nfs/dbraw/zinc/44/97/20/982449720.db2.gz SWHVKBWSEMZJEZ-UHFFFAOYSA-N 0 3 242.244 2.819 20 0 BFADHN Cc1cc2cc[nH]c2c(C2=CCN(C)CC2)n1 ZINC001241309647 982452619 /nfs/dbraw/zinc/45/26/19/982452619.db2.gz WJQSADCTTQVDKV-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CN1CC=C(c2nccc3ccoc32)CC1 ZINC001241307949 982452774 /nfs/dbraw/zinc/45/27/74/982452774.db2.gz MLRUISLCQBUGGU-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN CN1CC=C(c2cccc3c2CC=C3)CC1 ZINC001241327116 982479132 /nfs/dbraw/zinc/47/91/32/982479132.db2.gz GQVLQYMAQVTAPS-UHFFFAOYSA-N 0 3 211.308 2.975 20 0 BFADHN CN1CC=C(c2ccc3oc(=O)ccc3c2)CC1 ZINC001241322035 982481939 /nfs/dbraw/zinc/48/19/39/982481939.db2.gz HJVSAPPLXBOLRU-UHFFFAOYSA-N 0 3 241.290 2.512 20 0 BFADHN CN1CC=C(c2cncc(C(F)F)c2)CC1 ZINC001241321813 982482307 /nfs/dbraw/zinc/48/23/07/982482307.db2.gz FDIVVRCCZJKXCV-UHFFFAOYSA-N 0 3 224.254 2.738 20 0 BFADHN Cc1c[nH]c2ncc(C3=CCN(C)CC3)cc12 ZINC001241322852 982484544 /nfs/dbraw/zinc/48/45/44/982484544.db2.gz UEAPILBFSHKSFC-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN Cc1c(F)cc(C2=CCN(C)CC2)cc1F ZINC001241322344 982485298 /nfs/dbraw/zinc/48/52/98/982485298.db2.gz LYAKTGMWZYLPOG-UHFFFAOYSA-N 0 3 223.266 2.992 20 0 BFADHN Cc1ccc(N(C)C)c(C2=CCN(C)CC2)c1 ZINC001241330803 982493358 /nfs/dbraw/zinc/49/33/58/982493358.db2.gz ZESSKAMSVGVYFY-UHFFFAOYSA-N 0 3 230.355 2.780 20 0 BFADHN CN1CC=C(c2ccc(-c3c[nH]cn3)cc2)CC1 ZINC001241332190 982495559 /nfs/dbraw/zinc/49/55/59/982495559.db2.gz FTEQCHKILWFRMP-UHFFFAOYSA-N 0 3 239.322 2.796 20 0 BFADHN CN(C)Cc1cccc(C2=CCN(C)CC2)c1F ZINC001241333546 982500227 /nfs/dbraw/zinc/50/02/27/982500227.db2.gz WODADHCMZHGERE-UHFFFAOYSA-N 0 3 248.345 2.606 20 0 BFADHN NCc1ccc(-c2ccc3ncccc3c2)cn1 ZINC001241397925 982551080 /nfs/dbraw/zinc/55/10/80/982551080.db2.gz DPBVDPUTEVKWSI-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN CC[C@H](C)[C@@H](C)N1Cc2c[nH]nc2C[C@@H](C)C1 ZINC001197785410 982592752 /nfs/dbraw/zinc/59/27/52/982592752.db2.gz FNBORUPYEDHYLI-GRYCIOLGSA-N 0 3 235.375 2.839 20 0 BFADHN CC[C@H](C)[C@H](C)N1Cc2c[nH]nc2C[C@@H](C)C1 ZINC001197785417 982593513 /nfs/dbraw/zinc/59/35/13/982593513.db2.gz FNBORUPYEDHYLI-WOPDTQHZSA-N 0 3 235.375 2.839 20 0 BFADHN F[C@@H]1CCC[C@@H](NCc2ccc(Cl)nn2)C1 ZINC001352879646 982618645 /nfs/dbraw/zinc/61/86/45/982618645.db2.gz XMSCLFGTBXWARI-RKDXNWHRSA-N 0 3 243.713 2.500 20 0 BFADHN Cc1cnc(-c2cccc3cn(C)nc32)cc1N ZINC001241464357 982618904 /nfs/dbraw/zinc/61/89/04/982618904.db2.gz GWDMTIPTANLZKJ-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN CCN(CC1CC(C)(C)C1)C(C)(C)C(=O)OC ZINC001198042344 982653898 /nfs/dbraw/zinc/65/38/98/982653898.db2.gz ODDWBALXDLXMKQ-UHFFFAOYSA-N 0 3 241.375 2.696 20 0 BFADHN CN(C)/C=C/c1ccncc1-c1cncs1 ZINC001241499920 982657995 /nfs/dbraw/zinc/65/79/95/982657995.db2.gz GERUUNBXDWIVTA-GQCTYLIASA-N 0 3 231.324 2.737 20 0 BFADHN Nc1ccc(-c2cc(N3CCCC3)ccn2)cc1 ZINC001241539039 982714598 /nfs/dbraw/zinc/71/45/98/982714598.db2.gz NVVATNMYJRCDHM-UHFFFAOYSA-N 0 3 239.322 2.931 20 0 BFADHN CN1CCC=C(c2ncccc2-n2cccc2)C1 ZINC001241567172 982810982 /nfs/dbraw/zinc/81/09/82/982810982.db2.gz ONQNHFKQHNHDRW-UHFFFAOYSA-N 0 3 239.322 2.591 20 0 BFADHN COc1ccc(C)cc1C1=CCCN(C)C1 ZINC001241570166 982819594 /nfs/dbraw/zinc/81/95/94/982819594.db2.gz VDBQQGRMMHEPCV-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN COc1ccc(C2=CCCN(C)C2)c2[nH]ccc21 ZINC001241569758 982821419 /nfs/dbraw/zinc/82/14/19/982821419.db2.gz OUBMLPYBGJHTSR-UHFFFAOYSA-N 0 3 242.322 2.895 20 0 BFADHN Cc1[nH]nc2cc(-c3ccc(N)nc3C)ccc12 ZINC001241641444 982880523 /nfs/dbraw/zinc/88/05/23/982880523.db2.gz QTXHBVJNXKCKQE-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN Cc1[nH]nc2ccc(-c3ccnc(N)c3)cc12 ZINC001241668366 982912556 /nfs/dbraw/zinc/91/25/56/982912556.db2.gz MJLVYXDMGJPPBV-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN CCCOc1ccc(-c2ccnc(CN)c2)cc1 ZINC001241747130 983028436 /nfs/dbraw/zinc/02/84/36/983028436.db2.gz AFESLDYJNYRZEW-UHFFFAOYSA-N 0 3 242.322 2.996 20 0 BFADHN NCc1ccc(-c2ccc3c(c2)CCCN3)cn1 ZINC001241836375 983081245 /nfs/dbraw/zinc/08/12/45/983081245.db2.gz QCXRNDJKZPONHO-UHFFFAOYSA-N 0 3 239.322 2.565 20 0 BFADHN Nc1ccncc1-c1cnn(C2CCCCC2)c1 ZINC001241840787 983081713 /nfs/dbraw/zinc/08/17/13/983081713.db2.gz JZIVUYDXAJWWED-UHFFFAOYSA-N 0 3 242.326 2.863 20 0 BFADHN NCc1cccc(-c2ccc3scnc3c2)n1 ZINC001241866481 983089668 /nfs/dbraw/zinc/08/96/68/983089668.db2.gz YYGAFLSCEGGSIM-UHFFFAOYSA-N 0 3 241.319 2.817 20 0 BFADHN COc1cc(Cl)ccc1-c1ccnc(CN)c1 ZINC001241902548 983114459 /nfs/dbraw/zinc/11/44/59/983114459.db2.gz DERSNLSDAWSLTM-UHFFFAOYSA-N 0 3 248.713 2.869 20 0 BFADHN CCn1ccc(CNCC2(CC)CCC2)n1 ZINC001200942611 983123593 /nfs/dbraw/zinc/12/35/93/983123593.db2.gz XJQQMDRFDHTFGV-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN COc1cccc2[nH]c(-c3cnccc3N)cc21 ZINC001241998531 983190910 /nfs/dbraw/zinc/19/09/10/983190910.db2.gz WTPWNNBIPSYZRF-UHFFFAOYSA-N 0 3 239.278 2.651 20 0 BFADHN Cc1ccc(N2CCN(CC(C)(C)C)CC2)nc1 ZINC001201440573 983242017 /nfs/dbraw/zinc/24/20/17/983242017.db2.gz TZMUDHADAANTBD-UHFFFAOYSA-N 0 3 247.386 2.558 20 0 BFADHN CC(C)Nc1ccc(Nc2nccn2C)cc1 ZINC001201489052 983257545 /nfs/dbraw/zinc/25/75/45/983257545.db2.gz YMODHYJFBWROBQ-UHFFFAOYSA-N 0 3 230.315 2.984 20 0 BFADHN CNc1ccc(-c2cc3[nH]ccc3c(C)n2)cn1 ZINC001242068927 983298721 /nfs/dbraw/zinc/29/87/21/983298721.db2.gz YDHBHIFYFNNFBO-UHFFFAOYSA-N 0 3 238.294 2.975 20 0 BFADHN CCC[C@H](C)CN1CCN(C)c2ncccc2C1 ZINC001201545167 983303019 /nfs/dbraw/zinc/30/30/19/983303019.db2.gz WKFAQCYWRHHNIQ-ZDUSSCGKSA-N 0 3 247.386 2.770 20 0 BFADHN Cc1cc(CNCc2ccc(Cl)c(C)c2)n[nH]1 ZINC001200714082 983328696 /nfs/dbraw/zinc/32/86/96/983328696.db2.gz GSZFMDLMMHSOCV-UHFFFAOYSA-N 0 3 249.745 2.970 20 0 BFADHN Cc1noc(C)c1-c1ccc2c(c1)CN(C)CC2 ZINC001242109573 983359254 /nfs/dbraw/zinc/35/92/54/983359254.db2.gz OFKNCFOLUJYUBI-UHFFFAOYSA-N 0 3 242.322 2.946 20 0 BFADHN Cc1cc(C)cc(C[C@@H](C)NCc2cc[nH]n2)c1 ZINC001200791821 983370380 /nfs/dbraw/zinc/37/03/80/983370380.db2.gz RJDAQTXMOHPMLU-CYBMUJFWSA-N 0 3 243.354 2.747 20 0 BFADHN NCc1ccc(/C=C/Cc2ccccc2)cn1 ZINC001242142145 983372416 /nfs/dbraw/zinc/37/24/16/983372416.db2.gz MCJQRTLKUOCBCL-XBXARRHUSA-N 0 3 224.307 2.796 20 0 BFADHN CC(C)CCN1CC[C@](C)(F)[C@@H](F)C1 ZINC001201613594 983379612 /nfs/dbraw/zinc/37/96/12/983379612.db2.gz SUQHLAMRDUQBGB-QWRGUYRKSA-N 0 3 205.292 2.805 20 0 BFADHN C[C@@H]1CCN(CCCc2ccccc2)CCC1=O ZINC001201652754 983405380 /nfs/dbraw/zinc/40/53/80/983405380.db2.gz INPRDRPQVBYLKH-CQSZACIVSA-N 0 3 245.366 2.920 20 0 BFADHN CCCCN1CCC(=O)[C@@H]2CCCC[C@@H]21 ZINC001201700204 983412232 /nfs/dbraw/zinc/41/22/32/983412232.db2.gz CLESJPGCJXGYBU-NEPJUHHUSA-N 0 3 209.333 2.620 20 0 BFADHN [NH3+]Cc1cccc(-c2ccc(F)cc2[O-])c1 ZINC001242217891 983414451 /nfs/dbraw/zinc/41/44/51/983414451.db2.gz LSYPNOUBUBKHKS-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN NCc1ccc(C2=CCC(F)(F)CC2)cn1 ZINC001242233549 983434502 /nfs/dbraw/zinc/43/45/02/983434502.db2.gz FLGTZTJNZPZSJC-UHFFFAOYSA-N 0 3 224.254 2.743 20 0 BFADHN CCCCCCN(C)Cc1cnc(C)nc1 ZINC001201754355 983456631 /nfs/dbraw/zinc/45/66/31/983456631.db2.gz VGILRFMFHKWQSH-UHFFFAOYSA-N 0 3 221.348 2.797 20 0 BFADHN CCCCCN1CCN(c2ccc(C)cn2)CC1 ZINC001201735578 983473134 /nfs/dbraw/zinc/47/31/34/983473134.db2.gz VCPIZKFMBMJXPE-UHFFFAOYSA-N 0 3 247.386 2.702 20 0 BFADHN Cc1c(F)cc(-c2cc(N)ccn2)cc1F ZINC001242285182 983504941 /nfs/dbraw/zinc/50/49/41/983504941.db2.gz GAHJADXXFXWLKS-UHFFFAOYSA-N 0 3 220.222 2.917 20 0 BFADHN Cc1cccc(-c2cc(N)c(C)cn2)c1O ZINC001242345140 983597011 /nfs/dbraw/zinc/59/70/11/983597011.db2.gz HPCMENRHRSMHQT-UHFFFAOYSA-N 0 3 214.268 2.653 20 0 BFADHN [NH3+]Cc1ccc(-c2cccc(F)c2[O-])cc1 ZINC001242351346 983623886 /nfs/dbraw/zinc/62/38/86/983623886.db2.gz SGHXONUNMQIIPR-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN [O-]c1c(F)cccc1-c1ccc2c(c1)C[NH2+]C2 ZINC001242351841 983628224 /nfs/dbraw/zinc/62/82/24/983628224.db2.gz WQUAAKUVAXOURU-UHFFFAOYSA-N 0 3 229.254 2.802 20 0 BFADHN C[NH2+]Cc1ccccc1-c1cccc(F)c1[O-] ZINC001242352962 983629256 /nfs/dbraw/zinc/62/92/56/983629256.db2.gz INFLBXPZQSWZCP-UHFFFAOYSA-N 0 3 231.270 2.918 20 0 BFADHN FC(F)[C@@H]1CCCN(CCC(F)(F)F)C1 ZINC001202346673 983630423 /nfs/dbraw/zinc/63/04/23/983630423.db2.gz QWIGMHOFEIEOFK-SSDOTTSWSA-N 0 3 231.208 2.916 20 0 BFADHN C[C@H]1CCN1Cc1c[nH]c2c1cccc2F ZINC001137051556 983795276 /nfs/dbraw/zinc/79/52/76/983795276.db2.gz VPHQCCXZYBXXGE-VIFPVBQESA-N 0 3 218.275 2.901 20 0 BFADHN Nc1cncc(-c2cccc(C3=NCCC3)c2)c1 ZINC001242501319 983823757 /nfs/dbraw/zinc/82/37/57/983823757.db2.gz PAHPLJJOUCBDBZ-UHFFFAOYSA-N 0 3 237.306 2.914 20 0 BFADHN c1nc(C2=CCCCCCC2)nc2c1CNCC2 ZINC001242502664 983827475 /nfs/dbraw/zinc/82/74/75/983827475.db2.gz ZPJQVJYVQVFMCD-UHFFFAOYSA-N 0 3 243.354 2.860 20 0 BFADHN CCc1ncccc1-c1ccc2[nH]c(N)nc2c1 ZINC001242522470 983844335 /nfs/dbraw/zinc/84/43/35/983844335.db2.gz NDEIELHEVNJFJC-UHFFFAOYSA-N 0 3 238.294 2.770 20 0 BFADHN CCc1ncccc1-c1ccc2nc(N)[nH]c2c1 ZINC001242522470 983844336 /nfs/dbraw/zinc/84/43/36/983844336.db2.gz NDEIELHEVNJFJC-UHFFFAOYSA-N 0 3 238.294 2.770 20 0 BFADHN CCCCOC(=O)[C@@H](C)N1CCC[C@H]1CCC ZINC001473859222 983894860 /nfs/dbraw/zinc/89/48/60/983894860.db2.gz DLQDGMVRMLUETR-CHWSQXEVSA-N 0 3 241.375 2.983 20 0 BFADHN CCCn1cc(CNC/C=C\C2CC2)c(C)n1 ZINC001474155315 983899069 /nfs/dbraw/zinc/89/90/69/983899069.db2.gz HFPDIGLRXHCQCR-PLNGDYQASA-N 0 3 233.359 2.657 20 0 BFADHN CCO[C@@H](CN[C@H](C)c1ncccc1C)C1CC1 ZINC001560220806 983932827 /nfs/dbraw/zinc/93/28/27/983932827.db2.gz FXAXRFYFJSORMZ-OCCSQVGLSA-N 0 3 248.370 2.856 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2C[C@@H]2C2CCCC2)n1 ZINC001560621970 983940641 /nfs/dbraw/zinc/94/06/41/983940641.db2.gz CAHCOHSEWABTFI-QWHCGFSZSA-N 0 3 233.359 2.634 20 0 BFADHN Cc1oncc1-c1cncc(CN2CCCC2)c1 ZINC001242603577 983960857 /nfs/dbraw/zinc/96/08/57/983960857.db2.gz CSWMTNXROCDJKI-UHFFFAOYSA-N 0 3 243.310 2.641 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2c[nH]c(=O)c(F)c2)n1 ZINC001242613845 983981615 /nfs/dbraw/zinc/98/16/15/983981615.db2.gz YRKOEOPUXGFVPO-UHFFFAOYSA-N 0 3 243.241 2.778 20 0 BFADHN Cc1ccc(CO)cc1-c1nccc2c1CCN2 ZINC001242665133 984032149 /nfs/dbraw/zinc/03/21/49/984032149.db2.gz BJHRYQZWBFOXTN-UHFFFAOYSA-N 0 3 240.306 2.517 20 0 BFADHN C[C@H]1CCN1Cc1cc2ccccc2[nH]c1=O ZINC001137181525 984034933 /nfs/dbraw/zinc/03/49/33/984034933.db2.gz QAVHTTBPQRLOPV-JTQLQIEISA-N 0 3 228.295 2.535 20 0 BFADHN COc1cc(-c2cncc3cc[nH]c32)cc(C)n1 ZINC001242747571 984105730 /nfs/dbraw/zinc/10/57/30/984105730.db2.gz AKNGAZNMFQZTFP-UHFFFAOYSA-N 0 3 239.278 2.942 20 0 BFADHN CCOc1ccc(F)c(-c2cccc(CN)n2)c1 ZINC001242936131 984304449 /nfs/dbraw/zinc/30/44/49/984304449.db2.gz AUQMMIAMMOHKMN-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN CCOC(=O)c1cccc(-c2cc(N)ccn2)c1 ZINC001243038369 984378727 /nfs/dbraw/zinc/37/87/27/984378727.db2.gz QWSZGWAINABIIR-UHFFFAOYSA-N 0 3 242.278 2.508 20 0 BFADHN CCCC[C@@H](CC)CN1C[C@H]2C[C@@H](C1)N2CC ZINC001275085231 984477232 /nfs/dbraw/zinc/47/72/32/984477232.db2.gz QDOLBQCWPSYDNV-KFWWJZLASA-N 0 3 238.419 2.981 20 0 BFADHN C[C@@H](Cc1ccccc1F)NCc1cnoc1 ZINC000718886000 984555015 /nfs/dbraw/zinc/55/50/15/984555015.db2.gz JSSXZVHYCDEINL-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN Nc1nc2ccc(-c3cc(N)ccc3F)cc2[nH]1 ZINC001243285599 984812097 /nfs/dbraw/zinc/81/20/97/984812097.db2.gz JSNBBSOHIRUSNM-UHFFFAOYSA-N 0 3 242.257 2.533 20 0 BFADHN Nc1nc2cc(-c3cc(N)ccc3F)ccc2[nH]1 ZINC001243285599 984812099 /nfs/dbraw/zinc/81/20/99/984812099.db2.gz JSNBBSOHIRUSNM-UHFFFAOYSA-N 0 3 242.257 2.533 20 0 BFADHN Cc1cc(-c2cccc(CN)n2)cc(C)c1O ZINC001243304586 984818644 /nfs/dbraw/zinc/81/86/44/984818644.db2.gz MTEPGEKZOFONCK-UHFFFAOYSA-N 0 3 228.295 2.530 20 0 BFADHN Cn1c(-c2ccnc(CN)c2)cc2ccccc21 ZINC001243353980 984840397 /nfs/dbraw/zinc/84/03/97/984840397.db2.gz KLBHZRBXUUVWNH-UHFFFAOYSA-N 0 3 237.306 2.699 20 0 BFADHN Nc1ccnc(-c2ccc(N)c(Cl)c2)c1 ZINC001243371585 984845761 /nfs/dbraw/zinc/84/57/61/984845761.db2.gz MMLZJNGUWQEUOO-UHFFFAOYSA-N 0 3 219.675 2.566 20 0 BFADHN Cc1cc(CN2CC3(CC=CC3)[C@@H]2C(C)C)[nH]n1 ZINC001327120675 984857211 /nfs/dbraw/zinc/85/72/11/984857211.db2.gz JKDXXNFCYNGWJH-AWEZNQCLSA-N 0 3 245.370 2.895 20 0 BFADHN Cc1cc(CN2CC3(CC=CC3)[C@@H]2C(C)C)n[nH]1 ZINC001327120675 984857214 /nfs/dbraw/zinc/85/72/14/984857214.db2.gz JKDXXNFCYNGWJH-AWEZNQCLSA-N 0 3 245.370 2.895 20 0 BFADHN CCC(CC)NCC(=O)c1ccc(C)cc1 ZINC001257289790 984869538 /nfs/dbraw/zinc/86/95/38/984869538.db2.gz CFBBVNKENCCKNU-UHFFFAOYSA-N 0 3 219.328 2.956 20 0 BFADHN CCC[C@@H](C)n1nc(CN)c2ccccc21 ZINC001257307658 984879210 /nfs/dbraw/zinc/87/92/10/984879210.db2.gz QYTSIOXPGJKVJJ-SNVBAGLBSA-N 0 3 217.316 2.856 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)Nc1ccc2occc2c1 ZINC001578231005 984881483 /nfs/dbraw/zinc/88/14/83/984881483.db2.gz WXSKEJVQIUSYPZ-ZANVPECISA-N 0 3 246.310 2.745 20 0 BFADHN CCCCCC[C@H](C)N1CCC[C@@H]1C(=O)OC ZINC001257364347 984933840 /nfs/dbraw/zinc/93/38/40/984933840.db2.gz YMEMVEMLIQARKS-QWHCGFSZSA-N 0 3 241.375 2.983 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCc1ccns1 ZINC000930119945 984945864 /nfs/dbraw/zinc/94/58/64/984945864.db2.gz SRHCIZNOLHYJLJ-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN CCCCC[C@H](C)N1CCC[C@H]1C(=O)OC ZINC001257501395 985125605 /nfs/dbraw/zinc/12/56/05/985125605.db2.gz AIZXUVHALWWRBF-RYUDHWBXSA-N 0 3 227.348 2.593 20 0 BFADHN CCCCC[C@@H](C)N[C@@H](CO)c1ccccn1 ZINC001257501110 985128133 /nfs/dbraw/zinc/12/81/33/985128133.db2.gz COAQLUJPEOVTQV-OCCSQVGLSA-N 0 3 236.359 2.673 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)N1CC[C@@H](O)[C@@H](F)C1 ZINC001258063776 985371128 /nfs/dbraw/zinc/37/11/28/985371128.db2.gz NXZGAHQXROLRQL-YIYPIFLZSA-N 0 3 245.382 2.852 20 0 BFADHN CC(C)=CCC[C@H](C)n1cncc1CN ZINC001258074235 985377105 /nfs/dbraw/zinc/37/71/05/985377105.db2.gz DVTHNXABDCVVJH-NSHDSACASA-N 0 3 207.321 2.649 20 0 BFADHN CC(C)CN(CC1=NOCC1)Cc1ccccc1 ZINC001459378969 985479095 /nfs/dbraw/zinc/47/90/95/985479095.db2.gz KEOKHAJVMRPXHT-UHFFFAOYSA-N 0 3 246.354 2.921 20 0 BFADHN Cc1cnc(-c2cccc3c2CCN3)cc1N ZINC001243853432 985497393 /nfs/dbraw/zinc/49/73/93/985497393.db2.gz WIGFWONWHGUWSV-UHFFFAOYSA-N 0 3 225.295 2.607 20 0 BFADHN C[C@@H]1CC(N2CCc3ncncc3C2)C[C@@H](C)C1 ZINC001258169321 985558906 /nfs/dbraw/zinc/55/89/06/985558906.db2.gz YANZOZOJPCKEOW-RYUDHWBXSA-N 0 3 245.370 2.659 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1csnc1OC ZINC001459643403 985571377 /nfs/dbraw/zinc/57/13/77/985571377.db2.gz JZWWDIAQJKFDQQ-KOLCDFICSA-N 0 3 240.372 2.772 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1csnc1OC ZINC001459643405 985576569 /nfs/dbraw/zinc/57/65/69/985576569.db2.gz JZWWDIAQJKFDQQ-MWLCHTKSSA-N 0 3 240.372 2.772 20 0 BFADHN C[C@H](Cc1ccco1)N1CCc2cnccc2C1 ZINC001258187680 985578275 /nfs/dbraw/zinc/57/82/75/985578275.db2.gz ZJRVBHIRWBEASW-GFCCVEGCSA-N 0 3 242.322 2.664 20 0 BFADHN Cc1cccc(CN[C@@H]2COC3(CCC3)C2)c1F ZINC000688280483 985599571 /nfs/dbraw/zinc/59/95/71/985599571.db2.gz SDWZPKQWNBYNMQ-ZDUSSCGKSA-N 0 3 249.329 2.935 20 0 BFADHN Cn1ccc2ncnc(-c3cccc4nc[nH]c43)c21 ZINC001243929591 985603352 /nfs/dbraw/zinc/60/33/52/985603352.db2.gz UFNLMHXHZDIXFB-UHFFFAOYSA-N 0 3 249.277 2.512 20 0 BFADHN Cc1ncc(-c2cccc3nc[nH]c32)nc1C ZINC001243928855 985604109 /nfs/dbraw/zinc/60/41/09/985604109.db2.gz IRCBZAIAQJWEDF-UHFFFAOYSA-N 0 3 224.267 2.637 20 0 BFADHN CSc1ncc(C2=CNCCC2)cc1C ZINC001243949021 985635045 /nfs/dbraw/zinc/63/50/45/985635045.db2.gz HAIWSLYJJNEFKW-UHFFFAOYSA-N 0 3 220.341 2.836 20 0 BFADHN COc1ccc2cccc(C3=CNCCC3)c2n1 ZINC001243949363 985635610 /nfs/dbraw/zinc/63/56/10/985635610.db2.gz CNGTZSLKJKLUKZ-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN Cc1cccc([C@@H](C)NCC2=NOCC2)c1C ZINC001459859496 985637958 /nfs/dbraw/zinc/63/79/58/985637958.db2.gz BLGYYGXITHUHDR-GFCCVEGCSA-N 0 3 232.327 2.730 20 0 BFADHN c1cc(OCC2CCC2)ncc1C1=CNCCC1 ZINC001243950667 985650144 /nfs/dbraw/zinc/65/01/44/985650144.db2.gz KUDYSZPKHDZLKV-UHFFFAOYSA-N 0 3 244.338 2.985 20 0 BFADHN c1snnc1-c1ccc(C2=CNCCC2)cc1 ZINC001243951081 985652106 /nfs/dbraw/zinc/65/21/06/985652106.db2.gz SMQQTUKOVLPFIH-UHFFFAOYSA-N 0 3 243.335 2.929 20 0 BFADHN COc1cc(C2=CNCCC2)cc2ncccc21 ZINC001243951565 985656115 /nfs/dbraw/zinc/65/61/15/985656115.db2.gz XYMNICQONGMORA-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN CCOc1cc(F)ccc1C1=CNCCC1 ZINC001243952073 985656565 /nfs/dbraw/zinc/65/65/65/985656565.db2.gz OVKKXXLPBROYSV-UHFFFAOYSA-N 0 3 221.275 2.949 20 0 BFADHN Cc1cc(OC(C)C)ncc1C1=CNCCC1 ZINC001243951997 985657833 /nfs/dbraw/zinc/65/78/33/985657833.db2.gz LPNJINSHQUIGEM-UHFFFAOYSA-N 0 3 232.327 2.902 20 0 BFADHN CSc1ccc(C2=CNCCC2)cn1 ZINC001243952726 985661631 /nfs/dbraw/zinc/66/16/31/985661631.db2.gz OTTLKIPPDHUXKG-UHFFFAOYSA-N 0 3 206.314 2.528 20 0 BFADHN CCn1nc(C)c(CN2CCC[C@@H]3C[C@@H]32)c1C ZINC001138645379 985661779 /nfs/dbraw/zinc/66/17/79/985661779.db2.gz HQXAAQLNNWBFEM-OCCSQVGLSA-N 0 3 233.359 2.504 20 0 BFADHN FC(F)(F)c1cc(C2=CNCCC2)ccn1 ZINC001243953463 985667697 /nfs/dbraw/zinc/66/76/97/985667697.db2.gz XWXBTLSWOMRKTK-UHFFFAOYSA-N 0 3 228.217 2.825 20 0 BFADHN Cc1nc2ccc(-c3cccc(CN)n3)cc2o1 ZINC001243961933 985673677 /nfs/dbraw/zinc/67/36/77/985673677.db2.gz ZLCOXTCEHSZSKM-UHFFFAOYSA-N 0 3 239.278 2.657 20 0 BFADHN COc1ccc(-c2ccc(CN)nc2)c(C)c1C ZINC001243997413 985728357 /nfs/dbraw/zinc/72/83/57/985728357.db2.gz DSQXGBXALHWMMN-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN CSC(C)(C)C[C@@H](C)N1CCO[C@@H](C)C1 ZINC001258336369 985813136 /nfs/dbraw/zinc/81/31/36/985813136.db2.gz YIPOPHWQKJHGTJ-MNOVXSKESA-N 0 3 231.405 2.627 20 0 BFADHN CSC(C)(C)C[C@H](C)N(C1CC1)C1COC1 ZINC001258341891 985816291 /nfs/dbraw/zinc/81/62/91/985816291.db2.gz PBLINMKNCWUMSY-JTQLQIEISA-N 0 3 243.416 2.770 20 0 BFADHN CSC(C)(C)C[C@@H](C)N(C1CC1)C1COC1 ZINC001258341892 985816759 /nfs/dbraw/zinc/81/67/59/985816759.db2.gz PBLINMKNCWUMSY-SNVBAGLBSA-N 0 3 243.416 2.770 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CC(C)(C)C[C@@H]1C ZINC001460253398 985818315 /nfs/dbraw/zinc/81/83/15/985818315.db2.gz XKCLNIZONZXQTR-RYUDHWBXSA-N 0 3 241.375 2.839 20 0 BFADHN CSC(C)(C)C[C@@H](C)N1C[C@H]2CC[C@@H]1CO2 ZINC001258345593 985820902 /nfs/dbraw/zinc/82/09/02/985820902.db2.gz AWFUNHIHRRLUQK-IJLUTSLNSA-N 0 3 243.416 2.770 20 0 BFADHN CCCCC(CCCC)N1CCN(C)C(=O)C1 ZINC001258384964 985865387 /nfs/dbraw/zinc/86/53/87/985865387.db2.gz MKVUAKJZROVHRW-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CCCCC[C@H](CC)N1CCC[C@@H]1C(=O)OC ZINC001258433647 985874489 /nfs/dbraw/zinc/87/44/89/985874489.db2.gz BOTNJSBJKCKSJS-QWHCGFSZSA-N 0 3 241.375 2.983 20 0 BFADHN COCc1ccc(C)c(-c2cc(N)ccn2)c1 ZINC001244168398 985876851 /nfs/dbraw/zinc/87/68/51/985876851.db2.gz ZPSSZIDZTFKNEW-UHFFFAOYSA-N 0 3 228.295 2.786 20 0 BFADHN CCCCC[C@@H](CC)N1C[C@H]2C[C@@H](C1)O2 ZINC001258446839 985887070 /nfs/dbraw/zinc/88/70/70/985887070.db2.gz VNEQYPMMROCDBW-UPJWGTAASA-N 0 3 211.349 2.818 20 0 BFADHN CCC1(CC)CCCN1CC(=O)OCC(C)C ZINC001460728194 985888519 /nfs/dbraw/zinc/88/85/19/985888519.db2.gz ULRSUXJCDSNQGK-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H](CC1CC1)NCC(=O)c1ccc(F)cc1 ZINC001258448773 985890924 /nfs/dbraw/zinc/89/09/24/985890924.db2.gz RDIOEAABIGGZTC-JTQLQIEISA-N 0 3 235.302 2.787 20 0 BFADHN CC(C)c1ccc(N)c(-c2ccnc(CN)c2)c1 ZINC001244226311 985896722 /nfs/dbraw/zinc/89/67/22/985896722.db2.gz LXGAPAZHODVTRW-UHFFFAOYSA-N 0 3 241.338 2.913 20 0 BFADHN CCN(C[C@@H](C)C(C)(C)C)C(C)(C)C(=O)OC ZINC001460821707 985905357 /nfs/dbraw/zinc/90/53/57/985905357.db2.gz TYUQVYNMOIUCNC-LLVKDONJSA-N 0 3 243.391 2.942 20 0 BFADHN CCOC(=O)[C@H]1CCCCN1[C@@H](C)CC1CC1 ZINC001258468123 985922838 /nfs/dbraw/zinc/92/28/38/985922838.db2.gz STFVAFJHRFFIDE-WCQYABFASA-N 0 3 239.359 2.593 20 0 BFADHN CCOC(=O)CC[C@H](C)N[C@H](C)c1ccoc1 ZINC001258481966 985947418 /nfs/dbraw/zinc/94/74/18/985947418.db2.gz OQUWFBPPCIQFCY-WDEREUQCSA-N 0 3 239.315 2.662 20 0 BFADHN C[C@H]1C[C@H](n2ncc3c2CCNC3)CC(C)(C)C1 ZINC001258692322 986013513 /nfs/dbraw/zinc/01/35/13/986013513.db2.gz AKYNBDROOAXUBG-AAEUAGOBSA-N 0 3 247.386 2.916 20 0 BFADHN C[C@@H]1C[C@@H](n2ncc3c2CCNC3)CC(C)(C)C1 ZINC001258692323 986013913 /nfs/dbraw/zinc/01/39/13/986013913.db2.gz AKYNBDROOAXUBG-DGCLKSJQSA-N 0 3 247.386 2.916 20 0 BFADHN CCn1cnc(Cl)c1CNC[C@@H]1CC1(C)C ZINC001461186019 986018819 /nfs/dbraw/zinc/01/88/19/986018819.db2.gz NQAAXYHZZIMIJB-VIFPVBQESA-N 0 3 241.766 2.692 20 0 BFADHN Nc1ccncc1-c1ccc(Cl)c2c[nH]nc21 ZINC001244388592 986022643 /nfs/dbraw/zinc/02/26/43/986022643.db2.gz GFQARCMGPDSMTR-UHFFFAOYSA-N 0 3 244.685 2.691 20 0 BFADHN CCn1cnc(Cl)c1CNC1(CC)CCC1 ZINC001461276715 986040692 /nfs/dbraw/zinc/04/06/92/986040692.db2.gz YMHCXTOANPXWSP-UHFFFAOYSA-N 0 3 241.766 2.979 20 0 BFADHN CCC[C@H](C)CCNCc1ccn(CC)n1 ZINC001461725467 986131418 /nfs/dbraw/zinc/13/14/18/986131418.db2.gz GSHRCTLLPMQQTB-LBPRGKRZSA-N 0 3 223.364 2.819 20 0 BFADHN CCCC[C@H](CCC)N1CC(=O)N(C)C[C@@H]1C ZINC001258767419 986145901 /nfs/dbraw/zinc/14/59/01/986145901.db2.gz QUXRTTKHDNKMDQ-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN CCn1cnc(CNCCCc2ccsc2)c1 ZINC001461833371 986193924 /nfs/dbraw/zinc/19/39/24/986193924.db2.gz ZUOZOOSIZWLDFI-UHFFFAOYSA-N 0 3 249.383 2.687 20 0 BFADHN Cc1cnc(-c2cc(C)c(F)c([O-])c2)c(C[NH3+])c1 ZINC001244506169 986205651 /nfs/dbraw/zinc/20/56/51/986205651.db2.gz DOVHMPGKQYQHSX-UHFFFAOYSA-N 0 3 246.285 2.669 20 0 BFADHN CCCn1cncc1CN1CC[C@](C)(CC)C1 ZINC001461921685 986226349 /nfs/dbraw/zinc/22/63/49/986226349.db2.gz VRAKTZFSNKNSGE-AWEZNQCLSA-N 0 3 235.375 2.915 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N(C)[C@H](C)C(=O)OC ZINC001258794953 986227515 /nfs/dbraw/zinc/22/75/15/986227515.db2.gz NVHCIHMMJVGRKV-QJPTWQEYSA-N 0 3 229.364 2.695 20 0 BFADHN CC(=O)c1cc(-c2nccc(N)c2C)cs1 ZINC001244624723 986286840 /nfs/dbraw/zinc/28/68/40/986286840.db2.gz WZOVAMQQAWVGED-UHFFFAOYSA-N 0 3 232.308 2.903 20 0 BFADHN Cc1c(F)cncc1-c1cccc(CN(C)C)n1 ZINC001244834043 986378756 /nfs/dbraw/zinc/37/87/56/986378756.db2.gz KHAVBQPXXDTBLR-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN CCCn1cncc1CN1CCC12CCCC2 ZINC001462415205 986383586 /nfs/dbraw/zinc/38/35/86/986383586.db2.gz OVSYHUDJNDUZCN-UHFFFAOYSA-N 0 3 233.359 2.812 20 0 BFADHN COc1nc(CN[C@]2(C)CC2(C)C)ccc1C ZINC001462457938 986394962 /nfs/dbraw/zinc/39/49/62/986394962.db2.gz SOZIVKYNLIUBHM-CQSZACIVSA-N 0 3 234.343 2.677 20 0 BFADHN CNc1cc(-c2cc3[nH]ccc3c(C)n2)ccn1 ZINC001244970426 986544746 /nfs/dbraw/zinc/54/47/46/986544746.db2.gz CDQBXQMJTNEGKD-UHFFFAOYSA-N 0 3 238.294 2.975 20 0 BFADHN CCOC(=O)CCCCCN1CCC[C@H](F)C1 ZINC000689421172 986588305 /nfs/dbraw/zinc/58/83/05/986588305.db2.gz GZNVDZJNKLHIOY-LBPRGKRZSA-N 0 3 245.338 2.544 20 0 BFADHN CCOC(=O)CCCCCN1CCC[C@@H](F)C1 ZINC000689421165 986589198 /nfs/dbraw/zinc/58/91/98/986589198.db2.gz GZNVDZJNKLHIOY-GFCCVEGCSA-N 0 3 245.338 2.544 20 0 BFADHN Cc1ccc2ncc(CN(C)C3CC(C)C3)n2c1 ZINC001137305046 986739891 /nfs/dbraw/zinc/73/98/91/986739891.db2.gz WZMZQNSSPXYXSN-UHFFFAOYSA-N 0 3 243.354 2.873 20 0 BFADHN OC[C@H]1CCCCN1Cc1cc2ccccc2[nH]1 ZINC001137313539 986751196 /nfs/dbraw/zinc/75/11/96/986751196.db2.gz DHSCUEZCNAGBQS-CQSZACIVSA-N 0 3 244.338 2.515 20 0 BFADHN Cc1cc(C)c(CN(C)CCc2ccccn2)[nH]1 ZINC001137321533 986760297 /nfs/dbraw/zinc/76/02/97/986760297.db2.gz PNFRGGUQXPGNID-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN Cc1cc(C)c(CN2CC[C@@H]3CCCO[C@H]3C2)[nH]1 ZINC001137322714 986776170 /nfs/dbraw/zinc/77/61/70/986776170.db2.gz NTCZGGIHBJPBQP-ZFWWWQNUSA-N 0 3 248.370 2.632 20 0 BFADHN Cc1cc(C)c(CN2CCC3(CCCO3)CC2)[nH]1 ZINC001137329721 986780889 /nfs/dbraw/zinc/78/08/89/986780889.db2.gz LTPGIBCDIBRFEA-UHFFFAOYSA-N 0 3 248.370 2.777 20 0 BFADHN Cc1cc(C)c(CN(C)C[C@@H]2CCCCO2)[nH]1 ZINC001137329841 986790291 /nfs/dbraw/zinc/79/02/91/986790291.db2.gz RFMFOKMTEXNFQQ-ZDUSSCGKSA-N 0 3 236.359 2.632 20 0 BFADHN F[C@H]1CCCN(Cc2n[nH]c3ccccc32)CC1 ZINC001137345164 986809422 /nfs/dbraw/zinc/80/94/22/986809422.db2.gz VKAIWPPEHMVXMZ-NSHDSACASA-N 0 3 247.317 2.887 20 0 BFADHN C[C@]1(CF)CCN(Cc2n[nH]c3ccccc32)C1 ZINC001137344797 986810610 /nfs/dbraw/zinc/81/06/10/986810610.db2.gz DOUMRHGKVUBQHQ-CQSZACIVSA-N 0 3 247.317 2.744 20 0 BFADHN C[C@]1(CF)CCN(Cc2[nH]nc3ccccc32)C1 ZINC001137344797 986810614 /nfs/dbraw/zinc/81/06/14/986810614.db2.gz DOUMRHGKVUBQHQ-CQSZACIVSA-N 0 3 247.317 2.744 20 0 BFADHN COc1c(C)cccc1-c1cccc(CN)n1 ZINC001245357872 986820338 /nfs/dbraw/zinc/82/03/38/986820338.db2.gz DUUGPRUAKYYERD-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN c1ccc2c(c1)n[nH]c2CN1CCC[C@@H]2C[C@@H]21 ZINC001137351792 986820753 /nfs/dbraw/zinc/82/07/53/986820753.db2.gz JHCVMEKPNHYRIU-YGRLFVJLSA-N 0 3 227.311 2.547 20 0 BFADHN Cc1cnc(-c2c[nH]c3ncc(F)cc23)cc1N ZINC001245429136 986845470 /nfs/dbraw/zinc/84/54/70/986845470.db2.gz BOMPFWLOQSRWGM-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN Fc1ccc2c(c1)CN([C@@H]1CCSC1)C2 ZINC001255289643 986890374 /nfs/dbraw/zinc/89/03/74/986890374.db2.gz OOKIVYPDBMBEJV-GFCCVEGCSA-N 0 3 223.316 2.647 20 0 BFADHN CCOC1CN(C2CCC(C)(C)CC2)C1 ZINC001255303017 986903233 /nfs/dbraw/zinc/90/32/33/986903233.db2.gz NXURNGJGOPLFQH-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN CCCC[C@@H](C)Nc1ccc(CN)nc1 ZINC001255315161 986911373 /nfs/dbraw/zinc/91/13/73/986911373.db2.gz FHUMAOXDYTYKQI-SNVBAGLBSA-N 0 3 207.321 2.531 20 0 BFADHN CCCC[C@@H](C)N1[C@H]2CC[C@H](C2)[C@H]1C(=O)OC ZINC001255332512 986928281 /nfs/dbraw/zinc/92/82/81/986928281.db2.gz APTOIUJVMOOTBH-NDBYEHHHSA-N 0 3 239.359 2.591 20 0 BFADHN Cc1ccc2[nH]c(-c3ccc(CN)nc3)cc2c1 ZINC001245571609 986969479 /nfs/dbraw/zinc/96/94/79/986969479.db2.gz LHSQITNTKNVKRX-UHFFFAOYSA-N 0 3 237.306 2.997 20 0 BFADHN COC[C@H](C)N[C@@H](c1ccccc1F)C1CC1 ZINC001255561271 987015021 /nfs/dbraw/zinc/01/50/21/987015021.db2.gz MLBGSFNVUIGLCK-IINYFYTJSA-N 0 3 237.318 2.901 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1cccc(F)c1OC ZINC001255566955 987021896 /nfs/dbraw/zinc/02/18/96/987021896.db2.gz QSRRNAQKLLQPAJ-NXEZZACHSA-N 0 3 241.306 2.520 20 0 BFADHN C[C@H]([NH3+])c1ccc(-c2c([O-])cccc2F)cn1 ZINC001245655293 987031879 /nfs/dbraw/zinc/03/18/79/987031879.db2.gz AUBZVAUYWRHBKN-QMMMGPOBSA-N 0 3 232.258 2.613 20 0 BFADHN C[C@@H](CC(F)(F)F)N1CCC[C@]2(CCO2)C1 ZINC001255654546 987040375 /nfs/dbraw/zinc/04/03/75/987040375.db2.gz FHRPAIORKAKYKR-UWVGGRQHSA-N 0 3 237.265 2.582 20 0 BFADHN COc1cc(C)c(-c2ccnc(CN)c2)c(C)c1 ZINC001245679222 987057686 /nfs/dbraw/zinc/05/76/86/987057686.db2.gz IPQWFIDOFDICIY-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN O=C1Cc2ccc(-c3cncc4cc[nH]c43)cc2N1 ZINC001245892229 987101015 /nfs/dbraw/zinc/10/10/15/987101015.db2.gz YGGJXIUYEIEABM-UHFFFAOYSA-N 0 3 249.273 2.725 20 0 BFADHN Cc1nc2cncc(-c3ccc4cncn4c3)c2[nH]1 ZINC001245896470 987123944 /nfs/dbraw/zinc/12/39/44/987123944.db2.gz BWISLJVTMVKIOQ-UHFFFAOYSA-N 0 3 249.277 2.581 20 0 BFADHN C[C@@H]1COC[C@H]1NCc1sccc1Cl ZINC000699889804 987380428 /nfs/dbraw/zinc/38/04/28/987380428.db2.gz QAUSKWJSKUAOMR-VXNVDRBHSA-N 0 3 231.748 2.526 20 0 BFADHN C[C@@H]1COC[C@@H]1NCc1sccc1Cl ZINC000699889792 987380507 /nfs/dbraw/zinc/38/05/07/987380507.db2.gz QAUSKWJSKUAOMR-APPZFPTMSA-N 0 3 231.748 2.526 20 0 BFADHN Cc1cnc(CN2CC[C@@H]3CCCC[C@H]3C2)cn1 ZINC001115983949 987425253 /nfs/dbraw/zinc/42/52/53/987425253.db2.gz FSBYMFYKMAIDBC-KBPBESRZSA-N 0 3 245.370 2.797 20 0 BFADHN CC(C)(C)CCCN1CCN2C[C@@H](F)C[C@H]2C1 ZINC001473859000 987584866 /nfs/dbraw/zinc/58/48/66/987584866.db2.gz AQICQYXHAYYYLD-STQMWFEESA-N 0 3 242.382 2.541 20 0 BFADHN c1cncc(CN2CCCC[C@H]2[C@H]2CCCO2)c1 ZINC001473883823 987601196 /nfs/dbraw/zinc/60/11/96/987601196.db2.gz VKHVBRGOLRBLAE-LSDHHAIUSA-N 0 3 246.354 2.615 20 0 BFADHN FC(F)(F)CCN1CCCC[C@]12CCOC2 ZINC001473895850 987606181 /nfs/dbraw/zinc/60/61/81/987606181.db2.gz XUCQWCUPBWGUAB-SNVBAGLBSA-N 0 3 237.265 2.584 20 0 BFADHN Cc1cccnc1CN1CCCC[C@]12CCOC2 ZINC001473895807 987608734 /nfs/dbraw/zinc/60/87/34/987608734.db2.gz WOCZFQPIEUWIEV-OAHLLOKOSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1cc(CN2C[C@H](C)CC(C)(C)C2)[nH]n1 ZINC001116555897 987627575 /nfs/dbraw/zinc/62/75/75/987627575.db2.gz WBQNCHWHELCAKF-SNVBAGLBSA-N 0 3 221.348 2.586 20 0 BFADHN Cc1cccc([C@@H](C)CNCc2ccn(C)n2)c1 ZINC001474017635 987628973 /nfs/dbraw/zinc/62/89/73/987628973.db2.gz IHZLKVMGQCEURI-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN Cc1cccc([C@H](C)CNCc2ccn(C)n2)c1 ZINC001474017629 987630896 /nfs/dbraw/zinc/63/08/96/987630896.db2.gz IHZLKVMGQCEURI-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN CCCCC1(NCc2ccn(CC)n2)CC1 ZINC001474295375 987679524 /nfs/dbraw/zinc/67/95/24/987679524.db2.gz SOVPKWHBTOKIKT-UHFFFAOYSA-N 0 3 221.348 2.715 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@@H]2C2CCCC2)[nH]c1C ZINC001474301000 987681127 /nfs/dbraw/zinc/68/11/27/987681127.db2.gz BWVFXDFMCCBDNC-UONOGXRCSA-N 0 3 247.386 2.942 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1cn(C2CC2)cn1 ZINC001474357470 987693658 /nfs/dbraw/zinc/69/36/58/987693658.db2.gz JIYIGFDDUWSWRJ-CQSZACIVSA-N 0 3 233.359 2.838 20 0 BFADHN CC[C@@H]1CN(CCCCC(C)C)CCO1 ZINC001474413410 987716514 /nfs/dbraw/zinc/71/65/14/987716514.db2.gz IULDXJNPIZWDIF-CYBMUJFWSA-N 0 3 213.365 2.924 20 0 BFADHN CC[C@@H](C)CCNCc1ncccc1F ZINC001474620903 987781637 /nfs/dbraw/zinc/78/16/37/987781637.db2.gz AIAAWRSGSPLNLC-SNVBAGLBSA-N 0 3 210.296 2.747 20 0 BFADHN CN(CCCF)Cc1cccn1C(F)F ZINC001474713210 987805959 /nfs/dbraw/zinc/80/59/59/987805959.db2.gz FCJYSLNDYJCDCD-UHFFFAOYSA-N 0 3 220.238 2.675 20 0 BFADHN CCN(Cc1cc(C)n[nH]1)CC(C)(C)C ZINC001117656800 987853871 /nfs/dbraw/zinc/85/38/71/987853871.db2.gz PITAULAJVRDHFM-UHFFFAOYSA-N 0 3 209.337 2.586 20 0 BFADHN CCN(C)c1ccc(CNC/C=C\C2CC2)cn1 ZINC001117706400 987871008 /nfs/dbraw/zinc/87/10/08/987871008.db2.gz FUSCNLXIVPHCCJ-PLNGDYQASA-N 0 3 245.370 2.594 20 0 BFADHN N[C@H](C(=O)Nc1ccsc1)C1CCCCC1 ZINC001118201406 988098713 /nfs/dbraw/zinc/09/87/13/988098713.db2.gz KBERQURUXGLWMQ-NSHDSACASA-N 0 3 238.356 2.594 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN[C@@H]1C=CCC1 ZINC001118424586 988336504 /nfs/dbraw/zinc/33/65/04/988336504.db2.gz ZGTLHUSBKYIPKJ-LLVKDONJSA-N 0 3 219.332 2.515 20 0 BFADHN CCCCCCC(=O)NC[C@@H]1CCN1C(C)C ZINC001323354793 988504253 /nfs/dbraw/zinc/50/42/53/988504253.db2.gz WQYCCEOLHNXCEH-ZDUSSCGKSA-N 0 3 240.391 2.556 20 0 BFADHN CCCCCC(=O)NC[C@@H]1CCN1CCCC ZINC001498920277 988516405 /nfs/dbraw/zinc/51/64/05/988516405.db2.gz JDLRIOFOQWPUSF-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N1CC[C@@H]1NC ZINC001246896518 988668470 /nfs/dbraw/zinc/66/84/70/988668470.db2.gz GMXQDSYUYZVMBV-YNEHKIRRSA-N 0 3 212.381 2.843 20 0 BFADHN CN[C@@H]1CCN1[C@H](C)Cc1ccccc1Cl ZINC001246896561 988669893 /nfs/dbraw/zinc/66/98/93/988669893.db2.gz HGTJDRWHCZCWPX-MFKMUULPSA-N 0 3 238.762 2.522 20 0 BFADHN Cc1cc2nc([C@@H]3CNC(C)(C)O3)[nH]c2cc1C ZINC001247014294 988840790 /nfs/dbraw/zinc/84/07/90/988840790.db2.gz IYWDXDXFOGUWNH-LBPRGKRZSA-N 0 3 245.326 2.577 20 0 BFADHN CCOc1ccc(CN(C)C)cc1Cl ZINC000003097370 988872723 /nfs/dbraw/zinc/87/27/23/988872723.db2.gz XBUFMVSBFLLPTL-UHFFFAOYSA-N 0 3 213.708 2.800 20 0 BFADHN CS[C@@H]1CC[C@@H](N(C)Cc2cc(C)no2)C1 ZINC001119293178 988889405 /nfs/dbraw/zinc/88/94/05/988889405.db2.gz OWHCPSDDRQTKNT-ZYHUDNBSSA-N 0 3 240.372 2.699 20 0 BFADHN C=Cn1cc(CN2CC[C@H]3CCCC[C@@H]3C2)cn1 ZINC001324761033 988970443 /nfs/dbraw/zinc/97/04/43/988970443.db2.gz YBALMKPCPOTPRN-HUUCEWRRSA-N 0 3 245.370 2.996 20 0 BFADHN Cc1ncoc1CNCCc1ccc(C)cc1 ZINC001119446239 989079719 /nfs/dbraw/zinc/07/97/19/989079719.db2.gz VKYBTALIJYNUDT-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CCCN(C)Cc1cc(Cl)cn2ccnc12 ZINC001248430886 989104574 /nfs/dbraw/zinc/10/45/74/989104574.db2.gz QHNGAPKENAOEQS-UHFFFAOYSA-N 0 3 237.734 2.830 20 0 BFADHN CCN(CC)Cc1cc(Cl)cn2ccnc12 ZINC001248430904 989105091 /nfs/dbraw/zinc/10/50/91/989105091.db2.gz QPMGSXXNBXKBAE-UHFFFAOYSA-N 0 3 237.734 2.830 20 0 BFADHN COc1c(C)cccc1Nc1ccncc1C ZINC001248513555 989112458 /nfs/dbraw/zinc/11/24/58/989112458.db2.gz FFMKDTDNHUKGCK-UHFFFAOYSA-N 0 3 228.295 2.873 20 0 BFADHN CO[C@H](C)C1CN(Cc2csc(C)c2)C1 ZINC001248804494 989162053 /nfs/dbraw/zinc/16/20/53/989162053.db2.gz GYOUEOOPBPIDSS-SNVBAGLBSA-N 0 3 225.357 2.523 20 0 BFADHN C[C@@H]1CCN1Cc1ccc(F)c2cc[nH]c21 ZINC001248815471 989170185 /nfs/dbraw/zinc/17/01/85/989170185.db2.gz ZGOSLTONIILRBG-SECBINFHSA-N 0 3 218.275 2.901 20 0 BFADHN CC1CN(Cc2ccc(F)c3cc[nH]c32)C1 ZINC001248815080 989170627 /nfs/dbraw/zinc/17/06/27/989170627.db2.gz LHCDNOPYODQBCO-UHFFFAOYSA-N 0 3 218.275 2.759 20 0 BFADHN Fc1ccc(CN2CCCOCC2)c2[nH]ccc21 ZINC001248814572 989170763 /nfs/dbraw/zinc/17/07/63/989170763.db2.gz STRGWKFRDIDWND-UHFFFAOYSA-N 0 3 248.301 2.529 20 0 BFADHN COC[C@@H]1CCN1Cc1ccc(F)c2cc[nH]c21 ZINC001248819121 989174483 /nfs/dbraw/zinc/17/44/83/989174483.db2.gz MPEZWODSEABGLW-NSHDSACASA-N 0 3 248.301 2.528 20 0 BFADHN c1cc2n(c1CN1CC3CCC1CC3)CCC2 ZINC001248823927 989184810 /nfs/dbraw/zinc/18/48/10/989184810.db2.gz QQWVJGHFJMXCJE-UHFFFAOYSA-N 0 3 230.355 2.809 20 0 BFADHN Cc1cc(C)nc(CN2CCC[C@@H](C)[C@H](C)C2)n1 ZINC001248933264 989214805 /nfs/dbraw/zinc/21/48/05/989214805.db2.gz VMROLNNVHGQCTR-VXGBXAGGSA-N 0 3 247.386 2.961 20 0 BFADHN Cc1cc(C)nc(CN2CCC[C@H](C)[C@@H](C)C2)n1 ZINC001248933263 989215401 /nfs/dbraw/zinc/21/54/01/989215401.db2.gz VMROLNNVHGQCTR-RYUDHWBXSA-N 0 3 247.386 2.961 20 0 BFADHN Fc1cc2cc[nH]c2c(CN2CCCOCC2)c1 ZINC001249522395 989300132 /nfs/dbraw/zinc/30/01/32/989300132.db2.gz ZJXRXJGNMOGUQH-UHFFFAOYSA-N 0 3 248.301 2.529 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cc(C)n[nH]1 ZINC001325929258 989311430 /nfs/dbraw/zinc/31/14/30/989311430.db2.gz YRUJQTUOFUCGLB-LLVKDONJSA-N 0 3 209.337 2.729 20 0 BFADHN CCN1CCc2ccc(CN3CC[C@@H]3C)cc21 ZINC001249655393 989336752 /nfs/dbraw/zinc/33/67/52/989336752.db2.gz FQSIWTVTYIBQQE-LBPRGKRZSA-N 0 3 230.355 2.663 20 0 BFADHN Cn1ccnc1NCc1ccc2c(c1)CCC2 ZINC001326046841 989341155 /nfs/dbraw/zinc/34/11/55/989341155.db2.gz RHURDTBIRRHMKP-UHFFFAOYSA-N 0 3 227.311 2.521 20 0 BFADHN CC1(C)CN(CCc2ccc(F)cc2)C1 ZINC001120063474 989584772 /nfs/dbraw/zinc/58/47/72/989584772.db2.gz CSDYYLFADPQESC-UHFFFAOYSA-N 0 3 207.292 2.710 20 0 BFADHN CCC[C@H](C)NC(=O)[C@H](N)C1CCCCCC1 ZINC001121833184 990097326 /nfs/dbraw/zinc/09/73/26/990097326.db2.gz NSBWYSJVSGKYND-WCQYABFASA-N 0 3 240.391 2.589 20 0 BFADHN CCC/C(C)=C/C(=O)Nc1cccc2c1CNC2 ZINC001122137116 990255168 /nfs/dbraw/zinc/25/51/68/990255168.db2.gz LJGHCHMHWKEZDF-DHZHZOJOSA-N 0 3 244.338 2.975 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1Cc1cc(O)cc(O)c1 ZINC001138323472 990913633 /nfs/dbraw/zinc/91/36/33/990913633.db2.gz WRHDECFWIWEXEW-PHIMTYICSA-N 0 3 235.327 2.861 20 0 BFADHN CC[C@@H]1CCCN(Cc2cc(O)cc(O)c2)C1 ZINC001138330935 990921553 /nfs/dbraw/zinc/92/15/53/990921553.db2.gz FKJRPYCHJLDMQM-LLVKDONJSA-N 0 3 235.327 2.720 20 0 BFADHN COc1ccc(F)cc1CN1CCC[C@@H]2C[C@@H]21 ZINC001138375592 990924364 /nfs/dbraw/zinc/92/43/64/990924364.db2.gz DOQNOIAFWDULSM-MFKMUULPSA-N 0 3 235.302 2.819 20 0 BFADHN COc1ccc(C)cc1CN1CC[C@@H]1C ZINC001138485226 990956774 /nfs/dbraw/zinc/95/67/74/990956774.db2.gz KQXMXTGLNYIFOH-NSHDSACASA-N 0 3 205.301 2.598 20 0 BFADHN Cc1cccc([C@@H](C)CNCc2nccn2C)c1 ZINC001308282028 990960452 /nfs/dbraw/zinc/96/04/52/990960452.db2.gz KLVMCQOUWUDGSR-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN COC(=O)C1CN([C@H](C)C[C@H](C)CC(C)C)C1 ZINC001258067835 990962760 /nfs/dbraw/zinc/96/27/60/990962760.db2.gz ZZAKEQNBFIVWGE-VXGBXAGGSA-N 0 3 241.375 2.552 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)N1CC(C)(O)C1 ZINC001258064209 990963954 /nfs/dbraw/zinc/96/39/54/990963954.db2.gz OYKGQSBQVSCZHB-VXGBXAGGSA-N 0 3 213.365 2.514 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)N1CCN2CC[C@H]1C2 ZINC001258076254 990971611 /nfs/dbraw/zinc/97/16/11/990971611.db2.gz YKLABALDAXKTEC-KKUMJFAQSA-N 0 3 238.419 2.837 20 0 BFADHN CC(C)=CCC[C@@H](C)n1cnc(CN)c1 ZINC001258077918 990978043 /nfs/dbraw/zinc/97/80/43/990978043.db2.gz OTHJWBLCUWTNRX-LLVKDONJSA-N 0 3 207.321 2.649 20 0 BFADHN CC(C)=CCC[C@H](C)N1C[C@H](F)C[C@H]1CO ZINC001258085244 990987714 /nfs/dbraw/zinc/98/77/14/990987714.db2.gz HCWMZQXDONJKJJ-XQQFMLRXSA-N 0 3 229.339 2.526 20 0 BFADHN CCCn1ncc(CN2CCC[C@@H]3C[C@@H]32)c1C ZINC001138641125 990991629 /nfs/dbraw/zinc/99/16/29/990991629.db2.gz CBCSEEOFRPAZSD-OCCSQVGLSA-N 0 3 233.359 2.586 20 0 BFADHN CCSc1ncc(CN(C)[C@H](C)CC)cn1 ZINC001138652123 990996945 /nfs/dbraw/zinc/99/69/45/990996945.db2.gz SLKZNGYSBPSAJJ-SNVBAGLBSA-N 0 3 239.388 2.819 20 0 BFADHN CC(C)=CCC[C@H](C)N1C[C@H]2CC[C@@H]1CO2 ZINC001258096779 991007423 /nfs/dbraw/zinc/00/74/23/991007423.db2.gz ARPACFOHFZUDMC-BFHYXJOUSA-N 0 3 223.360 2.985 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CCc2c(cnn2C)C1 ZINC001258096324 991008533 /nfs/dbraw/zinc/00/85/33/991008533.db2.gz NBHGJAIDTMWKDT-CYBMUJFWSA-N 0 3 247.386 2.913 20 0 BFADHN CNc1ncccc1CN(C)C[C@@H]1CC1(C)C ZINC001138696270 991011422 /nfs/dbraw/zinc/01/14/22/991011422.db2.gz NIKMKITTXMAOKM-LBPRGKRZSA-N 0 3 233.359 2.601 20 0 BFADHN CSc1ncc(CN(CC2CC2)C2CC2)cn1 ZINC001138706859 991015834 /nfs/dbraw/zinc/01/58/34/991015834.db2.gz ISYKRIRJEJYQLI-UHFFFAOYSA-N 0 3 249.383 2.573 20 0 BFADHN CCOC(=O)CCC[C@H](C)N1CCC[C@@H](F)C1 ZINC001258127075 991025935 /nfs/dbraw/zinc/02/59/35/991025935.db2.gz LIDWHYVTUDJVJC-NWDGAFQWSA-N 0 3 245.338 2.542 20 0 BFADHN CCOC(=O)CCC[C@@H](C)N1CCC[C@H](F)C1 ZINC001258125009 991028440 /nfs/dbraw/zinc/02/84/40/991028440.db2.gz LIDWHYVTUDJVJC-NEPJUHHUSA-N 0 3 245.338 2.542 20 0 BFADHN CC1(F)CCN(Cc2cnc3[nH]ccc3c2)CC1 ZINC001138737912 991028816 /nfs/dbraw/zinc/02/88/16/991028816.db2.gz VPQOGMQFCSEBIG-UHFFFAOYSA-N 0 3 247.317 2.887 20 0 BFADHN CC[C@H]1CCCN1Cc1cn(C2CC2)cn1 ZINC001308560398 991029609 /nfs/dbraw/zinc/02/96/09/991029609.db2.gz NWGYNYFIDAVNTI-LBPRGKRZSA-N 0 3 219.332 2.592 20 0 BFADHN CSc1ccc(CNCCC(F)F)o1 ZINC001308550950 991032238 /nfs/dbraw/zinc/03/22/38/991032238.db2.gz TZDGSIBKINQJMO-UHFFFAOYSA-N 0 3 221.272 2.746 20 0 BFADHN C[C@H]1CC(n2ncc3c2CCNC3)C[C@H](C)C1 ZINC001258159727 991045684 /nfs/dbraw/zinc/04/56/84/991045684.db2.gz MUVUPKVJBGHLRG-GHMZBOCLSA-N 0 3 233.359 2.526 20 0 BFADHN C[C@@H]1CCCN(Cc2cccnc2F)CC1 ZINC001138783834 991045858 /nfs/dbraw/zinc/04/58/58/991045858.db2.gz YYZTUWMFNJARMI-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN CCn1ccc(CN2CCCC[C@@H]2C(C)C)n1 ZINC001308578207 991050175 /nfs/dbraw/zinc/05/01/75/991050175.db2.gz ZVQZOTFTBLNQTK-CQSZACIVSA-N 0 3 235.375 2.914 20 0 BFADHN Fc1ncccc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001138787222 991050347 /nfs/dbraw/zinc/05/03/47/991050347.db2.gz DUXCOPUUOBOCRL-AAEUAGOBSA-N 0 3 234.318 2.985 20 0 BFADHN Fc1ncccc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001138787080 991050557 /nfs/dbraw/zinc/05/05/57/991050557.db2.gz TUSHXVNMLGRBSK-RYUDHWBXSA-N 0 3 234.318 2.843 20 0 BFADHN C[C@H]1CC(N2CCc3nn(C)cc3C2)C[C@H](C)C1 ZINC001258167200 991060221 /nfs/dbraw/zinc/06/02/21/991060221.db2.gz HBYZYXMXWFHRJV-VXGBXAGGSA-N 0 3 247.386 2.603 20 0 BFADHN CC1(C)CCN(Cc2cccc3ccnn32)CC1 ZINC001138805551 991065418 /nfs/dbraw/zinc/06/54/18/991065418.db2.gz CDVSRHJGHQROMT-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN CNc1ccccc1CN1CCSC[C@H]1C ZINC001308625007 991066139 /nfs/dbraw/zinc/06/61/39/991066139.db2.gz WBZDPQDYBKJAOW-LLVKDONJSA-N 0 3 236.384 2.666 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3ccnn32)[C@H](C)C1 ZINC001138805532 991066032 /nfs/dbraw/zinc/06/60/32/991066032.db2.gz BWARGVAUCBSFDG-CHWSQXEVSA-N 0 3 243.354 2.955 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)[C@@H](C)C2)nn1C ZINC001138826509 991074719 /nfs/dbraw/zinc/07/47/19/991074719.db2.gz BKCZIYBOJQPISI-RYUDHWBXSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@@H](Cc1ccco1)N1CCc2ncccc2C1 ZINC001258193329 991103742 /nfs/dbraw/zinc/10/37/42/991103742.db2.gz WNYKSCYKADZXDZ-LBPRGKRZSA-N 0 3 242.322 2.664 20 0 BFADHN CSCC[C@@H](C)NCc1cc2ccccn2n1 ZINC001258211721 991128246 /nfs/dbraw/zinc/12/82/46/991128246.db2.gz MDTNECZYRSGZIR-LLVKDONJSA-N 0 3 249.383 2.566 20 0 BFADHN COC(=O)c1ccc(CN2C[C@H](C)[C@H]2C)cc1C ZINC001308789614 991134402 /nfs/dbraw/zinc/13/44/02/991134402.db2.gz FPDKUVKDBXSPFN-NWDGAFQWSA-N 0 3 247.338 2.622 20 0 BFADHN COc1ccc(SCCN[C@@H](C)CF)cc1 ZINC001308788097 991137222 /nfs/dbraw/zinc/13/72/22/991137222.db2.gz DFSKGIHIKWJNFC-JTQLQIEISA-N 0 3 243.347 2.735 20 0 BFADHN CSCC[C@@H](C)N1CCc2ccncc2C1 ZINC001258221901 991151355 /nfs/dbraw/zinc/15/13/55/991151355.db2.gz ISCRAKGMGTUCHO-LLVKDONJSA-N 0 3 236.384 2.581 20 0 BFADHN C[C@]1(Br)C[C@H]1CN1CC2(CCC2)C1 ZINC001308809986 991153451 /nfs/dbraw/zinc/15/34/51/991153451.db2.gz QGTYKFQNLNMAMT-UWVGGRQHSA-N 0 3 244.176 2.646 20 0 BFADHN Cn1ccc2cc(CN3CCSCC3)ccc21 ZINC001139187629 991180319 /nfs/dbraw/zinc/18/03/19/991180319.db2.gz NOVFPCFVRGASKG-UHFFFAOYSA-N 0 3 246.379 2.727 20 0 BFADHN C[C@H]1CCN(Cc2ccc3nsnc3c2)C1 ZINC001139210682 991197581 /nfs/dbraw/zinc/19/75/81/991197581.db2.gz RBVUCIDHESZSGF-VIFPVBQESA-N 0 3 233.340 2.533 20 0 BFADHN Cc1noc(CN2CCCCC[C@@H]2C(C)C)n1 ZINC001559688884 991216954 /nfs/dbraw/zinc/21/69/54/991216954.db2.gz FHYKRPXASPFXMP-GFCCVEGCSA-N 0 3 237.347 2.779 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CN1CCO[C@@H](OC)C1 ZINC001308921313 991218304 /nfs/dbraw/zinc/21/83/04/991218304.db2.gz APQFWPPERIWDFO-MGPQQGTHSA-N 0 3 241.375 2.508 20 0 BFADHN CCN(C#N)CCN[C@H](C)c1cc(C)ccc1C ZINC001559720315 991229551 /nfs/dbraw/zinc/22/95/51/991229551.db2.gz JFNHLGAICKJVNA-CQSZACIVSA-N 0 3 245.370 2.757 20 0 BFADHN CSC(C)(C)C[C@@H](C)n1ccnc1[C@H](C)N ZINC001258317708 991242578 /nfs/dbraw/zinc/24/25/78/991242578.db2.gz ASLOJUPWVWKHDR-ZJUUUORDSA-N 0 3 241.404 2.996 20 0 BFADHN CN(Cc1ccc(=O)[nH]c1)Cc1cccc(F)c1 ZINC001139463552 991244899 /nfs/dbraw/zinc/24/48/99/991244899.db2.gz BDANKKFMLARAJY-UHFFFAOYSA-N 0 3 246.285 2.558 20 0 BFADHN CSC(C)(C)C[C@H](C)n1ccc([C@@H](C)N)n1 ZINC001258324245 991252760 /nfs/dbraw/zinc/25/27/60/991252760.db2.gz PHOMUCQTMTUVFK-VHSXEESVSA-N 0 3 241.404 2.996 20 0 BFADHN COC1(C)CN([C@H](C)CC(C)(C)SC)C1 ZINC001258335332 991259502 /nfs/dbraw/zinc/25/95/02/991259502.db2.gz KWQUMLMTMUHUCQ-SNVBAGLBSA-N 0 3 231.405 2.627 20 0 BFADHN CSC(C)(C)C[C@@H](C)N1CCC(=O)C[C@@H]1C ZINC001258333723 991261312 /nfs/dbraw/zinc/26/13/12/991261312.db2.gz AQDHTDODMDINKE-WDEREUQCSA-N 0 3 243.416 2.960 20 0 BFADHN CSC(C)(C)C[C@H](C)N1CCC(=O)[C@H](C)C1 ZINC001258334368 991261841 /nfs/dbraw/zinc/26/18/41/991261841.db2.gz FLOYUGUZUHUSOC-MNOVXSKESA-N 0 3 243.416 2.818 20 0 BFADHN CCOc1ccc(C)cc1CN1CC[C@H](OC)C1 ZINC001139599288 991277913 /nfs/dbraw/zinc/27/79/13/991277913.db2.gz BBMGSGAAEGTQQB-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1cnc(Cl)c(CN2CC3CC(C3)C2)c1 ZINC001139592217 991279357 /nfs/dbraw/zinc/27/93/57/991279357.db2.gz JNFUPWXXKMBJJF-UHFFFAOYSA-N 0 3 236.746 2.885 20 0 BFADHN CCOc1ccncc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC001139626220 991302759 /nfs/dbraw/zinc/30/27/59/991302759.db2.gz LQPMYBNLYPJDLL-BETUJISGSA-N 0 3 246.354 2.712 20 0 BFADHN CCCCN(CCC)Cc1cn2ccncc2n1 ZINC001139698107 991323488 /nfs/dbraw/zinc/32/34/88/991323488.db2.gz ROFJSPBUFYLWEV-UHFFFAOYSA-N 0 3 246.358 2.741 20 0 BFADHN CCCCC(CCCC)N1CCNC(=O)[C@@H]1C ZINC001258387772 991346619 /nfs/dbraw/zinc/34/66/19/991346619.db2.gz XXNDTNJYQHMFGF-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN COc1ccc(CC[C@@H](C)N(C)CC(C)=O)cc1 ZINC001258397226 991348831 /nfs/dbraw/zinc/34/88/31/991348831.db2.gz GQDDFZWALRTOSL-GFCCVEGCSA-N 0 3 249.354 2.537 20 0 BFADHN COc1ccc(CC[C@H](C)N(C)CCF)cc1 ZINC001258410251 991353421 /nfs/dbraw/zinc/35/34/21/991353421.db2.gz QENPVMWGEUAKIX-LBPRGKRZSA-N 0 3 239.334 2.918 20 0 BFADHN CCCCC[C@H](CC)N(C)[C@@H](C)C(=O)OC ZINC001258444653 991387438 /nfs/dbraw/zinc/38/74/38/991387438.db2.gz ZIPHAKOGGMGPKX-RYUDHWBXSA-N 0 3 229.364 2.839 20 0 BFADHN CCCCCN(C)Cc1ccnc(F)c1 ZINC001139830987 991387782 /nfs/dbraw/zinc/38/77/82/991387782.db2.gz NYYQOONABNCXIN-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN C[C@@H](CC1CC1)N1CCN(C)c2ncccc2C1 ZINC001258465557 991425128 /nfs/dbraw/zinc/42/51/28/991425128.db2.gz MRJNWIXVGZCQMI-LBPRGKRZSA-N 0 3 245.370 2.522 20 0 BFADHN Cc1cccc(CNC2([C@@H]3CCCO3)CCC2)n1 ZINC001560227031 991425628 /nfs/dbraw/zinc/42/56/28/991425628.db2.gz GNMYEJSFNGRPQI-AWEZNQCLSA-N 0 3 246.354 2.581 20 0 BFADHN c1ccc(CN2CCCC[C@@H]2[C@@H]2CCCO2)nc1 ZINC001560224442 991426955 /nfs/dbraw/zinc/42/69/55/991426955.db2.gz XEPNDXIKDTUAHS-CABCVRRESA-N 0 3 246.354 2.615 20 0 BFADHN CCCCN(CC(=O)OCC)[C@@H](C)CC1CC1 ZINC001258474642 991446332 /nfs/dbraw/zinc/44/63/32/991446332.db2.gz WCRVNISEJVNHQQ-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN c1cc(CN2CCC[C@@H]3CCCC[C@@H]32)cnn1 ZINC001139983779 991459956 /nfs/dbraw/zinc/45/99/56/991459956.db2.gz KGVHOEBGHWNKBA-KBPBESRZSA-N 0 3 231.343 2.631 20 0 BFADHN CCN(CCOC)Cc1cc2ccccc2cn1 ZINC001139993094 991473669 /nfs/dbraw/zinc/47/36/69/991473669.db2.gz AXUZXORAZFWGDY-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN F[C@@H]1CCN(C2CCN(c3ccccc3)CC2)C1 ZINC001258510933 991474289 /nfs/dbraw/zinc/47/42/89/991474289.db2.gz WARCILCXBGAQOC-CYBMUJFWSA-N 0 3 248.345 2.699 20 0 BFADHN CN(Cc1cc(O)ccc1F)C1CCCC1 ZINC001140049248 991499595 /nfs/dbraw/zinc/49/95/95/991499595.db2.gz ZZLGUIZILXBEHI-UHFFFAOYSA-N 0 3 223.291 2.906 20 0 BFADHN C[C@@H](N)c1ccn([C@@H]2CCC(C)(C)C2)n1 ZINC001258596433 991500012 /nfs/dbraw/zinc/50/00/12/991500012.db2.gz ZUSVLLAQYHWYEK-NXEZZACHSA-N 0 3 207.321 2.654 20 0 BFADHN Oc1ccc(F)c(CN2CCCCCC2)c1 ZINC001140051182 991500862 /nfs/dbraw/zinc/50/08/62/991500862.db2.gz VBPMZDXGGJHAPH-UHFFFAOYSA-N 0 3 223.291 2.907 20 0 BFADHN CC(C)N(C)Cc1cc(C(F)(F)F)ccn1 ZINC001140102369 991520104 /nfs/dbraw/zinc/52/01/04/991520104.db2.gz OATIACKUYIBNFE-UHFFFAOYSA-N 0 3 232.249 2.941 20 0 BFADHN CN(Cc1cc(C(F)(F)F)ccn1)CC1CC1 ZINC001140104335 991520796 /nfs/dbraw/zinc/52/07/96/991520796.db2.gz LCOHDOYTMTYKSC-UHFFFAOYSA-N 0 3 244.260 2.942 20 0 BFADHN CC(C)OC1CN([C@@H]2CCC(C)(C)C2)C1 ZINC001258607776 991528095 /nfs/dbraw/zinc/52/80/95/991528095.db2.gz PPOSCIPWHUAWSI-LLVKDONJSA-N 0 3 211.349 2.674 20 0 BFADHN C[C@H](Cc1cccnc1)N[C@@H](C)c1nccs1 ZINC001258611494 991538642 /nfs/dbraw/zinc/53/86/42/991538642.db2.gz JXCSGNDBYJFJPO-MNOVXSKESA-N 0 3 247.367 2.820 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cn(C2CC2)cn1 ZINC001560776204 991600617 /nfs/dbraw/zinc/60/06/17/991600617.db2.gz DMXSHEVOICAFBY-BXUZGUMPSA-N 0 3 233.359 2.838 20 0 BFADHN Fc1cccnc1CN[C@@H]1CC[C@@H]2CCC[C@H]12 ZINC001560804498 991605667 /nfs/dbraw/zinc/60/56/67/991605667.db2.gz FIVSJUYXHRCHJD-GMXVVIOVSA-N 0 3 234.318 2.889 20 0 BFADHN Cc1ccc2n[nH]c(CN3CCC[C@@H]4C[C@@H]43)c2c1 ZINC001140333830 991610071 /nfs/dbraw/zinc/61/00/71/991610071.db2.gz SGPLSFBNGKNRSB-ABAIWWIYSA-N 0 3 241.338 2.856 20 0 BFADHN c1[nH]nc2ccc(CN3CC4(CCC4)C3)cc12 ZINC001140385146 991632352 /nfs/dbraw/zinc/63/23/52/991632352.db2.gz USADKSCPQWRBSQ-UHFFFAOYSA-N 0 3 227.311 2.549 20 0 BFADHN C[C@@H]1C[C@H](N2Cc3cnn(C)c3C2)CC(C)(C)C1 ZINC001258700446 991634418 /nfs/dbraw/zinc/63/44/18/991634418.db2.gz MQJYSZVSKSNWOY-YPMHNXCESA-N 0 3 247.386 2.951 20 0 BFADHN C[C@@H]1C[C@@H](N2Cc3cnn(C)c3C2)CC(C)(C)C1 ZINC001258700444 991636116 /nfs/dbraw/zinc/63/61/16/991636116.db2.gz MQJYSZVSKSNWOY-DGCLKSJQSA-N 0 3 247.386 2.951 20 0 BFADHN CCCC[C@H](CCC)N1CCN(CC)C(=O)C1 ZINC001258767654 991733569 /nfs/dbraw/zinc/73/35/69/991733569.db2.gz WJIODSXUFRNHRL-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@@H](C)C[C@H](CC)n1ccc(CN)n1 ZINC001258780887 991750855 /nfs/dbraw/zinc/75/08/55/991750855.db2.gz RQNWOLOKPXWMMK-PWSUYJOCSA-N 0 3 209.337 2.729 20 0 BFADHN CCCCN(CN1C(=O)CC1(C)C)[C@@H](C)CC ZINC001319002038 991757463 /nfs/dbraw/zinc/75/74/63/991757463.db2.gz XXAXKVPBXINZOR-LBPRGKRZSA-N 0 3 240.391 2.855 20 0 BFADHN CCOC1CN([C@H](CC)C[C@@H](C)CC)C1 ZINC001258789351 991770387 /nfs/dbraw/zinc/77/03/87/991770387.db2.gz ZPPBWOQLODDTTQ-NWDGAFQWSA-N 0 3 213.365 2.922 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N1CCc2c(cnn2C)C1 ZINC001258796975 991784641 /nfs/dbraw/zinc/78/46/41/991784641.db2.gz IGMCZPCBUOMLHI-GXTWGEPZSA-N 0 3 249.402 2.993 20 0 BFADHN COC1(C)CN(C2CCC(C(C)C)CC2)C1 ZINC001258803112 991803425 /nfs/dbraw/zinc/80/34/25/991803425.db2.gz NLSFCNAZUWKPTO-UHFFFAOYSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@H](N)c1nccn1[C@H]1CCC[C@@H](C)C1 ZINC001258807149 991806578 /nfs/dbraw/zinc/80/65/78/991806578.db2.gz FGXXWXJIVJWPHA-VWYCJHECSA-N 0 3 207.321 2.654 20 0 BFADHN Cc1ccc(CN)nc1N(C)[C@@H]1CCC[C@@H](C)C1 ZINC001258808405 991815848 /nfs/dbraw/zinc/81/58/48/991815848.db2.gz MTMZAUONFSDRPU-BXUZGUMPSA-N 0 3 247.386 2.864 20 0 BFADHN CCC[C@H]1[C@@H](C)CCCN1CC(=O)OC(C)C ZINC001319380816 991853089 /nfs/dbraw/zinc/85/30/89/991853089.db2.gz MJRFMCFBJIMJGK-STQMWFEESA-N 0 3 241.375 2.839 20 0 BFADHN CC[C@H](Cc1ccccc1)n1cnc(CN)c1 ZINC001258837099 991867741 /nfs/dbraw/zinc/86/77/41/991867741.db2.gz GMLRHQNANJMBCK-CQSZACIVSA-N 0 3 229.327 2.536 20 0 BFADHN COC(=O)[C@@H](C)N(C)C(CC(C)C)CC(C)C ZINC001258835001 991869506 /nfs/dbraw/zinc/86/95/06/991869506.db2.gz KFVQZISSRBNWKZ-GFCCVEGCSA-N 0 3 243.391 2.941 20 0 BFADHN COc1ccccc1C[C@H](C)NC1(CF)CC1 ZINC001258877641 991882515 /nfs/dbraw/zinc/88/25/15/991882515.db2.gz VZJCDFYWNXLYLL-NSHDSACASA-N 0 3 237.318 2.718 20 0 BFADHN CC(C)OC(=O)CN1CCCC12CCCCC2 ZINC001319531654 991887079 /nfs/dbraw/zinc/88/70/79/991887079.db2.gz GZEFBKKOLLRULZ-UHFFFAOYSA-N 0 3 239.359 2.737 20 0 BFADHN Cc1cc(CN[C@@H](CC(F)(F)F)C(C)C)[nH]n1 ZINC001320554594 992245654 /nfs/dbraw/zinc/24/56/54/992245654.db2.gz VVGQABZAAICUEG-JTQLQIEISA-N 0 3 249.280 2.785 20 0 BFADHN CO[C@@H](CNCc1ncccc1C)CC(C)C ZINC001261376346 992281527 /nfs/dbraw/zinc/28/15/27/992281527.db2.gz NEKARYXEEYSEGR-CYBMUJFWSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@H]([NH2+][C@@H]1CC[C@H]1C)c1cccc([O-])c1F ZINC001261891119 992566514 /nfs/dbraw/zinc/56/65/14/992566514.db2.gz YROZUIKUGSEXIL-WCABBAIRSA-N 0 3 223.291 2.980 20 0 BFADHN c1nn(C2CCC2)cc1CN[C@@H]1C=CCCC1 ZINC001262353665 992855287 /nfs/dbraw/zinc/85/52/87/992855287.db2.gz HLXDCYJJWLDUCQ-CYBMUJFWSA-N 0 3 231.343 2.806 20 0 BFADHN CCCN(Cc1ccc(OC)o1)C1CC1 ZINC001262355241 992855511 /nfs/dbraw/zinc/85/55/11/992855511.db2.gz NMUYVBYJHDIZKX-UHFFFAOYSA-N 0 3 209.289 2.663 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N1[C@@H](C)CCC1(C)C ZINC001263180708 993153300 /nfs/dbraw/zinc/15/33/00/993153300.db2.gz SJPARFYNCZVEIE-SDDRHHMPSA-N 0 3 240.391 2.539 20 0 BFADHN Cc1nc2c(n1C1CCCCCC1)CNCC2 ZINC000380237946 993322784 /nfs/dbraw/zinc/32/27/84/993322784.db2.gz ZIFTUQNZUGTOML-UHFFFAOYSA-N 0 3 233.359 2.733 20 0 BFADHN CCSC[C@H](C)N[C@@H]1COc2ccccc21 ZINC000381387911 993391349 /nfs/dbraw/zinc/39/13/49/993391349.db2.gz NEZQAOMZYHFHBJ-CMPLNLGQSA-N 0 3 237.368 2.851 20 0 BFADHN CCSC[C@@H](C)N[C@@H]1COc2ccccc21 ZINC000381387919 993391820 /nfs/dbraw/zinc/39/18/20/993391820.db2.gz NEZQAOMZYHFHBJ-ZYHUDNBSSA-N 0 3 237.368 2.851 20 0 BFADHN Cc1c2[nH]c([C@H]3CCOC3)nc2ccc1F ZINC000586912908 993418067 /nfs/dbraw/zinc/41/80/67/993418067.db2.gz XTJSDMWWMVBHGX-QMMMGPOBSA-N 0 3 220.247 2.514 20 0 BFADHN N#Cc1ccc(CNC/C=C/C2CC2)s1 ZINC000382334158 993450847 /nfs/dbraw/zinc/45/08/47/993450847.db2.gz XNKIAPPAPNMFAZ-OWOJBTEDSA-N 0 3 218.325 2.676 20 0 BFADHN CCC(C)(C)c1ccc(NC(=O)[C@@H](C)N)cc1 ZINC001576947728 993461523 /nfs/dbraw/zinc/46/15/23/993461523.db2.gz DEHQSNYEPRDAHM-SNVBAGLBSA-N 0 3 234.343 2.660 20 0 BFADHN Cc1nc2c(n1[C@H]1CCC[C@@H](C)C1)CNCC2 ZINC000383871452 993525686 /nfs/dbraw/zinc/52/56/86/993525686.db2.gz HUVONJCXNOPPOF-PWSUYJOCSA-N 0 3 233.359 2.588 20 0 BFADHN CCCC[C@H](N)C(=O)N1C[C@H](C)CCC[C@@H]1C ZINC001577400730 993642410 /nfs/dbraw/zinc/64/24/10/993642410.db2.gz GYSQYGRKJSFAER-AGIUHOORSA-N 0 3 240.391 2.541 20 0 BFADHN CCC[C@@H](O)CNCc1csc(Cl)c1 ZINC000393541998 993963239 /nfs/dbraw/zinc/96/32/39/993963239.db2.gz ZLUBZXYRIMLQCO-SECBINFHSA-N 0 3 233.764 2.652 20 0 BFADHN Cc1ccc(CNC/C=C/C2CC2)cc1[N+](=O)[O-] ZINC000393581414 993967909 /nfs/dbraw/zinc/96/79/09/993967909.db2.gz FSRYLFPRGNEWFQ-NSCUHMNNSA-N 0 3 246.310 2.959 20 0 BFADHN CCOc1cccc(F)c1CNCC(C)C ZINC001308182886 995594457 /nfs/dbraw/zinc/59/44/57/995594457.db2.gz YWRLUUJPYHYZNS-UHFFFAOYSA-N 0 3 225.307 2.970 20 0 BFADHN Fc1ccc2c(c1)[C@H](NC[C@H]1CCCCO1)CC2 ZINC000267053293 538914408 /nfs/dbraw/zinc/91/44/08/538914408.db2.gz FPRVESACHYXVFF-UKRRQHHQSA-N 0 3 249.329 2.972 20 0 BFADHN CC(C)[C@@H](CO)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000092394435 398139349 /nfs/dbraw/zinc/13/93/49/398139349.db2.gz NISHBEMDEYZKQZ-RAIGVLPGSA-N 0 3 237.343 2.510 20 0 BFADHN Cc1ncc(CN2CC[C@H](C3CCC3)C2)o1 ZINC000628314303 349448760 /nfs/dbraw/zinc/44/87/60/349448760.db2.gz VHQMHPYLLRHCRI-LBPRGKRZSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C3CCC3)C2)o1 ZINC000628314302 349448776 /nfs/dbraw/zinc/44/87/76/349448776.db2.gz VHQMHPYLLRHCRI-GFCCVEGCSA-N 0 3 220.316 2.605 20 0 BFADHN CCC(CC)(CC)NCc1ncc(C)cn1 ZINC000336748961 168317989 /nfs/dbraw/zinc/31/79/89/168317989.db2.gz YMQZUBONAZIQED-UHFFFAOYSA-N 0 3 221.348 2.843 20 0 BFADHN CCC(CC)(CC)NCc1ccnc(OC)n1 ZINC000295634413 168318103 /nfs/dbraw/zinc/31/81/03/168318103.db2.gz HTWGXOWZKYMEBN-UHFFFAOYSA-N 0 3 237.347 2.544 20 0 BFADHN CCC(CC)(CC)NCc1ccc(OC)nn1 ZINC000283027247 168318218 /nfs/dbraw/zinc/31/82/18/168318218.db2.gz HJIDSABBLUZQBK-UHFFFAOYSA-N 0 3 237.347 2.544 20 0 BFADHN Cc1cnccc1CN1CCS[C@@H](C)CC1 ZINC000296874428 398141983 /nfs/dbraw/zinc/14/19/83/398141983.db2.gz PPWONJXIDRGZKI-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN CCN(CCCn1ccnc1C)Cc1ccoc1 ZINC000161545950 538916779 /nfs/dbraw/zinc/91/67/79/538916779.db2.gz HDBBZODZCSLLGV-UHFFFAOYSA-N 0 3 247.342 2.697 20 0 BFADHN C[C@@H](CN[C@H](C)c1nccs1)C1CC1 ZINC000161537171 538916804 /nfs/dbraw/zinc/91/68/04/538916804.db2.gz NIQAAMNYFMZEBM-DTWKUNHWSA-N 0 3 210.346 2.840 20 0 BFADHN CCC(CC)(CCO)NCc1ccccc1F ZINC000337851665 168321748 /nfs/dbraw/zinc/32/17/48/168321748.db2.gz JLXPSYUJOIXHAH-UHFFFAOYSA-N 0 3 239.334 2.857 20 0 BFADHN CCN(Cc1ncc(C)c(OC)c1C)C(C)C ZINC000171234605 346811631 /nfs/dbraw/zinc/81/16/31/346811631.db2.gz SUYIEKFQXCTRDB-UHFFFAOYSA-N 0 3 236.359 2.937 20 0 BFADHN CCC(CC)(CNCc1cnccc1C)OC ZINC000291764980 168325435 /nfs/dbraw/zinc/32/54/35/168325435.db2.gz RZKOELHVQMWRHJ-UHFFFAOYSA-N 0 3 236.359 2.685 20 0 BFADHN CCC(CC)(CN[C@@H](C)c1ccccn1)OC ZINC000292705786 168326448 /nfs/dbraw/zinc/32/64/48/168326448.db2.gz CSZYLLWRHSPYDO-LBPRGKRZSA-N 0 3 236.359 2.937 20 0 BFADHN CCCn1nccc1CNCCCSCC ZINC000300957655 349474400 /nfs/dbraw/zinc/47/44/00/349474400.db2.gz PYVQDBFISFVDIZ-UHFFFAOYSA-N 0 3 241.404 2.526 20 0 BFADHN CC(C)C1(NCc2nn(C)c3ccccc23)CC1 ZINC000379433588 398146088 /nfs/dbraw/zinc/14/60/88/398146088.db2.gz MCAOIZUWFNXJRD-UHFFFAOYSA-N 0 3 243.354 2.852 20 0 BFADHN CCC(CC)(CO)NCc1cccc(C)c1F ZINC000294935617 168329479 /nfs/dbraw/zinc/32/94/79/168329479.db2.gz DMBRWKPHSWSCSH-UHFFFAOYSA-N 0 3 239.334 2.775 20 0 BFADHN Fc1ccccc1CN1CC[C@@H]2OCCC[C@@H]2C1 ZINC000153000109 136212583 /nfs/dbraw/zinc/21/25/83/136212583.db2.gz PGTMTTMEPOMGPM-HIFRSBDPSA-N 0 3 249.329 2.827 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C(C)(C)C2)ncn1 ZINC000293283316 178000442 /nfs/dbraw/zinc/00/04/42/178000442.db2.gz TXXQTKAPPVYSAA-NSHDSACASA-N 0 3 233.359 2.653 20 0 BFADHN Cc1cc(CN2CC[C@@H](n3cccn3)C2)cs1 ZINC000296162254 178001661 /nfs/dbraw/zinc/00/16/61/178001661.db2.gz WOLGLQDRBLXZFM-CYBMUJFWSA-N 0 3 247.367 2.700 20 0 BFADHN Cc1cc(CN2CC[C@@]3(CC[C@@H](C)C3)C2)nn1C ZINC000359558919 178001999 /nfs/dbraw/zinc/00/19/99/178001999.db2.gz ORVBNEYOYBECFQ-IUODEOHRSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C[C@@H](C)C2)ncn1 ZINC000292657585 178002039 /nfs/dbraw/zinc/00/20/39/178002039.db2.gz AWMTZAMQTHCEMS-NWDGAFQWSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1cc(CN2CC[C@H]3OCC[C@H]3C2)ccc1F ZINC000369682012 178003295 /nfs/dbraw/zinc/00/32/95/178003295.db2.gz BKQURUUPIVKFMI-DZGCQCFKSA-N 0 3 249.329 2.745 20 0 BFADHN Cc1cc(CN2CC[C@H](O)C(C)(C)C2)cs1 ZINC000296658386 178003328 /nfs/dbraw/zinc/00/33/28/178003328.db2.gz DXJVGXSOKBYCKX-LBPRGKRZSA-N 0 3 239.384 2.649 20 0 BFADHN Cc1ccc(CCNCc2ccsc2)o1 ZINC000389934899 398151005 /nfs/dbraw/zinc/15/10/05/398151005.db2.gz MNWPHSBUUNQTLI-UHFFFAOYSA-N 0 3 221.325 2.982 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](C)[C@H]2C)on1 ZINC000336661158 178007849 /nfs/dbraw/zinc/00/78/49/178007849.db2.gz DYRSSXZMQJMTHS-JFGNBEQYSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](CO)C2)cs1 ZINC000335609829 178008051 /nfs/dbraw/zinc/00/80/51/178008051.db2.gz FUOBNICYHUEFDN-ZYHUDNBSSA-N 0 3 239.384 2.507 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@@H]3CCCC[C@H]32)nn1C ZINC000360212420 178008664 /nfs/dbraw/zinc/00/86/64/178008664.db2.gz JMAYCOYJPSTEKW-TUKIKUTGSA-N 0 3 247.386 2.739 20 0 BFADHN Cc1cc(CN2[C@@H](C)CCC[C@@H]2C)on1 ZINC000066531710 178008729 /nfs/dbraw/zinc/00/87/29/178008729.db2.gz VAOMSKFBEBFPPD-QWRGUYRKSA-N 0 3 208.305 2.746 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CC[C@H]2O)c(C)s1 ZINC000378728949 346817062 /nfs/dbraw/zinc/81/70/62/346817062.db2.gz KCOOXELWFLPWIT-GGZOMVNGSA-N 0 3 225.357 2.539 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC[C@@H]2C)no1 ZINC000336739624 178023280 /nfs/dbraw/zinc/02/32/80/178023280.db2.gz LMPBWNXCNLIPTM-JQWIXIFHSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1cc(CN[C@@H](C)Cn2cccn2)ccc1F ZINC000050545495 178028541 /nfs/dbraw/zinc/02/85/41/178028541.db2.gz JLWUFNMAOAVHGY-LBPRGKRZSA-N 0 3 247.317 2.509 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2ccc(F)cc2)on1 ZINC000125605966 178028854 /nfs/dbraw/zinc/02/88/54/178028854.db2.gz OSYXEDQDMSVRGM-JTQLQIEISA-N 0 3 248.301 2.843 20 0 BFADHN FC1(F)C[C@@]12CCN(Cc1ccccc1)C2 ZINC000649899826 398170777 /nfs/dbraw/zinc/17/07/77/398170777.db2.gz OHBRLWARTTWTOX-GFCCVEGCSA-N 0 3 223.266 2.918 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1cccc(F)c1 ZINC000019905047 398171870 /nfs/dbraw/zinc/17/18/70/398171870.db2.gz MICURERENORKLR-NXEZZACHSA-N 0 3 211.280 2.511 20 0 BFADHN Cc1cc(CN[C@@H](CO)C(C)(C)C)cs1 ZINC000297062761 178031961 /nfs/dbraw/zinc/03/19/61/178031961.db2.gz ZDCSDTNKFRCOEH-NSHDSACASA-N 0 3 227.373 2.553 20 0 BFADHN Cc1cc(CN[C@@H](C)c2cn[nH]c2)cc(C)c1O ZINC000229628055 178032300 /nfs/dbraw/zinc/03/23/00/178032300.db2.gz SLGLRWOWLURMKL-NSHDSACASA-N 0 3 245.326 2.583 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC[C@@H]2C)on1 ZINC000044300970 178034126 /nfs/dbraw/zinc/03/41/26/178034126.db2.gz GILOGGCZDLSGPV-JOYOIKCWSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H](C)O[C@@H]2C)ccc1F ZINC000294789558 178034450 /nfs/dbraw/zinc/03/44/50/178034450.db2.gz OJQGNNJNGJLULL-MISXGVKJSA-N 0 3 237.318 2.790 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)C[C@H]2C)ncn1 ZINC000273341883 178034513 /nfs/dbraw/zinc/03/45/13/178034513.db2.gz LEQFDJUVIUYDGN-MISXGVKJSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@@H](CCc1ccccc1)NCc1cc[nH]n1 ZINC000527764855 398173047 /nfs/dbraw/zinc/17/30/47/398173047.db2.gz ZOMMKOKJKLUOBZ-AWEZNQCLSA-N 0 3 243.354 2.911 20 0 BFADHN Cc1cc(CN[C@@H]2CCSC2)ccc1F ZINC000075152696 178035903 /nfs/dbraw/zinc/03/59/03/178035903.db2.gz HAAXKUBCQRRPER-LLVKDONJSA-N 0 3 225.332 2.729 20 0 BFADHN C[C@H](N[C@H]1CSC1(C)C)c1cccnc1 ZINC000283357656 398183399 /nfs/dbraw/zinc/18/33/99/398183399.db2.gz MWNNEBLSPHCSLU-ONGXEEELSA-N 0 3 222.357 2.626 20 0 BFADHN Cc1cc(CN[C@@]2(C)CCO[C@H]2C)cs1 ZINC000309064092 178037456 /nfs/dbraw/zinc/03/74/56/178037456.db2.gz YCAVIJNEUHENOK-JQWIXIFHSA-N 0 3 225.357 2.714 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCC2(C)C)nn1C ZINC000334234090 136215757 /nfs/dbraw/zinc/21/57/57/136215757.db2.gz PHWQDWZTQWTXAQ-CMPLNLGQSA-N 0 3 221.348 2.568 20 0 BFADHN CC(C)[C@@H]1C[C@@H](N[C@@H](C)c2cn[nH]c2)CS1 ZINC000277353129 398174100 /nfs/dbraw/zinc/17/41/00/398174100.db2.gz VVFYUGIOAVPEFN-WCQGTBRESA-N 0 3 239.388 2.590 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccccc2C)n(C)n1 ZINC000089183316 178039100 /nfs/dbraw/zinc/03/91/00/178039100.db2.gz PEXQVDJNCSPHQQ-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN CC(C)CNC(=O)Nc1cccc(CN(C)C)c1 ZINC000044292833 398174700 /nfs/dbraw/zinc/17/47/00/398174700.db2.gz LHLXRLXRLKFOBM-UHFFFAOYSA-N 0 3 249.358 2.526 20 0 BFADHN CC(C)=CCN1CC[C@@]2(CC2(F)F)C1 ZINC000649902291 398175803 /nfs/dbraw/zinc/17/58/03/398175803.db2.gz XKVWPROMYMLXPT-SNVBAGLBSA-N 0 3 201.260 2.684 20 0 BFADHN C[C@H](O)CCNCc1ccccc1C(F)(F)F ZINC000078782025 398177515 /nfs/dbraw/zinc/17/75/15/398177515.db2.gz PMHFHOHAXZVJLQ-VIFPVBQESA-N 0 3 247.260 2.566 20 0 BFADHN CC(C)N1CCC(c2noc(C3CC3)n2)CC1 ZINC000336447657 398179318 /nfs/dbraw/zinc/17/93/18/398179318.db2.gz DIXBFQVFEBSCHM-UHFFFAOYSA-N 0 3 235.331 2.535 20 0 BFADHN Cc1nocc1CNc1cc(C)cc(C)n1 ZINC000293229369 398180180 /nfs/dbraw/zinc/18/01/80/398180180.db2.gz KMNHNGVXQYMXGK-UHFFFAOYSA-N 0 3 217.272 2.607 20 0 BFADHN C[C@H](c1ccccn1)N1CC[C@]2(CC2(F)F)C1 ZINC000649907537 398181103 /nfs/dbraw/zinc/18/11/03/398181103.db2.gz UPYSKWBNBDCEPQ-PWSUYJOCSA-N 0 3 238.281 2.874 20 0 BFADHN Cc1cc(C[C@@H](C)N[C@@H](C)c2ccc(C)o2)[nH]n1 ZINC000090528907 178054948 /nfs/dbraw/zinc/05/49/48/178054948.db2.gz BKBVWMGQWCPIKD-SKDRFNHKSA-N 0 3 247.342 2.901 20 0 BFADHN Cc1cc(C[C@@H](C)N[C@@H](C)c2ccc(C)o2)n[nH]1 ZINC000090528907 178054950 /nfs/dbraw/zinc/05/49/50/178054950.db2.gz BKBVWMGQWCPIKD-SKDRFNHKSA-N 0 3 247.342 2.901 20 0 BFADHN C[C@H](CC(C)(C)O)N[C@H](C)c1cccc(O)c1 ZINC000296625937 398181619 /nfs/dbraw/zinc/18/16/19/398181619.db2.gz XDKSLUBXSYUUKB-GHMZBOCLSA-N 0 3 237.343 2.592 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1c(C)cc(C)cc1C ZINC000576218852 365526372 /nfs/dbraw/zinc/52/63/72/365526372.db2.gz MRJWKYZUPAWTBW-CABCVRRESA-N 0 3 233.355 2.879 20 0 BFADHN CCc1ccc([C@@H](C)NCCC(C)(C)O)o1 ZINC000274788735 398163373 /nfs/dbraw/zinc/16/33/73/398163373.db2.gz NGQHXVMEPGIGTO-SNVBAGLBSA-N 0 3 225.332 2.654 20 0 BFADHN Cc1cc(Cl)ccc1CNC(C)(C)CO ZINC000129588930 178067115 /nfs/dbraw/zinc/06/71/15/178067115.db2.gz MNEKMXVDBXUMFP-UHFFFAOYSA-N 0 3 227.735 2.509 20 0 BFADHN CCC[C@H](CN[C@H](C)c1c(C)noc1C)OC ZINC000386195131 398199284 /nfs/dbraw/zinc/19/92/84/398199284.db2.gz ZXWRMISIQOBWMS-BXKDBHETSA-N 0 3 240.347 2.757 20 0 BFADHN CC[C@H]1C[C@@H](CNCc2ccco2)CCO1 ZINC000336746078 398188336 /nfs/dbraw/zinc/18/83/36/398188336.db2.gz WDEIIJDSBBSCQH-RYUDHWBXSA-N 0 3 223.316 2.574 20 0 BFADHN Cc1cc(F)ccc1CN1CCCO[C@H](C)C1 ZINC000179398490 178085236 /nfs/dbraw/zinc/08/52/36/178085236.db2.gz ONZOLBJWFUITTQ-GFCCVEGCSA-N 0 3 237.318 2.745 20 0 BFADHN COc1ncc(CN[C@@H]2C[C@H]2CC(C)C)s1 ZINC000385796596 398189543 /nfs/dbraw/zinc/18/95/43/398189543.db2.gz FZZKEDGNBPVXJC-MWLCHTKSSA-N 0 3 240.372 2.676 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@H]2OCC[C@H]2C1 ZINC000365851061 178085970 /nfs/dbraw/zinc/08/59/70/178085970.db2.gz BHJOGEJNMHKJCX-DZGCQCFKSA-N 0 3 249.329 2.745 20 0 BFADHN Cc1cc(C)nc(NCc2ccns2)c1 ZINC000638788793 398190522 /nfs/dbraw/zinc/19/05/22/398190522.db2.gz WCXSIFGUFHNTFN-UHFFFAOYSA-N 0 3 219.313 2.767 20 0 BFADHN CC[C@H](C)[C@H]1CCCCN1Cc1cncnc1 ZINC000271189334 538923658 /nfs/dbraw/zinc/92/36/58/538923658.db2.gz LAZRGBVCFWKHBB-GXTWGEPZSA-N 0 3 233.359 2.877 20 0 BFADHN CC(C)(C)[C@@H](CO)NCc1ccccc1Cl ZINC000180625991 192026855 /nfs/dbraw/zinc/02/68/55/192026855.db2.gz YAVIMDPAQVOZLR-GFCCVEGCSA-N 0 3 241.762 2.837 20 0 BFADHN CCC[C@H](CN[C@@H]1COCc2ccccc21)OC ZINC000385991767 398194234 /nfs/dbraw/zinc/19/42/34/398194234.db2.gz PWEHGZMGKDKCAK-UKRRQHHQSA-N 0 3 249.354 2.663 20 0 BFADHN CCC[C@@H](CN[C@H]1COCc2ccccc21)OC ZINC000385991768 398194361 /nfs/dbraw/zinc/19/43/61/398194361.db2.gz PWEHGZMGKDKCAK-ZFWWWQNUSA-N 0 3 249.354 2.663 20 0 BFADHN CCCCCC(=O)N1CCCC[C@H]1CN(C)C ZINC000119971348 398197196 /nfs/dbraw/zinc/19/71/96/398197196.db2.gz AHIHHXQNASYPRN-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CCC[C@H]1C[C@@H]1NCc1ccc(CC)nc1 ZINC000336748147 398197689 /nfs/dbraw/zinc/19/76/89/398197689.db2.gz XWMRKGXZEUMPNB-JSGCOSHPSA-N 0 3 218.344 2.922 20 0 BFADHN Cc1nc(C)c(CN2CC[C@]3(CC3(F)F)C2)o1 ZINC000649908960 398185424 /nfs/dbraw/zinc/18/54/24/398185424.db2.gz ZVQITYNQORIWIQ-NSHDSACASA-N 0 3 242.269 2.523 20 0 BFADHN COC[C@@H](NCc1cncc(C)c1)C1CCCC1 ZINC000446923764 201699566 /nfs/dbraw/zinc/69/95/66/201699566.db2.gz XSBRXTADJFMXIU-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN CN(C[C@H]1COc2ccccc2O1)CC1(C)CC1 ZINC000576266927 365544306 /nfs/dbraw/zinc/54/43/06/365544306.db2.gz PPGJSXDPIGSXRQ-LBPRGKRZSA-N 0 3 247.338 2.558 20 0 BFADHN CC(C)[C@H](CO)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000092393304 398205063 /nfs/dbraw/zinc/20/50/63/398205063.db2.gz NISHBEMDEYZKQZ-DRZSPHRISA-N 0 3 237.343 2.510 20 0 BFADHN CC(C)[C@H](CO)N[C@@H](C)c1ccc(F)cc1F ZINC000092393230 398205287 /nfs/dbraw/zinc/20/52/87/398205287.db2.gz UQDRPIWQTKIKJF-ZANVPECISA-N 0 3 243.297 2.632 20 0 BFADHN CO[C@@H]1[C@H](C)[C@@H](NCc2cc(C)ccn2)C1(C)C ZINC000649983112 398205827 /nfs/dbraw/zinc/20/58/27/398205827.db2.gz QRATVBVRVBGQGO-MRVWCRGKSA-N 0 3 248.370 2.539 20 0 BFADHN CC(C)C[C@H](C)CN1CCOCC12CC2 ZINC000338593100 187327400 /nfs/dbraw/zinc/32/74/00/187327400.db2.gz OBXRSSSCLNOMKY-LBPRGKRZSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1nccnc1[C@@H](C)NC[C@@H](C)C(C)C ZINC000188050021 398207505 /nfs/dbraw/zinc/20/75/05/398207505.db2.gz GXNKRXUQAPGBEI-ZYHUDNBSSA-N 0 3 221.348 2.728 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@@H](C)C(C)C ZINC000188050044 398208052 /nfs/dbraw/zinc/20/80/52/398208052.db2.gz GXNKRXUQAPGBEI-PWSUYJOCSA-N 0 3 221.348 2.728 20 0 BFADHN CC(C)CN[C@@H](c1cnn(C)c1)c1ccccc1 ZINC000272927673 538926313 /nfs/dbraw/zinc/92/63/13/538926313.db2.gz KISAHWQDIIUKLK-OAHLLOKOSA-N 0 3 243.354 2.755 20 0 BFADHN CC[C@H](F)CN1CCS[C@H](C)CC1 ZINC000306518447 398208753 /nfs/dbraw/zinc/20/87/53/398208753.db2.gz DFECRISPUKFCCS-ZJUUUORDSA-N 0 3 205.342 2.562 20 0 BFADHN CC[C@@H](N)C(=O)N1CCC[C@H](C)c2ccccc21 ZINC000573093319 398208925 /nfs/dbraw/zinc/20/89/25/398208925.db2.gz LZLXYCWEYYWEDT-WCQYABFASA-N 0 3 246.354 2.654 20 0 BFADHN C=Cn1cc(CNCc2ccc(C)s2)cn1 ZINC000235894976 187328021 /nfs/dbraw/zinc/32/80/21/187328021.db2.gz ZGECHAHZETYEEZ-UHFFFAOYSA-N 0 3 233.340 2.643 20 0 BFADHN Cc1ccc2c(c1)CCN(C[C@@H]1CCCO1)C2 ZINC000339122289 187328554 /nfs/dbraw/zinc/32/85/54/187328554.db2.gz JYXPRRNPFARAPZ-HNNXBMFYSA-N 0 3 231.339 2.532 20 0 BFADHN Cc1ccsc1CCN[C@@H](C)c1ccn(C)n1 ZINC000414109331 398217268 /nfs/dbraw/zinc/21/72/68/398217268.db2.gz UWTGZIZATZYBBW-NSHDSACASA-N 0 3 249.383 2.683 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H](C)CC[C@@H]1C)c1ccn(C)n1 ZINC000414173904 398219093 /nfs/dbraw/zinc/21/90/93/398219093.db2.gz GYVYOJVRSRRKEC-GFQSEFKGSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1noc([C@H](C)N2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC000650014849 398219640 /nfs/dbraw/zinc/21/96/40/398219640.db2.gz RKPHTUCALBQIIY-ZMLRMANQSA-N 0 3 235.331 2.561 20 0 BFADHN CCC(CC)CN(CCO)Cc1ccc(C)o1 ZINC000355661225 168350333 /nfs/dbraw/zinc/35/03/33/168350333.db2.gz SBAZOZDCLXYSJX-UHFFFAOYSA-N 0 3 239.359 2.819 20 0 BFADHN Cc1occc1CNCC(C)(C)[C@H](O)C(C)C ZINC000414361398 398220273 /nfs/dbraw/zinc/22/02/73/398220273.db2.gz CCZWYGJLMFZSPZ-CYBMUJFWSA-N 0 3 239.359 2.721 20 0 BFADHN CCCN(CCC)Cc1cnc(CC)nc1 ZINC000414363042 398220680 /nfs/dbraw/zinc/22/06/80/398220680.db2.gz FWSPEADVQJFOEE-UHFFFAOYSA-N 0 3 221.348 2.661 20 0 BFADHN C[C@H](N[C@@H]1[C@H]2CCO[C@H]2C1(C)C)c1ccco1 ZINC000246911901 187331120 /nfs/dbraw/zinc/33/11/20/187331120.db2.gz MDLUILNQLHIKGR-XKAARJIMSA-N 0 3 235.327 2.744 20 0 BFADHN CCC(CC)CN(CC)C(=O)[C@H](N)[C@@H](C)CC ZINC000036199871 168349154 /nfs/dbraw/zinc/34/91/54/168349154.db2.gz AMIHRENEHNFUSK-WCQYABFASA-N 0 3 242.407 2.645 20 0 BFADHN CCC(CC)CN(CC)C(=O)[C@H](N)CC(C)C ZINC000036199879 168349250 /nfs/dbraw/zinc/34/92/50/168349250.db2.gz XHBKQFRQPSLAHC-CYBMUJFWSA-N 0 3 242.407 2.645 20 0 BFADHN Cc1cc(N[C@H](C)c2ccccc2)nc(N)n1 ZINC000043531881 178186762 /nfs/dbraw/zinc/18/67/62/178186762.db2.gz VCWNUMXQBNTHJS-SNVBAGLBSA-N 0 3 228.299 2.540 20 0 BFADHN Cc1cc(N[C@H]2CCOC2)c2ccccc2n1 ZINC000131602740 178189833 /nfs/dbraw/zinc/18/98/33/178189833.db2.gz UHNSKIDWLQCVRP-NSHDSACASA-N 0 3 228.295 2.744 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1ccoc1)OC ZINC000322946385 259302168 /nfs/dbraw/zinc/30/21/68/259302168.db2.gz QOQYFTSDZJKFFK-ZYHUDNBSSA-N 0 3 211.305 2.745 20 0 BFADHN C[C@@]1(O)C[C@H](NCc2cccc(C3CC3)c2)C1 ZINC000421390057 192326636 /nfs/dbraw/zinc/32/66/36/192326636.db2.gz ZDFUEMVWMQVHLO-GASCZTMLSA-N 0 3 231.339 2.567 20 0 BFADHN C/C(=C\c1ccccc1)CN1CC[C@]12CCOC2 ZINC000421393076 192328226 /nfs/dbraw/zinc/32/82/26/192328226.db2.gz DDASSDWTZSFJSI-WSYSLRRZSA-N 0 3 243.350 2.955 20 0 BFADHN CC[C@@H]1CN(CC2(SC)CC2)C[C@H](CC)O1 ZINC000421391486 192328305 /nfs/dbraw/zinc/32/83/05/192328305.db2.gz PBQKHJVQKYPALV-TXEJJXNPSA-N 0 3 243.416 2.771 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)C2CCCC2)nn1C ZINC000337518041 178233424 /nfs/dbraw/zinc/23/34/24/178233424.db2.gz NKLZKEOVHJIOEZ-VXGBXAGGSA-N 0 3 235.375 2.958 20 0 BFADHN CCc1ccc(CN[C@@H]2CCO[C@@H](CC)C2)o1 ZINC000421395126 192329756 /nfs/dbraw/zinc/32/97/56/192329756.db2.gz YEEOYUJKROGCMI-YPMHNXCESA-N 0 3 237.343 2.889 20 0 BFADHN C[C@H](CN1CC[C@@]12CCOC2)c1ccc(F)cc1 ZINC000421404750 192331202 /nfs/dbraw/zinc/33/12/02/192331202.db2.gz QEBCJQYGNDHEMI-DOMZBBRYSA-N 0 3 249.329 2.794 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(C3CC3)c2)C[C@H]1O ZINC000421359495 192332998 /nfs/dbraw/zinc/33/29/98/192332998.db2.gz WYTOHBAGHFFGAU-MLGOLLRUSA-N 0 3 245.366 2.767 20 0 BFADHN Cc1cc([C@@H](C)NC2CCOCC2)oc1C ZINC000282291037 178222556 /nfs/dbraw/zinc/22/25/56/178222556.db2.gz SCIVAPNTPIVJQJ-SNVBAGLBSA-N 0 3 223.316 2.726 20 0 BFADHN Cc1cc([C@@H](C)NCCCC(C)C)nn1C ZINC000309537929 178223781 /nfs/dbraw/zinc/22/37/81/178223781.db2.gz DDHHHTURIRAFIZ-GFCCVEGCSA-N 0 3 223.364 2.815 20 0 BFADHN Cc1cc([C@@H](C)NCCN2CC=CCC2)oc1C ZINC000282408196 178223960 /nfs/dbraw/zinc/22/39/60/178223960.db2.gz CCVQAPXNMKJOGS-CYBMUJFWSA-N 0 3 248.370 2.809 20 0 BFADHN CO[C@@H](C)[C@H](C)Nc1cccc(CN(C)C)c1 ZINC000165140179 538934924 /nfs/dbraw/zinc/93/49/24/538934924.db2.gz DYWSUDWUGUERRI-RYUDHWBXSA-N 0 3 236.359 2.584 20 0 BFADHN Cc1cc([C@@H](C)NCCn2ccnc2C)oc1C ZINC000282360658 178226909 /nfs/dbraw/zinc/22/69/09/178226909.db2.gz WDFXKFACILFTIZ-LLVKDONJSA-N 0 3 247.342 2.752 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)CC2CC2)no1 ZINC000308822218 178230933 /nfs/dbraw/zinc/23/09/33/178230933.db2.gz CLRDYZCBCKSECU-WCBMZHEXSA-N 0 3 208.305 2.822 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)Cn2cccn2)cs1 ZINC000294287273 178231201 /nfs/dbraw/zinc/23/12/01/178231201.db2.gz TZDKHEGXRMRDRL-CMPLNLGQSA-N 0 3 249.383 2.992 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)Cn2ccnc2)c(C)o1 ZINC000037158384 178231417 /nfs/dbraw/zinc/23/14/17/178231417.db2.gz BDEPPFDOOZSTIG-CMPLNLGQSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCCOC2)oc1C ZINC000282304914 178231581 /nfs/dbraw/zinc/23/15/81/178231581.db2.gz STQAQQROVIYUQA-ZYHUDNBSSA-N 0 3 223.316 2.726 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCCOC2)ccc1F ZINC000336228119 178232696 /nfs/dbraw/zinc/23/26/96/178232696.db2.gz PSZDYMUPUDKQDA-YPMHNXCESA-N 0 3 237.318 2.964 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCCOC2)cs1 ZINC000294354011 178232771 /nfs/dbraw/zinc/23/27/71/178232771.db2.gz ZLEBUQSUQOUNJW-PWSUYJOCSA-N 0 3 225.357 2.886 20 0 BFADHN c1nc2ccccn2c1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000162015364 349523496 /nfs/dbraw/zinc/52/34/96/349523496.db2.gz WLSKAGWNLXXQCF-BETUJISGSA-N 0 3 241.338 2.566 20 0 BFADHN CC[C@H](C)N(C)Cc1cc(C(=O)OC)cs1 ZINC000602440221 349526605 /nfs/dbraw/zinc/52/66/05/349526605.db2.gz OQOYHNHKFPBYRI-VIFPVBQESA-N 0 3 241.356 2.765 20 0 BFADHN Cc1cccc(CCN[C@@H](C)c2cncs2)n1 ZINC000280177980 538936774 /nfs/dbraw/zinc/93/67/74/538936774.db2.gz RNKWRJKXQQECMZ-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1nn(C)cc1CN(C)CC1CCCCC1 ZINC000179936439 259305582 /nfs/dbraw/zinc/30/55/82/259305582.db2.gz BOYXUCPAXKAWAB-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1cc([C@H](C)NCC2(CCO)CC2)oc1C ZINC000337422122 178246494 /nfs/dbraw/zinc/24/64/94/178246494.db2.gz FXLQGVAZGAHKAB-NSHDSACASA-N 0 3 237.343 2.710 20 0 BFADHN C[C@@H](F)CCN[C@@H](C)c1ccncc1F ZINC000336773726 398233659 /nfs/dbraw/zinc/23/36/59/398233659.db2.gz ZBHMVVFSZLXFFA-BDAKNGLRSA-N 0 3 214.259 2.619 20 0 BFADHN Cc1cc([C@H](C)NCCC[C@@H](C)O)c(C)o1 ZINC000228041344 178246784 /nfs/dbraw/zinc/24/67/84/178246784.db2.gz YDLIWBKRHBASHQ-KOLCDFICSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@H](C)NCCOCC(F)F)cs1 ZINC000294184054 178248378 /nfs/dbraw/zinc/24/83/78/178248378.db2.gz KJRGTNAFMGEKGI-VIFPVBQESA-N 0 3 249.326 2.989 20 0 BFADHN Cc1cc([C@H](C)NCC[C@@H](O)C(C)C)oc1C ZINC000337390764 178248634 /nfs/dbraw/zinc/24/86/34/178248634.db2.gz HILLZXUWRNBQQT-WCQYABFASA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C=C[C@H](CO)C2)cs1 ZINC000296970425 178253905 /nfs/dbraw/zinc/25/39/05/178253905.db2.gz ROEVBFPYMJFIKK-GMXVVIOVSA-N 0 3 237.368 2.644 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](C)CCO)c(C)o1 ZINC000085128553 178249753 /nfs/dbraw/zinc/24/97/53/178249753.db2.gz IKNJSZYQDHYFAS-KOLCDFICSA-N 0 3 225.332 2.566 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](CO)C2CC2)oc1C ZINC000353494465 178249932 /nfs/dbraw/zinc/24/99/32/178249932.db2.gz YLJYTGOXODSWIP-GXFFZTMASA-N 0 3 237.343 2.566 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](CO)C2CC2)c(C)o1 ZINC000353852748 178250618 /nfs/dbraw/zinc/25/06/18/178250618.db2.gz VSQSPRVBLCSZFR-GXFFZTMASA-N 0 3 237.343 2.566 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)C[C@@H](C)O)oc1C ZINC000309949183 178251973 /nfs/dbraw/zinc/25/19/73/178251973.db2.gz FKHFNKLKUXAYKO-AXFHLTTASA-N 0 3 225.332 2.706 20 0 BFADHN Cc1ccc(CN[C@H](C)CC(F)(F)F)cn1 ZINC000166626392 538939632 /nfs/dbraw/zinc/93/96/32/538939632.db2.gz ZGRBNZOAJZZSGO-SECBINFHSA-N 0 3 232.249 2.821 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)Cn2cccn2)c(C)o1 ZINC000037155968 178255954 /nfs/dbraw/zinc/25/59/54/178255954.db2.gz SSXQXJIPTZIXNC-PWSUYJOCSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CC[C@@H](C)C2)nn1C ZINC000336727660 178257735 /nfs/dbraw/zinc/25/77/35/178257735.db2.gz CCLQFCHRNYPSRO-USWWRNFRSA-N 0 3 221.348 2.568 20 0 BFADHN CCSCCN[C@@H](C)c1cncc(F)c1 ZINC000336700623 398239939 /nfs/dbraw/zinc/23/99/39/398239939.db2.gz POTDGKVVFCQIHI-VIFPVBQESA-N 0 3 228.336 2.624 20 0 BFADHN COCC[C@@H](C)N1CCC=C(c2ccco2)C1 ZINC000285273132 538940915 /nfs/dbraw/zinc/94/09/15/538940915.db2.gz IVKJUTUJDLTKLA-GFCCVEGCSA-N 0 3 235.327 2.794 20 0 BFADHN COc1ncc(CN2CC[C@@H]3CCC[C@@H]32)s1 ZINC000639095900 398243334 /nfs/dbraw/zinc/24/33/34/398243334.db2.gz VHMQRXXIUNQFMK-ONGXEEELSA-N 0 3 238.356 2.526 20 0 BFADHN CO[C@H](C)CN(C)CCC(=O)c1ccc(C)cc1 ZINC000285699818 398247798 /nfs/dbraw/zinc/24/77/98/398247798.db2.gz OGPJYYTVJHQCIZ-CYBMUJFWSA-N 0 3 249.354 2.535 20 0 BFADHN C[C@H](C(=O)OC(C)(C)C)N1C[C@@H](C)[C@H](C)[C@@H]1C ZINC000399589188 262839519 /nfs/dbraw/zinc/83/95/19/262839519.db2.gz KWPONCJRKVDTOJ-NOOOWODRSA-N 0 3 241.375 2.693 20 0 BFADHN CCC(O)(CC)C(C)(C)CNCc1ccco1 ZINC000580902489 398250546 /nfs/dbraw/zinc/25/05/46/398250546.db2.gz IMGLTGSAKVOELF-UHFFFAOYSA-N 0 3 239.359 2.947 20 0 BFADHN Cc1cccc(C)c1CCN[C@H](C)c1ncc[nH]1 ZINC000286172528 538943458 /nfs/dbraw/zinc/94/34/58/538943458.db2.gz PXSSHBJTYBXQFY-CYBMUJFWSA-N 0 3 243.354 2.920 20 0 BFADHN CC(C)O[C@@H]1CCN(CCC(C)(F)F)C1 ZINC000576634079 365643483 /nfs/dbraw/zinc/64/34/83/365643483.db2.gz AETNRDBLKMGOSX-SNVBAGLBSA-N 0 3 221.291 2.531 20 0 BFADHN C[C@@H](NC[C@H]1CCCC(F)(F)C1)c1ncc[nH]1 ZINC000287282019 538944654 /nfs/dbraw/zinc/94/46/54/538944654.db2.gz CWZUQYXPZNZOAC-ZJUUUORDSA-N 0 3 243.301 2.886 20 0 BFADHN C[C@@H](NCCCc1ccccc1F)c1ncc[nH]1 ZINC000287323296 538944738 /nfs/dbraw/zinc/94/47/38/538944738.db2.gz FNGCRSUAWYMDJH-LLVKDONJSA-N 0 3 247.317 2.832 20 0 BFADHN CCc1ccc(CCNCc2n[nH]cc2C)cc1 ZINC000289631155 538945513 /nfs/dbraw/zinc/94/55/13/538945513.db2.gz WYKWKMGDPTWAHJ-UHFFFAOYSA-N 0 3 243.354 2.613 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)O[C@H]1C)c1cccc(O)c1 ZINC000384655082 398259475 /nfs/dbraw/zinc/25/94/75/398259475.db2.gz UZYLPMKFSFHVHE-ZHPDPMBESA-N 0 3 235.327 2.609 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2nn(C)c3ccccc23)C1 ZINC000169062370 538946135 /nfs/dbraw/zinc/94/61/35/538946135.db2.gz SZOKFVOVRJGSHI-VXGBXAGGSA-N 0 3 243.354 2.852 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1sccc1C ZINC000379914683 365670367 /nfs/dbraw/zinc/67/03/67/365670367.db2.gz BCFLEIMUYRCEMB-OUAUKWLOSA-N 0 3 225.357 2.885 20 0 BFADHN CCc1cnc(CN2C[C@H](C)[C@H](C)[C@H]2C)o1 ZINC000576732829 365672003 /nfs/dbraw/zinc/67/20/03/365672003.db2.gz QJPAHIDWCIYEBM-GARJFASQSA-N 0 3 222.332 2.713 20 0 BFADHN CCOCC(C)(C)NCc1ccc(Cl)cn1 ZINC000381981483 398255380 /nfs/dbraw/zinc/25/53/80/398255380.db2.gz FKLWIVZAPIRIMU-UHFFFAOYSA-N 0 3 242.750 2.640 20 0 BFADHN C[C@@H]1C[C@H](NCc2nn(C)c3ccccc23)[C@H]1C ZINC000385961185 398262809 /nfs/dbraw/zinc/26/28/09/398262809.db2.gz NXGGBKRSDPAMPV-MDZLAQPJSA-N 0 3 243.354 2.707 20 0 BFADHN CCC(CC)N(CCOC)Cc1cncs1 ZINC000092565812 168374231 /nfs/dbraw/zinc/37/42/31/168374231.db2.gz LAIAMIOBUVVQPV-UHFFFAOYSA-N 0 3 242.388 2.780 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC[C@@H](C)CC1 ZINC000381628599 398267852 /nfs/dbraw/zinc/26/78/52/398267852.db2.gz MDIBXPUNZSXRGX-MWLCHTKSSA-N 0 3 239.388 2.905 20 0 BFADHN C/C=C\CNCc1cc(F)c(F)c(F)c1 ZINC000384720093 344000627 /nfs/dbraw/zinc/00/06/27/344000627.db2.gz GYWRXJWMVBPYJJ-IHWYPQMZSA-N 0 3 215.218 2.770 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1Cc1cncnc1 ZINC000180204070 538957589 /nfs/dbraw/zinc/95/75/89/538957589.db2.gz SFDYJTVGGPCNCE-TZMCWYRMSA-N 0 3 233.359 2.877 20 0 BFADHN CCc1nn(C)cc1CN(CC)C[C@@H](C)CC ZINC000180636942 538958672 /nfs/dbraw/zinc/95/86/72/538958672.db2.gz MDMVDJLVIPVTFQ-LBPRGKRZSA-N 0 3 237.391 2.851 20 0 BFADHN C[C@H](NC1(C2CC2)CC1)c1ccncc1F ZINC000378849046 346846877 /nfs/dbraw/zinc/84/68/77/346846877.db2.gz CMDUVRWZFJICTL-VIFPVBQESA-N 0 3 220.291 2.814 20 0 BFADHN CCOc1ncccc1CN[C@H]1CC[C@@H]1CC ZINC000348111017 136234292 /nfs/dbraw/zinc/23/42/92/136234292.db2.gz VBTNXJXYUTVCRI-AAEUAGOBSA-N 0 3 234.343 2.759 20 0 BFADHN CC[C@@H](N[C@H](C)c1c[nH]nc1C)c1ccncc1 ZINC000180623203 538958359 /nfs/dbraw/zinc/95/83/59/538958359.db2.gz QIDFKQRQALOGHZ-QMTHXVAHSA-N 0 3 244.342 2.915 20 0 BFADHN CCOc1ncccc1CN[C@@H]1CC[C@@H]1CC ZINC000348111021 136234323 /nfs/dbraw/zinc/23/43/23/136234323.db2.gz VBTNXJXYUTVCRI-WCQYABFASA-N 0 3 234.343 2.759 20 0 BFADHN Cc1cc(CN2[C@H](C)C[C@H]2C)cc(C)c1O ZINC000561961962 323009487 /nfs/dbraw/zinc/00/94/87/323009487.db2.gz PNQDUNAHQMXXEB-VXGBXAGGSA-N 0 3 219.328 2.992 20 0 BFADHN CSCCCCNCc1cc(C2CC2)no1 ZINC000602555593 349596881 /nfs/dbraw/zinc/59/68/81/349596881.db2.gz FPGYASONYAMGJW-UHFFFAOYSA-N 0 3 240.372 2.785 20 0 BFADHN CSCCCCNCc1cnc(C2CC2)o1 ZINC000600129558 342683073 /nfs/dbraw/zinc/68/30/73/342683073.db2.gz KLFNHMRFXRFITC-UHFFFAOYSA-N 0 3 240.372 2.785 20 0 BFADHN CC[C@H](CSC)NCc1oc(C)nc1C ZINC000600135018 342687769 /nfs/dbraw/zinc/68/77/69/342687769.db2.gz AURJMHMDTBFWTC-SNVBAGLBSA-N 0 3 228.361 2.523 20 0 BFADHN C[C@H](CNCc1ccon1)C1CCCCC1 ZINC000381838016 398275176 /nfs/dbraw/zinc/27/51/76/398275176.db2.gz PLDTUAXCGQVQNG-LLVKDONJSA-N 0 3 222.332 2.981 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1cnc(C)o1 ZINC000600168799 342694603 /nfs/dbraw/zinc/69/46/03/342694603.db2.gz WEPITBGGCHFOEH-JTQLQIEISA-N 0 3 228.361 2.556 20 0 BFADHN CCOC(=O)c1ccc(CNCC2CC=CC2)o1 ZINC000600186473 342697414 /nfs/dbraw/zinc/69/74/14/342697414.db2.gz YVFRLHIDGOCQLD-UHFFFAOYSA-N 0 3 249.310 2.512 20 0 BFADHN CSC1(CN2CC(Cc3ccco3)C2)CC1 ZINC000600196667 342698601 /nfs/dbraw/zinc/69/86/01/342698601.db2.gz DSMBFNLFISMKPI-UHFFFAOYSA-N 0 3 237.368 2.650 20 0 BFADHN CSC1(CN2CCc3c(O)cccc3C2)CC1 ZINC000600207698 342700214 /nfs/dbraw/zinc/70/02/14/342700214.db2.gz LSFHSCSHJQPQMH-UHFFFAOYSA-N 0 3 249.379 2.646 20 0 BFADHN CCCC(C)(C)NCc1ccncc1F ZINC000449120439 398276879 /nfs/dbraw/zinc/27/68/79/398276879.db2.gz CXJUGKMGZJHPML-UHFFFAOYSA-N 0 3 210.296 2.889 20 0 BFADHN COC[C@@H](C)N[C@@H]1CSCc2ccccc21 ZINC000037196382 538961905 /nfs/dbraw/zinc/96/19/05/538961905.db2.gz BQEANQJSSKAKEF-ZWNOBZJWSA-N 0 3 237.368 2.599 20 0 BFADHN COC(C)(C)C[C@H](C)NCc1ccncc1F ZINC000449170483 398281261 /nfs/dbraw/zinc/28/12/61/398281261.db2.gz RDPIAAWSUFUFQN-JTQLQIEISA-N 0 3 240.322 2.514 20 0 BFADHN c1cc(CN[C@@H]2CCC[C@@H](C3CC3)C2)on1 ZINC000231885520 342741656 /nfs/dbraw/zinc/74/16/56/342741656.db2.gz AMUWFWUNTQFMCX-VXGBXAGGSA-N 0 3 220.316 2.733 20 0 BFADHN CCC(C)(CC)NCc1ccncc1F ZINC000449139506 398279151 /nfs/dbraw/zinc/27/91/51/398279151.db2.gz GWFGFGKODNUXRV-UHFFFAOYSA-N 0 3 210.296 2.889 20 0 BFADHN CCCCN(CC)Cc1cn(C)nc1CC ZINC000183474049 538967055 /nfs/dbraw/zinc/96/70/55/538967055.db2.gz ZNKADSNPLNKASN-UHFFFAOYSA-N 0 3 223.364 2.605 20 0 BFADHN CC(C)CN(Cc1cc2n(n1)CCC2)CC(C)C ZINC000649485062 398282549 /nfs/dbraw/zinc/28/25/49/398282549.db2.gz WXMAMHRHXOWYJQ-UHFFFAOYSA-N 0 3 249.402 2.943 20 0 BFADHN CC(C)C[C@H](C)NCc1ccncc1F ZINC000449194952 398282685 /nfs/dbraw/zinc/28/26/85/398282685.db2.gz UKJAYRJTGJTKGY-JTQLQIEISA-N 0 3 210.296 2.745 20 0 BFADHN CC(C)[C@@H](C)N(Cc1cc2n(n1)CCC2)C1CC1 ZINC000649487617 398285274 /nfs/dbraw/zinc/28/52/74/398285274.db2.gz ICKFQNAGPMYVHQ-GFCCVEGCSA-N 0 3 247.386 2.838 20 0 BFADHN Cc1cc(CNCCCC2CCC2)on1 ZINC000631174157 361902699 /nfs/dbraw/zinc/90/26/99/361902699.db2.gz KAJJDHBHSWHBJJ-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CCC(CC)[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000372908260 168390881 /nfs/dbraw/zinc/39/08/81/168390881.db2.gz SOHJKLCCZXSIFE-ZDUSSCGKSA-N 0 3 244.342 2.672 20 0 BFADHN CCC(CC)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000372908260 168390882 /nfs/dbraw/zinc/39/08/82/168390882.db2.gz SOHJKLCCZXSIFE-ZDUSSCGKSA-N 0 3 244.342 2.672 20 0 BFADHN Cc1cccc(CN[C@@H]2CCC23CCC3)n1 ZINC000309706973 342794610 /nfs/dbraw/zinc/79/46/10/342794610.db2.gz OYLSVZHKKKOKOT-CYBMUJFWSA-N 0 3 216.328 2.812 20 0 BFADHN c1ccc2c(c1)ccnc2CNC[C@@H]1CCCO1 ZINC000043512616 342795450 /nfs/dbraw/zinc/79/54/50/342795450.db2.gz GUPRVSQQFJJQFR-ZDUSSCGKSA-N 0 3 242.322 2.503 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2ccncc2F)C1 ZINC000449298380 398290674 /nfs/dbraw/zinc/29/06/74/398290674.db2.gz JUNOAKVAVOJRBR-WDEREUQCSA-N 0 3 222.307 2.747 20 0 BFADHN CCC(CC)N[C@H](C)c1cnc(C)cn1 ZINC000336758403 168393093 /nfs/dbraw/zinc/39/30/93/168393093.db2.gz JUISQZJSMRAKMG-SNVBAGLBSA-N 0 3 207.321 2.624 20 0 BFADHN C[C@H](CCC1CC1)NCc1ccncc1F ZINC000449249583 398288391 /nfs/dbraw/zinc/28/83/91/398288391.db2.gz MJSCAEAZUQCMLU-SNVBAGLBSA-N 0 3 222.307 2.889 20 0 BFADHN CCN(Cc1sc(C)nc1C)C1CC1 ZINC000172747777 346863393 /nfs/dbraw/zinc/86/33/93/346863393.db2.gz UQCYCMSSEBKCNC-UHFFFAOYSA-N 0 3 210.346 2.744 20 0 BFADHN Fc1cnccc1CNCCC1(F)CCC1 ZINC000449329007 398289836 /nfs/dbraw/zinc/28/98/36/398289836.db2.gz HAGAWMQNSMFHGW-UHFFFAOYSA-N 0 3 226.270 2.593 20 0 BFADHN c1cc2cc(CNCCOCC3CC3)ccc2[nH]1 ZINC000232482463 342835229 /nfs/dbraw/zinc/83/52/29/342835229.db2.gz LKNBBLIWDZFGQL-UHFFFAOYSA-N 0 3 244.338 2.684 20 0 BFADHN CCC(CC)CNCc1cn(C(C)(C)C)nn1 ZINC000189959219 538986455 /nfs/dbraw/zinc/98/64/55/538986455.db2.gz VTOCCZLVUGXCHQ-UHFFFAOYSA-N 0 3 238.379 2.559 20 0 BFADHN O[C@H]1CC[C@@H](CNCc2cscc2Cl)C1 ZINC000380189808 538986984 /nfs/dbraw/zinc/98/69/84/538986984.db2.gz OJAHXRWVCUNYEO-SCZZXKLOSA-N 0 3 245.775 2.652 20 0 BFADHN C[C@@H]1Cc2ccccc2[C@@H]1NC1CSC1 ZINC000380255356 538987887 /nfs/dbraw/zinc/98/78/87/538987887.db2.gz QEADEMNMJOOPPZ-NOZJJQNGSA-N 0 3 219.353 2.625 20 0 BFADHN Cc1cnc(CNC(C(C)C)C(C)C)o1 ZINC000379843177 538980910 /nfs/dbraw/zinc/98/09/10/538980910.db2.gz HCVSIDIPMVFBLN-UHFFFAOYSA-N 0 3 210.321 2.753 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@@H]1C[C@H]1C ZINC000379876709 538980948 /nfs/dbraw/zinc/98/09/48/538980948.db2.gz AMRAQGZOZPWDOV-FYBVGQRMSA-N 0 3 208.305 2.598 20 0 BFADHN Fc1ccc2c(c1)CC[C@H]2NC1CSC1 ZINC000379956877 538982913 /nfs/dbraw/zinc/98/29/13/538982913.db2.gz VQMUIXNXRRZTGT-GFCCVEGCSA-N 0 3 223.316 2.518 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC[C@H]2C)on1 ZINC000380996213 538993844 /nfs/dbraw/zinc/99/38/44/538993844.db2.gz MRNPWKPTFRPYMJ-ZYHUDNBSSA-N 0 3 222.332 2.899 20 0 BFADHN CCC(C)(CC)NCc1oc(C)nc1C ZINC000380043980 538983970 /nfs/dbraw/zinc/98/39/70/538983970.db2.gz VFHDJWHBFAZWKO-UHFFFAOYSA-N 0 3 210.321 2.960 20 0 BFADHN C[C@@H](N[C@@H]1CCC(F)(F)C1)c1cccnc1 ZINC000381516626 539002765 /nfs/dbraw/zinc/00/27/65/539002765.db2.gz SLYDNIRQJMAGEG-MWLCHTKSSA-N 0 3 226.270 2.920 20 0 BFADHN Cc1nnc(CN[C@@H]2CCCCC[C@H]2C)s1 ZINC000381526363 539003164 /nfs/dbraw/zinc/00/31/64/539003164.db2.gz WWMWASLRYUUGIX-MWLCHTKSSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1nnc(CN[C@@H]2CCCCC[C@@H]2C)s1 ZINC000381526358 539003421 /nfs/dbraw/zinc/00/34/21/539003421.db2.gz WWMWASLRYUUGIX-GXSJLCMTSA-N 0 3 239.388 2.905 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2ccnc(N)c2)C1 ZINC000193363885 539005460 /nfs/dbraw/zinc/00/54/60/539005460.db2.gz VRDGEJMPTOBHSW-AWEZNQCLSA-N 0 3 233.359 2.676 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1ccc(C)cc1 ZINC000381702503 539006050 /nfs/dbraw/zinc/00/60/50/539006050.db2.gz IRGYVXZLYBDEDS-FPMFFAJLSA-N 0 3 219.328 2.823 20 0 BFADHN Cc1ncc([C@H](C)NCCOC2CCC2)s1 ZINC000381724206 539006574 /nfs/dbraw/zinc/00/65/74/539006574.db2.gz MJCARQGXHKAORH-VIFPVBQESA-N 0 3 240.372 2.671 20 0 BFADHN CO[C@@H](CN[C@@H]1CCCc2cccnc21)C(C)C ZINC000381935312 539008039 /nfs/dbraw/zinc/00/80/39/539008039.db2.gz SCISWBSSPSGRPQ-KGLIPLIRSA-N 0 3 248.370 2.720 20 0 BFADHN c1cc(CN2CCC[C@@H]3CCCC[C@@H]32)on1 ZINC000071089402 361945666 /nfs/dbraw/zinc/94/56/66/361945666.db2.gz DOCWMYJXFLOIAJ-AAEUAGOBSA-N 0 3 220.316 2.829 20 0 BFADHN CC(C)n1cc(CN[C@@H]2CCC(F)(F)C2)cn1 ZINC000383938547 539012552 /nfs/dbraw/zinc/01/25/52/539012552.db2.gz DJYJSKLXCRAUCN-LLVKDONJSA-N 0 3 243.301 2.741 20 0 BFADHN CC(C)(CC(F)(F)F)NCC1=CCCOC1 ZINC000381047708 538994320 /nfs/dbraw/zinc/99/43/20/538994320.db2.gz FYMFCVNJTLYXGN-UHFFFAOYSA-N 0 3 237.265 2.654 20 0 BFADHN CC1(CCNCc2nc3c(s2)CCC3)CC1 ZINC000381246966 538996490 /nfs/dbraw/zinc/99/64/90/538996490.db2.gz OLBFSAUXYIXELV-UHFFFAOYSA-N 0 3 236.384 2.912 20 0 BFADHN Cc1csc([C@H](C)N[C@@H](C)CCCCO)n1 ZINC000381235037 538996790 /nfs/dbraw/zinc/99/67/90/538996790.db2.gz LTBLBHMRCPEELS-ONGXEEELSA-N 0 3 242.388 2.653 20 0 BFADHN C[C@@H](NCc1ccc(F)cc1Cl)C(C)(C)O ZINC000390661656 539027133 /nfs/dbraw/zinc/02/71/33/539027133.db2.gz SJBSLPCEJDQCOA-MRVPVSSYSA-N 0 3 245.725 2.728 20 0 BFADHN CCC(CC)N(Cc1cc2n(n1)CCC2)C1CC1 ZINC000649505008 398299612 /nfs/dbraw/zinc/29/96/12/398299612.db2.gz PYIZHHMOAQRVFD-UHFFFAOYSA-N 0 3 247.386 2.982 20 0 BFADHN Cc1ccc(NC(=O)[C@@H](N)C(C)C)cc1Cl ZINC000011958647 342845166 /nfs/dbraw/zinc/84/51/66/342845166.db2.gz LGVLDIASZMSQEG-NSHDSACASA-N 0 3 240.734 2.570 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H](C)O[C@@H]1C)c1cscn1 ZINC000581871853 342846374 /nfs/dbraw/zinc/84/63/74/342846374.db2.gz RAQXMZYFUWWMIE-LMLFDSFASA-N 0 3 240.372 2.607 20 0 BFADHN COC[C@@H](C)CN1CC(c2ccccc2F)C1 ZINC000569638694 323016065 /nfs/dbraw/zinc/01/60/65/323016065.db2.gz XYDPEDFDSUYKMM-NSHDSACASA-N 0 3 237.318 2.507 20 0 BFADHN CCCc1ncc(CNCC2(C)CCC2)o1 ZINC000449609656 398303582 /nfs/dbraw/zinc/30/35/82/398303582.db2.gz CKMSYTQZCAYQFO-UHFFFAOYSA-N 0 3 222.332 2.907 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@@H]2C2CC2)o1 ZINC000449610902 398303590 /nfs/dbraw/zinc/30/35/90/398303590.db2.gz SIFUTDHKYDAQCQ-NEPJUHHUSA-N 0 3 220.316 2.515 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@@H]2C2CC2)o1 ZINC000449610905 398303640 /nfs/dbraw/zinc/30/36/40/398303640.db2.gz SIFUTDHKYDAQCQ-VXGBXAGGSA-N 0 3 220.316 2.515 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@H]2C(C)C)o1 ZINC000449610524 398303685 /nfs/dbraw/zinc/30/36/85/398303685.db2.gz QYTOGMWOOMEQIH-NWDGAFQWSA-N 0 3 222.332 2.761 20 0 BFADHN Cn1ncc(Cl)c1CNCCC1=CCCC1 ZINC000132468482 398305257 /nfs/dbraw/zinc/30/52/57/398305257.db2.gz MYQQSMNFCCWNIY-UHFFFAOYSA-N 0 3 239.750 2.664 20 0 BFADHN Cc1occc1CN[C@@H](C)[C@]1(C)CCCO1 ZINC000382107664 398305382 /nfs/dbraw/zinc/30/53/82/398305382.db2.gz JBQFEOUDGZUHKE-AAEUAGOBSA-N 0 3 223.316 2.635 20 0 BFADHN CC1(C)C(CNCc2ccc(CO)o2)C1(C)C ZINC000092815265 398306414 /nfs/dbraw/zinc/30/64/14/398306414.db2.gz MOUXQLIAMPJLRD-UHFFFAOYSA-N 0 3 237.343 2.544 20 0 BFADHN CCC1(CNCc2ccnn2CC2CCC2)CC1 ZINC000631085835 342870507 /nfs/dbraw/zinc/87/05/07/342870507.db2.gz PFAIXZDKLNLZJC-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc(Cl)s1 ZINC000132888522 398308362 /nfs/dbraw/zinc/30/83/62/398308362.db2.gz KIRVTBLDZWMLGR-HTQZYQBOSA-N 0 3 233.764 2.915 20 0 BFADHN CCC[C@H](C)CCCN(CCC)CC(=O)NC ZINC000625106309 342858938 /nfs/dbraw/zinc/85/89/38/342858938.db2.gz PRVFDMQHZDGYTK-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN C/C=C/CNCc1cccc(OCC)c1 ZINC000233785466 342882634 /nfs/dbraw/zinc/88/26/34/342882634.db2.gz NOWAIHHWUMZQFX-HWKANZROSA-N 0 3 205.301 2.751 20 0 BFADHN C[C@H]1CC[C@H]1NCc1ccnn1CC1CCC1 ZINC000631134983 342886341 /nfs/dbraw/zinc/88/63/41/342886341.db2.gz JOLXUEFIBIXADL-SMDDNHRTSA-N 0 3 233.359 2.571 20 0 BFADHN FC(F)c1ccc(CN[C@H]2CCCOC2)cc1 ZINC000150148202 398314645 /nfs/dbraw/zinc/31/46/45/398314645.db2.gz KKUCUKZVEXQOQG-LBPRGKRZSA-N 0 3 241.281 2.893 20 0 BFADHN CC[C@@H](CCO)NCc1ccc(C)cc1Cl ZINC000233867491 342913353 /nfs/dbraw/zinc/91/33/53/342913353.db2.gz WGZGYNTVHMHORP-LBPRGKRZSA-N 0 3 241.762 2.899 20 0 BFADHN CC[C@H](NC1CC1)c1ccccc1OC ZINC000037183760 342923906 /nfs/dbraw/zinc/92/39/06/342923906.db2.gz VQHWKBHFYUAOAA-LBPRGKRZSA-N 0 3 205.301 2.898 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCc3cc(F)ccc32)CO1 ZINC000402061801 259329571 /nfs/dbraw/zinc/32/95/71/259329571.db2.gz UOSORUFNWZZAGG-IUPBHXKESA-N 0 3 235.302 2.580 20 0 BFADHN CCC[C@H](N)C(=O)Nc1ccc2[nH]c(C)cc2c1 ZINC000236953913 398324686 /nfs/dbraw/zinc/32/46/86/398324686.db2.gz YHOWKXUGWSODHC-LBPRGKRZSA-N 0 3 245.326 2.542 20 0 BFADHN Cc1cc(Cl)cc(CN(C)CC(C)(C)O)c1 ZINC000351601575 136247710 /nfs/dbraw/zinc/24/77/10/136247710.db2.gz JDQJAHBKDKXVJE-UHFFFAOYSA-N 0 3 241.762 2.851 20 0 BFADHN CC(C)C[C@@H](C)N(C)CC(=O)Nc1ccccc1 ZINC000045769689 342919226 /nfs/dbraw/zinc/91/92/26/342919226.db2.gz MFCXNVNHHIRNCZ-CYBMUJFWSA-N 0 3 248.370 2.992 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cc(CO)ccc1F ZINC000625223322 342920382 /nfs/dbraw/zinc/92/03/82/342920382.db2.gz MPKSUMARYKWLMM-PHIMTYICSA-N 0 3 237.318 2.691 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1Cc1cccc(C)c1 ZINC000505603847 529416474 /nfs/dbraw/zinc/41/64/74/529416474.db2.gz AQJRSGAPVYLYAX-UKRRQHHQSA-N 0 3 233.355 2.994 20 0 BFADHN C/C(=C/c1ccccc1)CN(CCO)C1CC1 ZINC000271066846 187335940 /nfs/dbraw/zinc/33/59/40/187335940.db2.gz JPOUZEBXKHDZIX-QBFSEMIESA-N 0 3 231.339 2.547 20 0 BFADHN Clc1ccc(CNCC[C@H]2CCCOC2)o1 ZINC000527733510 262877461 /nfs/dbraw/zinc/87/74/61/262877461.db2.gz CHVWCAAUFFDVBJ-SNVBAGLBSA-N 0 3 243.734 2.839 20 0 BFADHN CCc1ncc(CN(CC)C(C)C)s1 ZINC000271038506 187336001 /nfs/dbraw/zinc/33/60/01/187336001.db2.gz LCOYGXQLGKGUMV-UHFFFAOYSA-N 0 3 212.362 2.936 20 0 BFADHN CSC1(CNCc2cc(C3CC3)no2)CC1 ZINC000602638424 349651953 /nfs/dbraw/zinc/65/19/53/349651953.db2.gz CTPPBBHPQZWJNA-UHFFFAOYSA-N 0 3 238.356 2.537 20 0 BFADHN Clc1sccc1CNC1CCOCC1 ZINC000306283065 398339551 /nfs/dbraw/zinc/33/95/51/398339551.db2.gz HZLVZDFVCFOVCP-UHFFFAOYSA-N 0 3 231.748 2.670 20 0 BFADHN C[C@@H](F)CCN1CCc2cc(F)ccc2C1 ZINC000351728887 136250303 /nfs/dbraw/zinc/25/03/03/136250303.db2.gz BLPHTPKSCKXALZ-SNVBAGLBSA-N 0 3 225.282 2.932 20 0 BFADHN Cc1cccc(CN2CCC(OC(C)C)CC2)n1 ZINC000564685288 398333747 /nfs/dbraw/zinc/33/37/47/398333747.db2.gz CKCHGYSIOVDTMX-UHFFFAOYSA-N 0 3 248.370 2.779 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCO[C@H]2C2CC2)c1 ZINC000375895623 398333839 /nfs/dbraw/zinc/33/38/39/398333839.db2.gz ONVYVPYNAVVUCS-WHOFXGATSA-N 0 3 246.354 2.608 20 0 BFADHN Cc1nc(CN[C@@H](C)C(C)(C)C)cs1 ZINC000112375895 346888811 /nfs/dbraw/zinc/88/88/11/346888811.db2.gz UDIFCHPYPAGUDV-QMMMGPOBSA-N 0 3 212.362 2.976 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1cccc(C)n1)OC ZINC000381308057 398340617 /nfs/dbraw/zinc/34/06/17/398340617.db2.gz SKQJNJNKSBWUJH-SMDDNHRTSA-N 0 3 236.359 2.541 20 0 BFADHN CC[C@H](COC)N[C@@H]1CCCOc2ccccc21 ZINC000133661167 398341702 /nfs/dbraw/zinc/34/17/02/398341702.db2.gz FULXCHAKFNIECA-TZMCWYRMSA-N 0 3 249.354 2.915 20 0 BFADHN C[C@@H](F)CCN1CCc2c(F)cccc2C1 ZINC000351751258 136251083 /nfs/dbraw/zinc/25/10/83/136251083.db2.gz AKECVMHFOUQDLK-SNVBAGLBSA-N 0 3 225.282 2.932 20 0 BFADHN CC(C)c1ccc(NC(=O)[C@H]2CCCCN2)cc1 ZINC000019412555 342948228 /nfs/dbraw/zinc/94/82/28/342948228.db2.gz MSGCODYLPNIIQL-CQSZACIVSA-N 0 3 246.354 2.891 20 0 BFADHN C[C@@H]1CN([C@H]2CCCc3ccc(F)cc32)C[C@H]1O ZINC000351815496 136255208 /nfs/dbraw/zinc/25/52/08/136255208.db2.gz MQMUFJAMNQUWCR-WKPIXPDZSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@H](F)CCN(Cc1ccccn1)C1CC1 ZINC000351768458 136253380 /nfs/dbraw/zinc/25/33/80/136253380.db2.gz XFXKFTPTKVWAEC-NSHDSACASA-N 0 3 222.307 2.794 20 0 BFADHN CCC[C@H](NCc1ccn(C)n1)C1CCCC1 ZINC000313391258 259334304 /nfs/dbraw/zinc/33/43/04/259334304.db2.gz DWOQUHYZMHQULI-AWEZNQCLSA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)CC(=O)Nc1ccccc1CN(C)C ZINC000048174245 342958186 /nfs/dbraw/zinc/95/81/86/342958186.db2.gz ZAMKJILHBQQEAV-UHFFFAOYSA-N 0 3 234.343 2.733 20 0 BFADHN CC(C)(C)c1noc(C[C@@H](N)c2ccccc2)n1 ZINC000021515980 187206526 /nfs/dbraw/zinc/20/65/26/187206526.db2.gz BFGJBXMPJCPKOZ-LLVKDONJSA-N 0 3 245.326 2.610 20 0 BFADHN COC[C@H](C)N[C@@H]1CCSc2ccccc21 ZINC000019904846 342962585 /nfs/dbraw/zinc/96/25/85/342962585.db2.gz SNBBARCRUFKXQX-CMPLNLGQSA-N 0 3 237.368 2.848 20 0 BFADHN CCN(CC(C)C)[C@@H](CC(C)C)C(=O)OC ZINC000351844204 136256769 /nfs/dbraw/zinc/25/67/69/136256769.db2.gz KEQUBBACHWCBCB-LBPRGKRZSA-N 0 3 229.364 2.552 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cccnc2OC)C1 ZINC000488207437 529528033 /nfs/dbraw/zinc/52/80/33/529528033.db2.gz AVBRJWSNTMSUIR-AWEZNQCLSA-N 0 3 234.343 2.712 20 0 BFADHN CN(C)[C@@H](CNCc1ccco1)c1ccccc1 ZINC000020093869 342969200 /nfs/dbraw/zinc/96/92/00/342969200.db2.gz AWKWRFGSZGBMCU-HNNXBMFYSA-N 0 3 244.338 2.672 20 0 BFADHN COC[C@@H](NCc1sc(C)nc1C)C(C)C ZINC000084148998 536721885 /nfs/dbraw/zinc/72/18/85/536721885.db2.gz GWSKVRFPKJBIIL-LLVKDONJSA-N 0 3 242.388 2.521 20 0 BFADHN CCN(CC)CCN[C@H](C)c1ccccc1F ZINC000019919379 342963146 /nfs/dbraw/zinc/96/31/46/342963146.db2.gz JHYDFRZOOCREFE-GFCCVEGCSA-N 0 3 238.350 2.818 20 0 BFADHN Cc1ccc(F)cc1NC1CCN(C)CC1 ZINC000019953197 342964308 /nfs/dbraw/zinc/96/43/08/342964308.db2.gz AIXFLPUYYMFKEW-UHFFFAOYSA-N 0 3 222.307 2.640 20 0 BFADHN COC(C)(C)C[C@@H](C)N[C@@H](C)c1ccccn1 ZINC000020524545 342989704 /nfs/dbraw/zinc/98/97/04/342989704.db2.gz DNKHVQQPEOAPIO-NEPJUHHUSA-N 0 3 236.359 2.936 20 0 BFADHN CCSCCN[C@H](C)c1cnc(C)s1 ZINC000602736175 349720771 /nfs/dbraw/zinc/72/07/71/349720771.db2.gz NDJDAABDSGKJQI-MRVPVSSYSA-N 0 3 230.402 2.855 20 0 BFADHN CC(C)(C)[C@H]1CCCN(Cc2cc[nH]n2)C1 ZINC000355647629 187212574 /nfs/dbraw/zinc/21/25/74/187212574.db2.gz HZVXTMHBYMFLMX-NSHDSACASA-N 0 3 221.348 2.668 20 0 BFADHN CCN(CC)C(=O)[C@@H](C)N[C@H](C)c1ccccc1 ZINC000020368006 342984169 /nfs/dbraw/zinc/98/41/69/342984169.db2.gz FDGHUKOLFPUFEI-CHWSQXEVSA-N 0 3 248.370 2.594 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)NCc1ccn(C)n1 ZINC000356869734 187213706 /nfs/dbraw/zinc/21/37/06/187213706.db2.gz NPKAEHOKTSKBOL-CHWSQXEVSA-N 0 3 237.391 2.971 20 0 BFADHN CN(Cc1ccc(F)c(F)c1)[C@H]1CCCOC1 ZINC000335902476 136259472 /nfs/dbraw/zinc/25/94/72/136259472.db2.gz OFCLJZIPHZFFAR-NSHDSACASA-N 0 3 241.281 2.576 20 0 BFADHN CSC1CCN(Cc2ccc(C)cn2)CC1 ZINC000602724278 349714324 /nfs/dbraw/zinc/71/43/24/349714324.db2.gz BUFUYVLMJFUEMD-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccc(F)cc2F)C1 ZINC000562683024 323027247 /nfs/dbraw/zinc/02/72/47/323027247.db2.gz LBRAUYAINCAXJB-TXEJJXNPSA-N 0 3 241.281 2.622 20 0 BFADHN CC(C)c1cccc(NC(=O)[C@@H](N)C(C)C)c1 ZINC000020475641 342986535 /nfs/dbraw/zinc/98/65/35/342986535.db2.gz YZZQPTYTCPOCIO-ZDUSSCGKSA-N 0 3 234.343 2.732 20 0 BFADHN Cc1ccc(CNC[C@@H](C)CCO)c(Cl)c1 ZINC000235113605 342987365 /nfs/dbraw/zinc/98/73/65/342987365.db2.gz ZTSFJFSYEUDMDO-NSHDSACASA-N 0 3 241.762 2.757 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@@H](C)CO)c(Cl)c1 ZINC000235132799 342987740 /nfs/dbraw/zinc/98/77/40/342987740.db2.gz LQEOUVLUFQVJOM-WDEREUQCSA-N 0 3 241.762 2.755 20 0 BFADHN CC[C@@H]1CCCN([C@H](CC(C)C)C(=O)OC)C1 ZINC000351853679 136258489 /nfs/dbraw/zinc/25/84/89/136258489.db2.gz FKYOKGRYDXAGPZ-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN CCN(C#N)CCN[C@H](c1cccs1)C1CC1 ZINC000602798005 349738548 /nfs/dbraw/zinc/73/85/48/349738548.db2.gz FGJDZZGBDHFSEK-ZDUSSCGKSA-N 0 3 249.383 2.592 20 0 BFADHN Cc1cc(CN[C@H]2CC(C)(C)C[C@H]2C)no1 ZINC000235298774 342998682 /nfs/dbraw/zinc/99/86/82/342998682.db2.gz PFWLGXIJCMVIKV-SKDRFNHKSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ccc2c(c1)CCN(C[C@@H]1CC[C@H](C)O1)C2 ZINC000361840956 187217680 /nfs/dbraw/zinc/21/76/80/187217680.db2.gz ACNQZLJCBBTQQL-BBRMVZONSA-N 0 3 245.366 2.921 20 0 BFADHN Cc1ccc([C@H](C)NCCNc2ccccn2)o1 ZINC000361887843 187219173 /nfs/dbraw/zinc/21/91/73/187219173.db2.gz GEPYJZMTCLBBLB-LBPRGKRZSA-N 0 3 245.326 2.746 20 0 BFADHN CCN(C#N)CCN(C)C(C)(C)c1ccccc1 ZINC000602827092 349765559 /nfs/dbraw/zinc/76/55/59/349765559.db2.gz OCBZGUZRNRJXDU-UHFFFAOYSA-N 0 3 245.370 2.656 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1ccc(F)c(F)c1 ZINC000049949062 343019243 /nfs/dbraw/zinc/01/92/43/343019243.db2.gz IUDXHRHLPMYVEY-CHWSQXEVSA-N 0 3 241.281 2.622 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1cccc(Cl)c1 ZINC000049948998 343019261 /nfs/dbraw/zinc/01/92/61/343019261.db2.gz KQYBUOANSNIAMY-CHWSQXEVSA-N 0 3 239.746 2.997 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)NCc1cc[nH]n1 ZINC000308947944 259340926 /nfs/dbraw/zinc/34/09/26/259340926.db2.gz OHMLDLVQQVBKDA-GHMZBOCLSA-N 0 3 209.337 2.714 20 0 BFADHN C[C@@H]1CCCN1[C@@H](C(=O)OC(C)(C)C)C1CC1 ZINC000602784367 349749038 /nfs/dbraw/zinc/74/90/38/349749038.db2.gz MYQAYCMORXQWAU-ZYHUDNBSSA-N 0 3 239.359 2.591 20 0 BFADHN COc1ccc(CN(C)CC[C@H](C)F)cc1O ZINC000351879014 136261934 /nfs/dbraw/zinc/26/19/34/136261934.db2.gz GDCOPECQLXWVCR-JTQLQIEISA-N 0 3 241.306 2.581 20 0 BFADHN Cc1ccc(CNCC(C)(C)O)c(Cl)c1 ZINC000235771108 343058522 /nfs/dbraw/zinc/05/85/22/343058522.db2.gz RTKKNVDYVAXOKF-UHFFFAOYSA-N 0 3 227.735 2.509 20 0 BFADHN CCc1ccc(CNCCC(O)(CC)CC)o1 ZINC000471821592 529651642 /nfs/dbraw/zinc/65/16/42/529651642.db2.gz RKONFHHAFAONFW-UHFFFAOYSA-N 0 3 239.359 2.873 20 0 BFADHN CC(C)[C@H](O)CCN[C@@H](C)c1ccccc1F ZINC000305142082 187224406 /nfs/dbraw/zinc/22/44/06/187224406.db2.gz MOCKUNWJSLMBCX-SMDDNHRTSA-N 0 3 239.334 2.883 20 0 BFADHN C(CN1CCCOCC1)SC1CCCCC1 ZINC000374287724 187225254 /nfs/dbraw/zinc/22/52/54/187225254.db2.gz MPKIYCWOIRWOIT-UHFFFAOYSA-N 0 3 243.416 2.775 20 0 BFADHN CC[C@@H](NCc1nc(C)cs1)C(C)C ZINC000038091049 343059783 /nfs/dbraw/zinc/05/97/83/343059783.db2.gz BUZWKPBFQJCIJU-SNVBAGLBSA-N 0 3 212.362 2.976 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1c(C)cccc1C ZINC000309838493 343063695 /nfs/dbraw/zinc/06/36/95/343063695.db2.gz BWIWTYZGBSTLOS-ZIAGYGMSSA-N 0 3 219.328 2.570 20 0 BFADHN COC1(CNCc2ccc(C)nc2)CCCCC1 ZINC000319148087 187229817 /nfs/dbraw/zinc/22/98/17/187229817.db2.gz JDERDMCWRXRXGY-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CC1(C)CC[C@H](NCc2ccc(F)cn2)C1 ZINC000335605386 187231001 /nfs/dbraw/zinc/23/10/01/187231001.db2.gz YJBLXUOHMCJNQV-NSHDSACASA-N 0 3 222.307 2.889 20 0 BFADHN CC[C@@H]1COCCN1C[C@H](C)c1ccccc1 ZINC000247128856 343087751 /nfs/dbraw/zinc/08/77/51/343087751.db2.gz OKCCDROWKRNUGQ-DZGCQCFKSA-N 0 3 233.355 2.901 20 0 BFADHN CCC(O)(CC)CNCc1ccccc1Cl ZINC000082263687 168423953 /nfs/dbraw/zinc/42/39/53/168423953.db2.gz KFWPJBJLYJUOAO-UHFFFAOYSA-N 0 3 241.762 2.981 20 0 BFADHN CC(C)(C)n1cc(CN[C@@H]2CCC2(C)C)cn1 ZINC000336704515 187231704 /nfs/dbraw/zinc/23/17/04/187231704.db2.gz MCQRMIVEXFXLJG-GFCCVEGCSA-N 0 3 235.375 2.916 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(Cl)c(C)c1 ZINC000309951238 343104524 /nfs/dbraw/zinc/10/45/24/343104524.db2.gz GFPWKLMTKYIHID-OLZOCXBDSA-N 0 3 239.746 2.915 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(CC)cc1 ZINC000309954765 343108477 /nfs/dbraw/zinc/10/84/77/343108477.db2.gz HSKWOSVIRYSTNN-UONOGXRCSA-N 0 3 219.328 2.516 20 0 BFADHN CC[C@H](C)N(C)CC(=O)Nc1cc(C)ccc1C ZINC000501101635 529687306 /nfs/dbraw/zinc/68/73/06/529687306.db2.gz OZXDTQYJAISFBP-ZDUSSCGKSA-N 0 3 248.370 2.972 20 0 BFADHN CC(C)(C)c1ccc(CN2CC[C@@H](O)C2)s1 ZINC000128087937 187232901 /nfs/dbraw/zinc/23/29/01/187232901.db2.gz SDKPQCCLLBPQAK-SNVBAGLBSA-N 0 3 239.384 2.612 20 0 BFADHN c1c(CNC2CCCC2)nnn1[C@@H]1C=CCCC1 ZINC000640946229 362087946 /nfs/dbraw/zinc/08/79/46/362087946.db2.gz VUSGTWMACHZDSF-CQSZACIVSA-N 0 3 246.358 2.592 20 0 BFADHN CC(C)=CCC[NH2+]Cc1nc(C)ccc1[O-] ZINC000276610467 187239034 /nfs/dbraw/zinc/23/90/34/187239034.db2.gz IKWBVNQCRALFRC-UHFFFAOYSA-N 0 3 220.316 2.542 20 0 BFADHN CCC1CCC(N(C)Cc2cn[nH]c2)CC1 ZINC000678137156 488204260 /nfs/dbraw/zinc/20/42/60/488204260.db2.gz LBSGUKZZNWTLAN-UHFFFAOYSA-N 0 3 221.348 2.810 20 0 BFADHN Cn1nc(CNCC2CCCC2)c2ccccc21 ZINC000112342891 343136168 /nfs/dbraw/zinc/13/61/68/343136168.db2.gz VVZTWBPWZLSXNA-UHFFFAOYSA-N 0 3 243.354 2.853 20 0 BFADHN CCCCCN1CCN(c2ccccc2)CC1 ZINC000038764258 343137502 /nfs/dbraw/zinc/13/75/02/343137502.db2.gz ZKKDALBVDJQSRV-UHFFFAOYSA-N 0 3 232.371 2.999 20 0 BFADHN CC(C)=CCCNCc1ncc(Cl)n1C ZINC000281767898 187240564 /nfs/dbraw/zinc/24/05/64/187240564.db2.gz SCSKEYOWSAOYIF-UHFFFAOYSA-N 0 3 227.739 2.519 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2NCCN1CC=CCC1 ZINC000280941578 187241048 /nfs/dbraw/zinc/24/10/48/187241048.db2.gz IVGVVWSMCNGMHL-AWEZNQCLSA-N 0 3 246.354 2.509 20 0 BFADHN CC(=O)CCN(C)CCCOCc1ccccc1 ZINC000281479813 187241171 /nfs/dbraw/zinc/24/11/71/187241171.db2.gz VENUGCVKVPCBOA-UHFFFAOYSA-N 0 3 249.354 2.504 20 0 BFADHN CC1(C)OCC[C@H]1N[C@@H]1CCCc2occc21 ZINC000296448632 187241551 /nfs/dbraw/zinc/24/15/51/187241551.db2.gz MPNUFQUZSUAIGN-DGCLKSJQSA-N 0 3 235.327 2.814 20 0 BFADHN CN(C)Cc1ccc(NC(=O)C(C)(C)C)cc1 ZINC000029951977 343154676 /nfs/dbraw/zinc/15/46/76/343154676.db2.gz TYCWVNDVGUZSNZ-UHFFFAOYSA-N 0 3 234.343 2.733 20 0 BFADHN C[C@@H](NCC[C@H](C)F)c1cn2ccccc2n1 ZINC000576957480 365733018 /nfs/dbraw/zinc/73/30/18/365733018.db2.gz NVORCWKWAAFTHQ-WDEREUQCSA-N 0 3 235.306 2.733 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1ccc(F)cc1C ZINC000050577846 343164065 /nfs/dbraw/zinc/16/40/65/343164065.db2.gz LVMOQOPBVYFMJI-ZIAGYGMSSA-N 0 3 237.318 2.791 20 0 BFADHN CC1(C)CCCC[C@@H]1N[C@H]1CNCCC1(F)F ZINC000576959696 365733973 /nfs/dbraw/zinc/73/39/73/365733973.db2.gz CNDOJQCDQRSAJU-QWRGUYRKSA-N 0 3 246.345 2.542 20 0 BFADHN CCO[C@@H](CN(C)[C@H](C)c1cccnc1)C1CC1 ZINC000625270975 343174963 /nfs/dbraw/zinc/17/49/63/343174963.db2.gz NMVFUFDUGLNWII-DOMZBBRYSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@@H](NCC(C)(C)C(C)(C)O)c1cncs1 ZINC000393588370 259356736 /nfs/dbraw/zinc/35/67/36/259356736.db2.gz ZKURDHQBIPOGQP-SECBINFHSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@@H](CN(C)C)NCc1c(F)cccc1Cl ZINC000159092066 343201507 /nfs/dbraw/zinc/20/15/07/343201507.db2.gz XZAQXDKYFPPZGD-VIFPVBQESA-N 0 3 244.741 2.519 20 0 BFADHN CCOC[C@@H](C)NCc1ccc(C)c(F)c1 ZINC000082503546 136276577 /nfs/dbraw/zinc/27/65/77/136276577.db2.gz XPLOPCLJUDJTEB-LLVKDONJSA-N 0 3 225.307 2.649 20 0 BFADHN CCO[C@H](CN1CC2(C1)CC(F)(F)C2)C1CC1 ZINC000625277493 343217032 /nfs/dbraw/zinc/21/70/32/343217032.db2.gz YIXIBOWRKBJEHJ-LLVKDONJSA-N 0 3 245.313 2.533 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)C)c1nccn1C ZINC000133884482 343186450 /nfs/dbraw/zinc/18/64/50/343186450.db2.gz ZIMLUGDEHNVFKT-NXEZZACHSA-N 0 3 209.337 2.505 20 0 BFADHN CC(C)n1ccc(CN[C@@H](C)C2CCC2)n1 ZINC000134100363 343186848 /nfs/dbraw/zinc/18/68/48/343186848.db2.gz ZUKUEIJKFSJWSP-NSHDSACASA-N 0 3 221.348 2.742 20 0 BFADHN CCO[C@H](CN[C@@H](C)c1cnccc1C)C1CC1 ZINC000625320800 343234247 /nfs/dbraw/zinc/23/42/47/343234247.db2.gz ZOBNFJGGJRWKAN-SWLSCSKDSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@@H](NC[C@H]1CC(C)(C)CO1)c1ccccn1 ZINC000634686813 343236033 /nfs/dbraw/zinc/23/60/33/343236033.db2.gz SEMRBZGIILNMPD-VXGBXAGGSA-N 0 3 234.343 2.547 20 0 BFADHN CCC[C@H](N[C@@H](C)C(=O)N(C)C)c1ccccc1 ZINC000035139288 343286873 /nfs/dbraw/zinc/28/68/73/343286873.db2.gz VQOFXDBBIBWNND-JSGCOSHPSA-N 0 3 248.370 2.594 20 0 BFADHN CCC(C)(C)CCn1cc([C@H](N)C(C)C)nn1 ZINC000641049966 362157605 /nfs/dbraw/zinc/15/76/05/362157605.db2.gz QZSFEUOOQUJQFI-GFCCVEGCSA-N 0 3 238.379 2.760 20 0 BFADHN C[C@@H]1CCN(C/C=C\c2ccccc2)C[C@@H]1CO ZINC000621363540 343266917 /nfs/dbraw/zinc/26/69/17/343266917.db2.gz RFPZSXNHYJUALJ-YOPWKTHZSA-N 0 3 245.366 2.650 20 0 BFADHN CCC[C@H]1CCCCN1Cc1ccnn1C ZINC000185872245 343267400 /nfs/dbraw/zinc/26/74/00/343267400.db2.gz JOVBUOXGNLXKSL-LBPRGKRZSA-N 0 3 221.348 2.575 20 0 BFADHN COC(=O)CCN[C@H](C)c1cccc(Cl)c1 ZINC000034937611 343281555 /nfs/dbraw/zinc/28/15/55/343281555.db2.gz NIKRHNPLVUZBJC-SECBINFHSA-N 0 3 241.718 2.554 20 0 BFADHN COC(=O)CCN[C@@H](C)c1ccccc1Cl ZINC000036803929 343324236 /nfs/dbraw/zinc/32/42/36/343324236.db2.gz CCXIXYGQQIHHAJ-VIFPVBQESA-N 0 3 241.718 2.554 20 0 BFADHN CCC[C@H](N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000036873066 343325968 /nfs/dbraw/zinc/32/59/68/343325968.db2.gz MHLITFLTMDSCBM-JTQLQIEISA-N 0 3 244.697 2.545 20 0 BFADHN CN(C)Cc1cccc(NCc2ccco2)c1 ZINC000036979650 343329018 /nfs/dbraw/zinc/32/90/18/343329018.db2.gz YXXYCUMLWRBOCV-UHFFFAOYSA-N 0 3 230.311 2.953 20 0 BFADHN C[C@@H](N)C(=O)N[C@H](CC(C)(C)C)c1ccccc1 ZINC000037004476 343330236 /nfs/dbraw/zinc/33/02/36/343330236.db2.gz BLHHQPZANORNJV-DGCLKSJQSA-N 0 3 248.370 2.627 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@@H](CCC)c1ccccc1 ZINC000037030096 343331831 /nfs/dbraw/zinc/33/18/31/343331831.db2.gz ZVKYCXJFEFSADL-KGLIPLIRSA-N 0 3 248.370 2.771 20 0 BFADHN CCN(Cc1c(C)cnn1C)[C@@H](C)C(C)C ZINC000347861397 136293361 /nfs/dbraw/zinc/29/33/61/136293361.db2.gz VNDGHSKMWIJYLI-LBPRGKRZSA-N 0 3 223.364 2.595 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)[C@@H]1CCCOC1 ZINC000348713864 136293610 /nfs/dbraw/zinc/29/36/10/136293610.db2.gz LPQWSKQECZLLHS-NSRYLSIASA-N 0 3 249.329 2.950 20 0 BFADHN C[C@@H](O)CN[C@H](C)c1ccccc1C(F)(F)F ZINC000035602006 343298858 /nfs/dbraw/zinc/29/88/58/343298858.db2.gz XRKYDLCVIHWXFR-RKDXNWHRSA-N 0 3 247.260 2.737 20 0 BFADHN CCN(Cc1cc(F)cc(Cl)c1)C[C@@H](C)O ZINC000348713565 136293808 /nfs/dbraw/zinc/29/38/08/136293808.db2.gz WNQRIHVOXJMBLQ-SECBINFHSA-N 0 3 245.725 2.682 20 0 BFADHN C[C@H](CO)N[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000035602490 343299279 /nfs/dbraw/zinc/29/92/79/343299279.db2.gz GOOYVLOTENHKFB-RKDXNWHRSA-N 0 3 247.260 2.737 20 0 BFADHN C[C@@H](N[C@@H](C)CO)c1cccc(C(F)(F)F)c1 ZINC000035603173 343299604 /nfs/dbraw/zinc/29/96/04/343299604.db2.gz CCAGSMDLPJMMMN-DTWKUNHWSA-N 0 3 247.260 2.737 20 0 BFADHN CCCC[C@@H](C)N[C@H](c1nncn1C)C(C)C ZINC000348711541 136293889 /nfs/dbraw/zinc/29/38/89/136293889.db2.gz GDCJUDUGYWMFAL-NEPJUHHUSA-N 0 3 238.379 2.681 20 0 BFADHN C[C@@H](N[C@@H](C)CO)c1ccccc1C(F)(F)F ZINC000035603345 343299757 /nfs/dbraw/zinc/29/97/57/343299757.db2.gz SPLQHMXMDRQQPZ-DTWKUNHWSA-N 0 3 247.260 2.737 20 0 BFADHN C[C@H](CO)N[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000035603171 343299792 /nfs/dbraw/zinc/29/97/92/343299792.db2.gz CCAGSMDLPJMMMN-BDAKNGLRSA-N 0 3 247.260 2.737 20 0 BFADHN Cc1cnc(CCN(C)Cc2ccoc2)c(C)c1 ZINC000584050843 343306995 /nfs/dbraw/zinc/30/69/95/343306995.db2.gz REXSKXHSOKRWRB-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNC2CCOCC2)o1 ZINC000036319244 343315242 /nfs/dbraw/zinc/31/52/42/343315242.db2.gz QVOVQUCMLKTHRZ-GXFFZTMASA-N 0 3 235.327 2.672 20 0 BFADHN Cc1n[nH]cc1CNCc1ccc(C)c(C)c1 ZINC000037989201 343364306 /nfs/dbraw/zinc/36/43/06/343364306.db2.gz QIEVDNSVGUJZGL-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN F[C@@H]1CCCC[C@H]1NCc1cccc2nccn21 ZINC000335632008 136300367 /nfs/dbraw/zinc/30/03/67/136300367.db2.gz RWESXJWEHBZITQ-CHWSQXEVSA-N 0 3 247.317 2.705 20 0 BFADHN FC(F)C[C@H]1COCCN1C[C@@H]1CC=CCC1 ZINC000348786832 136301304 /nfs/dbraw/zinc/30/13/04/136301304.db2.gz WBILRPRKHGCTHA-NEPJUHHUSA-N 0 3 245.313 2.699 20 0 BFADHN CC[C@@H](N)C(=O)N1CCCC[C@@H]1CCC(C)C ZINC000122587380 343377144 /nfs/dbraw/zinc/37/71/44/343377144.db2.gz QFNNMFYYDZYZLX-CHWSQXEVSA-N 0 3 240.391 2.541 20 0 BFADHN C[C@H](CN1CCCCC1)N[C@@H](C)c1ccco1 ZINC000037154070 343336579 /nfs/dbraw/zinc/33/65/79/343336579.db2.gz OOXUHXYHEJIUJC-OLZOCXBDSA-N 0 3 236.359 2.805 20 0 BFADHN C[C@H](Cn1cccn1)N[C@@H]1CCc2ccccc21 ZINC000037155558 343337547 /nfs/dbraw/zinc/33/75/47/343337547.db2.gz BSVNQBMYBMIEKU-IUODEOHRSA-N 0 3 241.338 2.549 20 0 BFADHN Cc1c2ccccc2oc1[C@H](C)NC[C@@H](C)O ZINC000037257632 343341360 /nfs/dbraw/zinc/34/13/60/343341360.db2.gz SVVJTYAAQYUELL-KOLCDFICSA-N 0 3 233.311 2.773 20 0 BFADHN CC(C)C[C@H](C)NCc1cn2ccccc2n1 ZINC000037264865 343342264 /nfs/dbraw/zinc/34/22/64/343342264.db2.gz OWJOBYPWFYLVCK-LBPRGKRZSA-N 0 3 231.343 2.859 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1cc(C)ccc1C ZINC000037621286 343349439 /nfs/dbraw/zinc/34/94/39/343349439.db2.gz VNLJHBJAISGPDO-AWEZNQCLSA-N 0 3 234.343 2.759 20 0 BFADHN CCOc1cccc(CN[C@H]2CCSC2)c1 ZINC000037679325 343351287 /nfs/dbraw/zinc/35/12/87/343351287.db2.gz FCMWDPZUUHIMKR-LBPRGKRZSA-N 0 3 237.368 2.680 20 0 BFADHN C[C@@H](Nc1ccc(OCCN(C)C)cc1)C1CC1 ZINC000037774234 343354399 /nfs/dbraw/zinc/35/43/99/343354399.db2.gz WNSQRHKJIIMVJM-GFCCVEGCSA-N 0 3 248.370 2.837 20 0 BFADHN Cn1nc2c(c1CNC1CC(C)(C)C1)CCCC2 ZINC000335676414 136318760 /nfs/dbraw/zinc/31/87/60/136318760.db2.gz HUENUIOZXTXMCG-UHFFFAOYSA-N 0 3 247.386 2.577 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(F)cc2)[C@@H](C)C1 ZINC000335677338 136319193 /nfs/dbraw/zinc/31/91/93/136319193.db2.gz JLLNAHSSMUDUOI-FZMZJTMJSA-N 0 3 237.318 2.825 20 0 BFADHN Cc1cc(CN[C@H]2CCCC23CCC3)on1 ZINC000335658775 136307206 /nfs/dbraw/zinc/30/72/06/136307206.db2.gz XLENXYAAEVTAJH-LBPRGKRZSA-N 0 3 220.316 2.795 20 0 BFADHN CCCn1c(C)nnc1CN[C@H](C)C(C)(C)C ZINC000179405100 488214898 /nfs/dbraw/zinc/21/48/98/488214898.db2.gz YSNIDZNXWYZTKF-SNVBAGLBSA-N 0 3 238.379 2.521 20 0 BFADHN Cc1ccnc(OC2CCN(C(C)C)CC2)c1 ZINC000335671085 136313171 /nfs/dbraw/zinc/31/31/71/136313171.db2.gz SKUKNLZQWUPWGC-UHFFFAOYSA-N 0 3 234.343 2.642 20 0 BFADHN CCCN(CC)CC(=O)N1[C@H](C)CCC[C@H]1C ZINC000348996885 136314287 /nfs/dbraw/zinc/31/42/87/136314287.db2.gz YFZANUQESSVSGJ-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1cc(CN2CC[C@@H]3CCCC[C@@H]32)on1 ZINC000335660913 136314920 /nfs/dbraw/zinc/31/49/20/136314920.db2.gz HYCVRXPWQZLPPG-AAEUAGOBSA-N 0 3 220.316 2.748 20 0 BFADHN C[C@H]1C[C@@H](NCc2cc(Cl)cs2)CO1 ZINC000335664648 136316232 /nfs/dbraw/zinc/31/62/32/136316232.db2.gz VZCBMCAEXSWEMD-IONNQARKSA-N 0 3 231.748 2.669 20 0 BFADHN Cc1ccc(CN2CCC3(CCO3)CC2)s1 ZINC000367072853 136322115 /nfs/dbraw/zinc/32/21/15/136322115.db2.gz PKNKGIBZGXURRL-UHFFFAOYSA-N 0 3 237.368 2.811 20 0 BFADHN Cc1ccc(NC(=O)[C@@H](N)C(C)(C)C)cc1C ZINC000040458680 343404786 /nfs/dbraw/zinc/40/47/86/343404786.db2.gz RSUSJOYRKMJDEU-GFCCVEGCSA-N 0 3 234.343 2.615 20 0 BFADHN CCCCOCCNCc1cccc(OC)c1 ZINC000040505942 343405676 /nfs/dbraw/zinc/40/56/76/343405676.db2.gz RJOSREQJEHHLHZ-UHFFFAOYSA-N 0 3 237.343 2.602 20 0 BFADHN CCc1nc(CN[C@H]2CC=CCC2)cs1 ZINC000080123180 343407702 /nfs/dbraw/zinc/40/77/02/343407702.db2.gz YTNREXDYQGBBBT-JTQLQIEISA-N 0 3 222.357 2.904 20 0 BFADHN CC(C)C[C@@H](CO)NCc1ccccc1Cl ZINC000040773095 343408839 /nfs/dbraw/zinc/40/88/39/343408839.db2.gz VEPUILCCFLNASH-LBPRGKRZSA-N 0 3 241.762 2.837 20 0 BFADHN CCCC[C@@H](N)C(=O)N[C@@H](C)CCCC(C)C ZINC000040858717 343410811 /nfs/dbraw/zinc/41/08/11/343410811.db2.gz RUHGKMSRZYQSCZ-QWHCGFSZSA-N 0 3 242.407 2.835 20 0 BFADHN CC(C)c1ccc([C@H](C)NC(=O)C(C)(C)N)cc1 ZINC000040921931 343411203 /nfs/dbraw/zinc/41/12/03/343411203.db2.gz LVPXJJBXDDPYTF-NSHDSACASA-N 0 3 248.370 2.725 20 0 BFADHN C[C@@H](CCc1ccco1)NCc1nccs1 ZINC000041012520 343412276 /nfs/dbraw/zinc/41/22/76/343412276.db2.gz JCIGUQQCHKXQNJ-JTQLQIEISA-N 0 3 236.340 2.847 20 0 BFADHN CC(C)[C@H](N)c1cn(CCC2=CCCCC2)nn1 ZINC000641079257 362191317 /nfs/dbraw/zinc/19/13/17/362191317.db2.gz MYHFDNOVAJBLLE-AWEZNQCLSA-N 0 3 248.374 2.824 20 0 BFADHN CC[C@@H](N)c1cn(CCC2=CCCCC2)nn1 ZINC000641079691 362192345 /nfs/dbraw/zinc/19/23/45/362192345.db2.gz QQKTVWPFRXABQE-GFCCVEGCSA-N 0 3 234.347 2.578 20 0 BFADHN CN(CCc1ccccc1)Cc1ccco1 ZINC000041392386 343420385 /nfs/dbraw/zinc/42/03/85/343420385.db2.gz WZVWRUMHMIXNPM-UHFFFAOYSA-N 0 3 215.296 2.954 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CCC[C@](F)(CO)C1 ZINC000349036514 136320202 /nfs/dbraw/zinc/32/02/02/136320202.db2.gz QPMBSKKSCJIFLM-MGPQQGTHSA-N 0 3 243.366 2.609 20 0 BFADHN CN(C/C=C\c1ccccc1)CCN(C)C1CC1 ZINC000349380807 136344132 /nfs/dbraw/zinc/34/41/32/136344132.db2.gz FDSLMRLLRKUIFN-TWGQIWQCSA-N 0 3 244.382 2.726 20 0 BFADHN Fc1ccc(CN2CCCC23CCC3)nc1 ZINC000335727434 136347970 /nfs/dbraw/zinc/34/79/70/136347970.db2.gz AKVLPLKYGFCMCW-UHFFFAOYSA-N 0 3 220.291 2.739 20 0 BFADHN CCC[C@H](N)c1cn(CCCC2CCC2)nn1 ZINC000641087757 362210670 /nfs/dbraw/zinc/21/06/70/362210670.db2.gz JFDMNVZJKGRAEJ-LBPRGKRZSA-N 0 3 236.363 2.658 20 0 BFADHN CC[C@@H](NCc1cnoc1C)C(C)(C)C ZINC000308914910 346939243 /nfs/dbraw/zinc/93/92/43/346939243.db2.gz FPUDJMOMQRCVKC-LLVKDONJSA-N 0 3 210.321 2.897 20 0 BFADHN CC(C)c1nc(CN2C[C@H](C)CC2(C)C)n[nH]1 ZINC000335682987 136329234 /nfs/dbraw/zinc/32/92/34/136329234.db2.gz NTFQXVJCFPNNRT-SNVBAGLBSA-N 0 3 236.363 2.549 20 0 BFADHN C(C1CC1)N1CCO[C@@H](C2CCCCC2)C1 ZINC000349245516 136332808 /nfs/dbraw/zinc/33/28/08/136332808.db2.gz RRDLVBZCSJZLGV-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN Cc1cc(C)cc(CN[C@H](C)Cn2cccn2)c1 ZINC000042319607 343434427 /nfs/dbraw/zinc/43/44/27/343434427.db2.gz FAIITTDSMKLFSA-CQSZACIVSA-N 0 3 243.354 2.678 20 0 BFADHN CC[C@H](NCc1cn2ccccc2n1)C(C)C ZINC000042320459 343434496 /nfs/dbraw/zinc/43/44/96/343434496.db2.gz VACQYFJRTKMWFS-ZDUSSCGKSA-N 0 3 231.343 2.859 20 0 BFADHN OCc1ccc(CN[C@@H]2C[C@H]2C2CCCCC2)o1 ZINC000525708630 259359715 /nfs/dbraw/zinc/35/97/15/259359715.db2.gz GWGMCFUXZBZKJH-LSDHHAIUSA-N 0 3 249.354 2.830 20 0 BFADHN CSC1CCN(CCOCC2CCC2)CC1 ZINC000602918604 349809633 /nfs/dbraw/zinc/80/96/33/349809633.db2.gz BWWYCPYJQFJXBI-UHFFFAOYSA-N 0 3 243.416 2.631 20 0 BFADHN Cc1cccc(CN2CCC=C(C)C2)n1 ZINC000335850271 136355598 /nfs/dbraw/zinc/35/55/98/136355598.db2.gz GINDGNVCLNFYRZ-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN COC[C@H](C)NC/C=C/c1ccc(F)cc1F ZINC000350099312 136355697 /nfs/dbraw/zinc/35/56/97/136355697.db2.gz NCYQWIJEFCGWDU-FSIBCCDJSA-N 0 3 241.281 2.603 20 0 BFADHN CCC[C@@H](C)N(C)Cc1c(C)n[nH]c1C ZINC000350302767 136358059 /nfs/dbraw/zinc/35/80/59/136358059.db2.gz URYTXIOHZDZUAD-SECBINFHSA-N 0 3 209.337 2.647 20 0 BFADHN CC(C)n1nccc1CN1C[C@H](C)[C@H](C)C1 ZINC000335889192 136358221 /nfs/dbraw/zinc/35/82/21/136358221.db2.gz BYBPVFTTXNNHPV-TXEJJXNPSA-N 0 3 221.348 2.552 20 0 BFADHN CC(C)n1nccc1CN1C[C@@H](C)[C@H](C)C1 ZINC000335889193 136358465 /nfs/dbraw/zinc/35/84/65/136358465.db2.gz BYBPVFTTXNNHPV-VXGBXAGGSA-N 0 3 221.348 2.552 20 0 BFADHN CCc1cc(CNCCCC(C)C)on1 ZINC000379931344 365744128 /nfs/dbraw/zinc/74/41/28/365744128.db2.gz OQBOWJLUMJCAAB-UHFFFAOYSA-N 0 3 210.321 2.763 20 0 BFADHN CC(C)C[C@@H](C)n1cc([C@@H](N)C(C)(C)C)nn1 ZINC000641096946 362223412 /nfs/dbraw/zinc/22/34/12/362223412.db2.gz YYAMFOBSOCPPDE-ZYHUDNBSSA-N 0 3 238.379 2.931 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](C)Cc2cnn(C)c2)o1 ZINC000350519384 136361917 /nfs/dbraw/zinc/36/19/17/136361917.db2.gz YXQBBIBRWCIYGT-PWSUYJOCSA-N 0 3 247.342 2.603 20 0 BFADHN Cc1cccc(CCCN2CCN(C)C[C@@H]2C)c1 ZINC000350946203 136366042 /nfs/dbraw/zinc/36/60/42/136366042.db2.gz BFKOUTUGGYQVCS-HNNXBMFYSA-N 0 3 246.398 2.564 20 0 BFADHN Cc1sccc1CN1CCN(C)CC1(C)C ZINC000351607355 136369813 /nfs/dbraw/zinc/36/98/13/136369813.db2.gz KEZHFOMOSUZAKQ-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@H]1C(C)(C)C ZINC000335907181 136370980 /nfs/dbraw/zinc/37/09/80/136370980.db2.gz FZRLKXWMZJYFBP-LBPRGKRZSA-N 0 3 221.348 2.729 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCC(=O)Nc1ccc(C)cc1 ZINC000042556220 343442972 /nfs/dbraw/zinc/44/29/72/343442972.db2.gz APBGURAMEMWSIE-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@H](N[C@H]1CCN(C)C1)c1ccc(Cl)s1 ZINC000042545410 343443148 /nfs/dbraw/zinc/44/31/48/343443148.db2.gz YFAVBBOVDGLIIJ-IUCAKERBSA-N 0 3 244.791 2.756 20 0 BFADHN CC(C)CC(=O)Nc1ccc(CN(C)C)cc1 ZINC000043520759 343476744 /nfs/dbraw/zinc/47/67/44/343476744.db2.gz MNCCYMYHMYYTFC-UHFFFAOYSA-N 0 3 234.343 2.733 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(Cl)cc1 ZINC000379923109 365750835 /nfs/dbraw/zinc/75/08/35/365750835.db2.gz JDYXXQNPAJFHJV-RYUDHWBXSA-N 0 3 225.719 2.607 20 0 BFADHN CSC1CCN(Cc2cccc(C)n2)CC1 ZINC000602920136 349810582 /nfs/dbraw/zinc/81/05/82/349810582.db2.gz KVXXALSAPSPMCE-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN C[C@H]1CC[C@@H](CN2CCC(C(F)F)CC2)O1 ZINC000335856837 344033773 /nfs/dbraw/zinc/03/37/73/344033773.db2.gz KFFVJWMHJPHKMZ-ONGXEEELSA-N 0 3 233.302 2.531 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc(F)cc1C ZINC000276816797 187340435 /nfs/dbraw/zinc/34/04/35/187340435.db2.gz FDDWWRHKJSBPMM-NSHDSACASA-N 0 3 225.307 2.601 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCc3cccnc32)[C@H](C)O1 ZINC000384542952 343539388 /nfs/dbraw/zinc/53/93/88/343539388.db2.gz FFNFKAGIOWCGOR-XWUBHJNHSA-N 0 3 246.354 2.615 20 0 BFADHN COc1cc(CN[C@H]2CC2(C)C)cc(OC)c1 ZINC000044372293 343498933 /nfs/dbraw/zinc/49/89/33/343498933.db2.gz NSLWBRVSTCHRBO-ZDUSSCGKSA-N 0 3 235.327 2.592 20 0 BFADHN CSC[C@H]1CCCN1Cc1cc(C)ccn1 ZINC000616761900 343561010 /nfs/dbraw/zinc/56/10/10/343561010.db2.gz MICGYAKVGMGUOE-CYBMUJFWSA-N 0 3 236.384 2.717 20 0 BFADHN C[C@@H](NC[C@H]1CCC(F)(F)C1)c1cnccn1 ZINC000280320009 187342406 /nfs/dbraw/zinc/34/24/06/187342406.db2.gz DJLKXFDLPPKVJH-ZJUUUORDSA-N 0 3 241.285 2.563 20 0 BFADHN COc1cccc(CNCc2cccnc2C)c1 ZINC000310908215 343595503 /nfs/dbraw/zinc/59/55/03/343595503.db2.gz DJUJLHFXAQTZRZ-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN Cc1ncsc1CNC1C(C)(C)C1(C)C ZINC000086239830 343615654 /nfs/dbraw/zinc/61/56/54/343615654.db2.gz FUEHFSTVKSIZRC-UHFFFAOYSA-N 0 3 224.373 2.976 20 0 BFADHN Cc1ccc([C@H](C)NCc2ccc[nH]c2=O)cc1 ZINC000624257931 343621976 /nfs/dbraw/zinc/62/19/76/343621976.db2.gz VPJHPKJPKCVFFB-LBPRGKRZSA-N 0 3 242.322 2.946 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H](C)[C@H]2C)nc1C ZINC000313812433 259365974 /nfs/dbraw/zinc/36/59/74/259365974.db2.gz IVSLPSFWFHGBMI-MISXGVKJSA-N 0 3 218.344 2.833 20 0 BFADHN CCN(Cc1cccc(Cl)n1)C(C)C ZINC000085696816 343601085 /nfs/dbraw/zinc/60/10/85/343601085.db2.gz YPXAYSAPCQUHBR-UHFFFAOYSA-N 0 3 212.724 2.965 20 0 BFADHN CCN(CC)C(=O)CN[C@@H](C)c1ccc(C)cc1 ZINC000049556800 343602601 /nfs/dbraw/zinc/60/26/01/343602601.db2.gz GRYKMTXHZBDVIM-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN Cc1nocc1CN(C)C1(C)CCCC1 ZINC000336514263 187342725 /nfs/dbraw/zinc/34/27/25/187342725.db2.gz ROEICFTWIKCCQU-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN CC(C)(C)c1ncc(CN[C@H]2CC2(C)C)cn1 ZINC000284454412 187344341 /nfs/dbraw/zinc/34/43/41/187344341.db2.gz UOAKYDJXEVKCRG-NSHDSACASA-N 0 3 233.359 2.662 20 0 BFADHN C[C@@H]1CCCC[C@H]1OCCN(C)C1CC1 ZINC000047914916 343644572 /nfs/dbraw/zinc/64/45/72/343644572.db2.gz DRUFJNWSXIUJTL-DGCLKSJQSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1ccc(CNCC[C@H]2CCOC2)c(F)c1 ZINC000631111266 343647795 /nfs/dbraw/zinc/64/77/95/343647795.db2.gz NRLZWJLZOUUAAP-LBPRGKRZSA-N 0 3 237.318 2.650 20 0 BFADHN CC[C@H]1c2ccccc2CCN1Cc1cnc[nH]1 ZINC000093438930 537798944 /nfs/dbraw/zinc/79/89/44/537798944.db2.gz AZFARUGNCFRNGZ-HNNXBMFYSA-N 0 3 241.338 2.919 20 0 BFADHN Cc1ccc(CNCCCCF)c(F)c1 ZINC000631139607 343626792 /nfs/dbraw/zinc/62/67/92/343626792.db2.gz OMUHTEWEZUCALT-UHFFFAOYSA-N 0 3 213.271 2.973 20 0 BFADHN FC(F)(F)[C@@H]1CCCN(CC2CC2)C1 ZINC000178293331 346951204 /nfs/dbraw/zinc/95/12/04/346951204.db2.gz JKTYKYVLIDEMAA-SECBINFHSA-N 0 3 207.239 2.671 20 0 BFADHN Cc1ccc(CN2CC(C3CCC3)C2)nc1 ZINC000336394312 178563810 /nfs/dbraw/zinc/56/38/10/178563810.db2.gz FJIPAWLZNAGVFX-UHFFFAOYSA-N 0 3 216.328 2.622 20 0 BFADHN CCc1ccc(CNC[C@@H]2CCCS2)o1 ZINC000087668084 343656727 /nfs/dbraw/zinc/65/67/27/343656727.db2.gz FDRZIWPGGAYRBP-LBPRGKRZSA-N 0 3 225.357 2.827 20 0 BFADHN Cc1csc(CN[C@@H]2CO[C@H](C3CC3)C2)c1 ZINC000668215210 488228428 /nfs/dbraw/zinc/22/84/28/488228428.db2.gz XIOBLPWVALQJAQ-AAEUAGOBSA-N 0 3 237.368 2.714 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1snnc1C ZINC000379973463 343662253 /nfs/dbraw/zinc/66/22/53/343662253.db2.gz CIKSVNBQPOSCQZ-ZJUUUORDSA-N 0 3 225.361 2.515 20 0 BFADHN CCC[C@H](C)N(C)CC(=O)N(C(C)C)C(C)C ZINC000048070884 343708676 /nfs/dbraw/zinc/70/86/76/343708676.db2.gz ZPWFOSAVQKPDRE-ZDUSSCGKSA-N 0 3 242.407 2.752 20 0 BFADHN CC(C)Cn1cc(CN2CCCCC2)cn1 ZINC000126335519 343697490 /nfs/dbraw/zinc/69/74/90/343697490.db2.gz CUKCTKYSLAGXFS-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCC[C@@H](C)N(C)CC(=O)N1CCCC[C@@H]1C ZINC000048070715 343708012 /nfs/dbraw/zinc/70/80/12/343708012.db2.gz BZSWHLLMVCGKFN-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1ccc(C)s1 ZINC000309029520 259368898 /nfs/dbraw/zinc/36/88/98/259368898.db2.gz CTBHHGHEOHOXME-GARJFASQSA-N 0 3 225.357 2.885 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CCc2nc[nH]c2C1 ZINC000625434227 343734826 /nfs/dbraw/zinc/73/48/26/343734826.db2.gz KHFPVLKXCRSABZ-NEPJUHHUSA-N 0 3 233.359 2.594 20 0 BFADHN CCC[C@@H](C)CCCN1CCc2nc[nH]c2C1 ZINC000625434185 343734877 /nfs/dbraw/zinc/73/48/77/343734877.db2.gz HTJHTQVTMLJZTO-GFCCVEGCSA-N 0 3 235.375 2.984 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCc3nc[nH]c3C2)C1 ZINC000625434986 343735917 /nfs/dbraw/zinc/73/59/17/343735917.db2.gz CINWOROCYGLPJN-NEPJUHHUSA-N 0 3 233.359 2.594 20 0 BFADHN CCCC[C@@H](C)N(C)CC(=O)NCC(C)(C)C ZINC000625439607 343740766 /nfs/dbraw/zinc/74/07/66/343740766.db2.gz CVCMXPMFGCVDMT-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN CC1(C)COC[C@@H]1N[C@@H]1CCCc2occc21 ZINC000312992968 343714197 /nfs/dbraw/zinc/71/41/97/343714197.db2.gz YKULWDLDYDKQJB-YPMHNXCESA-N 0 3 235.327 2.672 20 0 BFADHN c1cc([C@H]2CCCN2C[C@@H]2CCC=CO2)ccn1 ZINC000289725150 187347372 /nfs/dbraw/zinc/34/73/72/187347372.db2.gz HLVBRQWLYMANMA-LSDHHAIUSA-N 0 3 244.338 2.911 20 0 BFADHN C[C@H]1C[C@H]1CN1CCC=C(c2ccccn2)C1 ZINC000625447862 343772177 /nfs/dbraw/zinc/77/21/77/343772177.db2.gz KUKYDRQBIFNRKU-JSGCOSHPSA-N 0 3 228.339 2.827 20 0 BFADHN C[C@@H](CCCC(F)(F)F)NCc1cnccn1 ZINC000584217793 343773400 /nfs/dbraw/zinc/77/34/00/343773400.db2.gz JKCMGESSODDUMX-VIFPVBQESA-N 0 3 247.264 2.687 20 0 BFADHN Cc1nc(CN2CCCC[C@@H](C)C2)oc1C ZINC000187944007 259371014 /nfs/dbraw/zinc/37/10/14/259371014.db2.gz AXALONITJUHNQX-SNVBAGLBSA-N 0 3 222.332 2.913 20 0 BFADHN C[C@H](O)[C@H](C)N[C@H](CC(F)F)c1ccccc1 ZINC000584227530 343775413 /nfs/dbraw/zinc/77/54/13/343775413.db2.gz VNKGTXKCZHOLLL-JBLDHEPKSA-N 0 3 243.297 2.742 20 0 BFADHN CN(C)CCS[C@@H]1CCc2ccccc2C1 ZINC000127807338 343751368 /nfs/dbraw/zinc/75/13/68/343751368.db2.gz HCDREELHPSAVRC-CQSZACIVSA-N 0 3 235.396 2.839 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2C(C)C)oc1C ZINC000063619242 343753304 /nfs/dbraw/zinc/75/33/04/343753304.db2.gz AXODDPOCNMBTGH-GFCCVEGCSA-N 0 3 222.332 2.912 20 0 BFADHN CCCN(C)C[C@@H](O)c1ccccc1Cl ZINC000063756130 343753810 /nfs/dbraw/zinc/75/38/10/343753810.db2.gz PSZXPIVJQCSUKY-GFCCVEGCSA-N 0 3 227.735 2.715 20 0 BFADHN CC[C@H](NCc1nc(C)c(C)[nH]1)C(C)(C)C ZINC000584124930 343761938 /nfs/dbraw/zinc/76/19/38/343761938.db2.gz VUTLFOFJZQLLKO-NSHDSACASA-N 0 3 223.364 2.941 20 0 BFADHN Cc1nccnc1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000621711369 343764613 /nfs/dbraw/zinc/76/46/13/343764613.db2.gz PZYQVMMUXYTUDD-YPMHNXCESA-N 0 3 233.359 2.796 20 0 BFADHN C[C@H](CN1CCCOCC1)c1ccc(F)cc1 ZINC000336466642 537810773 /nfs/dbraw/zinc/81/07/73/537810773.db2.gz PKGPJSCWCBTMRP-GFCCVEGCSA-N 0 3 237.318 2.652 20 0 BFADHN Cc1nc(CN2CCCC[C@H](C)C2)oc1C ZINC000187943985 259371513 /nfs/dbraw/zinc/37/15/13/259371513.db2.gz AXALONITJUHNQX-JTQLQIEISA-N 0 3 222.332 2.913 20 0 BFADHN CC(C)CN(Cc1cnn2ccccc12)C1CC1 ZINC000179513052 346988192 /nfs/dbraw/zinc/98/81/92/346988192.db2.gz QORGIVIUEKHDGH-UHFFFAOYSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@H]1CCCCCN1Cc1cncnc1 ZINC000128340313 343824424 /nfs/dbraw/zinc/82/44/24/343824424.db2.gz QSPZZNQPTXTEJU-ZDUSSCGKSA-N 0 3 219.332 2.631 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1n[nH]cc1C ZINC000294981370 187351797 /nfs/dbraw/zinc/35/17/97/187351797.db2.gz GOOFHVRPYGJOAJ-LBPRGKRZSA-N 0 3 221.348 2.943 20 0 BFADHN Cc1nc(C)c(CN2CCC(C)CC2)o1 ZINC000683897123 488238958 /nfs/dbraw/zinc/23/89/58/488238958.db2.gz NPQGZAMARYYUBF-UHFFFAOYSA-N 0 3 208.305 2.523 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCN(C)C2(CCCCC2)C1 ZINC000625453559 343860911 /nfs/dbraw/zinc/86/09/11/343860911.db2.gz OYAXMEVGTOOOKQ-UONOGXRCSA-N 0 3 236.403 2.593 20 0 BFADHN CC1CCC(CN2CCO[C@H](C)C2)CC1 ZINC000179870067 347001059 /nfs/dbraw/zinc/00/10/59/347001059.db2.gz CZKRVLLTYLAJQA-OTTFEQOBSA-N 0 3 211.349 2.533 20 0 BFADHN CCN(Cc1ccccc1)C[C@H]1CCCO1 ZINC000066560094 343873935 /nfs/dbraw/zinc/87/39/35/343873935.db2.gz BNILPOLBFWVHBT-CQSZACIVSA-N 0 3 219.328 2.688 20 0 BFADHN CCO[C@@H](CN(C)Cc1ccc(C)cn1)C1CC1 ZINC000625469793 343874090 /nfs/dbraw/zinc/87/40/90/343874090.db2.gz UVVDAYYKIZNAOW-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN CCOc1ncccc1CN[C@H]1CC[C@@H]1C1CC1 ZINC000348101997 537835717 /nfs/dbraw/zinc/83/57/17/537835717.db2.gz KFDPUCHCORARIB-KGLIPLIRSA-N 0 3 246.354 2.759 20 0 BFADHN COC(=O)c1ccccc1CN1CC[C@@H](C)[C@H]1C ZINC000091859152 343951726 /nfs/dbraw/zinc/95/17/26/343951726.db2.gz QVFRVYNLYMQEEY-VXGBXAGGSA-N 0 3 247.338 2.704 20 0 BFADHN C/C=C/CNCc1cc(C)c(O)c(C)c1 ZINC000395146329 362275590 /nfs/dbraw/zinc/27/55/90/362275590.db2.gz VHRSVVYMAXWSOP-SNAWJCMRSA-N 0 3 205.301 2.675 20 0 BFADHN C[C@@H]1CCCN(Cc2cnc(C3CC3)o2)C1 ZINC000628118864 343969420 /nfs/dbraw/zinc/96/94/20/343969420.db2.gz NUTMKWWNRRRIFZ-SNVBAGLBSA-N 0 3 220.316 2.784 20 0 BFADHN CCC[C@@H](O)CN[C@@H](c1ccccn1)C1CCC1 ZINC000625785525 344074034 /nfs/dbraw/zinc/07/40/34/344074034.db2.gz FBURXXNIWASPOW-UKRRQHHQSA-N 0 3 248.370 2.673 20 0 BFADHN CC1(C)CCC(C)(C)N(Cc2c[nH]cn2)C1 ZINC000625723020 344056619 /nfs/dbraw/zinc/05/66/19/344056619.db2.gz JNBCEBILOXJFSR-UHFFFAOYSA-N 0 3 221.348 2.810 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2cn[nH]c2)c(C)c1 ZINC000229882285 178623384 /nfs/dbraw/zinc/62/33/84/178623384.db2.gz VTXUVDSCIXLUNI-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN CC[C@H](C)CN1Cc2ccc(O)cc2C1 ZINC000625726094 344058062 /nfs/dbraw/zinc/05/80/62/344058062.db2.gz CTEMQSHKWTVMOM-JTQLQIEISA-N 0 3 205.301 2.754 20 0 BFADHN Cc1cncc(CN2CC[C@H]3CC[C@@H](C2)S3)c1 ZINC000625777859 344072496 /nfs/dbraw/zinc/07/24/96/344072496.db2.gz JWVFOBPURLAEEU-KGLIPLIRSA-N 0 3 248.395 2.860 20 0 BFADHN Cc1ccc(NC(C)C)c(CN(C)C)c1 ZINC000133054759 187286977 /nfs/dbraw/zinc/28/69/77/187286977.db2.gz JAAHHXFOPARHRJ-UHFFFAOYSA-N 0 3 206.333 2.877 20 0 BFADHN CC[C@@H](N)C(=O)N(CC1CCC1)c1ccccc1 ZINC000130085957 344111373 /nfs/dbraw/zinc/11/13/73/344111373.db2.gz NAIIQUDBORCRIE-CQSZACIVSA-N 0 3 246.354 2.557 20 0 BFADHN FC(F)(F)CCCNCc1nccs1 ZINC000097988872 344077313 /nfs/dbraw/zinc/07/73/13/344077313.db2.gz XZVRKUFBHSTFMK-UHFFFAOYSA-N 0 3 224.251 2.575 20 0 BFADHN Cc1cncc(CN(C2CC2)C2CC2)c1 ZINC000625841181 344094807 /nfs/dbraw/zinc/09/48/07/344094807.db2.gz DQPHECDOCMECIR-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN C/C=C/CN[C@@H]1CCc2c1cccc2F ZINC000395128930 344097533 /nfs/dbraw/zinc/09/75/33/344097533.db2.gz PORSSSRTHNGHLB-YWVDXFKGSA-N 0 3 205.276 2.979 20 0 BFADHN Cc1ccc(CN(C)CCCF)s1 ZINC000126982069 187285874 /nfs/dbraw/zinc/28/58/74/187285874.db2.gz JCOOQKLFUVDFSL-UHFFFAOYSA-N 0 3 201.310 2.848 20 0 BFADHN CC1(C)[C@H](NCc2ccccc2F)[C@H]2CCO[C@@H]21 ZINC000085629565 187285940 /nfs/dbraw/zinc/28/59/40/187285940.db2.gz OSDADOOSHGRPJW-BNOWGMLFSA-N 0 3 249.329 2.729 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@H]3CC[C@@H]2O3)cc1F ZINC000152752299 178635020 /nfs/dbraw/zinc/63/50/20/178635020.db2.gz OJKAWSKPKKJAOP-XBFCOCLRSA-N 0 3 235.302 2.544 20 0 BFADHN CCCCN(Cc1cnc(C)o1)C1CC1 ZINC000628133589 344173268 /nfs/dbraw/zinc/17/32/68/344173268.db2.gz JLXIDVJJSDDLLL-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN CN(Cc1cnc(C2CC2)o1)C1CCCC1 ZINC000628125339 344154764 /nfs/dbraw/zinc/15/47/64/344154764.db2.gz VHDSMQOAGORERJ-UHFFFAOYSA-N 0 3 220.316 2.926 20 0 BFADHN CN(Cc1ccc2[nH]ccc2c1)C[C@@H]1CCCO1 ZINC000628129602 344157987 /nfs/dbraw/zinc/15/79/87/344157987.db2.gz LANWZKJUKNIQKC-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN c1cc2cc(CN3CCSCC3)ccc2[nH]1 ZINC000628129844 344158015 /nfs/dbraw/zinc/15/80/15/344158015.db2.gz XLXWNGSJVWBRLU-UHFFFAOYSA-N 0 3 232.352 2.717 20 0 BFADHN C/C=C/CNCc1cncc(Br)c1 ZINC000384881102 344208639 /nfs/dbraw/zinc/20/86/39/344208639.db2.gz QVYZRAZYMGCJOS-NSCUHMNNSA-N 0 3 241.132 2.510 20 0 BFADHN C/C=C\CN[C@H](CC)c1ccc(F)cn1 ZINC000384882536 344208720 /nfs/dbraw/zinc/20/87/20/344208720.db2.gz IVLGRYMNVFSBRO-USEMIUTHSA-N 0 3 208.280 2.838 20 0 BFADHN CSC[C@@H](C)N[C@H]1CCCc2cccnc21 ZINC000127358911 344239016 /nfs/dbraw/zinc/23/90/16/344239016.db2.gz VVFOVBGCARVBQJ-PWSUYJOCSA-N 0 3 236.384 2.800 20 0 BFADHN CCc1cnc(CN[C@@H](C)C(C)C)s1 ZINC000130463841 344221915 /nfs/dbraw/zinc/22/19/15/344221915.db2.gz VBLFZEAFVPTJSI-VIFPVBQESA-N 0 3 212.362 2.840 20 0 BFADHN CCN1CCC[C@@H]1CNc1ccncc1Cl ZINC000086833022 344223132 /nfs/dbraw/zinc/22/31/32/344223132.db2.gz KNAUVDCZYRGVSQ-SNVBAGLBSA-N 0 3 239.750 2.631 20 0 BFADHN CC1(C)C[C@@H](NCc2ccco2)C(C)(C)O1 ZINC000086926846 344225534 /nfs/dbraw/zinc/22/55/34/344225534.db2.gz URRBMTKAOPYNGH-LLVKDONJSA-N 0 3 223.316 2.715 20 0 BFADHN CSCC[C@H](CO)N[C@@H](C)c1cccs1 ZINC000130890752 344226335 /nfs/dbraw/zinc/22/63/35/344226335.db2.gz NOCZXUVQZYBUSK-VHSXEESVSA-N 0 3 245.413 2.513 20 0 BFADHN Cc1c[nH]c(CN2CCC[C@H]2CC(C)C)n1 ZINC000628134160 344188157 /nfs/dbraw/zinc/18/81/57/344188157.db2.gz HAPHTRHPKDEXJV-LBPRGKRZSA-N 0 3 221.348 2.729 20 0 BFADHN CC(C)CCCNC(=O)CN(C)CC(C)(C)C ZINC000588902196 344198838 /nfs/dbraw/zinc/19/88/38/344198838.db2.gz BRCMSDYTFWREGG-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN CN(C)CCSCc1ncccc1Cl ZINC000600527242 344257937 /nfs/dbraw/zinc/25/79/37/344257937.db2.gz BEVMBGWUZMXAFX-UHFFFAOYSA-N 0 3 230.764 2.530 20 0 BFADHN CCCC[C@H](C)N(C)Cc1ccc(CO)o1 ZINC000290372387 344266774 /nfs/dbraw/zinc/26/67/74/344266774.db2.gz AQNNWPZVSUWVHF-NSHDSACASA-N 0 3 225.332 2.782 20 0 BFADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1ccoc1 ZINC000306571873 344278535 /nfs/dbraw/zinc/27/85/35/344278535.db2.gz HHEWWODYPNBTBR-WCABBAIRSA-N 0 3 211.330 2.824 20 0 BFADHN C[C@H](NCCc1ccco1)c1cncs1 ZINC000132126620 344279520 /nfs/dbraw/zinc/27/95/20/344279520.db2.gz CCGCIGLRADZIEX-VIFPVBQESA-N 0 3 222.313 2.629 20 0 BFADHN C/C=C/CN[C@@H]1CCc2cc(F)ccc21 ZINC000384984418 344285848 /nfs/dbraw/zinc/28/58/48/344285848.db2.gz ZMJLHMVYPUQUGU-YWVDXFKGSA-N 0 3 205.276 2.979 20 0 BFADHN CC[C@@H]1CCCN(Cc2cncs2)C1 ZINC000091919250 344286507 /nfs/dbraw/zinc/28/65/07/344286507.db2.gz NWBPDNMSYADHMU-SNVBAGLBSA-N 0 3 210.346 2.765 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1ccsc1 ZINC000133392941 344317843 /nfs/dbraw/zinc/31/78/43/344317843.db2.gz OKEQMDVRLPRWGQ-VIFPVBQESA-N 0 3 213.346 2.824 20 0 BFADHN C[C@H](c1ccncc1)N1CCS[C@H](C)C1 ZINC000600641200 344349489 /nfs/dbraw/zinc/34/94/89/344349489.db2.gz NRBFKNDJBUTICO-GHMZBOCLSA-N 0 3 222.357 2.580 20 0 BFADHN CCc1nc(C)c([C@@H](C)NC2CC2)s1 ZINC000132631344 344304854 /nfs/dbraw/zinc/30/48/54/344304854.db2.gz NRBALHANZPTVFA-SSDOTTSWSA-N 0 3 210.346 2.827 20 0 BFADHN CC(C)[C@@H](C)CNCc1nn(C)c2ccccc12 ZINC000084440244 344432183 /nfs/dbraw/zinc/43/21/83/344432183.db2.gz VZIGDRWZCYNDCL-LBPRGKRZSA-N 0 3 245.370 2.955 20 0 BFADHN C[C@H](C(=O)N(C)C(C)(C)C)[C@@H](N)c1ccccc1 ZINC000381959784 347050535 /nfs/dbraw/zinc/05/05/35/347050535.db2.gz FNSYRPLWRVBUEB-WCQYABFASA-N 0 3 248.370 2.579 20 0 BFADHN CC[C@H](NCc1csc(C)n1)C(C)C ZINC000041010579 344411599 /nfs/dbraw/zinc/41/15/99/344411599.db2.gz AGBOBCSVRMHBLP-NSHDSACASA-N 0 3 212.362 2.976 20 0 BFADHN CC(C)C1(NCc2ccnn2CC2CCC2)CC1 ZINC000631144213 344444201 /nfs/dbraw/zinc/44/42/01/344444201.db2.gz KDEDNDQYNAYKHP-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1O)c1ccc(Cl)s1 ZINC000042587826 344459990 /nfs/dbraw/zinc/45/99/90/344459990.db2.gz IVRZXYJNXGSORD-CIUDSAMLSA-N 0 3 245.775 2.966 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)CCO)CCCO2 ZINC000151952769 344470341 /nfs/dbraw/zinc/47/03/41/344470341.db2.gz WEZYMTPXMMVTEK-GXTWGEPZSA-N 0 3 249.354 2.569 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cc(OC)ccn1 ZINC000106933424 344478237 /nfs/dbraw/zinc/47/82/37/344478237.db2.gz YLGYBUTVFXHUJV-CYBMUJFWSA-N 0 3 234.343 2.855 20 0 BFADHN C[C@H](NCc1ccc(Cl)c(F)c1)C(C)(C)O ZINC000381203955 344484357 /nfs/dbraw/zinc/48/43/57/344484357.db2.gz FVWGCMBWUKCYDB-QMMMGPOBSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@@H](NCc1ccc(Cl)c(F)c1)C(C)(C)O ZINC000381203953 344484658 /nfs/dbraw/zinc/48/46/58/344484658.db2.gz FVWGCMBWUKCYDB-MRVPVSSYSA-N 0 3 245.725 2.728 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1ccc(Cl)cc1 ZINC000037481233 344508893 /nfs/dbraw/zinc/50/88/93/344508893.db2.gz PKKMPHXEDUDGFO-LBPRGKRZSA-N 0 3 240.734 2.796 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NC1CC(C(F)(F)F)C1 ZINC000672623110 488262423 /nfs/dbraw/zinc/26/24/23/488262423.db2.gz MIXQXZWIOXBQBO-KXNKJCORSA-N 0 3 247.264 2.710 20 0 BFADHN CSCCNCc1ccc(F)c(C)c1 ZINC000068890469 344511972 /nfs/dbraw/zinc/51/19/72/344511972.db2.gz MKJADATYSQTBNH-UHFFFAOYSA-N 0 3 213.321 2.587 20 0 BFADHN CCSCC[C@H](C)NCc1nccs1 ZINC000127485569 344549720 /nfs/dbraw/zinc/54/97/20/344549720.db2.gz BUPQIRHZGWRXRU-VIFPVBQESA-N 0 3 230.402 2.764 20 0 BFADHN CCn1cc(CN[C@@H]2CC23CCCCC3)cn1 ZINC000395666761 344551399 /nfs/dbraw/zinc/55/13/99/344551399.db2.gz JGFDXEXTYFVNJQ-CYBMUJFWSA-N 0 3 233.359 2.715 20 0 BFADHN CC[C@](C)(N)c1cn(C[C@H](C)CC(C)C)nn1 ZINC000395274502 362406955 /nfs/dbraw/zinc/40/69/55/362406955.db2.gz WDLKAAWJGUTIIA-YPMHNXCESA-N 0 3 238.379 2.544 20 0 BFADHN CCc1cnccc1[C@@H](C)NCc1cccn1C ZINC000358506238 344565736 /nfs/dbraw/zinc/56/57/36/344565736.db2.gz LCYRUKUUTMCSEU-GFCCVEGCSA-N 0 3 243.354 2.833 20 0 BFADHN CCN1CCCC[C@H]1CNCc1ccc(C)o1 ZINC000111393420 344590042 /nfs/dbraw/zinc/59/00/42/344590042.db2.gz BORXJXGCVQNPLN-ZDUSSCGKSA-N 0 3 236.359 2.552 20 0 BFADHN Cc1ccc(F)cc1CN1CCC12CCOCC2 ZINC000375718809 178684917 /nfs/dbraw/zinc/68/49/17/178684917.db2.gz LLFVQRVBNHCDJE-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN CC(C)CCC[C@H](C)NC(=O)CN(C)C(C)C ZINC000152576739 344614685 /nfs/dbraw/zinc/61/46/85/344614685.db2.gz UJSZHDIAPBRFAQ-ZDUSSCGKSA-N 0 3 242.407 2.658 20 0 BFADHN CC(C)CCC[C@@H](C)NC(=O)CN(C)C(C)C ZINC000152576653 344614721 /nfs/dbraw/zinc/61/47/21/344614721.db2.gz UJSZHDIAPBRFAQ-CYBMUJFWSA-N 0 3 242.407 2.658 20 0 BFADHN O=c1ccc([C@H]2CCCN2CCC2CCC2)n[nH]1 ZINC000625994479 344659744 /nfs/dbraw/zinc/65/97/44/344659744.db2.gz SCONIKVOWQEWFB-CYBMUJFWSA-N 0 3 247.342 2.509 20 0 BFADHN CCC[C@H](C)N1CCc2c(O)cccc2C1 ZINC000626028424 344681423 /nfs/dbraw/zinc/68/14/23/344681423.db2.gz IWRLDXWUMFOHSQ-NSHDSACASA-N 0 3 219.328 2.939 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCO[C@@H](CCF)C2)C1 ZINC000626004535 344666272 /nfs/dbraw/zinc/66/62/72/344666272.db2.gz JRMYIAKWKSNPCW-RDBSUJKOSA-N 0 3 243.366 2.873 20 0 BFADHN CC1CCC(CN2CCO[C@H](CCF)C2)CC1 ZINC000626005291 344667451 /nfs/dbraw/zinc/66/74/51/344667451.db2.gz ZTSOYAAZDVYSEA-JXQTWKCFSA-N 0 3 243.366 2.873 20 0 BFADHN CCCCN1CCc2c(O)cccc2C1 ZINC000626026389 344679078 /nfs/dbraw/zinc/67/90/78/344679078.db2.gz ISYJXWZZJZOLCQ-UHFFFAOYSA-N 0 3 205.301 2.550 20 0 BFADHN Oc1cccc2c1CCN([C@H]1C=CCCC1)C2 ZINC000626026481 344679136 /nfs/dbraw/zinc/67/91/36/344679136.db2.gz LWENBDSFMMGNFT-ZDUSSCGKSA-N 0 3 229.323 2.859 20 0 BFADHN CC[C@@H](NCc1cccc(F)c1)[C@@H]1CCCO1 ZINC000119846782 344638495 /nfs/dbraw/zinc/63/84/95/344638495.db2.gz OKVSAEJSTJVCQU-KGLIPLIRSA-N 0 3 237.318 2.873 20 0 BFADHN CCCCCNCc1nn(C)c2ccccc12 ZINC000112337701 344642667 /nfs/dbraw/zinc/64/26/67/344642667.db2.gz HNEUIUDJULJIIX-UHFFFAOYSA-N 0 3 231.343 2.853 20 0 BFADHN Oc1cccc2c1CCN(CCC1CC1)C2 ZINC000626026949 344679662 /nfs/dbraw/zinc/67/96/62/344679662.db2.gz GEDMYUKFQVVVLF-UHFFFAOYSA-N 0 3 217.312 2.550 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](C)C(C)C)no1 ZINC000380262941 344735771 /nfs/dbraw/zinc/73/57/71/344735771.db2.gz SHPPWOLMJKSEGU-MWLCHTKSSA-N 0 3 210.321 2.926 20 0 BFADHN Cc1nccc(CN2C[C@@H]3CCCC[C@@]32C)n1 ZINC000626103743 344744232 /nfs/dbraw/zinc/74/42/32/344744232.db2.gz NWUJUCRXMHMCJL-JSGCOSHPSA-N 0 3 231.343 2.550 20 0 BFADHN CC(C)CC[C@H](C)NC(=O)[C@@H](C(C)C)N(C)C ZINC000119930352 344685679 /nfs/dbraw/zinc/68/56/79/344685679.db2.gz PJUAYYGRKJIVSY-QWHCGFSZSA-N 0 3 242.407 2.514 20 0 BFADHN CC1CCC(CN2CCN(C3CC3)CC2)CC1 ZINC000127960191 344694449 /nfs/dbraw/zinc/69/44/49/344694449.db2.gz NZZTUCSHRWCIOX-UHFFFAOYSA-N 0 3 236.403 2.593 20 0 BFADHN CC[C@@H](NCc1ccnc(C)n1)C(C)(C)C ZINC000309456638 347066884 /nfs/dbraw/zinc/06/68/84/347066884.db2.gz MPSHLHBQNQXOKY-GFCCVEGCSA-N 0 3 221.348 2.699 20 0 BFADHN CC(C)(C)CCCN1CCN(CCCF)CC1 ZINC000626070653 344714026 /nfs/dbraw/zinc/71/40/26/344714026.db2.gz XAXDQTJBAPODGU-UHFFFAOYSA-N 0 3 244.398 2.790 20 0 BFADHN CCOC(=O)CN(C(C)C)C1CCCCC1 ZINC000050055433 344717504 /nfs/dbraw/zinc/71/75/04/344717504.db2.gz YXCWCGSSMZBJKK-UHFFFAOYSA-N 0 3 227.348 2.593 20 0 BFADHN CCc1cnc(CN2C[C@@H](C)C[C@@H]2CC)o1 ZINC000075021717 344761611 /nfs/dbraw/zinc/76/16/11/344761611.db2.gz LRXUKABNMMNMPN-QWRGUYRKSA-N 0 3 222.332 2.857 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1CC(=O)Nc1ccccc1 ZINC000075021826 344762019 /nfs/dbraw/zinc/76/20/19/344762019.db2.gz OAVMZZZWZFNVML-TZMCWYRMSA-N 0 3 246.354 2.746 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cc(C)no1 ZINC000075021886 344762063 /nfs/dbraw/zinc/76/20/63/344762063.db2.gz BZVVVFNPXFYAFM-MWLCHTKSSA-N 0 3 208.305 2.603 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1ccc(F)cc1 ZINC000309472598 347072070 /nfs/dbraw/zinc/07/20/70/347072070.db2.gz RAJGZXYMVNEIJQ-OASPWFOLSA-N 0 3 223.291 2.654 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1ccc(F)cc1 ZINC000309472600 347072159 /nfs/dbraw/zinc/07/21/59/347072159.db2.gz RAJGZXYMVNEIJQ-ZWKOPEQDSA-N 0 3 223.291 2.654 20 0 BFADHN CCCCOCCN1C[C@H](C)S[C@@H](C)C1 ZINC000075893597 344787365 /nfs/dbraw/zinc/78/73/65/344787365.db2.gz HHIGPUQDWYQIJF-RYUDHWBXSA-N 0 3 231.405 2.629 20 0 BFADHN CCCCOCCN1C[C@@H](C)S[C@@H](C)C1 ZINC000075893595 344787373 /nfs/dbraw/zinc/78/73/73/344787373.db2.gz HHIGPUQDWYQIJF-TXEJJXNPSA-N 0 3 231.405 2.629 20 0 BFADHN C[C@H]1C[C@@H](c2ccccc2F)N(CCCO)C1 ZINC000075900813 344787747 /nfs/dbraw/zinc/78/77/47/344787747.db2.gz QAUWQAQQEUUJQL-FZMZJTMJSA-N 0 3 237.318 2.591 20 0 BFADHN CC[C@@H](NCc1ncc(C)o1)[C@@H]1CC1(C)C ZINC000397570410 323077292 /nfs/dbraw/zinc/07/72/92/323077292.db2.gz DRTXNFMSXDMUTH-WDEREUQCSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@H](NCc1ncc(C)o1)[C@H]1CC1(C)C ZINC000397570405 323077296 /nfs/dbraw/zinc/07/72/96/323077296.db2.gz DRTXNFMSXDMUTH-MNOVXSKESA-N 0 3 222.332 2.897 20 0 BFADHN c1nc(CNC[C@H]2CC=CCC2)cs1 ZINC000044583470 187292809 /nfs/dbraw/zinc/29/28/09/187292809.db2.gz VKKVRGXFKDDQPU-JTQLQIEISA-N 0 3 208.330 2.589 20 0 BFADHN C[C@H]1CN(Cc2ccccc2F)C[C@@H]1C ZINC000076111597 344795711 /nfs/dbraw/zinc/79/57/11/344795711.db2.gz BUKBNTXFXVWKLL-QWRGUYRKSA-N 0 3 207.292 2.914 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1ccccn1)OC ZINC000318941055 259380683 /nfs/dbraw/zinc/38/06/83/259380683.db2.gz CDUDIKBPZQPCLQ-AAEUAGOBSA-N 0 3 222.332 2.547 20 0 BFADHN Cc1ccccc1NC(=O)CN1C[C@@H](C)[C@H](C)C1 ZINC000076113586 344797039 /nfs/dbraw/zinc/79/70/39/344797039.db2.gz ACROUIFKPBBWFT-CHWSQXEVSA-N 0 3 246.354 2.521 20 0 BFADHN Cc1nc(CN2CCC[C@H]2C2CC2)cs1 ZINC000076726983 344808848 /nfs/dbraw/zinc/80/88/48/344808848.db2.gz KKBQGIZKZBHLCC-LBPRGKRZSA-N 0 3 222.357 2.826 20 0 BFADHN CC[C@H](O)[C@@H]1CCCCN1CCC(F)(F)F ZINC000077286960 344815556 /nfs/dbraw/zinc/81/55/56/344815556.db2.gz IESIRVAAQFFJLE-UWVGGRQHSA-N 0 3 239.281 2.564 20 0 BFADHN Cc1ncc(CN(CC(C)C)C(C)C)o1 ZINC000628144201 344816204 /nfs/dbraw/zinc/81/62/04/344816204.db2.gz SSJNZTDXWMRTCJ-UHFFFAOYSA-N 0 3 210.321 2.849 20 0 BFADHN CC[C@H](CN1CCC(F)(F)C[C@H]1C)OC ZINC000528773345 262973052 /nfs/dbraw/zinc/97/30/52/262973052.db2.gz PRBMNZQCEUWEAZ-NXEZZACHSA-N 0 3 221.291 2.531 20 0 BFADHN CC[C@@H]1CN(C[C@]2(C)CCCS2)C[C@H](C)O1 ZINC000628154688 344844564 /nfs/dbraw/zinc/84/45/64/344844564.db2.gz DFVPFRCPIABDAA-XQQFMLRXSA-N 0 3 243.416 2.771 20 0 BFADHN Cc1ccc([C@H](NCCO)c2ccccc2)cc1 ZINC000078321768 344845510 /nfs/dbraw/zinc/84/55/10/344845510.db2.gz PKQDKXHTDJKAHN-MRXNPFEDSA-N 0 3 241.334 2.666 20 0 BFADHN Cc1ccc([C@@H](NCCO)c2ccccc2)cc1 ZINC000078321771 344845785 /nfs/dbraw/zinc/84/57/85/344845785.db2.gz PKQDKXHTDJKAHN-INIZCTEOSA-N 0 3 241.334 2.666 20 0 BFADHN Cc1c[nH]c(CN2C[C@H](C)CCC[C@@H]2C)n1 ZINC000628178817 344913064 /nfs/dbraw/zinc/91/30/64/344913064.db2.gz DIGTUQSKARFCLN-PWSUYJOCSA-N 0 3 221.348 2.729 20 0 BFADHN CCC1(CC)CCN(Cc2cnc(C)o2)C1 ZINC000628172463 344892586 /nfs/dbraw/zinc/89/25/86/344892586.db2.gz JBPQAVFXHBWLCD-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1ncc(CN2CCCC[C@@H]2C(C)C)o1 ZINC000628177277 344905194 /nfs/dbraw/zinc/90/51/94/344905194.db2.gz RPUFCEIWEOIDJD-CYBMUJFWSA-N 0 3 222.332 2.994 20 0 BFADHN c1nc(C2CC2)oc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000628176996 344906009 /nfs/dbraw/zinc/90/60/09/344906009.db2.gz HCQLKMGYWJXXLC-TXEJJXNPSA-N 0 3 232.327 2.784 20 0 BFADHN Cc1ncc(CN2CCC(C(C)C)CC2)o1 ZINC000628184182 344933621 /nfs/dbraw/zinc/93/36/21/344933621.db2.gz CMXMLCSDSDKDES-UHFFFAOYSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1ncc(CN2C[C@H](C)CCC[C@@H]2C)o1 ZINC000628179402 344913910 /nfs/dbraw/zinc/91/39/10/344913910.db2.gz YYJHRTOYTAGOAQ-MNOVXSKESA-N 0 3 222.332 2.994 20 0 BFADHN CC(C)CN1CCN(c2cccs2)CC1 ZINC000121328038 344920313 /nfs/dbraw/zinc/92/03/13/344920313.db2.gz FUZXVHVRBNUEPB-UHFFFAOYSA-N 0 3 224.373 2.526 20 0 BFADHN CC(C)[C@H](O)CN[C@@H](C)c1cc(F)cc(F)c1 ZINC000131309484 187297693 /nfs/dbraw/zinc/29/76/93/187297693.db2.gz RRLUKHPZHANDTH-TVQRCGJNSA-N 0 3 243.297 2.632 20 0 BFADHN Cc1ncc(CNCCCNc2ccccc2)o1 ZINC000628198996 344965589 /nfs/dbraw/zinc/96/55/89/344965589.db2.gz VYMZZVIBXZFWJO-UHFFFAOYSA-N 0 3 245.326 2.575 20 0 BFADHN Cc1nc(C)c(CNC2(C)CCCC2)o1 ZINC000628200661 344971488 /nfs/dbraw/zinc/97/14/88/344971488.db2.gz VUURPBWUJMTYDS-UHFFFAOYSA-N 0 3 208.305 2.714 20 0 BFADHN Cc1nc(CN2CC[C@H](C)C[C@@H](C)C2)[nH]c1C ZINC000628190082 344942537 /nfs/dbraw/zinc/94/25/37/344942537.db2.gz VMLIMFUTCZARSU-WDEREUQCSA-N 0 3 235.375 2.895 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(CC)s1 ZINC000309031701 323081737 /nfs/dbraw/zinc/08/17/37/323081737.db2.gz FEFNRWHDAZJVKE-VXGBXAGGSA-N 0 3 225.357 2.578 20 0 BFADHN CC(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000128685651 187297671 /nfs/dbraw/zinc/29/76/71/187297671.db2.gz TWQIMSNFHCRRJA-UHFFFAOYSA-N 0 3 232.327 2.549 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1CCCC12CC2 ZINC000583451830 344997825 /nfs/dbraw/zinc/99/78/25/344997825.db2.gz JERUTASDGBAQMV-GFCCVEGCSA-N 0 3 232.327 2.974 20 0 BFADHN C/C=C\C[C@H](CO)NCc1cc(C)sc1C ZINC000309940438 323082816 /nfs/dbraw/zinc/08/28/16/323082816.db2.gz BLYMMTPEZOSBTH-DSYXLKISSA-N 0 3 239.384 2.782 20 0 BFADHN CC(C)C[C@H](NC[C@@H]1CCCO1)c1ccccn1 ZINC000153061892 187300255 /nfs/dbraw/zinc/30/02/55/187300255.db2.gz CZHJBAULJMQBAV-ZFWWWQNUSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@H](C)C[C@@H](C)NC(=O)[C@H](C(C)C)N(C)C ZINC000154948331 345061278 /nfs/dbraw/zinc/06/12/78/345061278.db2.gz LOYQMEUOESHISU-XQQFMLRXSA-N 0 3 242.407 2.514 20 0 BFADHN CC(C)(C)C(C)(C)CNCc1ccon1 ZINC000634981369 345161314 /nfs/dbraw/zinc/16/13/14/345161314.db2.gz HFELYZPYPNTKLR-UHFFFAOYSA-N 0 3 210.321 2.837 20 0 BFADHN C[C@@H]1CC(CNCc2ccon2)C[C@@H](C)C1 ZINC000634985680 345165327 /nfs/dbraw/zinc/16/53/27/345165327.db2.gz AIGNGZFNLOMLDC-QWRGUYRKSA-N 0 3 222.332 2.837 20 0 BFADHN c1cc(CNC[C@H]2CCC[C@H]2C2CC2)no1 ZINC000634984263 345167547 /nfs/dbraw/zinc/16/75/47/345167547.db2.gz MLBQGGAAMKSPEF-YPMHNXCESA-N 0 3 220.316 2.591 20 0 BFADHN CC(C)(C)[C@H]1CCC[C@H]1NCc1ccon1 ZINC000634984461 345168003 /nfs/dbraw/zinc/16/80/03/345168003.db2.gz SHBHMBJTOBOFFO-NWDGAFQWSA-N 0 3 222.332 2.979 20 0 BFADHN c1cc(CNCC(C2CCC2)C2CCC2)no1 ZINC000634987429 345169686 /nfs/dbraw/zinc/16/96/86/345169686.db2.gz VIZVDQSSGJZQTC-UHFFFAOYSA-N 0 3 234.343 2.981 20 0 BFADHN C[C@H](CN1CCO[C@@H](C)[C@@H]1C)c1ccccc1 ZINC000156524982 345172577 /nfs/dbraw/zinc/17/25/77/345172577.db2.gz YMRUNRJCNIYGGI-RDBSUJKOSA-N 0 3 233.355 2.899 20 0 BFADHN C[C@H]1OCCN(CCC2CCCC2)[C@@H]1C ZINC000156124517 345129647 /nfs/dbraw/zinc/12/96/47/345129647.db2.gz CDVDHOVWLUPNEZ-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN Clc1ccc2c(c1)CC[C@@H]2N[C@H]1CCOC1 ZINC000156156097 345134078 /nfs/dbraw/zinc/13/40/78/345134078.db2.gz NLXQSDFAANXRFM-AAEUAGOBSA-N 0 3 237.730 2.706 20 0 BFADHN CCN(Cc1nc(C)cs1)CC(C)C ZINC000129403834 345256728 /nfs/dbraw/zinc/25/67/28/345256728.db2.gz OZAFIJWLPHFFKT-UHFFFAOYSA-N 0 3 212.362 2.929 20 0 BFADHN CCc1cnc(CNC[C@@H]2C[C@H]2C2CC2)s1 ZINC000381291680 345252449 /nfs/dbraw/zinc/25/24/49/345252449.db2.gz DJPXMWSQLPDOJR-JQWIXIFHSA-N 0 3 236.384 2.841 20 0 BFADHN CC(C)(CCO)NCc1ccc(F)c(Cl)c1 ZINC000160158635 187301457 /nfs/dbraw/zinc/30/14/57/187301457.db2.gz GUEFLRGIWFKEJX-UHFFFAOYSA-N 0 3 245.725 2.730 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@@H](COC)C1CC1 ZINC000359349934 345280481 /nfs/dbraw/zinc/28/04/81/345280481.db2.gz WPZXKJAGXUZLIB-NHYWBVRUSA-N 0 3 248.370 2.720 20 0 BFADHN CCOCCCN(C)Cc1ccccc1F ZINC000158345402 345322429 /nfs/dbraw/zinc/32/24/29/345322429.db2.gz BDVITKLWCDWSLI-UHFFFAOYSA-N 0 3 225.307 2.684 20 0 BFADHN COCc1ccc(CNC[C@H](C)C2CC2)o1 ZINC000166672753 537893815 /nfs/dbraw/zinc/89/38/15/537893815.db2.gz BYRCCKDMZHAFME-JTQLQIEISA-N 0 3 223.316 2.562 20 0 BFADHN CC(C)[C@@](C)(O)CNCc1cc2ccccc2o1 ZINC000304074317 187302983 /nfs/dbraw/zinc/30/29/83/187302983.db2.gz WNGVQLNJZNKENB-HNNXBMFYSA-N 0 3 247.338 2.929 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2cocn2)[C@H]1C ZINC000248402777 345373502 /nfs/dbraw/zinc/37/35/02/345373502.db2.gz NSQDWSBFENMCTL-SCVCMEIPSA-N 0 3 208.305 2.589 20 0 BFADHN CCC1CCN(Cc2c[nH]c3nccnc23)CC1 ZINC000290571141 168528005 /nfs/dbraw/zinc/52/80/05/168528005.db2.gz YOFUOFSTSGTDIJ-UHFFFAOYSA-N 0 3 244.342 2.580 20 0 BFADHN Fc1cncc(CN2CCCC3(CC3)CC2)c1 ZINC000626115062 345327143 /nfs/dbraw/zinc/32/71/43/345327143.db2.gz FFHMOVDQJPGROJ-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN Fc1cnccc1CN1CCCC2(CC2)CC1 ZINC000626115416 345331645 /nfs/dbraw/zinc/33/16/45/345331645.db2.gz SJXZVTUQYSFSKA-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN COc1cncc(CN2CCCC3(CC3)CC2)c1 ZINC000626115434 345332243 /nfs/dbraw/zinc/33/22/43/345332243.db2.gz TYIHGMVUXBDVRW-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CCC1CC[NH+](Cc2nc(C)ccc2[O-])CC1 ZINC000133578454 168528853 /nfs/dbraw/zinc/52/88/53/168528853.db2.gz FWHKBWGYKUCWNG-UHFFFAOYSA-N 0 3 234.343 2.718 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1ccn(C2CCCC2)n1 ZINC000159185641 345414108 /nfs/dbraw/zinc/41/41/08/345414108.db2.gz NHGKXSDVNQMQOF-VXGBXAGGSA-N 0 3 233.359 2.744 20 0 BFADHN CCC(CC)CNCc1cn2ccsc2n1 ZINC000159259451 345420653 /nfs/dbraw/zinc/42/06/53/345420653.db2.gz SZVAIEMKMXZFDM-UHFFFAOYSA-N 0 3 237.372 2.922 20 0 BFADHN CSCCN[C@H](C)c1ccccc1F ZINC000070184517 345455158 /nfs/dbraw/zinc/45/51/58/345455158.db2.gz JDPMWNOWIJQFGK-SECBINFHSA-N 0 3 213.321 2.839 20 0 BFADHN CCOc1cccc(CNCCSC)c1 ZINC000070184874 345456123 /nfs/dbraw/zinc/45/61/23/345456123.db2.gz DPDHTBUXMNDDNK-UHFFFAOYSA-N 0 3 225.357 2.538 20 0 BFADHN C[C@H](N[C@@H]1CCS[C@H]1C)c1ccco1 ZINC000235531833 537897243 /nfs/dbraw/zinc/89/72/43/537897243.db2.gz YBEIINBXARPBEK-LPEHRKFASA-N 0 3 211.330 2.824 20 0 BFADHN CC(=O)Nc1cccc(CN2CCC23CCC3)c1 ZINC000081515921 345482568 /nfs/dbraw/zinc/48/25/68/345482568.db2.gz IHCOZNJKNAISSZ-UHFFFAOYSA-N 0 3 244.338 2.773 20 0 BFADHN Cc1nc(C)c(CN2CCC23CCC3)s1 ZINC000081515977 345483361 /nfs/dbraw/zinc/48/33/61/345483361.db2.gz XFEYYWOTCGVVRC-UHFFFAOYSA-N 0 3 222.357 2.888 20 0 BFADHN C[C@H](Cc1ccoc1)NCc1cccc(O)c1 ZINC000084961630 345530541 /nfs/dbraw/zinc/53/05/41/345530541.db2.gz FACIJOLOWBTEKW-LLVKDONJSA-N 0 3 231.295 2.706 20 0 BFADHN Cc1ncsc1CN[C@H](C)Cc1ccoc1 ZINC000084961436 345530580 /nfs/dbraw/zinc/53/05/80/345530580.db2.gz NKTGFRROCXWENG-SECBINFHSA-N 0 3 236.340 2.765 20 0 BFADHN CC(C)c1ncc(CN[C@@H]2CCSC2)s1 ZINC000308839474 187307328 /nfs/dbraw/zinc/30/73/28/187307328.db2.gz JMUXJTLERRDYGX-SECBINFHSA-N 0 3 242.413 2.862 20 0 BFADHN COc1ccc(CN2CCCCC[C@H]2C)cn1 ZINC000085797858 345535274 /nfs/dbraw/zinc/53/52/74/345535274.db2.gz BQTQTTSVQDOAGF-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN COc1ccc(CN2CCC[C@H](C)[C@H]2C)cn1 ZINC000085822625 345535888 /nfs/dbraw/zinc/53/58/88/345535888.db2.gz FXTQHAIVBNDGMI-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@H](NCC(C)(C)C[C@H](C)O)c1ccccn1 ZINC000090085720 345569741 /nfs/dbraw/zinc/56/97/41/345569741.db2.gz IXNQWDLIWFBQEF-NWDGAFQWSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)[C@H](NCc1ccn(C)c1)c1cccnc1 ZINC000091367014 345591830 /nfs/dbraw/zinc/59/18/30/345591830.db2.gz BTLAYTMRSNXVGD-HNNXBMFYSA-N 0 3 243.354 2.907 20 0 BFADHN CO[C@H]1CCCN(Cc2ccc(C)c(F)c2)C1 ZINC000091772861 345604907 /nfs/dbraw/zinc/60/49/07/345604907.db2.gz VPYQWEFQFPLZNG-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN CCn1nc(C)c(CN2CCCCC2)c1C ZINC000092107086 345616423 /nfs/dbraw/zinc/61/64/23/345616423.db2.gz RPVBNYMAHGFABI-UHFFFAOYSA-N 0 3 221.348 2.506 20 0 BFADHN C[C@H]1CCN(Cc2c[nH]nc2C(C)(C)C)C1 ZINC000092471526 345623440 /nfs/dbraw/zinc/62/34/40/345623440.db2.gz ILEYCINGQHZOBF-JTQLQIEISA-N 0 3 221.348 2.549 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C2)cc1OC ZINC000092471507 345623587 /nfs/dbraw/zinc/62/35/87/345623587.db2.gz YBLSZVBEZRRTPX-LLVKDONJSA-N 0 3 235.327 2.546 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C2)cc1OC ZINC000092471508 345623599 /nfs/dbraw/zinc/62/35/99/345623599.db2.gz YBLSZVBEZRRTPX-NSHDSACASA-N 0 3 235.327 2.546 20 0 BFADHN C[C@@H]1CCN(Cc2cc(-c3ccccc3)n[nH]2)C1 ZINC000092471707 345624217 /nfs/dbraw/zinc/62/42/17/345624217.db2.gz KDACQRCVYMPILW-GFCCVEGCSA-N 0 3 241.338 2.919 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN(CCO)CC2CC2)o1 ZINC000092506064 345626222 /nfs/dbraw/zinc/62/62/22/345626222.db2.gz UWLVNVCPVLGZPK-BXUZGUMPSA-N 0 3 249.354 2.607 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN(CCO)CC2CC2)o1 ZINC000092506065 345626345 /nfs/dbraw/zinc/62/63/45/345626345.db2.gz UWLVNVCPVLGZPK-SMDDNHRTSA-N 0 3 249.354 2.607 20 0 BFADHN CC(C)[C@@H](NC[C@@H]1CCCCO1)c1cccnc1 ZINC000091308895 345591202 /nfs/dbraw/zinc/59/12/02/345591202.db2.gz XVSFNHSONYYRGV-LSDHHAIUSA-N 0 3 248.370 2.937 20 0 BFADHN COc1ccc([C@@H](C)N(C)Cc2cnc[nH]2)cc1 ZINC000179937543 187308604 /nfs/dbraw/zinc/30/86/04/187308604.db2.gz NLTUICGSZAHFTQ-LLVKDONJSA-N 0 3 245.326 2.611 20 0 BFADHN CC(C)[C@H]1CN(Cc2ccn(C)c2)CCS1 ZINC000093869186 345666559 /nfs/dbraw/zinc/66/65/59/345666559.db2.gz VGMIJFRMUHNHGX-CYBMUJFWSA-N 0 3 238.400 2.599 20 0 BFADHN CSc1ccc(CNC[C@@H]2C[C@@H]2C)o1 ZINC000641707662 362618400 /nfs/dbraw/zinc/61/84/00/362618400.db2.gz OLFZURAMLGKVHP-IUCAKERBSA-N 0 3 211.330 2.747 20 0 BFADHN C[C@H](NC[C@@]1(C)CCCC[C@@H]1O)c1cccnc1 ZINC000092766457 345642978 /nfs/dbraw/zinc/64/29/78/345642978.db2.gz WLRHPDLEQWGDTL-AEGPPILISA-N 0 3 248.370 2.673 20 0 BFADHN COCCN(Cc1ccco1)[C@@H]1CC[C@H](C)C1 ZINC000092902965 345647432 /nfs/dbraw/zinc/64/74/32/345647432.db2.gz DELDMOAOLZBVFE-QWHCGFSZSA-N 0 3 237.343 2.917 20 0 BFADHN CCC1CCC(N(C)Cc2cnccn2)CC1 ZINC000092932439 345648325 /nfs/dbraw/zinc/64/83/25/345648325.db2.gz XNCKNRYVAJXGBZ-UHFFFAOYSA-N 0 3 233.359 2.877 20 0 BFADHN CC(C)(NCc1ccncc1N)c1ccccc1 ZINC000641710660 362627513 /nfs/dbraw/zinc/62/75/13/362627513.db2.gz AGYUHKZZTQPQID-UHFFFAOYSA-N 0 3 241.338 2.689 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C=C[C@H](CO)C2)s1 ZINC000127388706 178896717 /nfs/dbraw/zinc/89/67/17/178896717.db2.gz XJQDLLKUCGTMFM-SDDRHHMPSA-N 0 3 237.368 2.644 20 0 BFADHN COCC1(CCN[C@H](C)c2cscn2)CC1 ZINC000567857023 323093288 /nfs/dbraw/zinc/09/32/88/323093288.db2.gz XLDQQFOPNJNLAO-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN CCc1ncc(CN2CCC(C)(C)C2)s1 ZINC000093339783 345653978 /nfs/dbraw/zinc/65/39/78/345653978.db2.gz WZIHMCIJAJPFPX-UHFFFAOYSA-N 0 3 224.373 2.937 20 0 BFADHN CCCn1nc(C)c(CN2CCC2(C)C)c1C ZINC000093460210 345671706 /nfs/dbraw/zinc/67/17/06/345671706.db2.gz QMTYHZYOYLXAHW-UHFFFAOYSA-N 0 3 235.375 2.894 20 0 BFADHN C[C@H](O)CCN(C)Cc1coc2ccccc12 ZINC000093481255 345672500 /nfs/dbraw/zinc/67/25/00/345672500.db2.gz NUSLBYWMLJTJLL-NSHDSACASA-N 0 3 233.311 2.636 20 0 BFADHN COc1ccccc1CN1CCC1(C)C ZINC000093460424 345673075 /nfs/dbraw/zinc/67/30/75/345673075.db2.gz DPRVYMNEIVCISX-UHFFFAOYSA-N 0 3 205.301 2.680 20 0 BFADHN CC(C)(NCc1ccncc1N)c1cccs1 ZINC000641727723 362647590 /nfs/dbraw/zinc/64/75/90/362647590.db2.gz JYAOWSDZCFWVDF-UHFFFAOYSA-N 0 3 247.367 2.750 20 0 BFADHN CC[C@H](N[C@H]1CCC[C@@H](F)C1)c1nccn1C ZINC000563124847 323094165 /nfs/dbraw/zinc/09/41/65/323094165.db2.gz KHPZLWMURWTZMM-WOPDTQHZSA-N 0 3 239.338 2.742 20 0 BFADHN CCCCN1CCC2(C1)Oc1ccccc1O2 ZINC000095876550 345686241 /nfs/dbraw/zinc/68/62/41/345686241.db2.gz DTGZTWTWSKXFLY-UHFFFAOYSA-N 0 3 233.311 2.660 20 0 BFADHN COCc1ccc(CN2CC[C@@H]3CCC[C@@H]32)o1 ZINC000212296645 345726814 /nfs/dbraw/zinc/72/68/14/345726814.db2.gz GIABFRJEAGWRPQ-FZMZJTMJSA-N 0 3 235.327 2.800 20 0 BFADHN OCc1ccc(F)c(CN2CCCCCC2)c1 ZINC000211213117 345737030 /nfs/dbraw/zinc/73/70/30/345737030.db2.gz PDQYNBBBNYWHHT-UHFFFAOYSA-N 0 3 237.318 2.694 20 0 BFADHN CC[C@](C)(CCO)NCc1ccc(C)cc1F ZINC000631091483 345854485 /nfs/dbraw/zinc/85/44/85/345854485.db2.gz PXKUWHKXQBRCMB-CQSZACIVSA-N 0 3 239.334 2.775 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H](C)c1ccccc1F ZINC000186522818 187311600 /nfs/dbraw/zinc/31/16/00/187311600.db2.gz NDNQYQNBMBBVIY-HEKLCECKSA-N 0 3 237.318 2.803 20 0 BFADHN CC(C)c1ncc(CNCCC[C@@H](C)O)s1 ZINC000336755688 187312179 /nfs/dbraw/zinc/31/21/79/187312179.db2.gz XWDOMFKSQCYVPY-SNVBAGLBSA-N 0 3 242.388 2.517 20 0 BFADHN Cc1ccc(CC[C@@H](C)NCc2cnc(C)o2)o1 ZINC000628221419 345957919 /nfs/dbraw/zinc/95/79/19/345957919.db2.gz HLZUHAWNKBAEJC-SNVBAGLBSA-N 0 3 248.326 2.995 20 0 BFADHN C[C@@H](NCCC1CCCC1)c1ncc[nH]1 ZINC000285505151 537923777 /nfs/dbraw/zinc/92/37/77/537923777.db2.gz CWTNBBZBOZNENY-SNVBAGLBSA-N 0 3 207.321 2.641 20 0 BFADHN CC[C@@H](O)[C@@H]1CCCCN1Cc1cncc(C)c1 ZINC000189895882 187312426 /nfs/dbraw/zinc/31/24/26/187312426.db2.gz VFYNOCVURPGIBM-LSDHHAIUSA-N 0 3 248.370 2.515 20 0 BFADHN FCCCCNCc1ccnn1C1CCCC1 ZINC000449334673 201873202 /nfs/dbraw/zinc/87/32/02/201873202.db2.gz GGZUOWKMDLRCRU-UHFFFAOYSA-N 0 3 239.338 2.838 20 0 BFADHN CC(C)[C@H]1CCC[C@@H](NCc2cn(C)cn2)C1 ZINC000673601408 488297147 /nfs/dbraw/zinc/29/71/47/488297147.db2.gz RKNHAWDWUYYPLA-QWHCGFSZSA-N 0 3 235.375 2.725 20 0 BFADHN CN1CCN(CCCC2CCCCC2)CC1 ZINC000147202460 346006509 /nfs/dbraw/zinc/00/65/09/346006509.db2.gz RVATXJPCBJGMNE-UHFFFAOYSA-N 0 3 224.392 2.594 20 0 BFADHN CCCCOCCN1CC[C@H](c2ccco2)C1 ZINC000601066010 346011578 /nfs/dbraw/zinc/01/15/78/346011578.db2.gz DCPHDQOQTVBWRF-ZDUSSCGKSA-N 0 3 237.343 2.886 20 0 BFADHN CN1CC[C@@H](CSCC(=O)c2ccccc2)C1 ZINC000601084842 346016039 /nfs/dbraw/zinc/01/60/39/346016039.db2.gz FUYNXPCJGJJWPW-GFCCVEGCSA-N 0 3 249.379 2.554 20 0 BFADHN CC(C)[C@H](CNCc1cc[nH]n1)c1ccccc1 ZINC000066971371 187363343 /nfs/dbraw/zinc/36/33/43/187363343.db2.gz FQFBOPUFSSARTF-HNNXBMFYSA-N 0 3 243.354 2.939 20 0 BFADHN Cc1ncc(CNCC2(C(C)C)CC2)o1 ZINC000628225063 346171758 /nfs/dbraw/zinc/17/17/58/346171758.db2.gz KICXYZAHELNHSQ-UHFFFAOYSA-N 0 3 208.305 2.509 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)C(C)(C)C2)o1 ZINC000628238930 346198641 /nfs/dbraw/zinc/19/86/41/346198641.db2.gz UWESBMRPPXDRSM-JTQLQIEISA-N 0 3 222.332 2.851 20 0 BFADHN c1nc(C2CC2)oc1CN1CC[C@H]2CCC[C@@H]21 ZINC000628241902 346201680 /nfs/dbraw/zinc/20/16/80/346201680.db2.gz LFXFGJOCZSYLSU-MFKMUULPSA-N 0 3 232.327 2.926 20 0 BFADHN CC1(C)[C@@H](O)CCN1Cc1cccc(Cl)c1 ZINC000258795056 535029399 /nfs/dbraw/zinc/02/93/99/535029399.db2.gz MWFXUHCRMWWOEL-LBPRGKRZSA-N 0 3 239.746 2.685 20 0 BFADHN Cc1nc(C)c(CNCC2CC(C)(C)C2)o1 ZINC000628244238 346206325 /nfs/dbraw/zinc/20/63/25/346206325.db2.gz YGZXNFKXIOZZNC-UHFFFAOYSA-N 0 3 222.332 2.817 20 0 BFADHN Cc1ncc(CN[C@H]2CCCC(F)(F)C2)o1 ZINC000628228486 346181135 /nfs/dbraw/zinc/18/11/35/346181135.db2.gz PVZJLCOMUPYYBO-VIFPVBQESA-N 0 3 230.258 2.651 20 0 BFADHN Cc1ncc(CNCCC2=CCCC2)o1 ZINC000628230526 346183495 /nfs/dbraw/zinc/18/34/95/346183495.db2.gz JLCWLXBTLKXLCB-UHFFFAOYSA-N 0 3 206.289 2.573 20 0 BFADHN Cc1nc(C)c(CNC2CCC(F)(F)CC2)o1 ZINC000628231733 346184170 /nfs/dbraw/zinc/18/41/70/346184170.db2.gz ZAPIFCJSQOPBTM-UHFFFAOYSA-N 0 3 244.285 2.959 20 0 BFADHN Cc1ncc(CN2CC[C@@]3(CC[C@@H](C)C3)C2)o1 ZINC000628236988 346191987 /nfs/dbraw/zinc/19/19/87/346191987.db2.gz XOLJTBPDPQILEU-BXUZGUMPSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1ncc(CN(C)C[C@H]2CC=CCC2)o1 ZINC000628237495 346193139 /nfs/dbraw/zinc/19/31/39/346193139.db2.gz PAZXPQSHROHEDD-LBPRGKRZSA-N 0 3 220.316 2.771 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CCCCS2)o1 ZINC000628237666 346193242 /nfs/dbraw/zinc/19/32/42/346193242.db2.gz VBDNXWUOLUHIJG-NSHDSACASA-N 0 3 240.372 2.667 20 0 BFADHN Cc1c[nH]c(CN2CC[C@H](C(C)(C)C)C2)n1 ZINC000628237731 346194111 /nfs/dbraw/zinc/19/41/11/346194111.db2.gz XCHLDGOJDDLAKM-NSHDSACASA-N 0 3 221.348 2.586 20 0 BFADHN Cc1ncc(CN2CCSC(C)(C)CC2)o1 ZINC000628254084 346234723 /nfs/dbraw/zinc/23/47/23/346234723.db2.gz LTPTWVJLFMTAAQ-UHFFFAOYSA-N 0 3 240.372 2.701 20 0 BFADHN Cc1nc(CN(C)C2(C)CCCC2)[nH]c1C ZINC000628254142 346235207 /nfs/dbraw/zinc/23/52/07/346235207.db2.gz OLZOWERYBVEGKI-UHFFFAOYSA-N 0 3 221.348 2.791 20 0 BFADHN CC[C@@H](N[C@H]1CCC(F)(F)C1)c1cnn(C)c1 ZINC000679072523 488306311 /nfs/dbraw/zinc/30/63/11/488306311.db2.gz XJECIBRNIYOOIK-WDEREUQCSA-N 0 3 243.301 2.649 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)o1 ZINC000628249559 346218936 /nfs/dbraw/zinc/21/89/36/346218936.db2.gz IIHVXIASVKEYFP-MXWKQRLJSA-N 0 3 222.332 2.849 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2ccno2)C1 ZINC000626160203 346242181 /nfs/dbraw/zinc/24/21/81/346242181.db2.gz CUAQJGXTZZJKME-DLGQBQFBSA-N 0 3 220.316 2.853 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCC[C@H]2C)o1 ZINC000628260440 346260044 /nfs/dbraw/zinc/26/00/44/346260044.db2.gz HKEDKBMJXNOCBP-KOLCDFICSA-N 0 3 208.305 2.509 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CCC[C@@H]2C)o1 ZINC000628260832 346260410 /nfs/dbraw/zinc/26/04/10/346260410.db2.gz YBMUNCPLNSDDOW-CABZTGNLSA-N 0 3 222.332 2.817 20 0 BFADHN Cc1ncc(CNCCCc2ccccc2F)o1 ZINC000628256236 346254168 /nfs/dbraw/zinc/25/41/68/346254168.db2.gz OWUQPDUIFAJFCX-UHFFFAOYSA-N 0 3 248.301 2.845 20 0 BFADHN CCCC[C@@H](COC)N[C@H](C)c1ccccn1 ZINC000152382092 346256634 /nfs/dbraw/zinc/25/66/34/346256634.db2.gz YUMPWYGZCNXUHV-OLZOCXBDSA-N 0 3 236.359 2.937 20 0 BFADHN Cc1ncc(CN2CCC3(CCC3)CC2)o1 ZINC000628274556 346284159 /nfs/dbraw/zinc/28/41/59/346284159.db2.gz CFZUHSHNQZLICZ-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN Cc1c[nH]c(CN(C)[C@H]2CCCC[C@H]2C)n1 ZINC000628265893 346265529 /nfs/dbraw/zinc/26/55/29/346265529.db2.gz VXCSDWQAZPMEGE-PWSUYJOCSA-N 0 3 221.348 2.729 20 0 BFADHN c1cc([C@@H]2CCCN([C@H]3C=CCCC3)C2)ncn1 ZINC000365131616 187318455 /nfs/dbraw/zinc/31/84/55/187318455.db2.gz CWUVPNSHJDPZAR-KGLIPLIRSA-N 0 3 243.354 2.765 20 0 BFADHN CC(C)CC[C@@H](CO)N[C@@H](C)c1cncs1 ZINC000624110470 346266464 /nfs/dbraw/zinc/26/64/64/346266464.db2.gz ZOVNEGPALKQJFR-QWRGUYRKSA-N 0 3 242.388 2.591 20 0 BFADHN C1=CC[C@H](CN2C[C@@]3(CCCO3)[C@@H]2C2CC2)CC1 ZINC000354707517 187318516 /nfs/dbraw/zinc/31/85/16/187318516.db2.gz OGVJQDQGBZCJMB-BPUTZDHNSA-N 0 3 247.382 2.986 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cn[nH]c2)C1 ZINC000626163119 346274101 /nfs/dbraw/zinc/27/41/01/346274101.db2.gz FOHRVKYIWTWQKZ-DLGQBQFBSA-N 0 3 219.332 2.588 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2ccnc(C)n2)C1 ZINC000626163692 346276309 /nfs/dbraw/zinc/27/63/09/346276309.db2.gz ONDNHULUIAOSGW-NQHOJNORSA-N 0 3 245.370 2.963 20 0 BFADHN CC[C@@H](NCc1ccccc1)c1cnn(C)c1 ZINC000152940886 346281183 /nfs/dbraw/zinc/28/11/83/346281183.db2.gz CGQVIBZQQVKICU-CQSZACIVSA-N 0 3 229.327 2.661 20 0 BFADHN CSC[C@H](C)NCc1ccc(SC)o1 ZINC000603420488 349947164 /nfs/dbraw/zinc/94/71/64/349947164.db2.gz LTSWOFRACCMXCM-QMMMGPOBSA-N 0 3 231.386 2.843 20 0 BFADHN CC[C@H](CNCc1ccc(SC)o1)OC ZINC000641754557 362682754 /nfs/dbraw/zinc/68/27/54/362682754.db2.gz DQSWSRQCUPJUNK-SECBINFHSA-N 0 3 229.345 2.516 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cnc(C)o1 ZINC000628281244 346292388 /nfs/dbraw/zinc/29/23/88/346292388.db2.gz JHWWOAPMLLFVLQ-GXSJLCMTSA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cnc(C)o1 ZINC000628281246 346292448 /nfs/dbraw/zinc/29/24/48/346292448.db2.gz JHWWOAPMLLFVLQ-MWLCHTKSSA-N 0 3 208.305 2.746 20 0 BFADHN Cc1cccc(C)c1CN(C)[C@@H]1CCOC1 ZINC000265665075 179033058 /nfs/dbraw/zinc/03/30/58/179033058.db2.gz UNSJFWJCGSIWBY-CYBMUJFWSA-N 0 3 219.328 2.524 20 0 BFADHN CC1(C)CC(NCc2cccc(F)c2N)C1 ZINC000395494386 362678934 /nfs/dbraw/zinc/67/89/34/362678934.db2.gz SPVXDUBUJAFYIT-UHFFFAOYSA-N 0 3 222.307 2.686 20 0 BFADHN Cc1cccc(C)c1CN1C[C@H](C)N(C)[C@@H](C)C1 ZINC000339619844 179035859 /nfs/dbraw/zinc/03/58/59/179035859.db2.gz YIZOFGQNZSKQEA-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1cccc(C(C)(C)NCCn2cccn2)c1 ZINC000179585863 179027810 /nfs/dbraw/zinc/02/78/10/179027810.db2.gz GADIDZXMWOKPDT-UHFFFAOYSA-N 0 3 243.354 2.716 20 0 BFADHN CCOCC(C)(C)NCc1ccc(SC)o1 ZINC000641756427 362682713 /nfs/dbraw/zinc/68/27/13/362682713.db2.gz CTVHMVYWUGPVGY-UHFFFAOYSA-N 0 3 243.372 2.906 20 0 BFADHN C/C=C/C[C@H](CO)NCc1ccccc1OCC ZINC000641747506 362674042 /nfs/dbraw/zinc/67/40/42/362674042.db2.gz JZWBLJYKQBUXHT-LYKUJDHUSA-N 0 3 249.354 2.502 20 0 BFADHN Cc1cccc(C)c1CN[C@@H](C)c1cnn(C)c1 ZINC000271572006 179036813 /nfs/dbraw/zinc/03/68/13/179036813.db2.gz YYXXCKJNBMCBTP-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cccc(C)c1CNCc1ccncc1 ZINC000083354853 179037514 /nfs/dbraw/zinc/03/75/14/179037514.db2.gz ZTEXPWVNDXYSQV-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN CO[C@@H](CNCc1ccc(SC)o1)C1CC1 ZINC000641757588 362686633 /nfs/dbraw/zinc/68/66/33/362686633.db2.gz ZJXUEXHIPAJZMR-NSHDSACASA-N 0 3 241.356 2.516 20 0 BFADHN CN(Cc1ccsc1)[C@@H](CO)C(C)(C)C ZINC000093837508 537968873 /nfs/dbraw/zinc/96/88/73/537968873.db2.gz QAFUPJANVNZLHU-NSHDSACASA-N 0 3 227.373 2.587 20 0 BFADHN CC1(C)C[C@H](NCCF)c2ccccc21 ZINC000281024652 346347470 /nfs/dbraw/zinc/34/74/70/346347470.db2.gz MPYIKURQZGSGIT-LBPRGKRZSA-N 0 3 207.292 2.968 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccc(SC)o2)C1 ZINC000641771469 362709775 /nfs/dbraw/zinc/70/97/75/362709775.db2.gz DHEKUPNPSVTZIF-HOMQSWHASA-N 0 3 241.356 2.659 20 0 BFADHN FC1(CN2CCC(c3ccncc3)CC2)CC1 ZINC000570603722 346356553 /nfs/dbraw/zinc/35/65/53/346356553.db2.gz CKLZBNPNNPZXRO-UHFFFAOYSA-N 0 3 234.318 2.763 20 0 BFADHN Cc1cccc(CCCNCc2ccco2)n1 ZINC000285744668 179055624 /nfs/dbraw/zinc/05/56/24/179055624.db2.gz YIEIXACIDOOBDW-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN CC[C@@H](N[C@H](C)c1ccc(C)o1)C(C)(C)O ZINC000295106002 346363477 /nfs/dbraw/zinc/36/34/77/346363477.db2.gz WQEXNOXLHVJTBC-ZYHUDNBSSA-N 0 3 225.332 2.788 20 0 BFADHN Cc1cccc(CCN[C@@H](C)c2cccnc2)n1 ZINC000280258276 179058392 /nfs/dbraw/zinc/05/83/92/179058392.db2.gz XCMFQNGXTRUFOE-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN CCN(Cc1ccccc1)Cc1ccnc(N)c1 ZINC000052718569 346368779 /nfs/dbraw/zinc/36/87/79/346368779.db2.gz KVZYKZWKIXUHRE-UHFFFAOYSA-N 0 3 241.338 2.686 20 0 BFADHN C[C@@H](NCCOC(C)(C)C)c1ccoc1 ZINC000380321180 346400090 /nfs/dbraw/zinc/40/00/90/346400090.db2.gz KLBHQGDPEXKGHL-SNVBAGLBSA-N 0 3 211.305 2.745 20 0 BFADHN OC/C=C\CN[C@@H](c1ccccc1)C1CCC1 ZINC000641783170 362722551 /nfs/dbraw/zinc/72/25/51/362722551.db2.gz QBEPUOHLPLZACH-XVWMLYKFSA-N 0 3 231.339 2.666 20 0 BFADHN Cc1cccc(CN2CCCCCC2)n1 ZINC000308847102 179073383 /nfs/dbraw/zinc/07/33/83/179073383.db2.gz PRIPYCGOHOFSNU-UHFFFAOYSA-N 0 3 204.317 2.766 20 0 BFADHN Cc1cccc(CN2CCN(C)CC2(C)C)c1C ZINC000353671786 179077290 /nfs/dbraw/zinc/07/72/90/179077290.db2.gz LUMOMHCUEFOXDQ-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1cccc(CN2CCN(C)[C@@H](C)[C@H]2C)c1 ZINC000356981627 179077857 /nfs/dbraw/zinc/07/78/57/179077857.db2.gz RFYLOUHWQWNCSC-UONOGXRCSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1ncc(CN[C@H]2CC23CCCCC3)o1 ZINC000641789495 362724689 /nfs/dbraw/zinc/72/46/89/362724689.db2.gz RKMRAMCHMAEMTI-LBPRGKRZSA-N 0 3 220.316 2.795 20 0 BFADHN Cc1cccc(CN2C[C@H](C)N(C)C[C@@H]2C)c1C ZINC000361166431 179085178 /nfs/dbraw/zinc/08/51/78/179085178.db2.gz MXNLPPBLWZEOOB-KBPBESRZSA-N 0 3 246.398 2.828 20 0 BFADHN CSc1ccc(CNCCc2ccoc2)o1 ZINC000641791093 362730552 /nfs/dbraw/zinc/73/05/52/362730552.db2.gz ZPBXFBMAKLRKCS-UHFFFAOYSA-N 0 3 237.324 2.927 20 0 BFADHN Cc1cccc(CN2C[C@@H](C)N(C)[C@H](C)C2)c1 ZINC000339618124 179082483 /nfs/dbraw/zinc/08/24/83/179082483.db2.gz BHTZNTMTHJBISJ-ZIAGYGMSSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1ccc(CNC[C@@H]2C[C@H]2C2CC2)nc1 ZINC000381620530 346456812 /nfs/dbraw/zinc/45/68/12/346456812.db2.gz YYGIHCSAAFNFRN-JSGCOSHPSA-N 0 3 216.328 2.526 20 0 BFADHN Cc1cccc(CNC2Cc3ccccc3C2)n1 ZINC000051575090 179090662 /nfs/dbraw/zinc/09/06/62/179090662.db2.gz XILPBMVZOZTKFW-UHFFFAOYSA-N 0 3 238.334 2.647 20 0 BFADHN Cc1cccc(CNCCCn2ccnc2)c1F ZINC000083377391 179091825 /nfs/dbraw/zinc/09/18/25/179091825.db2.gz BRKITBSPAFYLOM-UHFFFAOYSA-N 0 3 247.317 2.511 20 0 BFADHN Cc1cccc(CN[C@@H](C)Cn2cccn2)c1F ZINC000296238016 179095639 /nfs/dbraw/zinc/09/56/39/179095639.db2.gz CNQIJVQDUXYOBG-LBPRGKRZSA-N 0 3 247.317 2.509 20 0 BFADHN Cc1cccc(CN[C@@H](C)COC(C)C)c1 ZINC000309315924 179095971 /nfs/dbraw/zinc/09/59/71/179095971.db2.gz DAEXSGGZTMGMAS-ZDUSSCGKSA-N 0 3 221.344 2.898 20 0 BFADHN Cc1c(CNCc2cccc(C)c2C)cnn1C ZINC000156551426 179096373 /nfs/dbraw/zinc/09/63/73/179096373.db2.gz YOAGTSKYNZKHMB-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN Cc1cccc(CN[C@@H]2CCC[C@H]2F)c1 ZINC000339585652 179097155 /nfs/dbraw/zinc/09/71/55/179097155.db2.gz YCZSFRSTHJALGR-CHWSQXEVSA-N 0 3 207.292 2.975 20 0 BFADHN CCc1noc(C)c1CN1CCCC[C@@H]1C ZINC000162063017 346507577 /nfs/dbraw/zinc/50/75/77/346507577.db2.gz QAAWUDFRBCPUMK-JTQLQIEISA-N 0 3 222.332 2.920 20 0 BFADHN CC/C=C\CCN1CCN2CCCC[C@@H]2C1 ZINC000341324755 346511955 /nfs/dbraw/zinc/51/19/55/346511955.db2.gz YOUVOGANJGYOCL-VQTKUKTRSA-N 0 3 222.376 2.513 20 0 BFADHN Cc1cccc(Cl)c1CN[C@H](CO)C(C)C ZINC000295060463 179108103 /nfs/dbraw/zinc/10/81/03/179108103.db2.gz VGRQEEDTYVLDRT-CYBMUJFWSA-N 0 3 241.762 2.755 20 0 BFADHN Cc1nc(CNC[C@@H]2CCC[C@H]2C2CC2)[nH]c1C ZINC000580096739 346522073 /nfs/dbraw/zinc/52/20/73/346522073.db2.gz OOPGGJAJEIZXLE-KBPBESRZSA-N 0 3 247.386 2.942 20 0 BFADHN Cc1nc(CNC[C@@H]2CCC[C@@H]2C2CC2)[nH]c1C ZINC000580096741 346522285 /nfs/dbraw/zinc/52/22/85/346522285.db2.gz OOPGGJAJEIZXLE-UONOGXRCSA-N 0 3 247.386 2.942 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1cccc(F)c1 ZINC000390488668 259390623 /nfs/dbraw/zinc/39/06/23/259390623.db2.gz PNSCJZFYBLTDJS-JIMOISOXSA-N 0 3 223.291 2.654 20 0 BFADHN Cc1cccc(N(C)C(=O)[C@@H](C(C)C)N(C)C)c1 ZINC000268381554 179112808 /nfs/dbraw/zinc/11/28/08/179112808.db2.gz JPFUKWDDRYXNFY-CQSZACIVSA-N 0 3 248.370 2.544 20 0 BFADHN Cc1cc(Cl)cc(CN[C@H]2CO[C@H](C)C2)c1 ZINC000402163384 349973988 /nfs/dbraw/zinc/97/39/88/349973988.db2.gz SZRLQJLDKGCOBW-ZWNOBZJWSA-N 0 3 239.746 2.915 20 0 BFADHN OCC[C@@H](NCCc1ccccc1)c1ccco1 ZINC000185094426 259391329 /nfs/dbraw/zinc/39/13/29/259391329.db2.gz CUASKPDXPZBNAV-CQSZACIVSA-N 0 3 245.322 2.535 20 0 BFADHN C=Cn1cc(CN2CCCCC[C@H]2C)cn1 ZINC000336581933 187369807 /nfs/dbraw/zinc/36/98/07/187369807.db2.gz UMBXAYGEVRPVRV-GFCCVEGCSA-N 0 3 219.332 2.748 20 0 BFADHN Cc1cccc(NC(=O)CN(C)[C@H](C)C(C)C)c1 ZINC000298461090 179127699 /nfs/dbraw/zinc/12/76/99/179127699.db2.gz LYSZYNCGSVETJV-CYBMUJFWSA-N 0 3 248.370 2.910 20 0 BFADHN Cc1cccc(NC(=O)C2(N)CCCCC2)c1C ZINC000019512698 179124410 /nfs/dbraw/zinc/12/44/10/179124410.db2.gz ASIPYKFXQRIOFP-UHFFFAOYSA-N 0 3 246.354 2.904 20 0 BFADHN Cc1ccc2[nH]c(CNC3CCCC3)nc2c1 ZINC000008701227 346567983 /nfs/dbraw/zinc/56/79/83/346567983.db2.gz LTTTZVGYYKRXSA-UHFFFAOYSA-N 0 3 229.327 2.904 20 0 BFADHN Cc1ccc2nc(CNC3CCCC3)[nH]c2c1 ZINC000008701227 346567988 /nfs/dbraw/zinc/56/79/88/346567988.db2.gz LTTTZVGYYKRXSA-UHFFFAOYSA-N 0 3 229.327 2.904 20 0 BFADHN Cc1nnsc1CN(C)[C@H]1CCCC[C@@H]1C ZINC000580648216 365842830 /nfs/dbraw/zinc/84/28/30/365842830.db2.gz DVRRHHGGTUDLMN-ONGXEEELSA-N 0 3 239.388 2.857 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC1(F)F)c1cnn(C)c1 ZINC000381674226 346655065 /nfs/dbraw/zinc/65/50/65/346655065.db2.gz LJSHRZGEVFKGBB-KOLCDFICSA-N 0 3 243.301 2.506 20 0 BFADHN CC[C@@H](N[C@H](C)CCOC)c1nc(C)cs1 ZINC000165699265 346656612 /nfs/dbraw/zinc/65/66/12/346656612.db2.gz BIWNXKLNZNROHX-MWLCHTKSSA-N 0 3 242.388 2.917 20 0 BFADHN Cc1cccc(NC(=O)[C@@H](N)C(C)(C)C)c1C ZINC000040458586 179137533 /nfs/dbraw/zinc/13/75/33/179137533.db2.gz ZOJQWAWPMWSEGD-GFCCVEGCSA-N 0 3 234.343 2.615 20 0 BFADHN CC(C)CCN1CCC2(C1)Oc1ccccc1O2 ZINC000580205524 346664864 /nfs/dbraw/zinc/66/48/64/346664864.db2.gz NLWIBHNKNPDZKF-UHFFFAOYSA-N 0 3 247.338 2.906 20 0 BFADHN CSC1(CN2CC[C@H](C)[C@H](F)C2)CC1 ZINC000589171577 346671233 /nfs/dbraw/zinc/67/12/33/346671233.db2.gz WAKBOXSKKXYVCV-VHSXEESVSA-N 0 3 217.353 2.562 20 0 BFADHN CC(C)([NH2+]Cc1ncccc1[O-])c1cccs1 ZINC000580636534 365835341 /nfs/dbraw/zinc/83/53/41/365835341.db2.gz XIASDGCLRLSIOA-UHFFFAOYSA-N 0 3 248.351 2.874 20 0 BFADHN CC[C@@H](NC[C@H](C)COC)c1nc(C)cs1 ZINC000187880143 346642049 /nfs/dbraw/zinc/64/20/49/346642049.db2.gz CJRBLNKQBLDKBM-GXSJLCMTSA-N 0 3 242.388 2.775 20 0 BFADHN Cc1cccc(NC(=O)[C@H](C)N2CCC[C@H]2C)c1 ZINC000362435877 179140745 /nfs/dbraw/zinc/14/07/45/179140745.db2.gz XPBGXZBTFZSLAI-OLZOCXBDSA-N 0 3 246.354 2.806 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccc(C)cc2)n[nH]1 ZINC000589643319 346720706 /nfs/dbraw/zinc/72/07/06/346720706.db2.gz UDGALKSGDXDANO-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN COc1cccc([C@H](C)NCc2cc(C)[nH]n2)c1 ZINC000589642456 346721770 /nfs/dbraw/zinc/72/17/70/346721770.db2.gz GDYZYLZOXHPULP-NSHDSACASA-N 0 3 245.326 2.578 20 0 BFADHN C[C@H](O)CN[C@H](CC(F)(F)F)c1ccccc1 ZINC000037258120 346680071 /nfs/dbraw/zinc/68/00/71/346680071.db2.gz MTUFMFVPHIWWDY-GXSJLCMTSA-N 0 3 247.260 2.651 20 0 BFADHN CC[C@@H](O)CCNCc1cc(F)cc(Cl)c1 ZINC000168276841 346732260 /nfs/dbraw/zinc/73/22/60/346732260.db2.gz BOQZVZXUNJOBIL-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cnc(C(C)(C)C)nc1 ZINC000387866060 347114472 /nfs/dbraw/zinc/11/44/72/347114472.db2.gz UXAKVLUBTVLVEC-OLZOCXBDSA-N 0 3 247.386 2.910 20 0 BFADHN CC(C)CC[C@H](C)N[C@H]1CCn2ccnc21 ZINC000345354901 365861739 /nfs/dbraw/zinc/86/17/39/365861739.db2.gz SJTBBIRBWJABAY-RYUDHWBXSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@H](C)C[C@@H](C)N[C@@H]1CCn2ccnc21 ZINC000345355696 365862265 /nfs/dbraw/zinc/86/22/65/365862265.db2.gz TXPYNSWKOZBVGQ-QJPTWQEYSA-N 0 3 221.348 2.742 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CC[C@H](C)C2)o1 ZINC000309615751 347122361 /nfs/dbraw/zinc/12/23/61/347122361.db2.gz CUIYWJPDWIHLKG-ONGXEEELSA-N 0 3 222.332 2.824 20 0 BFADHN CCN1CC[C@@H](c2c(F)cccc2F)C1 ZINC000185302258 347195916 /nfs/dbraw/zinc/19/59/16/347195916.db2.gz CRBWVFSZPXVFFH-SECBINFHSA-N 0 3 211.255 2.774 20 0 BFADHN Cc1cccc([C@@H](C)NCC2=CCCOC2)c1 ZINC000286854880 179181033 /nfs/dbraw/zinc/18/10/33/179181033.db2.gz ARUDMMLBBTXQAG-CYBMUJFWSA-N 0 3 231.339 2.992 20 0 BFADHN CO[C@H]([C@@H](C)NCc1ccsc1C)C1CC1 ZINC000388234270 347253264 /nfs/dbraw/zinc/25/32/64/347253264.db2.gz NVAQRSZNMYHDCC-NOZJJQNGSA-N 0 3 239.384 2.960 20 0 BFADHN Cc1cccc([C@H](C)N[C@@H]2C=C[C@H](CO)C2)c1 ZINC000134631229 179195783 /nfs/dbraw/zinc/19/57/83/179195783.db2.gz FSEAWSGEQSPTFM-KCQAQPDRSA-N 0 3 231.339 2.583 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1cccnc1)OC ZINC000319470902 259394921 /nfs/dbraw/zinc/39/49/21/259394921.db2.gz QOVRZLXIFJCDAK-AAEUAGOBSA-N 0 3 222.332 2.547 20 0 BFADHN Cc1cccc([C@H](C)N[C@H]2CCOC2)c1C ZINC000167770538 179197484 /nfs/dbraw/zinc/19/74/84/179197484.db2.gz YIQRQQUMHJFEMW-STQMWFEESA-N 0 3 219.328 2.743 20 0 BFADHN CO[C@H]([C@@H](C)NCc1ccsc1)C1CC1 ZINC000388255465 347270970 /nfs/dbraw/zinc/27/09/70/347270970.db2.gz BFCUELJLVNCPNE-BXKDBHETSA-N 0 3 225.357 2.651 20 0 BFADHN CO[C@H]([C@H](C)NCc1ccsc1)C1CC1 ZINC000388255467 347271002 /nfs/dbraw/zinc/27/10/02/347271002.db2.gz BFCUELJLVNCPNE-JOYOIKCWSA-N 0 3 225.357 2.651 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1cccnc1)C1CC1 ZINC000388262624 347274855 /nfs/dbraw/zinc/27/48/55/347274855.db2.gz BQVCNNCPEQMHTC-WDMOLILDSA-N 0 3 234.343 2.546 20 0 BFADHN CO[C@@H]([C@H](C)N[C@@H](C)c1cccnc1)C1CC1 ZINC000388262621 347275098 /nfs/dbraw/zinc/27/50/98/347275098.db2.gz BQVCNNCPEQMHTC-MJVIPROJSA-N 0 3 234.343 2.546 20 0 BFADHN CC[C@@H]1CN(C[C@H](CC(C)C)OC)C[C@@H](C)O1 ZINC000419210771 192105434 /nfs/dbraw/zinc/10/54/34/192105434.db2.gz VNAJFHIDTVLHDV-MCIONIFRSA-N 0 3 243.391 2.547 20 0 BFADHN COc1cccc(CN[C@@H](C)[C@H](OC)C2CC2)c1 ZINC000388261638 347275747 /nfs/dbraw/zinc/27/57/47/347275747.db2.gz ALKBNBRTJIUONC-NHYWBVRUSA-N 0 3 249.354 2.598 20 0 BFADHN CC[C@@H](N[C@H](C)C(=O)N(C)CC)c1ccccc1 ZINC000188492159 347281918 /nfs/dbraw/zinc/28/19/18/347281918.db2.gz VEJHXRMGQWWELS-TZMCWYRMSA-N 0 3 248.370 2.594 20 0 BFADHN Cc1cccc2c1CN(C[C@H]1CC[C@H](C)O1)CC2 ZINC000277231080 179216525 /nfs/dbraw/zinc/21/65/25/179216525.db2.gz ORDJVVUBOFNIRK-DZGCQCFKSA-N 0 3 245.366 2.921 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1cnc(C)o1 ZINC000628298757 347336711 /nfs/dbraw/zinc/33/67/11/347336711.db2.gz QETVVDFTUFLXQG-VXGBXAGGSA-N 0 3 222.332 2.899 20 0 BFADHN CCN(C)c1ccc(CN2CCCCCC2)cn1 ZINC000191158920 347343839 /nfs/dbraw/zinc/34/38/39/347343839.db2.gz DSRNPEBNJVKJTN-UHFFFAOYSA-N 0 3 247.386 2.914 20 0 BFADHN CCN(C)c1ccc(CNCC=C(C)C)cn1 ZINC000191627321 347356619 /nfs/dbraw/zinc/35/66/19/347356619.db2.gz MCROMMIFSLCQOG-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN CO[C@H]([C@H](C)NCc1ccc(F)cc1)C1CC1 ZINC000388361722 347357507 /nfs/dbraw/zinc/35/75/07/347357507.db2.gz SWTIQJQASVWPLH-IINYFYTJSA-N 0 3 237.318 2.729 20 0 BFADHN Cc1cccc2c1CN(CCOC(C)C)CC2 ZINC000277383253 179217713 /nfs/dbraw/zinc/21/77/13/179217713.db2.gz YJGLMMMJKLYCDP-UHFFFAOYSA-N 0 3 233.355 2.778 20 0 BFADHN CCc1ccc(CN[C@@H](C)[C@@H](OC)C2CC2)o1 ZINC000388366847 347362964 /nfs/dbraw/zinc/36/29/64/347362964.db2.gz VWCOPBIWTGIXLS-IINYFYTJSA-N 0 3 237.343 2.745 20 0 BFADHN CCc1ccc(CN[C@H](C)[C@@H](OC)C2CC2)o1 ZINC000388366848 347362984 /nfs/dbraw/zinc/36/29/84/347362984.db2.gz VWCOPBIWTGIXLS-QMTHXVAHSA-N 0 3 237.343 2.745 20 0 BFADHN COC[C@H](C)N[C@H](C)c1cc(C)oc1C ZINC000020437616 347447012 /nfs/dbraw/zinc/44/70/12/347447012.db2.gz RQZUTNXXZRSVQN-WCBMZHEXSA-N 0 3 211.305 2.582 20 0 BFADHN Cc1cccc2nc(CNC3(C(C)C)CC3)cn21 ZINC000293147977 179229131 /nfs/dbraw/zinc/22/91/31/179229131.db2.gz USIORAFBKADJNY-UHFFFAOYSA-N 0 3 243.354 2.921 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1ccncc1)OC ZINC000319478467 259395751 /nfs/dbraw/zinc/39/57/51/259395751.db2.gz RWZGNPJAMIHTSK-WCQYABFASA-N 0 3 222.332 2.547 20 0 BFADHN CS[C@@H]1CCN([C@@H](C)c2ccncc2)C1 ZINC000590749961 347504076 /nfs/dbraw/zinc/50/40/76/347504076.db2.gz OGJFODIOCARYRP-CMPLNLGQSA-N 0 3 222.357 2.580 20 0 BFADHN Cc1ccccc1C(C)(C)NCCn1cccn1 ZINC000292994491 179253532 /nfs/dbraw/zinc/25/35/32/179253532.db2.gz OHSBIFXHSRPXKT-UHFFFAOYSA-N 0 3 243.354 2.716 20 0 BFADHN Cc1ccccc1C1(CNCc2ncc[nH]2)CC1 ZINC000067696833 179254784 /nfs/dbraw/zinc/25/47/84/179254784.db2.gz VUOAVKWQFYMWOL-UHFFFAOYSA-N 0 3 241.338 2.540 20 0 BFADHN Cc1ccccc1C(C)(C)NCc1cnn(C)c1 ZINC000293024651 179255541 /nfs/dbraw/zinc/25/55/41/179255541.db2.gz QYCOAPKODOPMDW-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1c2cc(C)ccc2C[C@H]1C ZINC000577248144 365898894 /nfs/dbraw/zinc/89/88/94/365898894.db2.gz TZLJZVPFDCXJJO-MSQAHXEGSA-N 0 3 245.366 2.995 20 0 BFADHN Cc1ccccc1CCCN1CCCOCC1 ZINC000364137945 179261097 /nfs/dbraw/zinc/26/10/97/179261097.db2.gz ZJMZHTXKNLRWGZ-UHFFFAOYSA-N 0 3 233.355 2.650 20 0 BFADHN Cc1nocc1CNCCc1ccccc1C ZINC000339171571 179265207 /nfs/dbraw/zinc/26/52/07/179265207.db2.gz KTNQBKXFQHRCTM-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1ccccc1CCN(C)C[C@@H]1CCCO1 ZINC000295655199 179264148 /nfs/dbraw/zinc/26/41/48/179264148.db2.gz HRTYCNNQNHRFPD-HNNXBMFYSA-N 0 3 233.355 2.648 20 0 BFADHN Cc1ccccc1CCN(C)C[C@H]1CCCO1 ZINC000295655200 179264321 /nfs/dbraw/zinc/26/43/21/179264321.db2.gz HRTYCNNQNHRFPD-OAHLLOKOSA-N 0 3 233.355 2.648 20 0 BFADHN Cc1noc(C)c1CNCCc1ccccc1C ZINC000105812933 179265050 /nfs/dbraw/zinc/26/50/50/179265050.db2.gz XKVDFXHXBHFOIJ-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN Cc1ccccc1CN(C)C[C@H]1CC[C@@H](C)O1 ZINC000336691677 179270675 /nfs/dbraw/zinc/27/06/75/179270675.db2.gz HFAYNOLGDPZOTG-UKRRQHHQSA-N 0 3 233.355 2.994 20 0 BFADHN CC[C@]1(C)COCCN1C[C@@H](CC(C)C)OC ZINC000419227846 192107630 /nfs/dbraw/zinc/10/76/30/192107630.db2.gz LJVBWVZKUAUDCE-ZIAGYGMSSA-N 0 3 243.391 2.548 20 0 BFADHN CC[C@@H](C)N(C)Cc1cn2cccc(C)c2n1 ZINC000591377263 347651246 /nfs/dbraw/zinc/65/12/46/347651246.db2.gz QWQXINCTVMEOMT-GFCCVEGCSA-N 0 3 231.343 2.873 20 0 BFADHN Cc1ccccc1CN1C[C@H](C)N(C)[C@@H](C)C1 ZINC000339619852 179276688 /nfs/dbraw/zinc/27/66/88/179276688.db2.gz YRKOKMSFQQNVKN-KBPBESRZSA-N 0 3 232.371 2.519 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cnccn1 ZINC000577265955 365909002 /nfs/dbraw/zinc/90/90/02/365909002.db2.gz LYFXKIJGSLCDAS-CYBMUJFWSA-N 0 3 219.332 2.631 20 0 BFADHN Cc1c([C@H](C)NCc2ccccc2C)cnn1C ZINC000020349434 179283445 /nfs/dbraw/zinc/28/34/45/179283445.db2.gz GTVMPXYLJWRZOV-LBPRGKRZSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccccc1CN[C@H](CO)c1ccccc1 ZINC000062998963 179284436 /nfs/dbraw/zinc/28/44/36/179284436.db2.gz MNKAPJISLMAORF-MRXNPFEDSA-N 0 3 241.334 2.818 20 0 BFADHN CCN(CCSC)Cc1ccc(C)nc1C ZINC000592204528 347756751 /nfs/dbraw/zinc/75/67/51/347756751.db2.gz UCRLFXMCEVCQPA-UHFFFAOYSA-N 0 3 238.400 2.883 20 0 BFADHN CC(C)[C@@H](NCc1ccco1)[C@@H](CO)C(C)C ZINC000449513288 201947885 /nfs/dbraw/zinc/94/78/85/201947885.db2.gz VTJRLAIHOBWHTM-UONOGXRCSA-N 0 3 239.359 2.658 20 0 BFADHN Cc1c[nH]nc1CN[C@@H](C)Cc1ccccc1C ZINC000289905633 179290790 /nfs/dbraw/zinc/29/07/90/179290790.db2.gz NSBRWFPLCUATRA-ZDUSSCGKSA-N 0 3 243.354 2.747 20 0 BFADHN C[C@@H]1CC[C@H](C(=O)OC(C)(C)C)N1CC1CC1 ZINC000593047955 347843955 /nfs/dbraw/zinc/84/39/55/347843955.db2.gz FJKLARWLOPABCW-ZYHUDNBSSA-N 0 3 239.359 2.591 20 0 BFADHN CC(C)(C)[C@H]1CN(CCC2CC2)CCO1 ZINC000577338350 365928341 /nfs/dbraw/zinc/92/83/41/365928341.db2.gz RXILSRZMKQSQLS-GFCCVEGCSA-N 0 3 211.349 2.533 20 0 BFADHN CC[C@@H]1COC(C)(C)CN1CCCSC ZINC000593056360 347848110 /nfs/dbraw/zinc/84/81/10/347848110.db2.gz GYYGHWNIGLLEMJ-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN CC(C)CN(CC(=O)OC(C)(C)C)CC1CC1 ZINC000593074693 347850652 /nfs/dbraw/zinc/85/06/52/347850652.db2.gz LKFAQBZKAJGSFH-UHFFFAOYSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1ccccc1NC(=O)CCN1C[C@@H](C)[C@H]1C ZINC000293514438 179297885 /nfs/dbraw/zinc/29/78/85/179297885.db2.gz VKVUTYDYCYCJOU-CHWSQXEVSA-N 0 3 246.354 2.664 20 0 BFADHN Cc1ncc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)o1 ZINC000628300197 347881314 /nfs/dbraw/zinc/88/13/14/347881314.db2.gz UQZXCDIMOLLSNN-KBPBESRZSA-N 0 3 232.327 2.603 20 0 BFADHN Cc1ccccc1[C@@H](C)N[C@H](C)C(=O)NC(C)C ZINC000042208399 179320836 /nfs/dbraw/zinc/32/08/36/179320836.db2.gz WMGQYUAFWDRSOV-CHWSQXEVSA-N 0 3 248.370 2.559 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1cccs1 ZINC000308625897 347928167 /nfs/dbraw/zinc/92/81/67/347928167.db2.gz WBJMZVYKNATYRG-KXUCPTDWSA-N 0 3 211.330 2.576 20 0 BFADHN Cc1ccccc1[C@@H](C)NC[C@H](O)C(F)(F)F ZINC000068879630 179321927 /nfs/dbraw/zinc/32/19/27/179321927.db2.gz DYRSICBUGGWFSB-KOLCDFICSA-N 0 3 247.260 2.569 20 0 BFADHN Cc1ccccc1[C@@H](C)N(C)Cc1cnc[nH]1 ZINC000280485205 179317198 /nfs/dbraw/zinc/31/71/98/179317198.db2.gz OHMUFIFTYQOSQO-GFCCVEGCSA-N 0 3 229.327 2.911 20 0 BFADHN CC[C@@H](CN1Cc2ccccc2C2(CC2)C1)OC ZINC000419272814 192109926 /nfs/dbraw/zinc/10/99/26/192109926.db2.gz QBWAXJZQPPAGES-AWEZNQCLSA-N 0 3 245.366 2.959 20 0 BFADHN CSC[C@H](C)NCc1cc2cnccc2o1 ZINC000593601717 347919145 /nfs/dbraw/zinc/91/91/45/347919145.db2.gz KILLOMYVPMBZGO-VIFPVBQESA-N 0 3 236.340 2.669 20 0 BFADHN Cc1ccccc1[C@@H](O)CN[C@@H](C)c1ccco1 ZINC000163249482 179326618 /nfs/dbraw/zinc/32/66/18/179326618.db2.gz IPOOYUSKZMTEMX-JSGCOSHPSA-N 0 3 245.322 2.972 20 0 BFADHN Cc1ccccc1[C@H](C)N1CCN(C2CC2)CC1 ZINC000123113231 179335936 /nfs/dbraw/zinc/33/59/36/179335936.db2.gz KUAMUXVTMQNIDA-AWEZNQCLSA-N 0 3 244.382 2.836 20 0 BFADHN Cc1ccccc1[C@H](C)N[C@@H]1C=C[C@H](CO)C1 ZINC000134629450 179339012 /nfs/dbraw/zinc/33/90/12/179339012.db2.gz ZMHVSMLLKPCZGX-MELADBBJSA-N 0 3 231.339 2.583 20 0 BFADHN CC[C@@H](CSC)N[C@@H](C)c1cncnc1C ZINC000594503591 348064172 /nfs/dbraw/zinc/06/41/72/348064172.db2.gz ZJBPWUMQKSAUCC-QWRGUYRKSA-N 0 3 239.388 2.577 20 0 BFADHN CSCCCCNCc1nccn1C(C)C ZINC000594927243 348138470 /nfs/dbraw/zinc/13/84/70/348138470.db2.gz UMNNPCBEDOVCHC-UHFFFAOYSA-N 0 3 241.404 2.697 20 0 BFADHN CSCC1(CCNCc2ccccn2)CC1 ZINC000594962050 348151480 /nfs/dbraw/zinc/15/14/80/348151480.db2.gz AEFMMCZQUUWHQN-UHFFFAOYSA-N 0 3 236.384 2.705 20 0 BFADHN Cc1ccncc1CN[C@@H](C)CSCCF ZINC000595042767 348178363 /nfs/dbraw/zinc/17/83/63/348178363.db2.gz UWLXGNGVYQVTJA-NSHDSACASA-N 0 3 242.363 2.571 20 0 BFADHN C[C@@H](CSCCF)NCc1ccsc1 ZINC000595007247 348158637 /nfs/dbraw/zinc/15/86/37/348158637.db2.gz LCGKPAIHBWCKSB-VIFPVBQESA-N 0 3 233.377 2.929 20 0 BFADHN CSCCN[C@H](C)c1cc2cnccc2o1 ZINC000595009693 348159965 /nfs/dbraw/zinc/15/99/65/348159965.db2.gz ZQPVWPFRSMYSHJ-SECBINFHSA-N 0 3 236.340 2.841 20 0 BFADHN C[C@@H](N[C@@H](C)CSCCF)c1cccnc1 ZINC000595003081 348169395 /nfs/dbraw/zinc/16/93/95/348169395.db2.gz CVDQEAAWBKPBNM-WDEREUQCSA-N 0 3 242.363 2.823 20 0 BFADHN Cc1cccnc1CCN[C@@H](C)c1ccco1 ZINC000296352962 179369850 /nfs/dbraw/zinc/36/98/50/179369850.db2.gz IJQPZWVGJDMTML-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1cccnc1CN(C(C)C)C1CC1 ZINC000093159168 179370023 /nfs/dbraw/zinc/37/00/23/179370023.db2.gz FMZQQZYUUSYIFH-UHFFFAOYSA-N 0 3 204.317 2.763 20 0 BFADHN Cc1cccnc1CN(C)CC1CCC1 ZINC000093173479 179371303 /nfs/dbraw/zinc/37/13/03/179371303.db2.gz HAGFBLGNEQHRIU-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CCc1nc(C)c(CNC[C@H]2CC=CCC2)o1 ZINC000291703699 348243836 /nfs/dbraw/zinc/24/38/36/348243836.db2.gz GTLGDTJOSMTGDD-LBPRGKRZSA-N 0 3 234.343 2.991 20 0 BFADHN Cc1cccnc1CN1CCCC[C@@H]1C ZINC000093158424 179374269 /nfs/dbraw/zinc/37/42/69/179374269.db2.gz DGMHLTLSDDNDJC-LBPRGKRZSA-N 0 3 204.317 2.764 20 0 BFADHN Cc1cccnc1CNC1(CCO)CCCCC1 ZINC000357391388 179382188 /nfs/dbraw/zinc/38/21/88/179382188.db2.gz OYQDRQYTYUHLDL-UHFFFAOYSA-N 0 3 248.370 2.565 20 0 BFADHN Cc1cccnc1CN1CC[C@@H](OCC(C)C)C1 ZINC000093189636 179375790 /nfs/dbraw/zinc/37/57/90/179375790.db2.gz AFCDZCDXLUDTPI-CQSZACIVSA-N 0 3 248.370 2.637 20 0 BFADHN CCOC(=O)CN(CC1CC=CC1)C(C)(C)C ZINC000595356605 348229726 /nfs/dbraw/zinc/22/97/26/348229726.db2.gz WQGBGNMLAUWPJY-UHFFFAOYSA-N 0 3 239.359 2.616 20 0 BFADHN CC[C@]1(C)CN(C[C@H](CC(C)C)OC)CCO1 ZINC000419249377 192114503 /nfs/dbraw/zinc/11/45/03/192114503.db2.gz DMHHVBSFXMBTJJ-UONOGXRCSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)OC(=O)[C@@H]1CCCN1CCC1CCC1 ZINC000595376686 348232657 /nfs/dbraw/zinc/23/26/57/348232657.db2.gz RVCJONHLWQTRBZ-ZDUSSCGKSA-N 0 3 239.359 2.593 20 0 BFADHN Cc1cccnc1CN[C@H]1CC(C)(C)OC1(C)C ZINC000094424424 179384855 /nfs/dbraw/zinc/38/48/55/179384855.db2.gz DKABDYYZQRLQOI-ZDUSSCGKSA-N 0 3 248.370 2.826 20 0 BFADHN CC[C@H](CN1Cc2ccc(C)cc2C1)OC ZINC000419298610 192118851 /nfs/dbraw/zinc/11/88/51/192118851.db2.gz WRLGYDQGIYXLAD-CQSZACIVSA-N 0 3 219.328 2.736 20 0 BFADHN CC[C@H](CN1CC[C@H](C(F)(F)F)[C@H]1C)OC ZINC000419298822 192119448 /nfs/dbraw/zinc/11/94/48/192119448.db2.gz XGZKCXVQTBVORH-BBBLOLIVSA-N 0 3 239.281 2.684 20 0 BFADHN C[C@H]1CCN(CCOCC2CCC2)CCS1 ZINC000419303133 192120037 /nfs/dbraw/zinc/12/00/37/192120037.db2.gz HOXZDFDSLGLAEZ-LBPRGKRZSA-N 0 3 243.416 2.631 20 0 BFADHN Cc1cccnc1CN[C@@H]1CC(C)(C)OC1(C)C ZINC000094424423 179383258 /nfs/dbraw/zinc/38/32/58/179383258.db2.gz DKABDYYZQRLQOI-CYBMUJFWSA-N 0 3 248.370 2.826 20 0 BFADHN CO[C@H](CC(C)C)CN1CCC[C@@](C)(F)C1 ZINC000419290153 192117600 /nfs/dbraw/zinc/11/76/00/192117600.db2.gz RFLIDQXEGVBYNY-CHWSQXEVSA-N 0 3 231.355 2.872 20 0 BFADHN CC[C@@H](NCc1ncc(C)cn1)[C@H]1CC1(C)C ZINC000419322077 192121035 /nfs/dbraw/zinc/12/10/35/192121035.db2.gz RSSYNMHKBDUGOD-VXGBXAGGSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@@H](CN(C)Cc1cc(F)cc(F)c1)OC ZINC000419314861 192121565 /nfs/dbraw/zinc/12/15/65/192121565.db2.gz UUZKLWRTAGJBFX-ZDUSSCGKSA-N 0 3 243.297 2.822 20 0 BFADHN COC(=O)[C@H](C)N1CCCC2(CCCC2)CC1 ZINC000595641343 348304321 /nfs/dbraw/zinc/30/43/21/348304321.db2.gz JIZNIBXFDZBJTC-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1cc(C)c(CN[C@@H]2CS[C@@H](C)C2)cn1 ZINC000397509116 362889823 /nfs/dbraw/zinc/88/98/23/362889823.db2.gz WIRQAWNFOYLAIB-AAEUAGOBSA-N 0 3 236.384 2.682 20 0 BFADHN CCSCCN1CC2(CCCC2)OC[C@H]1C ZINC000595816957 348344118 /nfs/dbraw/zinc/34/41/18/348344118.db2.gz ZVUYIZPGFZTLFM-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN CC[C@H](CN1CCC=C(c2cccnc2)C1)OC ZINC000419284811 192123693 /nfs/dbraw/zinc/12/36/93/192123693.db2.gz UDDGHXYAYHQWPL-OAHLLOKOSA-N 0 3 246.354 2.596 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)oc1C ZINC000419336783 192125069 /nfs/dbraw/zinc/12/50/69/192125069.db2.gz ASXOGZMBWZGEHY-NGZCFLSTSA-N 0 3 222.332 2.768 20 0 BFADHN COC(=O)CCN[C@@H](C)c1ccc(C2CC2)cc1 ZINC000419353511 192125877 /nfs/dbraw/zinc/12/58/77/192125877.db2.gz LHEATEMYDDZXJJ-NSHDSACASA-N 0 3 247.338 2.778 20 0 BFADHN C[C@@H]1CN(Cc2ccc(F)cn2)[C@@H](C)[C@H]1C ZINC000419351664 192126173 /nfs/dbraw/zinc/12/61/73/192126173.db2.gz JJMOSRMDGOEYPO-VWYCJHECSA-N 0 3 222.307 2.697 20 0 BFADHN CC/C=C\CNCc1cn(C)nc1C(C)(C)C ZINC000395130863 323188464 /nfs/dbraw/zinc/18/84/64/323188464.db2.gz CTSCFJXMEONSLP-FPLPWBNLSA-N 0 3 235.375 2.773 20 0 BFADHN CC(C)n1ccnc1CN1C[C@@H](C)[C@H](C)[C@@H]1C ZINC000419368159 192128304 /nfs/dbraw/zinc/12/83/04/192128304.db2.gz FNWGGQCGUUBKNV-AGIUHOORSA-N 0 3 235.375 2.940 20 0 BFADHN COc1ccnc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)c1 ZINC000419374707 192129092 /nfs/dbraw/zinc/12/90/92/192129092.db2.gz QMKLOMMGFCXFGQ-TUAOUCFPSA-N 0 3 234.343 2.567 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCc3c2cccc3O)CS1 ZINC000397869361 362928171 /nfs/dbraw/zinc/92/81/71/362928171.db2.gz RWODQOANDAMZMX-BREBYQMCSA-N 0 3 249.379 2.863 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@H]2CCC(C)(C)O2)c1 ZINC000353680437 179467363 /nfs/dbraw/zinc/46/73/63/179467363.db2.gz JOGBEELZXYVXLO-QWHCGFSZSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@H]2CCCS2)c1 ZINC000309379183 179468792 /nfs/dbraw/zinc/46/87/92/179468792.db2.gz ACIMGLBWMBRYLV-NWDGAFQWSA-N 0 3 236.384 2.936 20 0 BFADHN Cc1cccc(CN[C@H]2CCO[C@H](C(C)C)C2)n1 ZINC000070361031 349996026 /nfs/dbraw/zinc/99/60/26/349996026.db2.gz AFSHGYIKSZVNFB-ZFWWWQNUSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1ccoc1CN1CCC[C@]2(CCOC2)C1 ZINC000336224843 179517005 /nfs/dbraw/zinc/51/70/05/179517005.db2.gz IRJYFSYUHHOJPO-AWEZNQCLSA-N 0 3 235.327 2.591 20 0 BFADHN Cc1ccsc1CNCc1c(C)n[nH]c1C ZINC000088330033 179548889 /nfs/dbraw/zinc/54/88/89/179548889.db2.gz ZHJSDNFQFWMUDZ-UHFFFAOYSA-N 0 3 235.356 2.686 20 0 BFADHN CCc1cccc(CN[C@H]2CO[C@H](C)C2)c1 ZINC000563891304 323193021 /nfs/dbraw/zinc/19/30/21/323193021.db2.gz IKOSYFSBEWXNGJ-BXUZGUMPSA-N 0 3 219.328 2.516 20 0 BFADHN Cc1ccsc1[C@H](C)NCc1ccnn1C ZINC000069637070 179554435 /nfs/dbraw/zinc/55/44/35/179554435.db2.gz PIYACIQYSXUYNZ-JTQLQIEISA-N 0 3 235.356 2.641 20 0 BFADHN CO[C@@H](C)CCN[C@@H](C)c1cnc(C)s1 ZINC000389897026 538007579 /nfs/dbraw/zinc/00/75/79/538007579.db2.gz ZSVDFEQXSUUUSV-IUCAKERBSA-N 0 3 228.361 2.527 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1cccc(F)c1 ZINC000401894993 348609359 /nfs/dbraw/zinc/60/93/59/348609359.db2.gz VXMUNOAYZKFURB-BREBYQMCSA-N 0 3 223.291 2.654 20 0 BFADHN CO[C@H]1CCC[C@@H](NCc2ccc(C)o2)C1 ZINC000069848011 490188495 /nfs/dbraw/zinc/18/84/95/490188495.db2.gz NKLQJHSYRINKMW-NEPJUHHUSA-N 0 3 223.316 2.635 20 0 BFADHN C[C@H](CNC1CSC1)Sc1ccccc1 ZINC000389955709 538009693 /nfs/dbraw/zinc/00/96/93/538009693.db2.gz UEJBUFQSZAJROD-SNVBAGLBSA-N 0 3 239.409 2.872 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H](C)[C@@H]2CCOC2)s1 ZINC000157140829 179653702 /nfs/dbraw/zinc/65/37/02/179653702.db2.gz JOWNICAXEWEYRQ-GARJFASQSA-N 0 3 240.372 2.527 20 0 BFADHN CCn1ccnc1[C@@H](C)NC1CCCCC1 ZINC000449562727 201970708 /nfs/dbraw/zinc/97/07/08/201970708.db2.gz NINMLDUQPGCBBC-LLVKDONJSA-N 0 3 221.348 2.886 20 0 BFADHN CC(C)N(Cc1cnc[nH]1)Cc1ccccc1 ZINC000178847061 535086936 /nfs/dbraw/zinc/08/69/36/535086936.db2.gz LDWJEAYZTICNPY-UHFFFAOYSA-N 0 3 229.327 2.820 20 0 BFADHN CC[C@H](C)N(CCO)Cc1ccc(Cl)o1 ZINC000628301077 349006801 /nfs/dbraw/zinc/00/68/01/349006801.db2.gz HGLJMIAJSHQDAF-VIFPVBQESA-N 0 3 231.723 2.526 20 0 BFADHN CCSCCNCc1cc(OC)ccc1F ZINC000598676811 349020347 /nfs/dbraw/zinc/02/03/47/349020347.db2.gz LDABNLQVGIWSSO-UHFFFAOYSA-N 0 3 243.347 2.677 20 0 BFADHN CCSCCN1CC(Cc2ccsc2)C1 ZINC000598676882 349020365 /nfs/dbraw/zinc/02/03/65/349020365.db2.gz AWLTWEYFFNLAOA-UHFFFAOYSA-N 0 3 241.425 2.976 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1cnccn1 ZINC000054766075 349078682 /nfs/dbraw/zinc/07/86/82/349078682.db2.gz XNRLQHLOTNUSDJ-YPMHNXCESA-N 0 3 219.332 2.535 20 0 BFADHN Cc1cc(C)c(CN[C@@H]2CO[C@@H](C)C2)cc1C ZINC000402011490 349146854 /nfs/dbraw/zinc/14/68/54/349146854.db2.gz BHOJPUCOSISNNH-ZFWWWQNUSA-N 0 3 233.355 2.879 20 0 BFADHN COC(=O)CCCCN1CC[C@H]1c1ccccc1 ZINC000599316727 349148863 /nfs/dbraw/zinc/14/88/63/349148863.db2.gz PUZZFGVDDADCOU-AWEZNQCLSA-N 0 3 247.338 2.777 20 0 BFADHN COCC[C@H](C)N[C@H](C)c1ccncc1Cl ZINC000421826833 192386573 /nfs/dbraw/zinc/38/65/73/192386573.db2.gz UVYYIONVUWADCI-VHSXEESVSA-N 0 3 242.750 2.811 20 0 BFADHN CO[C@@H](C)CCN[C@@H](C)c1ccncc1Cl ZINC000421825914 192386607 /nfs/dbraw/zinc/38/66/07/192386607.db2.gz PYZUPCVOOOCCBO-UWVGGRQHSA-N 0 3 242.750 2.811 20 0 BFADHN COC1([C@@H](C)N[C@H]2CCC[C@H]2F)CCCC1 ZINC000421863166 192395787 /nfs/dbraw/zinc/39/57/87/192395787.db2.gz XAIRBIHPROLDPJ-UTUOFQBUSA-N 0 3 229.339 2.814 20 0 BFADHN CC[C@@H](O)CN[C@H]1CC(C)(C)Cc2occc21 ZINC000421866034 192396070 /nfs/dbraw/zinc/39/60/70/192396070.db2.gz ADTMXTGVCSTOIJ-PWSUYJOCSA-N 0 3 237.343 2.654 20 0 BFADHN OC1(CCCN[C@H]2CCCc3occc32)CCC1 ZINC000421864505 192396358 /nfs/dbraw/zinc/39/63/58/192396358.db2.gz XUXLVNZQQRQHDJ-ZDUSSCGKSA-N 0 3 249.354 2.942 20 0 BFADHN CC[C@H](N[C@H](C)c1ccncc1Cl)[C@H](C)O ZINC000421863857 192396418 /nfs/dbraw/zinc/39/64/18/192396418.db2.gz XWHOLYATDQERTC-PTRXPTGYSA-N 0 3 242.750 2.545 20 0 BFADHN O=c1ccc(CN[C@@H]2CCc3ccccc32)c[nH]1 ZINC000392696323 349153066 /nfs/dbraw/zinc/15/30/66/349153066.db2.gz SHLVAYVFGHMPLX-CQSZACIVSA-N 0 3 240.306 2.564 20 0 BFADHN CC(C)=CCN[C@@H](CO)c1ccc(Cl)cc1 ZINC000272975380 192389228 /nfs/dbraw/zinc/38/92/28/192389228.db2.gz CUPJSSVMSILVHM-ZDUSSCGKSA-N 0 3 239.746 2.929 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2cncs2)CCO1 ZINC000421853270 192389812 /nfs/dbraw/zinc/38/98/12/192389812.db2.gz IUEQEESKIBBOBY-OUAUKWLOSA-N 0 3 240.372 2.751 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@@H](C)c1ccncc1Cl ZINC000421846292 192393480 /nfs/dbraw/zinc/39/34/80/192393480.db2.gz ZJQRVVYDUCSXBE-UTLUCORTSA-N 0 3 242.750 2.545 20 0 BFADHN C[C@H](NCCC(C)(C)O)c1ccncc1Cl ZINC000421843893 192393644 /nfs/dbraw/zinc/39/36/44/192393644.db2.gz RUKWPXCYWPLQMQ-VIFPVBQESA-N 0 3 242.750 2.547 20 0 BFADHN COC(=O)C1C[C@H](C)N(CC=C(C)C)[C@@H](C)C1 ZINC000599703474 349249585 /nfs/dbraw/zinc/24/95/85/349249585.db2.gz BJOWQFMGENBUMF-RYUDHWBXSA-N 0 3 239.359 2.615 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@@H](C)c1ccncn1 ZINC000388615969 349264691 /nfs/dbraw/zinc/26/46/91/349264691.db2.gz ASQBQYWOQSAFKJ-DMDPSCGWSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCc3c2cccc3F)CO1 ZINC000402040411 349265212 /nfs/dbraw/zinc/26/52/12/349265212.db2.gz LJDFCRVMPDMEHU-GPCCPHFNSA-N 0 3 235.302 2.580 20 0 BFADHN CCC[C@H](C)NC(=O)c1cccc(CN(C)C)c1 ZINC000618489416 349265745 /nfs/dbraw/zinc/26/57/45/349265745.db2.gz AEUSOFVJCRFERS-LBPRGKRZSA-N 0 3 248.370 2.667 20 0 BFADHN c1ccc(CNC[C@H]2Cc3ccccc32)nc1 ZINC000037565646 349269945 /nfs/dbraw/zinc/26/99/45/349269945.db2.gz DBQFSACLTKATQM-CYBMUJFWSA-N 0 3 224.307 2.511 20 0 BFADHN Cn1ncc(Cl)c1CNCC1CC(C)(C)C1 ZINC000381792731 349279162 /nfs/dbraw/zinc/27/91/62/349279162.db2.gz APTPYXVXEBZUNC-UHFFFAOYSA-N 0 3 241.766 2.599 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2ccccn2)CCO1 ZINC000160839874 349324902 /nfs/dbraw/zinc/32/49/02/349324902.db2.gz YGHWCJHGGDJODN-JHJVBQTASA-N 0 3 234.343 2.690 20 0 BFADHN COCC1(NCc2ccc(Cl)cc2)CC1 ZINC000309034144 349369437 /nfs/dbraw/zinc/36/94/37/349369437.db2.gz GZXFKNLXQINNRU-UHFFFAOYSA-N 0 3 225.719 2.609 20 0 BFADHN CCCCN(C)Cc1cnn(CC(F)(F)F)c1 ZINC000505893398 490266562 /nfs/dbraw/zinc/26/65/62/490266562.db2.gz FRPIVTWTBSCOBY-UHFFFAOYSA-N 0 3 249.280 2.677 20 0 BFADHN CC[C@H](NC[C@H](C)COC)c1ccc(F)cn1 ZINC000161331010 349423962 /nfs/dbraw/zinc/42/39/62/349423962.db2.gz KELXAGGKWGXSDG-JQWIXIFHSA-N 0 3 240.322 2.544 20 0 BFADHN CCC[C@@H](C)NCc1cc(C)c(C(=O)OC)o1 ZINC000603658382 350009447 /nfs/dbraw/zinc/00/94/47/350009447.db2.gz HHCVBOCVUQCVSL-SNVBAGLBSA-N 0 3 239.315 2.653 20 0 BFADHN C[C@@H](N[C@@H](C)CCc1ccco1)c1cnccn1 ZINC000070477674 191003879 /nfs/dbraw/zinc/00/38/79/191003879.db2.gz XKFCTCZZHSXIHJ-NWDGAFQWSA-N 0 3 245.326 2.742 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@@H](C)C2)c(Cl)c1 ZINC000402212183 350064475 /nfs/dbraw/zinc/06/44/75/350064475.db2.gz KGRSPRZQEDXPTR-JQWIXIFHSA-N 0 3 239.746 2.915 20 0 BFADHN Cc1nc([C@@H](C)NCC2CCC2)cs1 ZINC000036915573 180035304 /nfs/dbraw/zinc/03/53/04/180035304.db2.gz IIMDJABDSDIOAU-MRVPVSSYSA-N 0 3 210.346 2.902 20 0 BFADHN Cc1nc([C@H](C)N2C[C@H](C)CC(C)(C)C2)n[nH]1 ZINC000336283295 180046704 /nfs/dbraw/zinc/04/67/04/180046704.db2.gz SVLDGABGAPAOIB-ZJUUUORDSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nc([C@H](C)N2CCCC(C)(C)CC2)n[nH]1 ZINC000336283784 180047315 /nfs/dbraw/zinc/04/73/15/180047315.db2.gz XWHZCIWLVGEXJW-JTQLQIEISA-N 0 3 236.363 2.686 20 0 BFADHN Cc1nc([C@H](C)NC[C@H](C)C[C@@H](C)O)cs1 ZINC000269396709 180049356 /nfs/dbraw/zinc/04/93/56/180049356.db2.gz FDLVPVVREFLZBR-BBBLOLIVSA-N 0 3 242.388 2.509 20 0 BFADHN Cc1nc([C@H](C)N[C@H]2CC[C@H]2C)cs1 ZINC000307028311 180050816 /nfs/dbraw/zinc/05/08/16/180050816.db2.gz BKGZZLDBGYTLHP-WEDXCCLWSA-N 0 3 210.346 2.901 20 0 BFADHN Cc1nc([C@H](C)N[C@@H](C)[C@H]2CCOC2)cs1 ZINC000252710721 180051279 /nfs/dbraw/zinc/05/12/79/180051279.db2.gz HNCSMFRUHQOWCW-QXEWZRGKSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1nc([C@H](C)N[C@@H]2CCCOCC2)cs1 ZINC000271421395 180051717 /nfs/dbraw/zinc/05/17/17/180051717.db2.gz VDTNRJCSCZRKAB-GXSJLCMTSA-N 0 3 240.372 2.671 20 0 BFADHN CC1(C)CN(CCc2cccnc2)C(C)(C)CO1 ZINC000604388224 350122623 /nfs/dbraw/zinc/12/26/23/350122623.db2.gz GGTHGHXHBOLBDA-UHFFFAOYSA-N 0 3 248.370 2.514 20 0 BFADHN CC(C)=CCN1CC(C)(C)OCC1(C)C ZINC000604386950 350122835 /nfs/dbraw/zinc/12/28/35/350122835.db2.gz XUEZOHIIBXVREK-UHFFFAOYSA-N 0 3 211.349 2.842 20 0 BFADHN CCCC1(CNCc2c(Cl)cnn2C)CC1 ZINC000132138759 191025828 /nfs/dbraw/zinc/02/58/28/191025828.db2.gz PCAADFUHVTWPTA-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN Cc1nc2c(s1)[C@@H](NCc1cc[nH]c1)CCC2 ZINC000378591142 180073671 /nfs/dbraw/zinc/07/36/71/180073671.db2.gz INFNGNDVISSBPB-NSHDSACASA-N 0 3 247.367 2.947 20 0 BFADHN Cc1nc2c(s1)[C@H](NCc1cc[nH]c1)CCC2 ZINC000378591141 180075013 /nfs/dbraw/zinc/07/50/13/180075013.db2.gz INFNGNDVISSBPB-LLVKDONJSA-N 0 3 247.367 2.947 20 0 BFADHN CC(C)C[C@@H](C)CN1CCN(CC(C)C)CC1 ZINC000410299395 191028060 /nfs/dbraw/zinc/02/80/60/191028060.db2.gz UJEIVRFBZATLBX-OAHLLOKOSA-N 0 3 240.435 2.942 20 0 BFADHN CC[C@](C)(O)CNCc1cnc(C(C)C)s1 ZINC000132255183 191029493 /nfs/dbraw/zinc/02/94/93/191029493.db2.gz AFTYDEPFXJOWFO-LBPRGKRZSA-N 0 3 242.388 2.517 20 0 BFADHN CC(=O)Nc1ccccc1CN(C)C1CCC1 ZINC000093475931 191040764 /nfs/dbraw/zinc/04/07/64/191040764.db2.gz DIMCVDYESFTPFO-UHFFFAOYSA-N 0 3 232.327 2.629 20 0 BFADHN CCc1cnc(CN[C@H]2CCC[C@H]3C[C@H]32)s1 ZINC000304545765 350162204 /nfs/dbraw/zinc/16/22/04/350162204.db2.gz JKZZPNPYAGGFAR-WCQGTBRESA-N 0 3 236.384 2.984 20 0 BFADHN Cc1cccc2nc(CN(C)[C@@H](C)C3CC3)cn21 ZINC000071950771 350168089 /nfs/dbraw/zinc/16/80/89/350168089.db2.gz MPROAHLLRCOSNL-LBPRGKRZSA-N 0 3 243.354 2.873 20 0 BFADHN CCc1ncc(CNCC2(SC)CCC2)o1 ZINC000449609295 201986551 /nfs/dbraw/zinc/98/65/51/201986551.db2.gz MRRNOLCSKDHCBG-UHFFFAOYSA-N 0 3 240.372 2.612 20 0 BFADHN Cc1nc2ccccc2c(N(C)C[C@H](C)O)c1C ZINC000292263897 180100806 /nfs/dbraw/zinc/10/08/06/180100806.db2.gz IGODIYWNWHARDV-JTQLQIEISA-N 0 3 244.338 2.669 20 0 BFADHN CCC(C)(C)CCN1CCC[C@@]1(C)C(=O)OC ZINC000426794017 191046361 /nfs/dbraw/zinc/04/63/61/191046361.db2.gz PUJSHJBYXQZQML-AWEZNQCLSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1ncc(CN(C)CC2CC(F)(F)C2)s1 ZINC000295594813 180137752 /nfs/dbraw/zinc/13/77/52/180137752.db2.gz TXZWRHLPCGGIDK-UHFFFAOYSA-N 0 3 246.326 2.929 20 0 BFADHN Cc1ncc(CN(CC2CCC2)C(C)C)cn1 ZINC000352404599 180141383 /nfs/dbraw/zinc/14/13/83/180141383.db2.gz CINGUFUTFAFNMM-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ncc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)cn1 ZINC000336439837 180141409 /nfs/dbraw/zinc/14/14/09/180141409.db2.gz BVWVBGKGHLQJRK-KGLIPLIRSA-N 0 3 245.370 2.796 20 0 BFADHN Cc1ncc(CN2CC(C)(C)[C@H]3CCC[C@H]32)cn1 ZINC000336439838 180141608 /nfs/dbraw/zinc/14/16/08/180141608.db2.gz BVWVBGKGHLQJRK-UONOGXRCSA-N 0 3 245.370 2.796 20 0 BFADHN Cc1ncc(CN2CCCC3(CCC3)C2)cn1 ZINC000352406126 180143170 /nfs/dbraw/zinc/14/31/70/180143170.db2.gz LEVRHWYLHLSAKZ-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN COC[C@H](NCc1cccc(F)c1)C(C)C ZINC000083397686 350195029 /nfs/dbraw/zinc/19/50/29/350195029.db2.gz SBLRSYVZKDRUSH-ZDUSSCGKSA-N 0 3 225.307 2.586 20 0 BFADHN Cc1ncc([C@@H](C)NC2(C(C)C)CC2)c(C)n1 ZINC000340480277 180171795 /nfs/dbraw/zinc/17/17/95/180171795.db2.gz YWXUXCYGSGJGPP-LLVKDONJSA-N 0 3 233.359 2.933 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H]2CC2(C)C)c(C)n1 ZINC000272050986 180172179 /nfs/dbraw/zinc/17/21/79/180172179.db2.gz MZPNOSHIDFGMTB-SKDRFNHKSA-N 0 3 219.332 2.543 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@H](C)[C@H]2C)cn1 ZINC000352406808 180145444 /nfs/dbraw/zinc/14/54/44/180145444.db2.gz NQLQLOHSMYRKPU-GRYCIOLGSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1ncc(CN2C[C@@H](C)C[C@H]2C)s1 ZINC000336486177 180146630 /nfs/dbraw/zinc/14/66/30/180146630.db2.gz WJWGSLIXDFXDMY-DTWKUNHWSA-N 0 3 210.346 2.682 20 0 BFADHN Cc1ncc(CN2CC[C@H]3CCC[C@@H]32)s1 ZINC000336359536 180146796 /nfs/dbraw/zinc/14/67/96/180146796.db2.gz LIDRTGVFWAJOSL-PWSUYJOCSA-N 0 3 222.357 2.826 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@@H]1C)c1ccncn1 ZINC000396006702 323282930 /nfs/dbraw/zinc/28/29/30/323282930.db2.gz ZPKNVPSPQJNMDP-SDDRHHMPSA-N 0 3 219.332 2.706 20 0 BFADHN CC[C@@H](N[C@@H]1CCCOCC1)c1nccs1 ZINC000083425828 350206980 /nfs/dbraw/zinc/20/69/80/350206980.db2.gz JSMAUCHNBSFQII-GHMZBOCLSA-N 0 3 240.372 2.753 20 0 BFADHN Cc1csc([C@H](C)N[C@H]2CCCOCC2)n1 ZINC000083425838 350207269 /nfs/dbraw/zinc/20/72/69/350207269.db2.gz MIWCMSSWYYNADR-QWRGUYRKSA-N 0 3 240.372 2.671 20 0 BFADHN Cc1nccc(CN2CCC[C@H]2C(C)(C)C)n1 ZINC000336510201 180183988 /nfs/dbraw/zinc/18/39/88/180183988.db2.gz WSTFGJBREUAXRZ-ZDUSSCGKSA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@H](NCC1(C(C)C)CC1)c1ccn(C)n1 ZINC000349512030 490398860 /nfs/dbraw/zinc/39/88/60/490398860.db2.gz HGQFDGYBKHPPSQ-LBPRGKRZSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1ncccc1CN1CCCO[C@H](C(C)C)C1 ZINC000336593855 180219207 /nfs/dbraw/zinc/21/92/07/180219207.db2.gz KEBUECRCEXKRLQ-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1ncccc1CN1CC[C@H]1C(C)C ZINC000336484094 180221266 /nfs/dbraw/zinc/22/12/66/180221266.db2.gz RFOBBCCSBNLTRS-ZDUSSCGKSA-N 0 3 204.317 2.620 20 0 BFADHN CC[C@@H](NCC[C@H]1CCCO1)c1nccs1 ZINC000083526816 350234600 /nfs/dbraw/zinc/23/46/00/350234600.db2.gz CHVFNNCUHHCIQD-GHMZBOCLSA-N 0 3 240.372 2.753 20 0 BFADHN Cc1csc([C@@H](C)NCC[C@@H]2CCCO2)n1 ZINC000083526821 350234618 /nfs/dbraw/zinc/23/46/18/350234618.db2.gz PMSTZUPMEHZKIT-MNOVXSKESA-N 0 3 240.372 2.671 20 0 BFADHN Cc1nccn1CCCN(C)[C@H](C)c1ccco1 ZINC000270627314 180234786 /nfs/dbraw/zinc/23/47/86/180234786.db2.gz LBGIJHWJCBLDHD-GFCCVEGCSA-N 0 3 247.342 2.868 20 0 BFADHN Cc1nccn1CCCCN[C@@H](C)c1ccco1 ZINC000164667682 180237502 /nfs/dbraw/zinc/23/75/02/180237502.db2.gz SVLPFNBWOQAAFF-LBPRGKRZSA-N 0 3 247.342 2.916 20 0 BFADHN CCCCN(C)[C@H]1CCN(c2ccccc2)C1=O ZINC000068783208 350283936 /nfs/dbraw/zinc/28/39/36/350283936.db2.gz NXRBVZRIXVENAE-AWEZNQCLSA-N 0 3 246.354 2.524 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1cnn(C(C)C)c1 ZINC000427851276 191094582 /nfs/dbraw/zinc/09/45/82/191094582.db2.gz RQRZGXFGZABYJE-NWDGAFQWSA-N 0 3 239.363 2.540 20 0 BFADHN CCN[C@](C)(CO)c1cccc(C(F)(F)F)c1 ZINC000038120181 350306992 /nfs/dbraw/zinc/30/69/92/350306992.db2.gz GLHNCQGSADSMCU-LLVKDONJSA-N 0 3 247.260 2.522 20 0 BFADHN Cc1nccnc1[C@H](C)NCC1(C)CCC1 ZINC000188226275 180281155 /nfs/dbraw/zinc/28/11/55/180281155.db2.gz IQMOMVXFGSRIHZ-NSHDSACASA-N 0 3 219.332 2.626 20 0 BFADHN Cc1nccnc1[C@@H](C)NC[C@H]1CC=CCC1 ZINC000187853855 180281731 /nfs/dbraw/zinc/28/17/31/180281731.db2.gz JKZRHEKRQHNZMM-OLZOCXBDSA-N 0 3 231.343 2.792 20 0 BFADHN Cc1ncsc1CCN[C@@H](C)c1ccco1 ZINC000050284822 180311681 /nfs/dbraw/zinc/31/16/81/180311681.db2.gz XCJFVFSLOARAAD-VIFPVBQESA-N 0 3 236.340 2.938 20 0 BFADHN C[C@H]1c2c(F)cccc2CCN1C[C@@H]1CCOC1 ZINC000606449699 350339323 /nfs/dbraw/zinc/33/93/23/350339323.db2.gz BQOXTCWXSUKXPV-RYUDHWBXSA-N 0 3 249.329 2.781 20 0 BFADHN Cc1ncsc1CN(C)C1CCCC1 ZINC000179297285 180313713 /nfs/dbraw/zinc/31/37/13/180313713.db2.gz OZBNEDOXFLPZGV-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN Cc1ccn2c(CN(C)[C@H]3CC3(C)C)cnc2c1 ZINC000426570593 191096585 /nfs/dbraw/zinc/09/65/85/191096585.db2.gz NDDBATKEGYXIKH-ZDUSSCGKSA-N 0 3 243.354 2.873 20 0 BFADHN Cc1ncsc1CN1CCC12CCC2 ZINC000334477904 180314824 /nfs/dbraw/zinc/31/48/24/180314824.db2.gz PVCYKYNSRBDAKV-UHFFFAOYSA-N 0 3 208.330 2.580 20 0 BFADHN Cc1nnc(CN[C@@H]2CCC(C)(C)C2)s1 ZINC000309211718 323329616 /nfs/dbraw/zinc/32/96/16/323329616.db2.gz SWPNCAIPCDHMLA-SECBINFHSA-N 0 3 225.361 2.515 20 0 BFADHN CC(C)OC(=O)CCN[C@@H](C)c1ccsc1 ZINC000309377731 350360415 /nfs/dbraw/zinc/36/04/15/350360415.db2.gz RMQBLIBVOMJOJK-JTQLQIEISA-N 0 3 241.356 2.740 20 0 BFADHN Cc1ncsc1CCN(C)[C@@H]1CC1(C)C ZINC000352420360 180307015 /nfs/dbraw/zinc/30/70/15/180307015.db2.gz BUGAAHHSGVPOML-LLVKDONJSA-N 0 3 224.373 2.724 20 0 BFADHN C[C@@H](NCCc1ccncc1)c1cncc(F)c1 ZINC000224587732 350328236 /nfs/dbraw/zinc/32/82/36/350328236.db2.gz KXBRNIRHNQKBLN-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN Cc1ncsc1CCN[C@@H](C)c1ccccn1 ZINC000050284811 180310983 /nfs/dbraw/zinc/31/09/83/180310983.db2.gz DTWUSOSHWNHUFR-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCCCF ZINC000126837255 180331749 /nfs/dbraw/zinc/33/17/49/180331749.db2.gz IRXMBSQOROSJFM-JTQLQIEISA-N 0 3 241.354 2.957 20 0 BFADHN Cc1nocc1CN[C@H]1CC(C)(C)C[C@@H]1C ZINC000397924740 362955869 /nfs/dbraw/zinc/95/58/69/362955869.db2.gz RSHJCRULKDGAPD-CABZTGNLSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ncsc1CNCCC1(F)CCC1 ZINC000309182485 180319845 /nfs/dbraw/zinc/31/98/45/180319845.db2.gz NZYKMWBJMIGALS-UHFFFAOYSA-N 0 3 228.336 2.823 20 0 BFADHN Cc1ncsc1CN[C@H]1CCC12CCC2 ZINC000309185250 180324216 /nfs/dbraw/zinc/32/42/16/180324216.db2.gz OPUZMODHFWAAOQ-NSHDSACASA-N 0 3 222.357 2.874 20 0 BFADHN Cc1ccc(F)cc1CN[C@]1(C)CCCOC1 ZINC000226514882 350437110 /nfs/dbraw/zinc/43/71/10/350437110.db2.gz KPCQPQCXYOQZJV-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN CC(C)CN1CCN(C)Cc2ccccc21 ZINC000336667614 350427913 /nfs/dbraw/zinc/42/79/13/350427913.db2.gz GQXHNGAACUWHSY-UHFFFAOYSA-N 0 3 218.344 2.594 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)CCC[C@H](C)O ZINC000336689170 350445297 /nfs/dbraw/zinc/44/52/97/350445297.db2.gz HECNYBLHUXWIIE-JSGCOSHPSA-N 0 3 236.359 2.626 20 0 BFADHN CCN(Cc1cnc(C)cn1)C1CCCC1 ZINC000336695178 350451102 /nfs/dbraw/zinc/45/11/02/350451102.db2.gz FXKJQUVKUDRVSP-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN CCC(O)(CC)CN(C)Cc1ccc(C)o1 ZINC000162879464 350451977 /nfs/dbraw/zinc/45/19/77/350451977.db2.gz JCBGYVTZNHAAGO-UHFFFAOYSA-N 0 3 225.332 2.571 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NCCSC(C)C ZINC000283206820 180379066 /nfs/dbraw/zinc/37/90/66/180379066.db2.gz RQYXDOHKEPNNDS-SNVBAGLBSA-N 0 3 241.404 2.521 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](C)Cc1ccoc1 ZINC000361919379 180379807 /nfs/dbraw/zinc/37/98/07/180379807.db2.gz QMIBGAHWLHBJBL-WDEREUQCSA-N 0 3 247.342 2.603 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NCCc1ccsc1 ZINC000087409408 180379919 /nfs/dbraw/zinc/37/99/19/180379919.db2.gz NSXBFKVTRDOFDC-SNVBAGLBSA-N 0 3 249.383 2.683 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NCC1=CCCCC1 ZINC000295884756 180380032 /nfs/dbraw/zinc/38/00/32/180380032.db2.gz AYJWABDIXKICLJ-LLVKDONJSA-N 0 3 233.359 2.880 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@H](C)Cc1ccoc1 ZINC000361919375 180380069 /nfs/dbraw/zinc/38/00/69/180380069.db2.gz QMIBGAHWLHBJBL-GHMZBOCLSA-N 0 3 247.342 2.603 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NCc1cccs1 ZINC000020351370 180383634 /nfs/dbraw/zinc/38/36/34/180383634.db2.gz XBHKSCRLZYCTSP-VIFPVBQESA-N 0 3 235.356 2.641 20 0 BFADHN COCC(C)(C)NCc1ccc(Cl)cc1F ZINC000162977742 350488019 /nfs/dbraw/zinc/48/80/19/350488019.db2.gz NLZNWMFKBGMRQC-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN CCc1nc(C)c(CN2CC[C@H](CC)C2)o1 ZINC000428225656 191105965 /nfs/dbraw/zinc/10/59/65/191105965.db2.gz FZDKXJWGHVUOGF-NSHDSACASA-N 0 3 222.332 2.777 20 0 BFADHN COc1ccc(CN(C)CC(C)(C)C)cc1O ZINC000133371418 191106101 /nfs/dbraw/zinc/10/61/01/191106101.db2.gz AGSRADPKDVSZMV-UHFFFAOYSA-N 0 3 237.343 2.879 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1ccccc1C ZINC000309446569 350498609 /nfs/dbraw/zinc/49/86/09/350498609.db2.gz JXJUXGDHALUGSO-IACUBPJLSA-N 0 3 219.328 2.823 20 0 BFADHN CC(C)(O)CN(Cc1ccc(Cl)o1)C1CC1 ZINC000428405547 191109782 /nfs/dbraw/zinc/10/97/82/191109782.db2.gz NIEBZYIEDNXGED-UHFFFAOYSA-N 0 3 243.734 2.668 20 0 BFADHN CCCCCNC(=O)CN(C)[C@@H](C)C(C)(C)C ZINC000532928422 323397101 /nfs/dbraw/zinc/39/71/01/323397101.db2.gz JSXYUNLGQTWRPT-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN c1cnc2c(c1)CCC[C@@H]2NC[C@@H]1CCCS1 ZINC000149608398 538096181 /nfs/dbraw/zinc/09/61/81/538096181.db2.gz DVMSOCICNGMUAD-STQMWFEESA-N 0 3 248.395 2.944 20 0 BFADHN CCc1cc(CN[C@H](C)[C@H]2CC2(C)C)on1 ZINC000309451782 323410684 /nfs/dbraw/zinc/41/06/84/323410684.db2.gz LITZJSHQWIMOFS-BXKDBHETSA-N 0 3 222.332 2.761 20 0 BFADHN CCc1ccc(CNC[C@](C)(OC)C2CC2)o1 ZINC000291088241 490665567 /nfs/dbraw/zinc/66/55/67/490665567.db2.gz WRCVHJXIZGJOPX-AWEZNQCLSA-N 0 3 237.343 2.747 20 0 BFADHN CC/C=C/CNCc1ccc2c(c1)OCCO2 ZINC000384902530 350630282 /nfs/dbraw/zinc/63/02/82/350630282.db2.gz OFRZEUDVGPDIQG-ONEGZZNKSA-N 0 3 233.311 2.514 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CC[C@@H]1C1CCC1 ZINC000338001467 180473977 /nfs/dbraw/zinc/47/39/77/180473977.db2.gz XRGHALVTLWICCY-OCCSQVGLSA-N 0 3 234.343 2.960 20 0 BFADHN CC(C)CN1CCO[C@@](C)(c2ccccc2)C1 ZINC000177227386 365987997 /nfs/dbraw/zinc/98/79/97/365987997.db2.gz SJBLDBBJZPNIPJ-OAHLLOKOSA-N 0 3 233.355 2.890 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1ccc(OC)nn1 ZINC000338323433 350677666 /nfs/dbraw/zinc/67/76/66/350677666.db2.gz ZITHWPPPNTVKTG-CYBMUJFWSA-N 0 3 249.358 2.640 20 0 BFADHN CC[C@@H](C)N(C)Cc1cn2cc(C)ccc2n1 ZINC000177276406 365990769 /nfs/dbraw/zinc/99/07/69/365990769.db2.gz XBLPMFMEAIWVDD-GFCCVEGCSA-N 0 3 231.343 2.873 20 0 BFADHN CC1(CNCc2ccn(-c3ccccc3)n2)CC1 ZINC000222932042 535153270 /nfs/dbraw/zinc/15/32/70/535153270.db2.gz FVGAHBUJTJFEQW-UHFFFAOYSA-N 0 3 241.338 2.762 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1cnns1 ZINC000334623661 350764675 /nfs/dbraw/zinc/76/46/75/350764675.db2.gz LJLMQMFAIUXDTP-ONGXEEELSA-N 0 3 239.388 2.843 20 0 BFADHN Cc1nc(C)c(CN2CC(C(C)(C)C)C2)o1 ZINC000628308151 350738597 /nfs/dbraw/zinc/73/85/97/350738597.db2.gz YFDDYCXYNDLDAT-UHFFFAOYSA-N 0 3 222.332 2.769 20 0 BFADHN Cc1noc([C@H]2CCCN2CCC(C)(C)C)n1 ZINC000353577937 180500308 /nfs/dbraw/zinc/50/03/08/180500308.db2.gz UBIPCEHBMQNEKS-LLVKDONJSA-N 0 3 237.347 2.951 20 0 BFADHN c1nc(CN2C[C@H]3CCCC[C@@H]3C2)cs1 ZINC000177522725 366004530 /nfs/dbraw/zinc/00/45/30/366004530.db2.gz JOJQYTXLZPULHD-GHMZBOCLSA-N 0 3 222.357 2.765 20 0 BFADHN C[C@H]1C[C@@H](NCc2cnc(C3CC3)o2)CS1 ZINC000628311125 350810022 /nfs/dbraw/zinc/81/00/22/350810022.db2.gz ZLGZTOBNDUSNJL-WCBMZHEXSA-N 0 3 238.356 2.536 20 0 BFADHN FC(F)[C@@H]1CCN(Cc2ccccc2)C1 ZINC000616611295 350810919 /nfs/dbraw/zinc/81/09/19/350810919.db2.gz HHCFBRSSNKWTAO-LLVKDONJSA-N 0 3 211.255 2.774 20 0 BFADHN Cc1nocc1CNC[C@H]1CCCCC1(F)F ZINC000294685894 180507274 /nfs/dbraw/zinc/50/72/74/180507274.db2.gz BKJFSLFDWWBNJS-LLVKDONJSA-N 0 3 244.285 2.898 20 0 BFADHN C[C@@H](NCc1ccccc1)c1cccnc1 ZINC000019899504 350829128 /nfs/dbraw/zinc/82/91/28/350829128.db2.gz QMHOEFFTBMRYSN-GFCCVEGCSA-N 0 3 212.296 2.932 20 0 BFADHN CCOc1ccc([C@@H](C)N[C@@H](C)COC)cc1 ZINC000019904829 350840786 /nfs/dbraw/zinc/84/07/86/350840786.db2.gz CABZNHXUOCRVLU-NWDGAFQWSA-N 0 3 237.343 2.771 20 0 BFADHN CC(C)[C@@H](CO)CNCc1sccc1Cl ZINC000380146876 366012470 /nfs/dbraw/zinc/01/24/70/366012470.db2.gz WXAMMGNQODOOSV-SECBINFHSA-N 0 3 247.791 2.756 20 0 BFADHN COCCN(C)Cc1cccc2ccccc21 ZINC000051992919 350864962 /nfs/dbraw/zinc/86/49/62/350864962.db2.gz REVTUXDQNCDDMX-UHFFFAOYSA-N 0 3 229.323 2.918 20 0 BFADHN CCCC[C@H](C)[C@@H](C)NCc1ccn(C)n1 ZINC000336681774 350906291 /nfs/dbraw/zinc/90/62/91/350906291.db2.gz DBJCUZXEKDUXON-NWDGAFQWSA-N 0 3 223.364 2.725 20 0 BFADHN CC[C@@H](NCc1cc(C)n(C)n1)C(C)(C)C ZINC000336682968 350910368 /nfs/dbraw/zinc/91/03/68/350910368.db2.gz DIOBUMDYHPKZOB-GFCCVEGCSA-N 0 3 223.364 2.643 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C3CCCC3)C2)o1 ZINC000628315838 350918199 /nfs/dbraw/zinc/91/81/99/350918199.db2.gz YMTKXLQQTVUKQJ-CYBMUJFWSA-N 0 3 234.343 2.995 20 0 BFADHN Clc1cnccc1CN[C@]12C[C@H]1CCC2 ZINC000623563011 362988010 /nfs/dbraw/zinc/98/80/10/362988010.db2.gz KTXGCCFFAOXWSJ-ZYHUDNBSSA-N 0 3 222.719 2.767 20 0 BFADHN C/C=C/CNCC1=Cc2ccccc2OC1 ZINC000623738885 350882216 /nfs/dbraw/zinc/88/22/16/350882216.db2.gz AKRBGWQADIPXTP-NSCUHMNNSA-N 0 3 215.296 2.628 20 0 BFADHN C/C=C/CNCc1cnc(C2CC2)s1 ZINC000623738933 350882312 /nfs/dbraw/zinc/88/23/12/350882312.db2.gz CFESJKGTGGXUJB-NSCUHMNNSA-N 0 3 208.330 2.686 20 0 BFADHN CCc1cnc(CN[C@@H]2CC[C@@H]2C)s1 ZINC000336679160 350895209 /nfs/dbraw/zinc/89/52/09/350895209.db2.gz FSUWNMQHJDAQOB-WCBMZHEXSA-N 0 3 210.346 2.594 20 0 BFADHN CC[C@@H](NCc1nnc(C(C)C)s1)C1CC1 ZINC000336679484 350895728 /nfs/dbraw/zinc/89/57/28/350895728.db2.gz CPLHJOLMOPVIQT-SNVBAGLBSA-N 0 3 239.388 2.940 20 0 BFADHN C[C@H](c1ccccc1F)N1CCC[C@H](CO)C1 ZINC000177667468 366017642 /nfs/dbraw/zinc/01/76/42/366017642.db2.gz BQMMLSHEGURIBD-NEPJUHHUSA-N 0 3 237.318 2.591 20 0 BFADHN Cc1nocc1CN[C@H]1CCCC12CCC2 ZINC000398034711 362989226 /nfs/dbraw/zinc/98/92/26/362989226.db2.gz SEXXAIWOEGSTHR-LBPRGKRZSA-N 0 3 220.316 2.795 20 0 BFADHN COCCN(CCC(F)(F)F)C1CCCC1 ZINC000607150818 351029810 /nfs/dbraw/zinc/02/98/10/351029810.db2.gz XWUCVCDWZQIEDV-UHFFFAOYSA-N 0 3 239.281 2.830 20 0 BFADHN Cc1cccn2cc(CN3C[C@H](C)C[C@@H]3C)nc12 ZINC000607154983 351031967 /nfs/dbraw/zinc/03/19/67/351031967.db2.gz KFXPYJONNVGKEA-YPMHNXCESA-N 0 3 243.354 2.873 20 0 BFADHN C/C=C/CNCc1cn(C)nc1-c1ccccc1 ZINC000623740285 351051984 /nfs/dbraw/zinc/05/19/84/351051984.db2.gz RNCIDFWEXLAYTL-ONEGZZNKSA-N 0 3 241.338 2.753 20 0 BFADHN CC(C)N(C)CC(=O)NC(C)(C)CC(C)(C)C ZINC000070944503 351126267 /nfs/dbraw/zinc/12/62/67/351126267.db2.gz DBKHYCFIJPGSTQ-UHFFFAOYSA-N 0 3 242.407 2.658 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1C[C@H]1C1CC1)c1nccn1C ZINC000623574809 363004798 /nfs/dbraw/zinc/00/47/98/363004798.db2.gz XQEBHFGIPAGDII-UKJIMTQDSA-N 0 3 233.359 2.505 20 0 BFADHN CCCCN(C)CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC000052869602 351076019 /nfs/dbraw/zinc/07/60/19/351076019.db2.gz VAFWTRBBSDWZKF-BETUJISGSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1cc(CNC(C)(C)C(C)(C)C)on1 ZINC000379875361 351171666 /nfs/dbraw/zinc/17/16/66/351171666.db2.gz SLAAJNFWSKCOAQ-UHFFFAOYSA-N 0 3 210.321 2.897 20 0 BFADHN CCc1nc(CNC[C@@H]2CCSC2)cs1 ZINC000226379981 351241009 /nfs/dbraw/zinc/24/10/09/351241009.db2.gz NYZLNFDCSRACHZ-VIFPVBQESA-N 0 3 242.413 2.548 20 0 BFADHN CC1(NCc2cnc3ccccc3n2)CCC1 ZINC000168001950 351252202 /nfs/dbraw/zinc/25/22/02/351252202.db2.gz ALOFQNSEZYMABN-UHFFFAOYSA-N 0 3 227.311 2.662 20 0 BFADHN C[C@@]1(CN2CCC[C@H](F)C2)CCCS1 ZINC000628326859 351261186 /nfs/dbraw/zinc/26/11/86/351261186.db2.gz YDDNMNSDHTXCGN-QWRGUYRKSA-N 0 3 217.353 2.706 20 0 BFADHN Clc1ccc(CN[C@@H]2CCCOC2)s1 ZINC000071011825 180580985 /nfs/dbraw/zinc/58/09/85/180580985.db2.gz QVPFNSAZHYUSIV-MRVPVSSYSA-N 0 3 231.748 2.670 20 0 BFADHN Cc1ncc(CNCC2CCC(F)CC2)o1 ZINC000628322864 351212059 /nfs/dbraw/zinc/21/20/59/351212059.db2.gz ONIGGLFODOSCAH-UHFFFAOYSA-N 0 3 226.295 2.601 20 0 BFADHN Cc1ncc(CN2CCC23CCCC3)o1 ZINC000628323193 351224125 /nfs/dbraw/zinc/22/41/25/351224125.db2.gz GDHUYRSQCBDXFX-UHFFFAOYSA-N 0 3 206.289 2.502 20 0 BFADHN Cc1ncc(CN2CCC(C3CCC3)CC2)o1 ZINC000628337782 351280793 /nfs/dbraw/zinc/28/07/93/351280793.db2.gz RALVFBPPGUVEFF-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN CC[C@@H](O)CN(C)Cc1cc2ccccc2o1 ZINC000267000248 351296894 /nfs/dbraw/zinc/29/68/94/351296894.db2.gz CNANYTOQZHHMHO-GFCCVEGCSA-N 0 3 233.311 2.636 20 0 BFADHN CC1(C)C[C@@H]1NCc1c[nH]c(-c2ccccc2)n1 ZINC000267855162 351322974 /nfs/dbraw/zinc/32/29/74/351322974.db2.gz DNBZTNACCMLASM-ZDUSSCGKSA-N 0 3 241.338 2.965 20 0 BFADHN CC1(C)C[C@@H]1NCc1cnc(-c2ccccc2)[nH]1 ZINC000267855162 351322979 /nfs/dbraw/zinc/32/29/79/351322979.db2.gz DNBZTNACCMLASM-ZDUSSCGKSA-N 0 3 241.338 2.965 20 0 BFADHN Cc1ccc([C@H](C)CN(C)Cc2c[nH]cn2)cc1 ZINC000628336331 351278907 /nfs/dbraw/zinc/27/89/07/351278907.db2.gz FLHDSMXDNCHOIW-CYBMUJFWSA-N 0 3 243.354 2.954 20 0 BFADHN Cc1ccc([C@H](C)CN(C)Cc2cnc[nH]2)cc1 ZINC000628336331 351278910 /nfs/dbraw/zinc/27/89/10/351278910.db2.gz FLHDSMXDNCHOIW-CYBMUJFWSA-N 0 3 243.354 2.954 20 0 BFADHN Cc1cc(C)c(/C=C\CN[C@@H]2C[C@H]2CO)cc1C ZINC000631126330 351365697 /nfs/dbraw/zinc/36/56/97/351365697.db2.gz IWOIGMBDQJFQCV-XETQRACLSA-N 0 3 245.366 2.595 20 0 BFADHN CCN(Cc1cc(OC)cc(C)n1)CC(C)C ZINC000270288522 351377881 /nfs/dbraw/zinc/37/78/81/351377881.db2.gz NDHTZWPWXVNYIG-UHFFFAOYSA-N 0 3 236.359 2.877 20 0 BFADHN c1cnc2c(c1)[C@@H](N[C@@H]1CC13CC3)CCC2 ZINC000631638561 351345960 /nfs/dbraw/zinc/34/59/60/351345960.db2.gz OQNPBIPPLVQRPK-QWHCGFSZSA-N 0 3 214.312 2.601 20 0 BFADHN CC1(C)CCC[C@H]1NCc1ccns1 ZINC000404408246 351426416 /nfs/dbraw/zinc/42/64/16/351426416.db2.gz GAQBPKXKERRPND-SNVBAGLBSA-N 0 3 210.346 2.811 20 0 BFADHN CCC[C@@H](CNCc1ccccc1)OCC ZINC000623597952 363033918 /nfs/dbraw/zinc/03/39/18/363033918.db2.gz KFPDJUGPIZCTGS-AWEZNQCLSA-N 0 3 221.344 2.981 20 0 BFADHN C[C@@H](Cc1cccs1)NCc1ccns1 ZINC000404441795 351458927 /nfs/dbraw/zinc/45/89/27/351458927.db2.gz HSWSVGFTLNMOLV-VIFPVBQESA-N 0 3 238.381 2.925 20 0 BFADHN C[C@H](CCC1CC1)NCc1ccns1 ZINC000404443462 351459125 /nfs/dbraw/zinc/45/91/25/351459125.db2.gz BJQCWEAGZSSOMF-SECBINFHSA-N 0 3 210.346 2.811 20 0 BFADHN CCCC[C@@H](C)N[C@H](CO)c1ccsc1 ZINC000336727966 351546771 /nfs/dbraw/zinc/54/67/71/351546771.db2.gz HQOCRYYHSKWWNN-ZYHUDNBSSA-N 0 3 227.373 2.950 20 0 BFADHN CCCC[C@H](C)N[C@H](CO)c1ccsc1 ZINC000336727963 351547273 /nfs/dbraw/zinc/54/72/73/351547273.db2.gz HQOCRYYHSKWWNN-CMPLNLGQSA-N 0 3 227.373 2.950 20 0 BFADHN Cc1ccc(F)c(CNCCC[C@@H](C)CO)c1 ZINC000230159163 191138729 /nfs/dbraw/zinc/13/87/29/191138729.db2.gz SADNDXJVCZVUHX-GFCCVEGCSA-N 0 3 239.334 2.632 20 0 BFADHN NCc1cccc(NC(=O)[C@@H]2CCCC23CC3)c1 ZINC000637802793 351638154 /nfs/dbraw/zinc/63/81/54/351638154.db2.gz ZUQKZOFKOGHVRX-ZDUSSCGKSA-N 0 3 244.338 2.664 20 0 BFADHN Cn1c(CNC2CCC2)nc2ccc(Cl)cc21 ZINC000270595178 180618222 /nfs/dbraw/zinc/61/82/22/180618222.db2.gz DKVXSHLFNLSPID-UHFFFAOYSA-N 0 3 249.745 2.869 20 0 BFADHN CCCN(CC)[C@H](c1nccn1C)C1CC1 ZINC000637811947 351647476 /nfs/dbraw/zinc/64/74/76/351647476.db2.gz ZTYBBQNHNPFAIM-LBPRGKRZSA-N 0 3 221.348 2.603 20 0 BFADHN CCCN(CC1CC1)[C@@H](c1nccn1C)C1CC1 ZINC000637812487 351648303 /nfs/dbraw/zinc/64/83/03/351648303.db2.gz ZDJAIVIUKXRYCB-CQSZACIVSA-N 0 3 247.386 2.993 20 0 BFADHN c1ccc2c(c1)CCN(CCC[C@@H]1CCO1)C2 ZINC000637810843 351651201 /nfs/dbraw/zinc/65/12/01/351651201.db2.gz JTXSFAZZQIKCDI-OAHLLOKOSA-N 0 3 231.339 2.614 20 0 BFADHN CCC[C@@H](CN(C)Cc1cccc(O)c1)OC ZINC000637814041 351657340 /nfs/dbraw/zinc/65/73/40/351657340.db2.gz VSGPCXZRPJPGPK-AWEZNQCLSA-N 0 3 237.343 2.639 20 0 BFADHN CCN(Cc1ccc(F)cn1)C1CCCC1 ZINC000276384129 351619040 /nfs/dbraw/zinc/61/90/40/351619040.db2.gz QVIPEPVJHMZUBT-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN Cc1nsc(C)c1CN1C[C@@H](C)C[C@H]1C ZINC000577646477 366031077 /nfs/dbraw/zinc/03/10/77/366031077.db2.gz LXDCMEKJQXOBRT-DTWKUNHWSA-N 0 3 224.373 2.990 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccns1 ZINC000637830574 351680179 /nfs/dbraw/zinc/68/01/79/351680179.db2.gz AEAQCMIALAGFMJ-SNVBAGLBSA-N 0 3 210.346 2.908 20 0 BFADHN COc1cccc(C)c1CN1CCCC1 ZINC000637831199 351684871 /nfs/dbraw/zinc/68/48/71/351684871.db2.gz UVPFBOKCJPUNLJ-UHFFFAOYSA-N 0 3 205.301 2.599 20 0 BFADHN CCCCN(CC)[C@H](c1nccn1C)C1CC1 ZINC000637836756 351690754 /nfs/dbraw/zinc/69/07/54/351690754.db2.gz SJBAFSKDQXSVBY-ZDUSSCGKSA-N 0 3 235.375 2.993 20 0 BFADHN CCc1cc(CCCN2[C@H](C)C[C@H]2C)on1 ZINC000577697362 366038013 /nfs/dbraw/zinc/03/80/13/366038013.db2.gz JBLDHXVVSBNXPL-GHMZBOCLSA-N 0 3 222.332 2.652 20 0 BFADHN CC[C@](C)(CN1CCn2cccc2[C@H]1C)OC ZINC000637841201 351697413 /nfs/dbraw/zinc/69/74/13/351697413.db2.gz HWVCOFMVTYOEPO-TZMCWYRMSA-N 0 3 236.359 2.680 20 0 BFADHN CN(CCC[C@@H]1CCO1)Cc1cccc(F)c1 ZINC000637846471 351704267 /nfs/dbraw/zinc/70/42/67/351704267.db2.gz CGISDWVSRWCBAB-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN CCN(CC)Cc1c(C)cccc1OC ZINC000637827074 351674788 /nfs/dbraw/zinc/67/47/88/351674788.db2.gz ADSLZUKAQWKZSI-UHFFFAOYSA-N 0 3 207.317 2.845 20 0 BFADHN CCN[C@@H](C)C(=O)N[C@H](CC)c1ccc(C)cc1 ZINC000637885259 351751396 /nfs/dbraw/zinc/75/13/96/351751396.db2.gz JUEAZFJTECWPPB-GXTWGEPZSA-N 0 3 248.370 2.560 20 0 BFADHN CCN[C@H](C)C(=O)N[C@@H](CC)c1ccc(C)cc1 ZINC000637885261 351751713 /nfs/dbraw/zinc/75/17/13/351751713.db2.gz JUEAZFJTECWPPB-OCCSQVGLSA-N 0 3 248.370 2.560 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N[C@H](C)c1ccccc1 ZINC000637868860 351728288 /nfs/dbraw/zinc/72/82/88/351728288.db2.gz PNZVAXTZYXCIEO-OCCSQVGLSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@H](C)c1cnc(C)s1 ZINC000252725627 538101184 /nfs/dbraw/zinc/10/11/84/538101184.db2.gz WRLZLAVNQJLTQF-IQJOONFLSA-N 0 3 242.388 2.509 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N1[C@H](C)CCC[C@H]1C ZINC000637870948 351737084 /nfs/dbraw/zinc/73/70/84/351737084.db2.gz KVCUWYVPYJEQJA-UPJWGTAASA-N 0 3 240.391 2.539 20 0 BFADHN C[C@@H](c1ccccc1)N(C)Cc1ccc(=O)[nH]c1 ZINC000637871270 351737981 /nfs/dbraw/zinc/73/79/81/351737981.db2.gz OLANGPCOCOAXAM-LBPRGKRZSA-N 0 3 242.322 2.980 20 0 BFADHN CCN[C@H](C)C(=O)Nc1cccc2c1CCCC2 ZINC000637875890 351741034 /nfs/dbraw/zinc/74/10/34/351741034.db2.gz XWQLTPRQYGRNLL-LLVKDONJSA-N 0 3 246.354 2.502 20 0 BFADHN COc1ncc(CN(C)C2CCCC2)s1 ZINC000637878477 351745377 /nfs/dbraw/zinc/74/53/77/351745377.db2.gz LFZPVERFCRZQEY-UHFFFAOYSA-N 0 3 226.345 2.526 20 0 BFADHN Cc1ccc(CN(C)CCC[C@@H]2CCO2)o1 ZINC000637852112 351711073 /nfs/dbraw/zinc/71/10/73/351711073.db2.gz CBNAJGFDDATWIE-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CC1CCSCC1 ZINC000637852844 351711939 /nfs/dbraw/zinc/71/19/39/351711939.db2.gz PFCTVAGELUXKAK-DGCLKSJQSA-N 0 3 243.416 2.629 20 0 BFADHN CCN(CC(C)C)[C@@H](c1nccn1C)C1CC1 ZINC000637909839 351779953 /nfs/dbraw/zinc/77/99/53/351779953.db2.gz IXBJJHLWAXKNSV-CYBMUJFWSA-N 0 3 235.375 2.849 20 0 BFADHN CCC[C@@H](C)N(C)[C@@H](c1nccn1C)C1CC1 ZINC000637912364 351785606 /nfs/dbraw/zinc/78/56/06/351785606.db2.gz ULNBILBZWAYPCL-DGCLKSJQSA-N 0 3 235.375 2.992 20 0 BFADHN CC[C@@H](C)N(C)[C@H](C)c1cnc(C)nc1C ZINC000637912118 351785850 /nfs/dbraw/zinc/78/58/50/351785850.db2.gz SETWAHRKMAHTMS-MWLCHTKSSA-N 0 3 221.348 2.885 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)C2CC2)sn1 ZINC000637920985 351795736 /nfs/dbraw/zinc/79/57/36/351795736.db2.gz STZBLYSMVYSUAX-VIFPVBQESA-N 0 3 210.346 2.682 20 0 BFADHN C[C@@H](C1CC1)N(Cc1ccns1)C1CC1 ZINC000637927640 351802879 /nfs/dbraw/zinc/80/28/79/351802879.db2.gz MKPZOHOYLKLHDC-VIFPVBQESA-N 0 3 222.357 2.906 20 0 BFADHN CC[C@@H]1CCCN([C@H](c2nccn2C)C2CC2)C1 ZINC000637944710 351819668 /nfs/dbraw/zinc/81/96/68/351819668.db2.gz MTPZIRCMWGHDGZ-OCCSQVGLSA-N 0 3 247.386 2.993 20 0 BFADHN CCC[C@@H](CN1CCc2ccc(O)cc2C1)OC ZINC000637945589 351822392 /nfs/dbraw/zinc/82/23/92/351822392.db2.gz CBHRGYLQYYDQKS-HNNXBMFYSA-N 0 3 249.354 2.565 20 0 BFADHN FC1(F)CCC(CCNCc2c[nH]cn2)CC1 ZINC000725269170 538101298 /nfs/dbraw/zinc/10/12/98/538101298.db2.gz NFMVPKYYFQVKIF-UHFFFAOYSA-N 0 3 243.301 2.715 20 0 BFADHN CN(Cc1ccnn1CC1CCC1)CC1CC1 ZINC000637955750 351831054 /nfs/dbraw/zinc/83/10/54/351831054.db2.gz PRUDUUMDPXEPGQ-UHFFFAOYSA-N 0 3 233.359 2.525 20 0 BFADHN CN(Cc1ccc(C(F)F)nc1)CC1CC1 ZINC000637955309 351832350 /nfs/dbraw/zinc/83/23/50/351832350.db2.gz KENUXKKGRVPMJS-UHFFFAOYSA-N 0 3 226.270 2.861 20 0 BFADHN CN(CC(C)(C)C)[C@@H](c1nccn1C)C1CC1 ZINC000637961058 351835010 /nfs/dbraw/zinc/83/50/10/351835010.db2.gz VFYRLMLJVPXYQR-GFCCVEGCSA-N 0 3 235.375 2.849 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cncc(OC)c1C ZINC000637941086 351815440 /nfs/dbraw/zinc/81/54/40/351815440.db2.gz NWEBSHRJXFACKA-LLVKDONJSA-N 0 3 236.359 2.877 20 0 BFADHN CC(C)CC[C@H](O)CN(C)Cc1ccco1 ZINC000280249317 351837613 /nfs/dbraw/zinc/83/76/13/351837613.db2.gz ISURKIHHGJPZRK-LBPRGKRZSA-N 0 3 225.332 2.509 20 0 BFADHN CO[C@](C)(CN(C)Cc1ccoc1)C1CC1 ZINC000637966462 351840133 /nfs/dbraw/zinc/84/01/33/351840133.db2.gz RDJVBKLRNABMTP-CYBMUJFWSA-N 0 3 223.316 2.527 20 0 BFADHN CN(Cc1ccns1)C(C1CC1)C1CC1 ZINC000637989104 351864267 /nfs/dbraw/zinc/86/42/67/351864267.db2.gz GXWHSGFPLABXQV-UHFFFAOYSA-N 0 3 222.357 2.764 20 0 BFADHN C[C@@H]1CCCN([C@@H](c2nccn2C)C2CC2)[C@H]1C ZINC000637993913 351868499 /nfs/dbraw/zinc/86/84/99/351868499.db2.gz PAVWHYGRMKZYPN-MBNYWOFBSA-N 0 3 247.386 2.992 20 0 BFADHN COc1cncc(CN2CCCC2(C)C)c1C ZINC000637996343 351871480 /nfs/dbraw/zinc/87/14/80/351871480.db2.gz ILHJSQPSFAWMPE-UHFFFAOYSA-N 0 3 234.343 2.773 20 0 BFADHN C[C@@H]1CCCN(Cc2ccns2)[C@@H]1C ZINC000637994929 351872819 /nfs/dbraw/zinc/87/28/19/351872819.db2.gz YPTNTVODJSQMHD-NXEZZACHSA-N 0 3 210.346 2.764 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CCCC1(C)C ZINC000637996942 351874989 /nfs/dbraw/zinc/87/49/89/351874989.db2.gz NZCQJOFVUZXWOU-LBPRGKRZSA-N 0 3 233.359 2.746 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)NCc1nccn1C ZINC000336736169 351926050 /nfs/dbraw/zinc/92/60/50/351926050.db2.gz MJXRPAYQKOYNFU-VXGBXAGGSA-N 0 3 223.364 2.725 20 0 BFADHN CCC(C)(C)N(C)Cc1c2c(nn1C)CCCC2 ZINC000638061331 351936163 /nfs/dbraw/zinc/93/61/63/351936163.db2.gz BYWXFANUSMEKSB-UHFFFAOYSA-N 0 3 249.402 2.919 20 0 BFADHN CCC(C)(C)N(C)[C@H](c1nccn1C)C1CC1 ZINC000638063950 351937304 /nfs/dbraw/zinc/93/73/04/351937304.db2.gz YBZKJPRZCPVLOM-LBPRGKRZSA-N 0 3 235.375 2.992 20 0 BFADHN COC[C@H]1CCN(Cc2ccc(C)cc2F)C1 ZINC000638069869 351941546 /nfs/dbraw/zinc/94/15/46/351941546.db2.gz UUAZCFPKBUDRGW-LBPRGKRZSA-N 0 3 237.318 2.602 20 0 BFADHN Cc1scc(CN(C)CCn2cccn2)c1C ZINC000638072468 351944901 /nfs/dbraw/zinc/94/49/01/351944901.db2.gz GVRDUSNQSZQFNE-UHFFFAOYSA-N 0 3 249.383 2.693 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)N(C(C)C)C1CCC1 ZINC000638016531 351895021 /nfs/dbraw/zinc/89/50/21/351895021.db2.gz SVADFPDGDXILRU-CYBMUJFWSA-N 0 3 240.391 2.539 20 0 BFADHN Cc1scc(CN2CCCO[C@@H](C)C2)c1C ZINC000638022935 351899505 /nfs/dbraw/zinc/89/95/05/351899505.db2.gz ZNGDONFLOSNDGS-JTQLQIEISA-N 0 3 239.384 2.976 20 0 BFADHN CN(CC(C)(C)Oc1ccccc1)[C@H]1CCOC1 ZINC000638029581 351909887 /nfs/dbraw/zinc/90/98/87/351909887.db2.gz XXBLTNICUZEKIC-ZDUSSCGKSA-N 0 3 249.354 2.565 20 0 BFADHN CCN(CC1CC1)[C@@H](c1nccn1C)C1CC1 ZINC000638075299 351946735 /nfs/dbraw/zinc/94/67/35/351946735.db2.gz HVWVEAQGAHQPOS-CYBMUJFWSA-N 0 3 233.359 2.603 20 0 BFADHN Cc1ccc(CN(C[C@@H](C)O)C(C)C)c(F)c1 ZINC000638034022 351915053 /nfs/dbraw/zinc/91/50/53/351915053.db2.gz HKZTZXGVMOZQIQ-GFCCVEGCSA-N 0 3 239.334 2.725 20 0 BFADHN CCN(Cc1c2c(nn1C)CCCC2)CC1CC1 ZINC000638077091 351950057 /nfs/dbraw/zinc/95/00/57/351950057.db2.gz WTOPSHOGCJGVKD-UHFFFAOYSA-N 0 3 247.386 2.531 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2ccns2)C1 ZINC000638095187 351972176 /nfs/dbraw/zinc/97/21/76/351972176.db2.gz RARQJXNIZCHNIF-ZJUUUORDSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1ccns1 ZINC000638097352 351978083 /nfs/dbraw/zinc/97/80/83/351978083.db2.gz XBSBJGATSJRIHY-NXEZZACHSA-N 0 3 210.346 2.764 20 0 BFADHN Cc1ncc([C@H](C)N2C[C@@H](C)C[C@@H]2C)c(C)n1 ZINC000638112351 351989460 /nfs/dbraw/zinc/98/94/60/351989460.db2.gz YFGXPQJKKOBXOF-NHCYSSNCSA-N 0 3 233.359 2.885 20 0 BFADHN Cc1ncc([C@H](C)N2CC[C@H](C)[C@@H]2C)c(C)n1 ZINC000638161910 352026463 /nfs/dbraw/zinc/02/64/63/352026463.db2.gz BDWAGSLUZBYASN-DLOVCJGASA-N 0 3 233.359 2.885 20 0 BFADHN C[C@@H]1CCN([C@H](c2nccn2C)C2CC2)[C@H]1C ZINC000638162604 352027224 /nfs/dbraw/zinc/02/72/24/352027224.db2.gz JAZSAPKFWJZFDE-MDZLAQPJSA-N 0 3 233.359 2.602 20 0 BFADHN C[C@@H]1CCN(Cc2c3c(nn2C)CCCC3)[C@@H]1C ZINC000638164496 352031969 /nfs/dbraw/zinc/03/19/69/352031969.db2.gz YUUOBSQMAPGQIW-VXGBXAGGSA-N 0 3 247.386 2.529 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2ccns2)C1 ZINC000638168427 352036090 /nfs/dbraw/zinc/03/60/90/352036090.db2.gz FNMKIIDTFNPIOJ-SNVBAGLBSA-N 0 3 210.346 2.621 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1c2c(nn1C)CCCC2 ZINC000638173078 352036906 /nfs/dbraw/zinc/03/69/06/352036906.db2.gz SAJDTMPLVUDPOQ-VXGBXAGGSA-N 0 3 247.386 2.672 20 0 BFADHN CC[C@H]1CCN([C@@H](c2nccn2C)C2CC2)C1 ZINC000638172121 352039343 /nfs/dbraw/zinc/03/93/43/352039343.db2.gz UVLRLSRKXSOYFJ-WCQYABFASA-N 0 3 233.359 2.603 20 0 BFADHN CC(C)[C@H](NCc1cn[nH]c1)c1ccccc1 ZINC000038006387 187599383 /nfs/dbraw/zinc/59/93/83/187599383.db2.gz NVDZOUFOSBPPKK-AWEZNQCLSA-N 0 3 229.327 2.897 20 0 BFADHN COc1cc(CNCC(C)C)c(Cl)cc1O ZINC000638287729 352123662 /nfs/dbraw/zinc/12/36/62/352123662.db2.gz WGYVYRVWRAVVQC-UHFFFAOYSA-N 0 3 243.734 2.800 20 0 BFADHN CC[C@@H](C)NCc1cc(OC)c(O)cc1Cl ZINC000638290405 352126073 /nfs/dbraw/zinc/12/60/73/352126073.db2.gz SFGNUMBGPKDQNO-MRVPVSSYSA-N 0 3 243.734 2.942 20 0 BFADHN COc1cccc(C)c1CNCCc1ccco1 ZINC000638298024 352129949 /nfs/dbraw/zinc/12/99/49/352129949.db2.gz MPYQWZNBNROKHQ-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN CC[C@@H](O)CN1CC(C)(C)[C@@H]1c1cccs1 ZINC000286657488 352098067 /nfs/dbraw/zinc/09/80/67/352098067.db2.gz ALZLCOHPQMDFON-PWSUYJOCSA-N 0 3 239.384 2.902 20 0 BFADHN CCN(Cc1cnccc1OC)[C@H](C)C(C)C ZINC000286670526 352099522 /nfs/dbraw/zinc/09/95/22/352099522.db2.gz AJVJWRRIOZBSCP-GFCCVEGCSA-N 0 3 236.359 2.957 20 0 BFADHN CCN1CCN(C/C=C/c2ccccc2)[C@@H](C)C1 ZINC000286675105 352099595 /nfs/dbraw/zinc/09/95/95/352099595.db2.gz VFXDLFYZARPZSE-VSGCLNPGSA-N 0 3 244.382 2.726 20 0 BFADHN CCN1CCN(CCCc2ccccc2)[C@@H](C)C1 ZINC000286771952 352104596 /nfs/dbraw/zinc/10/45/96/352104596.db2.gz ZVFSMOFQDFUOBS-HNNXBMFYSA-N 0 3 246.398 2.645 20 0 BFADHN CC(C)[C@H]1CCN1[C@H](c1nccn1C)C1CC1 ZINC000638275207 352111849 /nfs/dbraw/zinc/11/18/49/352111849.db2.gz LAOPJMYVRCSUJC-OLZOCXBDSA-N 0 3 233.359 2.602 20 0 BFADHN CC(=O)Nc1cccc(CN2CC[C@H]2C(C)C)c1 ZINC000638276553 352113879 /nfs/dbraw/zinc/11/38/79/352113879.db2.gz SEGNEFKZTRWAOK-HNNXBMFYSA-N 0 3 246.354 2.875 20 0 BFADHN CC[C@@H]1CCCN(CCc2cscn2)C1 ZINC000340284781 352153992 /nfs/dbraw/zinc/15/39/92/352153992.db2.gz JYESKGXAACIEPE-LLVKDONJSA-N 0 3 224.373 2.808 20 0 BFADHN Cc1nc2ccccc2nc1CNC[C@@H]1C[C@@H]1C ZINC000638362642 352175481 /nfs/dbraw/zinc/17/54/81/352175481.db2.gz JFJGRSJJEGZRGG-JQWIXIFHSA-N 0 3 241.338 2.684 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1C(=O)[C@@H](N)CCC(C)C ZINC000638313262 352139815 /nfs/dbraw/zinc/13/98/15/352139815.db2.gz SLKXHCGPXAYOIH-XQQFMLRXSA-N 0 3 240.391 2.539 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cn2cccnc2n1 ZINC000289736998 352196350 /nfs/dbraw/zinc/19/63/50/352196350.db2.gz DQTVWRPKOSMFDJ-LBPRGKRZSA-N 0 3 246.358 2.740 20 0 BFADHN CCN[C@H](C)C(=O)NCC[C@@H](C)CC(C)(C)C ZINC000638322048 352144383 /nfs/dbraw/zinc/14/43/83/352144383.db2.gz TYBXORJJZVVUER-VXGBXAGGSA-N 0 3 242.407 2.563 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cnc2cnccn12 ZINC000290766080 352240197 /nfs/dbraw/zinc/24/01/97/352240197.db2.gz BVSJWBPKTNXTRG-GFCCVEGCSA-N 0 3 246.358 2.740 20 0 BFADHN Cc1ccccc1OCCNCc1ccns1 ZINC000638400885 352206275 /nfs/dbraw/zinc/20/62/75/352206275.db2.gz MYOUVEQZVCVLGH-UHFFFAOYSA-N 0 3 248.351 2.620 20 0 BFADHN CC[C@@H]1CCCN(Cc2cncc(OC)c2)C1 ZINC000290240411 352216754 /nfs/dbraw/zinc/21/67/54/352216754.db2.gz JEJXDIWCROQTJN-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN COC[C@H](NCc1cc(C)ccc1F)C(C)C ZINC000230675843 352222891 /nfs/dbraw/zinc/22/28/91/352222891.db2.gz XJKBDTSLPLQYAA-AWEZNQCLSA-N 0 3 239.334 2.895 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)CCC1(O)CCC1 ZINC000411116435 191161003 /nfs/dbraw/zinc/16/10/03/191161003.db2.gz VKPUKYIQSASFNH-GFCCVEGCSA-N 0 3 249.354 2.690 20 0 BFADHN C[C@H](CNCc1nc2ccccc2o1)C1CC1 ZINC000638418233 352227629 /nfs/dbraw/zinc/22/76/29/352227629.db2.gz DJURKAYGPOIWBU-SNVBAGLBSA-N 0 3 230.311 2.964 20 0 BFADHN CCCCN(C)Cc1csc(C(=O)OC)c1 ZINC000411132257 191161535 /nfs/dbraw/zinc/16/15/35/191161535.db2.gz YFOXKQPZGBOYAD-UHFFFAOYSA-N 0 3 241.356 2.767 20 0 BFADHN CC(C)N(CCC1(O)CCC1)Cc1ccco1 ZINC000411116605 191161928 /nfs/dbraw/zinc/16/19/28/191161928.db2.gz ZKWMMJHVZOIQTM-UHFFFAOYSA-N 0 3 237.343 2.795 20 0 BFADHN CCC[C@@H](C)NC(=O)[C@H](C)N(CCC)CCC ZINC000411162007 191163967 /nfs/dbraw/zinc/16/39/67/191163967.db2.gz CCQICHWBCUMYOI-OLZOCXBDSA-N 0 3 242.407 2.802 20 0 BFADHN c1c(CNC[C@H]2CC=CCC2)onc1C1CC1 ZINC000638450119 352261489 /nfs/dbraw/zinc/26/14/89/352261489.db2.gz LEAPQAPUCNVATI-NSHDSACASA-N 0 3 232.327 2.998 20 0 BFADHN CC1(C)CN([C@@H]2C[C@@H]2c2ccccc2)CC[C@H]1O ZINC000291176282 352264304 /nfs/dbraw/zinc/26/43/04/352264304.db2.gz NSYTVKRMHVCBPK-RBSFLKMASA-N 0 3 245.366 2.635 20 0 BFADHN CCCN(CCC)[C@H](C)C(=O)NCCC(C)C ZINC000411164951 191166722 /nfs/dbraw/zinc/16/67/22/191166722.db2.gz ITMVAULQYJZMDF-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN CCN(Cc1cc(F)cc(F)c1)C[C@@H](C)OC ZINC000291015405 352254487 /nfs/dbraw/zinc/25/44/87/352254487.db2.gz BRFURUMYOWKUST-SNVBAGLBSA-N 0 3 243.297 2.822 20 0 BFADHN CC[C@@H](C)c1cccc(NC(=O)[C@H](C)NC)c1 ZINC000638466643 352277670 /nfs/dbraw/zinc/27/76/70/352277670.db2.gz HLAVZTZUDCOBMN-MNOVXSKESA-N 0 3 234.343 2.747 20 0 BFADHN CC[C@H](C)c1cccc(NC(=O)C2(N)CC2)c1 ZINC000638468745 352278367 /nfs/dbraw/zinc/27/83/67/352278367.db2.gz SSRFQPIEUZXWKB-JTQLQIEISA-N 0 3 232.327 2.630 20 0 BFADHN C[C@@H](c1ccco1)N(C)CCc1cncs1 ZINC000411190121 191168214 /nfs/dbraw/zinc/16/82/14/191168214.db2.gz HKIYKGYJCPYHPE-JTQLQIEISA-N 0 3 236.340 2.972 20 0 BFADHN Cc1nc2ccccc2nc1CN1[C@H](C)C[C@@H]1C ZINC000336055298 538102674 /nfs/dbraw/zinc/10/26/74/538102674.db2.gz HYKBRQCFNFTXAF-PHIMTYICSA-N 0 3 241.338 2.921 20 0 BFADHN Cc1nc2ccccc2nc1CN1[C@H](C)C[C@H]1C ZINC000336055297 538102694 /nfs/dbraw/zinc/10/26/94/538102694.db2.gz HYKBRQCFNFTXAF-GHMZBOCLSA-N 0 3 241.338 2.921 20 0 BFADHN COc1cccc(C)c1CNCC[C@@H](C)OC ZINC000638486736 352295949 /nfs/dbraw/zinc/29/59/49/352295949.db2.gz WCLPOQSDFUFQGG-GFCCVEGCSA-N 0 3 237.343 2.518 20 0 BFADHN CCN(Cc1ccc(Cl)cc1)[C@@H](C)CO ZINC000293456169 352351671 /nfs/dbraw/zinc/35/16/71/352351671.db2.gz PUFIXJXSXBNVEZ-JTQLQIEISA-N 0 3 227.735 2.543 20 0 BFADHN CC1(C)CN(CC2CC2)[C@@H]1c1ccncc1 ZINC000293515927 352353597 /nfs/dbraw/zinc/35/35/97/352353597.db2.gz ANFZLLGWUIROID-CYBMUJFWSA-N 0 3 216.328 2.875 20 0 BFADHN COCC(C)(C)NCc1cc2ccccc2n1C ZINC000638502500 352322525 /nfs/dbraw/zinc/32/25/25/352322525.db2.gz JMGIJBXEFYPULB-UHFFFAOYSA-N 0 3 246.354 2.693 20 0 BFADHN CCN(Cc1ccc([C@@H]2C[C@H]2C)o1)[C@H](C)CO ZINC000292849821 352330750 /nfs/dbraw/zinc/33/07/50/352330750.db2.gz TXINWDKSSJQMNE-NQBHXWOUSA-N 0 3 237.343 2.606 20 0 BFADHN CCN(Cc1ccc([C@@H]2C[C@@H]2C)o1)[C@H](C)CO ZINC000292849811 352330813 /nfs/dbraw/zinc/33/08/13/352330813.db2.gz TXINWDKSSJQMNE-DMDPSCGWSA-N 0 3 237.343 2.606 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@@H](CC(C)C)C2)n[nH]1 ZINC000411297901 191175836 /nfs/dbraw/zinc/17/58/36/191175836.db2.gz ILECWIAVJFFHEO-JQWIXIFHSA-N 0 3 236.363 2.542 20 0 BFADHN CC[C@@H](C)CN(CC)[C@@H](C)c1n[nH]c(C)n1 ZINC000411340256 191178687 /nfs/dbraw/zinc/17/86/87/191178687.db2.gz ZXSAHHYJWXWUCY-ZJUUUORDSA-N 0 3 224.352 2.542 20 0 BFADHN CC[C@H](C)CN(CC)[C@H](C)c1n[nH]c(C)n1 ZINC000411340255 191179462 /nfs/dbraw/zinc/17/94/62/191179462.db2.gz ZXSAHHYJWXWUCY-VHSXEESVSA-N 0 3 224.352 2.542 20 0 BFADHN CC(C)=CCNCc1ccc(F)nc1C ZINC000278842488 187688596 /nfs/dbraw/zinc/68/85/96/187688596.db2.gz WDXJSUCIIHZLNM-UHFFFAOYSA-N 0 3 208.280 2.585 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@H](OC)C1CC1 ZINC000340485040 352387809 /nfs/dbraw/zinc/38/78/09/352387809.db2.gz AKMSBDYCVHFSQC-FZMZJTMJSA-N 0 3 248.370 2.720 20 0 BFADHN CC[C@@H](NCc1sccc1C)[C@H](O)C(F)F ZINC000295143104 352399078 /nfs/dbraw/zinc/39/90/78/352399078.db2.gz ZXENLSRVCFSTQY-SCZZXKLOSA-N 0 3 249.326 2.551 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccnc1)[C@H]1CC1(F)F ZINC000638589897 352421018 /nfs/dbraw/zinc/42/10/18/352421018.db2.gz JCRARRUIQFJATC-IQJOONFLSA-N 0 3 226.270 2.776 20 0 BFADHN c1cc(CN[C@H]2C[C@H]2Cc2ccccc2)sn1 ZINC000638634241 352477037 /nfs/dbraw/zinc/47/70/37/352477037.db2.gz KDPCZIGUKCTTEQ-OCCSQVGLSA-N 0 3 244.363 2.864 20 0 BFADHN c1cc(CN[C@@H]2C[C@@H]2Cc2ccccc2)sn1 ZINC000638634238 352477054 /nfs/dbraw/zinc/47/70/54/352477054.db2.gz KDPCZIGUKCTTEQ-GXTWGEPZSA-N 0 3 244.363 2.864 20 0 BFADHN COc1cccc(C)c1CN[C@@H]1CCSC1 ZINC000638715277 352559135 /nfs/dbraw/zinc/55/91/35/352559135.db2.gz RIKYYNRTXFBDGE-LLVKDONJSA-N 0 3 237.368 2.599 20 0 BFADHN CC[C@@H](N)C(=O)N[C@@H](c1cccc(C)c1)C(C)C ZINC000638664950 352508889 /nfs/dbraw/zinc/50/88/89/352508889.db2.gz AUAFDKPSLHEQMR-ZIAGYGMSSA-N 0 3 248.370 2.546 20 0 BFADHN CC[C@H](N)C(=O)N[C@H](c1cccc(C)c1)C(C)C ZINC000638664947 352509084 /nfs/dbraw/zinc/50/90/84/352509084.db2.gz AUAFDKPSLHEQMR-KBPBESRZSA-N 0 3 248.370 2.546 20 0 BFADHN CCc1cnc(CNC[C@@H]2C[C@H]3C[C@H]3C2)s1 ZINC000638711864 352552577 /nfs/dbraw/zinc/55/25/77/352552577.db2.gz HJSVMBLLCSXCJF-JGPRNRPPSA-N 0 3 236.384 2.841 20 0 BFADHN COc1cccc(C)c1CN[C@H]1CCSC1 ZINC000638715282 352559188 /nfs/dbraw/zinc/55/91/88/352559188.db2.gz RIKYYNRTXFBDGE-NSHDSACASA-N 0 3 237.368 2.599 20 0 BFADHN CCC[C@H](C)N1CCO[C@@H](C2CCC2)C1 ZINC000411844475 191216098 /nfs/dbraw/zinc/21/60/98/191216098.db2.gz JOPPAEQBXNGFLQ-WCQYABFASA-N 0 3 211.349 2.676 20 0 BFADHN CCO[C@@H](CCNCc1ccns1)C(C)C ZINC000638732239 352601090 /nfs/dbraw/zinc/60/10/90/352601090.db2.gz BVUDDFFWLOOJCL-LBPRGKRZSA-N 0 3 242.388 2.684 20 0 BFADHN CCCC[C@@H](CC)CNC(=O)[C@@](C)(N)CCC ZINC000040806509 352605067 /nfs/dbraw/zinc/60/50/67/352605067.db2.gz KBHAFVSABIVQLM-OCCSQVGLSA-N 0 3 242.407 2.837 20 0 BFADHN Cn1ncc2c1CCCN(CCCC(C)(C)C)C2 ZINC000411847397 191217713 /nfs/dbraw/zinc/21/77/13/191217713.db2.gz GPQQCILSXJDSAB-UHFFFAOYSA-N 0 3 249.402 2.995 20 0 BFADHN CCC1(N[C@H](c2nccn2C)C2CC2)CCC1 ZINC000638723796 352574669 /nfs/dbraw/zinc/57/46/69/352574669.db2.gz ZFMCUHFUUKKRQW-LBPRGKRZSA-N 0 3 233.359 2.794 20 0 BFADHN Fc1ccc2oc(CNC[C@@H]3CCCO3)cc2c1 ZINC000608053217 352655759 /nfs/dbraw/zinc/65/57/59/352655759.db2.gz YZIYICULJWBQOB-LBPRGKRZSA-N 0 3 249.285 2.841 20 0 BFADHN COC(C)(C)CNCc1nccc2ccccc21 ZINC000608065362 352663503 /nfs/dbraw/zinc/66/35/03/352663503.db2.gz PVRDQARORABKND-UHFFFAOYSA-N 0 3 244.338 2.749 20 0 BFADHN CC[C@H](F)CN[C@H](C)[C@@](C)(OC)C1CC1 ZINC000411965466 191234524 /nfs/dbraw/zinc/23/45/24/191234524.db2.gz FLVXUUQTOZTGAG-ADEWGFFLSA-N 0 3 217.328 2.528 20 0 BFADHN COc1cccc(C)c1CNC1CC=CC1 ZINC000638761239 352696520 /nfs/dbraw/zinc/69/65/20/352696520.db2.gz WFWFTCZBOUAGAN-UHFFFAOYSA-N 0 3 217.312 2.812 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1ncccc1C)C1CC1 ZINC000411969160 191235843 /nfs/dbraw/zinc/23/58/43/191235843.db2.gz LEQHZBUICVTPTH-IUODEOHRSA-N 0 3 248.370 2.683 20 0 BFADHN COC1([C@@H](C)NCc2ccc(C)nc2C)CCC1 ZINC000412019699 191236500 /nfs/dbraw/zinc/23/65/00/191236500.db2.gz FWOBLLLIOHSDPN-CYBMUJFWSA-N 0 3 248.370 2.746 20 0 BFADHN COc1cccc(C)c1CNC[C@@]1(C)CCCO1 ZINC000638762787 352701154 /nfs/dbraw/zinc/70/11/54/352701154.db2.gz JSDFXDLKXNFQBF-OAHLLOKOSA-N 0 3 249.354 2.662 20 0 BFADHN CCN(Cc1occc1C)C[C@H]1CC[C@@H](C)O1 ZINC000412027880 191239476 /nfs/dbraw/zinc/23/94/76/191239476.db2.gz QQOROKCIQLOMJO-CHWSQXEVSA-N 0 3 237.343 2.977 20 0 BFADHN CCN(Cc1occc1C)C[C@](C)(O)CC ZINC000412027996 191239643 /nfs/dbraw/zinc/23/96/43/191239643.db2.gz REKWAZLWNYDJFY-CYBMUJFWSA-N 0 3 225.332 2.571 20 0 BFADHN CC(C)CN(C)Cc1cnn(CC(C)C)c1 ZINC000340910810 352682944 /nfs/dbraw/zinc/68/29/44/352682944.db2.gz HNOXHCWTJAFFJD-UHFFFAOYSA-N 0 3 223.364 2.627 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCOC[C@H](C)C1 ZINC000334186103 352774790 /nfs/dbraw/zinc/77/47/90/352774790.db2.gz FPDGHYFKCHKDOS-VXGBXAGGSA-N 0 3 237.318 2.855 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCOC[C@@H](C)C1 ZINC000334185668 352774870 /nfs/dbraw/zinc/77/48/70/352774870.db2.gz FPDGHYFKCHKDOS-RYUDHWBXSA-N 0 3 237.318 2.855 20 0 BFADHN COCC1(CNc2cc(C)cc(C)n2)CCC1 ZINC000638788258 352745042 /nfs/dbraw/zinc/74/50/42/352745042.db2.gz FRTMHAOODJGNNL-UHFFFAOYSA-N 0 3 234.343 2.927 20 0 BFADHN CCN(Cc1ccnc(C)n1)C1CC(C)(C)C1 ZINC000412034938 191246760 /nfs/dbraw/zinc/24/67/60/191246760.db2.gz JXRFYAAZUWKQML-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN C[C@@H](c1ccccc1F)N1C[C@H](O)C[C@H](C)C1 ZINC000412037188 191248216 /nfs/dbraw/zinc/24/82/16/191248216.db2.gz NBKJQVMYPVIFLN-SDDRHHMPSA-N 0 3 237.318 2.589 20 0 BFADHN CC(C)n1ccc(CN2CC[C@H]3CCC[C@H]32)n1 ZINC000334212719 352810844 /nfs/dbraw/zinc/81/08/44/352810844.db2.gz CYZFODKDRMSYOH-TZMCWYRMSA-N 0 3 233.359 2.838 20 0 BFADHN CCN(Cc1cnn(C)c1C)C1CC(C)(C)C1 ZINC000412044261 191248988 /nfs/dbraw/zinc/24/89/88/191248988.db2.gz XVRYVPQNFAHLCG-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN COc1ccnc(CN2CC(C)(C)C[C@H]2C)c1 ZINC000412042568 191249391 /nfs/dbraw/zinc/24/93/91/191249391.db2.gz MNDFLTULUHVULP-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1noc(C2CCN(CC3(C)CCC3)CC2)n1 ZINC000334195123 352787464 /nfs/dbraw/zinc/78/74/64/352787464.db2.gz KGLCYONSXXDAMF-UHFFFAOYSA-N 0 3 249.358 2.748 20 0 BFADHN CCC[C@@H](C)N(C)Cc1ccnc(OC)c1 ZINC000342856666 352877577 /nfs/dbraw/zinc/87/75/77/352877577.db2.gz HAKKTFVGUNJQNO-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN COc1cc(CN2CCCCC[C@@H]2C)ccn1 ZINC000342856593 352877871 /nfs/dbraw/zinc/87/78/71/352877871.db2.gz FIQJYNBADQSYQD-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cnn(C(C)C)c1 ZINC000342846989 352873365 /nfs/dbraw/zinc/87/33/65/352873365.db2.gz FRUIGEROAUFUEE-ZDUSSCGKSA-N 0 3 221.348 2.694 20 0 BFADHN CC(C)[C@H]1CCN1Cc1ccc2c(c1)OCCO2 ZINC000342847485 352873584 /nfs/dbraw/zinc/87/35/84/352873584.db2.gz CHNPSLWDOTYEPV-CYBMUJFWSA-N 0 3 247.338 2.688 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1ccc2c(c1)CCO2 ZINC000342847821 352874449 /nfs/dbraw/zinc/87/44/49/352874449.db2.gz HQMTWYMKPSHYHK-AWEZNQCLSA-N 0 3 231.339 2.852 20 0 BFADHN Cc1ccc2c(C[N@@H+](C)C3CC3)ccc([O-])c2n1 ZINC000334245150 352834822 /nfs/dbraw/zinc/83/48/22/352834822.db2.gz SKYDUYNWOOXXKI-UHFFFAOYSA-N 0 3 242.322 2.843 20 0 BFADHN Cc1ccc2c(C[N@H+](C)C3CC3)ccc([O-])c2n1 ZINC000334245150 352834826 /nfs/dbraw/zinc/83/48/26/352834826.db2.gz SKYDUYNWOOXXKI-UHFFFAOYSA-N 0 3 242.322 2.843 20 0 BFADHN Cc1ccnc(CN2C[C@@H](C)CCC[C@H]2C)n1 ZINC000334228063 352844468 /nfs/dbraw/zinc/84/44/68/352844468.db2.gz GJAFOYSNGZLLEN-WCQYABFASA-N 0 3 233.359 2.796 20 0 BFADHN CCc1ccc(CN2CCC23CCC3)nc1 ZINC000334227496 352844561 /nfs/dbraw/zinc/84/45/61/352844561.db2.gz FPAOXAOKUBHMQL-UHFFFAOYSA-N 0 3 216.328 2.772 20 0 BFADHN CCn1nccc1CN1CCCCC[C@@H]1C ZINC000334270908 352907783 /nfs/dbraw/zinc/90/77/83/352907783.db2.gz GEJCWHVHQORGBR-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN COc1ccc(OC)c(CN2CC[C@H]2C(C)C)c1 ZINC000342860812 352879571 /nfs/dbraw/zinc/87/95/71/352879571.db2.gz JUEQUNWXIQAJQY-AWEZNQCLSA-N 0 3 249.354 2.934 20 0 BFADHN COc1cc(CN2CCC(C)(C)CC2)ccn1 ZINC000342863723 352881433 /nfs/dbraw/zinc/88/14/33/352881433.db2.gz JDBWBBUYNXKYPE-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cc(CN(CC(C)C)C2CC2)ccn1 ZINC000342864892 352881866 /nfs/dbraw/zinc/88/18/66/352881866.db2.gz QXLLQKNPRHPLKV-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1cnc(CN2C[C@H](C)CCC[C@H]2C)cn1 ZINC000334262845 352896539 /nfs/dbraw/zinc/89/65/39/352896539.db2.gz JEFYQDYBMHUDNK-DGCLKSJQSA-N 0 3 233.359 2.796 20 0 BFADHN C[C@@]1(NCc2c[nH]cn2)CCCc2ccccc21 ZINC000334263336 352897148 /nfs/dbraw/zinc/89/71/48/352897148.db2.gz JRDBLRQQWYQROC-OAHLLOKOSA-N 0 3 241.338 2.751 20 0 BFADHN C[C@@]1(NCc2cnc[nH]2)CCCc2ccccc21 ZINC000334263336 352897149 /nfs/dbraw/zinc/89/71/49/352897149.db2.gz JRDBLRQQWYQROC-OAHLLOKOSA-N 0 3 241.338 2.751 20 0 BFADHN CC[C@@H](N)C(=O)N(c1ccccc1)[C@H](C)C1CC1 ZINC000638840170 353016512 /nfs/dbraw/zinc/01/65/12/353016512.db2.gz NIYBPJBSEJNSCJ-BXUZGUMPSA-N 0 3 246.354 2.555 20 0 BFADHN CC[C@@](C)(CNCc1ccc(Cl)cn1)OC ZINC000638836337 353021762 /nfs/dbraw/zinc/02/17/62/353021762.db2.gz FDWBFCDWUNCJQM-LBPRGKRZSA-N 0 3 242.750 2.640 20 0 BFADHN OCCN(CC1=CCCC1)Cc1ccccc1 ZINC000306578220 353026625 /nfs/dbraw/zinc/02/66/25/353026625.db2.gz MAEVFQFLIGXQPN-UHFFFAOYSA-N 0 3 231.339 2.591 20 0 BFADHN CC(C)(C)N[C@H](c1nc[nH]n1)C1CCCCC1 ZINC000638850504 353029652 /nfs/dbraw/zinc/02/96/52/353029652.db2.gz PDYMTCFEXJZGJM-NSHDSACASA-N 0 3 236.363 2.814 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C(C)C)C2)s1 ZINC000334360980 353010624 /nfs/dbraw/zinc/01/06/24/353010624.db2.gz BMEQMBDXRWBKFJ-LLVKDONJSA-N 0 3 224.373 2.929 20 0 BFADHN CC(C)n1nccc1CN1CCC[C@@H](C)C1 ZINC000334361816 353012209 /nfs/dbraw/zinc/01/22/09/353012209.db2.gz CEIAWIOAHUMKHV-GFCCVEGCSA-N 0 3 221.348 2.696 20 0 BFADHN c1cc(N2CCCC2)ncc1CN1CCCCC1 ZINC000092107088 187973100 /nfs/dbraw/zinc/97/31/00/187973100.db2.gz QTMPBYBTFBCOLZ-UHFFFAOYSA-N 0 3 245.370 2.668 20 0 BFADHN Cc1ccc(NC(=O)C(C)C(F)(F)F)nc1 ZINC000334383656 353046141 /nfs/dbraw/zinc/04/61/41/353046141.db2.gz LDFAPHILSFSAOD-SSDOTTSWSA-N 0 3 232.205 2.527 20 0 BFADHN CC[C@H](C)N1CCN(c2ccc(F)cc2)CC1 ZINC000172690679 353112966 /nfs/dbraw/zinc/11/29/66/353112966.db2.gz HAMCAOSAXCBMHH-LBPRGKRZSA-N 0 3 236.334 2.746 20 0 BFADHN c1ncc(CNCCC2=CCCC2)s1 ZINC000120127976 188003106 /nfs/dbraw/zinc/00/31/06/188003106.db2.gz OIZAVFDXHYTAAP-UHFFFAOYSA-N 0 3 208.330 2.733 20 0 BFADHN CC[C@@H](C)[C@@H](CN(C)Cc1cccnc1)OC ZINC000638917456 353081295 /nfs/dbraw/zinc/08/12/95/353081295.db2.gz FLCREMTXTAIIGP-TZMCWYRMSA-N 0 3 236.359 2.575 20 0 BFADHN CCc1nc(C)c([C@H](C)NC[C@H](C)OC)s1 ZINC000188113245 353122822 /nfs/dbraw/zinc/12/28/22/353122822.db2.gz FSUUMMYIZMRMLA-IUCAKERBSA-N 0 3 242.388 2.699 20 0 BFADHN CC(C)N(CCC[C@H](C)O)Cc1ccco1 ZINC000336656459 188011447 /nfs/dbraw/zinc/01/14/47/188011447.db2.gz LGYYLRMDDPLZJK-LBPRGKRZSA-N 0 3 225.332 2.651 20 0 BFADHN CC(C)(O)C(C)(C)NCc1cc(F)ccc1F ZINC000227346020 188011955 /nfs/dbraw/zinc/01/19/55/188011955.db2.gz YJDPQTRYGVWCIT-UHFFFAOYSA-N 0 3 243.297 2.604 20 0 BFADHN CC(C)N(Cc1cccnc1)C[C@@H]1CC[C@H](C)O1 ZINC000336784748 188012723 /nfs/dbraw/zinc/01/27/23/188012723.db2.gz WTMDRWJNXFHHHG-ZFWWWQNUSA-N 0 3 248.370 2.860 20 0 BFADHN CC(C)C[C@H](C)CN1CCN(C(C)C)CC1 ZINC000337136340 188013630 /nfs/dbraw/zinc/01/36/30/188013630.db2.gz OHEHUULBFIYBBZ-AWEZNQCLSA-N 0 3 226.408 2.695 20 0 BFADHN COc1ccc(CN2C[C@@H](C)CC(C)(C)C2)nc1 ZINC000338289669 188017140 /nfs/dbraw/zinc/01/71/40/188017140.db2.gz JJAHSFBWWVYVBE-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cccc2c1OCCO2 ZINC000338204687 188017169 /nfs/dbraw/zinc/01/71/69/188017169.db2.gz HHPMTHVBDOXYQN-LBPRGKRZSA-N 0 3 249.354 2.934 20 0 BFADHN COc1ccc(CN(C)[C@@H]2CC[C@@H](C)C2)cn1 ZINC000339046221 188018755 /nfs/dbraw/zinc/01/87/55/188018755.db2.gz IBVKAABAFZUTAM-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN CSc1ccc(CN(C)CCCCO)s1 ZINC000356411691 188019356 /nfs/dbraw/zinc/01/93/56/188019356.db2.gz YFTXZYFSMWLTKY-UHFFFAOYSA-N 0 3 245.413 2.674 20 0 BFADHN COc1ccc(CN2C[C@@H](C)[C@@H]2C)cc1 ZINC000339570110 188019908 /nfs/dbraw/zinc/01/99/08/188019908.db2.gz VKUJIIQUIGIRHU-MNOVXSKESA-N 0 3 205.301 2.535 20 0 BFADHN CC(C)[C@H](O)CNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000252893196 188020368 /nfs/dbraw/zinc/02/03/68/188020368.db2.gz QAOUTRKIOCXLAZ-KGYLQXTDSA-N 0 3 237.343 2.510 20 0 BFADHN CC(C)(C)[C@H]1C[C@H](NCc2ccccn2)CCO1 ZINC000270665602 188024921 /nfs/dbraw/zinc/02/49/21/188024921.db2.gz PGZDPHAGODRXPW-TZMCWYRMSA-N 0 3 248.370 2.765 20 0 BFADHN Cc1nn(C)cc1CNC(C)(C)c1ccccc1 ZINC000270200933 188025049 /nfs/dbraw/zinc/02/50/49/188025049.db2.gz JJTQGBYXFHHJCK-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN CC(C)N(Cc1ccco1)CC1=CCCOC1 ZINC000271043942 188025381 /nfs/dbraw/zinc/02/53/81/188025381.db2.gz KSFNVEGGLQQDKU-UHFFFAOYSA-N 0 3 235.327 2.837 20 0 BFADHN CC[C@@H]1CN(Cc2ccc([C@@H]3C[C@H]3C)o2)C[C@@H]1O ZINC000271278055 188026299 /nfs/dbraw/zinc/02/62/99/188026299.db2.gz LJPLTDXDVABXAR-OXHZDVMGSA-N 0 3 249.354 2.606 20 0 BFADHN COc1ccc(CN2C[C@@H](C)CC[C@H]2C)nc1 ZINC000271970266 188026765 /nfs/dbraw/zinc/02/67/65/188026765.db2.gz MULCWQRHIHQQOD-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H]1CCc2c1cccc2F ZINC000274570895 188027809 /nfs/dbraw/zinc/02/78/09/188027809.db2.gz AXQXFRGUEUKJHW-HKHQAYBLSA-N 0 3 249.329 2.730 20 0 BFADHN Cc1n[nH]cc1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC000280757093 188031411 /nfs/dbraw/zinc/03/14/11/188031411.db2.gz YKGMIUNTODNTPL-CTYIDZIISA-N 0 3 241.338 2.754 20 0 BFADHN Fc1ccccc1[C@H]1CCN(Cc2cnc[nH]2)C1 ZINC000280439311 188031622 /nfs/dbraw/zinc/03/16/22/188031622.db2.gz JYRDRSDLICAADH-NSHDSACASA-N 0 3 245.301 2.538 20 0 BFADHN COc1ccncc1CN1CCC[C@@H]1C1CCC1 ZINC000286733919 188034498 /nfs/dbraw/zinc/03/44/98/188034498.db2.gz FDUSGDDXEXFBLZ-CQSZACIVSA-N 0 3 246.354 2.855 20 0 BFADHN CC(C)N1CCCN(Cc2cccs2)CC1 ZINC000298463881 188041462 /nfs/dbraw/zinc/04/14/62/188041462.db2.gz ZPAOCXSGONTBHX-UHFFFAOYSA-N 0 3 238.400 2.664 20 0 BFADHN C/C=C/C[C@H](CO)NCc1cc(Cl)cs1 ZINC000193221494 188004515 /nfs/dbraw/zinc/00/45/15/188004515.db2.gz PCJHDDUBJPINQP-VMZHVLLKSA-N 0 3 245.775 2.818 20 0 BFADHN CC1(C)OCC[C@H]1NCc1cc(F)cc(F)c1 ZINC000293687303 188051000 /nfs/dbraw/zinc/05/10/00/188051000.db2.gz KSUHFDRPYVNJIT-GFCCVEGCSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1ccc2c(c1)CN(CC[C@H]1CCCO1)C2 ZINC000336548058 188057358 /nfs/dbraw/zinc/05/73/58/188057358.db2.gz GXHTYLBUYBLRGJ-OAHLLOKOSA-N 0 3 231.339 2.880 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@H]1C[C@H]1C(F)F ZINC000342192200 188045382 /nfs/dbraw/zinc/04/53/82/188045382.db2.gz AQGRXTSUUDPNBE-MNOVXSKESA-N 0 3 243.301 2.516 20 0 BFADHN FC(F)(F)CCNC/C=C\c1ccncc1 ZINC000309592037 180880823 /nfs/dbraw/zinc/88/08/23/180880823.db2.gz SLPGRKJANWVJAC-UPHRSURJSA-N 0 3 230.233 2.637 20 0 BFADHN CO[C@@H](C)[C@H](C)Nc1cc(C)cc(C)n1 ZINC000134351753 538111875 /nfs/dbraw/zinc/11/18/75/538111875.db2.gz DUWLQANQQHGZOU-QWRGUYRKSA-N 0 3 208.305 2.534 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NCCc1ccsc1 ZINC000134547035 538112848 /nfs/dbraw/zinc/11/28/48/538112848.db2.gz PSBCNSJLMSSDNC-VIFPVBQESA-N 0 3 235.356 2.673 20 0 BFADHN Cc1nnc(CN[C@@H]2CCCC[C@@H]2C)s1 ZINC000134720995 538113672 /nfs/dbraw/zinc/11/36/72/538113672.db2.gz JPSZRMNTTAPEHP-WCBMZHEXSA-N 0 3 225.361 2.515 20 0 BFADHN FC(F)c1ccc(CN[C@H]2CCOC2)cc1 ZINC000124600402 180910965 /nfs/dbraw/zinc/91/09/65/180910965.db2.gz BNCZNWVEKPJWQT-NSHDSACASA-N 0 3 227.254 2.503 20 0 BFADHN Cc1ccncc1CN(C)CCC(C)C ZINC000353387018 188234320 /nfs/dbraw/zinc/23/43/20/188234320.db2.gz YQNYIERXRRUQOW-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN Cc1cc(CCN[C@H](C)c2cc(C)ccn2)on1 ZINC000353974712 188235025 /nfs/dbraw/zinc/23/50/25/188235025.db2.gz INVAYLOFJOGCOC-GFCCVEGCSA-N 0 3 245.326 2.580 20 0 BFADHN FCCCCNCc1csc(C2CC2)n1 ZINC000336737932 180927480 /nfs/dbraw/zinc/92/74/80/180927480.db2.gz QULJADVJBIBBTJ-UHFFFAOYSA-N 0 3 228.336 2.860 20 0 BFADHN CCN(C)c1ccc(CN(CC)C2CCC2)cn1 ZINC000361548421 188244667 /nfs/dbraw/zinc/24/46/67/188244667.db2.gz JNHUSXAFAHATOJ-UHFFFAOYSA-N 0 3 247.386 2.912 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)N[C@H](C)CCCC(C)C ZINC000251838679 353292975 /nfs/dbraw/zinc/29/29/75/353292975.db2.gz LCVJGUBELAJMDH-YNEHKIRRSA-N 0 3 242.407 2.691 20 0 BFADHN C[C@H](CN[C@H](C)c1cccnc1)C(F)(F)F ZINC000336737784 188255747 /nfs/dbraw/zinc/25/57/47/188255747.db2.gz JRASZRVKMCKQBK-RKDXNWHRSA-N 0 3 232.249 2.931 20 0 BFADHN CC(C)C[C@H]1CCCCN1Cc1cncn1C ZINC000123516262 188256148 /nfs/dbraw/zinc/25/61/48/188256148.db2.gz OSAWNCCCPTVIGR-CYBMUJFWSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1csc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)n1 ZINC000337680436 188257002 /nfs/dbraw/zinc/25/70/02/188257002.db2.gz ZMAVPUIVINOHPK-OUAUKWLOSA-N 0 3 222.357 2.730 20 0 BFADHN F[C@@H]1CCC[C@@H]1NC/C=C/c1ccncc1 ZINC000339585855 188257400 /nfs/dbraw/zinc/25/74/00/188257400.db2.gz COXRPMFXNFFBRJ-XDYXTIEYSA-N 0 3 220.291 2.575 20 0 BFADHN Cc1nnc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)s1 ZINC000134888567 538113912 /nfs/dbraw/zinc/11/39/12/538113912.db2.gz PWSCRAKJUTYLIM-ATZCPNFKSA-N 0 3 239.388 2.761 20 0 BFADHN COC1(CNCc2cnccc2C)CCCCC1 ZINC000279867499 188267987 /nfs/dbraw/zinc/26/79/87/188267987.db2.gz JISBTTQOZOIBGU-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCCC2(O)CCC2)o1 ZINC000283822222 188268603 /nfs/dbraw/zinc/26/86/03/188268603.db2.gz CZAXBAYTBAFHAX-WCQYABFASA-N 0 3 249.354 2.798 20 0 BFADHN COC1(CNCc2cccc(O)c2)CCCCC1 ZINC000187445826 188278918 /nfs/dbraw/zinc/27/89/18/188278918.db2.gz CSNQJVUSQDBXBY-UHFFFAOYSA-N 0 3 249.354 2.831 20 0 BFADHN CC(C)(CO)NCc1cc(Cl)ccc1Cl ZINC000083377972 188295776 /nfs/dbraw/zinc/29/57/76/188295776.db2.gz JNWLTWOXEOLQGO-UHFFFAOYSA-N 0 3 248.153 2.854 20 0 BFADHN CC[C@@H](C)NCc1ccc(F)c(F)c1F ZINC000083348900 188296698 /nfs/dbraw/zinc/29/66/98/188296698.db2.gz IIKVIYMXQJUSEJ-SSDOTTSWSA-N 0 3 217.234 2.992 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCC[C@@H]1CCO1 ZINC000639040724 353318377 /nfs/dbraw/zinc/31/83/77/353318377.db2.gz MRWNBYYNYBGZSB-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCC[C@@H]1CCO1 ZINC000639040721 353318841 /nfs/dbraw/zinc/31/88/41/353318841.db2.gz MRWNBYYNYBGZSB-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN c1cc2c(o1)CCC[C@H]2NCC1=CCCOC1 ZINC000126441515 188316595 /nfs/dbraw/zinc/31/65/95/188316595.db2.gz VYJNCFXMQFVVPO-CYBMUJFWSA-N 0 3 233.311 2.593 20 0 BFADHN CC(C)(O)CCNCc1ccc(F)c(Cl)c1 ZINC000086235883 188317869 /nfs/dbraw/zinc/31/78/69/188317869.db2.gz UQNUIHYRNJNKCT-UHFFFAOYSA-N 0 3 245.725 2.730 20 0 BFADHN COc1ccc(CN2C[C@@H](C)C[C@H](C)C2)cc1O ZINC000131815320 188331784 /nfs/dbraw/zinc/33/17/84/188331784.db2.gz RPSGDFXGXAWSID-RYUDHWBXSA-N 0 3 249.354 2.879 20 0 BFADHN CCc1ccc(CN2CCC=C(C)C2)cn1 ZINC000334503466 353350801 /nfs/dbraw/zinc/35/08/01/353350801.db2.gz QIJNAPJJPZVAGR-UHFFFAOYSA-N 0 3 216.328 2.796 20 0 BFADHN CC(C)C[C@@H](N)C(=O)Nc1cscc1Cl ZINC000639072760 353389953 /nfs/dbraw/zinc/38/99/53/353389953.db2.gz BMRFGBFCSOTMPQ-MRVPVSSYSA-N 0 3 246.763 2.713 20 0 BFADHN Cc1scc(CN2CC[C@H](O)[C@H](C)C2)c1C ZINC000639073643 353391570 /nfs/dbraw/zinc/39/15/70/353391570.db2.gz GVUJFZBNBZRERX-RNCFNFMXSA-N 0 3 239.384 2.568 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cccc(Cl)c1 ZINC000182039957 188344520 /nfs/dbraw/zinc/34/45/20/188344520.db2.gz RRMRDKQTBSBHTH-JTQLQIEISA-N 0 3 227.735 2.807 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cnc(OC)s1 ZINC000639065519 353375869 /nfs/dbraw/zinc/37/58/69/353375869.db2.gz JJHTUFHMOUKGRQ-MWLCHTKSSA-N 0 3 240.372 2.772 20 0 BFADHN CC(C)C[C@H]1OCCC[C@H]1NCc1ccco1 ZINC000185190775 188346763 /nfs/dbraw/zinc/34/67/63/188346763.db2.gz MBBPOSYLVHVSKH-ZIAGYGMSSA-N 0 3 237.343 2.963 20 0 BFADHN Cc1noc(C)c1CN1C[C@H](C)C[C@@H]1C ZINC000334508518 353378366 /nfs/dbraw/zinc/37/83/66/353378366.db2.gz LAFOJGJSWMYICS-BDAKNGLRSA-N 0 3 208.305 2.522 20 0 BFADHN CC(C)C[C@@H](CO)NCc1ccsc1Cl ZINC000336759364 188347470 /nfs/dbraw/zinc/34/74/70/188347470.db2.gz LGTNTBZZKKBURX-JTQLQIEISA-N 0 3 247.791 2.898 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@H](C)c1ccc(F)cc1 ZINC000186511523 188347618 /nfs/dbraw/zinc/34/76/18/188347618.db2.gz LWROSGBRTRZSAV-DWKGUQLISA-N 0 3 237.318 2.803 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1ccns1 ZINC000639067463 353381194 /nfs/dbraw/zinc/38/11/94/353381194.db2.gz VRIHVRSKKFXIFP-KOLCDFICSA-N 0 3 210.346 2.764 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@H]2C)c(C)s1 ZINC000334508573 353383191 /nfs/dbraw/zinc/38/31/91/353383191.db2.gz QXBJVGIBXBULPW-RKDXNWHRSA-N 0 3 224.373 2.990 20 0 BFADHN C=Cn1cc(CN(CC)C[C@H](C)CC)cn1 ZINC000194049641 188352267 /nfs/dbraw/zinc/35/22/67/188352267.db2.gz BHDYBDOYPWDOIX-GFCCVEGCSA-N 0 3 221.348 2.852 20 0 BFADHN COc1ccc([C@@H](NCCF)C2CC2)cc1 ZINC000268329649 188373504 /nfs/dbraw/zinc/37/35/04/188373504.db2.gz WSIRNHBRSSQOCN-ZDUSSCGKSA-N 0 3 223.291 2.705 20 0 BFADHN CC(C)(O)C(C)(C)NCc1ccc(F)cc1F ZINC000226744001 188359012 /nfs/dbraw/zinc/35/90/12/188359012.db2.gz KAOMVYAYQUPWIG-UHFFFAOYSA-N 0 3 243.297 2.604 20 0 BFADHN CC1(C)CCC(CN2CC[C@@](F)(CO)C2)CC1 ZINC000338591102 188366350 /nfs/dbraw/zinc/36/63/50/188366350.db2.gz ALUOIILMFQQROH-AWEZNQCLSA-N 0 3 243.366 2.609 20 0 BFADHN CC(C)C1CC(N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000336218482 188368648 /nfs/dbraw/zinc/36/86/48/188368648.db2.gz JMJCXJQHWDCDGE-WXRRBKDZSA-N 0 3 233.359 2.811 20 0 BFADHN CC(C)[C@H](O)CN(C)[C@H](C)c1ccccc1F ZINC000251329876 188370058 /nfs/dbraw/zinc/37/00/58/188370058.db2.gz OABOFIWRIJABLC-BXUZGUMPSA-N 0 3 239.334 2.835 20 0 BFADHN Fc1cccc([C@@H]2CCN(C[C@H]3CCCO3)C2)c1 ZINC000264197402 188370971 /nfs/dbraw/zinc/37/09/71/188370971.db2.gz OSCRSDKWHIWYCX-UKRRQHHQSA-N 0 3 249.329 2.794 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1cscn1 ZINC000264064443 188371282 /nfs/dbraw/zinc/37/12/82/188371282.db2.gz YBZNRAUVIUIYLE-NSHDSACASA-N 0 3 210.346 2.764 20 0 BFADHN CC(C)N(CC(=O)N(C)C)[C@@H](C)c1ccccc1 ZINC000292272564 188390358 /nfs/dbraw/zinc/39/03/58/188390358.db2.gz SGZKTBBGCOVWRE-ZDUSSCGKSA-N 0 3 248.370 2.546 20 0 BFADHN C=Cn1cc(CN(C)C(C)(C)CC)cn1 ZINC000278236171 188381096 /nfs/dbraw/zinc/38/10/96/188381096.db2.gz NGIANWSGSMWVQI-UHFFFAOYSA-N 0 3 207.321 2.604 20 0 BFADHN Cc1ccnc([C@H](C)NCCCOCC2CC2)c1 ZINC000353440049 188382838 /nfs/dbraw/zinc/38/28/38/188382838.db2.gz YLPIOBOSCRRSSM-ZDUSSCGKSA-N 0 3 248.370 2.857 20 0 BFADHN Fc1ccccc1[C@H]1C[C@H](NCc2cc[nH]n2)C1 ZINC000280664989 188383943 /nfs/dbraw/zinc/38/39/43/188383943.db2.gz PINICZVIMKUWPB-UMSPYCQHSA-N 0 3 245.301 2.585 20 0 BFADHN CC(C)[C@@H]1CN([C@@H](C)c2cccnc2)CCCO1 ZINC000293272268 188391565 /nfs/dbraw/zinc/39/15/65/188391565.db2.gz VALOLDRBMDNPNY-ZFWWWQNUSA-N 0 3 248.370 2.890 20 0 BFADHN CC1(C)CN(CCCCO)[C@H]1c1cccs1 ZINC000287098901 188387193 /nfs/dbraw/zinc/38/71/93/188387193.db2.gz XQPKAANADFUDQY-LBPRGKRZSA-N 0 3 239.384 2.904 20 0 BFADHN Cc1ccnc([C@H](C)NCC[C@H]2CCCCO2)c1 ZINC000286290900 188387240 /nfs/dbraw/zinc/38/72/40/188387240.db2.gz WNYQQHNXTNFKPC-UONOGXRCSA-N 0 3 248.370 3.000 20 0 BFADHN CC/C=C/CCN1CCOC2(CCC2)C1 ZINC000184704134 535232618 /nfs/dbraw/zinc/23/26/18/535232618.db2.gz JQLAWBUTENGVFU-ONEGZZNKSA-N 0 3 209.333 2.598 20 0 BFADHN C=Cn1cc(CN(C)[C@@H](CC)CSC)cn1 ZINC000290350329 188389372 /nfs/dbraw/zinc/38/93/72/188389372.db2.gz FZXUBNCXRUIRRB-LBPRGKRZSA-N 0 3 239.388 2.557 20 0 BFADHN CCC[C@H](CN(C)Cc1ccc(C)cn1)OC ZINC000639096078 353487657 /nfs/dbraw/zinc/48/76/57/353487657.db2.gz AEJVDNJQMQYJJR-CQSZACIVSA-N 0 3 236.359 2.637 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@@H]1C[C@H]1C(F)F ZINC000342192199 188399123 /nfs/dbraw/zinc/39/91/23/188399123.db2.gz AQGRXTSUUDPNBE-GHMZBOCLSA-N 0 3 243.301 2.516 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cccs2)CCCO1 ZINC000293360795 188391713 /nfs/dbraw/zinc/39/17/13/188391713.db2.gz ZVWOWNVAKGVDLR-ZDUSSCGKSA-N 0 3 239.384 2.995 20 0 BFADHN Cc1scc(CN(C)CCCCO)c1C ZINC000639094003 353477201 /nfs/dbraw/zinc/47/72/01/353477201.db2.gz NEENGVSRYIOMCU-UHFFFAOYSA-N 0 3 227.373 2.569 20 0 BFADHN CO[C@](C)(CN(C)Cc1ccc(C)cn1)C1CC1 ZINC000639098873 353506082 /nfs/dbraw/zinc/50/60/82/353506082.db2.gz ZPBXSXHUBBZKCM-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2C[C@H](C)[C@H]2C)o1 ZINC000336778715 353524509 /nfs/dbraw/zinc/52/45/09/353524509.db2.gz MCCOSGUVYIDSJI-IQJOONFLSA-N 0 3 222.332 2.680 20 0 BFADHN CC1(C)CC[C@@H]1NCc1ccn(C(F)F)n1 ZINC000336779147 353539116 /nfs/dbraw/zinc/53/91/16/353539116.db2.gz NHSYTDYYFUSQAT-VIFPVBQESA-N 0 3 229.274 2.556 20 0 BFADHN CC(C)=CCCNCc1cc(C2CC2)no1 ZINC000639099079 353503413 /nfs/dbraw/zinc/50/34/13/353503413.db2.gz IMIXPYPBHNVGII-UHFFFAOYSA-N 0 3 220.316 2.998 20 0 BFADHN CCC[C@H](CN(C)Cc1ccn(CC)c1)OC ZINC000639099185 353504248 /nfs/dbraw/zinc/50/42/48/353504248.db2.gz JKCGAMXZMOBXIF-CQSZACIVSA-N 0 3 238.375 2.755 20 0 BFADHN C[C@@H]1CCCC[C@]1(C)NCc1ccon1 ZINC000334514622 353585490 /nfs/dbraw/zinc/58/54/90/353585490.db2.gz AROINDPFWCCRHF-PWSUYJOCSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@H](N[C@H]1CCCCC[C@@H]1O)c1ccco1 ZINC000037890671 358479149 /nfs/dbraw/zinc/47/91/49/358479149.db2.gz FIJWACXFYIOLID-SRVKXCTJSA-N 0 3 223.316 2.624 20 0 BFADHN c1cnc2c(c1)CCC[C@@H]2NC1CCC1 ZINC000085789609 491053096 /nfs/dbraw/zinc/05/30/96/491053096.db2.gz AQVQZNPSKCFJEQ-LBPRGKRZSA-N 0 3 202.301 2.601 20 0 BFADHN CC(C)(O)C1CN(Cc2coc3ccccc23)C1 ZINC000334513403 353567945 /nfs/dbraw/zinc/56/79/45/353567945.db2.gz NCCQAUKUPFGOCO-UHFFFAOYSA-N 0 3 245.322 2.636 20 0 BFADHN Fc1ccc2c(c1)[C@@H](N[C@H]1CCOC1)CCC2 ZINC000279922839 180988833 /nfs/dbraw/zinc/98/88/33/180988833.db2.gz XMAPTKSPURQRHU-JSGCOSHPSA-N 0 3 235.302 2.582 20 0 BFADHN Cc1ccc(CNCCOCC(F)F)cc1F ZINC000231677091 353642571 /nfs/dbraw/zinc/64/25/71/353642571.db2.gz IJYROYUODJVKFH-UHFFFAOYSA-N 0 3 247.260 2.505 20 0 BFADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1nccn1C ZINC000353806450 353695619 /nfs/dbraw/zinc/69/56/19/353695619.db2.gz PTVWIMZUWMVRSD-OLZOCXBDSA-N 0 3 235.375 2.821 20 0 BFADHN Fc1cccc2c1CC[C@@H]2NCCOCC1CC1 ZINC000223569105 181004726 /nfs/dbraw/zinc/00/47/26/181004726.db2.gz MVVMUUZHHRODSB-HNNXBMFYSA-N 0 3 249.329 2.829 20 0 BFADHN Fc1cccc2c1CC[C@H]2NC[C@@H]1CCCO1 ZINC000147591692 181004998 /nfs/dbraw/zinc/00/49/98/181004998.db2.gz IVHYZTVMCQNQFW-IINYFYTJSA-N 0 3 235.302 2.582 20 0 BFADHN Fc1cccc2c1CC[C@H]2NC[C@@H]1CCCCO1 ZINC000147640800 181005232 /nfs/dbraw/zinc/00/52/32/181005232.db2.gz JXVAHIBZSMDNJY-XHDPSFHLSA-N 0 3 249.329 2.972 20 0 BFADHN Fc1cccc2c1CCN(CCC1CC1)C2 ZINC000336662010 181005321 /nfs/dbraw/zinc/00/53/21/181005321.db2.gz BUSAGRNVFLVGEF-UHFFFAOYSA-N 0 3 219.303 2.984 20 0 BFADHN Fc1cccc(F)c1CNCCc1ccncc1 ZINC000048261208 181000190 /nfs/dbraw/zinc/00/01/90/181000190.db2.gz CPNGVARYTNWIHC-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN CC(C)C[C@@H](CCO)CN[C@@H](C)c1ccoc1 ZINC000178198219 366105408 /nfs/dbraw/zinc/10/54/08/366105408.db2.gz BQWMQQVGCFWWID-QWHCGFSZSA-N 0 3 239.359 2.975 20 0 BFADHN CC[C@@H](C)[C@H]1CCCCN1Cc1cc[nH]n1 ZINC000353809117 353717216 /nfs/dbraw/zinc/71/72/16/353717216.db2.gz TVLRTZMWLVJUSU-DGCLKSJQSA-N 0 3 221.348 2.810 20 0 BFADHN FC1(CN2CCCN(c3ccccc3)CC2)CC1 ZINC000577916740 366101776 /nfs/dbraw/zinc/10/17/76/366101776.db2.gz JMNWYINVLNGBIC-UHFFFAOYSA-N 0 3 248.345 2.701 20 0 BFADHN Fc1ccccc1CN1CCCSCC1 ZINC000270716666 181011878 /nfs/dbraw/zinc/01/18/78/181011878.db2.gz ZIBNNUODYCYADP-UHFFFAOYSA-N 0 3 225.332 2.765 20 0 BFADHN Fc1ccccc1CNC1CCSCC1 ZINC000035120839 181011942 /nfs/dbraw/zinc/01/19/42/181011942.db2.gz VTKZMKIEDKITHP-UHFFFAOYSA-N 0 3 225.332 2.811 20 0 BFADHN c1ccc(N2CCN(CC3CCC3)CC2)cc1 ZINC000147495454 353751676 /nfs/dbraw/zinc/75/16/76/353751676.db2.gz VVTDJRMJOBMEEH-UHFFFAOYSA-N 0 3 230.355 2.609 20 0 BFADHN c1ccc2oc(CNC[C@@H]3CCSC3)nc2c1 ZINC000639119063 353754098 /nfs/dbraw/zinc/75/40/98/353754098.db2.gz OGJXWBRHLLSWBL-JTQLQIEISA-N 0 3 248.351 2.671 20 0 BFADHN Fc1ccccc1CNC[C@@H]1CCC=CO1 ZINC000050267384 181013085 /nfs/dbraw/zinc/01/30/85/181013085.db2.gz NZQMKNZVGNIMQG-LBPRGKRZSA-N 0 3 221.275 2.608 20 0 BFADHN Fc1ccccc1CNCCCOCC1CC1 ZINC000020191005 181013145 /nfs/dbraw/zinc/01/31/45/181013145.db2.gz IDGDMPSRPGANPA-UHFFFAOYSA-N 0 3 237.318 2.732 20 0 BFADHN Fc1ccccc1CNC[C@@H]1CCSC1 ZINC000224287423 181013351 /nfs/dbraw/zinc/01/33/51/181013351.db2.gz SCLUQRCLQVANIK-JTQLQIEISA-N 0 3 225.332 2.668 20 0 BFADHN Cc1ncc([C@H](C)N2CC(C(C)C)C2)c(C)n1 ZINC000639117852 353743619 /nfs/dbraw/zinc/74/36/19/353743619.db2.gz LJXBUANGSNEBIG-NSHDSACASA-N 0 3 233.359 2.742 20 0 BFADHN Fc1ccccc1CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000284829825 181011223 /nfs/dbraw/zinc/01/12/23/181011223.db2.gz QJJBORHEBOHTNV-CABCVRRESA-N 0 3 249.329 2.969 20 0 BFADHN Fc1cncc(CN2CCCCCC2)c1 ZINC000274359089 181019322 /nfs/dbraw/zinc/01/93/22/181019322.db2.gz MRONIPLUMLZUAI-UHFFFAOYSA-N 0 3 208.280 2.597 20 0 BFADHN Fc1cncc(CN2CCC[C@H]3CCC[C@@H]32)c1 ZINC000274397096 181019751 /nfs/dbraw/zinc/01/97/51/181019751.db2.gz XCGVLGMIUCYAQI-OCCSQVGLSA-N 0 3 234.318 2.985 20 0 BFADHN Fc1cncc(CN2CC[C@H](CC3CC3)C2)c1 ZINC000339674681 181019874 /nfs/dbraw/zinc/01/98/74/181019874.db2.gz MFQODEKUIDPURJ-GFCCVEGCSA-N 0 3 234.318 2.843 20 0 BFADHN Fc1cncc(CN[C@H]2CC23CCCC3)c1 ZINC000335620212 181020514 /nfs/dbraw/zinc/02/05/14/181020514.db2.gz NDIXFBSSHOXTGB-LBPRGKRZSA-N 0 3 220.291 2.643 20 0 BFADHN C[C@H](NC1CC(C)(F)C1)c1cncs1 ZINC000334520329 353884334 /nfs/dbraw/zinc/88/43/34/353884334.db2.gz QUUXJORBTUIOBM-JEUQWKINSA-N 0 3 214.309 2.684 20 0 BFADHN CS[C@@H]1CCN(Cc2ccc3c(n2)CCC3)C1 ZINC000334520504 353884363 /nfs/dbraw/zinc/88/43/63/353884363.db2.gz ZRIOPNKNZJNCRU-CYBMUJFWSA-N 0 3 248.395 2.508 20 0 BFADHN CCOC[C@@H](NCc1cncc(C)c1)C(C)C ZINC000233736905 353842753 /nfs/dbraw/zinc/84/27/53/353842753.db2.gz ZSVATZSVURHTKF-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN CC1(C)CCC(CN2CCc3n[nH]cc3C2)CC1 ZINC000343635835 353949381 /nfs/dbraw/zinc/94/93/81/353949381.db2.gz WBHYMBFBZWWWNO-UHFFFAOYSA-N 0 3 247.386 2.984 20 0 BFADHN CC(C)(C)OC(=O)CCCN1CCC12CCC2 ZINC000343530603 353928894 /nfs/dbraw/zinc/92/88/94/353928894.db2.gz CGRPUTKSYSCBEL-UHFFFAOYSA-N 0 3 239.359 2.737 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2C=C[C@H](CO)C2)c2ccccc21 ZINC000343608465 353932362 /nfs/dbraw/zinc/93/23/62/353932362.db2.gz LKBWLRXMGONOND-DVZHBHJUSA-N 0 3 243.350 2.762 20 0 BFADHN CC[C@@H](C)N(CC(=O)OC)C[C@@H]1CC=CCC1 ZINC000343610588 353933070 /nfs/dbraw/zinc/93/30/70/353933070.db2.gz YKJYLERPSAVDFW-CHWSQXEVSA-N 0 3 239.359 2.616 20 0 BFADHN CCC1(CC)[C@H](NCc2ccoc2)C[C@H]1OC ZINC000178457242 366125596 /nfs/dbraw/zinc/12/55/96/366125596.db2.gz FWDNJHNPBPYWDL-CHWSQXEVSA-N 0 3 237.343 2.963 20 0 BFADHN CN(CC[C@H]1CCCO1)Cc1ccc(Cl)o1 ZINC000343475472 353901601 /nfs/dbraw/zinc/90/16/01/353901601.db2.gz TVHFZUCLFMFQNQ-SNVBAGLBSA-N 0 3 243.734 2.934 20 0 BFADHN CCN(CCC1CC1)Cc1cncc(OC)c1 ZINC000343688005 353964493 /nfs/dbraw/zinc/96/44/93/353964493.db2.gz KDMOXRZCOJCJOR-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCCC(C)C ZINC000086109671 491057359 /nfs/dbraw/zinc/05/73/59/491057359.db2.gz ADUHOFORPQVDPH-VIFPVBQESA-N 0 3 210.321 2.988 20 0 BFADHN c1nc(C2CC2)ncc1CN1CCCC12CCC2 ZINC000334541429 353975489 /nfs/dbraw/zinc/97/54/89/353975489.db2.gz PTHSYOYCRFGDAB-UHFFFAOYSA-N 0 3 243.354 2.873 20 0 BFADHN Cc1nc([C@@H](C)N2CCC[C@H](C(C)C)C2)n[nH]1 ZINC000334549272 353989379 /nfs/dbraw/zinc/98/93/79/353989379.db2.gz BGEFWDOICATRAS-PWSUYJOCSA-N 0 3 236.363 2.542 20 0 BFADHN C[C@@H]1CCCN(Cc2cnc(C3CC3)nc2)C1 ZINC000334552638 353994136 /nfs/dbraw/zinc/99/41/36/353994136.db2.gz FRHVBOMKFMNYDX-LLVKDONJSA-N 0 3 231.343 2.586 20 0 BFADHN CC[C@@H]1CN(C)CCN1CC1=CCCCC1 ZINC000577948987 366131104 /nfs/dbraw/zinc/13/11/04/366131104.db2.gz BFNAOTFNNRSYMB-CQSZACIVSA-N 0 3 222.376 2.513 20 0 BFADHN COc1cncc(CN(C)C[C@@H]2CC=CCC2)c1 ZINC000343675914 353961815 /nfs/dbraw/zinc/96/18/15/353961815.db2.gz BVDFYZDLNNRPLG-CYBMUJFWSA-N 0 3 246.354 2.878 20 0 BFADHN c1cc(CN2CC[C@H](C3CC3)C2)nc2c1CCC2 ZINC000334556264 354001938 /nfs/dbraw/zinc/00/19/38/354001938.db2.gz NKWHYEWSSGOAEH-AWEZNQCLSA-N 0 3 242.366 2.802 20 0 BFADHN C[C@@H]1c2ccccc2CN1CCc1cncn1C ZINC000334557534 354004101 /nfs/dbraw/zinc/00/41/01/354004101.db2.gz CZJXXNJNFVGCQO-GFCCVEGCSA-N 0 3 241.338 2.539 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cncc(OC)c1 ZINC000343803805 354008715 /nfs/dbraw/zinc/00/87/15/354008715.db2.gz CGELAOOZOJMYKV-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@H](NC[C@@H](O)C1CCCCC1)c1ccoc1 ZINC000178558702 366139837 /nfs/dbraw/zinc/13/98/37/366139837.db2.gz GHCSIIOVXCKUTL-BXUZGUMPSA-N 0 3 237.343 2.871 20 0 BFADHN COc1cncc(CN2CCCCC[C@H]2C)c1 ZINC000343817751 354013597 /nfs/dbraw/zinc/01/35/97/354013597.db2.gz MRDADIMWFKCJLC-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN C[C@@]1(CCNCc2ccns2)CC1(F)F ZINC000630078962 363285442 /nfs/dbraw/zinc/28/54/42/363285442.db2.gz YOSGRXBUAOHRNA-SECBINFHSA-N 0 3 232.299 2.668 20 0 BFADHN COc1cccc(C)c1CN(C)[C@@H]1CCCOC1 ZINC000639168050 354044092 /nfs/dbraw/zinc/04/40/92/354044092.db2.gz AAVIYPZNOVEXGZ-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1csc(CNC[C@@H]2CC[C@H](C)C2)n1 ZINC000230412057 363309804 /nfs/dbraw/zinc/30/98/04/363309804.db2.gz NCMIVEFLBZCCPJ-GXSJLCMTSA-N 0 3 224.373 2.977 20 0 BFADHN CCc1cc(CN[C@H](C)C2CC(F)(F)C2)on1 ZINC000529534879 324328184 /nfs/dbraw/zinc/32/81/84/324328184.db2.gz DOBUDDQPPVIVSK-MRVPVSSYSA-N 0 3 244.285 2.760 20 0 BFADHN Cc1scc(CN(C)[C@H]2CCCOC2)c1C ZINC000639168109 354050076 /nfs/dbraw/zinc/05/00/76/354050076.db2.gz BIFSBTZBWYYNLB-ZDUSSCGKSA-N 0 3 239.384 2.976 20 0 BFADHN CCC[C@@H](CN(CC)Cc1ccncc1)OC ZINC000639168807 354056977 /nfs/dbraw/zinc/05/69/77/354056977.db2.gz VFNUDCUZLHMFAN-AWEZNQCLSA-N 0 3 236.359 2.719 20 0 BFADHN COC1CC(NCc2ccccc2Cl)C1 ZINC000230691069 363319774 /nfs/dbraw/zinc/31/97/74/363319774.db2.gz SWBADZVWUIMOOB-UHFFFAOYSA-N 0 3 225.719 2.607 20 0 BFADHN CCC(CC)N(CC)Cc1cnc(C)cn1 ZINC000344028079 354089634 /nfs/dbraw/zinc/08/96/34/354089634.db2.gz MGGWNSKIWIPCGL-UHFFFAOYSA-N 0 3 221.348 2.796 20 0 BFADHN c1nn2ccccc2c1CNC1CC2(CCC2)C1 ZINC000334567513 354089667 /nfs/dbraw/zinc/08/96/67/354089667.db2.gz XGKDFBSZUIZEPB-UHFFFAOYSA-N 0 3 241.338 2.757 20 0 BFADHN CCOCCN[C@@H]1CCCc2ccc(F)cc21 ZINC000344031197 354094705 /nfs/dbraw/zinc/09/47/05/354094705.db2.gz GKPFCCVDMFHFLG-CQSZACIVSA-N 0 3 237.318 2.829 20 0 BFADHN CCc1nc(C)c(CN2CCC3(CCC3)C2)o1 ZINC000334569684 354095965 /nfs/dbraw/zinc/09/59/65/354095965.db2.gz URKDGFHJEXKFEG-UHFFFAOYSA-N 0 3 234.343 2.921 20 0 BFADHN C[C@@H]1CCC[C@@H](C)C1NCc1ccno1 ZINC000230614686 363314585 /nfs/dbraw/zinc/31/45/85/363314585.db2.gz OPPXQWOHRQWUKD-NXEZZACHSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1cscn1 ZINC000230653478 363315488 /nfs/dbraw/zinc/31/54/88/363315488.db2.gz AKPGURHEGKYVEY-NXEZZACHSA-N 0 3 210.346 2.669 20 0 BFADHN CC(C)c1noc(C2CCN(C3CC3)CC2)n1 ZINC000334579411 354126869 /nfs/dbraw/zinc/12/68/69/354126869.db2.gz JDPWOAKBHAWGCH-UHFFFAOYSA-N 0 3 235.331 2.535 20 0 BFADHN C[C@@H]1C[C@@H](CO)CCN1C/C=C/c1ccccc1 ZINC000344163634 354168473 /nfs/dbraw/zinc/16/84/73/354168473.db2.gz LHEUDHQHWUVTOZ-ZTHSPGPESA-N 0 3 245.366 2.793 20 0 BFADHN Fc1ccc2c(c1)[C@H](NC[C@H]1CCCO1)CCC2 ZINC000344088156 354137824 /nfs/dbraw/zinc/13/78/24/354137824.db2.gz NPQNSMJEJJAJOV-UKRRQHHQSA-N 0 3 249.329 2.972 20 0 BFADHN CCC[C@@H](NCC1=CCCOC1)c1ccccn1 ZINC000344182714 354177353 /nfs/dbraw/zinc/17/73/53/354177353.db2.gz VCHAOOYLKXPIRD-CQSZACIVSA-N 0 3 246.354 2.859 20 0 BFADHN CC1(CNCc2cnc(C3CC3)o2)CCC1 ZINC000449705900 202006336 /nfs/dbraw/zinc/00/63/36/202006336.db2.gz LOTNVVGAZDOVTH-UHFFFAOYSA-N 0 3 220.316 2.832 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2ccnn2CC)C1 ZINC000449716315 202007256 /nfs/dbraw/zinc/00/72/56/202007256.db2.gz GGESLZQBFUATQQ-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@H](Cc1cccc(O)c1)NCc1cncs1 ZINC000344211063 354165018 /nfs/dbraw/zinc/16/50/18/354165018.db2.gz JEYQNWXHUTXUMP-SNVBAGLBSA-N 0 3 248.351 2.570 20 0 BFADHN c1nc(-c2ccncc2)sc1CNC1CCC1 ZINC000344222391 354182899 /nfs/dbraw/zinc/18/28/99/354182899.db2.gz XYBXOSZCAFIDBC-UHFFFAOYSA-N 0 3 245.351 2.847 20 0 BFADHN Cc1scc(CN(C)C[C@H](O)C2CC2)c1C ZINC000639175257 354192739 /nfs/dbraw/zinc/19/27/39/354192739.db2.gz KCMHXQORVPTJQZ-ZDUSSCGKSA-N 0 3 239.384 2.568 20 0 BFADHN COc1cccnc1CNC1CCC(C)CC1 ZINC000449747120 202017032 /nfs/dbraw/zinc/01/70/32/202017032.db2.gz ICWPOVCVOAJSDH-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1ccccc1[C@H](C)N1C[C@H]2CC[C@@H](C1)O2 ZINC000344320034 354223644 /nfs/dbraw/zinc/22/36/44/354223644.db2.gz GCQQTEUGDBGILP-MELADBBJSA-N 0 3 231.339 2.919 20 0 BFADHN CC(C)(C)C1CN(Cc2ccccn2)C1 ZINC000334593245 354224242 /nfs/dbraw/zinc/22/42/42/354224242.db2.gz AUOHNQIKYNLTHO-UHFFFAOYSA-N 0 3 204.317 2.560 20 0 BFADHN CC[C@H]1CCC[C@H]([NH2+]Cc2cnc(C)[n-]c2=O)C1 ZINC000344330415 354227095 /nfs/dbraw/zinc/22/70/95/354227095.db2.gz UYYSZDDKFFVNBX-AAEUAGOBSA-N 0 3 249.358 2.549 20 0 BFADHN C[C@H](N[C@H]1CCC1(C)C)c1cc2n(n1)CCC2 ZINC000334597103 354231372 /nfs/dbraw/zinc/23/13/72/354231372.db2.gz GNSLDZRCQUGVPP-GWCFXTLKSA-N 0 3 233.359 2.669 20 0 BFADHN CC(C)(C)C1CN(Cc2cccnc2)C1 ZINC000334597714 354232185 /nfs/dbraw/zinc/23/21/85/354232185.db2.gz XASXMZZSSXXYPO-UHFFFAOYSA-N 0 3 204.317 2.560 20 0 BFADHN CCn1cc(CN(C)[C@@H]2CCC(C)(C)C2)cn1 ZINC000344462461 354265545 /nfs/dbraw/zinc/26/55/45/354265545.db2.gz LOTYRCHZEUWQFT-CYBMUJFWSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1cc(CN(C)[C@H]2CCC(C)(C)C2)cn1 ZINC000344462463 354265657 /nfs/dbraw/zinc/26/56/57/354265657.db2.gz LOTYRCHZEUWQFT-ZDUSSCGKSA-N 0 3 235.375 2.914 20 0 BFADHN CCCCN1CCCC[C@H]1c1ccnn1C ZINC000366869370 354298300 /nfs/dbraw/zinc/29/83/00/354298300.db2.gz OWOCIEBKQQIIDA-ZDUSSCGKSA-N 0 3 221.348 2.747 20 0 BFADHN CC(C(=O)N1CCc2ccccc21)C(F)(F)F ZINC000334606394 354313087 /nfs/dbraw/zinc/31/30/87/354313087.db2.gz QHHKQAZUJDOVAJ-QMMMGPOBSA-N 0 3 243.228 2.774 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1ccns1 ZINC000639179698 354273679 /nfs/dbraw/zinc/27/36/79/354273679.db2.gz HKVOBZDJFGZTOE-UWVGGRQHSA-N 0 3 210.346 2.906 20 0 BFADHN CCn1cncc1CN1CCC[C@H](C)[C@@H]1C ZINC000334600198 354277507 /nfs/dbraw/zinc/27/75/07/354277507.db2.gz CIQYXRZMJKLLDM-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@H]1c2ccccc2CN1C[C@@H]1CCCOC1 ZINC000334608435 354315578 /nfs/dbraw/zinc/31/55/78/354315578.db2.gz UYDUMANTKFQYNT-STQMWFEESA-N 0 3 231.339 2.990 20 0 BFADHN C[C@@H]1c2ccccc2CN1C[C@H]1CCCOC1 ZINC000334608432 354315652 /nfs/dbraw/zinc/31/56/52/354315652.db2.gz UYDUMANTKFQYNT-CHWSQXEVSA-N 0 3 231.339 2.990 20 0 BFADHN C[C@H]1c2ccccc2CN1C[C@H]1CCCOC1 ZINC000334608434 354315797 /nfs/dbraw/zinc/31/57/97/354315797.db2.gz UYDUMANTKFQYNT-QWHCGFSZSA-N 0 3 231.339 2.990 20 0 BFADHN CC[C@H](NCc1cccnc1)c1ccncc1 ZINC000344595596 354323011 /nfs/dbraw/zinc/32/30/11/354323011.db2.gz VHRMBWIPEQZTDZ-AWEZNQCLSA-N 0 3 227.311 2.718 20 0 BFADHN Cc1nc(CN[C@H](C)Cc2ccncc2)cs1 ZINC000344768971 354357801 /nfs/dbraw/zinc/35/78/01/354357801.db2.gz GYYNNFSOWXSFAI-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN Cn1cccc1CNCc1cccc2[nH]ccc21 ZINC000344604058 354324333 /nfs/dbraw/zinc/32/43/33/354324333.db2.gz LNEDGXAHMAEGHW-UHFFFAOYSA-N 0 3 239.322 2.796 20 0 BFADHN c1cc(CNC2(Cc3ccccc3)CCC2)n[nH]1 ZINC000344654050 354338905 /nfs/dbraw/zinc/33/89/05/354338905.db2.gz CMKRSNRQLPDEOX-UHFFFAOYSA-N 0 3 241.338 2.665 20 0 BFADHN C[C@H](CF)NCC(C)(C)Oc1ccccc1 ZINC000639182936 354340137 /nfs/dbraw/zinc/34/01/37/354340137.db2.gz MZTHZSDLKBDKGN-LLVKDONJSA-N 0 3 225.307 2.792 20 0 BFADHN Cc1ccoc1CN[C@@H](C)Cc1ccncc1 ZINC000344749580 354349247 /nfs/dbraw/zinc/34/92/47/354349247.db2.gz BCHNLVJXGBYVFE-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN COCCOc1ccccc1CNCC1(C)CC1 ZINC000344715546 354354667 /nfs/dbraw/zinc/35/46/67/354354667.db2.gz XZBMWVSDPYQPED-UHFFFAOYSA-N 0 3 249.354 2.602 20 0 BFADHN Cc1cccc(CNC2(C(C)C)CC2)n1 ZINC000379437729 358486984 /nfs/dbraw/zinc/48/69/84/358486984.db2.gz RUQFNXGBPJZOSV-UHFFFAOYSA-N 0 3 204.317 2.668 20 0 BFADHN c1coc([C@H]2N(C[C@H]3CCOC3)CC23CCC3)c1 ZINC000334634132 354378875 /nfs/dbraw/zinc/37/88/75/354378875.db2.gz QRCGNLUNIXQSCQ-TZMCWYRMSA-N 0 3 247.338 2.843 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1NCCC[C@@H]1CCO1 ZINC000639185982 354381322 /nfs/dbraw/zinc/38/13/22/354381322.db2.gz HAWZXIFAVNCBAL-ZOWXZIJZSA-N 0 3 247.338 2.667 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2c3ccccc3O[C@H]2C)CS1 ZINC000639185907 354381397 /nfs/dbraw/zinc/38/13/97/354381397.db2.gz GASHWDRKIHQSPD-YAMSLAJTSA-N 0 3 249.379 2.992 20 0 BFADHN COc1cccnc1CN[C@@H]1CC[C@@H]1C1CCC1 ZINC000449748202 202019280 /nfs/dbraw/zinc/01/92/80/202019280.db2.gz KUIOWZMURLWXHM-CHWSQXEVSA-N 0 3 246.354 2.759 20 0 BFADHN Cc1cnn([C@@H]2CCN(CC3=CCCCC3)C2)c1 ZINC000334623157 354361861 /nfs/dbraw/zinc/36/18/61/354361861.db2.gz GQDQVNQJNPLWNJ-OAHLLOKOSA-N 0 3 245.370 2.939 20 0 BFADHN CNCc1nc(-c2ccc3c(c2)COC3)cs1 ZINC000334640374 354395481 /nfs/dbraw/zinc/39/54/81/354395481.db2.gz DCJYLUJRIJAQRC-UHFFFAOYSA-N 0 3 246.335 2.560 20 0 BFADHN Cc1nn(C)cc1CN1CC(C2CCCCC2)C1 ZINC000334646701 354437264 /nfs/dbraw/zinc/43/72/64/354437264.db2.gz KGEDDBCHMNDOLT-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1cccc(CN(C)[C@H]2CC2(C)C)n1 ZINC000334642640 354399241 /nfs/dbraw/zinc/39/92/41/354399241.db2.gz XGTNFBBJYVZJSS-LBPRGKRZSA-N 0 3 204.317 2.620 20 0 BFADHN c1cc2c(o1)CCCN(CCc1cncs1)C2 ZINC000334643769 354401583 /nfs/dbraw/zinc/40/15/83/354401583.db2.gz LMNZODMLLGMRGJ-UHFFFAOYSA-N 0 3 248.351 2.727 20 0 BFADHN Cc1ccccc1CN1CCCO[C@H](CF)C1 ZINC000334644592 354403072 /nfs/dbraw/zinc/40/30/72/354403072.db2.gz CLPOEZVXCGMXSR-CQSZACIVSA-N 0 3 237.318 2.555 20 0 BFADHN C[C@@H](NC1CCC(F)(F)CC1)c1ccncn1 ZINC000334672287 354414035 /nfs/dbraw/zinc/41/40/35/354414035.db2.gz QERCGGCCLPLXOT-SECBINFHSA-N 0 3 241.285 2.705 20 0 BFADHN C[C@H]1CN(Cc2cccnc2N)CC(C)(C)C1 ZINC000334677070 354420312 /nfs/dbraw/zinc/42/03/12/354420312.db2.gz XXSNAAPPQINOAV-LLVKDONJSA-N 0 3 233.359 2.532 20 0 BFADHN C[C@@H]1CN(Cc2cccnc2N)CC(C)(C)C1 ZINC000334677071 354420477 /nfs/dbraw/zinc/42/04/77/354420477.db2.gz XXSNAAPPQINOAV-NSHDSACASA-N 0 3 233.359 2.532 20 0 BFADHN Cc1ccc(CN2CCSC[C@@H]2C2CC2)nc1 ZINC000334678905 354424038 /nfs/dbraw/zinc/42/40/38/354424038.db2.gz KCHSXHJZSQHUPN-CQSZACIVSA-N 0 3 248.395 2.717 20 0 BFADHN O=c1ccc(CN2CC3(CCC3)[C@@H]2C2CC2)c[nH]1 ZINC000639190410 354425923 /nfs/dbraw/zinc/42/59/23/354425923.db2.gz ACTNZGKOVGAHGN-AWEZNQCLSA-N 0 3 244.338 2.552 20 0 BFADHN C[C@@H](O)CN1CC2(CCC2)[C@H]1c1ccccc1 ZINC000334656374 354450870 /nfs/dbraw/zinc/45/08/70/354450870.db2.gz YSOLGAKRUOXJOQ-TZMCWYRMSA-N 0 3 231.339 2.594 20 0 BFADHN C[C@@H](O)CN1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000334656373 354450888 /nfs/dbraw/zinc/45/08/88/354450888.db2.gz YSOLGAKRUOXJOQ-OCCSQVGLSA-N 0 3 231.339 2.594 20 0 BFADHN C[C@@H]1CCC(C)(C)N1Cc1ccc(F)cn1 ZINC000334691811 354480530 /nfs/dbraw/zinc/48/05/30/354480530.db2.gz GCCPAEULRXXDLA-SNVBAGLBSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@H]1C[C@@H](O)CN(Cc2ccc3occc3c2)C1 ZINC000334733856 354533669 /nfs/dbraw/zinc/53/36/69/354533669.db2.gz ZNBNZOZFJYXWFS-SMDDNHRTSA-N 0 3 245.322 2.636 20 0 BFADHN CO[C@H]1CCN(Cc2ccsc2)C[C@H]1C ZINC000334737181 354538972 /nfs/dbraw/zinc/53/89/72/354538972.db2.gz AKLVZTUUJJCSEE-PWSUYJOCSA-N 0 3 225.357 2.605 20 0 BFADHN CO[C@H]1CCN(Cc2csc(C)c2)C[C@@H]1C ZINC000334738351 354541432 /nfs/dbraw/zinc/54/14/32/354541432.db2.gz JQTCTQAJNYIPKK-GWCFXTLKSA-N 0 3 239.384 2.913 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCN(C)CC12CCCCC2 ZINC000334710813 354507795 /nfs/dbraw/zinc/50/77/95/354507795.db2.gz OUOJBSFNKDAJIK-UONOGXRCSA-N 0 3 236.403 2.593 20 0 BFADHN Cc1ncc(CNC2CCC3(CC3)CC2)o1 ZINC000334723775 354523693 /nfs/dbraw/zinc/52/36/93/354523693.db2.gz HQGOKENHMSDMLR-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN Cc1cc(F)ccc1[C@@H]1CCCN1C[C@H](C)O ZINC000334798439 354553268 /nfs/dbraw/zinc/55/32/68/354553268.db2.gz WQJQAVWGNURIEL-FZMZJTMJSA-N 0 3 237.318 2.652 20 0 BFADHN FC1(F)CC(CNCc2cc(C3CC3)no2)C1 ZINC000639198486 354600723 /nfs/dbraw/zinc/60/07/23/354600723.db2.gz CPOGGBQYOFRTLE-UHFFFAOYSA-N 0 3 242.269 2.687 20 0 BFADHN CC(C)[C@H]1N(Cc2ccc(=O)[nH]c2)CC1(C)C ZINC000639203937 354643177 /nfs/dbraw/zinc/64/31/77/354643177.db2.gz IEKZCUFIXVTTBI-CYBMUJFWSA-N 0 3 234.343 2.654 20 0 BFADHN CC(C)[C@@H]1N(Cc2ccc(=O)[nH]c2)CC1(C)C ZINC000639203938 354643252 /nfs/dbraw/zinc/64/32/52/354643252.db2.gz IEKZCUFIXVTTBI-ZDUSSCGKSA-N 0 3 234.343 2.654 20 0 BFADHN CC[C@@H]1CCN1[C@H](C)c1cnc(C)nc1C ZINC000639202830 354608333 /nfs/dbraw/zinc/60/83/33/354608333.db2.gz KVSAGSZQIYIJCU-ZYHUDNBSSA-N 0 3 219.332 2.639 20 0 BFADHN C[C@H]1CC2(CCC2)CN1Cc1cncc(F)c1 ZINC000335086663 354621565 /nfs/dbraw/zinc/62/15/65/354621565.db2.gz PEHSDKGBEJXTCM-NSHDSACASA-N 0 3 234.318 2.985 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2cncn2C(C)C)C1 ZINC000335108277 354693978 /nfs/dbraw/zinc/69/39/78/354693978.db2.gz FKFNPVWNPGIJGN-GFCCVEGCSA-N 0 3 233.359 2.862 20 0 BFADHN COC[C@@H]1CCN1CC(C)(C)Oc1ccccc1 ZINC000639207291 354672392 /nfs/dbraw/zinc/67/23/92/354672392.db2.gz OHWSGXNXWYOUGM-ZDUSSCGKSA-N 0 3 249.354 2.565 20 0 BFADHN CO[C@@H](c1ccccc1)[C@H](C)N[C@@H](C)CF ZINC000639206191 354676455 /nfs/dbraw/zinc/67/64/55/354676455.db2.gz ODTGHXDEQHSCBD-GMXVVIOVSA-N 0 3 225.307 2.710 20 0 BFADHN CO[C@@H](c1ccccc1)[C@@H](C)N[C@@H](C)CF ZINC000639206190 354676702 /nfs/dbraw/zinc/67/67/02/354676702.db2.gz ODTGHXDEQHSCBD-DMDPSCGWSA-N 0 3 225.307 2.710 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@@H](C)C2(CCC2)C1 ZINC000335134163 354782971 /nfs/dbraw/zinc/78/29/71/354782971.db2.gz RXFNXDROWGHNDS-CYBMUJFWSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1nccc(CN(C)C2CCCCC2)n1 ZINC000335941282 134017637 /nfs/dbraw/zinc/01/76/37/134017637.db2.gz LZZPLWOVVYKNPQ-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN CCc1nocc1CN1C[C@@H](C)C[C@H](C)C1 ZINC000335941889 134018026 /nfs/dbraw/zinc/01/80/26/134018026.db2.gz VUELMLJNITWFNW-QWRGUYRKSA-N 0 3 222.332 2.715 20 0 BFADHN Cc1ccoc1CN(C)CCC[C@H]1CCO1 ZINC000639219212 354876472 /nfs/dbraw/zinc/87/64/72/354876472.db2.gz DMSFVSQFDYOTIZ-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN CCC[C@@H](CC)NC(=O)[C@H](CC)N(CC)CC ZINC000345992050 354834609 /nfs/dbraw/zinc/83/46/09/354834609.db2.gz CYDBKYLCEXKFCE-OLZOCXBDSA-N 0 3 242.407 2.802 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1cnccn1 ZINC000335145387 354854638 /nfs/dbraw/zinc/85/46/38/354854638.db2.gz CALUHURIZLKWCD-CYBMUJFWSA-N 0 3 219.332 2.631 20 0 BFADHN CC(C)n1cncc1CN1CCC(C)(C)C1 ZINC000335146716 354858130 /nfs/dbraw/zinc/85/81/30/354858130.db2.gz MGXZEJMWQJBWFC-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CCCN(CC)Cc1cc(C)c(C(=O)OC)o1 ZINC000346348316 354887554 /nfs/dbraw/zinc/88/75/54/354887554.db2.gz YIROVEWYVZEAKZ-UHFFFAOYSA-N 0 3 239.315 2.607 20 0 BFADHN CCn1nccc1CN1C[C@H](C)CC(C)(C)C1 ZINC000346316509 354893790 /nfs/dbraw/zinc/89/37/90/354893790.db2.gz AJLLCVCCIADOQO-GFCCVEGCSA-N 0 3 235.375 2.771 20 0 BFADHN FC(F)(F)CCN1CCC[C@@H]1[C@H]1CCCO1 ZINC000366942509 354902090 /nfs/dbraw/zinc/90/20/90/354902090.db2.gz DSCGOFKCAFEVNS-NXEZZACHSA-N 0 3 237.265 2.582 20 0 BFADHN CCOC(=O)[C@H](CC)N(CC1CCC1)C1CC1 ZINC000346386966 354906608 /nfs/dbraw/zinc/90/66/08/354906608.db2.gz ZBNNVZIVDYGVDB-ZDUSSCGKSA-N 0 3 239.359 2.593 20 0 BFADHN C[C@H](N[C@@H]1CCCC1(C)C)c1ccn(C)n1 ZINC000335165829 354923027 /nfs/dbraw/zinc/92/30/27/354923027.db2.gz FUOALSSSDOGIGD-CMPLNLGQSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@@H]1CN(CCOC(C)(C)C)CCC1(F)F ZINC000351982641 134025784 /nfs/dbraw/zinc/02/57/84/134025784.db2.gz OIZRTBSQVJSVMH-SNVBAGLBSA-N 0 3 235.318 2.779 20 0 BFADHN COc1cc(C)ccc1CN[C@H](C)[C@@H]1CCCO1 ZINC000346596697 354988567 /nfs/dbraw/zinc/98/85/67/354988567.db2.gz HFFKOBFVFPHXPF-OCCSQVGLSA-N 0 3 249.354 2.661 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H](C)CCC2CC2)[n-]1 ZINC000346578228 354999641 /nfs/dbraw/zinc/99/96/41/354999641.db2.gz SLJRAAYVOQPNAV-ZJUUUORDSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@H](C)N[C@H](C)CCC2CC2)[nH]1 ZINC000346578228 354999646 /nfs/dbraw/zinc/99/96/46/354999646.db2.gz SLJRAAYVOQPNAV-ZJUUUORDSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)C[C@@H]1C[C@@H](NCc2ccccn2)CCO1 ZINC000346675361 355008869 /nfs/dbraw/zinc/00/88/69/355008869.db2.gz WLAJVHFQKBPRSU-DZGCQCFKSA-N 0 3 248.370 2.765 20 0 BFADHN Cc1ccc(CCN2CCO[C@@H]3CCC[C@H]32)cc1 ZINC000346651098 355019010 /nfs/dbraw/zinc/01/90/10/355019010.db2.gz VKDZXMMMISFJPZ-HZPDHXFCSA-N 0 3 245.366 2.791 20 0 BFADHN Cc1cccc(CCN2CCN(C)[C@H](C)[C@@H]2C)c1 ZINC000335230493 355073036 /nfs/dbraw/zinc/07/30/36/355073036.db2.gz LQKILCCVMMVSQC-CABCVRRESA-N 0 3 246.398 2.562 20 0 BFADHN Cc1nocc1CN[C@H]1CC[C@@H]1C1CCC1 ZINC000639227096 355056383 /nfs/dbraw/zinc/05/63/83/355056383.db2.gz JZJBKGQOSCCJGU-OLZOCXBDSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1ccc2c(c1)CN(CCC1(O)CCC1)C2 ZINC000335218048 355057017 /nfs/dbraw/zinc/05/70/17/355057017.db2.gz BIHQPBCLBIRWHU-UHFFFAOYSA-N 0 3 231.339 2.616 20 0 BFADHN CC(C)CN(CCCO)Cc1ccccc1F ZINC000346773974 355113594 /nfs/dbraw/zinc/11/35/94/355113594.db2.gz FFJWNCHTNZKIHN-UHFFFAOYSA-N 0 3 239.334 2.666 20 0 BFADHN CCOC[C@@H](C)NC1(c2ccc(OC)cc2)CC1 ZINC000346743942 355091072 /nfs/dbraw/zinc/09/10/72/355091072.db2.gz QZRQCUYRFDGRDN-GFCCVEGCSA-N 0 3 249.354 2.699 20 0 BFADHN Cc1cccc(CCN2C[C@@H](C)N(C)C[C@@H]2C)c1 ZINC000335231748 355092325 /nfs/dbraw/zinc/09/23/25/355092325.db2.gz QTYIAWPPBXPTNE-CABCVRRESA-N 0 3 246.398 2.562 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1cncs1 ZINC000449760668 202023871 /nfs/dbraw/zinc/02/38/71/202023871.db2.gz QMISDZOHOLWQQN-VXGBXAGGSA-N 0 3 240.372 2.580 20 0 BFADHN c1c(CN2CCCC23CCC3)nc2ccccn12 ZINC000335235063 355096115 /nfs/dbraw/zinc/09/61/15/355096115.db2.gz KXJSQKZVUGSTDQ-UHFFFAOYSA-N 0 3 241.338 2.853 20 0 BFADHN CC(C)=CCN1C[C@@H](O)C[C@H]1c1ccccc1 ZINC000335246788 355161492 /nfs/dbraw/zinc/16/14/92/355161492.db2.gz BPKKYPSTISFJRQ-GJZGRUSLSA-N 0 3 231.339 2.761 20 0 BFADHN COc1nc(C)cc(C)c1CN1CCC[C@H](C)C1 ZINC000346868813 355158177 /nfs/dbraw/zinc/15/81/77/355158177.db2.gz IFVPVLNPFWCWPN-NSHDSACASA-N 0 3 248.370 2.939 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccoc1)c1ccccn1 ZINC000346971938 355208530 /nfs/dbraw/zinc/20/85/30/355208530.db2.gz JJDCGLPRJCLXSO-NWDGAFQWSA-N 0 3 230.311 2.956 20 0 BFADHN CCC[C@H](NC[C@](C)(O)C1CC1)c1ccccn1 ZINC000346978951 355213189 /nfs/dbraw/zinc/21/31/89/355213189.db2.gz NSHCIDQWHBYBJL-ZFWWWQNUSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H]1N(CCc2ccccc2)CCOC1(C)C ZINC000346969606 355174896 /nfs/dbraw/zinc/17/48/96/355174896.db2.gz WHLYAJWRZBXGBW-ZDUSSCGKSA-N 0 3 233.355 2.728 20 0 BFADHN Cc1nocc1CN[C@@]1(C)CC=CCC1 ZINC000335242433 355178197 /nfs/dbraw/zinc/17/81/97/355178197.db2.gz STNUHTXXDAMGLX-LBPRGKRZSA-N 0 3 206.289 2.571 20 0 BFADHN COc1ccccc1[C@H](C)NCCOC1CCC1 ZINC000346936494 355182719 /nfs/dbraw/zinc/18/27/19/355182719.db2.gz HOQCRLMVTXULKI-LBPRGKRZSA-N 0 3 249.354 2.915 20 0 BFADHN FCCCCN1CCC[C@@H]1c1cccnc1 ZINC000346983051 355185267 /nfs/dbraw/zinc/18/52/67/355185267.db2.gz ZCFMOJDTQLIJSK-CYBMUJFWSA-N 0 3 222.307 2.968 20 0 BFADHN CCC[C@H](NCC[C@@H](C)OC)c1ccccn1 ZINC000346986995 355186780 /nfs/dbraw/zinc/18/67/80/355186780.db2.gz QSCWWPCGCSWKDE-OLZOCXBDSA-N 0 3 236.359 2.937 20 0 BFADHN CCCCCSCCN1CCCOCC1 ZINC000412996564 191332401 /nfs/dbraw/zinc/33/24/01/191332401.db2.gz FPFAUFMMYGGMKL-UHFFFAOYSA-N 0 3 231.405 2.632 20 0 BFADHN C[C@]1(F)CCN(C[C@@H]2Cc3ccccc32)C1 ZINC000347004278 355201726 /nfs/dbraw/zinc/20/17/26/355201726.db2.gz KANIHFKYCJANCM-JSGCOSHPSA-N 0 3 219.303 2.760 20 0 BFADHN Cc1nnsc1CNC12CCC(CC1)CC2 ZINC000639237172 355219911 /nfs/dbraw/zinc/21/99/11/355219911.db2.gz ZAEBAAOIJASLEO-UHFFFAOYSA-N 0 3 237.372 2.659 20 0 BFADHN CCCCN(CCCC)C(=O)[C@@H](CC)N(C)C ZINC000413153749 191356482 /nfs/dbraw/zinc/35/64/82/191356482.db2.gz LUWDXDKQZCTRTI-CYBMUJFWSA-N 0 3 242.407 2.755 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C)[C@@H](C)C2)nc1 ZINC000347582262 355232161 /nfs/dbraw/zinc/23/21/61/355232161.db2.gz OPGXMTBZTVTQRP-OLZOCXBDSA-N 0 3 218.344 2.868 20 0 BFADHN CCOCCN(C)CCc1ccc(Cl)cc1 ZINC000113518127 355236591 /nfs/dbraw/zinc/23/65/91/355236591.db2.gz ZLBJNZKUFLAEGC-UHFFFAOYSA-N 0 3 241.762 2.851 20 0 BFADHN CCCN[C@H](CCC)c1nnc2ccccn21 ZINC000347616393 355236714 /nfs/dbraw/zinc/23/67/14/355236714.db2.gz NBGPVXGENAPFIV-LLVKDONJSA-N 0 3 232.331 2.570 20 0 BFADHN CCOc1cccc(CN2C[C@H](C)[C@@H](C)C2)n1 ZINC000353908682 355238086 /nfs/dbraw/zinc/23/80/86/355238086.db2.gz CUJRJSXZVXGPNH-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN CC[C@@H](CN(C)Cc1sccc1C)OC ZINC000412974652 191344618 /nfs/dbraw/zinc/34/46/18/191344618.db2.gz BTFAEFPZBCDGLR-NSHDSACASA-N 0 3 227.373 2.913 20 0 BFADHN CC[C@@H](CN(Cc1ccco1)C(C)C)OC ZINC000412974506 191345558 /nfs/dbraw/zinc/34/55/58/191345558.db2.gz BBKBJAPOXITAMX-LBPRGKRZSA-N 0 3 225.332 2.915 20 0 BFADHN CC[C@H](CN(C)[C@@H](C)c1ccco1)OC ZINC000412979022 191348586 /nfs/dbraw/zinc/34/85/86/191348586.db2.gz RXMBHQNHSOJCNG-WDEREUQCSA-N 0 3 211.305 2.697 20 0 BFADHN CC[C@@H](CN(C)[C@@H](C)c1ccco1)OC ZINC000412979021 191348752 /nfs/dbraw/zinc/34/87/52/191348752.db2.gz RXMBHQNHSOJCNG-QWRGUYRKSA-N 0 3 211.305 2.697 20 0 BFADHN CC[C@H](CN1Cc2ccccc2[C@@H]1C)OC ZINC000412980157 191350384 /nfs/dbraw/zinc/35/03/84/191350384.db2.gz WOQOISWRMHHGCX-WCQYABFASA-N 0 3 219.328 2.988 20 0 BFADHN CCC(C)(C)NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000413110747 191353993 /nfs/dbraw/zinc/35/39/93/191353993.db2.gz SSZCGZZQOUKPRA-SRVKXCTJSA-N 0 3 240.391 2.553 20 0 BFADHN CCc1cc(N2CCO[C@H](C3CCC3)C2)ccn1 ZINC000413475459 191387238 /nfs/dbraw/zinc/38/72/38/191387238.db2.gz KSMKFSSWEMSLPM-HNNXBMFYSA-N 0 3 246.354 2.649 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCc3c[nH]nc3C2)o1 ZINC000335291373 355266073 /nfs/dbraw/zinc/26/60/73/355266073.db2.gz GFDUYCXGWWFCHC-JQWIXIFHSA-N 0 3 245.326 2.519 20 0 BFADHN COc1c(C)cnc(CN2CC[C@H](C)C2)c1C ZINC000335291516 355266731 /nfs/dbraw/zinc/26/67/31/355266731.db2.gz MDZOPBHITMGZFS-JTQLQIEISA-N 0 3 234.343 2.549 20 0 BFADHN COc1c(C)cnc(CN2CC[C@@H](C)C2)c1C ZINC000335291517 355266918 /nfs/dbraw/zinc/26/69/18/355266918.db2.gz MDZOPBHITMGZFS-SNVBAGLBSA-N 0 3 234.343 2.549 20 0 BFADHN CC(C)[C@@H](N[C@@H](C)c1ccccn1)C(C)(C)O ZINC000347683466 355268012 /nfs/dbraw/zinc/26/80/12/355268012.db2.gz DRLUWIKLHVNKLX-WCQYABFASA-N 0 3 236.359 2.528 20 0 BFADHN CCN(CCC[C@@H]1CCO1)Cc1occc1C ZINC000639264113 355320424 /nfs/dbraw/zinc/32/04/24/355320424.db2.gz APGBDBREWHWAGC-CYBMUJFWSA-N 0 3 237.343 2.979 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H]2CCCc3occc32)C1 ZINC000414151913 191445113 /nfs/dbraw/zinc/44/51/13/191445113.db2.gz WWQZAYDDYPURMW-NTZNESFSSA-N 0 3 235.327 2.814 20 0 BFADHN CCOCCN(Cc1ccccc1C)C1CC1 ZINC000348987883 355336667 /nfs/dbraw/zinc/33/66/67/355336667.db2.gz GVQFNMRSGGMMFB-UHFFFAOYSA-N 0 3 233.355 2.996 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1c1ccccc1F)c1cn[nH]c1 ZINC000414174503 191452786 /nfs/dbraw/zinc/45/27/86/191452786.db2.gz YBHADDZKUXKVCG-DUFXMDAXSA-N 0 3 245.301 2.756 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H]1C[C@@H](C)n2ccnc21 ZINC000414179369 191453196 /nfs/dbraw/zinc/45/31/96/191453196.db2.gz KOGVCLGSVSQDEW-LPWJVIDDSA-N 0 3 233.359 2.913 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)nn1C ZINC000414187611 191456223 /nfs/dbraw/zinc/45/62/23/191456223.db2.gz QDUWPCIWRGTHOR-RNJOBUHISA-N 0 3 233.359 2.568 20 0 BFADHN O=c1ccc(CN2CC3(C2)CCCCC3)c[nH]1 ZINC000639250523 355283474 /nfs/dbraw/zinc/28/34/74/355283474.db2.gz SMAOKZNWCNXQHO-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN Cc1ccc(CCN2CC3(C2)CCCOC3)cc1 ZINC000639251705 355284283 /nfs/dbraw/zinc/28/42/83/355284283.db2.gz SWBSSZCMBGPOJI-UHFFFAOYSA-N 0 3 245.366 2.650 20 0 BFADHN FC(F)(F)CC1CN(C[C@@H]2C[C@H]3C[C@H]3C2)C1 ZINC000639252980 355287821 /nfs/dbraw/zinc/28/78/21/355287821.db2.gz YBEKQZUGUVVKIT-GUDFOSOFSA-N 0 3 233.277 2.917 20 0 BFADHN CC[C@H](CCO)CN[C@@H](C)c1nc(C)cs1 ZINC000623903911 355369134 /nfs/dbraw/zinc/36/91/34/355369134.db2.gz QYQVNRQCRCGMMI-WDEREUQCSA-N 0 3 242.388 2.511 20 0 BFADHN CC[C@@H](CCO)CN[C@@H](C)c1nc(C)cs1 ZINC000623903910 355369168 /nfs/dbraw/zinc/36/91/68/355369168.db2.gz QYQVNRQCRCGMMI-QWRGUYRKSA-N 0 3 242.388 2.511 20 0 BFADHN COCC[C@@H](C)CN[C@@H](C)c1ccncc1F ZINC000414213574 191470416 /nfs/dbraw/zinc/47/04/16/191470416.db2.gz YCPYXYVMFWGJME-MNOVXSKESA-N 0 3 240.322 2.544 20 0 BFADHN CCC[C@H](CN1CC(C)(CC(F)F)C1)OC ZINC000639282214 355377536 /nfs/dbraw/zinc/37/75/36/355377536.db2.gz BYGCJZVQNNYKPK-SNVBAGLBSA-N 0 3 235.318 2.779 20 0 BFADHN C[C@@H](CNCc1ccns1)CC(F)F ZINC000639283395 355380030 /nfs/dbraw/zinc/38/00/30/355380030.db2.gz QBGDZWAHFSGVEE-SSDOTTSWSA-N 0 3 220.288 2.524 20 0 BFADHN CC1(C)CCC(N2CCc3n[nH]cc3C2)CC1 ZINC000623238623 355383301 /nfs/dbraw/zinc/38/33/01/355383301.db2.gz NRQQINRMZZTOKH-UHFFFAOYSA-N 0 3 233.359 2.737 20 0 BFADHN CC[C@H]1CCC[C@@H](N2CCc3n[nH]cc3C2)C1 ZINC000623238444 355383416 /nfs/dbraw/zinc/38/34/16/355383416.db2.gz GVHINQLKEYWJPC-WCQYABFASA-N 0 3 233.359 2.737 20 0 BFADHN CC[C@@H](N[C@@H]1CCCC[C@H]1F)c1cnn(C)c1 ZINC000414285998 191482317 /nfs/dbraw/zinc/48/23/17/191482317.db2.gz MLWPKMMICPZIQF-JHJVBQTASA-N 0 3 239.338 2.742 20 0 BFADHN CO[C@H](CN[C@@H]1C[C@H]1c1ccco1)C1CCCC1 ZINC000639270640 355341243 /nfs/dbraw/zinc/34/12/43/355341243.db2.gz AOYUMZKMTGNQDG-UMVBOHGHSA-N 0 3 249.354 2.930 20 0 BFADHN COCCN(Cc1ccoc1C)CC(C)C ZINC000414366969 191500408 /nfs/dbraw/zinc/50/04/08/191500408.db2.gz CWVPDZGUWOWEJF-UHFFFAOYSA-N 0 3 225.332 2.692 20 0 BFADHN CC(C)(CNCc1cncs1)c1cccnc1 ZINC000414563669 191534798 /nfs/dbraw/zinc/53/47/98/191534798.db2.gz WOEGQHOOCFEUIS-UHFFFAOYSA-N 0 3 247.367 2.606 20 0 BFADHN CCCC[C@@H](CC)N1CCc2n[nH]cc2C1 ZINC000623240258 355414300 /nfs/dbraw/zinc/41/43/00/355414300.db2.gz FKKNNPOESOQSNK-GFCCVEGCSA-N 0 3 221.348 2.737 20 0 BFADHN CC1(C)CCC[C@H](N2CCc3n[nH]cc3C2)C1 ZINC000623240497 355417414 /nfs/dbraw/zinc/41/74/14/355417414.db2.gz MZEYDNAPVOFBEL-LBPRGKRZSA-N 0 3 233.359 2.737 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCc3n[nH]cc3C2)[C@@H](C)C1 ZINC000623240506 355417649 /nfs/dbraw/zinc/41/76/49/355417649.db2.gz NFRWFTAWQNSIDX-UHIISALHSA-N 0 3 233.359 2.593 20 0 BFADHN CC(C)OCCN[C@@H](c1cccnc1)C(C)C ZINC000349722322 355419284 /nfs/dbraw/zinc/41/92/84/355419284.db2.gz OCIHWRFBQYXZOH-CQSZACIVSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N1CCc2n[nH]cc2C1 ZINC000623240893 355420314 /nfs/dbraw/zinc/42/03/14/355420314.db2.gz ZUTTZJVIKOXWDH-WCQYABFASA-N 0 3 235.375 2.983 20 0 BFADHN Cc1occc1CN1CCCC[C@@H]1C[C@H](C)O ZINC000414444900 191512236 /nfs/dbraw/zinc/51/22/36/191512236.db2.gz LTVHQQWRDKAEAH-SMDDNHRTSA-N 0 3 237.343 2.713 20 0 BFADHN CO[C@]1(C)CCCN(Cc2ccc(C)o2)CC1 ZINC000335782013 355429900 /nfs/dbraw/zinc/42/99/00/355429900.db2.gz DHJCJNLIMJWLGK-CQSZACIVSA-N 0 3 237.343 2.979 20 0 BFADHN C[C@]1(NCc2cc3ccc(F)cc3[nH]2)CCOC1 ZINC000335784736 355433750 /nfs/dbraw/zinc/43/37/50/355433750.db2.gz KDNIWNGHPIETCT-AWEZNQCLSA-N 0 3 248.301 2.576 20 0 BFADHN CN(Cc1cnc2ccc(Cl)cn12)C1CCC1 ZINC000335791506 355443862 /nfs/dbraw/zinc/44/38/62/355443862.db2.gz OOQYAOBCWAHHLQ-UHFFFAOYSA-N 0 3 249.745 2.972 20 0 BFADHN COc1cncc(CN2CCC23CCCC3)c1C ZINC000639287503 355395665 /nfs/dbraw/zinc/39/56/65/355395665.db2.gz DRPFNSQOHLIECW-UHFFFAOYSA-N 0 3 246.354 2.917 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1cc(C2CC2)no1 ZINC000639288137 355398843 /nfs/dbraw/zinc/39/88/43/355398843.db2.gz BPLBGPREGYCOKY-KCJUWKMLSA-N 0 3 238.356 2.536 20 0 BFADHN CC(C)CN(C)Cc1cnn(C(C)(C)C)c1 ZINC000349836652 355491118 /nfs/dbraw/zinc/49/11/18/355491118.db2.gz AGYSQGYFLCWMHW-UHFFFAOYSA-N 0 3 223.364 2.726 20 0 BFADHN CC(C)(CF)NCc1ccc(-n2cccn2)cc1 ZINC000349793895 355463829 /nfs/dbraw/zinc/46/38/29/355463829.db2.gz YACOIQJXNLFJOB-UHFFFAOYSA-N 0 3 247.317 2.710 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1ccc(OCC)cc1 ZINC000349811132 355467758 /nfs/dbraw/zinc/46/77/58/355467758.db2.gz FRBWBPMBJDDTFU-MFBWXBCUSA-N 0 3 249.354 2.502 20 0 BFADHN CO[C@@H](CN1CC2(CC2(F)F)C1)C1CCCC1 ZINC000639294154 355554287 /nfs/dbraw/zinc/55/42/87/355554287.db2.gz CUIIIABGNGRIIR-NSHDSACASA-N 0 3 245.313 2.533 20 0 BFADHN CC(C)=CCN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000335817538 355508861 /nfs/dbraw/zinc/50/88/61/355508861.db2.gz AWDWJEPWWIPLSS-WDEREUQCSA-N 0 3 215.287 2.930 20 0 BFADHN CCCN(C/C=C\c1ccc(F)cc1)CCO ZINC000350089606 355582828 /nfs/dbraw/zinc/58/28/28/355582828.db2.gz WEKXUJLLOUETPT-ARJAWSKDSA-N 0 3 237.318 2.543 20 0 BFADHN CC[C@H]1CN(CC2CCSCC2)[C@@H](C)CO1 ZINC000639296010 355591521 /nfs/dbraw/zinc/59/15/21/355591521.db2.gz OGFXUKWNDQIDRZ-AAEUAGOBSA-N 0 3 243.416 2.629 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@H](N2CCc3n[nH]cc3C2)C1 ZINC000623243529 355610819 /nfs/dbraw/zinc/61/08/19/355610819.db2.gz DXIRPJHSVGBXKK-JTNHKYCSSA-N 0 3 233.359 2.593 20 0 BFADHN C[C@@H]([C@H]1CCCC[C@H]1C)N1CCc2n[nH]cc2C1 ZINC000623242851 355580355 /nfs/dbraw/zinc/58/03/55/355580355.db2.gz LETUXPWLMCVEJR-DYEKYZERSA-N 0 3 247.386 2.983 20 0 BFADHN COc1cccc(C)c1CN[C@H]1COC(C)(C)C1 ZINC000639301692 355641538 /nfs/dbraw/zinc/64/15/38/355641538.db2.gz ADDASUNKUICWPU-GFCCVEGCSA-N 0 3 249.354 2.661 20 0 BFADHN CC[C@H](C)N1CCC=C(c2ccccn2)C1 ZINC000583573465 355656844 /nfs/dbraw/zinc/65/68/44/355656844.db2.gz VVKNUDLRLSKEOJ-LBPRGKRZSA-N 0 3 216.328 2.969 20 0 BFADHN CCCN(Cc1c2c(nn1C)CCCC2)C(C)C ZINC000639308186 355663867 /nfs/dbraw/zinc/66/38/67/355663867.db2.gz DREDPTIBTLTDLW-UHFFFAOYSA-N 0 3 249.402 2.919 20 0 BFADHN c1cc(CN2CC[C@H](c3cccnc3)C2)sn1 ZINC000639299813 355619467 /nfs/dbraw/zinc/61/94/67/355619467.db2.gz URGVNZWBFGXMOW-LBPRGKRZSA-N 0 3 245.351 2.528 20 0 BFADHN Cc1cnc(CN(C)C2CCC(C)CC2)cn1 ZINC000335867453 355631816 /nfs/dbraw/zinc/63/18/16/355631816.db2.gz TXYZRUQFCZBDOM-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN COCCC1CN(CCc2ccc(C)cc2)C1 ZINC000639305896 355670158 /nfs/dbraw/zinc/67/01/58/355670158.db2.gz GBXPIXPJQNRIDI-UHFFFAOYSA-N 0 3 233.355 2.506 20 0 BFADHN COc1cc(CNCCCC2CCC2)sn1 ZINC000639314308 355695472 /nfs/dbraw/zinc/69/54/72/355695472.db2.gz BFACLEMMAWVGRU-UHFFFAOYSA-N 0 3 240.372 2.822 20 0 BFADHN CC[C@H]1C[C@@H](CN(C)Cc2ccccn2)CCO1 ZINC000350390731 355701668 /nfs/dbraw/zinc/70/16/68/355701668.db2.gz KZWPZWJVZZOCGL-ZFWWWQNUSA-N 0 3 248.370 2.719 20 0 BFADHN C[C@H](NCc1nccn1C)[C@@H]1CCC[C@@H](C)C1 ZINC000449764356 202026590 /nfs/dbraw/zinc/02/65/90/202026590.db2.gz AOYHFGANXODGTB-FRRDWIJNSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1cc(CNCCC(C)(C)F)sn1 ZINC000639317307 355711192 /nfs/dbraw/zinc/71/11/92/355711192.db2.gz QCUQZEPTCHMISH-UHFFFAOYSA-N 0 3 216.325 2.679 20 0 BFADHN CC[C@@](C)(NCc1ncc(C)o1)C1CC1 ZINC000639318240 355711937 /nfs/dbraw/zinc/71/19/37/355711937.db2.gz RDFRZNNJNCDONF-GFCCVEGCSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@](C)(CN[C@H]1CCc2ccc(O)cc21)OC ZINC000639317855 355713662 /nfs/dbraw/zinc/71/36/62/355713662.db2.gz QHEVEFVKGLOZDV-LSDHHAIUSA-N 0 3 249.354 2.784 20 0 BFADHN CCCC[C@@H](CC)NCc1cc(OC)no1 ZINC000639323685 355717355 /nfs/dbraw/zinc/71/73/55/355717355.db2.gz FYSYTDSGHABLNY-SNVBAGLBSA-N 0 3 226.320 2.742 20 0 BFADHN CCCC[C@@H](C)[C@H](C)NCc1cc(OC)no1 ZINC000639324128 355718362 /nfs/dbraw/zinc/71/83/62/355718362.db2.gz KDXDDOPFHPYYQI-MNOVXSKESA-N 0 3 240.347 2.988 20 0 BFADHN CCCC(CCC)NCc1cc(OC)no1 ZINC000639324077 355718393 /nfs/dbraw/zinc/71/83/93/355718393.db2.gz JQDLVOZQTGIOBS-UHFFFAOYSA-N 0 3 226.320 2.742 20 0 BFADHN CC[C@H](NCc1cc(OC)no1)C1CCCC1 ZINC000639324452 355719131 /nfs/dbraw/zinc/71/91/31/355719131.db2.gz KYSCMNNOXGBDBA-LBPRGKRZSA-N 0 3 238.331 2.742 20 0 BFADHN Cc1ccc(CN2C[C@H]3COC[C@@]3(C)C2)c(F)c1 ZINC000639319492 355719673 /nfs/dbraw/zinc/71/96/73/355719673.db2.gz HKQCHDLSYDFEJK-DZGCQCFKSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1ccc(CN2C[C@@H]3COC[C@@]3(C)C2)c(F)c1 ZINC000639319495 355719903 /nfs/dbraw/zinc/71/99/03/355719903.db2.gz HKQCHDLSYDFEJK-UKRRQHHQSA-N 0 3 249.329 2.602 20 0 BFADHN CC[C@H]1CCCCN1Cc1cnc(C)nc1 ZINC000335894350 355688136 /nfs/dbraw/zinc/68/81/36/355688136.db2.gz LOVRSYHMMLNLHR-ZDUSSCGKSA-N 0 3 219.332 2.550 20 0 BFADHN Cn1ccnc1[C@H](N[C@]1(C)CC1(C)C)C1CC1 ZINC000639328050 355734112 /nfs/dbraw/zinc/73/41/12/355734112.db2.gz OWCNOAXIDKLUBZ-BXUZGUMPSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@H](NCc1cc[nH]n1)[C@@H]1CCC[C@H](C)C1 ZINC000449765716 202027163 /nfs/dbraw/zinc/02/71/63/202027163.db2.gz KESQMHYOBMAOTH-SDDRHHMPSA-N 0 3 221.348 2.714 20 0 BFADHN Cc1cc(Cl)cc(CN2CCC[C@@H]2CO)c1 ZINC000350681767 355740748 /nfs/dbraw/zinc/74/07/48/355740748.db2.gz MGSQKVBZLYXSDP-CYBMUJFWSA-N 0 3 239.746 2.605 20 0 BFADHN C[C@H](CC1CCC1)NCc1c(Cl)cnn1C ZINC000631251788 355742279 /nfs/dbraw/zinc/74/22/79/355742279.db2.gz HDALFEHKFTYIKL-SECBINFHSA-N 0 3 241.766 2.742 20 0 BFADHN Cc1cnc(CN[C@@H](C(C)C)C2CC2)o1 ZINC000639329254 355745356 /nfs/dbraw/zinc/74/53/56/355745356.db2.gz WQIDHSBFDRTWKC-LBPRGKRZSA-N 0 3 208.305 2.507 20 0 BFADHN CCCN1CCc2cc(OCC)ccc2C1 ZINC000033641889 355750871 /nfs/dbraw/zinc/75/08/71/355750871.db2.gz PYKJWBWVZOQAQV-UHFFFAOYSA-N 0 3 219.328 2.853 20 0 BFADHN CCOC(=O)CN(CC(C)C)C[C@@H](C)CC ZINC000350787885 355757735 /nfs/dbraw/zinc/75/77/35/355757735.db2.gz RPCUEBUHWAYEKQ-LBPRGKRZSA-N 0 3 229.364 2.554 20 0 BFADHN COc1cccc(CNC[C@@H](OC)C2CC2)c1C ZINC000639332464 355758636 /nfs/dbraw/zinc/75/86/36/355758636.db2.gz KAMHRHRLPAQEPU-OAHLLOKOSA-N 0 3 249.354 2.518 20 0 BFADHN CC[C@@H](C)[C@@H](CN(C)CC1=CCSC1)OC ZINC000639335664 355766304 /nfs/dbraw/zinc/76/63/04/355766304.db2.gz WWKYQVPLVMKEEM-DGCLKSJQSA-N 0 3 243.416 2.653 20 0 BFADHN CN(Cc1ccccn1)C1CCCCC1 ZINC000034092731 355784732 /nfs/dbraw/zinc/78/47/32/355784732.db2.gz CPSZNEXPOUCRJX-UHFFFAOYSA-N 0 3 204.317 2.846 20 0 BFADHN Cc1nnsc1CNCC[C@@H]1CC=CCC1 ZINC000639349964 355796362 /nfs/dbraw/zinc/79/63/62/355796362.db2.gz AHSNPNYDGBRXPC-LLVKDONJSA-N 0 3 237.372 2.683 20 0 BFADHN Cn1ccc(CN2CC[C@@H]2Cc2ccccc2)c1 ZINC000353998649 355798123 /nfs/dbraw/zinc/79/81/23/355798123.db2.gz WUEWWBNXXKZNPL-MRXNPFEDSA-N 0 3 240.350 2.842 20 0 BFADHN CS[C@H]1CC[C@@H]1N[C@@H]1CCCC[C@H]1F ZINC000425369377 191829845 /nfs/dbraw/zinc/82/98/45/191829845.db2.gz LXPVRHAURXPXHL-ZNSHCXBVSA-N 0 3 217.353 2.751 20 0 BFADHN CO[C@@H](CNCC(C)(C)F)Cc1ccccc1 ZINC000639358017 355811905 /nfs/dbraw/zinc/81/19/05/355811905.db2.gz DTWHECRCOBBBNL-CYBMUJFWSA-N 0 3 239.334 2.582 20 0 BFADHN CO[C@H](CNCC(C)(C)F)Cc1ccccc1 ZINC000639358019 355812023 /nfs/dbraw/zinc/81/20/23/355812023.db2.gz DTWHECRCOBBBNL-ZDUSSCGKSA-N 0 3 239.334 2.582 20 0 BFADHN CC(C)[C@H]1C[C@H](NCC(C)(C)F)CS1 ZINC000639358854 355813653 /nfs/dbraw/zinc/81/36/53/355813653.db2.gz SNSBJPCVEZMKIH-VHSXEESVSA-N 0 3 219.369 2.854 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN(C)CC2(C)COC2)o1 ZINC000608491750 355814868 /nfs/dbraw/zinc/81/48/68/355814868.db2.gz BMLQHMDEFQQVRY-DGCLKSJQSA-N 0 3 249.354 2.871 20 0 BFADHN c1cc(CN[C@@H]2CCCC23CC3)nc2c1CCC2 ZINC000639361303 355815503 /nfs/dbraw/zinc/81/55/03/355815503.db2.gz WPWQSBWYXZIUEI-OAHLLOKOSA-N 0 3 242.366 2.993 20 0 BFADHN c1ccc(C2CN(CC[C@H]3CCOC3)C2)cc1 ZINC000639361824 355816136 /nfs/dbraw/zinc/81/61/36/355816136.db2.gz TVRCHVATNWIUOB-ZDUSSCGKSA-N 0 3 231.339 2.512 20 0 BFADHN COCC(C)(C)CN1CC(c2ccccc2)C1 ZINC000639361812 355816620 /nfs/dbraw/zinc/81/66/20/355816620.db2.gz SUKHPQMUPMGQIP-UHFFFAOYSA-N 0 3 233.355 2.758 20 0 BFADHN c1ccc2c(c1)CN(CC1CCC1)CCO2 ZINC000608493085 355818859 /nfs/dbraw/zinc/81/88/59/355818859.db2.gz YIDFYRGKDHQMHA-UHFFFAOYSA-N 0 3 217.312 2.681 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCCC23CC3)o1 ZINC000639360718 355819502 /nfs/dbraw/zinc/81/95/02/355819502.db2.gz MZXNYKFKFQTSDE-GFCCVEGCSA-N 0 3 220.316 2.714 20 0 BFADHN CCSc1ccccc1[C@H](C)N[C@H](C)CO ZINC000166782421 355842576 /nfs/dbraw/zinc/84/25/76/355842576.db2.gz IHBUFOFYNWBRIF-MNOVXSKESA-N 0 3 239.384 2.830 20 0 BFADHN CC(C)[C@@H]1CC[C@@H](NCc2ncc[nH]2)C[C@@H]1C ZINC000639373220 355877021 /nfs/dbraw/zinc/87/70/21/355877021.db2.gz YPZDTWVQMGGLJD-XQQFMLRXSA-N 0 3 235.375 2.960 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1nccc2ccccc21 ZINC000353250235 355852116 /nfs/dbraw/zinc/85/21/16/355852116.db2.gz FLFCNURUIGSACR-VXGBXAGGSA-N 0 3 244.338 2.920 20 0 BFADHN C[C@@H](CCc1ccccc1F)NCc1ncc[nH]1 ZINC000639370581 355861673 /nfs/dbraw/zinc/86/16/73/355861673.db2.gz FCNPTJUNNHJWBG-NSHDSACASA-N 0 3 247.317 2.660 20 0 BFADHN Cc1ccc(CC[C@H](C)NCc2ncc[nH]2)cc1 ZINC000639371135 355866954 /nfs/dbraw/zinc/86/69/54/355866954.db2.gz JJHFYWQFHSYRCT-ZDUSSCGKSA-N 0 3 243.354 2.829 20 0 BFADHN C[C@@H](CCc1ccccc1)CNCc1ncc[nH]1 ZINC000639372463 355871200 /nfs/dbraw/zinc/87/12/00/355871200.db2.gz PRLOBWQIYVLXOF-ZDUSSCGKSA-N 0 3 243.354 2.768 20 0 BFADHN Cn1cccc1CN1CCC(CCF)CC1 ZINC000639380291 355917455 /nfs/dbraw/zinc/91/74/55/355917455.db2.gz DCNOCARBMWEFOO-UHFFFAOYSA-N 0 3 224.323 2.597 20 0 BFADHN Cc1nc(C)c(CN2CCC(CCF)CC2)o1 ZINC000639376704 355900720 /nfs/dbraw/zinc/90/07/20/355900720.db2.gz VRRQFXGDHXQQHM-UHFFFAOYSA-N 0 3 240.322 2.863 20 0 BFADHN CCc1cccc(F)c1CNCCCF ZINC000309065512 355990156 /nfs/dbraw/zinc/99/01/56/355990156.db2.gz ZARIFNPQNFBMBI-UHFFFAOYSA-N 0 3 213.271 2.837 20 0 BFADHN C[C@@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)[C@H](C)CO1 ZINC000351079420 355945818 /nfs/dbraw/zinc/94/58/18/355945818.db2.gz QKLFRTYUIJJLQW-VDERGJSUSA-N 0 3 245.366 2.899 20 0 BFADHN CC(C)[C@H]1CN(CCc2ccccc2)CCO1 ZINC000351143268 355953124 /nfs/dbraw/zinc/95/31/24/355953124.db2.gz KORPQCSNALVHJW-OAHLLOKOSA-N 0 3 233.355 2.586 20 0 BFADHN COc1ccc(F)c(CN2C[C@H](C)[C@H](C)C2)c1 ZINC000351625342 356033873 /nfs/dbraw/zinc/03/38/73/356033873.db2.gz WULQOFJQMUWBGN-PHIMTYICSA-N 0 3 237.318 2.922 20 0 BFADHN CC[C@H](C)[C@H](CN[C@@H]1C[C@H]1C(F)(F)F)OC ZINC000639390961 356034866 /nfs/dbraw/zinc/03/48/66/356034866.db2.gz RJVLPHRZJLTHHG-JLIMGVALSA-N 0 3 239.281 2.588 20 0 BFADHN Cc1nccc(CN(C(C)C)C2CCC2)n1 ZINC000351644820 356041857 /nfs/dbraw/zinc/04/18/57/356041857.db2.gz SWWPCPFSRNEWFI-UHFFFAOYSA-N 0 3 219.332 2.548 20 0 BFADHN Cn1cc(CN2CCC[C@H](C3CCC3)CC2)cn1 ZINC000639391863 356046261 /nfs/dbraw/zinc/04/62/61/356046261.db2.gz LZDGVWMDELRGSG-HNNXBMFYSA-N 0 3 247.386 2.822 20 0 BFADHN CCN(CCCO)Cc1cc(C)cc(Cl)c1 ZINC000351658303 356047586 /nfs/dbraw/zinc/04/75/86/356047586.db2.gz HSZPSGRZEBDMMW-UHFFFAOYSA-N 0 3 241.762 2.853 20 0 BFADHN FC(F)C1CCN(CCc2ccncc2)CC1 ZINC000351532421 356009821 /nfs/dbraw/zinc/00/98/21/356009821.db2.gz GVTRQWGVZQBFIA-UHFFFAOYSA-N 0 3 240.297 2.601 20 0 BFADHN Cc1cccnc1CN1CCC(C)(F)CC1 ZINC000639389216 356012063 /nfs/dbraw/zinc/01/20/63/356012063.db2.gz UONNSXHQKWWNKB-UHFFFAOYSA-N 0 3 222.307 2.714 20 0 BFADHN CC1(F)CCN(Cc2ccc3c[nH]nc3c2)CC1 ZINC000639389204 356012327 /nfs/dbraw/zinc/01/23/27/356012327.db2.gz UDNLCEVQDOLMFU-UHFFFAOYSA-N 0 3 247.317 2.887 20 0 BFADHN CO[C@H](Cc1ccccc1)CN1CC(C)(C)C1 ZINC000639393346 356071730 /nfs/dbraw/zinc/07/17/30/356071730.db2.gz JYVYQMSERMFMBX-CQSZACIVSA-N 0 3 233.355 2.586 20 0 BFADHN Cc1ncc(CN(C)C2CCCCC2)cn1 ZINC000335911890 356126044 /nfs/dbraw/zinc/12/60/44/356126044.db2.gz OEAVAHSWTTYEGW-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN C[C@@H](F)CCN(C)[C@H](C)c1cccnc1 ZINC000351734154 356073611 /nfs/dbraw/zinc/07/36/11/356073611.db2.gz HACWXNGJWFWTNM-GHMZBOCLSA-N 0 3 210.296 2.823 20 0 BFADHN C[C@@H](c1cccc(Cl)c1)N1C[C@@H](C)[C@@H](O)C1 ZINC000351776414 356085610 /nfs/dbraw/zinc/08/56/10/356085610.db2.gz ZXNSKTPPUONFAU-NRUUGDAUSA-N 0 3 239.746 2.714 20 0 BFADHN C[C@@H](c1cccc(Cl)c1)N1C[C@@H](C)[C@H](O)C1 ZINC000351776412 356085623 /nfs/dbraw/zinc/08/56/23/356085623.db2.gz ZXNSKTPPUONFAU-GBIKHYSHSA-N 0 3 239.746 2.714 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1C[C@@H](C)C[C@H](C)C1 ZINC000351864796 356099997 /nfs/dbraw/zinc/09/99/97/356099997.db2.gz YZYUFYKJPVYKBD-AVGNSLFASA-N 0 3 241.375 2.552 20 0 BFADHN CO[C@@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC000336089904 134077885 /nfs/dbraw/zinc/07/78/85/134077885.db2.gz FACSEORTUZLRQX-GXFFZTMASA-N 0 3 223.291 2.607 20 0 BFADHN Cc1cc(CNC[C@H]2CCc3ccccc32)no1 ZINC000351907359 356179407 /nfs/dbraw/zinc/17/94/07/356179407.db2.gz NALDSUJILAYSAP-CYBMUJFWSA-N 0 3 242.322 2.803 20 0 BFADHN CC1(C)CN(Cc2ccc(-n3cccn3)cc2)C1 ZINC000639403532 356177226 /nfs/dbraw/zinc/17/72/26/356177226.db2.gz DXQGMTBUZJQRQT-UHFFFAOYSA-N 0 3 241.338 2.714 20 0 BFADHN C[C@H]1OCC[C@H]1CN(C)Cc1ccccc1F ZINC000639434999 356214268 /nfs/dbraw/zinc/21/42/68/356214268.db2.gz FFOSVMYQTRGZCR-NEPJUHHUSA-N 0 3 237.318 2.683 20 0 BFADHN C[C@H]1OCC[C@@H]1CN(C)Cc1ccsc1 ZINC000639440619 356217425 /nfs/dbraw/zinc/21/74/25/356217425.db2.gz DSJNUMIHJKKSLY-ZYHUDNBSSA-N 0 3 225.357 2.605 20 0 BFADHN C[C@H]1OCC[C@@H]1CN1CCc2sccc2C1 ZINC000639443159 356220152 /nfs/dbraw/zinc/22/01/52/356220152.db2.gz XJAYGVYRGCFEKU-GHMZBOCLSA-N 0 3 237.368 2.531 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H]1C[C@H](C)n2ccnc21 ZINC000395525070 356227736 /nfs/dbraw/zinc/22/77/36/356227736.db2.gz BMYFLUJPYOFNQX-USZNOCQGSA-N 0 3 219.332 2.525 20 0 BFADHN Cc1ccc(CN2CCS[C@H](C)CC2)nc1 ZINC000336105184 134084432 /nfs/dbraw/zinc/08/44/32/134084432.db2.gz DDDSWMYZWOLGSD-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN CCC(F)(F)CN1CCC[C@@H](N2CCCC2)C1 ZINC000639452867 356233363 /nfs/dbraw/zinc/23/33/63/356233363.db2.gz GTPMXRSXURRPLR-GFCCVEGCSA-N 0 3 246.345 2.592 20 0 BFADHN CC1(C)CN(Cc2cc3ccccc3[nH]c2=O)C1 ZINC000639403680 356198811 /nfs/dbraw/zinc/19/88/11/356198811.db2.gz HWBXXLVVAKEODJ-UHFFFAOYSA-N 0 3 242.322 2.782 20 0 BFADHN Cc1ccc(CN(C)Cc2cnc[nH]2)cc1C ZINC000449762626 202027642 /nfs/dbraw/zinc/02/76/42/202027642.db2.gz JXELNCQLJRTHNZ-UHFFFAOYSA-N 0 3 229.327 2.659 20 0 BFADHN COC[C@H]1CCCCN1Cc1ccsc1 ZINC000449761485 202027898 /nfs/dbraw/zinc/02/78/98/202027898.db2.gz YACWQLHCUHAQBH-GFCCVEGCSA-N 0 3 225.357 2.749 20 0 BFADHN Cc1ccc(CN(C[C@@H]2CCO[C@H]2C)C2CC2)o1 ZINC000639454476 356238828 /nfs/dbraw/zinc/23/88/28/356238828.db2.gz ZMGTZAXPRLXPAJ-STQMWFEESA-N 0 3 249.354 2.977 20 0 BFADHN Cc1cc(C)c(CN(C)C[C@H]2CCCO2)c(C)n1 ZINC000639455058 356239772 /nfs/dbraw/zinc/23/97/72/356239772.db2.gz WXUKYVOPMDQTLJ-CQSZACIVSA-N 0 3 248.370 2.618 20 0 BFADHN Cc1cc(C)c(CN(C)C[C@@H]2CCCO2)c(C)n1 ZINC000639455057 356239802 /nfs/dbraw/zinc/23/98/02/356239802.db2.gz WXUKYVOPMDQTLJ-AWEZNQCLSA-N 0 3 248.370 2.618 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cnoc1C ZINC000352414418 356341386 /nfs/dbraw/zinc/34/13/86/356341386.db2.gz GSJYXEYSVHGQDB-JTQLQIEISA-N 0 3 210.321 2.994 20 0 BFADHN COC[C@@H](C)CN[C@H]1COc2c1ccc(C)c2C ZINC000526124037 356360821 /nfs/dbraw/zinc/36/08/21/356360821.db2.gz HLJSJGFDXGKQHB-HZMBPMFUSA-N 0 3 249.354 2.609 20 0 BFADHN CC[C@H](C)CN1CCCC[C@@H]1c1cc[nH]n1 ZINC000352187305 134099389 /nfs/dbraw/zinc/09/93/89/134099389.db2.gz QWHVSJMNXZXWNF-WCQYABFASA-N 0 3 221.348 2.983 20 0 BFADHN CCN(Cc1cccc(O)c1OC)CC1CC1 ZINC000352612073 356389453 /nfs/dbraw/zinc/38/94/53/356389453.db2.gz MJSCVCRUDYBZNC-UHFFFAOYSA-N 0 3 235.327 2.633 20 0 BFADHN CCN1CCN(Cc2cccc(C)c2)[C@H](C)C1 ZINC000352668400 356400903 /nfs/dbraw/zinc/40/09/03/356400903.db2.gz RRTUGRWSTXEJJG-CQSZACIVSA-N 0 3 232.371 2.521 20 0 BFADHN CN(C/C=C/c1ccccc1)[C@@H]1CCCOC1 ZINC000352193807 134098547 /nfs/dbraw/zinc/09/85/47/134098547.db2.gz GFDNCDFRHYXPCT-FUVBFXSKSA-N 0 3 231.339 2.811 20 0 BFADHN CC[C@@H]1CCCN1Cc1nc(C)cs1 ZINC000336631396 356412458 /nfs/dbraw/zinc/41/24/58/356412458.db2.gz GPKUWEFLLYJPQM-SNVBAGLBSA-N 0 3 210.346 2.826 20 0 BFADHN CC(C)(C)C1=CCN(Cc2cc[nH]c(=O)c2)CC1 ZINC000639549066 356413514 /nfs/dbraw/zinc/41/35/14/356413514.db2.gz WWUOSFVANPHLLL-UHFFFAOYSA-N 0 3 246.354 2.965 20 0 BFADHN Fc1cccc(CNCCc2ccccn2)c1F ZINC000035223241 356420290 /nfs/dbraw/zinc/42/02/90/356420290.db2.gz QVKTXVCDBSAYFO-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN CCN1CCN(Cc2ccc(C)cc2)[C@@H](C)C1 ZINC000352676917 356401467 /nfs/dbraw/zinc/40/14/67/356401467.db2.gz VFFSHANLBZQZFB-AWEZNQCLSA-N 0 3 232.371 2.521 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H]1CCCc2ncccc21 ZINC000631651162 356452151 /nfs/dbraw/zinc/45/21/51/356452151.db2.gz SGKBBTDWAYAMJA-KFWWJZLASA-N 0 3 246.354 2.616 20 0 BFADHN CCN(Cc1cc(C)sc1C)C[C@H](C)O ZINC000352210890 134107903 /nfs/dbraw/zinc/10/79/03/134107903.db2.gz IGPLQUKRHPQNQA-VIFPVBQESA-N 0 3 227.373 2.568 20 0 BFADHN C[C@H]1OCC[C@@H]1CN1CCc2cc(F)ccc2C1 ZINC000639557987 356463379 /nfs/dbraw/zinc/46/33/79/356463379.db2.gz VWAOIKSSAWSBAM-DGCLKSJQSA-N 0 3 249.329 2.609 20 0 BFADHN CC(C)C(CNCc1ccno1)C(C)C ZINC000389404541 356490608 /nfs/dbraw/zinc/49/06/08/356490608.db2.gz KEWCLFIDZOEUFZ-UHFFFAOYSA-N 0 3 210.321 2.692 20 0 BFADHN C[C@@H]1CCC[C@@H](c2noc([C@H]3CCN(C)C3)n2)C1 ZINC000350024601 366248499 /nfs/dbraw/zinc/24/84/99/366248499.db2.gz PDXKXRFXCSCSED-UTUOFQBUSA-N 0 3 249.358 2.782 20 0 BFADHN Cc1csc([C@H](C)NC[C@@H]2CCO[C@H]2C)n1 ZINC000639581673 356502403 /nfs/dbraw/zinc/50/24/03/356502403.db2.gz XINGFYFTMWHSNH-DCAQKATOSA-N 0 3 240.372 2.527 20 0 BFADHN O=c1cc(CNC2(c3ccccc3)CC2)cc[nH]1 ZINC000639581907 356502753 /nfs/dbraw/zinc/50/27/53/356502753.db2.gz UENICQHBHVJROW-UHFFFAOYSA-N 0 3 240.306 2.566 20 0 BFADHN C[C@@H]1CCCC[C@H]1c1noc([C@H]2CCN(C)C2)n1 ZINC000350059189 366251001 /nfs/dbraw/zinc/25/10/01/366251001.db2.gz XDBOTRVNZDOQHU-GRYCIOLGSA-N 0 3 249.358 2.782 20 0 BFADHN Cc1cc(CN2CCCCC[C@H]2C)on1 ZINC000336172740 134115649 /nfs/dbraw/zinc/11/56/49/134115649.db2.gz PVEFRCSSZXFWSM-LLVKDONJSA-N 0 3 208.305 2.748 20 0 BFADHN CC[C@@H]1CN(CCCCF)C[C@H](CC)O1 ZINC000420949714 192275991 /nfs/dbraw/zinc/27/59/91/192275991.db2.gz FRUVQUPONJDROM-TXEJJXNPSA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@@H]1CN(CCC2CC2)C[C@H](CC)O1 ZINC000420948827 192276532 /nfs/dbraw/zinc/27/65/32/192276532.db2.gz FAXCJGYKCRSJOO-BETUJISGSA-N 0 3 211.349 2.676 20 0 BFADHN CC(=O)CN[C@@H]1CCC[C@@H]1Cc1ccccc1 ZINC000639603181 356523699 /nfs/dbraw/zinc/52/36/99/356523699.db2.gz JQUHJZPMWKNHKZ-HUUCEWRRSA-N 0 3 231.339 2.576 20 0 BFADHN O[C@H]1C[C@@H](CN2CC3(CCC3)[C@@H]2c2ccco2)C1 ZINC000420971901 192285960 /nfs/dbraw/zinc/28/59/60/192285960.db2.gz LFDPNXUIKBLQLS-SCRDCRAPSA-N 0 3 247.338 2.578 20 0 BFADHN CC[C@@H]1CN(CCC(C)(C)OC)C[C@H](CC)O1 ZINC000420964341 192283483 /nfs/dbraw/zinc/28/34/83/192283483.db2.gz WTVIPATXOLUOFO-BETUJISGSA-N 0 3 243.391 2.691 20 0 BFADHN CC[C@@H]1CN(CC[C@@H](C)F)C[C@@H](CC)O1 ZINC000420966087 192285591 /nfs/dbraw/zinc/28/55/91/192285591.db2.gz ZALXHPQGVKQEAY-IJLUTSLNSA-N 0 3 217.328 2.624 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1cccc2c1CCOC2 ZINC000639618361 356535919 /nfs/dbraw/zinc/53/59/19/356535919.db2.gz JOAJMJVHOPVQQP-RYUDHWBXSA-N 0 3 231.339 2.742 20 0 BFADHN CC(C)(O)CN[C@@H](CC(F)F)c1ccccc1 ZINC000420991512 192291794 /nfs/dbraw/zinc/29/17/94/192291794.db2.gz IWEODVDBBSBAQN-NSHDSACASA-N 0 3 243.297 2.743 20 0 BFADHN Cn1nccc1CN1CC[C@H](CC(C)(C)C)C1 ZINC000449768757 202029841 /nfs/dbraw/zinc/02/98/41/202029841.db2.gz AYKQOAVKHVKTML-GFCCVEGCSA-N 0 3 235.375 2.678 20 0 BFADHN CC(C)n1cc(CN2CCCC[C@@H]2C)cn1 ZINC000179526998 366266196 /nfs/dbraw/zinc/26/61/96/366266196.db2.gz MRFHLFLJNUSBBU-LBPRGKRZSA-N 0 3 221.348 2.838 20 0 BFADHN COC[C@H]1CCCN(Cc2ccc(C)o2)CC1 ZINC000449778327 202031905 /nfs/dbraw/zinc/03/19/05/202031905.db2.gz RVZHJJSPZJRMNQ-ZDUSSCGKSA-N 0 3 237.343 2.837 20 0 BFADHN CCN(C)Cc1cn(C)nc1-c1cccs1 ZINC000179666350 366283012 /nfs/dbraw/zinc/28/30/12/366283012.db2.gz JIYGYVGBARLXSM-UHFFFAOYSA-N 0 3 235.356 2.600 20 0 BFADHN NC(=O)[C@H]1CCCCN1CC1=CCCCC1 ZINC000336196263 134126524 /nfs/dbraw/zinc/12/65/24/134126524.db2.gz KPJSSZHQYAAQKQ-GFCCVEGCSA-N 0 3 222.332 2.877 20 0 BFADHN Cc1cc(C)c(CN[C@@]2(C)CCO[C@H]2C)c(C)n1 ZINC000639636556 356550716 /nfs/dbraw/zinc/55/07/16/356550716.db2.gz XJODKRUMVCETRW-ZFWWWQNUSA-N 0 3 248.370 2.664 20 0 BFADHN CC(C)n1cc(CN2C[C@H]3CCC[C@@H]3C2)cn1 ZINC000179694703 366287386 /nfs/dbraw/zinc/28/73/86/366287386.db2.gz UOFUMXKIORZQKT-ZIAGYGMSSA-N 0 3 233.359 2.696 20 0 BFADHN CC[C@H](C)N(C)Cc1cccc2nccn21 ZINC000179696773 366287503 /nfs/dbraw/zinc/28/75/03/366287503.db2.gz WMPJDKZGUQADGV-NSHDSACASA-N 0 3 217.316 2.565 20 0 BFADHN CC(C)CSCCCN1CC[C@]12CCOC2 ZINC000421018129 192304115 /nfs/dbraw/zinc/30/41/15/192304115.db2.gz KPOCZSKCFLMFAH-CYBMUJFWSA-N 0 3 243.416 2.631 20 0 BFADHN COC[C@H]1CCCN(Cc2cnccc2C)CC1 ZINC000449780539 202031743 /nfs/dbraw/zinc/03/17/43/202031743.db2.gz VRLMAMRCGNADIJ-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN COCC(C)(C)NCc1cc(Cl)ccc1F ZINC000162691091 202041436 /nfs/dbraw/zinc/04/14/36/202041436.db2.gz WYLULQIONWSCPF-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN CCc1ccc(CCN2CC[C@@]23CCOC3)cc1 ZINC000421020375 192305307 /nfs/dbraw/zinc/30/53/07/192305307.db2.gz NIWTVODLFQNXBO-INIZCTEOSA-N 0 3 245.366 2.656 20 0 BFADHN CCc1ccc(CCN2CC[C@]23CCOC3)cc1 ZINC000421020376 192305931 /nfs/dbraw/zinc/30/59/31/192305931.db2.gz NIWTVODLFQNXBO-MRXNPFEDSA-N 0 3 245.366 2.656 20 0 BFADHN C[C@@H]1CN(C)[C@@H](C)CN1CC1=CCCCC1 ZINC000336199988 134128569 /nfs/dbraw/zinc/12/85/69/134128569.db2.gz ZEAYDQZQPRGBIB-QWHCGFSZSA-N 0 3 222.376 2.511 20 0 BFADHN CC[C@H](C)[C@@](C)(O)CN[C@@H](C)c1ccco1 ZINC000167600446 134128896 /nfs/dbraw/zinc/12/88/96/134128896.db2.gz MXKUAABNLZXITA-GVXVVHGQSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1ccc(CCCN2CC[C@@]23CCOC3)cc1 ZINC000421021668 192307002 /nfs/dbraw/zinc/30/70/02/192307002.db2.gz OWVPZJACGZFCCX-INIZCTEOSA-N 0 3 245.366 2.792 20 0 BFADHN Cc1cc(CN2C[C@H](O)CC[C@H]2C)c(C)s1 ZINC000421027308 192307902 /nfs/dbraw/zinc/30/79/02/192307902.db2.gz SZRKRYKBGAKHSN-NOZJJQNGSA-N 0 3 239.384 2.710 20 0 BFADHN CCC(F)(F)CN1CC[C@@H](N2CCCC2)[C@H]1C ZINC000639649224 356560470 /nfs/dbraw/zinc/56/04/70/356560470.db2.gz XNYWIHUONFYLQE-VXGBXAGGSA-N 0 3 246.345 2.590 20 0 BFADHN C[C@H]1CN(CCc2cscn2)C[C@H](C)S1 ZINC000336201185 134130474 /nfs/dbraw/zinc/13/04/74/134130474.db2.gz XKISMFCDOBNCCU-UWVGGRQHSA-N 0 3 242.413 2.511 20 0 BFADHN CC(=O)CN1CC[C@@H](C(C)(C)C)C[C@@H]1C ZINC000639649411 356560805 /nfs/dbraw/zinc/56/08/05/356560805.db2.gz SJBMXBKXUNBTTC-CMPLNLGQSA-N 0 3 211.349 2.722 20 0 BFADHN Cc1cc(C)c(CN2C[C@@H](C)[C@@H]2C)c(C)n1 ZINC000639655108 356561032 /nfs/dbraw/zinc/56/10/32/356561032.db2.gz JBQGALKCSTVBGM-MFKMUULPSA-N 0 3 218.344 2.847 20 0 BFADHN CCC(F)(F)CN1CC[C@H](N2CCCC2)[C@@H]1C ZINC000639649223 356561165 /nfs/dbraw/zinc/56/11/65/356561165.db2.gz XNYWIHUONFYLQE-RYUDHWBXSA-N 0 3 246.345 2.590 20 0 BFADHN Fc1cccc2c1CCC[C@@H]2N[C@H]1CCOC1 ZINC000336213085 134135234 /nfs/dbraw/zinc/13/52/34/134135234.db2.gz AICZFNMQYJSDJA-HZMBPMFUSA-N 0 3 235.302 2.582 20 0 BFADHN CC1(C)CCC[C@@H]1NCc1ccncc1F ZINC000336205298 134131625 /nfs/dbraw/zinc/13/16/25/134131625.db2.gz RGQMMVNSLVQHHQ-LBPRGKRZSA-N 0 3 222.307 2.889 20 0 BFADHN C[C@H]1CN(CC2CC(F)(F)C2)C[C@H](C2CC2)O1 ZINC000336204222 134131779 /nfs/dbraw/zinc/13/17/79/134131779.db2.gz SWUCBJWGKXVAIE-JOYOIKCWSA-N 0 3 245.313 2.531 20 0 BFADHN C[C@H]1CCCC[C@H]1NCc1ccncc1F ZINC000336207969 134132889 /nfs/dbraw/zinc/13/28/89/134132889.db2.gz JPXMDTYWRRCWIO-GXFFZTMASA-N 0 3 222.307 2.889 20 0 BFADHN C[C@@H]1CCCC[C@@H]1NCc1ccncc1F ZINC000336207970 134133003 /nfs/dbraw/zinc/13/30/03/134133003.db2.gz JPXMDTYWRRCWIO-MFKMUULPSA-N 0 3 222.307 2.889 20 0 BFADHN C[C@H](O)CCN(C)Cc1cccc(C2CC2)c1 ZINC000421318681 192316477 /nfs/dbraw/zinc/31/64/77/192316477.db2.gz HCPDOPNDPDPNNH-LBPRGKRZSA-N 0 3 233.355 2.767 20 0 BFADHN CCC[C@@H](NCC(C)(C)OC)c1cccnc1 ZINC000541743837 324976228 /nfs/dbraw/zinc/97/62/28/324976228.db2.gz RLBIXDZKUUFFAN-CYBMUJFWSA-N 0 3 236.359 2.937 20 0 BFADHN Cc1cc(C)c(CN[C@H]2COCC2(C)C)c(C)n1 ZINC000639664866 356579497 /nfs/dbraw/zinc/57/94/97/356579497.db2.gz IZZYVPVKBXKVAH-AWEZNQCLSA-N 0 3 248.370 2.521 20 0 BFADHN CC(=O)CN(C)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000639670365 356586206 /nfs/dbraw/zinc/58/62/06/356586206.db2.gz HTGWVWZGYDGDBP-HUUCEWRRSA-N 0 3 231.339 2.844 20 0 BFADHN CCc1ccc2nccc(NCCCOC)c2c1 ZINC000450207011 202097269 /nfs/dbraw/zinc/09/72/69/202097269.db2.gz AONAUJHNLBJTOL-UHFFFAOYSA-N 0 3 244.338 2.668 20 0 BFADHN CCN1CCN(CC/C=C\c2ccccc2)CC1 ZINC000450236968 202102943 /nfs/dbraw/zinc/10/29/43/202102943.db2.gz HUQKWAJDSDRSFQ-POHAHGRESA-N 0 3 244.382 2.727 20 0 BFADHN CCC[C@@H](O)CN(C)Cc1ccc(F)c(F)c1 ZINC000450251535 202107856 /nfs/dbraw/zinc/10/78/56/202107856.db2.gz BJULXYSKGJNVAZ-LLVKDONJSA-N 0 3 243.297 2.558 20 0 BFADHN C[C@H]1COCCN1CCC1=CCCCC1 ZINC000450258015 202111872 /nfs/dbraw/zinc/11/18/72/202111872.db2.gz YTDWBJUCYFTAKE-LBPRGKRZSA-N 0 3 209.333 2.598 20 0 BFADHN CCN(Cc1ccccc1Cl)C[C@H](C)CO ZINC000120608583 491099461 /nfs/dbraw/zinc/09/94/61/491099461.db2.gz ZGLOXHJLFQYLDE-NSHDSACASA-N 0 3 241.762 2.790 20 0 BFADHN FC1(F)CC[C@H](CN2CCO[C@@H]3CCC[C@@H]32)C1 ZINC000450283391 202119713 /nfs/dbraw/zinc/11/97/13/202119713.db2.gz JPCZVABCWBOATK-SDDRHHMPSA-N 0 3 245.313 2.675 20 0 BFADHN COc1cccc(CN(C)CC(C)C)c1OC ZINC000053906468 363556485 /nfs/dbraw/zinc/55/64/85/363556485.db2.gz YUXNKRQOPGCTAC-UHFFFAOYSA-N 0 3 237.343 2.792 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CC[C@@H]2OCC[C@@H]2C1 ZINC000582239100 356669291 /nfs/dbraw/zinc/66/92/91/356669291.db2.gz LOBZTUJXAJYJPK-LNSITVRQSA-N 0 3 249.329 2.998 20 0 BFADHN CSCCNCc1cccc(C)c1F ZINC000384599372 356669762 /nfs/dbraw/zinc/66/97/62/356669762.db2.gz FNJITMCFNNXTRW-UHFFFAOYSA-N 0 3 213.321 2.587 20 0 BFADHN CCc1cc(N2C[C@@H](C)C[C@@H]2C)ccn1 ZINC000450352657 202139903 /nfs/dbraw/zinc/13/99/03/202139903.db2.gz WAXAHAOURGOFFE-QWRGUYRKSA-N 0 3 204.317 2.879 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@@H](C)c1ccc(C)o1 ZINC000167625871 134153340 /nfs/dbraw/zinc/15/33/40/134153340.db2.gz PBAWMTLADUHCGK-DLOVCJGASA-N 0 3 225.332 2.646 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)o1 ZINC000163029946 202146327 /nfs/dbraw/zinc/14/63/27/202146327.db2.gz YGWXJLOVYNRDRU-ZMLRMANQSA-N 0 3 222.332 2.897 20 0 BFADHN COc1cc(CN[C@H]2CC[C@H](C)CC2)sn1 ZINC000399093257 366330644 /nfs/dbraw/zinc/33/06/44/366330644.db2.gz AZQQOWGOWZSZBQ-MGCOHNPYSA-N 0 3 240.372 2.820 20 0 BFADHN CC[C@H]1CN(CC2=CCCCC2)C[C@@H](C)O1 ZINC000450386816 202148790 /nfs/dbraw/zinc/14/87/90/202148790.db2.gz FSAZUSCWGUDWBI-OCCSQVGLSA-N 0 3 223.360 2.986 20 0 BFADHN CCc1cc(N2CCOC3(CCCC3)C2)ccn1 ZINC000450390274 202150727 /nfs/dbraw/zinc/15/07/27/202150727.db2.gz LNNCZSUBQLQMBJ-UHFFFAOYSA-N 0 3 246.354 2.793 20 0 BFADHN CCc1cc(N2CC[C@@H](C3CC3)C2)ccn1 ZINC000450409655 202157918 /nfs/dbraw/zinc/15/79/18/202157918.db2.gz KZFPMBJYWDLWNS-GFCCVEGCSA-N 0 3 216.328 2.880 20 0 BFADHN CCCNCc1ccc(F)c(F)c1N(C)C ZINC000623335703 356722612 /nfs/dbraw/zinc/72/26/12/356722612.db2.gz ZZFRUFPSNSJOBJ-UHFFFAOYSA-N 0 3 228.286 2.530 20 0 BFADHN CCN(Cc1cccc2c1OCO2)C1CCC1 ZINC000353886771 356724182 /nfs/dbraw/zinc/72/41/82/356724182.db2.gz VKTYFBNGTNEDJB-UHFFFAOYSA-N 0 3 233.311 2.790 20 0 BFADHN Cc1nn(C)cc1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000180043930 366363014 /nfs/dbraw/zinc/36/30/14/366363014.db2.gz JDDSKHMOXGRCHJ-SMDDNHRTSA-N 0 3 235.375 2.739 20 0 BFADHN CCN(Cc1ccsc1C)[C@@H]1CCOC1 ZINC000353566325 356714348 /nfs/dbraw/zinc/71/43/48/356714348.db2.gz HKHYRZJMNDKYDF-GFCCVEGCSA-N 0 3 225.357 2.667 20 0 BFADHN CCc1cc(N2C[C@H](C)O[C@H](C)[C@@H]2C)ccn1 ZINC000450470053 202175984 /nfs/dbraw/zinc/17/59/84/202175984.db2.gz IIWMLPOEEUWVKI-SDDRHHMPSA-N 0 3 234.343 2.646 20 0 BFADHN CC[C@@H](N[C@@H](C)c1ccon1)C1CCOCC1 ZINC000542698624 325042248 /nfs/dbraw/zinc/04/22/48/325042248.db2.gz YXSIGLDEOUACEB-CMPLNLGQSA-N 0 3 238.331 2.530 20 0 BFADHN Cn1cc(C2=CCCN(CC3=CCCC3)C2)cn1 ZINC000639795530 356751987 /nfs/dbraw/zinc/75/19/87/356751987.db2.gz LRTLMHVHECOWBH-UHFFFAOYSA-N 0 3 243.354 2.620 20 0 BFADHN CC(=O)c1ccc(CN2C[C@@H](C)[C@H](C)C2)cc1 ZINC000450456432 202170359 /nfs/dbraw/zinc/17/03/59/202170359.db2.gz PWLRBNXYOBNSGP-VXGBXAGGSA-N 0 3 231.339 2.977 20 0 BFADHN CC/C=C\CCN1CCC=C(c2cnn(C)c2)C1 ZINC000639797881 356757444 /nfs/dbraw/zinc/75/74/44/356757444.db2.gz RJBUJLKKOUWYGA-PLNGDYQASA-N 0 3 245.370 2.866 20 0 BFADHN CC/C=C/CCN1CCC=C(c2cnn(C)c2)C1 ZINC000639797882 356757582 /nfs/dbraw/zinc/75/75/82/356757582.db2.gz RJBUJLKKOUWYGA-SNAWJCMRSA-N 0 3 245.370 2.866 20 0 BFADHN CCOCCN[C@@H]1CCc2c1cc(F)cc2F ZINC000154602866 538635144 /nfs/dbraw/zinc/63/51/44/538635144.db2.gz NYZYHFPTHUIODZ-CYBMUJFWSA-N 0 3 241.281 2.578 20 0 BFADHN CCN(Cc1cnn(C)c1)[C@H](C)CC(C)C ZINC000355676002 356769451 /nfs/dbraw/zinc/76/94/51/356769451.db2.gz DLGXTHWQEHJUKH-GFCCVEGCSA-N 0 3 223.364 2.677 20 0 BFADHN CCc1cc(N2C[C@H](C)O[C@H](C)[C@H]2C)ccn1 ZINC000450470051 202175090 /nfs/dbraw/zinc/17/50/90/202175090.db2.gz IIWMLPOEEUWVKI-QJPTWQEYSA-N 0 3 234.343 2.646 20 0 BFADHN CN(Cc1ccns1)C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000639810403 356788022 /nfs/dbraw/zinc/78/80/22/356788022.db2.gz XNJZMPQIARUZGJ-JGPRNRPPSA-N 0 3 222.357 2.621 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cn(C)nc1C ZINC000356533403 356798930 /nfs/dbraw/zinc/79/89/30/356798930.db2.gz VNYHFKTYBXCEGF-NSHDSACASA-N 0 3 223.364 2.739 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H](C)CCOC ZINC000356854828 356807420 /nfs/dbraw/zinc/80/74/20/356807420.db2.gz UXWKQSHSUGBIBX-RYUDHWBXSA-N 0 3 236.359 2.720 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@H](C)CCOC ZINC000356854830 356807583 /nfs/dbraw/zinc/80/75/83/356807583.db2.gz UXWKQSHSUGBIBX-VXGBXAGGSA-N 0 3 236.359 2.720 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H]1CCO[C@H](C)C1 ZINC000356875542 356808560 /nfs/dbraw/zinc/80/85/60/356808560.db2.gz QZYVUFOGHQDGGP-DYEKYZERSA-N 0 3 248.370 2.862 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1CCO[C@H](C)C1 ZINC000356875543 356808841 /nfs/dbraw/zinc/80/88/41/356808841.db2.gz QZYVUFOGHQDGGP-MBNYWOFBSA-N 0 3 248.370 2.862 20 0 BFADHN CCN1CC=C(c2ccc3c(c2)OCCO3)CC1 ZINC000357041899 356813282 /nfs/dbraw/zinc/81/32/82/356813282.db2.gz PVQDFRVYBGWQGR-UHFFFAOYSA-N 0 3 245.322 2.567 20 0 BFADHN CCc1cc(N2CCO[C@@H](C(C)(C)C)C2)ccn1 ZINC000450490160 202182891 /nfs/dbraw/zinc/18/28/91/202182891.db2.gz KBNINXGLPALNNN-CQSZACIVSA-N 0 3 248.370 2.895 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@@H](CC)COC ZINC000359308029 356887869 /nfs/dbraw/zinc/88/78/69/356887869.db2.gz WAMIHCCIZNYXGF-AAEUAGOBSA-N 0 3 236.359 2.720 20 0 BFADHN CC(C)CN1CCOC[C@@H]1c1ccccc1 ZINC000360489563 356907426 /nfs/dbraw/zinc/90/74/26/356907426.db2.gz PQZFFHBRQFDQEZ-CQSZACIVSA-N 0 3 219.328 2.716 20 0 BFADHN CCN(Cc1cnn(CC)c1)CC(C)(C)C ZINC000361021541 356913977 /nfs/dbraw/zinc/91/39/77/356913977.db2.gz GZASOSPDNJBVOP-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN C[C@@H](NCCCc1cccc(F)c1)c1ncc[nH]1 ZINC000353531093 538747544 /nfs/dbraw/zinc/74/75/44/538747544.db2.gz UGDJSAPMWNUZIY-LLVKDONJSA-N 0 3 247.317 2.832 20 0 BFADHN CCN(Cc1ccc(C)c(OC)c1)[C@H]1CCOC1 ZINC000361248253 356922018 /nfs/dbraw/zinc/92/20/18/356922018.db2.gz BQNNKSABJXUTGZ-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CCN(Cc1cc(C)ccc1C)[C@H]1CCOC1 ZINC000361254323 356923276 /nfs/dbraw/zinc/92/32/76/356923276.db2.gz XFOBMCQNRANVAV-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN CCCC[C@@H](COC)NCc1ccc(F)cn1 ZINC000362072943 356941574 /nfs/dbraw/zinc/94/15/74/356941574.db2.gz RVTSSPZJZDRZCP-ZDUSSCGKSA-N 0 3 240.322 2.516 20 0 BFADHN CC(C)CSCCCN(C)Cc1cn[nH]c1 ZINC000611034836 358513324 /nfs/dbraw/zinc/51/33/24/358513324.db2.gz IUUDSJKKEHKOCP-UHFFFAOYSA-N 0 3 241.404 2.621 20 0 BFADHN CC(C)[C@H]1CC[C@H]1NCc1cccnc1N(C)C ZINC000353447500 538743909 /nfs/dbraw/zinc/74/39/09/538743909.db2.gz MHUMYFUVYGXFHM-ZIAGYGMSSA-N 0 3 247.386 2.672 20 0 BFADHN CCOC(=O)[C@H](CC)N(C)C[C@H](C)C(C)(C)C ZINC000399554335 356976869 /nfs/dbraw/zinc/97/68/69/356976869.db2.gz DLKRSCILCJUZAU-RYUDHWBXSA-N 0 3 243.391 2.942 20 0 BFADHN Cc1ccc(CNCc2cccc(O)c2)cc1 ZINC000019962684 356995645 /nfs/dbraw/zinc/99/56/45/356995645.db2.gz MQMTUSNFCYLILM-UHFFFAOYSA-N 0 3 227.307 2.990 20 0 BFADHN CCN(Cc1nc(C)no1)[C@@H]1CCCC[C@@H]1C ZINC000120023658 357031519 /nfs/dbraw/zinc/03/15/19/357031519.db2.gz JDCGQKPYOAASMU-CMPLNLGQSA-N 0 3 237.347 2.779 20 0 BFADHN Cc1ncncc1[C@@H](C)NCCc1ccccc1 ZINC000582311754 357052132 /nfs/dbraw/zinc/05/21/32/357052132.db2.gz WKDGQDLVPBYSMI-GFCCVEGCSA-N 0 3 241.338 2.678 20 0 BFADHN Clc1cnccc1CNC1(C2CC2)CC1 ZINC000389850013 357053746 /nfs/dbraw/zinc/05/37/46/357053746.db2.gz TVLWUVDURHSDAB-UHFFFAOYSA-N 0 3 222.719 2.767 20 0 BFADHN C[C@H]1CCCC[C@H]1CNCc1ccno1 ZINC000389650239 357009832 /nfs/dbraw/zinc/00/98/32/357009832.db2.gz VNGYTLRGJKFLMF-QWRGUYRKSA-N 0 3 208.305 2.591 20 0 BFADHN C[C@H]1CCCC[C@H]1OCCNCC1(F)CC1 ZINC000390530323 357101784 /nfs/dbraw/zinc/10/17/84/357101784.db2.gz IGXUSXJQYJEBTC-NWDGAFQWSA-N 0 3 229.339 2.673 20 0 BFADHN COCc1cnc(CNC(C)(C)C(C)C)s1 ZINC000390678479 357110855 /nfs/dbraw/zinc/11/08/55/357110855.db2.gz YQSUXXLAHDMSMY-UHFFFAOYSA-N 0 3 242.388 2.814 20 0 BFADHN COc1ccc(CN(C)C)cc1NC[C@@H]1C[C@@H]1C ZINC000582336479 357075179 /nfs/dbraw/zinc/07/51/79/357075179.db2.gz SXSJXFKSLPGRRT-AAEUAGOBSA-N 0 3 248.370 2.825 20 0 BFADHN CC(C)O[C@@H]1C[C@H](NCC2(F)CC2)C1(C)C ZINC000390326802 357090822 /nfs/dbraw/zinc/09/08/22/357090822.db2.gz JOODPJSEKVWPFI-WDEREUQCSA-N 0 3 229.339 2.670 20 0 BFADHN COCC1(NCc2cc(F)cc(Cl)c2)CC1 ZINC000390501001 357098846 /nfs/dbraw/zinc/09/88/46/357098846.db2.gz UQYQRYQYBGKXBJ-UHFFFAOYSA-N 0 3 243.709 2.748 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCC2CC2)o1 ZINC000019884724 357117970 /nfs/dbraw/zinc/11/79/70/357117970.db2.gz GGKQENVXTNMJBG-SKDRFNHKSA-N 0 3 205.301 2.903 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCC2CC2)o1 ZINC000019884730 357118993 /nfs/dbraw/zinc/11/89/93/357118993.db2.gz GGKQENVXTNMJBG-JOYOIKCWSA-N 0 3 205.301 2.903 20 0 BFADHN Cc1ccc([C@@H](NCC2(O)CCC2)C2CCC2)o1 ZINC000582447802 357193030 /nfs/dbraw/zinc/19/30/30/357193030.db2.gz DHGJJIHGUHJMRA-AWEZNQCLSA-N 0 3 249.354 2.934 20 0 BFADHN Cn1ccnc1[C@@H](N[C@@H]1CCCC12CC2)C1CC1 ZINC000631661689 357203573 /nfs/dbraw/zinc/20/35/73/357203573.db2.gz DLHKEOQNTWRQFO-OLZOCXBDSA-N 0 3 245.370 2.794 20 0 BFADHN COc1ccccc1/C=C\CN1C[C@@H]2C[C@@H]2C1 ZINC000628409256 357209760 /nfs/dbraw/zinc/20/97/60/357209760.db2.gz OVTZJURMBQGUOJ-HFBDLHNASA-N 0 3 229.323 2.660 20 0 BFADHN CC[C@@H](N[C@H]1CCCC12CC2)c1ccn(C)n1 ZINC000631662337 357221495 /nfs/dbraw/zinc/22/14/95/357221495.db2.gz FTUVASDHUZGDNI-YPMHNXCESA-N 0 3 233.359 2.794 20 0 BFADHN Cc1ccc2cc(CN3C[C@@H]4C[C@@H]4C3)[nH]c2c1 ZINC000628411806 357223258 /nfs/dbraw/zinc/22/32/58/357223258.db2.gz OKSKCVFTXCFSBB-BETUJISGSA-N 0 3 226.323 2.928 20 0 BFADHN CC(C)CC[C@H](O)CN1CC2(C1)CCCC2 ZINC000377142681 357226324 /nfs/dbraw/zinc/22/63/24/357226324.db2.gz PNRGRPDMZYVFRS-ZDUSSCGKSA-N 0 3 225.376 2.660 20 0 BFADHN CCO[C@H]1C[C@@H](NCC(C)(C)F)C1(C)C ZINC000631658882 357177115 /nfs/dbraw/zinc/17/71/15/357177115.db2.gz ODSOMBZJAKTWPT-ZJUUUORDSA-N 0 3 217.328 2.528 20 0 BFADHN CO[C@@H](CNCc1cc(C)sc1C)C1CC1 ZINC000393484845 357284543 /nfs/dbraw/zinc/28/45/43/357284543.db2.gz WRSFEKSCMXUJFO-ZDUSSCGKSA-N 0 3 239.384 2.880 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)[nH]c1C ZINC000583704422 357284591 /nfs/dbraw/zinc/28/45/91/357284591.db2.gz GKGGICYHMCXBKM-OUJBWJOFSA-N 0 3 235.375 2.941 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@H](C)c1ncc[nH]1 ZINC000393801591 357300241 /nfs/dbraw/zinc/30/02/41/357300241.db2.gz SRZUXMURLJTZDV-NXEZZACHSA-N 0 3 235.356 2.753 20 0 BFADHN Cn1cccc1CN1CCCC(F)(F)CC1 ZINC000628422033 357244198 /nfs/dbraw/zinc/24/41/98/357244198.db2.gz JXBHKDOEXNQZFA-UHFFFAOYSA-N 0 3 228.286 2.646 20 0 BFADHN COc1cccc(NC2CCN(C)CC2)c1C ZINC000628423663 357248324 /nfs/dbraw/zinc/24/83/24/357248324.db2.gz FAJNTLMMGWYZDC-UHFFFAOYSA-N 0 3 234.343 2.510 20 0 BFADHN CC(C)[C@@H](CO)CN[C@H](C)c1ccccc1F ZINC000394064528 357325561 /nfs/dbraw/zinc/32/55/61/357325561.db2.gz VNAIKJRXGNBHIU-VXGBXAGGSA-N 0 3 239.334 2.741 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1cnc(C)n1C ZINC000582497660 357327222 /nfs/dbraw/zinc/32/72/22/357327222.db2.gz AICRWVKHQIPQKM-KGLIPLIRSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1ccc2[nH]c(CNCC3(C)CC3)nc2c1 ZINC000582641585 357351920 /nfs/dbraw/zinc/35/19/20/357351920.db2.gz GYLOUBOSUAJOMF-UHFFFAOYSA-N 0 3 229.327 2.761 20 0 BFADHN Cc1ccc2nc(CNCC3(C)CC3)[nH]c2c1 ZINC000582641585 357351923 /nfs/dbraw/zinc/35/19/23/357351923.db2.gz GYLOUBOSUAJOMF-UHFFFAOYSA-N 0 3 229.327 2.761 20 0 BFADHN Cc1ccc(CN(C)CCc2ccncc2C)o1 ZINC000582662921 357356709 /nfs/dbraw/zinc/35/67/09/357356709.db2.gz GOVPCNWXMLXMHR-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN Cc1cnn(C)c1CN[C@H](C)Cc1ccsc1 ZINC000394863118 357384667 /nfs/dbraw/zinc/38/46/67/357384667.db2.gz RMANOMHWHBQUJB-LLVKDONJSA-N 0 3 249.383 2.511 20 0 BFADHN Oc1ccc2c(c1)CN(CC1CCC1)CC2 ZINC000221671183 357399836 /nfs/dbraw/zinc/39/98/36/357399836.db2.gz SEYNTLIPWDGVBT-UHFFFAOYSA-N 0 3 217.312 2.550 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1cc(F)ccc1F ZINC000220681729 491110936 /nfs/dbraw/zinc/11/09/36/491110936.db2.gz WGXRJBQHSBQONI-PRHODGIISA-N 0 3 243.322 2.948 20 0 BFADHN CC1(C)CC(NCc2cccc3c2OCCO3)C1 ZINC000395169816 357415918 /nfs/dbraw/zinc/41/59/18/357415918.db2.gz GTZABJZYHFTYID-UHFFFAOYSA-N 0 3 247.338 2.736 20 0 BFADHN C[C@@H](CNCc1cnccn1)Cc1cccs1 ZINC000582890832 357422513 /nfs/dbraw/zinc/42/25/13/357422513.db2.gz UABLXMNZAYNNPA-LLVKDONJSA-N 0 3 247.367 2.507 20 0 BFADHN COCc1ccc(CNC2CC(C)(C)C2)o1 ZINC000395218725 357428546 /nfs/dbraw/zinc/42/85/46/357428546.db2.gz PJVYIIVQXHDDLJ-UHFFFAOYSA-N 0 3 223.316 2.704 20 0 BFADHN C[C@H](Cc1ccccc1F)N[C@@H](C)c1ncc[nH]1 ZINC000158076889 538849528 /nfs/dbraw/zinc/84/95/28/538849528.db2.gz XZFLDNZISJZSDO-MNOVXSKESA-N 0 3 247.317 2.831 20 0 BFADHN c1c(CN[C@@H]2CCCC23CC3)nc2ccccn12 ZINC000583095385 357478782 /nfs/dbraw/zinc/47/87/82/357478782.db2.gz IUAJULBKHKDVDB-CYBMUJFWSA-N 0 3 241.338 2.757 20 0 BFADHN CCC[C@@H](N[C@@H]1COC[C@H]1OC)c1ccccc1 ZINC000583143708 357494057 /nfs/dbraw/zinc/49/40/57/357494057.db2.gz FMTNYDAVXNITDC-RBSFLKMASA-N 0 3 249.354 2.531 20 0 BFADHN CC/C=C\CCN1CCOCC12CCC2 ZINC000588476251 538852759 /nfs/dbraw/zinc/85/27/59/538852759.db2.gz MWAAFEGWJYRQQC-ARJAWSKDSA-N 0 3 209.333 2.598 20 0 BFADHN Cc1nc([C@H](C)NCC[C@H]2CCOC2)cs1 ZINC000230409197 357540278 /nfs/dbraw/zinc/54/02/78/357540278.db2.gz ADCSJBYDSXCREW-ONGXEEELSA-N 0 3 240.372 2.529 20 0 BFADHN COC1CC(N[C@@H]2CCSc3ccccc32)C1 ZINC000230674775 357548420 /nfs/dbraw/zinc/54/84/20/357548420.db2.gz RNVVESOAFDXAOF-GCZXYKMCSA-N 0 3 249.379 2.991 20 0 BFADHN CC/C=C\CCN1CCCN(CC(F)F)CC1 ZINC000588476360 538851906 /nfs/dbraw/zinc/85/19/06/538851906.db2.gz CXSBSUQVECGKHL-ARJAWSKDSA-N 0 3 246.345 2.616 20 0 BFADHN Cn1nc(CNC2(C)CCC2)c2ccccc21 ZINC000159928469 538880806 /nfs/dbraw/zinc/88/08/06/538880806.db2.gz WLDGMWPDLZZUKR-UHFFFAOYSA-N 0 3 229.327 2.606 20 0 BFADHN Fc1ccc(CN2CCC[C@@H]2C2CCC2)cn1 ZINC000189314245 357630743 /nfs/dbraw/zinc/63/07/43/357630743.db2.gz FTDIWCATVLASNT-CYBMUJFWSA-N 0 3 234.318 2.985 20 0 BFADHN Cc1ccsc1CNCc1cncc(F)c1 ZINC000230939671 357580642 /nfs/dbraw/zinc/58/06/42/357580642.db2.gz VETMJVOQLBMFQI-UHFFFAOYSA-N 0 3 236.315 2.880 20 0 BFADHN Fc1cncc(CN[C@@H]2CCc3ccccc32)c1 ZINC000230938423 357580865 /nfs/dbraw/zinc/58/08/65/357580865.db2.gz ULFJICYVHQUBEH-OAHLLOKOSA-N 0 3 242.297 2.998 20 0 BFADHN Fc1cncc(CNCCc2ccsc2)c1 ZINC000230938512 357581346 /nfs/dbraw/zinc/58/13/46/357581346.db2.gz IJGLATHCYJWXPK-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN Clc1ccc2c(c1)C[C@H](NCc1ccno1)C2 ZINC000231881713 357628476 /nfs/dbraw/zinc/62/84/76/357628476.db2.gz NFVXPOIZMGNMIV-GFCCVEGCSA-N 0 3 248.713 2.585 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1CC[C@H](OC)C1 ZINC000231678613 357619443 /nfs/dbraw/zinc/61/94/43/357619443.db2.gz VBFVKPTXCIPEHC-KGLIPLIRSA-N 0 3 249.354 2.661 20 0 BFADHN CO[C@@H]1CC[C@H](NCc2cc(C)ccc2F)C1 ZINC000231679787 357619466 /nfs/dbraw/zinc/61/94/66/357619466.db2.gz FRSWYHVCXRHCGC-QWHCGFSZSA-N 0 3 237.318 2.791 20 0 BFADHN CCC[C@@H](CC)NCc1cn(C(C)(C)C)nn1 ZINC000189952946 357677867 /nfs/dbraw/zinc/67/78/67/357677867.db2.gz IEUJBDVLQURGSH-LLVKDONJSA-N 0 3 238.379 2.701 20 0 BFADHN CC(C)CCCNCc1cn(C(C)(C)C)nn1 ZINC000189951196 357677896 /nfs/dbraw/zinc/67/78/96/357677896.db2.gz ZDEWSHPXMBHQKW-UHFFFAOYSA-N 0 3 238.379 2.559 20 0 BFADHN Oc1ccc2c(c1)CN([C@H]1C=CCCC1)CC2 ZINC000583231008 357652815 /nfs/dbraw/zinc/65/28/15/357652815.db2.gz GPJFIUBYQPVNQQ-AWEZNQCLSA-N 0 3 229.323 2.859 20 0 BFADHN CC(C)OCCCN1CC(C)(C)OC(C)(C)C1 ZINC000189656371 357655610 /nfs/dbraw/zinc/65/56/10/357655610.db2.gz HABGQMSOGIHVGS-UHFFFAOYSA-N 0 3 243.391 2.691 20 0 BFADHN CC(C)C[C@H](C)CN[C@H](C)c1ccn(C)n1 ZINC000459771633 357662911 /nfs/dbraw/zinc/66/29/11/357662911.db2.gz ZANAYAUDNSOVGL-NWDGAFQWSA-N 0 3 223.364 2.753 20 0 BFADHN CC(C)n1ccc(CN[C@@H](C)[C@@H]2CC2(C)C)n1 ZINC000397833242 357720406 /nfs/dbraw/zinc/72/04/06/357720406.db2.gz NQCXERFWSGGFBB-AAEUAGOBSA-N 0 3 235.375 2.988 20 0 BFADHN CC(C)n1ccc(CN[C@@H](C)[C@H]2CC2(C)C)n1 ZINC000397833254 357720451 /nfs/dbraw/zinc/72/04/51/357720451.db2.gz NQCXERFWSGGFBB-WCQYABFASA-N 0 3 235.375 2.988 20 0 BFADHN CCn1nc(C)c(CN(C)CCCCF)c1C ZINC000639922112 357680780 /nfs/dbraw/zinc/68/07/80/357680780.db2.gz CINHDVJZODBMFP-UHFFFAOYSA-N 0 3 241.354 2.701 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@H]1CCC[C@H](C)CC1 ZINC000232993053 357689076 /nfs/dbraw/zinc/68/90/76/357689076.db2.gz SWMLQAZYGGMZEV-AVGNSLFASA-N 0 3 240.391 2.589 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2CO[C@H](C)C2)o1 ZINC000583263379 357739887 /nfs/dbraw/zinc/73/98/87/357739887.db2.gz SEXGEYPPWQRTNW-VWYCJHECSA-N 0 3 223.316 2.670 20 0 BFADHN CCOC[C@@H](NCc1ccc(C)cn1)C(C)C ZINC000233734899 357741658 /nfs/dbraw/zinc/74/16/58/357741658.db2.gz GBQPOOTXFNXVEP-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN CCN(C)c1ccc(CN(C(C)C)C2CC2)cn1 ZINC000191241073 357759245 /nfs/dbraw/zinc/75/92/45/357759245.db2.gz QMGXFNXDXKPXKT-UHFFFAOYSA-N 0 3 247.386 2.911 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc(N(C)CC)nc2)C1 ZINC000191441215 357771176 /nfs/dbraw/zinc/77/11/76/357771176.db2.gz COBJYPZVNVKLSP-CYBMUJFWSA-N 0 3 247.386 2.770 20 0 BFADHN C[C@H]1C[C@H]2CCCC[C@@H]2N1Cc1ncccn1 ZINC000192042888 357814658 /nfs/dbraw/zinc/81/46/58/357814658.db2.gz ZERSCFZLBHMYNM-XQQFMLRXSA-N 0 3 231.343 2.630 20 0 BFADHN C[C@H]1C[C@@H]2CCCC[C@@H]2N1Cc1ncccn1 ZINC000192042876 357814876 /nfs/dbraw/zinc/81/48/76/357814876.db2.gz ZERSCFZLBHMYNM-AVGNSLFASA-N 0 3 231.343 2.630 20 0 BFADHN CCOC[C@@H](C)N[C@H]1CCCc2c(O)cccc21 ZINC000191636601 357780025 /nfs/dbraw/zinc/78/00/25/357780025.db2.gz CCWVECXHYYECMP-RISCZKNCSA-N 0 3 249.354 2.784 20 0 BFADHN CCC(CC)CN([C@@H](C)C(=O)OC)C1CCC1 ZINC000191644564 357780901 /nfs/dbraw/zinc/78/09/01/357780901.db2.gz OYEWVCNDZPHXQU-NSHDSACASA-N 0 3 241.375 2.839 20 0 BFADHN COc1cnccc1[C@@H](C)N[C@H](C)C1CCC1 ZINC000192848328 357856782 /nfs/dbraw/zinc/85/67/82/357856782.db2.gz BHIQDONULRKTHF-GHMZBOCLSA-N 0 3 234.343 2.929 20 0 BFADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1ncccn1 ZINC000192214662 357834805 /nfs/dbraw/zinc/83/48/05/357834805.db2.gz XJVRMHHZTYLCRW-STQMWFEESA-N 0 3 233.359 2.877 20 0 BFADHN CN1CCC[C@H]1CN1CC(C)(C)[C@@H]1c1ccco1 ZINC000639928836 357871694 /nfs/dbraw/zinc/87/16/94/357871694.db2.gz IRSWUOIATWKLDR-JSGCOSHPSA-N 0 3 248.370 2.757 20 0 BFADHN CC[C@@H](O)CCCN[C@H]1CCCc2occc21 ZINC000398514909 357887371 /nfs/dbraw/zinc/88/73/71/357887371.db2.gz ACCNHOYVLPIRLD-YPMHNXCESA-N 0 3 237.343 2.798 20 0 BFADHN C[C@H](CCCCO)NCc1ccc(F)cc1F ZINC000398614280 357887965 /nfs/dbraw/zinc/88/79/65/357887965.db2.gz LMAANBCMTPOHKF-SNVBAGLBSA-N 0 3 243.297 2.606 20 0 BFADHN c1cnc(CN[C@@H]2CC[C@@H]3CCCC[C@H]3C2)cn1 ZINC000583307915 357890962 /nfs/dbraw/zinc/89/09/62/357890962.db2.gz HCPVRHBFUNCYDQ-MELADBBJSA-N 0 3 245.370 2.925 20 0 BFADHN c1cnc(CN[C@@H]2CC[C@H]3CCCC[C@H]3C2)cn1 ZINC000583307914 357891069 /nfs/dbraw/zinc/89/10/69/357891069.db2.gz HCPVRHBFUNCYDQ-HZSPNIEDSA-N 0 3 245.370 2.925 20 0 BFADHN CCCCCN1C[C@@H](C)OC2(CCC2)C1 ZINC000583320380 357902141 /nfs/dbraw/zinc/90/21/41/357902141.db2.gz RKLMEWRYDIMDII-GFCCVEGCSA-N 0 3 211.349 2.820 20 0 BFADHN CC[C@](C)(O)CN1CC(C)(C)[C@H]1c1ccco1 ZINC000639930540 357910829 /nfs/dbraw/zinc/91/08/29/357910829.db2.gz XWSYKFABVYZFEE-OCCSQVGLSA-N 0 3 237.343 2.824 20 0 BFADHN CC[C@](C)(O)CN1CC(C)(C)[C@@H]1c1ccco1 ZINC000639930538 357911023 /nfs/dbraw/zinc/91/10/23/357911023.db2.gz XWSYKFABVYZFEE-JSGCOSHPSA-N 0 3 237.343 2.824 20 0 BFADHN CCCNCc1cc2ccccc2nc1OC ZINC000034825796 357923632 /nfs/dbraw/zinc/92/36/32/357923632.db2.gz DYZYCYPPQIGCFW-UHFFFAOYSA-N 0 3 230.311 2.743 20 0 BFADHN Cc1nsc(C)c1CNC1(C2CC2)CC1 ZINC000584477353 357995207 /nfs/dbraw/zinc/99/52/07/357995207.db2.gz ADWLJJRRLCFGMO-UHFFFAOYSA-N 0 3 222.357 2.792 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](CO)C1)c1cc2ccccc2o1 ZINC000631668742 358007555 /nfs/dbraw/zinc/00/75/55/358007555.db2.gz MPENRCPONRKATO-WZRBSPASSA-N 0 3 245.322 2.854 20 0 BFADHN CCC[C@@H](O)CN1CCC[C@@H]1c1cccc(C)n1 ZINC000584510568 358012249 /nfs/dbraw/zinc/01/22/49/358012249.db2.gz SAMPDKUSAFKIMM-UKRRQHHQSA-N 0 3 248.370 2.688 20 0 BFADHN Fc1ccc(CNC2CSC2)cc1Cl ZINC000307474651 491118524 /nfs/dbraw/zinc/11/85/24/491118524.db2.gz AGEUTJOQCQKPDY-UHFFFAOYSA-N 0 3 231.723 2.684 20 0 BFADHN Cc1cccc(CN2CCC(CCF)CC2)n1 ZINC000639988808 358028083 /nfs/dbraw/zinc/02/80/83/358028083.db2.gz JWBFLEXNBKAFCN-UHFFFAOYSA-N 0 3 236.334 2.962 20 0 BFADHN FCCC1CCN(CCc2cncs2)CC1 ZINC000639989534 358029614 /nfs/dbraw/zinc/02/96/14/358029614.db2.gz ISYWERWRQXLKQV-UHFFFAOYSA-N 0 3 242.363 2.757 20 0 BFADHN COc1ccc(CN(C)C)cc1NC(C)C ZINC000036972182 358057014 /nfs/dbraw/zinc/05/70/14/358057014.db2.gz TXQRBHWZHVEBKK-UHFFFAOYSA-N 0 3 222.332 2.577 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCCO[C@H](C)C1 ZINC000170764227 134253476 /nfs/dbraw/zinc/25/34/76/134253476.db2.gz CGSLQDMJJRKVGW-NEPJUHHUSA-N 0 3 237.318 2.998 20 0 BFADHN Cc1ccccc1[C@H](CO)NCc1cccs1 ZINC000038123821 358117646 /nfs/dbraw/zinc/11/76/46/358117646.db2.gz XGYHVSSFCXYHFW-AWEZNQCLSA-N 0 3 247.363 2.880 20 0 BFADHN c1nc(C2CC2)c(CN[C@@H]2[C@@H]3CCC[C@@H]32)s1 ZINC000584666311 358121083 /nfs/dbraw/zinc/12/10/83/358121083.db2.gz RBCGDPDCVZDROE-DDFAGTSDSA-N 0 3 234.368 2.909 20 0 BFADHN Cc1ccccc1[C@H](CO)NCc1ccccc1 ZINC000038110967 358114958 /nfs/dbraw/zinc/11/49/58/358114958.db2.gz FZMLDAJGQJXANM-INIZCTEOSA-N 0 3 241.334 2.818 20 0 BFADHN CCOC(=O)C1(N(C)CC(C)C)CCCCC1 ZINC000610788376 358164120 /nfs/dbraw/zinc/16/41/20/358164120.db2.gz ORDLTLAHEKPVDJ-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1noc(C)c1CCN1CCC(C)(F)CC1 ZINC000640072041 358165353 /nfs/dbraw/zinc/16/53/53/358165353.db2.gz WQJYXEOSUIUNCD-UHFFFAOYSA-N 0 3 240.322 2.658 20 0 BFADHN CCC[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)CCO1 ZINC000450627421 202214783 /nfs/dbraw/zinc/21/47/83/202214783.db2.gz YENSXNHQZVJCOY-VXGBXAGGSA-N 0 3 247.329 2.923 20 0 BFADHN CCc1cc(N2CC[C@@](C)(COC)C2)ccn1 ZINC000450622891 202215272 /nfs/dbraw/zinc/21/52/72/202215272.db2.gz ZSBMOHQBWOLVSV-CQSZACIVSA-N 0 3 234.343 2.507 20 0 BFADHN Cc1cccc(Cl)c1CN1C[C@@H](O)C[C@H]1C ZINC000450633506 202220421 /nfs/dbraw/zinc/22/04/21/202220421.db2.gz AFTAOZFMPOVPJS-MNOVXSKESA-N 0 3 239.746 2.604 20 0 BFADHN C(N1CCOC[C@H]1C1CC1)C12CCC(CC1)C2 ZINC000450635425 202219493 /nfs/dbraw/zinc/21/94/93/202219493.db2.gz JWYFHVJHEXPXPQ-BLZCZZARSA-N 0 3 235.371 2.678 20 0 BFADHN C1=C(CCN2CCOC[C@H]2C2CC2)CCCC1 ZINC000450636334 202219865 /nfs/dbraw/zinc/21/98/65/202219865.db2.gz MMUWKMVPQWCFAM-HNNXBMFYSA-N 0 3 235.371 2.988 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cncn1C ZINC000179520660 535409232 /nfs/dbraw/zinc/40/92/32/535409232.db2.gz LHERDGDGUKCRRV-GFCCVEGCSA-N 0 3 221.348 2.575 20 0 BFADHN CC[C@@H]1CCCN(Cc2cccc(OC)n2)C1 ZINC000171824223 134260891 /nfs/dbraw/zinc/26/08/91/134260891.db2.gz MIKXKPVFFWJIGF-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1nnc(C2CC2)s1 ZINC000450680081 202232046 /nfs/dbraw/zinc/23/20/46/202232046.db2.gz LOOORDCONSWENV-BDAKNGLRSA-N 0 3 239.388 2.940 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1cnc(C)s1 ZINC000090417629 491126541 /nfs/dbraw/zinc/12/65/41/491126541.db2.gz LFEGZNOJYRETRW-SCZZXKLOSA-N 0 3 228.361 2.527 20 0 BFADHN Cc1ncc([C@H](C)NC[C@H]2CCCS2)s1 ZINC000090419273 491126655 /nfs/dbraw/zinc/12/66/55/491126655.db2.gz FXUODCAMEMVSDQ-WCBMZHEXSA-N 0 3 242.413 2.998 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@H]1CCC1(C)C ZINC000578205096 366388994 /nfs/dbraw/zinc/38/89/94/366388994.db2.gz HFAQBBLUBIPBHF-PWSUYJOCSA-N 0 3 219.332 2.624 20 0 BFADHN CCCC[C@H](CCC)NCc1cnccn1 ZINC000226001753 358332952 /nfs/dbraw/zinc/33/29/52/358332952.db2.gz SQUNNNYWJLGGTH-LBPRGKRZSA-N 0 3 221.348 2.925 20 0 BFADHN CCC[C@H](C)CN1CCOCC12CCC2 ZINC000180321181 366417883 /nfs/dbraw/zinc/41/78/83/366417883.db2.gz QYCZLGDBWXQZFT-LBPRGKRZSA-N 0 3 211.349 2.678 20 0 BFADHN CC[C@H](C)N1CCN(c2cccc(C)c2)CC1 ZINC000226139523 358334953 /nfs/dbraw/zinc/33/49/53/358334953.db2.gz JBWXWWKCJQJGSF-AWEZNQCLSA-N 0 3 232.371 2.916 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2nccs2)C1 ZINC000230403251 491129910 /nfs/dbraw/zinc/12/99/10/491129910.db2.gz OXQKZEQWMAOTMU-ZJUUUORDSA-N 0 3 210.346 2.669 20 0 BFADHN COc1ccc(CNCC2CCC2)c(F)c1 ZINC000228596028 358381170 /nfs/dbraw/zinc/38/11/70/358381170.db2.gz GGGKBCKEQZVMFE-UHFFFAOYSA-N 0 3 223.291 2.724 20 0 BFADHN CCn1ccnc1CNCC1(C)CCCCC1 ZINC000229587864 358404457 /nfs/dbraw/zinc/40/44/57/358404457.db2.gz MAGJYAKSURDMEE-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN Cc1ccc(CNCCCc2ccccc2)nn1 ZINC000396626116 358450758 /nfs/dbraw/zinc/45/07/58/358450758.db2.gz IQQKYSFBLCARHF-UHFFFAOYSA-N 0 3 241.338 2.507 20 0 BFADHN CCC[C@@H](C)CN1CCOC2(CCC2)C1 ZINC000180417461 366451138 /nfs/dbraw/zinc/45/11/38/366451138.db2.gz TXQQJXUQQWYTIJ-GFCCVEGCSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@@H](NCC(=O)Nc1ccccc1)C(C)(C)C ZINC000074318479 358427498 /nfs/dbraw/zinc/42/74/98/358427498.db2.gz RDYQUOAVZKVHNB-LLVKDONJSA-N 0 3 234.343 2.649 20 0 BFADHN Cc1ccc(NC(=O)CN[C@H](C)C(C)(C)C)cc1 ZINC000074317652 358427953 /nfs/dbraw/zinc/42/79/53/358427953.db2.gz FHWSRSBGWOVHAZ-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN CCN(Cc1cnc2cc(C)ccn12)CC1CC1 ZINC000125643906 358543358 /nfs/dbraw/zinc/54/33/58/358543358.db2.gz ORYOHVUWZHKJHM-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CCOc1ccc(CN[C@H]2CC2(C)C)cc1OC ZINC000044371929 358547858 /nfs/dbraw/zinc/54/78/58/358547858.db2.gz JTCWEMCYGCVGSZ-AWEZNQCLSA-N 0 3 249.354 2.982 20 0 BFADHN C[C@@H](N[C@H]1CCN(C2CC2)C1)c1ccccc1F ZINC000044684303 358552283 /nfs/dbraw/zinc/55/22/83/358552283.db2.gz WLVAJYYOBSIGSL-NEPJUHHUSA-N 0 3 248.345 2.713 20 0 BFADHN CC[C@H](NCCn1cccn1)c1ccc(F)cc1 ZINC000042538843 358525509 /nfs/dbraw/zinc/52/55/09/358525509.db2.gz CCIWTXLAOFFVPM-AWEZNQCLSA-N 0 3 247.317 2.763 20 0 BFADHN Cc1cc2cc(CN(C)C[C@@H](C)O)oc2cc1C ZINC000130762175 358642453 /nfs/dbraw/zinc/64/24/53/358642453.db2.gz BDMWUOKKDNJAOM-GFCCVEGCSA-N 0 3 247.338 2.862 20 0 BFADHN CCOC[C@H](C)N[C@H]1CCc2cc(F)ccc21 ZINC000129974672 358602800 /nfs/dbraw/zinc/60/28/00/358602800.db2.gz ROWIMRHUHPKARZ-HZMBPMFUSA-N 0 3 237.318 2.828 20 0 BFADHN Clc1ccc(-c2n[nH]cc2CNC2CC2)cc1 ZINC000047829072 358604043 /nfs/dbraw/zinc/60/40/43/358604043.db2.gz XQLPLSXNBJOKNM-UHFFFAOYSA-N 0 3 247.729 2.982 20 0 BFADHN CC[C@@H](O)CCCN[C@@H](C)c1ccc(C)o1 ZINC000130155079 358610299 /nfs/dbraw/zinc/61/02/99/358610299.db2.gz FIQCRKFGAFQDHM-NWDGAFQWSA-N 0 3 225.332 2.790 20 0 BFADHN CC[C@H](NC[C@@H](C)C1CC1)c1nccn1C ZINC000132130886 491134928 /nfs/dbraw/zinc/13/49/28/491134928.db2.gz DXBVYULIVLSYEQ-PWSUYJOCSA-N 0 3 221.348 2.507 20 0 BFADHN CC(C)C[C@@H](N[C@H]1C[C@@H](CO)C1)c1ccccn1 ZINC000631669950 358619302 /nfs/dbraw/zinc/61/93/02/358619302.db2.gz MEQBWZFAVNTWEH-VNHYZAJKSA-N 0 3 248.370 2.529 20 0 BFADHN c1cc(CNCCOc2ccccc2)cs1 ZINC000048436448 358634783 /nfs/dbraw/zinc/63/47/83/358634783.db2.gz XOODOOZXVWBLPK-UHFFFAOYSA-N 0 3 233.336 2.917 20 0 BFADHN COc1cccc([C@H](C)N2CCCO[C@@H](C)C2)c1 ZINC000175631753 134286793 /nfs/dbraw/zinc/28/67/93/134286793.db2.gz ZLRGRKNOEVHFGX-STQMWFEESA-N 0 3 249.354 2.867 20 0 BFADHN CC(C)C[C@@H](CO)NCc1coc2ccccc12 ZINC000130964785 358654774 /nfs/dbraw/zinc/65/47/74/358654774.db2.gz GGNSAIPBEYKIJD-ZDUSSCGKSA-N 0 3 247.338 2.929 20 0 BFADHN C[C@H](N[C@H]1CCOC1)c1cnc2ccsc2c1 ZINC000131255164 358667359 /nfs/dbraw/zinc/66/73/59/358667359.db2.gz YJKZADKEDJBMLN-ONGXEEELSA-N 0 3 248.351 2.736 20 0 BFADHN CCSc1ccccc1[C@@H](C)NC[C@H](C)O ZINC000131717820 358691672 /nfs/dbraw/zinc/69/16/72/358691672.db2.gz GJSCSXFAEWEWGY-WDEREUQCSA-N 0 3 239.384 2.830 20 0 BFADHN CCCC[C@@H](CC)CNCc1nccn1C ZINC000049633170 358695848 /nfs/dbraw/zinc/69/58/48/358695848.db2.gz LDNVLWUMBMVBKY-GFCCVEGCSA-N 0 3 223.364 2.726 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1cc(F)ccc1F ZINC000131832137 358696118 /nfs/dbraw/zinc/69/61/18/358696118.db2.gz HCOVAQCIFNWOQD-ONGXEEELSA-N 0 3 243.297 2.776 20 0 BFADHN CCCC[C@H](CC)CNCc1nccn1C ZINC000049633171 358696293 /nfs/dbraw/zinc/69/62/93/358696293.db2.gz LDNVLWUMBMVBKY-LBPRGKRZSA-N 0 3 223.364 2.726 20 0 BFADHN CC(C)=CCN1CC[C@@H](Oc2ccncc2)C1 ZINC000131860988 358697745 /nfs/dbraw/zinc/69/77/45/358697745.db2.gz NRFZMUZRMXCUDS-CQSZACIVSA-N 0 3 232.327 2.501 20 0 BFADHN CC[C@H](N[C@H](C)COC)c1cccc(OC)c1 ZINC000131881661 358698567 /nfs/dbraw/zinc/69/85/67/358698567.db2.gz WTSKGUZVYUDRIB-RISCZKNCSA-N 0 3 237.343 2.771 20 0 BFADHN COC[C@@H](C)N[C@@H]1CC(C)(C)Cc2occc21 ZINC000131902271 358700438 /nfs/dbraw/zinc/70/04/38/358700438.db2.gz YPSXNLOOLFXXPU-ZYHUDNBSSA-N 0 3 237.343 2.918 20 0 BFADHN CCC(CC)CN(CC)CC(=O)N(CC)CC ZINC000051824436 358764197 /nfs/dbraw/zinc/76/41/97/358764197.db2.gz OGTMMWVQNSNWTQ-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN C[C@H](O)CN(C)Cc1ccc(C(C)(C)C)cc1 ZINC000051821337 358764581 /nfs/dbraw/zinc/76/45/81/358764581.db2.gz GFHFDDCGSAZCNG-LBPRGKRZSA-N 0 3 235.371 2.797 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCC(CO)CC1 ZINC000051853909 358765167 /nfs/dbraw/zinc/76/51/67/358765167.db2.gz QMPNLILFFVZMKM-LLVKDONJSA-N 0 3 237.318 2.591 20 0 BFADHN CC(C)Cc1ccc(CN(C)[C@H](C)CO)cc1 ZINC000132114610 358707739 /nfs/dbraw/zinc/70/77/39/358707739.db2.gz WSBXGIZYVREBKV-CYBMUJFWSA-N 0 3 235.371 2.698 20 0 BFADHN COC(=O)CCCN(C)Cc1cc(C)ccc1C ZINC000050065059 358711897 /nfs/dbraw/zinc/71/18/97/358711897.db2.gz UJXYRFADILLIOE-UHFFFAOYSA-N 0 3 249.354 2.688 20 0 BFADHN COc1cc(C)nc(CN[C@@H]2CC[C@H](C)C2)c1 ZINC000132353928 358720005 /nfs/dbraw/zinc/72/00/05/358720005.db2.gz PAZWXZUWBIKDPK-CMPLNLGQSA-N 0 3 234.343 2.677 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1sc(C)nc1C ZINC000132497526 358725074 /nfs/dbraw/zinc/72/50/74/358725074.db2.gz OZASNAWQMOZHEU-QXFUBDJGSA-N 0 3 242.388 2.834 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1ccc(F)cc1F ZINC000132775459 358736249 /nfs/dbraw/zinc/73/62/49/358736249.db2.gz PBPRZGPVOUBKFI-GXSJLCMTSA-N 0 3 243.297 2.776 20 0 BFADHN CS[C@H](C)CN[C@H]1CCCc2cccnc21 ZINC000169093520 358736867 /nfs/dbraw/zinc/73/68/67/358736867.db2.gz FIGSWWXPUSGDOB-PWSUYJOCSA-N 0 3 236.384 2.800 20 0 BFADHN C[C@@H](CO[C@H]1CCOC1)N[C@@H](C)c1ccccc1 ZINC000132944518 358740085 /nfs/dbraw/zinc/74/00/85/358740085.db2.gz KERHDLLNXLRODN-YDHLFZDLSA-N 0 3 249.354 2.531 20 0 BFADHN C[C@@H](NCc1ccsc1Cl)C(C)(C)O ZINC000308512086 491136756 /nfs/dbraw/zinc/13/67/56/491136756.db2.gz LIRYRHHTAHKYPA-SSDOTTSWSA-N 0 3 233.764 2.651 20 0 BFADHN c1oc2ccccc2c1CNCCN1CCCC1 ZINC000135030737 358816401 /nfs/dbraw/zinc/81/64/01/358816401.db2.gz RHPVFVGSWFYZDA-UHFFFAOYSA-N 0 3 244.338 2.618 20 0 BFADHN COCC(C)(C)NCc1ccc(Cl)c(F)c1 ZINC000135057072 358817880 /nfs/dbraw/zinc/81/78/80/358817880.db2.gz HQJWACYRWSXQPQ-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN CC(C)n1cc(CN2CC[C@H](C)[C@H]2C)cn1 ZINC000180468998 366458636 /nfs/dbraw/zinc/45/86/36/366458636.db2.gz SDJHUFUCEIQPQX-NWDGAFQWSA-N 0 3 221.348 2.694 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@@H]1CSc2ccccc21 ZINC000134072392 358778720 /nfs/dbraw/zinc/77/87/20/358778720.db2.gz IBPZFUZVUMUPQD-MVWJERBFSA-N 0 3 235.352 2.600 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@@H]1CSc2ccccc21 ZINC000134072820 358779134 /nfs/dbraw/zinc/77/91/34/358779134.db2.gz IBPZFUZVUMUPQD-ZMLRMANQSA-N 0 3 235.352 2.600 20 0 BFADHN Cc1ccc(CNCCOC(C)C)cc1C ZINC000134120829 358780562 /nfs/dbraw/zinc/78/05/62/358780562.db2.gz NXBOESLORDVYBJ-UHFFFAOYSA-N 0 3 221.344 2.818 20 0 BFADHN CCOC[C@@H](C)NC1(c2ccccc2)CC1 ZINC000134234909 358783481 /nfs/dbraw/zinc/78/34/81/358783481.db2.gz ZXOPQVYADGRXMT-GFCCVEGCSA-N 0 3 219.328 2.690 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1cn2cc(Cl)ccc2n1 ZINC000134604703 358798915 /nfs/dbraw/zinc/79/89/15/358798915.db2.gz WEWOWAKZZOQZNY-ZJUUUORDSA-N 0 3 249.745 2.733 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1cn2cc(Cl)ccc2n1 ZINC000134604658 358798921 /nfs/dbraw/zinc/79/89/21/358798921.db2.gz WEWOWAKZZOQZNY-NXEZZACHSA-N 0 3 249.745 2.733 20 0 BFADHN COc1ncccc1CN1C[C@@H](C)C[C@H](C)C1 ZINC000176452265 134291928 /nfs/dbraw/zinc/29/19/28/134291928.db2.gz VZGIOJLGRJEOFJ-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC2(CO)CCCC2)o1 ZINC000053044518 358807272 /nfs/dbraw/zinc/80/72/72/358807272.db2.gz CDMJZLPHROFPSI-DGCLKSJQSA-N 0 3 249.354 2.798 20 0 BFADHN CC[C@H](C)CNCc1cc(C(=O)OC)c(C)o1 ZINC000054468526 358864268 /nfs/dbraw/zinc/86/42/68/358864268.db2.gz ADTJKCRFSILEMH-VIFPVBQESA-N 0 3 239.315 2.510 20 0 BFADHN CCCOc1cccc(CN[C@@H]2CCO[C@H]2C)c1 ZINC000135307938 358830687 /nfs/dbraw/zinc/83/06/87/358830687.db2.gz HZFGXICDGUNZBP-SWLSCSKDSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1ccc2ccccc2n1 ZINC000135306895 358830989 /nfs/dbraw/zinc/83/09/89/358830989.db2.gz FHVSHDSIIZTDPM-RISCZKNCSA-N 0 3 242.322 2.502 20 0 BFADHN Cc1nsc(C)c1CN1CCC(C)CC1 ZINC000578284854 366474277 /nfs/dbraw/zinc/47/42/77/366474277.db2.gz IETFAQYPMYTKAU-UHFFFAOYSA-N 0 3 224.373 2.992 20 0 BFADHN CN(C)CCSCc1cccc(N(C)C)c1 ZINC000611131970 358837400 /nfs/dbraw/zinc/83/74/00/358837400.db2.gz UMWFYZFYVMDGOJ-UHFFFAOYSA-N 0 3 238.400 2.547 20 0 BFADHN Cc1ccncc1CNC[C@H]1CCCCS1 ZINC000135466890 358839520 /nfs/dbraw/zinc/83/95/20/358839520.db2.gz MSKCCCJBHAXZKY-CYBMUJFWSA-N 0 3 236.384 2.765 20 0 BFADHN C(C1CCCCC1)N1CCOCC12CCC2 ZINC000135514436 358842327 /nfs/dbraw/zinc/84/23/27/358842327.db2.gz ILHDDMROICFWPE-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CCCCN(C)[C@@H]1CCCc2c1cnn2C ZINC000135582231 358845588 /nfs/dbraw/zinc/84/55/88/358845588.db2.gz CKFVRGLHSRLKMQ-GFCCVEGCSA-N 0 3 221.348 2.529 20 0 BFADHN CC[C@H](CNCc1cscc1Cl)OC ZINC000308581099 491137223 /nfs/dbraw/zinc/13/72/23/491137223.db2.gz GOUYUGYLSCKRGD-SECBINFHSA-N 0 3 233.764 2.916 20 0 BFADHN COc1ncccc1CN[C@@H](C)C1CCCC1 ZINC000054080431 358851167 /nfs/dbraw/zinc/85/11/67/358851167.db2.gz BCYJTGZLYBTTDB-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN CCCOc1ccc(OCCN2CCCC2)cc1 ZINC000058874295 358915900 /nfs/dbraw/zinc/91/59/00/358915900.db2.gz SSAGNMAUYHAFHR-UHFFFAOYSA-N 0 3 249.354 2.950 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cccc2c1OCCO2 ZINC000058922756 358916469 /nfs/dbraw/zinc/91/64/69/358916469.db2.gz AUGMHEDKUNYFSX-NSHDSACASA-N 0 3 247.338 2.688 20 0 BFADHN Cc1cc(CN[C@@H](C)CC2CCC2)no1 ZINC000132681983 491137787 /nfs/dbraw/zinc/13/77/87/491137787.db2.gz XFGXMNVPRKHCGJ-VIFPVBQESA-N 0 3 208.305 2.651 20 0 BFADHN Cc1nccc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)n1 ZINC000054790075 358873837 /nfs/dbraw/zinc/87/38/37/358873837.db2.gz WIRNXMLCYWNLFD-UHIISALHSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1nc(CN2CC[C@]2(C)C2CCCCC2)n[nH]1 ZINC000640219199 358887302 /nfs/dbraw/zinc/88/73/02/358887302.db2.gz KTQHTKYKGWCBSS-CQSZACIVSA-N 0 3 248.374 2.658 20 0 BFADHN C[C@@H](CCc1ccccc1)N1CCO[C@@H](C)C1 ZINC000055900597 358892878 /nfs/dbraw/zinc/89/28/78/358892878.db2.gz QWIHLBGRBUGUCM-KBPBESRZSA-N 0 3 233.355 2.728 20 0 BFADHN C[C@@]1(C2CCCCC2)CCN1Cc1c[nH]cn1 ZINC000640220580 358895272 /nfs/dbraw/zinc/89/52/72/358895272.db2.gz VMGYOYBYTFDSOV-AWEZNQCLSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@@]1(C2CCCCC2)CCN1Cc1cnc[nH]1 ZINC000640220580 358895274 /nfs/dbraw/zinc/89/52/74/358895274.db2.gz VMGYOYBYTFDSOV-AWEZNQCLSA-N 0 3 233.359 2.954 20 0 BFADHN CCCCOCCN1CCc2ccccc2C1 ZINC000056267239 358895990 /nfs/dbraw/zinc/89/59/90/358895990.db2.gz NNQVWTLJRZUBST-UHFFFAOYSA-N 0 3 233.355 2.861 20 0 BFADHN CCOCCN(C)[C@H](C)c1ccccc1 ZINC000057624267 358906026 /nfs/dbraw/zinc/90/60/26/358906026.db2.gz WMQHLBBNPWFHCI-GFCCVEGCSA-N 0 3 207.317 2.716 20 0 BFADHN C[C@H](CCC1CC1)N[C@H](C)c1ncc[nH]1 ZINC000308830174 491138303 /nfs/dbraw/zinc/13/83/03/491138303.db2.gz FYYIXUZIODAIHU-NXEZZACHSA-N 0 3 207.321 2.639 20 0 BFADHN Cc1nn(C)c(C)c1CCN[C@H](C)c1ccco1 ZINC000177747443 134299995 /nfs/dbraw/zinc/29/99/95/134299995.db2.gz AOIANRDOSMHKAN-LLVKDONJSA-N 0 3 247.342 2.523 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccccc2F)CCO1 ZINC000070344791 359049623 /nfs/dbraw/zinc/04/96/23/359049623.db2.gz RYIJJLWVFXJIEW-STQMWFEESA-N 0 3 237.318 2.873 20 0 BFADHN CCn1ccnc1CN[C@H](C)C1CCCC1 ZINC000069804341 359033673 /nfs/dbraw/zinc/03/36/73/359033673.db2.gz JGQFWTTYTKMJQF-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN C[C@@H](NCC1CCCCC1)c1nccn1C ZINC000070007579 359038042 /nfs/dbraw/zinc/03/80/42/359038042.db2.gz CCTMXFSDVHIAEA-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN Cn1nccc1CN[C@@H](c1cccs1)C1CC1 ZINC000071138707 359072389 /nfs/dbraw/zinc/07/23/89/359072389.db2.gz QVBSCOAWKBDKOB-CYBMUJFWSA-N 0 3 247.367 2.723 20 0 BFADHN CCC[C@H]1[C@@H](C)CCCN1Cc1nccn1C ZINC000072776525 359089523 /nfs/dbraw/zinc/08/95/23/359089523.db2.gz BWNRBOJKDANMBM-STQMWFEESA-N 0 3 235.375 2.821 20 0 BFADHN CC(C)C[C@H]1COCCN1Cc1ccccc1 ZINC000072978599 359094476 /nfs/dbraw/zinc/09/44/76/359094476.db2.gz UGEKGXZVUDDKOK-HNNXBMFYSA-N 0 3 233.355 2.934 20 0 BFADHN C[C@H](Cc1cccs1)N[C@H](C)c1nccn1C ZINC000070444211 359054559 /nfs/dbraw/zinc/05/45/59/359054559.db2.gz DJZVVKMGRQDTRI-GHMZBOCLSA-N 0 3 249.383 2.763 20 0 BFADHN C[C@H](NC[C@H]1CCCC[C@@H]1C)c1nccn1C ZINC000070445331 359055687 /nfs/dbraw/zinc/05/56/87/359055687.db2.gz FKHKRKBITQTJML-RWMBFGLXSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@@H]1CCC[C@@H](CCNCc2ncc[nH]2)C1 ZINC000070532083 359058546 /nfs/dbraw/zinc/05/85/46/359058546.db2.gz CEKOMFDQDYVAII-NEPJUHHUSA-N 0 3 221.348 2.716 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@@H](C)C2)on1 ZINC000246789029 359165238 /nfs/dbraw/zinc/16/52/38/359165238.db2.gz SEFIWBRWEGAJSD-GHMZBOCLSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1ccc(CCN2CCO[C@H](C)[C@H]2C)cc1 ZINC000246915856 359174566 /nfs/dbraw/zinc/17/45/66/359174566.db2.gz MTYYYVACIZBNJP-ZIAGYGMSSA-N 0 3 233.355 2.647 20 0 BFADHN Cc1cccc([C@@H]2CCCN2C[C@H]2CCCO2)n1 ZINC000248200822 359258854 /nfs/dbraw/zinc/25/88/54/359258854.db2.gz YREBPXWKIFAYKY-HIFRSBDPSA-N 0 3 246.354 2.706 20 0 BFADHN COC(=O)c1cccc(CN2CCCC[C@@H]2C)c1 ZINC000247824419 359245635 /nfs/dbraw/zinc/24/56/35/359245635.db2.gz IXSRXLGXLBUHSS-LBPRGKRZSA-N 0 3 247.338 2.848 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)[C@H](C)CO)o1 ZINC000247953211 359249697 /nfs/dbraw/zinc/24/96/97/359249697.db2.gz FGGITNCPNDPSOO-VWYCJHECSA-N 0 3 225.332 2.510 20 0 BFADHN CCN1CCCC[C@H]1CN[C@H](C)c1ccco1 ZINC000248126818 359254477 /nfs/dbraw/zinc/25/44/77/359254477.db2.gz OAHJNZUTBCBVOP-OLZOCXBDSA-N 0 3 236.359 2.805 20 0 BFADHN CCCN1C[C@@H](C)OC[C@H]1c1ccccc1 ZINC000247345113 359213080 /nfs/dbraw/zinc/21/30/80/359213080.db2.gz GHHVDFYODNFSSS-OCCSQVGLSA-N 0 3 219.328 2.858 20 0 BFADHN CCCN1C[C@H](C)OC[C@H]1c1ccccc1 ZINC000247345106 359213430 /nfs/dbraw/zinc/21/34/30/359213430.db2.gz GHHVDFYODNFSSS-JSGCOSHPSA-N 0 3 219.328 2.858 20 0 BFADHN c1nc2n(c1CN1CCCC3(CC3)CC1)CCC2 ZINC000628480214 359317760 /nfs/dbraw/zinc/31/77/60/359317760.db2.gz FCIRSECVZUXNIV-UHFFFAOYSA-N 0 3 245.370 2.595 20 0 BFADHN FC(F)(F)C1(CNC2CSC2)CCCC1 ZINC000628476983 359312790 /nfs/dbraw/zinc/31/27/90/359312790.db2.gz BAAWKBMBIKUFSO-UHFFFAOYSA-N 0 3 239.306 2.814 20 0 BFADHN Cn1ccc(CN2CC(Cc3cccs3)C2)c1 ZINC000628478509 359313722 /nfs/dbraw/zinc/31/37/22/359313722.db2.gz FXAFUEFHIZQVHZ-UHFFFAOYSA-N 0 3 246.379 2.761 20 0 BFADHN CC[C@H](C)CN(CC)CC(=O)N[C@H](C)C(C)C ZINC000248336257 359265494 /nfs/dbraw/zinc/26/54/94/359265494.db2.gz GXWKRWMNXFHOMU-QWHCGFSZSA-N 0 3 242.407 2.515 20 0 BFADHN COc1c(C)cnc(CN2CC[C@@H](C)[C@H]2C)c1C ZINC000248341033 359265516 /nfs/dbraw/zinc/26/55/16/359265516.db2.gz IUFUKHTZYLJQCX-ZWNOBZJWSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H]1CCN(Cc2ccncc2Cl)[C@H]1C ZINC000248343059 359266645 /nfs/dbraw/zinc/26/66/45/359266645.db2.gz JZKCDKOHSKOLSW-ZJUUUORDSA-N 0 3 224.735 2.965 20 0 BFADHN CC1(C)CCN(CCOc2ccccc2F)C1 ZINC000076013863 359268194 /nfs/dbraw/zinc/26/81/94/359268194.db2.gz CZHRSUNCRCOMNY-UHFFFAOYSA-N 0 3 237.318 2.936 20 0 BFADHN C[C@H](c1ccccc1Cl)N1CC[C@](C)(O)C1 ZINC000248358149 359270046 /nfs/dbraw/zinc/27/00/46/359270046.db2.gz QKHNWQJHRKROHS-MFKMUULPSA-N 0 3 239.746 2.858 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(C#N)cc2F)[C@@H]1C ZINC000385694050 359420135 /nfs/dbraw/zinc/42/01/35/359420135.db2.gz SLKPZUJIZPOKCP-GPCCPHFNSA-N 0 3 232.302 2.831 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)C2CCC2)no1 ZINC000308921683 491140304 /nfs/dbraw/zinc/14/03/04/491140304.db2.gz CGMCYRNEDBAFEW-VHSXEESVSA-N 0 3 208.305 2.822 20 0 BFADHN OC[C@@H](CC1CC1)NCc1ccc(Cl)s1 ZINC000308958959 491141019 /nfs/dbraw/zinc/14/10/19/491141019.db2.gz YJDUXKQIFPCEFO-SECBINFHSA-N 0 3 245.775 2.652 20 0 BFADHN Cc1csc(CNC(C)(C)C(C)C)n1 ZINC000379656037 359577505 /nfs/dbraw/zinc/57/75/05/359577505.db2.gz KRMWUYKWYMQJKZ-UHFFFAOYSA-N 0 3 212.362 2.976 20 0 BFADHN CC[C@H](N[C@H](C)c1nc(C)cs1)C(C)(C)O ZINC000623989699 359578219 /nfs/dbraw/zinc/57/82/19/359578219.db2.gz FDHDVWNPAGVSER-ZJUUUORDSA-N 0 3 242.388 2.652 20 0 BFADHN CC(C)[C@H]1CCC[C@@H]1NCc1cocn1 ZINC000308991597 491142053 /nfs/dbraw/zinc/14/20/53/491142053.db2.gz LHHZPFYTCKESIE-NEPJUHHUSA-N 0 3 208.305 2.589 20 0 BFADHN CCN1CCC(Nc2ncc(Cl)s2)CC1 ZINC000309039595 491143104 /nfs/dbraw/zinc/14/31/04/491143104.db2.gz KMRGCXZCSSOXTN-UHFFFAOYSA-N 0 3 245.779 2.693 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2ccc(CO)o2)C1 ZINC000628494949 359692798 /nfs/dbraw/zinc/69/27/98/359692798.db2.gz DPPJLADBIKAUHS-XQJDBVBESA-N 0 3 249.354 2.950 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1cscc1Cl ZINC000309047939 491143378 /nfs/dbraw/zinc/14/33/78/491143378.db2.gz PLZZWVLPRJSWGK-PBKGFPTLSA-N 0 3 245.775 2.818 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2nccnc2C)C1 ZINC000628495434 359696879 /nfs/dbraw/zinc/69/68/79/359696879.db2.gz QJXNEOMOOBAMDB-VQTKUKTRSA-N 0 3 245.370 2.963 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1cocn1 ZINC000309067137 491143896 /nfs/dbraw/zinc/14/38/96/491143896.db2.gz ACIIWXBEQPEWAK-GHMZBOCLSA-N 0 3 210.321 2.979 20 0 BFADHN CC1(C)CN(CC2CC(F)(F)C2)CC(C)(C)O1 ZINC000450827501 202279218 /nfs/dbraw/zinc/27/92/18/202279218.db2.gz IEMFOBAIZSEEFM-UHFFFAOYSA-N 0 3 247.329 2.921 20 0 BFADHN CSc1cc(C)ccc1CNC1CSC1 ZINC000309072330 491144074 /nfs/dbraw/zinc/14/40/74/491144074.db2.gz FOVKQVOKTFIEQR-UHFFFAOYSA-N 0 3 239.409 2.922 20 0 BFADHN CC[C@]1(C)CN(CC2=CCCCC2)CCO1 ZINC000450835983 202282838 /nfs/dbraw/zinc/28/28/38/202282838.db2.gz YHCJVUYZDWQPKV-CQSZACIVSA-N 0 3 223.360 2.988 20 0 BFADHN CSCCN[C@H]1CCCc2occc21 ZINC000169212304 359798490 /nfs/dbraw/zinc/79/84/90/359798490.db2.gz HKUNSBUMLITSTF-JTQLQIEISA-N 0 3 211.330 2.610 20 0 BFADHN CN(C)CCSC1CC(OC(C)(C)C)C1 ZINC000450874643 202294788 /nfs/dbraw/zinc/29/47/88/202294788.db2.gz USAQPMLLHGXAJS-UHFFFAOYSA-N 0 3 231.405 2.627 20 0 BFADHN CN(C)CCSC[C@H]1CCCC1(F)F ZINC000450874761 202296126 /nfs/dbraw/zinc/29/61/26/202296126.db2.gz VEYNMTNGOVBOGX-SECBINFHSA-N 0 3 223.332 2.717 20 0 BFADHN Cc1nc(C)c(CNC(C)(C)C2CC2)o1 ZINC000309095651 491144771 /nfs/dbraw/zinc/14/47/71/491144771.db2.gz DUINQTXYRDVBGO-UHFFFAOYSA-N 0 3 208.305 2.570 20 0 BFADHN C[C@@H](O)CCN1CCC=C(c2ccccc2)C1 ZINC000450935652 202314576 /nfs/dbraw/zinc/31/45/76/202314576.db2.gz ZCTQGSAYZMQIMG-CYBMUJFWSA-N 0 3 231.339 2.547 20 0 BFADHN CC[C@H](Cc1ccccc1)NCc1cnccn1 ZINC000578495634 366579316 /nfs/dbraw/zinc/57/93/16/366579316.db2.gz KRMKKIZERPESJA-CQSZACIVSA-N 0 3 241.338 2.588 20 0 BFADHN C[C@@]1(CNCc2cscc2Cl)CCOC1 ZINC000309109171 491145131 /nfs/dbraw/zinc/14/51/31/491145131.db2.gz JBNOSWHNWWWBBT-NSHDSACASA-N 0 3 245.775 2.918 20 0 BFADHN CCSCCCN[C@H](C)c1cncnc1C ZINC000578522312 366589155 /nfs/dbraw/zinc/58/91/55/366589155.db2.gz HVQFACJPVUUJOY-SNVBAGLBSA-N 0 3 239.388 2.579 20 0 BFADHN COC1(CCN2CCC[C@@](C)(F)C2)CCC1 ZINC000451115280 202355497 /nfs/dbraw/zinc/35/54/97/202355497.db2.gz NXGWPQSRJLCPDU-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN CC(C)N(C[C@H]1CCCC(F)(F)C1)C1COC1 ZINC000451121037 202356334 /nfs/dbraw/zinc/35/63/34/202356334.db2.gz OWQRSWFZNJXYGU-NSHDSACASA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3ccc(F)cc3)CC2)[C@@H](C)O1 ZINC000451124951 202361438 /nfs/dbraw/zinc/36/14/38/202361438.db2.gz HDRJDMKYBXOZHG-GYSYKLTISA-N 0 3 249.329 2.970 20 0 BFADHN CC(C)(C)OC(=O)CCCCN1CC[C@H](F)C1 ZINC000451192145 202372645 /nfs/dbraw/zinc/37/26/45/202372645.db2.gz IEMMAXBVYYCBOE-NSHDSACASA-N 0 3 245.338 2.542 20 0 BFADHN Cc1ccc(CCN2CC[C@H](F)C2)cc1 ZINC000451193373 202375160 /nfs/dbraw/zinc/37/51/60/202375160.db2.gz JOCKHCVLSOOLJZ-ZDUSSCGKSA-N 0 3 207.292 2.581 20 0 BFADHN CCSCC[C@H](C)NCc1ccns1 ZINC000404484080 359887391 /nfs/dbraw/zinc/88/73/91/359887391.db2.gz INDDKBGBNQVQDR-VIFPVBQESA-N 0 3 230.402 2.764 20 0 BFADHN CCC1(NCc2ncc(C)cn2)CCCC1 ZINC000451279297 202394184 /nfs/dbraw/zinc/39/41/84/202394184.db2.gz XEOFASMBTUSPIN-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN CCC1(NCc2cnoc2C)CCCC1 ZINC000451279145 202395684 /nfs/dbraw/zinc/39/56/84/202395684.db2.gz WGOKZLVFXULATF-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN C[C@@H](CC1CCC1)NCc1cscn1 ZINC000133037416 491145745 /nfs/dbraw/zinc/14/57/45/491145745.db2.gz WTKLVYGEWGYNQO-VIFPVBQESA-N 0 3 210.346 2.811 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cnc[nH]2)cc1F ZINC000088255154 359943443 /nfs/dbraw/zinc/94/34/43/359943443.db2.gz RMHLIVNMKPSRTG-SNVBAGLBSA-N 0 3 233.290 2.708 20 0 BFADHN Fc1ccc(CNC[C@@H]2CC23CC3)c(F)c1 ZINC000578864795 366655244 /nfs/dbraw/zinc/65/52/44/366655244.db2.gz YSUDUMWPLLINLB-JTQLQIEISA-N 0 3 223.266 2.855 20 0 BFADHN CC(C)n1ncnc1CN[C@H](C)C1CCCC1 ZINC000088592172 359969323 /nfs/dbraw/zinc/96/93/23/359969323.db2.gz NXTKDDKWSZVGKI-LLVKDONJSA-N 0 3 236.363 2.527 20 0 BFADHN CC(C)n1ncnc1CN[C@@H](C)C1CCCC1 ZINC000088592167 359969646 /nfs/dbraw/zinc/96/96/46/359969646.db2.gz NXTKDDKWSZVGKI-NSHDSACASA-N 0 3 236.363 2.527 20 0 BFADHN Cc1cc(C(=O)CN2CCC[C@H]2C(C)C)c(C)[nH]1 ZINC000088844878 359980411 /nfs/dbraw/zinc/98/04/11/359980411.db2.gz DWSVKTNKFFYMDC-AWEZNQCLSA-N 0 3 248.370 2.935 20 0 BFADHN O[C@@H]1CCC[C@H]1CNCc1cc2ccccc2o1 ZINC000089151203 359994219 /nfs/dbraw/zinc/99/42/19/359994219.db2.gz JJALVIHGWOLDJC-GXTWGEPZSA-N 0 3 245.322 2.683 20 0 BFADHN CCn1cc(CN([C@@H](C)C2CC2)C2CC2)cn1 ZINC000092009437 360145814 /nfs/dbraw/zinc/14/58/14/360145814.db2.gz NXKIOYDBTMXZCD-NSHDSACASA-N 0 3 233.359 2.666 20 0 BFADHN CCCCN(C)CCN[C@@H](C)c1nccs1 ZINC000091723918 360127008 /nfs/dbraw/zinc/12/70/08/360127008.db2.gz SRPILZZTUBKUDX-NSHDSACASA-N 0 3 241.404 2.526 20 0 BFADHN CC[C@H]1CCN(Cc2cccc3c2OCO3)C1 ZINC000091929465 360136348 /nfs/dbraw/zinc/13/63/48/360136348.db2.gz KNGMHTBSODDBMJ-NSHDSACASA-N 0 3 233.311 2.647 20 0 BFADHN CC[C@@H]1CCN(Cc2cnc3cc(C)ccn23)C1 ZINC000091929576 360136676 /nfs/dbraw/zinc/13/66/76/360136676.db2.gz HGXYJUPUUYUJQH-CYBMUJFWSA-N 0 3 243.354 2.875 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cccc2c1OCO2 ZINC000091937502 360137835 /nfs/dbraw/zinc/13/78/35/360137835.db2.gz OQGLPEKMCDZONH-QWRGUYRKSA-N 0 3 233.311 2.788 20 0 BFADHN Cc1nc(C2CCN(CC(C)(C)C)CC2)no1 ZINC000092787958 360198241 /nfs/dbraw/zinc/19/82/41/360198241.db2.gz MDHWOGYASVIUBU-UHFFFAOYSA-N 0 3 237.347 2.604 20 0 BFADHN C[C@@H](NCC1C(C)(C)C1(C)C)c1nccn1C ZINC000092804760 360199851 /nfs/dbraw/zinc/19/98/51/360199851.db2.gz AZRODQJAEGBSHI-SNVBAGLBSA-N 0 3 235.375 2.753 20 0 BFADHN Cc1ccc(CN2CCC(n3cccn3)CC2)o1 ZINC000092617774 360186848 /nfs/dbraw/zinc/18/68/48/360186848.db2.gz FCGMPMMLLKGNCI-UHFFFAOYSA-N 0 3 245.326 2.622 20 0 BFADHN CCC[C@@]1(C)CCCN(Cc2c[nH]cn2)C1 ZINC000093509061 360252171 /nfs/dbraw/zinc/25/21/71/360252171.db2.gz ZVFRODLNJWQOPW-ZDUSSCGKSA-N 0 3 221.348 2.812 20 0 BFADHN CCC[C@@]1(C)CCCN(Cc2cnc[nH]2)C1 ZINC000093509061 360252175 /nfs/dbraw/zinc/25/21/75/360252175.db2.gz ZVFRODLNJWQOPW-ZDUSSCGKSA-N 0 3 221.348 2.812 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3OCCC[C@@H]3C2)o1 ZINC000093505609 360252750 /nfs/dbraw/zinc/25/27/50/360252750.db2.gz GXQHAKHKIAOVOS-OCCSQVGLSA-N 0 3 235.327 2.589 20 0 BFADHN c1cc(CN2CC[C@@H]3OCCC[C@@H]3C2)cs1 ZINC000093507412 360252996 /nfs/dbraw/zinc/25/29/96/360252996.db2.gz BEAZCVAUGJSZQZ-OLZOCXBDSA-N 0 3 237.368 2.749 20 0 BFADHN CC[C@H]1CCCCCN1Cc1c[nH]cn1 ZINC000093530181 360255199 /nfs/dbraw/zinc/25/51/99/360255199.db2.gz VJYMDGFFNHRFQV-LBPRGKRZSA-N 0 3 207.321 2.564 20 0 BFADHN Cn1ccc(CN2CCCc3ccccc3C2)c1 ZINC000093085108 360214908 /nfs/dbraw/zinc/21/49/08/360214908.db2.gz QKWXAECHCVIUNO-UHFFFAOYSA-N 0 3 240.350 2.974 20 0 BFADHN CCn1cc(CN2CCC3(CCCC3)C2)cn1 ZINC000093336567 360233076 /nfs/dbraw/zinc/23/30/76/360233076.db2.gz DDGASYSSUMEDII-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN CC[C@@H](NCCOC)c1cc(C)ccc1OC ZINC000093368779 360237563 /nfs/dbraw/zinc/23/75/63/360237563.db2.gz CGLUWWURYLILIC-CYBMUJFWSA-N 0 3 237.343 2.691 20 0 BFADHN Cc1ccc(CNC[C@H](C)C(F)(F)F)cn1 ZINC000309339890 491148074 /nfs/dbraw/zinc/14/80/74/491148074.db2.gz JXSXMJXMTINJPS-QMMMGPOBSA-N 0 3 232.249 2.678 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2cccnc2C)C1 ZINC000093419457 360240733 /nfs/dbraw/zinc/24/07/33/360240733.db2.gz ZVJYZSFTCFYVJU-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN C1=CC[C@H](CN2CCOC3(CCC3)C2)CC1 ZINC000093639994 360264616 /nfs/dbraw/zinc/26/46/16/360264616.db2.gz HEDPBPDNWGKAPM-ZDUSSCGKSA-N 0 3 221.344 2.598 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cnc(C)s1)C(C)C ZINC000309433046 491148276 /nfs/dbraw/zinc/14/82/76/491148276.db2.gz GQDMQSDIFUJSJC-GXSJLCMTSA-N 0 3 242.388 2.773 20 0 BFADHN CC(C)C[C@H]1CCCN(Cc2c[nH]cn2)C1 ZINC000093716489 360272958 /nfs/dbraw/zinc/27/29/58/360272958.db2.gz NSLZLHIAJRFPAW-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN CC(C)C[C@H]1CCCN(Cc2cnc[nH]2)C1 ZINC000093716489 360272962 /nfs/dbraw/zinc/27/29/62/360272962.db2.gz NSLZLHIAJRFPAW-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN Cc1n[nH]cc1CN1CCC[C@@H](CC(C)C)C1 ZINC000093716578 360273242 /nfs/dbraw/zinc/27/32/42/360273242.db2.gz CZZXCLJZKRQRGE-ZDUSSCGKSA-N 0 3 235.375 2.976 20 0 BFADHN CC(C)CN(Cc1c[nH]cn1)CC(C)(C)C ZINC000093817043 360279944 /nfs/dbraw/zinc/27/99/44/360279944.db2.gz XAYCQSHWXZTWQU-UHFFFAOYSA-N 0 3 223.364 2.914 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cnn(CC)c1 ZINC000093844892 360282391 /nfs/dbraw/zinc/28/23/91/360282391.db2.gz ZPQSJNBHCBOLQW-LBPRGKRZSA-N 0 3 223.364 2.771 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1Cc1cc[nH]n1 ZINC000093845272 360284695 /nfs/dbraw/zinc/28/46/95/360284695.db2.gz IGGWXLFBXZGESP-DGCLKSJQSA-N 0 3 221.348 2.810 20 0 BFADHN CN1CCN(Cc2ccco2)C2(CCCCC2)C1 ZINC000093871958 360286276 /nfs/dbraw/zinc/28/62/76/360286276.db2.gz OESDWJUHVWFBOK-UHFFFAOYSA-N 0 3 248.370 2.730 20 0 BFADHN C(C1CCCCC1)N1CCN2CCCC[C@@H]2C1 ZINC000093952635 360292032 /nfs/dbraw/zinc/29/20/32/360292032.db2.gz ZHSRISUCOCDNAV-OAHLLOKOSA-N 0 3 236.403 2.737 20 0 BFADHN C[C@H](CO)CNC1(c2ccc(Cl)cc2)CC1 ZINC000309447329 491148459 /nfs/dbraw/zinc/14/84/59/491148459.db2.gz LRYVRYIGGLQIHY-JTQLQIEISA-N 0 3 239.746 2.547 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2ccsc2)on1 ZINC000309449155 491148535 /nfs/dbraw/zinc/14/85/35/491148535.db2.gz PQEBLZBFBIRESM-VIFPVBQESA-N 0 3 236.340 2.765 20 0 BFADHN Cc1ccc([C@H](C)NCCn2ccnc2)cc1F ZINC000094837652 360322597 /nfs/dbraw/zinc/32/25/97/360322597.db2.gz BQACOPYKWMCJOT-LBPRGKRZSA-N 0 3 247.317 2.681 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCCOC2)cc1F ZINC000094838002 360322951 /nfs/dbraw/zinc/32/29/51/360322951.db2.gz FLIFHWDYOSMNPO-WCQYABFASA-N 0 3 237.318 2.964 20 0 BFADHN Cc1cccc(C)c1CN[C@@H](C)Cn1cccn1 ZINC000096811174 360355329 /nfs/dbraw/zinc/35/53/29/360355329.db2.gz RJIZISMMCMFLKB-AWEZNQCLSA-N 0 3 243.354 2.678 20 0 BFADHN CC(C)(F)CNCc1cccc(-n2cccn2)c1 ZINC000631190075 360535376 /nfs/dbraw/zinc/53/53/76/360535376.db2.gz WFDKWYGAOVHHDV-UHFFFAOYSA-N 0 3 247.317 2.710 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@H]2C2CC2)on1 ZINC000631161560 360578555 /nfs/dbraw/zinc/57/85/55/360578555.db2.gz NKAKXIIAUCBWSU-OCCSQVGLSA-N 0 3 234.343 2.899 20 0 BFADHN COc1ccc(CNCC(C)(C)F)cc1OC ZINC000631192174 360570636 /nfs/dbraw/zinc/57/06/36/360570636.db2.gz WEQJCRQLDDGFJD-UHFFFAOYSA-N 0 3 241.306 2.542 20 0 BFADHN Cc1ccc(CN[C@H]2CC[C@H](O)CC2)c(F)c1 ZINC000631100565 360545530 /nfs/dbraw/zinc/54/55/30/360545530.db2.gz YVGOUAZMOXEDED-JOCQHMNTSA-N 0 3 237.318 2.527 20 0 BFADHN Cc1cc(C)c(/C=C/CNC2(CO)CC2)cc1C ZINC000631100561 360545563 /nfs/dbraw/zinc/54/55/63/360545563.db2.gz YONTYGXWUFLYAV-SNAWJCMRSA-N 0 3 245.366 2.740 20 0 BFADHN C[C@H](N[C@H]1CCC(F)(F)C1)c1ccccn1 ZINC000309532982 491150578 /nfs/dbraw/zinc/15/05/78/491150578.db2.gz DKGQUQRQPAQTBD-UWVGGRQHSA-N 0 3 226.270 2.920 20 0 BFADHN CCC[C@@H](CCO)NCc1cccc(F)c1F ZINC000631196671 360702848 /nfs/dbraw/zinc/70/28/48/360702848.db2.gz NSXFIKJEWRSOCH-NSHDSACASA-N 0 3 243.297 2.606 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1cccc(F)c1F ZINC000183270102 219870051 /nfs/dbraw/zinc/87/00/51/219870051.db2.gz CFHDHEYOCAJZSA-VHSXEESVSA-N 0 3 243.297 2.776 20 0 BFADHN c1cnn(CCN2CCC[C@H]2c2ccsc2)c1 ZINC000064141678 360911366 /nfs/dbraw/zinc/91/13/66/360911366.db2.gz AYSIYXLBONKEBH-ZDUSSCGKSA-N 0 3 247.367 2.782 20 0 BFADHN C1=CCC(NCc2nc3c(s2)CCCC3)C1 ZINC000309664382 491154179 /nfs/dbraw/zinc/15/41/79/491154179.db2.gz HBQPSKGHJXRFAU-UHFFFAOYSA-N 0 3 234.368 2.830 20 0 BFADHN Cc1cc(CNCC2CCC(F)CC2)on1 ZINC000631166664 361069960 /nfs/dbraw/zinc/06/99/60/361069960.db2.gz KVJAQGNDWVPUQI-UHFFFAOYSA-N 0 3 226.295 2.601 20 0 BFADHN COc1cc(CN(C)C[C@H](C)C(C)(C)C)on1 ZINC000640349227 361071064 /nfs/dbraw/zinc/07/10/64/361071064.db2.gz XKYNKNGKNDFSRC-JTQLQIEISA-N 0 3 240.347 2.797 20 0 BFADHN c1cnc2c(c1)[C@@H](NC[C@H]1CCCCO1)CCC2 ZINC000631573269 361080537 /nfs/dbraw/zinc/08/05/37/361080537.db2.gz KQBVUFVRSWMXQX-DOMZBBRYSA-N 0 3 246.354 2.618 20 0 BFADHN c1nc(CN[C@H]2CCCC[C@H]2C2CC2)co1 ZINC000309688239 491154434 /nfs/dbraw/zinc/15/44/34/491154434.db2.gz OTYQVDFHAFNIAL-STQMWFEESA-N 0 3 220.316 2.733 20 0 BFADHN CC(C)n1ncnc1CNC1CCC2(CC2)CC1 ZINC000631122554 361128862 /nfs/dbraw/zinc/12/88/62/361128862.db2.gz SUDKQIXVFPOSPE-UHFFFAOYSA-N 0 3 248.374 2.671 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1oc(C)nc1C ZINC000309704221 491155350 /nfs/dbraw/zinc/15/53/50/491155350.db2.gz NTESWRKLEIZKCV-RYUDHWBXSA-N 0 3 222.332 2.960 20 0 BFADHN Cc1nn(C(C)C)cc1CNC1CC=CC1 ZINC000309727615 491155195 /nfs/dbraw/zinc/15/51/95/491155195.db2.gz DMFOMSYTSQIYQJ-UHFFFAOYSA-N 0 3 219.332 2.581 20 0 BFADHN CC(C)(C)c1ccc(CN2C[C@H]3C[C@@]3(O)C2)cc1 ZINC000640473716 361243832 /nfs/dbraw/zinc/24/38/32/361243832.db2.gz KRCLWMDQHNSHLW-GDBMZVCRSA-N 0 3 245.366 2.551 20 0 BFADHN CC(C)=CCN1CCC[C@@H](c2ccnc(C)n2)C1 ZINC000613211451 361282684 /nfs/dbraw/zinc/28/26/84/361282684.db2.gz VNJMJZTVUIELBH-CQSZACIVSA-N 0 3 245.370 2.931 20 0 BFADHN CCN(CC(=O)N(CC(C)C)C(C)C)C(C)C ZINC000171055861 361289794 /nfs/dbraw/zinc/28/97/94/361289794.db2.gz LPFLBWUSNSOWBY-UHFFFAOYSA-N 0 3 242.407 2.610 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@H](C)[C@@H](C)C2)cn1 ZINC000428304572 201007547 /nfs/dbraw/zinc/00/75/47/201007547.db2.gz YIQOIESIZHVBBI-GVXVVHGQSA-N 0 3 233.359 2.824 20 0 BFADHN CC(C)NCC(=O)N(C)c1cccc(C(C)C)c1 ZINC000579142526 366724592 /nfs/dbraw/zinc/72/45/92/366724592.db2.gz UZNOMLLXGMULFS-UHFFFAOYSA-N 0 3 248.370 2.771 20 0 BFADHN CC(C)c1nc(CN2CCC[C@H]2C2CCC2)n[nH]1 ZINC000428208512 201001573 /nfs/dbraw/zinc/00/15/73/201001573.db2.gz PCKPUNTXCWXMDP-LBPRGKRZSA-N 0 3 248.374 2.693 20 0 BFADHN CC(C)c1nnc(C[N@@H+]2CCC[C@H]2C2CCC2)[n-]1 ZINC000428208512 201001575 /nfs/dbraw/zinc/00/15/75/201001575.db2.gz PCKPUNTXCWXMDP-LBPRGKRZSA-N 0 3 248.374 2.693 20 0 BFADHN CC(C)c1nnc(C[N@H+]2CCC[C@H]2C2CCC2)[n-]1 ZINC000428208512 201001577 /nfs/dbraw/zinc/00/15/77/201001577.db2.gz PCKPUNTXCWXMDP-LBPRGKRZSA-N 0 3 248.374 2.693 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2ccc(F)c(C)c2)C1 ZINC000579174468 366727381 /nfs/dbraw/zinc/72/73/81/366727381.db2.gz CEBQOAYOTVIHPL-BETUJISGSA-N 0 3 237.318 2.743 20 0 BFADHN Clc1ccc(CNC[C@H]2CCC=CO2)nc1 ZINC000194541508 361324119 /nfs/dbraw/zinc/32/41/19/361324119.db2.gz BGXYLTMJDKXFON-GFCCVEGCSA-N 0 3 238.718 2.517 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2CC(C)C)ncn1 ZINC000428414414 201014364 /nfs/dbraw/zinc/01/43/64/201014364.db2.gz UURFPVBSJBDDTK-CQSZACIVSA-N 0 3 233.359 2.796 20 0 BFADHN CCCc1nc(C)c(CN2CCCCC2)o1 ZINC000428434879 201014622 /nfs/dbraw/zinc/01/46/22/201014622.db2.gz XTNNXJRDLQLDJB-UHFFFAOYSA-N 0 3 222.332 2.921 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cn2ccsc2n1 ZINC000171079885 361325334 /nfs/dbraw/zinc/32/53/34/361325334.db2.gz SHXDNLXRZVQQLI-VIFPVBQESA-N 0 3 235.356 2.626 20 0 BFADHN COCc1ccc(CN2CC[C@@H]3CCC[C@H]32)o1 ZINC000428456713 201019494 /nfs/dbraw/zinc/01/94/94/201019494.db2.gz GIABFRJEAGWRPQ-SMDDNHRTSA-N 0 3 235.327 2.800 20 0 BFADHN CCCn1cc(CN2CC[C@@H]3CCC[C@@H]32)cn1 ZINC000428453614 201019979 /nfs/dbraw/zinc/01/99/79/201019979.db2.gz GIDOINCFAUCKGG-KBPBESRZSA-N 0 3 233.359 2.668 20 0 BFADHN Cc1nc(CN(CC2CCCCC2)C2CC2)n[nH]1 ZINC000428461321 201020061 /nfs/dbraw/zinc/02/00/61/201020061.db2.gz RNCGLHUUQCFEHR-UHFFFAOYSA-N 0 3 248.374 2.658 20 0 BFADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1n[nH]c(C)n1 ZINC000428485409 201024883 /nfs/dbraw/zinc/02/48/83/201024883.db2.gz RUAMOLZYZYOTOA-RYUDHWBXSA-N 0 3 236.363 2.514 20 0 BFADHN CN(C)c1ccccc1CNC1CC(F)(F)C1 ZINC000428639835 201031326 /nfs/dbraw/zinc/03/13/26/201031326.db2.gz KNNJAVJTULKUSJ-UHFFFAOYSA-N 0 3 240.297 2.640 20 0 BFADHN CCOC1(C)CCN(Cc2cnccc2C)CC1 ZINC000428710192 201036110 /nfs/dbraw/zinc/03/61/10/201036110.db2.gz OBSXTCFGKSCEEY-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN FCCCN1CCC=C(c2ccco2)C1 ZINC000285169655 370689014 /nfs/dbraw/zinc/68/90/14/370689014.db2.gz BBSAKOOLTAVUJE-UHFFFAOYSA-N 0 3 209.264 2.728 20 0 BFADHN Cc1ncccc1CN1CCSCC[C@H]1C ZINC000191821495 361371662 /nfs/dbraw/zinc/37/16/62/361371662.db2.gz VLKLHVONGHZYAC-LLVKDONJSA-N 0 3 236.384 2.717 20 0 BFADHN COC(=O)[C@H](CC(C)C)N(C)CC1CCCC1 ZINC000429127064 201072204 /nfs/dbraw/zinc/07/22/04/201072204.db2.gz JQKUQVMQUPQGQJ-ZDUSSCGKSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@H]1CN(CCCC(C)C)C[C@H](C)O1 ZINC000429043408 201064203 /nfs/dbraw/zinc/06/42/03/201064203.db2.gz FREPMTVNUMNFRG-STQMWFEESA-N 0 3 213.365 2.922 20 0 BFADHN CC[C@@H]1CN(CCCC(C)C)C[C@@H](C)O1 ZINC000429043402 201064842 /nfs/dbraw/zinc/06/48/42/201064842.db2.gz FREPMTVNUMNFRG-CHWSQXEVSA-N 0 3 213.365 2.922 20 0 BFADHN CC(C)CCCN1CCO[C@@H]2CCC[C@H]21 ZINC000429047184 201064849 /nfs/dbraw/zinc/06/48/49/201064849.db2.gz JTKKKVDWJNTRLN-CHWSQXEVSA-N 0 3 211.349 2.676 20 0 BFADHN CCN(CCCC(C)C)Cc1cnn(C)c1 ZINC000429046506 201064862 /nfs/dbraw/zinc/06/48/62/201064862.db2.gz MRNFSNVOAJHTSP-UHFFFAOYSA-N 0 3 223.364 2.678 20 0 BFADHN Cc1cc(CN(C2CCC2)C2CCC2)nn1C ZINC000429164332 201076369 /nfs/dbraw/zinc/07/63/69/201076369.db2.gz WPYWPTBRQLJRJR-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN COCC1(CCN[C@@H](C)c2nccs2)CC1 ZINC000579352793 366767507 /nfs/dbraw/zinc/76/75/07/366767507.db2.gz LVRZFCJFVGKEBJ-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN CC[C@H]1CCN1Cc1cccc(-n2cccn2)c1 ZINC000429292407 201095984 /nfs/dbraw/zinc/09/59/84/201095984.db2.gz IRTPHGABICLJQC-AWEZNQCLSA-N 0 3 241.338 2.857 20 0 BFADHN CC(C)CCCN1CCO[C@@H](C2CC2)C1 ZINC000429333619 201101741 /nfs/dbraw/zinc/10/17/41/201101741.db2.gz NVSJZPTZHKALFE-CYBMUJFWSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@H]1CC[C@@H](N(C)Cc2cnc3ccccn23)C1 ZINC000429570785 201119156 /nfs/dbraw/zinc/11/91/56/201119156.db2.gz VRRIERGOZUNSCF-QWHCGFSZSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1CC[C@H](N(C)Cc2cn3ccccc3n2)C1 ZINC000429595519 201121618 /nfs/dbraw/zinc/12/16/18/201121618.db2.gz WIGYVXIESGLKAU-OCCSQVGLSA-N 0 3 243.354 2.955 20 0 BFADHN Cc1noc(CN2[C@H](C)C[C@H]3CCCC[C@H]32)n1 ZINC000429689700 201126411 /nfs/dbraw/zinc/12/64/11/201126411.db2.gz FZHBFXWZLOAPOB-YUSALJHKSA-N 0 3 235.331 2.531 20 0 BFADHN C[C@@H](NCCCc1ccccn1)c1ccoc1 ZINC000181493290 366815722 /nfs/dbraw/zinc/81/57/22/366815722.db2.gz QUCUNZPPJKKGBA-GFCCVEGCSA-N 0 3 230.311 2.958 20 0 BFADHN CC[C@H]1CCN1Cc1cc(-n2ccnc2)cs1 ZINC000430187837 201150814 /nfs/dbraw/zinc/15/08/14/201150814.db2.gz DGBPERVRKDDHGO-NSHDSACASA-N 0 3 247.367 2.918 20 0 BFADHN CCC[C@@H]1C[C@H]1NCc1cnc2n[nH]c(C)c2c1 ZINC000430043881 201140289 /nfs/dbraw/zinc/14/02/89/201140289.db2.gz GGTNWOMAMGOPKF-DGCLKSJQSA-N 0 3 244.342 2.545 20 0 BFADHN CC[C@@H]1CCN1Cc1c[nH]nc1-c1ccc(C)o1 ZINC000430199441 201153316 /nfs/dbraw/zinc/15/33/16/201153316.db2.gz RJZNJNDFXMWAAF-GFCCVEGCSA-N 0 3 245.326 2.962 20 0 BFADHN CC[C@H]1CCN1Cc1cn(C)nc1C(C)(C)C ZINC000430201310 201154512 /nfs/dbraw/zinc/15/45/12/201154512.db2.gz VUVASHNCPXEPNE-LBPRGKRZSA-N 0 3 235.375 2.702 20 0 BFADHN C[C@@H](O)CCN(C)Cc1ccc2c(c1)CCCC2 ZINC000430249289 201155711 /nfs/dbraw/zinc/15/57/11/201155711.db2.gz ODUZLASIOIOARX-CYBMUJFWSA-N 0 3 247.382 2.768 20 0 BFADHN CN(Cc1ccc2c(c1)CCCC2)[C@@H]1CCOC1 ZINC000430227638 201156299 /nfs/dbraw/zinc/15/62/99/201156299.db2.gz RTTTZZSFWYUDBU-MRXNPFEDSA-N 0 3 245.366 2.786 20 0 BFADHN CC[C@@H](C)CN1CCCc2cccc(CN)c21 ZINC000629560798 361456529 /nfs/dbraw/zinc/45/65/29/361456529.db2.gz VSIQMLPGUXMAHD-GFCCVEGCSA-N 0 3 232.371 2.944 20 0 BFADHN CC1(C)C[C@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000433335905 201182017 /nfs/dbraw/zinc/18/20/17/201182017.db2.gz ROCBZZSPTMQZPY-GFCCVEGCSA-N 0 3 231.299 2.558 20 0 BFADHN CCC1(CNCc2ccc(-c3cc[nH]n3)o2)CC1 ZINC000433331469 201182125 /nfs/dbraw/zinc/18/21/25/201182125.db2.gz ZNHBCNMWOJXUIR-UHFFFAOYSA-N 0 3 245.326 2.950 20 0 BFADHN COCCN(CC=C(C)C)[C@H]1CCCSC1 ZINC000433199557 201168374 /nfs/dbraw/zinc/16/83/74/201168374.db2.gz DCIDLWYPCGHYLW-ZDUSSCGKSA-N 0 3 243.416 2.797 20 0 BFADHN CCC(C)(C)CCN1CC[S@](=O)C[C@@H](C)C1 ZINC000433275724 201172755 /nfs/dbraw/zinc/17/27/55/201172755.db2.gz ZOVZUHJQEDQHBJ-LRDDRELGSA-N 0 3 245.432 2.513 20 0 BFADHN CCC(C)(C)CCN1CC[S@@](=O)C[C@@H](C)C1 ZINC000433275723 201173298 /nfs/dbraw/zinc/17/32/98/201173298.db2.gz ZOVZUHJQEDQHBJ-BLLLJJGKSA-N 0 3 245.432 2.513 20 0 BFADHN CC1(C)CC(N[C@@H](CO)c2cccc(F)c2)C1 ZINC000433283116 201173980 /nfs/dbraw/zinc/17/39/80/201173980.db2.gz OAOLIVAVNVUJHU-ZDUSSCGKSA-N 0 3 237.318 2.637 20 0 BFADHN COCCN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000433291944 201176572 /nfs/dbraw/zinc/17/65/72/201176572.db2.gz XPFRLEVSEOVKHQ-GFCCVEGCSA-N 0 3 239.746 2.776 20 0 BFADHN Cc1ncsc1CN[C@@]12C[C@@H]1CCCC2 ZINC000631224695 361566220 /nfs/dbraw/zinc/56/62/20/361566220.db2.gz COXSXRXXIJXUBH-JQWIXIFHSA-N 0 3 222.357 2.874 20 0 BFADHN CCOCCN[C@H]1CCc2c1cccc2C ZINC000161896243 201226448 /nfs/dbraw/zinc/22/64/48/201226448.db2.gz WMPAYXMIHBMPRD-AWEZNQCLSA-N 0 3 219.328 2.608 20 0 BFADHN CC[C@H](NCc1ncnn1C(C)C)C(C)(C)C ZINC000434488062 201224149 /nfs/dbraw/zinc/22/41/49/201224149.db2.gz ZKQDUZZDQQITGN-NSHDSACASA-N 0 3 238.379 2.773 20 0 BFADHN CN(C[C@H]1CC1(Cl)Cl)C1CCC1 ZINC000306638211 361586153 /nfs/dbraw/zinc/58/61/53/361586153.db2.gz MTMYPBVCZJKOHN-SSDOTTSWSA-N 0 3 208.132 2.665 20 0 BFADHN CSc1ccc(CN[C@H]2C[C@H]3CC[C@@H]2O3)cc1 ZINC000152818181 201209512 /nfs/dbraw/zinc/20/95/12/201209512.db2.gz OBPSYXGHNPVEFK-XBFCOCLRSA-N 0 3 249.379 2.818 20 0 BFADHN CCCCNc1cccc(CN(C)C)c1 ZINC000036979999 361590263 /nfs/dbraw/zinc/59/02/63/361590263.db2.gz GTLAHKHJHDTSDV-UHFFFAOYSA-N 0 3 206.333 2.960 20 0 BFADHN CN(Cc1ccc(-c2cc[nH]n2)o1)[C@H]1CC1(C)C ZINC000434991503 201248100 /nfs/dbraw/zinc/24/81/00/201248100.db2.gz NPRDPDZDHXURBA-ZDUSSCGKSA-N 0 3 245.326 2.900 20 0 BFADHN CCCN(CC)Cc1ccc([S@](C)=O)cc1 ZINC000434986246 201248268 /nfs/dbraw/zinc/24/82/68/201248268.db2.gz NPGFPUCJMUGJJA-INIZCTEOSA-N 0 3 239.384 2.656 20 0 BFADHN CCCN(CC)Cc1c(C)nn(CC)c1C ZINC000434992660 201248608 /nfs/dbraw/zinc/24/86/08/201248608.db2.gz ULUFGMXLBXCWPE-UHFFFAOYSA-N 0 3 223.364 2.752 20 0 BFADHN Cn1cc(CN2CC[C@H](CC(C)(C)C)C2)cn1 ZINC000448553810 201251855 /nfs/dbraw/zinc/25/18/55/201251855.db2.gz FZVWSBBZMIVPTF-GFCCVEGCSA-N 0 3 235.375 2.678 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2cnc(C)s2)CO1 ZINC000631226478 361640615 /nfs/dbraw/zinc/64/06/15/361640615.db2.gz FUDNECRARXIVDA-FBIMIBRVSA-N 0 3 240.372 2.670 20 0 BFADHN CN(C)Cc1cccc(-c2ccns2)c1 ZINC000629705816 361641744 /nfs/dbraw/zinc/64/17/44/361641744.db2.gz AIOVSRAHUYYZDW-UHFFFAOYSA-N 0 3 218.325 2.872 20 0 BFADHN Cn1cncc1CN1CC[C@@H](CC(C)(C)C)C1 ZINC000448555233 201258562 /nfs/dbraw/zinc/25/85/62/201258562.db2.gz QEAJJZNJXSVEQR-LBPRGKRZSA-N 0 3 235.375 2.678 20 0 BFADHN Cn1cncc1CN1CC[C@H](CC(C)(C)C)C1 ZINC000448555232 201258768 /nfs/dbraw/zinc/25/87/68/201258768.db2.gz QEAJJZNJXSVEQR-GFCCVEGCSA-N 0 3 235.375 2.678 20 0 BFADHN CC[C@H](N[C@@H](C)C1CCC1)c1ccn(C)n1 ZINC000310000239 491159653 /nfs/dbraw/zinc/15/96/53/491159653.db2.gz DOTDSLCNZTYMHY-JQWIXIFHSA-N 0 3 221.348 2.649 20 0 BFADHN CCc1ccc(-c2cnn(CCN(C)C)c2)cc1 ZINC000629710552 361646057 /nfs/dbraw/zinc/64/60/57/361646057.db2.gz HZAXYVJJYYJWNI-UHFFFAOYSA-N 0 3 243.354 2.674 20 0 BFADHN CC(C)Cn1nccc1CN[C@]12C[C@H]1CCCC2 ZINC000631227600 361665965 /nfs/dbraw/zinc/66/59/65/361665965.db2.gz ROUHJBFPFOEXTN-UKRRQHHQSA-N 0 3 247.386 2.961 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2C[C@H](C)O[C@@H]2C)s1 ZINC000631227759 361666506 /nfs/dbraw/zinc/66/65/06/361666506.db2.gz LADQLANCPWWNKF-WYOJIJJFSA-N 0 3 240.372 2.668 20 0 BFADHN CN[C@H](CO)c1cccc(-c2ccc(C)o2)c1 ZINC000629716298 361657981 /nfs/dbraw/zinc/65/79/81/361657981.db2.gz IKMFNUXBDVUXEG-CYBMUJFWSA-N 0 3 231.295 2.508 20 0 BFADHN c1ncc(CN[C@@]23C[C@@H]2CCCC3)s1 ZINC000631227182 361660742 /nfs/dbraw/zinc/66/07/42/361660742.db2.gz PZKFBTDXHRHJIH-ONGXEEELSA-N 0 3 208.330 2.565 20 0 BFADHN COc1ccc(CNc2cc(C)cc(C)n2)cn1 ZINC000436015848 201306856 /nfs/dbraw/zinc/30/68/56/201306856.db2.gz IWMGKPKVCHHHFQ-UHFFFAOYSA-N 0 3 243.310 2.714 20 0 BFADHN Fc1cccc2[nH]c(CNC[C@@H]3CCCO3)cc21 ZINC000436273563 201319647 /nfs/dbraw/zinc/31/96/47/201319647.db2.gz VYAMFUBLHIVXFJ-NSHDSACASA-N 0 3 248.301 2.576 20 0 BFADHN Cc1ccc(CNCCc2ccccc2F)cn1 ZINC000155350991 201324389 /nfs/dbraw/zinc/32/43/89/201324389.db2.gz IFIGYWWOIFGIJD-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1cc2c(cccc2F)[nH]1 ZINC000436414348 201324684 /nfs/dbraw/zinc/32/46/84/201324684.db2.gz SITGZFHHNFAHCA-NOZJJQNGSA-N 0 3 248.301 2.574 20 0 BFADHN CCC[C@H](N[C@H]1CCOC1)c1cc(C)ccn1 ZINC000631598815 361691121 /nfs/dbraw/zinc/69/11/21/361691121.db2.gz FLNAUJUTWHABDX-STQMWFEESA-N 0 3 234.343 2.610 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@@H]1CCC(F)(F)C1 ZINC000310548325 491161985 /nfs/dbraw/zinc/16/19/85/491161985.db2.gz FOBJELXIVYIPMA-WCBMZHEXSA-N 0 3 243.301 2.567 20 0 BFADHN C[C@H](c1cccnc1)N(C)CC[C@H]1CCOC1 ZINC000437983634 201379923 /nfs/dbraw/zinc/37/99/23/201379923.db2.gz HOFCBYBOPIOBQB-OLZOCXBDSA-N 0 3 234.343 2.501 20 0 BFADHN C[C@@H](CC(=O)NC[C@H](C)N(C)C)CC(C)(C)C ZINC000614639295 363913289 /nfs/dbraw/zinc/91/32/89/363913289.db2.gz WLXCDTINQLMVOB-RYUDHWBXSA-N 0 3 242.407 2.515 20 0 BFADHN CC(C)c1cccc(NC(=O)CNC2CC2)c1 ZINC000037395401 363887706 /nfs/dbraw/zinc/88/77/06/363887706.db2.gz HUIXISUHXKGRNT-UHFFFAOYSA-N 0 3 232.327 2.501 20 0 BFADHN C[C@@H](NCCCCF)c1cn2ccccc2n1 ZINC000514798136 370698779 /nfs/dbraw/zinc/69/87/79/370698779.db2.gz JCKPVKQNFRGLRC-LLVKDONJSA-N 0 3 235.306 2.735 20 0 BFADHN CC(C)OCCN1CCc2ccccc2CC1 ZINC000116300144 370752963 /nfs/dbraw/zinc/75/29/63/370752963.db2.gz XBNBLIUMCUBGGR-UHFFFAOYSA-N 0 3 233.355 2.512 20 0 BFADHN CC1(C)CC(Cn2cc3c(n2)[C@@H](N)CCC3)C1 ZINC000630504320 364191720 /nfs/dbraw/zinc/19/17/20/364191720.db2.gz OCIYOOPVEOVEFG-LBPRGKRZSA-N 0 3 233.359 2.655 20 0 BFADHN CC[C@@H](C)CNCc1cc(C(C)C)no1 ZINC000093075368 541346656 /nfs/dbraw/zinc/34/66/56/541346656.db2.gz SLRBJNBDJMEFBQ-SNVBAGLBSA-N 0 3 210.321 2.934 20 0 BFADHN CC[C@](C)(N)c1cn([C@H]2CCC(C)(C)C2)nn1 ZINC000630604147 364327395 /nfs/dbraw/zinc/32/73/95/364327395.db2.gz PRXVKMIJKUPJIZ-GWCFXTLKSA-N 0 3 236.363 2.613 20 0 BFADHN C(CNCc1nc2c(s1)CCC2)CC1CC1 ZINC000128960713 541351746 /nfs/dbraw/zinc/35/17/46/541351746.db2.gz DKVWRVAUNYZYSN-UHFFFAOYSA-N 0 3 236.384 2.912 20 0 BFADHN C[C@@H](NC[C@@H](C)C1CC1)c1nccs1 ZINC000161537289 541354478 /nfs/dbraw/zinc/35/44/78/541354478.db2.gz NIQAAMNYFMZEBM-RKDXNWHRSA-N 0 3 210.346 2.840 20 0 BFADHN C[C@H](CN[C@@H](C)c1nccs1)C1CC1 ZINC000161537044 541354507 /nfs/dbraw/zinc/35/45/07/541354507.db2.gz NIQAAMNYFMZEBM-BDAKNGLRSA-N 0 3 210.346 2.840 20 0 BFADHN CCc1nnc(CN2[C@H](C)C[C@H]3CCCC[C@@H]32)[nH]1 ZINC000181720162 366841476 /nfs/dbraw/zinc/84/14/76/366841476.db2.gz JQKKMYLEXDGBJP-UTUOFQBUSA-N 0 3 248.374 2.520 20 0 BFADHN CCc1nnc(C[N@@H+]2[C@H](C)C[C@H]3CCCC[C@@H]32)[n-]1 ZINC000181720162 366841480 /nfs/dbraw/zinc/84/14/80/366841480.db2.gz JQKKMYLEXDGBJP-UTUOFQBUSA-N 0 3 248.374 2.520 20 0 BFADHN CCc1nnc(C[N@H+]2[C@H](C)C[C@H]3CCCC[C@@H]32)[n-]1 ZINC000181720162 366841483 /nfs/dbraw/zinc/84/14/83/366841483.db2.gz JQKKMYLEXDGBJP-UTUOFQBUSA-N 0 3 248.374 2.520 20 0 BFADHN CCC[C@H](NCc1cc(C)ncn1)C1CCC1 ZINC000453179843 202705285 /nfs/dbraw/zinc/70/52/85/202705285.db2.gz PLWORCALHYRMLS-AWEZNQCLSA-N 0 3 233.359 2.843 20 0 BFADHN COCCN[C@H](c1ccc(F)cc1F)C(C)C ZINC000181638656 366835914 /nfs/dbraw/zinc/83/59/14/366835914.db2.gz GKBWJRVRUZHTRP-ZDUSSCGKSA-N 0 3 243.297 2.898 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1nnc(C)s1 ZINC000134723076 364412534 /nfs/dbraw/zinc/41/25/34/364412534.db2.gz RLQKJTIJMYZLOU-VHSXEESVSA-N 0 3 225.361 2.515 20 0 BFADHN C[C@H](CC(F)(F)F)NCc1cncs1 ZINC000134955495 364420519 /nfs/dbraw/zinc/42/05/19/364420519.db2.gz CYQCSLFALVSZOE-ZCFIWIBFSA-N 0 3 224.251 2.574 20 0 BFADHN CC[C@@H](C)CN[C@@H](C)c1nnc(C)s1 ZINC000135000240 364422278 /nfs/dbraw/zinc/42/22/78/364422278.db2.gz FXNBEYVHBILEDH-SFYZADRCSA-N 0 3 213.350 2.543 20 0 BFADHN CC1(C)[C@@H](NCc2ccoc2)[C@@H]2CCCO[C@@H]21 ZINC000135201212 364431613 /nfs/dbraw/zinc/43/16/13/364431613.db2.gz ZJGYHEOHYXOIQB-AVGNSLFASA-N 0 3 235.327 2.573 20 0 BFADHN C[C@H](NCC1(O)CCC(C)CC1)c1ccco1 ZINC000087044781 541371698 /nfs/dbraw/zinc/37/16/98/541371698.db2.gz IIWBOZBJRBBKFW-LXVYMNJGSA-N 0 3 237.343 2.871 20 0 BFADHN CCOC(=O)[C@H]1CCCN1C[C@H](C)C(C)(C)C ZINC000619845105 364657857 /nfs/dbraw/zinc/65/78/57/364657857.db2.gz LCGJHBMBPRHXQI-NWDGAFQWSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C2CC2)C2CCC2)nn1C ZINC000453409738 202766052 /nfs/dbraw/zinc/76/60/52/202766052.db2.gz GGAUNNNHPAOSPL-IAQYHMDHSA-N 0 3 247.386 2.958 20 0 BFADHN COc1cc(CN[C@@H](C)CC(C)C)sn1 ZINC000399037970 364737158 /nfs/dbraw/zinc/73/71/58/364737158.db2.gz BIFPFKKSOPITEE-VIFPVBQESA-N 0 3 228.361 2.676 20 0 BFADHN CCCC[C@H](CCC)NCc1ncnn1CC ZINC000233005492 541376213 /nfs/dbraw/zinc/37/62/13/541376213.db2.gz KKZANNYJWWNFTA-LBPRGKRZSA-N 0 3 238.379 2.747 20 0 BFADHN C[C@@H]1C[C@H](C)[C@H](C)N(Cc2ccc(CO)o2)C1 ZINC000167595461 364799432 /nfs/dbraw/zinc/79/94/32/364799432.db2.gz RMTBWHMBYQOBEM-WOPDTQHZSA-N 0 3 237.343 2.638 20 0 BFADHN CCc1ccc(CN2CC[C@H](O)[C@H](C)C2)s1 ZINC000164666221 364772979 /nfs/dbraw/zinc/77/29/79/364772979.db2.gz YBRQJGIYOLRQFY-MFKMUULPSA-N 0 3 239.384 2.513 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1(C)C)c1ccsc1 ZINC000296477787 364784524 /nfs/dbraw/zinc/78/45/24/364784524.db2.gz OCQXNNJGYLPLBI-MWLCHTKSSA-N 0 3 225.357 2.966 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H]1C[C@H](C)O[C@H]1C)CO2 ZINC000573555434 364859395 /nfs/dbraw/zinc/85/93/95/364859395.db2.gz ZORUXVDAPOLUQR-CDGCEXEKSA-N 0 3 247.338 2.584 20 0 BFADHN C[C@H](c1ccncc1)N(C)C[C@H]1CCCC[C@@H]1O ZINC000328170219 364814380 /nfs/dbraw/zinc/81/43/80/364814380.db2.gz JUUQMIQOLHDVBZ-YUELXQCFSA-N 0 3 248.370 2.626 20 0 BFADHN CCc1cc(CN[C@@H](C(C)C)C2CC2)on1 ZINC000168175742 364877174 /nfs/dbraw/zinc/87/71/74/364877174.db2.gz CYFQDXJSKRULRC-ZDUSSCGKSA-N 0 3 222.332 2.761 20 0 BFADHN CCc1cc(CN[C@H]2CCC[C@@H]2CC)on1 ZINC000168177028 364877221 /nfs/dbraw/zinc/87/72/21/364877221.db2.gz YFDBDESIPZYCMI-GWCFXTLKSA-N 0 3 222.332 2.905 20 0 BFADHN C[C@H](N[C@@H](C)C1CCCCC1)c1nccc(N)n1 ZINC000573673518 364889445 /nfs/dbraw/zinc/88/94/45/364889445.db2.gz TZYRNFTYUWSAAD-QWRGUYRKSA-N 0 3 248.374 2.509 20 0 BFADHN C1=C[C@H](N2CCOC[C@H]2C2CCC2)CCC1 ZINC000573799427 364917656 /nfs/dbraw/zinc/91/76/56/364917656.db2.gz QWEDXLVKIUDBJN-KBPBESRZSA-N 0 3 221.344 2.596 20 0 BFADHN Cc1ccc(CN2C3CCC2CC3)cn1 ZINC000573894422 364938692 /nfs/dbraw/zinc/93/86/92/364938692.db2.gz QGIZBHPCOQBBAE-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN FC1(F)CCCC[C@H]1CN1CCCOCC1 ZINC000334653292 541416878 /nfs/dbraw/zinc/41/68/78/541416878.db2.gz FSOPJIBHGLORSC-NSHDSACASA-N 0 3 233.302 2.534 20 0 BFADHN Cc1nnsc1CN(C)[C@H]1CCC[C@H](C)C1 ZINC000574089159 364985028 /nfs/dbraw/zinc/98/50/28/364985028.db2.gz KNPUEJOSQJMWBW-ONGXEEELSA-N 0 3 239.388 2.857 20 0 BFADHN COC[C@@H](C)CN1CC(C)(CC(F)(F)F)C1 ZINC000574135825 364994365 /nfs/dbraw/zinc/99/43/65/364994365.db2.gz KJDHEGQXOSXRAY-VIFPVBQESA-N 0 3 239.281 2.543 20 0 BFADHN CC[C@H](C)CN(CCOC)Cc1cncs1 ZINC000574480906 365073000 /nfs/dbraw/zinc/07/30/00/365073000.db2.gz FMSZRMJTWDFSDA-NSHDSACASA-N 0 3 242.388 2.638 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2CO[C@@H](C)C2)c(C)c1 ZINC000574399980 365053710 /nfs/dbraw/zinc/05/37/10/365053710.db2.gz JFFAGUFUFRUKNZ-AVGNSLFASA-N 0 3 249.354 2.832 20 0 BFADHN Cc1cccc2nc(CNC3(C4CC4)CC3)cn21 ZINC000574440129 365062164 /nfs/dbraw/zinc/06/21/64/365062164.db2.gz OBFIODUZLLOLOE-UHFFFAOYSA-N 0 3 241.338 2.675 20 0 BFADHN C[C@@]1(CO)CCCN1Cc1cc(Cl)cs1 ZINC000574699706 365124687 /nfs/dbraw/zinc/12/46/87/365124687.db2.gz QIVYNEVDMBVYNE-NSHDSACASA-N 0 3 245.775 2.748 20 0 BFADHN C[C@]1(CO)CCCN1Cc1cc(Cl)cs1 ZINC000574699705 365125226 /nfs/dbraw/zinc/12/52/26/365125226.db2.gz QIVYNEVDMBVYNE-LLVKDONJSA-N 0 3 245.775 2.748 20 0 BFADHN CO[C@@H](C)CN[C@@H]1CCCc2ccc(F)cc21 ZINC000443197001 193015265 /nfs/dbraw/zinc/01/52/65/193015265.db2.gz MQFRAHPSWLNGNA-IINYFYTJSA-N 0 3 237.318 2.828 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN1CCOC[C@@H]1C ZINC000443421585 193021057 /nfs/dbraw/zinc/02/10/57/193021057.db2.gz YOFZRLBRRCIVHL-IHRRRGAJSA-N 0 3 223.360 2.700 20 0 BFADHN C[C@@H](O)[C@H]1C[C@H](C)CCN1Cc1ccsc1 ZINC000443791495 193029236 /nfs/dbraw/zinc/02/92/36/193029236.db2.gz QOWZBPJDAZLPNU-NQBHXWOUSA-N 0 3 239.384 2.729 20 0 BFADHN CCCN1CCN(Cc2sccc2C)CC1 ZINC000171909676 365254465 /nfs/dbraw/zinc/25/44/65/365254465.db2.gz DJEKBMIFHDRODJ-UHFFFAOYSA-N 0 3 238.400 2.584 20 0 BFADHN CC[C@H](F)CNC1(CC(=O)OC)CCCCC1 ZINC000575741703 365347737 /nfs/dbraw/zinc/34/77/37/365347737.db2.gz VPKKUGRZGQZIOU-NSHDSACASA-N 0 3 245.338 2.590 20 0 BFADHN Cc1nc(C)c(CNC2CC(F)(F)C2)s1 ZINC000336512481 365337130 /nfs/dbraw/zinc/33/71/30/365337130.db2.gz NKEBCHJLEBNQNA-UHFFFAOYSA-N 0 3 232.299 2.647 20 0 BFADHN C[C@@H]1CSCCN1CCOc1ccccc1 ZINC000172588586 365343958 /nfs/dbraw/zinc/34/39/58/365343958.db2.gz FMLOVWBNEPETOD-GFCCVEGCSA-N 0 3 237.368 2.503 20 0 BFADHN Cc1ccc(CCN2CCSC[C@@H]2C)cc1 ZINC000172594052 365345597 /nfs/dbraw/zinc/34/55/97/365345597.db2.gz QIOHKZYMQJPVPV-ZDUSSCGKSA-N 0 3 235.396 2.975 20 0 BFADHN CC1(C)CCCN1Cc1ccc2c(n1)CCC2 ZINC000575889033 365406952 /nfs/dbraw/zinc/40/69/52/365406952.db2.gz BETNIEKNHZWFPA-UHFFFAOYSA-N 0 3 230.355 2.945 20 0 BFADHN C[C@@H](O)[C@@H](NC1CC(C)C1)c1ccccc1F ZINC000576045929 365462972 /nfs/dbraw/zinc/46/29/72/365462972.db2.gz WHWLAJMIWQSENB-JDUQTJRRSA-N 0 3 237.318 2.636 20 0 BFADHN CCN1CCN(CCC2CCCCCC2)CC1 ZINC000575955597 365424700 /nfs/dbraw/zinc/42/47/00/365424700.db2.gz QDNDVRNWQKACBC-UHFFFAOYSA-N 0 3 238.419 2.984 20 0 BFADHN CCc1cccc(CNc2nccn2C)c1 ZINC000575993148 365440433 /nfs/dbraw/zinc/44/04/33/365440433.db2.gz VWOXXWNEEFEASG-UHFFFAOYSA-N 0 3 215.300 2.595 20 0 BFADHN CC/C=C\CCN1CCO[C@@H](CCC)C1 ZINC000341289670 365516850 /nfs/dbraw/zinc/51/68/50/365516850.db2.gz HGCPNLMVVFAJCG-SZZPACECSA-N 0 3 211.349 2.844 20 0 BFADHN CC(C)[C@H]1CC[C@H]1NCc1ncccc1N(C)C ZINC000353654024 541484640 /nfs/dbraw/zinc/48/46/40/541484640.db2.gz ZIVMXQRMJIYVNF-CHWSQXEVSA-N 0 3 247.386 2.672 20 0 BFADHN CC[C@H]1CCC[C@H](CN2CCOCC2)C1 ZINC000182928943 366957894 /nfs/dbraw/zinc/95/78/94/366957894.db2.gz BXBLRBWZNSKDRT-STQMWFEESA-N 0 3 211.349 2.535 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnn(CC(C)C)c1 ZINC000183063757 366972581 /nfs/dbraw/zinc/97/25/81/366972581.db2.gz VWAOXYXYYNQMKZ-GFCCVEGCSA-N 0 3 223.364 2.769 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H]1CCC(F)(F)C1 ZINC000383757949 541514131 /nfs/dbraw/zinc/51/41/31/541514131.db2.gz GBOARFUBQFJZCC-NXEZZACHSA-N 0 3 241.285 2.623 20 0 BFADHN CC(C)Cn1cc(CN2CC[C@H](C)[C@H]2C)cn1 ZINC000183403395 367021347 /nfs/dbraw/zinc/02/13/47/367021347.db2.gz VJNGYNSSFJICGQ-QWHCGFSZSA-N 0 3 235.375 2.769 20 0 BFADHN CC(C)Cn1cc(CN2[C@H](C)CC[C@H]2C)cn1 ZINC000183411299 367022233 /nfs/dbraw/zinc/02/22/33/367022233.db2.gz HAWIVFWCWVAHIL-CHWSQXEVSA-N 0 3 235.375 2.912 20 0 BFADHN CC(C)Cn1cc(CN2CCCC2(C)C)cn1 ZINC000183228169 367004226 /nfs/dbraw/zinc/00/42/26/367004226.db2.gz XKBZQUAIDZMLKF-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN CC[C@H](NCC1(C(C)C)CC1)c1nccn1C ZINC000183323792 367015765 /nfs/dbraw/zinc/01/57/65/367015765.db2.gz YIQVBJUVQRBIKM-LBPRGKRZSA-N 0 3 235.375 2.897 20 0 BFADHN Cn1ccnc1[C@@H](NCC1(C)CCC1)C1CC1 ZINC000183338225 367017292 /nfs/dbraw/zinc/01/72/92/367017292.db2.gz XPIVFCOHYYVIRO-LBPRGKRZSA-N 0 3 233.359 2.651 20 0 BFADHN FC1(CN2C[C@H](C3CC3)[C@H]2C2CC2)CC1 ZINC000579917415 367080056 /nfs/dbraw/zinc/08/00/56/367080056.db2.gz FTLQVBOOOZLIJM-VXGBXAGGSA-N 0 3 209.308 2.609 20 0 BFADHN CCCC[C@@H](CC)CN(CC)CC(=O)NCC ZINC000183587683 367030729 /nfs/dbraw/zinc/03/07/29/367030729.db2.gz BLSNFCXMVQSSHD-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CCC1(CC)CN(C2CCCC2)CC[S@]1=O ZINC000185291537 367128154 /nfs/dbraw/zinc/12/81/54/367128154.db2.gz VNJVFWKLGWOLCH-MRXNPFEDSA-N 0 3 243.416 2.552 20 0 BFADHN CCCC[C@@H](NCc1nccc(N)n1)C1CCC1 ZINC000184878587 367105818 /nfs/dbraw/zinc/10/58/18/367105818.db2.gz KKIIDYNGKHITSN-GFCCVEGCSA-N 0 3 248.374 2.507 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](c1cccnc1)C1CCC1 ZINC000580749638 367154264 /nfs/dbraw/zinc/15/42/64/367154264.db2.gz SQXMXFUWJRHWOQ-KFWWJZLASA-N 0 3 246.354 2.690 20 0 BFADHN COCC1(NC/C(C)=C/c2ccccc2)CC1 ZINC000580964318 367221522 /nfs/dbraw/zinc/22/15/22/367221522.db2.gz XYAGJPGRBXWQOA-JLHYYAGUSA-N 0 3 231.339 2.859 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccc(SC)cc1 ZINC000193899745 367225620 /nfs/dbraw/zinc/22/56/20/367225620.db2.gz VGZNYRYJZIVOCX-LLVKDONJSA-N 0 3 239.384 2.875 20 0 BFADHN CC(C)O[C@@H]1CCN(CCOc2ccccc2)C1 ZINC000581308177 367336713 /nfs/dbraw/zinc/33/67/13/367336713.db2.gz ZVEDAKPXFCFWHU-OAHLLOKOSA-N 0 3 249.354 2.565 20 0 BFADHN C=Cn1cc(CNCC(C)(C)C(F)(F)F)cn1 ZINC000581263396 367314874 /nfs/dbraw/zinc/31/48/74/367314874.db2.gz JUGLSQPXIPCSNE-UHFFFAOYSA-N 0 3 247.264 2.662 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1csc(C)c1 ZINC000381299532 367377159 /nfs/dbraw/zinc/37/71/59/367377159.db2.gz QLKJKJOQETXYOE-NXEZZACHSA-N 0 3 213.346 2.570 20 0 BFADHN CCc1cc(CN[C@H]2CCC23CCC3)on1 ZINC000381324222 367380887 /nfs/dbraw/zinc/38/08/87/367380887.db2.gz QCGJIRLCJRGXRQ-LBPRGKRZSA-N 0 3 220.316 2.659 20 0 BFADHN FC1(CN[C@H]2C[C@H]2Cc2ccccc2)CC1 ZINC000381355259 367386478 /nfs/dbraw/zinc/38/64/78/367386478.db2.gz CXLSWOXNPCPOMO-OLZOCXBDSA-N 0 3 219.303 2.709 20 0 BFADHN Fc1ccc(CNC2(C3CC3)CC2)cc1F ZINC000381479610 367416444 /nfs/dbraw/zinc/41/64/44/367416444.db2.gz CDEDSKVFQVUTNG-UHFFFAOYSA-N 0 3 223.266 2.997 20 0 BFADHN CCc1nc(CN[C@H]2CCC[C@@H]3C[C@@H]32)cs1 ZINC000382383391 367513572 /nfs/dbraw/zinc/51/35/72/367513572.db2.gz KDNQBAVATAYFDL-USWWRNFRSA-N 0 3 236.384 2.984 20 0 BFADHN Cc1nc([C@H](C)NC[C@@H](C)CCCO)cs1 ZINC000384443295 367691580 /nfs/dbraw/zinc/69/15/80/367691580.db2.gz YQKOYGOKEXNHHB-UWVGGRQHSA-N 0 3 242.388 2.511 20 0 BFADHN Cc1cccc(CN[C@H]2CCO[C@@H]2C2CC2)c1F ZINC000384510943 367694760 /nfs/dbraw/zinc/69/47/60/367694760.db2.gz TWQVFUWSNVJZFN-DZGCQCFKSA-N 0 3 249.329 2.791 20 0 BFADHN C[C@@H](CC(C)(C)C)NCc1ccc(CO)o1 ZINC000384508732 367694877 /nfs/dbraw/zinc/69/48/77/367694877.db2.gz GHARLNAMWYJKLF-JTQLQIEISA-N 0 3 225.332 2.686 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@H](C)c1cnccn1 ZINC000384511833 367694944 /nfs/dbraw/zinc/69/49/44/367694944.db2.gz HKMMUUNVHSWYKO-GHMZBOCLSA-N 0 3 221.348 2.952 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@@H](C)c1cnccn1 ZINC000384511834 367695351 /nfs/dbraw/zinc/69/53/51/367695351.db2.gz HKMMUUNVHSWYKO-MNOVXSKESA-N 0 3 221.348 2.952 20 0 BFADHN Cc1cccc(CN[C@H]2CCO[C@H]2C2CC2)c1F ZINC000384510946 367695653 /nfs/dbraw/zinc/69/56/53/367695653.db2.gz TWQVFUWSNVJZFN-ZFWWWQNUSA-N 0 3 249.329 2.791 20 0 BFADHN C[C@H](N[C@H]1CCC1(C)C)C(=O)Nc1ccccc1 ZINC000384983430 367769769 /nfs/dbraw/zinc/76/97/69/367769769.db2.gz ZMPOTTHHONTUTO-AAEUAGOBSA-N 0 3 246.354 2.792 20 0 BFADHN CSCCN[C@@H](C)c1cc(F)ccc1F ZINC000068993729 370869692 /nfs/dbraw/zinc/86/96/92/370869692.db2.gz CYJPHSQIGLTBKW-QMMMGPOBSA-N 0 3 231.311 2.978 20 0 BFADHN C/C=C/CNCc1cc(Cl)cc2c1OCC2 ZINC000384888177 367750429 /nfs/dbraw/zinc/75/04/29/367750429.db2.gz SQGQPTNUBHVXHC-NSCUHMNNSA-N 0 3 237.730 2.941 20 0 BFADHN Cc1cnccc1CN[C@H](C)CC(F)(F)F ZINC000384884353 367751126 /nfs/dbraw/zinc/75/11/26/367751126.db2.gz VVIIOBYRWACFFB-SECBINFHSA-N 0 3 232.249 2.821 20 0 BFADHN C[C@@H](N[C@H]1CCC1(C)C)C(=O)Nc1ccccc1 ZINC000384983433 367769451 /nfs/dbraw/zinc/76/94/51/367769451.db2.gz ZMPOTTHHONTUTO-YPMHNXCESA-N 0 3 246.354 2.792 20 0 BFADHN CC(C)(CN[C@@H]1CCn2ccnc21)C1CCC1 ZINC000385053854 367781067 /nfs/dbraw/zinc/78/10/67/367781067.db2.gz RNBWTAYPOIIHNG-GFCCVEGCSA-N 0 3 233.359 2.744 20 0 BFADHN Cc1cscc1CN[C@@H]1C[C@H](C)O[C@H]1C ZINC000385139622 367801487 /nfs/dbraw/zinc/80/14/87/367801487.db2.gz LXBPRVQJNLEINK-JBLDHEPKSA-N 0 3 225.357 2.712 20 0 BFADHN CCCN1CCC=C(c2ccccn2)C1 ZINC000581862575 367851755 /nfs/dbraw/zinc/85/17/55/367851755.db2.gz JBPRVFCBFGRSIK-UHFFFAOYSA-N 0 3 202.301 2.581 20 0 BFADHN CC(=O)CSC[C@@H]1CCCCN1C(C)C ZINC000581871296 367859993 /nfs/dbraw/zinc/85/99/93/367859993.db2.gz YJHGPDCJGDPEGE-LBPRGKRZSA-N 0 3 229.389 2.572 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cnn(C(C)C)c1 ZINC000385782682 367864522 /nfs/dbraw/zinc/86/45/22/367864522.db2.gz CNJNGRQKFFIRJA-ZIAGYGMSSA-N 0 3 235.375 2.988 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@H](C)n3ccnc32)[C@@H]1C ZINC000385883096 367875360 /nfs/dbraw/zinc/87/53/60/367875360.db2.gz BVEQCCRTIJUYEC-CSYMLDBXSA-N 0 3 219.332 2.523 20 0 BFADHN Cc1nn(C)c(C)c1CN[C@@H]1C[C@H]1CC(C)C ZINC000385890884 367876645 /nfs/dbraw/zinc/87/66/45/367876645.db2.gz ZDZPDLZZXVNXMP-TZMCWYRMSA-N 0 3 235.375 2.561 20 0 BFADHN CC[C@H](N[C@@H]1CCC[C@@H](F)C1)c1ccn(C)n1 ZINC000566221754 326017085 /nfs/dbraw/zinc/01/70/85/326017085.db2.gz QQPZLMFLGDYEMM-UTUOFQBUSA-N 0 3 239.338 2.742 20 0 BFADHN C[C@@H](N[C@@H](CO)C1CCCCC1)c1ccco1 ZINC000386073774 367909704 /nfs/dbraw/zinc/90/97/04/367909704.db2.gz AWYHQHRVQKFRHR-YPMHNXCESA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H](N[C@H](CO)C1CCCCC1)c1ccoc1 ZINC000386325913 367951808 /nfs/dbraw/zinc/95/18/08/367951808.db2.gz ZFNGIXPHFFYVBN-BXUZGUMPSA-N 0 3 237.343 2.871 20 0 BFADHN CC[C@H](N[C@H](C)c1ccc(F)cc1F)[C@H](C)O ZINC000386960300 368039481 /nfs/dbraw/zinc/03/94/81/368039481.db2.gz YYLXURSAGQQRMY-ZDMBXUJBSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H](c2ccccn2)C2CCC2)CO1 ZINC000623638805 368060867 /nfs/dbraw/zinc/06/08/67/368060867.db2.gz SKWAKWDLTBLODS-KYOSRNDESA-N 0 3 246.354 2.690 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H](c2ccccn2)C2CCC2)CO1 ZINC000623638807 368061025 /nfs/dbraw/zinc/06/10/25/368061025.db2.gz SKWAKWDLTBLODS-UXIGCNINSA-N 0 3 246.354 2.690 20 0 BFADHN COC(=O)c1cccc(CN2C[C@@H](C)C[C@H]2C)c1 ZINC000581973845 368029865 /nfs/dbraw/zinc/02/98/65/368029865.db2.gz FTTBDLKGICOAOS-NWDGAFQWSA-N 0 3 247.338 2.704 20 0 BFADHN COc1ccccc1[C@@H](C)NCC1(CCO)CC1 ZINC000157498439 201574600 /nfs/dbraw/zinc/57/46/00/201574600.db2.gz CNHOKCJDBLASDI-GFCCVEGCSA-N 0 3 249.354 2.508 20 0 BFADHN Cc1cccc(CN2CCN(CC(C)C)CC2)c1 ZINC000039971516 368275811 /nfs/dbraw/zinc/27/58/11/368275811.db2.gz QPBSKRCUQQJYMP-UHFFFAOYSA-N 0 3 246.398 2.769 20 0 BFADHN COc1cc(CN[C@@]2(C)CCO[C@H]2C)ccc1C ZINC000446752448 201691889 /nfs/dbraw/zinc/69/18/89/201691889.db2.gz OSXHJVNQUSGNFW-WFASDCNBSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccc(C)cc1CN(C)C1CC1 ZINC000046601994 368447850 /nfs/dbraw/zinc/44/78/50/368447850.db2.gz OVXXLNKSMANDNQ-UHFFFAOYSA-N 0 3 205.301 2.598 20 0 BFADHN C[C@@H](CN(C)Cc1cnc(N)s1)C(C)(C)C ZINC000399636119 368432226 /nfs/dbraw/zinc/43/22/26/368432226.db2.gz IIOOFCFEOANTIZ-VIFPVBQESA-N 0 3 241.404 2.670 20 0 BFADHN C[C@H](CN(C)Cc1cccnc1N)C(C)(C)C ZINC000399684455 368475966 /nfs/dbraw/zinc/47/59/66/368475966.db2.gz WMJYXPNRKDQPPC-LLVKDONJSA-N 0 3 235.375 2.778 20 0 BFADHN CC[C@@H](C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000047453904 368461968 /nfs/dbraw/zinc/46/19/68/368461968.db2.gz RGMAKICGQLKURM-LLVKDONJSA-N 0 3 234.343 2.733 20 0 BFADHN CC(C)n1ncnc1CN[C@H]1CC[C@H](C)CC1 ZINC000253106276 368491315 /nfs/dbraw/zinc/49/13/15/368491315.db2.gz BJLPQQCQPQTDPM-HAQNSBGRSA-N 0 3 236.363 2.527 20 0 BFADHN Cc1ccc(C[C@@H](C)NCc2cnccn2)s1 ZINC000080297406 368492088 /nfs/dbraw/zinc/49/20/88/368492088.db2.gz QGLAYKATLNJOOH-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN CCOCCN1CCC[C@@H]1c1ccc(OC)cc1 ZINC000057566785 368494756 /nfs/dbraw/zinc/49/47/56/368494756.db2.gz XGVBUJCCGFWVNG-OAHLLOKOSA-N 0 3 249.354 2.869 20 0 BFADHN CCCN(CC)Cc1cccc2c1OCCO2 ZINC000058933908 368526247 /nfs/dbraw/zinc/52/62/47/368526247.db2.gz AMZJPWRCLZWVNZ-UHFFFAOYSA-N 0 3 235.327 2.690 20 0 BFADHN CCCCN(CCOC)Cc1ccco1 ZINC000060996436 368558606 /nfs/dbraw/zinc/55/86/06/368558606.db2.gz FLDYBCAOBLXXQQ-UHFFFAOYSA-N 0 3 211.305 2.528 20 0 BFADHN C[C@H](CN(C)Cc1ccno1)c1ccccc1 ZINC000066854595 368664509 /nfs/dbraw/zinc/66/45/09/368664509.db2.gz OYCVBEAEOUSPKX-GFCCVEGCSA-N 0 3 230.311 2.910 20 0 BFADHN CC1CCN(Cc2cccc3c2OCCO3)CC1 ZINC000064431109 368605462 /nfs/dbraw/zinc/60/54/62/368605462.db2.gz PJOPEQAPPRKUHT-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN CCCCN(C(=O)CNC(C)C)c1ccccc1 ZINC000081581265 368608681 /nfs/dbraw/zinc/60/86/81/368608681.db2.gz FQVJISOMODFMLK-UHFFFAOYSA-N 0 3 248.370 2.818 20 0 BFADHN Cc1scc(CNC[C@H]2CCCO2)c1C ZINC000399826540 368610676 /nfs/dbraw/zinc/61/06/76/368610676.db2.gz JWWZRRLCUAWCNZ-GFCCVEGCSA-N 0 3 225.357 2.634 20 0 BFADHN CC(C)CN(C)Cc1nc2ccccc2o1 ZINC000065962371 368638012 /nfs/dbraw/zinc/63/80/12/368638012.db2.gz NLUFRTANZPYHIL-UHFFFAOYSA-N 0 3 218.300 2.916 20 0 BFADHN Cc1cnc(CN2[C@H](C)CCC[C@H]2C)cn1 ZINC000066531796 368652381 /nfs/dbraw/zinc/65/23/81/368652381.db2.gz LEYMZPFQNZMGFN-VXGBXAGGSA-N 0 3 219.332 2.548 20 0 BFADHN CCN(CC(=O)NC(C)(C)CC)[C@@H](C)C(C)C ZINC000067661001 368695108 /nfs/dbraw/zinc/69/51/08/368695108.db2.gz PFBDNYCAEGUQSI-LBPRGKRZSA-N 0 3 242.407 2.658 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2cnccn2)C1 ZINC000082847496 368718077 /nfs/dbraw/zinc/71/80/77/368718077.db2.gz LZIISYYLJRDWFU-VXGBXAGGSA-N 0 3 219.332 2.535 20 0 BFADHN Cc1ccccc1NC(=O)[C@@H](C)N(C)CC(C)C ZINC000068334981 368723642 /nfs/dbraw/zinc/72/36/42/368723642.db2.gz GRAJUUZAWCVXOB-CYBMUJFWSA-N 0 3 248.370 2.910 20 0 BFADHN CN(CCCO)Cc1ccc(C(F)(F)F)cc1 ZINC000067130408 368679536 /nfs/dbraw/zinc/67/95/36/368679536.db2.gz QWARNFLAFRMYBZ-UHFFFAOYSA-N 0 3 247.260 2.520 20 0 BFADHN CC(C)[C@H](C(=O)N[C@H](C)CC(C)(C)C)N(C)C ZINC000457245816 203025337 /nfs/dbraw/zinc/02/53/37/203025337.db2.gz ZHWIMVWWQCYFEH-VXGBXAGGSA-N 0 3 242.407 2.514 20 0 BFADHN CCN(CC)[C@H]1CCCN(c2ccccc2)C1=O ZINC000072557596 368774208 /nfs/dbraw/zinc/77/42/08/368774208.db2.gz ILQHWLBUQQRDSR-AWEZNQCLSA-N 0 3 246.354 2.524 20 0 BFADHN CCC(C)(C)N(C)CC(=O)N(C)c1ccccc1 ZINC000074320664 368838078 /nfs/dbraw/zinc/83/80/78/368838078.db2.gz TUXVHYNDFDXGCR-UHFFFAOYSA-N 0 3 248.370 2.770 20 0 BFADHN Cc1nc(CN[C@H](C)C(C)(C)C)cs1 ZINC000112375896 368870867 /nfs/dbraw/zinc/87/08/67/368870867.db2.gz UDIFCHPYPAGUDV-MRVPVSSYSA-N 0 3 212.362 2.976 20 0 BFADHN CCCc1ccc(CNCCn2cccn2)cc1 ZINC000616029573 368901544 /nfs/dbraw/zinc/90/15/44/368901544.db2.gz MDTLGUMKSCTIDL-UHFFFAOYSA-N 0 3 243.354 2.625 20 0 BFADHN CO[C@H]1C[C@H](NCc2sccc2Cl)C1 ZINC000623808324 368934142 /nfs/dbraw/zinc/93/41/42/368934142.db2.gz MHBAGLGTROAMGK-ZKCHVHJHSA-N 0 3 231.748 2.669 20 0 BFADHN C[C@@H](NCc1cn2ccccc2n1)C(C)(C)C ZINC000114473544 368940738 /nfs/dbraw/zinc/94/07/38/368940738.db2.gz ZTWRZBHJXBFFBZ-LLVKDONJSA-N 0 3 231.343 2.859 20 0 BFADHN CCCCN(C)CCc1c(C)noc1C ZINC000164607545 203211578 /nfs/dbraw/zinc/21/15/78/203211578.db2.gz YRFPSPSGCWUJEC-UHFFFAOYSA-N 0 3 210.321 2.566 20 0 BFADHN CCCN(CC)Cc1cnc(C2CC2)nc1 ZINC000459275855 203246515 /nfs/dbraw/zinc/24/65/15/203246515.db2.gz FDYHKYDBGNWGQP-UHFFFAOYSA-N 0 3 219.332 2.586 20 0 BFADHN CCCN(Cc1cnc(C2CC2)nc1)CC1CC1 ZINC000459287657 203248606 /nfs/dbraw/zinc/24/86/06/203248606.db2.gz YULAVCIPLQYOKD-UHFFFAOYSA-N 0 3 245.370 2.976 20 0 BFADHN CC[C@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)CCO1 ZINC000459298793 203249301 /nfs/dbraw/zinc/24/93/01/203249301.db2.gz BELNOTHDROPTHC-JYJNAYRXSA-N 0 3 245.366 2.901 20 0 BFADHN CCCN(Cc1cnc(C2CC2)nc1)C1CC1 ZINC000459302990 203252220 /nfs/dbraw/zinc/25/22/20/203252220.db2.gz NVKJRXRJHZGHGH-UHFFFAOYSA-N 0 3 231.343 2.728 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1CCn1cc(Cl)cn1 ZINC000459401047 203275066 /nfs/dbraw/zinc/27/50/66/203275066.db2.gz CEJYMIATXMSISS-CMPLNLGQSA-N 0 3 241.766 2.657 20 0 BFADHN CCC[C@@H](C)[N@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459320241 203254997 /nfs/dbraw/zinc/25/49/97/203254997.db2.gz XXXLOXRCADZTMY-SNVBAGLBSA-N 0 3 249.358 2.680 20 0 BFADHN CCC[C@@H](C)[N@@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459320241 203254999 /nfs/dbraw/zinc/25/49/99/203254999.db2.gz XXXLOXRCADZTMY-SNVBAGLBSA-N 0 3 249.358 2.680 20 0 BFADHN Cc1occc1CN(C)C1CC(OC(C)C)C1 ZINC000459314126 203255986 /nfs/dbraw/zinc/25/59/86/203255986.db2.gz YZZWKWCMFBAKOI-UHFFFAOYSA-N 0 3 237.343 2.976 20 0 BFADHN Cc1cc(CNc2cccc(CN(C)C)c2)no1 ZINC000459338775 203260097 /nfs/dbraw/zinc/26/00/97/203260097.db2.gz GPVLENWJURUYJU-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN CO[C@@H]1CCN(CCc2cccc(Cl)c2)C1 ZINC000459338337 203260679 /nfs/dbraw/zinc/26/06/79/203260679.db2.gz GJJPXXBUZGEWOD-CYBMUJFWSA-N 0 3 239.746 2.603 20 0 BFADHN C[C@H]1OCCN(CC2CCC(F)(F)CC2)[C@H]1C ZINC000459361198 203268945 /nfs/dbraw/zinc/26/89/45/203268945.db2.gz HZAPOVANRNZUAI-WDEREUQCSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1CN(C)CCN1Cc1ccc(C2CC2)cc1 ZINC000459355109 203269105 /nfs/dbraw/zinc/26/91/05/203269105.db2.gz UCVGHSDVJALZAQ-CYBMUJFWSA-N 0 3 244.382 2.700 20 0 BFADHN COC[C@@H]1CCN1CC1CCC(F)(F)CC1 ZINC000459487313 203288877 /nfs/dbraw/zinc/28/88/77/203288877.db2.gz MDFMPDSJYOMWHB-NSHDSACASA-N 0 3 233.302 2.533 20 0 BFADHN CCN(CC)Cc1c[nH]nc1C(C)(C)C ZINC000156931273 326249305 /nfs/dbraw/zinc/24/93/05/326249305.db2.gz ALNIXJVYFVSWBI-UHFFFAOYSA-N 0 3 209.337 2.549 20 0 BFADHN C[C@@H]1CN(CCOCC2CCCC2)[C@@H]1C ZINC000459484226 203289567 /nfs/dbraw/zinc/28/95/67/203289567.db2.gz GWZUFEPLBZGNDI-VXGBXAGGSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H]1CCC(C)(C)N1CCn1cc(Cl)cn1 ZINC000459512714 203293830 /nfs/dbraw/zinc/29/38/30/203293830.db2.gz NPLIJDVCXMZYKX-SNVBAGLBSA-N 0 3 241.766 2.799 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@H]2C)no1 ZINC000459517918 203295249 /nfs/dbraw/zinc/29/52/49/203295249.db2.gz AZUSUMKPBNEOOV-KOLCDFICSA-N 0 3 208.305 2.509 20 0 BFADHN Cc1cc(CNC[C@H]2CC[C@H](C)C2)no1 ZINC000459535721 203296968 /nfs/dbraw/zinc/29/69/68/203296968.db2.gz WLSZNCKJRVJTDN-ONGXEEELSA-N 0 3 208.305 2.509 20 0 BFADHN OCCC1CN(CCCC2CCCCC2)C1 ZINC000459588178 203306150 /nfs/dbraw/zinc/30/61/50/203306150.db2.gz FXIZPOQBLYLASW-UHFFFAOYSA-N 0 3 225.376 2.661 20 0 BFADHN CC(C)Oc1ccc(CN[C@H]2CO[C@@H](C)C2)cc1 ZINC000402268327 369382926 /nfs/dbraw/zinc/38/29/26/369382926.db2.gz DCNRXCZIEGGAHR-GXTWGEPZSA-N 0 3 249.354 2.741 20 0 BFADHN Cc1ccc(CN2CC3(C2)CCCOC3)c(C)c1 ZINC000459601534 203315270 /nfs/dbraw/zinc/31/52/70/203315270.db2.gz BXMJEQROIYAHDH-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN C[C@@H](CC(C)(C)C)NCc1cn2cccnc2n1 ZINC000459582702 203306940 /nfs/dbraw/zinc/30/69/40/203306940.db2.gz FPXIBNLIPBAIHH-NSHDSACASA-N 0 3 246.358 2.644 20 0 BFADHN C[C@@H](CN1CC2(C1)CCCOC2)c1ccccc1 ZINC000459601699 203314017 /nfs/dbraw/zinc/31/40/17/203314017.db2.gz CQKAJEOMDBUGGB-AWEZNQCLSA-N 0 3 245.366 2.903 20 0 BFADHN CCCc1cccc(CN2CC(C(C)(C)O)C2)c1 ZINC000459616048 203316487 /nfs/dbraw/zinc/31/64/87/203316487.db2.gz XRWZWBXRBDOUPM-UHFFFAOYSA-N 0 3 247.382 2.842 20 0 BFADHN COCC1(C)CN(Cc2cccc3cc[nH]c32)C1 ZINC000459620233 203319706 /nfs/dbraw/zinc/31/97/06/203319706.db2.gz HTKDBWLVGLTWRF-UHFFFAOYSA-N 0 3 244.338 2.636 20 0 BFADHN COCC1(C)CN(Cc2ccc(C)c(C)c2)C1 ZINC000459623311 203320126 /nfs/dbraw/zinc/32/01/26/203320126.db2.gz QRQYYVDWIKBAGH-UHFFFAOYSA-N 0 3 233.355 2.772 20 0 BFADHN Cc1ccoc1CN[C@H]1C[C@@H](OC(C)C)C1 ZINC000459633520 203324776 /nfs/dbraw/zinc/32/47/76/203324776.db2.gz NGFLMFAMSIXNBW-TXEJJXNPSA-N 0 3 223.316 2.634 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2cc(C)ccn2)C1 ZINC000459637332 203326531 /nfs/dbraw/zinc/32/65/31/203326531.db2.gz SCKKJIAATRJWNU-RWMBFGLXSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1cc(CNCCSC(C)C)sn1 ZINC000404493692 369430838 /nfs/dbraw/zinc/43/08/38/369430838.db2.gz YPOLQQLVXSZHOX-UHFFFAOYSA-N 0 3 230.402 2.683 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cc(F)cc(F)c2)C1 ZINC000459653176 203330802 /nfs/dbraw/zinc/33/08/02/203330802.db2.gz XFWRYYNMMYEEFT-BETUJISGSA-N 0 3 241.281 2.622 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1occc1C ZINC000459674828 203334154 /nfs/dbraw/zinc/33/41/54/203334154.db2.gz LJVDERXMZIJGOX-ZDUSSCGKSA-N 0 3 237.343 2.979 20 0 BFADHN Cc1ncc(CN[C@@H](C)C2CCSCC2)o1 ZINC000459678047 203334350 /nfs/dbraw/zinc/33/43/50/203334350.db2.gz QKZJJMIZMLRTMV-VIFPVBQESA-N 0 3 240.372 2.604 20 0 BFADHN CC(C)(C)CC[NH2+]Cc1nnc(C(C)(C)C)[n-]1 ZINC000459682629 203334901 /nfs/dbraw/zinc/33/49/01/203334901.db2.gz SMFAWFLACFOCAQ-UHFFFAOYSA-N 0 3 238.379 2.628 20 0 BFADHN CC(C)(C)CCNCc1nnc(C(C)(C)C)[nH]1 ZINC000459682629 203334903 /nfs/dbraw/zinc/33/49/03/203334903.db2.gz SMFAWFLACFOCAQ-UHFFFAOYSA-N 0 3 238.379 2.628 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1ccco1 ZINC000459675799 203336351 /nfs/dbraw/zinc/33/63/51/203336351.db2.gz MZBKBVGCHGJAKU-LBPRGKRZSA-N 0 3 223.316 2.671 20 0 BFADHN CC(C)CCC[NH2+]Cc1nnc(C(C)(C)C)[n-]1 ZINC000459685475 203336787 /nfs/dbraw/zinc/33/67/87/203336787.db2.gz VWSHHTJISHRKRO-UHFFFAOYSA-N 0 3 238.379 2.628 20 0 BFADHN CC(C)CCCNCc1nnc(C(C)(C)C)[nH]1 ZINC000459685475 203336791 /nfs/dbraw/zinc/33/67/91/203336791.db2.gz VWSHHTJISHRKRO-UHFFFAOYSA-N 0 3 238.379 2.628 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1cccc(C)n1 ZINC000459726633 203343125 /nfs/dbraw/zinc/34/31/25/203343125.db2.gz YBNXBHOPJXVILA-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN C[C@H](N[C@H]1CC(C)(C)C[C@@H]1C)c1ccn(C)n1 ZINC000459737584 203348239 /nfs/dbraw/zinc/34/82/39/203348239.db2.gz CJMFWPAFBAZMDS-GVXVVHGQSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ncc(CN[C@@H]2CS[C@H](C(C)C)C2)o1 ZINC000459667898 203332915 /nfs/dbraw/zinc/33/29/15/203332915.db2.gz ATWQPMMRGWONJD-JQWIXIFHSA-N 0 3 240.372 2.603 20 0 BFADHN Cc1cccc(CCN2C[C@@H]3C[C@H]2CS3)c1 ZINC000459753337 203356129 /nfs/dbraw/zinc/35/61/29/203356129.db2.gz CGTUICVANIZNOY-KBPBESRZSA-N 0 3 233.380 2.727 20 0 BFADHN COc1cccc(CNC2(C3CCC3)CCC2)n1 ZINC000459761258 203358994 /nfs/dbraw/zinc/35/89/94/203358994.db2.gz XMPBZNIIRJGAEQ-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000459766085 203359873 /nfs/dbraw/zinc/35/98/73/203359873.db2.gz DSDRNKGJNCWGGU-UHIISALHSA-N 0 3 234.343 2.824 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H](C)C1)c1ccn(C)n1 ZINC000459764783 203359914 /nfs/dbraw/zinc/35/99/14/203359914.db2.gz VIYRBWXHOUVNCO-UTUOFQBUSA-N 0 3 221.348 2.649 20 0 BFADHN COc1c(O)cccc1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000459764427 203360530 /nfs/dbraw/zinc/36/05/30/203360530.db2.gz OMIDOSJRCXFDBM-WXHSDQCUSA-N 0 3 247.338 2.679 20 0 BFADHN Cc1ccc(CN2C[C@@H]3C[C@H]2CS3)cc1C ZINC000459768989 203362457 /nfs/dbraw/zinc/36/24/57/203362457.db2.gz QXYNSVBUOWMZFW-KBPBESRZSA-N 0 3 233.380 2.993 20 0 BFADHN CCC[C@@H](NCc1nccc(C)n1)C1CCC1 ZINC000459770446 203364094 /nfs/dbraw/zinc/36/40/94/203364094.db2.gz CLMUKNBEMYAGKZ-CYBMUJFWSA-N 0 3 233.359 2.843 20 0 BFADHN c1c(CN[C@H]2CCC[C@@H]3C[C@@H]32)nc2ccccn12 ZINC000459779967 203364716 /nfs/dbraw/zinc/36/47/16/203364716.db2.gz XIXHNMLEODJTLI-XBFCOCLRSA-N 0 3 241.338 2.613 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H]1CCc2c1cccc2F ZINC000459779977 203365683 /nfs/dbraw/zinc/36/56/83/203365683.db2.gz QIKCPDGLVPQPHI-KFWWJZLASA-N 0 3 249.329 2.970 20 0 BFADHN CCOc1cccc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)n1 ZINC000459779003 203365833 /nfs/dbraw/zinc/36/58/33/203365833.db2.gz WNPUPOASWOKMNH-KWCYVHTRSA-N 0 3 246.354 2.759 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@@H](OC)C23CCC3)o1 ZINC000459777204 203366136 /nfs/dbraw/zinc/36/61/36/203366136.db2.gz KHVDGHMAVSQZIR-ZIAGYGMSSA-N 0 3 249.354 2.889 20 0 BFADHN CCOc1ccc(CN[C@@H]2CCO[C@H]2CC)cc1 ZINC000459739696 203350319 /nfs/dbraw/zinc/35/03/19/203350319.db2.gz KWBJKKANPFNFHO-CABCVRRESA-N 0 3 249.354 2.742 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CC1(C)C)c1ccn(C)n1 ZINC000459742791 203350619 /nfs/dbraw/zinc/35/06/19/203350619.db2.gz GDVJEKYFGUKURL-DCAQKATOSA-N 0 3 221.348 2.505 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H](C)C1)c1ccn(C)n1 ZINC000459747184 203352802 /nfs/dbraw/zinc/35/28/02/203352802.db2.gz JBDJOTCUURPGCM-FRRDWIJNSA-N 0 3 235.375 2.897 20 0 BFADHN CC(C)(C)O[C@H]1C[C@@H](NCCF)C1(C)C ZINC000459813140 203376146 /nfs/dbraw/zinc/37/61/46/203376146.db2.gz WLGQVMPIAXQTGF-ZJUUUORDSA-N 0 3 217.328 2.528 20 0 BFADHN CCC[C@H](NCc1ncc(C)cn1)C1CCC1 ZINC000459811662 203376463 /nfs/dbraw/zinc/37/64/63/203376463.db2.gz VAZPZWCTGSWWRY-ZDUSSCGKSA-N 0 3 233.359 2.843 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H](C)C(C)C ZINC000164775524 203379584 /nfs/dbraw/zinc/37/95/84/203379584.db2.gz HLBFXQUUJAHCTI-GFCCVEGCSA-N 0 3 223.364 2.673 20 0 BFADHN CC[C@@H](F)CN1CC[C@@H](O)[C@H](C2CCCC2)C1 ZINC000459795265 203371980 /nfs/dbraw/zinc/37/19/80/203371980.db2.gz NXDWKFQYQQFZRG-HZSPNIEDSA-N 0 3 243.366 2.608 20 0 BFADHN COC(=O)C(C)(C)N(C)C[C@@H](C)C(C)(C)C ZINC000619843962 369616019 /nfs/dbraw/zinc/61/60/19/369616019.db2.gz IWNMMAASAYXKRZ-SNVBAGLBSA-N 0 3 229.364 2.552 20 0 BFADHN CO[C@@H](C)CN(Cc1ccncc1C)C(C)C ZINC000568180070 326321179 /nfs/dbraw/zinc/32/11/79/326321179.db2.gz ZJVHUXZCIILCID-ZDUSSCGKSA-N 0 3 236.359 2.635 20 0 BFADHN Cc1cc(CN2CCSC[C@@H](C)C2)ccn1 ZINC000621286561 370215547 /nfs/dbraw/zinc/21/55/47/370215547.db2.gz NMPTUASEQABVRM-NSHDSACASA-N 0 3 236.384 2.575 20 0 BFADHN CC[C@@H](NCc1ccnc(C)c1)[C@H]1CCCCO1 ZINC000621313181 370238527 /nfs/dbraw/zinc/23/85/27/370238527.db2.gz XZXFOFYMYNKBAY-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN Cc1cc(CN2CCC[C@H](CCF)C2)ccn1 ZINC000621321623 370249007 /nfs/dbraw/zinc/24/90/07/370249007.db2.gz QDPWGHODJDPJKX-CYBMUJFWSA-N 0 3 236.334 2.962 20 0 BFADHN Cc1cc(CN2CCC3(CC3)CC2)ccn1 ZINC000621270528 370201745 /nfs/dbraw/zinc/20/17/45/370201745.db2.gz BJJJIIYEHLRENL-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN Clc1cncc(CN2CC[C@H](C3CC3)C2)c1 ZINC000621271872 370203184 /nfs/dbraw/zinc/20/31/84/370203184.db2.gz WQHMEBFABGVING-LBPRGKRZSA-N 0 3 236.746 2.967 20 0 BFADHN COCc1ccc(CNCC[C@H]2CC2(F)F)o1 ZINC000621378051 370320464 /nfs/dbraw/zinc/32/04/64/370320464.db2.gz MMZHJXHDBXEJFC-VIFPVBQESA-N 0 3 245.269 2.561 20 0 BFADHN CC[C@H](NCc1nccnc1C)[C@H]1CC1(C)C ZINC000621363295 370297218 /nfs/dbraw/zinc/29/72/18/370297218.db2.gz LUBPREUANZUIFP-NEPJUHHUSA-N 0 3 233.359 2.699 20 0 BFADHN CCOc1cncc(CN[C@@]23C[C@@H]2CCC3)c1 ZINC000621369878 370305150 /nfs/dbraw/zinc/30/51/50/370305150.db2.gz XPKNRJASRPGSED-JSGCOSHPSA-N 0 3 232.327 2.513 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1nn(C)c2ccccc12 ZINC000112840595 370359622 /nfs/dbraw/zinc/35/96/22/370359622.db2.gz XHJNSEJVZNKYMI-YPMHNXCESA-N 0 3 243.354 2.852 20 0 BFADHN COc1ccc(F)c(CNCC2CC(C)C2)c1 ZINC000621384733 370329152 /nfs/dbraw/zinc/32/91/52/370329152.db2.gz RDCPBALSVNMJOG-UHFFFAOYSA-N 0 3 237.318 2.970 20 0 BFADHN CC(C)CCNC(=O)[C@H](C)N(C)CCC(C)C ZINC000077211803 370401232 /nfs/dbraw/zinc/40/12/32/370401232.db2.gz YPQJCJIPHCVKON-ZDUSSCGKSA-N 0 3 242.407 2.515 20 0 BFADHN CCN(Cc1nccnc1C)C1CCCCC1 ZINC000621708998 370453256 /nfs/dbraw/zinc/45/32/56/370453256.db2.gz HVGIRAYIEXGGFO-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN Cc1nccnc1CN([C@@H](C)C1CC1)C1CC1 ZINC000621712113 370457531 /nfs/dbraw/zinc/45/75/31/370457531.db2.gz SVCQIPLMHXYUOU-NSHDSACASA-N 0 3 231.343 2.548 20 0 BFADHN CCOc1cncc(CN(C(C)C)C2CC2)c1 ZINC000621712235 370459292 /nfs/dbraw/zinc/45/92/92/370459292.db2.gz XZOBUWJMWSRAOR-UHFFFAOYSA-N 0 3 234.343 2.853 20 0 BFADHN CCN(Cc1nccnc1C)[C@H](C)C(C)C ZINC000621713208 370459710 /nfs/dbraw/zinc/45/97/10/370459710.db2.gz CGSWISQCEGYUCR-GFCCVEGCSA-N 0 3 221.348 2.651 20 0 BFADHN CCOc1cncc(CN2CCCC2(C)C)c1 ZINC000621714521 370461189 /nfs/dbraw/zinc/46/11/89/370461189.db2.gz PZXIRGQNYFGRAH-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1nccnc1CN1CCCC[C@H]1C(C)C ZINC000621717082 370466224 /nfs/dbraw/zinc/46/62/24/370466224.db2.gz WIWRRFRQOQBHEB-AWEZNQCLSA-N 0 3 233.359 2.796 20 0 BFADHN CCOc1cncc(CN2C[C@H](C)C[C@H]2C)c1 ZINC000621717844 370467321 /nfs/dbraw/zinc/46/73/21/370467321.db2.gz PRGGWQGCFRABSM-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1nccnc1CN(C)CCc1cccs1 ZINC000621718013 370467778 /nfs/dbraw/zinc/46/77/78/370467778.db2.gz WARSWUPPZSPYJB-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN Cc1nccnc1CN1CCC2(C1)CCCCC2 ZINC000621718022 370467801 /nfs/dbraw/zinc/46/78/01/370467801.db2.gz WEUUEHNLYSESCZ-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN CCCc1ccc(CN(CC)CCCO)s1 ZINC000621718123 370468145 /nfs/dbraw/zinc/46/81/45/370468145.db2.gz ZGPGGOLUFRGPHM-UHFFFAOYSA-N 0 3 241.400 2.905 20 0 BFADHN COc1ccc(CN2CC(CC3CC3)C2)cc1 ZINC000621741537 370500876 /nfs/dbraw/zinc/50/08/76/370500876.db2.gz YGKZUURCJGYDEU-UHFFFAOYSA-N 0 3 231.339 2.927 20 0 BFADHN COc1ccccc1CN1CC(CC2CC2)C1 ZINC000621741292 370501184 /nfs/dbraw/zinc/50/11/84/370501184.db2.gz LGIFXTMWHQQCSU-UHFFFAOYSA-N 0 3 231.339 2.927 20 0 BFADHN C[C@H](NCC[C@@H]1CC1(F)F)c1ccc(F)cn1 ZINC000621768305 370510680 /nfs/dbraw/zinc/51/06/80/370510680.db2.gz MUQFPRLRNBQQKO-DTWKUNHWSA-N 0 3 244.260 2.917 20 0 BFADHN C[C@H](NCC1CC(C)C1)c1cc2n(n1)CCC2 ZINC000621770749 370513774 /nfs/dbraw/zinc/51/37/74/370513774.db2.gz WZRNJXQTVGUOHO-CXQJBGSLSA-N 0 3 233.359 2.526 20 0 BFADHN C[C@H](NCC1CC(C)C1)c1ccc(F)cn1 ZINC000621771815 370515117 /nfs/dbraw/zinc/51/51/17/370515117.db2.gz NHUMQRKCIWBCMP-YVNMAJEFSA-N 0 3 222.307 2.917 20 0 BFADHN CCN(Cc1nccnc1C)C1CC(C)(C)C1 ZINC000621731741 370486169 /nfs/dbraw/zinc/48/61/69/370486169.db2.gz YRBLNTITNGAAID-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN CCOc1cncc(CN(C)C[C@@H]2CC2(C)C)c1 ZINC000621732771 370486639 /nfs/dbraw/zinc/48/66/39/370486639.db2.gz RLBQKQAPWJESDT-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccc(F)c(CN[C@@H]2C[C@H](C)[C@H]2C)c1 ZINC000621733097 370488886 /nfs/dbraw/zinc/48/88/86/370488886.db2.gz AXDLTWNTQANZTI-IMSIIYSGSA-N 0 3 237.318 2.968 20 0 BFADHN COC(=O)C1(N(C)CC2CCCC2)CCCC1 ZINC000159202373 326353419 /nfs/dbraw/zinc/35/34/19/326353419.db2.gz WOIMEIOXUKVGOW-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN C[C@H]1C[C@@H]1CN1CC(C)(c2ccccc2)C1 ZINC000621734840 370491891 /nfs/dbraw/zinc/49/18/91/370491891.db2.gz XNXBGWUVCPOTAA-QWHCGFSZSA-N 0 3 215.340 2.916 20 0 BFADHN Cc1ncccc1CN1CC(CC2CC2)C1 ZINC000621740195 370497935 /nfs/dbraw/zinc/49/79/35/370497935.db2.gz CPLVSXOSGJWWRZ-UHFFFAOYSA-N 0 3 216.328 2.622 20 0 BFADHN CCn1nc(C)c(CN2CC(CC3CC3)C2)c1C ZINC000621740355 370499246 /nfs/dbraw/zinc/49/92/46/370499246.db2.gz LRDVQAZKAPVSKF-UHFFFAOYSA-N 0 3 247.386 2.752 20 0 BFADHN Cn1nc(CNC2CCCC2)c2ccccc21 ZINC000078638506 370583453 /nfs/dbraw/zinc/58/34/53/370583453.db2.gz KZOCKTSMDPGXLN-UHFFFAOYSA-N 0 3 229.327 2.606 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cn2cccn2)c(F)c1 ZINC000631039403 370997654 /nfs/dbraw/zinc/99/76/54/370997654.db2.gz IMPGKSHPKKORJF-LBPRGKRZSA-N 0 3 247.317 2.509 20 0 BFADHN Cc1scc(CN[C@H](C)Cn2ccnc2)c1C ZINC000631042490 371003203 /nfs/dbraw/zinc/00/32/03/371003203.db2.gz ZBDAXKZENJEOTG-SNVBAGLBSA-N 0 3 249.383 2.740 20 0 BFADHN CCOc1ccccc1CNCCSC ZINC000070184020 371013141 /nfs/dbraw/zinc/01/31/41/371013141.db2.gz CHQRSDFPLKBMND-UHFFFAOYSA-N 0 3 225.357 2.538 20 0 BFADHN CSCCNCc1ccccc1OC(F)F ZINC000070184003 371014106 /nfs/dbraw/zinc/01/41/06/371014106.db2.gz GDXQWNKBTMNRKF-UHFFFAOYSA-N 0 3 247.310 2.741 20 0 BFADHN C/C=C\CNCc1cn(C)nc1-c1ccc(C)o1 ZINC000623740505 371071332 /nfs/dbraw/zinc/07/13/32/371071332.db2.gz YOVPMJYTZXPSCZ-PLNGDYQASA-N 0 3 245.326 2.654 20 0 BFADHN C/C=C\CNCc1ccc(F)c(F)c1N(C)C ZINC000623740268 371074651 /nfs/dbraw/zinc/07/46/51/371074651.db2.gz QYRDZUKJWGMBPM-PLNGDYQASA-N 0 3 240.297 2.697 20 0 BFADHN C/C=C/CNCc1ccc(O[C@H]2CCOC2)cc1 ZINC000623740169 371075029 /nfs/dbraw/zinc/07/50/29/371075029.db2.gz OFXSMNWSXSNSMZ-FAAWYNLUSA-N 0 3 247.338 2.520 20 0 BFADHN Cc1ccc(CNC[C@]2(C)C[C@H]3C[C@H]3C2)nc1 ZINC000623741135 371077039 /nfs/dbraw/zinc/07/70/39/371077039.db2.gz KNAMTFHTEWIOOO-AGGWBTHJSA-N 0 3 230.355 2.916 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CO[C@H](C3CC3)C2)c1 ZINC000623753157 371081506 /nfs/dbraw/zinc/08/15/06/371081506.db2.gz STADANZAKLNQCH-HIFRSBDPSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1CO[C@@H](C2CC2)C1 ZINC000623753117 371081861 /nfs/dbraw/zinc/08/18/61/371081861.db2.gz RWUDQXJITAKEBK-LSDHHAIUSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1cccc(CN[C@@H]2CO[C@@H](C3CC3)C2)c1C ZINC000623752416 371082025 /nfs/dbraw/zinc/08/20/25/371082025.db2.gz FTCMRLLYCNAQMU-JKSUJKDBSA-N 0 3 245.366 2.961 20 0 BFADHN COC[C@H](C)CNCc1ccc(C)cc1F ZINC000631053420 371132334 /nfs/dbraw/zinc/13/23/34/371132334.db2.gz WAVUJHKMPFWBTG-LLVKDONJSA-N 0 3 225.307 2.506 20 0 BFADHN c1nc2c(s1)CCC[C@H]2NCC1CCC1 ZINC000623874775 371136918 /nfs/dbraw/zinc/13/69/18/371136918.db2.gz PYQRMDNUNBIZIM-SNVBAGLBSA-N 0 3 222.357 2.910 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCOC2)c(F)c1 ZINC000631050884 371109503 /nfs/dbraw/zinc/10/95/03/371109503.db2.gz HAKMRWMYPJYIFH-GFCCVEGCSA-N 0 3 237.318 2.650 20 0 BFADHN CO[C@H]1C[C@@H](CNCc2ccc([C@H]3C[C@H]3C)o2)C1 ZINC000623822595 371110275 /nfs/dbraw/zinc/11/02/75/371110275.db2.gz RJBOIRWSOIRFKJ-RFHZTLPTSA-N 0 3 249.354 2.918 20 0 BFADHN COc1ccc(CN[C@H]2CC[C@H](F)C2)c(F)c1 ZINC000568890313 326381531 /nfs/dbraw/zinc/38/15/31/326381531.db2.gz SIZZGYNHCTZRRL-QWRGUYRKSA-N 0 3 241.281 2.815 20 0 BFADHN Cc1csc([C@@H](C)N[C@H](CCO)C(C)C)n1 ZINC000623899285 371147248 /nfs/dbraw/zinc/14/72/48/371147248.db2.gz DNPWYHDBMANTMR-GHMZBOCLSA-N 0 3 242.388 2.509 20 0 BFADHN Cc1csc([C@@H](C)NCC(C)(C)CCO)n1 ZINC000623901199 371147523 /nfs/dbraw/zinc/14/75/23/371147523.db2.gz ARRCHXDPJZCUMD-SNVBAGLBSA-N 0 3 242.388 2.511 20 0 BFADHN CSCCNCc1cc(F)c(F)cc1F ZINC000124216544 371173971 /nfs/dbraw/zinc/17/39/71/371173971.db2.gz SGHOPPFJQJHOOU-UHFFFAOYSA-N 0 3 235.274 2.557 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(C)c(C)c1 ZINC000390604197 371218873 /nfs/dbraw/zinc/21/88/73/371218873.db2.gz CASKAZFNTVNKKH-ZIAGYGMSSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1csc([C@H](C)NCCC(C)(C)CO)n1 ZINC000623992043 371225890 /nfs/dbraw/zinc/22/58/90/371225890.db2.gz AGAYGIWAIOEBDV-JTQLQIEISA-N 0 3 242.388 2.511 20 0 BFADHN Cc1csc([C@H](C)NCCc2ccoc2)n1 ZINC000624012749 371248681 /nfs/dbraw/zinc/24/86/81/371248681.db2.gz HNDFLGHYMFFXGP-JTQLQIEISA-N 0 3 236.340 2.938 20 0 BFADHN CCCC[C@H](C)[C@@H](C)NCc1cnnn1CC ZINC000624026429 371256707 /nfs/dbraw/zinc/25/67/07/371256707.db2.gz USZLAWOVQJSDNH-NWDGAFQWSA-N 0 3 238.379 2.602 20 0 BFADHN CCC[C@H](CN[C@H](C)c1cncs1)OCC ZINC000624049508 371270240 /nfs/dbraw/zinc/27/02/40/371270240.db2.gz JLDJFDZIRCYDLE-GHMZBOCLSA-N 0 3 242.388 2.999 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1c[nH]nc1C)OCC ZINC000624049658 371275293 /nfs/dbraw/zinc/27/52/93/371275293.db2.gz NAJGDQBXDCFEPV-CMPLNLGQSA-N 0 3 239.363 2.574 20 0 BFADHN CCC[C@H](C)NCc1c(C(F)(F)F)cnn1C ZINC000624051509 371278021 /nfs/dbraw/zinc/27/80/21/371278021.db2.gz GDERPBSHNAQEFG-QMMMGPOBSA-N 0 3 249.280 2.717 20 0 BFADHN CCOC[C@H](C)N[C@@H](c1ccccn1)C1CCC1 ZINC000624104444 371309349 /nfs/dbraw/zinc/30/93/49/371309349.db2.gz SEGQWVBXMMWGAC-SWLSCSKDSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ccc(CNC[C@H](C)C[C@H](C)O)c(F)c1 ZINC000631075925 371361940 /nfs/dbraw/zinc/36/19/40/371361940.db2.gz INNCUOIVZVJJHG-NEPJUHHUSA-N 0 3 239.334 2.631 20 0 BFADHN C/C=C/CN[C@@H]1CCc2ccc(F)cc21 ZINC000624197887 371386012 /nfs/dbraw/zinc/38/60/12/371386012.db2.gz ZMWQOVFZIRHGJX-YWVDXFKGSA-N 0 3 205.276 2.979 20 0 BFADHN C/C=C\CN[C@@H]1CCc2ccc(F)cc21 ZINC000624197886 371386135 /nfs/dbraw/zinc/38/61/35/371386135.db2.gz ZMWQOVFZIRHGJX-XQJDBVBESA-N 0 3 205.276 2.979 20 0 BFADHN C/C=C/CN[C@H](C)[C@@H](OC)c1ccccc1 ZINC000624197936 371386556 /nfs/dbraw/zinc/38/65/56/371386556.db2.gz AGFHBMUCUKRBTA-BSWNYTGASA-N 0 3 219.328 2.928 20 0 BFADHN C/C=C\CN[C@@H](c1cncc(F)c1)C1CC1 ZINC000624198166 371387837 /nfs/dbraw/zinc/38/78/37/371387837.db2.gz HALAAAHPTIEKTK-XQJDBVBESA-N 0 3 220.291 2.838 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc(C)nc1C ZINC000624197083 371384882 /nfs/dbraw/zinc/38/48/82/371384882.db2.gz AICOGAUHYHIBCH-MVIFTORASA-N 0 3 204.317 2.925 20 0 BFADHN C/C=C\CN[C@H](C)[C@@H](OC)c1ccccc1 ZINC000624197939 371385533 /nfs/dbraw/zinc/38/55/33/371385533.db2.gz AGFHBMUCUKRBTA-YKEDUJKTSA-N 0 3 219.328 2.928 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2ccc(F)c(F)c2)C1 ZINC000624297027 371461815 /nfs/dbraw/zinc/46/18/15/371461815.db2.gz NKZJFUCWBJPETO-FBIMIBRVSA-N 0 3 241.281 2.793 20 0 BFADHN COc1cc(C)ccc1[C@H](C)N[C@H]1C[C@H](OC)C1 ZINC000624297122 371462183 /nfs/dbraw/zinc/46/21/83/371462183.db2.gz PXFRKUIUIJQDMU-AVGNSLFASA-N 0 3 249.354 2.832 20 0 BFADHN C[C@H](NC[C@H]1CCCC12CC2)c1nccn1C ZINC000624314865 371478700 /nfs/dbraw/zinc/47/87/00/371478700.db2.gz QQHCVVSMMOAXPC-NWDGAFQWSA-N 0 3 233.359 2.651 20 0 BFADHN CC(C)n1ccnc1CNC(C1CC1)C1CC1 ZINC000624480300 371519322 /nfs/dbraw/zinc/51/93/22/371519322.db2.gz INPILVVIBJQKQE-UHFFFAOYSA-N 0 3 233.359 2.742 20 0 BFADHN CC(C)n1ccc(CN[C@@H](C)C(C)(C)C)n1 ZINC000132916136 371949672 /nfs/dbraw/zinc/94/96/72/371949672.db2.gz LKNFAFNNDPVCKO-NSHDSACASA-N 0 3 223.364 2.988 20 0 BFADHN CCn1nnc(C)c1CNCCC(C)(C)CC ZINC000631217915 372239685 /nfs/dbraw/zinc/23/96/85/372239685.db2.gz IDQXIQAWOFDOQT-UHFFFAOYSA-N 0 3 238.379 2.522 20 0 BFADHN c1csc(CN[C@]23C[C@H]2CCCC3)n1 ZINC000631227626 372248787 /nfs/dbraw/zinc/24/87/87/372248787.db2.gz SARBHZZOSYHVHK-MWLCHTKSSA-N 0 3 208.330 2.565 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@H](C)SC2)o1 ZINC000631243097 372255005 /nfs/dbraw/zinc/25/50/05/372255005.db2.gz RPIGKJYQBRPNGT-WDEREUQCSA-N 0 3 225.357 2.962 20 0 BFADHN COC(=O)c1coc(CN(C)CCC(C)C)c1 ZINC000171033379 372260028 /nfs/dbraw/zinc/26/00/28/372260028.db2.gz RNEHGMMTUFOBRI-UHFFFAOYSA-N 0 3 239.315 2.544 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H]2C(F)(F)F)cc1 ZINC000631261834 372267117 /nfs/dbraw/zinc/26/71/17/372267117.db2.gz CQIZKNQPEVYUNA-GHMZBOCLSA-N 0 3 245.244 2.736 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H]2CCCc3ncccc32)C1 ZINC000631646383 372479475 /nfs/dbraw/zinc/47/94/75/372479475.db2.gz IBRJJQVHZBNNMP-LALPHHSUSA-N 0 3 246.354 2.616 20 0 BFADHN Cc1ccc(CCNCc2cncn2C(C)C)o1 ZINC000521860050 259553651 /nfs/dbraw/zinc/55/36/51/259553651.db2.gz ONPSGCLDSMHUQD-UHFFFAOYSA-N 0 3 247.342 2.698 20 0 BFADHN CC(C)(C)OCCN[C@H]1CCCc2ncccc21 ZINC000631606496 372456635 /nfs/dbraw/zinc/45/66/35/372456635.db2.gz WPRNTPFPZKPDJO-AWEZNQCLSA-N 0 3 248.370 2.864 20 0 BFADHN COc1ccc2c(c1)CC[C@@H]2NCCOC(C)C ZINC000631614820 372462472 /nfs/dbraw/zinc/46/24/72/372462472.db2.gz GKESDPVXRQUOSI-HNNXBMFYSA-N 0 3 249.354 2.697 20 0 BFADHN CCC[C@@H](NC[C@@H](C)OC)c1cc(C)ccn1 ZINC000631623221 372467916 /nfs/dbraw/zinc/46/79/16/372467916.db2.gz XSYKEAHHQYYOCT-CHWSQXEVSA-N 0 3 236.359 2.856 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H]1CCCc2ncccc21 ZINC000631632993 372470830 /nfs/dbraw/zinc/47/08/30/372470830.db2.gz SXADAAFEILRIBI-RBSFLKMASA-N 0 3 246.354 2.616 20 0 BFADHN CC1(C)OCC[C@@H]1N[C@@H]1CCCc2ncccc21 ZINC000631632694 372471392 /nfs/dbraw/zinc/47/13/92/372471392.db2.gz ACUSITBAYZLGNK-KGLIPLIRSA-N 0 3 246.354 2.616 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@]2(C)CCCO2)o1 ZINC000631729948 372534987 /nfs/dbraw/zinc/53/49/87/372534987.db2.gz LPQFAHGTTCHBGQ-AAEUAGOBSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCCC23CC3)no1 ZINC000631661602 372487041 /nfs/dbraw/zinc/48/70/41/372487041.db2.gz DANZSFJRIPNYKJ-CMPLNLGQSA-N 0 3 220.316 2.966 20 0 BFADHN COC[C@H](CC(C)(C)C)NCC(C)(C)F ZINC000631662846 372488678 /nfs/dbraw/zinc/48/86/78/372488678.db2.gz NXTHKSXJOYRMKK-JTQLQIEISA-N 0 3 219.344 2.775 20 0 BFADHN CC/C=C/CCN(CC)C[C@@H](O)C(F)(F)F ZINC000189498356 259553934 /nfs/dbraw/zinc/55/39/34/259553934.db2.gz OWQWUCSSQWPHMO-BRAIEQGRSA-N 0 3 239.281 2.588 20 0 BFADHN CCc1ccc([C@@H](C)NCC[C@@H](O)C(F)F)o1 ZINC000631697702 372509954 /nfs/dbraw/zinc/50/99/54/372509954.db2.gz OPCJXPONHGJXMP-PSASIEDQSA-N 0 3 247.285 2.509 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2C[C@H](C)O[C@@H]2C)c1 ZINC000631702931 372513030 /nfs/dbraw/zinc/51/30/30/372513030.db2.gz LYYUXESMEKVWNE-CIQGVGRVSA-N 0 3 234.343 2.607 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2C[C@H](C)O[C@H]2C)c1 ZINC000631702944 372513160 /nfs/dbraw/zinc/51/31/60/372513160.db2.gz LYYUXESMEKVWNE-ZJQBRPOHSA-N 0 3 234.343 2.607 20 0 BFADHN CCCC[C@@H](COC)N[C@@H]1C[C@H]1C(F)(F)F ZINC000631754280 372556938 /nfs/dbraw/zinc/55/69/38/372556938.db2.gz DAMTXNZOKNLIBT-IVZWLZJFSA-N 0 3 239.281 2.732 20 0 BFADHN CC(C)(C)CCN1CCN(C2CCC2)CC1 ZINC000172198824 372904210 /nfs/dbraw/zinc/90/42/10/372904210.db2.gz AMOZNQASOIFJOF-UHFFFAOYSA-N 0 3 224.392 2.593 20 0 BFADHN Cc1noc(C)c1CN1CCC[C@@H](C)[C@@H]1C ZINC000172864013 373099612 /nfs/dbraw/zinc/09/96/12/373099612.db2.gz ZPEJWKWWQBRPKK-KOLCDFICSA-N 0 3 222.332 2.912 20 0 BFADHN CCCC[C@H](COC)NCc1ccncc1F ZINC000449160351 205473468 /nfs/dbraw/zinc/47/34/68/205473468.db2.gz LQRYXDBWRXRDFW-GFCCVEGCSA-N 0 3 240.322 2.516 20 0 BFADHN CCCc1ncc(CN[C@@H]2CCC[C@H]2C)o1 ZINC000449609242 205493929 /nfs/dbraw/zinc/49/39/29/205493929.db2.gz AYUBYMFDPFRKIR-ZYHUDNBSSA-N 0 3 222.332 2.905 20 0 BFADHN Cc1cccc(OCCN2CCCCC2)c1F ZINC000190094122 205695965 /nfs/dbraw/zinc/69/59/65/205695965.db2.gz PFUTUNAAHICQJS-UHFFFAOYSA-N 0 3 237.318 2.999 20 0 BFADHN c1cn2c(n1)[C@H](N[C@H]1C[C@H]1C1CCCCC1)CC2 ZINC000453155290 205797995 /nfs/dbraw/zinc/79/79/95/205797995.db2.gz TUBFUVCIGYVBHA-MJBXVCDLSA-N 0 3 245.370 2.886 20 0 BFADHN C=Cn1cc(CN2CCCC(C)(C)CC2)cn1 ZINC000194004242 206001433 /nfs/dbraw/zinc/00/14/33/206001433.db2.gz SSFBUESCXZZFKG-UHFFFAOYSA-N 0 3 233.359 2.996 20 0 BFADHN Cc1cc(OC[C@@H]2CCO[C@@H]2C)c(C)c(C)n1 ZINC000634550178 374304754 /nfs/dbraw/zinc/30/47/54/374304754.db2.gz RHNOUORXPUHDJE-OLZOCXBDSA-N 0 3 235.327 2.811 20 0 BFADHN COCCCCNCc1c(F)cc(C)cc1F ZINC000424129628 206031947 /nfs/dbraw/zinc/03/19/47/206031947.db2.gz GINIPERRWDABJU-UHFFFAOYSA-N 0 3 243.297 2.789 20 0 BFADHN COCC(C)(C)CN1CCc2ccsc2C1 ZINC000426422610 206049764 /nfs/dbraw/zinc/04/97/64/206049764.db2.gz VRJIWHJGKZSUFK-UHFFFAOYSA-N 0 3 239.384 2.779 20 0 BFADHN CCN(C)c1ccc(CN(C)[C@H]2CC2(C)C)cn1 ZINC000426566033 206052961 /nfs/dbraw/zinc/05/29/61/206052961.db2.gz DLQSUEKCQOGXQU-ZDUSSCGKSA-N 0 3 247.386 2.768 20 0 BFADHN CN(C/C=C/c1ccncc1)[C@H]1CC1(C)C ZINC000426559973 206053436 /nfs/dbraw/zinc/05/34/36/206053436.db2.gz NZLKUGCUMLGBJL-IHVVCDCBSA-N 0 3 216.328 2.825 20 0 BFADHN CC(C)c1nc(CN2CCC[C@H]2C(C)C)n[nH]1 ZINC000428232035 206056836 /nfs/dbraw/zinc/05/68/36/206056836.db2.gz XXCJSGRCYCPFRA-NSHDSACASA-N 0 3 236.363 2.549 20 0 BFADHN Cc1nc(CN2CCCC3(CCCCC3)C2)n[nH]1 ZINC000428225763 206057381 /nfs/dbraw/zinc/05/73/81/206057381.db2.gz NPQLUUSZMDBVHH-UHFFFAOYSA-N 0 3 248.374 2.659 20 0 BFADHN CCc1nc(C)ccc1OCCN1CCCCC1 ZINC000194443328 206089726 /nfs/dbraw/zinc/08/97/26/206089726.db2.gz KLTZCVCRAWTYLW-UHFFFAOYSA-N 0 3 248.370 2.817 20 0 BFADHN CC[C@H](F)CN(C)CCc1ccccc1 ZINC000440698680 206079387 /nfs/dbraw/zinc/07/93/87/206079387.db2.gz QGVQKIWMGZRUJH-ZDUSSCGKSA-N 0 3 209.308 2.909 20 0 BFADHN CC[C@@H]1CCCN(CCOCC(F)(F)F)C1 ZINC000194307657 206087800 /nfs/dbraw/zinc/08/78/00/206087800.db2.gz LKPBZAUOKPGLRJ-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN CCc1ccc(CN2CC[C@@H]2C2CC2)o1 ZINC000449425916 206097394 /nfs/dbraw/zinc/09/73/94/206097394.db2.gz JJXLWVNEGDAAHV-CYBMUJFWSA-N 0 3 205.301 2.826 20 0 BFADHN COc1ccccc1CN1CC[C@@H]1C1CC1 ZINC000449425958 206098737 /nfs/dbraw/zinc/09/87/37/206098737.db2.gz NVDCLCKLEUQUCH-CYBMUJFWSA-N 0 3 217.312 2.680 20 0 BFADHN CCCCOCCN1CCCC[C@@H]1COCC ZINC000459703638 206138214 /nfs/dbraw/zinc/13/82/14/206138214.db2.gz GKLXFUPDRGGTAB-CQSZACIVSA-N 0 3 243.391 2.694 20 0 BFADHN C[C@H](Nc1cccc(CN(C)C)c1)[C@H]1CCOC1 ZINC000165139687 206139049 /nfs/dbraw/zinc/13/90/49/206139049.db2.gz JMBKGURQPZYWGD-JSGCOSHPSA-N 0 3 248.370 2.585 20 0 BFADHN COCc1ccc(CNC[C@@H](C)C(C)C)o1 ZINC000166708737 206142965 /nfs/dbraw/zinc/14/29/65/206142965.db2.gz NKFULGWFJOYYAN-LLVKDONJSA-N 0 3 225.332 2.808 20 0 BFADHN CS[C@@H]1CCN(CCOCC2CCCC2)C1 ZINC000459498961 206129743 /nfs/dbraw/zinc/12/97/43/206129743.db2.gz BRHVNYHLEVLVKM-CYBMUJFWSA-N 0 3 243.416 2.631 20 0 BFADHN CS[C@H]1CCN(CCOCC2CCCC2)C1 ZINC000459498962 206131206 /nfs/dbraw/zinc/13/12/06/206131206.db2.gz BRHVNYHLEVLVKM-ZDUSSCGKSA-N 0 3 243.416 2.631 20 0 BFADHN O[C@H]1CN(Cc2ccc(C3CC3)cc2)CC12CC2 ZINC000459494862 206131671 /nfs/dbraw/zinc/13/16/71/206131671.db2.gz CBUONGTTWXHCNP-HNNXBMFYSA-N 0 3 243.350 2.521 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cnc(C2CC2)nc1 ZINC000459500780 206131865 /nfs/dbraw/zinc/13/18/65/206131865.db2.gz NQEAALAPOYVSIB-RISCZKNCSA-N 0 3 245.370 2.974 20 0 BFADHN OC[C@@H](NC1CC2(CCC2)C1)c1ccsc1 ZINC000459544105 206133883 /nfs/dbraw/zinc/13/38/83/206133883.db2.gz ZPXDWDJXPGAYPY-GFCCVEGCSA-N 0 3 237.368 2.704 20 0 BFADHN Cn1ccnc1[C@@H](NC1CC2(CCC2)C1)C1CC1 ZINC000459545697 206134101 /nfs/dbraw/zinc/13/41/01/206134101.db2.gz RDGXVCMPYBHKNO-ZDUSSCGKSA-N 0 3 245.370 2.794 20 0 BFADHN CCOCCN(C)Cc1ccc(F)c(C)c1 ZINC000181484708 170389108 /nfs/dbraw/zinc/38/91/08/170389108.db2.gz COZXRZVAVDDBDB-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN OC1(CCNCc2ccccc2Cl)CCC1 ZINC000284040529 183016891 /nfs/dbraw/zinc/01/68/91/183016891.db2.gz ORKLINLAPLUERM-UHFFFAOYSA-N 0 3 239.746 2.735 20 0 BFADHN OC1(CCNCc2sccc2Cl)CCC1 ZINC000283833388 183017088 /nfs/dbraw/zinc/01/70/88/183017088.db2.gz FGDFXANGTUXZJO-UHFFFAOYSA-N 0 3 245.775 2.796 20 0 BFADHN OC1(CNCc2ccsc2)CCCCC1 ZINC000058864407 183019882 /nfs/dbraw/zinc/01/98/82/183019882.db2.gz RATZWHJKWALXBS-UHFFFAOYSA-N 0 3 225.357 2.533 20 0 BFADHN OC1(CNCc2ccsc2)CCCCCC1 ZINC000057999926 183020285 /nfs/dbraw/zinc/02/02/85/183020285.db2.gz AZHVBJJVEYWRSE-UHFFFAOYSA-N 0 3 239.384 2.923 20 0 BFADHN OC1(CNCc2ccsc2Cl)CCCC1 ZINC000308876309 183020525 /nfs/dbraw/zinc/02/05/25/183020525.db2.gz NMDSSAMWUBPQMN-UHFFFAOYSA-N 0 3 245.775 2.796 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1ccc(Cl)cc1 ZINC000037480455 168969019 /nfs/dbraw/zinc/96/90/19/168969019.db2.gz UCDSGRATBFXHBM-LLVKDONJSA-N 0 3 240.734 2.796 20 0 BFADHN OCCCCCNCc1cccc(Cl)c1F ZINC000083374617 183047114 /nfs/dbraw/zinc/04/71/14/183047114.db2.gz FGGCGLFVZMHFEA-UHFFFAOYSA-N 0 3 245.725 2.731 20 0 BFADHN OCCCCCNCc1cc(Cl)ccc1F ZINC000083374619 183047229 /nfs/dbraw/zinc/04/72/29/183047229.db2.gz FWJZIGBZHXRTHN-UHFFFAOYSA-N 0 3 245.725 2.731 20 0 BFADHN OCC1(CNCc2ccsc2Cl)CCC1 ZINC000308899019 183034926 /nfs/dbraw/zinc/03/49/26/183034926.db2.gz BIBGIXOORFFMNL-UHFFFAOYSA-N 0 3 245.775 2.654 20 0 BFADHN OCC1(NCc2ccc(C3CC3)cc2)CCC1 ZINC000122795063 183038867 /nfs/dbraw/zinc/03/88/67/183038867.db2.gz JYFQBWZJXBCUMS-UHFFFAOYSA-N 0 3 231.339 2.569 20 0 BFADHN OCC[C@H](NCC1CCCCC1)c1ccco1 ZINC000184513362 183097636 /nfs/dbraw/zinc/09/76/36/183097636.db2.gz JNNLMEOVVADRHC-ZDUSSCGKSA-N 0 3 237.343 2.873 20 0 BFADHN CCCC[C@H](COC)NCc1ccncc1C ZINC000295744866 169004185 /nfs/dbraw/zinc/00/41/85/169004185.db2.gz PCZYTZAIFQNVPX-CQSZACIVSA-N 0 3 236.359 2.685 20 0 BFADHN CCCC[C@H](COC)N[C@H](C)c1ccncc1 ZINC000174112591 169004841 /nfs/dbraw/zinc/00/48/41/169004841.db2.gz JVSRHTPWOQXGQP-TZMCWYRMSA-N 0 3 236.359 2.937 20 0 BFADHN OC[C@@H](N[C@@H]1CCC12CCC2)c1cccc(F)c1 ZINC000337464092 183108850 /nfs/dbraw/zinc/10/88/50/183108850.db2.gz LHVUYKUHLDBTJK-ZIAGYGMSSA-N 0 3 249.329 2.781 20 0 BFADHN OC[C@@H](N[C@H]1CCC12CCC2)c1ccsc1 ZINC000336671831 183109866 /nfs/dbraw/zinc/10/98/66/183109866.db2.gz ILYOJXWXXKTBCW-NEPJUHHUSA-N 0 3 237.368 2.704 20 0 BFADHN OC[C@H](N[C@H]1CCC12CCC2)c1ccc(F)cc1 ZINC000282119363 183141585 /nfs/dbraw/zinc/14/15/85/183141585.db2.gz JJUIZOFILSQDLJ-KBPBESRZSA-N 0 3 249.329 2.781 20 0 BFADHN OC[C@H]1CCCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC000267125650 183150747 /nfs/dbraw/zinc/15/07/47/183150747.db2.gz BOEGTOYGUWWQGD-XHDPSFHLSA-N 0 3 249.329 2.517 20 0 BFADHN OCc1ccc(CNCC[C@H]2C[C@H]3CC[C@@H]2C3)o1 ZINC000174316545 183164942 /nfs/dbraw/zinc/16/49/42/183164942.db2.gz DSANHABKAKPMCD-XQQFMLRXSA-N 0 3 249.354 2.688 20 0 BFADHN OCc1ccc(CNC2CCC3(CC3)CC2)o1 ZINC000277539572 183165282 /nfs/dbraw/zinc/16/52/82/183165282.db2.gz WNRBZYUITJWYGG-UHFFFAOYSA-N 0 3 235.327 2.584 20 0 BFADHN OCc1ccc(CN2CCC[C@H]3CCC[C@H]32)o1 ZINC000228186418 183163552 /nfs/dbraw/zinc/16/35/52/183163552.db2.gz VRLXUXWVUJQEJP-BXUZGUMPSA-N 0 3 235.327 2.536 20 0 BFADHN OCc1ccc(CNCCCC2CCCC2)o1 ZINC000174254198 183165236 /nfs/dbraw/zinc/16/52/36/183165236.db2.gz PBGXOWABRZVWII-UHFFFAOYSA-N 0 3 237.343 2.832 20 0 BFADHN CCCN(C(=O)[C@H](C)N)[C@H](CC)c1ccccc1 ZINC000269053417 169044688 /nfs/dbraw/zinc/04/46/88/169044688.db2.gz TVWGQPRBNMFQLH-GXTWGEPZSA-N 0 3 248.370 2.724 20 0 BFADHN O[C@@H]1CC[C@@H](CNCc2ccccc2Cl)C1 ZINC000188852361 183202573 /nfs/dbraw/zinc/20/25/73/183202573.db2.gz BKOHNLCKXPERTM-ZYHUDNBSSA-N 0 3 239.746 2.591 20 0 BFADHN CCCN(C)CCC(=O)c1ccc(C)cc1 ZINC000053935145 169075606 /nfs/dbraw/zinc/07/56/06/169075606.db2.gz WCGIRWFZGSQVTQ-UHFFFAOYSA-N 0 3 219.328 2.910 20 0 BFADHN O[C@@H]1CCCC[C@@H]1NCc1cc2ccccc2o1 ZINC000051993531 183189328 /nfs/dbraw/zinc/18/93/28/183189328.db2.gz CTIIQGIJZCRYJZ-UONOGXRCSA-N 0 3 245.322 2.826 20 0 BFADHN O[C@@H]1CCCC[C@H]1N[C@@H]1CCCc2occc21 ZINC000193307543 183191218 /nfs/dbraw/zinc/19/12/18/183191218.db2.gz CROJXLASTVREQY-JHJVBQTASA-N 0 3 235.327 2.550 20 0 BFADHN CCCN(C)CC(=O)N1CCCCC[C@H]1CC ZINC000355449057 169066373 /nfs/dbraw/zinc/06/63/73/169066373.db2.gz YNHFCSFITBTZPI-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CCCN(CC(=O)OC)C[C@H]1CCC[C@@H](C)C1 ZINC000310405257 169100078 /nfs/dbraw/zinc/10/00/78/169100078.db2.gz LMMKYLLUJHSKDW-OLZOCXBDSA-N 0 3 241.375 2.698 20 0 BFADHN O[C@H](CN1CCCC12CCC2)c1ccccc1F ZINC000365621080 183220178 /nfs/dbraw/zinc/22/01/78/183220178.db2.gz CYUKSJSARMDZOD-CQSZACIVSA-N 0 3 249.329 2.878 20 0 BFADHN CCCN(C)Cc1cccc(Br)n1 ZINC000272465773 169081604 /nfs/dbraw/zinc/08/16/04/169081604.db2.gz ZGPRAJTYMQEJHT-UHFFFAOYSA-N 0 3 243.148 2.686 20 0 BFADHN CCCN(CC(=O)NC)[C@H](CC)c1ccccc1 ZINC000299442673 169096129 /nfs/dbraw/zinc/09/61/29/169096129.db2.gz SZBDYEKVJVVZCY-CQSZACIVSA-N 0 3 248.370 2.596 20 0 BFADHN O[C@H]1CC[C@H](CNCc2ccsc2Cl)C1 ZINC000308868384 183242629 /nfs/dbraw/zinc/24/26/29/183242629.db2.gz HQUXHCWSGQDQHH-WPRPVWTQSA-N 0 3 245.775 2.652 20 0 BFADHN CCCN(CC)C(=O)[C@H](C)N1CCCCCC1 ZINC000357772667 169116393 /nfs/dbraw/zinc/11/63/93/169116393.db2.gz PYMIHUVKCIJZHW-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN O[C@H]1C[C@@H](NCc2ccc(-c3ccccc3)o2)C1 ZINC000294806499 183248056 /nfs/dbraw/zinc/24/80/56/183248056.db2.gz IWYPGFTXFQSMCS-BETUJISGSA-N 0 3 243.306 2.560 20 0 BFADHN O[C@H]1CCCC[C@@H]1N[C@H]1CCCc2occc21 ZINC000124026227 183232760 /nfs/dbraw/zinc/23/27/60/183232760.db2.gz CROJXLASTVREQY-AVGNSLFASA-N 0 3 235.327 2.550 20 0 BFADHN O[C@H]1CCCC[C@@H]1NCc1sccc1Cl ZINC000278362670 183233185 /nfs/dbraw/zinc/23/31/85/183233185.db2.gz JAHMAXOBUBLYGQ-UWVGGRQHSA-N 0 3 245.775 2.795 20 0 BFADHN O[C@H]1CCCN(Cc2csc3ccccc23)C1 ZINC000066411134 183235136 /nfs/dbraw/zinc/23/51/36/183235136.db2.gz CVXJZPZKVYDSAZ-LBPRGKRZSA-N 0 3 247.363 2.858 20 0 BFADHN CCCN(CCC)[C@@H](C)C(=O)NC1CCCC1 ZINC000355465071 169150710 /nfs/dbraw/zinc/15/07/10/169150710.db2.gz LSUFBZZKBJMMAZ-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CCCN(CC)Cc1ccc(F)nc1C ZINC000279513491 169128461 /nfs/dbraw/zinc/12/84/61/169128461.db2.gz NSRFIYPFUOMZHA-UHFFFAOYSA-N 0 3 210.296 2.761 20 0 BFADHN CCCN(CC)Cc1cc(OC)ccc1OC ZINC000337494962 169130739 /nfs/dbraw/zinc/13/07/39/169130739.db2.gz DGTXONNKKVBCKK-UHFFFAOYSA-N 0 3 237.343 2.936 20 0 BFADHN CCCN(CC)[C@@H]1CCN(c2ccccc2)C1=O ZINC000336727319 169131308 /nfs/dbraw/zinc/13/13/08/169131308.db2.gz LYYWPOQAMRXPKI-CQSZACIVSA-N 0 3 246.354 2.524 20 0 BFADHN CCCN(CC)Cc1sc(C)nc1C ZINC000265974959 169131943 /nfs/dbraw/zinc/13/19/43/169131943.db2.gz BCXCLOZXDDNKGX-UHFFFAOYSA-N 0 3 212.362 2.992 20 0 BFADHN CCCN(CC)[C@H](C)C(=O)Nc1ccccc1 ZINC000101549068 169132435 /nfs/dbraw/zinc/13/24/35/169132435.db2.gz FXOQOPGTWOSYPU-GFCCVEGCSA-N 0 3 234.343 2.746 20 0 BFADHN CCCN(CCC)C(=O)CN(C)C[C@@H](C)CC ZINC000111253195 169141461 /nfs/dbraw/zinc/14/14/61/169141461.db2.gz KWEUBIWHBBWBRO-ZDUSSCGKSA-N 0 3 242.407 2.613 20 0 BFADHN CCCN(CCC)C(=O)CN(C)C(C)(C)CC ZINC000358426365 169142247 /nfs/dbraw/zinc/14/22/47/169142247.db2.gz LUAMVYRLQRLVCY-UHFFFAOYSA-N 0 3 242.407 2.755 20 0 BFADHN Oc1cc(CNC2CC2)cc(C(F)(F)F)c1 ZINC000289695384 183257525 /nfs/dbraw/zinc/25/75/25/183257525.db2.gz BMIGQSLFIADOSH-UHFFFAOYSA-N 0 3 231.217 2.663 20 0 BFADHN CCCN(CCC)[C@H](C)C(=O)N1CCCCC1 ZINC000355463341 169152157 /nfs/dbraw/zinc/15/21/57/169152157.db2.gz FSHOBXYDQWDQLM-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]C[C@@H]2CC=CCC2)c1 ZINC000184141921 183259857 /nfs/dbraw/zinc/25/98/57/183259857.db2.gz OURPHZVQVFSPOA-LLVKDONJSA-N 0 3 235.302 2.977 20 0 BFADHN Oc1ccc2c(c1)[C@@H](N[C@H]1CCSC1)CCC2 ZINC000236599627 183281387 /nfs/dbraw/zinc/28/13/87/183281387.db2.gz HUFGDXHVMCOUCO-FZMZJTMJSA-N 0 3 249.379 2.865 20 0 BFADHN CCCN(Cc1cc(OC)cc(C)n1)C1CC1 ZINC000270375493 169173798 /nfs/dbraw/zinc/17/37/98/169173798.db2.gz ZZLAVRANOBOCLT-UHFFFAOYSA-N 0 3 234.343 2.773 20 0 BFADHN CCCN(Cc1ccccc1F)CC(C)(C)O ZINC000264246556 169176684 /nfs/dbraw/zinc/17/66/84/169176684.db2.gz GCCKNEVHNFPPLR-UHFFFAOYSA-N 0 3 239.334 2.809 20 0 BFADHN Oc1cccc(CN2CCCC3(CC3)C2)c1 ZINC000186610603 183289100 /nfs/dbraw/zinc/28/91/00/183289100.db2.gz FVTSBQNBEXRZKV-UHFFFAOYSA-N 0 3 217.312 2.768 20 0 BFADHN CCCN(CCN1CCCC1)C[C@H](F)CC ZINC000352870788 169160175 /nfs/dbraw/zinc/16/01/75/169160175.db2.gz SFIWAPPJTOEFDQ-CYBMUJFWSA-N 0 3 230.371 2.542 20 0 BFADHN Oc1cccc2c1CCC[C@H]2NC[C@@H]1CCCO1 ZINC000276862111 183294988 /nfs/dbraw/zinc/29/49/88/183294988.db2.gz WJXAQWCHNSYLFQ-SMDDNHRTSA-N 0 3 247.338 2.538 20 0 BFADHN Oc1cccc2c1CC[C@@H]2NC[C@@H]1CCCCO1 ZINC000042815471 183295754 /nfs/dbraw/zinc/29/57/54/183295754.db2.gz NYPARXOGTDMPSE-FZMZJTMJSA-N 0 3 247.338 2.538 20 0 BFADHN CCCN(Cc1cncc(F)c1)C1CC1 ZINC000275132632 169179926 /nfs/dbraw/zinc/17/99/26/169179926.db2.gz MFMCMSNYXMTERH-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN CCCN(Cc1cnc(N(C)C)s1)C1CC1 ZINC000271025945 169180064 /nfs/dbraw/zinc/18/00/64/169180064.db2.gz AGFIQBZUZGYPML-UHFFFAOYSA-N 0 3 239.388 2.584 20 0 BFADHN c1c[nH]c(CNCCCC2CCCCC2)n1 ZINC000067692704 183325360 /nfs/dbraw/zinc/32/53/60/183325360.db2.gz PXZCRNXYWKQATE-UHFFFAOYSA-N 0 3 221.348 2.860 20 0 BFADHN CCCN(Cc1ccncc1)C[C@@H]1CCCCO1 ZINC000120858839 169179072 /nfs/dbraw/zinc/17/90/72/169179072.db2.gz YCCSCQUSSLKQMK-HNNXBMFYSA-N 0 3 248.370 2.863 20 0 BFADHN c1cc(CN2CC3(CCC3)[C@H]2C2CC2)ccn1 ZINC000336562372 183338949 /nfs/dbraw/zinc/33/89/49/183338949.db2.gz NOCOFCTUOGFKCY-CQSZACIVSA-N 0 3 228.339 2.846 20 0 BFADHN CCCN1CCc2cc(F)cc(C)c2C1 ZINC000337392082 169209327 /nfs/dbraw/zinc/20/93/27/169209327.db2.gz HTZFWRMDRBYVSU-UHFFFAOYSA-N 0 3 207.292 2.902 20 0 BFADHN c1cc(CN[C@H]2[C@@H]3CCO[C@@H]3C23CCCC3)co1 ZINC000179002358 183353224 /nfs/dbraw/zinc/35/32/24/183353224.db2.gz LKWHKWYKNBEWSS-IHRRRGAJSA-N 0 3 247.338 2.717 20 0 BFADHN c1cc(CN[C@H]2[C@H]3CCO[C@@H]3C23CCCC3)co1 ZINC000179002381 183353438 /nfs/dbraw/zinc/35/34/38/183353438.db2.gz LKWHKWYKNBEWSS-RDBSUJKOSA-N 0 3 247.338 2.717 20 0 BFADHN c1cc([C@H]2CCCN2[C@@H]2CCOC2)cs1 ZINC000285264941 183363866 /nfs/dbraw/zinc/36/38/66/183363866.db2.gz ZVBGYGIHBUDKFQ-VXGBXAGGSA-N 0 3 223.341 2.674 20 0 BFADHN c1cc2c(c(CN3CCCSCC3)c1)NCC2 ZINC000130506198 183365041 /nfs/dbraw/zinc/36/50/41/183365041.db2.gz RYCYVJZMNUZVHC-UHFFFAOYSA-N 0 3 248.395 2.594 20 0 BFADHN c1cc2c(o1)CCCN(CC[C@H]1CCCO1)C2 ZINC000288636486 183372326 /nfs/dbraw/zinc/37/23/26/183372326.db2.gz AFIHULNDNXKUGL-CYBMUJFWSA-N 0 3 235.327 2.597 20 0 BFADHN c1cc2c(s1)CCC[C@H]2N[C@@H]1CCOC1 ZINC000070969434 183372916 /nfs/dbraw/zinc/37/29/16/183372916.db2.gz GNZCUDPTSCAOQO-MWLCHTKSSA-N 0 3 223.341 2.504 20 0 BFADHN c1cc2cc(CN[C@H]3CCCOC3)ccc2o1 ZINC000286603993 183375143 /nfs/dbraw/zinc/37/51/43/183375143.db2.gz RGQGVYHKYVIRSA-ZDUSSCGKSA-N 0 3 231.295 2.701 20 0 BFADHN CCCNC(=O)[C@@H](C)N[C@@H](CC)c1ccccc1 ZINC000036959761 169242355 /nfs/dbraw/zinc/24/23/55/169242355.db2.gz YQHMAZHKSGOCBV-OCCSQVGLSA-N 0 3 248.370 2.642 20 0 BFADHN CCCNC(=O)[C@@H](C)N[C@H](C)c1ccccc1C ZINC000035018779 169242445 /nfs/dbraw/zinc/24/24/45/169242445.db2.gz CJYQPSVMIAHVMO-CHWSQXEVSA-N 0 3 248.370 2.560 20 0 BFADHN c1c(CNCC2CC2)noc1-c1ccccc1 ZINC000236720644 183379268 /nfs/dbraw/zinc/37/92/68/183379268.db2.gz IZBYDNJRWRNSNC-UHFFFAOYSA-N 0 3 228.295 2.841 20 0 BFADHN c1ccc(C2(NCCOC3CCC3)CC2)cc1 ZINC000287878423 183388875 /nfs/dbraw/zinc/38/88/75/183388875.db2.gz SQXGJXXSNCQSOX-UHFFFAOYSA-N 0 3 231.339 2.834 20 0 BFADHN CCCN[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000035584313 169290111 /nfs/dbraw/zinc/29/01/11/169290111.db2.gz SMWUBSHURPYLCY-CQSZACIVSA-N 0 3 247.317 2.648 20 0 BFADHN CCC[NH2+]Cc1cc(Cl)c([O-])c(OCC)c1 ZINC000083372145 169265934 /nfs/dbraw/zinc/26/59/34/169265934.db2.gz HKYMQCIZDNMLNN-UHFFFAOYSA-N 0 3 243.734 2.944 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2NCCc1ccccn1 ZINC000123889196 183395355 /nfs/dbraw/zinc/39/53/55/183395355.db2.gz BTPBOAHRPGUSKE-AWEZNQCLSA-N 0 3 242.322 2.884 20 0 BFADHN CCCNCc1cnc(C(C)(C)C)s1 ZINC000040996677 169269088 /nfs/dbraw/zinc/26/90/88/169269088.db2.gz JBLUELGBEURBHI-UHFFFAOYSA-N 0 3 212.362 2.940 20 0 BFADHN CCCNCc1csc(-c2ccccn2)n1 ZINC000035054584 169269955 /nfs/dbraw/zinc/26/99/55/169269955.db2.gz CBCRTLAADHUYHW-UHFFFAOYSA-N 0 3 233.340 2.705 20 0 BFADHN c1ccc(CN2CCN(CC3CCC3)CC2)cc1 ZINC000307557681 183398196 /nfs/dbraw/zinc/39/81/96/183398196.db2.gz BYFXGIDNGMHXBG-UHFFFAOYSA-N 0 3 244.382 2.604 20 0 BFADHN CCCN[C@@H](C)C(=O)N(CC)c1cccc(C)c1 ZINC000295653292 169274009 /nfs/dbraw/zinc/27/40/09/169274009.db2.gz IVWSEJWLJOUOLE-ZDUSSCGKSA-N 0 3 248.370 2.736 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1cc(C)cc(C)c1 ZINC000294640206 169275003 /nfs/dbraw/zinc/27/50/03/169275003.db2.gz AZMLGTOKDJPFEG-LBPRGKRZSA-N 0 3 234.343 2.630 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1ccc(C)c(C)c1 ZINC000294850291 169275404 /nfs/dbraw/zinc/27/54/04/169275404.db2.gz UYSCXNXTTWKSCQ-LBPRGKRZSA-N 0 3 234.343 2.630 20 0 BFADHN CCCN[C@@H](C)c1ccc(Br)cn1 ZINC000133172117 169275656 /nfs/dbraw/zinc/27/56/56/169275656.db2.gz CZSNFORMQRDUEY-QMMMGPOBSA-N 0 3 243.148 2.905 20 0 BFADHN CCCN[C@@H](C)c1nc2ccccc2n1C ZINC000019476825 169276597 /nfs/dbraw/zinc/27/65/97/169276597.db2.gz IDWICPLFAWLTSV-JTQLQIEISA-N 0 3 217.316 2.634 20 0 BFADHN CCCN[C@@H]1CCc2c1c(F)ccc2F ZINC000042405497 169283366 /nfs/dbraw/zinc/28/33/66/169283366.db2.gz VUIWRTLCDLUMHQ-LLVKDONJSA-N 0 3 211.255 2.952 20 0 BFADHN CCCO[C@H]1CCCN(Cc2ccccn2)CC1 ZINC000373953034 169313237 /nfs/dbraw/zinc/31/32/37/169313237.db2.gz BIYGPQOESNUNDJ-HNNXBMFYSA-N 0 3 248.370 2.863 20 0 BFADHN c1ccc2c(c1)OC[C@H]2NC1CCSCC1 ZINC000069606628 183453312 /nfs/dbraw/zinc/45/33/12/183453312.db2.gz MNYSLEABIAXWDB-GFCCVEGCSA-N 0 3 235.352 2.605 20 0 BFADHN CCCOc1ccc(CN[C@H]2CCO[C@H]2C)cc1 ZINC000120262785 169319531 /nfs/dbraw/zinc/31/95/31/169319531.db2.gz DOPANERSDSBPGA-WFASDCNBSA-N 0 3 249.354 2.742 20 0 BFADHN CCCOc1cccc(CN[C@@]2(C)CCOC2)c1 ZINC000120237787 169325539 /nfs/dbraw/zinc/32/55/39/169325539.db2.gz JOBWSRRLBNOFES-HNNXBMFYSA-N 0 3 249.354 2.744 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnn2ccccc12 ZINC000271074682 169365077 /nfs/dbraw/zinc/36/50/77/169365077.db2.gz NOBDBENNTUYKKO-GFCCVEGCSA-N 0 3 231.343 2.955 20 0 BFADHN CCC[C@@H](C)N1CCC[C@@](O)(C(F)(F)F)C1 ZINC000337242288 169367662 /nfs/dbraw/zinc/36/76/62/169367662.db2.gz GKBIKKQDILHLOD-ZJUUUORDSA-N 0 3 239.281 2.564 20 0 BFADHN CCC[C@@H](C)NCc1oc(CC)nc1C ZINC000291976269 169370966 /nfs/dbraw/zinc/37/09/66/169370966.db2.gz UPSBMOLFXJOFNH-SECBINFHSA-N 0 3 210.321 2.824 20 0 BFADHN c1cn2c(n1)[C@@H](NCCC1CCCCC1)CC2 ZINC000345344032 183475189 /nfs/dbraw/zinc/47/51/89/183475189.db2.gz IAUXWYAMGSKDJI-ZDUSSCGKSA-N 0 3 233.359 2.888 20 0 BFADHN CCC[C@@H](C)CN(C)Cc1ccncc1 ZINC000170594881 169358197 /nfs/dbraw/zinc/35/81/97/169358197.db2.gz KWPZKGDLAJUQOD-GFCCVEGCSA-N 0 3 206.333 2.950 20 0 BFADHN CCC[C@@H](C)CN(CCO)Cc1ccc(C)o1 ZINC000355656230 169358445 /nfs/dbraw/zinc/35/84/45/169358445.db2.gz UWCHTBXGDULLOW-GFCCVEGCSA-N 0 3 239.359 2.819 20 0 BFADHN CCC[C@@H](C)NCc1cc(C(=O)OC)c(C)o1 ZINC000034981260 169370697 /nfs/dbraw/zinc/37/06/97/169370697.db2.gz PLNBDVRMKFMVCD-SECBINFHSA-N 0 3 239.315 2.653 20 0 BFADHN CCC[C@@H](C)CN[C@@H](CCO)c1ccco1 ZINC000184500720 169359986 /nfs/dbraw/zinc/35/99/86/169359986.db2.gz GZFGUCQZJHQFJD-NEPJUHHUSA-N 0 3 225.332 2.729 20 0 BFADHN CCC[C@@H](C)CN[C@H](c1nncn1C)C(C)C ZINC000352952307 169360931 /nfs/dbraw/zinc/36/09/31/169360931.db2.gz QGMWIJMNASHOHI-NEPJUHHUSA-N 0 3 238.379 2.538 20 0 BFADHN CCC[C@@H](CC)NC(=O)[C@@H]1CCCN1CCC ZINC000362698576 169377312 /nfs/dbraw/zinc/37/73/12/169377312.db2.gz JNZUGGIAKLJMFP-OLZOCXBDSA-N 0 3 240.391 2.556 20 0 BFADHN CCC[C@@H](CNc1ccnc2ccccc21)OC ZINC000356423016 169383577 /nfs/dbraw/zinc/38/35/77/169383577.db2.gz WHVFBPXGBGGIFS-LBPRGKRZSA-N 0 3 244.338 2.884 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1cncc(F)c1)OC ZINC000293801362 169385573 /nfs/dbraw/zinc/38/55/73/169385573.db2.gz YHEJLDYNAAVQOM-MFKMUULPSA-N 0 3 240.322 2.686 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1cc(C)ccn1)OC ZINC000294666784 169385879 /nfs/dbraw/zinc/38/58/79/169385879.db2.gz AGWGSQLRQXONCP-OLZOCXBDSA-N 0 3 236.359 2.856 20 0 BFADHN CCC[C@@H](N)C(=O)N(C)[C@@H](C)c1ccc(C)cc1 ZINC000037808072 169387094 /nfs/dbraw/zinc/38/70/94/169387094.db2.gz PMDCZLRVCJEYIR-GXTWGEPZSA-N 0 3 248.370 2.642 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1ncc[nH]1 ZINC000221161326 134317067 /nfs/dbraw/zinc/31/70/67/134317067.db2.gz RIUOQGPWCWLCQF-RHYQMDGZSA-N 0 3 221.348 2.885 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1ccc(F)c(Cl)c1 ZINC000036873067 169391633 /nfs/dbraw/zinc/39/16/33/169391633.db2.gz MHLITFLTMDSCBM-SNVBAGLBSA-N 0 3 244.697 2.545 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@@H](CC)c1ccc(C)cc1 ZINC000037028315 169391160 /nfs/dbraw/zinc/39/11/60/169391160.db2.gz BVLUPFYOWBVERM-KGLIPLIRSA-N 0 3 248.370 2.690 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1c(C)cccc1CC ZINC000223758536 169392068 /nfs/dbraw/zinc/39/20/68/169392068.db2.gz QWWNQEQKPRJDTA-GFCCVEGCSA-N 0 3 234.343 2.623 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@H](c1ccccc1)C(C)C ZINC000037018058 169392114 /nfs/dbraw/zinc/39/21/14/169392114.db2.gz NFZRNLHMNQAFQU-KGLIPLIRSA-N 0 3 248.370 2.627 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1cc(Cl)ccc1C ZINC000036873017 169392320 /nfs/dbraw/zinc/39/23/20/169392320.db2.gz YFAMNVWVQWWOJV-SNVBAGLBSA-N 0 3 240.734 2.714 20 0 BFADHN CCN(Cc1cnn(C(C)C)c1)CC1CC1 ZINC000179641589 134322736 /nfs/dbraw/zinc/32/27/36/134322736.db2.gz ZOICAJAWEGZUMH-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CCC[C@@H](NC(=O)[C@@H](N)C(C)C)c1ccccc1 ZINC000037030057 169396944 /nfs/dbraw/zinc/39/69/44/169396944.db2.gz JYXZLYWPNZJMOW-KGLIPLIRSA-N 0 3 248.370 2.627 20 0 BFADHN CCC[C@@H](NC(C)C)C(=O)Nc1ccsc1 ZINC000310007274 169398916 /nfs/dbraw/zinc/39/89/16/169398916.db2.gz HETAJNVUGNFTRQ-LLVKDONJSA-N 0 3 240.372 2.853 20 0 BFADHN C[C@H]1CN(CC2CCCCCC2)CCO1 ZINC000179868488 134324324 /nfs/dbraw/zinc/32/43/24/134324324.db2.gz UCFROWCVOBQFBP-LBPRGKRZSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@H](NC[C@H]1CC1(C)C)c1ccc(F)cn1 ZINC000222043533 134329292 /nfs/dbraw/zinc/32/92/92/134329292.db2.gz NIGZQLBFFRDZDA-VHSXEESVSA-N 0 3 222.307 2.917 20 0 BFADHN CCC[C@H](N)C(=O)N(C)[C@@H](C)c1ccccc1C ZINC000269073447 169500238 /nfs/dbraw/zinc/50/02/38/169500238.db2.gz BELPEKSOQBKFLH-JSGCOSHPSA-N 0 3 248.370 2.642 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](O)C(F)F)c(C)s1 ZINC000224442854 134347048 /nfs/dbraw/zinc/34/70/48/134347048.db2.gz VIDLAEDLMHFSQT-OIBJUYFYSA-N 0 3 249.326 2.642 20 0 BFADHN C[C@@H](NC[C@H](O)C(F)F)c1cccc(Cl)c1 ZINC000224459619 134347512 /nfs/dbraw/zinc/34/75/12/134347512.db2.gz OYCBTSXEWZBICF-XCBNKYQSSA-N 0 3 249.688 2.617 20 0 BFADHN C[C@H](NC[C@H](O)C(F)F)c1cccc(Cl)c1 ZINC000224459668 134347612 /nfs/dbraw/zinc/34/76/12/134347612.db2.gz OYCBTSXEWZBICF-XVKPBYJWSA-N 0 3 249.688 2.617 20 0 BFADHN Cc1ccc(C)c([C@H](C)NC[C@@H](O)C(F)F)c1 ZINC000224448474 134347767 /nfs/dbraw/zinc/34/77/67/134347767.db2.gz IKWGEJNHWNCMTB-CMPLNLGQSA-N 0 3 243.297 2.580 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCC[C@@H]2C)CCO1 ZINC000182971960 134349794 /nfs/dbraw/zinc/34/97/94/134349794.db2.gz KTYUSGSMKCYBHX-YNEHKIRRSA-N 0 3 211.349 2.533 20 0 BFADHN COc1cc(C)nc(CNCC2(C)CCC2)c1 ZINC000225911562 134360000 /nfs/dbraw/zinc/36/00/00/134360000.db2.gz LAGBDKKOLYQKRN-UHFFFAOYSA-N 0 3 234.343 2.678 20 0 BFADHN CC1(C)C[C@H]1CNCc1ccccc1F ZINC000226255738 134362381 /nfs/dbraw/zinc/36/23/81/134362381.db2.gz NEJNWXZRXYBMEJ-NSHDSACASA-N 0 3 207.292 2.961 20 0 BFADHN COC[C@@H](C)NCc1ccccc1OC(C)C ZINC000034991608 383820571 /nfs/dbraw/zinc/82/05/71/383820571.db2.gz MTJYRYRLKFEFKQ-GFCCVEGCSA-N 0 3 237.343 2.598 20 0 BFADHN CC[C@@H](N[C@@H]1CCOC1)c1ccc(F)cc1 ZINC000087416051 383836296 /nfs/dbraw/zinc/83/62/96/383836296.db2.gz QFJGOXKKFLTDCA-CHWSQXEVSA-N 0 3 223.291 2.655 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H](O)c2ccccc2)o1 ZINC000035188404 383842415 /nfs/dbraw/zinc/84/24/15/383842415.db2.gz WLMBUGCLKNHRDA-GXTWGEPZSA-N 0 3 245.322 2.972 20 0 BFADHN CN(Cc1ccccc1F)CC1(O)CCCC1 ZINC000087463823 383845937 /nfs/dbraw/zinc/84/59/37/383845937.db2.gz AZARTVLNTRPJIK-UHFFFAOYSA-N 0 3 237.318 2.563 20 0 BFADHN C[C@@H]1CCN(CCn2cccn2)[C@@H]2CCCC[C@H]12 ZINC000521194389 383851173 /nfs/dbraw/zinc/85/11/73/383851173.db2.gz NTFWMCIMBOWHFD-RBSFLKMASA-N 0 3 247.386 2.784 20 0 BFADHN CC(C)(CCc1ccccc1)NCc1cc[nH]n1 ZINC000111387478 383859952 /nfs/dbraw/zinc/85/99/52/383859952.db2.gz UWEJHJKTDQDXSF-UHFFFAOYSA-N 0 3 243.354 2.911 20 0 BFADHN Fc1ccc(F)c(CNC[C@H]2CCC=CO2)c1 ZINC000111405210 383862762 /nfs/dbraw/zinc/86/27/62/383862762.db2.gz LJUHTEXOYUDGCN-GFCCVEGCSA-N 0 3 239.265 2.747 20 0 BFADHN CCC[C@H](CC)NCc1c(C)noc1C ZINC000070125746 383866639 /nfs/dbraw/zinc/86/66/39/383866639.db2.gz DNDAONYKCVGKLH-NSHDSACASA-N 0 3 210.321 2.960 20 0 BFADHN Clc1ccc(CCCNCc2ncc[nH]2)cc1 ZINC000066594022 383874939 /nfs/dbraw/zinc/87/49/39/383874939.db2.gz CAKGQLFAQKLWNI-UHFFFAOYSA-N 0 3 249.745 2.786 20 0 BFADHN CC[C@@H](CCO)CNCc1ccccc1Cl ZINC000087362913 383830641 /nfs/dbraw/zinc/83/06/41/383830641.db2.gz JCPGHSGPIUVKQK-NSHDSACASA-N 0 3 241.762 2.838 20 0 BFADHN CC[C@H](CCO)CNCc1ccccc1Cl ZINC000087362914 383831321 /nfs/dbraw/zinc/83/13/21/383831321.db2.gz JCPGHSGPIUVKQK-LLVKDONJSA-N 0 3 241.762 2.838 20 0 BFADHN CCOCCN[C@H](C)c1cc(C)ccc1OC ZINC000035151349 383832853 /nfs/dbraw/zinc/83/28/53/383832853.db2.gz IXFLRMAEJXBAAV-GFCCVEGCSA-N 0 3 237.343 2.691 20 0 BFADHN COc1ccccc1CN1CC[C@@H](OC)[C@@H](C)C1 ZINC000449491675 383833573 /nfs/dbraw/zinc/83/35/73/383833573.db2.gz AKGSPIUMDXIZAF-GXTWGEPZSA-N 0 3 249.354 2.552 20 0 BFADHN COc1ccccc1CN1CC[C@H](OC)[C@@H](C)C1 ZINC000449491676 383833741 /nfs/dbraw/zinc/83/37/41/383833741.db2.gz AKGSPIUMDXIZAF-JSGCOSHPSA-N 0 3 249.354 2.552 20 0 BFADHN CCOCCN[C@@H]1CCSc2ccccc21 ZINC000035151259 383835040 /nfs/dbraw/zinc/83/50/40/383835040.db2.gz JTHHBPHLTGOOKB-GFCCVEGCSA-N 0 3 237.368 2.850 20 0 BFADHN Fc1cnccc1CNCC1CCC(F)CC1 ZINC000647252421 383913010 /nfs/dbraw/zinc/91/30/10/383913010.db2.gz DBIPLMMFIOQCRU-UHFFFAOYSA-N 0 3 240.297 2.839 20 0 BFADHN CC(C)[C@@H](NCc1cncn1C)c1ccccc1 ZINC000112339514 383915795 /nfs/dbraw/zinc/91/57/95/383915795.db2.gz UNVUVEULBNCEER-OAHLLOKOSA-N 0 3 243.354 2.907 20 0 BFADHN CCC[C@H](C)CN[C@@H](C)c1nnc2ccccn21 ZINC000036968799 383922305 /nfs/dbraw/zinc/92/23/05/383922305.db2.gz BTONFSZHCNGGKE-RYUDHWBXSA-N 0 3 246.358 2.816 20 0 BFADHN CC(C)C[C@@H](C)N[C@H](C)c1nnc2ccccn21 ZINC000036969019 383925106 /nfs/dbraw/zinc/92/51/06/383925106.db2.gz KKVMBVPJEMXZFB-VXGBXAGGSA-N 0 3 246.358 2.815 20 0 BFADHN CC(C)[C@@H](N)C(=O)Nc1ccccc1C(C)(C)C ZINC000037015979 383927281 /nfs/dbraw/zinc/92/72/81/383927281.db2.gz PBISMFUGFOPDRW-CYBMUJFWSA-N 0 3 248.370 2.906 20 0 BFADHN CCCCCCNCc1ncc(Cl)n1C ZINC000070187045 383884636 /nfs/dbraw/zinc/88/46/36/383884636.db2.gz HMQGWRDLYNFPLG-UHFFFAOYSA-N 0 3 229.755 2.743 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccccc1Cl ZINC000131898849 383886566 /nfs/dbraw/zinc/88/65/66/383886566.db2.gz DYHCCXDEWZBUBC-VHSXEESVSA-N 0 3 227.735 2.853 20 0 BFADHN CCC(O)(CC)CNCc1ccc(F)c(F)c1 ZINC000088225865 383890182 /nfs/dbraw/zinc/89/01/82/383890182.db2.gz SZLOAMOXQWHTHD-UHFFFAOYSA-N 0 3 243.297 2.606 20 0 BFADHN CCOCCN[C@@H]1CCCc2cc(OC)ccc21 ZINC000036228901 383890332 /nfs/dbraw/zinc/89/03/32/383890332.db2.gz YXPOPBWZNAZSLN-OAHLLOKOSA-N 0 3 249.354 2.699 20 0 BFADHN CC(C)N1CCN(C/C=C/c2ccccc2)CC1 ZINC000066863522 383897277 /nfs/dbraw/zinc/89/72/77/383897277.db2.gz HMYBXFCRFZNEDE-RMKNXTFCSA-N 0 3 244.382 2.726 20 0 BFADHN Cc1cc2cc(CN[C@H]3CO[C@H](C)C3)ccc2[nH]1 ZINC000647210955 383902823 /nfs/dbraw/zinc/90/28/23/383902823.db2.gz JSTVAIWLZFNYGF-BXUZGUMPSA-N 0 3 244.338 2.743 20 0 BFADHN CC(C)[C@H](C)NCc1nc2ccccc2n1C ZINC000066970567 383903624 /nfs/dbraw/zinc/90/36/24/383903624.db2.gz VQLIBSWYCOBDSS-NSHDSACASA-N 0 3 231.343 2.707 20 0 BFADHN Cn1c2ccccc2nc1CNCC1CCCC1 ZINC000066989810 383903689 /nfs/dbraw/zinc/90/36/89/383903689.db2.gz AHLZCHSTUXYNLQ-UHFFFAOYSA-N 0 3 243.354 2.853 20 0 BFADHN CC(=O)c1cccc(CN(C)C2CCOCC2)c1 ZINC000560220523 383981108 /nfs/dbraw/zinc/98/11/08/383981108.db2.gz ZVDAHPKRIFLISO-UHFFFAOYSA-N 0 3 247.338 2.500 20 0 BFADHN CCc1ccc([C@@H](C)NCC(OC)OC)cc1 ZINC000037566605 383986638 /nfs/dbraw/zinc/98/66/38/383986638.db2.gz VEINLFPNKPTNJX-LLVKDONJSA-N 0 3 237.343 2.519 20 0 BFADHN CC/C=C\CNCc1ccnn1CC(C)C ZINC000647214673 383993849 /nfs/dbraw/zinc/99/38/49/383993849.db2.gz LEZMMRZJXIUWNS-WAYWQWQTSA-N 0 3 221.348 2.595 20 0 BFADHN Cc1n[nH]cc1CN[C@H](c1ccccc1)C1CC1 ZINC000037075396 383935938 /nfs/dbraw/zinc/93/59/38/383935938.db2.gz CUUQTMFHMICQKR-OAHLLOKOSA-N 0 3 241.338 2.959 20 0 BFADHN CC(C)N(C[C@@H]1C[C@H]1c1ccccc1)C1COC1 ZINC000449352650 383937027 /nfs/dbraw/zinc/93/70/27/383937027.db2.gz XJIAOIFMAVMPIY-HOCLYGCPSA-N 0 3 245.366 2.899 20 0 BFADHN Fc1cc(F)cc(CN[C@H]2C[C@@H]2C(F)F)c1 ZINC000348214689 383941239 /nfs/dbraw/zinc/94/12/39/383941239.db2.gz AEUQQHFTOXKIHZ-UWVGGRQHSA-N 0 3 233.208 2.708 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1ccc(C)o1 ZINC000070623964 383944422 /nfs/dbraw/zinc/94/44/22/383944422.db2.gz ZZWYIKHZBUTMKV-WDEREUQCSA-N 0 3 211.305 2.664 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](C)Cn2cccn2)o1 ZINC000037155591 383947182 /nfs/dbraw/zinc/94/71/82/383947182.db2.gz HVOWNEJDUHFHDV-JQWIXIFHSA-N 0 3 233.315 2.524 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](C)Cn2ccnc2)o1 ZINC000037158003 383948779 /nfs/dbraw/zinc/94/87/79/383948779.db2.gz JEIGIONUIOIFMV-CMPLNLGQSA-N 0 3 233.315 2.524 20 0 BFADHN CC[C@](C)(CO)NCc1ccccc1Cl ZINC000070650093 383950662 /nfs/dbraw/zinc/95/06/62/383950662.db2.gz MJDSJNLRGFZDGW-GFCCVEGCSA-N 0 3 227.735 2.591 20 0 BFADHN c1ccc2c(c1)SCC[C@@H]2N[C@H]1CCCOC1 ZINC000112793178 383951125 /nfs/dbraw/zinc/95/11/25/383951125.db2.gz GDJXXGLBYAGDKG-AAEUAGOBSA-N 0 3 249.379 2.992 20 0 BFADHN CC(C)C[C@H](CN(C)C)N[C@@H](C)c1ccccn1 ZINC000037166822 383951788 /nfs/dbraw/zinc/95/17/88/383951788.db2.gz QEOVKTNVVPPFLK-UONOGXRCSA-N 0 3 249.402 2.709 20 0 BFADHN C[C@@H](Cc1ccc(O)cc1)NCc1nccs1 ZINC000112837634 383953741 /nfs/dbraw/zinc/95/37/41/383953741.db2.gz ATEZXWOSCDBSPQ-JTQLQIEISA-N 0 3 248.351 2.570 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(C3CC3)cc2F)CO1 ZINC000647212501 383954431 /nfs/dbraw/zinc/95/44/31/383954431.db2.gz YVCMVKNIPBLPEC-IINYFYTJSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(C3CC3)cc2F)CO1 ZINC000647212500 383955664 /nfs/dbraw/zinc/95/56/64/383955664.db2.gz YVCMVKNIPBLPEC-HZMBPMFUSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@H](N[C@H]1COCC1(C)C)c1ccc(F)cc1 ZINC000313149902 383956076 /nfs/dbraw/zinc/95/60/76/383956076.db2.gz UYPJRAOUMTUGEU-GWCFXTLKSA-N 0 3 237.318 2.901 20 0 BFADHN Cc1nc(CN2CC[C@H](C)[C@@H]2C)c(C)s1 ZINC000336469788 383957580 /nfs/dbraw/zinc/95/75/80/383957580.db2.gz VPKGIOMKFNHPTB-IUCAKERBSA-N 0 3 224.373 2.990 20 0 BFADHN CC[C@@H](CO)N[C@H](C)c1ccc(F)cc1Cl ZINC000037238688 383961663 /nfs/dbraw/zinc/96/16/63/383961663.db2.gz WOZYMVYGZQGCLO-SCZZXKLOSA-N 0 3 245.725 2.901 20 0 BFADHN COC(=O)c1ccc(CN[C@@H](C)CC(C)C)o1 ZINC000037255969 383964978 /nfs/dbraw/zinc/96/49/78/383964978.db2.gz BFKWRYOUKVEADA-JTQLQIEISA-N 0 3 239.315 2.590 20 0 BFADHN Clc1ccc(CN[C@@H]2CCCOC2)cc1 ZINC000071010866 383966473 /nfs/dbraw/zinc/96/64/73/383966473.db2.gz BAAITRPXRHSBMX-GFCCVEGCSA-N 0 3 225.719 2.609 20 0 BFADHN CCOCCN[C@H](C)c1ccc(OC)cc1F ZINC000037269194 383967502 /nfs/dbraw/zinc/96/75/02/383967502.db2.gz NPBMDOZJHGBQBB-SNVBAGLBSA-N 0 3 241.306 2.521 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(OC)cc1F ZINC000037269192 383967780 /nfs/dbraw/zinc/96/77/80/383967780.db2.gz NPBMDOZJHGBQBB-JTQLQIEISA-N 0 3 241.306 2.521 20 0 BFADHN CCOCCNCc1ccccc1OC(C)C ZINC000037269038 383969359 /nfs/dbraw/zinc/96/93/59/383969359.db2.gz SPXJOLGCTJBFRY-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN CCN(CCC(=O)c1ccc(F)cc1)C1CC1 ZINC000037322922 383972244 /nfs/dbraw/zinc/97/22/44/383972244.db2.gz GYBPSKGISYFNNX-UHFFFAOYSA-N 0 3 235.302 2.883 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCc3c(F)cccc32)CO1 ZINC000647213611 383977093 /nfs/dbraw/zinc/97/70/93/383977093.db2.gz OOHRAZJHKDJARA-ZETOZRRWSA-N 0 3 249.329 2.970 20 0 BFADHN COc1ncncc1CN[C@]1(C)CCCC[C@@H]1C ZINC000647216390 384017815 /nfs/dbraw/zinc/01/78/15/384017815.db2.gz HNNHPAKDNGYKCD-SMDDNHRTSA-N 0 3 249.358 2.544 20 0 BFADHN CSCCCNCc1cc(F)c(F)c(F)c1 ZINC000068997528 384026745 /nfs/dbraw/zinc/02/67/45/384026745.db2.gz XWUZHTOPBNANLR-UHFFFAOYSA-N 0 3 249.301 2.947 20 0 BFADHN Cc1cc(CN(C)CC2=CCCOC2)ccc1F ZINC000569423808 384009126 /nfs/dbraw/zinc/00/91/26/384009126.db2.gz AUMMEBXYABSUNY-UHFFFAOYSA-N 0 3 249.329 2.913 20 0 BFADHN Cc1ccc([C@H](C)NCc2ccnn2C)c(C)c1 ZINC000037772209 384014402 /nfs/dbraw/zinc/01/44/02/384014402.db2.gz ZREZBHCIIKABEE-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN CCCCN(CCO)Cc1ccc(Cl)s1 ZINC000049800460 384043568 /nfs/dbraw/zinc/04/35/68/384043568.db2.gz QQLYSJASMNMCRO-UHFFFAOYSA-N 0 3 247.791 2.996 20 0 BFADHN CC[C@@H](CN(Cc1ccc(C)o1)C1CC1)OC ZINC000642680314 384044359 /nfs/dbraw/zinc/04/43/59/384044359.db2.gz WSJMDWVJJCVFID-ZDUSSCGKSA-N 0 3 237.343 2.977 20 0 BFADHN CCCC1(CN[C@@H](C)c2cnccn2)CC1 ZINC000090402390 384045236 /nfs/dbraw/zinc/04/52/36/384045236.db2.gz SZIYPEBPJYMXFH-NSHDSACASA-N 0 3 219.332 2.708 20 0 BFADHN Cc1n[nH]cc1CNCc1cc(C)c(C)cc1C ZINC000037989240 384048963 /nfs/dbraw/zinc/04/89/63/384048963.db2.gz OVLIVTXWBRINDZ-UHFFFAOYSA-N 0 3 243.354 2.933 20 0 BFADHN COCC[C@H](C)NCc1nc(C2CC2)cs1 ZINC000090458383 384050937 /nfs/dbraw/zinc/05/09/37/384050937.db2.gz FAYNBULCQBGJQD-VIFPVBQESA-N 0 3 240.372 2.535 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccc(F)cc1)c1ccco1 ZINC000037857270 384027976 /nfs/dbraw/zinc/02/79/76/384027976.db2.gz IEFDWLVFELTZSL-GXFFZTMASA-N 0 3 249.285 2.803 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccc(F)cc1)c1ccco1 ZINC000037857269 384029259 /nfs/dbraw/zinc/02/92/59/384029259.db2.gz IEFDWLVFELTZSL-MFKMUULPSA-N 0 3 249.285 2.803 20 0 BFADHN C[C@@H](NCC(C)(C)C[C@H](C)O)c1nccs1 ZINC000090085875 384030399 /nfs/dbraw/zinc/03/03/99/384030399.db2.gz BZUIDMCLYXWZCE-VHSXEESVSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@@H](NCC1(O)CCCCCC1)c1cccnc1 ZINC000037889015 384031796 /nfs/dbraw/zinc/03/17/96/384031796.db2.gz IYBACQZJPXOZPP-CYBMUJFWSA-N 0 3 248.370 2.818 20 0 BFADHN Cc1ccsc1[C@H](C)NCc1c[nH]nc1C ZINC000069636705 384053829 /nfs/dbraw/zinc/05/38/29/384053829.db2.gz JUIKHIADZAKZLS-JTQLQIEISA-N 0 3 235.356 2.939 20 0 BFADHN Cn1cncc1CN[C@H]1CCCc2sccc21 ZINC000090167205 384032604 /nfs/dbraw/zinc/03/26/04/384032604.db2.gz AHIYYEKSISKAAC-LBPRGKRZSA-N 0 3 247.367 2.649 20 0 BFADHN C(N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1nc2c(s1)CCC2 ZINC000647257115 384052818 /nfs/dbraw/zinc/05/28/18/384052818.db2.gz RKUXEOXVOPMNGI-GMTAPVOTSA-N 0 3 248.395 2.910 20 0 BFADHN C[C@H](NC[C@H]1CCOC1)c1ccccc1F ZINC000037996246 384052830 /nfs/dbraw/zinc/05/28/30/384052830.db2.gz HORNLOUYUXRHIU-WDEREUQCSA-N 0 3 223.291 2.513 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@H]1CO[C@@H](C)C1 ZINC000647217176 384034898 /nfs/dbraw/zinc/03/48/98/384034898.db2.gz CRZCWWDBZVOMMR-YNEHKIRRSA-N 0 3 249.354 2.832 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCc3sccc32)CO1 ZINC000401807957 384037459 /nfs/dbraw/zinc/03/74/59/384037459.db2.gz ZMQXSMDCYPCBFU-CKYFFXLPSA-N 0 3 237.368 2.893 20 0 BFADHN COc1nccc(CN[C@H](C)CC2CCCC2)n1 ZINC000449372172 384040290 /nfs/dbraw/zinc/04/02/90/384040290.db2.gz DFYWRCVBMVONDR-LLVKDONJSA-N 0 3 249.358 2.544 20 0 BFADHN Cn1ccc(CN[C@H]2CCc3ccccc32)c1 ZINC000091007244 384088715 /nfs/dbraw/zinc/08/87/15/384088715.db2.gz VYSHHPQLUPOJKK-HNNXBMFYSA-N 0 3 226.323 2.802 20 0 BFADHN CC[C@@H](NC(CF)CF)C1CCCC1 ZINC000449380403 384089567 /nfs/dbraw/zinc/08/95/67/384089567.db2.gz MUURTRCZZAHZLB-LLVKDONJSA-N 0 3 205.292 2.852 20 0 BFADHN CCc1cccc(OCCN2C[C@@H](C)[C@H]2C)c1 ZINC000559352909 384058601 /nfs/dbraw/zinc/05/86/01/384058601.db2.gz NDAUJTGTYPUAPK-CHWSQXEVSA-N 0 3 233.355 2.968 20 0 BFADHN CC[C@@H](CN(CC)CCC(F)(F)F)OC ZINC000642684707 384063964 /nfs/dbraw/zinc/06/39/64/384063964.db2.gz WDQUXPZUUQNBLA-VIFPVBQESA-N 0 3 227.270 2.686 20 0 BFADHN Nc1ccncc1-c1ccccc1Cl ZINC000069841235 384065775 /nfs/dbraw/zinc/06/57/75/384065775.db2.gz REUNHKGJZBVIPP-UHFFFAOYSA-N 0 3 204.660 2.984 20 0 BFADHN CC(C)[C@H]1C[C@H](NCc2ccco2)CCO1 ZINC000069855705 384067994 /nfs/dbraw/zinc/06/79/94/384067994.db2.gz DWOKAAOECXAZEI-DGCLKSJQSA-N 0 3 223.316 2.573 20 0 BFADHN C[C@@H](NCc1cccc(N(C)C)n1)C(C)(C)C ZINC000090851284 384078532 /nfs/dbraw/zinc/07/85/32/384078532.db2.gz SYXLVHJVKYHSHZ-LLVKDONJSA-N 0 3 235.375 2.672 20 0 BFADHN COc1cccnc1CN[C@@H](C(C)C)C1CC1 ZINC000560346858 384080025 /nfs/dbraw/zinc/08/00/25/384080025.db2.gz PLTRMVDWRWACCB-AWEZNQCLSA-N 0 3 234.343 2.614 20 0 BFADHN C[C@@H](N[C@@H](C)CC1CC1)C(=O)Nc1ccccc1 ZINC000070102592 384079947 /nfs/dbraw/zinc/07/99/47/384079947.db2.gz RLXSBDQGAHLLBT-NWDGAFQWSA-N 0 3 246.354 2.792 20 0 BFADHN c1coc(CNC[C@@H]2COc3ccccc32)c1 ZINC000070392050 384103736 /nfs/dbraw/zinc/10/37/36/384103736.db2.gz UUQPIDCIGIHSBR-LLVKDONJSA-N 0 3 229.279 2.545 20 0 BFADHN C[C@H](NCCc1ccc(F)cc1)c1cnccn1 ZINC000070477184 384111502 /nfs/dbraw/zinc/11/15/02/384111502.db2.gz UJQMVGLOKDWGQF-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN CC(C)(C)[C@H](CO)NCc1cc2ccccc2o1 ZINC000278697114 384112438 /nfs/dbraw/zinc/11/24/38/384112438.db2.gz JLPYOOFQBVBCHZ-AWEZNQCLSA-N 0 3 247.338 2.929 20 0 BFADHN CC(=O)c1ccccc1-c1cnccc1N ZINC000091355910 384120768 /nfs/dbraw/zinc/12/07/68/384120768.db2.gz ZXDMXSXPBBOSHZ-UHFFFAOYSA-N 0 3 212.252 2.533 20 0 BFADHN C[C@H](NCC(C)(C)C)c1ccc(F)cn1 ZINC000092196207 384124019 /nfs/dbraw/zinc/12/40/19/384124019.db2.gz ZHMRKSBXEJEEEL-VIFPVBQESA-N 0 3 210.296 2.917 20 0 BFADHN CC(C)(C)NCc1ccc(-c2cc[nH]n2)o1 ZINC000278708591 384125077 /nfs/dbraw/zinc/12/50/77/384125077.db2.gz RMRQYMKLAJDJPT-UHFFFAOYSA-N 0 3 219.288 2.558 20 0 BFADHN COC[C@H](C)N[C@H]1CCc2cc(Cl)ccc21 ZINC000091437019 384126168 /nfs/dbraw/zinc/12/61/68/384126168.db2.gz KFXWWICOOFMOMX-ZANVPECISA-N 0 3 239.746 2.952 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1ccc(F)cc1 ZINC000070656241 384129841 /nfs/dbraw/zinc/12/98/41/384129841.db2.gz KOFPOYPSGKNXEU-GXFFZTMASA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1ccccc1F ZINC000070656635 384130622 /nfs/dbraw/zinc/13/06/22/384130622.db2.gz WBPKPZSNQRCLAL-WDEREUQCSA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1sc(C)nc1C ZINC000070656679 384131089 /nfs/dbraw/zinc/13/10/89/384131089.db2.gz CODSWDYIAOLMFS-GXSJLCMTSA-N 0 3 242.388 2.836 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1ccc(F)cc1 ZINC000071011428 384147887 /nfs/dbraw/zinc/14/78/87/384147887.db2.gz MDWXPFLBPHOPHI-ZWNOBZJWSA-N 0 3 223.291 2.655 20 0 BFADHN F[C@H]1CCN(CCCc2ccc3c(c2)CCO3)C1 ZINC000449388574 384148245 /nfs/dbraw/zinc/14/82/45/384148245.db2.gz AOVHQTYYXPJFGG-AWEZNQCLSA-N 0 3 249.329 2.598 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1cccs1 ZINC000071011354 384148378 /nfs/dbraw/zinc/14/83/78/384148378.db2.gz JFAYRBJWYVUUEW-VHSXEESVSA-N 0 3 211.330 2.578 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1ccccc1F ZINC000071011411 384148394 /nfs/dbraw/zinc/14/83/94/384148394.db2.gz SDJOVUURZJQOJA-GHMZBOCLSA-N 0 3 223.291 2.655 20 0 BFADHN CSc1ccc(CN[C@@H]2CCCOC2)cc1 ZINC000071011841 384148698 /nfs/dbraw/zinc/14/86/98/384148698.db2.gz NGANTAZMAQDUNB-GFCCVEGCSA-N 0 3 237.368 2.677 20 0 BFADHN Fc1ccccc1CNC[C@@H]1CCCS1 ZINC000087473150 384148796 /nfs/dbraw/zinc/14/87/96/384148796.db2.gz MALKALBDFWEPAR-NSHDSACASA-N 0 3 225.332 2.811 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cnn(C(C)(C)C)c1 ZINC000091860477 384150997 /nfs/dbraw/zinc/15/09/97/384150997.db2.gz OMRGPPOPYWCLFX-NWDGAFQWSA-N 0 3 235.375 2.868 20 0 BFADHN CCC[C@H](CNCc1cnc(CC)s1)OC ZINC000293742816 384153308 /nfs/dbraw/zinc/15/33/08/384153308.db2.gz SNEGOWUKYAVFFQ-SNVBAGLBSA-N 0 3 242.388 2.610 20 0 BFADHN CC1(C)OCC[C@@H]1N[C@H]1CCCc2occc21 ZINC000296448630 384139801 /nfs/dbraw/zinc/13/98/01/384139801.db2.gz MPNUFQUZSUAIGN-AAEUAGOBSA-N 0 3 235.327 2.814 20 0 BFADHN CCC[C@@H](N)c1cn(C[C@H](C)CC(C)C)nn1 ZINC000302329777 384142102 /nfs/dbraw/zinc/14/21/02/384142102.db2.gz KSQAIBISTFDBMS-VXGBXAGGSA-N 0 3 238.379 2.760 20 0 BFADHN CO[C@H](CC(C)C)CN(C)Cc1ccc[nH]1 ZINC000642692763 384142833 /nfs/dbraw/zinc/14/28/33/384142833.db2.gz ZGNYKCHLLCBFMW-CYBMUJFWSA-N 0 3 224.348 2.508 20 0 BFADHN CSc1ccc([C@@H](C)N[C@@H]2CCOC2)cc1 ZINC000070966285 384143123 /nfs/dbraw/zinc/14/31/23/384143123.db2.gz JCURQDLKAWVKGQ-ZYHUDNBSSA-N 0 3 237.368 2.848 20 0 BFADHN CC(C)c1ccc(CN[C@@H]2CCOC2)cc1 ZINC000070968910 384145829 /nfs/dbraw/zinc/14/58/29/384145829.db2.gz RHLWCUSLHOHFSC-CQSZACIVSA-N 0 3 219.328 2.689 20 0 BFADHN CC[C@H](CO)N(C)Cc1cccc(Cl)c1 ZINC000293988358 384172122 /nfs/dbraw/zinc/17/21/22/384172122.db2.gz WGKRKCOAEWFQFV-GFCCVEGCSA-N 0 3 227.735 2.543 20 0 BFADHN Cc1ccc(CNC[C@@H]2COc3ccccc32)o1 ZINC000092300742 384173114 /nfs/dbraw/zinc/17/31/14/384173114.db2.gz UBFAZJLKTJRWIB-GFCCVEGCSA-N 0 3 243.306 2.854 20 0 BFADHN Clc1cccc(CNCc2cc[nH]c2)c1 ZINC000080778213 384175828 /nfs/dbraw/zinc/17/58/28/384175828.db2.gz JVUBDKLPKSMLPO-UHFFFAOYSA-N 0 3 220.703 2.958 20 0 BFADHN CCC(CC)C(=O)Nc1cc(C)cc(CN)c1 ZINC000322947595 384160878 /nfs/dbraw/zinc/16/08/78/384160878.db2.gz RXQMJXUHTJUCPZ-UHFFFAOYSA-N 0 3 234.343 2.828 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1ccc2[nH]ccc2c1 ZINC000040809845 384166542 /nfs/dbraw/zinc/16/65/42/384166542.db2.gz SKKQFKMZARBPLH-CQSZACIVSA-N 0 3 245.326 2.624 20 0 BFADHN C[C@@H](N[C@H]1CC=CCC1)c1ccc(F)cn1 ZINC000092196486 384166845 /nfs/dbraw/zinc/16/68/45/384166845.db2.gz NCBPMTNXRZLJML-PWSUYJOCSA-N 0 3 220.291 2.980 20 0 BFADHN CCC1(CNCc2ccsc2Cl)COC1 ZINC000312611238 384180767 /nfs/dbraw/zinc/18/07/67/384180767.db2.gz JJYOHVUIKKMTEF-UHFFFAOYSA-N 0 3 245.775 2.918 20 0 BFADHN Cc1ccc(CN(C)CCN2CCCCC2)o1 ZINC000071809735 384182187 /nfs/dbraw/zinc/18/21/87/384182187.db2.gz VVYDBBLCPMZKBU-UHFFFAOYSA-N 0 3 236.359 2.506 20 0 BFADHN CC[C@H]1CN(CC2Cc3ccccc3C2)CCO1 ZINC000558567356 384188132 /nfs/dbraw/zinc/18/81/32/384188132.db2.gz VDJXWGRFTZVEDQ-INIZCTEOSA-N 0 3 245.366 2.512 20 0 BFADHN CSCCCN[C@H](C)c1cncs1 ZINC000132482422 384189942 /nfs/dbraw/zinc/18/99/42/384189942.db2.gz UHMOUURIZLVDDZ-MRVPVSSYSA-N 0 3 216.375 2.547 20 0 BFADHN CCc1cccc(F)c1CNCC1=CCOCC1 ZINC000449400191 384190128 /nfs/dbraw/zinc/19/01/28/384190128.db2.gz ALQPRXHNNGWVCU-UHFFFAOYSA-N 0 3 249.329 2.824 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1ccsc1 ZINC000050338316 384193962 /nfs/dbraw/zinc/19/39/62/384193962.db2.gz ZMAJUAGMGLZIIQ-MWLCHTKSSA-N 0 3 211.330 2.578 20 0 BFADHN c1ccc2c(c1)nccc2NC[C@H]1CCOC1 ZINC000041721048 384198278 /nfs/dbraw/zinc/19/82/78/384198278.db2.gz AMGMUVWCKVBFJC-LLVKDONJSA-N 0 3 228.295 2.683 20 0 BFADHN COC(=O)[C@H]1CCCCN1C[C@H](C)CC(C)C ZINC000304186005 384231434 /nfs/dbraw/zinc/23/14/34/384231434.db2.gz RYNBDFQUTQVYNX-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@@H](NC(=O)C[C@H](N)c1ccccc1)C(C)C ZINC000042592786 384232213 /nfs/dbraw/zinc/23/22/13/384232213.db2.gz CGMFADFHEQVPHS-UONOGXRCSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1cnc([C@@H](C)NCC2CCOCC2)s1 ZINC000119100572 384232673 /nfs/dbraw/zinc/23/26/73/384232673.db2.gz NAJGIRCQMAHSJC-SNVBAGLBSA-N 0 3 240.372 2.529 20 0 BFADHN CCCCOCCCN[C@@H](C)c1ccccn1 ZINC000158442903 384234492 /nfs/dbraw/zinc/23/44/92/384234492.db2.gz RXNUQBAFEFYWEQ-ZDUSSCGKSA-N 0 3 236.359 2.939 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cc(C)no1 ZINC000133334108 384234487 /nfs/dbraw/zinc/23/44/87/384234487.db2.gz RVIXNVWVAHBPHE-CMPLNLGQSA-N 0 3 208.305 2.651 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2ccco2)C1 ZINC000093418865 384236602 /nfs/dbraw/zinc/23/66/02/384236602.db2.gz MZWYESOITBKCIZ-GFCCVEGCSA-N 0 3 223.316 2.528 20 0 BFADHN CCCCN1CCN([C@@H](C)c2cccnc2)CC1 ZINC000118835541 384213419 /nfs/dbraw/zinc/21/34/19/384213419.db2.gz FVHRUNGWSYBJBY-AWEZNQCLSA-N 0 3 247.386 2.560 20 0 BFADHN COC[C@H](NC1(c2ccccc2C)CC1)C1CC1 ZINC000647316208 384215650 /nfs/dbraw/zinc/21/56/50/384215650.db2.gz URMDQQALJRZDAM-HNNXBMFYSA-N 0 3 245.366 2.999 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCOC2)c(C)c1 ZINC000132111187 384216402 /nfs/dbraw/zinc/21/64/02/384216402.db2.gz KKAIMERUTXOWIZ-AWEZNQCLSA-N 0 3 219.328 2.524 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1ccc(F)cc1 ZINC000132142178 384216655 /nfs/dbraw/zinc/21/66/55/384216655.db2.gz QFKLXHRFRJABFF-GMTAPVOTSA-N 0 3 225.307 2.900 20 0 BFADHN Cc1cc(C)cc([C@@H](C)NCc2ccnn2C)c1 ZINC000118906379 384217058 /nfs/dbraw/zinc/21/70/58/384217058.db2.gz LLZFQGPFXQTJBV-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)[C@@H]1CCOC1 ZINC000132135310 384218185 /nfs/dbraw/zinc/21/81/85/384218185.db2.gz RAISCSJTRSFJNP-BAABZTOOSA-N 0 3 235.302 2.560 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCc1ccnn1C)CC2 ZINC000118906353 384218491 /nfs/dbraw/zinc/21/84/91/384218491.db2.gz ITXLTCHDSVWNLO-OAHLLOKOSA-N 0 3 241.338 2.506 20 0 BFADHN CC[C@H](N[C@H]1CC=CCC1)c1nccn1C ZINC000132261661 384221623 /nfs/dbraw/zinc/22/16/23/384221623.db2.gz DTNSEODXOWDIGO-RYUDHWBXSA-N 0 3 219.332 2.570 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc3ncccc3c2)CO1 ZINC000335433856 384277054 /nfs/dbraw/zinc/27/70/54/384277054.db2.gz GPWNQUFFRMUYCC-FZMZJTMJSA-N 0 3 242.322 2.502 20 0 BFADHN C[C@H]1C[C@H](C)N1CCOCC1CCCC1 ZINC000560595234 384245376 /nfs/dbraw/zinc/24/53/76/384245376.db2.gz CKJPHXNORIEPQI-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)c1ccc(N(C)C(=O)[C@@H](N)C(C)C)cc1 ZINC000119196088 384245573 /nfs/dbraw/zinc/24/55/73/384245573.db2.gz XNMXRXQDYOBPAG-AWEZNQCLSA-N 0 3 248.370 2.756 20 0 BFADHN C[C@H](NC[C@@H]1CCCCO1)c1cccc(O)c1 ZINC000042812550 384246805 /nfs/dbraw/zinc/24/68/05/384246805.db2.gz BQUQFSPQODQGPM-FZMZJTMJSA-N 0 3 235.327 2.612 20 0 BFADHN CC(C)[C@@H](O)CNCc1cc(Cl)ccc1F ZINC000119246315 384249634 /nfs/dbraw/zinc/24/96/34/384249634.db2.gz BRJUDEWYPXBOHX-LBPRGKRZSA-N 0 3 245.725 2.586 20 0 BFADHN Cc1ccccc1[C@@H](NC(=O)[C@H](C)N)C(C)(C)C ZINC000119253269 384250174 /nfs/dbraw/zinc/25/01/74/384250174.db2.gz FLXHPWPAFHZWHK-WCQYABFASA-N 0 3 248.370 2.546 20 0 BFADHN Cc1cn[nH]c1CNC1(c2ccccc2C)CC1 ZINC000647224674 384277232 /nfs/dbraw/zinc/27/72/32/384277232.db2.gz TWQYGNCUBGWWCM-UHFFFAOYSA-N 0 3 241.338 2.805 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1ccc(F)cc1C ZINC000560603424 384253036 /nfs/dbraw/zinc/25/30/36/384253036.db2.gz PZRDMCNKKZMRMH-KGLIPLIRSA-N 0 3 237.318 2.791 20 0 BFADHN CCN(CCO)Cc1c(C)oc2ccccc21 ZINC000158663927 384253542 /nfs/dbraw/zinc/25/35/42/384253542.db2.gz BLJNSJUUEMNDML-UHFFFAOYSA-N 0 3 233.311 2.555 20 0 BFADHN c1cc(CN[C@H]2CC23CCCC3)nc2c1CCC2 ZINC000560612489 384254308 /nfs/dbraw/zinc/25/43/08/384254308.db2.gz RRFYRCQPVDNYMB-HNNXBMFYSA-N 0 3 242.366 2.993 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cnn(C)c2C)s1 ZINC000093650161 384257961 /nfs/dbraw/zinc/25/79/61/384257961.db2.gz VSXBWADBNZUTNN-SNVBAGLBSA-N 0 3 249.383 2.949 20 0 BFADHN Cc1c(CN[C@H](C)c2ccc(C)cc2)cnn1C ZINC000093650019 384258845 /nfs/dbraw/zinc/25/88/45/384258845.db2.gz ANWWANQAKXLGSO-GFCCVEGCSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@@H]1[C@H](c2ccccc2)CCN1Cc1cc[nH]n1 ZINC000093689813 384261544 /nfs/dbraw/zinc/26/15/44/384261544.db2.gz OOLRGNWSCVIXSB-IUODEOHRSA-N 0 3 241.338 2.788 20 0 BFADHN C[C@@H]1[C@@H](c2ccccc2)CCN1Cc1c[nH]cn1 ZINC000093689549 384262211 /nfs/dbraw/zinc/26/22/11/384262211.db2.gz YZIBMKJEBGKMJW-DOMZBBRYSA-N 0 3 241.338 2.788 20 0 BFADHN Cc1ccccc1C1(N[C@H]2CO[C@@H](C)C2)CC1 ZINC000647224615 384277868 /nfs/dbraw/zinc/27/78/68/384277868.db2.gz QBKOEVGTTZVFOP-QWHCGFSZSA-N 0 3 231.339 2.751 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](C)CC(F)F)nn1C ZINC000647319832 384266327 /nfs/dbraw/zinc/26/63/27/384266327.db2.gz MNYFCZVRYKAEDB-PSASIEDQSA-N 0 3 245.317 2.670 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](C)CC(F)F)nn1C ZINC000647319835 384266403 /nfs/dbraw/zinc/26/64/03/384266403.db2.gz MNYFCZVRYKAEDB-WCBMZHEXSA-N 0 3 245.317 2.670 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(F)c(Cl)c2)CO1 ZINC000335426286 384268940 /nfs/dbraw/zinc/26/89/40/384268940.db2.gz SFHYEYWFHYIKFD-WCBMZHEXSA-N 0 3 243.709 2.746 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](C)CC(F)F)no1 ZINC000647320035 384269190 /nfs/dbraw/zinc/26/91/90/384269190.db2.gz SIRUJYJUGMJKJD-CBAPKCEASA-N 0 3 232.274 2.925 20 0 BFADHN FCCCNC1(c2nccs2)CCCC1 ZINC000137756837 384273930 /nfs/dbraw/zinc/27/39/30/384273930.db2.gz SNVZUCJHBVAWLT-UHFFFAOYSA-N 0 3 228.336 2.862 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc(F)c(Cl)c1 ZINC000133142079 384274841 /nfs/dbraw/zinc/27/48/41/384274841.db2.gz DRKDAPCXCWEFJK-DTWKUNHWSA-N 0 3 245.725 2.992 20 0 BFADHN CCSCC[C@H](C)N(C)Cc1cccn1C ZINC000093871089 384275596 /nfs/dbraw/zinc/27/55/96/384275596.db2.gz WEUKTMGWBJHRMK-LBPRGKRZSA-N 0 3 240.416 2.989 20 0 BFADHN C[C@H](NCCOCC1CCCC1)c1ccncc1 ZINC000313300075 384242216 /nfs/dbraw/zinc/24/22/16/384242216.db2.gz NMQRJDRNDKRGLG-ZDUSSCGKSA-N 0 3 248.370 2.939 20 0 BFADHN CCSCCN(C)[C@H](C)c1cccnc1 ZINC000119796141 384304537 /nfs/dbraw/zinc/30/45/37/384304537.db2.gz SYQSYROTYKOECT-LLVKDONJSA-N 0 3 224.373 2.828 20 0 BFADHN CCSCCN(C)[C@@H](C)c1cccnc1 ZINC000119795995 384306292 /nfs/dbraw/zinc/30/62/92/384306292.db2.gz SYQSYROTYKOECT-NSHDSACASA-N 0 3 224.373 2.828 20 0 BFADHN CCN(CCc1nccs1)Cc1ccccn1 ZINC000159164509 384308245 /nfs/dbraw/zinc/30/82/45/384308245.db2.gz LFTIQHHXQDQZRM-UHFFFAOYSA-N 0 3 247.367 2.603 20 0 BFADHN COC[C@@H](C)N[C@@H]1CCCOc2ccccc21 ZINC000133681041 384308595 /nfs/dbraw/zinc/30/85/95/384308595.db2.gz MFXDEAJSJKIEQZ-DGCLKSJQSA-N 0 3 235.327 2.525 20 0 BFADHN CN(Cc1ccc(F)cc1)[C@@H]1CCSC1 ZINC000119862656 384308903 /nfs/dbraw/zinc/30/89/03/384308903.db2.gz NJPFCXWTTUTMRK-GFCCVEGCSA-N 0 3 225.332 2.763 20 0 BFADHN CCn1ccnc1CNCC12CCC(CC1)C2 ZINC000533800993 384313073 /nfs/dbraw/zinc/31/30/73/384313073.db2.gz OYRCCYHFHZHSBV-UHFFFAOYSA-N 0 3 233.359 2.573 20 0 BFADHN CC[C@H](C)N1CCN(c2ccccc2)CC1 ZINC000168787022 384320783 /nfs/dbraw/zinc/32/07/83/384320783.db2.gz BNRZIEBVCLWUMH-ZDUSSCGKSA-N 0 3 218.344 2.607 20 0 BFADHN C[C@@H](NC[C@]1(C)CCCC[C@@H]1O)c1ccccn1 ZINC000159302537 384320698 /nfs/dbraw/zinc/32/06/98/384320698.db2.gz JQJJLRGEAFXILE-SNPRPXQTSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@]12C[C@H]1CCC[C@@H]2NCc1ccco1 ZINC000282429864 384278752 /nfs/dbraw/zinc/27/87/52/384278752.db2.gz YZKMDQKQCJZYSK-WXHSDQCUSA-N 0 3 205.301 2.948 20 0 BFADHN Cc1nnc(CN2[C@H](C)C[C@H]3CCCC[C@@H]32)o1 ZINC000173889342 384278817 /nfs/dbraw/zinc/27/88/17/384278817.db2.gz UAMPHISEDZWRHM-JLLWLGSASA-N 0 3 235.331 2.531 20 0 BFADHN CC(C)CCOCCN[C@H](C)c1cncs1 ZINC000119535252 384283474 /nfs/dbraw/zinc/28/34/74/384283474.db2.gz ULCUISUPRPEQLX-LLVKDONJSA-N 0 3 242.388 2.856 20 0 BFADHN C[C@H](CC1CC1)N[C@H](C)c1nccs1 ZINC000083143460 384283946 /nfs/dbraw/zinc/28/39/46/384283946.db2.gz IFTYLFJMRUQZGE-RKDXNWHRSA-N 0 3 210.346 2.982 20 0 BFADHN CN(C)Cc1cccc(NC(=O)C2CC=CC2)c1 ZINC000119565511 384284546 /nfs/dbraw/zinc/28/45/46/384284546.db2.gz LCJTVSZPPZKECS-UHFFFAOYSA-N 0 3 244.338 2.653 20 0 BFADHN COc1ccc(CN2[C@H](C)C[C@@H]2C)cc1C ZINC000525996866 384285108 /nfs/dbraw/zinc/28/51/08/384285108.db2.gz PENQMOCKYYBMHJ-TXEJJXNPSA-N 0 3 219.328 2.986 20 0 BFADHN CC[C@H](CCO)CN[C@H](C)c1ccccc1F ZINC000094948742 384321533 /nfs/dbraw/zinc/32/15/33/384321533.db2.gz HDZOCTBLNOWTGS-VXGBXAGGSA-N 0 3 239.334 2.885 20 0 BFADHN COCc1ccc(CN2CCCC[C@H]2C)o1 ZINC000158921786 384287041 /nfs/dbraw/zinc/28/70/41/384287041.db2.gz IQINGWBVLUWXIM-LLVKDONJSA-N 0 3 223.316 2.800 20 0 BFADHN COC[C@H](NCC1CCCC1)c1ccco1 ZINC000133362320 384288326 /nfs/dbraw/zinc/28/83/26/384288326.db2.gz MCRRAAGEBOWEEQ-LBPRGKRZSA-N 0 3 223.316 2.747 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CCC(C)(C)CC1 ZINC000168676307 384289924 /nfs/dbraw/zinc/28/99/24/384289924.db2.gz OWEOXXMGLCDYQR-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000282469412 384292034 /nfs/dbraw/zinc/29/20/34/384292034.db2.gz GJVJXPMUWQIQOY-ZJUUUORDSA-N 0 3 211.305 2.582 20 0 BFADHN Cc1ccc([C@@H](C)NCC[C@@H](C)CCO)o1 ZINC000297447337 384294102 /nfs/dbraw/zinc/29/41/02/384294102.db2.gz WQDVHCNWKUFMOL-ZYHUDNBSSA-N 0 3 225.332 2.647 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cnn3ccccc23)C1 ZINC000179513104 384302177 /nfs/dbraw/zinc/30/21/77/384302177.db2.gz BMHVLOOREKLXJS-CHWSQXEVSA-N 0 3 243.354 2.812 20 0 BFADHN CCc1ccc(CN(C)C[C@H]2CCCOC2)o1 ZINC000119754509 384303668 /nfs/dbraw/zinc/30/36/68/384303668.db2.gz CVJCERCGCGDFMM-GFCCVEGCSA-N 0 3 237.343 2.700 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@@H]1CCCc2sccc21 ZINC000134212901 384340762 /nfs/dbraw/zinc/34/07/62/384340762.db2.gz MUQSDPPULPIQSC-MVWJERBFSA-N 0 3 237.368 2.893 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1ccc2ncccc2c1 ZINC000134247088 384342009 /nfs/dbraw/zinc/34/20/09/384342009.db2.gz YONMECWCFOJBQR-RISCZKNCSA-N 0 3 242.322 2.502 20 0 BFADHN CN(C[C@H](O)c1cccc(Cl)c1)C1CCC1 ZINC000075840697 384343041 /nfs/dbraw/zinc/34/30/41/384343041.db2.gz QVJUHYWWHSBNGC-ZDUSSCGKSA-N 0 3 239.746 2.858 20 0 BFADHN CCC1([NH2+]Cc2cc([O-])cc(F)c2)CCC1 ZINC000159622233 384346306 /nfs/dbraw/zinc/34/63/06/384346306.db2.gz DMFLZTNXRBEGGZ-UHFFFAOYSA-N 0 3 223.291 2.954 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@H]1C)c1ccsc1 ZINC000134391546 384351421 /nfs/dbraw/zinc/35/14/21/384351421.db2.gz NJDUEPGLKWXKIV-ATZCPNFKSA-N 0 3 211.330 2.576 20 0 BFADHN C[C@H](NC[C@@H]1CCSC1)c1ccco1 ZINC000224316708 384361868 /nfs/dbraw/zinc/36/18/68/384361868.db2.gz RHOWCCQBKLRUMW-UWVGGRQHSA-N 0 3 211.330 2.683 20 0 BFADHN Clc1cnccc1CN[C@@H]1CC=CCC1 ZINC000084085166 384363755 /nfs/dbraw/zinc/36/37/55/384363755.db2.gz DPYBSXMAJQRKII-LLVKDONJSA-N 0 3 222.719 2.933 20 0 BFADHN OCCCCCNCc1c(F)cccc1Cl ZINC000013717898 384368730 /nfs/dbraw/zinc/36/87/30/384368730.db2.gz YWYQLCMVFXFBHJ-UHFFFAOYSA-N 0 3 245.725 2.731 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@@H]1CCc2c1cccc2F ZINC000120649905 384369921 /nfs/dbraw/zinc/36/99/21/384369921.db2.gz UZBFBKXCLNLDRG-CUOATXAZSA-N 0 3 235.302 2.580 20 0 BFADHN CCC(C)(C)CNCc1cccc2c1OCCO2 ZINC000174389226 384328109 /nfs/dbraw/zinc/32/81/09/384328109.db2.gz IHSHSIAMEYNJIF-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN COc1ccccc1CN1CC[C@H]1C1CC1 ZINC000449425960 384329407 /nfs/dbraw/zinc/32/94/07/384329407.db2.gz NVDCLCKLEUQUCH-ZDUSSCGKSA-N 0 3 217.312 2.680 20 0 BFADHN CC(C)(C)[C@H]1CC[C@H]1[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000517509963 384329914 /nfs/dbraw/zinc/32/99/14/384329914.db2.gz NWQMSGVVJIKFOX-WDEREUQCSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)(C)[C@H]1CC[C@H]1NCc1nnc(C2CC2)[nH]1 ZINC000517509963 384329922 /nfs/dbraw/zinc/32/99/22/384329922.db2.gz NWQMSGVVJIKFOX-WDEREUQCSA-N 0 3 248.374 2.596 20 0 BFADHN Cc1nc(CN[C@H]2CC3CCC2CC3)oc1C ZINC000335620984 384391746 /nfs/dbraw/zinc/39/17/46/384391746.db2.gz YZLZERSGGKQPNH-BPCQOVAHSA-N 0 3 234.343 2.960 20 0 BFADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1ccccn1 ZINC000160214193 384391885 /nfs/dbraw/zinc/39/18/85/384391885.db2.gz VHOLFZTXMZMFQP-RYUDHWBXSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1ccccn1 ZINC000160214459 384393474 /nfs/dbraw/zinc/39/34/74/384393474.db2.gz VHOLFZTXMZMFQP-NWDGAFQWSA-N 0 3 234.343 2.690 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1snnc1C ZINC000556455257 384394912 /nfs/dbraw/zinc/39/49/12/384394912.db2.gz GDJVOWUOLMGBQB-VIFPVBQESA-N 0 3 227.377 2.761 20 0 BFADHN CC1(C)CCCN(Cc2cncs2)C1 ZINC000121129153 384398878 /nfs/dbraw/zinc/39/88/78/384398878.db2.gz MBHARFWQVIYRNQ-UHFFFAOYSA-N 0 3 210.346 2.765 20 0 BFADHN C[C@H](NCc1ccc([C@H]2C[C@H]2C)o1)[C@@H]1CCOC1 ZINC000135215985 384426307 /nfs/dbraw/zinc/42/63/07/384426307.db2.gz TVBPDOADAVNVNG-CZXHOFHRSA-N 0 3 249.354 2.918 20 0 BFADHN C[C@@H](NCCN(C)C)c1ccc(Cl)s1 ZINC000035285580 384407384 /nfs/dbraw/zinc/40/73/84/384407384.db2.gz VZCQFLLOCABQJS-MRVPVSSYSA-N 0 3 232.780 2.614 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1cccc(O)c1 ZINC000169084375 384408266 /nfs/dbraw/zinc/40/82/66/384408266.db2.gz AIYHASORPMBVET-VHSXEESVSA-N 0 3 225.357 2.794 20 0 BFADHN C[C@H](CCO)N[C@@H](C)c1cc2ccccc2o1 ZINC000121312255 384408798 /nfs/dbraw/zinc/40/87/98/384408798.db2.gz HOGAEOBOSWYNDZ-MNOVXSKESA-N 0 3 233.311 2.854 20 0 BFADHN Fc1cccc(CN(C[C@@H]2CCCO2)C2CC2)c1 ZINC000121300890 384409526 /nfs/dbraw/zinc/40/95/26/384409526.db2.gz WQUDKKLQWWOXMY-HNNXBMFYSA-N 0 3 249.329 2.969 20 0 BFADHN C[C@]1(F)CCN([C@H]2C[C@@H]2c2ccccc2)C1 ZINC000361352418 384413989 /nfs/dbraw/zinc/41/39/89/384413989.db2.gz DCUKAJPRRJIMTB-RDBSUJKOSA-N 0 3 219.303 2.976 20 0 BFADHN COCc1nc(CN[C@H]2CC[C@@H](C)C2)cs1 ZINC000275842708 384421012 /nfs/dbraw/zinc/42/10/12/384421012.db2.gz QHDZJGJWYYGRMI-ZJUUUORDSA-N 0 3 240.372 2.568 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2C=C[C@H](CO)C2)c(C)c1 ZINC000134645143 384376207 /nfs/dbraw/zinc/37/62/07/384376207.db2.gz AZKGMQGJGYZHKQ-QLFBSQMISA-N 0 3 245.366 2.891 20 0 BFADHN Cc1csc(CNCC[C@@H]2CCCCO2)n1 ZINC000134670537 384377546 /nfs/dbraw/zinc/37/75/46/384377546.db2.gz CZXXQIDISQTWFG-NSHDSACASA-N 0 3 240.372 2.500 20 0 BFADHN C[C@H](NC1CCSCC1)c1ccncc1F ZINC000335605388 384378049 /nfs/dbraw/zinc/37/80/49/384378049.db2.gz ZQEJGHURABDRDK-VIFPVBQESA-N 0 3 240.347 2.767 20 0 BFADHN Cc1ccoc1CN[C@H](C)CN1CCCCC1 ZINC000134768352 384386888 /nfs/dbraw/zinc/38/68/88/384386888.db2.gz UIFMWFQNLHMXPF-CYBMUJFWSA-N 0 3 236.359 2.552 20 0 BFADHN CC[C@H](NCc1cnc(C(C)C)s1)[C@@H](C)O ZINC000449536521 384387583 /nfs/dbraw/zinc/38/75/83/384387583.db2.gz FFMWKWFXAJYHJM-KOLCDFICSA-N 0 3 242.388 2.516 20 0 BFADHN CCC[C@@H](O)CNCc1ccc(F)c(Cl)c1 ZINC000160145975 384389269 /nfs/dbraw/zinc/38/92/69/384389269.db2.gz XDKMGTSPWMSXMX-SNVBAGLBSA-N 0 3 245.725 2.730 20 0 BFADHN CC(C)[C@H](O)CNCc1ccc(F)c(Cl)c1 ZINC000160147277 384389328 /nfs/dbraw/zinc/38/93/28/384389328.db2.gz CYVDLNBHMBTOHV-GFCCVEGCSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@H](CO)N(C)Cc1cccc(C(F)(F)F)c1 ZINC000135507976 384447826 /nfs/dbraw/zinc/44/78/26/384447826.db2.gz BKAWARKOIUEKNA-SECBINFHSA-N 0 3 247.260 2.518 20 0 BFADHN CC[C@@H](C)N1CCc2ccc(OC)cc2C1 ZINC000181117825 384449633 /nfs/dbraw/zinc/44/96/33/384449633.db2.gz FQCJQZMWAMCZTK-LLVKDONJSA-N 0 3 219.328 2.852 20 0 BFADHN Cc1ccccc1CN[C@H]1COC(C)(C)C1 ZINC000335658468 384455144 /nfs/dbraw/zinc/45/51/44/384455144.db2.gz XXHSZFKIODSFQH-CYBMUJFWSA-N 0 3 219.328 2.652 20 0 BFADHN CCc1ccc(CN[C@@H]2CCCCC[C@H]2O)o1 ZINC000135611794 384455587 /nfs/dbraw/zinc/45/55/87/384455587.db2.gz UVKIBCRROUQGRZ-ZIAGYGMSSA-N 0 3 237.343 2.625 20 0 BFADHN C[C@H](C(=O)Nc1ccccc1)N(C)CCC1CC1 ZINC000135276759 384430460 /nfs/dbraw/zinc/43/04/60/384430460.db2.gz ANJFJOAEHDIYEH-GFCCVEGCSA-N 0 3 246.354 2.746 20 0 BFADHN CN(CCC1CC1)Cc1cn2ccccc2n1 ZINC000135284446 384432274 /nfs/dbraw/zinc/43/22/74/384432274.db2.gz JOLXQGHMPORUQJ-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CCOCCNCc1ccc(Cl)s1 ZINC000036228383 384433739 /nfs/dbraw/zinc/43/37/39/384433739.db2.gz YWHBGXSUTVGMRD-UHFFFAOYSA-N 0 3 219.737 2.528 20 0 BFADHN COC(C)(C)[C@H](C)NCc1ccc(F)c(F)c1 ZINC000305929971 384436586 /nfs/dbraw/zinc/43/65/86/384436586.db2.gz DDSDVZDWOPWTFY-VIFPVBQESA-N 0 3 243.297 2.868 20 0 BFADHN CCN(Cc1cccc(OCCOC)c1)C1CC1 ZINC000180100662 384439448 /nfs/dbraw/zinc/43/94/48/384439448.db2.gz OIENFQQOATUFTC-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1cnc(CNC[C@H](C)CC(F)F)s1 ZINC000647227102 384439851 /nfs/dbraw/zinc/43/98/51/384439851.db2.gz UNENXDRZOPRCCD-SSDOTTSWSA-N 0 3 234.315 2.832 20 0 BFADHN CC[C@H](C)N1CCc2cc(F)ccc2C1 ZINC000181161873 384462056 /nfs/dbraw/zinc/46/20/56/384462056.db2.gz GYQMLPVNOZLCQZ-JTQLQIEISA-N 0 3 207.292 2.982 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@H]2CCCO2)o1 ZINC000252133425 384463140 /nfs/dbraw/zinc/46/31/40/384463140.db2.gz MGOIYRDLYCYBSH-WZRBSPASSA-N 0 3 235.327 2.672 20 0 BFADHN CCCCOCCCNCc1cnc(C)s1 ZINC000136008283 384475457 /nfs/dbraw/zinc/47/54/57/384475457.db2.gz OHZRCVPFZWETOH-UHFFFAOYSA-N 0 3 242.388 2.748 20 0 BFADHN CC(C)(C)CC(=O)Nc1ccc2c(c1)CNC2 ZINC000335664600 384479139 /nfs/dbraw/zinc/47/91/39/384479139.db2.gz UFGWIRBCHCZSSU-UHFFFAOYSA-N 0 3 232.327 2.665 20 0 BFADHN C[C@@H](CCO)NCc1ccccc1C(F)(F)F ZINC000096811810 384479660 /nfs/dbraw/zinc/47/96/60/384479660.db2.gz IPWXIWDLOPJWNZ-VIFPVBQESA-N 0 3 247.260 2.566 20 0 BFADHN CCN(Cc1cc2ccccc2[nH]1)[C@@H]1CCOC1 ZINC000643353303 384482873 /nfs/dbraw/zinc/48/28/73/384482873.db2.gz IWAUXSABHSCFKE-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN CN(C)Cc1cccc(NC(=O)C(C)(C)C)c1 ZINC000047452667 384483102 /nfs/dbraw/zinc/48/31/02/384483102.db2.gz QJURBNPSVMPMSX-UHFFFAOYSA-N 0 3 234.343 2.733 20 0 BFADHN CCC[C@@H]1CCCN(Cc2ccc(N)nc2)C1 ZINC000647517270 384484835 /nfs/dbraw/zinc/48/48/35/384484835.db2.gz BDSRFZGZYUXKKN-GFCCVEGCSA-N 0 3 233.359 2.506 20 0 BFADHN CSc1cc(C)ccc1CN[C@@H](C)[C@H](C)O ZINC000566112348 384496282 /nfs/dbraw/zinc/49/62/82/384496282.db2.gz MYNKOWYSBAWGMZ-QWRGUYRKSA-N 0 3 239.384 2.576 20 0 BFADHN C[C@@H]1CC(O)C[C@H](C)N1C/C=C\c1ccccc1 ZINC000556812327 384497883 /nfs/dbraw/zinc/49/78/83/384497883.db2.gz URNJDIIOYLWTRL-FDZHUFOGSA-N 0 3 245.366 2.934 20 0 BFADHN C[C@@H]1[C@@H](CO)CCN1Cc1ccccc1Cl ZINC000335812580 384501446 /nfs/dbraw/zinc/50/14/46/384501446.db2.gz RFYYLTWKTLIFFO-ZYHUDNBSSA-N 0 3 239.746 2.543 20 0 BFADHN Cc1ccc(CNCc2cccn2C)cc1F ZINC000161565591 384503586 /nfs/dbraw/zinc/50/35/86/384503586.db2.gz IXPIDUUUEUMKMB-UHFFFAOYSA-N 0 3 232.302 2.762 20 0 BFADHN Cc1ccc(F)c(CN[C@](C)(CO)C(C)C)c1 ZINC000353079536 384504801 /nfs/dbraw/zinc/50/48/01/384504801.db2.gz JUDNWMUDDHYQHT-CQSZACIVSA-N 0 3 239.334 2.631 20 0 BFADHN CCc1cccnc1[C@@H](C)NCC[C@@H](C)OC ZINC000356850319 384505775 /nfs/dbraw/zinc/50/57/75/384505775.db2.gz QDPATAYJANQPKQ-VXGBXAGGSA-N 0 3 236.359 2.720 20 0 BFADHN C[C@H](NC1CCSCC1)c1ccc(F)cn1 ZINC000161583007 384506506 /nfs/dbraw/zinc/50/65/06/384506506.db2.gz IGCANGHRAXQUEU-VIFPVBQESA-N 0 3 240.347 2.767 20 0 BFADHN C[C@H](CCNCc1cncnc1)c1ccccc1 ZINC000061910127 384486413 /nfs/dbraw/zinc/48/64/13/384486413.db2.gz QJQXLEOKSFYOLM-CYBMUJFWSA-N 0 3 241.338 2.760 20 0 BFADHN Cc1nocc1CN1C[C@H](C)CC[C@@H]1C ZINC000295390686 384492589 /nfs/dbraw/zinc/49/25/89/384492589.db2.gz PVBVFMLVJCOVQP-ZJUUUORDSA-N 0 3 208.305 2.603 20 0 BFADHN Cn1cnc(CN[C@@H]2CCC[C@@H]2C(C)(C)C)c1 ZINC000642891120 384494204 /nfs/dbraw/zinc/49/42/04/384494204.db2.gz CXZURBMQQPGAMY-QWHCGFSZSA-N 0 3 235.375 2.725 20 0 BFADHN FCCCN1CCN(CC2CCCCC2)CC1 ZINC000123126455 384535863 /nfs/dbraw/zinc/53/58/63/384535863.db2.gz LIDCJGFYCSQNDE-UHFFFAOYSA-N 0 3 242.382 2.544 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)NCc1ccn(C)n1 ZINC000356869758 384515559 /nfs/dbraw/zinc/51/55/59/384515559.db2.gz NPKAEHOKTSKBOL-STQMWFEESA-N 0 3 237.391 2.971 20 0 BFADHN C[C@H]1C[C@@H](NC2(c3ccc(F)cc3)CCC2)CO1 ZINC000647178256 384518028 /nfs/dbraw/zinc/51/80/28/384518028.db2.gz IUYHITZGKYSVTN-SMDDNHRTSA-N 0 3 249.329 2.972 20 0 BFADHN CN(Cc1ccc(N2CCCC2)nc1)CC1CC1 ZINC000122908936 384518790 /nfs/dbraw/zinc/51/87/90/384518790.db2.gz BCBCXAPNLQZZAP-UHFFFAOYSA-N 0 3 245.370 2.524 20 0 BFADHN Cc1ccc([C@@H](C)NCc2ccnn2C)s1 ZINC000049160098 384520550 /nfs/dbraw/zinc/52/05/50/384520550.db2.gz LOMSQRFWJHBVSI-SNVBAGLBSA-N 0 3 235.356 2.641 20 0 BFADHN C[C@H](N[C@H]1CC=CCC1)c1cscn1 ZINC000161725022 384521737 /nfs/dbraw/zinc/52/17/37/384521737.db2.gz YBMUCULTRARQBU-UWVGGRQHSA-N 0 3 208.330 2.902 20 0 BFADHN C[C@H](CCO)CNc1ccnc2ccccc21 ZINC000097443084 384521811 /nfs/dbraw/zinc/52/18/11/384521811.db2.gz BAFUJWJLGOQMOY-LLVKDONJSA-N 0 3 230.311 2.665 20 0 BFADHN CN(Cc1cc(F)c(F)c(F)c1)CC1CC1 ZINC000122923352 384524121 /nfs/dbraw/zinc/52/41/21/384524121.db2.gz DAQPANMWJINXLT-UHFFFAOYSA-N 0 3 229.245 2.946 20 0 BFADHN Cc1nc(CN[C@H]2CC=CCC2)cs1 ZINC000080123847 384530254 /nfs/dbraw/zinc/53/02/54/384530254.db2.gz FOKJOHCVZUZIQJ-JTQLQIEISA-N 0 3 208.330 2.650 20 0 BFADHN c1coc(CN[C@H]2CCc3cccnc3CC2)c1 ZINC000335074089 384531741 /nfs/dbraw/zinc/53/17/41/384531741.db2.gz MTSLFKZVHWGDDY-ZDUSSCGKSA-N 0 3 242.322 2.712 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CSc3ccccc32)CO1 ZINC000402199168 384562673 /nfs/dbraw/zinc/56/26/73/384562673.db2.gz GPLGKHDVAFVAGP-JFGNBEQYSA-N 0 3 235.352 2.600 20 0 BFADHN C[C@H](CN(C)Cc1ccccn1)c1nccs1 ZINC000183933602 384542858 /nfs/dbraw/zinc/54/28/58/384542858.db2.gz SJSAVKLLJLQGTP-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN CCOCCN[C@@H](C)c1cc2c(s1)CCC2 ZINC000161929219 384544367 /nfs/dbraw/zinc/54/43/67/384544367.db2.gz KJQWHLGZZWXVGM-JTQLQIEISA-N 0 3 239.384 2.924 20 0 BFADHN CCC[C@@H](O)CNCc1ccc(SC)cc1 ZINC000123300041 384547917 /nfs/dbraw/zinc/54/79/17/384547917.db2.gz ILVCPYUETNKLIP-GFCCVEGCSA-N 0 3 239.384 2.659 20 0 BFADHN CSc1ccc([C@@H](C)N[C@@H](C)CO)cc1F ZINC000123315544 384550099 /nfs/dbraw/zinc/55/00/99/384550099.db2.gz TWWJEQOBVVFKLE-DTWKUNHWSA-N 0 3 243.347 2.579 20 0 BFADHN CCOCCNCc1cc2cc(OC)ccc2o1 ZINC000123384181 384553723 /nfs/dbraw/zinc/55/37/23/384553723.db2.gz VOUUQMHNSFXBFC-UHFFFAOYSA-N 0 3 249.310 2.568 20 0 BFADHN CCc1ccc(CN2CCCO[C@H](C)C2)cc1 ZINC000172246849 384553896 /nfs/dbraw/zinc/55/38/96/384553896.db2.gz BMDAFOQPZJBGTF-CYBMUJFWSA-N 0 3 233.355 2.860 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cccc(F)c2N)C1 ZINC000157978843 384563098 /nfs/dbraw/zinc/56/30/98/384563098.db2.gz FGYYGJNPBCMCDR-NXEZZACHSA-N 0 3 222.307 2.638 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1ccc(F)c(F)c1 ZINC000019904901 384556930 /nfs/dbraw/zinc/55/69/30/384556930.db2.gz AVTXNUTVRVMEGE-RKDXNWHRSA-N 0 3 229.270 2.650 20 0 BFADHN CC(C)CCC[C@@H](C)NCc1ncc[nH]1 ZINC000049714703 384560545 /nfs/dbraw/zinc/56/05/45/384560545.db2.gz YJWJNXWMUXRJMZ-LLVKDONJSA-N 0 3 209.337 2.714 20 0 BFADHN Cc1ccc([C@@H](C)NCCc2ccccn2)o1 ZINC000019916642 384560689 /nfs/dbraw/zinc/56/06/89/384560689.db2.gz WYPORQMAKDRBCL-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN CC1(CN2CC=C(C(F)(F)F)CC2)CC1 ZINC000559111942 384562002 /nfs/dbraw/zinc/56/20/02/384562002.db2.gz WYZAKEYTWWOGOQ-UHFFFAOYSA-N 0 3 219.250 2.981 20 0 BFADHN C[C@@H](NCc1ccn(C)c1)c1ccsc1 ZINC000085604261 384539764 /nfs/dbraw/zinc/53/97/64/384539764.db2.gz DDQPCXRZLTYOOS-SNVBAGLBSA-N 0 3 220.341 2.937 20 0 BFADHN C[C@H](NCc1cc[nH]c1)c1cccs1 ZINC000085578893 384540033 /nfs/dbraw/zinc/54/00/33/384540033.db2.gz SEWZWIMMGWMCRL-VIFPVBQESA-N 0 3 206.314 2.927 20 0 BFADHN c1c(CNC2CCCCC2)nc2ccccn12 ZINC000020035742 384570526 /nfs/dbraw/zinc/57/05/26/384570526.db2.gz RHQNKSCXJMXSSN-UHFFFAOYSA-N 0 3 229.327 2.757 20 0 BFADHN CCC[C@H](N)C(=O)N1CCC[C@@H](C)[C@@H]1CCC ZINC000236802272 384573413 /nfs/dbraw/zinc/57/34/13/384573413.db2.gz SFNVKMVXFNIYSS-AGIUHOORSA-N 0 3 240.391 2.541 20 0 BFADHN C[C@H](NCCOc1ccccc1)c1ccncc1 ZINC000049855270 384575282 /nfs/dbraw/zinc/57/52/82/384575282.db2.gz NOGIMXYULYTSAV-ZDUSSCGKSA-N 0 3 242.322 2.811 20 0 BFADHN Cc1nnc([C@H](C)NC2[C@H](C)CCC[C@H]2C)o1 ZINC000408196568 384577391 /nfs/dbraw/zinc/57/73/91/384577391.db2.gz DNXJZMGWFBSAOC-BBBLOLIVSA-N 0 3 237.347 2.853 20 0 BFADHN Cc1ccc(C)c(NC(=O)CN(C)C(C)(C)C)c1 ZINC000517932682 384577786 /nfs/dbraw/zinc/57/77/86/384577786.db2.gz PAAZVLFVIQQMEG-UHFFFAOYSA-N 0 3 248.370 2.972 20 0 BFADHN CC/C=C/CNCc1c(C)nn(CCC)c1C ZINC000449450156 384577840 /nfs/dbraw/zinc/57/78/40/384577840.db2.gz AVTFBFBDYAKINK-BQYQJAHWSA-N 0 3 235.375 2.966 20 0 BFADHN CC/C=C\CNCc1c(C)nn(CCC)c1C ZINC000449450159 384580399 /nfs/dbraw/zinc/58/03/99/384580399.db2.gz AVTFBFBDYAKINK-FPLPWBNLSA-N 0 3 235.375 2.966 20 0 BFADHN Oc1ccccc1SCCN1CCCCC1 ZINC000050046963 384585720 /nfs/dbraw/zinc/58/57/20/384585720.db2.gz WCCNZQWNBAYSPN-UHFFFAOYSA-N 0 3 237.368 2.970 20 0 BFADHN CC[C@](C)(CO)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000080886604 384588771 /nfs/dbraw/zinc/58/87/71/384588771.db2.gz KHTDJVVBZGJZLK-VHRBIJSZSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1cccc(F)c1 ZINC000019963860 384567064 /nfs/dbraw/zinc/56/70/64/384567064.db2.gz JYZCVGYBOCCYGY-ZWNOBZJWSA-N 0 3 223.291 2.655 20 0 BFADHN CCC[C@H](O)CN(C)Cc1cccc(C)c1 ZINC000050193567 384605618 /nfs/dbraw/zinc/60/56/18/384605618.db2.gz LEPWYWMTSOCEFR-AWEZNQCLSA-N 0 3 221.344 2.588 20 0 BFADHN C1=C[C@H](N2CCO[C@@H](C3CC3)C2)CCCCC1 ZINC000559155797 384609358 /nfs/dbraw/zinc/60/93/58/384609358.db2.gz RNLNVMNEGNTQRN-LSDHHAIUSA-N 0 3 235.371 2.986 20 0 BFADHN Cc1ccc(CN[C@H](C)c2cnn(C)c2)s1 ZINC000050225424 384609461 /nfs/dbraw/zinc/60/94/61/384609461.db2.gz LQSCNRSAESDVGD-SNVBAGLBSA-N 0 3 235.356 2.641 20 0 BFADHN C1=C[C@H](N2CCO[C@H](C3CC3)C2)CCCCC1 ZINC000559155793 384609920 /nfs/dbraw/zinc/60/99/20/384609920.db2.gz RNLNVMNEGNTQRN-GJZGRUSLSA-N 0 3 235.371 2.986 20 0 BFADHN Cc1cscc1N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC000309791694 384610594 /nfs/dbraw/zinc/61/05/94/384610594.db2.gz PJPXEZSOJHSIPY-JOYOIKCWSA-N 0 3 236.384 2.951 20 0 BFADHN CC(C)[C@@H](O)CN(C)[C@@H](C)c1ccccc1F ZINC000124064135 384613178 /nfs/dbraw/zinc/61/31/78/384613178.db2.gz OABOFIWRIJABLC-FZMZJTMJSA-N 0 3 239.334 2.835 20 0 BFADHN C[C@@H](CCC1CC1)N[C@@H](CO)c1ccsc1 ZINC000309805554 384633317 /nfs/dbraw/zinc/63/33/17/384633317.db2.gz XMGWACNJWGTCLE-GWCFXTLKSA-N 0 3 239.384 2.950 20 0 BFADHN C[C@H](NCc1cc(F)c(F)cc1F)C1CC1 ZINC000124219827 384620949 /nfs/dbraw/zinc/62/09/49/384620949.db2.gz ZRYFEKHDUDNQFY-ZETCQYMHSA-N 0 3 229.245 2.992 20 0 BFADHN C[C@@H](NCC1CCC(F)CC1)c1nccn1C ZINC000647350192 384623272 /nfs/dbraw/zinc/62/32/72/384623272.db2.gz ZDMLXLUIHLOSPU-VOMCLLRMSA-N 0 3 239.338 2.599 20 0 BFADHN CCCCN(C(=O)[C@@H](N)CC)c1ccccc1 ZINC000081581306 384624625 /nfs/dbraw/zinc/62/46/25/384624625.db2.gz RUAHFXOQAASQSH-ZDUSSCGKSA-N 0 3 234.343 2.557 20 0 BFADHN CS[C@@H]1CCC[C@H](NCc2cscn2)C1 ZINC000117394530 384626298 /nfs/dbraw/zinc/62/62/98/384626298.db2.gz WOSKULFHEZPIBL-GXSJLCMTSA-N 0 3 242.413 2.907 20 0 BFADHN CSC[C@@H](C)NCc1cc(F)c(F)cc1F ZINC000124295140 384628730 /nfs/dbraw/zinc/62/87/30/384628730.db2.gz VZWZYNPVITWXPX-SSDOTTSWSA-N 0 3 249.301 2.945 20 0 BFADHN COCC[C@H](C)NCc1ccc(F)cc1Cl ZINC000081712577 384629305 /nfs/dbraw/zinc/62/93/05/384629305.db2.gz NKKLPGKMZDGYPG-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN C[C@@H](NCCC(C)(C)O)c1ccsc1 ZINC000086235912 384629501 /nfs/dbraw/zinc/62/95/01/384629501.db2.gz XDGQGJLZBBFUKF-SECBINFHSA-N 0 3 213.346 2.560 20 0 BFADHN CCOc1ccccc1CN[C@H](C)CCOC ZINC000081705113 384629931 /nfs/dbraw/zinc/62/99/31/384629931.db2.gz NZUGRWOQGYUVKZ-GFCCVEGCSA-N 0 3 237.343 2.600 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CO[C@@H](C)C2)c(C)n1 ZINC000647350711 384630393 /nfs/dbraw/zinc/63/03/93/384630393.db2.gz QEDAPGOPPFPKSR-WCFLWFBJSA-N 0 3 234.343 2.526 20 0 BFADHN CCC[C@H](N[C@@H]1CO[C@H](C)C1)c1cccnc1 ZINC000647350670 384631178 /nfs/dbraw/zinc/63/11/78/384631178.db2.gz KVJDQWYPZGEXLG-XBFCOCLRSA-N 0 3 234.343 2.690 20 0 BFADHN CCCCN(C)Cc1ccc(OC)c(O)c1 ZINC000080962267 384591640 /nfs/dbraw/zinc/59/16/40/384591640.db2.gz FPWYXWPIBZZYOD-UHFFFAOYSA-N 0 3 223.316 2.633 20 0 BFADHN CC[C@@H](CO)N[C@H]1CCSc2ccccc21 ZINC000020195358 384592221 /nfs/dbraw/zinc/59/22/21/384592221.db2.gz TVGUHHKPDJECMS-JQWIXIFHSA-N 0 3 237.368 2.584 20 0 BFADHN CC[C@H](CO)N[C@H](C)c1ccccc1Cl ZINC000020194852 384592687 /nfs/dbraw/zinc/59/26/87/384592687.db2.gz RFMSDBFEOXZQJN-NXEZZACHSA-N 0 3 227.735 2.762 20 0 BFADHN CCN(CCN[C@H](C)c1cncc(C)c1)C1CC1 ZINC000184337781 384595156 /nfs/dbraw/zinc/59/51/56/384595156.db2.gz FRDGPRJGGFXJJN-CYBMUJFWSA-N 0 3 247.386 2.525 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc[nH]n2)CC(C)(C)C1 ZINC000041014527 384598768 /nfs/dbraw/zinc/59/87/68/384598768.db2.gz BAKUSLGSLFSBCM-PWSUYJOCSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@H](NCc1ccccc1Cl)[C@@H]1CCCO1 ZINC000020205220 384599270 /nfs/dbraw/zinc/59/92/70/384599270.db2.gz ITMBAKAJAUEDIZ-GWCFXTLKSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@@H](NCc1ccc(F)c(F)c1)[C@@H]1CCCO1 ZINC000020205607 384599582 /nfs/dbraw/zinc/59/95/82/384599582.db2.gz RCESYKMUADHXAT-RNCFNFMXSA-N 0 3 241.281 2.622 20 0 BFADHN c1cc2c(o1)CCC[C@H]2NCCc1cccnc1 ZINC000123979105 384599531 /nfs/dbraw/zinc/59/95/31/384599531.db2.gz ULYLQPSDJDMDKI-CQSZACIVSA-N 0 3 242.322 2.884 20 0 BFADHN Cc1cccnc1[C@H](N[C@@H]1CO[C@H](C)C1)C(C)C ZINC000647183654 384599917 /nfs/dbraw/zinc/59/99/17/384599917.db2.gz GYTALMVCHDXLPA-HZSPNIEDSA-N 0 3 248.370 2.854 20 0 BFADHN C[C@H]1CN(C[C@@H]2CCCC(C)(C)C2)CCO1 ZINC000556941207 384667608 /nfs/dbraw/zinc/66/76/08/384667608.db2.gz WBZMAMBULSNCDN-QWHCGFSZSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@]1(O)CCCN(C/C=C/c2ccccc2)C1 ZINC000124680586 384668595 /nfs/dbraw/zinc/66/85/95/384668595.db2.gz MPYIVCAJPCALDW-FUVBFXSKSA-N 0 3 231.339 2.547 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccc(C)s1 ZINC000173302587 384670157 /nfs/dbraw/zinc/67/01/57/384670157.db2.gz XGFOBYDAYSICLA-SECBINFHSA-N 0 3 213.346 2.523 20 0 BFADHN CCSCCCN[C@@H](CC)c1ccn(C)n1 ZINC000309629680 384670182 /nfs/dbraw/zinc/67/01/82/384670182.db2.gz HIUBDVYMJSBHOT-NSHDSACASA-N 0 3 241.404 2.604 20 0 BFADHN C[C@@H](NCc1cc(Cl)ccc1F)[C@H](C)CO ZINC000162705193 384672211 /nfs/dbraw/zinc/67/22/11/384672211.db2.gz SBTMWVCCKFTMHT-RKDXNWHRSA-N 0 3 245.725 2.586 20 0 BFADHN Cc1nnsc1CN[C@H]1CC(C)(C)C[C@H]1C ZINC000309809887 384636192 /nfs/dbraw/zinc/63/61/92/384636192.db2.gz TXVZFRKVDJOIBY-SCZZXKLOSA-N 0 3 239.388 2.761 20 0 BFADHN Clc1csc(CNC[C@@H]2CCCO2)c1 ZINC000086332160 384639325 /nfs/dbraw/zinc/63/93/25/384639325.db2.gz BLWDIRBSNXZZEE-VIFPVBQESA-N 0 3 231.748 2.670 20 0 BFADHN CCCCN(C)Cc1cccc(NC(C)=O)c1 ZINC000101543086 384675107 /nfs/dbraw/zinc/67/51/07/384675107.db2.gz PVFMOHABUFHBRZ-UHFFFAOYSA-N 0 3 234.343 2.877 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1cc[nH]c1 ZINC000336747619 384644354 /nfs/dbraw/zinc/64/43/54/384644354.db2.gz CAYRHWCWIUIYMW-SECBINFHSA-N 0 3 220.238 2.835 20 0 BFADHN CCCN(Cc1n[nH]c(C(C)C)n1)CC1CC1 ZINC000428465898 384645346 /nfs/dbraw/zinc/64/53/46/384645346.db2.gz YDEUVSVEHUSCQQ-UHFFFAOYSA-N 0 3 236.363 2.550 20 0 BFADHN C[C@H](NCc1ccc(Cl)o1)[C@@H]1CCCOC1 ZINC000309826013 384647508 /nfs/dbraw/zinc/64/75/08/384647508.db2.gz XIZZBQFJHTWDCX-VHSXEESVSA-N 0 3 243.734 2.838 20 0 BFADHN Cc1noc(C)c1CNC1CC2(CCC2)C1 ZINC000309835836 384656146 /nfs/dbraw/zinc/65/61/46/384656146.db2.gz AZXFKAFNGAVWTI-UHFFFAOYSA-N 0 3 220.316 2.714 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc3ccccc3n2)CO1 ZINC000402307994 384658451 /nfs/dbraw/zinc/65/84/51/384658451.db2.gz KNCUMOLPXMCIPX-FZMZJTMJSA-N 0 3 242.322 2.502 20 0 BFADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1ccncc1 ZINC000160213645 384659842 /nfs/dbraw/zinc/65/98/42/384659842.db2.gz BDDMBKCVBNJTKY-AAEUAGOBSA-N 0 3 234.343 2.690 20 0 BFADHN CC1(C)CC[C@@H](CNCc2cccc(F)c2)O1 ZINC000160207227 384660010 /nfs/dbraw/zinc/66/00/10/384660010.db2.gz SABNDWHLQSGLGV-ZDUSSCGKSA-N 0 3 237.318 2.873 20 0 BFADHN CC/C=C/CCN[C@H](C)c1nnc2ccccn21 ZINC000185439690 384723684 /nfs/dbraw/zinc/72/36/84/384723684.db2.gz GZVAUHPPKPOSAV-ZYOFXKKJSA-N 0 3 244.342 2.736 20 0 BFADHN C[C@@H]1C[C@@H]1NCc1ccc(Br)o1 ZINC000052270021 384724769 /nfs/dbraw/zinc/72/47/69/384724769.db2.gz BLYJZUXWQYYEQE-SVRRBLITSA-N 0 3 230.105 2.540 20 0 BFADHN Clc1ccc2ncc(CN[C@@H]3CC34CC4)n2c1 ZINC000335286542 384724656 /nfs/dbraw/zinc/72/46/56/384724656.db2.gz UYGNNMIQSRPQSQ-LLVKDONJSA-N 0 3 247.729 2.630 20 0 BFADHN CCN(CC)c1ncc(CNC2CCC2)s1 ZINC000052208147 384725399 /nfs/dbraw/zinc/72/53/99/384725399.db2.gz FXCQWTZLERNDMK-UHFFFAOYSA-N 0 3 239.388 2.631 20 0 BFADHN Cc1ccc(CN[C@@H]2CCO[C@@H](C(C)C)C2)nc1 ZINC000357356411 384678899 /nfs/dbraw/zinc/67/88/99/384678899.db2.gz QCIVYWLHXHYIBS-UKRRQHHQSA-N 0 3 248.370 2.683 20 0 BFADHN CC[C@@H](C)CN(C)Cc1c(C)nn(C)c1C ZINC000171951310 384681222 /nfs/dbraw/zinc/68/12/22/384681222.db2.gz XFFKAADNLHMBJV-SNVBAGLBSA-N 0 3 223.364 2.515 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cnc(N)s1 ZINC000086766372 384681528 /nfs/dbraw/zinc/68/15/28/384681528.db2.gz CPMXKLBHNVJMJF-SECBINFHSA-N 0 3 227.377 2.593 20 0 BFADHN COC[C@@H](C)N1CC=C(c2ccc(F)cc2)CC1 ZINC000173462498 384683283 /nfs/dbraw/zinc/68/32/83/384683283.db2.gz NUKFCAHEFHEZFL-GFCCVEGCSA-N 0 3 249.329 2.950 20 0 BFADHN CO[C@H]1CCCN(Cc2ccc(F)cc2)CC1 ZINC000363680896 384685066 /nfs/dbraw/zinc/68/50/66/384685066.db2.gz ZBSBCTBKPBCWLZ-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN COc1cc(C)nc(CNCCC(C)(F)F)c1 ZINC000357737708 384687022 /nfs/dbraw/zinc/68/70/22/384687022.db2.gz FNXJVZFUZUBOMP-UHFFFAOYSA-N 0 3 244.285 2.534 20 0 BFADHN C[C@H](O)CN(C)Cc1ccccc1C(F)(F)F ZINC000051837491 384692064 /nfs/dbraw/zinc/69/20/64/384692064.db2.gz MFRAPAQALBFQQN-VIFPVBQESA-N 0 3 247.260 2.518 20 0 BFADHN CSC[C@@H](C)NCc1cc(F)c(F)c(F)c1 ZINC000124997301 384692107 /nfs/dbraw/zinc/69/21/07/384692107.db2.gz CQRFJECVPQVHRL-SSDOTTSWSA-N 0 3 249.301 2.945 20 0 BFADHN Cc1nnsc1CNC1CCC2(CC2)CC1 ZINC000335918378 384692924 /nfs/dbraw/zinc/69/29/24/384692924.db2.gz UVFZEJKRXLAUPA-UHFFFAOYSA-N 0 3 237.372 2.659 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@@H]2CCCOC2)s1 ZINC000082589371 384693374 /nfs/dbraw/zinc/69/33/74/384693374.db2.gz BWAFYQWHRAZKQW-GXSJLCMTSA-N 0 3 240.372 2.590 20 0 BFADHN CCC[C@H](NC[C@@H](O)C(C)C)c1cccnc1 ZINC000185462971 384727021 /nfs/dbraw/zinc/72/70/21/384727021.db2.gz GRDABNAIGZDMOF-UONOGXRCSA-N 0 3 236.359 2.529 20 0 BFADHN Fc1cc(F)cc(CN[C@H]2CC[C@H](F)C2)c1 ZINC000335918648 384701507 /nfs/dbraw/zinc/70/15/07/384701507.db2.gz YKLSLIBJHFXGSJ-CABZTGNLSA-N 0 3 229.245 2.945 20 0 BFADHN CCCCCN(C[C@H](O)C(F)(F)F)C(C)C ZINC000082681598 384701825 /nfs/dbraw/zinc/70/18/25/384701825.db2.gz PRBXGGGJBAGPQX-JTQLQIEISA-N 0 3 241.297 2.810 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3cccc(F)c3)CCC2)CO1 ZINC000647232815 384702247 /nfs/dbraw/zinc/70/22/47/384702247.db2.gz FPHJZNUZIHNSSG-RISCZKNCSA-N 0 3 249.329 2.972 20 0 BFADHN CC(C)n1ccc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)n1 ZINC000403480873 384702779 /nfs/dbraw/zinc/70/27/79/384702779.db2.gz RRWFMNFFKWICEV-HZSPNIEDSA-N 0 3 247.386 2.990 20 0 BFADHN CCCc1ncc(CN[C@H]2CCC[C@H]2C)o1 ZINC000449609241 384706967 /nfs/dbraw/zinc/70/69/67/384706967.db2.gz AYUBYMFDPFRKIR-PWSUYJOCSA-N 0 3 222.332 2.905 20 0 BFADHN CCCCC[C@@H](C)NCc1ccc(CO)o1 ZINC000082738102 384707275 /nfs/dbraw/zinc/70/72/75/384707275.db2.gz CAJAZXBZRBBFLD-LLVKDONJSA-N 0 3 225.332 2.830 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H]1CC12CC2 ZINC000335276619 384707703 /nfs/dbraw/zinc/70/77/03/384707703.db2.gz GSQAHJWUUVVJLD-PWSUYJOCSA-N 0 3 202.301 2.593 20 0 BFADHN CC1(C)OCC[C@@H]1NCc1ccc(F)c(F)c1 ZINC000335919541 384707813 /nfs/dbraw/zinc/70/78/13/384707813.db2.gz YEXHWGOGXCOETE-LBPRGKRZSA-N 0 3 241.281 2.622 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccoc2)C1(C)C ZINC000125269994 384708212 /nfs/dbraw/zinc/70/82/12/384708212.db2.gz KBKIYLKQXGIXJW-RYUDHWBXSA-N 0 3 223.316 2.573 20 0 BFADHN CCc1ncc(CN[C@H](C)[C@@H](C)CC)o1 ZINC000449608332 384709555 /nfs/dbraw/zinc/70/95/55/384709555.db2.gz GTQZLSMRGAHAKO-VHSXEESVSA-N 0 3 210.321 2.761 20 0 BFADHN Cc1ccc(F)cc1CN[C@H]1CC12CC2 ZINC000335278962 384710217 /nfs/dbraw/zinc/71/02/17/384710217.db2.gz NDOWECUVQGMRFV-LBPRGKRZSA-N 0 3 205.276 2.776 20 0 BFADHN C[C@@H](N[C@H]1CO[C@@H](C)C1)c1ccc(F)cc1F ZINC000647190837 384710370 /nfs/dbraw/zinc/71/03/70/384710370.db2.gz RAQQUSOCFMXYON-IQJOONFLSA-N 0 3 241.281 2.793 20 0 BFADHN Cc1cncc([C@@H](C)N[C@@H]2CC23CC3)c1 ZINC000335279572 384711799 /nfs/dbraw/zinc/71/17/99/384711799.db2.gz TZLFZAWJQIWKFB-ZYHUDNBSSA-N 0 3 202.301 2.593 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1ccc(F)cc1F ZINC000309905753 384712422 /nfs/dbraw/zinc/71/24/22/384712422.db2.gz KDSXLFZDHUAGEH-VXGBXAGGSA-N 0 3 243.322 2.948 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2CC23CC3)c1 ZINC000335279569 384712436 /nfs/dbraw/zinc/71/24/36/384712436.db2.gz TZLFZAWJQIWKFB-CMPLNLGQSA-N 0 3 202.301 2.593 20 0 BFADHN Fc1cccc2c1CC[C@@H]2N[C@H]1CC12CC2 ZINC000335280847 384712552 /nfs/dbraw/zinc/71/25/52/384712552.db2.gz UOQFGTMWRCVSLI-STQMWFEESA-N 0 3 217.287 2.955 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CCO[C@H](C)C2)s1 ZINC000125416746 384714945 /nfs/dbraw/zinc/71/49/45/384714945.db2.gz GASOJAFIOQYJMG-DVVUODLYSA-N 0 3 240.372 2.670 20 0 BFADHN C[C@H](O)C(C)(C)NCc1ccc(F)cc1Cl ZINC000162991760 384717185 /nfs/dbraw/zinc/71/71/85/384717185.db2.gz SHABKNBLHUBIHB-QMMMGPOBSA-N 0 3 245.725 2.728 20 0 BFADHN Cc1nnsc1CN[C@H]1CCC12CCCC2 ZINC000309916577 384717968 /nfs/dbraw/zinc/71/79/68/384717968.db2.gz PRBQAULWYHXLRR-NSHDSACASA-N 0 3 237.372 2.659 20 0 BFADHN CO[C@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC000102794464 384727908 /nfs/dbraw/zinc/72/79/08/384727908.db2.gz LNZXWSGQLHRDKU-BOSPYUDASA-N 0 3 231.339 2.811 20 0 BFADHN Cn1nccc1CNCCC1=CCCCCC1 ZINC000185409880 384719890 /nfs/dbraw/zinc/71/98/90/384719890.db2.gz UHASPXDVSODDJM-UHFFFAOYSA-N 0 3 233.359 2.790 20 0 BFADHN Clc1cccc(CN[C@@H]2CC23CC3)c1 ZINC000335285737 384721997 /nfs/dbraw/zinc/72/19/97/384721997.db2.gz ZHIDLXPQIGOJQS-LLVKDONJSA-N 0 3 207.704 2.982 20 0 BFADHN Fc1ccc(CN[C@H]2CC23CC3)c(F)c1 ZINC000335285295 384722598 /nfs/dbraw/zinc/72/25/98/384722598.db2.gz UTYYYFNGRBHYBG-NSHDSACASA-N 0 3 209.239 2.607 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1cccc(OCC)c1 ZINC000185826103 384773955 /nfs/dbraw/zinc/77/39/55/384773955.db2.gz ROBGCDWYKHIXBW-KQIUPUNMSA-N 0 3 249.354 2.502 20 0 BFADHN CC(C)CCNCc1ccncc1Cl ZINC000083347211 384775410 /nfs/dbraw/zinc/77/54/10/384775410.db2.gz SVJIEOPBCHCLRN-UHFFFAOYSA-N 0 3 212.724 2.871 20 0 BFADHN Fc1ccc(F)c2c1CC[C@@H]2NC1CC1 ZINC000126048093 384728640 /nfs/dbraw/zinc/72/86/40/384728640.db2.gz YCGZOVCTVSBNKK-NSHDSACASA-N 0 3 209.239 2.704 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1ccc(CC)o1 ZINC000309944708 384730283 /nfs/dbraw/zinc/73/02/83/384730283.db2.gz CXECCBGNVAMOMO-MNOVXSKESA-N 0 3 225.332 2.918 20 0 BFADHN CCOc1cc(C)ccc1CN[C@@H](C)COC ZINC000151718482 384733156 /nfs/dbraw/zinc/73/31/56/384733156.db2.gz ARAMDIAIOOGWER-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN CCc1ccc(CN[C@H]2CCCSC2)cn1 ZINC000309940958 384734290 /nfs/dbraw/zinc/73/42/90/384734290.db2.gz BPTDVQQPSSFWPX-ZDUSSCGKSA-N 0 3 236.384 2.629 20 0 BFADHN C[C@@H](O)CCN[C@@H](C)c1ccccc1Cl ZINC000082993127 384734183 /nfs/dbraw/zinc/73/41/83/384734183.db2.gz GDXOJKNKDADUND-ZJUUUORDSA-N 0 3 227.735 2.762 20 0 BFADHN C[C@@H](NCC[C@H](C)O)c1ccc(F)cc1Cl ZINC000082993433 384735398 /nfs/dbraw/zinc/73/53/98/384735398.db2.gz FPFKFLNZBOAPAE-DTWKUNHWSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H](NCc1cc(F)cc(F)c1)[C@@H]1CCCO1 ZINC000125607806 384735543 /nfs/dbraw/zinc/73/55/43/384735543.db2.gz CLZGRWLTSGQRFM-ZANVPECISA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H](NC[C@H]1C[C@H]1C)c1cc2n(n1)CCCC2 ZINC000647284779 384736548 /nfs/dbraw/zinc/73/65/48/384736548.db2.gz GJMNLRSFUJDQMC-GRYCIOLGSA-N 0 3 233.359 2.526 20 0 BFADHN C[C@H](NCC1CCC1)c1cc2n(n1)CCCC2 ZINC000647284821 384738409 /nfs/dbraw/zinc/73/84/09/384738409.db2.gz KNWPSYBSQGIKQJ-NSHDSACASA-N 0 3 233.359 2.670 20 0 BFADHN CCC(O)(CC)CNCc1cc(F)cc(F)c1 ZINC000125628434 384738823 /nfs/dbraw/zinc/73/88/23/384738823.db2.gz CTHNENIOWKEWHW-UHFFFAOYSA-N 0 3 243.297 2.606 20 0 BFADHN Cc1ccc(CNC[C@H]2CC[C@@H](C)O2)cc1F ZINC000398054750 384739029 /nfs/dbraw/zinc/73/90/29/384739029.db2.gz YALXZWOUNKXVAQ-DGCLKSJQSA-N 0 3 237.318 2.791 20 0 BFADHN CCc1ccc(CN[C@@H]2C=CCCC2)cn1 ZINC000309958325 384739518 /nfs/dbraw/zinc/73/95/18/384739518.db2.gz JSXNXAZBKMQHAH-CQSZACIVSA-N 0 3 216.328 2.842 20 0 BFADHN C[C@@H]1C[C@H](NCc2sccc2Cl)CO1 ZINC000335442851 384739939 /nfs/dbraw/zinc/73/99/39/384739939.db2.gz ZNUKUBIFAYVXIV-SFYZADRCSA-N 0 3 231.748 2.669 20 0 BFADHN C[C@H]1[C@H](CO)CCN1Cc1ccc(Cl)cc1 ZINC000335959285 384740798 /nfs/dbraw/zinc/74/07/98/384740798.db2.gz SQJAWGLTWHNYDM-JQWIXIFHSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@@H](NCC1(C)COC1)c1cc(F)ccc1F ZINC000083522879 384779394 /nfs/dbraw/zinc/77/93/94/384779394.db2.gz VKPZVTIEETXVQL-SECBINFHSA-N 0 3 241.281 2.652 20 0 BFADHN CC(C)(C)C1CCN(Cc2cccnc2N)CC1 ZINC000052722663 384747259 /nfs/dbraw/zinc/74/72/59/384747259.db2.gz HOSBFGXTUUYHGX-UHFFFAOYSA-N 0 3 247.386 2.922 20 0 BFADHN CCc1nc(CNC(C)(C)CCOC)cs1 ZINC000309968002 384748420 /nfs/dbraw/zinc/74/84/20/384748420.db2.gz OGHKAJQVGPQUJS-UHFFFAOYSA-N 0 3 242.388 2.610 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC[C@H]2c2ccccn2)O1 ZINC000530549394 384750010 /nfs/dbraw/zinc/75/00/10/384750010.db2.gz SQSGLAJMGUFTGT-IPYPFGDCSA-N 0 3 246.354 2.786 20 0 BFADHN COc1ccsc1CN(C)CC(C)(C)OC ZINC000557014779 384750076 /nfs/dbraw/zinc/75/00/76/384750076.db2.gz XGEZFOBDUALOSD-UHFFFAOYSA-N 0 3 243.372 2.614 20 0 BFADHN C[C@H](CN[C@@H](C)c1cc2n(n1)CCCC2)C1CC1 ZINC000647285556 384751244 /nfs/dbraw/zinc/75/12/44/384751244.db2.gz HUTVKDUOTWAVRH-NEPJUHHUSA-N 0 3 247.386 2.916 20 0 BFADHN CC[C@H]1CCN([C@@H](C)C(=O)Nc2ccccc2)C1 ZINC000125827268 384753055 /nfs/dbraw/zinc/75/30/55/384753055.db2.gz DFSZRDDDZNZXKP-STQMWFEESA-N 0 3 246.354 2.746 20 0 BFADHN CC[C@H](CN[C@@H]1CCCc2occc21)OC ZINC000289579121 384755426 /nfs/dbraw/zinc/75/54/26/384755426.db2.gz AJUFYANCRQAUQL-ZYHUDNBSSA-N 0 3 223.316 2.672 20 0 BFADHN COC[C@H](NC[C@H]1C[C@@H]1C)c1ccc(C)o1 ZINC000309974993 384756175 /nfs/dbraw/zinc/75/61/75/384756175.db2.gz SANFRGUNXJTPMF-WCQGTBRESA-N 0 3 223.316 2.521 20 0 BFADHN COC[C@H](C)NCc1oc2ccccc2c1C ZINC000174100543 384757015 /nfs/dbraw/zinc/75/70/15/384757015.db2.gz OORBVNAATYXZDG-JTQLQIEISA-N 0 3 233.311 2.866 20 0 BFADHN C[C@H](NCCc1nccs1)c1ccoc1 ZINC000087290214 384759405 /nfs/dbraw/zinc/75/94/05/384759405.db2.gz IXUFJLOBCPCIOR-VIFPVBQESA-N 0 3 222.313 2.629 20 0 BFADHN Cc1nn(C)cc1CN[C@@H](C)c1ccc(C)cc1 ZINC000125972830 384761715 /nfs/dbraw/zinc/76/17/15/384761715.db2.gz AAZNHDGDYRPHKY-LBPRGKRZSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccc(O)c(CN[C@H](C)c2ccccc2)n1 ZINC000103340693 384762267 /nfs/dbraw/zinc/76/22/67/384762267.db2.gz OFGQCTTVUXUYCE-GFCCVEGCSA-N 0 3 242.322 2.946 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@H]2CCC[C@@H](C)C2)n1 ZINC000103341591 384763239 /nfs/dbraw/zinc/76/32/39/384763239.db2.gz NMUDUUUMTVDLIR-PWSUYJOCSA-N 0 3 234.343 2.764 20 0 BFADHN Fc1ccc(CNC[C@H]2CCCO2)cc1Cl ZINC000053156946 384765718 /nfs/dbraw/zinc/76/57/18/384765718.db2.gz LNRDCRFDTCXPQK-SNVBAGLBSA-N 0 3 243.709 2.748 20 0 BFADHN Cc1ccc(CN[C@H]2CSC[C@@H]2C)nc1C ZINC000314079339 384780523 /nfs/dbraw/zinc/78/05/23/384780523.db2.gz WIAPJXHQTFAQKC-GWCFXTLKSA-N 0 3 236.384 2.540 20 0 BFADHN Cc1cnc([C@@H](C)NCC[C@@H]2CCCO2)s1 ZINC000126080727 384770647 /nfs/dbraw/zinc/77/06/47/384770647.db2.gz GZJXTLLRPUWIKE-MNOVXSKESA-N 0 3 240.372 2.671 20 0 BFADHN COC(C)(C)[C@H](C)NCc1ccc(C)c(C)n1 ZINC000314136030 384823100 /nfs/dbraw/zinc/82/31/00/384823100.db2.gz IYPKKYKAKIYORP-LBPRGKRZSA-N 0 3 236.359 2.602 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)on1 ZINC000403573800 384837722 /nfs/dbraw/zinc/83/77/22/384837722.db2.gz YGTMRGAMDYLBDE-GRYCIOLGSA-N 0 3 220.316 2.509 20 0 BFADHN CCOC[C@H](C)NCc1sccc1Cl ZINC000308585007 384829264 /nfs/dbraw/zinc/82/92/64/384829264.db2.gz LSPPIHPLNGCPBW-QMMMGPOBSA-N 0 3 233.764 2.916 20 0 BFADHN CC(C)C1(C)CCN(Cc2cnn(C)c2)CC1 ZINC000186189630 384829822 /nfs/dbraw/zinc/82/98/22/384829822.db2.gz FUQRMYJRUMHTSS-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN Cc1cc(C)cc(CN2CCC(C)(O)CC2)c1 ZINC000126795469 384831403 /nfs/dbraw/zinc/83/14/03/384831403.db2.gz ZAZVJRTWXARZOL-UHFFFAOYSA-N 0 3 233.355 2.650 20 0 BFADHN Cc1ccc(CN2CC[C@]3(C2)CCCOC3)o1 ZINC000367438393 384832145 /nfs/dbraw/zinc/83/21/45/384832145.db2.gz MSXUJXXSHNLSNS-AWEZNQCLSA-N 0 3 235.327 2.591 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2ccc(Cl)o2)O1 ZINC000398190039 384834387 /nfs/dbraw/zinc/83/43/87/384834387.db2.gz WJYMHTDLYARFLM-BDAKNGLRSA-N 0 3 229.707 2.590 20 0 BFADHN Cc1occc1CN[C@H](C)C[C@@H]1CCCO1 ZINC000309736403 384784573 /nfs/dbraw/zinc/78/45/73/384784573.db2.gz GOVKSJMRILLBPB-MFKMUULPSA-N 0 3 223.316 2.635 20 0 BFADHN CCN(C)Cc1ccc(OC(C)C)c(OC)c1 ZINC000126256748 384784884 /nfs/dbraw/zinc/78/48/84/384784884.db2.gz QPIZIHXXPGPADS-UHFFFAOYSA-N 0 3 237.343 2.934 20 0 BFADHN CC(C)[C@@H]1C[C@@H](NCc2cccnc2)CS1 ZINC000277355871 384788336 /nfs/dbraw/zinc/78/83/36/384788336.db2.gz WCTWWORAFYCBEO-OLZOCXBDSA-N 0 3 236.384 2.701 20 0 BFADHN CC[C@H](C[C@H](C)CO)N[C@@H](C)c1nccs1 ZINC000310028996 384792391 /nfs/dbraw/zinc/79/23/91/384792391.db2.gz QNWFYYZFBLLKBL-GARJFASQSA-N 0 3 242.388 2.591 20 0 BFADHN CCC[C@@]1(C)CCCN(Cc2cnn(C)c2)C1 ZINC000174451616 384794566 /nfs/dbraw/zinc/79/45/66/384794566.db2.gz BRCWSGBZHOCDNF-AWEZNQCLSA-N 0 3 235.375 2.822 20 0 BFADHN CCOc1cccc(CN[C@H](COC)C2CC2)c1 ZINC000126365863 384796162 /nfs/dbraw/zinc/79/61/62/384796162.db2.gz QFBXNTFFTLBIPH-OAHLLOKOSA-N 0 3 249.354 2.600 20 0 BFADHN c1cncc(CNCCOC2CCCCCC2)c1 ZINC000053590648 384797727 /nfs/dbraw/zinc/79/77/27/384797727.db2.gz SQBLEQCYIZEWGQ-UHFFFAOYSA-N 0 3 248.370 2.911 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1ccc(Cl)nc1 ZINC000126845748 384836628 /nfs/dbraw/zinc/83/66/28/384836628.db2.gz LSIKDUBXHLUGGF-KOLCDFICSA-N 0 3 240.734 2.565 20 0 BFADHN c1cc(CN2CCC[C@@H]2C2CCCC2)no1 ZINC000077268838 384800620 /nfs/dbraw/zinc/80/06/20/384800620.db2.gz CCBULALSHVNVCY-CYBMUJFWSA-N 0 3 220.316 2.829 20 0 BFADHN CCC1CC(N[C@@H](C)c2cn(C)nc2C)C1 ZINC000310037269 384802075 /nfs/dbraw/zinc/80/20/75/384802075.db2.gz UYMIDDUDRPYEGF-GCVQQVDUSA-N 0 3 221.348 2.568 20 0 BFADHN C[C@H](NCCOC1CCCC1)c1cccnc1 ZINC000108942669 384802261 /nfs/dbraw/zinc/80/22/61/384802261.db2.gz HFRHRLYLUUKJMS-LBPRGKRZSA-N 0 3 234.343 2.691 20 0 BFADHN Cc1ncc(CNC[C@H]2CCC[C@@H](C)C2)o1 ZINC000398100359 384802711 /nfs/dbraw/zinc/80/27/11/384802711.db2.gz MFUMIEHSPLNSRC-PWSUYJOCSA-N 0 3 222.332 2.899 20 0 BFADHN CSC1(CNCc2ccc(C)c(C)n2)CC1 ZINC000314110959 384804106 /nfs/dbraw/zinc/80/41/06/384804106.db2.gz FZGLIEQCKPQECQ-UHFFFAOYSA-N 0 3 236.384 2.684 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1ccc(Cl)nc1 ZINC000126867557 384836710 /nfs/dbraw/zinc/83/67/10/384836710.db2.gz BMZJMYDPVSZZAZ-GXSJLCMTSA-N 0 3 242.750 2.811 20 0 BFADHN Clc1sccc1CN[C@@H]1CCSC1 ZINC000306215091 384805687 /nfs/dbraw/zinc/80/56/87/384805687.db2.gz NWSNIWNDHPOFBC-MRVPVSSYSA-N 0 3 233.789 2.997 20 0 BFADHN CC[C@H](N[C@H]1CO[C@@H](C)C1)c1c(C)noc1C ZINC000647196586 384807936 /nfs/dbraw/zinc/80/79/36/384807936.db2.gz GMZUNZXZRRBXAM-AXTRIDKLSA-N 0 3 238.331 2.510 20 0 BFADHN CC[C@@H](O)CN(C)Cc1ccc(Cl)s1 ZINC000042489160 384808118 /nfs/dbraw/zinc/80/81/18/384808118.db2.gz HRIWTJOYLLMFBV-MRVPVSSYSA-N 0 3 233.764 2.604 20 0 BFADHN CN(Cc1cccs1)C[C@@H]1CCCC[C@@H]1O ZINC000053883253 384809848 /nfs/dbraw/zinc/80/98/48/384809848.db2.gz SSFCHADZDBRMMX-AAEUAGOBSA-N 0 3 239.384 2.731 20 0 BFADHN Cc1cc(C)cc(C[C@@H](C)NCc2ncc[nH]2)c1 ZINC000174582018 384814831 /nfs/dbraw/zinc/81/48/31/384814831.db2.gz LUDNYMZCAOGBBD-CYBMUJFWSA-N 0 3 243.354 2.747 20 0 BFADHN Cc1ccoc1CNCCCOCC(C)C ZINC000126642999 384815113 /nfs/dbraw/zinc/81/51/13/384815113.db2.gz FPNXGLZJYVWUPZ-UHFFFAOYSA-N 0 3 225.332 2.740 20 0 BFADHN CCc1ccccc1CNCC1=CCCOC1 ZINC000127476816 384870186 /nfs/dbraw/zinc/87/01/86/384870186.db2.gz PNUUWDLDRUKJSO-UHFFFAOYSA-N 0 3 231.339 2.685 20 0 BFADHN CCc1ccc([C@H](C)NCCCn2cccn2)o1 ZINC000127167891 384872193 /nfs/dbraw/zinc/87/21/93/384872193.db2.gz LHHKZKQXYUUWNS-LBPRGKRZSA-N 0 3 247.342 2.779 20 0 BFADHN CCOc1ccccc1[C@H](C)NC[C@@H](O)CC ZINC000163902299 384873496 /nfs/dbraw/zinc/87/34/96/384873496.db2.gz IUGJQKPTMQHWAG-RYUDHWBXSA-N 0 3 237.343 2.507 20 0 BFADHN CN(Cc1ccc(Cl)cc1)CC1(CO)CC1 ZINC000186780993 384878972 /nfs/dbraw/zinc/87/89/72/384878972.db2.gz KKBBJNQGWUCHQI-UHFFFAOYSA-N 0 3 239.746 2.544 20 0 BFADHN Cc1cc(CN(C)CC2(CO)CC2)c(C)s1 ZINC000186778812 384879387 /nfs/dbraw/zinc/87/93/87/384879387.db2.gz SQHNLVLJASNKJW-UHFFFAOYSA-N 0 3 239.384 2.569 20 0 BFADHN CSCCCCCN[C@H](C)c1cnccn1 ZINC000186785237 384881060 /nfs/dbraw/zinc/88/10/60/384881060.db2.gz WYEVEPBMWUFPHI-LLVKDONJSA-N 0 3 239.388 2.661 20 0 BFADHN CCn1nccc1CN1CCCC[C@@H](C)C1 ZINC000187516520 384884674 /nfs/dbraw/zinc/88/46/74/384884674.db2.gz JQZQJIKNRYTEBY-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN CC[C@@H](N[C@@H](C)CCO)c1ccc(F)cc1F ZINC000151956727 384887161 /nfs/dbraw/zinc/88/71/61/384887161.db2.gz YIDAPTBFUZTENE-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN COC(C)(C)CNCc1ccsc1Cl ZINC000336671902 384838795 /nfs/dbraw/zinc/83/87/95/384838795.db2.gz ZIJBGTCYLUBLMX-UHFFFAOYSA-N 0 3 233.764 2.916 20 0 BFADHN Cc1ccc(F)cc1CNCCOC(C)C ZINC000163668013 384842097 /nfs/dbraw/zinc/84/20/97/384842097.db2.gz KWKQCXRSSFFSHU-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN CC[C@@H](C)[C@@H](C)N[C@@H](CCO)c1ccco1 ZINC000186512791 384848111 /nfs/dbraw/zinc/84/81/11/384848111.db2.gz IJJCEQQYDGMUDE-UTUOFQBUSA-N 0 3 225.332 2.727 20 0 BFADHN CCC[C@H](O)CNCc1ccc(Cl)s1 ZINC000112377108 384849581 /nfs/dbraw/zinc/84/95/81/384849581.db2.gz ZTIUWWIQNJRFMB-QMMMGPOBSA-N 0 3 233.764 2.652 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2cccnc2)C1(C)C ZINC000084224449 384850018 /nfs/dbraw/zinc/85/00/18/384850018.db2.gz WMBSSXKRRMJHTK-YUTCNCBUSA-N 0 3 248.370 2.936 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](C)c2cccnc2)C1(C)C ZINC000084224442 384850832 /nfs/dbraw/zinc/85/08/32/384850832.db2.gz WMBSSXKRRMJHTK-UBHSHLNASA-N 0 3 248.370 2.936 20 0 BFADHN Cc1ccc([C@H](C)NCc2c[nH]cn2)cc1 ZINC000054765029 384851179 /nfs/dbraw/zinc/85/11/79/384851179.db2.gz JOIQQXOKISREES-NSHDSACASA-N 0 3 215.300 2.569 20 0 BFADHN Cc1ccc([C@H](C)NCc2cnc[nH]2)cc1 ZINC000054765029 384851186 /nfs/dbraw/zinc/85/11/86/384851186.db2.gz JOIQQXOKISREES-NSHDSACASA-N 0 3 215.300 2.569 20 0 BFADHN Cn1cccc1CN1CCC[C@H]1c1cccn1C ZINC000175007186 384856153 /nfs/dbraw/zinc/85/61/53/384856153.db2.gz DWXBTFYSYRZNCD-HNNXBMFYSA-N 0 3 243.354 2.701 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1ccc(F)cc1)OC ZINC000362976503 384856345 /nfs/dbraw/zinc/85/63/45/384856345.db2.gz KRLWXTKGASTQFP-RISCZKNCSA-N 0 3 239.334 2.976 20 0 BFADHN CSC[C@H](C)N[C@@H](C)c1cccc(O)c1 ZINC000127332819 384858631 /nfs/dbraw/zinc/85/86/31/384858631.db2.gz HYEZWCXIODWVGP-UWVGGRQHSA-N 0 3 225.357 2.794 20 0 BFADHN C[C@@H](NCCCNc1ccccn1)c1ccco1 ZINC000163837759 384867411 /nfs/dbraw/zinc/86/74/11/384867411.db2.gz YOFPFLAUUFFWAK-GFCCVEGCSA-N 0 3 245.326 2.827 20 0 BFADHN CC[C@H](NCC1(C)COC1)c1ccccc1F ZINC000163840982 384867550 /nfs/dbraw/zinc/86/75/50/384867550.db2.gz IADGQVMSAFGSAH-ZDUSSCGKSA-N 0 3 237.318 2.903 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NCC1=CCCOC1)CC2 ZINC000127135471 384868165 /nfs/dbraw/zinc/86/81/65/384868165.db2.gz VQFVMWLPKLDQHC-HNNXBMFYSA-N 0 3 247.313 2.749 20 0 BFADHN C[C@H](NCCCC(C)(C)CO)c1cscn1 ZINC000127870142 384957721 /nfs/dbraw/zinc/95/77/21/384957721.db2.gz KKFATUBQIMNWKC-JTQLQIEISA-N 0 3 242.388 2.592 20 0 BFADHN CC[C@]1(CO)CCCN([C@@H](C)c2ccccn2)C1 ZINC000187561529 384958058 /nfs/dbraw/zinc/95/80/58/384958058.db2.gz LVSWGXDRWDSFIQ-ZFWWWQNUSA-N 0 3 248.370 2.627 20 0 BFADHN CCC[C@@H](NCc1csnn1)C1CCCC1 ZINC000398295004 384895155 /nfs/dbraw/zinc/89/51/55/384895155.db2.gz KANXZHIIHCWUJF-GFCCVEGCSA-N 0 3 239.388 2.987 20 0 BFADHN COC[C@@H](C)N1CCC(c2ccsc2)CC1 ZINC000186932750 384895337 /nfs/dbraw/zinc/89/53/37/384895337.db2.gz IPCCYVZQBMFHBI-LLVKDONJSA-N 0 3 239.384 2.962 20 0 BFADHN CCC[C@@H](N[C@H]1CC[C@H](O)CC1)c1ccccn1 ZINC000557265572 384899525 /nfs/dbraw/zinc/89/95/25/384899525.db2.gz AMTVPKZXBFOMRB-UMVBOHGHSA-N 0 3 248.370 2.816 20 0 BFADHN C[C@@]1(F)CCCN(CC2CC(F)(F)C2)C1 ZINC000336266967 384904782 /nfs/dbraw/zinc/90/47/82/384904782.db2.gz RNFSASONVNCJSD-SNVBAGLBSA-N 0 3 221.266 2.856 20 0 BFADHN CCn1cc(CN(C)CC2(C)CCC2)cn1 ZINC000559438090 384910581 /nfs/dbraw/zinc/91/05/81/384910581.db2.gz GIDIFHFTYIOWHZ-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCOC(=O)CN(C1CCCC1)C1CCCC1 ZINC000095787591 384913400 /nfs/dbraw/zinc/91/34/00/384913400.db2.gz RKHVGQIGIQQTHF-UHFFFAOYSA-N 0 3 239.359 2.737 20 0 BFADHN c1coc(CNC[C@H]2CCOc3ccccc32)c1 ZINC000107225118 384959054 /nfs/dbraw/zinc/95/90/54/384959054.db2.gz UPMDZQXYHGIRHF-GFCCVEGCSA-N 0 3 243.306 2.936 20 0 BFADHN Fc1ccc(CNCCC2CC2)c(F)c1 ZINC000083893974 384920989 /nfs/dbraw/zinc/92/09/89/384920989.db2.gz GPPSWABVYBKSOB-UHFFFAOYSA-N 0 3 211.255 2.855 20 0 BFADHN C[C@@]1(F)CCCN(CC[C@H]2CCCCO2)C1 ZINC000336275669 384921128 /nfs/dbraw/zinc/92/11/28/384921128.db2.gz XPGGXFVJDIMRNS-CHWSQXEVSA-N 0 3 229.339 2.770 20 0 BFADHN CCC[C@H]1CCCC[C@@H]1NCc1nccn1C ZINC000516882865 384925303 /nfs/dbraw/zinc/92/53/03/384925303.db2.gz PBWPRNNKXYGNIL-STQMWFEESA-N 0 3 235.375 2.869 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1ccc(Cl)cn1 ZINC000309937043 384925276 /nfs/dbraw/zinc/92/52/76/384925276.db2.gz AHCSXFZPLJXOMN-MWLCHTKSSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1cccc(C(F)F)c1 ZINC000149423342 384930608 /nfs/dbraw/zinc/93/06/08/384930608.db2.gz AHGGGONPYGYUCC-BXKDBHETSA-N 0 3 241.281 2.891 20 0 BFADHN C[C@@H](NCCc1ccccn1)c1ccoc1 ZINC000087289455 384930749 /nfs/dbraw/zinc/93/07/49/384930749.db2.gz DVJLCZHQRNNKRF-LLVKDONJSA-N 0 3 216.284 2.568 20 0 BFADHN C[C@H](NCCOCC1CC1)c1ccccc1 ZINC000164167503 384931954 /nfs/dbraw/zinc/93/19/54/384931954.db2.gz IZLIPFZMXBMWQV-LBPRGKRZSA-N 0 3 219.328 2.764 20 0 BFADHN C[C@@H](NCCc1ccco1)c1ccoc1 ZINC000087289731 384932178 /nfs/dbraw/zinc/93/21/78/384932178.db2.gz LQWMPRVXDDAQCI-SNVBAGLBSA-N 0 3 205.257 2.766 20 0 BFADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2NC[C@@H](C)O ZINC000644523280 384932508 /nfs/dbraw/zinc/93/25/08/384932508.db2.gz USNSMECWGFXNPR-SKDRFNHKSA-N 0 3 237.343 2.572 20 0 BFADHN C[C@H](NCCn1cc(Cl)cn1)c1ccccc1 ZINC000310264748 384934404 /nfs/dbraw/zinc/93/44/04/384934404.db2.gz HBSOCGDXRRVONV-NSHDSACASA-N 0 3 249.745 2.887 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1ccc2[nH]c(=O)oc2c1 ZINC000643907853 384934553 /nfs/dbraw/zinc/93/45/53/384934553.db2.gz JBNZTENRKQANLT-PELKAZGASA-N 0 3 244.294 2.737 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H]2CC23CC3)s1 ZINC000643908028 384934974 /nfs/dbraw/zinc/93/49/74/384934974.db2.gz ZPMUZANFOOOBTF-XCBNKYQSSA-N 0 3 208.330 2.655 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@@H]1C[C@H]1C1CCC1 ZINC000643909004 384937364 /nfs/dbraw/zinc/93/73/64/384937364.db2.gz QDKLAIVKQXEHEI-LJUAHTATSA-N 0 3 219.332 2.557 20 0 BFADHN CC[C@H](O)CN(C)Cc1ccc(Cl)c(F)c1 ZINC000127713368 384938105 /nfs/dbraw/zinc/93/81/05/384938105.db2.gz WLAKFYWUTCLQEQ-JTQLQIEISA-N 0 3 245.725 2.682 20 0 BFADHN COCC(C)(C)NC/C=C\c1ccc(F)cc1 ZINC000255691169 384939052 /nfs/dbraw/zinc/93/90/52/384939052.db2.gz VBYDITHAEQRHCK-PLNGDYQASA-N 0 3 237.318 2.854 20 0 BFADHN CCC[C@H](NCCOC)c1ccc(OC)cc1 ZINC000152100072 384942300 /nfs/dbraw/zinc/94/23/00/384942300.db2.gz VYKOHKWYZQQPAT-AWEZNQCLSA-N 0 3 237.343 2.772 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccc(C)nc2)C1(C)C ZINC000085398465 384942595 /nfs/dbraw/zinc/94/25/95/384942595.db2.gz GDCAIKLZFBFPMH-KBPBESRZSA-N 0 3 248.370 2.683 20 0 BFADHN CN(CCc1cccs1)Cc1cccn1C ZINC000156725846 384945016 /nfs/dbraw/zinc/94/50/16/384945016.db2.gz ZQXJNPUCRFYRMV-UHFFFAOYSA-N 0 3 234.368 2.761 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@@H]1C[C@@H](C)[C@H]1C ZINC000644536291 384945282 /nfs/dbraw/zinc/94/52/82/384945282.db2.gz NIPNCRMFJOAMBD-GAJTVXKRSA-N 0 3 248.370 2.841 20 0 BFADHN COC[C@H](N[C@H](C)c1ccoc1)C(C)C ZINC000087290398 384946424 /nfs/dbraw/zinc/94/64/24/384946424.db2.gz UULCFVBJUKYVIP-PWSUYJOCSA-N 0 3 211.305 2.601 20 0 BFADHN Fc1cccc(C2(NCc3cc[nH]c3)CC2)c1 ZINC000175712565 384948729 /nfs/dbraw/zinc/94/87/29/384948729.db2.gz QYBFDODNLUPFEY-UHFFFAOYSA-N 0 3 230.286 2.933 20 0 BFADHN FC1(F)CC[C@@H](CNCc2ccns2)C1 ZINC000404326096 384971254 /nfs/dbraw/zinc/97/12/54/384971254.db2.gz VIABCMXOHKERAS-MRVPVSSYSA-N 0 3 232.299 2.668 20 0 BFADHN CCC[C@@H](N[C@@H]1C[C@H](O)C1(C)C)c1cccnc1 ZINC000187671689 384972718 /nfs/dbraw/zinc/97/27/18/384972718.db2.gz XGAZMWSMHPJEOC-MCIONIFRSA-N 0 3 248.370 2.672 20 0 BFADHN CCSCC[C@@H](C)N[C@H](C)c1nccn1C ZINC000162294920 384976125 /nfs/dbraw/zinc/97/61/25/384976125.db2.gz WVOCTRZQYIHQIS-GHMZBOCLSA-N 0 3 241.404 2.602 20 0 BFADHN CCC[C@@]1(NCc2cc(C)ns2)CCOC1 ZINC000404415348 384981001 /nfs/dbraw/zinc/98/10/01/384981001.db2.gz MWPXNEUDHNPKRP-GFCCVEGCSA-N 0 3 240.372 2.500 20 0 BFADHN COC[C@H](NC[C@@H]1C[C@@H]1C)c1ccc(C)o1 ZINC000309974988 384982045 /nfs/dbraw/zinc/98/20/45/384982045.db2.gz SANFRGUNXJTPMF-DLOVCJGASA-N 0 3 223.316 2.521 20 0 BFADHN Fc1ccc2c(c1)CCC[C@@H]2N[C@@H]1CCOC1 ZINC000643911127 384983955 /nfs/dbraw/zinc/98/39/55/384983955.db2.gz YNRWWHKEIMZBGM-OCCSQVGLSA-N 0 3 235.302 2.582 20 0 BFADHN C[C@H](CN1CCCCC1)N[C@H](C)c1ccoc1 ZINC000094868817 384986147 /nfs/dbraw/zinc/98/61/47/384986147.db2.gz UXTAGAJJRGLKGX-CHWSQXEVSA-N 0 3 236.359 2.805 20 0 BFADHN C[C@@H](N[C@@H](C)CN1CCCCC1)c1ccoc1 ZINC000094868816 384988055 /nfs/dbraw/zinc/98/80/55/384988055.db2.gz UXTAGAJJRGLKGX-QWHCGFSZSA-N 0 3 236.359 2.805 20 0 BFADHN CCC(CC)[C@@H](NC(=O)[C@H](C)N)c1ccccc1 ZINC000037046028 384993314 /nfs/dbraw/zinc/99/33/14/384993314.db2.gz XILHCORTRNKBJH-SMDDNHRTSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H](N)C(=O)N[C@H](c1ccccc1)C(C)(C)C ZINC000037022917 384994119 /nfs/dbraw/zinc/99/41/19/384994119.db2.gz XMVJNYBXACINMU-QWHCGFSZSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H](N)C(=O)N[C@@H](c1ccccc1)C(C)(C)C ZINC000037022913 384995101 /nfs/dbraw/zinc/99/51/01/384995101.db2.gz XMVJNYBXACINMU-STQMWFEESA-N 0 3 248.370 2.627 20 0 BFADHN Cc1cc(CNC[C@@H]2Cc3ccccc32)sn1 ZINC000404430269 384995790 /nfs/dbraw/zinc/99/57/90/384995790.db2.gz AHASVSUMJJGAMP-LBPRGKRZSA-N 0 3 244.363 2.881 20 0 BFADHN CN(Cc1cccc2[nH]ccc21)C[C@H]1CCCO1 ZINC000128118905 384997175 /nfs/dbraw/zinc/99/71/75/384997175.db2.gz XGGYXQIVYDIFLZ-CYBMUJFWSA-N 0 3 244.338 2.779 20 0 BFADHN C[C@H](N[C@H](CO)Cc1ccccc1)c1ccoc1 ZINC000094869314 384998042 /nfs/dbraw/zinc/99/80/42/384998042.db2.gz ROFYQQJHFPKVOS-WFASDCNBSA-N 0 3 245.322 2.534 20 0 BFADHN CCC1(CNCc2cc(C)ns2)CC1 ZINC000404452406 384998144 /nfs/dbraw/zinc/99/81/44/384998144.db2.gz HGHQPXJJKTXEDX-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN Cc1cccc2c1OCC[C@H]2N[C@@H]1CO[C@H](C)C1 ZINC000647205571 384998181 /nfs/dbraw/zinc/99/81/81/384998181.db2.gz GMWZOMILPNVIBV-MBNYWOFBSA-N 0 3 247.338 2.586 20 0 BFADHN Cc1cc(CN[C@@H]2CC23CCCC3)sn1 ZINC000404452032 384999238 /nfs/dbraw/zinc/99/92/38/384999238.db2.gz JOZPZCMFWQDFHY-LLVKDONJSA-N 0 3 222.357 2.874 20 0 BFADHN C[C@H](F)CCNCc1cc(F)c(F)cc1F ZINC000339483282 385000966 /nfs/dbraw/zinc/00/09/66/385000966.db2.gz IRXFNKQHSLHSGX-ZETCQYMHSA-N 0 3 235.224 2.942 20 0 BFADHN C[C@@H](NCCC(C)(C)O)c1ccccc1F ZINC000086235621 385002145 /nfs/dbraw/zinc/00/21/45/385002145.db2.gz ISVQXQFFRHSWDY-SNVBAGLBSA-N 0 3 225.307 2.637 20 0 BFADHN CS[C@@H](C)CNCc1cc(F)c(F)c(F)c1 ZINC000128165607 385002911 /nfs/dbraw/zinc/00/29/11/385002911.db2.gz ALRNOFCSGPOGTI-ZETCQYMHSA-N 0 3 249.301 2.945 20 0 BFADHN COc1cccc(-c2cccc(CN(C)C)c2)n1 ZINC000644615765 385004852 /nfs/dbraw/zinc/00/48/52/385004852.db2.gz YUTINBULUJGDQK-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN C[C@H](F)CCNCc1c[nH]nc1C(C)(C)C ZINC000339496014 385006809 /nfs/dbraw/zinc/00/68/09/385006809.db2.gz MNNQDAKVFIXJAR-VIFPVBQESA-N 0 3 227.327 2.545 20 0 BFADHN COc1ccc(CN[C@H]2CC[C@H]2C)c(OC)c1 ZINC000310360272 385007509 /nfs/dbraw/zinc/00/75/09/385007509.db2.gz JVURGMWXAPBUPI-MFKMUULPSA-N 0 3 235.327 2.592 20 0 BFADHN C[C@@H](F)CCNCc1c(F)cccc1F ZINC000339494760 385007930 /nfs/dbraw/zinc/00/79/30/385007930.db2.gz LTJVADWBSCNKTE-MRVPVSSYSA-N 0 3 217.234 2.803 20 0 BFADHN CCOCC(C)(C)CNCc1ccccc1F ZINC000310373854 385014341 /nfs/dbraw/zinc/01/43/41/385014341.db2.gz YHJZTNYXDPKUDB-UHFFFAOYSA-N 0 3 239.334 2.978 20 0 BFADHN c1cc(CNC[C@@H]2CCCCS2)sn1 ZINC000404482469 385017695 /nfs/dbraw/zinc/01/76/95/385017695.db2.gz GGILUMMCGPTOTI-VIFPVBQESA-N 0 3 228.386 2.518 20 0 BFADHN CN(C)CCOc1cccc(-c2cscn2)c1 ZINC000644614597 385017969 /nfs/dbraw/zinc/01/79/69/385017969.db2.gz WREZKFUBOCIZQC-UHFFFAOYSA-N 0 3 248.351 2.751 20 0 BFADHN Fc1ccccc1CCNCc1ccns1 ZINC000404471813 385018623 /nfs/dbraw/zinc/01/86/23/385018623.db2.gz YRHMLIANTXXYAU-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cncs1 ZINC000187579303 384961990 /nfs/dbraw/zinc/96/19/90/384961990.db2.gz UHRQCIXABGJYOO-ONGXEEELSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@H](NC[C@@](C)(O)CC)c1nc(C)cs1 ZINC000119081143 384962074 /nfs/dbraw/zinc/96/20/74/384962074.db2.gz NHJWIOQSODRDBE-JQWIXIFHSA-N 0 3 242.388 2.653 20 0 BFADHN C[C@@H](NCCOC(C)(C)C)c1cncc(F)c1 ZINC000308740523 385047548 /nfs/dbraw/zinc/04/75/48/385047548.db2.gz OKQFPFKZMUSDLL-SNVBAGLBSA-N 0 3 240.322 2.686 20 0 BFADHN C[C@@H](CO)CNCc1ccc(Cl)cc1Cl ZINC000088195138 385050199 /nfs/dbraw/zinc/05/01/99/385050199.db2.gz CVZRNKWLCRTXSZ-MRVPVSSYSA-N 0 3 248.153 2.711 20 0 BFADHN Cc1nc(CNCCC2(C)CC2)cs1 ZINC000308740583 385050318 /nfs/dbraw/zinc/05/03/18/385050318.db2.gz OMNRDMNTIANWCJ-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN Cc1coc(SCCCN2CCCCC2)n1 ZINC000188425846 385051243 /nfs/dbraw/zinc/05/12/43/385051243.db2.gz UEUIKACZPMDZRG-UHFFFAOYSA-N 0 3 240.372 2.951 20 0 BFADHN CC[C@@H](C)C[C@@H](CO)NCc1ccsc1 ZINC000647244432 385053541 /nfs/dbraw/zinc/05/35/41/385053541.db2.gz ZSIQIKDVIFVBJF-PWSUYJOCSA-N 0 3 227.373 2.635 20 0 BFADHN Cc1nnc([C@@H](C)N2C[C@@H]3CCCC[C@@H]3C2)o1 ZINC000177572433 385056177 /nfs/dbraw/zinc/05/61/77/385056177.db2.gz FWIHEHYIFZDYQN-JLLWLGSASA-N 0 3 235.331 2.561 20 0 BFADHN CC[C@@H](O)CNCc1ccc(C2CC2)cc1F ZINC000644652061 385056771 /nfs/dbraw/zinc/05/67/71/385056771.db2.gz MSSLYNKYIYPZBW-CYBMUJFWSA-N 0 3 237.318 2.564 20 0 BFADHN C[C@@H](N[C@@H]1CCCC1(C)C)c1cnccn1 ZINC000086872964 385057485 /nfs/dbraw/zinc/05/74/85/385057485.db2.gz CWNVOKROELNQRJ-ZYHUDNBSSA-N 0 3 219.332 2.706 20 0 BFADHN CC(C)[C@H](O)CN1CCc2sccc2[C@H]1C ZINC000300933385 385058691 /nfs/dbraw/zinc/05/86/91/385058691.db2.gz WKGJDGAKTZTUEP-ZYHUDNBSSA-N 0 3 239.384 2.684 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1ccc(F)cc1F ZINC000087013059 385063263 /nfs/dbraw/zinc/06/32/63/385063263.db2.gz SMXMQPKXUALFKF-ZANVPECISA-N 0 3 243.297 2.776 20 0 BFADHN CCC(O)(CC)CN[C@@H](C)c1cccc(O)c1 ZINC000087015302 385066207 /nfs/dbraw/zinc/06/62/07/385066207.db2.gz XAFSRJUOXRZPNU-NSHDSACASA-N 0 3 237.343 2.594 20 0 BFADHN CC[C@@H](NC1(C(C)C)CC1)c1ccn(C)n1 ZINC000340478044 385069174 /nfs/dbraw/zinc/06/91/74/385069174.db2.gz HYHHWPMCRKPRDH-LLVKDONJSA-N 0 3 221.348 2.649 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1COCC1(C)C ZINC000312996254 385069482 /nfs/dbraw/zinc/06/94/82/385069482.db2.gz KNLQPYSQVSUPED-BXUZGUMPSA-N 0 3 249.354 2.771 20 0 BFADHN C[C@H](NCCOCC(F)F)c1cccs1 ZINC000130981429 385069438 /nfs/dbraw/zinc/06/94/38/385069438.db2.gz RFJATXQUOOIYBI-QMMMGPOBSA-N 0 3 235.299 2.680 20 0 BFADHN C[C@H]1CCC[C@@H](CCNCc2ccn(C)n2)C1 ZINC000096610412 385071648 /nfs/dbraw/zinc/07/16/48/385071648.db2.gz DOYHWCUDEHVXEX-STQMWFEESA-N 0 3 235.375 2.726 20 0 BFADHN C[C@H](NC[C@H]1CCCC1(C)C)c1ncc[nH]1 ZINC000340519610 385084873 /nfs/dbraw/zinc/08/48/73/385084873.db2.gz NDKSSJUEVHXMND-WDEREUQCSA-N 0 3 221.348 2.887 20 0 BFADHN CC(C)C(C)(C)CN[C@H](C)c1cnccn1 ZINC000188711413 385085011 /nfs/dbraw/zinc/08/50/11/385085011.db2.gz WXZGUBDADSEKHB-LLVKDONJSA-N 0 3 221.348 2.809 20 0 BFADHN CC[C@@H](COC)N[C@@H](CC)c1nc(C)cs1 ZINC000151017902 385081768 /nfs/dbraw/zinc/08/17/68/385081768.db2.gz LTPJGRVTCFFCME-QWRGUYRKSA-N 0 3 242.388 2.917 20 0 BFADHN CCC1(CN[C@H](C)c2nccnc2C)CC1 ZINC000188226097 385028488 /nfs/dbraw/zinc/02/84/88/385028488.db2.gz GDOQCDWDOVXHGJ-LLVKDONJSA-N 0 3 219.332 2.626 20 0 BFADHN CC(C)C(C)(C)CN[C@@H](C)c1cnccn1 ZINC000188711390 385083021 /nfs/dbraw/zinc/08/30/21/385083021.db2.gz WXZGUBDADSEKHB-NSHDSACASA-N 0 3 221.348 2.809 20 0 BFADHN CCc1nc(C)c(CN2CCC[C@@H]2C2CC2)o1 ZINC000644666096 385082989 /nfs/dbraw/zinc/08/29/89/385082989.db2.gz DZTOFGWABVMYNP-GFCCVEGCSA-N 0 3 234.343 2.920 20 0 BFADHN CCCCCN[C@H]1C[C@H](C)n2ccnc21 ZINC000293829374 385033491 /nfs/dbraw/zinc/03/34/91/385033491.db2.gz BDLXKUIUNRQZNQ-QWRGUYRKSA-N 0 3 207.321 2.669 20 0 BFADHN C[C@@H](NC[C@H](C)CO)c1cc2ccccc2o1 ZINC000086627397 385034369 /nfs/dbraw/zinc/03/43/69/385034369.db2.gz VYVYMOYEMSCKRG-WDEREUQCSA-N 0 3 233.311 2.712 20 0 BFADHN CO[C@H]1CN(Cc2cccs2)CC[C@@H]1C ZINC000188304308 385035113 /nfs/dbraw/zinc/03/51/13/385035113.db2.gz AGEYKKCDBAEBCG-JQWIXIFHSA-N 0 3 225.357 2.605 20 0 BFADHN CC[C@H]1CCCCCN1Cc1ncc(C)cn1 ZINC000334143889 385035535 /nfs/dbraw/zinc/03/55/35/385035535.db2.gz VWEMUKCPBWTPQK-ZDUSSCGKSA-N 0 3 233.359 2.940 20 0 BFADHN CC[C@H](CSC)N[C@@H](C)c1nccnc1C ZINC000188303355 385036303 /nfs/dbraw/zinc/03/63/03/385036303.db2.gz IKCNDFQFGRHPBZ-WDEREUQCSA-N 0 3 239.388 2.577 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1ccsc1 ZINC000401893415 385040459 /nfs/dbraw/zinc/04/04/59/385040459.db2.gz UQDWYXUPTRHPBZ-WCABBAIRSA-N 0 3 211.330 2.576 20 0 BFADHN CCCn1nc(C)c(CN[C@H]2CC23CC3)c1C ZINC000424181614 385109733 /nfs/dbraw/zinc/10/97/33/385109733.db2.gz CYUKXVFHUFCWPF-ZDUSSCGKSA-N 0 3 233.359 2.552 20 0 BFADHN CC(C)c1nc(CN2CCC3(CCC3)CC2)n[nH]1 ZINC000644692447 385110025 /nfs/dbraw/zinc/11/00/25/385110025.db2.gz MDDIKHNVUKMJFG-UHFFFAOYSA-N 0 3 248.374 2.694 20 0 BFADHN CC(C)c1nnc(C[NH+]2CCC3(CCC3)CC2)[n-]1 ZINC000644692447 385110033 /nfs/dbraw/zinc/11/00/33/385110033.db2.gz MDDIKHNVUKMJFG-UHFFFAOYSA-N 0 3 248.374 2.694 20 0 BFADHN C[C@@H](N[C@H]1CCSC1)c1ccsc1 ZINC000061360627 385112274 /nfs/dbraw/zinc/11/22/74/385112274.db2.gz AAKIVBBECVTEDW-SCZZXKLOSA-N 0 3 213.371 2.904 20 0 BFADHN C[C@@H](NCCOC1CCCC1)c1ccncc1 ZINC000108942641 385116312 /nfs/dbraw/zinc/11/63/12/385116312.db2.gz NULSFSZZSPNKLY-GFCCVEGCSA-N 0 3 234.343 2.691 20 0 BFADHN CC(C)n1cc(CNCC2(C3CC3)CCC2)nn1 ZINC000342166892 385119581 /nfs/dbraw/zinc/11/95/81/385119581.db2.gz NDQXFXUGBDPNQF-UHFFFAOYSA-N 0 3 248.374 2.529 20 0 BFADHN CCc1cccc(CN2CCCO[C@@H](C)C2)c1 ZINC000189073122 385119711 /nfs/dbraw/zinc/11/97/11/385119711.db2.gz HMQSUHOPUDSXOA-ZDUSSCGKSA-N 0 3 233.355 2.860 20 0 BFADHN COC[C@H](C)NCc1ccc(C)cc1SC ZINC000151723568 385126923 /nfs/dbraw/zinc/12/69/23/385126923.db2.gz IUDYYQPZWZRTOX-NSHDSACASA-N 0 3 239.384 2.841 20 0 BFADHN C[C@H](CC(=O)OC(C)(C)C)NCc1ccccc1 ZINC000109075865 385135316 /nfs/dbraw/zinc/13/53/16/385135316.db2.gz MEQMCAIKCAUGFB-GFCCVEGCSA-N 0 3 249.354 2.897 20 0 BFADHN C[C@H](NCC1(C2CC2)CC1)c1cncs1 ZINC000131322597 385086059 /nfs/dbraw/zinc/08/60/59/385086059.db2.gz YAMITAIFESREII-VIFPVBQESA-N 0 3 222.357 2.984 20 0 BFADHN C[C@H](NCCCCO)c1ccccc1Cl ZINC000043445671 385087700 /nfs/dbraw/zinc/08/77/00/385087700.db2.gz FRTHLQQWEWYTJM-JTQLQIEISA-N 0 3 227.735 2.763 20 0 BFADHN CCOCCN[C@H](C)c1cc(F)cc(F)c1 ZINC000087282692 385089065 /nfs/dbraw/zinc/08/90/65/385089065.db2.gz JXAZJPCRFNFJNL-SECBINFHSA-N 0 3 229.270 2.652 20 0 BFADHN CC[C@@H](C)N(CCO)Cc1ccc(Cl)s1 ZINC000308778674 385089181 /nfs/dbraw/zinc/08/91/81/385089181.db2.gz BORCIUVNXWXEHO-SECBINFHSA-N 0 3 247.791 2.994 20 0 BFADHN CN(Cc1ccsc1)C[C@@H]1CCCOC1 ZINC000151915256 385140468 /nfs/dbraw/zinc/14/04/68/385140468.db2.gz NUZDJPKMGWANQW-NSHDSACASA-N 0 3 225.357 2.607 20 0 BFADHN Cc1cn2c(CN[C@@H]3CC34CC4)c(C)nc2s1 ZINC000643800054 385089897 /nfs/dbraw/zinc/08/98/97/385089897.db2.gz TYFADVMOGMFYGM-LLVKDONJSA-N 0 3 247.367 2.655 20 0 BFADHN F[C@]1(c2ccccc2)CCN(CC2CC2)C1 ZINC000557695356 385091223 /nfs/dbraw/zinc/09/12/23/385091223.db2.gz RTXOTSUDKMAREQ-CQSZACIVSA-N 0 3 219.303 2.967 20 0 BFADHN C[C@H](NCCc1cnccn1)c1cccc(F)c1 ZINC000340935899 385096491 /nfs/dbraw/zinc/09/64/91/385096491.db2.gz GFHWGFZWJGHYNX-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN CC[C@@H](CNCc1cc(C)c(O)c(C)c1)OC ZINC000290977155 385096572 /nfs/dbraw/zinc/09/65/72/385096572.db2.gz SZYMRSAQOBCESX-ZDUSSCGKSA-N 0 3 237.343 2.524 20 0 BFADHN CC[C@H](NCC[C@H](C)OC)c1ccc(F)cn1 ZINC000310509374 385096969 /nfs/dbraw/zinc/09/69/69/385096969.db2.gz UFTCLNAUJVPYDA-JQWIXIFHSA-N 0 3 240.322 2.686 20 0 BFADHN CCc1ccc([C@@H](COC)N[C@@H](C)CC)o1 ZINC000165279672 385098589 /nfs/dbraw/zinc/09/85/89/385098589.db2.gz MWIFAAQEFPWNIY-CMPLNLGQSA-N 0 3 225.332 2.918 20 0 BFADHN CC(C)N(C)c1ccc(CN[C@@H]2CC23CC3)cn1 ZINC000424185450 385100999 /nfs/dbraw/zinc/10/09/99/385100999.db2.gz PMBUWUXBFNLJFT-CYBMUJFWSA-N 0 3 245.370 2.568 20 0 BFADHN Cc1nc(C)c(CN2C[C@H]3CCC[C@H]32)s1 ZINC000336348463 385141274 /nfs/dbraw/zinc/14/12/74/385141274.db2.gz FTZWBADHMPTTAG-GHMZBOCLSA-N 0 3 222.357 2.744 20 0 BFADHN COc1nccc(CNCCCC2CCCC2)n1 ZINC000294671093 385107707 /nfs/dbraw/zinc/10/77/07/385107707.db2.gz DJALNSBOZQLOMJ-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN Cc1ccc(Cl)c(NC(=O)[C@H](N)C(C)C)c1 ZINC000152464825 385183368 /nfs/dbraw/zinc/18/33/68/385183368.db2.gz MNFMZBNAICTDTD-LLVKDONJSA-N 0 3 240.734 2.570 20 0 BFADHN Cc1cccc2[nH]c(CNCC(C)C)nc21 ZINC000062742486 385185372 /nfs/dbraw/zinc/18/53/72/385185372.db2.gz QCFJRINBHSLZHB-UHFFFAOYSA-N 0 3 217.316 2.617 20 0 BFADHN CC[C@H](NCc1occc1C)[C@@H]1CCCO1 ZINC000119740897 385189220 /nfs/dbraw/zinc/18/92/20/385189220.db2.gz CMWSYLJOUHWMGB-RYUDHWBXSA-N 0 3 223.316 2.635 20 0 BFADHN CCC[C@@H](C)C(=O)Nc1cccc(CN)c1 ZINC000020248722 385190172 /nfs/dbraw/zinc/19/01/72/385190172.db2.gz PCHXMNHQBUMBFT-SNVBAGLBSA-N 0 3 220.316 2.520 20 0 BFADHN C[C@@H](CCC(F)(F)F)NCc1cscn1 ZINC000308822238 385191101 /nfs/dbraw/zinc/19/11/01/385191101.db2.gz CMHDBZBYTLXATQ-ZETCQYMHSA-N 0 3 238.278 2.964 20 0 BFADHN Cc1ccc(CN[C@@H](CO)c2ccccc2)cc1 ZINC000062998966 385199372 /nfs/dbraw/zinc/19/93/72/385199372.db2.gz KEGINYBXONTCRJ-INIZCTEOSA-N 0 3 241.334 2.818 20 0 BFADHN CSC[C@@H]1CCCN1Cc1cncc(C)c1 ZINC000189929760 385199318 /nfs/dbraw/zinc/19/93/18/385199318.db2.gz HAQPHLNWLIDWFQ-ZDUSSCGKSA-N 0 3 236.384 2.717 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2CC23CC3)cc1O ZINC000424332610 385148226 /nfs/dbraw/zinc/14/82/26/385148226.db2.gz WYUXJUYELSDKGI-TVQRCGJNSA-N 0 3 233.311 2.604 20 0 BFADHN Cc1ccc(CN[C@H]2COCC2(C)C)cc1C ZINC000313015400 385151339 /nfs/dbraw/zinc/15/13/39/385151339.db2.gz AEHIVTLNIBBYQG-AWEZNQCLSA-N 0 3 233.355 2.818 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@@](C)(O)C2)o1 ZINC000129505462 385155294 /nfs/dbraw/zinc/15/52/94/385155294.db2.gz HNPMTBKZQRAQKH-OSAQELSMSA-N 0 3 249.354 2.750 20 0 BFADHN CC[C@H](NCCOC)c1cc(F)ccc1F ZINC000152107865 385155620 /nfs/dbraw/zinc/15/56/20/385155620.db2.gz ZKMNHAVQBVVMFK-LBPRGKRZSA-N 0 3 229.270 2.652 20 0 BFADHN C[C@H](N(C)Cc1cccnc1)C1(C)CC1 ZINC000176930298 385161083 /nfs/dbraw/zinc/16/10/83/385161083.db2.gz PWNURTFHUOTVOU-NSHDSACASA-N 0 3 204.317 2.702 20 0 BFADHN CC(C)=CCN(C)Cc1cccc(O)c1 ZINC000530905264 385163249 /nfs/dbraw/zinc/16/32/49/385163249.db2.gz GWAQPSHTFASBDS-UHFFFAOYSA-N 0 3 205.301 2.790 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@H]2CC23CC3)cn1 ZINC000424326191 385165561 /nfs/dbraw/zinc/16/55/61/385165561.db2.gz OMTKMQPEGTWDID-PWSUYJOCSA-N 0 3 219.332 2.667 20 0 BFADHN CCOc1ccc(CN[C@@H]2COCC2(C)C)cc1 ZINC000313018449 385166512 /nfs/dbraw/zinc/16/65/12/385166512.db2.gz COWSYNUQICREKX-CQSZACIVSA-N 0 3 249.354 2.600 20 0 BFADHN CCN(CCc1cccnc1)Cc1ccccn1 ZINC000177006039 385167748 /nfs/dbraw/zinc/16/77/48/385167748.db2.gz YGXRZLYFQJIYFG-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN CC[C@@H](N[C@@H]1CC12CC2)c1ccc(F)cn1 ZINC000424330602 385170328 /nfs/dbraw/zinc/17/03/28/385170328.db2.gz UFNISQLJAGXTBZ-ZYHUDNBSSA-N 0 3 220.291 2.814 20 0 BFADHN c1c2ccccc2oc1CN[C@@H]1CCCOC1 ZINC000109544323 385173449 /nfs/dbraw/zinc/17/34/49/385173449.db2.gz HJXUSSNDGNVIBP-GFCCVEGCSA-N 0 3 231.295 2.701 20 0 BFADHN CC[C@H](C)[C@@](C)(O)CN[C@H](C)c1ccoc1 ZINC000252711394 385206940 /nfs/dbraw/zinc/20/69/40/385206940.db2.gz JJODYAOGCLFYJU-LOWVWBTDSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@H](NCCC(F)(F)F)c1cccc(O)c1 ZINC000063098668 385207586 /nfs/dbraw/zinc/20/75/86/385207586.db2.gz PMFAEGDCTJSJNZ-QMMMGPOBSA-N 0 3 233.233 2.995 20 0 BFADHN COC[C@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC000177284924 385212512 /nfs/dbraw/zinc/21/25/12/385212512.db2.gz UTZTYSXQYXQFJG-OLZOCXBDSA-N 0 3 234.343 2.501 20 0 BFADHN FCCNC1CC(c2ccccc2F)C1 ZINC000308840548 385213849 /nfs/dbraw/zinc/21/38/49/385213849.db2.gz YMAHHKHXHWWQJO-UHFFFAOYSA-N 0 3 211.255 2.631 20 0 BFADHN Cc1ccc(CN2CC(C)(C)[C@@H]3COC[C@@H]32)cc1 ZINC000449779738 385215208 /nfs/dbraw/zinc/21/52/08/385215208.db2.gz DYDBYEIVLNIXLF-CABCVRRESA-N 0 3 245.366 2.852 20 0 BFADHN C[C@H](N[C@H](CO)Cc1ccccc1)c1ccco1 ZINC000063218275 385217300 /nfs/dbraw/zinc/21/73/00/385217300.db2.gz XSDAYEXHMDQKTO-JSGCOSHPSA-N 0 3 245.322 2.534 20 0 BFADHN CCOC[C@H](C)NCc1ccccc1Cl ZINC000044688132 385218940 /nfs/dbraw/zinc/21/89/40/385218940.db2.gz FYVJNMYBPKCZPT-JTQLQIEISA-N 0 3 227.735 2.855 20 0 BFADHN CC[C@H](COC)N[C@@H]1CCc2ccc(F)cc21 ZINC000152931085 385222864 /nfs/dbraw/zinc/22/28/64/385222864.db2.gz RWMPHFLGLRKAKU-TZMCWYRMSA-N 0 3 237.318 2.828 20 0 BFADHN Cc1ccc(CN(C)C)cc1NC(=O)C1CCC1 ZINC000177330617 385222804 /nfs/dbraw/zinc/22/28/04/385222804.db2.gz YWIBXQOKWXINMW-UHFFFAOYSA-N 0 3 246.354 2.795 20 0 BFADHN CC1(C)CC[C@H](N[C@H]2CCCc3c[nH]nc32)C1 ZINC000336390102 385223852 /nfs/dbraw/zinc/22/38/52/385223852.db2.gz TWQZLRLUZLUFCS-RYUDHWBXSA-N 0 3 233.359 2.955 20 0 BFADHN CC[C@H](COC)N[C@H]1CCc2ccc(F)cc21 ZINC000152931296 385224357 /nfs/dbraw/zinc/22/43/57/385224357.db2.gz RWMPHFLGLRKAKU-OCCSQVGLSA-N 0 3 237.318 2.828 20 0 BFADHN CC1(C)CC[C@@H](N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000336390103 385225049 /nfs/dbraw/zinc/22/50/49/385225049.db2.gz TWQZLRLUZLUFCS-VXGBXAGGSA-N 0 3 233.359 2.955 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@H]3C[C@H]32)cs1 ZINC000308871482 385227634 /nfs/dbraw/zinc/22/76/34/385227634.db2.gz SKCDTHIVLTUPFK-MVWJERBFSA-N 0 3 222.357 2.730 20 0 BFADHN C[C@H](NCCCCCO)c1ccc(Cl)cn1 ZINC000279926620 385231859 /nfs/dbraw/zinc/23/18/59/385231859.db2.gz IPGZHFGTXHVRLT-JTQLQIEISA-N 0 3 242.750 2.548 20 0 BFADHN CCOCCN[C@@H](CC)c1ccccc1F ZINC000166294193 385235255 /nfs/dbraw/zinc/23/52/55/385235255.db2.gz CYGRQEOFIAGEAW-ZDUSSCGKSA-N 0 3 225.307 2.903 20 0 BFADHN CC[C@@H](NCCCOC)c1ccccc1F ZINC000166291513 385236581 /nfs/dbraw/zinc/23/65/81/385236581.db2.gz ACPFHJNUFGSDNY-CYBMUJFWSA-N 0 3 225.307 2.903 20 0 BFADHN CSCCCNCc1c(F)cccc1F ZINC000068998319 385239904 /nfs/dbraw/zinc/23/99/04/385239904.db2.gz OCHVIYJXQQIAEQ-UHFFFAOYSA-N 0 3 231.311 2.808 20 0 BFADHN CSCCCN[C@H](C)c1csc(C)n1 ZINC000068997361 385240687 /nfs/dbraw/zinc/24/06/87/385240687.db2.gz IQDYLKMDDMZYPR-MRVPVSSYSA-N 0 3 230.402 2.855 20 0 BFADHN COc1ccccc1CN[C@H]1C[C@H](OC)C1(C)C ZINC000227831037 385250852 /nfs/dbraw/zinc/25/08/52/385250852.db2.gz XWUFFURSAFMXDT-KBPBESRZSA-N 0 3 249.354 2.598 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCSC2)s1 ZINC000130524605 385255451 /nfs/dbraw/zinc/25/54/51/385255451.db2.gz YHJNPSMOZWQSQU-SNVBAGLBSA-N 0 3 227.398 2.994 20 0 BFADHN CC[C@](C)(CCO)NCc1cccc(F)c1F ZINC000177899557 385303386 /nfs/dbraw/zinc/30/33/86/385303386.db2.gz CRZVQVLCPNSXDL-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN CC[C@H](CNCc1ccc(Cl)s1)OC ZINC000289729467 385308509 /nfs/dbraw/zinc/30/85/09/385308509.db2.gz GQBKMHOPDSPHCR-MRVPVSSYSA-N 0 3 233.764 2.916 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccccc2Cl)[C@H](C)O1 ZINC000294909670 385308597 /nfs/dbraw/zinc/30/85/97/385308597.db2.gz ZZYFHVASQHVKMR-NRUUGDAUSA-N 0 3 239.746 2.996 20 0 BFADHN C[C@H](CCO)CNCc1cccc(Cl)c1F ZINC000131141457 385310726 /nfs/dbraw/zinc/31/07/26/385310726.db2.gz JPMXHZCMHPHILQ-SECBINFHSA-N 0 3 245.725 2.587 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1cccc(Cl)c1F ZINC000131139136 385310909 /nfs/dbraw/zinc/31/09/09/385310909.db2.gz YOVDOCNJCRBQDL-BDAKNGLRSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@H](CCCO)NCc1cccc(Cl)c1F ZINC000131170130 385312994 /nfs/dbraw/zinc/31/29/94/385312994.db2.gz ZHLQEAVZVJNFGA-SECBINFHSA-N 0 3 245.725 2.730 20 0 BFADHN C[C@H](N[C@H](CO)CC(C)(C)C)c1cncs1 ZINC000131155710 385313587 /nfs/dbraw/zinc/31/35/87/385313587.db2.gz ZNDXEHOMSXMFCN-UWVGGRQHSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@@H](CCCO)NCc1cccc(Cl)c1F ZINC000131169902 385313724 /nfs/dbraw/zinc/31/37/24/385313724.db2.gz ZHLQEAVZVJNFGA-VIFPVBQESA-N 0 3 245.725 2.730 20 0 BFADHN C[C@@H](N[C@@H](CO)CC(C)(C)C)c1cncs1 ZINC000131156319 385313902 /nfs/dbraw/zinc/31/39/02/385313902.db2.gz ZNDXEHOMSXMFCN-NXEZZACHSA-N 0 3 242.388 2.591 20 0 BFADHN CC[C@H](COC)N[C@H]1CCCc2c(O)cccc21 ZINC000191640059 385314453 /nfs/dbraw/zinc/31/44/53/385314453.db2.gz IQBOJAJEYNOOPP-RISCZKNCSA-N 0 3 249.354 2.784 20 0 BFADHN C[C@@](O)(CNCc1ccco1)C1CCCCC1 ZINC000191668563 385315247 /nfs/dbraw/zinc/31/52/47/385315247.db2.gz JSIKIMHGMKNTDN-CQSZACIVSA-N 0 3 237.343 2.701 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](C)COC)o1 ZINC000178674125 385316785 /nfs/dbraw/zinc/31/67/85/385316785.db2.gz ISWYLNDVGIBUCM-MNOVXSKESA-N 0 3 225.332 2.775 20 0 BFADHN CCC1([NH2+]Cc2cc([O-])cc(F)c2)CC1 ZINC000294927860 385318353 /nfs/dbraw/zinc/31/83/53/385318353.db2.gz ZEWDRMKNEKZFKQ-UHFFFAOYSA-N 0 3 209.264 2.564 20 0 BFADHN C[C@@H](NC[C@H](C)O)c1ccc(-c2ccccc2)o1 ZINC000131218716 385318495 /nfs/dbraw/zinc/31/84/95/385318495.db2.gz JJMIXLNDYKETIL-NWDGAFQWSA-N 0 3 245.322 2.978 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1cc(C)c(C)o1 ZINC000308968105 385321144 /nfs/dbraw/zinc/32/11/44/385321144.db2.gz XGYMTJXWVINMRR-NXEZZACHSA-N 0 3 211.305 2.582 20 0 BFADHN COc1ccc(CN(C)[C@@H]2CCSC2)cc1 ZINC000130561118 385260214 /nfs/dbraw/zinc/26/02/14/385260214.db2.gz CETOFYRKBOIOHL-GFCCVEGCSA-N 0 3 237.368 2.633 20 0 BFADHN Cc1ncc(CN[C@H]2CCCC2(C)C)o1 ZINC000334723839 385263029 /nfs/dbraw/zinc/26/30/29/385263029.db2.gz ILHWIADBGGMDEW-NSHDSACASA-N 0 3 208.305 2.651 20 0 BFADHN Cc1ccoc1CN(C)[C@@H]1CCSC1 ZINC000130601307 385266203 /nfs/dbraw/zinc/26/62/03/385266203.db2.gz ZCNHJCCJJMIZMD-SNVBAGLBSA-N 0 3 211.330 2.525 20 0 BFADHN CC[C@H](CSC)NCc1ccnn1C(C)C ZINC000280168940 385268427 /nfs/dbraw/zinc/26/84/27/385268427.db2.gz FZZZKZQPZOKPBU-LLVKDONJSA-N 0 3 241.404 2.695 20 0 BFADHN C[C@@H](NCC1(C)CCOCC1)c1cscn1 ZINC000130597874 385268918 /nfs/dbraw/zinc/26/89/18/385268918.db2.gz IALNXIRJHLKXOA-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN CCN(CCO)[C@@H](C)c1cc2ccccc2o1 ZINC000177690980 385271174 /nfs/dbraw/zinc/27/11/74/385271174.db2.gz XEPPXHMYTYLVQC-NSHDSACASA-N 0 3 233.311 2.808 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@]2(C)CCOC2)o1 ZINC000344374621 385271415 /nfs/dbraw/zinc/27/14/15/385271415.db2.gz UKPYFRUPTQNNDL-OSAQELSMSA-N 0 3 249.354 2.919 20 0 BFADHN CCO[C@H]1CCN(Cc2cccs2)C[C@H]1C ZINC000449490977 385273101 /nfs/dbraw/zinc/27/31/01/385273101.db2.gz DQNWEIWHUIIFIC-YPMHNXCESA-N 0 3 239.384 2.995 20 0 BFADHN Cc1ncc(CN[C@@H]2CC(C)(C)C[C@@H]2C)o1 ZINC000334727177 385275090 /nfs/dbraw/zinc/27/50/90/385275090.db2.gz VZCIVJJMSDGLQG-JOYOIKCWSA-N 0 3 222.332 2.897 20 0 BFADHN COC[C@@H](C)CN[C@@H](C)c1ccc(C)o1 ZINC000045655814 385275593 /nfs/dbraw/zinc/27/55/93/385275593.db2.gz LWUHTUKZYKJPJF-ONGXEEELSA-N 0 3 211.305 2.521 20 0 BFADHN Cc1oc2ccccc2c1CN(C)C[C@H](C)O ZINC000130665381 385275728 /nfs/dbraw/zinc/27/57/28/385275728.db2.gz NDJLGQTXNFTKBK-JTQLQIEISA-N 0 3 233.311 2.554 20 0 BFADHN CCN(CCc1ccccc1)Cc1c[nH]nc1C ZINC000153703313 385276108 /nfs/dbraw/zinc/27/61/08/385276108.db2.gz ZPPCULHRQHYCHS-UHFFFAOYSA-N 0 3 243.354 2.783 20 0 BFADHN CN(CC[C@H]1CCCO1)Cc1ccccc1F ZINC000191056951 385280797 /nfs/dbraw/zinc/28/07/97/385280797.db2.gz QYCBMBVKTIBXRJ-CYBMUJFWSA-N 0 3 237.318 2.827 20 0 BFADHN Cc1ncc(CN[C@H]2CCCC[C@@H]2C)o1 ZINC000334727209 385283072 /nfs/dbraw/zinc/28/30/72/385283072.db2.gz XOJLNORHBSVTOP-CABZTGNLSA-N 0 3 208.305 2.651 20 0 BFADHN CCOCCC1(CNCc2ccco2)CCC1 ZINC000130773192 385285901 /nfs/dbraw/zinc/28/59/01/385285901.db2.gz FRIOEYIUXNRRAC-UHFFFAOYSA-N 0 3 237.343 2.966 20 0 BFADHN COCc1ccc([C@H]2CCCN2CC2CC2)o1 ZINC000130783720 385286417 /nfs/dbraw/zinc/28/64/17/385286417.db2.gz OKNSSRQZOYYKKU-CYBMUJFWSA-N 0 3 235.327 2.973 20 0 BFADHN Cc1ccc(NC(=O)CN2CC[C@H](C)[C@@H]2C)cc1 ZINC000177873004 385299017 /nfs/dbraw/zinc/29/90/17/385299017.db2.gz LIAJAYWRHWJTJR-STQMWFEESA-N 0 3 246.354 2.664 20 0 BFADHN CC[C@@H](COC)NCc1coc2ccccc12 ZINC000130995881 385299706 /nfs/dbraw/zinc/29/97/06/385299706.db2.gz CLLPFFAAJLNPMA-LBPRGKRZSA-N 0 3 233.311 2.947 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1cccnc1Cl ZINC000167555829 385323756 /nfs/dbraw/zinc/32/37/56/385323756.db2.gz ZDBXCQVJPGJKES-VHSXEESVSA-N 0 3 242.750 2.547 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1ccc(F)cc1F ZINC000131042606 385301656 /nfs/dbraw/zinc/30/16/56/385301656.db2.gz OOQIKNAOZRSJNA-MWLCHTKSSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@](O)(CNCc1sccc1Cl)C1CC1 ZINC000336698554 385330539 /nfs/dbraw/zinc/33/05/39/385330539.db2.gz OPBZIXQPHYCQNH-NSHDSACASA-N 0 3 245.775 2.652 20 0 BFADHN Cc1occc1CN(C)CCc1ccccn1 ZINC000065602694 385330800 /nfs/dbraw/zinc/33/08/00/385330800.db2.gz OOFGXAOYZDAWDC-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@H]1C)c1ccon1 ZINC000308995879 385338226 /nfs/dbraw/zinc/33/82/26/385338226.db2.gz MOEXFPQPKMVAON-VWYCJHECSA-N 0 3 208.305 2.904 20 0 BFADHN COC[C@@H](C)N[C@@H](c1ccccc1)[C@@H]1CCCO1 ZINC000178125540 385338710 /nfs/dbraw/zinc/33/87/10/385338710.db2.gz MOFMRZGYMZHDHP-SNPRPXQTSA-N 0 3 249.354 2.531 20 0 BFADHN CCc1ccc([C@@H](C)NCC(C)(C)CCO)o1 ZINC000178167859 385340911 /nfs/dbraw/zinc/34/09/11/385340911.db2.gz CQACZTMBLLMXTO-LLVKDONJSA-N 0 3 239.359 2.901 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H]2CCCN2C)o1 ZINC000154654760 385344993 /nfs/dbraw/zinc/34/49/93/385344993.db2.gz CDRJJGYJEHSFRN-NEPJUHHUSA-N 0 3 236.359 2.587 20 0 BFADHN CCCCCNC(=O)[C@@H](C)[C@@H](N)c1ccccc1 ZINC000131622307 385348743 /nfs/dbraw/zinc/34/87/43/385348743.db2.gz XVUZMRPIPCHNEA-GXTWGEPZSA-N 0 3 248.370 2.629 20 0 BFADHN CC1(C)C[C@@H](N[C@H]2CCCc3occc32)CO1 ZINC000335361141 385349489 /nfs/dbraw/zinc/34/94/89/385349489.db2.gz XNSJCVUDCYZQLJ-PWSUYJOCSA-N 0 3 235.327 2.814 20 0 BFADHN C[C@H](NCCCC(C)(C)CO)c1ccco1 ZINC000168192426 385353805 /nfs/dbraw/zinc/35/38/05/385353805.db2.gz OQPXFVQECJOABZ-NSHDSACASA-N 0 3 225.332 2.729 20 0 BFADHN CC(C)n1cc([C@H](C)NC2CCC2)cn1 ZINC000309000252 385361422 /nfs/dbraw/zinc/36/14/22/385361422.db2.gz NWBSUENQURBLTA-JTQLQIEISA-N 0 3 207.321 2.667 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@H](C)C[C@H](C)C2)n1 ZINC000131807940 385362431 /nfs/dbraw/zinc/36/24/31/385362431.db2.gz OINBSWMYLWCMRU-PHIMTYICSA-N 0 3 234.343 2.574 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@H](C)C[C@H](C)C2)n1 ZINC000131807940 385362434 /nfs/dbraw/zinc/36/24/34/385362434.db2.gz OINBSWMYLWCMRU-PHIMTYICSA-N 0 3 234.343 2.574 20 0 BFADHN C[C@@H](N[C@H]1CCC(C)(C)C1)c1ccon1 ZINC000309023000 385366507 /nfs/dbraw/zinc/36/65/07/385366507.db2.gz YKCQLLCZPOVLAO-ZJUUUORDSA-N 0 3 208.305 2.904 20 0 BFADHN CC(C)[C@@H](O)CNCc1coc2ccccc12 ZINC000158212608 385367319 /nfs/dbraw/zinc/36/73/19/385367319.db2.gz UCQUTGFRSGYWAL-ZDUSSCGKSA-N 0 3 233.311 2.539 20 0 BFADHN C[C@H](O)CN1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000192692406 385367536 /nfs/dbraw/zinc/36/75/36/385367536.db2.gz PRWUPMXPEVVFSC-GXFFZTMASA-N 0 3 239.746 2.858 20 0 BFADHN CC[C@H](NCCCCO)c1ccccc1F ZINC000193277449 385390268 /nfs/dbraw/zinc/39/02/68/385390268.db2.gz JLIRQNKKTHOUNL-ZDUSSCGKSA-N 0 3 225.307 2.639 20 0 BFADHN CCC[C@H](NC[C@H](C)O)c1ccc(F)cc1F ZINC000192786351 385370306 /nfs/dbraw/zinc/37/03/06/385370306.db2.gz KCQPCQVITITLGB-ZANVPECISA-N 0 3 243.297 2.776 20 0 BFADHN Cc1ccc([C@H]2C[C@@H](C)CCN2C[C@@H](C)O)o1 ZINC000192734762 385370787 /nfs/dbraw/zinc/37/07/87/385370787.db2.gz KGQHXRCLZWSCQP-DMDPSCGWSA-N 0 3 237.343 2.742 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H](C)C1)c1ccon1 ZINC000309017405 385371266 /nfs/dbraw/zinc/37/12/66/385371266.db2.gz VBNDVCRWZLGGJO-VWYCJHECSA-N 0 3 208.305 2.904 20 0 BFADHN C[C@@H](NCC1CCC1)c1ccncc1F ZINC000309018941 385373563 /nfs/dbraw/zinc/37/35/63/385373563.db2.gz VVDISDYERSSNNH-SECBINFHSA-N 0 3 208.280 2.671 20 0 BFADHN CCO[C@H]1C[C@@H](NCCF)C1(CC)CC ZINC000309063502 385390501 /nfs/dbraw/zinc/39/05/01/385390501.db2.gz YASKXCWNORABTA-MNOVXSKESA-N 0 3 217.328 2.529 20 0 BFADHN C[C@H](NCCCCCO)c1cccnc1Cl ZINC000192923296 385377402 /nfs/dbraw/zinc/37/74/02/385377402.db2.gz IKCHQTDJEYJCAA-JTQLQIEISA-N 0 3 242.750 2.548 20 0 BFADHN CO[C@@](C)(CN[C@H](C)c1ccccn1)C1CC1 ZINC000291278100 385379713 /nfs/dbraw/zinc/37/97/13/385379713.db2.gz RBCWFMQGELRHTD-RISCZKNCSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@H](F)CN[C@@H]1CC(C)(C)OC1(C)C ZINC000309064501 385390719 /nfs/dbraw/zinc/39/07/19/385390719.db2.gz YNKFWSVPRUUGKH-VHSXEESVSA-N 0 3 217.328 2.670 20 0 BFADHN C[C@H](N[C@@H](CO)CC1CCC1)c1ccsc1 ZINC000280915573 385382218 /nfs/dbraw/zinc/38/22/18/385382218.db2.gz GWSXALXCJQZIHR-GXFFZTMASA-N 0 3 239.384 2.950 20 0 BFADHN Cc1ccc([C@H](C)NCCN2CC=CCC2)o1 ZINC000280955324 385384824 /nfs/dbraw/zinc/38/48/24/385384824.db2.gz KBSHFIBNNUIEJA-ZDUSSCGKSA-N 0 3 234.343 2.501 20 0 BFADHN COc1ccccc1[C@@H](C)NCC1(OC)CCC1 ZINC000180198110 385385347 /nfs/dbraw/zinc/38/53/47/385385347.db2.gz ZRNSRYUOFBUPGC-GFCCVEGCSA-N 0 3 249.354 2.915 20 0 BFADHN CC[C@H](F)CN[C@H]1CC(C)(C)OC1(C)C ZINC000309064500 385390995 /nfs/dbraw/zinc/39/09/95/385390995.db2.gz YNKFWSVPRUUGKH-UWVGGRQHSA-N 0 3 217.328 2.670 20 0 BFADHN C[C@@H](O)CN(C)Cc1ccc2ccccc2c1 ZINC000131302125 385325264 /nfs/dbraw/zinc/32/52/64/385325264.db2.gz BDCOMYDSYCZNCX-GFCCVEGCSA-N 0 3 229.323 2.652 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403327519 385325450 /nfs/dbraw/zinc/32/54/50/385325450.db2.gz AUMUGNJKPJFRCG-RFQIPJPRSA-N 0 3 245.370 2.872 20 0 BFADHN Cc1ccc(CN2CCSCC[C@@H]2C)o1 ZINC000191815064 385326335 /nfs/dbraw/zinc/32/63/35/385326335.db2.gz GMJQISHXBUJQDH-JTQLQIEISA-N 0 3 225.357 2.915 20 0 BFADHN CC[C@H](NCc1cc(C)on1)C(C)(C)C ZINC000309068738 385394004 /nfs/dbraw/zinc/39/40/04/385394004.db2.gz APOMABFHFQQKEP-NSHDSACASA-N 0 3 210.321 2.897 20 0 BFADHN Cc1nc(Cl)ccc1NC1CCN(C)CC1 ZINC000193478802 385399260 /nfs/dbraw/zinc/39/92/60/385399260.db2.gz LYNQYTVJDCPILV-UHFFFAOYSA-N 0 3 239.750 2.550 20 0 BFADHN CCOc1cccc(CNCC2(OC)CCC2)c1 ZINC000181089166 385401943 /nfs/dbraw/zinc/40/19/43/385401943.db2.gz PDFZWVGZYPCABU-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN COC1(CNCc2ccc(F)cc2C)CCC1 ZINC000181082612 385402091 /nfs/dbraw/zinc/40/20/91/385402091.db2.gz RRMZBXCEHKGLNW-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN C[C@H](NCCCCO)c1ccc2ccccc2n1 ZINC000194215749 385425693 /nfs/dbraw/zinc/42/56/93/385425693.db2.gz VAPSGBVGGPHYEK-LBPRGKRZSA-N 0 3 244.338 2.658 20 0 BFADHN CSCCCN[C@@H](C)c1ccncc1F ZINC000309072746 385404259 /nfs/dbraw/zinc/40/42/59/385404259.db2.gz GMZLXXDHQQZWJY-VIFPVBQESA-N 0 3 228.336 2.624 20 0 BFADHN C=Cn1cc(CN(C)[C@H]2CCC[C@@H](C)C2)cn1 ZINC000193687249 385408089 /nfs/dbraw/zinc/40/80/89/385408089.db2.gz PYHFFRPMSUPMBD-OCCSQVGLSA-N 0 3 233.359 2.994 20 0 BFADHN Cc1ccc(CN(CCO)C2CC2)cc1Cl ZINC000193697752 385408241 /nfs/dbraw/zinc/40/82/41/385408241.db2.gz SYLJVNKJXQWGBL-UHFFFAOYSA-N 0 3 239.746 2.605 20 0 BFADHN CC(C)[C@H]1CCN(Cc2cncc(F)c2)C1 ZINC000336034176 385408310 /nfs/dbraw/zinc/40/83/10/385408310.db2.gz UBDUZILBMTUHLM-LBPRGKRZSA-N 0 3 222.307 2.699 20 0 BFADHN CN(C)CC1(Nc2nc3ccccc3o2)CCC1 ZINC000281151930 385408650 /nfs/dbraw/zinc/40/86/50/385408650.db2.gz AVRZJAUIDGBCHO-UHFFFAOYSA-N 0 3 245.326 2.724 20 0 BFADHN CCSCCN[C@H](C)c1cccc(O)c1 ZINC000181579725 385409652 /nfs/dbraw/zinc/40/96/52/385409652.db2.gz DYTBRVKUOIRTIF-SNVBAGLBSA-N 0 3 225.357 2.796 20 0 BFADHN CSCCCN[C@@H](C)c1cc(C)ccn1 ZINC000309086717 385410406 /nfs/dbraw/zinc/41/04/06/385410406.db2.gz YONJLMVALAMCGF-NSHDSACASA-N 0 3 224.373 2.794 20 0 BFADHN COC1(CNCc2ccncc2C)CCCCC1 ZINC000295512158 385410423 /nfs/dbraw/zinc/41/04/23/385410423.db2.gz UZVJBPSXGYDMEI-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CCC[C@@H](O)CNCc1ccc(C)c(Cl)c1 ZINC000193737679 385410563 /nfs/dbraw/zinc/41/05/63/385410563.db2.gz AJJAJOREEKYSJG-GFCCVEGCSA-N 0 3 241.762 2.899 20 0 BFADHN CC(C)=CCNC[C@@H](O)c1ccc(Cl)cc1 ZINC000178771478 385417265 /nfs/dbraw/zinc/41/72/65/385417265.db2.gz JOHJXUPANPUCLY-CYBMUJFWSA-N 0 3 239.746 2.929 20 0 BFADHN CSC[C@H](C)NCc1cn(C(C)C)nc1C ZINC000281234776 385417695 /nfs/dbraw/zinc/41/76/95/385417695.db2.gz DZZBRJGEXJEQQV-JTQLQIEISA-N 0 3 241.404 2.614 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)[C@H](C)C2)c(C)c1 ZINC000354443778 385419988 /nfs/dbraw/zinc/41/99/88/385419988.db2.gz JYSRXICMAMQNER-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN CC(C)N[C@@H](CO)c1cccc(Cl)c1F ZINC000229250744 134449398 /nfs/dbraw/zinc/44/93/98/134449398.db2.gz LVIWLWVOIPUJNA-JTQLQIEISA-N 0 3 231.698 2.511 20 0 BFADHN Cc1ccc([C@@H](C)NCCCOC(F)F)o1 ZINC000194035829 385421165 /nfs/dbraw/zinc/42/11/65/385421165.db2.gz ZSLIWJRUTXCXPV-SECBINFHSA-N 0 3 233.258 2.868 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cc(Cl)cs1 ZINC000289779532 385423594 /nfs/dbraw/zinc/42/35/94/385423594.db2.gz KKYPMOWGYUOCTP-SFYZADRCSA-N 0 3 233.764 2.915 20 0 BFADHN Cc1ccc2nccc(NCCF)c2c1 ZINC000309163883 385445491 /nfs/dbraw/zinc/44/54/91/385445491.db2.gz ICAIVMBAOBNRBH-UHFFFAOYSA-N 0 3 204.248 2.925 20 0 BFADHN CO[C@H]1CCCN(Cc2cc(C)sc2C)C1 ZINC000170817529 385448773 /nfs/dbraw/zinc/44/87/73/385448773.db2.gz IJJWVLFXBXGVOE-ZDUSSCGKSA-N 0 3 239.384 2.976 20 0 BFADHN CO[C@H]1CCCN(Cc2cccc(C)c2)C1 ZINC000170819919 385448986 /nfs/dbraw/zinc/44/89/86/385448986.db2.gz REPABPIZIXWRFZ-AWEZNQCLSA-N 0 3 219.328 2.606 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cnoc1C ZINC000309178178 385452600 /nfs/dbraw/zinc/45/26/00/385452600.db2.gz NGTVTXMZPXKTJE-JQWIXIFHSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1n[nH]cc1CN(C)CCCc1ccccc1 ZINC000179317333 385458402 /nfs/dbraw/zinc/45/84/02/385458402.db2.gz QIKZFNZVGBQUIO-UHFFFAOYSA-N 0 3 243.354 2.783 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H](C)C1)c1nccn1C ZINC000182581082 385456816 /nfs/dbraw/zinc/45/68/16/385456816.db2.gz UOASFQFUXOORTB-AGIUHOORSA-N 0 3 235.375 2.897 20 0 BFADHN CCn1cc([C@@H](C)NCCSC(C)C)cn1 ZINC000309192660 385457218 /nfs/dbraw/zinc/45/72/18/385457218.db2.gz IXSPWSUZRBHBKI-LLVKDONJSA-N 0 3 241.404 2.695 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1cocn1 ZINC000182077928 385428652 /nfs/dbraw/zinc/42/86/52/385428652.db2.gz JTUZBYUXKXHJHB-CMPLNLGQSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@@H]3CCC[C@@H]32)n1 ZINC000156037967 385430314 /nfs/dbraw/zinc/43/03/14/385430314.db2.gz RNALOVZPPIWVAC-JSGCOSHPSA-N 0 3 246.354 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@@H]3CCC[C@@H]32)n1 ZINC000156037967 385430317 /nfs/dbraw/zinc/43/03/17/385430317.db2.gz RNALOVZPPIWVAC-JSGCOSHPSA-N 0 3 246.354 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@H]3CCC[C@@H]32)n1 ZINC000156037844 385431041 /nfs/dbraw/zinc/43/10/41/385431041.db2.gz RNALOVZPPIWVAC-OCCSQVGLSA-N 0 3 246.354 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@H]3CCC[C@@H]32)n1 ZINC000156037844 385431042 /nfs/dbraw/zinc/43/10/42/385431042.db2.gz RNALOVZPPIWVAC-OCCSQVGLSA-N 0 3 246.354 2.860 20 0 BFADHN Cc1cc(CN2CCCO[C@H](C)C2)ccc1F ZINC000179397300 385465546 /nfs/dbraw/zinc/46/55/46/385465546.db2.gz FFAPAGDKWDNVCT-GFCCVEGCSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cc(CN2CCCO[C@@H](C)C2)ccc1F ZINC000179397310 385466039 /nfs/dbraw/zinc/46/60/39/385466039.db2.gz FFAPAGDKWDNVCT-LBPRGKRZSA-N 0 3 237.318 2.745 20 0 BFADHN CCOc1ccc(CNC[C@@H](CC)OC)cc1 ZINC000289792592 385466001 /nfs/dbraw/zinc/46/60/01/385466001.db2.gz LNCKEAGKVMCDMD-CYBMUJFWSA-N 0 3 237.343 2.600 20 0 BFADHN Cc1ccsc1CN(C)C[C@@H]1CCCO1 ZINC000171100012 385467970 /nfs/dbraw/zinc/46/79/70/385467970.db2.gz HPWNRKOULYWDCJ-NSHDSACASA-N 0 3 225.357 2.667 20 0 BFADHN c1c(CN2CCCCCC2)nc2ccccn12 ZINC000014194668 385468243 /nfs/dbraw/zinc/46/82/43/385468243.db2.gz IZORHDLZQLRONH-UHFFFAOYSA-N 0 3 229.327 2.710 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1cc(C)c(C)o1 ZINC000281999512 385471168 /nfs/dbraw/zinc/47/11/68/385471168.db2.gz CWLDXELGXAWLQT-PWSUYJOCSA-N 0 3 225.332 2.708 20 0 BFADHN CC1(C)CN(C/C=C\c2ccc(F)cc2)C[C@H]1O ZINC000448375330 385471263 /nfs/dbraw/zinc/47/12/63/385471263.db2.gz MSHWMQSTUMMRCD-VQTKUKTRSA-N 0 3 249.329 2.542 20 0 BFADHN CC1(C)CCN(Cc2cnn3ccccc23)C1 ZINC000179608314 385478296 /nfs/dbraw/zinc/47/82/96/385478296.db2.gz RMTURAQRMANMOC-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CC[C@H](NCCF)c1cccc(OC)c1 ZINC000309218383 385485481 /nfs/dbraw/zinc/48/54/81/385485481.db2.gz UTEAAWMEKHJMFE-LBPRGKRZSA-N 0 3 211.280 2.705 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1cc(C)c(C)o1 ZINC000281946206 385460007 /nfs/dbraw/zinc/46/00/07/385460007.db2.gz BUMOSJHQQHRXMN-UMNHJUIQSA-N 0 3 225.332 2.970 20 0 BFADHN COC[C@H](C)N(C)Cc1ccc(F)c(Cl)c1 ZINC000179367913 385463253 /nfs/dbraw/zinc/46/32/53/385463253.db2.gz KVOJBIOVXYWVDJ-VIFPVBQESA-N 0 3 245.725 2.946 20 0 BFADHN C/C(=C\c1ccccc1)CN1CCC[C@](C)(O)C1 ZINC000179844526 385493642 /nfs/dbraw/zinc/49/36/42/385493642.db2.gz NOPJBDFFPHNCLH-UKYUDJEDSA-N 0 3 245.366 2.937 20 0 BFADHN CSCCCN(C)CCOc1ccccc1 ZINC000157357987 385497617 /nfs/dbraw/zinc/49/76/17/385497617.db2.gz FIJKFWOKOBZRIB-UHFFFAOYSA-N 0 3 239.384 2.750 20 0 BFADHN Cc1cnc(CNCC2CCSCC2)s1 ZINC000295222364 385502496 /nfs/dbraw/zinc/50/24/96/385502496.db2.gz RDMRPMXELUYSCI-UHFFFAOYSA-N 0 3 242.413 2.684 20 0 BFADHN C[C@H](O)CCCN(C)Cc1cccc(Cl)c1 ZINC000309286387 385510034 /nfs/dbraw/zinc/51/00/34/385510034.db2.gz OVBMCBVMHQHSCC-NSHDSACASA-N 0 3 241.762 2.933 20 0 BFADHN CCc1nc(CN(CC)CC(CC)CC)n[nH]1 ZINC000157619755 385512261 /nfs/dbraw/zinc/51/22/61/385512261.db2.gz NYEWFDORUQCEET-UHFFFAOYSA-N 0 3 238.379 2.625 20 0 BFADHN Cn1cc(CN2CCCC2)c(-c2ccccc2)n1 ZINC000180202293 385514271 /nfs/dbraw/zinc/51/42/71/385514271.db2.gz POZDZGZTRHHSTJ-UHFFFAOYSA-N 0 3 241.338 2.683 20 0 BFADHN CC(C)[C@H](O)CN[C@H](C)c1cccc(F)c1F ZINC000183265691 385515425 /nfs/dbraw/zinc/51/54/25/385515425.db2.gz ZQVZGOCPSPEYOG-BXKDBHETSA-N 0 3 243.297 2.632 20 0 BFADHN COC[C@@H](C)NCc1sccc1Cl ZINC000308211532 385534290 /nfs/dbraw/zinc/53/42/90/385534290.db2.gz LHPMTVPBCASWLV-SSDOTTSWSA-N 0 3 219.737 2.526 20 0 BFADHN CN(CCc1ccccc1Cl)[C@H]1CCOC1 ZINC000106879676 385537862 /nfs/dbraw/zinc/53/78/62/385537862.db2.gz ZXKCODHBQHWMHH-LBPRGKRZSA-N 0 3 239.746 2.603 20 0 BFADHN Cc1ncc([C@@H](C)NCC[C@H](C)F)s1 ZINC000378855481 385544898 /nfs/dbraw/zinc/54/48/98/385544898.db2.gz JVTPGEGLAKYVMS-JGVFFNPUSA-N 0 3 216.325 2.850 20 0 BFADHN CCc1cccc(CN2CCOCC[C@@H]2C)c1 ZINC000364956082 385548014 /nfs/dbraw/zinc/54/80/14/385548014.db2.gz RPMAZYPMXKZNRO-ZDUSSCGKSA-N 0 3 233.355 2.860 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](C)C[C@@H](C)O)o1 ZINC000157758694 385519636 /nfs/dbraw/zinc/51/96/36/385519636.db2.gz FGZLQJZYCIPEIH-TUAOUCFPSA-N 0 3 239.359 2.900 20 0 BFADHN CC(C)=CCN(C)CCc1ncc(C)s1 ZINC000157740181 385519824 /nfs/dbraw/zinc/51/98/24/385519824.db2.gz IOSYAALDSLDNBP-UHFFFAOYSA-N 0 3 224.373 2.892 20 0 BFADHN C[C@H](N(C)Cc1cnn2ccccc12)C1(C)CC1 ZINC000180534710 385526755 /nfs/dbraw/zinc/52/67/55/385526755.db2.gz FGSIBJWNIGZAGA-LBPRGKRZSA-N 0 3 243.354 2.955 20 0 BFADHN CN(Cc1ccn(C)n1)C1CCCCCC1 ZINC000334266870 385528269 /nfs/dbraw/zinc/52/82/69/385528269.db2.gz OCZRQAAHQSYRBA-UHFFFAOYSA-N 0 3 221.348 2.575 20 0 BFADHN Cc1ccc2ncc(CN(C)[C@@H](C)C3CC3)n2c1 ZINC000158120756 385549566 /nfs/dbraw/zinc/54/95/66/385549566.db2.gz URCIUYVOYKSPJQ-LBPRGKRZSA-N 0 3 243.354 2.873 20 0 BFADHN CCCCN(C)Cc1ccc(C(=O)OCC)o1 ZINC000158169967 385551173 /nfs/dbraw/zinc/55/11/73/385551173.db2.gz UXFGNTGWXTVOMX-UHFFFAOYSA-N 0 3 239.315 2.688 20 0 BFADHN Cc1ccc(CN(C)CCc2cccnc2)o1 ZINC000172152631 385553287 /nfs/dbraw/zinc/55/32/87/385553287.db2.gz MXPJEQHKHIGDRY-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN CSCCN1CCC[C@@H]1c1cccn1C ZINC000181069662 385562179 /nfs/dbraw/zinc/56/21/79/385562179.db2.gz PPTPQMFZYCTOBN-GFCCVEGCSA-N 0 3 224.373 2.525 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2cccc(O)c2)C1(C)C ZINC000300619849 385573944 /nfs/dbraw/zinc/57/39/44/385573944.db2.gz AZOXGDDPDUPRHJ-DDTOSNHZSA-N 0 3 249.354 2.856 20 0 BFADHN C[C@@H](Cc1ccncc1)N[C@@H](C)c1nccs1 ZINC000337316692 385594272 /nfs/dbraw/zinc/59/42/72/385594272.db2.gz KRLTZYDWQBTNRJ-QWRGUYRKSA-N 0 3 247.367 2.820 20 0 BFADHN Cc1ccoc1CN[C@H]1CCC[C@@H]1OC(F)F ZINC000337320139 385595332 /nfs/dbraw/zinc/59/53/32/385595332.db2.gz YZHKTBDLSVCTMG-UWVGGRQHSA-N 0 3 245.269 2.838 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CSC[C@@H]2C)c1 ZINC000309420083 385579049 /nfs/dbraw/zinc/57/90/49/385579049.db2.gz JUBFSRIMMGJXBG-GMXVVIOVSA-N 0 3 236.384 2.792 20 0 BFADHN C[C@H](N[C@H]1COc2ccc(F)cc21)C1CC1 ZINC000309428234 385581453 /nfs/dbraw/zinc/58/14/53/385581453.db2.gz BAKKNKPBIZYGMF-UFBFGSQYSA-N 0 3 221.275 2.647 20 0 BFADHN CS[C@H]1CCC[C@H](NCc2ccccn2)C1 ZINC000117394304 385582481 /nfs/dbraw/zinc/58/24/81/385582481.db2.gz UGXFRWFTOZJSIX-AAEUAGOBSA-N 0 3 236.384 2.845 20 0 BFADHN CS[C@H]1CCC[C@@H](NCc2ccccn2)C1 ZINC000117394309 385582709 /nfs/dbraw/zinc/58/27/09/385582709.db2.gz UGXFRWFTOZJSIX-YPMHNXCESA-N 0 3 236.384 2.845 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1cccc(F)c1 ZINC000229383204 385606866 /nfs/dbraw/zinc/60/68/66/385606866.db2.gz FKLXQMQOLFATLJ-MXWKQRLJSA-N 0 3 225.307 2.900 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@H]2OC(F)F)o1 ZINC000337302055 385590462 /nfs/dbraw/zinc/59/04/62/385590462.db2.gz PWEGVQGGJFMAIU-GHMZBOCLSA-N 0 3 245.269 2.838 20 0 BFADHN Cc1nc(CNC[C@H]2CCC(F)(F)C2)cs1 ZINC000280879550 385614470 /nfs/dbraw/zinc/61/44/70/385614470.db2.gz DWEDYUZOMUYKBS-VIFPVBQESA-N 0 3 246.326 2.977 20 0 BFADHN Cc1nc(CNC[C@@H]2CCC(F)(F)C2)cs1 ZINC000280879548 385615244 /nfs/dbraw/zinc/61/52/44/385615244.db2.gz DWEDYUZOMUYKBS-SECBINFHSA-N 0 3 246.326 2.977 20 0 BFADHN COCc1cccc(CN2CCC=C(C)C2)c1 ZINC000280944903 385619843 /nfs/dbraw/zinc/61/98/43/385619843.db2.gz WQQIVBFRITXTAI-UHFFFAOYSA-N 0 3 231.339 2.985 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(F)cc2)C[C@H]1C ZINC000334738299 385620380 /nfs/dbraw/zinc/62/03/80/385620380.db2.gz IVAWAOZPQAQMIH-RISCZKNCSA-N 0 3 237.318 2.683 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1cccc(F)c1F ZINC000181948263 385620597 /nfs/dbraw/zinc/62/05/97/385620597.db2.gz UXHWBFYTVIOZLD-VHSXEESVSA-N 0 3 241.281 2.794 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2cnccc2C)C1(C)C ZINC000280971218 385623096 /nfs/dbraw/zinc/62/30/96/385623096.db2.gz TUIQKGHSNZZKAI-UKRRQHHQSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1ccc(CC[C@H](C)NCCF)cc1 ZINC000280953790 385623293 /nfs/dbraw/zinc/62/32/93/385623293.db2.gz HCTBEZJLDGCDHZ-LBPRGKRZSA-N 0 3 209.308 2.875 20 0 BFADHN CCSCCN[C@@H]1c2ccccc2O[C@@H]1C ZINC000290158666 385625855 /nfs/dbraw/zinc/62/58/55/385625855.db2.gz LQAWVTPGCLFWFQ-MFKMUULPSA-N 0 3 237.368 2.851 20 0 BFADHN CCSCCN[C@H]1c2ccccc2O[C@@H]1C ZINC000290158676 385627744 /nfs/dbraw/zinc/62/77/44/385627744.db2.gz LQAWVTPGCLFWFQ-ZWNOBZJWSA-N 0 3 237.368 2.851 20 0 BFADHN Cc1ccncc1CN1CCCC[C@H]1C ZINC000269713377 385629420 /nfs/dbraw/zinc/62/94/20/385629420.db2.gz RYKVXBLDQJDLCK-GFCCVEGCSA-N 0 3 204.317 2.764 20 0 BFADHN CO[C@@H](C)CN(Cc1ccccc1)C1CC1 ZINC000182017675 385631023 /nfs/dbraw/zinc/63/10/23/385631023.db2.gz MEXDNCXIWALXRX-LBPRGKRZSA-N 0 3 219.328 2.686 20 0 BFADHN c1nc(CN[C@H](Cc2ccccc2)C2CC2)co1 ZINC000182061349 385633192 /nfs/dbraw/zinc/63/31/92/385633192.db2.gz QODCIMFQLXGVQF-OAHLLOKOSA-N 0 3 242.322 2.786 20 0 BFADHN c1nc(CN[C@@H](Cc2ccccc2)C2CC2)co1 ZINC000182061332 385633363 /nfs/dbraw/zinc/63/33/63/385633363.db2.gz QODCIMFQLXGVQF-HNNXBMFYSA-N 0 3 242.322 2.786 20 0 BFADHN CO[C@H](C)CN(C)[C@@H](C)c1cccs1 ZINC000182066846 385633615 /nfs/dbraw/zinc/63/36/15/385633615.db2.gz FOJLKZVEVSEHHO-ZJUUUORDSA-N 0 3 213.346 2.776 20 0 BFADHN CSC[C@@H](C)N[C@@H](C)c1cncc(C)c1 ZINC000184362121 385633950 /nfs/dbraw/zinc/63/39/50/385633950.db2.gz VNLQWMGVMYUNBG-MNOVXSKESA-N 0 3 224.373 2.792 20 0 BFADHN Cn1c(CNC2CCC2)nc2cc(Cl)ccc21 ZINC000269766331 385633988 /nfs/dbraw/zinc/63/39/88/385633988.db2.gz FSSHITUIPGWIMJ-UHFFFAOYSA-N 0 3 249.745 2.869 20 0 BFADHN CC[C@@H](COC)NCc1ccsc1C ZINC000293460536 385611260 /nfs/dbraw/zinc/61/12/60/385611260.db2.gz DEGDENHOPIQKMD-NSHDSACASA-N 0 3 213.346 2.571 20 0 BFADHN CSCCN1CCC[C@H]1c1ccc[nH]1 ZINC000269592658 385613064 /nfs/dbraw/zinc/61/30/64/385613064.db2.gz ITNWAQWAOYXCEN-NSHDSACASA-N 0 3 210.346 2.515 20 0 BFADHN OCC1(CNCc2ccc(Cl)s2)CCC1 ZINC000184377769 385637526 /nfs/dbraw/zinc/63/75/26/385637526.db2.gz XRJICTLSBTVGBM-UHFFFAOYSA-N 0 3 245.775 2.654 20 0 BFADHN CCc1cccc(Cl)c1CN[C@@H](C)CCO ZINC000309502931 385640632 /nfs/dbraw/zinc/64/06/32/385640632.db2.gz AGOIOHIJDLOTKF-JTQLQIEISA-N 0 3 241.762 2.763 20 0 BFADHN C[C@H](Cc1cccc(Cl)c1)NCCF ZINC000283410418 385641832 /nfs/dbraw/zinc/64/18/32/385641832.db2.gz QYTUTEBSGHZMBY-SECBINFHSA-N 0 3 215.699 2.830 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@H]2CCOC2)o1 ZINC000252094262 385643791 /nfs/dbraw/zinc/64/37/91/385643791.db2.gz HONIGGDOMSQNRB-WZRBSPASSA-N 0 3 235.327 2.529 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccc(F)cc1)C1CC1 ZINC000293569347 385646509 /nfs/dbraw/zinc/64/65/09/385646509.db2.gz BDSHTYBARKPUTN-IINYFYTJSA-N 0 3 237.318 2.901 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@H]2CCC[C@@H]2O)o1 ZINC000252182800 385646733 /nfs/dbraw/zinc/64/67/33/385646733.db2.gz TWKCVJLYDZDXDD-RFHZTLPTSA-N 0 3 249.354 2.654 20 0 BFADHN FC1(F)CCC[C@H](NCc2ccccn2)C1 ZINC000309516752 385649147 /nfs/dbraw/zinc/64/91/47/385649147.db2.gz RTZJJUZAONSUBN-JTQLQIEISA-N 0 3 226.270 2.749 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1cncc(C)c1 ZINC000184420259 385650338 /nfs/dbraw/zinc/65/03/38/385650338.db2.gz RNPHWXRZCBBURF-QWRGUYRKSA-N 0 3 224.373 2.792 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCO[C@H]2C)o1 ZINC000252683614 385654590 /nfs/dbraw/zinc/65/45/90/385654590.db2.gz DYULNSKQGOYDDW-RSLMWUCJSA-N 0 3 235.327 2.670 20 0 BFADHN Fc1ccc2c(c1)[C@H](NC1CCCC1)CO2 ZINC000309519966 385656866 /nfs/dbraw/zinc/65/68/66/385656866.db2.gz BDBXHBBFDTUXSP-GFCCVEGCSA-N 0 3 221.275 2.791 20 0 BFADHN CC[C@H](N[C@@H](C)[C@H](C)OC)c1ccncc1 ZINC000252712580 385657181 /nfs/dbraw/zinc/65/71/81/385657181.db2.gz MTONXYSUBFGNKC-GVXVVHGQSA-N 0 3 222.332 2.546 20 0 BFADHN CCN(Cc1ccccn1)C[C@](C)(OC)C1CC1 ZINC000647911224 385657363 /nfs/dbraw/zinc/65/73/63/385657363.db2.gz XFPPPTFGPZMOGZ-HNNXBMFYSA-N 0 3 248.370 2.719 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@H]1CCc2c1cccc2F ZINC000252690452 385657829 /nfs/dbraw/zinc/65/78/29/385657829.db2.gz UZBFBKXCLNLDRG-FZZIBODNSA-N 0 3 235.302 2.580 20 0 BFADHN CC[C@H](F)CN[C@@H]1CCCC[C@@H]1OC(F)F ZINC000337436320 385660412 /nfs/dbraw/zinc/66/04/12/385660412.db2.gz WVZKUWHXMXVXAS-AEJSXWLSSA-N 0 3 239.281 2.875 20 0 BFADHN CC[C@H]1CN(Cc2ccc(C)o2)CCCO1 ZINC000281347024 385661082 /nfs/dbraw/zinc/66/10/82/385661082.db2.gz TVDVERCIKQCTKL-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN CCOC[C@H](C)NCc1cnc(C(C)C)s1 ZINC000309522758 385662215 /nfs/dbraw/zinc/66/22/15/385662215.db2.gz ASAHTFARKKPIKM-JTQLQIEISA-N 0 3 242.388 2.781 20 0 BFADHN COC1([C@H](C)NCc2ccsc2)CCC1 ZINC000414523888 385663832 /nfs/dbraw/zinc/66/38/32/385663832.db2.gz JIAGLBMJBAKPAS-JTQLQIEISA-N 0 3 225.357 2.795 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H]1CCOc2c(C)cccc21 ZINC000365057340 385673745 /nfs/dbraw/zinc/67/37/45/385673745.db2.gz ZDPWUPLTRCEMRD-SGMGOOAPSA-N 0 3 249.354 2.832 20 0 BFADHN Cc1nnc([C@H](C)N[C@H](C)[C@@H]2CC2(C)C)s1 ZINC000309543838 385679185 /nfs/dbraw/zinc/67/91/85/385679185.db2.gz GLPYFWQUEGPACU-WEDXCCLWSA-N 0 3 239.388 2.932 20 0 BFADHN COc1ccc(COCCN2C[C@@H](C)[C@@H]2C)cc1 ZINC000645218146 385679409 /nfs/dbraw/zinc/67/94/09/385679409.db2.gz MBBRDHFUSPAGEA-OLZOCXBDSA-N 0 3 249.354 2.552 20 0 BFADHN CCc1ccc(CN[C@@H](CC)[C@@H](O)C(C)C)o1 ZINC000414531621 385683718 /nfs/dbraw/zinc/68/37/18/385683718.db2.gz RYHBPOIPPUMXRY-KBPBESRZSA-N 0 3 239.359 2.727 20 0 BFADHN CN(Cc1cnc(N)s1)CC1CCCCC1 ZINC000086766107 385684407 /nfs/dbraw/zinc/68/44/07/385684407.db2.gz QNBUITDHQWTASN-UHFFFAOYSA-N 0 3 239.388 2.737 20 0 BFADHN CC[C@H](CN[C@H](C)c1ccc(F)cc1)OC ZINC000290180109 385685648 /nfs/dbraw/zinc/68/56/48/385685648.db2.gz NJNCGZCYPDFORB-ZWNOBZJWSA-N 0 3 225.307 2.901 20 0 BFADHN CCCC1(CN[C@H](C)c2cc(C)n(C)n2)CC1 ZINC000337497294 385686362 /nfs/dbraw/zinc/68/63/62/385686362.db2.gz YWXMATUYWALNSS-GFCCVEGCSA-N 0 3 235.375 2.959 20 0 BFADHN CC[C@@H](NCC(C)(C)C)c1nccn1C ZINC000182619880 385690002 /nfs/dbraw/zinc/69/00/02/385690002.db2.gz RHGSSWGWGAVDJM-SNVBAGLBSA-N 0 3 209.337 2.507 20 0 BFADHN Cc1ccsc1[C@@H](C)N[C@@H](CO)CC(F)F ZINC000309571080 385700802 /nfs/dbraw/zinc/70/08/02/385700802.db2.gz NQWJHOMMNIAODR-RKDXNWHRSA-N 0 3 249.326 2.723 20 0 BFADHN Cn1cc(CCN2CC=C(C(C)(C)C)CC2)cn1 ZINC000270364017 385701794 /nfs/dbraw/zinc/70/17/94/385701794.db2.gz KXEYCOJAMRBNHL-UHFFFAOYSA-N 0 3 247.386 2.641 20 0 BFADHN CCSc1cccc(CN(C)CCCO)c1 ZINC000281795819 385703412 /nfs/dbraw/zinc/70/34/12/385703412.db2.gz OKZYMXYILMCPNI-UHFFFAOYSA-N 0 3 239.384 2.613 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CC[C@@H](F)C2)c1 ZINC000309568271 385705430 /nfs/dbraw/zinc/70/54/30/385705430.db2.gz MWRYCPWLMNCAQD-QJPTWQEYSA-N 0 3 222.307 2.931 20 0 BFADHN COC[C@H](Cc1ccccc1)NCC=C(C)C ZINC000188441808 385708649 /nfs/dbraw/zinc/70/86/49/385708649.db2.gz NQXZPDULLXQOSF-HNNXBMFYSA-N 0 3 233.355 2.800 20 0 BFADHN C[C@H](N[C@@H]1CCCSC1)c1ccncc1F ZINC000309582812 385715024 /nfs/dbraw/zinc/71/50/24/385715024.db2.gz QWWRDFHAKCOZRC-VHSXEESVSA-N 0 3 240.347 2.767 20 0 BFADHN CCc1ccc(CN2CC[C@](C)(COC)C2)nc1 ZINC000451205363 385715037 /nfs/dbraw/zinc/71/50/37/385715037.db2.gz XVGYQWORVUVTNB-HNNXBMFYSA-N 0 3 248.370 2.502 20 0 BFADHN COC1([C@H](C)NCc2ccoc2C)CCC1 ZINC000414526526 385667000 /nfs/dbraw/zinc/66/70/00/385667000.db2.gz OTEYNHPAHQNKFQ-NSHDSACASA-N 0 3 223.316 2.635 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC000182418920 385668565 /nfs/dbraw/zinc/66/85/65/385668565.db2.gz KDHSDOHPEWLPAL-RKDXNWHRSA-N 0 3 229.270 2.650 20 0 BFADHN CCOc1cccc([C@H](C)NC[C@H](C)OC)c1 ZINC000182429681 385668615 /nfs/dbraw/zinc/66/86/15/385668615.db2.gz YEWNZLCFGKKROP-RYUDHWBXSA-N 0 3 237.343 2.771 20 0 BFADHN C[C@@H](NCc1ccc([C@H]2C[C@H]2C)o1)[C@H]1CCOC1 ZINC000253196620 385669667 /nfs/dbraw/zinc/66/96/67/385669667.db2.gz TVBPDOADAVNVNG-NMKXLXIOSA-N 0 3 249.354 2.918 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000182435362 385670230 /nfs/dbraw/zinc/67/02/30/385670230.db2.gz GERJSSVSPXNWDR-JGVFFNPUSA-N 0 3 247.260 2.789 20 0 BFADHN c1cc(CNCCC2=CCCCCC2)n[nH]1 ZINC000185409592 385728724 /nfs/dbraw/zinc/72/87/24/385728724.db2.gz XYDUFMKNGYKISD-UHFFFAOYSA-N 0 3 219.332 2.780 20 0 BFADHN CCN(C[C@H]1CCCO1)[C@H](C)c1cccnc1 ZINC000270715975 385732539 /nfs/dbraw/zinc/73/25/39/385732539.db2.gz JKDSYNQJMJXTJI-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN Cc1noc(C)c1CN(C(C)C)C(C)C ZINC000183044049 385732769 /nfs/dbraw/zinc/73/27/69/385732769.db2.gz BSVNHQXXXAXKQQ-UHFFFAOYSA-N 0 3 210.321 2.910 20 0 BFADHN CC(C)(CNCc1ccco1)c1cccnc1 ZINC000414550583 385733470 /nfs/dbraw/zinc/73/34/70/385733470.db2.gz ACIJPRMQVGCXIG-UHFFFAOYSA-N 0 3 230.311 2.742 20 0 BFADHN CCN(C[C@@H]1CCCO1)[C@H](C)c1cccnc1 ZINC000270715974 385733563 /nfs/dbraw/zinc/73/35/63/385733563.db2.gz JKDSYNQJMJXTJI-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(C)o2)[C@@H](C)C1 ZINC000282187963 385738981 /nfs/dbraw/zinc/73/89/81/385738981.db2.gz GCDLTXSQNGTSAC-CMPLNLGQSA-N 0 3 223.316 2.587 20 0 BFADHN CO[C@H]1CCN(Cc2occc2C)[C@H](C)C1 ZINC000282194173 385741786 /nfs/dbraw/zinc/74/17/86/385741786.db2.gz GMYYCUSURXDHAM-NEPJUHHUSA-N 0 3 223.316 2.587 20 0 BFADHN COC[C@H](N[C@@H](C)c1cc(C)c(C)o1)C1CC1 ZINC000282217843 385743406 /nfs/dbraw/zinc/74/34/06/385743406.db2.gz OTYKTSVPFVLURH-GWCFXTLKSA-N 0 3 237.343 2.972 20 0 BFADHN FCCCNC1(c2ccc(F)cc2)CC1 ZINC000336736584 385743650 /nfs/dbraw/zinc/74/36/50/385743650.db2.gz PJAMIIHZKQRHSO-UHFFFAOYSA-N 0 3 211.255 2.764 20 0 BFADHN Cc1cnn(CCN[C@@H](C)c2cc(C)oc2C)c1 ZINC000230636791 385745692 /nfs/dbraw/zinc/74/56/92/385745692.db2.gz VOJHZLLBZSBTKN-LBPRGKRZSA-N 0 3 247.342 2.752 20 0 BFADHN CS[C@H](CN[C@@H](C)c1ncc[nH]1)C(C)(C)C ZINC000336696664 385746094 /nfs/dbraw/zinc/74/60/94/385746094.db2.gz LXWUINZECCXYDR-VHSXEESVSA-N 0 3 241.404 2.838 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1sccc1OC ZINC000186197427 385747226 /nfs/dbraw/zinc/74/72/26/385747226.db2.gz ISASPMQXTFLRFV-ZJUUUORDSA-N 0 3 243.372 2.568 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1cccc(F)c1F ZINC000183263659 385748123 /nfs/dbraw/zinc/74/81/23/385748123.db2.gz ORPBLOSICLIEJB-ZJUUUORDSA-N 0 3 243.297 2.776 20 0 BFADHN CCC[C@](C)(O)CN[C@H](C)c1cccc(O)c1 ZINC000230684319 385750111 /nfs/dbraw/zinc/75/01/11/385750111.db2.gz VTGTYUQMOXSZJF-RISCZKNCSA-N 0 3 237.343 2.594 20 0 BFADHN CN(CCOCC(F)F)Cc1ccc(F)cc1 ZINC000188589705 385786594 /nfs/dbraw/zinc/78/65/94/385786594.db2.gz YUPCEVKSMKRKNZ-UHFFFAOYSA-N 0 3 247.260 2.539 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1sccc1OC ZINC000186173161 385752532 /nfs/dbraw/zinc/75/25/32/385752532.db2.gz YYZYEXSTCNNENK-UWVGGRQHSA-N 0 3 243.372 2.832 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H]2CCSC2)o1 ZINC000230705346 385752673 /nfs/dbraw/zinc/75/26/73/385752673.db2.gz CFRUVWOREZZNRH-CKYFFXLPSA-N 0 3 237.368 2.998 20 0 BFADHN CC[C@H]1CN(Cc2cc3ccccc3o2)C[C@@H]1O ZINC000270865153 385754006 /nfs/dbraw/zinc/75/40/06/385754006.db2.gz IPDKZRGZPKLNFY-FZMZJTMJSA-N 0 3 245.322 2.636 20 0 BFADHN CC[C@H](NCCCC(C)C)c1ccn(C)n1 ZINC000309625645 385754564 /nfs/dbraw/zinc/75/45/64/385754564.db2.gz FRENWADMKMVPIZ-LBPRGKRZSA-N 0 3 223.364 2.897 20 0 BFADHN CO[C@@](C)(CN[C@@H](C)c1ccncc1)C1CC1 ZINC000291880692 385755067 /nfs/dbraw/zinc/75/50/67/385755067.db2.gz LMHGIXSZYHGCHC-FZMZJTMJSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@@H](N[C@@H](CO)CC1CCC1)c1cccc(O)c1 ZINC000282378641 385755999 /nfs/dbraw/zinc/75/59/99/385755999.db2.gz QPZAMUXVUCQCOD-BXUZGUMPSA-N 0 3 249.354 2.594 20 0 BFADHN CC[C@@H](NC1CC(OC)C1)c1nc(C)cs1 ZINC000336737356 385761713 /nfs/dbraw/zinc/76/17/13/385761713.db2.gz NJDBNJFZZJWZBC-VQXHTEKXSA-N 0 3 240.372 2.670 20 0 BFADHN CCCCN(C)Cc1cccc2nccn21 ZINC000183464466 385762974 /nfs/dbraw/zinc/76/29/74/385762974.db2.gz FTQYNVBALDLXOZ-UHFFFAOYSA-N 0 3 217.316 2.566 20 0 BFADHN CC[C@H](N[C@H](CCO)c1ccco1)C(C)C ZINC000186531389 385768583 /nfs/dbraw/zinc/76/85/83/385768583.db2.gz PAGQXMVHQGGCCT-NWDGAFQWSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H]1C=C[C@H](CO)C1)CC2 ZINC000183575879 385771822 /nfs/dbraw/zinc/77/18/22/385771822.db2.gz SSANPMNTTHBTSL-JGGQBBKZSA-N 0 3 243.350 2.509 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H](CO)C(C)C)o1 ZINC000309654363 385771983 /nfs/dbraw/zinc/77/19/83/385771983.db2.gz YPWWZMGCCFXRJK-RYUDHWBXSA-N 0 3 225.332 2.503 20 0 BFADHN C[C@H](CN[C@@H](C)c1cccnc1)c1nccs1 ZINC000186738334 385787581 /nfs/dbraw/zinc/78/75/81/385787581.db2.gz XHLUEBDYBISGKE-MNOVXSKESA-N 0 3 247.367 2.992 20 0 BFADHN CC[C@H](F)CN1CCS[C@H](C)[C@@H]1C ZINC000307708598 385787738 /nfs/dbraw/zinc/78/77/38/385787738.db2.gz JIXVLGZFDZVSDM-AEJSXWLSSA-N 0 3 205.342 2.560 20 0 BFADHN CCc1ccc(CN2CC[C@H](O)C23CCCC3)o1 ZINC000293957338 385774116 /nfs/dbraw/zinc/77/41/16/385774116.db2.gz POCLMOFQTDSOGN-AWEZNQCLSA-N 0 3 249.354 2.721 20 0 BFADHN CCN(CCCOC(C)C)Cc1ccncc1 ZINC000271043540 385775852 /nfs/dbraw/zinc/77/58/52/385775852.db2.gz UNVKIFCPYMHYFX-UHFFFAOYSA-N 0 3 236.359 2.719 20 0 BFADHN CN(C)c1ccccc1CN[C@@H]1CC1(C)C ZINC000309656171 385777177 /nfs/dbraw/zinc/77/71/77/385777177.db2.gz PVVONAGIHUGOCS-CYBMUJFWSA-N 0 3 218.344 2.641 20 0 BFADHN C[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1cncs1 ZINC000340446696 385779541 /nfs/dbraw/zinc/77/95/41/385779541.db2.gz AJWYGJXJPLKBJW-DTWKUNHWSA-N 0 3 249.339 2.656 20 0 BFADHN CC[C@@H](CNCc1cnc(C(C)C)s1)OC ZINC000336740018 385782744 /nfs/dbraw/zinc/78/27/44/385782744.db2.gz PPWPEKTWOMHROG-JTQLQIEISA-N 0 3 242.388 2.781 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1cncs1 ZINC000271063527 385783038 /nfs/dbraw/zinc/78/30/38/385783038.db2.gz IDBFITLNCWSZRE-LLVKDONJSA-N 0 3 210.346 2.764 20 0 BFADHN CCC(CC)Nc1ccncc1NC(=O)C(C)C ZINC000521418906 385783763 /nfs/dbraw/zinc/78/37/63/385783763.db2.gz RLNPJZYITHSJEE-UHFFFAOYSA-N 0 3 249.358 2.699 20 0 BFADHN CCSCCCNCc1cnn2ccccc12 ZINC000281956873 385719012 /nfs/dbraw/zinc/71/90/12/385719012.db2.gz IZOAVYOBOXLDOP-UHFFFAOYSA-N 0 3 249.383 2.567 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1ccsc1 ZINC000308198262 385725711 /nfs/dbraw/zinc/72/57/11/385725711.db2.gz VKQMWSORUDQIMW-UWVGGRQHSA-N 0 3 213.371 2.732 20 0 BFADHN C[C@@H](N[C@@H](C)CCO)c1ccc(Cl)s1 ZINC000122802132 385799433 /nfs/dbraw/zinc/79/94/33/385799433.db2.gz XQTZOIKVTDYOQV-JGVFFNPUSA-N 0 3 233.764 2.823 20 0 BFADHN Cc1nnsc1CNCC1CCCCCC1 ZINC000336711220 385799565 /nfs/dbraw/zinc/79/95/65/385799565.db2.gz SDOVBRRGTORAFS-UHFFFAOYSA-N 0 3 239.388 2.907 20 0 BFADHN CC(C)[C@H]1N(Cc2ccccn2)CC1(C)C ZINC000294075812 385801047 /nfs/dbraw/zinc/80/10/47/385801047.db2.gz YRELALREBNVHKA-CYBMUJFWSA-N 0 3 218.344 2.948 20 0 BFADHN CC(C)[C@H]1N(Cc2cccnc2)CC1(C)C ZINC000294094257 385803130 /nfs/dbraw/zinc/80/31/30/385803130.db2.gz ZTKLWWXIJOSXJY-CYBMUJFWSA-N 0 3 218.344 2.948 20 0 BFADHN CCC1(O)CCN(Cc2sccc2C)CC1 ZINC000271195618 385803143 /nfs/dbraw/zinc/80/31/43/385803143.db2.gz NSBLISNAMUPXMX-UHFFFAOYSA-N 0 3 239.384 2.793 20 0 BFADHN CCc1ccc(CN2CCC[C@@H]2C[C@H](C)O)o1 ZINC000271195884 385803352 /nfs/dbraw/zinc/80/33/52/385803352.db2.gz RBPWOTRBEYFZCF-NWDGAFQWSA-N 0 3 237.343 2.577 20 0 BFADHN COC[C@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC000271197030 385803620 /nfs/dbraw/zinc/80/36/20/385803620.db2.gz RODWWRFLKRCWOG-ZDUSSCGKSA-N 0 3 237.318 2.602 20 0 BFADHN COc1cc(C)nc(CN[C@@H]2CCC[C@@H]2C)c1 ZINC000214137093 385806007 /nfs/dbraw/zinc/80/60/07/385806007.db2.gz DFHPLDLOFLVDKQ-IINYFYTJSA-N 0 3 234.343 2.677 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cccc3c2OCO3)[C@H]1C ZINC000336713939 385808640 /nfs/dbraw/zinc/80/86/40/385808640.db2.gz OOKMTWPNZXAAHX-JFGNBEQYSA-N 0 3 233.311 2.549 20 0 BFADHN Cc1nc(CCN[C@H](C)c2ccccn2)cs1 ZINC000231634485 385808705 /nfs/dbraw/zinc/80/87/05/385808705.db2.gz SGSBYLWDKOXTPU-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN C[C@@]1(c2ccccc2)CCCN([C@@H]2CCOC2)C1 ZINC000271245421 385809027 /nfs/dbraw/zinc/80/90/27/385809027.db2.gz CCWOZVOZDHZIQC-HZPDHXFCSA-N 0 3 245.366 2.829 20 0 BFADHN CC[C@H](CNCc1ccc([C@@H]2C[C@H]2C)o1)OC ZINC000336714653 385811755 /nfs/dbraw/zinc/81/17/55/385811755.db2.gz PFOORVJJHDFGHN-NQBHXWOUSA-N 0 3 237.343 2.918 20 0 BFADHN Cc1ccsc1[C@H](C)NCCOCC(F)F ZINC000231688935 385813798 /nfs/dbraw/zinc/81/37/98/385813798.db2.gz UIQMFQKRHYPLBY-VIFPVBQESA-N 0 3 249.326 2.989 20 0 BFADHN C[C@@H]1OCCN(CC2CC(C)(C)C2)[C@H]1C ZINC000528405105 385816823 /nfs/dbraw/zinc/81/68/23/385816823.db2.gz PNTGNGQJZIWOII-QWRGUYRKSA-N 0 3 211.349 2.532 20 0 BFADHN CC[C@H](CN1CC=C(C(F)(F)F)CC1)OC ZINC000412980965 385819234 /nfs/dbraw/zinc/81/92/34/385819234.db2.gz ZBIQYTIKLQLIDL-SNVBAGLBSA-N 0 3 237.265 2.606 20 0 BFADHN CC[C@@H](CN1CC=C(C(F)(F)F)CC1)OC ZINC000412980964 385819500 /nfs/dbraw/zinc/81/95/00/385819500.db2.gz ZBIQYTIKLQLIDL-JTQLQIEISA-N 0 3 237.265 2.606 20 0 BFADHN C[C@@H]1C[C@@H]1CN1Cc2ccccc2OC[C@H]1C ZINC000488536551 385820128 /nfs/dbraw/zinc/82/01/28/385820128.db2.gz WOGBIFFKZZSFKP-YRGRVCCFSA-N 0 3 231.339 2.926 20 0 BFADHN C[C@H](NCCC1CC1)c1c(F)cncc1F ZINC000336737794 385821928 /nfs/dbraw/zinc/82/19/28/385821928.db2.gz JRQUFYYNPSERRP-QMMMGPOBSA-N 0 3 226.270 2.811 20 0 BFADHN CC/C=C/CCN1C[C@@H](C)OC2(CCC2)C1 ZINC000521432318 385823116 /nfs/dbraw/zinc/82/31/16/385823116.db2.gz XSTBXPVVINUXTH-MUBLQREKSA-N 0 3 223.360 2.986 20 0 BFADHN CC[C@@H](CN(C)Cc1ccc(C)s1)OC ZINC000412977565 385825399 /nfs/dbraw/zinc/82/53/99/385825399.db2.gz MNXHVPIWGGHYIL-NSHDSACASA-N 0 3 227.373 2.913 20 0 BFADHN C[C@@H](NCCc1ccco1)c1ccncc1F ZINC000340182720 385827205 /nfs/dbraw/zinc/82/72/05/385827205.db2.gz NRLGUVBGBJFJEI-SNVBAGLBSA-N 0 3 234.274 2.707 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](C)Cn2cccn2)o1 ZINC000271435807 385828422 /nfs/dbraw/zinc/82/84/22/385828422.db2.gz FMDOFFUOVNYRGW-VXGBXAGGSA-N 0 3 247.342 2.778 20 0 BFADHN Cc1cnc(CN2CCCC2(C)C)s1 ZINC000271472260 385832497 /nfs/dbraw/zinc/83/24/97/385832497.db2.gz HEZBUTKSGJHBFO-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN Cc1cnc(CN[C@H](C)CC(C)C)s1 ZINC000122918223 385835066 /nfs/dbraw/zinc/83/50/66/385835066.db2.gz KMLKOISBBDIORY-SECBINFHSA-N 0 3 212.362 2.976 20 0 BFADHN C[C@@H](NCCc1ccccn1)c1ccncc1F ZINC000340458825 385835069 /nfs/dbraw/zinc/83/50/69/385835069.db2.gz AQIQFIHBJZJRET-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN CC(C)(C)[C@H](O)CN1CCc2sccc2C1 ZINC000283862957 385837643 /nfs/dbraw/zinc/83/76/43/385837643.db2.gz DFGGJFJSCZDDNS-GFCCVEGCSA-N 0 3 239.384 2.513 20 0 BFADHN CCN(CCN[C@@H](C)c1cnccc1C)C1CC1 ZINC000271567703 385838933 /nfs/dbraw/zinc/83/89/33/385838933.db2.gz PEPLXZRMDGRDHK-ZDUSSCGKSA-N 0 3 247.386 2.525 20 0 BFADHN CC(C)[C@@H](O)CN(C)[C@@H](C)c1ccc(F)cc1 ZINC000283934971 385840504 /nfs/dbraw/zinc/84/05/04/385840504.db2.gz GWZLYXKCADUOFP-FZMZJTMJSA-N 0 3 239.334 2.835 20 0 BFADHN CCC1(CNCc2cn3cc(C)ccc3n2)CC1 ZINC000283937278 385840760 /nfs/dbraw/zinc/84/07/60/385840760.db2.gz MAJAHHPOBMWHEB-UHFFFAOYSA-N 0 3 243.354 2.923 20 0 BFADHN CCOc1ccccc1[C@@H](CC)NCCCO ZINC000271635839 385844071 /nfs/dbraw/zinc/84/40/71/385844071.db2.gz BWUNXINKBSULCL-CYBMUJFWSA-N 0 3 237.343 2.508 20 0 BFADHN c1cn2c(CNC3CCC3)c(C3CC3)nc2s1 ZINC000271641375 385844649 /nfs/dbraw/zinc/84/46/49/385844649.db2.gz HIRXYCRDYGPHDT-UHFFFAOYSA-N 0 3 247.367 2.915 20 0 BFADHN Cc1nnsc1CN[C@H]1CCC[C@H]1C1CC1 ZINC000336718605 385847528 /nfs/dbraw/zinc/84/75/28/385847528.db2.gz XLBGMYPITBBCGY-QWRGUYRKSA-N 0 3 237.372 2.515 20 0 BFADHN C[C@@H](NCc1cn2ccccc2n1)C1(C)CC1 ZINC000340462075 385848481 /nfs/dbraw/zinc/84/84/81/385848481.db2.gz WSSPXQYFYGIKSD-LLVKDONJSA-N 0 3 229.327 2.613 20 0 BFADHN Cc1ccc([O-])c(C[NH+]2CCC(C3CC3)CC2)n1 ZINC000644838068 385850044 /nfs/dbraw/zinc/85/00/44/385850044.db2.gz PHPBXCIDKSPHSJ-UHFFFAOYSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1oncc1CN1CCCC(C)(C)C1 ZINC000128632026 385852366 /nfs/dbraw/zinc/85/23/66/385852366.db2.gz FRZSZBAQEVCCTG-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1cc(N)cc(CNCc2cccnc2C)c1 ZINC000414957526 385853583 /nfs/dbraw/zinc/85/35/83/385853583.db2.gz CBBKZIJXUPVPBW-UHFFFAOYSA-N 0 3 241.338 2.570 20 0 BFADHN C[C@H]1SCCN(CCc2cscn2)[C@H]1C ZINC000284206668 385854928 /nfs/dbraw/zinc/85/49/28/385854928.db2.gz ZBKCKPZIRZEOOW-VHSXEESVSA-N 0 3 242.413 2.511 20 0 BFADHN C[C@@H](CO)N(C)Cc1ccc(C2CCC2)cc1 ZINC000282852033 385790645 /nfs/dbraw/zinc/79/06/45/385790645.db2.gz SGNAREFGRYBTMW-LBPRGKRZSA-N 0 3 233.355 2.767 20 0 BFADHN CCC[C@@H](NC(=O)[C@H](CC)N(C)C)C(C)(C)C ZINC000413829094 385885510 /nfs/dbraw/zinc/88/55/10/385885510.db2.gz FJONHIBQRZGCQK-NWDGAFQWSA-N 0 3 242.407 2.658 20 0 BFADHN COc1c(O)cccc1CN1CCC(C)(C)C1 ZINC000272233164 385886235 /nfs/dbraw/zinc/88/62/35/385886235.db2.gz FBZKIWWLHHUNDA-UHFFFAOYSA-N 0 3 235.327 2.633 20 0 BFADHN CO[C@@H]1CN(Cc2ccsc2C)CC[C@@H]1C ZINC000294721237 385887309 /nfs/dbraw/zinc/88/73/09/385887309.db2.gz PLADESFGFYHZDD-GXFFZTMASA-N 0 3 239.384 2.913 20 0 BFADHN Cc1ccc(CNCC2CCSCC2)o1 ZINC000123553488 385889237 /nfs/dbraw/zinc/88/92/37/385889237.db2.gz XACKXBACGMIHCJ-UHFFFAOYSA-N 0 3 225.357 2.821 20 0 BFADHN Cc1cnccc1CN(C)CCc1nccs1 ZINC000294753254 385891943 /nfs/dbraw/zinc/89/19/43/385891943.db2.gz IHZJLFAHUDGSLE-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN Cc1ccc(C)n1C1CCN([C@H]2CCOC2)CC1 ZINC000284984890 385892353 /nfs/dbraw/zinc/89/23/53/385892353.db2.gz KVCBZNLWMAZEHI-HNNXBMFYSA-N 0 3 248.370 2.531 20 0 BFADHN COC[C@@H]1CCN(Cc2cccc(C)c2F)C1 ZINC000294746247 385892593 /nfs/dbraw/zinc/89/25/93/385892593.db2.gz HXIWJQMPRIVPQG-GFCCVEGCSA-N 0 3 237.318 2.602 20 0 BFADHN CO[C@@H]1[C@H](C)[C@@H](NCc2occc2C)C12CCC2 ZINC000272400443 385900479 /nfs/dbraw/zinc/90/04/79/385900479.db2.gz KBEOWIGUHBHKAB-MRVWCRGKSA-N 0 3 249.354 2.881 20 0 BFADHN CCC[C@H](O)CN(C)[C@@H](C)c1cccc(O)c1 ZINC000294845901 385903889 /nfs/dbraw/zinc/90/38/89/385903889.db2.gz BOVPXGYKOLQDKS-FZMZJTMJSA-N 0 3 237.343 2.546 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H]2CCOC2(C)C)c1 ZINC000294848175 385903944 /nfs/dbraw/zinc/90/39/44/385903944.db2.gz RWBBDUNPQHJJSE-DGCLKSJQSA-N 0 3 234.343 2.608 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2ccsc2C)C1 ZINC000294845154 385904130 /nfs/dbraw/zinc/90/41/30/385904130.db2.gz ZMAMLVMVPZJTNE-TXEJJXNPSA-N 0 3 225.357 2.666 20 0 BFADHN CC[C@H]1CN(C[C@@H]2CCCC(F)(F)C2)CCO1 ZINC000338249775 385929575 /nfs/dbraw/zinc/92/95/75/385929575.db2.gz HCNVVHIBONONLK-NEPJUHHUSA-N 0 3 247.329 2.923 20 0 BFADHN CC(C)CCCNCc1cc(F)ncc1F ZINC000294882973 385909933 /nfs/dbraw/zinc/90/99/33/385909933.db2.gz XSBLEQHIVQEEMJ-UHFFFAOYSA-N 0 3 228.286 2.886 20 0 BFADHN CO[C@@H]1CCN(Cc2cccs2)CC1(C)C ZINC000294910331 385914413 /nfs/dbraw/zinc/91/44/13/385914413.db2.gz DYAPSSXXTYCTOE-GFCCVEGCSA-N 0 3 239.384 2.995 20 0 BFADHN CC[C@]1(C)CN(CCCCCF)CCO1 ZINC000342116703 385929804 /nfs/dbraw/zinc/92/98/04/385929804.db2.gz AQMIZCCWCPCIQR-GFCCVEGCSA-N 0 3 217.328 2.627 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1snnc1C ZINC000308941677 385915155 /nfs/dbraw/zinc/91/51/55/385915155.db2.gz VAPXQZGFFNQDDN-IUCAKERBSA-N 0 3 227.377 2.761 20 0 BFADHN CCc1cccc(Cl)c1CNC[C@H](C)CO ZINC000336767985 385918312 /nfs/dbraw/zinc/91/83/12/385918312.db2.gz QJSFXMRZUYUCTC-JTQLQIEISA-N 0 3 241.762 2.620 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(C)o2)CC1(C)C ZINC000294941958 385922826 /nfs/dbraw/zinc/92/28/26/385922826.db2.gz GYGBHHVDWNWLNX-ZDUSSCGKSA-N 0 3 237.343 2.835 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@H](C)CC(C)(C)O)c1 ZINC000294946101 385924229 /nfs/dbraw/zinc/92/42/29/385924229.db2.gz CRMLHPONYQDKBR-VXGBXAGGSA-N 0 3 236.359 2.590 20 0 BFADHN CCC[C@H](O)CN([C@H](C)c1ccco1)C1CC1 ZINC000294952642 385925871 /nfs/dbraw/zinc/92/58/71/385925871.db2.gz MQJHDYUTGBRLSP-YPMHNXCESA-N 0 3 237.343 2.966 20 0 BFADHN CCC[C@H](O)CN([C@@H](C)c1ccco1)C1CC1 ZINC000294952635 385926080 /nfs/dbraw/zinc/92/60/80/385926080.db2.gz MQJHDYUTGBRLSP-AAEUAGOBSA-N 0 3 237.343 2.966 20 0 BFADHN Cc1coc(SCC[C@H]2CCCCN2C)n1 ZINC000284268262 385860061 /nfs/dbraw/zinc/86/00/61/385860061.db2.gz PPCFPFLXDSBEOB-LLVKDONJSA-N 0 3 240.372 2.950 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CCCO2)cs1 ZINC000294517201 385861265 /nfs/dbraw/zinc/86/12/65/385861265.db2.gz DZFZATKRUGNVTC-LBPRGKRZSA-N 0 3 225.357 2.667 20 0 BFADHN Fc1ccc(CN[C@H]2CC[C@H]2C2CCC2)nc1 ZINC000337987335 385868119 /nfs/dbraw/zinc/86/81/19/385868119.db2.gz KMSPLYJYNIRVIV-KBPBESRZSA-N 0 3 234.318 2.889 20 0 BFADHN CN(Cc1cnn(C)c1)[C@H]1C=CCCCCC1 ZINC000284444704 385868543 /nfs/dbraw/zinc/86/85/43/385868543.db2.gz ZPZDULFEZVOXTK-AWEZNQCLSA-N 0 3 233.359 2.741 20 0 BFADHN CCCCNCc1cnc(C(C)(C)C)nc1 ZINC000284431984 385869062 /nfs/dbraw/zinc/86/90/62/385869062.db2.gz RZZPITKVFBTLKU-UHFFFAOYSA-N 0 3 221.348 2.664 20 0 BFADHN CC1(C)CC[C@H]1N[C@@H](CO)c1ccc(F)cc1 ZINC000284417110 385869711 /nfs/dbraw/zinc/86/97/11/385869711.db2.gz QNERMHIFTKAXCV-QWHCGFSZSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@H](N[C@@H](C)CO)c1ccc(Cl)s1 ZINC000123353197 385876565 /nfs/dbraw/zinc/87/65/65/385876565.db2.gz FSVFJCYHBQNKLI-YUMQZZPRSA-N 0 3 233.764 2.823 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cc(Cl)ccc1F ZINC000189101034 385928157 /nfs/dbraw/zinc/92/81/57/385928157.db2.gz HYSIPVWXBSDILO-IUCAKERBSA-N 0 3 245.725 2.992 20 0 BFADHN C[C@H](N[C@@H](CO)CC1CCCC1)c1ccccn1 ZINC000338007185 385877556 /nfs/dbraw/zinc/87/75/56/385877556.db2.gz KSMGMKNJRRSZGL-GXTWGEPZSA-N 0 3 248.370 2.673 20 0 BFADHN CCCCN1CCCC[C@H]1[C@@H](O)C(F)(F)F ZINC000340192879 385878087 /nfs/dbraw/zinc/87/80/87/385878087.db2.gz RBQKATPUOASSTA-VHSXEESVSA-N 0 3 239.281 2.564 20 0 BFADHN C[C@H](NC[C@H]1CCSC1)c1cscn1 ZINC000228556721 385878283 /nfs/dbraw/zinc/87/82/83/385878283.db2.gz MFNXDXLMBLAYCE-DTWKUNHWSA-N 0 3 228.386 2.547 20 0 BFADHN C[C@H](N[C@H](CO)CC1CCCC1)c1cccnc1 ZINC000338007933 385879939 /nfs/dbraw/zinc/87/99/39/385879939.db2.gz QHQLCBIILSPDBO-WFASDCNBSA-N 0 3 248.370 2.673 20 0 BFADHN Cc1cnccc1CN1CCS[C@H](C)[C@H]1C ZINC000294688953 385882053 /nfs/dbraw/zinc/88/20/53/385882053.db2.gz DPKDCPNFEZDFRF-VXGBXAGGSA-N 0 3 236.384 2.716 20 0 BFADHN CO[C@@H](C)[C@@H](C)NC1(c2ccccc2F)CC1 ZINC000272183720 385883502 /nfs/dbraw/zinc/88/35/02/385883502.db2.gz RCIGHPAIHLHUSK-MNOVXSKESA-N 0 3 237.318 2.828 20 0 BFADHN C[C@@H](N[C@H]1COc2ccc(F)cc21)[C@H]1C[C@H]1C ZINC000414124928 385975611 /nfs/dbraw/zinc/97/56/11/385975611.db2.gz CKOBFXJRXPKEDI-PLKZCJTGSA-N 0 3 235.302 2.893 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCCOCC2)c1 ZINC000285930494 385977291 /nfs/dbraw/zinc/97/72/91/385977291.db2.gz CWTXAPZSWKXXFL-QWHCGFSZSA-N 0 3 234.343 2.610 20 0 BFADHN CCC(C)(C)CN[C@H](C)c1cc2n(n1)CCC2 ZINC000414129672 385977217 /nfs/dbraw/zinc/97/72/17/385977217.db2.gz IMDBHTCNXRAFRR-LLVKDONJSA-N 0 3 235.375 2.916 20 0 BFADHN CC(C)=CCCN[C@@H](C)c1cc2n(n1)CCC2 ZINC000414131769 385979285 /nfs/dbraw/zinc/97/92/85/385979285.db2.gz LGCJKFYZCJUGEB-LBPRGKRZSA-N 0 3 233.359 2.836 20 0 BFADHN CC(C)=CCCN[C@H](C)c1cc2n(n1)CCC2 ZINC000414131768 385979552 /nfs/dbraw/zinc/97/95/52/385979552.db2.gz LGCJKFYZCJUGEB-GFCCVEGCSA-N 0 3 233.359 2.836 20 0 BFADHN CC[C@@H](CO)N(C)Cc1cc2ccccc2o1 ZINC000295159580 385981661 /nfs/dbraw/zinc/98/16/61/385981661.db2.gz SBCSJCVAPRVDIR-LBPRGKRZSA-N 0 3 233.311 2.636 20 0 BFADHN C[C@@H](CC1CC1)N[C@@H](C)c1cc2n(n1)CCC2 ZINC000414132940 385982079 /nfs/dbraw/zinc/98/20/79/385982079.db2.gz ZZXPIOXMKSVUEZ-QWRGUYRKSA-N 0 3 233.359 2.669 20 0 BFADHN Cc1nnc([C@@H](C)N[C@@H](C)C2CCC2)s1 ZINC000336748259 385983340 /nfs/dbraw/zinc/98/33/40/385983340.db2.gz DBUHYZFNHRLMET-JGVFFNPUSA-N 0 3 225.361 2.686 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCO[C@@H](C)C2)c1 ZINC000285965923 385983795 /nfs/dbraw/zinc/98/37/95/385983795.db2.gz FUENFTRZFGWLJO-AVGNSLFASA-N 0 3 234.343 2.608 20 0 BFADHN CN(C)c1ccncc1CNCC1=CCCCC1 ZINC000295171154 385984511 /nfs/dbraw/zinc/98/45/11/385984511.db2.gz UISLMSCFYCAFCG-UHFFFAOYSA-N 0 3 245.370 2.738 20 0 BFADHN COc1cnccc1[C@H](C)N[C@H](C)[C@H]1C[C@H]1C ZINC000414136480 385984938 /nfs/dbraw/zinc/98/49/38/385984938.db2.gz OVDBAJJROQSLNG-XZUYRWCXSA-N 0 3 234.343 2.785 20 0 BFADHN CC1(C)CC(N[C@@H](CO)c2ccsc2)C1 ZINC000336748328 385985968 /nfs/dbraw/zinc/98/59/68/385985968.db2.gz DGBVGDWGPDHLKY-NSHDSACASA-N 0 3 225.357 2.560 20 0 BFADHN Cc1ccnc([C@@H](C)NC[C@H]2CCCCO2)c1 ZINC000285998373 385986182 /nfs/dbraw/zinc/98/61/82/385986182.db2.gz ILJNTIVJLGYTOM-CHWSQXEVSA-N 0 3 234.343 2.610 20 0 BFADHN CN(Cc1cccc(Cl)n1)C1CCC1 ZINC000128456803 385987342 /nfs/dbraw/zinc/98/73/42/385987342.db2.gz CLZGBKVVJGMWHZ-UHFFFAOYSA-N 0 3 210.708 2.719 20 0 BFADHN CN(Cc1ccc(Cl)nc1)C1CCC1 ZINC000128457749 385987412 /nfs/dbraw/zinc/98/74/12/385987412.db2.gz LRYAISWWWNJUKS-UHFFFAOYSA-N 0 3 210.708 2.719 20 0 BFADHN C[C@H](CCO)NCc1ccc(Cl)c(Cl)c1 ZINC000096811803 385987817 /nfs/dbraw/zinc/98/78/17/385987817.db2.gz DXOPUPYLHBSGBM-MRVPVSSYSA-N 0 3 248.153 2.854 20 0 BFADHN Cc1nn(C(C)C)cc1CNC1CC(C)C1 ZINC000336749015 385990065 /nfs/dbraw/zinc/99/00/65/385990065.db2.gz TWBDUVWMIBNJCI-UHFFFAOYSA-N 0 3 221.348 2.661 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@@H]1C)c1ccn(C)n1 ZINC000414046300 385930923 /nfs/dbraw/zinc/93/09/23/385930923.db2.gz AITJVNMPWWPJGK-SDDRHHMPSA-N 0 3 221.348 2.649 20 0 BFADHN CC/C=C/CNCc1ccc2c(c1)ncn2C ZINC000294976328 385931159 /nfs/dbraw/zinc/93/11/59/385931159.db2.gz JKQXVFNWKLDAHT-SNAWJCMRSA-N 0 3 229.327 2.629 20 0 BFADHN CCC[C@@H](O)CN[C@H]1CCCc2ccc(O)cc21 ZINC000233296623 385935535 /nfs/dbraw/zinc/93/55/35/385935535.db2.gz AUBAEMLHKLMYBK-HIFRSBDPSA-N 0 3 249.354 2.520 20 0 BFADHN CCSCCCNCc1cn2ccccc2n1 ZINC000272803863 385937624 /nfs/dbraw/zinc/93/76/24/385937624.db2.gz BGBKHVCQCQJBDE-UHFFFAOYSA-N 0 3 249.383 2.567 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc(Cl)c(F)c1 ZINC000189125044 385937819 /nfs/dbraw/zinc/93/78/19/385937819.db2.gz ZZXGJVZDJAEHMC-DTWKUNHWSA-N 0 3 245.725 2.992 20 0 BFADHN C[C@@H](NCC(C)(C)C)c1cc2n(n1)CCC2 ZINC000414062788 385939768 /nfs/dbraw/zinc/93/97/68/385939768.db2.gz DEFQKYCCRYKLGR-SNVBAGLBSA-N 0 3 221.348 2.526 20 0 BFADHN CCC[C@H](O)CN[C@H]1CCCc2ccc(O)cc21 ZINC000233364434 385941084 /nfs/dbraw/zinc/94/10/84/385941084.db2.gz AUBAEMLHKLMYBK-ZFWWWQNUSA-N 0 3 249.354 2.520 20 0 BFADHN CO[C@H](C)CN1CCc2sccc2[C@@H]1C ZINC000272841515 385942839 /nfs/dbraw/zinc/94/28/39/385942839.db2.gz TXBWTKKTGMKUCX-ZJUUUORDSA-N 0 3 225.357 2.702 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@H]2C(F)F)cc1 ZINC000342187287 385942942 /nfs/dbraw/zinc/94/29/42/385942942.db2.gz FQUSNTKGTORDLK-VXGBXAGGSA-N 0 3 241.281 2.829 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@@H]2C(F)F)cc1 ZINC000342187271 385943202 /nfs/dbraw/zinc/94/32/02/385943202.db2.gz FQUSNTKGTORDLK-NWDGAFQWSA-N 0 3 241.281 2.829 20 0 BFADHN CO[C@H](CN(C)[C@H](C)c1ccco1)C1CC1 ZINC000425392388 385943832 /nfs/dbraw/zinc/94/38/32/385943832.db2.gz ATBLJDYXCRUMET-ZWNOBZJWSA-N 0 3 223.316 2.697 20 0 BFADHN CCC1(CN[C@@H](C)c2ccn(C)n2)CCC1 ZINC000414086829 385944015 /nfs/dbraw/zinc/94/40/15/385944015.db2.gz AUSFRSXXYYBUQQ-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CCOC[C@@H](NCc1occc1C)C(C)C ZINC000233737520 385993467 /nfs/dbraw/zinc/99/34/67/385993467.db2.gz ZNJHWIHZXNITSW-GFCCVEGCSA-N 0 3 225.332 2.739 20 0 BFADHN C[C@H](N[C@H]1[C@H](C)CCC[C@@H]1C)c1ccn(C)n1 ZINC000414074209 385946322 /nfs/dbraw/zinc/94/63/22/385946322.db2.gz NTXCGPKKHBCNAW-KZVDOYCCSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H]1CN(C/C=C/c2ccccc2)[C@@H](C)CN1C ZINC000335051961 385948577 /nfs/dbraw/zinc/94/85/77/385948577.db2.gz OUAPTFFLFXRSGY-IDZXHDBHSA-N 0 3 244.382 2.724 20 0 BFADHN Cc1c[nH]nc1CN(CC(C)C)CC(C)C ZINC000356054913 385950131 /nfs/dbraw/zinc/95/01/31/385950131.db2.gz BWUBQZPCTKLUMB-UHFFFAOYSA-N 0 3 223.364 2.832 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(OC)cc1C ZINC000414076723 385950547 /nfs/dbraw/zinc/95/05/47/385950547.db2.gz QJLNOSCZIRTVQO-LBPRGKRZSA-N 0 3 237.343 2.691 20 0 BFADHN CS[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC000295034606 385950872 /nfs/dbraw/zinc/95/08/72/385950872.db2.gz LYQAZKNHVWBMNS-LBPRGKRZSA-N 0 3 225.332 2.763 20 0 BFADHN CS[C@@H]1CCN(Cc2ccc3c[nH]nc3c2)C1 ZINC000295041312 385951443 /nfs/dbraw/zinc/95/14/43/385951443.db2.gz MPDPJCYGJLVRQK-GFCCVEGCSA-N 0 3 247.367 2.500 20 0 BFADHN CC/C=C\CCN1CCN(C2CCC2)CC1 ZINC000342250023 385953671 /nfs/dbraw/zinc/95/36/71/385953671.db2.gz OWIZKMHOCONEIM-ARJAWSKDSA-N 0 3 222.376 2.513 20 0 BFADHN COC[C@H](NCC1CC(F)(F)C1)c1ccco1 ZINC000295064665 385957240 /nfs/dbraw/zinc/95/72/40/385957240.db2.gz VRUHBXQEMPWSCV-JTQLQIEISA-N 0 3 245.269 2.602 20 0 BFADHN CCCCCNCc1c(OC)cccc1OC ZINC000083365137 385961173 /nfs/dbraw/zinc/96/11/73/385961173.db2.gz PNZQQQOMSOFCAA-UHFFFAOYSA-N 0 3 237.343 2.984 20 0 BFADHN CCSCC[C@H](C)N[C@@H](C)c1ccn(C)n1 ZINC000414096736 385962835 /nfs/dbraw/zinc/96/28/35/385962835.db2.gz HXXTZXAQLBJDPI-QWRGUYRKSA-N 0 3 241.404 2.602 20 0 BFADHN CC[C@H](C)C[C@@H](C)N[C@@H](C)c1ccn(C)n1 ZINC000414098896 385962857 /nfs/dbraw/zinc/96/28/57/385962857.db2.gz TWHSQLVYQFPRPU-TUAOUCFPSA-N 0 3 223.364 2.895 20 0 BFADHN CC(C)CC1(CN[C@@H](C)c2ccn(C)n2)CC1 ZINC000414101344 385965361 /nfs/dbraw/zinc/96/53/61/385965361.db2.gz WMGCPDXLEOPRQS-LBPRGKRZSA-N 0 3 235.375 2.897 20 0 BFADHN CS[C@H]1CCN(Cc2occc2C)C1 ZINC000295107985 385966891 /nfs/dbraw/zinc/96/68/91/385966891.db2.gz UQIVGSGFGFATFU-JTQLQIEISA-N 0 3 211.330 2.525 20 0 BFADHN CC[C@H](NCc1cc(C)ncn1)[C@H]1CC1(C)C ZINC000414110505 385967809 /nfs/dbraw/zinc/96/78/09/385967809.db2.gz WDIKIKHFAMJFAU-OLZOCXBDSA-N 0 3 233.359 2.699 20 0 BFADHN CC/C=C/CNCc1ccc(N2CCCC2)nc1 ZINC000295109126 385968908 /nfs/dbraw/zinc/96/89/08/385968908.db2.gz UTGIGFRJZLPAJO-ONEGZZNKSA-N 0 3 245.370 2.738 20 0 BFADHN CCOC[C@@H](N[C@H](C)c1cncs1)C(C)C ZINC000233754133 385994391 /nfs/dbraw/zinc/99/43/91/385994391.db2.gz CFAWQYDNMFHXAC-GHMZBOCLSA-N 0 3 242.388 2.855 20 0 BFADHN CC[C@H](CO)N(C)Cc1cc(Cl)cs1 ZINC000295112565 385969645 /nfs/dbraw/zinc/96/96/45/385969645.db2.gz LXIKLTSUHLEWNY-SECBINFHSA-N 0 3 233.764 2.604 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@H](C)[C@@H]2C[C@@H]2C)[n-]1 ZINC000414107318 385969702 /nfs/dbraw/zinc/96/97/02/385969702.db2.gz RYBDVVJDUYZABG-ZRUFSTJUSA-N 0 3 236.363 2.623 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@H](C)[C@@H]2C[C@@H]2C)[nH]1 ZINC000414107318 385969704 /nfs/dbraw/zinc/96/97/04/385969704.db2.gz RYBDVVJDUYZABG-ZRUFSTJUSA-N 0 3 236.363 2.623 20 0 BFADHN CCc1ccc(CN[C@]2(C)CCO[C@H]2C2CC2)o1 ZINC000273134976 385970999 /nfs/dbraw/zinc/97/09/99/385970999.db2.gz NGKIRUHISCKVBU-LSDHHAIUSA-N 0 3 249.354 2.889 20 0 BFADHN COC[C@]1(C)CCN(Cc2ccc(F)cc2)C1 ZINC000295124875 385972498 /nfs/dbraw/zinc/97/24/98/385972498.db2.gz NUIBYVHSDVPIKG-CQSZACIVSA-N 0 3 237.318 2.684 20 0 BFADHN COCC[C@H](NCc1cc(C)on1)C(C)(C)C ZINC000338346080 385973400 /nfs/dbraw/zinc/97/34/00/385973400.db2.gz GWLPSJGKARIASJ-LBPRGKRZSA-N 0 3 240.347 2.524 20 0 BFADHN Cc1ccoc1CN[C@]1(C)CCO[C@@H]1C1CC1 ZINC000273153830 385974647 /nfs/dbraw/zinc/97/46/47/385974647.db2.gz RVAMXUGXMJUAFP-ZIAGYGMSSA-N 0 3 235.327 2.635 20 0 BFADHN CCc1noc(C)c1CN[C@H](C)[C@@H]1C[C@H]1C ZINC000414149467 385997263 /nfs/dbraw/zinc/99/72/63/385997263.db2.gz URLTXTBBGMQTER-FXPVBKGRSA-N 0 3 222.332 2.680 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2C[C@@H](OC(C)C)C2)c1 ZINC000414151337 386002404 /nfs/dbraw/zinc/00/24/04/386002404.db2.gz WHUXLGSMQZACLR-AEGPPILISA-N 0 3 248.370 2.997 20 0 BFADHN Cc1sccc1CN(C)[C@@H]1CCSC1 ZINC000295266047 386002848 /nfs/dbraw/zinc/00/28/48/386002848.db2.gz HGRZEWATSAVSOA-LLVKDONJSA-N 0 3 227.398 2.994 20 0 BFADHN C[C@H](N[C@@H](C1CC1)C1CCC1)c1ccn(C)n1 ZINC000414154686 386004354 /nfs/dbraw/zinc/00/43/54/386004354.db2.gz UAFGPEDVGQWSCN-IINYFYTJSA-N 0 3 233.359 2.649 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@H](C)c1ccn(C)n1 ZINC000414156422 386006188 /nfs/dbraw/zinc/00/61/88/386006188.db2.gz WMAIWUMTDWXUJS-MRVWCRGKSA-N 0 3 235.375 2.895 20 0 BFADHN COC[C@H](N[C@@H]1C[C@@H](C)[C@H]1C)c1ccco1 ZINC000336770543 386007363 /nfs/dbraw/zinc/00/73/63/386007363.db2.gz TWKBELONXCSKPW-KKOKHZNYSA-N 0 3 223.316 2.601 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@H](C)C[C@H](C)C1 ZINC000356106601 386007431 /nfs/dbraw/zinc/00/74/31/386007431.db2.gz DZSBAFSLZOKVTR-QWRGUYRKSA-N 0 3 221.348 2.586 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H](C)[C@H]1C[C@H]1C ZINC000414115210 386009636 /nfs/dbraw/zinc/00/96/36/386009636.db2.gz MQFWNIUYJPDTJA-UPJWGTAASA-N 0 3 235.375 2.673 20 0 BFADHN C[C@H](NCC(C)(C)CCCO)c1ccccn1 ZINC000221593630 386009673 /nfs/dbraw/zinc/00/96/73/386009673.db2.gz MLYXRIAZMMHMDS-LBPRGKRZSA-N 0 3 236.359 2.531 20 0 BFADHN C[C@@H](NCC(C)(C)CCCO)c1ccccn1 ZINC000221593574 386010232 /nfs/dbraw/zinc/01/02/32/386010232.db2.gz MLYXRIAZMMHMDS-GFCCVEGCSA-N 0 3 236.359 2.531 20 0 BFADHN CC1(C)SC[C@H]1NCc1cccc2c[nH]nc21 ZINC000335068025 386012746 /nfs/dbraw/zinc/01/27/46/386012746.db2.gz JPAKHQJEZVHPPO-LLVKDONJSA-N 0 3 247.367 2.547 20 0 BFADHN CCC[C@@](C)(CO)NCc1ccc(F)cc1F ZINC000236943656 259680019 /nfs/dbraw/zinc/68/00/19/259680019.db2.gz DDMQTZYOYDRKTJ-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN CC(C)C(C)(C)CNCc1cscn1 ZINC000189472365 386013932 /nfs/dbraw/zinc/01/39/32/386013932.db2.gz JALSEUZCXMACTN-UHFFFAOYSA-N 0 3 212.362 2.915 20 0 BFADHN CCc1noc(CC)c1CN[C@H](C)[C@@H]1C[C@H]1C ZINC000414120331 386013999 /nfs/dbraw/zinc/01/39/99/386013999.db2.gz XLRXMQNIXSNPKG-GMTAPVOTSA-N 0 3 236.359 2.934 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCOC(C)(C)C2)c1 ZINC000286255843 386014644 /nfs/dbraw/zinc/01/46/44/386014644.db2.gz UXLAHAUZUPBMOL-QWHCGFSZSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCOC(C)(C)C2)c1 ZINC000286255850 386014844 /nfs/dbraw/zinc/01/48/44/386014844.db2.gz UXLAHAUZUPBMOL-STQMWFEESA-N 0 3 248.370 2.998 20 0 BFADHN CO[C@H]1C[C@@H](N(C)c2ccnc3ccccc32)C1 ZINC000286270161 386016886 /nfs/dbraw/zinc/01/68/86/386016886.db2.gz HIJINLKGMFQQFG-TXEJJXNPSA-N 0 3 242.322 2.848 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3ccccc3)CC2)[C@H](C)O1 ZINC000295366032 386019287 /nfs/dbraw/zinc/01/92/87/386019287.db2.gz JNWALDPZQAZZGI-MBNYWOFBSA-N 0 3 231.339 2.831 20 0 BFADHN Cc1nc(CCN[C@H](C)c2cccnc2)cs1 ZINC000221813429 386019517 /nfs/dbraw/zinc/01/95/17/386019517.db2.gz LTPZFAKCUKCRNI-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1nocc1CN(CC(C)C)C(C)C ZINC000295361113 386019705 /nfs/dbraw/zinc/01/97/05/386019705.db2.gz NTEYPXXCZYYTIP-UHFFFAOYSA-N 0 3 210.321 2.849 20 0 BFADHN CC[C@H](O)CCN[C@@H]1CCCc2ccc(O)cc21 ZINC000234037655 386021913 /nfs/dbraw/zinc/02/19/13/386021913.db2.gz DLRXMRPVKSZYAL-SWLSCSKDSA-N 0 3 249.354 2.520 20 0 BFADHN CC[C@@H](C)CN[C@H](C)c1ccncc1OC ZINC000189565535 386024473 /nfs/dbraw/zinc/02/44/73/386024473.db2.gz OKAVGNOOVRVEQJ-GHMZBOCLSA-N 0 3 222.332 2.787 20 0 BFADHN CCOc1ccccc1CNC[C@H]1CCC=CO1 ZINC000189560668 386024560 /nfs/dbraw/zinc/02/45/60/386024560.db2.gz GSZVGPAUHKAENF-CQSZACIVSA-N 0 3 247.338 2.868 20 0 BFADHN COCc1ccc(CNC[C@@H]2CCC=CO2)cc1 ZINC000189564220 386025134 /nfs/dbraw/zinc/02/51/34/386025134.db2.gz MNJDWZYIZXZICW-HNNXBMFYSA-N 0 3 247.338 2.615 20 0 BFADHN C[C@H]1C[C@@H](c2nc(CCC3CC3)no2)CCN1C ZINC000351254232 386026360 /nfs/dbraw/zinc/02/63/60/386026360.db2.gz ARUKTTCCACYJLT-JQWIXIFHSA-N 0 3 249.358 2.610 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@H]2CCCC[C@@H]2O)c1 ZINC000286351210 386028088 /nfs/dbraw/zinc/02/80/88/386028088.db2.gz YTVPACABOYSUEK-GUTXKFCHSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1nc(CCN[C@@H](C)c2ccco2)cs1 ZINC000221964391 386029676 /nfs/dbraw/zinc/02/96/76/386029676.db2.gz JSVWAGRZGMTIJV-VIFPVBQESA-N 0 3 236.340 2.938 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]1C1CC1)c1ccn(C)n1 ZINC000414189321 386030041 /nfs/dbraw/zinc/03/00/41/386030041.db2.gz JLWWITMEPCDDAG-UBHSHLNASA-N 0 3 247.386 2.897 20 0 BFADHN C[C@H](NCc1nc2ccccc2o1)C1CC1 ZINC000309776180 386030361 /nfs/dbraw/zinc/03/03/61/386030361.db2.gz LSEKPWSNPPVGBP-VIFPVBQESA-N 0 3 216.284 2.716 20 0 BFADHN Cc1nc(CCN[C@H](C)c2ccco2)cs1 ZINC000221964456 386031531 /nfs/dbraw/zinc/03/15/31/386031531.db2.gz JSVWAGRZGMTIJV-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@H]1CC[C@@H](C)O1 ZINC000355276418 386031703 /nfs/dbraw/zinc/03/17/03/386031703.db2.gz LRKVEDUKFPPUCA-FRRDWIJNSA-N 0 3 234.343 2.608 20 0 BFADHN CCc1ccc2nccc(NC[C@@H](C)O)c2c1 ZINC000295451073 386033878 /nfs/dbraw/zinc/03/38/78/386033878.db2.gz NPQRVKITCNLCAC-SNVBAGLBSA-N 0 3 230.311 2.590 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H]1C[C@H]1CC(C)C ZINC000414198757 386034984 /nfs/dbraw/zinc/03/49/84/386034984.db2.gz ZXOGKQCRDOUZIN-JHJVBQTASA-N 0 3 233.359 2.870 20 0 BFADHN COCC[C@H](C)CN[C@H](C)c1csc(C)n1 ZINC000414197304 386035422 /nfs/dbraw/zinc/03/54/22/386035422.db2.gz KAURVFQUQIYMBG-VHSXEESVSA-N 0 3 242.388 2.775 20 0 BFADHN C[C@H](NCC1OCCO1)c1cccc(C2CC2)c1 ZINC000295467240 386036061 /nfs/dbraw/zinc/03/60/61/386036061.db2.gz QMOWVRNCDQAPNZ-NSHDSACASA-N 0 3 247.338 2.588 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)n1 ZINC000414202131 386038451 /nfs/dbraw/zinc/03/84/51/386038451.db2.gz CUWOLKATIKQTBA-YRGRVCCFSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccc(CN[C@@H]2CCCC[C@@H]2C)n1 ZINC000414203907 386039570 /nfs/dbraw/zinc/03/95/70/386039570.db2.gz FOVAEHAJOXAOPP-WCQYABFASA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccc(CN[C@H]2CC[C@@H]2C(C)(C)C)n1 ZINC000414203655 386041342 /nfs/dbraw/zinc/04/13/42/386041342.db2.gz FEASFTZBRZSNSH-STQMWFEESA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccc(CN[C@@H]2CC[C@@H]2C(C)(C)C)n1 ZINC000414203654 386041379 /nfs/dbraw/zinc/04/13/79/386041379.db2.gz FEASFTZBRZSNSH-QWHCGFSZSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@H](N[C@H](C)c1nccn1CC)[C@@H]1C[C@@H]1C ZINC000414158030 386042781 /nfs/dbraw/zinc/04/27/81/386042781.db2.gz YUTKIZPMENZGDT-LOWDOPEQSA-N 0 3 235.375 2.988 20 0 BFADHN CO[C@@H](CN1CC[C@H]1c1ccccc1)C1CC1 ZINC000425424124 386043959 /nfs/dbraw/zinc/04/39/59/386043959.db2.gz OQULRFFVHHSWLK-GJZGRUSLSA-N 0 3 231.339 2.858 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H](C)C[C@H]2CCCO2)c1 ZINC000353493948 386045883 /nfs/dbraw/zinc/04/58/83/386045883.db2.gz BBIKCISXJJSUML-MELADBBJSA-N 0 3 248.370 2.998 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccc1)c1cn[nH]c1 ZINC000222478076 386046953 /nfs/dbraw/zinc/04/69/53/386046953.db2.gz YRSLNJJFVBCROY-WDEREUQCSA-N 0 3 215.300 2.822 20 0 BFADHN C[C@@H](N[C@@H]1CCc2cc(F)ccc21)c1cn[nH]c1 ZINC000222514101 386048467 /nfs/dbraw/zinc/04/84/67/386048467.db2.gz DBGDIBWQEFRSFY-YMTOWFKASA-N 0 3 245.301 2.887 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CS[C@H](C)C2)c1 ZINC000414240316 386052071 /nfs/dbraw/zinc/05/20/71/386052071.db2.gz ZTDOQXABRLGWJB-GRYCIOLGSA-N 0 3 236.384 2.935 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2csc(C)c2)C1 ZINC000295590418 386057766 /nfs/dbraw/zinc/05/77/66/386057766.db2.gz CBFMKMRVKUCKOM-TXEJJXNPSA-N 0 3 225.357 2.666 20 0 BFADHN CC[C@H](NCc1ncccc1OC)[C@@H]1C[C@@H]1C ZINC000414148595 385996631 /nfs/dbraw/zinc/99/66/31/385996631.db2.gz NIPGRQLNPAKPEQ-TUAOUCFPSA-N 0 3 234.343 2.614 20 0 BFADHN CCc1cnccc1[C@H](C)NC[C@@H](OC)C1CC1 ZINC000425459401 386100993 /nfs/dbraw/zinc/10/09/93/386100993.db2.gz OZMTXEJZOSFQNA-XHDPSFHLSA-N 0 3 248.370 2.720 20 0 BFADHN Cc1cnc(CNCCCc2ccccn2)s1 ZINC000295910844 386102944 /nfs/dbraw/zinc/10/29/44/386102944.db2.gz ZLPRINLHUGJSJV-UHFFFAOYSA-N 0 3 247.367 2.569 20 0 BFADHN COc1cc(C)cc(CN[C@@H]2C[C@@H](C)O[C@@H]2C)c1 ZINC000295913530 386105155 /nfs/dbraw/zinc/10/51/55/386105155.db2.gz ZRJQSZBZYFVDGX-LALPHHSUSA-N 0 3 249.354 2.659 20 0 BFADHN COc1cc(C)cc(CN[C@@H]2C[C@H](C)O[C@@H]2C)c1 ZINC000295913547 386105333 /nfs/dbraw/zinc/10/53/33/386105333.db2.gz ZRJQSZBZYFVDGX-YWPYICTPSA-N 0 3 249.354 2.659 20 0 BFADHN Cc1ccoc1CN1CCCC2(CC2)C1 ZINC000274446118 386106181 /nfs/dbraw/zinc/10/61/81/386106181.db2.gz DANQPFSLAWGHGG-UHFFFAOYSA-N 0 3 205.301 2.964 20 0 BFADHN Cc1occc1CN[C@@H]1[C@H]2CCO[C@H]2C12CCC2 ZINC000340519196 386106639 /nfs/dbraw/zinc/10/66/39/386106639.db2.gz UYSPIJVGLSTEOY-MGPQQGTHSA-N 0 3 247.338 2.635 20 0 BFADHN C[C@@H]1COCCN(c2ccnc3ccccc32)C1 ZINC000334455977 386107942 /nfs/dbraw/zinc/10/79/42/386107942.db2.gz XHJOMJWOIWIOEE-LBPRGKRZSA-N 0 3 242.322 2.708 20 0 BFADHN CCCc1cccc(CNc2nccn2C)c1 ZINC000414309064 386111819 /nfs/dbraw/zinc/11/18/19/386111819.db2.gz UJHZLUGBCNPJFE-UHFFFAOYSA-N 0 3 229.327 2.985 20 0 BFADHN COC1([C@@H](C)N[C@@H](C)c2ccncc2)CCC1 ZINC000414310343 386113735 /nfs/dbraw/zinc/11/37/35/386113735.db2.gz SVPPWGGHKBIFFX-NWDGAFQWSA-N 0 3 234.343 2.690 20 0 BFADHN CCC1(CNCc2nccnc2OC)CCCC1 ZINC000295606261 386060065 /nfs/dbraw/zinc/06/00/65/386060065.db2.gz FYRQMTABAUNPID-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1ccn(CC)n1 ZINC000414206552 386060322 /nfs/dbraw/zinc/06/03/22/386060322.db2.gz IPSVTUQGVTZJJX-GXTWGEPZSA-N 0 3 235.375 2.961 20 0 BFADHN CC(=O)c1cccc(CN(C)CC(C)C)c1 ZINC000295614930 386060724 /nfs/dbraw/zinc/06/07/24/386060724.db2.gz VQOZIMXFPNEDOM-UHFFFAOYSA-N 0 3 219.328 2.977 20 0 BFADHN Cc1nocc1CN(C)[C@H]1CCC[C@H](C)C1 ZINC000335940778 386061362 /nfs/dbraw/zinc/06/13/62/386061362.db2.gz QAOHABKBBNTQLO-GWCFXTLKSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1ccnc(C)n1 ZINC000334443510 386063272 /nfs/dbraw/zinc/06/32/72/386063272.db2.gz MIXLLANIGZMZGG-MFKMUULPSA-N 0 3 219.332 2.548 20 0 BFADHN CC(C)(C)c1n[nH]cc1CNC1CC=CC1 ZINC000643290991 386064555 /nfs/dbraw/zinc/06/45/55/386064555.db2.gz PGCGIXGAVNIIMJ-UHFFFAOYSA-N 0 3 219.332 2.515 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1C[C@H](C)O[C@H]1C ZINC000295642024 386067006 /nfs/dbraw/zinc/06/70/06/386067006.db2.gz IDRWPAFLKCCIEV-SGMGOOAPSA-N 0 3 249.354 2.659 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2nccnc2OC)C1 ZINC000295636607 386067202 /nfs/dbraw/zinc/06/72/02/386067202.db2.gz HXIFQMDTFJPMFT-RYUDHWBXSA-N 0 3 249.358 2.544 20 0 BFADHN CCn1ccc(CN[C@H]2CC(C)(C)C[C@H]2C)n1 ZINC000414213639 386068191 /nfs/dbraw/zinc/06/81/91/386068191.db2.gz UTZBZQLJMSLNMG-YPMHNXCESA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccc(CN[C@@H]2CC[C@@H](C)[C@H](C)C2)n1 ZINC000414214790 386070127 /nfs/dbraw/zinc/07/01/27/386070127.db2.gz WVWGPODEYCQYCU-JHJVBQTASA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@H](NCc1ccn(CC)n1)[C@@H]1CC1(C)C ZINC000414216332 386071097 /nfs/dbraw/zinc/07/10/97/386071097.db2.gz ZOZNACWFKVSIBW-STQMWFEESA-N 0 3 235.375 2.817 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2CS[C@H](C)C2)c1 ZINC000414223972 386076290 /nfs/dbraw/zinc/07/62/90/386076290.db2.gz HAPXOXTXGJTJPL-MDZLAQPJSA-N 0 3 236.384 2.935 20 0 BFADHN CC(C)CC[C@@H](NCCN(C)C)c1ccoc1 ZINC000286856439 386078025 /nfs/dbraw/zinc/07/80/25/386078025.db2.gz AUEKPWISTUKIHK-CQSZACIVSA-N 0 3 238.375 2.908 20 0 BFADHN CN(CCOC1CCC1)Cc1cccs1 ZINC000287433584 386122520 /nfs/dbraw/zinc/12/25/20/386122520.db2.gz UIXLMVYABIOQSU-UHFFFAOYSA-N 0 3 225.357 2.749 20 0 BFADHN CCC[C@@H](N)c1cn(CCC2CCCC2)nn1 ZINC000310073807 386080257 /nfs/dbraw/zinc/08/02/57/386080257.db2.gz QIIVPLYRXIMYEO-GFCCVEGCSA-N 0 3 236.363 2.658 20 0 BFADHN COC1([C@@H](C)N[C@@H](C)c2ccccn2)CCC1 ZINC000414302213 386080729 /nfs/dbraw/zinc/08/07/29/386080729.db2.gz KHCOLCNACQKCGE-NWDGAFQWSA-N 0 3 234.343 2.690 20 0 BFADHN CC1=CC[C@H](NCc2ccc(F)cn2)CC1 ZINC000334449335 386087047 /nfs/dbraw/zinc/08/70/47/386087047.db2.gz JSVBQOXIVVXYJL-LBPRGKRZSA-N 0 3 220.291 2.809 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC3(CCC3)C[C@@H]2C)n1 ZINC000365440282 386089871 /nfs/dbraw/zinc/08/98/71/386089871.db2.gz BEKHKMJQEQYKJB-LBPRGKRZSA-N 0 3 246.354 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC3(CCC3)C[C@@H]2C)n1 ZINC000365440282 386089877 /nfs/dbraw/zinc/08/98/77/386089877.db2.gz BEKHKMJQEQYKJB-LBPRGKRZSA-N 0 3 246.354 2.860 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cncc(C)c1)C(C)C ZINC000274589087 386123057 /nfs/dbraw/zinc/12/30/57/386123057.db2.gz JTLYXGRTLZRVHE-GXTWGEPZSA-N 0 3 236.359 2.712 20 0 BFADHN Cc1ccncc1CN[C@H]1CC(C)(C)OC1(C)C ZINC000162658728 386092013 /nfs/dbraw/zinc/09/20/13/386092013.db2.gz PTOLRUUANBBAKE-ZDUSSCGKSA-N 0 3 248.370 2.826 20 0 BFADHN Cc1ccc(CNCCCC2CCCC2)nn1 ZINC000414278789 386092337 /nfs/dbraw/zinc/09/23/37/386092337.db2.gz IHVXSOJTDYFXGY-UHFFFAOYSA-N 0 3 233.359 2.845 20 0 BFADHN Cc1ccc(CCN2CCN(C)[C@@H](C)[C@H]2C)cc1 ZINC000334448058 386094547 /nfs/dbraw/zinc/09/45/47/386094547.db2.gz QSLXQDAFTLTSMS-LSDHHAIUSA-N 0 3 246.398 2.562 20 0 BFADHN C[C@@H](NC[C@H]1C[C@@H](C)O[C@H]1C)c1ccco1 ZINC000414286742 386095948 /nfs/dbraw/zinc/09/59/48/386095948.db2.gz SSVWFJMMLBEWND-WISYIIOYSA-N 0 3 223.316 2.744 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2cc(C)n(C)n2)C1 ZINC000414358944 386145379 /nfs/dbraw/zinc/14/53/79/386145379.db2.gz NFMHXDNSADORGG-STQMWFEESA-N 0 3 235.375 2.787 20 0 BFADHN Cc1nocc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000334473348 386145835 /nfs/dbraw/zinc/14/58/35/386145835.db2.gz JBGKUSVEZAEVFU-AAEUAGOBSA-N 0 3 220.316 2.748 20 0 BFADHN CC(C)n1nccc1CN1C[C@H](C)C[C@H]1C ZINC000334473453 386147173 /nfs/dbraw/zinc/14/71/73/386147173.db2.gz JFTNNQQYONVTBX-VXGBXAGGSA-N 0 3 221.348 2.694 20 0 BFADHN Cc1ccc(CN2CC3(CCC3)C[C@@H]2C)nn1 ZINC000335264891 386148505 /nfs/dbraw/zinc/14/85/05/386148505.db2.gz NPEKAKUQOAJPDK-LBPRGKRZSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1occc1CNCCCc1ccccn1 ZINC000414364482 386148547 /nfs/dbraw/zinc/14/85/47/386148547.db2.gz YPFOEVPSKGQOHM-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN CCN(Cc1occc1C)C[C@H](OC)C1CC1 ZINC000425477533 386150050 /nfs/dbraw/zinc/15/00/50/386150050.db2.gz OHWMYRZOVCZBAG-AWEZNQCLSA-N 0 3 237.343 2.835 20 0 BFADHN CC[C@@H](CSC)N(C)CCc1cscn1 ZINC000296219743 386151116 /nfs/dbraw/zinc/15/11/16/386151116.db2.gz GQBGATGCJRYRTA-NSHDSACASA-N 0 3 244.429 2.759 20 0 BFADHN CC[C@H](F)CN1CCC(c2cc(C)[nH]n2)CC1 ZINC000440783229 386151535 /nfs/dbraw/zinc/15/15/35/386151535.db2.gz XEBKICGUVJQALD-LBPRGKRZSA-N 0 3 239.338 2.646 20 0 BFADHN CC[C@H](F)CN1CCC(c2cc(C)n[nH]2)CC1 ZINC000440783229 386151540 /nfs/dbraw/zinc/15/15/40/386151540.db2.gz XEBKICGUVJQALD-LBPRGKRZSA-N 0 3 239.338 2.646 20 0 BFADHN CC[C@H](F)CN1CC[C@@H](C)[C@@H](n2ccnc2)C1 ZINC000440783069 386151658 /nfs/dbraw/zinc/15/16/58/386151658.db2.gz KNMVPBVLEGDWOB-AGIUHOORSA-N 0 3 239.338 2.514 20 0 BFADHN CCCC[C@H](CC)N[C@H]1CNCCC1(F)F ZINC000414991690 386151726 /nfs/dbraw/zinc/15/17/26/386151726.db2.gz AQZVADGBQREVKH-QWRGUYRKSA-N 0 3 234.334 2.542 20 0 BFADHN CCC[C@@H](O)CN(C)Cc1ccc(Cl)s1 ZINC000296263428 386156372 /nfs/dbraw/zinc/15/63/72/386156372.db2.gz GKEKXEQWZLZKKG-SECBINFHSA-N 0 3 247.791 2.994 20 0 BFADHN COc1cc(CN[C@@H]2C[C@@H](C)O[C@@H]2C)ccc1C ZINC000296311010 386160822 /nfs/dbraw/zinc/16/08/22/386160822.db2.gz GPCUGNPUIJGYIL-YRGRVCCFSA-N 0 3 249.354 2.659 20 0 BFADHN CCN(C(=O)Nc1cccc(CNC)c1)C(C)C ZINC000415918357 386164394 /nfs/dbraw/zinc/16/43/94/386164394.db2.gz LDCXCRUPGINPKN-UHFFFAOYSA-N 0 3 249.358 2.668 20 0 BFADHN CS[C@H]1CCN(Cc2ccc(C(C)=O)cc2)C1 ZINC000296465524 386177126 /nfs/dbraw/zinc/17/71/26/386177126.db2.gz XNIDXIMVRZCRED-AWEZNQCLSA-N 0 3 249.379 2.827 20 0 BFADHN CCc1ncc(CN2CCC[C@@H]2C2CCC2)cn1 ZINC000414373610 386178039 /nfs/dbraw/zinc/17/80/39/386178039.db2.gz MXJATLLSUCRXTF-CQSZACIVSA-N 0 3 245.370 2.804 20 0 BFADHN CC/C=C\CCN1CC[C@H](c2nc(C)no2)C1 ZINC000346233778 386178660 /nfs/dbraw/zinc/17/86/60/386178660.db2.gz BXXUFXDIOQYKIL-RXNFCKPNSA-N 0 3 235.331 2.524 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cncc(F)c1 ZINC000275103925 386179357 /nfs/dbraw/zinc/17/93/57/386179357.db2.gz DKOPTZIMTGLLHL-SNVBAGLBSA-N 0 3 210.296 2.699 20 0 BFADHN CCC[C@@H](O)CN(C)Cc1ccc(C)cc1 ZINC000296556608 386185092 /nfs/dbraw/zinc/18/50/92/386185092.db2.gz PFCZQZWOIAYHHL-CQSZACIVSA-N 0 3 221.344 2.588 20 0 BFADHN CC(C)n1ncnc1CNC(C)(C)C(C)(C)C ZINC000310470847 386187394 /nfs/dbraw/zinc/18/73/94/386187394.db2.gz BIMDWIBRJCWYMN-UHFFFAOYSA-N 0 3 238.379 2.773 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnccc1OC ZINC000287535165 386131432 /nfs/dbraw/zinc/13/14/32/386131432.db2.gz ZQRUOVYYMZYJPE-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN CCO[C@@H]1C[C@H](N[C@H]2CCC[C@H]2F)C12CCC2 ZINC000340527231 386131778 /nfs/dbraw/zinc/13/17/78/386131778.db2.gz RPQWNJOBFCNPDB-MROQNXINSA-N 0 3 241.350 2.814 20 0 BFADHN c1cc2c(o1)CCCN(CC[C@H]1CCCCO1)C2 ZINC000335131647 386132016 /nfs/dbraw/zinc/13/20/16/386132016.db2.gz HVZNTQAHLHXNFZ-CQSZACIVSA-N 0 3 249.354 2.987 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2C2CCC2)on1 ZINC000334463802 386133325 /nfs/dbraw/zinc/13/33/25/386133325.db2.gz ZWZDTOXGBDRDTA-CYBMUJFWSA-N 0 3 220.316 2.748 20 0 BFADHN F[C@@H]1CCC[C@@H]1N[C@H]1CCc2ccccc2OC1 ZINC000340526843 386133357 /nfs/dbraw/zinc/13/33/57/386133357.db2.gz TZQPNXMGVHQYTF-MJBXVCDLSA-N 0 3 249.329 2.860 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCC[C@@H](CO)C2)c1 ZINC000414347187 386135207 /nfs/dbraw/zinc/13/52/07/386135207.db2.gz VRMATDONFGHPHJ-BFHYXJOUSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCC[C@@H](CO)C2)c1 ZINC000414347194 386135936 /nfs/dbraw/zinc/13/59/36/386135936.db2.gz VRMATDONFGHPHJ-MJBXVCDLSA-N 0 3 248.370 2.592 20 0 BFADHN C[C@@H]1CCC[C@H](C)[C@H]1N[C@@H]1CCn2ccnc21 ZINC000453082836 386137054 /nfs/dbraw/zinc/13/70/54/386137054.db2.gz RHRDCOJYGBARFR-XQHKEYJVSA-N 0 3 233.359 2.742 20 0 BFADHN COC[C@H](N[C@@H]1CCC[C@H]1F)C1CCCC1 ZINC000340528870 386137499 /nfs/dbraw/zinc/13/74/99/386137499.db2.gz ZXRMHLHOLJWLFQ-UPJWGTAASA-N 0 3 229.339 2.672 20 0 BFADHN CCN1CCCC[C@@H]1CNCc1ccoc1C ZINC000414354397 386140276 /nfs/dbraw/zinc/14/02/76/386140276.db2.gz VFDMQYOARVQQRP-CQSZACIVSA-N 0 3 236.359 2.552 20 0 BFADHN Cn1ccnc1CN1CCC[C@H]1CC(C)(C)C ZINC000338780685 386140313 /nfs/dbraw/zinc/14/03/13/386140313.db2.gz DPJBFVCEQORMIT-LBPRGKRZSA-N 0 3 235.375 2.821 20 0 BFADHN CCc1ccc(CNCCOCC2CC2)cc1 ZINC000224533388 386141541 /nfs/dbraw/zinc/14/15/41/386141541.db2.gz SWOSLNCLESFCDK-UHFFFAOYSA-N 0 3 233.355 2.765 20 0 BFADHN C[C@H](NCCOC1CCC1)c1cccc(O)c1 ZINC000287710799 386142178 /nfs/dbraw/zinc/14/21/78/386142178.db2.gz JQIWZOQJUQCMNM-NSHDSACASA-N 0 3 235.327 2.612 20 0 BFADHN Cc1ccncc1CN1CC(C2CCC2)C1 ZINC000335150309 386144003 /nfs/dbraw/zinc/14/40/03/386144003.db2.gz VISICEAHODUHGF-UHFFFAOYSA-N 0 3 216.328 2.622 20 0 BFADHN CCC[C@H](CC)NCc1cc(C(=O)OC)co1 ZINC000130775255 386201888 /nfs/dbraw/zinc/20/18/88/386201888.db2.gz WIRHSDJPCPXNLS-NSHDSACASA-N 0 3 239.315 2.735 20 0 BFADHN CC[C@H](C[C@H](C)CO)N[C@@H](C)c1ccccn1 ZINC000346977117 386203241 /nfs/dbraw/zinc/20/32/41/386203241.db2.gz MKBBJIANKIAPPR-RWMBFGLXSA-N 0 3 236.359 2.529 20 0 BFADHN CSC[C@H](C)NCc1cccc(F)c1 ZINC000124991153 386204274 /nfs/dbraw/zinc/20/42/74/386204274.db2.gz XUUUPGSEWSCAOP-VIFPVBQESA-N 0 3 213.321 2.667 20 0 BFADHN Cc1ccc(O)c(CN2CC3(C2)CCCCC3)n1 ZINC000297474289 386259483 /nfs/dbraw/zinc/25/94/83/386259483.db2.gz RLAZCYFVBJHJIL-UHFFFAOYSA-N 0 3 246.354 2.862 20 0 BFADHN Cc1ccc([O-])c(C[NH+]2CC3(C2)CCCCC3)n1 ZINC000297474289 386259485 /nfs/dbraw/zinc/25/94/85/386259485.db2.gz RLAZCYFVBJHJIL-UHFFFAOYSA-N 0 3 246.354 2.862 20 0 BFADHN FC1(F)CC(CNCc2cccnc2Cl)C1 ZINC000291763837 386207170 /nfs/dbraw/zinc/20/71/70/386207170.db2.gz RVLNFJMRUYKPPP-UHFFFAOYSA-N 0 3 246.688 2.870 20 0 BFADHN COC[C@@]1(C)CCN([C@H](C)c2ccccn2)C1 ZINC000296885776 386211026 /nfs/dbraw/zinc/21/10/26/386211026.db2.gz VFZCPLFUGIVJTI-OCCSQVGLSA-N 0 3 234.343 2.501 20 0 BFADHN CCN(CCC1(OC)CCC1)Cc1ccccn1 ZINC000339015637 386212715 /nfs/dbraw/zinc/21/27/15/386212715.db2.gz VKZFUVWIFZAGSR-UHFFFAOYSA-N 0 3 248.370 2.863 20 0 BFADHN COc1nc(C)cc(C)c1CN1C[C@H](C)[C@H](C)C1 ZINC000347065700 386212776 /nfs/dbraw/zinc/21/27/76/386212776.db2.gz YSWFYERLVLGARG-TXEJJXNPSA-N 0 3 248.370 2.795 20 0 BFADHN Cn1ccc(CNC/C=C/c2ccccc2)c1 ZINC000343189964 386213417 /nfs/dbraw/zinc/21/34/17/386213417.db2.gz OMCYDNYQPYCDSB-VMPITWQZSA-N 0 3 226.323 2.828 20 0 BFADHN Cn1ccc(CNC2(c3ccc(F)cc3)CC2)c1 ZINC000343190162 386215071 /nfs/dbraw/zinc/21/50/71/386215071.db2.gz RFVARJNXJMEPEI-UHFFFAOYSA-N 0 3 244.313 2.943 20 0 BFADHN CCc1ccc(CN(C)[C@@H](C)CC)nc1 ZINC000339031965 386216815 /nfs/dbraw/zinc/21/68/15/386216815.db2.gz VZCCPMNPIMKMCQ-NSHDSACASA-N 0 3 206.333 2.874 20 0 BFADHN CCc1ccc(CN(C)[C@H](C)CC)nc1 ZINC000339031964 386217123 /nfs/dbraw/zinc/21/71/23/386217123.db2.gz VZCCPMNPIMKMCQ-LLVKDONJSA-N 0 3 206.333 2.874 20 0 BFADHN Cc1cnccc1CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000296983698 386218948 /nfs/dbraw/zinc/21/89/48/386218948.db2.gz VLSUNDKHUDOPTM-HUUCEWRRSA-N 0 3 246.354 2.533 20 0 BFADHN COC1(CCN(C)Cc2cccc(C)n2)CCC1 ZINC000339038310 386219663 /nfs/dbraw/zinc/21/96/63/386219663.db2.gz KGZWSLCTHBVJEW-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN C[C@H]1CCN(Cc2cccc3c2OCO3)C[C@H]1C ZINC000353898416 386221088 /nfs/dbraw/zinc/22/10/88/386221088.db2.gz KCQXXPQWJAEDNJ-NWDGAFQWSA-N 0 3 247.338 2.893 20 0 BFADHN CCC[C@@]1(CO)CCN([C@@H](C)c2cccnc2)C1 ZINC000288690673 386227670 /nfs/dbraw/zinc/22/76/70/386227670.db2.gz DCUPHRIHJMEJBI-DZGCQCFKSA-N 0 3 248.370 2.627 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1cnccc1C)OC ZINC000297192076 386230909 /nfs/dbraw/zinc/23/09/09/386230909.db2.gz FLWSLZZUBPAMNQ-QWHCGFSZSA-N 0 3 236.359 2.856 20 0 BFADHN C[C@H](NCc1ccon1)[C@@H]1CCC[C@H](C)C1 ZINC000452068550 386232081 /nfs/dbraw/zinc/23/20/81/386232081.db2.gz NHZPOHWGYLYQPP-SDDRHHMPSA-N 0 3 222.332 2.979 20 0 BFADHN CC[C@H](O)CN(Cc1ccc(F)cc1)C1CC1 ZINC000264441537 386236108 /nfs/dbraw/zinc/23/61/08/386236108.db2.gz MJQPMMUWKXBZPS-AWEZNQCLSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@@H](O)[C@@H]1CCCCN1C/C=C/c1ccccc1 ZINC000264420853 386236277 /nfs/dbraw/zinc/23/62/77/386236277.db2.gz LOHRJFLJPIMLNG-PPEJIECUSA-N 0 3 245.366 2.935 20 0 BFADHN C[C@@H](O)[C@H]1CCCCN1C/C=C/c1ccccc1 ZINC000264420848 386236787 /nfs/dbraw/zinc/23/67/87/386236787.db2.gz LOHRJFLJPIMLNG-GZSUAUOCSA-N 0 3 245.366 2.935 20 0 BFADHN Cc1nc(C)c([C@H](C)N(C)CCCCO)s1 ZINC000264426388 386237653 /nfs/dbraw/zinc/23/76/53/386237653.db2.gz NCPFUZJKZYBXRU-JTQLQIEISA-N 0 3 242.388 2.525 20 0 BFADHN CCc1ncc(CN2CC[C@H](CC(C)C)C2)cn1 ZINC000414385712 386240411 /nfs/dbraw/zinc/24/04/11/386240411.db2.gz FYPHBWJHUWGCEA-CYBMUJFWSA-N 0 3 247.386 2.907 20 0 BFADHN Cn1ccc(CN[C@@H](c2cccnc2)C2CC2)c1 ZINC000343196445 386241041 /nfs/dbraw/zinc/24/10/41/386241041.db2.gz YTQKBJMUFRRBMO-OAHLLOKOSA-N 0 3 241.338 2.661 20 0 BFADHN C[C@@H](O)[C@@H]1CCCCN1Cc1ccccc1F ZINC000264478207 386241218 /nfs/dbraw/zinc/24/12/18/386241218.db2.gz ZJPTXKQYOFPCII-RISCZKNCSA-N 0 3 237.318 2.561 20 0 BFADHN Cc1cncc([C@@H](C)NC[C@@]2(C)CCCO2)c1 ZINC000184384854 386260915 /nfs/dbraw/zinc/26/09/15/386260915.db2.gz RBVBHGTXGWLCBO-TZMCWYRMSA-N 0 3 234.343 2.610 20 0 BFADHN Cc1cncc([C@H](C)NC[C@@]2(C)CCCO2)c1 ZINC000184384873 386261115 /nfs/dbraw/zinc/26/11/15/386261115.db2.gz RBVBHGTXGWLCBO-GXTWGEPZSA-N 0 3 234.343 2.610 20 0 BFADHN CCN(Cc1cncc(F)c1)CC1CCC1 ZINC000347104380 386253125 /nfs/dbraw/zinc/25/31/25/386253125.db2.gz STQJEJPTSTZWOS-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@H](O)CC(C)(C)CNCc1ccc(Cl)o1 ZINC000164707758 386258574 /nfs/dbraw/zinc/25/85/74/386258574.db2.gz TXLNZNRRESIKQP-VIFPVBQESA-N 0 3 245.750 2.820 20 0 BFADHN CC[C@H](N[C@H](C)c1cccs1)[C@H](O)C(F)F ZINC000296633552 386191777 /nfs/dbraw/zinc/19/17/77/386191777.db2.gz XOEDGOSEILJAAO-WEDXCCLWSA-N 0 3 249.326 2.803 20 0 BFADHN CCN(CCc1ccncc1)Cc1ccncc1 ZINC000346341367 386194476 /nfs/dbraw/zinc/19/44/76/386194476.db2.gz YZIQVXRMJVEVPU-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN Cc1n[nH]cc1CN1C[C@@H](C)CC(C)(C)C1 ZINC000346339363 386194989 /nfs/dbraw/zinc/19/49/89/386194989.db2.gz SHIWGDPYYAFDJS-JTQLQIEISA-N 0 3 221.348 2.586 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCOC2)cc1Cl ZINC000235854616 386196224 /nfs/dbraw/zinc/19/62/24/386196224.db2.gz RUIYWSJMJKMLRU-GFCCVEGCSA-N 0 3 239.746 2.917 20 0 BFADHN CC[C@H]1CCN1Cc1c(C)cc(OC)nc1C ZINC000430193439 386199368 /nfs/dbraw/zinc/19/93/68/386199368.db2.gz RKWXLBJUNZEAJF-LBPRGKRZSA-N 0 3 234.343 2.691 20 0 BFADHN CCc1ccc(CN2C[C@@H]3[C@H](C2)C3(C)C)nc1 ZINC000339004757 386200042 /nfs/dbraw/zinc/20/00/42/386200042.db2.gz FFOLKFCWWHFGER-OKILXGFUSA-N 0 3 230.355 2.732 20 0 BFADHN CC[C@H](F)CN[C@@H]1CCCO[C@@H]1CC(C)C ZINC000336730591 386280446 /nfs/dbraw/zinc/28/04/46/386280446.db2.gz IKDAPQYARZXVAK-YNEHKIRRSA-N 0 3 231.355 2.918 20 0 BFADHN CN(CCc1cccc(Cl)c1)[C@@H]1CCOC1 ZINC000297880364 386283530 /nfs/dbraw/zinc/28/35/30/386283530.db2.gz QJALNUBROQSHGF-CYBMUJFWSA-N 0 3 239.746 2.603 20 0 BFADHN CCC(O)(CC)CCN[C@H](C)c1cccnc1 ZINC000276192041 386284382 /nfs/dbraw/zinc/28/43/82/386284382.db2.gz HHSHXQHUBNWBLY-GFCCVEGCSA-N 0 3 236.359 2.673 20 0 BFADHN C[C@@H](NCCOCC1CC1)c1ccc(F)cc1 ZINC000226370019 386285753 /nfs/dbraw/zinc/28/57/53/386285753.db2.gz JAVIBYCSUPKERT-LLVKDONJSA-N 0 3 237.318 2.903 20 0 BFADHN C[C@H](O)CN1CC[C@@H](C)[C@@H]1c1cccc(F)c1 ZINC000297915874 386286277 /nfs/dbraw/zinc/28/62/77/386286277.db2.gz SRCBTFQJILHVCQ-UHIISALHSA-N 0 3 237.318 2.589 20 0 BFADHN CSCCCN1CCC[C@H]1c1cc(C)no1 ZINC000336665284 386288533 /nfs/dbraw/zinc/28/85/33/386288533.db2.gz FMWRRVDLLYXWHW-NSHDSACASA-N 0 3 240.372 2.873 20 0 BFADHN CCS[C@H]1CCCCN(Cc2ccno2)C1 ZINC000264957356 386290659 /nfs/dbraw/zinc/29/06/59/386290659.db2.gz WSCWUXUYUSVGBF-LBPRGKRZSA-N 0 3 240.372 2.782 20 0 BFADHN C[C@@H](N(C)Cc1ccc(F)cn1)C1(C)CC1 ZINC000276268418 386293680 /nfs/dbraw/zinc/29/36/80/386293680.db2.gz RWXSMZCJQOSSBX-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1occc1CN1CCSCC[C@H]1C ZINC000335264300 386294562 /nfs/dbraw/zinc/29/45/62/386294562.db2.gz ILZMUFGURTULRT-SNVBAGLBSA-N 0 3 225.357 2.915 20 0 BFADHN Cc1occc1CN[C@H]1Cc2cccc(O)c2C1 ZINC000335263753 386294580 /nfs/dbraw/zinc/29/45/80/386294580.db2.gz HPGWBDDUSBRAJT-ZDUSSCGKSA-N 0 3 243.306 2.551 20 0 BFADHN Cc1occc1CN1CCS[C@@H](C)CC1 ZINC000335263686 386294940 /nfs/dbraw/zinc/29/49/40/386294940.db2.gz GCTHDYHMBSHCBC-JTQLQIEISA-N 0 3 225.357 2.915 20 0 BFADHN CCCCC[C@H](NC(=O)CNC)c1ccccc1 ZINC000236646420 386296042 /nfs/dbraw/zinc/29/60/42/386296042.db2.gz MNEXERZKBSKXIN-AWEZNQCLSA-N 0 3 248.370 2.644 20 0 BFADHN CC[C@@H](C)N(C)Cc1cc(C)c(C(=O)OC)o1 ZINC000340592818 386297462 /nfs/dbraw/zinc/29/74/62/386297462.db2.gz FQURFGZWEOTHFP-SNVBAGLBSA-N 0 3 239.315 2.605 20 0 BFADHN CO[C@@H](C)CN(Cc1ccoc1C)C(C)C ZINC000414399473 386297975 /nfs/dbraw/zinc/29/79/75/386297975.db2.gz STVCKVTUFYWGBY-NSHDSACASA-N 0 3 225.332 2.833 20 0 BFADHN CCc1ccc(CN(C(C)C)[C@H]2CCOC2)nc1 ZINC000339207200 386300496 /nfs/dbraw/zinc/30/04/96/386300496.db2.gz HXIJXSWMYXYUHY-HNNXBMFYSA-N 0 3 248.370 2.643 20 0 BFADHN CCc1ccc(CN(CC)C[C@H](C)OC)nc1 ZINC000339208048 386300743 /nfs/dbraw/zinc/30/07/43/386300743.db2.gz QQPYRCVOHQHMNC-LBPRGKRZSA-N 0 3 236.359 2.501 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccc(F)cn1 ZINC000276323545 386301754 /nfs/dbraw/zinc/30/17/54/386301754.db2.gz FTUSJNXSXKQEKD-ZDUSSCGKSA-N 0 3 222.307 2.985 20 0 BFADHN CC[C@H](C)C[C@H](CC)N[C@@H]1CNCCC1(F)F ZINC000415005716 386302414 /nfs/dbraw/zinc/30/24/14/386302414.db2.gz RUFTTYQBZKZYJP-SDDRHHMPSA-N 0 3 248.361 2.788 20 0 BFADHN CCCCN(Cc1ccc(F)cn1)C1CC1 ZINC000276325852 386302356 /nfs/dbraw/zinc/30/23/56/386302356.db2.gz GCUBLANBXWVDLF-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN CSC[C@@H](C)NCc1cc(F)cc(F)c1 ZINC000162793936 386302360 /nfs/dbraw/zinc/30/23/60/386302360.db2.gz YPXIJMJAJMMXSX-MRVPVSSYSA-N 0 3 231.311 2.806 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N[C@H]1CNCCC1(F)F ZINC000415005720 386302591 /nfs/dbraw/zinc/30/25/91/386302591.db2.gz RUFTTYQBZKZYJP-TUAOUCFPSA-N 0 3 248.361 2.788 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H](C)c1ccc(F)cc1 ZINC000289430601 386304395 /nfs/dbraw/zinc/30/43/95/386304395.db2.gz DSKRLPBERUTTJB-SNVBAGLBSA-N 0 3 247.317 2.751 20 0 BFADHN CC[C@H]1CCCCN1CCOCC(F)(F)F ZINC000336673442 386305150 /nfs/dbraw/zinc/30/51/50/386305150.db2.gz KTHROXIJZATYKA-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN C[C@@H](CO)[C@H](C)NCc1ccc(Cl)s1 ZINC000125729615 386309533 /nfs/dbraw/zinc/30/95/33/386309533.db2.gz OHEDQHXDQHYFOW-YUMQZZPRSA-N 0 3 233.764 2.508 20 0 BFADHN c1cncc(CN2CCC[C@H](C3CC3)C2)c1 ZINC000289463087 386309912 /nfs/dbraw/zinc/30/99/12/386309912.db2.gz QGEXSECAQPIZOH-AWEZNQCLSA-N 0 3 216.328 2.704 20 0 BFADHN CCC[C@H](N)C(=O)N(C)c1ccc(C(C)C)cc1 ZINC000236790983 386313196 /nfs/dbraw/zinc/31/31/96/386313196.db2.gz AWBITSUZHQNBQL-AWEZNQCLSA-N 0 3 248.370 2.900 20 0 BFADHN CC[C@@H](C)CN(C)Cc1ccc(F)cn1 ZINC000276398227 386315986 /nfs/dbraw/zinc/31/59/86/386315986.db2.gz TXAOIIXUOJENRD-SNVBAGLBSA-N 0 3 210.296 2.699 20 0 BFADHN CCOc1cccc(CN(C)C[C@H](C)OC)c1 ZINC000289514880 386320444 /nfs/dbraw/zinc/32/04/44/386320444.db2.gz GXBMWRCOKWUKOK-LBPRGKRZSA-N 0 3 237.343 2.552 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)N[C@H](C)c1ccccc1 ZINC000340598921 386322664 /nfs/dbraw/zinc/32/26/64/386322664.db2.gz AXTVWDSDLYRRNH-CHWSQXEVSA-N 0 3 248.370 2.594 20 0 BFADHN Cc1ccc(CN(C)C2CCC(C)CC2)nn1 ZINC000335262036 386323242 /nfs/dbraw/zinc/32/32/42/386323242.db2.gz LYTJCEAFIZNYSE-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN COc1ccncc1CN1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000347153379 386262919 /nfs/dbraw/zinc/26/29/19/386262919.db2.gz AKECVVSWCIMBLA-AGIUHOORSA-N 0 3 248.370 2.957 20 0 BFADHN CCCCN(CC)Cc1cnc([C@H](C)O)s1 ZINC000289180174 386268483 /nfs/dbraw/zinc/26/84/83/386268483.db2.gz SQSMETQLOBACBL-JTQLQIEISA-N 0 3 242.388 2.818 20 0 BFADHN CSC1(CN(C)Cc2ccoc2C)CC1 ZINC000289190190 386273218 /nfs/dbraw/zinc/27/32/18/386273218.db2.gz UGXNTSAOKUZNJY-UHFFFAOYSA-N 0 3 225.357 2.915 20 0 BFADHN COc1ccncc1CN1CCC[C@H](C(C)C)C1 ZINC000347185231 386273359 /nfs/dbraw/zinc/27/33/59/386273359.db2.gz MTDZKJBZEAGCHB-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@H](CN1CC[C@@](F)(CO)C1)C1CCCCC1 ZINC000339177112 386277325 /nfs/dbraw/zinc/27/73/25/386277325.db2.gz NIMQYMBOBYGOIA-OCCSQVGLSA-N 0 3 243.366 2.609 20 0 BFADHN Cc1ccc(CNC[C@@H](O)c2ccccc2C)o1 ZINC000264876240 386279300 /nfs/dbraw/zinc/27/93/00/386279300.db2.gz SWQSYVKMVJUCJI-OAHLLOKOSA-N 0 3 245.322 2.720 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1(C)C)c1ccccc1F ZINC000226598631 386340189 /nfs/dbraw/zinc/34/01/89/386340189.db2.gz CRUQZDXDWXGVFW-TVYUQYBPSA-N 0 3 237.318 2.636 20 0 BFADHN CC[C@@H](CNCc1ccc(C)c(OC)c1)OC ZINC000289717615 386347790 /nfs/dbraw/zinc/34/77/90/386347790.db2.gz FXBCFAQFTKNYTK-ZDUSSCGKSA-N 0 3 237.343 2.518 20 0 BFADHN Cc1noc([C@@H]2CCCN2C[C@@H]2CC=CCC2)n1 ZINC000289749944 386349017 /nfs/dbraw/zinc/34/90/17/386349017.db2.gz JDMYCMJMQCXVJG-OLZOCXBDSA-N 0 3 247.342 2.871 20 0 BFADHN COC[C@@H]1CCN1C[C@@H]1CCCC(F)(F)C1 ZINC000339292819 386349077 /nfs/dbraw/zinc/34/90/77/386349077.db2.gz FMGYOWSNORCSOV-MNOVXSKESA-N 0 3 233.302 2.533 20 0 BFADHN CCC1(N[C@@H](C)c2cc(OC)ncc2F)CC1 ZINC000453288031 386350435 /nfs/dbraw/zinc/35/04/35/386350435.db2.gz LHAWDLXYLLRKRI-VIFPVBQESA-N 0 3 238.306 2.823 20 0 BFADHN C[C@@H]1c2ccccc2CN1CCCCCO ZINC000336688755 386351533 /nfs/dbraw/zinc/35/15/33/386351533.db2.gz YUGDCHNDTNEJRN-GFCCVEGCSA-N 0 3 219.328 2.726 20 0 BFADHN Cc1nc(C)c([C@H](C)N(C)CC[C@H](C)O)s1 ZINC000336688851 386352046 /nfs/dbraw/zinc/35/20/46/386352046.db2.gz YWPBZXSAUKICCQ-WPRPVWTQSA-N 0 3 242.388 2.524 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000289790505 386355468 /nfs/dbraw/zinc/35/54/68/386355468.db2.gz LIWMCNIPQLCBLH-PRULPYPASA-N 0 3 237.343 2.916 20 0 BFADHN CC[C@H](CNCc1ccc2occc2c1)OC ZINC000289781779 386355804 /nfs/dbraw/zinc/35/58/04/386355804.db2.gz KOYVMWSCFUEOAY-CYBMUJFWSA-N 0 3 233.311 2.947 20 0 BFADHN Cn1cccc1CN[C@H]1CCc2c1cccc2F ZINC000265654992 386356880 /nfs/dbraw/zinc/35/68/80/386356880.db2.gz PANJAUXDXNVSPS-HNNXBMFYSA-N 0 3 244.313 2.941 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1ccc2c[nH]nc2c1 ZINC000335271745 386357975 /nfs/dbraw/zinc/35/79/75/386357975.db2.gz AKPKLLFCWIEDQL-UWVGGRQHSA-N 0 3 215.300 2.546 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1CCOC1(C)C ZINC000453297487 386363860 /nfs/dbraw/zinc/36/38/60/386363860.db2.gz RSQGOSXSSSDQGM-WCQYABFASA-N 0 3 248.370 2.862 20 0 BFADHN COC(C)(C)CCN(C)Cc1cccs1 ZINC000336694173 386367216 /nfs/dbraw/zinc/36/72/16/386367216.db2.gz WSDIYUZJHNAZBK-UHFFFAOYSA-N 0 3 227.373 2.995 20 0 BFADHN COC[C@H]1CCN(Cc2cccc(Cl)c2)C1 ZINC000265775021 386367561 /nfs/dbraw/zinc/36/75/61/386367561.db2.gz JMBFMVKWELLIOU-LBPRGKRZSA-N 0 3 239.746 2.808 20 0 BFADHN CC(C)CCN1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289899063 386368102 /nfs/dbraw/zinc/36/81/02/386368102.db2.gz NHEWVKRBYWGVDX-GFCCVEGCSA-N 0 3 241.766 2.829 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cn2ccccc2n1 ZINC000298699648 386372901 /nfs/dbraw/zinc/37/29/01/386372901.db2.gz HMPNAXIRIVVNTF-LBPRGKRZSA-N 0 3 231.343 2.811 20 0 BFADHN Cc1c[nH]nc1CNCCc1ccc(Cl)cc1 ZINC000289926977 386373590 /nfs/dbraw/zinc/37/35/90/386373590.db2.gz OUTSIMYTUBGUBD-UHFFFAOYSA-N 0 3 249.745 2.704 20 0 BFADHN CC[C@@H](CO)N[C@H](C)c1nccc2ccccc21 ZINC000289949253 386373796 /nfs/dbraw/zinc/37/37/96/386373796.db2.gz WNGSAUQDIBGEDI-YPMHNXCESA-N 0 3 244.338 2.656 20 0 BFADHN Cc1cn[nH]c1CN[C@H]1CCCc2ccccc21 ZINC000289955294 386376635 /nfs/dbraw/zinc/37/66/35/386376635.db2.gz QJDSJPGFWAGDGR-AWEZNQCLSA-N 0 3 241.338 2.885 20 0 BFADHN Cc1occc1CN(C)CC[C@H]1CCCCO1 ZINC000347388605 386377719 /nfs/dbraw/zinc/37/77/19/386377719.db2.gz DPLSVXHVIAFRKD-CQSZACIVSA-N 0 3 237.343 2.979 20 0 BFADHN CC(=O)CCN1CCC[C@@H]1c1ccsc1 ZINC000298735204 386379189 /nfs/dbraw/zinc/37/91/89/386379189.db2.gz IDKLNVLBQCNONQ-GFCCVEGCSA-N 0 3 223.341 2.864 20 0 BFADHN CC[C@H](NCCSC(C)C)c1ccn(C)n1 ZINC000336732166 386381083 /nfs/dbraw/zinc/38/10/83/386381083.db2.gz JFGFFEUIVITAIE-NSHDSACASA-N 0 3 241.404 2.602 20 0 BFADHN CO[C@@H]1CCC[C@H]1CNCc1ccc(Cl)o1 ZINC000336775400 386381961 /nfs/dbraw/zinc/38/19/61/386381961.db2.gz YXSYVAFETHBRRA-GXSJLCMTSA-N 0 3 243.734 2.838 20 0 BFADHN CCCCCCN[C@](C)(C(N)=O)c1ccccc1 ZINC000265870429 386382276 /nfs/dbraw/zinc/38/22/76/386382276.db2.gz VNTOFQUHIZREPK-HNNXBMFYSA-N 0 3 248.370 2.557 20 0 BFADHN CC(C)(O)CCNCc1ccc(Cl)c(F)c1 ZINC000274744357 386384567 /nfs/dbraw/zinc/38/45/67/386384567.db2.gz KUAKRTSBSJZOPP-UHFFFAOYSA-N 0 3 245.725 2.730 20 0 BFADHN CCN(CCC1CC1)Cc1ccccn1 ZINC000336699507 386384746 /nfs/dbraw/zinc/38/47/46/386384746.db2.gz XLIBJIIQXZRKTO-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CCCCCN[C@@H](CO)c1ccc(F)cc1 ZINC000336731754 386331261 /nfs/dbraw/zinc/33/12/61/386331261.db2.gz IYGHENPDLOTKCZ-ZDUSSCGKSA-N 0 3 225.307 2.639 20 0 BFADHN COCC1(C)CCN(Cc2ccoc2C)CC1 ZINC000414424934 386385943 /nfs/dbraw/zinc/38/59/43/386385943.db2.gz DKRCFJSRFDTIPA-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H](C)Cc1cccs1 ZINC000289606937 386332475 /nfs/dbraw/zinc/33/24/75/386332475.db2.gz VKFJQRSSYDEVOI-JTQLQIEISA-N 0 3 249.383 2.748 20 0 BFADHN CCN(Cc1cccs1)[C@@H]1C=C[C@H](CO)C1 ZINC000347336837 386332883 /nfs/dbraw/zinc/33/28/83/386332883.db2.gz KPUDKYNMMLQODP-NWDGAFQWSA-N 0 3 237.368 2.507 20 0 BFADHN OC[C@@]1(F)CCCN(CCC2CCCCC2)C1 ZINC000347338952 386335499 /nfs/dbraw/zinc/33/54/99/386335499.db2.gz CBROWCLRDJUJJS-CQSZACIVSA-N 0 3 243.366 2.753 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCC[C@@](F)(CO)C2)C1 ZINC000347344067 386337873 /nfs/dbraw/zinc/33/78/73/386337873.db2.gz GGOAMDITKADJAH-MCIONIFRSA-N 0 3 243.366 2.609 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc(C)c(OC)c1 ZINC000430198626 386337928 /nfs/dbraw/zinc/33/79/28/386337928.db2.gz ZBVFDIWOOSKMLX-CYBMUJFWSA-N 0 3 219.328 2.988 20 0 BFADHN C[C@@H](O)CCCN1CC[C@@H]1c1ccccc1 ZINC000336682034 386338113 /nfs/dbraw/zinc/33/81/13/386338113.db2.gz YKSMRKJARFLWDW-TZMCWYRMSA-N 0 3 219.328 2.594 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCC[C@](F)(CO)C2)C1 ZINC000347344068 386338091 /nfs/dbraw/zinc/33/80/91/386338091.db2.gz GGOAMDITKADJAH-MGPQQGTHSA-N 0 3 243.366 2.609 20 0 BFADHN CCc1ccc(CN2CCC[C@@]2(CC)CO)o1 ZINC000276512495 386338634 /nfs/dbraw/zinc/33/86/34/386338634.db2.gz ZZDVNLMJGMOPFV-AWEZNQCLSA-N 0 3 237.343 2.579 20 0 BFADHN CCc1ncc(CN(C)[C@@H]2CC[C@@H](C)C2)cn1 ZINC000414432958 386436920 /nfs/dbraw/zinc/43/69/20/386436920.db2.gz JUGKDGLTDICRFK-DGCLKSJQSA-N 0 3 233.359 2.659 20 0 BFADHN Cc1cn[nH]c1CN(C)CCc1ccc(F)cc1 ZINC000290453987 386437025 /nfs/dbraw/zinc/43/70/25/386437025.db2.gz OUZZEZUXBZWWSG-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN Cc1occc1CN1CCCC2(CC2)C1 ZINC000414431874 386437962 /nfs/dbraw/zinc/43/79/62/386437962.db2.gz LUOWKIMGRYDBSC-UHFFFAOYSA-N 0 3 205.301 2.964 20 0 BFADHN c1ccc2c(c1)C[C@H]1[C@@H](NCc3cccnc3)[C@@H]21 ZINC000290458233 386439191 /nfs/dbraw/zinc/43/91/91/386439191.db2.gz MVZCNGJBSDOWPA-OWCLPIDISA-N 0 3 236.318 2.510 20 0 BFADHN COC[C@H](C)N1CC[C@@H](c2cccc(F)c2)C1 ZINC000266523992 386445695 /nfs/dbraw/zinc/44/56/95/386445695.db2.gz JTHAOOCRTOACIH-WCQYABFASA-N 0 3 237.318 2.650 20 0 BFADHN Fc1ccc(CN2CCC3(C2)CCOCC3)cc1 ZINC000266524748 386447179 /nfs/dbraw/zinc/44/71/79/386447179.db2.gz DFPVMGLBWWSKAW-UHFFFAOYSA-N 0 3 249.329 2.828 20 0 BFADHN CC[C@H](c1ccccc1OC)N(C)CCOC ZINC000347544492 386448558 /nfs/dbraw/zinc/44/85/58/386448558.db2.gz TVTLVWMQRLDFHX-CYBMUJFWSA-N 0 3 237.343 2.725 20 0 BFADHN CCn1nncc1CN[C@H](C)CCC(C)(C)C ZINC000417915162 386449131 /nfs/dbraw/zinc/44/91/31/386449131.db2.gz BNXXCWXZERQAFU-LLVKDONJSA-N 0 3 238.379 2.602 20 0 BFADHN CCN(CCCO)[C@@H](C)c1ccc(F)c(F)c1 ZINC000299384975 386450120 /nfs/dbraw/zinc/45/01/20/386450120.db2.gz IBTRVOUWQURNHA-JTQLQIEISA-N 0 3 243.297 2.730 20 0 BFADHN COc1cc([C@H](C)N[C@H]2C=CCCC2)ccn1 ZINC000347562987 386453022 /nfs/dbraw/zinc/45/30/22/386453022.db2.gz SKBNDJXDUJSFLQ-AAEUAGOBSA-N 0 3 232.327 2.850 20 0 BFADHN CC[C@H]1CN(CCSC(C)C)C[C@H](C)O1 ZINC000336699914 386388017 /nfs/dbraw/zinc/38/80/17/386388017.db2.gz PBPIFCXEULIMIP-RYUDHWBXSA-N 0 3 231.405 2.627 20 0 BFADHN COC(C)(C)CN[C@H](C)c1ccc(Cl)cn1 ZINC000336775471 386388476 /nfs/dbraw/zinc/38/84/76/386388476.db2.gz ZCYAMQQSBPBLCU-SECBINFHSA-N 0 3 242.750 2.811 20 0 BFADHN CCSCCN(C)Cc1ccccc1OC ZINC000265981467 386389074 /nfs/dbraw/zinc/38/90/74/386389074.db2.gz FNPGRQOYVOQPDE-UHFFFAOYSA-N 0 3 239.384 2.880 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H](C)[C@H]1CCCCO1 ZINC000347352519 386389696 /nfs/dbraw/zinc/38/96/96/386389696.db2.gz YOCJJXQZLLZETA-KCQAQPDRSA-N 0 3 248.370 2.998 20 0 BFADHN C[C@@H](NCC1CC1)c1nccn1-c1ccccc1 ZINC000290057832 386391230 /nfs/dbraw/zinc/39/12/30/386391230.db2.gz GYKJFKPBAPGKOL-GFCCVEGCSA-N 0 3 241.338 2.933 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@@H]2C[C@@]21C ZINC000453311829 386397366 /nfs/dbraw/zinc/39/73/66/386397366.db2.gz GPLJNBDCVLHCKG-BZPMIXESSA-N 0 3 233.359 2.571 20 0 BFADHN CN(CCc1cn[nH]c1)Cc1cccc(Cl)c1 ZINC000290148860 386399177 /nfs/dbraw/zinc/39/91/77/386399177.db2.gz WLGOBWGHGWHSHP-UHFFFAOYSA-N 0 3 249.745 2.738 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@H](CO)C2CCCC2)c1 ZINC000290160359 386400755 /nfs/dbraw/zinc/40/07/55/386400755.db2.gz LXWUHYUKACBFCK-IUODEOHRSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H](CO)C2CCCC2)c1 ZINC000290160363 386401694 /nfs/dbraw/zinc/40/16/94/386401694.db2.gz LXWUHYUKACBFCK-WFASDCNBSA-N 0 3 248.370 2.592 20 0 BFADHN COCC[C@H](NCc1conc1C)C(C)(C)C ZINC000339392138 386402804 /nfs/dbraw/zinc/40/28/04/386402804.db2.gz JBDQIBKNYWHRKJ-LBPRGKRZSA-N 0 3 240.347 2.524 20 0 BFADHN COC[C@@H](NCc1cnccc1C)C1CCCC1 ZINC000453320933 386404452 /nfs/dbraw/zinc/40/44/52/386404452.db2.gz GLEYOZDHPQQPQC-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN CC[C@H](CNCc1cocn1)CC(F)(F)F ZINC000402773952 386404494 /nfs/dbraw/zinc/40/44/94/386404494.db2.gz QWUAOPXRSHZTDK-QMMMGPOBSA-N 0 3 236.237 2.743 20 0 BFADHN C[C@@H](NC/C=C/c1ccccc1)c1cnn(C)c1 ZINC000266159496 386406040 /nfs/dbraw/zinc/40/60/40/386406040.db2.gz MZVIKLPXBMXXMX-YSKGHYERSA-N 0 3 241.338 2.784 20 0 BFADHN CCC[C@H](C)N(C)Cc1cncc(OC)c1 ZINC000290201588 386406054 /nfs/dbraw/zinc/40/60/54/386406054.db2.gz GSLXBYDRPQWAKO-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN CC[C@H](CN[C@H](C)c1ccc2c(c1)COC2)OC ZINC000290243240 386409306 /nfs/dbraw/zinc/40/93/06/386409306.db2.gz STNRRGPWBHBKAS-IAQYHMDHSA-N 0 3 249.354 2.792 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1NC[C@@H]1CCC=CO1 ZINC000290238134 386409374 /nfs/dbraw/zinc/40/93/74/386409374.db2.gz UJBKIZCWTIEZGX-SLEUVZQESA-N 0 3 245.322 2.791 20 0 BFADHN CC(C)(C)[C@H]1CCCN1Cc1n[nH]c(C2CC2)n1 ZINC000347458041 386411560 /nfs/dbraw/zinc/41/15/60/386411560.db2.gz DTEYNBUMIJKWFH-LLVKDONJSA-N 0 3 248.374 2.693 20 0 BFADHN Cc1ccoc1CNC[C@H](O)C1CCCCC1 ZINC000266247281 386416432 /nfs/dbraw/zinc/41/64/32/386416432.db2.gz ZFVBLWLHTIMZTQ-ZDUSSCGKSA-N 0 3 237.343 2.619 20 0 BFADHN CCc1ccc(CNC(C)(C)CCOC)o1 ZINC000336777332 386419679 /nfs/dbraw/zinc/41/96/79/386419679.db2.gz IDWTVOGTKFUUDK-UHFFFAOYSA-N 0 3 225.332 2.747 20 0 BFADHN C[C@H](O)CCCN1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000336709204 386419573 /nfs/dbraw/zinc/41/95/73/386419573.db2.gz MKMHWVRQZXNREY-VNHYZAJKSA-N 0 3 233.355 2.840 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@H](c2ccccc2)C1 ZINC000290377322 386426966 /nfs/dbraw/zinc/42/69/66/386426966.db2.gz IEMLMRWIGVSJCG-AWEZNQCLSA-N 0 3 241.338 2.708 20 0 BFADHN CCC[C@@H](CCO)CNCc1ccc(Cl)o1 ZINC000164953079 386428206 /nfs/dbraw/zinc/42/82/06/386428206.db2.gz NFCJKIZXIYLVGH-JTQLQIEISA-N 0 3 245.750 2.821 20 0 BFADHN Cc1occc1CN[C@]1(C)CCO[C@@H]1C1CC1 ZINC000414431476 386430000 /nfs/dbraw/zinc/43/00/00/386430000.db2.gz LDWNQLQVIKQUKL-ZIAGYGMSSA-N 0 3 235.327 2.635 20 0 BFADHN CC(C)[C@H]1CCN(Cc2nccn2C(C)C)C1 ZINC000336713507 386430535 /nfs/dbraw/zinc/43/05/35/386430535.db2.gz SPVNXCQNNZAMMO-ZDUSSCGKSA-N 0 3 235.375 2.942 20 0 BFADHN c1ccc(NC[C@H]2CCCCN2C2CC2)nc1 ZINC000277367959 386431468 /nfs/dbraw/zinc/43/14/68/386431468.db2.gz HKWYMPLCGTUUAV-CYBMUJFWSA-N 0 3 231.343 2.510 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1cn2ccccc2n1 ZINC000299257363 386431969 /nfs/dbraw/zinc/43/19/69/386431969.db2.gz ZEVVZXWVMHBKFX-CQSZACIVSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@H]1CCN1C/C=C/c1ccncc1 ZINC000430208126 386432705 /nfs/dbraw/zinc/43/27/05/386432705.db2.gz SIUDKHDIKFBPNP-OOPCZODUSA-N 0 3 202.301 2.579 20 0 BFADHN CC[C@@H]1CCN1C/C=C/c1ccncc1 ZINC000430208125 386433343 /nfs/dbraw/zinc/43/33/43/386433343.db2.gz SIUDKHDIKFBPNP-ITDFMYJTSA-N 0 3 202.301 2.579 20 0 BFADHN Cc1cn[nH]c1CN1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000290449624 386436235 /nfs/dbraw/zinc/43/62/35/386436235.db2.gz OLFMCRNIENBMHZ-IUODEOHRSA-N 0 3 241.338 2.911 20 0 BFADHN COC[C@H](NC[C@@H]1CC[C@@H](C)O1)c1ccccc1 ZINC000191050050 386466934 /nfs/dbraw/zinc/46/69/34/386466934.db2.gz CNRGFBCQIKGJHW-SNPRPXQTSA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@H]1CCCN(Cc2cc(C)nn2C)CC1 ZINC000417799755 386468333 /nfs/dbraw/zinc/46/83/33/386468333.db2.gz XFSOGISAERRRER-ZDUSSCGKSA-N 0 3 235.375 2.741 20 0 BFADHN C[C@H](Cc1ccsc1)N1C[C@@H]2C[C@H]1CS2 ZINC000519525685 386472160 /nfs/dbraw/zinc/47/21/60/386472160.db2.gz RCSYJJMRNNMVFT-USWWRNFRSA-N 0 3 239.409 2.869 20 0 BFADHN Cc1nocc1CNC[C@H]1CCCC1(C)C ZINC000339573796 386472917 /nfs/dbraw/zinc/47/29/17/386472917.db2.gz FGUIWCCCLZVMRH-GFCCVEGCSA-N 0 3 222.332 2.899 20 0 BFADHN C=Cn1cc(CNCCCc2cccs2)cn1 ZINC000519520559 386473600 /nfs/dbraw/zinc/47/36/00/386473600.db2.gz DBWFEPWTNMVRPP-UHFFFAOYSA-N 0 3 247.367 2.768 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CCCC[C@H]2O)c1 ZINC000227288334 386474172 /nfs/dbraw/zinc/47/41/72/386474172.db2.gz PCICSGYDUUHTJK-ZIAGYGMSSA-N 0 3 237.318 2.527 20 0 BFADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1CC1=CCCOC1 ZINC000335425726 386476417 /nfs/dbraw/zinc/47/64/17/386476417.db2.gz VOCMKFGOPLSFBP-KOLCDFICSA-N 0 3 249.276 2.606 20 0 BFADHN C[C@@H]1CN(CCc2ccc(F)c(F)c2)[C@H]1C ZINC000339567169 386480578 /nfs/dbraw/zinc/48/05/78/386480578.db2.gz MTZIUHQGYVVQOZ-ZJUUUORDSA-N 0 3 225.282 2.848 20 0 BFADHN Cc1occc1CNCCC1(F)CCC1 ZINC000414443954 386486086 /nfs/dbraw/zinc/48/60/86/386486086.db2.gz OQRPNVMCMZQLIG-UHFFFAOYSA-N 0 3 211.280 2.960 20 0 BFADHN CCC1(CN[C@@H](C)c2ccccc2F)COC1 ZINC000393971845 386486299 /nfs/dbraw/zinc/48/62/99/386486299.db2.gz OLDNNYJLYOVHAQ-NSHDSACASA-N 0 3 237.318 2.903 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNC(C)(C)CCO)o1 ZINC000135228532 386496248 /nfs/dbraw/zinc/49/62/48/386496248.db2.gz CETYHRNZDMHHKT-CMPLNLGQSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1ccc(CNC[C@]2(C)CCCC[C@@H]2O)o1 ZINC000267124292 386496763 /nfs/dbraw/zinc/49/67/63/386496763.db2.gz USBPVFUOQNLGCK-KBPBESRZSA-N 0 3 237.343 2.619 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccncc1)CC(C)C ZINC000417325621 386498907 /nfs/dbraw/zinc/49/89/07/386498907.db2.gz ACIPKEUNUOFUFL-GXTWGEPZSA-N 0 3 236.359 2.793 20 0 BFADHN CCCC(=O)Nc1cc(CN(C)C)ccc1C ZINC000267172970 386503677 /nfs/dbraw/zinc/50/36/77/386503677.db2.gz VLLJHKOOTZFRPX-UHFFFAOYSA-N 0 3 234.343 2.795 20 0 BFADHN C[C@@H](NC[C@H]1CC12CC2)c1nccs1 ZINC000417334878 386504279 /nfs/dbraw/zinc/50/42/79/386504279.db2.gz HOTAVVFHOHVDTP-RKDXNWHRSA-N 0 3 208.330 2.594 20 0 BFADHN CC(C)COCCN1CC[C@@H]1Cc1ccccc1 ZINC000336783688 386507137 /nfs/dbraw/zinc/50/71/37/386507137.db2.gz FIFJACFMAASIEV-MRXNPFEDSA-N 0 3 247.382 2.976 20 0 BFADHN COC(C)(C)CCN(Cc1ccccn1)C1CC1 ZINC000336784075 386507855 /nfs/dbraw/zinc/50/78/55/386507855.db2.gz KQEQJWAVUATUBP-UHFFFAOYSA-N 0 3 248.370 2.861 20 0 BFADHN CC[C@@H](CN[C@@H]1CCCc2c(O)cccc21)OC ZINC000291059881 386508907 /nfs/dbraw/zinc/50/89/07/386508907.db2.gz ADFRFEFANDKMKM-SMDDNHRTSA-N 0 3 249.354 2.784 20 0 BFADHN COC(C)(C)CCN(Cc1cccnc1)C1CC1 ZINC000336784490 386510579 /nfs/dbraw/zinc/51/05/79/386510579.db2.gz QKAMDIKSJYQBLK-UHFFFAOYSA-N 0 3 248.370 2.861 20 0 BFADHN Cc1cccc(N2CCN(CCC3CC3)CC2)c1 ZINC000336784760 386510778 /nfs/dbraw/zinc/51/07/78/386510778.db2.gz XAFNJEISLMYAQG-UHFFFAOYSA-N 0 3 244.382 2.917 20 0 BFADHN CC1(CN2CCC(=O)CC23CCC3)CCC1 ZINC000519614533 386510882 /nfs/dbraw/zinc/51/08/82/386510882.db2.gz QJXSKLMATLAZSG-UHFFFAOYSA-N 0 3 221.344 2.764 20 0 BFADHN COC(=O)c1coc(CN[C@@H](C)CC(C)C)c1 ZINC000135413058 386511254 /nfs/dbraw/zinc/51/12/54/386511254.db2.gz XOLVDHGTQNFGPS-JTQLQIEISA-N 0 3 239.315 2.590 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H]1CCCc2ccc(O)cc21 ZINC000291098222 386511721 /nfs/dbraw/zinc/51/17/21/386511721.db2.gz DKYVPLKZBPJFAW-ZETOZRRWSA-N 0 3 249.354 2.783 20 0 BFADHN Cc1ccc([C@H](O)CN[C@H](C)c2ccco2)cc1 ZINC000278338068 386515640 /nfs/dbraw/zinc/51/56/40/386515640.db2.gz CFLKMGJPCYZBIH-TZMCWYRMSA-N 0 3 245.322 2.972 20 0 BFADHN Cc1nc(CN[C@H]2CCCC2(C)C)co1 ZINC000647971908 386516027 /nfs/dbraw/zinc/51/60/27/386516027.db2.gz ARBCHBYUEMDEQX-NSHDSACASA-N 0 3 208.305 2.651 20 0 BFADHN CCc1nocc1CN[C@H]1CCCC1(C)C ZINC000647972376 386519956 /nfs/dbraw/zinc/51/99/56/386519956.db2.gz ZXNYACHBHBKVKO-LBPRGKRZSA-N 0 3 222.332 2.905 20 0 BFADHN CN(Cc1cnn2ccccc12)C(C)(C)C ZINC000335450024 386520491 /nfs/dbraw/zinc/52/04/91/386520491.db2.gz RXJYZRVGWWZRFA-UHFFFAOYSA-N 0 3 217.316 2.565 20 0 BFADHN C[C@@H](N[C@@H]1CCCSC1)c1cccnc1 ZINC000061571764 386520817 /nfs/dbraw/zinc/52/08/17/386520817.db2.gz VZJJUNONSQISNB-ZYHUDNBSSA-N 0 3 222.357 2.628 20 0 BFADHN CO[C@@](C)(CN[C@H](C)c1cnccc1C)C1CC1 ZINC000291192919 386520917 /nfs/dbraw/zinc/52/09/17/386520917.db2.gz LMEDKYDFHKDAKZ-DOMZBBRYSA-N 0 3 248.370 2.856 20 0 BFADHN CCOC[C@H](C)NCc1cccc2c1CCCN2 ZINC000415032961 386521661 /nfs/dbraw/zinc/52/16/61/386521661.db2.gz JRILCKAENAVOND-LBPRGKRZSA-N 0 3 248.370 2.559 20 0 BFADHN CCc1cc(NCCOC)c2ccccc2n1 ZINC000122820658 386523738 /nfs/dbraw/zinc/52/37/38/386523738.db2.gz KDMBKZLZYGONEQ-UHFFFAOYSA-N 0 3 230.311 2.856 20 0 BFADHN c1ccc2c(c1)CNCCN2CC1CCCC1 ZINC000062818274 386526581 /nfs/dbraw/zinc/52/65/81/386526581.db2.gz UISCJFLKEINQPD-UHFFFAOYSA-N 0 3 230.355 2.786 20 0 BFADHN C[C@H](O)CCCNCc1cc(F)cc(Cl)c1 ZINC000227956417 386527522 /nfs/dbraw/zinc/52/75/22/386527522.db2.gz RUBHLKZMADBMKJ-VIFPVBQESA-N 0 3 245.725 2.730 20 0 BFADHN Cc1nc(F)ccc1CN1CC[C@H](C)[C@@H]1C ZINC000278431206 386527536 /nfs/dbraw/zinc/52/75/36/386527536.db2.gz KAESPGRDSRFFKL-ONGXEEELSA-N 0 3 222.307 2.759 20 0 BFADHN C[C@H]1CCN(CCC(F)(F)F)C[C@H]1C ZINC000347578817 386457011 /nfs/dbraw/zinc/45/70/11/386457011.db2.gz BIQXOSJFQFMRNA-DTWKUNHWSA-N 0 3 209.255 2.917 20 0 BFADHN CC(C)(C)[C@@H]1CCN(Cc2n[nH]c(C3CC3)n2)C1 ZINC000347578063 386458077 /nfs/dbraw/zinc/45/80/77/386458077.db2.gz CVICOASZOKANIS-LLVKDONJSA-N 0 3 248.374 2.550 20 0 BFADHN CCSCCCNCc1ncc(C)s1 ZINC000134762201 386458308 /nfs/dbraw/zinc/45/83/08/386458308.db2.gz RMCLKVXNGBGRDE-UHFFFAOYSA-N 0 3 230.402 2.684 20 0 BFADHN CC[C@@](C)(CNCc1cccc(F)c1)OC ZINC000322750729 386460115 /nfs/dbraw/zinc/46/01/15/386460115.db2.gz DHLCZCWXAUBRLN-ZDUSSCGKSA-N 0 3 225.307 2.730 20 0 BFADHN COC[C@H](C)N1CCC[C@@H]1c1ccsc1 ZINC000266656886 386460722 /nfs/dbraw/zinc/46/07/22/386460722.db2.gz KTEZUPHKPLJTEU-CMPLNLGQSA-N 0 3 225.357 2.920 20 0 BFADHN CCN(C)C/C=C\c1ccc(F)c(F)c1 ZINC000347658833 386462825 /nfs/dbraw/zinc/46/28/25/386462825.db2.gz WJTHLSBKZZXFPU-PLNGDYQASA-N 0 3 211.255 2.930 20 0 BFADHN CN(CCc1ccncc1)C[C@H]1CCC(C)(C)O1 ZINC000299548323 386463957 /nfs/dbraw/zinc/46/39/57/386463957.db2.gz FXXPNVIQZWFJRB-CQSZACIVSA-N 0 3 248.370 2.514 20 0 BFADHN Fc1cc(C2CC2)ccc1CN[C@@H]1CCOC1 ZINC000291716911 386574238 /nfs/dbraw/zinc/57/42/38/386574238.db2.gz LZYWPOKMRYSLEN-CYBMUJFWSA-N 0 3 235.302 2.582 20 0 BFADHN CCN(CCCO)Cc1cc2ccccc2o1 ZINC000267719610 386576211 /nfs/dbraw/zinc/57/62/11/386576211.db2.gz YKYJFXJSVCMFTA-UHFFFAOYSA-N 0 3 233.311 2.637 20 0 BFADHN Cc1cc(CN(C)[C@H](C)C2(C)CC2)on1 ZINC000267713373 386576809 /nfs/dbraw/zinc/57/68/09/386576809.db2.gz KWRUOQSUISYRNM-SNVBAGLBSA-N 0 3 208.305 2.603 20 0 BFADHN CCCCN1CCNC[C@H]1c1cccc(F)c1 ZINC000337049811 386583968 /nfs/dbraw/zinc/58/39/68/386583968.db2.gz IRSZUDHYEHUUHQ-AWEZNQCLSA-N 0 3 236.334 2.572 20 0 BFADHN COC[C@@H](C)NCc1cc(F)ccc1SC ZINC000165094434 386584829 /nfs/dbraw/zinc/58/48/29/386584829.db2.gz HRYFNFUNMKTSQS-SECBINFHSA-N 0 3 243.347 2.672 20 0 BFADHN CCc1ncc(CNCCC(C)(C)C2CC2)cn1 ZINC000414459098 386586742 /nfs/dbraw/zinc/58/67/42/386586742.db2.gz NYCMPCGZNZARNO-UHFFFAOYSA-N 0 3 247.386 2.955 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1cccc(Cl)c1 ZINC000336781434 386589073 /nfs/dbraw/zinc/58/90/73/386589073.db2.gz UTCYKGSVTVPXGD-ZRMMWKCHSA-N 0 3 239.746 2.757 20 0 BFADHN Cn1cccc1CN1CCC[C@H]2CCC[C@H]21 ZINC000245554142 386595280 /nfs/dbraw/zinc/59/52/80/386595280.db2.gz GQKAQGYONGBDTG-TZMCWYRMSA-N 0 3 218.344 2.790 20 0 BFADHN C[C@H]1CCC[C@H](C)N1C(=O)CN(C)C(C)(C)C ZINC000335454994 386531483 /nfs/dbraw/zinc/53/14/83/386531483.db2.gz KXJATOXVUJCMOM-RYUDHWBXSA-N 0 3 240.391 2.506 20 0 BFADHN Cn1cccc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000245554141 386596526 /nfs/dbraw/zinc/59/65/26/386596526.db2.gz GQKAQGYONGBDTG-GXTWGEPZSA-N 0 3 218.344 2.790 20 0 BFADHN CCc1ccccc1CN(C)C[C@@H](C)OC ZINC000353204217 386534247 /nfs/dbraw/zinc/53/42/47/386534247.db2.gz RSJDEFJUSKENQD-GFCCVEGCSA-N 0 3 221.344 2.716 20 0 BFADHN FC(F)C1(CNCc2ccsc2)CC1 ZINC000308515536 386542507 /nfs/dbraw/zinc/54/25/07/386542507.db2.gz NFEDWJFKFWTYHJ-UHFFFAOYSA-N 0 3 217.284 2.883 20 0 BFADHN C[C@@H](NCC1(C(F)F)CC1)c1ccncc1F ZINC000340379621 386545704 /nfs/dbraw/zinc/54/57/04/386545704.db2.gz ZKANIJVHLKYQFE-MRVPVSSYSA-N 0 3 244.260 2.917 20 0 BFADHN C[C@H]1CN(CC2CC(F)(F)C2)CC2(CCC2)O1 ZINC000335465939 386551002 /nfs/dbraw/zinc/55/10/02/386551002.db2.gz WYHFWKAYDDQDJC-JTQLQIEISA-N 0 3 245.313 2.675 20 0 BFADHN C=Cn1cc(CN2CC3(CCC3)[C@@H]2C2CC2)cn1 ZINC000291506193 386551671 /nfs/dbraw/zinc/55/16/71/386551671.db2.gz HVNBMOBHOIGNNK-AWEZNQCLSA-N 0 3 243.354 2.748 20 0 BFADHN Cc1nc(CN[C@@H]2CCCC2(C)C)[nH]c1C ZINC000519776516 386553951 /nfs/dbraw/zinc/55/39/51/386553951.db2.gz NSVPOTGJARKSPX-LLVKDONJSA-N 0 3 221.348 2.695 20 0 BFADHN C[C@H](CN(C)Cc1cncn1C)c1ccccc1 ZINC000267569192 386554067 /nfs/dbraw/zinc/55/40/67/386554067.db2.gz CXEFORHIHPHTAL-CYBMUJFWSA-N 0 3 243.354 2.656 20 0 BFADHN CCC1(CN[C@H]2CCCc3occc32)COC1 ZINC000393980264 386556581 /nfs/dbraw/zinc/55/65/81/386556581.db2.gz BCCROPBLCDLQIS-LBPRGKRZSA-N 0 3 235.327 2.673 20 0 BFADHN CCN(CCc1cccs1)Cc1cncn1C ZINC000267608367 386560211 /nfs/dbraw/zinc/56/02/11/386560211.db2.gz NJMZASICFMJPNF-UHFFFAOYSA-N 0 3 249.383 2.546 20 0 BFADHN CC[C@H](NCc1cc(C)n(C)n1)C1CCCC1 ZINC000414456147 386566935 /nfs/dbraw/zinc/56/69/35/386566935.db2.gz HBESNIAWHXBDQB-AWEZNQCLSA-N 0 3 235.375 2.787 20 0 BFADHN COC(=O)c1coc([C@@H](C)N[C@@H]2CC2(C)C)c1 ZINC000278677931 386567703 /nfs/dbraw/zinc/56/77/03/386567703.db2.gz JQCDSODBMXQLKV-LDYMZIIASA-N 0 3 237.299 2.515 20 0 BFADHN C[C@H](O)CCCNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000278699649 386570154 /nfs/dbraw/zinc/57/01/54/386570154.db2.gz QRWJWZRXBMKYRC-MDZLAQPJSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCC2(C)C)nn1 ZINC000414455689 386571264 /nfs/dbraw/zinc/57/12/64/386571264.db2.gz IBFQNQMUFRTOCF-LBPRGKRZSA-N 0 3 233.359 2.701 20 0 BFADHN CC[C@@H](NC[C@@H]1C[C@H]1C1CC1)c1ccn(C)n1 ZINC000453396606 386571349 /nfs/dbraw/zinc/57/13/49/386571349.db2.gz YPCLEESJPIAJQH-RWMBFGLXSA-N 0 3 233.359 2.507 20 0 BFADHN CCC1(C)CN(C[C@H](O)c2ccc(F)cc2)C1 ZINC000291729411 386572558 /nfs/dbraw/zinc/57/25/58/386572558.db2.gz AJEIZAQESDQMOF-ZDUSSCGKSA-N 0 3 237.318 2.591 20 0 BFADHN CCSCCN1CCOC[C@H]1CC1CCC1 ZINC000339774484 386620463 /nfs/dbraw/zinc/62/04/63/386620463.db2.gz HHCOQCDSNKDZSP-CYBMUJFWSA-N 0 3 243.416 2.631 20 0 BFADHN Cc1cc(CCN[C@H]2CCCc3occc32)on1 ZINC000329166115 386622350 /nfs/dbraw/zinc/62/23/50/386622350.db2.gz HWDAXAKSTLGFPB-ZDUSSCGKSA-N 0 3 246.310 2.786 20 0 BFADHN CC(C)C[C@@H](C)CN1CCN(C(C)C)CC1 ZINC000337136341 386622536 /nfs/dbraw/zinc/62/25/36/386622536.db2.gz OHEHUULBFIYBBZ-CQSZACIVSA-N 0 3 226.408 2.695 20 0 BFADHN C[C@@H]1C[C@H]1NCc1cnc(C(C)(C)C)s1 ZINC000062839058 386624686 /nfs/dbraw/zinc/62/46/86/386624686.db2.gz XLBSOIQFDHOMAQ-PSASIEDQSA-N 0 3 224.373 2.939 20 0 BFADHN CC(C)n1nccc1CN(C)CC(C)(C)C ZINC000337146300 386628401 /nfs/dbraw/zinc/62/84/01/386628401.db2.gz YBZNSRYSGOVHPG-UHFFFAOYSA-N 0 3 223.364 2.942 20 0 BFADHN CCCCN1CCOC[C@H]1CC1CCC1 ZINC000339779075 386629899 /nfs/dbraw/zinc/62/98/99/386629899.db2.gz UOYRXWYQRYBQNA-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccccn1)CC(C)C ZINC000417368047 386630038 /nfs/dbraw/zinc/63/00/38/386630038.db2.gz OMXAHODEWURYCA-STQMWFEESA-N 0 3 236.359 2.793 20 0 BFADHN CCOc1cccc(CN(C)C2CC2)c1 ZINC000179303860 386635587 /nfs/dbraw/zinc/63/55/87/386635587.db2.gz MGNOYIDZZQEOOH-UHFFFAOYSA-N 0 3 205.301 2.680 20 0 BFADHN CC[C@H](C)N(C)Cc1ccccc1NC(C)=O ZINC000179325045 386636616 /nfs/dbraw/zinc/63/66/16/386636616.db2.gz PGQQRTHMKXQGJI-NSHDSACASA-N 0 3 234.343 2.875 20 0 BFADHN CN(C/C=C/c1ccccc1)C1(CO)CCC1 ZINC000292258938 386641341 /nfs/dbraw/zinc/64/13/41/386641341.db2.gz YJPGPQUXRHOOOC-WEVVVXLNSA-N 0 3 231.339 2.547 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C3CCCC3)C2)cn1 ZINC000335509849 386643774 /nfs/dbraw/zinc/64/37/74/386643774.db2.gz MPRBOCUGWJJLPM-CQSZACIVSA-N 0 3 245.370 2.797 20 0 BFADHN C[C@H]1c2ccccc2CCN1C[C@H]1CCCO1 ZINC000246287910 386646880 /nfs/dbraw/zinc/64/68/80/386646880.db2.gz BMYAPHDSIWPDCV-GXTWGEPZSA-N 0 3 231.339 2.785 20 0 BFADHN COc1ccncc1CN(C1CCC1)C1CCC1 ZINC000292325005 386650353 /nfs/dbraw/zinc/65/03/53/386650353.db2.gz FRUCHBTZNXXOLE-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN C[C@@H](O)CN[C@H](C)c1cc2cccc(F)c2o1 ZINC000337181978 386650825 /nfs/dbraw/zinc/65/08/25/386650825.db2.gz BCMVOSLHXINMFP-RKDXNWHRSA-N 0 3 237.274 2.603 20 0 BFADHN CCN(Cc1cncn1CC)[C@H](C)C(C)C ZINC000417749830 386651499 /nfs/dbraw/zinc/65/14/99/386651499.db2.gz JXYIDPLTMVEMDU-GFCCVEGCSA-N 0 3 223.364 2.769 20 0 BFADHN CCN(Cc1cncn1CC)[C@@H](C)C(C)C ZINC000417749831 386651731 /nfs/dbraw/zinc/65/17/31/386651731.db2.gz JXYIDPLTMVEMDU-LBPRGKRZSA-N 0 3 223.364 2.769 20 0 BFADHN CCOc1ccc(CNCc2cc[nH]c2)cc1 ZINC000267929161 386600353 /nfs/dbraw/zinc/60/03/53/386600353.db2.gz LQEJBXZJUGQSRK-UHFFFAOYSA-N 0 3 230.311 2.703 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccoc2C)C[C@@H]1C ZINC000414461358 386601352 /nfs/dbraw/zinc/60/13/52/386601352.db2.gz DMTSCQWWZCRARV-SMDDNHRTSA-N 0 3 237.343 2.835 20 0 BFADHN CC[C@@H](C)[C@H](C)N[C@@H](C)c1nccnc1C ZINC000187831774 386605339 /nfs/dbraw/zinc/60/53/39/386605339.db2.gz MXWDDJRVLRZCJP-SCVCMEIPSA-N 0 3 221.348 2.870 20 0 BFADHN Cc1occc1CNC[C@H]1COc2ccccc21 ZINC000414462627 386608303 /nfs/dbraw/zinc/60/83/03/386608303.db2.gz YPMWUEZQDBXDTD-ZDUSSCGKSA-N 0 3 243.306 2.854 20 0 BFADHN CCOc1cccc([C@H](C)N[C@H]2CCO[C@H]2C)c1 ZINC000245903292 386609921 /nfs/dbraw/zinc/60/99/21/386609921.db2.gz BJUOEESJOVDXMC-HUBLWGQQSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1ccc2nc(CN[C@H]3CCC[C@@H]3C)cn2c1 ZINC000268018467 386610758 /nfs/dbraw/zinc/61/07/58/386610758.db2.gz HFNPKJDXLWWXAD-JSGCOSHPSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1csc(CN(C)[C@@H](C)C(C)C)n1 ZINC000268022681 386610784 /nfs/dbraw/zinc/61/07/84/386610784.db2.gz JTIAAMMATRWXDJ-JTQLQIEISA-N 0 3 212.362 2.928 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@H]1CCCC[C@H]1O)C2 ZINC000520234862 386611403 /nfs/dbraw/zinc/61/14/03/386611403.db2.gz HCLBVBJCPWBSAH-GDBMZVCRSA-N 0 3 245.366 2.862 20 0 BFADHN CCCN(Cc1occc1C)[C@@H]1CCN(C)C1 ZINC000648056718 386655087 /nfs/dbraw/zinc/65/50/87/386655087.db2.gz TXDGBRMWVFDTPF-CYBMUJFWSA-N 0 3 236.359 2.504 20 0 BFADHN CC(C)C[C@H](C)CN1CCO[C@H]2CCC[C@H]21 ZINC000337124330 386612826 /nfs/dbraw/zinc/61/28/26/386612826.db2.gz DCRBULUZNMSVJZ-MJBXVCDLSA-N 0 3 225.376 2.922 20 0 BFADHN CO[C@@H](CN(C)Cc1ccsc1)C1CC1 ZINC000644919063 386614031 /nfs/dbraw/zinc/61/40/31/386614031.db2.gz HJRWEYUASWIQAU-LBPRGKRZSA-N 0 3 225.357 2.605 20 0 BFADHN C[C@@H](F)CCN1CCOC[C@H]1CC1CCC1 ZINC000339773477 386618913 /nfs/dbraw/zinc/61/89/13/386618913.db2.gz RYGXLMKDVFTLPG-DGCLKSJQSA-N 0 3 229.339 2.626 20 0 BFADHN Cc1cc(CN2CC[C@H](C(C)(C)C)C2)on1 ZINC000335520953 386659196 /nfs/dbraw/zinc/65/91/96/386659196.db2.gz NPYGKUTZQBRUJJ-NSHDSACASA-N 0 3 222.332 2.851 20 0 BFADHN CCC(CC)CN1CCCc2c(cnn2C)C1 ZINC000414475423 386659952 /nfs/dbraw/zinc/65/99/52/386659952.db2.gz UYWPKNHFHKCVST-UHFFFAOYSA-N 0 3 235.375 2.605 20 0 BFADHN COc1ccc(CN2CCCC23CCC3)cn1 ZINC000335521882 386660939 /nfs/dbraw/zinc/66/09/39/386660939.db2.gz VTVMMRIGUFQQNQ-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2cccc(F)c2)C1 ZINC000279296989 386662117 /nfs/dbraw/zinc/66/21/17/386662117.db2.gz LSVQMEXALCVGJN-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN CC(C)[C@H]1CCN(Cc2cncn2C(C)C)C1 ZINC000425330277 386662278 /nfs/dbraw/zinc/66/22/78/386662278.db2.gz BFIWKFCWJHQACE-ZDUSSCGKSA-N 0 3 235.375 2.942 20 0 BFADHN CSC[C@H]1CCCN(Cc2cc(C)no2)C1 ZINC000292429107 386667119 /nfs/dbraw/zinc/66/71/19/386667119.db2.gz NJVSAHISRCJQPT-NSHDSACASA-N 0 3 240.372 2.558 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@@H]1C)c1cnccn1 ZINC000246668376 386670282 /nfs/dbraw/zinc/67/02/82/386670282.db2.gz FOMBRPWPKLHBSZ-ZDEQEGDKSA-N 0 3 233.359 2.952 20 0 BFADHN CCCCN1CC=C(C(F)(F)F)CC1 ZINC000339825163 386672923 /nfs/dbraw/zinc/67/29/23/386672923.db2.gz NBCXTNYFCNNTOB-UHFFFAOYSA-N 0 3 207.239 2.981 20 0 BFADHN COc1cc(C)nc(CN2[C@H](C)CC[C@@H]2C)c1 ZINC000339828498 386675424 /nfs/dbraw/zinc/67/54/24/386675424.db2.gz WCXFGWSGBCIKHN-TXEJJXNPSA-N 0 3 234.343 2.771 20 0 BFADHN CC1(CC(F)F)CN(C[C@H]2CCSC2)C1 ZINC000648058768 386682765 /nfs/dbraw/zinc/68/27/65/386682765.db2.gz BEQKESDMJDUYIG-SECBINFHSA-N 0 3 235.343 2.717 20 0 BFADHN Cc1ccc(CN(C[C@H](O)C(C)C)C2CC2)o1 ZINC000644990197 386657308 /nfs/dbraw/zinc/65/73/08/386657308.db2.gz HRCRWVHMAPSSOL-AWEZNQCLSA-N 0 3 237.343 2.569 20 0 BFADHN C[C@@H](C[S@](C)=O)N(C)CCCC(C)(C)C ZINC000337214987 386658049 /nfs/dbraw/zinc/65/80/49/386658049.db2.gz XYKWSPCTELOINI-NHYWBVRUSA-N 0 3 233.421 2.512 20 0 BFADHN CO[C@H](C)CN1Cc2ccccc2[C@H]1C ZINC000335520483 386658381 /nfs/dbraw/zinc/65/83/81/386658381.db2.gz XAVZXSPVOFBJLE-GHMZBOCLSA-N 0 3 205.301 2.598 20 0 BFADHN CC(C)=CCN1CCc2ccccc2[C@@H]1CO ZINC000268495288 386658668 /nfs/dbraw/zinc/65/86/68/386658668.db2.gz PYSWUZZPIUTXKN-HNNXBMFYSA-N 0 3 231.339 2.544 20 0 BFADHN CC(C)CN1CCC=C(c2ccccn2)C1 ZINC000647951663 386659170 /nfs/dbraw/zinc/65/91/70/386659170.db2.gz DCQUEVUEZGGFFR-UHFFFAOYSA-N 0 3 216.328 2.827 20 0 BFADHN CCc1ccc([C@H](C)NCCOCC2CC2)o1 ZINC000268873700 386709312 /nfs/dbraw/zinc/70/93/12/386709312.db2.gz GRSLSJZPVYRBPW-NSHDSACASA-N 0 3 237.343 2.919 20 0 BFADHN CCc1ccc(CN2CCN(C)[C@@H](C)[C@H]2C)cc1 ZINC000357076221 386710463 /nfs/dbraw/zinc/71/04/63/386710463.db2.gz WLXPZPKOKBFPNG-UONOGXRCSA-N 0 3 246.398 2.773 20 0 BFADHN c1nc(CNC[C@@H]2CCC[C@@H]2C2CC2)co1 ZINC000414481945 386690334 /nfs/dbraw/zinc/69/03/34/386690334.db2.gz LHGITJSFGGYESA-WCQYABFASA-N 0 3 220.316 2.591 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCC[C@H]1C1CC1 ZINC000414485611 386693706 /nfs/dbraw/zinc/69/37/06/386693706.db2.gz PQBCNSDGUXVROF-JSGCOSHPSA-N 0 3 234.343 2.899 20 0 BFADHN Cc1csc([C@H](C)NCCC[C@@H]2CCO2)n1 ZINC000638504040 386693684 /nfs/dbraw/zinc/69/36/84/386693684.db2.gz BUPOMMZBESMQBF-WDEREUQCSA-N 0 3 240.372 2.671 20 0 BFADHN CC[C@H]1CCCN1CC(=O)Nc1ccc(C)cc1 ZINC000340688435 386695262 /nfs/dbraw/zinc/69/52/62/386695262.db2.gz MZJCIGGRLNCKST-AWEZNQCLSA-N 0 3 246.354 2.808 20 0 BFADHN FC(F)O[C@H]1CCCC[C@H]1NCc1ccco1 ZINC000337282869 386697795 /nfs/dbraw/zinc/69/77/95/386697795.db2.gz IQHYOZNYFBUUEQ-MNOVXSKESA-N 0 3 245.269 2.920 20 0 BFADHN Cc1cc(CN2CCC(C(C)C)CC2)ncn1 ZINC000292610531 386697885 /nfs/dbraw/zinc/69/78/85/386697885.db2.gz XAUHRPSPCGPEJV-UHFFFAOYSA-N 0 3 233.359 2.653 20 0 BFADHN CCOc1cccc([C@@H](C)N[C@H]2CCOC2)c1 ZINC000268812590 386698276 /nfs/dbraw/zinc/69/82/76/386698276.db2.gz BFXYCYOQRLKING-YPMHNXCESA-N 0 3 235.327 2.525 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@H]2C2CC2)no1 ZINC000414484108 386698803 /nfs/dbraw/zinc/69/88/03/386698803.db2.gz NPYRMVJZVLTYNC-JSGCOSHPSA-N 0 3 234.343 2.899 20 0 BFADHN CCOc1cccc([C@H](C)N[C@H]2CCOC2)c1 ZINC000268812583 386699808 /nfs/dbraw/zinc/69/98/08/386699808.db2.gz BFXYCYOQRLKING-AAEUAGOBSA-N 0 3 235.327 2.525 20 0 BFADHN CCc1nnc(CN[C@H](CC)C2CCC2)s1 ZINC000391946244 386699877 /nfs/dbraw/zinc/69/98/77/386699877.db2.gz WQZTYVXHSFVTBD-SNVBAGLBSA-N 0 3 239.388 2.769 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](CO)CC(C)C)o1 ZINC000268831619 386700346 /nfs/dbraw/zinc/70/03/46/386700346.db2.gz KSENCPGRYJQUBP-NWDGAFQWSA-N 0 3 239.359 2.900 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3CCC[C@@H]32)ncn1 ZINC000292618764 386700672 /nfs/dbraw/zinc/70/06/72/386700672.db2.gz XSRNCIORZPACQN-JSGCOSHPSA-N 0 3 231.343 2.550 20 0 BFADHN C[C@H](CO)N[C@@H](C)c1ccc(-c2ccccc2)o1 ZINC000268821776 386701868 /nfs/dbraw/zinc/70/18/68/386701868.db2.gz HUCRPQLBBPBTNZ-NEPJUHHUSA-N 0 3 245.322 2.978 20 0 BFADHN CCc1ccc(CN2CCN(C)[C@H](C)[C@H]2C)cc1 ZINC000357076222 386712115 /nfs/dbraw/zinc/71/21/15/386712115.db2.gz WLXPZPKOKBFPNG-ZIAGYGMSSA-N 0 3 246.398 2.773 20 0 BFADHN CCOc1cccc(CN[C@@H]2CCC[C@@H]2C)n1 ZINC000337284123 386702681 /nfs/dbraw/zinc/70/26/81/386702681.db2.gz JHMDQTMUMZXUPH-WCQYABFASA-N 0 3 234.343 2.759 20 0 BFADHN Cc1c([C@@H](C)NC2CC2)cnn1C(C)(C)C ZINC000191104148 386704348 /nfs/dbraw/zinc/70/43/48/386704348.db2.gz GSLAPPFXIFPUPU-SECBINFHSA-N 0 3 221.348 2.760 20 0 BFADHN CN(CCOCC1CC1)[C@@H]1C[C@H]1c1ccccc1 ZINC000268975532 386731015 /nfs/dbraw/zinc/73/10/15/386731015.db2.gz ORQCJTCEHHSCLG-JKSUJKDBSA-N 0 3 245.366 2.901 20 0 BFADHN CO[C@](C)([C@H](C)NCc1ccoc1C)C1CC1 ZINC000414495359 386732351 /nfs/dbraw/zinc/73/23/51/386732351.db2.gz BKHCMCZAONLFMV-SMDDNHRTSA-N 0 3 237.343 2.881 20 0 BFADHN COc1cc(CN2[C@H](C)CCC[C@H]2C)ccn1 ZINC000339868088 386732920 /nfs/dbraw/zinc/73/29/20/386732920.db2.gz SVAUPSHBMCSQJX-VXGBXAGGSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@H](N[C@@H](C)CCO)c1cc(F)ccc1F ZINC000268997953 386735612 /nfs/dbraw/zinc/73/56/12/386735612.db2.gz IRZYCQKUIGEGKX-ZANVPECISA-N 0 3 243.297 2.776 20 0 BFADHN COc1cc(C)ccc1CN[C@H](C)[C@@H](C)OC ZINC000269009297 386738783 /nfs/dbraw/zinc/73/87/83/386738783.db2.gz MBTUJXFSBKJNAN-VXGBXAGGSA-N 0 3 237.343 2.517 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1ccco1)C1CC1 ZINC000414496203 386738876 /nfs/dbraw/zinc/73/88/76/386738876.db2.gz DBQYOOGDRWKXCV-MFKMUULPSA-N 0 3 223.316 2.573 20 0 BFADHN CCOc1ccccc1[C@H](CC)N[C@@H]1CCOC1 ZINC000268891065 386712648 /nfs/dbraw/zinc/71/26/48/386712648.db2.gz YFKAXTNJISWRED-OCCSQVGLSA-N 0 3 249.354 2.915 20 0 BFADHN C[C@H]1SCCN(Cc2cccc(N)c2)[C@@H]1C ZINC000122291345 386739935 /nfs/dbraw/zinc/73/99/35/386739935.db2.gz LFHUXHJHZXOMHE-GHMZBOCLSA-N 0 3 236.384 2.595 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H]2CCC[C@H]2O)o1 ZINC000268901485 386717018 /nfs/dbraw/zinc/71/70/18/386717018.db2.gz CYAINULBSSFWKI-GMXVVIOVSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1ccc2c(c1)OCCC[C@H]2NCC[C@@H](C)O ZINC000268895714 386717517 /nfs/dbraw/zinc/71/75/17/386717517.db2.gz PCWVIINGOLOLAY-TZMCWYRMSA-N 0 3 249.354 2.569 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](CCO)C(C)C)o1 ZINC000268894923 386718248 /nfs/dbraw/zinc/71/82/48/386718248.db2.gz NOPINUWFONNUQT-WCQYABFASA-N 0 3 239.359 2.900 20 0 BFADHN FC1(CN[C@H]2CCO[C@@H](c3ccccc3)C2)CC1 ZINC000526984891 386718441 /nfs/dbraw/zinc/71/84/41/386718441.db2.gz VLLMBKZIYWERCG-UONOGXRCSA-N 0 3 249.329 2.998 20 0 BFADHN CC[C@@H](CN[C@@H]1CCCOc2ccccc21)OC ZINC000353538395 386718432 /nfs/dbraw/zinc/71/84/32/386718432.db2.gz HQOAGBQQRRPQLZ-GXTWGEPZSA-N 0 3 249.354 2.915 20 0 BFADHN CC[C@H](Nc1ccnc2ccc(C)cc21)[C@H](C)O ZINC000450802754 386718804 /nfs/dbraw/zinc/71/88/04/386718804.db2.gz VKOSMPCVMSXEPS-AAEUAGOBSA-N 0 3 244.338 2.536 20 0 BFADHN FC1(CN[C@@H]2CCO[C@@H](c3ccccc3)C2)CC1 ZINC000526984892 386718881 /nfs/dbraw/zinc/71/88/81/386718881.db2.gz VLLMBKZIYWERCG-ZIAGYGMSSA-N 0 3 249.329 2.998 20 0 BFADHN COc1cc(CN2C[C@H](C)C[C@@H](C)C2)ccn1 ZINC000339867432 386722843 /nfs/dbraw/zinc/72/28/43/386722843.db2.gz RLHCQFLOGHVNSF-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN CCn1cncc1CN1CC[C@@H](CC(C)C)C1 ZINC000417787684 386723647 /nfs/dbraw/zinc/72/36/47/386723647.db2.gz GESYEDVJHXUYOJ-ZDUSSCGKSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@H]2C2CC2)nn1C ZINC000414489492 386725002 /nfs/dbraw/zinc/72/50/02/386725002.db2.gz WGPSXIFLXZOSJD-HIFRSBDPSA-N 0 3 247.386 2.644 20 0 BFADHN CCOC[C@H](C)N[C@H]1CCOc2ccccc21 ZINC000044689772 386727381 /nfs/dbraw/zinc/72/73/81/386727381.db2.gz OLJWZUJJPUKMLL-AAEUAGOBSA-N 0 3 235.327 2.525 20 0 BFADHN C[C@H](NCCNc1ccccn1)c1ccsc1 ZINC000269051303 386740517 /nfs/dbraw/zinc/74/05/17/386740517.db2.gz RDGZXFNMIMGGKP-NSHDSACASA-N 0 3 247.367 2.906 20 0 BFADHN Cc1ccc(CNC(C)(C)COC(C)C)o1 ZINC000396351040 386729621 /nfs/dbraw/zinc/72/96/21/386729621.db2.gz GMTKVONYXHNBGG-UHFFFAOYSA-N 0 3 225.332 2.881 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1ccoc1C)C1CC1 ZINC000414495349 386729858 /nfs/dbraw/zinc/72/98/58/386729858.db2.gz BKHCMCZAONLFMV-BXUZGUMPSA-N 0 3 237.343 2.881 20 0 BFADHN CC1(C)SC[C@H]1NCc1ccc2c[nH]nc2c1 ZINC000334154450 386751533 /nfs/dbraw/zinc/75/15/33/386751533.db2.gz RMINQZUONQZROS-GFCCVEGCSA-N 0 3 247.367 2.547 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)ncn1 ZINC000292926838 386751566 /nfs/dbraw/zinc/75/15/66/386751566.db2.gz CEVHSPKPEBKZIY-KGLIPLIRSA-N 0 3 245.370 2.796 20 0 BFADHN CCOC[C@@H](C)Nc1cccc(CN(C)C)c1 ZINC000165138686 386753071 /nfs/dbraw/zinc/75/30/71/386753071.db2.gz XRNUPMBXTCIOCC-GFCCVEGCSA-N 0 3 236.359 2.585 20 0 BFADHN CSC[C@@H](C)NCc1ccc(F)cc1 ZINC000124997733 386754451 /nfs/dbraw/zinc/75/44/51/386754451.db2.gz VWNAWRJYANFBEH-SECBINFHSA-N 0 3 213.321 2.667 20 0 BFADHN CC(C)[C@H]1CCC[C@H](NCc2cocn2)C1 ZINC000192307485 386755542 /nfs/dbraw/zinc/75/55/42/386755542.db2.gz UOQGSPADYNVZCP-RYUDHWBXSA-N 0 3 222.332 2.979 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1nc(C)cs1 ZINC000336664150 386755619 /nfs/dbraw/zinc/75/56/19/386755619.db2.gz UPUOCCNNFPQEQL-VHSXEESVSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1ccc(NC(=O)CN2CC[C@@H](C)[C@H]2C)cc1 ZINC000248344244 386756130 /nfs/dbraw/zinc/75/61/30/386756130.db2.gz LIAJAYWRHWJTJR-CHWSQXEVSA-N 0 3 246.354 2.664 20 0 BFADHN C[C@H](C(=O)Nc1ccccc1)N1CC[C@@H](C)[C@@H]1C ZINC000248344702 386756712 /nfs/dbraw/zinc/75/67/12/386756712.db2.gz LXJSFCARDFFBIW-FRRDWIJNSA-N 0 3 246.354 2.744 20 0 BFADHN CCCN(CCN(C)C)C(=O)CCC(C)(C)C ZINC000344834707 386757477 /nfs/dbraw/zinc/75/74/77/386757477.db2.gz SELFEAFPUFMUCR-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2ccc3c(c2)OCCO3)C1 ZINC000353746336 386767751 /nfs/dbraw/zinc/76/77/51/386767751.db2.gz KCKNOHAWWSUXQC-RYUDHWBXSA-N 0 3 247.338 2.688 20 0 BFADHN COC[C@@H](N[C@@H]1COC(C)(C)C1)c1ccccc1 ZINC000396513366 386769058 /nfs/dbraw/zinc/76/90/58/386769058.db2.gz CJKQEGLAZGZLGI-UONOGXRCSA-N 0 3 249.354 2.531 20 0 BFADHN C[C@@H]1SCCN(Cc2cccc(N)c2)[C@H]1C ZINC000122291151 386741471 /nfs/dbraw/zinc/74/14/71/386741471.db2.gz LFHUXHJHZXOMHE-QWRGUYRKSA-N 0 3 236.384 2.595 20 0 BFADHN CN(Cc1ccnn1-c1ccccc1)C1CCC1 ZINC000279830712 386742781 /nfs/dbraw/zinc/74/27/81/386742781.db2.gz NFEBFXVDFPVELG-UHFFFAOYSA-N 0 3 241.338 2.857 20 0 BFADHN COC[C@@H](N[C@H]1COC(C)(C)C1)c1ccccc1 ZINC000396513368 386769221 /nfs/dbraw/zinc/76/92/21/386769221.db2.gz CJKQEGLAZGZLGI-ZIAGYGMSSA-N 0 3 249.354 2.531 20 0 BFADHN C[C@H](N[C@@H]1CSC1(C)C)c1ccccn1 ZINC000282975481 386791460 /nfs/dbraw/zinc/79/14/60/386791460.db2.gz DLLRCMKUBPSNLR-GXSJLCMTSA-N 0 3 222.357 2.626 20 0 BFADHN Cc1occc1CN(C)C[C@H](O)CCC(C)C ZINC000280239081 386794377 /nfs/dbraw/zinc/79/43/77/386794377.db2.gz HWGSMSZGHBONMV-CQSZACIVSA-N 0 3 239.359 2.817 20 0 BFADHN c1cc(CN2CCSC3(CCC3)CC2)ccn1 ZINC000334636813 386771863 /nfs/dbraw/zinc/77/18/63/386771863.db2.gz LPOHWECKQQDLPK-UHFFFAOYSA-N 0 3 248.395 2.943 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCC[C@@H]2C)nn1 ZINC000396537318 386773211 /nfs/dbraw/zinc/77/32/11/386773211.db2.gz JNJHIYPRXJYHNU-WCQYABFASA-N 0 3 233.359 2.701 20 0 BFADHN CO[C@@H](C)CNCc1ccc(Cl)c(F)c1 ZINC000191201934 386774070 /nfs/dbraw/zinc/77/40/70/386774070.db2.gz RAPVHCAHYNHUGR-QMMMGPOBSA-N 0 3 231.698 2.604 20 0 BFADHN C[C@H](N[C@H]1CSC1(C)C)c1cncc(F)c1 ZINC000282901091 386774277 /nfs/dbraw/zinc/77/42/77/386774277.db2.gz UEZADDMWRWEYSP-KWQFWETISA-N 0 3 240.347 2.765 20 0 BFADHN CC[C@H](COC)NCc1cc(C)cc(Cl)n1 ZINC000282893492 386775289 /nfs/dbraw/zinc/77/52/89/386775289.db2.gz WKHIYXUZFQGBPS-SNVBAGLBSA-N 0 3 242.750 2.558 20 0 BFADHN CC(C)[C@H](NCc1ccco1)C1(CO)CC=CC1 ZINC000648070033 386775504 /nfs/dbraw/zinc/77/55/04/386775504.db2.gz WXPHAFAXMUBYNC-AWEZNQCLSA-N 0 3 249.354 2.723 20 0 BFADHN C[C@@H](CO)CN[C@@H](C)c1csc(Cl)c1 ZINC000191204286 386776113 /nfs/dbraw/zinc/77/61/13/386776113.db2.gz WYGXEQMZPUTHAT-SFYZADRCSA-N 0 3 233.764 2.681 20 0 BFADHN CO[C@H](C)CNCc1cc(Cl)cs1 ZINC000191209981 386776622 /nfs/dbraw/zinc/77/66/22/386776622.db2.gz GHKNTQBHPLGCGE-SSDOTTSWSA-N 0 3 219.737 2.526 20 0 BFADHN CC(F)(F)c1ccc(CN[C@@H]2CCOC2)cc1 ZINC000293115168 386777971 /nfs/dbraw/zinc/77/79/71/386777971.db2.gz YRXKJCGNPVTDLG-GFCCVEGCSA-N 0 3 241.281 2.677 20 0 BFADHN CN(Cc1nn(C)c2ccccc12)C(C)(C)C ZINC000648070342 386779590 /nfs/dbraw/zinc/77/95/90/386779590.db2.gz STCPCCHIKBNJHR-UHFFFAOYSA-N 0 3 231.343 2.804 20 0 BFADHN C[C@H](NC1CC(C(C)(C)C)C1)c1ncc[nH]1 ZINC000340452100 386780106 /nfs/dbraw/zinc/78/01/06/386780106.db2.gz SNVLJAFTHPPPNQ-WHXUTIOJSA-N 0 3 221.348 2.885 20 0 BFADHN CC[C@@H]1CN(C)CCN1CCc1cccc(C)c1 ZINC000520725054 386782095 /nfs/dbraw/zinc/78/20/95/386782095.db2.gz IPDRDGCISMQGJT-MRXNPFEDSA-N 0 3 246.398 2.564 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2CSC2(C)C)c1 ZINC000282988747 386785031 /nfs/dbraw/zinc/78/50/31/386785031.db2.gz FILUEDJZQJOTNA-CMPLNLGQSA-N 0 3 236.384 2.935 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@H](CO)C2)o1 ZINC000269373527 386785391 /nfs/dbraw/zinc/78/53/91/386785391.db2.gz UZEOSDLVZGTNHG-DYEKYZERSA-N 0 3 249.354 2.607 20 0 BFADHN CCc1cccc(CN(C)C[C@H](C)OC)c1 ZINC000353321964 386786458 /nfs/dbraw/zinc/78/64/58/386786458.db2.gz YOTGGUZRLDLGPJ-LBPRGKRZSA-N 0 3 221.344 2.716 20 0 BFADHN CC(C)[C@H]1N(Cc2cnn(C)c2)CC12CC=CC2 ZINC000648072359 386788233 /nfs/dbraw/zinc/78/82/33/386788233.db2.gz ZPRGYXDLWACNJD-CQSZACIVSA-N 0 3 245.370 2.597 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cnn2ccccc12 ZINC000395338595 386817572 /nfs/dbraw/zinc/81/75/72/386817572.db2.gz XHGSHYRLIMAVOR-TZMCWYRMSA-N 0 3 243.354 2.859 20 0 BFADHN COC(=O)c1ccc(CN[C@@]2(C)CC=CCC2)o1 ZINC000398390327 386817969 /nfs/dbraw/zinc/81/79/69/386817969.db2.gz WUGRDXLPNHGBJU-AWEZNQCLSA-N 0 3 249.310 2.655 20 0 BFADHN CCSCCNCc1ccc(C)c(F)c1 ZINC000336672688 386820141 /nfs/dbraw/zinc/82/01/41/386820141.db2.gz GKGQAKJWRIOGED-UHFFFAOYSA-N 0 3 227.348 2.977 20 0 BFADHN c1ccc([C@H]2C[C@H](NCc3cncnc3)C2)cc1 ZINC000280551399 386821076 /nfs/dbraw/zinc/82/10/76/386821076.db2.gz DWTHXYZCDVPUCZ-SHTZXODSSA-N 0 3 239.322 2.512 20 0 BFADHN CCn1cc([C@H](C)NC2CC(C)(C)C2)cn1 ZINC000395254497 386798995 /nfs/dbraw/zinc/79/89/95/386798995.db2.gz GMOMHJRYJQEVSD-JTQLQIEISA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1nccn1CC ZINC000357530266 386800732 /nfs/dbraw/zinc/80/07/32/386800732.db2.gz OSXDIKCQYLJFNO-RYUDHWBXSA-N 0 3 221.348 2.666 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2F)[C@H]1C ZINC000293328931 386802076 /nfs/dbraw/zinc/80/20/76/386802076.db2.gz GLMTZPWYSSPBKQ-MNOVXSKESA-N 0 3 207.292 2.708 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1cc(C)nn1C ZINC000417995210 386803405 /nfs/dbraw/zinc/80/34/05/386803405.db2.gz RSIHFBMPSVVSOY-VIFPVBQESA-N 0 3 249.280 2.549 20 0 BFADHN C[C@H](NC[C@@H]1CCC(F)(F)C1)c1cnccn1 ZINC000280320007 386804869 /nfs/dbraw/zinc/80/48/69/386804869.db2.gz DJLKXFDLPPKVJH-VHSXEESVSA-N 0 3 241.285 2.563 20 0 BFADHN Cc1ccc(OCCN[C@H]2COC(C)(C)C2)cc1 ZINC000417860445 386805341 /nfs/dbraw/zinc/80/53/41/386805341.db2.gz VEXCWXVOJBEPSR-CYBMUJFWSA-N 0 3 249.354 2.531 20 0 BFADHN CCC[C@H](CC)NC(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000344938853 386809423 /nfs/dbraw/zinc/80/94/23/386809423.db2.gz XKVZKPACKXIDBS-AVGNSLFASA-N 0 3 240.391 2.554 20 0 BFADHN C[C@@H](O)CNC1(c2ccc(Cl)cc2)CCC1 ZINC000192994588 386809687 /nfs/dbraw/zinc/80/96/87/386809687.db2.gz LKIITQDUXYXSQO-SNVBAGLBSA-N 0 3 239.746 2.690 20 0 BFADHN CN(C)CCNc1cc2ccccc2c(Cl)n1 ZINC000301082894 386814613 /nfs/dbraw/zinc/81/46/13/386814613.db2.gz NCPNWXUDWNACMY-UHFFFAOYSA-N 0 3 249.745 2.862 20 0 BFADHN COC[C@H]1CCN1Cc1ccc(C)c(C)c1 ZINC000293422254 386815283 /nfs/dbraw/zinc/81/52/83/386815283.db2.gz ANPWEZMEHRMYAE-CQSZACIVSA-N 0 3 219.328 2.524 20 0 BFADHN Cc1cnc(CNC2C[C@@H](C)C[C@H](C)C2)n1C ZINC000341006032 386815770 /nfs/dbraw/zinc/81/57/70/386815770.db2.gz GNNSCGOLIJFCQP-QWRGUYRKSA-N 0 3 235.375 2.643 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2ncc(C)n2C)C1 ZINC000341053343 386830652 /nfs/dbraw/zinc/83/06/52/386830652.db2.gz UACDZCLFZNGDCY-STQMWFEESA-N 0 3 235.375 2.787 20 0 BFADHN CCCCCN(C)Cc1conc1CC ZINC000293550740 386835621 /nfs/dbraw/zinc/83/56/21/386835621.db2.gz ZBLVNJKGRCBWCR-UHFFFAOYSA-N 0 3 210.321 2.859 20 0 BFADHN CCC[C@H](C)N(C)Cc1conc1CC ZINC000293553407 386835655 /nfs/dbraw/zinc/83/56/55/386835655.db2.gz ZIYDRQPHLRLFTE-JTQLQIEISA-N 0 3 210.321 2.857 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccco1)CC(C)C ZINC000417388446 386835808 /nfs/dbraw/zinc/83/58/08/386835808.db2.gz ZSJSSXQBRBPLGS-NEPJUHHUSA-N 0 3 225.332 2.991 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)n1C ZINC000341107458 386839627 /nfs/dbraw/zinc/83/96/27/386839627.db2.gz BUKCESGWRNILPH-KGYLQXTDSA-N 0 3 235.375 2.643 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)[C@@H]1C)c1ccccn1 ZINC000336673969 386840298 /nfs/dbraw/zinc/84/02/98/386840298.db2.gz GWZMPIYNXRQUBL-MRBYEJRBSA-N 0 3 204.317 2.777 20 0 BFADHN CC1(C)CCCN(CCO[C@H]2CCCCO2)C1 ZINC000193054278 386840566 /nfs/dbraw/zinc/84/05/66/386840566.db2.gz VBEKDZDEPHLUOL-ZDUSSCGKSA-N 0 3 241.375 2.652 20 0 BFADHN C[C@H]1c2ccccc2CN1C[C@@H]1CCCO1 ZINC000336471698 386841279 /nfs/dbraw/zinc/84/12/79/386841279.db2.gz QMMLWKRSAMIMEY-AAEUAGOBSA-N 0 3 217.312 2.742 20 0 BFADHN CC[C@@H]1CCCN1Cc1cncn1C(C)C ZINC000425332746 386844399 /nfs/dbraw/zinc/84/43/99/386844399.db2.gz ZSSDLBVZRALMSU-GFCCVEGCSA-N 0 3 221.348 2.838 20 0 BFADHN FC(F)(F)C1(CNCc2ccccn2)CC1 ZINC000336674669 386847647 /nfs/dbraw/zinc/84/76/47/386847647.db2.gz KLRKIGASCVQQSO-UHFFFAOYSA-N 0 3 230.233 2.514 20 0 BFADHN CS[C@H]1CC[C@H]1N[C@H](C)c1cccc(O)c1 ZINC000425336106 386850312 /nfs/dbraw/zinc/85/03/12/386850312.db2.gz JRGBRHLGWKTCLE-WQAKAFBOSA-N 0 3 237.368 2.937 20 0 BFADHN c1nc(CN[C@@H]2CCC[C@H]2C2CC2)cs1 ZINC000336674439 386850652 /nfs/dbraw/zinc/85/06/52/386850652.db2.gz AFBJGRLVHJHOAL-NWDGAFQWSA-N 0 3 222.357 2.811 20 0 BFADHN COC[C@@H]1CCN1Cc1c(C)oc2ccccc21 ZINC000293626095 386852671 /nfs/dbraw/zinc/85/26/71/386852671.db2.gz LBXYCHMFGNLSFJ-LBPRGKRZSA-N 0 3 245.322 2.962 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3CC[C@H](C)C3)cn2c1 ZINC000341362416 386893134 /nfs/dbraw/zinc/89/31/34/386893134.db2.gz PTJVNGMPXLWOIV-WCQYABFASA-N 0 3 243.354 2.921 20 0 BFADHN CC/C=C\CCN(CC)Cc1cnn(C)c1 ZINC000341316318 386893714 /nfs/dbraw/zinc/89/37/14/386893714.db2.gz JFRYSPCRMKOQJW-SREVYHEPSA-N 0 3 221.348 2.598 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1ccc(Cl)cn1 ZINC000425345028 386855897 /nfs/dbraw/zinc/85/58/97/386855897.db2.gz JOZFHGATYVJSBL-GHMZBOCLSA-N 0 3 242.775 2.719 20 0 BFADHN CC1(C)CN(Cc2ccon2)[C@H]2CCC[C@H]21 ZINC000335744228 386863165 /nfs/dbraw/zinc/86/31/65/386863165.db2.gz CVELFGSPXJORKH-NEPJUHHUSA-N 0 3 220.316 2.685 20 0 BFADHN CC[C@H](N[C@H]1C=C[C@H](CO)C1)c1ccccc1F ZINC000341246252 386866105 /nfs/dbraw/zinc/86/61/05/386866105.db2.gz HIOZGWJYXMCWAO-HUBLWGQQSA-N 0 3 249.329 2.803 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@H]1C=C[C@H](CO)C1)CC2 ZINC000341247734 386868071 /nfs/dbraw/zinc/86/80/71/386868071.db2.gz SSANPMNTTHBTSL-DUVNUKRYSA-N 0 3 243.350 2.509 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@H](C(C)(C)C)C1 ZINC000335747529 386871490 /nfs/dbraw/zinc/87/14/90/386871490.db2.gz JOSSVTHTUQOATA-NEPJUHHUSA-N 0 3 233.359 2.906 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](F)C1)c1ccc(F)cn1 ZINC000336739240 386876571 /nfs/dbraw/zinc/87/65/71/386876571.db2.gz LBTZNHYHRFFDMV-ATZCPNFKSA-N 0 3 226.270 2.762 20 0 BFADHN Cc1cnc(CN[C@@H]2CCCCC2(C)C)n1C ZINC000341279533 386876856 /nfs/dbraw/zinc/87/68/56/386876856.db2.gz JXFXRAQTEOVNTC-GFCCVEGCSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1ccc(CN2CC(C)(C)[C@H]3CCC[C@H]32)nn1 ZINC000334836156 386877256 /nfs/dbraw/zinc/87/72/56/386877256.db2.gz WVKDRLAFBKVQTQ-UONOGXRCSA-N 0 3 245.370 2.796 20 0 BFADHN Cc1cnc(CN[C@H]2CCCCC2(C)C)n1C ZINC000341279536 386877611 /nfs/dbraw/zinc/87/76/11/386877611.db2.gz JXFXRAQTEOVNTC-LBPRGKRZSA-N 0 3 235.375 2.787 20 0 BFADHN C[C@@H]1C[C@H]1CN[C@@H]1CCOc2ccc(F)cc21 ZINC000358011295 386878458 /nfs/dbraw/zinc/87/84/58/386878458.db2.gz ZFMLOGPAKGAPEZ-GBIKHYSHSA-N 0 3 235.302 2.895 20 0 BFADHN CCc1cc(N(C)CCOC)c2ccccc2n1 ZINC000301812903 386879547 /nfs/dbraw/zinc/87/95/47/386879547.db2.gz YHYQEAQGFCHZIB-UHFFFAOYSA-N 0 3 244.338 2.880 20 0 BFADHN COC[C@@H]1CCN1C/C(C)=C/c1ccccc1 ZINC000293792383 386879810 /nfs/dbraw/zinc/87/98/10/386879810.db2.gz ZZRKMRQJAMWBID-VOMSXAGXSA-N 0 3 231.339 2.811 20 0 BFADHN COC[C@H]1CCN1C/C(C)=C/c1ccccc1 ZINC000293792381 386880518 /nfs/dbraw/zinc/88/05/18/386880518.db2.gz ZZRKMRQJAMWBID-NRMKIYEFSA-N 0 3 231.339 2.811 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@H]1CCCOc2ccccc21 ZINC000341324986 386880830 /nfs/dbraw/zinc/88/08/30/386880830.db2.gz DPSGFVHMMAJLMI-DYEKYZERSA-N 0 3 249.354 2.649 20 0 BFADHN CC1=CCCN([C@H](C)c2cccnc2)C1 ZINC000335754029 386880918 /nfs/dbraw/zinc/88/09/18/386880918.db2.gz IHHQFIONOSESOF-GFCCVEGCSA-N 0 3 202.301 2.795 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)n(C)n1 ZINC000418009071 386883469 /nfs/dbraw/zinc/88/34/69/386883469.db2.gz HRVPAQIGWUHTPH-NQBHXWOUSA-N 0 3 235.375 2.595 20 0 BFADHN CCC1CC(N[C@@H](C)c2cnn(C)c2C)C1 ZINC000336739538 386884031 /nfs/dbraw/zinc/88/40/31/386884031.db2.gz PGSUSGWCZGKJKR-GCVQQVDUSA-N 0 3 221.348 2.568 20 0 BFADHN CCc1ncc(CN2C[C@H](C)CC[C@H]2C)cn1 ZINC000334840457 386884858 /nfs/dbraw/zinc/88/48/58/386884858.db2.gz GWDALJQXKUEYAT-VXGBXAGGSA-N 0 3 233.359 2.659 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCC[C@H](C)[C@H]2C)n1C ZINC000341342367 386884955 /nfs/dbraw/zinc/88/49/55/386884955.db2.gz LQAIYWYIRHUTAW-YUTCNCBUSA-N 0 3 249.402 2.890 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCC[C@@H](C)[C@@H]2C)n1C ZINC000341342364 386885706 /nfs/dbraw/zinc/88/57/06/386885706.db2.gz LQAIYWYIRHUTAW-XBFCOCLRSA-N 0 3 249.402 2.890 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C)[C@@H](C)C2)cn1 ZINC000334842239 386888846 /nfs/dbraw/zinc/88/88/46/386888846.db2.gz VTNMNQXWOJCLAO-NEPJUHHUSA-N 0 3 233.359 2.517 20 0 BFADHN CCC[C@H]1CCCCN1C(=O)CN(C)CCC ZINC000349590961 386890971 /nfs/dbraw/zinc/89/09/71/386890971.db2.gz TXPHFICWIOHRSD-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@H](N[C@H](C)c1cnccc1C)[C@H]1CCCO1 ZINC000358220675 386915229 /nfs/dbraw/zinc/91/52/29/386915229.db2.gz KNXGUUZOUWZTCH-VHDGCEQUSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@H](N[C@H](C)c1cnccc1C)[C@@H]1CCCO1 ZINC000358220674 386915343 /nfs/dbraw/zinc/91/53/43/386915343.db2.gz KNXGUUZOUWZTCH-SNPRPXQTSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@H](N[C@@H](C)c1cnccc1C)[C@H]1CCCO1 ZINC000358220666 386915380 /nfs/dbraw/zinc/91/53/80/386915380.db2.gz KNXGUUZOUWZTCH-AEGPPILISA-N 0 3 248.370 2.998 20 0 BFADHN Cc1noc(C)c1CCN1CCCC2(CC2)C1 ZINC000335770201 386915897 /nfs/dbraw/zinc/91/58/97/386915897.db2.gz BABKDBQDZYRYES-UHFFFAOYSA-N 0 3 234.343 2.710 20 0 BFADHN Cc1occc1CN1CCC(c2ncc[nH]2)CC1 ZINC000334848610 386896661 /nfs/dbraw/zinc/89/66/61/386896661.db2.gz MXAMMRPVPJVAEA-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN CCc1nnc(CN2CCCC23CCCCC3)[nH]1 ZINC000335764914 386906425 /nfs/dbraw/zinc/90/64/25/386906425.db2.gz JPDWVOFYATWWMW-UHFFFAOYSA-N 0 3 248.374 2.666 20 0 BFADHN CCc1nnc(C[N@@H+]2CCCC23CCCCC3)[n-]1 ZINC000335764914 386906429 /nfs/dbraw/zinc/90/64/29/386906429.db2.gz JPDWVOFYATWWMW-UHFFFAOYSA-N 0 3 248.374 2.666 20 0 BFADHN CCc1nnc(C[N@H+]2CCCC23CCCCC3)[n-]1 ZINC000335764914 386906434 /nfs/dbraw/zinc/90/64/34/386906434.db2.gz JPDWVOFYATWWMW-UHFFFAOYSA-N 0 3 248.374 2.666 20 0 BFADHN Cc1nn(C(C)C)cc1CN1CCCCC1 ZINC000335775372 386906700 /nfs/dbraw/zinc/90/67/00/386906700.db2.gz USCIWXVVRTXIDJ-UHFFFAOYSA-N 0 3 221.348 2.758 20 0 BFADHN Cc1ccc2nccc(N(C)CC(C)(C)O)c2c1 ZINC000302173387 386910650 /nfs/dbraw/zinc/91/06/50/386910650.db2.gz VSFYTTBNZULVQF-UHFFFAOYSA-N 0 3 244.338 2.750 20 0 BFADHN Cc1ccc(CN(C)C2CCCCCC2)nn1 ZINC000334859021 386911094 /nfs/dbraw/zinc/91/10/94/386911094.db2.gz INCKITSWMQTXQZ-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN Cc1occc1CN1C[C@H](C(N)=O)CC[C@H]1C ZINC000334857731 386911813 /nfs/dbraw/zinc/91/18/13/386911813.db2.gz YYKCNGSQIZJDOY-BXKDBHETSA-N 0 3 236.315 2.724 20 0 BFADHN COCC[C@H](C)N[C@@H](C)c1ccc(Cl)cn1 ZINC000336762140 386921576 /nfs/dbraw/zinc/92/15/76/386921576.db2.gz LDRQXJFLATXBNT-UWVGGRQHSA-N 0 3 242.750 2.811 20 0 BFADHN Cc1ccoc1CNC[C@]1(C)CCO[C@@H]1C1CC1 ZINC000341535870 386922583 /nfs/dbraw/zinc/92/25/83/386922583.db2.gz AEZJIBCSXTVOBZ-CABCVRRESA-N 0 3 249.354 2.883 20 0 BFADHN Cc1ccoc1CNC[C@@]1(C)CCO[C@H]1C1CC1 ZINC000341535874 386923732 /nfs/dbraw/zinc/92/37/32/386923732.db2.gz AEZJIBCSXTVOBZ-LSDHHAIUSA-N 0 3 249.354 2.883 20 0 BFADHN Cc1ccc(CNC2(C)CCC(C)CC2)nn1 ZINC000334866922 386924384 /nfs/dbraw/zinc/92/43/84/386924384.db2.gz HUWVRNOVSKAGPA-UHFFFAOYSA-N 0 3 233.359 2.843 20 0 BFADHN CC(C)Cn1nccc1CN1C[C@@H](C)C[C@@H]1C ZINC000648009923 386927705 /nfs/dbraw/zinc/92/77/05/386927705.db2.gz CFVCQYZKPCCKAU-STQMWFEESA-N 0 3 235.375 2.769 20 0 BFADHN CC[C@H](C)N(C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000334869221 386928637 /nfs/dbraw/zinc/92/86/37/386928637.db2.gz AZLBSGFXGBKBGV-JTQLQIEISA-N 0 3 247.342 2.552 20 0 BFADHN CCC[C@H](C)NCc1cnnn1-c1ccccc1 ZINC000645467738 386932797 /nfs/dbraw/zinc/93/27/97/386932797.db2.gz HFVZKYSFXOZKGT-LBPRGKRZSA-N 0 3 244.342 2.546 20 0 BFADHN Cc1occc1CN1CC[C@@H](O)CC(C)(C)C1 ZINC000334872851 386933391 /nfs/dbraw/zinc/93/33/91/386933391.db2.gz NNQUTPADCARFDH-CYBMUJFWSA-N 0 3 237.343 2.571 20 0 BFADHN CN(Cc1nc2cccnc2s1)CC1CCC1 ZINC000341611259 386935930 /nfs/dbraw/zinc/93/59/30/386935930.db2.gz HCERFRHMPXXWBB-UHFFFAOYSA-N 0 3 247.367 2.923 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](c1cccnc1)C1CC1 ZINC000358375932 386944939 /nfs/dbraw/zinc/94/49/39/386944939.db2.gz QVKAVDUEPBPLCS-WDMOLILDSA-N 0 3 234.343 2.546 20 0 BFADHN CC[C@H](NCc1ccnc(OC)n1)C1CCCC1 ZINC000395142469 386945149 /nfs/dbraw/zinc/94/51/49/386945149.db2.gz ZGUMUJBLWBFEJL-ZDUSSCGKSA-N 0 3 249.358 2.544 20 0 BFADHN Cc1ccc(F)c(CN[C@@H](C)CCCCO)c1 ZINC000398677334 386945442 /nfs/dbraw/zinc/94/54/42/386945442.db2.gz MVZXQMHVHCEYKD-LBPRGKRZSA-N 0 3 239.334 2.775 20 0 BFADHN COCC[C@@H](C)N[C@H]1CCCc2scnc21 ZINC000623883086 386962190 /nfs/dbraw/zinc/96/21/90/386962190.db2.gz RGIKTEABZSGGHP-ZJUUUORDSA-N 0 3 240.372 2.535 20 0 BFADHN Cc1nc(CCN2CCC23CCC3)cs1 ZINC000334890092 386962716 /nfs/dbraw/zinc/96/27/16/386962716.db2.gz CQMJLXUJPOVLSN-UHFFFAOYSA-N 0 3 222.357 2.622 20 0 BFADHN Cc1ccc(C)c(NC(=O)[C@@H]2C[C@H](C)CCN2)c1 ZINC000125986139 386963371 /nfs/dbraw/zinc/96/33/71/386963371.db2.gz HPCMCTUNPOGSEG-RISCZKNCSA-N 0 3 246.354 2.630 20 0 BFADHN Cc1cc(C)cc(NC(=O)[C@@H]2C[C@H](C)CCN2)c1 ZINC000126010801 386966647 /nfs/dbraw/zinc/96/66/47/386966647.db2.gz FABPUYILNVBALQ-YGRLFVJLSA-N 0 3 246.354 2.630 20 0 BFADHN Cc1cc(C)cc(NC(=O)[C@@H]2C[C@@H](C)CCN2)c1 ZINC000126011273 386967028 /nfs/dbraw/zinc/96/70/28/386967028.db2.gz FABPUYILNVBALQ-HZMBPMFUSA-N 0 3 246.354 2.630 20 0 BFADHN C[C@H](N[C@H]1CCCc2cn[nH]c21)c1ccoc1 ZINC000368424169 386971291 /nfs/dbraw/zinc/97/12/91/386971291.db2.gz HQLZCRROCJESED-CABZTGNLSA-N 0 3 231.299 2.731 20 0 BFADHN CO[C@@H]1CCC[C@H]1CN[C@@H](C)c1ccccn1 ZINC000341888637 386984326 /nfs/dbraw/zinc/98/43/26/386984326.db2.gz LKFXKASHPWUCIB-SGMGOOAPSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@@H](C)[C@@H](C)[NH2+]Cc1nnc(C(C)(C)C)[n-]1 ZINC000331195139 386986919 /nfs/dbraw/zinc/98/69/19/386986919.db2.gz NEJWFQORSORBQP-NXEZZACHSA-N 0 3 238.379 2.626 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1nnc(C(C)(C)C)[nH]1 ZINC000331195139 386986925 /nfs/dbraw/zinc/98/69/25/386986925.db2.gz NEJWFQORSORBQP-NXEZZACHSA-N 0 3 238.379 2.626 20 0 BFADHN Cc1ccsc1CCN[C@H](C)c1nccn1C ZINC000341906382 386988412 /nfs/dbraw/zinc/98/84/12/386988412.db2.gz MHDDOYDMSMQZBT-LLVKDONJSA-N 0 3 249.383 2.683 20 0 BFADHN CC[C@H]1CCC[C@@H]1[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000331205104 386988967 /nfs/dbraw/zinc/98/89/67/386988967.db2.gz XOWLCXNLCOLMPU-QWRGUYRKSA-N 0 3 236.363 2.596 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1nnc(C(C)C)[nH]1 ZINC000331205104 386988973 /nfs/dbraw/zinc/98/89/73/386988973.db2.gz XOWLCXNLCOLMPU-QWRGUYRKSA-N 0 3 236.363 2.596 20 0 BFADHN CC[C@H]1CCC[C@H]1[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000331205105 386989796 /nfs/dbraw/zinc/98/97/96/386989796.db2.gz XOWLCXNLCOLMPU-WDEREUQCSA-N 0 3 236.363 2.596 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1nnc(C(C)C)[nH]1 ZINC000331205105 386989798 /nfs/dbraw/zinc/98/97/98/386989798.db2.gz XOWLCXNLCOLMPU-WDEREUQCSA-N 0 3 236.363 2.596 20 0 BFADHN Cc1csc(CN[C@H](C)[C@@H]2C[C@@H]2C)n1 ZINC000321874999 386995782 /nfs/dbraw/zinc/99/57/82/386995782.db2.gz IBLSZVMIHGVJRN-FXBDTBDDSA-N 0 3 210.346 2.586 20 0 BFADHN CC[C@H](CSC)N(C)Cc1ccc(C)cn1 ZINC000358749310 387008642 /nfs/dbraw/zinc/00/86/42/387008642.db2.gz YMJSQOSIVZDPDT-CYBMUJFWSA-N 0 3 238.400 2.963 20 0 BFADHN CCC[C@@H]1CCCC[C@@H]1NCc1cnccn1 ZINC000514586314 387000166 /nfs/dbraw/zinc/00/01/66/387000166.db2.gz VFDPHWVVQQACQG-OCCSQVGLSA-N 0 3 233.359 2.925 20 0 BFADHN CCCCN(C)CCOc1ccccc1C(C)=O ZINC000034980768 387004465 /nfs/dbraw/zinc/00/44/65/387004465.db2.gz ATYZSMIZFYHJSO-UHFFFAOYSA-N 0 3 249.354 3.000 20 0 BFADHN C/C(Cl)=C/CN[C@H]1Cc2cccc(O)c2C1 ZINC000528475295 387006721 /nfs/dbraw/zinc/00/67/21/387006721.db2.gz KKYIUNGQHNNWBY-GWZUUTHWSA-N 0 3 237.730 2.592 20 0 BFADHN Fc1ccc(C2(NC[C@@H]3CCCO3)CC2)cc1 ZINC000341699761 386952121 /nfs/dbraw/zinc/95/21/21/386952121.db2.gz ZRWWJVAJQIQAMD-ZDUSSCGKSA-N 0 3 235.302 2.583 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CC[C@H]2SC)o1 ZINC000425377389 387030201 /nfs/dbraw/zinc/03/02/01/387030201.db2.gz GTSIZEWUVKIIIV-GXSJLCMTSA-N 0 3 240.372 2.529 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H]1c2ccccc2O[C@@H]1C ZINC000425380334 387031630 /nfs/dbraw/zinc/03/16/30/387031630.db2.gz LQOITIBNUHNAQP-MWQHPAIMSA-N 0 3 249.379 2.992 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CC[C@](C)(CC)C1 ZINC000399494365 387032034 /nfs/dbraw/zinc/03/20/34/387032034.db2.gz VXYVTELBPYTWKZ-OCCSQVGLSA-N 0 3 241.375 2.840 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H]1c2ccccc2O[C@H]1C ZINC000425380344 387032466 /nfs/dbraw/zinc/03/24/66/387032466.db2.gz LQOITIBNUHNAQP-VMXABPDPSA-N 0 3 249.379 2.992 20 0 BFADHN COc1cccc(CN(C)C[C@@H](OC)C2CC2)c1 ZINC000425381554 387033392 /nfs/dbraw/zinc/03/33/92/387033392.db2.gz FWSKJUZSYQCLGV-OAHLLOKOSA-N 0 3 249.354 2.552 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1ccc2cc[nH]c2n1 ZINC000425383972 387033586 /nfs/dbraw/zinc/03/35/86/387033586.db2.gz PWHSQLCBBCBMSA-RYUDHWBXSA-N 0 3 247.367 2.547 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1ccc2cc[nH]c2n1 ZINC000425383971 387034081 /nfs/dbraw/zinc/03/40/81/387034081.db2.gz PWHSQLCBBCBMSA-NWDGAFQWSA-N 0 3 247.367 2.547 20 0 BFADHN COc1cc(C)c(CN[C@@H]2CC2(C)C)c(C)n1 ZINC000358981310 387039944 /nfs/dbraw/zinc/03/99/44/387039944.db2.gz PNUAOHQCWBTICD-GFCCVEGCSA-N 0 3 234.343 2.595 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1CCC[C@H](CC)C1 ZINC000096593410 387042246 /nfs/dbraw/zinc/04/22/46/387042246.db2.gz PKLMTZANBWHNIO-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN Cc1cc(CN2CC[C@@]3(CC[C@H](C)C3)C2)n(C)n1 ZINC000334962867 387043355 /nfs/dbraw/zinc/04/33/55/387043355.db2.gz XVVNMSFBEXQAJM-SWLSCSKDSA-N 0 3 247.386 2.741 20 0 BFADHN CC[C@H](N[C@H](C)c1ccncn1)[C@@H]1CC1(C)C ZINC000417411021 387046941 /nfs/dbraw/zinc/04/69/41/387046941.db2.gz WFNUHYFBROLINY-WOPDTQHZSA-N 0 3 233.359 2.952 20 0 BFADHN CC[C@H](NC[C@H]1CC[C@@H](C)C1)c1ccn(C)n1 ZINC000359037112 387047667 /nfs/dbraw/zinc/04/76/67/387047667.db2.gz QUYCNJATKOYLJE-AGIUHOORSA-N 0 3 235.375 2.897 20 0 BFADHN CC[C@](C)(CO)NCc1sccc1Cl ZINC000193081541 387054693 /nfs/dbraw/zinc/05/46/93/387054693.db2.gz QDWWKOMAAIHNTC-SNVBAGLBSA-N 0 3 233.764 2.652 20 0 BFADHN C[C@H](O)CCCN[C@@H](C)c1ccccc1F ZINC000228029580 387060148 /nfs/dbraw/zinc/06/01/48/387060148.db2.gz KZGKUVLGZMXHEL-QWRGUYRKSA-N 0 3 225.307 2.637 20 0 BFADHN CCn1cncc1CN(C)[C@H]1CC[C@@H](C)C1 ZINC000418036458 387064325 /nfs/dbraw/zinc/06/43/25/387064325.db2.gz QHDRKPIVZLXAEZ-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN CC(C)[C@@H]1C[C@@H](CNCc2ccco2)CCO1 ZINC000352024973 387009106 /nfs/dbraw/zinc/00/91/06/387009106.db2.gz BBCFQOODXXWMQC-JSGCOSHPSA-N 0 3 237.343 2.820 20 0 BFADHN Cc1ccncc1CNC1(C(N)=O)CCCCC1 ZINC000331293405 387009985 /nfs/dbraw/zinc/00/99/85/387009985.db2.gz LLZHAAUTVBWVIF-UHFFFAOYSA-N 0 3 247.342 2.718 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@H]3CCC[C@H]32)n(C)n1 ZINC000334934968 387010611 /nfs/dbraw/zinc/01/06/11/387010611.db2.gz AJVKVKIQTHWCQI-UONOGXRCSA-N 0 3 247.386 2.739 20 0 BFADHN C[C@H](CSc1cccc(F)c1)N(C)C ZINC000358784780 387014608 /nfs/dbraw/zinc/01/46/08/387014608.db2.gz LLYFZAURNSKHOQ-SECBINFHSA-N 0 3 213.321 2.868 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@](C)(O)C1)c1ccsc1 ZINC000417401095 387016541 /nfs/dbraw/zinc/01/65/41/387016541.db2.gz KYVPKCNSTGBPGE-UTUOFQBUSA-N 0 3 225.357 2.702 20 0 BFADHN Cc1occc1CNCCOC1CCCC1 ZINC000320939029 387016979 /nfs/dbraw/zinc/01/69/79/387016979.db2.gz LTNLNZLETLHQRT-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN CC[C@H](N[C@H](C)c1nccn1C)[C@H]1CC1(C)C ZINC000417400309 387020170 /nfs/dbraw/zinc/02/01/70/387020170.db2.gz JSBWJIISNWRRRP-UTUOFQBUSA-N 0 3 235.375 2.895 20 0 BFADHN CN(Cc1cncc(F)c1)C1(C)CCCC1 ZINC000336230121 387069128 /nfs/dbraw/zinc/06/91/28/387069128.db2.gz DGTDTLYGHRWZPR-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN CCN(Cc1c(C)cc(OC)nc1C)C(C)C ZINC000359216881 387069838 /nfs/dbraw/zinc/06/98/38/387069838.db2.gz CAAKHODZJZUSAN-UHFFFAOYSA-N 0 3 236.359 2.937 20 0 BFADHN C[C@@H](c1ccccn1)N(C)C[C@H]1CCCOC1 ZINC000246325718 387072957 /nfs/dbraw/zinc/07/29/57/387072957.db2.gz JWIDLKIZHNBVMY-QWHCGFSZSA-N 0 3 234.343 2.501 20 0 BFADHN COC[C@@H](C)N1CCC[C@@H](Oc2ccccc2)C1 ZINC000369826692 387073148 /nfs/dbraw/zinc/07/31/48/387073148.db2.gz ZPPBWVIWZQHLEP-UKRRQHHQSA-N 0 3 249.354 2.565 20 0 BFADHN C[C@H]1CN(C[C@H]2CCC(C)(C)O2)CCC1(F)F ZINC000334987132 387074976 /nfs/dbraw/zinc/07/49/76/387074976.db2.gz HYVOWSXQGZOPAU-WDEREUQCSA-N 0 3 247.329 2.921 20 0 BFADHN CS[C@@H]1CCN([C@H](C)c2cccnc2)C1 ZINC000336254663 387076334 /nfs/dbraw/zinc/07/63/34/387076334.db2.gz GFLVWEODQNXTAR-ZYHUDNBSSA-N 0 3 222.357 2.580 20 0 BFADHN C[C@@H](O)C[C@@H](C)Nc1ccnc2ccccc21 ZINC000228131843 387079240 /nfs/dbraw/zinc/07/92/40/387079240.db2.gz SPTIMVZDJQGNJG-GHMZBOCLSA-N 0 3 230.311 2.806 20 0 BFADHN C[C@H](NCC1(C(F)F)CC1)c1cncs1 ZINC000336765726 387079964 /nfs/dbraw/zinc/07/99/64/387079964.db2.gz RKHJDGGYKIUHPF-ZETCQYMHSA-N 0 3 232.299 2.839 20 0 BFADHN COc1cnccc1[C@@H](C)N[C@@H](C)CC1CC1 ZINC000188773899 387080342 /nfs/dbraw/zinc/08/03/42/387080342.db2.gz ZEZYRFLEZBLFDD-WDEREUQCSA-N 0 3 234.343 2.929 20 0 BFADHN CCc1ncc(CN2C[C@H](C)[C@@H](C)[C@H]2C)cn1 ZINC000418121908 387081143 /nfs/dbraw/zinc/08/11/43/387081143.db2.gz JFBBCGHYZYEOMV-QJPTWQEYSA-N 0 3 233.359 2.515 20 0 BFADHN CCn1cncc1CN1CC(C)(C)[C@H]1C(C)C ZINC000418067874 387083557 /nfs/dbraw/zinc/08/35/57/387083557.db2.gz PSBJYTRJUIKBND-CYBMUJFWSA-N 0 3 235.375 2.769 20 0 BFADHN Cc1ccc(CN2CCOC[C@@H](C)C2)cc1C ZINC000370054464 387084515 /nfs/dbraw/zinc/08/45/15/387084515.db2.gz WGLTVAAFNZKQPB-LBPRGKRZSA-N 0 3 233.355 2.772 20 0 BFADHN CCC[C@@H](O)CNCc1sccc1Cl ZINC000193100662 387086799 /nfs/dbraw/zinc/08/67/99/387086799.db2.gz JOQHBYCKZJCBNV-MRVPVSSYSA-N 0 3 233.764 2.652 20 0 BFADHN CCn1cncc1CN1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000418079333 387088802 /nfs/dbraw/zinc/08/88/02/387088802.db2.gz XXHJTQWZFZMOQF-HUUCEWRRSA-N 0 3 245.370 2.523 20 0 BFADHN Cc1cccc(N2CCN(C(C)C)CC2)c1C ZINC000066863700 387092559 /nfs/dbraw/zinc/09/25/59/387092559.db2.gz WFOPYVSELPBWBS-UHFFFAOYSA-N 0 3 232.371 2.834 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@@H](N)CC(C)C ZINC000228452230 387093222 /nfs/dbraw/zinc/09/32/22/387093222.db2.gz ZKVDWPQTTIOMBA-STQMWFEESA-N 0 3 242.407 2.835 20 0 BFADHN CS[C@H]1CCN(Cc2ccc(C)nc2C)C1 ZINC000336261511 387094757 /nfs/dbraw/zinc/09/47/57/387094757.db2.gz HBIXUNXUVIYWCZ-ZDUSSCGKSA-N 0 3 236.384 2.636 20 0 BFADHN CS[C@@H]1CCN(Cc2ccc(C)nc2C)C1 ZINC000336261510 387095548 /nfs/dbraw/zinc/09/55/48/387095548.db2.gz HBIXUNXUVIYWCZ-CYBMUJFWSA-N 0 3 236.384 2.636 20 0 BFADHN C[C@@]1(C(N)=O)CCCCN1CC1CC(F)(F)C1 ZINC000336260912 387095614 /nfs/dbraw/zinc/09/56/14/387095614.db2.gz STERGVJTMSILPZ-NSHDSACASA-N 0 3 246.301 2.812 20 0 BFADHN CC1(C)[C@@H](O)CCN1Cc1ccc2occc2c1 ZINC000335001751 387096318 /nfs/dbraw/zinc/09/63/18/387096318.db2.gz OHJMJNFDLIZGDW-AWEZNQCLSA-N 0 3 245.322 2.778 20 0 BFADHN Cc1ncc(CNC[C@@H]2C[C@H]2c2ccccc2)o1 ZINC000418090183 387096664 /nfs/dbraw/zinc/09/66/64/387096664.db2.gz NHWDPUZPYXJRPQ-ZFWWWQNUSA-N 0 3 242.322 2.876 20 0 BFADHN Cc1noc([C@H]2CCN(CC3=CCCCC3)C2)n1 ZINC000336265731 387099009 /nfs/dbraw/zinc/09/90/09/387099009.db2.gz NITTXLMWBZEMQC-ZDUSSCGKSA-N 0 3 247.342 2.668 20 0 BFADHN C[C@@H](F)CCN1CCCC[C@@H]1c1cnn(C)c1 ZINC000352352468 387099272 /nfs/dbraw/zinc/09/92/72/387099272.db2.gz JBWJBZLELRQIME-DGCLKSJQSA-N 0 3 239.338 2.695 20 0 BFADHN CC(C)[C@@H](O)CNCc1sccc1Cl ZINC000193108019 387100519 /nfs/dbraw/zinc/10/05/19/387100519.db2.gz YRRGMADNVGPODD-VIFPVBQESA-N 0 3 233.764 2.508 20 0 BFADHN COc1ccc(CNC[C@H]2C[C@@H]2C)c(F)c1 ZINC000228601033 387100710 /nfs/dbraw/zinc/10/07/10/387100710.db2.gz BCSARWMWUCCEQB-GXSJLCMTSA-N 0 3 223.291 2.580 20 0 BFADHN Fc1ccc(F)c(CNC[C@H]2CC23CC3)c1 ZINC000418092830 387100904 /nfs/dbraw/zinc/10/09/04/387100904.db2.gz IIHMXSULCCEGHI-SNVBAGLBSA-N 0 3 223.266 2.855 20 0 BFADHN c1ccc2c(c1)COC[C@@H]2NC[C@@H]1CCSC1 ZINC000228557367 387101470 /nfs/dbraw/zinc/10/14/70/387101470.db2.gz CVNFJUOBCRCFQN-FZMZJTMJSA-N 0 3 249.379 2.601 20 0 BFADHN Clc1cnc(CNC[C@@H]2CC23CC3)s1 ZINC000418095286 387101903 /nfs/dbraw/zinc/10/19/03/387101903.db2.gz LFGWQPNFENXTHH-ZETCQYMHSA-N 0 3 228.748 2.686 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@@H](N)[C@@H](C)CC ZINC000228508055 387101991 /nfs/dbraw/zinc/10/19/91/387101991.db2.gz JLPUBIZZYPWJGI-AVGNSLFASA-N 0 3 242.407 2.835 20 0 BFADHN c1ccc2c(c1)COC[C@H]2NC[C@H]1CCSC1 ZINC000228557384 387102626 /nfs/dbraw/zinc/10/26/26/387102626.db2.gz CVNFJUOBCRCFQN-BXUZGUMPSA-N 0 3 249.379 2.601 20 0 BFADHN CC[C@@H](NC[C@@H](O)C(F)(F)F)c1ccccc1 ZINC000068879880 387107893 /nfs/dbraw/zinc/10/78/93/387107893.db2.gz UWLNQYPKELZDPB-GHMZBOCLSA-N 0 3 247.260 2.651 20 0 BFADHN COc1ccc(OC)c(CNC[C@H]2CC23CC3)c1 ZINC000418099949 387131740 /nfs/dbraw/zinc/13/17/40/387131740.db2.gz QKCIFAJWXSZHFG-GFCCVEGCSA-N 0 3 247.338 2.594 20 0 BFADHN CCCCN(C)[C@@H]1CCN(c2ccccc2)C1=O ZINC000068783207 387108450 /nfs/dbraw/zinc/10/84/50/387108450.db2.gz NXRBVZRIXVENAE-CQSZACIVSA-N 0 3 246.354 2.524 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](C)C2)c(C)n1 ZINC000186559799 387108943 /nfs/dbraw/zinc/10/89/43/387108943.db2.gz KSBFAAGGOXKJGP-LLVKDONJSA-N 0 3 218.344 2.930 20 0 BFADHN Cc1cnc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)cn1 ZINC000334947709 387110349 /nfs/dbraw/zinc/11/03/49/387110349.db2.gz PWBQUIGWASXIQO-ZIAGYGMSSA-N 0 3 245.370 2.796 20 0 BFADHN CCN1CCN(CCCCc2ccccc2)CC1 ZINC000186559035 387111080 /nfs/dbraw/zinc/11/10/80/387111080.db2.gz VWFVFHGNMUVAQK-UHFFFAOYSA-N 0 3 246.398 2.647 20 0 BFADHN C[C@H](CNCc1cccn1C)Oc1ccccc1 ZINC000119263372 387111473 /nfs/dbraw/zinc/11/14/73/387111473.db2.gz LJZVFDITQZVTKX-CYBMUJFWSA-N 0 3 244.338 2.582 20 0 BFADHN CCOC[C@@H](NCc1ccsc1)C(C)C ZINC000188864226 387111648 /nfs/dbraw/zinc/11/16/48/387111648.db2.gz FJCRHRXHGNOEOD-GFCCVEGCSA-N 0 3 227.373 2.899 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)Nc1ccccc1C ZINC000069092947 387112689 /nfs/dbraw/zinc/11/26/89/387112689.db2.gz UPUZUNWGMYWENZ-LBPRGKRZSA-N 0 3 234.343 2.664 20 0 BFADHN CCCN(C)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC000069092517 387114145 /nfs/dbraw/zinc/11/41/45/387114145.db2.gz FXFCVXHKLSHGAP-CYBMUJFWSA-N 0 3 248.370 2.548 20 0 BFADHN CC(C)Cn1cc(CN2CCCC3(CC3)C2)cn1 ZINC000186606148 387117661 /nfs/dbraw/zinc/11/76/61/387117661.db2.gz CFYYMZMFRBWUAA-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@@H](CO)[C@H](C)NCc1cccc(F)c1Cl ZINC000228727409 387123234 /nfs/dbraw/zinc/12/32/34/387123234.db2.gz CMBODQCIJAFAAM-IUCAKERBSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1sccc1Cl ZINC000193120786 387124531 /nfs/dbraw/zinc/12/45/31/387124531.db2.gz GKGIKQCYIICTPV-SFYZADRCSA-N 0 3 233.764 2.508 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)CC(C)(C)C ZINC000352375906 387128132 /nfs/dbraw/zinc/12/81/32/387128132.db2.gz HFBIZRRMOHJTAX-UHFFFAOYSA-N 0 3 209.337 2.504 20 0 BFADHN CC[C@@H](NCc1cc(C)n(C)n1)[C@H]1CC1(C)C ZINC000418099050 387129927 /nfs/dbraw/zinc/12/99/27/387129927.db2.gz BEZWZGSBEKTNAG-CHWSQXEVSA-N 0 3 235.375 2.643 20 0 BFADHN Cn1ccc(CN2CCC(OC3CCC3)CC2)c1 ZINC000418099281 387130091 /nfs/dbraw/zinc/13/00/91/387130091.db2.gz ZJKVNKPWLBAJQG-UHFFFAOYSA-N 0 3 248.370 2.559 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@@H]2C)s1 ZINC000088735633 387144597 /nfs/dbraw/zinc/14/45/97/387144597.db2.gz XSLBDLMVHQPOBF-WCBMZHEXSA-N 0 3 210.346 2.730 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@H](CO)C2CC2)o1 ZINC000353146737 387145434 /nfs/dbraw/zinc/14/54/34/387145434.db2.gz FNUDHBVNWCAFCW-MPKXVKKWSA-N 0 3 249.354 2.511 20 0 BFADHN C[C@H](F)CCN1CC[C@H](n2cc(Cl)cn2)C1 ZINC000352398519 387146207 /nfs/dbraw/zinc/14/62/07/387146207.db2.gz PCLPLFBRHMENEF-ONGXEEELSA-N 0 3 245.729 2.531 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1cc(C)c(C)o1 ZINC000290013154 387151066 /nfs/dbraw/zinc/15/10/66/387151066.db2.gz BUMOSJHQQHRXMN-FOGDFJRCSA-N 0 3 225.332 2.970 20 0 BFADHN CC(C)n1nccc1CN1C[C@@H](C)[C@H](C)[C@H]1C ZINC000418125834 387153717 /nfs/dbraw/zinc/15/37/17/387153717.db2.gz OMHUIQFUBQPHEU-FRRDWIJNSA-N 0 3 235.375 2.940 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCCOC2)c(C)n1 ZINC000187007087 387154017 /nfs/dbraw/zinc/15/40/17/387154017.db2.gz AYZHCTZUQRSIBH-CQSZACIVSA-N 0 3 248.370 2.557 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cc(C)cc(C)c1 ZINC000353231238 387160498 /nfs/dbraw/zinc/16/04/98/387160498.db2.gz NHONNPBJSBQIDV-ZDUSSCGKSA-N 0 3 221.344 2.770 20 0 BFADHN Cc1ccc([C@]2(C)CCN([C@H](C)C(N)=O)C2)cc1 ZINC000336338524 387162740 /nfs/dbraw/zinc/16/27/40/387162740.db2.gz GOSFWCJCQWCTFC-IUODEOHRSA-N 0 3 246.354 2.882 20 0 BFADHN Cc1ccc([C@@]2(C)CCN([C@@H](C)C(N)=O)C2)cc1 ZINC000336338526 387163519 /nfs/dbraw/zinc/16/35/19/387163519.db2.gz GOSFWCJCQWCTFC-WFASDCNBSA-N 0 3 246.354 2.882 20 0 BFADHN CC[C@H](C)N[C@@H](CO)c1cccc(Cl)c1F ZINC000229250783 387165358 /nfs/dbraw/zinc/16/53/58/387165358.db2.gz UWXDLBGNZIXKGR-KWQFWETISA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H](F)CCN1CCCC[C@@H]1c1ccnn1C ZINC000352413398 387167501 /nfs/dbraw/zinc/16/75/01/387167501.db2.gz ONHZPVAECBIFSJ-WCQYABFASA-N 0 3 239.338 2.695 20 0 BFADHN CC(C)(O)C(C)(C)CNCc1ccc(Cl)o1 ZINC000393588522 259707034 /nfs/dbraw/zinc/70/70/34/259707034.db2.gz MRVWMVURUNDCAE-UHFFFAOYSA-N 0 3 245.750 2.820 20 0 BFADHN Cc1nocc1CN(CC1CC1)C1CCC1 ZINC000353282778 387170491 /nfs/dbraw/zinc/17/04/91/387170491.db2.gz LLOCAYWGZVTPCV-UHFFFAOYSA-N 0 3 220.316 2.748 20 0 BFADHN Cc1nocc1CN(CC1CC1)CC1CCC1 ZINC000353283486 387171808 /nfs/dbraw/zinc/17/18/08/387171808.db2.gz QHEQGSJBOFMCEL-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN F[C@]1(c2ccccc2)C[C@@H]1NCC[C@@H]1CCOC1 ZINC000571791586 387172098 /nfs/dbraw/zinc/17/20/98/387172098.db2.gz FBIBTQIFJAZPKW-SNPRPXQTSA-N 0 3 249.329 2.640 20 0 BFADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1n[nH]c(C2CC2)n1 ZINC000120016636 387175302 /nfs/dbraw/zinc/17/53/02/387175302.db2.gz OCUVZFBMFGMDPR-PWSUYJOCSA-N 0 3 248.374 2.693 20 0 BFADHN C[C@@H]1CCCC[C@@H]1[N@H+](C)Cc1nnc(C2CC2)[n-]1 ZINC000120016636 387175308 /nfs/dbraw/zinc/17/53/08/387175308.db2.gz OCUVZFBMFGMDPR-PWSUYJOCSA-N 0 3 248.374 2.693 20 0 BFADHN C[C@@H]1CCCC[C@@H]1[N@@H+](C)Cc1nnc(C2CC2)[n-]1 ZINC000120016636 387175313 /nfs/dbraw/zinc/17/53/13/387175313.db2.gz OCUVZFBMFGMDPR-PWSUYJOCSA-N 0 3 248.374 2.693 20 0 BFADHN CC(C)CCC[C@@H](C)N[C@H](C)c1ncnn1C ZINC000353308119 387175542 /nfs/dbraw/zinc/17/55/42/387175542.db2.gz UENFOPNSRWJODJ-VXGBXAGGSA-N 0 3 238.379 2.681 20 0 BFADHN Fc1ccc2c(c1)CN(C[C@H]1CCCOC1)CC2 ZINC000336346713 387176702 /nfs/dbraw/zinc/17/67/02/387176702.db2.gz RSWHQRMSFHBZCA-GFCCVEGCSA-N 0 3 249.329 2.610 20 0 BFADHN CCCC(=O)Nc1ccc(C)cc1CN(C)C ZINC000113911389 387176941 /nfs/dbraw/zinc/17/69/41/387176941.db2.gz HUTHLIMTTVJAAA-UHFFFAOYSA-N 0 3 234.343 2.795 20 0 BFADHN CC(C)CCC[C@H](C)N[C@@H](C)c1ncnn1C ZINC000353308117 387177106 /nfs/dbraw/zinc/17/71/06/387177106.db2.gz UENFOPNSRWJODJ-RYUDHWBXSA-N 0 3 238.379 2.681 20 0 BFADHN COCCCCN(C)Cc1cccc(C)c1F ZINC000418148937 387179889 /nfs/dbraw/zinc/17/98/89/387179889.db2.gz UNHQJXVCSUQGLQ-UHFFFAOYSA-N 0 3 239.334 2.993 20 0 BFADHN CN(Cc1ccc(Cl)o1)C[C@@H]1CCCOC1 ZINC000353327080 387180951 /nfs/dbraw/zinc/18/09/51/387180951.db2.gz CQFNTBWSDHIEOH-JTQLQIEISA-N 0 3 243.734 2.791 20 0 BFADHN Cc1n[nH]cc1CN1CC(C)(C)[C@@H]1C(C)C ZINC000336351308 387181530 /nfs/dbraw/zinc/18/15/30/387181530.db2.gz ZBJXHKORSDWVKP-LBPRGKRZSA-N 0 3 221.348 2.585 20 0 BFADHN CC[C@@H](COC)Nc1ccnc2ccc(C)cc21 ZINC000571870496 387182612 /nfs/dbraw/zinc/18/26/12/387182612.db2.gz NYLSPOQNSZXLRF-LBPRGKRZSA-N 0 3 244.338 2.802 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@@H]3C[C@@]32C)o1 ZINC000459668625 387185049 /nfs/dbraw/zinc/18/50/49/387185049.db2.gz CFLDGWPYSAJSAR-RTXFEEFZSA-N 0 3 220.316 2.651 20 0 BFADHN CCCCN(CC)C(=O)CN1[C@H](C)CC[C@@H]1C ZINC000120162201 387191085 /nfs/dbraw/zinc/19/10/85/387191085.db2.gz CWRYLTGMUMLPJK-BETUJISGSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@H](CC1CCCCC1)CN1CC(C)(CO)C1 ZINC000459625194 387136753 /nfs/dbraw/zinc/13/67/53/387136753.db2.gz XKINAZUMNJMWCT-CYBMUJFWSA-N 0 3 239.403 2.907 20 0 BFADHN CCCc1cccc(CN2CCC(O)CC2)c1 ZINC000186825896 387140873 /nfs/dbraw/zinc/14/08/73/387140873.db2.gz XTZVRUCBBUAFTN-UHFFFAOYSA-N 0 3 233.355 2.596 20 0 BFADHN CC[C@@H](NC[C@H](C)C(F)(F)F)c1cnn(C)c1 ZINC000359963139 387202345 /nfs/dbraw/zinc/20/23/45/387202345.db2.gz JEWFDWVTIAAHKX-WCBMZHEXSA-N 0 3 249.280 2.659 20 0 BFADHN COC1(CN[C@@H]2CCCc3occc32)CCC1 ZINC000193274742 387204412 /nfs/dbraw/zinc/20/44/12/387204412.db2.gz GHVDGTVFJGNVLJ-GFCCVEGCSA-N 0 3 235.327 2.816 20 0 BFADHN CO[C@H](C)CNC(C)(C)c1ccccc1F ZINC000292037643 387207705 /nfs/dbraw/zinc/20/77/05/387207705.db2.gz ACUXAJNKPYETIC-SNVBAGLBSA-N 0 3 225.307 2.685 20 0 BFADHN COc1c(O)cccc1CN1[C@@H](C)CC[C@@H]1C ZINC000336377789 387208179 /nfs/dbraw/zinc/20/81/79/387208179.db2.gz FTFRAGVMTDCURH-QWRGUYRKSA-N 0 3 235.327 2.774 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCC[C@H]2CCOC2)o1 ZINC000300418988 387209601 /nfs/dbraw/zinc/20/96/01/387209601.db2.gz KELHJXCTXNSWKV-MBNYWOFBSA-N 0 3 249.354 2.919 20 0 BFADHN COC[C@H](C)N[C@H]1CCCc2ccc(OC)cc21 ZINC000092383242 387211150 /nfs/dbraw/zinc/21/11/50/387211150.db2.gz DXSNWOWFCAGMDX-NHYWBVRUSA-N 0 3 249.354 2.697 20 0 BFADHN CN(C)CCN(C)Cc1ccc(C2CCC2)cc1 ZINC000353497545 387215065 /nfs/dbraw/zinc/21/50/65/387215065.db2.gz PDYQAZWKSJGKDP-UHFFFAOYSA-N 0 3 246.398 2.948 20 0 BFADHN C[C@@H](NCCCC1CC1)c1cc2n(n1)CCC2 ZINC000571981042 387215074 /nfs/dbraw/zinc/21/50/74/387215074.db2.gz WLBABOAFPNJDFM-LLVKDONJSA-N 0 3 233.359 2.670 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@](C)(O)C2CC2)o1 ZINC000336795654 387215546 /nfs/dbraw/zinc/21/55/46/387215546.db2.gz MCTFOCOAUKJCLU-DGFSRKRXSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@H](O)CN(C)C/C=C/c1ccc(Cl)cc1 ZINC000187820259 387216043 /nfs/dbraw/zinc/21/60/43/387216043.db2.gz PADWKIBPGVDREK-UFFNRZRYSA-N 0 3 239.746 2.666 20 0 BFADHN CC(C)CC[C@@H](C)N(C)[C@@H](C)C[S@](C)=O ZINC000187837440 387216285 /nfs/dbraw/zinc/21/62/85/387216285.db2.gz WDALUAFVJBWAFK-XUJVJEKNSA-N 0 3 233.421 2.510 20 0 BFADHN Fc1ccc(C2CCN([C@H]3CCOC3)CC2)cc1 ZINC000371466540 387219805 /nfs/dbraw/zinc/21/98/05/387219805.db2.gz PWAIFMHWLIUWOP-HNNXBMFYSA-N 0 3 249.329 2.794 20 0 BFADHN CCCCN(C)CCN[C@@H](C)c1cc(C)ccn1 ZINC000353520500 387221181 /nfs/dbraw/zinc/22/11/81/387221181.db2.gz PCYKPLNLAJDHOQ-AWEZNQCLSA-N 0 3 249.402 2.773 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCCC[C@@H]2CO)c1 ZINC000353515285 387221229 /nfs/dbraw/zinc/22/12/29/387221229.db2.gz DJZNVQZSROEISU-MJBXVCDLSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@H]2CCC[C@@H](O)C2)c1 ZINC000353517434 387221476 /nfs/dbraw/zinc/22/14/76/387221476.db2.gz IUCVMMYNSAZJGR-MELADBBJSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1cnc(CN2CCC(C)(C3CC3)CC2)cn1 ZINC000336394427 387222123 /nfs/dbraw/zinc/22/21/23/387222123.db2.gz LBDGUJCZMWXLIC-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2cc(C)ccn2)C1(C)C ZINC000353527680 387222508 /nfs/dbraw/zinc/22/25/08/387222508.db2.gz LOPUMZKLHOSXES-KWCYVHTRSA-N 0 3 248.370 2.854 20 0 BFADHN C[C@H]1CCCCN(Cc2cn3ccccc3n2)C1 ZINC000187964977 387223172 /nfs/dbraw/zinc/22/31/72/387223172.db2.gz XSORPRGSASBDFD-ZDUSSCGKSA-N 0 3 243.354 2.956 20 0 BFADHN CCC[C@H](NC[C@H](CC)OC)c1cccnc1 ZINC000353550209 387228188 /nfs/dbraw/zinc/22/81/88/387228188.db2.gz RCCOGRMFNASJJG-KBPBESRZSA-N 0 3 236.359 2.937 20 0 BFADHN CC(C)CCCN[C@H](c1nncn1C)C(C)C ZINC000352464835 387229001 /nfs/dbraw/zinc/22/90/01/387229001.db2.gz XXFOBBOGJQBBEZ-LBPRGKRZSA-N 0 3 238.379 2.538 20 0 BFADHN CCOc1cccc(CN[C@]2(C)CCO[C@@H]2C)c1 ZINC000230155732 387235489 /nfs/dbraw/zinc/23/54/89/387235489.db2.gz MSZYCUBUYAYMCE-IUODEOHRSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1cc(CN[C@]2(C)CCO[C@H]2C)ccc1F ZINC000230154216 387235855 /nfs/dbraw/zinc/23/58/55/387235855.db2.gz ZMZUKGCHSHAHGM-SMDDNHRTSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H]1OCC[C@]1(C)NCc1cccc(F)c1F ZINC000230152736 387235965 /nfs/dbraw/zinc/23/59/65/387235965.db2.gz IRMVDEDUOUMQLX-RNCFNFMXSA-N 0 3 241.281 2.622 20 0 BFADHN CCOc1cccc(CN[C@@]2(C)CCO[C@@H]2C)c1 ZINC000230155726 387235981 /nfs/dbraw/zinc/23/59/81/387235981.db2.gz MSZYCUBUYAYMCE-DOMZBBRYSA-N 0 3 249.354 2.742 20 0 BFADHN CCOc1ccc(CN[C@]2(C)CCO[C@@H]2C)cc1 ZINC000230156139 387236192 /nfs/dbraw/zinc/23/61/92/387236192.db2.gz GHXQHMQJEPRXMH-IUODEOHRSA-N 0 3 249.354 2.742 20 0 BFADHN CCOc1ccc(CN[C@@]2(C)CCO[C@@H]2C)cc1 ZINC000230156133 387236918 /nfs/dbraw/zinc/23/69/18/387236918.db2.gz GHXQHMQJEPRXMH-DOMZBBRYSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1cc(C)c(CN2CCN(C)C[C@@H]2C)cc1C ZINC000353585748 387237268 /nfs/dbraw/zinc/23/72/68/387237268.db2.gz VMXDMAOAQXTHPF-HNNXBMFYSA-N 0 3 246.398 2.748 20 0 BFADHN C[C@H]1CN(C)CCN1Cc1cc2ccccc2o1 ZINC000353592763 387238088 /nfs/dbraw/zinc/23/80/88/387238088.db2.gz KDIADNJZYGVCNA-LBPRGKRZSA-N 0 3 244.338 2.569 20 0 BFADHN Cc1ccc(F)c(CNCC[C@H]2CCOC2)c1 ZINC000230163222 387238363 /nfs/dbraw/zinc/23/83/63/387238363.db2.gz WWRLFKZMEVVVNK-LBPRGKRZSA-N 0 3 237.318 2.650 20 0 BFADHN C[C@@H](N[C@H]1COc2ccccc21)[C@H]1C[C@H]1C ZINC000321475279 387242693 /nfs/dbraw/zinc/24/26/93/387242693.db2.gz IIFXVOQBOPDPKI-AAXDQBDMSA-N 0 3 217.312 2.754 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc(C)ccn1)[C@@H]1CCCO1 ZINC000353616945 387242902 /nfs/dbraw/zinc/24/29/02/387242902.db2.gz CDNDLHZHHTWIFE-YDHLFZDLSA-N 0 3 248.370 2.998 20 0 BFADHN COC[C@H](C)NCc1ccc(CSC)cc1 ZINC000285137098 387243721 /nfs/dbraw/zinc/24/37/21/387243721.db2.gz OHPYQOQMWVOIGB-NSHDSACASA-N 0 3 239.384 2.674 20 0 BFADHN C[C@H](NC1CCSCC1)c1ccoc1 ZINC000161582746 387249256 /nfs/dbraw/zinc/24/92/56/387249256.db2.gz HAGMPEIEJXBEJT-VIFPVBQESA-N 0 3 211.330 2.826 20 0 BFADHN c1n[nH]cc1[C@H]1CCCN1CC[C@@H]1CCCCO1 ZINC000639775370 387252423 /nfs/dbraw/zinc/25/24/23/387252423.db2.gz AMXNNTUANNZMBP-UONOGXRCSA-N 0 3 249.358 2.506 20 0 BFADHN CO[C@H](C)CNCc1ccc2occc2c1 ZINC000286119161 387314719 /nfs/dbraw/zinc/31/47/19/387314719.db2.gz USDIRTHSSMTLFN-SNVBAGLBSA-N 0 3 219.284 2.557 20 0 BFADHN CCc1ccc(CN(C)[C@H]2C[C@@H](OC)C2)s1 ZINC000572167226 387255527 /nfs/dbraw/zinc/25/55/27/387255527.db2.gz WJRPEIVJEPPCDO-PHIMTYICSA-N 0 3 239.384 2.920 20 0 BFADHN CCc1ccc(CCN(CCOC)C2CC2)cc1 ZINC000360285357 387255906 /nfs/dbraw/zinc/25/59/06/387255906.db2.gz KFAISSUCBSUJGL-UHFFFAOYSA-N 0 3 247.382 2.902 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCCN(C)[C@@H]2C)c1 ZINC000353685414 387258095 /nfs/dbraw/zinc/25/80/95/387258095.db2.gz VMJDCWDPQCSPQI-MJBXVCDLSA-N 0 3 247.386 2.523 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CCO[C@H]2C2CC2)c1 ZINC000230313849 387258978 /nfs/dbraw/zinc/25/89/78/387258978.db2.gz HOQILWPGJGFNRL-GJZGRUSLSA-N 0 3 249.329 2.791 20 0 BFADHN CCC[C@]1(NCc2ccc(CC)o2)CCOC1 ZINC000285343743 387262599 /nfs/dbraw/zinc/26/25/99/387262599.db2.gz AJOQGVRYCHLBTD-AWEZNQCLSA-N 0 3 237.343 2.891 20 0 BFADHN Cc1ccnc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)n1 ZINC000360339836 387266116 /nfs/dbraw/zinc/26/61/16/387266116.db2.gz VRDJNBOQMCUQSJ-NTZNESFSSA-N 0 3 233.359 2.699 20 0 BFADHN CC(C(=O)N(C)c1ccccc1)C(F)(F)F ZINC000336447722 387267599 /nfs/dbraw/zinc/26/75/99/387267599.db2.gz GZPUVUXFWWEXTP-QMMMGPOBSA-N 0 3 231.217 2.848 20 0 BFADHN Cc1occc1CNC[C@@]1(C)CCCS1 ZINC000321544395 387272687 /nfs/dbraw/zinc/27/26/87/387272687.db2.gz RCIOEACXLDHTSG-GFCCVEGCSA-N 0 3 225.357 2.963 20 0 BFADHN CCC[C@H](C)CN1CCO[C@H](COC(C)C)C1 ZINC000372115382 387274196 /nfs/dbraw/zinc/27/41/96/387274196.db2.gz BVWYOSYAAOQVOO-KBPBESRZSA-N 0 3 243.391 2.548 20 0 BFADHN Cc1ccnc(CNC2[C@H](C)CCC[C@H]2C)n1 ZINC000336447049 387275894 /nfs/dbraw/zinc/27/58/94/387275894.db2.gz BIHLFDYDCQVNMY-GHMZBOCLSA-N 0 3 233.359 2.699 20 0 BFADHN CCC1CCC(N(C)Cc2n[nH]c(C)n2)CC1 ZINC000353806042 387277084 /nfs/dbraw/zinc/27/70/84/387277084.db2.gz PFYXGVYBGBPMQI-UHFFFAOYSA-N 0 3 236.363 2.514 20 0 BFADHN CCC[C@@]1(NCc2ccccc2F)CCOC1 ZINC000285672751 387280929 /nfs/dbraw/zinc/28/09/29/387280929.db2.gz UIDGNFKUQWQUMT-CQSZACIVSA-N 0 3 237.318 2.875 20 0 BFADHN CN(CCCc1ccncc1)Cc1ccoc1 ZINC000360437222 387283766 /nfs/dbraw/zinc/28/37/66/387283766.db2.gz LUBLMWJFPHJWNV-UHFFFAOYSA-N 0 3 230.311 2.739 20 0 BFADHN CSCCN1Cc2ccccc2[C@H]1C ZINC000336458528 387284370 /nfs/dbraw/zinc/28/43/70/387284370.db2.gz VMRZKYACARCCQA-SNVBAGLBSA-N 0 3 207.342 2.926 20 0 BFADHN C[C@@H](CCCO)NCc1sccc1Cl ZINC000193146326 387288420 /nfs/dbraw/zinc/28/84/20/387288420.db2.gz GNEFSPGNSUYHHZ-QMMMGPOBSA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@H]1CN(CCCc2ccncc2)C[C@H](C)O1 ZINC000360454021 387289385 /nfs/dbraw/zinc/28/93/85/387289385.db2.gz HUPYHQGZJSFBFD-ZFWWWQNUSA-N 0 3 248.370 2.514 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](CO)C2CC2)o1 ZINC000353878130 387289677 /nfs/dbraw/zinc/28/96/77/387289677.db2.gz HIISRJQRFMDKLY-JQWIXIFHSA-N 0 3 237.343 2.511 20 0 BFADHN Cc1cnc(CN2CCC[C@H]2C2CCC2)o1 ZINC000336469218 387296507 /nfs/dbraw/zinc/29/65/07/387296507.db2.gz JAANILHFXNMYSH-LBPRGKRZSA-N 0 3 220.316 2.748 20 0 BFADHN CC[C@H]1CCN(Cc2cnc3ccccn23)C1 ZINC000162009686 387297937 /nfs/dbraw/zinc/29/79/37/387297937.db2.gz GMMFTAIERYGUQD-LBPRGKRZSA-N 0 3 229.327 2.566 20 0 BFADHN C[C@H]1c2ccccc2CN1C[C@H]1CCOC1 ZINC000336471678 387301810 /nfs/dbraw/zinc/30/18/10/387301810.db2.gz MSXQWYGXZOGEQN-NWDGAFQWSA-N 0 3 217.312 2.600 20 0 BFADHN Cc1ccnc(CN2CCC[C@H]2C2CCC2)n1 ZINC000336480008 387307022 /nfs/dbraw/zinc/30/70/22/387307022.db2.gz RFDQNLSNCMWBCB-ZDUSSCGKSA-N 0 3 231.343 2.550 20 0 BFADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1cncs1 ZINC000306560057 387354383 /nfs/dbraw/zinc/35/43/83/387354383.db2.gz AXBSHYUCYCMTJF-HLTSFMKQSA-N 0 3 228.386 2.688 20 0 BFADHN C=Cn1cc(CN(CC2CC2)C(C)C)cn1 ZINC000515288112 387356654 /nfs/dbraw/zinc/35/66/54/387356654.db2.gz MRAVKPXHPBGGNC-UHFFFAOYSA-N 0 3 219.332 2.604 20 0 BFADHN CCCCN1CCN(Cc2ccccc2)C[C@H]1C ZINC000303472257 387361830 /nfs/dbraw/zinc/36/18/30/387361830.db2.gz NXOKIXJPMNXLSL-OAHLLOKOSA-N 0 3 246.398 2.993 20 0 BFADHN Cc1csc(CNC2(C(C)C)CC2)n1 ZINC000293003216 387367642 /nfs/dbraw/zinc/36/76/42/387367642.db2.gz NEVSNCANYXVAHZ-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN COc1cc(C)ccc1CNC1CC=CC1 ZINC000648480444 387377327 /nfs/dbraw/zinc/37/73/27/387377327.db2.gz ODLFFONSVYGRGB-UHFFFAOYSA-N 0 3 217.312 2.812 20 0 BFADHN C[C@@H](NC[C@@H]1CCCSC1)c1nccs1 ZINC000287119346 387377636 /nfs/dbraw/zinc/37/76/36/387377636.db2.gz RBEROSQPFVKARO-ZJUUUORDSA-N 0 3 242.413 2.937 20 0 BFADHN C[C@@H](CF)N[C@@H](C)CCc1ccccc1 ZINC000287174615 387378897 /nfs/dbraw/zinc/37/88/97/387378897.db2.gz JTWJTBWKBCYLIB-RYUDHWBXSA-N 0 3 209.308 2.955 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1cc(C)ccn1 ZINC000286030977 387319469 /nfs/dbraw/zinc/31/94/69/387319469.db2.gz JFSMWEVHEHYAOK-GHMZBOCLSA-N 0 3 224.373 2.792 20 0 BFADHN COC[C@H]1CCN1Cc1cc(C)c(OC)c(C)c1 ZINC000354057636 387322822 /nfs/dbraw/zinc/32/28/22/387322822.db2.gz XQFRTOVGEFESDT-CQSZACIVSA-N 0 3 249.354 2.533 20 0 BFADHN CC[C@]1(C)CCN(Cc2cnn3ccccc23)C1 ZINC000354081078 387327126 /nfs/dbraw/zinc/32/71/26/387327126.db2.gz FANKTWPWQOIKSE-OAHLLOKOSA-N 0 3 243.354 2.956 20 0 BFADHN CCC[C@H](C)[C@H](CC)N[C@H]1CNCCC1(F)F ZINC000423479029 387327397 /nfs/dbraw/zinc/32/73/97/387327397.db2.gz NROCJDGLWYQPPT-SRVKXCTJSA-N 0 3 248.361 2.788 20 0 BFADHN CCC[C@H](NCc1cnccn1)C(C)(C)C ZINC000515042509 387333548 /nfs/dbraw/zinc/33/35/48/387333548.db2.gz JFEUKMMOLJDKOP-LBPRGKRZSA-N 0 3 221.348 2.781 20 0 BFADHN CCc1cccc(F)c1CNCC[C@@H](C)OC ZINC000354124291 387334267 /nfs/dbraw/zinc/33/42/67/387334267.db2.gz JENHQSFYFGJKEY-LLVKDONJSA-N 0 3 239.334 2.903 20 0 BFADHN CC[C@@H](CN[C@H](C)c1ccc(OC)cc1)OC ZINC000290076120 387335197 /nfs/dbraw/zinc/33/51/97/387335197.db2.gz GLRLBTNTHNGPLY-YPMHNXCESA-N 0 3 237.343 2.771 20 0 BFADHN Cc1nc(F)ccc1CNCCSC(C)C ZINC000290073513 387338076 /nfs/dbraw/zinc/33/80/76/387338076.db2.gz IHNDGUVOXHUXIR-UHFFFAOYSA-N 0 3 242.363 2.760 20 0 BFADHN CC(C)C1(NCc2cccc3c2OCCO3)CC1 ZINC000292962460 387339887 /nfs/dbraw/zinc/33/98/87/387339887.db2.gz JNEZSTCJAWTCKL-UHFFFAOYSA-N 0 3 247.338 2.736 20 0 BFADHN C[C@@H](F)CCNCc1cnc(C(C)(C)C)nc1 ZINC000354200182 387345230 /nfs/dbraw/zinc/34/52/30/387345230.db2.gz LNNFOVXRFKDFNY-SNVBAGLBSA-N 0 3 239.338 2.612 20 0 BFADHN C[C@H](NCCC[C@H]1CCOC1)c1nccs1 ZINC000400635965 387345455 /nfs/dbraw/zinc/34/54/55/387345455.db2.gz QNTRXNYRSRYZEY-QWRGUYRKSA-N 0 3 240.372 2.610 20 0 BFADHN CO[C@@H](C)CNCc1cc2c(ccc(C)c2C)[nH]1 ZINC000442992401 387345565 /nfs/dbraw/zinc/34/55/65/387345565.db2.gz NFSLLLQVZKRYTM-NSHDSACASA-N 0 3 246.354 2.909 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)[C@@H]2C)on1 ZINC000266023061 387349653 /nfs/dbraw/zinc/34/96/53/387349653.db2.gz XJQCKBSLDRCGGK-ONGXEEELSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@@H](NC[C@@H]1CCCSC1)c1cscn1 ZINC000287002914 387352031 /nfs/dbraw/zinc/35/20/31/387352031.db2.gz KEIBKCWMQBHTFW-ZJUUUORDSA-N 0 3 242.413 2.937 20 0 BFADHN C[C@H](CNCc1ccncc1F)c1ccncc1 ZINC000648465980 387352923 /nfs/dbraw/zinc/35/29/23/387352923.db2.gz ATPVUEYCECSIOC-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN CC[C@H](N)C(=O)N1CCC[C@@H](C)c2ccccc21 ZINC000423782367 387424122 /nfs/dbraw/zinc/42/41/22/387424122.db2.gz LZLXYCWEYYWEDT-YPMHNXCESA-N 0 3 246.354 2.654 20 0 BFADHN CC[C@H](N)C(=O)N1CCC[C@H](C)c2ccccc21 ZINC000423782365 387424451 /nfs/dbraw/zinc/42/44/51/387424451.db2.gz LZLXYCWEYYWEDT-AAEUAGOBSA-N 0 3 246.354 2.654 20 0 BFADHN CCC[C@@H](N)C(=O)N1CCC[C@H]1C(C)(C)CC ZINC000423810879 387431549 /nfs/dbraw/zinc/43/15/49/387431549.db2.gz NBRJPPZZBMAHAF-NEPJUHHUSA-N 0 3 240.391 2.541 20 0 BFADHN CC(C)CC[C@@H](O)CN1CCC(C(F)F)CC1 ZINC000361288210 387432219 /nfs/dbraw/zinc/43/22/19/387432219.db2.gz NCTGEJJXDHEEIN-GFCCVEGCSA-N 0 3 249.345 2.761 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1C(=O)[C@@H](N)CCC ZINC000423848072 387433685 /nfs/dbraw/zinc/43/36/85/387433685.db2.gz NEKSIKZBOLPCSE-FRRDWIJNSA-N 0 3 240.391 2.541 20 0 BFADHN CCC[C@@H](N)C(=O)N1CCC[C@H]1CCC(C)C ZINC000423849881 387435439 /nfs/dbraw/zinc/43/54/39/387435439.db2.gz PIGKWANFGADEBW-QWHCGFSZSA-N 0 3 240.391 2.541 20 0 BFADHN CC[C@H](NCc1ccc(F)cc1F)C(C)(C)O ZINC000293028384 387441920 /nfs/dbraw/zinc/44/19/20/387441920.db2.gz PPXSKOQODQGJSQ-LBPRGKRZSA-N 0 3 243.297 2.604 20 0 BFADHN Cc1cc(C)cc(CN2CCOC[C@H](C)C2)c1 ZINC000374338407 387442379 /nfs/dbraw/zinc/44/23/79/387442379.db2.gz CUGSASYNSBLPRK-CQSZACIVSA-N 0 3 233.355 2.772 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H](C)C1)c1ccncn1 ZINC000395807511 387444542 /nfs/dbraw/zinc/44/45/42/387444542.db2.gz CYDZDYWRVXWUNM-AGIUHOORSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)C1)c1ccncn1 ZINC000395807516 387445275 /nfs/dbraw/zinc/44/52/75/387445275.db2.gz CYDZDYWRVXWUNM-JHJVBQTASA-N 0 3 233.359 2.954 20 0 BFADHN CCc1ccc(CN[C@@H]2CCCC[C@@H]2OC)cn1 ZINC000352704343 387447468 /nfs/dbraw/zinc/44/74/68/387447468.db2.gz JBJRHONLSPWACL-CABCVRRESA-N 0 3 248.370 2.691 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@]2(C)CCOC2)o1 ZINC000361386929 387451917 /nfs/dbraw/zinc/45/19/17/387451917.db2.gz QWFSEFFCDOTABV-RISCZKNCSA-N 0 3 237.343 2.919 20 0 BFADHN CC(C)CCCN[C@H](C)c1ccncn1 ZINC000395803536 387458412 /nfs/dbraw/zinc/45/84/12/387458412.db2.gz BEXLNSVGSZNZRP-LLVKDONJSA-N 0 3 207.321 2.563 20 0 BFADHN CCc1cccc(F)c1CNCC[C@@H](O)CC ZINC000354516779 387388411 /nfs/dbraw/zinc/38/84/11/387388411.db2.gz VTMJXYUFTNGESJ-LBPRGKRZSA-N 0 3 239.334 2.639 20 0 BFADHN c1ncc(CN2CC[C@H](CC3CC3)C2)s1 ZINC000354523007 387389952 /nfs/dbraw/zinc/38/99/52/387389952.db2.gz BJFSTTJDWJORHX-LLVKDONJSA-N 0 3 222.357 2.765 20 0 BFADHN C[C@H](NCCOCC1CCC1)c1ccccn1 ZINC000361028018 387392705 /nfs/dbraw/zinc/39/27/05/387392705.db2.gz BBJGUOIBAZBXSQ-LBPRGKRZSA-N 0 3 234.343 2.549 20 0 BFADHN Cc1ccncc1CN(C)[C@H]1C=CCCC1 ZINC000336568868 387393279 /nfs/dbraw/zinc/39/32/79/387393279.db2.gz GRGKCFNNNKCOSH-AWEZNQCLSA-N 0 3 216.328 2.931 20 0 BFADHN CC(C(=O)N(C)c1ccc(F)cc1)C(F)(F)F ZINC000336569573 387393542 /nfs/dbraw/zinc/39/35/42/387393542.db2.gz UDVZBYNKETUUJT-ZETCQYMHSA-N 0 3 249.207 2.987 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2CCN(C3CC3)C2)o1 ZINC000361066160 387396039 /nfs/dbraw/zinc/39/60/39/387396039.db2.gz BRDTYEARSIFGOG-NWDGAFQWSA-N 0 3 248.370 2.729 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CN2CCOC[C@H]2C)C1 ZINC000515565437 387398723 /nfs/dbraw/zinc/39/87/23/387398723.db2.gz ZUTJLJIIUNLDNC-BNOWGMLFSA-N 0 3 223.360 2.700 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CC[C@H](C)C2)on1 ZINC000336579588 387400825 /nfs/dbraw/zinc/40/08/25/387400825.db2.gz KANZVKYVMLJYAH-GXSJLCMTSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ccc(CN2C[C@H](C)N(C)C[C@@H]2C)cc1C ZINC000361153265 387405272 /nfs/dbraw/zinc/40/52/72/387405272.db2.gz JABDYFMUYQKLEQ-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN CCCn1cc(CN2CCC[C@@H]2CC)cn1 ZINC000352649656 387405712 /nfs/dbraw/zinc/40/57/12/387405712.db2.gz MPBIAQWHLRTOFT-ZDUSSCGKSA-N 0 3 221.348 2.668 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)C[C@@H]2C)c(C)c1 ZINC000361153194 387405943 /nfs/dbraw/zinc/40/59/43/387405943.db2.gz LKZHXPUIHMYGRO-CABCVRRESA-N 0 3 246.398 2.828 20 0 BFADHN Cc1ccsc1CN1C[C@H](C)N(C)C[C@@H]1C ZINC000361156942 387409026 /nfs/dbraw/zinc/40/90/26/387409026.db2.gz ZTUHIAMJHDFHDC-RYUDHWBXSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1ccsc1CN1CCN(C)[C@H](C)[C@H]1C ZINC000361158020 387409050 /nfs/dbraw/zinc/40/90/50/387409050.db2.gz YTOHBLUNEZWLIJ-VXGBXAGGSA-N 0 3 238.400 2.581 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1cnn(CC)c1 ZINC000354648119 387411390 /nfs/dbraw/zinc/41/13/90/387411390.db2.gz IKHXJDIITNQVDK-SNVBAGLBSA-N 0 3 249.280 2.724 20 0 BFADHN C[C@H](NC[C@@H](O)C(C)(C)C)c1ccccc1F ZINC000268877542 387419020 /nfs/dbraw/zinc/41/90/20/387419020.db2.gz KQRXSCHRGBYORT-GXFFZTMASA-N 0 3 239.334 2.883 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CCC[C@@H]3C[C@@]32C)[n-]1 ZINC000648670269 387420991 /nfs/dbraw/zinc/42/09/91/387420991.db2.gz YXOUNQTVKAVJAX-GYSYKLTISA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCC[C@@H]3C[C@@]32C)[nH]1 ZINC000648670269 387420993 /nfs/dbraw/zinc/42/09/93/387420993.db2.gz YXOUNQTVKAVJAX-GYSYKLTISA-N 0 3 248.374 2.596 20 0 BFADHN CCOc1cccc(CN(CC)[C@H]2CCOC2)c1 ZINC000361237727 387422389 /nfs/dbraw/zinc/42/23/89/387422389.db2.gz LQXBSGUBQLTPCS-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN OCC[C@H]1CCCN1Cc1cc(Cl)cs1 ZINC000426151299 387542736 /nfs/dbraw/zinc/54/27/36/387542736.db2.gz CIARGLKOPOELAI-SNVBAGLBSA-N 0 3 245.775 2.748 20 0 BFADHN CCCCC[C@H]1CCCN1Cc1ccn(C)n1 ZINC000355207636 387497112 /nfs/dbraw/zinc/49/71/12/387497112.db2.gz FVAGEQAHENWRRF-AWEZNQCLSA-N 0 3 235.375 2.965 20 0 BFADHN CO[C@]1(C)CCCN(C/C=C/c2ccncc2)C1 ZINC000355218921 387499447 /nfs/dbraw/zinc/49/94/47/387499447.db2.gz VHVXAWQNPWHXCB-SJDLMVEWSA-N 0 3 246.354 2.596 20 0 BFADHN CC[C@H](C)C[C@@H](C)N[C@@H](C)c1ccncn1 ZINC000395882352 387499523 /nfs/dbraw/zinc/49/95/23/387499523.db2.gz HTWAYPAPAAXZEB-TUAOUCFPSA-N 0 3 221.348 2.952 20 0 BFADHN COc1ncccc1CN(CC1CC1)C1CCC1 ZINC000361580235 387502304 /nfs/dbraw/zinc/50/23/04/387502304.db2.gz WIORAQUNTAJXKK-UHFFFAOYSA-N 0 3 246.354 2.855 20 0 BFADHN CCc1ccccc1CN[C@@H]1COC(C)(C)C1 ZINC000396857537 387502648 /nfs/dbraw/zinc/50/26/48/387502648.db2.gz OTCJCADCUCBVAV-AWEZNQCLSA-N 0 3 233.355 2.906 20 0 BFADHN COc1ccc(CN2CCC(C(C)C)CC2)cn1 ZINC000085823285 387503174 /nfs/dbraw/zinc/50/31/74/387503174.db2.gz ZGJHSOHCTPIQJB-UHFFFAOYSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cccc(CN[C@@H]2COC(C)(C)C2)c1 ZINC000396852850 387503633 /nfs/dbraw/zinc/50/36/33/387503633.db2.gz PIOLCUMCEMCWBN-ZDUSSCGKSA-N 0 3 219.328 2.652 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1COC(C)(C)C1 ZINC000396898891 387509901 /nfs/dbraw/zinc/50/99/01/387509901.db2.gz VIVXOAMXJJCRDH-RYUDHWBXSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H](CNCc1cscn1)CC(F)(F)F ZINC000396903859 387511650 /nfs/dbraw/zinc/51/16/50/387511650.db2.gz FNMPHGOYTMZBAS-SSDOTTSWSA-N 0 3 238.278 2.821 20 0 BFADHN Cc1cccc(CN(C)CCC2(O)CCC2)c1 ZINC000396904076 387511780 /nfs/dbraw/zinc/51/17/80/387511780.db2.gz DKLOIMCBQRSBSO-UHFFFAOYSA-N 0 3 233.355 2.732 20 0 BFADHN Cc1ccc2c(c1)CCN(C[C@H]1CC[C@H](C)O1)C2 ZINC000361840959 387543453 /nfs/dbraw/zinc/54/34/53/387543453.db2.gz ACNQZLJCBBTQQL-XJKSGUPXSA-N 0 3 245.366 2.921 20 0 BFADHN Cc1ccc(C(C)(C)NC[C@@H]2CC[C@H](C)O2)cn1 ZINC000355298113 387515997 /nfs/dbraw/zinc/51/59/97/387515997.db2.gz WJQXPJCONIYHPG-JSGCOSHPSA-N 0 3 248.370 2.782 20 0 BFADHN C[C@H](N[C@H]1CCO[C@H]1C1CC1)c1ccsc1 ZINC000300360172 387519017 /nfs/dbraw/zinc/51/90/17/387519017.db2.gz UYSBKMCUBLPEKG-XDTLVQLUSA-N 0 3 237.368 2.966 20 0 BFADHN CCC(C)(C)NC(=O)Nc1cc(C)cc(CN)c1 ZINC000395968329 387519545 /nfs/dbraw/zinc/51/95/45/387519545.db2.gz UAZCNFCCEBNZHW-UHFFFAOYSA-N 0 3 249.358 2.764 20 0 BFADHN C[C@H](CN[C@@H](C)c1nccn1C)CC(F)(F)F ZINC000396953984 387521244 /nfs/dbraw/zinc/52/12/44/387521244.db2.gz PJSYCXAUGZNJDR-IUCAKERBSA-N 0 3 249.280 2.659 20 0 BFADHN CC1(C)C[C@H](NCc2ccccc2Cl)CO1 ZINC000396958278 387521385 /nfs/dbraw/zinc/52/13/85/387521385.db2.gz DOBWVNFZYSUZHF-NSHDSACASA-N 0 3 239.746 2.997 20 0 BFADHN CC[C@@H](C)N(C)CC[S@](=O)c1ccccc1 ZINC000355353633 387523158 /nfs/dbraw/zinc/52/31/58/387523158.db2.gz JJFLCVSOQGWXHG-WBMJQRKESA-N 0 3 239.384 2.525 20 0 BFADHN CCO[C@@H]1C[C@H](NCCF)C12CCCCC2 ZINC000304124653 387524748 /nfs/dbraw/zinc/52/47/48/387524748.db2.gz GILZZGBEHNHVAM-NWDGAFQWSA-N 0 3 229.339 2.673 20 0 BFADHN CCn1ccnc1CN[C@H](C1CC1)C1CCC1 ZINC000324821273 387528082 /nfs/dbraw/zinc/52/80/82/387528082.db2.gz SDUMGPDBLVPPQP-AWEZNQCLSA-N 0 3 233.359 2.571 20 0 BFADHN CO[C@@H]1CCCC[C@H]1NCc1ccc(C)nc1C ZINC000361745393 387528288 /nfs/dbraw/zinc/52/82/88/387528288.db2.gz IKPVYIPUFZRHLX-HUUCEWRRSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1cc(C)c(CN(C)C2CC(O)C2)cc1C ZINC000355368341 387528632 /nfs/dbraw/zinc/52/86/32/387528632.db2.gz PWQLBTYXOAVESH-UHFFFAOYSA-N 0 3 233.355 2.567 20 0 BFADHN C[C@H](N[C@@H]1CCC(C)(C)C1)c1cnccn1 ZINC000122451798 387531612 /nfs/dbraw/zinc/53/16/12/387531612.db2.gz UBDWGYRMCACTHY-WDEREUQCSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H](N[C@H]1CCC(C)(C)C1)c1nccn1C ZINC000122467946 387532862 /nfs/dbraw/zinc/53/28/62/387532862.db2.gz JPHQLRJDCIYLRL-QWRGUYRKSA-N 0 3 221.348 2.649 20 0 BFADHN CCC[C@@](C)(N)C(=O)N1Cc2ccccc2[C@H]1C ZINC000648085689 387536811 /nfs/dbraw/zinc/53/68/11/387536811.db2.gz TVYRCRGTJHIQOZ-IAQYHMDHSA-N 0 3 246.354 2.607 20 0 BFADHN FC1(CN2CCS[C@@H]3CCCC[C@@H]32)CC1 ZINC000527219499 387539690 /nfs/dbraw/zinc/53/96/90/387539690.db2.gz NRHLRKFUTNUCFJ-WDEREUQCSA-N 0 3 229.364 2.849 20 0 BFADHN CC[C@H](C)[C@H]1CCCCN1Cc1ncccn1 ZINC000361830012 387540558 /nfs/dbraw/zinc/54/05/58/387540558.db2.gz PNICORMNFPGMNB-QWHCGFSZSA-N 0 3 233.359 2.877 20 0 BFADHN OCCC[C@H](NCC1(F)CC1)c1ccccc1 ZINC000527231079 387541310 /nfs/dbraw/zinc/54/13/10/387541310.db2.gz RUMGIWNPNDQBHV-ZDUSSCGKSA-N 0 3 237.318 2.592 20 0 BFADHN OCCC[C@@H](NCC1(F)CC1)c1ccccc1 ZINC000527231078 387541600 /nfs/dbraw/zinc/54/16/00/387541600.db2.gz RUMGIWNPNDQBHV-CYBMUJFWSA-N 0 3 237.318 2.592 20 0 BFADHN CO[C@@H](C)CN1CCC(C)=C(c2ccco2)C1 ZINC000374531592 387463964 /nfs/dbraw/zinc/46/39/64/387463964.db2.gz RQNBOSHKXPXERD-LBPRGKRZSA-N 0 3 235.327 2.794 20 0 BFADHN COc1cc(C)c(CN2CCCC2)cc1OC ZINC000122042985 387464158 /nfs/dbraw/zinc/46/41/58/387464158.db2.gz XCZNRBFCSDVNFG-UHFFFAOYSA-N 0 3 235.327 2.608 20 0 BFADHN COC[C@@H](C)NC/C=C\c1ccc(F)c(F)c1 ZINC000352740821 387467428 /nfs/dbraw/zinc/46/74/28/387467428.db2.gz AIZANSRQGVCVFW-UMBAGQNISA-N 0 3 241.281 2.603 20 0 BFADHN COc1c(O)cccc1CN(C)CC1CCC1 ZINC000352743082 387469532 /nfs/dbraw/zinc/46/95/32/387469532.db2.gz GACFDBXTGDHNIN-UHFFFAOYSA-N 0 3 235.327 2.633 20 0 BFADHN CCN(CCC1CC1)Cc1c(C)noc1C ZINC000355049334 387470072 /nfs/dbraw/zinc/47/00/72/387470072.db2.gz ZUYUKKISLWAOFL-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCO[C@H](C)C2)o1 ZINC000352745504 387470197 /nfs/dbraw/zinc/47/01/97/387470197.db2.gz VRSMRGGMZZMAFX-OLZOCXBDSA-N 0 3 237.343 2.835 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@@H](OC)C2(C)C)cn1 ZINC000352750640 387471342 /nfs/dbraw/zinc/47/13/42/387471342.db2.gz QWQCTVLMNPBZCB-ZIAGYGMSSA-N 0 3 248.370 2.547 20 0 BFADHN CC[C@H]1CCN(Cc2cnc3cccc(C)n23)C1 ZINC000355077196 387475093 /nfs/dbraw/zinc/47/50/93/387475093.db2.gz FFGNREMWVCHUHT-ZDUSSCGKSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1[nH]ncc1CN(CC(F)F)CC1CCC1 ZINC000355099896 387479689 /nfs/dbraw/zinc/47/96/89/387479689.db2.gz GSHOQKBCSUWPEM-UHFFFAOYSA-N 0 3 243.301 2.585 20 0 BFADHN C[C@@H](N[C@@H]1/C=C/CCCCC1)c1cn[nH]c1 ZINC000336629004 387480699 /nfs/dbraw/zinc/48/06/99/387480699.db2.gz CEVPDGDKHXSPLB-BVKQBWKVSA-N 0 3 219.332 2.949 20 0 BFADHN CCc1cccc(F)c1CNCCC[C@H](C)O ZINC000355107262 387481563 /nfs/dbraw/zinc/48/15/63/387481563.db2.gz CHHJGKYEWPGJQN-NSHDSACASA-N 0 3 239.334 2.639 20 0 BFADHN CC[C@H]1CCCN(Cc2ccnc(C)n2)CC1 ZINC000336630758 387482730 /nfs/dbraw/zinc/48/27/30/387482730.db2.gz MDUNCJNEDGPHLN-ZDUSSCGKSA-N 0 3 233.359 2.797 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1cc(C)ncn1 ZINC000355125014 387483681 /nfs/dbraw/zinc/48/36/81/387483681.db2.gz AUDHZQNEMRIATN-GXTWGEPZSA-N 0 3 233.359 2.843 20 0 BFADHN CCCCN1CCOc2ccc(C)cc2C1 ZINC000352791692 387487015 /nfs/dbraw/zinc/48/70/15/387487015.db2.gz IZEHUFKJFJJYNZ-UHFFFAOYSA-N 0 3 219.328 2.990 20 0 BFADHN Cc1ccsc1CN(C)CCC1(O)CCC1 ZINC000396784198 387489926 /nfs/dbraw/zinc/48/99/26/387489926.db2.gz LYAIILPNNOIXPW-UHFFFAOYSA-N 0 3 239.384 2.793 20 0 BFADHN Cc1ccc(C[C@@H](C)NCc2n[nH]cc2C)s1 ZINC000352801738 387492233 /nfs/dbraw/zinc/49/22/33/387492233.db2.gz SEDSHMPLHGCJKD-SNVBAGLBSA-N 0 3 249.383 2.809 20 0 BFADHN Cc1noc(C)c1CNCC1CCSCC1 ZINC000123549270 387586127 /nfs/dbraw/zinc/58/61/27/387586127.db2.gz HZIWSSPULQYKQV-UHFFFAOYSA-N 0 3 240.372 2.524 20 0 BFADHN CCOC[C@H]1CCCN(CCC(F)(F)F)C1 ZINC000355877094 387587712 /nfs/dbraw/zinc/58/77/12/387587712.db2.gz CUAUBXRMSGDZRL-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN CO[C@H](C)CN(CCC(F)(F)F)C(C)C ZINC000355891744 387590648 /nfs/dbraw/zinc/59/06/48/387590648.db2.gz OFDIFCBXAFBZIZ-SECBINFHSA-N 0 3 227.270 2.684 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@@H]3CCCC[C@@H]3C2)cn1 ZINC000428219710 387591380 /nfs/dbraw/zinc/59/13/80/387591380.db2.gz GAWQKMVDGXRRBA-MELADBBJSA-N 0 3 245.370 2.968 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2C[C@H]3OCCC[C@@H]23)c1 ZINC000375898101 387593017 /nfs/dbraw/zinc/59/30/17/387593017.db2.gz OUCFIZQKGYUEIZ-DDHJSBNISA-N 0 3 246.354 2.608 20 0 BFADHN Cc1ccccc1OCCCNCC1(F)CC1 ZINC000527518627 387593056 /nfs/dbraw/zinc/59/30/56/387593056.db2.gz CGMWRKXGRZJRKF-UHFFFAOYSA-N 0 3 237.318 2.856 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H](O)C(C)C)cc1F ZINC000123857800 387596751 /nfs/dbraw/zinc/59/67/51/387596751.db2.gz XPVFZVUTFDNYMN-BXUZGUMPSA-N 0 3 239.334 2.802 20 0 BFADHN COCCOc1ccc(CN2[C@H](C)C[C@@H]2C)cc1 ZINC000527529556 387598052 /nfs/dbraw/zinc/59/80/52/387598052.db2.gz BKJDCVMBKZNFHR-BETUJISGSA-N 0 3 249.354 2.695 20 0 BFADHN c1nn(Cc2ccccc2)cc1CN1CCCC1 ZINC000123933362 387600884 /nfs/dbraw/zinc/60/08/84/387600884.db2.gz MYMZNFTZFBYZGV-UHFFFAOYSA-N 0 3 241.338 2.527 20 0 BFADHN C[C@@]1(CN[C@H]2CCCc3occc32)CCCO1 ZINC000124082568 387605429 /nfs/dbraw/zinc/60/54/29/387605429.db2.gz FGDNSJKIKHZQGJ-JSGCOSHPSA-N 0 3 235.327 2.816 20 0 BFADHN Cc1cc(CN2CCCO[C@H](C(C)C)C2)ccn1 ZINC000527540954 387610914 /nfs/dbraw/zinc/61/09/14/387610914.db2.gz ZPPJHFUFQFRROQ-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN CCc1ccc(CN(C)Cc2[nH]ncc2C)cc1 ZINC000356069432 387611140 /nfs/dbraw/zinc/61/11/40/387611140.db2.gz VYXLYHBPQXNYGK-UHFFFAOYSA-N 0 3 243.354 2.913 20 0 BFADHN COc1cc(C)c(CN2CCC[C@@H]2C)cc1OC ZINC000124358933 387613786 /nfs/dbraw/zinc/61/37/86/387613786.db2.gz PRYFOUQCKGFAKL-LBPRGKRZSA-N 0 3 249.354 2.997 20 0 BFADHN CCN(CCOC)Cc1ccc(SC)s1 ZINC000356090487 387616185 /nfs/dbraw/zinc/61/61/85/387616185.db2.gz RGGDOURZBANJPN-UHFFFAOYSA-N 0 3 245.413 2.938 20 0 BFADHN C[C@@H](NCC1(O)CCC1)c1cc(F)ccc1F ZINC000124455861 387617814 /nfs/dbraw/zinc/61/78/14/387617814.db2.gz FCHCISXEHQGAFH-SECBINFHSA-N 0 3 241.281 2.530 20 0 BFADHN Cc1ccc(CCN2CCS[C@H](C)C2)cc1 ZINC000124530769 387620601 /nfs/dbraw/zinc/62/06/01/387620601.db2.gz QHLKWQINTOOXPN-CYBMUJFWSA-N 0 3 235.396 2.975 20 0 BFADHN Cc1c[nH]nc1CN1CCCC(C)(C)CC1 ZINC000356142232 387621447 /nfs/dbraw/zinc/62/14/47/387621447.db2.gz WRGSBYVFPIGINI-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN COCc1ccc(CN2[C@H](C)C[C@H]2C)cc1 ZINC000527238799 387547366 /nfs/dbraw/zinc/54/73/66/387547366.db2.gz WHZGDTCMAPROKF-VXGBXAGGSA-N 0 3 219.328 2.816 20 0 BFADHN C[C@@H](NCCO)c1ccc(-c2ccccc2)o1 ZINC000305082456 387547901 /nfs/dbraw/zinc/54/79/01/387547901.db2.gz RIUKJAUZQRDFKG-LLVKDONJSA-N 0 3 231.295 2.590 20 0 BFADHN CCOCCN[C@H](C)c1ccccc1OCC ZINC000036228772 387553483 /nfs/dbraw/zinc/55/34/83/387553483.db2.gz KXEKYZJSSWZDCP-GFCCVEGCSA-N 0 3 237.343 2.772 20 0 BFADHN Cc1cc(C(=O)CN2C[C@H](C)[C@H](C)[C@H]2C)c(C)[nH]1 ZINC000399590514 387556931 /nfs/dbraw/zinc/55/69/31/387556931.db2.gz FJUJVMJIAKHORR-XHVZSJERSA-N 0 3 248.370 2.791 20 0 BFADHN C[C@@H](NC1CCCCCC1)c1nnc2n1CCC2 ZINC000037148770 387559360 /nfs/dbraw/zinc/55/93/60/387559360.db2.gz QKFAQPRXRORUPQ-LLVKDONJSA-N 0 3 248.374 2.598 20 0 BFADHN Cn1nccc1CN1CCC[C@@H](C(C)(C)C)C1 ZINC000355649639 387562151 /nfs/dbraw/zinc/56/21/51/387562151.db2.gz LZMMUGMHJAHDGS-GFCCVEGCSA-N 0 3 235.375 2.678 20 0 BFADHN Cc1ccc(CN(CCO)CC2CCCC2)o1 ZINC000355655898 387562960 /nfs/dbraw/zinc/56/29/60/387562960.db2.gz JDFMORSNTAELQC-UHFFFAOYSA-N 0 3 237.343 2.573 20 0 BFADHN Cc1ccc(CN(CCO)CC2(C)CCC2)o1 ZINC000355655310 387563230 /nfs/dbraw/zinc/56/32/30/387563230.db2.gz HZHNMSZIOMCSSP-UHFFFAOYSA-N 0 3 237.343 2.573 20 0 BFADHN FCCCCN1CC[C@]2(C1)OCc1ccccc12 ZINC000375650852 387563368 /nfs/dbraw/zinc/56/33/68/387563368.db2.gz FFEDYRSUUROKLZ-OAHLLOKOSA-N 0 3 249.329 2.868 20 0 BFADHN COCC(C)(C)CN1Cc2ccccc2C1 ZINC000426410811 387566664 /nfs/dbraw/zinc/56/66/64/387566664.db2.gz JVIAEHGSIJLHAZ-UHFFFAOYSA-N 0 3 219.328 2.675 20 0 BFADHN C[C@H](NCC1(O)CCCCC1)c1ccco1 ZINC000037890583 387568388 /nfs/dbraw/zinc/56/83/88/387568388.db2.gz QZOQYDDMGPBEFS-NSHDSACASA-N 0 3 223.316 2.625 20 0 BFADHN Cc1cnc(CN(CC2CC2)CC2CCC2)cn1 ZINC000355731505 387573553 /nfs/dbraw/zinc/57/35/53/387573553.db2.gz OHQCAMISUHFJFK-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1ccc([C@H](C)NCCn2cccn2)s1 ZINC000042539009 387633406 /nfs/dbraw/zinc/63/34/06/387633406.db2.gz MKMOAGPINAQMSZ-NSHDSACASA-N 0 3 235.356 2.604 20 0 BFADHN CCCCC(=O)N(CCN(C)C)c1ccccc1 ZINC000124889711 387635687 /nfs/dbraw/zinc/63/56/87/387635687.db2.gz DNCWUPPYJGOPRD-UHFFFAOYSA-N 0 3 248.370 2.771 20 0 BFADHN CCOc1cc(CNC(C)C)c(F)cc1OC ZINC000527629339 387641218 /nfs/dbraw/zinc/64/12/18/387641218.db2.gz KTLDEMNPWXQAMG-UHFFFAOYSA-N 0 3 241.306 2.731 20 0 BFADHN c1cc(CN[C@@H]2CC[C@H](c3ccccc3)C2)n[nH]1 ZINC000362639428 387644341 /nfs/dbraw/zinc/64/43/41/387644341.db2.gz MOKYBKVDRUYRNT-UONOGXRCSA-N 0 3 241.338 2.836 20 0 BFADHN c1c[nH]c(CN[C@H]2CC[C@H](c3ccccc3)C2)n1 ZINC000362640478 387644398 /nfs/dbraw/zinc/64/43/98/387644398.db2.gz OLSHXZJKZRQHSE-KBPBESRZSA-N 0 3 241.338 2.836 20 0 BFADHN CCC1CCN(Cc2cnn(CC)c2)CC1 ZINC000125320156 387651179 /nfs/dbraw/zinc/65/11/79/387651179.db2.gz GXRQZMNVQKELFC-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CC[C@H]1CCCCN1C(=O)[C@H](C)N(CC)CC ZINC000123107135 387654283 /nfs/dbraw/zinc/65/42/83/387654283.db2.gz DFYXZOYZPQXKRN-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN COC(=O)c1cccc(CN2[C@@H](C)CC[C@@H]2C)c1 ZINC000123102230 387654829 /nfs/dbraw/zinc/65/48/29/387654829.db2.gz CPPUVUZDWXFMGC-RYUDHWBXSA-N 0 3 247.338 2.846 20 0 BFADHN COc1ccc(CN2CC(C)=C[C@@H](C)C2)cc1O ZINC000430873995 387656087 /nfs/dbraw/zinc/65/60/87/387656087.db2.gz XTCVSMYCIUMUSV-LLVKDONJSA-N 0 3 247.338 2.799 20 0 BFADHN Cc1ccc(CN2CC(C)=C[C@@H](C)C2)o1 ZINC000430882251 387656115 /nfs/dbraw/zinc/65/61/15/387656115.db2.gz IVVZXRYZULHVHU-SNVBAGLBSA-N 0 3 205.301 2.986 20 0 BFADHN CC[C@H]1CCCN(Cc2ccc(OC)nc2)C1 ZINC000362851172 387664670 /nfs/dbraw/zinc/66/46/70/387664670.db2.gz DMSGXRWCTFUMDL-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN c1nc2n(c1CNCc1ccccc1)CCCC2 ZINC000376728927 387665611 /nfs/dbraw/zinc/66/56/11/387665611.db2.gz NBYWUYUXGVNCND-UHFFFAOYSA-N 0 3 241.338 2.509 20 0 BFADHN CC[C@H]1CCN(Cc2ccc3c(c2)OCCO3)C1 ZINC000125911392 387667808 /nfs/dbraw/zinc/66/78/08/387667808.db2.gz UHMVNJYBBIHWDD-LBPRGKRZSA-N 0 3 247.338 2.690 20 0 BFADHN Cc1ccc(CNCc2cncc(C)c2)cc1 ZINC000123218644 387668245 /nfs/dbraw/zinc/66/82/45/387668245.db2.gz MOVSDPJGTVKPKR-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN C[C@H](NC1C[C@@H](C)O[C@H](C)C1)c1cncs1 ZINC000309428223 387668361 /nfs/dbraw/zinc/66/83/61/387668361.db2.gz BAIRTDPHWGPODH-BBBLOLIVSA-N 0 3 240.372 2.750 20 0 BFADHN Cc1cc(CNCC2=CCCCC2)ccn1 ZINC000527714471 387670318 /nfs/dbraw/zinc/67/03/18/387670318.db2.gz UQTIXXYJTUBHRC-UHFFFAOYSA-N 0 3 216.328 2.980 20 0 BFADHN COc1ccncc1CN[C@@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000527714175 387670440 /nfs/dbraw/zinc/67/04/40/387670440.db2.gz XEMGKXXKIRGLAJ-BPNCWPANSA-N 0 3 246.354 2.614 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1C[C@H]1C1CC1)c1ccn(C)n1 ZINC000527721365 387674313 /nfs/dbraw/zinc/67/43/13/387674313.db2.gz RPQBEYBPYDNVBK-UKJIMTQDSA-N 0 3 233.359 2.505 20 0 BFADHN Clc1cnccc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000527724160 387676605 /nfs/dbraw/zinc/67/66/05/387676605.db2.gz CRJFEIFMQQKGPH-IAZYJMLFSA-N 0 3 222.719 2.623 20 0 BFADHN CC1(C)CCC[C@H]1CCNCc1ncc[nH]1 ZINC000527728462 387679494 /nfs/dbraw/zinc/67/94/94/387679494.db2.gz KMMVZXCZKMUOJU-NSHDSACASA-N 0 3 221.348 2.716 20 0 BFADHN CCCCN(C)CCNC(=O)[C@H](C)[C@@H](C)CC ZINC000356591282 387679526 /nfs/dbraw/zinc/67/95/26/387679526.db2.gz IUTKGVWLHPTXQP-QWHCGFSZSA-N 0 3 242.407 2.517 20 0 BFADHN Cn1ccc(CNCC[C@@H]2CCCC2(C)C)n1 ZINC000527729253 387679767 /nfs/dbraw/zinc/67/97/67/387679767.db2.gz MJXOMAVEUZCDBJ-LBPRGKRZSA-N 0 3 235.375 2.726 20 0 BFADHN CC[C@H](NCc1ccc(Cl)o1)[C@@H]1CCCO1 ZINC000126317951 387679996 /nfs/dbraw/zinc/67/99/96/387679996.db2.gz ZMXLIEKVBKMRRJ-QWRGUYRKSA-N 0 3 243.734 2.980 20 0 BFADHN CCCCC[C@@H]1CCCN1CC(=O)NC(C)C ZINC000362957372 387680010 /nfs/dbraw/zinc/68/00/10/387680010.db2.gz IFRVNHGCVINWID-CYBMUJFWSA-N 0 3 240.391 2.556 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1cccc(F)c1 ZINC000044689596 387689936 /nfs/dbraw/zinc/68/99/36/387689936.db2.gz GYFNKLJUJIBDLK-GHMZBOCLSA-N 0 3 225.307 2.901 20 0 BFADHN CCOc1cccc(CNCC2CC=CC2)n1 ZINC000527750526 387692435 /nfs/dbraw/zinc/69/24/35/387692435.db2.gz MELOMGNVJRFSGQ-UHFFFAOYSA-N 0 3 232.327 2.536 20 0 BFADHN C[C@@H](Cc1cccc(O)c1)NCc1ccccn1 ZINC000356910572 387743106 /nfs/dbraw/zinc/74/31/06/387743106.db2.gz DLZKLIOGCZRISP-LBPRGKRZSA-N 0 3 242.322 2.508 20 0 BFADHN CCC(C)(C)CCN1CCN(C2CC2)CC1 ZINC000441281282 387744521 /nfs/dbraw/zinc/74/45/21/387744521.db2.gz SAEPIKXYXYFPDT-UHFFFAOYSA-N 0 3 224.392 2.593 20 0 BFADHN FCCCCN1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000377761387 387747940 /nfs/dbraw/zinc/74/79/40/387747940.db2.gz PQLVGBWVCCKRSL-STQMWFEESA-N 0 3 241.350 2.770 20 0 BFADHN CC[C@@H]1COCCN1CCC(C)(C)CC ZINC000441387219 387753999 /nfs/dbraw/zinc/75/39/99/387753999.db2.gz RDCGYDOPXNQSHX-GFCCVEGCSA-N 0 3 213.365 2.924 20 0 BFADHN FCCCN[C@@H]1CCCOc2ccc(F)cc21 ZINC000126833815 387697284 /nfs/dbraw/zinc/69/72/84/387697284.db2.gz RNXKRKCWRCWSSG-GFCCVEGCSA-N 0 3 241.281 2.989 20 0 BFADHN c1ccc2[nH]c(CN3C[C@@H]4CCC[C@@H]4C3)nc2c1 ZINC000126924315 387700773 /nfs/dbraw/zinc/70/07/73/387700773.db2.gz MNCDYXNQDHZEEN-TXEJJXNPSA-N 0 3 241.338 2.795 20 0 BFADHN C[C@@H]1CCC(C)(C)N1CC(=O)Nc1ccccc1 ZINC000452016078 387702023 /nfs/dbraw/zinc/70/20/23/387702023.db2.gz WBHWFUPAJPMIMW-GFCCVEGCSA-N 0 3 246.354 2.888 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@@H](c1ccccn1)C1CCC1 ZINC000527773932 387704175 /nfs/dbraw/zinc/70/41/75/387704175.db2.gz VKMPKNGCOKLCJD-NJZAAPMLSA-N 0 3 246.354 2.690 20 0 BFADHN FC(F)(F)c1cc(CN[C@H]2CC=CCC2)[nH]n1 ZINC000440826895 387705980 /nfs/dbraw/zinc/70/59/80/387705980.db2.gz OSXAQOOYHFCMAZ-QMMMGPOBSA-N 0 3 245.248 2.627 20 0 BFADHN c1nc(CNCC[C@@H]2CC=CCC2)cs1 ZINC000527787249 387711884 /nfs/dbraw/zinc/71/18/84/387711884.db2.gz IJHTYECHYFYWKM-LLVKDONJSA-N 0 3 222.357 2.979 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1ccccc1C ZINC000527783764 387712074 /nfs/dbraw/zinc/71/20/74/387712074.db2.gz SYXHYYBCQNVDBS-KGLIPLIRSA-N 0 3 219.328 2.652 20 0 BFADHN CN(C/C=C\c1ccc(Cl)cc1)CCCO ZINC000440947914 387715552 /nfs/dbraw/zinc/71/55/52/387715552.db2.gz ZYYLVFFNQDEQJX-RQOWECAXSA-N 0 3 239.746 2.667 20 0 BFADHN c1ccc(CNCC[C@H]2CC=CCC2)nc1 ZINC000527791019 387716795 /nfs/dbraw/zinc/71/67/95/387716795.db2.gz PWNHCRYHCZDZPR-ZDUSSCGKSA-N 0 3 216.328 2.918 20 0 BFADHN CCCCCN(C(=O)[C@H]1CCCN1C)C(C)C ZINC000356974963 387756577 /nfs/dbraw/zinc/75/65/77/387756577.db2.gz CAMRQAMXRANPCA-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1NCc1ccc(Cl)o1 ZINC000527801848 387722479 /nfs/dbraw/zinc/72/24/79/387722479.db2.gz LBHWUUGFMQUQGI-JXVAYASWSA-N 0 3 243.734 2.835 20 0 BFADHN CC(C)O[C@@]1(C)C[C@H]1NCc1ccc(F)cc1 ZINC000527801757 387722723 /nfs/dbraw/zinc/72/27/23/387722723.db2.gz WOBRUBYQNMAPJL-KGLIPLIRSA-N 0 3 237.318 2.871 20 0 BFADHN CC(C)O[C@@]1(C)C[C@H]1NCc1ccccc1 ZINC000527801237 387723332 /nfs/dbraw/zinc/72/33/32/387723332.db2.gz SKLUCUKALNFIKT-KGLIPLIRSA-N 0 3 219.328 2.732 20 0 BFADHN CCN(CCOc1ccccc1F)C1CC1 ZINC000045770812 387723409 /nfs/dbraw/zinc/72/34/09/387723409.db2.gz VCZOFXPOPWUWLU-UHFFFAOYSA-N 0 3 223.291 2.689 20 0 BFADHN C[C@@H](NCc1ncc[nH]1)[C@H]1CCCC[C@H]1C ZINC000648702237 387725115 /nfs/dbraw/zinc/72/51/15/387725115.db2.gz DYRWDSCTRMPWNC-UTUOFQBUSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@H](NCc1ncc[nH]1)[C@@H]1CCCC[C@H]1C ZINC000648702235 387725193 /nfs/dbraw/zinc/72/51/93/387725193.db2.gz DYRWDSCTRMPWNC-GRYCIOLGSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1NCc1ccccc1F ZINC000527802367 387725908 /nfs/dbraw/zinc/72/59/08/387725908.db2.gz OENPFVJSRHOCBG-DEKYYXRVSA-N 0 3 237.318 2.727 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H]1CCCc2sccc21 ZINC000309433872 387728293 /nfs/dbraw/zinc/72/82/93/387728293.db2.gz GSUGGMXNTBLTFX-IJLUTSLNSA-N 0 3 237.368 2.893 20 0 BFADHN Cc1cc(CN[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)cs1 ZINC000527804457 387728925 /nfs/dbraw/zinc/72/89/25/387728925.db2.gz PSZQYONNQRXRMQ-ZPFDUUQYSA-N 0 3 239.384 2.958 20 0 BFADHN COCC1(N[C@@H](C)c2ccc(C)cc2)CC1 ZINC000309434683 387729347 /nfs/dbraw/zinc/72/93/47/387729347.db2.gz GWQPTNLJFZGTFL-LBPRGKRZSA-N 0 3 219.328 2.825 20 0 BFADHN CC1(CN2CCC[C@H]2c2cccc(F)c2)COC1 ZINC000441209540 387734053 /nfs/dbraw/zinc/73/40/53/387734053.db2.gz PRAINPNSYBZLDB-AWEZNQCLSA-N 0 3 249.329 2.999 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCCO2)cc1C ZINC000128078548 387734917 /nfs/dbraw/zinc/73/49/17/387734917.db2.gz NTWLVUMRXXULKY-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H](C)[C@@H]1CC12CC2 ZINC000527862784 387736582 /nfs/dbraw/zinc/73/65/82/387736582.db2.gz HEPLNXCRCDETIB-STQMWFEESA-N 0 3 247.386 2.817 20 0 BFADHN CCCCCN(CCO)Cc1ccc(Cl)o1 ZINC000128101549 387738209 /nfs/dbraw/zinc/73/82/09/387738209.db2.gz VEFKKGHFTRVIOA-UHFFFAOYSA-N 0 3 245.750 2.918 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@@H]1CCCOC1 ZINC000356880337 387738764 /nfs/dbraw/zinc/73/87/64/387738764.db2.gz UBGFLFNOMQBQHL-STQMWFEESA-N 0 3 248.370 2.721 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H](COC)C1CC1 ZINC000356874550 387739051 /nfs/dbraw/zinc/73/90/51/387739051.db2.gz QOVWCXCVYUMIQO-RISCZKNCSA-N 0 3 248.370 2.720 20 0 BFADHN CC(C)n1ccc(CN[C@@H](C)[C@H]2CC23CC3)n1 ZINC000527868523 387740791 /nfs/dbraw/zinc/74/07/91/387740791.db2.gz QAMCGNOAGXYDAP-WCQYABFASA-N 0 3 233.359 2.742 20 0 BFADHN CCC(C)(C)CCN1C[C@H](C)OC[C@@H]1C ZINC000441252764 387740838 /nfs/dbraw/zinc/74/08/38/387740838.db2.gz GQHOIIHZAMMMNT-RYUDHWBXSA-N 0 3 213.365 2.922 20 0 BFADHN Fc1ccc(CN2CCC3(CCC3)CC2)cn1 ZINC000377696065 387741474 /nfs/dbraw/zinc/74/14/74/387741474.db2.gz JBWCJRFDZHBTTH-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN CCOc1cccc(CNC[C@@H]2CC[C@H](C)O2)c1 ZINC000128292580 387742217 /nfs/dbraw/zinc/74/22/17/387742217.db2.gz IWHHLZNDVYYJJA-WFASDCNBSA-N 0 3 249.354 2.742 20 0 BFADHN CCN(CCCO)Cc1ccc(Cl)c(C)c1 ZINC000356988147 387762030 /nfs/dbraw/zinc/76/20/30/387762030.db2.gz KGBPZSLFVFNLQP-UHFFFAOYSA-N 0 3 241.762 2.853 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@@H]3CCC[C@H]3C2)o1 ZINC000356987245 387762364 /nfs/dbraw/zinc/76/23/64/387762364.db2.gz PEQAPMAKSRSZTD-SRVKXCTJSA-N 0 3 220.316 2.776 20 0 BFADHN CCn1nncc1CN[C@@H]1CCCC12CCCC2 ZINC000527912637 387765473 /nfs/dbraw/zinc/76/54/73/387765473.db2.gz FYYXOZJQQRRCHX-CYBMUJFWSA-N 0 3 248.374 2.501 20 0 BFADHN COc1cnccc1[C@H](C)N[C@H](C)[C@@H]1CC12CC2 ZINC000527892477 387776256 /nfs/dbraw/zinc/77/62/56/387776256.db2.gz JQKZHHQRMJTPPF-LOWVWBTDSA-N 0 3 246.354 2.929 20 0 BFADHN CCc1cc(N2CC[C@@H](C)[C@@H](OC)C2)ccn1 ZINC000450467368 387779726 /nfs/dbraw/zinc/77/97/26/387779726.db2.gz CUHFANIQKVVWGB-RISCZKNCSA-N 0 3 234.343 2.505 20 0 BFADHN CC(C)(C)n1cc(CN2CC[C@H](C3CC3)C2)cn1 ZINC000378350922 387779672 /nfs/dbraw/zinc/77/96/72/387779672.db2.gz VFGYNBRWKBCYRR-AWEZNQCLSA-N 0 3 247.386 2.870 20 0 BFADHN CCOc1ccc(CNCC2(F)CC2)cc1 ZINC000309445629 387780206 /nfs/dbraw/zinc/78/02/06/387780206.db2.gz JRANXCVPTSFUKP-UHFFFAOYSA-N 0 3 223.291 2.677 20 0 BFADHN Cc1ccoc1CN[C@@H](C)[C@@H]1CC12CC2 ZINC000527901563 387780188 /nfs/dbraw/zinc/78/01/88/387780188.db2.gz KYMUBWFHGJPRLY-QWRGUYRKSA-N 0 3 205.301 2.866 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCCC2CC(O)C2)o1 ZINC000432349900 387784641 /nfs/dbraw/zinc/78/46/41/387784641.db2.gz YLQOWVWXVVRIPR-BBCYWQGDSA-N 0 3 249.354 2.654 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1ccsc1 ZINC000157393043 387791919 /nfs/dbraw/zinc/79/19/19/387791919.db2.gz MTRSEUBNSYHFFT-KOLCDFICSA-N 0 3 213.346 2.560 20 0 BFADHN C[C@H](NCC[C@@H]1CCCOC1)c1cscn1 ZINC000527929115 387793073 /nfs/dbraw/zinc/79/30/73/387793073.db2.gz OIVBMYOMQVHEGM-QWRGUYRKSA-N 0 3 240.372 2.610 20 0 BFADHN C[C@@H](NCC[C@H]1CCCOC1)c1ccccn1 ZINC000527932333 387794603 /nfs/dbraw/zinc/79/46/03/387794603.db2.gz SFYCRQXBNSZFCW-CHWSQXEVSA-N 0 3 234.343 2.549 20 0 BFADHN C[C@@H](NCC[C@@H]1CCCOC1)c1ccco1 ZINC000527933963 387795943 /nfs/dbraw/zinc/79/59/43/387795943.db2.gz ZCKDGUJAIRDYQM-NEPJUHHUSA-N 0 3 223.316 2.747 20 0 BFADHN C[C@@H](NCC[C@H]1CCCOC1)c1ccco1 ZINC000527934206 387796798 /nfs/dbraw/zinc/79/67/98/387796798.db2.gz ZCKDGUJAIRDYQM-VXGBXAGGSA-N 0 3 223.316 2.747 20 0 BFADHN FC1(F)CC2(CN(CCC[C@H]3CCOC3)C2)C1 ZINC000645842523 387797355 /nfs/dbraw/zinc/79/73/55/387797355.db2.gz WTGOCZBLEGKHNL-NSHDSACASA-N 0 3 245.313 2.534 20 0 BFADHN C[C@@H](NCC12CC(C1)CO2)c1ccc(F)cc1 ZINC000527981024 387797921 /nfs/dbraw/zinc/79/79/21/387797921.db2.gz RANWAMCJZGYIPJ-CDWSIMAYSA-N 0 3 235.302 2.655 20 0 BFADHN C[C@H](NCC12CC(C1)CO2)c1ccsc1 ZINC000527979954 387798563 /nfs/dbraw/zinc/79/85/63/387798563.db2.gz NQDUTSARBJZPSD-BMQDGWLCSA-N 0 3 223.341 2.578 20 0 BFADHN COc1ccc(CCN[C@@H](C)c2ccoc2)cn1 ZINC000527988999 387803784 /nfs/dbraw/zinc/80/37/84/387803784.db2.gz WNKWNKDBCZDTEL-NSHDSACASA-N 0 3 246.310 2.577 20 0 BFADHN COc1ccc(CN[C@H]2C=CCCC2)cc1OC ZINC000151163688 387804156 /nfs/dbraw/zinc/80/41/56/387804156.db2.gz HQHXZNFGADNFIU-ZDUSSCGKSA-N 0 3 247.338 2.902 20 0 BFADHN COc1ccc(CN[C@@H]2C=CCCC2)cc1 ZINC000151160229 387804773 /nfs/dbraw/zinc/80/47/73/387804773.db2.gz FPBPKTGYMBPNMH-CYBMUJFWSA-N 0 3 217.312 2.894 20 0 BFADHN CC(C)C[C@H](C)N[C@H]1Cc2ccccc2NC1=O ZINC000527992200 387805607 /nfs/dbraw/zinc/80/56/07/387805607.db2.gz CAOGTFALJDUWIE-FZMZJTMJSA-N 0 3 246.354 2.574 20 0 BFADHN CC(C)O[C@@]1(C)C[C@H]1N[C@H](C)c1cccc(O)c1 ZINC000527996833 387805827 /nfs/dbraw/zinc/80/58/27/387805827.db2.gz GIZZXNYFSMHBQX-DFBGVHRSSA-N 0 3 249.354 2.999 20 0 BFADHN CC(C)C[C@H](C)N[C@@H]1Cc2ccccc2NC1=O ZINC000527992203 387806302 /nfs/dbraw/zinc/80/63/02/387806302.db2.gz CAOGTFALJDUWIE-SMDDNHRTSA-N 0 3 246.354 2.574 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@H](C)O[C@H]1C)c1ccco1 ZINC000527998098 387806345 /nfs/dbraw/zinc/80/63/45/387806345.db2.gz AUJZWOXMAPQFRS-XGZQDADWSA-N 0 3 223.316 2.742 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C)c1ccncc1 ZINC000528001837 387806983 /nfs/dbraw/zinc/80/69/83/387806983.db2.gz KGCMVZLDPYKMNO-FGPLHTHASA-N 0 3 234.343 2.544 20 0 BFADHN CCCCN(CCCC)C(=O)[C@H]1CCCN1C ZINC000356977035 387757707 /nfs/dbraw/zinc/75/77/07/387757707.db2.gz PCHKXDQCXWSHBT-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCO[C@@H](C)[C@H]2C)C1 ZINC000356983382 387759746 /nfs/dbraw/zinc/75/97/46/387759746.db2.gz MNZQCMQNHNWDPI-MQYQWHSLSA-N 0 3 225.376 2.922 20 0 BFADHN CCC1(C)CCN(Cc2ccc(CO)o2)CC1 ZINC000169065217 387811799 /nfs/dbraw/zinc/81/17/99/387811799.db2.gz VFNFYYSREJUBBB-UHFFFAOYSA-N 0 3 237.343 2.784 20 0 BFADHN Cc1cc2[nH]c(CN(C)C[C@@H](C)O)cc2c(C)c1 ZINC000361009542 177884490 /nfs/dbraw/zinc/88/44/90/177884490.db2.gz LIOOZIDABOURGC-GFCCVEGCSA-N 0 3 246.354 2.597 20 0 BFADHN C[C@@H](NC[C@@H]1CCN1C1CCCC1)c1ccco1 ZINC000528014952 387821697 /nfs/dbraw/zinc/82/16/97/387821697.db2.gz WARFBEKULVWLRJ-OCCSQVGLSA-N 0 3 248.370 2.947 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1ncccn1 ZINC000054459463 387827741 /nfs/dbraw/zinc/82/77/41/387827741.db2.gz FWSPQVXMESZSGA-RYUDHWBXSA-N 0 3 219.332 2.535 20 0 BFADHN Cc1ccnc([C@@H](C)NC2(C3CC3)CC2)c1 ZINC000309599289 387832217 /nfs/dbraw/zinc/83/22/17/387832217.db2.gz XEJGTHNPRTURKZ-LLVKDONJSA-N 0 3 216.328 2.983 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cc(C(F)(F)F)n[nH]1 ZINC000442804492 387843530 /nfs/dbraw/zinc/84/35/30/387843530.db2.gz WXXDRBDIBRDFAA-MRVPVSSYSA-N 0 3 249.280 2.906 20 0 BFADHN CCCN(CCC)C(=O)CN1CCCCCC1 ZINC000061744574 387845608 /nfs/dbraw/zinc/84/56/08/387845608.db2.gz XMGRNRZHOJYORN-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN CCN(Cc1n[nH]c(C(C)(C)C)n1)CC(C)C ZINC000432940454 387846652 /nfs/dbraw/zinc/84/66/52/387846652.db2.gz RKUHALVXKCXZJY-UHFFFAOYSA-N 0 3 238.379 2.580 20 0 BFADHN CC[C@H](C(=O)N[C@H](C)CCC=C(C)C)N(C)C ZINC000452538370 387852018 /nfs/dbraw/zinc/85/20/18/387852018.db2.gz CJGJJYFMBXIZQJ-CHWSQXEVSA-N 0 3 240.391 2.578 20 0 BFADHN CCCCCN1CCNCc2ccccc21 ZINC000062609151 387854437 /nfs/dbraw/zinc/85/44/37/387854437.db2.gz QSQYVTLBPRACBK-UHFFFAOYSA-N 0 3 218.344 2.786 20 0 BFADHN C[C@H]1CC[C@H](N(C)Cc2cnn3ccccc23)C1 ZINC000433040773 387855632 /nfs/dbraw/zinc/85/56/32/387855632.db2.gz UFRUOBAHZUDGGO-JSGCOSHPSA-N 0 3 243.354 2.955 20 0 BFADHN Cc1ccc(CNC[C@@H]2C[C@H]2C2CCC2)nc1 ZINC000424165423 387860859 /nfs/dbraw/zinc/86/08/59/387860859.db2.gz DQBZHSZAUYCLPJ-ZFWWWQNUSA-N 0 3 230.355 2.916 20 0 BFADHN CCc1ncc(CNC[C@@H]2C[C@H]2C2CCC2)cn1 ZINC000424170785 387861113 /nfs/dbraw/zinc/86/11/13/387861113.db2.gz JPDOZKAEZHJTQK-KBPBESRZSA-N 0 3 245.370 2.565 20 0 BFADHN CC[C@H](NCc1nc(C)cs1)[C@@H]1C[C@@H]1C ZINC000322048920 387870543 /nfs/dbraw/zinc/87/05/43/387870543.db2.gz PECVDSXZHKYUIE-GDPRMGEGSA-N 0 3 224.373 2.976 20 0 BFADHN CC[C@H](NCc1nc(C)cs1)[C@H]1C[C@H]1C ZINC000322048927 387870838 /nfs/dbraw/zinc/87/08/38/387870838.db2.gz PECVDSXZHKYUIE-MIMYLULJSA-N 0 3 224.373 2.976 20 0 BFADHN Cc1ccc(CN(CCO)CC2=CCCC2)cc1 ZINC000645853160 387870868 /nfs/dbraw/zinc/87/08/68/387870868.db2.gz XWKWLMLPZXCNDU-UHFFFAOYSA-N 0 3 245.366 2.900 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H]1CCc2c1cccc2F ZINC000527973552 387814387 /nfs/dbraw/zinc/81/43/87/387814387.db2.gz HXBWDPQLFQXMPD-KFWWJZLASA-N 0 3 249.329 2.970 20 0 BFADHN CCN(Cc1ccc(OC)cc1)[C@@H](C)COC ZINC000193981208 387814376 /nfs/dbraw/zinc/81/43/76/387814376.db2.gz MXQJPHPMOJUAHW-LBPRGKRZSA-N 0 3 237.343 2.552 20 0 BFADHN C[C@@H]1CN(CC2(C)CCC2)[C@H](C)[C@H](C)O1 ZINC000432788978 387819573 /nfs/dbraw/zinc/81/95/73/387819573.db2.gz OGKWCRGUQSYOLI-UTUOFQBUSA-N 0 3 211.349 2.674 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CC1=CCCC1 ZINC000645776819 387898959 /nfs/dbraw/zinc/89/89/59/387898959.db2.gz SANFQJUCDBJJKU-WCQYABFASA-N 0 3 209.333 2.596 20 0 BFADHN CSc1ccccc1[C@H](C)NCC(C)(C)O ZINC000194888498 387881999 /nfs/dbraw/zinc/88/19/99/387881999.db2.gz RIZFHUFIQQWVPP-JTQLQIEISA-N 0 3 239.384 2.830 20 0 BFADHN CC[C@@](C)(O)CN(C)Cc1cc(C)ccc1F ZINC000444742240 387918896 /nfs/dbraw/zinc/91/88/96/387918896.db2.gz WJHWXQTWFFZIPF-CQSZACIVSA-N 0 3 239.334 2.727 20 0 BFADHN CCC[C@]1(NCc2ccoc2C)CCOC1 ZINC000320990165 387902086 /nfs/dbraw/zinc/90/20/86/387902086.db2.gz IBHCAIUQBFKVCE-ZDUSSCGKSA-N 0 3 223.316 2.637 20 0 BFADHN c1cc([C@H]2CCCN(CC3=CCCC3)C2)n[nH]1 ZINC000645778955 387904916 /nfs/dbraw/zinc/90/49/16/387904916.db2.gz VJTIUSABUPMKIX-ZDUSSCGKSA-N 0 3 231.343 2.699 20 0 BFADHN Cc1noc([C@@H]2CCCN(CC3=CCCC3)C2)n1 ZINC000645781041 387907282 /nfs/dbraw/zinc/90/72/82/387907282.db2.gz TWVBTJRQHNQODJ-CYBMUJFWSA-N 0 3 247.342 2.668 20 0 BFADHN CC[C@H](NCc1nccn1CC)[C@@H]1CC1(C)C ZINC000397574381 387909825 /nfs/dbraw/zinc/90/98/25/387909825.db2.gz CWEHKSWZBPMBAN-RYUDHWBXSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1cc(C)cc(CN(C)CCn2ccnc2)c1 ZINC000444688593 387911240 /nfs/dbraw/zinc/91/12/40/387911240.db2.gz CBRDGKKXXYAPBB-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN CSCCN1CCC(OC2CCCC2)CC1 ZINC000195434117 387915060 /nfs/dbraw/zinc/91/50/60/387915060.db2.gz VAKAJICAROYNNN-UHFFFAOYSA-N 0 3 243.416 2.773 20 0 BFADHN CCc1cc(NC(=O)[C@H](N)[C@H](C)CC)ccc1C ZINC000237005755 387916334 /nfs/dbraw/zinc/91/63/34/387916334.db2.gz YZORNKIVRYEOBB-QMTHXVAHSA-N 0 3 248.370 2.869 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2C[C@]2(F)c2ccccc2)CCO1 ZINC000424209067 387930046 /nfs/dbraw/zinc/93/00/46/387930046.db2.gz YZOMSOFTAVCFFD-RZFFKMDDSA-N 0 3 249.329 2.781 20 0 BFADHN C[C@@H](Cc1ccsc1)N[C@@H](C)c1cnn(C)c1 ZINC000044500436 387930591 /nfs/dbraw/zinc/93/05/91/387930591.db2.gz KLKAYXPUTNWGDA-QWRGUYRKSA-N 0 3 249.383 2.763 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](C3CC3)C2)nn1C ZINC000424211506 387931313 /nfs/dbraw/zinc/93/13/13/387931313.db2.gz YAEAKVJRDBZGPO-UONOGXRCSA-N 0 3 247.386 2.787 20 0 BFADHN CCC[C@@H](C)CN1CCc2ncsc2C1 ZINC000438371493 387932248 /nfs/dbraw/zinc/93/22/48/387932248.db2.gz FYKXGLYRZCQJIL-SNVBAGLBSA-N 0 3 224.373 2.937 20 0 BFADHN CSc1ccccc1[C@@H](C)N[C@H]1CCOC1 ZINC000194936713 387933983 /nfs/dbraw/zinc/93/39/83/387933983.db2.gz RPIISVRMBNZEIK-MNOVXSKESA-N 0 3 237.368 2.848 20 0 BFADHN COCc1ccc(CN[C@@H]2C[C@H]2C2CCC2)o1 ZINC000424196004 387920562 /nfs/dbraw/zinc/92/05/62/387920562.db2.gz MSDCQWOIFRQQKV-UONOGXRCSA-N 0 3 235.327 2.704 20 0 BFADHN Cc1oncc1CN(CC1CCC1)C(C)C ZINC000444763176 387924013 /nfs/dbraw/zinc/92/40/13/387924013.db2.gz CELUPCHWJGQDBA-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1cc(CN(CC2CC2)C(C)C)on1 ZINC000533035661 387924171 /nfs/dbraw/zinc/92/41/71/387924171.db2.gz KTNOJBOYNAZZQX-UHFFFAOYSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@@H]1COCCN1C[C@H]1CCC[C@H](C)C1 ZINC000444768876 387924884 /nfs/dbraw/zinc/92/48/84/387924884.db2.gz VZCXZHPFXUPLIW-XQQFMLRXSA-N 0 3 211.349 2.533 20 0 BFADHN CCOC[C@H]1CCCN(c2ccnc(CC)c2)C1 ZINC000450332019 387924920 /nfs/dbraw/zinc/92/49/20/387924920.db2.gz MOPGXCIJHGHYET-ZDUSSCGKSA-N 0 3 248.370 2.897 20 0 BFADHN COc1ccnc(CN2CCC[C@H](C(C)C)C2)c1 ZINC000444774936 387927004 /nfs/dbraw/zinc/92/70/04/387927004.db2.gz YNFWTTHMQJDAFX-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN c1cc(-c2ccc(CN[C@@H]3C[C@H]4C[C@H]4C3)o2)n[nH]1 ZINC000424199602 387927380 /nfs/dbraw/zinc/92/73/80/387927380.db2.gz FMVRQKWXSJSVAW-JGPRNRPPSA-N 0 3 243.310 2.558 20 0 BFADHN C[C@@H](NC[C@H](O)CC(C)(C)C)c1ccccn1 ZINC000179473439 387928061 /nfs/dbraw/zinc/92/80/61/387928061.db2.gz RDHSOBNSHKXDAE-VXGBXAGGSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)CN[C@@H]1C[C@]1(F)c1ccccc1 ZINC000424202795 387929126 /nfs/dbraw/zinc/92/91/26/387929126.db2.gz SZPONEGOCPYVNW-OLZOCXBDSA-N 0 3 207.292 2.869 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C1CCC1)c1ccn(C)n1 ZINC000424284025 387952783 /nfs/dbraw/zinc/95/27/83/387952783.db2.gz BSTXLVJTHDUMSO-DRZSPHRISA-N 0 3 233.359 2.507 20 0 BFADHN CC[C@H](COC)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000079737045 387955856 /nfs/dbraw/zinc/95/58/56/387955856.db2.gz WMTZBHYMEBEQBZ-LOWVWBTDSA-N 0 3 237.343 2.918 20 0 BFADHN COc1c(O)cccc1CN[C@@H]1CC[C@@H](C)C1 ZINC000438543516 387943207 /nfs/dbraw/zinc/94/32/07/387943207.db2.gz BJTGEWNSVZYDMY-ZYHUDNBSSA-N 0 3 235.327 2.679 20 0 BFADHN COc1c(C)cnc(CNC2(C(C)C)CC2)c1C ZINC000293162753 387944444 /nfs/dbraw/zinc/94/44/44/387944444.db2.gz VQDXOCSZWXFVDK-UHFFFAOYSA-N 0 3 248.370 2.985 20 0 BFADHN CC[C@](C)(CN[C@H]1COCc2ccccc21)OC ZINC000322625900 387945022 /nfs/dbraw/zinc/94/50/22/387945022.db2.gz PFNRJKKSRXVUAZ-LSDHHAIUSA-N 0 3 249.354 2.663 20 0 BFADHN COC[C@@H](NCCC(C)C)c1ccc(C)o1 ZINC000184692008 387949359 /nfs/dbraw/zinc/94/93/59/387949359.db2.gz XBLHTYRFINQRND-GFCCVEGCSA-N 0 3 225.332 2.911 20 0 BFADHN C[C@H]1C[C@@H](NC[C@@H]2C[C@H]2C2CCC2)c2nccn21 ZINC000424296141 387956424 /nfs/dbraw/zinc/95/64/24/387956424.db2.gz QLBDVLHLOVONFZ-DOERSZECSA-N 0 3 245.370 2.915 20 0 BFADHN COc1cc(C)nc(CNC2(C(C)C)CC2)c1 ZINC000293165390 387958346 /nfs/dbraw/zinc/95/83/46/387958346.db2.gz VWWWICBCFJYZLM-UHFFFAOYSA-N 0 3 234.343 2.677 20 0 BFADHN CCOc1cccc(CN2CC(C)C2)c1 ZINC000438848668 387959205 /nfs/dbraw/zinc/95/92/05/387959205.db2.gz GMEKGMLXOUOQQK-UHFFFAOYSA-N 0 3 205.301 2.537 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2C[C@@H]3OCCC[C@@H]23)c1 ZINC000438917826 387963844 /nfs/dbraw/zinc/96/38/44/387963844.db2.gz IQPHGOACWNMMAE-CFVMTHIKSA-N 0 3 249.329 2.791 20 0 BFADHN C[C@@H]1CN(CC2=CCCC2)[C@H](C)[C@@H](C)O1 ZINC000645881963 387965253 /nfs/dbraw/zinc/96/52/53/387965253.db2.gz ZRWGVPXWALOLGK-IJLUTSLNSA-N 0 3 209.333 2.594 20 0 BFADHN CCCc1ccc([C@@H](C)N[C@@H]2CC[C@H]2O)cc1 ZINC000424317114 387967475 /nfs/dbraw/zinc/96/74/75/387967475.db2.gz NYJWXZNMELHWJI-KCPJHIHWSA-N 0 3 233.355 2.813 20 0 BFADHN F[C@]1(c2ccccc2)C[C@H]1N[C@H]1CCCOCC1 ZINC000424326177 387967531 /nfs/dbraw/zinc/96/75/31/387967531.db2.gz OLEDNSYBBHEUAO-ZNMIVQPWSA-N 0 3 249.329 2.782 20 0 BFADHN CC[C@@H]1c2ccsc2CCN1CC[C@H](C)O ZINC000438974881 387970487 /nfs/dbraw/zinc/97/04/87/387970487.db2.gz PQKSAERLHKEGFH-CMPLNLGQSA-N 0 3 239.384 2.828 20 0 BFADHN CC(C)OCCN[C@@H](c1cccnc1)C1CC1 ZINC000445809696 387971292 /nfs/dbraw/zinc/97/12/92/387971292.db2.gz GUGDKPPRQPBZDW-CQSZACIVSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@H](N[C@H](C)c1nnc(C)s1)[C@H]1C[C@H]1C ZINC000321177243 387957532 /nfs/dbraw/zinc/95/75/32/387957532.db2.gz ZEHCTMUZHWIMFY-HZQMYPQZSA-N 0 3 239.388 2.932 20 0 BFADHN CO[C@H]1C[C@@H](NCc2ccoc2C)C12CCC2 ZINC000453087557 387979615 /nfs/dbraw/zinc/97/96/15/387979615.db2.gz MJOXGTCIWWGXNN-OLZOCXBDSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2C[C@H]2C2CCC2)c2nccn21 ZINC000424327576 387974909 /nfs/dbraw/zinc/97/49/09/387974909.db2.gz MXMPOIAAAXKNDN-GWNIPJSYSA-N 0 3 231.343 2.667 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1C1CCC1)c1ccccn1 ZINC000424330422 387975933 /nfs/dbraw/zinc/97/59/33/387975933.db2.gz QOBBNIJBHSPYKQ-SCDSUCTJSA-N 0 3 216.328 2.921 20 0 BFADHN C[C@H](O)CCN(Cc1ccc(F)cc1)C1CC1 ZINC000439015256 387976664 /nfs/dbraw/zinc/97/66/64/387976664.db2.gz OSUSVWXZZRZWQQ-NSHDSACASA-N 0 3 237.318 2.561 20 0 BFADHN CC[C@H](C(=O)OC)N1CCCC2(CCCC2)C1 ZINC000445865100 387976935 /nfs/dbraw/zinc/97/69/35/387976935.db2.gz CWNVFNOQSIJTQL-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@H]1O)c1cc(C)ccc1OC ZINC000424332885 387977239 /nfs/dbraw/zinc/97/72/39/387977239.db2.gz TXVPGYNQACCYQU-BFHYXJOUSA-N 0 3 249.354 2.568 20 0 BFADHN CCC[C@H](C)CN1CCS[C@@H]2COCC[C@@H]21 ZINC000245862005 387988562 /nfs/dbraw/zinc/98/85/62/387988562.db2.gz PDRGTZIKXGSUBA-RWMBFGLXSA-N 0 3 243.416 2.629 20 0 BFADHN C[C@H](NC[C@]1(C)CCCC[C@H]1O)c1ccccn1 ZINC000245891399 387991058 /nfs/dbraw/zinc/99/10/58/387991058.db2.gz JQJJLRGEAFXILE-CFVMTHIKSA-N 0 3 248.370 2.673 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H](C)C(C)(C)C ZINC000453127081 387991079 /nfs/dbraw/zinc/99/10/79/387991079.db2.gz SROFKECHSMLACU-MNOVXSKESA-N 0 3 223.364 2.988 20 0 BFADHN CC(C)CO[C@H]1CCN(CC2=CCCC2)C1 ZINC000645814402 387992033 /nfs/dbraw/zinc/99/20/33/387992033.db2.gz ORTMWCZXOYLLQH-AWEZNQCLSA-N 0 3 223.360 2.844 20 0 BFADHN C[C@H]1CCN(Cc2cn3ccccc3n2)C[C@H]1C ZINC000245913663 387992495 /nfs/dbraw/zinc/99/24/95/387992495.db2.gz MVEQHZCIUUSEOC-QWHCGFSZSA-N 0 3 243.354 2.812 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1CC1(C)C ZINC000453130387 387993468 /nfs/dbraw/zinc/99/34/68/387993468.db2.gz CFSABJUNPBRAMH-QWRGUYRKSA-N 0 3 221.348 2.600 20 0 BFADHN CC[C@@H](N[C@@H](C)c1ccncn1)C(C)(C)C ZINC000453102927 387983863 /nfs/dbraw/zinc/98/38/63/387983863.db2.gz QYBBXDVHCIDXJC-CMPLNLGQSA-N 0 3 221.348 2.952 20 0 BFADHN CC[C@@H](NCCc1ccccn1)c1nccs1 ZINC000080304238 387986873 /nfs/dbraw/zinc/98/68/73/387986873.db2.gz XYPJAJVYSOGTEI-GFCCVEGCSA-N 0 3 247.367 2.822 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2ccncn2)cc1 ZINC000453144478 387997187 /nfs/dbraw/zinc/99/71/87/387997187.db2.gz MPNBMYFLJRYIDN-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H](C)C1(C)CC1 ZINC000453161962 388000544 /nfs/dbraw/zinc/00/05/44/388000544.db2.gz KLFABWCNZADPBV-MNOVXSKESA-N 0 3 221.348 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)NCC1(C2CC2)CC1 ZINC000453172349 388001991 /nfs/dbraw/zinc/00/19/91/388001991.db2.gz NCIGMLDNAOZCPU-NSHDSACASA-N 0 3 233.359 2.744 20 0 BFADHN CS[C@@H](CN[C@@H](C)c1cn[nH]c1)C(C)(C)C ZINC000453199183 388002017 /nfs/dbraw/zinc/00/20/17/388002017.db2.gz WJQNXHXHLAOOOK-ONGXEEELSA-N 0 3 241.404 2.838 20 0 BFADHN CSCCCN(C)Cc1ccc(C)nc1C ZINC000439475629 388002450 /nfs/dbraw/zinc/00/24/50/388002450.db2.gz XROWBZWMNTYRAV-UHFFFAOYSA-N 0 3 238.400 2.883 20 0 BFADHN Cc1cc([C@H](C)N[C@H](CO)CC(F)F)cs1 ZINC000453214100 388011127 /nfs/dbraw/zinc/01/11/27/388011127.db2.gz OZKCVEYNZLLSBX-WPRPVWTQSA-N 0 3 249.326 2.723 20 0 BFADHN CS[C@@H](C)CNCc1cccc(F)c1F ZINC000159314463 388007969 /nfs/dbraw/zinc/00/79/69/388007969.db2.gz NMPYRUFVVGCEMG-QMMMGPOBSA-N 0 3 231.311 2.806 20 0 BFADHN CC[C@@H](N[C@H](CO)CC(F)F)c1ccsc1 ZINC000453206102 388010141 /nfs/dbraw/zinc/01/01/41/388010141.db2.gz HTYJEQOWHQOILW-VHSXEESVSA-N 0 3 249.326 2.805 20 0 BFADHN Cc1cc(CN)cc(NC(=O)NCCC(C)C)c1 ZINC000424445409 388010970 /nfs/dbraw/zinc/01/09/70/388010970.db2.gz OVJJRNQNETYQFU-UHFFFAOYSA-N 0 3 249.358 2.621 20 0 BFADHN COC[C@@H](NC[C@@H]1CCC=CO1)c1ccccc1 ZINC000194439396 388024874 /nfs/dbraw/zinc/02/48/74/388024874.db2.gz QCXDHKUUNCLSOU-LSDHHAIUSA-N 0 3 247.338 2.656 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1CCC[C@H]1C ZINC000453218295 388012449 /nfs/dbraw/zinc/01/24/49/388012449.db2.gz PSXINVMLZNLWIU-AGIUHOORSA-N 0 3 235.375 2.990 20 0 BFADHN CC[C@@H](N[C@@H](C)[C@H](C)OC)c1ccncc1 ZINC000453221088 388014078 /nfs/dbraw/zinc/01/40/78/388014078.db2.gz MTONXYSUBFGNKC-GMXVVIOVSA-N 0 3 222.332 2.546 20 0 BFADHN CN(Cc1cc(Cl)cn1C)CC1CC1 ZINC000156700123 388023488 /nfs/dbraw/zinc/02/34/88/388023488.db2.gz WJEUYBISEYQFRP-UHFFFAOYSA-N 0 3 212.724 2.520 20 0 BFADHN CCC[C@@H](NCC1=CCOCC1)c1cccnc1 ZINC000453289792 388036337 /nfs/dbraw/zinc/03/63/37/388036337.db2.gz MSJYHXDMNYFFGP-OAHLLOKOSA-N 0 3 246.354 2.859 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1cncc(C)c1)OC ZINC000453295345 388037467 /nfs/dbraw/zinc/03/74/67/388037467.db2.gz GBODHDKDPNFUCX-JSGCOSHPSA-N 0 3 236.359 2.856 20 0 BFADHN CCC1(N[C@@H](C)c2ccncc2F)CC1 ZINC000453298189 388037884 /nfs/dbraw/zinc/03/78/84/388037884.db2.gz RZXKCYFRLVSNEI-VIFPVBQESA-N 0 3 208.280 2.814 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H](C)c1ccncc1F ZINC000453310111 388041275 /nfs/dbraw/zinc/04/12/75/388041275.db2.gz QWOSVEYQCOGDSY-MXWKQRLJSA-N 0 3 222.307 2.917 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H](C)c1nccn1CC ZINC000453309623 388042277 /nfs/dbraw/zinc/04/22/77/388042277.db2.gz QCICBTNTEZTSMX-TUAOUCFPSA-N 0 3 221.348 2.600 20 0 BFADHN CC[C@H](NCC1=CCCCC1)c1cnn(C)c1 ZINC000453258369 388028384 /nfs/dbraw/zinc/02/83/84/388028384.db2.gz VXKKHGXIACOHNY-AWEZNQCLSA-N 0 3 233.359 2.961 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@@H](C)c1cnccn1 ZINC000453277733 388031017 /nfs/dbraw/zinc/03/10/17/388031017.db2.gz BOMHGJODUFOYJV-YNEHKIRRSA-N 0 3 233.359 2.954 20 0 BFADHN Cc1cc([C@H](C)NC[C@](C)(O)C(C)C)c(C)o1 ZINC000160215010 388053850 /nfs/dbraw/zinc/05/38/50/388053850.db2.gz SLWOCTCSESYYFQ-FZMZJTMJSA-N 0 3 239.359 2.954 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CCC12CCC2 ZINC000453344801 388054250 /nfs/dbraw/zinc/05/42/50/388054250.db2.gz JFGIDXKAMVESEI-NWDGAFQWSA-N 0 3 233.359 2.886 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H]1COCC1(C)C ZINC000453343849 388054293 /nfs/dbraw/zinc/05/42/93/388054293.db2.gz DIZRFTZVULRZNW-DGCLKSJQSA-N 0 3 248.370 2.720 20 0 BFADHN CC[C@@H](N[C@@H]1COCC1(C)C)c1ccncc1 ZINC000453364348 388059999 /nfs/dbraw/zinc/05/99/99/388059999.db2.gz LJKWJDCPQNLYBZ-CHWSQXEVSA-N 0 3 234.343 2.547 20 0 BFADHN CSc1ccccc1[C@@H](C)N[C@H]1C[C@@H](O)C1 ZINC000453364288 388060137 /nfs/dbraw/zinc/06/01/37/388060137.db2.gz MWVOANLRLOOZRH-MXWKQRLJSA-N 0 3 237.368 2.582 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CN[C@H]1C[C@@H](C)n2ccnc21 ZINC000453365681 388061374 /nfs/dbraw/zinc/06/13/74/388061374.db2.gz STOASWZZIDEHEX-VOAKCMCISA-N 0 3 233.359 2.771 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](O)C2CCC2)oc1C ZINC000453371475 388062567 /nfs/dbraw/zinc/06/25/67/388062567.db2.gz GJWXRTGIVUNCIG-GWCFXTLKSA-N 0 3 237.343 2.708 20 0 BFADHN CCC(C)(C)CNCc1cc(C(F)(F)F)n[nH]1 ZINC000440626686 388063161 /nfs/dbraw/zinc/06/31/61/388063161.db2.gz GXQCYTVLOFGSCZ-UHFFFAOYSA-N 0 3 249.280 2.954 20 0 BFADHN CCc1ncc(CN[C@H]2CCC23CCC3)o1 ZINC000453378638 388064385 /nfs/dbraw/zinc/06/43/85/388064385.db2.gz KNGQOWKSRVRELH-NSHDSACASA-N 0 3 220.316 2.659 20 0 BFADHN CCC[C@@H](NC[C@H](O)C1CCC1)c1cccnc1 ZINC000453387186 388068000 /nfs/dbraw/zinc/06/80/00/388068000.db2.gz PMGPAHXZRYUVLG-CABCVRRESA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H]1C[C@H](NC[C@@H]2C[C@H]2C2CC2)c2nccn21 ZINC000453392865 388069692 /nfs/dbraw/zinc/06/96/92/388069692.db2.gz USPWFXMBBMVIKY-IXOXFDKPSA-N 0 3 231.343 2.525 20 0 BFADHN Cc1ccccc1C1(NC[C@@H](O)C(C)(C)C)CC1 ZINC000645980914 388114799 /nfs/dbraw/zinc/11/47/99/388114799.db2.gz RVDDXBOWPMRUSF-CQSZACIVSA-N 0 3 247.382 2.981 20 0 BFADHN C[C@@H](NC/C=C\c1ccccc1)c1ccnn1C ZINC000447532350 388075702 /nfs/dbraw/zinc/07/57/02/388075702.db2.gz SZONAKFHJHYSJR-OYVUYXNMSA-N 0 3 241.338 2.784 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C2CC2)C2CCC2)nn1C ZINC000453409737 388076251 /nfs/dbraw/zinc/07/62/51/388076251.db2.gz GGAUNNNHPAOSPL-ABAIWWIYSA-N 0 3 247.386 2.958 20 0 BFADHN Cc1cncc([C@H](C)NC[C@H]2CC[C@H](C)O2)c1 ZINC000453411209 388077156 /nfs/dbraw/zinc/07/71/56/388077156.db2.gz QEPJKSIYRINNTG-SGMGOOAPSA-N 0 3 234.343 2.608 20 0 BFADHN CCn1ccnc1CNC1C[C@@H](C)C[C@H](C)C1 ZINC000084461396 388077581 /nfs/dbraw/zinc/07/75/81/388077581.db2.gz HKEKORWPSMQZHD-RYUDHWBXSA-N 0 3 235.375 2.817 20 0 BFADHN OCCC1(CN[C@H]2CCCc3occc32)CCC1 ZINC000447575746 388080023 /nfs/dbraw/zinc/08/00/23/388080023.db2.gz UWZJXIBOUOOZTA-ZDUSSCGKSA-N 0 3 249.354 2.799 20 0 BFADHN CC[C@@H](N[C@@H](CC)c1cccc(C)c1)C(=O)NC ZINC000453426262 388081626 /nfs/dbraw/zinc/08/16/26/388081626.db2.gz YYOMNGTZSNCBGW-UONOGXRCSA-N 0 3 248.370 2.560 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@H](C)c1cnccn1 ZINC000453438942 388082233 /nfs/dbraw/zinc/08/22/33/388082233.db2.gz MGXFHSPMKPQWIM-KWCYVHTRSA-N 0 3 233.359 2.952 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@H](C)[C@H](C)C1 ZINC000085042042 388083082 /nfs/dbraw/zinc/08/30/82/388083082.db2.gz XMNGYVSBCAQYCI-YNEHKIRRSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1ccccc1C1(NC[C@@H](O)C(C)C)CC1 ZINC000645980801 388115060 /nfs/dbraw/zinc/11/50/60/388115060.db2.gz LVIPKWMPDJJRDK-CQSZACIVSA-N 0 3 233.355 2.591 20 0 BFADHN C[C@H](NCc1ccn(C2CCCC2)n1)C1CC1 ZINC000085173083 388084319 /nfs/dbraw/zinc/08/43/19/388084319.db2.gz RLKBJDSNRMBPDW-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN Cc1c[nH]nc1CN[C@@H](C)CC(C)(C)C ZINC000453595857 388088881 /nfs/dbraw/zinc/08/88/81/388088881.db2.gz FBEKLGVOZDNMGV-JTQLQIEISA-N 0 3 209.337 2.632 20 0 BFADHN C=Cn1cc(CN[C@H]2C[C@]2(CC)C(C)C)cn1 ZINC000453612956 388092212 /nfs/dbraw/zinc/09/22/12/388092212.db2.gz ODKFINJEMFVHSN-UONOGXRCSA-N 0 3 233.359 2.898 20 0 BFADHN C=Cn1cc(CN[C@@H]2C[C@]2(CC)C(C)C)cn1 ZINC000453612958 388092325 /nfs/dbraw/zinc/09/23/25/388092325.db2.gz ODKFINJEMFVHSN-ZIAGYGMSSA-N 0 3 233.359 2.898 20 0 BFADHN Cc1ccnc(NC[C@H]2N(C)CCCC2(C)C)c1 ZINC000447808886 388093690 /nfs/dbraw/zinc/09/36/90/388093690.db2.gz YYSSYOYOKPUBBW-CYBMUJFWSA-N 0 3 247.386 2.922 20 0 BFADHN C[C@@H]1CC[C@H](CNC/C=C\c2ccc(F)cc2)O1 ZINC000453632756 388095486 /nfs/dbraw/zinc/09/54/86/388095486.db2.gz QKBAFFPITJCPMR-QADGWQRPSA-N 0 3 249.329 2.996 20 0 BFADHN COc1cc(C)ccc1CNC[C@@H]1CC[C@H](C)O1 ZINC000453637466 388095698 /nfs/dbraw/zinc/09/56/98/388095698.db2.gz YHNUMQYBQIJEFO-JSGCOSHPSA-N 0 3 249.354 2.661 20 0 BFADHN CCCCCN1CCO[C@H](C(C)(C)C)C1 ZINC000447826722 388095714 /nfs/dbraw/zinc/09/57/14/388095714.db2.gz LMPOPTQKCMWLJC-LBPRGKRZSA-N 0 3 213.365 2.924 20 0 BFADHN CCOc1ccccc1[C@@H](C)NCC[C@@H](C)O ZINC000085981340 388098267 /nfs/dbraw/zinc/09/82/67/388098267.db2.gz WYXWGIXBXOJWBT-VXGBXAGGSA-N 0 3 237.343 2.507 20 0 BFADHN CC[C@H](NCC[C@H](C)O)c1ccccc1OC ZINC000085981607 388098496 /nfs/dbraw/zinc/09/84/96/388098496.db2.gz BYDWLZDXGPBNEH-AAEUAGOBSA-N 0 3 237.343 2.507 20 0 BFADHN CC(C)[C@H](CO)NCc1ccc(Cl)c(F)c1 ZINC000086122559 388100330 /nfs/dbraw/zinc/10/03/30/388100330.db2.gz QBMXNPOTONXTKQ-LBPRGKRZSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@H]1C[C@H](NCc2ccsc2)CS1 ZINC000086935953 388110865 /nfs/dbraw/zinc/11/08/65/388110865.db2.gz FATDUKYMQNWVDH-WPRPVWTQSA-N 0 3 213.371 2.732 20 0 BFADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1cccc(O)c1 ZINC000086935162 388111450 /nfs/dbraw/zinc/11/14/50/388111450.db2.gz OOOHDXZBHZBWIY-SCVCMEIPSA-N 0 3 237.368 2.937 20 0 BFADHN Cc1ccccc1C1(NC[C@H]2CCCO2)CC1 ZINC000645979158 388113995 /nfs/dbraw/zinc/11/39/95/388113995.db2.gz ZVAVVWFPYVMTMT-CYBMUJFWSA-N 0 3 231.339 2.753 20 0 BFADHN CCOC(=O)C[C@@](C)(NC[C@@H](F)CC)C(C)C ZINC000440504452 388047859 /nfs/dbraw/zinc/04/78/59/388047859.db2.gz WXLJFOTTXXVLTO-WCQYABFASA-N 0 3 247.354 2.692 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@@H]1C[C@H]1C(C)C ZINC000453331774 388049895 /nfs/dbraw/zinc/04/98/95/388049895.db2.gz AISXSBKICSKARM-AGIUHOORSA-N 0 3 235.375 2.846 20 0 BFADHN CCC[C@@H](CNCc1cc2cccnc2o1)OC ZINC000449377075 388187455 /nfs/dbraw/zinc/18/74/55/388187455.db2.gz NOIRXZBLMKWDRK-LBPRGKRZSA-N 0 3 248.326 2.733 20 0 BFADHN Cc1sccc1CN1CC([C@@H]2CCOC2)C1 ZINC000646182300 388160764 /nfs/dbraw/zinc/16/07/64/388160764.db2.gz SVNIOWBESWVDTI-GFCCVEGCSA-N 0 3 237.368 2.525 20 0 BFADHN CC1(F)CC(NC/C=C\c2ccncc2)C1 ZINC000449378765 388187677 /nfs/dbraw/zinc/18/76/77/388187677.db2.gz XTWYQRLYWWVFML-IHWYPQMZSA-N 0 3 220.291 2.575 20 0 BFADHN CCOC[C@H](C)NCc1cccc2ccoc21 ZINC000449256853 388163838 /nfs/dbraw/zinc/16/38/38/388163838.db2.gz FZYYYLMCRMWWQD-NSHDSACASA-N 0 3 233.311 2.947 20 0 BFADHN c1n[nH]cc1CN1CCC[C@H](c2ccccc2)C1 ZINC000646213239 388165353 /nfs/dbraw/zinc/16/53/53/388165353.db2.gz PBRLHQONFCJXFB-HNNXBMFYSA-N 0 3 241.338 2.789 20 0 BFADHN Cc1ccc(CN2C[C@H](C)[C@@H]2C)cc1F ZINC000646252569 388170684 /nfs/dbraw/zinc/17/06/84/388170684.db2.gz SFTFPWNRWUTWJA-QWRGUYRKSA-N 0 3 207.292 2.974 20 0 BFADHN Cc1cc(CCNCc2csc(C)c2)on1 ZINC000449322285 388172550 /nfs/dbraw/zinc/17/25/50/388172550.db2.gz OWOHNGOXOIUEQP-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN Cc1oncc1CN1CCC[C@H](C)[C@H]1C ZINC000249288887 388173355 /nfs/dbraw/zinc/17/33/55/388173355.db2.gz QGLDKUAEJFQMDN-VHSXEESVSA-N 0 3 208.305 2.603 20 0 BFADHN CCC(CC)N(CC)Cc1c(C)nnn1CC ZINC000449328924 388173406 /nfs/dbraw/zinc/17/34/06/388173406.db2.gz LRPPXJYWDILWJT-UHFFFAOYSA-N 0 3 238.379 2.617 20 0 BFADHN Cc1oncc1CN1CCC[C@@H](C)[C@H]1C ZINC000249288885 388173597 /nfs/dbraw/zinc/17/35/97/388173597.db2.gz QGLDKUAEJFQMDN-NXEZZACHSA-N 0 3 208.305 2.603 20 0 BFADHN CCN(Cc1cccc(C)c1F)C[C@H](C)OC ZINC000449335473 388175284 /nfs/dbraw/zinc/17/52/84/388175284.db2.gz IRUCQXRMEJQLPG-LBPRGKRZSA-N 0 3 239.334 2.991 20 0 BFADHN CCCC[C@H](C)N(C)Cc1c(C)nnn1CC ZINC000449340806 388175685 /nfs/dbraw/zinc/17/56/85/388175685.db2.gz XKQNFDDVGNDOGJ-NSHDSACASA-N 0 3 238.379 2.617 20 0 BFADHN COc1ccncc1CN[C@H]1CCCC12CCC2 ZINC000449340359 388176047 /nfs/dbraw/zinc/17/60/47/388176047.db2.gz OCRUXZWZENVZFU-AWEZNQCLSA-N 0 3 246.354 2.903 20 0 BFADHN CCOCC(C)(C)NCc1cc2cccnc2o1 ZINC000449337182 388176122 /nfs/dbraw/zinc/17/61/22/388176122.db2.gz AKKMHRXLQOMEGK-UHFFFAOYSA-N 0 3 248.326 2.733 20 0 BFADHN CC[C@H](CNCc1cccc2ccoc21)OC ZINC000449341382 388176979 /nfs/dbraw/zinc/17/69/79/388176979.db2.gz YWPGTQQDRLSBIC-CYBMUJFWSA-N 0 3 233.311 2.947 20 0 BFADHN C[C@H](F)CCNCc1ccnn1C1CCCC1 ZINC000449343782 388177230 /nfs/dbraw/zinc/17/72/30/388177230.db2.gz MZJGFBWNOXHROC-NSHDSACASA-N 0 3 239.338 2.836 20 0 BFADHN COC[C@@H](C)N[C@H]1CCCc2c(F)cccc21 ZINC000449348487 388178765 /nfs/dbraw/zinc/17/87/65/388178765.db2.gz GTMYLSFKYARUNY-YGRLFVJLSA-N 0 3 237.318 2.828 20 0 BFADHN COc1cc2c(cc1F)CN(C[C@@H]1C[C@@H]1C)CC2 ZINC000488457344 388180094 /nfs/dbraw/zinc/18/00/94/388180094.db2.gz JBJANGMVFOWEHP-JQWIXIFHSA-N 0 3 249.329 2.848 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cccnc1OC ZINC000488449573 388180602 /nfs/dbraw/zinc/18/06/02/388180602.db2.gz OJSIEVULPXBZFZ-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN COc1c(C)cnc(CN(C)C[C@H]2C[C@H]2C)c1C ZINC000488520381 388182137 /nfs/dbraw/zinc/18/21/37/388182137.db2.gz QQNPHIOZTCMAEK-ZWNOBZJWSA-N 0 3 248.370 2.795 20 0 BFADHN COCC[C@H](NC[C@H]1C[C@@H]1C)c1ccc(C)o1 ZINC000488519563 388182353 /nfs/dbraw/zinc/18/23/53/388182353.db2.gz GSZMFESBPMTIFD-UHTWSYAYSA-N 0 3 237.343 2.911 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)C2CC2)[n-]1 ZINC000488496336 388182370 /nfs/dbraw/zinc/18/23/70/388182370.db2.gz DPPOGVRTTUFPCL-AEJSXWLSSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)N[C@H](C)C2CC2)[nH]1 ZINC000488496336 388182372 /nfs/dbraw/zinc/18/23/72/388182372.db2.gz DPPOGVRTTUFPCL-AEJSXWLSSA-N 0 3 236.363 2.767 20 0 BFADHN C[C@@H](c1cccnc1)N(C)C[C@H]1C[C@H]1C ZINC000488578915 388188649 /nfs/dbraw/zinc/18/86/49/388188649.db2.gz IGPCGHXUKAYSQO-NTZNESFSSA-N 0 3 204.317 2.730 20 0 BFADHN CCC(CC)CN(CC)[C@@H](CC)C(=O)OC ZINC000488539796 388186056 /nfs/dbraw/zinc/18/60/56/388186056.db2.gz KLNOWEVICRCVDP-LBPRGKRZSA-N 0 3 229.364 2.696 20 0 BFADHN Fc1ccccc1C1(NC[C@H]2CCOC2)CCC1 ZINC000646024811 388126133 /nfs/dbraw/zinc/12/61/33/388126133.db2.gz QBHKDGAQSZJRNN-GFCCVEGCSA-N 0 3 249.329 2.831 20 0 BFADHN CC[C@@H](C)NC(=O)[C@@H](C)N(C)CCC(C)(C)C ZINC000646027303 388127195 /nfs/dbraw/zinc/12/71/95/388127195.db2.gz DBOIIJANXXOQFY-VXGBXAGGSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1nn(C)cc1CN(C)CCC(C)(C)C ZINC000646028878 388128359 /nfs/dbraw/zinc/12/83/59/388128359.db2.gz GZGNRNXUEJBTJC-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN CCOCCN(CC)Cc1cc2cccnc2o1 ZINC000449017556 388130674 /nfs/dbraw/zinc/13/06/74/388130674.db2.gz IHYDXYXJNMZHPS-UHFFFAOYSA-N 0 3 248.326 2.686 20 0 BFADHN COc1cc(F)cc(CN2CCC[C@H]2C)c1 ZINC000449024305 388131854 /nfs/dbraw/zinc/13/18/54/388131854.db2.gz ABNQMYLCNLZSGP-SNVBAGLBSA-N 0 3 223.291 2.819 20 0 BFADHN OCC[C@@H](NC1CCCC1)c1ccccc1F ZINC000646069452 388136347 /nfs/dbraw/zinc/13/63/47/388136347.db2.gz BMRPHNTYDAJEKE-CQSZACIVSA-N 0 3 237.318 2.781 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1ccccc1F ZINC000164065539 388144578 /nfs/dbraw/zinc/14/45/78/388144578.db2.gz KKVUABKJSQDHML-NXEZZACHSA-N 0 3 211.280 2.511 20 0 BFADHN CCSCC[C@@H](C)N[C@@H](C)c1nccn1C ZINC000162294650 388144779 /nfs/dbraw/zinc/14/47/79/388144779.db2.gz WVOCTRZQYIHQIS-MNOVXSKESA-N 0 3 241.404 2.602 20 0 BFADHN Cc1cccc(CNCC[C@@H](O)C(C)C)c1F ZINC000449153618 388149922 /nfs/dbraw/zinc/14/99/22/388149922.db2.gz KKRQHMCYIGIPHR-CYBMUJFWSA-N 0 3 239.334 2.631 20 0 BFADHN C[C@H](NCc1ccncc1F)C1CCCC1 ZINC000449157402 388150370 /nfs/dbraw/zinc/15/03/70/388150370.db2.gz VHXOTAOLHBEJJH-JTQLQIEISA-N 0 3 222.307 2.889 20 0 BFADHN COc1ccc(F)cc1CNC1CC(C)(F)C1 ZINC000449376936 388187202 /nfs/dbraw/zinc/18/72/02/388187202.db2.gz QEQORLRSAITIOC-UHFFFAOYSA-N 0 3 241.281 2.815 20 0 BFADHN C[C@H]1CN(CCCCF)CC2(CCCC2)O1 ZINC000646135571 388152308 /nfs/dbraw/zinc/15/23/08/388152308.db2.gz SJBKPTFXFYAZKJ-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN c1c2cccnc2oc1CNC[C@H]1CCCS1 ZINC000449182396 388153825 /nfs/dbraw/zinc/15/38/25/388153825.db2.gz AMOYNGNXNGXCBE-GFCCVEGCSA-N 0 3 248.351 2.813 20 0 BFADHN CC(C)C1(CNCc2cscn2)CC1 ZINC000165598491 388253076 /nfs/dbraw/zinc/25/30/76/388253076.db2.gz TUJUGGSCXRGHCN-UHFFFAOYSA-N 0 3 210.346 2.669 20 0 BFADHN C[C@H](NCCO)c1cccc(Cl)c1Cl ZINC000166474654 388255305 /nfs/dbraw/zinc/25/53/05/388255305.db2.gz ZXECAXRJEBDCBS-ZETCQYMHSA-N 0 3 234.126 2.636 20 0 BFADHN c1cc2cc(CNCC3=CCCOC3)ccc2[nH]1 ZINC000379737109 388255871 /nfs/dbraw/zinc/25/58/71/388255871.db2.gz QLNBMNDOQWUJPS-UHFFFAOYSA-N 0 3 242.322 2.604 20 0 BFADHN C[C@H](CCCO)CNCc1ccsc1Cl ZINC000312607651 256526355 /nfs/dbraw/zinc/52/63/55/256526355.db2.gz SWNMZIAZQKMSGK-SECBINFHSA-N 0 3 247.791 2.900 20 0 BFADHN Cc1cnn([C@@H]2CCN(CC3=CCCC3)C2)c1 ZINC000645919753 388260865 /nfs/dbraw/zinc/26/08/65/388260865.db2.gz WQOXYOPKIKPDNY-CQSZACIVSA-N 0 3 231.343 2.549 20 0 BFADHN CS[C@H](CO)[C@H](C)N[C@@H](C)c1ccsc1 ZINC000168017203 388256632 /nfs/dbraw/zinc/25/66/32/388256632.db2.gz WLMAXEHQESEESU-ATZCPNFKSA-N 0 3 245.413 2.511 20 0 BFADHN FCC(CF)N[C@H]1CCCC[C@H]1C1CC1 ZINC000449381546 388189016 /nfs/dbraw/zinc/18/90/16/388189016.db2.gz SOAPCYICPHAVQF-RYUDHWBXSA-N 0 3 217.303 2.852 20 0 BFADHN COCC[C@@H](C)N1CCC[C@@H](C(F)(F)F)C1 ZINC000439325702 388195350 /nfs/dbraw/zinc/19/53/50/388195350.db2.gz SJMQDTPJZZQHMS-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN COC[C@H](CC(C)C)NCc1ccnc(C)c1 ZINC000449403516 388195453 /nfs/dbraw/zinc/19/54/53/388195453.db2.gz QJONPHLCZWIYRE-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN CC(C)CC[C@H](C)[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000449404930 388196583 /nfs/dbraw/zinc/19/65/83/388196583.db2.gz GTSAPJSARGJQEQ-JTQLQIEISA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)CC[C@H](C)NCc1nnc(C2CC2)[nH]1 ZINC000449404930 388196585 /nfs/dbraw/zinc/19/65/85/388196585.db2.gz GTSAPJSARGJQEQ-JTQLQIEISA-N 0 3 236.363 2.596 20 0 BFADHN CC[C@@H](CN(CC)C1COC1)c1ccccc1 ZINC000449421686 388200761 /nfs/dbraw/zinc/20/07/61/388200761.db2.gz BAYRMFRANMQOJU-ZDUSSCGKSA-N 0 3 233.355 2.901 20 0 BFADHN CCN(C[C@H]1C[C@H]1c1ccccc1)C1COC1 ZINC000449421993 388201295 /nfs/dbraw/zinc/20/12/95/388201295.db2.gz BYCWGFHQKUUWHP-HIFRSBDPSA-N 0 3 231.339 2.511 20 0 BFADHN c1cc(CN2CC[C@@H]2C2CC2)cc2c1OCC2 ZINC000449428600 388202432 /nfs/dbraw/zinc/20/24/32/388202432.db2.gz VOJWGAMDZRSVMR-CQSZACIVSA-N 0 3 229.323 2.606 20 0 BFADHN COc1ccc(CN2CC[C@@H]2C2CC2)c(OC)c1 ZINC000449428133 388202749 /nfs/dbraw/zinc/20/27/49/388202749.db2.gz UGFYDOJJDSFPAJ-CQSZACIVSA-N 0 3 247.338 2.688 20 0 BFADHN COc1ccc(OC)c(CN2CC[C@H]2C2CC2)c1 ZINC000449429574 388203454 /nfs/dbraw/zinc/20/34/54/388203454.db2.gz XAVDEOCCLKQPBK-AWEZNQCLSA-N 0 3 247.338 2.688 20 0 BFADHN CCc1ccc(CN2CC[C@@H]2C2CC2)cn1 ZINC000449430557 388203691 /nfs/dbraw/zinc/20/36/91/388203691.db2.gz XXXZAPLDNIMSKD-CQSZACIVSA-N 0 3 216.328 2.628 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1ncc(C)n1C ZINC000449439886 388206845 /nfs/dbraw/zinc/20/68/45/388206845.db2.gz AVMIZIRRHYRTCY-LBPRGKRZSA-N 0 3 235.375 2.953 20 0 BFADHN CC/C=C\CNCc1ccc2c[nH]nc2c1 ZINC000449453808 388210260 /nfs/dbraw/zinc/21/02/60/388210260.db2.gz IQCKLSPVMRPPLO-ARJAWSKDSA-N 0 3 215.300 2.619 20 0 BFADHN CCC[C@@H](NCc1nccn1C)C(C)(C)C ZINC000449464992 388212198 /nfs/dbraw/zinc/21/21/98/388212198.db2.gz ANTHIPKTYAWLMQ-LLVKDONJSA-N 0 3 223.364 2.725 20 0 BFADHN CC/C=C/CNCc1cnc2cc(C)ccn12 ZINC000449464540 388212270 /nfs/dbraw/zinc/21/22/70/388212270.db2.gz YLZZBMXSABEPPG-SNAWJCMRSA-N 0 3 229.327 2.699 20 0 BFADHN CC(=O)Nc1cccc(CN(C)[C@@H]2CC2(C)C)c1 ZINC000489420196 388212711 /nfs/dbraw/zinc/21/27/11/388212711.db2.gz DOGXFNADUIRDCW-CQSZACIVSA-N 0 3 246.354 2.875 20 0 BFADHN c1coc(CNC[C@@H]2CCOC3(CCC3)C2)c1 ZINC000449474050 388213559 /nfs/dbraw/zinc/21/35/59/388213559.db2.gz IZXYDYONFSDVBX-GFCCVEGCSA-N 0 3 235.327 2.719 20 0 BFADHN COc1ccc(CN(C)[C@@H]2CC2(C)C)cc1 ZINC000489452622 388215086 /nfs/dbraw/zinc/21/50/86/388215086.db2.gz VPGANFYVAGIKLY-CYBMUJFWSA-N 0 3 219.328 2.926 20 0 BFADHN Cc1csc(CN[C@@H](C)COC(C)C)c1 ZINC000449480426 388215281 /nfs/dbraw/zinc/21/52/81/388215281.db2.gz OFPMJQWUFBSRMG-NSHDSACASA-N 0 3 227.373 2.960 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cc(C(F)(F)F)n[nH]1 ZINC000449483312 388216091 /nfs/dbraw/zinc/21/60/91/388216091.db2.gz IEQACXSJGSNKGE-SFYZADRCSA-N 0 3 247.264 2.564 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cc(OC)ccc1F ZINC000449485661 388216140 /nfs/dbraw/zinc/21/61/40/388216140.db2.gz RBIBLYSNXROCCI-MNOVXSKESA-N 0 3 237.318 2.970 20 0 BFADHN CN(C)c1ccccc1CN(C)[C@@H]1CC1(C)C ZINC000489482865 388217861 /nfs/dbraw/zinc/21/78/61/388217861.db2.gz SIHAKACFJFXDNI-CQSZACIVSA-N 0 3 232.371 2.983 20 0 BFADHN CN(CCOc1ccc(F)cc1)[C@H]1CC1(C)C ZINC000489487052 388218516 /nfs/dbraw/zinc/21/85/16/388218516.db2.gz ZXZAKVKROYGPBP-ZDUSSCGKSA-N 0 3 237.318 2.935 20 0 BFADHN CCO[C@@H]1CCN(Cc2occc2C)C[C@H]1C ZINC000449496036 388219063 /nfs/dbraw/zinc/21/90/63/388219063.db2.gz PHSRTDCWPNRXJT-CHWSQXEVSA-N 0 3 237.343 2.835 20 0 BFADHN CC[C@H](C)CN1CCOc2ccc(OC)cc2C1 ZINC000449529749 388225271 /nfs/dbraw/zinc/22/52/71/388225271.db2.gz ZRCRLDILYLIBDB-LBPRGKRZSA-N 0 3 249.354 2.936 20 0 BFADHN C[C@@H]1CCN(CCc2ccc(F)c(F)c2)C1 ZINC000489846156 388225834 /nfs/dbraw/zinc/22/58/34/388225834.db2.gz PLFSLBIAOFQURT-SNVBAGLBSA-N 0 3 225.282 2.849 20 0 BFADHN C=Cn1cc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)cn1 ZINC000449545288 388228530 /nfs/dbraw/zinc/22/85/30/388228530.db2.gz YNKDEGJXADOQFD-GJZGRUSLSA-N 0 3 243.354 2.604 20 0 BFADHN c1coc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)c1 ZINC000449544505 388228539 /nfs/dbraw/zinc/22/85/39/388228539.db2.gz WGYHSJXEIWKZMT-UONOGXRCSA-N 0 3 217.312 2.900 20 0 BFADHN Cc1nn(C)cc1CN1C[C@@H](C(C)C)[C@H]1C(C)C ZINC000449553752 388231231 /nfs/dbraw/zinc/23/12/31/388231231.db2.gz MRQQXEGZSOJNQC-LSDHHAIUSA-N 0 3 249.402 2.841 20 0 BFADHN Cc1c[nH]nc1CN1C[C@H](C(C)C)[C@H]1C(C)C ZINC000449559983 388231876 /nfs/dbraw/zinc/23/18/76/388231876.db2.gz ZZNQRNAQXGXOGK-TZMCWYRMSA-N 0 3 235.375 2.831 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1nccn1CC ZINC000449562244 388233009 /nfs/dbraw/zinc/23/30/09/388233009.db2.gz IFSYTQZOHCYMTD-TUAOUCFPSA-N 0 3 223.364 2.988 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1nccn1CC ZINC000449562241 388233123 /nfs/dbraw/zinc/23/31/23/388233123.db2.gz IFSYTQZOHCYMTD-QJPTWQEYSA-N 0 3 223.364 2.988 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000449568788 388234553 /nfs/dbraw/zinc/23/45/53/388234553.db2.gz DDZOWGKVQXIMJC-WZRBSPASSA-N 0 3 245.326 2.703 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CC[C@H]2C2CC2)c2nccn21 ZINC000449574500 388236776 /nfs/dbraw/zinc/23/67/76/388236776.db2.gz UXVCNJKULRXUDU-MGAJPHDKSA-N 0 3 231.343 2.667 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1ccc(Cl)s1 ZINC000164521787 388239858 /nfs/dbraw/zinc/23/98/58/388239858.db2.gz SAABDDOMQFLBDH-YUMQZZPRSA-N 0 3 233.764 2.651 20 0 BFADHN CCc1ncc(CN[C@@H](C)C2CCCC2)o1 ZINC000449607462 388241408 /nfs/dbraw/zinc/24/14/08/388241408.db2.gz BDKRIXPUPMTDDA-JTQLQIEISA-N 0 3 222.332 2.905 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC[C@H]3C[C@]32C)o1 ZINC000449608857 388241530 /nfs/dbraw/zinc/24/15/30/388241530.db2.gz KRYUYIXTYWRFJM-ZKYQVNSYSA-N 0 3 234.343 2.905 20 0 BFADHN CCc1ncc(CN[C@H](C)C2CCC2)o1 ZINC000449609852 388242877 /nfs/dbraw/zinc/24/28/77/388242877.db2.gz OPXBRZKAKWBIBA-SECBINFHSA-N 0 3 208.305 2.515 20 0 BFADHN CCCc1ncc(CN[C@H]2CC[C@H](C)C2)o1 ZINC000449611979 388243709 /nfs/dbraw/zinc/24/37/09/388243709.db2.gz IYDPYWQMVRFIJX-QWRGUYRKSA-N 0 3 222.332 2.905 20 0 BFADHN C1=CC[C@@H](NCc2cnc(C3CC3)nc2)CC1 ZINC000459445647 388244430 /nfs/dbraw/zinc/24/44/30/388244430.db2.gz NGLFNBRQMYWAFO-CYBMUJFWSA-N 0 3 229.327 2.552 20 0 BFADHN C[C@@H](c1ccc2c(c1)CCC2)N1CCC[C@H](O)C1 ZINC000459443612 388244887 /nfs/dbraw/zinc/24/48/87/388244887.db2.gz QLPHLWYYTBBIBH-LRDDRELGSA-N 0 3 245.366 2.693 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2ccc(C)o2)C12CCC2 ZINC000449628181 388244912 /nfs/dbraw/zinc/24/49/12/388244912.db2.gz BPEBRFAOGIPZLS-KGLIPLIRSA-N 0 3 249.354 2.977 20 0 BFADHN CC[C@@H](O)CCCNCc1ccc(Cl)o1 ZINC000164691548 388245665 /nfs/dbraw/zinc/24/56/65/388245665.db2.gz NYDLWMSCBJDVFY-SECBINFHSA-N 0 3 231.723 2.574 20 0 BFADHN CO[C@@H]1C[C@H](N(C)Cc2occc2C)C12CCC2 ZINC000449634158 388246936 /nfs/dbraw/zinc/24/69/36/388246936.db2.gz RBQRESSMGHVHPY-UONOGXRCSA-N 0 3 249.354 2.977 20 0 BFADHN CC[C@H](O)[C@H](CC)N[C@H](C)c1cc(C)ccn1 ZINC000449645396 388247882 /nfs/dbraw/zinc/24/78/82/388247882.db2.gz LSVSLQRDZGEZLV-DYEKYZERSA-N 0 3 236.359 2.590 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000451625864 388285531 /nfs/dbraw/zinc/28/55/31/388285531.db2.gz ZCRPETSVGBJSFH-RBSFLKMASA-N 0 3 249.329 2.970 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1(C)C)c1ccsc1 ZINC000163365874 388268602 /nfs/dbraw/zinc/26/86/02/388268602.db2.gz BKASCYXBYVXCSF-LSJOCFKGSA-N 0 3 225.357 2.558 20 0 BFADHN CCc1nc(C)c(CN2CCC(CF)CC2)o1 ZINC000451395231 388269169 /nfs/dbraw/zinc/26/91/69/388269169.db2.gz QCDIFEKCSQZSLR-UHFFFAOYSA-N 0 3 240.322 2.727 20 0 BFADHN CCC[C@@H](NCc1cnns1)C(C)(C)C ZINC000451417540 388270631 /nfs/dbraw/zinc/27/06/31/388270631.db2.gz BWXSSDPCZPKPKJ-SNVBAGLBSA-N 0 3 227.377 2.843 20 0 BFADHN C[C@@H]1[C@H](O)CCCN1CCCCC(F)(F)F ZINC000451452147 388274474 /nfs/dbraw/zinc/27/44/74/388274474.db2.gz GUBDWSPALDLDOR-NXEZZACHSA-N 0 3 239.281 2.564 20 0 BFADHN CO[C@@H](C)CCNCc1ccc(Cl)cc1F ZINC000275680538 388344914 /nfs/dbraw/zinc/34/49/14/388344914.db2.gz YZGABJINORIWHT-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN COC[C@H](NCc1ccoc1)C1CCCC1 ZINC000275885916 388346478 /nfs/dbraw/zinc/34/64/78/388346478.db2.gz PYWSQTQYDHOPGE-ZDUSSCGKSA-N 0 3 223.316 2.574 20 0 BFADHN Cc1cncc(CN2CCCCCC2)c1 ZINC000276094165 388346783 /nfs/dbraw/zinc/34/67/83/388346783.db2.gz ZGYIAHDNDRBNDH-UHFFFAOYSA-N 0 3 204.317 2.766 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cc(F)cc(Cl)c1 ZINC000276106606 388346837 /nfs/dbraw/zinc/34/68/37/388346837.db2.gz SXZXCWVQCLLJNP-IUCAKERBSA-N 0 3 245.725 2.992 20 0 BFADHN CO[C@@H](C)CNCc1ccc(Cl)cc1F ZINC000276195349 388347563 /nfs/dbraw/zinc/34/75/63/388347563.db2.gz MNKVBXPKBCUWDA-QMMMGPOBSA-N 0 3 231.698 2.604 20 0 BFADHN CCSc1cccc(CNC[C@@H](C)OC)c1 ZINC000276155752 388347684 /nfs/dbraw/zinc/34/76/84/388347684.db2.gz FRYDPUNYZWBGQW-LLVKDONJSA-N 0 3 239.384 2.923 20 0 BFADHN CC(C)[C@@H]1C[C@H](NCc2ccco2)CS1 ZINC000277254521 388353331 /nfs/dbraw/zinc/35/33/31/388353331.db2.gz OIGIUNAJSSVJPW-JQWIXIFHSA-N 0 3 225.357 2.899 20 0 BFADHN Cc1ccc(CNC2CC(F)(F)C2)cc1 ZINC000277647880 388354186 /nfs/dbraw/zinc/35/41/86/388354186.db2.gz MMBRRLLWIACCEZ-UHFFFAOYSA-N 0 3 211.255 2.882 20 0 BFADHN Cc1cc(C)nc(NC[C@H]2CCSC2)c1 ZINC000278293011 388354798 /nfs/dbraw/zinc/35/47/98/388354798.db2.gz BNRYJIBVRRUQIW-LLVKDONJSA-N 0 3 222.357 2.863 20 0 BFADHN CC1(C)OCC[C@@H]1NCc1cc(F)cc(F)c1 ZINC000293687307 388358025 /nfs/dbraw/zinc/35/80/25/388358025.db2.gz KSUHFDRPYVNJIT-LBPRGKRZSA-N 0 3 241.281 2.622 20 0 BFADHN CO[C@H](CNCc1ccsc1C)C(C)C ZINC000293694054 388359304 /nfs/dbraw/zinc/35/93/04/388359304.db2.gz WMRLENIGMQGYJV-GFCCVEGCSA-N 0 3 227.373 2.817 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCNc3ccccc32)CO1 ZINC000572642016 388362834 /nfs/dbraw/zinc/36/28/34/388362834.db2.gz IXTCBRKENCMEDA-LALPHHSUSA-N 0 3 246.354 2.700 20 0 BFADHN CC1(C)CC(CNCc2ccncc2F)C1 ZINC000519076372 256642604 /nfs/dbraw/zinc/64/26/04/256642604.db2.gz RXRHTGNJEPCNKS-UHFFFAOYSA-N 0 3 222.307 2.747 20 0 BFADHN Fc1cnccc1CNCCCC1CC1 ZINC000572691652 388366438 /nfs/dbraw/zinc/36/64/38/388366438.db2.gz YEMBHXVWVMAIPW-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN C[C@H](CN1CCC(=O)[C@H](C)C1)C(C)(C)C ZINC000162957660 388366782 /nfs/dbraw/zinc/36/67/82/388366782.db2.gz NNEDWKFGUKXBRR-GHMZBOCLSA-N 0 3 211.349 2.580 20 0 BFADHN CCC[C@@H]1CCCC[C@@H]1NCc1cc[nH]n1 ZINC000163842518 388368755 /nfs/dbraw/zinc/36/87/55/388368755.db2.gz GACPGBHDTDHVHD-YPMHNXCESA-N 0 3 221.348 2.858 20 0 BFADHN CO[C@](C)(CN[C@@H](C)c1cncs1)C1CC1 ZINC000291206089 388372932 /nfs/dbraw/zinc/37/29/32/388372932.db2.gz MJCZWSDSPCEKBU-JOYOIKCWSA-N 0 3 240.372 2.609 20 0 BFADHN CO[C@@](C)(CN[C@H](C)c1cncs1)C1CC1 ZINC000291206092 388373110 /nfs/dbraw/zinc/37/31/10/388373110.db2.gz MJCZWSDSPCEKBU-SKDRFNHKSA-N 0 3 240.372 2.609 20 0 BFADHN Cc1cc(F)ccc1CN[C@]1(C)CCO[C@@H]1C ZINC000235608565 388373259 /nfs/dbraw/zinc/37/32/59/388373259.db2.gz RGFSEGVKGBMSGM-BXUZGUMPSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cc(Cl)cc(CN2CC[C@@H](O)[C@H]2C)c1 ZINC000648801750 388374062 /nfs/dbraw/zinc/37/40/62/388374062.db2.gz YCJJTMDFARHCER-ZWNOBZJWSA-N 0 3 239.746 2.604 20 0 BFADHN CCn1c(CNCCCCF)nc2ccccc21 ZINC000310759842 388376327 /nfs/dbraw/zinc/37/63/27/388376327.db2.gz MHMZMAVVAABYJI-UHFFFAOYSA-N 0 3 249.333 2.896 20 0 BFADHN Cc1ccc(CNCCn2ccnc2)c(Cl)c1 ZINC000235873420 388376607 /nfs/dbraw/zinc/37/66/07/388376607.db2.gz SNZZVJCPOXGFED-UHFFFAOYSA-N 0 3 249.745 2.635 20 0 BFADHN CC(C)(C)CCCNCc1ccc(CO)o1 ZINC000164637779 388381464 /nfs/dbraw/zinc/38/14/64/388381464.db2.gz FQABHGVOCCRAHL-UHFFFAOYSA-N 0 3 225.332 2.688 20 0 BFADHN CCCCCN(C(=O)[C@@H](N)CC)[C@@H](C)CCC ZINC000236743353 388382509 /nfs/dbraw/zinc/38/25/09/388382509.db2.gz AFYCSPAZTSLYCX-STQMWFEESA-N 0 3 242.407 2.931 20 0 BFADHN CCCC[C@@H](N)C(=O)NCC1(CCC)CCC1 ZINC000236734442 388382806 /nfs/dbraw/zinc/38/28/06/388382806.db2.gz WNRXFAUAWPWUIP-GFCCVEGCSA-N 0 3 240.391 2.591 20 0 BFADHN COC1(CCNCc2cnc(C)s2)CCC1 ZINC000292921715 388383986 /nfs/dbraw/zinc/38/39/86/388383986.db2.gz IFVVULHNTLSNKK-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@H](C)CCC(C)(C)C ZINC000236837639 388384128 /nfs/dbraw/zinc/38/41/28/388384128.db2.gz NLICGZIMPQUAPW-NEPJUHHUSA-N 0 3 242.407 2.835 20 0 BFADHN COC[C@@H](C)NCc1ccc2nc(C)ccc2c1 ZINC000097861228 256663160 /nfs/dbraw/zinc/66/31/60/256663160.db2.gz USSLPPRNMZGAAR-GFCCVEGCSA-N 0 3 244.338 2.668 20 0 BFADHN CCC[C@H](CNCc1ccsc1)OC ZINC000293538655 388389876 /nfs/dbraw/zinc/38/98/76/388389876.db2.gz AKDDVJCEMIIIML-LLVKDONJSA-N 0 3 213.346 2.653 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccc(F)cc1)C1CC1 ZINC000293569342 388390431 /nfs/dbraw/zinc/39/04/31/388390431.db2.gz BDSHTYBARKPUTN-HZMBPMFUSA-N 0 3 237.318 2.901 20 0 BFADHN C[C@@H](NCC1CC(F)(F)C1)c1ccc(F)cn1 ZINC000293772826 388391492 /nfs/dbraw/zinc/39/14/92/388391492.db2.gz STZVJSYMABTORH-MRVPVSSYSA-N 0 3 244.260 2.917 20 0 BFADHN CC[C@H](CO)N(C)Cc1ccc(Cl)s1 ZINC000293909227 388393214 /nfs/dbraw/zinc/39/32/14/388393214.db2.gz OHAKMZLFHHNSGM-MRVPVSSYSA-N 0 3 233.764 2.604 20 0 BFADHN C[C@H](NCCC(C)(F)F)c1cccnc1 ZINC000294306017 388398657 /nfs/dbraw/zinc/39/86/57/388398657.db2.gz VNCNVCZXAUAUOD-VIFPVBQESA-N 0 3 214.259 2.778 20 0 BFADHN COC[C@@H](NCc1csc(C)c1)C1CC1 ZINC000294305832 388399573 /nfs/dbraw/zinc/39/95/73/388399573.db2.gz VKVUQOHKZNKOHP-GFCCVEGCSA-N 0 3 225.357 2.571 20 0 BFADHN O[C@@H](CNCc1cc(Cl)cs1)C1CCC1 ZINC000315720787 388401747 /nfs/dbraw/zinc/40/17/47/388401747.db2.gz ISRMINWCPZQVHY-NSHDSACASA-N 0 3 245.775 2.652 20 0 BFADHN C[C@@H](NC[C@H](O)C1CCC1)c1ccc(F)cc1 ZINC000315812805 388402665 /nfs/dbraw/zinc/40/26/65/388402665.db2.gz XUZMBDJKACYYAI-YGRLFVJLSA-N 0 3 237.318 2.637 20 0 BFADHN C[C@@H](NC[C@@H](O)C1CCC1)c1ccc(F)cc1 ZINC000315812803 388402694 /nfs/dbraw/zinc/40/26/94/388402694.db2.gz XUZMBDJKACYYAI-QMTHXVAHSA-N 0 3 237.318 2.637 20 0 BFADHN CCN1CCC(Oc2cccc(F)c2)CC1 ZINC000528573218 388402846 /nfs/dbraw/zinc/40/28/46/388402846.db2.gz BZPJGRNVSFEUBL-UHFFFAOYSA-N 0 3 223.291 2.689 20 0 BFADHN C[C@@H]1C[C@H](NCc2cccc(Cl)c2)[C@@H](C)O1 ZINC000294696934 388403434 /nfs/dbraw/zinc/40/34/34/388403434.db2.gz GKKYWVRDHQGIME-BREBYQMCSA-N 0 3 239.746 2.996 20 0 BFADHN CCCC[C@H](CCC)NC(=O)/C=C/CN(C)C ZINC000492068425 388333470 /nfs/dbraw/zinc/33/34/70/388333470.db2.gz JZAMADPTEJVQNX-YKWSONSWSA-N 0 3 240.391 2.579 20 0 BFADHN Cc1nc(CN2CC[C@@H](C)[C@H]2C)sc1C ZINC000246218778 388440414 /nfs/dbraw/zinc/44/04/14/388440414.db2.gz LEHAQMBKLRLOPY-PSASIEDQSA-N 0 3 224.373 2.990 20 0 BFADHN CCC(C)(C)OCCN[C@@H](C)c1ccccn1 ZINC000308532486 388441848 /nfs/dbraw/zinc/44/18/48/388441848.db2.gz BWZNXXZJXDFSCN-LBPRGKRZSA-N 0 3 236.359 2.937 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1cc(C)cc(Cl)c1 ZINC000378665243 388480477 /nfs/dbraw/zinc/48/04/77/388480477.db2.gz AHPURNQLCMIFNO-STQMWFEESA-N 0 3 239.746 2.915 20 0 BFADHN CSCc1cnc(CNCC2(C)CC2)s1 ZINC000339289491 388480581 /nfs/dbraw/zinc/48/05/81/388480581.db2.gz DHYFHTOACDKTRK-UHFFFAOYSA-N 0 3 242.413 2.896 20 0 BFADHN Cc1nocc1CNC[C@H]1CCC[C@H](C)C1 ZINC000339206805 388480712 /nfs/dbraw/zinc/48/07/12/388480712.db2.gz ZGWZNVZVNQSFQF-JQWIXIFHSA-N 0 3 222.332 2.899 20 0 BFADHN CSC1(CN[C@@H](C)c2c[nH]nc2C)CCC1 ZINC000193806322 388450466 /nfs/dbraw/zinc/45/04/66/388450466.db2.gz PFCFGMGIVQSWOW-VIFPVBQESA-N 0 3 239.388 2.654 20 0 BFADHN FC1(CNC[C@@H]2CCO[C@H]2c2ccccc2)CC1 ZINC000525995752 388452618 /nfs/dbraw/zinc/45/26/18/388452618.db2.gz FFEHTEAWZLEESU-KBPBESRZSA-N 0 3 249.329 2.856 20 0 BFADHN CCOc1cccc(CCNCC2(F)CC2)c1 ZINC000525990431 388452690 /nfs/dbraw/zinc/45/26/90/388452690.db2.gz KMMYRTSWSAZEAU-UHFFFAOYSA-N 0 3 237.318 2.720 20 0 BFADHN CC[C@@H](C)N[C@@H]1COc2ccc(F)cc21 ZINC000335714804 388453676 /nfs/dbraw/zinc/45/36/76/388453676.db2.gz JPFVKBGRNZBBCZ-LDYMZIIASA-N 0 3 209.264 2.647 20 0 BFADHN CN(Cc1ccc(CO)o1)[C@H]1CCC(C)(C)C1 ZINC000526001047 388453868 /nfs/dbraw/zinc/45/38/68/388453868.db2.gz VLKQJVLBJBDESZ-NSHDSACASA-N 0 3 237.343 2.782 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1ccc2c(c1)CCO2 ZINC000525996750 388453941 /nfs/dbraw/zinc/45/39/41/388453941.db2.gz FTBGPOBUNUQXEC-GHMZBOCLSA-N 0 3 217.312 2.604 20 0 BFADHN CC[C@@H](C[C@H](C)CO)NCc1ccc(Cl)o1 ZINC000336672787 388461205 /nfs/dbraw/zinc/46/12/05/388461205.db2.gz AUVVQFZMBYUTQW-UWVGGRQHSA-N 0 3 245.750 2.820 20 0 BFADHN CC(C)[C@H](C)N[C@H](c1nccn1C)C1CC1 ZINC000336699612 388464345 /nfs/dbraw/zinc/46/43/45/388464345.db2.gz ZVACWGCQQWFISR-JQWIXIFHSA-N 0 3 221.348 2.505 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1sc(C)nc1C ZINC000290199108 388466952 /nfs/dbraw/zinc/46/69/52/388466952.db2.gz OZASNAWQMOZHEU-MRTMQBJTSA-N 0 3 242.388 2.834 20 0 BFADHN COc1cccnc1CNC[C@@H](C)C(C)(C)C ZINC000648847543 388468001 /nfs/dbraw/zinc/46/80/01/388468001.db2.gz WSUPCZOUOJCCDC-LLVKDONJSA-N 0 3 236.359 2.862 20 0 BFADHN COc1cccnc1CNC[C@H](C)C(C)(C)C ZINC000648847544 388468205 /nfs/dbraw/zinc/46/82/05/388468205.db2.gz WSUPCZOUOJCCDC-NSHDSACASA-N 0 3 236.359 2.862 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cnc(C(C)C)s1 ZINC000336723168 388469005 /nfs/dbraw/zinc/46/90/05/388469005.db2.gz DWSWUMYUOLUFLF-ZJUUUORDSA-N 0 3 242.388 2.780 20 0 BFADHN CC[C@H](N[C@@H]1CCC[C@H]1C)c1ccn(C)n1 ZINC000336728365 388470139 /nfs/dbraw/zinc/47/01/39/388470139.db2.gz HCBWRLVCZXDZLN-GRYCIOLGSA-N 0 3 221.348 2.649 20 0 BFADHN CCO[C@H]1CCCN(c2ccnc(CC)c2)C1 ZINC000649252847 388470261 /nfs/dbraw/zinc/47/02/61/388470261.db2.gz MADFULCDRUBNJS-AWEZNQCLSA-N 0 3 234.343 2.649 20 0 BFADHN C[C@@H](N[C@H]1CC1(C)C)c1ccc(F)cn1 ZINC000133997918 388473775 /nfs/dbraw/zinc/47/37/75/388473775.db2.gz XJSKSTNSPMFIIJ-KCJUWKMLSA-N 0 3 208.280 2.670 20 0 BFADHN Cc1nocc1CN[C@@H](C)CCC1CC1 ZINC000339357923 388481819 /nfs/dbraw/zinc/48/18/19/388481819.db2.gz WBJHBJZDIAJMRS-VIFPVBQESA-N 0 3 208.305 2.651 20 0 BFADHN CCC(CC)CNCc1cc(OC)ns1 ZINC000399090758 388475752 /nfs/dbraw/zinc/47/57/52/388475752.db2.gz WVOUFULAZCVNLJ-UHFFFAOYSA-N 0 3 228.361 2.678 20 0 BFADHN CCC[C@H](C)N1CCN(c2ccc(O)cc2)CC1 ZINC000177226630 388477415 /nfs/dbraw/zinc/47/74/15/388477415.db2.gz LNSYZMDUKNVVPZ-ZDUSSCGKSA-N 0 3 248.370 2.703 20 0 BFADHN CC/C=C/CNCc1ccc(OC)cc1 ZINC000295041338 388407315 /nfs/dbraw/zinc/40/73/15/388407315.db2.gz MPOOGMQTHDUITH-SNAWJCMRSA-N 0 3 205.301 2.751 20 0 BFADHN C[C@H](CCCCO)NCc1cccc(F)c1F ZINC000295053499 388407648 /nfs/dbraw/zinc/40/76/48/388407648.db2.gz NPSVXDYYASOASE-SNVBAGLBSA-N 0 3 243.297 2.606 20 0 BFADHN CC/C=C/CNCc1cnc(C(C)(C)C)nc1 ZINC000295025830 388407742 /nfs/dbraw/zinc/40/77/42/388407742.db2.gz NOZCXDQNAHLXHT-VOTSOKGWSA-N 0 3 233.359 2.830 20 0 BFADHN O[C@H](CNc1ccnc2ccccc21)C1CCC1 ZINC000316315314 388409100 /nfs/dbraw/zinc/40/91/00/388409100.db2.gz NGAJAUOEPWYSLV-OAHLLOKOSA-N 0 3 242.322 2.808 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc(F)ccc2F)[C@H](C)O1 ZINC000295228552 388410225 /nfs/dbraw/zinc/41/02/25/388410225.db2.gz RQJNPSTVKFOFGR-ZDMBXUJBSA-N 0 3 241.281 2.620 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3c2cccc3F)[C@H](C)O1 ZINC000295395714 388411353 /nfs/dbraw/zinc/41/13/53/388411353.db2.gz LOQCZOYGPYLXSK-MMDVMMEASA-N 0 3 249.329 2.969 20 0 BFADHN CS[C@@H](C)CNCc1cccc(C)c1F ZINC000295910891 388415660 /nfs/dbraw/zinc/41/56/60/388415660.db2.gz ZLZXTYOWBSQIHT-JTQLQIEISA-N 0 3 227.348 2.975 20 0 BFADHN Cc1cnccc1CNCCC(C)(F)F ZINC000295948588 388416140 /nfs/dbraw/zinc/41/61/40/388416140.db2.gz GWHYHQLNWWVPKV-UHFFFAOYSA-N 0 3 214.259 2.525 20 0 BFADHN CC(F)(F)CCCNCc1ccccn1 ZINC000296007837 388417324 /nfs/dbraw/zinc/41/73/24/388417324.db2.gz LIRNJPCMQMXLCQ-UHFFFAOYSA-N 0 3 214.259 2.607 20 0 BFADHN Cc1nnsc1CNCCC(C)(C)C1CC1 ZINC000328508439 388417363 /nfs/dbraw/zinc/41/73/63/388417363.db2.gz ZJSYVNROKQDUFL-UHFFFAOYSA-N 0 3 239.388 2.762 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2[C@@H](C)O)cs1 ZINC000573069899 388418549 /nfs/dbraw/zinc/41/85/49/388418549.db2.gz JDWCJHUZNORHSG-YPMHNXCESA-N 0 3 239.384 2.792 20 0 BFADHN N[C@H]1CCCc2cn(CCC3CCCC3)nc21 ZINC000573110924 388420457 /nfs/dbraw/zinc/42/04/57/388420457.db2.gz KTIBNMFHJULIBH-ZDUSSCGKSA-N 0 3 233.359 2.800 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](O)C2CCC2)cs1 ZINC000316847218 388422584 /nfs/dbraw/zinc/42/25/84/388422584.db2.gz XJCMXHPLYZCWHI-MFKMUULPSA-N 0 3 239.384 2.868 20 0 BFADHN C[C@@H](NCCCC(C)(F)F)c1cnccn1 ZINC000296636156 388425189 /nfs/dbraw/zinc/42/51/89/388425189.db2.gz BRROKZBZMJVAHK-SECBINFHSA-N 0 3 229.274 2.563 20 0 BFADHN COC[C@@H](C)CNCc1cccc(C)c1F ZINC000296565694 388425646 /nfs/dbraw/zinc/42/56/46/388425646.db2.gz VPMSBAZKUBCLBD-JTQLQIEISA-N 0 3 225.307 2.506 20 0 BFADHN CCOC(=O)[C@H](CC)N1CC[C@H](CC(C)C)C1 ZINC000307803512 388426706 /nfs/dbraw/zinc/42/67/06/388426706.db2.gz CPLQJUQAUWVRBQ-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN CC(C)(C)C[C@@H]1CCN(Cc2cccnc2N)C1 ZINC000307815685 388428166 /nfs/dbraw/zinc/42/81/66/388428166.db2.gz UCPYXQXIBBLEIY-LBPRGKRZSA-N 0 3 247.386 2.922 20 0 BFADHN CCn1nc(C)c(CN[C@H]2CC23CCCC3)c1C ZINC000307991328 388429318 /nfs/dbraw/zinc/42/93/18/388429318.db2.gz YLFWMXNZPGJOBR-AWEZNQCLSA-N 0 3 247.386 2.942 20 0 BFADHN Cc1ccoc1CN[C@@H](Cn1cccn1)C(C)C ZINC000297407446 388429983 /nfs/dbraw/zinc/42/99/83/388429983.db2.gz SXBOUCRBHMFFCY-ZDUSSCGKSA-N 0 3 247.342 2.599 20 0 BFADHN CC[C@H](NCc1cc(C)no1)[C@H]1C[C@H]1C ZINC000322317091 388431125 /nfs/dbraw/zinc/43/11/25/388431125.db2.gz FKZJXGLLHYQEKX-ZHAHWJHGSA-N 0 3 208.305 2.507 20 0 BFADHN CCC[C@@H](NCc1ccon1)C1CCC1 ZINC000322337753 388432614 /nfs/dbraw/zinc/43/26/14/388432614.db2.gz BLXBODIAOAHQHU-GFCCVEGCSA-N 0 3 208.305 2.733 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2cccnc2)C12CCC2 ZINC000308368342 388436283 /nfs/dbraw/zinc/43/62/83/388436283.db2.gz NABRFQVAUAOMCT-MRVWCRGKSA-N 0 3 246.354 2.690 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CCCC23CC3)o1 ZINC000573267638 388437178 /nfs/dbraw/zinc/43/71/78/388437178.db2.gz OAIPVGVPWVMUJQ-GFCCVEGCSA-N 0 3 234.343 2.968 20 0 BFADHN CC[C@@](C)(CNCc1ccc(F)c(F)c1)OC ZINC000322523829 388437918 /nfs/dbraw/zinc/43/79/18/388437918.db2.gz MXFQYQYALVPZJN-ZDUSSCGKSA-N 0 3 243.297 2.870 20 0 BFADHN CC[C@@](C)(CNCc1ccc(Cl)o1)OC ZINC000322581600 388438747 /nfs/dbraw/zinc/43/87/47/388438747.db2.gz CYYHUADUSQXIQP-NSHDSACASA-N 0 3 231.723 2.838 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1ccc(F)cn1)OC ZINC000322566487 388439039 /nfs/dbraw/zinc/43/90/39/388439039.db2.gz YFSRXMICZGCAGT-GXFFZTMASA-N 0 3 240.322 2.686 20 0 BFADHN CC(C)N(C(=O)[C@@H](C)N1[C@H](C)C[C@@H]1C)C(C)C ZINC000548426272 388537169 /nfs/dbraw/zinc/53/71/69/388537169.db2.gz GTOQPTFYOUDREW-FRRDWIJNSA-N 0 3 240.391 2.503 20 0 BFADHN COC[C@H]1CCCCN1C/C=C(/C)Cl ZINC000528519940 388537625 /nfs/dbraw/zinc/53/76/25/388537625.db2.gz URDXPHDTQJKHMA-SDKXAQGSSA-N 0 3 217.740 2.630 20 0 BFADHN CCC[C@@]1(CO)CCN(C/C=C(/C)Cl)C1 ZINC000528506269 388537756 /nfs/dbraw/zinc/53/77/56/388537756.db2.gz SRBMINSXHFJILJ-CSXHZRMWSA-N 0 3 231.767 2.614 20 0 BFADHN C/C(Cl)=C/CN1CCCO[C@H](C(C)C)C1 ZINC000528513677 388537927 /nfs/dbraw/zinc/53/79/27/388537927.db2.gz DUJCKVAIXZRGIA-QJGQKNTRSA-N 0 3 231.767 2.876 20 0 BFADHN C/C(Cl)=C/CN1CC[C@@H](O)C12CCCC2 ZINC000528513176 388537990 /nfs/dbraw/zinc/53/79/90/388537990.db2.gz SQUKNQNNKLOQTD-NEOSZVFXSA-N 0 3 229.751 2.508 20 0 BFADHN C/C(Cl)=C/CN1CC[C@H](O)CC12CCC2 ZINC000528528131 388539433 /nfs/dbraw/zinc/53/94/33/388539433.db2.gz SAHWFACVVKBVJE-CGXZDELUSA-N 0 3 229.751 2.508 20 0 BFADHN C/C(Cl)=C/CN1CC[C@@H](O)CC12CCC2 ZINC000528528143 388540007 /nfs/dbraw/zinc/54/00/07/388540007.db2.gz SAHWFACVVKBVJE-LBQOUHFTSA-N 0 3 229.751 2.508 20 0 BFADHN CO[C@@H]1CCN(C/C=C(/C)Cl)CC1(C)C ZINC000528522060 388541894 /nfs/dbraw/zinc/54/18/94/388541894.db2.gz RATFYHSHDDUXPZ-GULOHRGCSA-N 0 3 231.767 2.876 20 0 BFADHN CCc1ccc(CNCc2cnc(C)n2C)s1 ZINC000520839647 388542555 /nfs/dbraw/zinc/54/25/55/388542555.db2.gz MYGAAJWAMBZQBH-UHFFFAOYSA-N 0 3 249.383 2.642 20 0 BFADHN CN(Cc1cccc(O)c1)C[C@@H]1CCC(C)(C)O1 ZINC000548485009 388542964 /nfs/dbraw/zinc/54/29/64/388542964.db2.gz ZZCGFSRPYXFYAJ-AWEZNQCLSA-N 0 3 249.354 2.782 20 0 BFADHN CCCc1csc(CN[C@@H]2[C@@H]3CCC[C@@H]32)n1 ZINC000520841065 388546538 /nfs/dbraw/zinc/54/65/38/388546538.db2.gz CPCORMCHNXJYLQ-PTEHBNRSSA-N 0 3 236.384 2.984 20 0 BFADHN CC(C)C1(C)CCN(Cc2ccno2)CC1 ZINC000548634774 388550733 /nfs/dbraw/zinc/55/07/33/388550733.db2.gz YUOKWRYPRLKOGZ-UHFFFAOYSA-N 0 3 222.332 2.933 20 0 BFADHN CCC[C@H](NCc1nccn1C)[C@H]1CC1(C)C ZINC000397595942 388482169 /nfs/dbraw/zinc/48/21/69/388482169.db2.gz DLBTUKMRQYQCIE-NEPJUHHUSA-N 0 3 235.375 2.725 20 0 BFADHN CCC[C@H](CN[C@H]1CCCc2cccnc21)OC ZINC000378690050 388482258 /nfs/dbraw/zinc/48/22/58/388482258.db2.gz HWQVMBCJHOYMOC-KGLIPLIRSA-N 0 3 248.370 2.864 20 0 BFADHN CCC[C@@H](CN[C@H]1CCCc2cccnc21)OC ZINC000378690049 388482773 /nfs/dbraw/zinc/48/27/73/388482773.db2.gz HWQVMBCJHOYMOC-KBPBESRZSA-N 0 3 248.370 2.864 20 0 BFADHN CCc1ncc(CNC2CC3(CCC3)C2)o1 ZINC000459576862 256784354 /nfs/dbraw/zinc/78/43/54/256784354.db2.gz VGFMTWRWZNIGFF-UHFFFAOYSA-N 0 3 220.316 2.659 20 0 BFADHN COCCC1CCN(Cc2cc(C)ccn2)CC1 ZINC000528576963 388548384 /nfs/dbraw/zinc/54/83/84/388548384.db2.gz IFVKUQQOFHBRAQ-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccccc1F)C1CC1 ZINC000339531167 388485090 /nfs/dbraw/zinc/48/50/90/388485090.db2.gz SOGNYIASMTYBHU-IINYFYTJSA-N 0 3 237.318 2.901 20 0 BFADHN CCOc1ccc(CNC[C@H](OC)C2CC2)cc1 ZINC000339526016 388485399 /nfs/dbraw/zinc/48/53/99/388485399.db2.gz FTPRFEVOCNUNCI-HNNXBMFYSA-N 0 3 249.354 2.600 20 0 BFADHN Cc1ccc(CN2CCCC[C@H]2C[C@@H](C)O)cn1 ZINC000405653688 388485825 /nfs/dbraw/zinc/48/58/25/388485825.db2.gz RSGSXBJYAAWIQI-HIFRSBDPSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@@H](NCc1cc(C)no1)[C@@H]1CC1(C)C ZINC000397676708 388489324 /nfs/dbraw/zinc/48/93/24/388489324.db2.gz RZIKODKKQULXIG-NWDGAFQWSA-N 0 3 222.332 2.897 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1ccc(Cl)cn1 ZINC000290223422 388492338 /nfs/dbraw/zinc/49/23/38/388492338.db2.gz RBAUMESTVRHSMJ-OPRDCNLKSA-N 0 3 242.750 2.809 20 0 BFADHN Cc1ccc(F)c(CNCC2=CCOCC2)c1 ZINC000397718071 388493998 /nfs/dbraw/zinc/49/39/98/388493998.db2.gz IFNDYMIVEAQFLZ-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN CCC[C@H](CNCc1ccc(Cl)o1)OC ZINC000356322960 388548999 /nfs/dbraw/zinc/54/89/99/388548999.db2.gz QKOFPIFOCCUWQN-SECBINFHSA-N 0 3 231.723 2.838 20 0 BFADHN CC[C@@]1(C)CN(CCc2ccccc2)CCO1 ZINC000135619176 388502162 /nfs/dbraw/zinc/50/21/62/388502162.db2.gz IHLHUHJQCHYCFG-HNNXBMFYSA-N 0 3 233.355 2.730 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCC[C@H](C)C2)on1 ZINC000078539287 388504116 /nfs/dbraw/zinc/50/41/16/388504116.db2.gz XKNZHCDZNAEWTC-CMPLNLGQSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCCC1(F)F ZINC000397839148 388504193 /nfs/dbraw/zinc/50/41/93/388504193.db2.gz AZHCOBLILJMZLM-JTQLQIEISA-N 0 3 230.258 2.508 20 0 BFADHN CC[C@H](NCc1ccon1)[C@@H]1CC1(C)C ZINC000397841987 388504917 /nfs/dbraw/zinc/50/49/17/388504917.db2.gz UMITUNJOFHTHAU-QWRGUYRKSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1cncc(F)c1 ZINC000397841737 388505262 /nfs/dbraw/zinc/50/52/62/388505262.db2.gz QPPNOHDQKCQVAB-LNLATYFQSA-N 0 3 240.347 2.765 20 0 BFADHN CCCc1ccc([C@H](C)N[C@@H](C)CC(N)=O)cc1 ZINC000135727056 388507189 /nfs/dbraw/zinc/50/71/89/388507189.db2.gz QQFNNWQROCOCGY-RYUDHWBXSA-N 0 3 248.370 2.554 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1cncn1C ZINC000548075131 388508801 /nfs/dbraw/zinc/50/88/01/388508801.db2.gz MVOSHVWCPUIGAO-TZMCWYRMSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@H](F)CCNCc1ccc(F)cc1F ZINC000378801270 388508841 /nfs/dbraw/zinc/50/88/41/388508841.db2.gz UUFFAQXXLYQRKV-QMMMGPOBSA-N 0 3 217.234 2.803 20 0 BFADHN CO[C@H](CNCc1ccc(F)cc1C)C(C)C ZINC000344734077 388509403 /nfs/dbraw/zinc/50/94/03/388509403.db2.gz WWRYBMGAGPNXLE-CQSZACIVSA-N 0 3 239.334 2.895 20 0 BFADHN COc1cc(F)cc(CN[C@@H]2CC2(C)C)c1 ZINC000312273700 388510550 /nfs/dbraw/zinc/51/05/50/388510550.db2.gz MDBDJJRBXUNPMZ-GFCCVEGCSA-N 0 3 223.291 2.722 20 0 BFADHN Cc1ncc(CN[C@H](C)CC(C)(C)C)o1 ZINC000397902171 388510507 /nfs/dbraw/zinc/51/05/07/388510507.db2.gz XCOSFAVKKAEGRW-SECBINFHSA-N 0 3 210.321 2.897 20 0 BFADHN CN(C)C1(CNCc2sccc2Cl)CC1 ZINC000397905841 388510742 /nfs/dbraw/zinc/51/07/42/388510742.db2.gz VTHLKHXTWVLBCU-UHFFFAOYSA-N 0 3 244.791 2.585 20 0 BFADHN C[C@@H]1CCC[C@H](N[C@@H]2CCn3ccnc32)CC1 ZINC000345345523 388512424 /nfs/dbraw/zinc/51/24/24/388512424.db2.gz JIKZIOZDFWWVLU-FRRDWIJNSA-N 0 3 233.359 2.886 20 0 BFADHN c1cn2c(n1)[C@H](NCCC1CCCCC1)CC2 ZINC000345344031 388512900 /nfs/dbraw/zinc/51/29/00/388512900.db2.gz IAUXWYAMGSKDJI-CYBMUJFWSA-N 0 3 233.359 2.888 20 0 BFADHN CCC1(CN[C@H]2C[C@@H](C)n3ccnc32)CC1 ZINC000345357652 388513733 /nfs/dbraw/zinc/51/37/33/388513733.db2.gz PPWCLJYBOMXBLL-MNOVXSKESA-N 0 3 219.332 2.669 20 0 BFADHN CC[C@H](NCc1cnc(C)o1)[C@@H]1CC1(C)C ZINC000397970322 388513740 /nfs/dbraw/zinc/51/37/40/388513740.db2.gz BFZMCPFHOKVRFG-RYUDHWBXSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@H](NCc1cnc(C)o1)[C@H]1C[C@@H]1C ZINC000398016129 388514262 /nfs/dbraw/zinc/51/42/62/388514262.db2.gz QJMHZQQNWFYNBD-UWJYBYFXSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@H](C)[C@@H](C)C2)o1 ZINC000398047248 388515193 /nfs/dbraw/zinc/51/51/93/388515193.db2.gz CRGHSXYTSOYHAZ-JBLDHEPKSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(F)ccc1CNC[C@@H]1CC[C@@H](C)O1 ZINC000398051057 388515386 /nfs/dbraw/zinc/51/53/86/388515386.db2.gz WFNXIVMSOFHGIX-RISCZKNCSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cc(F)ccc1CNC[C@@H]1CC[C@H](C)O1 ZINC000398051056 388516053 /nfs/dbraw/zinc/51/60/53/388516053.db2.gz WFNXIVMSOFHGIX-FZMZJTMJSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1cscn1 ZINC000517017493 256811241 /nfs/dbraw/zinc/81/12/41/256811241.db2.gz RMSYKGBEGKWLHR-ZRUFSTJUSA-N 0 3 222.357 2.982 20 0 BFADHN C/C(Cl)=C/CN1CCC2(C1)CCOCC2 ZINC000528398287 388518982 /nfs/dbraw/zinc/51/89/82/388518982.db2.gz ZWDLONUNLSVMQW-FUQNDXKWSA-N 0 3 229.751 2.632 20 0 BFADHN C[C@@H]1CN(C/C=C\c2ccccc2)[C@H](C)CN1C ZINC000548176187 388519222 /nfs/dbraw/zinc/51/92/22/388519222.db2.gz OUAPTFFLFXRSGY-IKQZUGGXSA-N 0 3 244.382 2.724 20 0 BFADHN CO[C@@H](CN[C@@H]1CSc2ccccc21)C1CC1 ZINC000378843409 388519440 /nfs/dbraw/zinc/51/94/40/388519440.db2.gz ZFYDFVIELNDSLV-OLZOCXBDSA-N 0 3 249.379 2.848 20 0 BFADHN C[C@H]1CCN(Cc2cc(CO)ccc2F)[C@H]1C ZINC000528396797 388519799 /nfs/dbraw/zinc/51/97/99/388519799.db2.gz PZGBDMXKUXOELZ-QWRGUYRKSA-N 0 3 237.318 2.548 20 0 BFADHN CC[C@H]1CCN(Cc2cc(CO)ccc2F)C1 ZINC000528398700 388519962 /nfs/dbraw/zinc/51/99/62/388519962.db2.gz GFHBWLRNGXYINS-NSHDSACASA-N 0 3 237.318 2.550 20 0 BFADHN C/C(Cl)=C\CN1CCC[C@@H]2COCC[C@H]21 ZINC000528404291 388520490 /nfs/dbraw/zinc/52/04/90/388520490.db2.gz NXCSHAXIUZGHQK-LGSVWZNTSA-N 0 3 229.751 2.630 20 0 BFADHN CCc1cccc(C)c1NC(=O)[C@H](C)NC1CC1 ZINC000347200161 388521760 /nfs/dbraw/zinc/52/17/60/388521760.db2.gz KXLQXZWOVRPXHB-NSHDSACASA-N 0 3 246.354 2.636 20 0 BFADHN C[C@H](NCc1ccc(Cl)o1)[C@@]1(C)CCCO1 ZINC000378857593 388522331 /nfs/dbraw/zinc/52/23/31/388522331.db2.gz LRINVJJCCSDZCU-JOYOIKCWSA-N 0 3 243.734 2.980 20 0 BFADHN C[C@@H](N(C)Cc1ccc(F)c(F)c1)C(C)(C)O ZINC000347751942 388522899 /nfs/dbraw/zinc/52/28/99/388522899.db2.gz RGENLYSPXCAXCY-SECBINFHSA-N 0 3 243.297 2.556 20 0 BFADHN C/C(Cl)=C/CN(C)C1CCC(CO)CC1 ZINC000528425968 388523600 /nfs/dbraw/zinc/52/36/00/388523600.db2.gz SHAXUWFXFYRQLV-YFHOEESVSA-N 0 3 231.767 2.612 20 0 BFADHN CC(C)CCO[C@@H]1CCCC[C@@H]1NCCF ZINC000348113268 388525923 /nfs/dbraw/zinc/52/59/23/388525923.db2.gz FJHXXQBGEJZPQG-QWHCGFSZSA-N 0 3 231.355 2.919 20 0 BFADHN C[C@@H]1CN(C/C=C\c2ccc(F)cc2)CC[C@H]1O ZINC000548317851 388528424 /nfs/dbraw/zinc/52/84/24/388528424.db2.gz KVOHWERMEUJZLG-QADGWQRPSA-N 0 3 249.329 2.542 20 0 BFADHN C/C(=C/c1ccccc1)CN1CC[C@H](O)[C@H](C)C1 ZINC000548312988 388528621 /nfs/dbraw/zinc/52/86/21/388528621.db2.gz ATUQHAWXFCDUGX-LPIOIXHQSA-N 0 3 245.366 2.793 20 0 BFADHN CC1(C)CC(CN2CCO[C@@H](C3CC3)C2)C1 ZINC000528458320 388529029 /nfs/dbraw/zinc/52/90/29/388529029.db2.gz IBKNJEBCPFODJZ-CYBMUJFWSA-N 0 3 223.360 2.533 20 0 BFADHN CC1(C)CC(CN2CCc3ncsc3C2)C1 ZINC000528469160 388531672 /nfs/dbraw/zinc/53/16/72/388531672.db2.gz CUZYRUWABWGOHS-UHFFFAOYSA-N 0 3 236.384 2.937 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CC2CC(C)(C)C2)n1 ZINC000548372659 388531707 /nfs/dbraw/zinc/53/17/07/388531707.db2.gz PPVGSCYJAUOYSX-UHFFFAOYSA-N 0 3 234.343 2.621 20 0 BFADHN CO[C@]1(C)CCCN(C/C=C(/C)Cl)C1 ZINC000528481732 388532152 /nfs/dbraw/zinc/53/21/52/388532152.db2.gz ANCVGMBNXXLRJI-GULOHRGCSA-N 0 3 217.740 2.630 20 0 BFADHN C/C(Cl)=C\CN1CCCC[C@@H]1C[C@@H](C)O ZINC000528483911 388533645 /nfs/dbraw/zinc/53/36/45/388533645.db2.gz IHSOQRIOPOZXDA-QEFZOKHDSA-N 0 3 231.767 2.754 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCOCC1CC1 ZINC000088601607 388533755 /nfs/dbraw/zinc/53/37/55/388533755.db2.gz OQZYTXCFQCJQBZ-LBPRGKRZSA-N 0 3 234.343 2.501 20 0 BFADHN C[C@H](C[S@](C)=O)N(C)CC1CCC(C)CC1 ZINC000185410388 388587986 /nfs/dbraw/zinc/58/79/86/388587986.db2.gz UNJLBEJTFHNKAD-WLFBYQNASA-N 0 3 245.432 2.512 20 0 BFADHN COc1cccc([C@H](C)N2CCSCC2)c1 ZINC000081666033 388588488 /nfs/dbraw/zinc/58/84/88/388588488.db2.gz GUPJSECYCXOSBB-NSHDSACASA-N 0 3 237.368 2.805 20 0 BFADHN CC[C@H]1CN([C@H]2C=CCCCCC2)CCO1 ZINC000549824715 388592696 /nfs/dbraw/zinc/59/26/96/388592696.db2.gz PJHIGVOYSWMLJI-KBPBESRZSA-N 0 3 223.360 2.986 20 0 BFADHN C[C@H](CN[C@H]1C[C@@H](C)n2ccnc21)C1CC1 ZINC000379202608 388597685 /nfs/dbraw/zinc/59/76/85/388597685.db2.gz ZBSNEPIQWJHNOA-FOGDFJRCSA-N 0 3 219.332 2.525 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1ccccn1 ZINC000037219021 388599327 /nfs/dbraw/zinc/59/93/27/388599327.db2.gz VDTMROXQPOAOEY-UBHSHLNASA-N 0 3 234.343 2.690 20 0 BFADHN C[C@H]1CSCCN1CCc1ccccc1F ZINC000186346465 388599427 /nfs/dbraw/zinc/59/94/27/388599427.db2.gz FXSRTZMFXVMAEX-NSHDSACASA-N 0 3 239.359 2.806 20 0 BFADHN CCn1cc(CN2C[C@@H](C)CC[C@H]2C)cn1 ZINC000245708518 388600747 /nfs/dbraw/zinc/60/07/47/388600747.db2.gz FMCAORVKCLZADK-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN c1nc2ccccn2c1CN1CCCC2(CC2)C1 ZINC000186615108 388601758 /nfs/dbraw/zinc/60/17/58/388601758.db2.gz OYFLNKCFJGHIJT-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]2C)c(C)n1 ZINC000187102312 388606576 /nfs/dbraw/zinc/60/65/76/388606576.db2.gz XEHFVKAGKDIERY-NSHDSACASA-N 0 3 204.317 2.683 20 0 BFADHN CCC[C@@H](C)CN1CCOC[C@H]1C1CC1 ZINC000245864124 388607366 /nfs/dbraw/zinc/60/73/66/388607366.db2.gz PRBKVBBQWBNHIH-YPMHNXCESA-N 0 3 211.349 2.533 20 0 BFADHN C[C@H](c1ccccc1)N1CCN(C)C(C)(C)C1 ZINC000550652467 388612429 /nfs/dbraw/zinc/61/24/29/388612429.db2.gz JXXTZGIHFRDJQJ-CYBMUJFWSA-N 0 3 232.371 2.774 20 0 BFADHN CCc1cccc(CN2CCN(C3CC3)CC2)c1 ZINC000188913215 388613527 /nfs/dbraw/zinc/61/35/27/388613527.db2.gz YPUVOEXLCXPJOG-UHFFFAOYSA-N 0 3 244.382 2.529 20 0 BFADHN C[C@H](NCCCc1nccs1)c1ccccn1 ZINC000358044015 388553485 /nfs/dbraw/zinc/55/34/85/388553485.db2.gz HHGREGRXUYQAKB-NSHDSACASA-N 0 3 247.367 2.822 20 0 BFADHN COC1(CNCc2ccc(C)cn2)CCCCC1 ZINC000358963760 388555972 /nfs/dbraw/zinc/55/59/72/388555972.db2.gz JJJCQPGNEPNCMK-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CC[C@H]1[C@H](C)CCN1CC(=O)c1cc(C)[nH]c1C ZINC000360459821 388557946 /nfs/dbraw/zinc/55/79/46/388557946.db2.gz MMOPNHJVRLAFGS-YGRLFVJLSA-N 0 3 248.370 2.935 20 0 BFADHN Cn1ccnc1CN[C@@H]1CCCC12CCCC2 ZINC000328159013 388558047 /nfs/dbraw/zinc/55/80/47/388558047.db2.gz HNAYZHQLZVNELM-GFCCVEGCSA-N 0 3 233.359 2.623 20 0 BFADHN COCC1(NCc2ccc3c(c2)CCCC3)CC1 ZINC000520933429 388558560 /nfs/dbraw/zinc/55/85/60/388558560.db2.gz OKGQXSNJFIJXDR-UHFFFAOYSA-N 0 3 245.366 2.834 20 0 BFADHN Cc1ccc(CN(CCO)C2CCCCC2)cn1 ZINC000360987269 388560097 /nfs/dbraw/zinc/56/00/97/388560097.db2.gz NWTJWSFJDIXJKJ-UHFFFAOYSA-N 0 3 248.370 2.517 20 0 BFADHN CCOC[C@H]1CN(CCC(C)(C)CC)CCO1 ZINC000528716000 388560791 /nfs/dbraw/zinc/56/07/91/388560791.db2.gz BUQJBAJIVMBGAU-CYBMUJFWSA-N 0 3 243.391 2.550 20 0 BFADHN CCCCN1CCc2nc(C3CC3)ncc2C1 ZINC000528732555 388563681 /nfs/dbraw/zinc/56/36/81/388563681.db2.gz DHKWIPWPCHPFCA-UHFFFAOYSA-N 0 3 231.343 2.512 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1N[C@@H]1CCSC1 ZINC000368144440 388568206 /nfs/dbraw/zinc/56/82/06/388568206.db2.gz ZDFWZIPVLOSOFI-CWSCBRNRSA-N 0 3 235.352 2.604 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN(C)Cc1cnn(C)c1 ZINC000182959017 388568810 /nfs/dbraw/zinc/56/88/10/388568810.db2.gz DPPUPCFYFQBCHW-TZMCWYRMSA-N 0 3 235.375 2.678 20 0 BFADHN FCCNC1CC(c2cc(F)cc(F)c2)C1 ZINC000368568512 388569077 /nfs/dbraw/zinc/56/90/77/388569077.db2.gz RFUDJKRBXQUGJD-UHFFFAOYSA-N 0 3 229.245 2.770 20 0 BFADHN CC(C)COCCN1CCC(F)(F)C[C@@H]1C ZINC000528766196 388569255 /nfs/dbraw/zinc/56/92/55/388569255.db2.gz DLMZZUZBLNRWLY-NSHDSACASA-N 0 3 235.318 2.779 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1C[C@H]1CCCCO1 ZINC000528764293 388569426 /nfs/dbraw/zinc/56/94/26/388569426.db2.gz RYGALQWFSZMPJO-GHMZBOCLSA-N 0 3 233.302 2.675 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2CCC[C@H]3C[C@H]32)cc1F ZINC000549033854 388570191 /nfs/dbraw/zinc/57/01/91/388570191.db2.gz BVNNNKQKTDCSSO-DMDPSCGWSA-N 0 3 235.302 2.810 20 0 BFADHN CC1(C)SC[C@@H]1NCc1ccc2[nH]cnc2c1 ZINC000548989439 388570358 /nfs/dbraw/zinc/57/03/58/388570358.db2.gz LHDMVHBHMIXKBE-LBPRGKRZSA-N 0 3 247.367 2.547 20 0 BFADHN CC1(C)SC[C@@H]1NCc1ccc2nc[nH]c2c1 ZINC000548989439 388570360 /nfs/dbraw/zinc/57/03/60/388570360.db2.gz LHDMVHBHMIXKBE-LBPRGKRZSA-N 0 3 247.367 2.547 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1CCCCF ZINC000528774128 388570841 /nfs/dbraw/zinc/57/08/41/388570841.db2.gz UCIHTDWYFQXMKF-SECBINFHSA-N 0 3 209.255 2.856 20 0 BFADHN CO[C@H](CN1[C@@H](C)C[C@@H]1C)c1ccccc1 ZINC000549039979 388571435 /nfs/dbraw/zinc/57/14/35/388571435.db2.gz LNIVXZCPEXXIGK-SGMGOOAPSA-N 0 3 219.328 2.857 20 0 BFADHN CC(C)C1CCC(CNCc2ccn(C)n2)CC1 ZINC000385059049 388573459 /nfs/dbraw/zinc/57/34/59/388573459.db2.gz FQGKHMQPAWWYLK-UHFFFAOYSA-N 0 3 249.402 2.972 20 0 BFADHN CC[C@H](NCc1c[nH]nn1)c1cc(C)ccc1C ZINC000528799774 388574735 /nfs/dbraw/zinc/57/47/35/388574735.db2.gz OHHZSYQYBMBEGN-AWEZNQCLSA-N 0 3 244.342 2.662 20 0 BFADHN C[C@H](NCCc1cccnc1)c1ncccc1F ZINC000528822498 388577036 /nfs/dbraw/zinc/57/70/36/388577036.db2.gz HETTUDSSZQYILI-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CNCc1ccc(CO)o1 ZINC000081555776 388582609 /nfs/dbraw/zinc/58/26/09/388582609.db2.gz MQHRBHYHAPBFHV-VXGBXAGGSA-N 0 3 237.343 2.688 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1ccco1 ZINC000037260555 388616770 /nfs/dbraw/zinc/61/67/70/388616770.db2.gz XMONSYJOCCTGRM-GVXVVHGQSA-N 0 3 223.316 2.888 20 0 BFADHN CSCCCCNCc1nc(C)cs1 ZINC000124943023 388667257 /nfs/dbraw/zinc/66/72/57/388667257.db2.gz PLQBBFASFWBZQD-UHFFFAOYSA-N 0 3 230.402 2.684 20 0 BFADHN Cc1nnc(CN2[C@H](C)C[C@@H]3CCCC[C@H]32)o1 ZINC000247643792 388667809 /nfs/dbraw/zinc/66/78/09/388667809.db2.gz UAMPHISEDZWRHM-ADEWGFFLSA-N 0 3 235.331 2.531 20 0 BFADHN CC(=O)[C@H](C)SCCCN1CCCCC1 ZINC000191832972 388670358 /nfs/dbraw/zinc/67/03/58/388670358.db2.gz SQVRRYWRDAWRKS-LBPRGKRZSA-N 0 3 229.389 2.573 20 0 BFADHN CC[C@@H]1CCN(CCOc2ccccc2F)C1 ZINC000125304969 388671079 /nfs/dbraw/zinc/67/10/79/388671079.db2.gz VOSFUMFBOMLBNW-GFCCVEGCSA-N 0 3 237.318 2.936 20 0 BFADHN Fc1cc(F)cc(CNCCc2cccnc2)c1 ZINC000125605447 388673200 /nfs/dbraw/zinc/67/32/00/388673200.db2.gz WZHDOJJRCBNBSC-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN c1cc(CN(C[C@@H]2CCOC2)C2CC2)cs1 ZINC000125783372 388676820 /nfs/dbraw/zinc/67/68/20/388676820.db2.gz LCPABZZIABRDFN-NSHDSACASA-N 0 3 237.368 2.749 20 0 BFADHN CO[C@@H](C)CN(C)[C@H](C)c1sc(C)nc1C ZINC000248404415 388678293 /nfs/dbraw/zinc/67/82/93/388678293.db2.gz ABEMITLDGPRDHE-WCBMZHEXSA-N 0 3 242.388 2.788 20 0 BFADHN CC[C@H]1COCCN1C[C@H](C)Cc1ccccc1 ZINC000248553658 388683213 /nfs/dbraw/zinc/68/32/13/388683213.db2.gz HMKIWSDCYPUZPK-ZBFHGGJFSA-N 0 3 247.382 2.976 20 0 BFADHN CC[C@H]1CCN([C@H](C)C(=O)Nc2ccccc2)C1 ZINC000246160328 388621221 /nfs/dbraw/zinc/62/12/21/388621221.db2.gz DFSZRDDDZNZXKP-OLZOCXBDSA-N 0 3 246.354 2.746 20 0 BFADHN C[C@@H](CF)NC[C@@H](C)c1ccc(F)cc1 ZINC000288434250 388626190 /nfs/dbraw/zinc/62/61/90/388626190.db2.gz LECWJNSNVJPHEG-ZJUUUORDSA-N 0 3 213.271 2.877 20 0 BFADHN CCc1nnc(CN2[C@H](C)C[C@@H]3CCCC[C@@H]32)[nH]1 ZINC000248561911 388684661 /nfs/dbraw/zinc/68/46/61/388684661.db2.gz JQKKMYLEXDGBJP-WOPDTQHZSA-N 0 3 248.374 2.520 20 0 BFADHN CCc1nnc(C[N@@H+]2[C@H](C)C[C@@H]3CCCC[C@@H]32)[n-]1 ZINC000248561911 388684662 /nfs/dbraw/zinc/68/46/62/388684662.db2.gz JQKKMYLEXDGBJP-WOPDTQHZSA-N 0 3 248.374 2.520 20 0 BFADHN CCc1nnc(C[N@H+]2[C@H](C)C[C@@H]3CCCC[C@@H]32)[n-]1 ZINC000248561911 388684663 /nfs/dbraw/zinc/68/46/63/388684663.db2.gz JQKKMYLEXDGBJP-WOPDTQHZSA-N 0 3 248.374 2.520 20 0 BFADHN CCC[C@@H]1CCCN(CCOCC(F)F)C1 ZINC000189544937 388637269 /nfs/dbraw/zinc/63/72/69/388637269.db2.gz GWBRCJSKPVMYNN-LLVKDONJSA-N 0 3 235.318 2.780 20 0 BFADHN C[C@H]1CCN(CC(=O)c2cccn2C)C[C@@H](C)C1 ZINC000246488726 388639442 /nfs/dbraw/zinc/63/94/42/388639442.db2.gz ANLAYRBSVKHOPP-STQMWFEESA-N 0 3 248.370 2.576 20 0 BFADHN CCC1(CNCc2nc(C)c(C)[nH]2)CCC1 ZINC000521900098 388640324 /nfs/dbraw/zinc/64/03/24/388640324.db2.gz BFUHECCFCGBWFI-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@H]1CCC1(C)C ZINC000551788403 388641294 /nfs/dbraw/zinc/64/12/94/388641294.db2.gz FCDBOVDYVODFKN-ZDUSSCGKSA-N 0 3 248.370 2.985 20 0 BFADHN Cc1ncccc1CN1CCS[C@H](C)CC1 ZINC000190694881 388655667 /nfs/dbraw/zinc/65/56/67/388655667.db2.gz KDNSHHSIOIZKMY-LLVKDONJSA-N 0 3 236.384 2.717 20 0 BFADHN C[C@@H](NCCN1CCC[C@H](C)C1)c1ccoc1 ZINC000247248313 388661317 /nfs/dbraw/zinc/66/13/17/388661317.db2.gz ZMFZASUDDRKAIB-QWHCGFSZSA-N 0 3 236.359 2.662 20 0 BFADHN Cc1nocc1CN(CC1CC1)C(C)C ZINC000552029679 388662376 /nfs/dbraw/zinc/66/23/76/388662376.db2.gz ROZMYTJQTWELAG-UHFFFAOYSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@@H](O)CCN(C)[C@H](C)c1cccc(F)c1 ZINC000247351834 388663212 /nfs/dbraw/zinc/66/32/12/388663212.db2.gz GRXRRIPOMVKJEU-GHMZBOCLSA-N 0 3 225.307 2.589 20 0 BFADHN C[C@@H](NC[C@H]1CCSC1)c1nccs1 ZINC000224430074 388664145 /nfs/dbraw/zinc/66/41/45/388664145.db2.gz UWOSHBXXOPTBBN-RKDXNWHRSA-N 0 3 228.386 2.547 20 0 BFADHN CCOCCNCc1ccc(C(F)F)cc1 ZINC000124592146 388664661 /nfs/dbraw/zinc/66/46/61/388664661.db2.gz DKLSDNHGTNVVSU-UHFFFAOYSA-N 0 3 229.270 2.750 20 0 BFADHN Cc1ccnc([C@@H](C)NCCOC(C)(C)C)c1 ZINC000552062303 388664842 /nfs/dbraw/zinc/66/48/42/388664842.db2.gz TUINRLLVAZNOCA-GFCCVEGCSA-N 0 3 236.359 2.856 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)no1 ZINC000226956824 388734441 /nfs/dbraw/zinc/73/44/41/388734441.db2.gz UPGJOKNJAPRZRI-IRUJWGPZSA-N 0 3 222.332 2.897 20 0 BFADHN CCC[C@H](C(=O)OCC)N1C[C@H](C)C[C@@H](C)C1 ZINC000227035342 388738005 /nfs/dbraw/zinc/73/80/05/388738005.db2.gz NWIATJPHXNFHLM-JHJVBQTASA-N 0 3 241.375 2.696 20 0 BFADHN CS[C@@H](C)CNCc1sc(C)nc1C ZINC000128051118 388738214 /nfs/dbraw/zinc/73/82/14/388738214.db2.gz AMLDHCOQRBYLRJ-ZETCQYMHSA-N 0 3 230.402 2.601 20 0 BFADHN CC(C)(C)OCCN1CCc2ccccc2C1 ZINC000067715297 388738890 /nfs/dbraw/zinc/73/88/90/388738890.db2.gz OWTCBAJAQVGWSA-UHFFFAOYSA-N 0 3 233.355 2.860 20 0 BFADHN CN(C)CCN(Cc1ccco1)C1CCCC1 ZINC000089886202 388741534 /nfs/dbraw/zinc/74/15/34/388741534.db2.gz SFUREGJXGQBZOT-UHFFFAOYSA-N 0 3 236.359 2.586 20 0 BFADHN Cc1ccc(C(C)(C)CN(C)[C@H]2CCOC2)cc1 ZINC000552281208 388689483 /nfs/dbraw/zinc/68/94/83/388689483.db2.gz MBLUZMWBDYUKBQ-HNNXBMFYSA-N 0 3 247.382 2.993 20 0 BFADHN COC[C@H](NCc1cccc(F)c1F)C(C)C ZINC000086695281 388691066 /nfs/dbraw/zinc/69/10/66/388691066.db2.gz ZNVGXNJKDSRYQX-LBPRGKRZSA-N 0 3 243.297 2.725 20 0 BFADHN COc1ncccc1CN1CCC[C@H]2CCC[C@H]21 ZINC000248740144 388691483 /nfs/dbraw/zinc/69/14/83/388691483.db2.gz QECAEDBKISCAQQ-TZMCWYRMSA-N 0 3 246.354 2.855 20 0 BFADHN CCN(C)Cc1cccc2c1OC(C)(C)C2 ZINC000126276281 388692593 /nfs/dbraw/zinc/69/25/93/388692593.db2.gz NUDYHTIOPOPHQX-UHFFFAOYSA-N 0 3 219.328 2.852 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccc(OC)cc1OC ZINC000195003016 388693239 /nfs/dbraw/zinc/69/32/39/388693239.db2.gz VTMPOQBODWZOJB-LLVKDONJSA-N 0 3 237.343 2.934 20 0 BFADHN CC[C@H](C)N(C)Cc1cccc(OC)c1OC ZINC000195004226 388693362 /nfs/dbraw/zinc/69/33/62/388693362.db2.gz PMFDITAQORBRJB-NSHDSACASA-N 0 3 237.343 2.934 20 0 BFADHN CCN(Cc1cnc2nc(C)cc(C)n12)C(C)C ZINC000126367312 388694577 /nfs/dbraw/zinc/69/45/77/388694577.db2.gz RCSJVJFVABEXEV-UHFFFAOYSA-N 0 3 246.358 2.576 20 0 BFADHN CCOc1cccc(CN(CC)C(C)C)n1 ZINC000126368031 388695022 /nfs/dbraw/zinc/69/50/22/388695022.db2.gz LWUGYGFZYIKQMM-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CC(C)n1ncc2cc(CN(C)C3CC3)cnc21 ZINC000195068645 388695272 /nfs/dbraw/zinc/69/52/72/388695272.db2.gz BGOOETPCEKHMRD-UHFFFAOYSA-N 0 3 244.342 2.606 20 0 BFADHN CCN(Cc1cnc2cc(C)ccn12)C(C)C ZINC000126361623 388695426 /nfs/dbraw/zinc/69/54/26/388695426.db2.gz PUGUXMSITYFMAO-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN Cn1cc(CN(CC2CCCCC2)C2CC2)cn1 ZINC000195094961 388695819 /nfs/dbraw/zinc/69/58/19/388695819.db2.gz WDOBSNLFTHXAIF-UHFFFAOYSA-N 0 3 247.386 2.965 20 0 BFADHN CC1(C)CCC(CNCc2ncc[nH]2)CC1 ZINC000126737988 388700394 /nfs/dbraw/zinc/70/03/94/388700394.db2.gz KUVQMTUDYNHLQF-UHFFFAOYSA-N 0 3 221.348 2.716 20 0 BFADHN CCC(CC)N(CC(=O)N(CC)CC)C1CC1 ZINC000522473290 388756005 /nfs/dbraw/zinc/75/60/05/388756005.db2.gz MDFPRSPEWSCHEP-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN COCC1(N[C@H]2CCc3ccc(C)cc32)CC1 ZINC000538550571 388701413 /nfs/dbraw/zinc/70/14/13/388701413.db2.gz AQGVDPNJBUOTSD-AWEZNQCLSA-N 0 3 231.339 2.751 20 0 BFADHN COc1ncccc1CN1C[C@@H](C)CC[C@H]1C ZINC000193365920 388702462 /nfs/dbraw/zinc/70/24/62/388702462.db2.gz KRFMHLRHJZYDTD-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ncccc1CN1CC[C@H](CC(C)C)C1 ZINC000193366621 388702844 /nfs/dbraw/zinc/70/28/44/388702844.db2.gz NNACCIZVNVHLIR-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC[C@H](C)C2)C[C@H](C)O1 ZINC000538626865 388704087 /nfs/dbraw/zinc/70/40/87/388704087.db2.gz BTPRBKMCWPNEIM-FQUUOJAGSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@H](NCc1ccnc(N)c1)c1ccccc1 ZINC000193471726 388705665 /nfs/dbraw/zinc/70/56/65/388705665.db2.gz BGJROJPHRSCUIT-NSHDSACASA-N 0 3 227.311 2.515 20 0 BFADHN C[C@H](NC[C@H]1CCCS1)c1ccoc1 ZINC000087667664 388710173 /nfs/dbraw/zinc/71/01/73/388710173.db2.gz BEYGAPCMNROHHV-GXSJLCMTSA-N 0 3 211.330 2.826 20 0 BFADHN COc1cccc(CN2C[C@@H](C)C[C@@H]2C)c1OC ZINC000538717559 388710212 /nfs/dbraw/zinc/71/02/12/388710212.db2.gz JJUDTLCCYXPTGW-RYUDHWBXSA-N 0 3 249.354 2.934 20 0 BFADHN Cc1ccc(CNCCOCCC(C)C)nc1 ZINC000127012993 388710607 /nfs/dbraw/zinc/71/06/07/388710607.db2.gz CYVVMGRZDWPIMS-UHFFFAOYSA-N 0 3 236.359 2.542 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@H]2C)c(OC)c1 ZINC000538720242 388711078 /nfs/dbraw/zinc/71/10/78/388711078.db2.gz ORFSFKOANPBKDZ-VXGBXAGGSA-N 0 3 249.354 2.934 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1O)c1ccc(Cl)s1 ZINC000226263168 388714121 /nfs/dbraw/zinc/71/41/21/388714121.db2.gz IVRZXYJNXGSORD-DJLDLDEBSA-N 0 3 245.775 2.966 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cccc2c1OCO2 ZINC000538738587 388715659 /nfs/dbraw/zinc/71/56/59/388715659.db2.gz GDASYWWHXYGWMK-WDEREUQCSA-N 0 3 233.311 2.646 20 0 BFADHN C[C@H]1CSCCN1Cc1ccc2[nH]cnc2c1 ZINC000538740093 388715830 /nfs/dbraw/zinc/71/58/30/388715830.db2.gz JBGYFXVLUJLHJF-JTQLQIEISA-N 0 3 247.367 2.500 20 0 BFADHN C[C@H]1CSCCN1Cc1ccc2nc[nH]c2c1 ZINC000538740093 388715833 /nfs/dbraw/zinc/71/58/33/388715833.db2.gz JBGYFXVLUJLHJF-JTQLQIEISA-N 0 3 247.367 2.500 20 0 BFADHN C[C@@H]1CN(Cc2cocn2)[C@H]2CCCC[C@@H]12 ZINC000249313995 388716790 /nfs/dbraw/zinc/71/67/90/388716790.db2.gz OZMCIYNWDOWFQJ-WXHSDQCUSA-N 0 3 220.316 2.685 20 0 BFADHN COCC(C)(C)NC/C=C/c1ccc(F)cc1 ZINC000127575936 388726492 /nfs/dbraw/zinc/72/64/92/388726492.db2.gz VBYDITHAEQRHCK-SNAWJCMRSA-N 0 3 237.318 2.854 20 0 BFADHN CC[C@@]1(CO)CCCN([C@H](C)c2ccccn2)C1 ZINC000249562065 388727872 /nfs/dbraw/zinc/72/78/72/388727872.db2.gz LVSWGXDRWDSFIQ-UKRRQHHQSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@H](O)[C@H](C)C1 ZINC000249577620 388729063 /nfs/dbraw/zinc/72/90/63/388729063.db2.gz PXWHIOPISOLULV-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cnoc1C ZINC000128831660 388798514 /nfs/dbraw/zinc/79/85/14/388798514.db2.gz OUXVSMOFQUJBHY-JTQLQIEISA-N 0 3 210.321 2.851 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](CO)C(C)C)oc1C ZINC000554095819 388799299 /nfs/dbraw/zinc/79/92/99/388799299.db2.gz HQNMMEWCAIUUHU-AAEUAGOBSA-N 0 3 239.359 2.812 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](CO)C(C)C)oc1C ZINC000554095822 388800090 /nfs/dbraw/zinc/80/00/90/388800090.db2.gz HQNMMEWCAIUUHU-YPMHNXCESA-N 0 3 239.359 2.812 20 0 BFADHN CCc1ccc(CNC2C[C@H](C)O[C@@H](C)C2)o1 ZINC000128849508 388800522 /nfs/dbraw/zinc/80/05/22/388800522.db2.gz YGYVDFUQYVGYRB-QWRGUYRKSA-N 0 3 237.343 2.888 20 0 BFADHN O[C@H]1CCC[C@@H]1N[C@@H]1CCSc2ccccc21 ZINC000193205677 388805367 /nfs/dbraw/zinc/80/53/67/388805367.db2.gz RUQMMJDSAYKJBS-AGIUHOORSA-N 0 3 249.379 2.727 20 0 BFADHN CC[C@@H](O)CNCc1cc(Cl)ccc1Cl ZINC000229976237 388808023 /nfs/dbraw/zinc/80/80/23/388808023.db2.gz WLRZSGHPLLKTIE-SNVBAGLBSA-N 0 3 248.153 2.854 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN2CC[C@@H](O)[C@H](C)C2)o1 ZINC000253232435 388810508 /nfs/dbraw/zinc/81/05/08/388810508.db2.gz FEYTWYNAKMSVRB-OIMNJJJWSA-N 0 3 249.354 2.606 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1nccs1 ZINC000252164501 388762075 /nfs/dbraw/zinc/76/20/75/388762075.db2.gz QGUFOJBKFSYNFT-LMLFDSFASA-N 0 3 240.372 2.750 20 0 BFADHN CSC1(CNCc2occc2C)CCC1 ZINC000227946325 388762518 /nfs/dbraw/zinc/76/25/18/388762518.db2.gz DJCJOKPLGRPTJL-UHFFFAOYSA-N 0 3 225.357 2.963 20 0 BFADHN CC(C)CCNC(=O)c1cccc(CN(C)C)c1 ZINC000128567833 388771453 /nfs/dbraw/zinc/77/14/53/388771453.db2.gz OZLDEAYIZVRAGN-UHFFFAOYSA-N 0 3 248.370 2.524 20 0 BFADHN CCCCN(C)C(=O)c1cccc(CN(C)C)c1 ZINC000128611985 388774874 /nfs/dbraw/zinc/77/48/74/388774874.db2.gz XSCMLDLFCYIUQX-UHFFFAOYSA-N 0 3 248.370 2.620 20 0 BFADHN C[C@H](NCc1ncc[nH]1)[C@@H]1CCC[C@@H](C)C1 ZINC000229324147 388785708 /nfs/dbraw/zinc/78/57/08/388785708.db2.gz KAOBXRSDMFYVRK-GRYCIOLGSA-N 0 3 221.348 2.714 20 0 BFADHN CCN(CC(=O)N[C@@H](C)C(C)C)[C@H](C)C(C)C ZINC000250246120 388787274 /nfs/dbraw/zinc/78/72/74/388787274.db2.gz WZVBYPWJEAIIHY-QWHCGFSZSA-N 0 3 242.407 2.514 20 0 BFADHN Cc1oncc1CN1C[C@@H](C)CC[C@@H]1C ZINC000128760380 388790679 /nfs/dbraw/zinc/79/06/79/388790679.db2.gz DIOMADWQWUSMTD-UWVGGRQHSA-N 0 3 208.305 2.603 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H]1CCc2c1cccc2F ZINC000250453336 388790889 /nfs/dbraw/zinc/79/08/89/388790889.db2.gz GTEUCTBSZPANAW-RRFJBIMHSA-N 0 3 249.329 2.970 20 0 BFADHN CCc1cnc(CNCC2CCC2)s1 ZINC000230142368 388815914 /nfs/dbraw/zinc/81/59/14/388815914.db2.gz GOIGWIJLPFISGJ-UHFFFAOYSA-N 0 3 210.346 2.595 20 0 BFADHN Cc1ccc(CNC[C@H]2CC2(C)C)nc1 ZINC000230220986 388820892 /nfs/dbraw/zinc/82/08/92/388820892.db2.gz KDVOPCKLLWISQV-LLVKDONJSA-N 0 3 204.317 2.526 20 0 BFADHN C[C@H](c1cccc(F)c1)N(C)CCn1cccn1 ZINC000069070948 388822174 /nfs/dbraw/zinc/82/21/74/388822174.db2.gz LPTJONPOPXBTOS-GFCCVEGCSA-N 0 3 247.317 2.715 20 0 BFADHN COCc1ccc(CN[C@@H]2C=CCCC2)o1 ZINC000129354562 388833121 /nfs/dbraw/zinc/83/31/21/388833121.db2.gz PDXXDCCJESBCMS-LLVKDONJSA-N 0 3 221.300 2.624 20 0 BFADHN COCc1ccc(CN(C)CCSC)cc1 ZINC000093509483 388842596 /nfs/dbraw/zinc/84/25/96/388842596.db2.gz ABBCUQPKJCQSMT-UHFFFAOYSA-N 0 3 239.384 2.628 20 0 BFADHN Cc1cccnc1CNC1CC(C(C)C)C1 ZINC000232538339 388853069 /nfs/dbraw/zinc/85/30/69/388853069.db2.gz NPBZJRAJMBFEDM-UHFFFAOYSA-N 0 3 218.344 2.914 20 0 BFADHN C[C@@H]1C[C@H](C)[C@@H](C)N(Cc2ccc(CO)o2)C1 ZINC000229496262 388853490 /nfs/dbraw/zinc/85/34/90/388853490.db2.gz RMTBWHMBYQOBEM-GRYCIOLGSA-N 0 3 237.343 2.638 20 0 BFADHN Cc1cc(CN(C2CC2)C2CCCC2)ncn1 ZINC000555635706 388858648 /nfs/dbraw/zinc/85/86/48/388858648.db2.gz RQRBVNDDCDFPLQ-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN C[C@@H]1CN(CC[C@@H]2CCC[C@H](C)C2)CCO1 ZINC000555643153 388859170 /nfs/dbraw/zinc/85/91/70/388859170.db2.gz VQTZONGJDCOZBS-MJBXVCDLSA-N 0 3 225.376 2.924 20 0 BFADHN Cc1cc(CN2CCc3ccccc3CC2)on1 ZINC000523862368 388862240 /nfs/dbraw/zinc/86/22/40/388862240.db2.gz QFPBDGZHYBUPAV-UHFFFAOYSA-N 0 3 242.322 2.584 20 0 BFADHN CC1=CC[C@H](N[C@H]2C[C@H](C)n3ccnc32)CC1 ZINC000648776384 388862267 /nfs/dbraw/zinc/86/22/67/388862267.db2.gz BYFQDKRGLQAUGC-AVGNSLFASA-N 0 3 231.343 2.977 20 0 BFADHN CC[C@@H](F)CN1CCC(C2CCOCC2)CC1 ZINC000555927347 388864080 /nfs/dbraw/zinc/86/40/80/388864080.db2.gz JVKQNJAVTXQJLK-CQSZACIVSA-N 0 3 243.366 2.873 20 0 BFADHN CC[C@H](NCc1ncccc1F)C1CCC1 ZINC000556149113 388869202 /nfs/dbraw/zinc/86/92/02/388869202.db2.gz GXPSQKVPBPHYOW-LBPRGKRZSA-N 0 3 222.307 2.889 20 0 BFADHN CC1CN(Cc2ccnc3ccccc23)C1 ZINC000555341425 388845756 /nfs/dbraw/zinc/84/57/56/388845756.db2.gz DVIGCPVKZLBDRY-UHFFFAOYSA-N 0 3 212.296 2.687 20 0 BFADHN C[C@@H]1[C@@H](O)CCN1Cc1ccc2c(c1)CCCC2 ZINC000648763563 388846862 /nfs/dbraw/zinc/84/68/62/388846862.db2.gz HNFWGBXEGDNTIW-WBMJQRKESA-N 0 3 245.366 2.521 20 0 BFADHN CC(C)[C@H]1CN(Cc2cn[nH]c2)[C@H]1C(C)C ZINC000648766064 388848349 /nfs/dbraw/zinc/84/83/49/388848349.db2.gz ZMLVQPAIPPLQEW-OLZOCXBDSA-N 0 3 221.348 2.522 20 0 BFADHN CC[C@H]1CCN1Cc1ccc2[nH]cnc2c1 ZINC000564636722 388881383 /nfs/dbraw/zinc/88/13/83/388881383.db2.gz ZKARQOSVPYQACP-NSHDSACASA-N 0 3 215.300 2.547 20 0 BFADHN CC[C@H]1CCN1Cc1ccc2nc[nH]c2c1 ZINC000564636722 388881386 /nfs/dbraw/zinc/88/13/86/388881386.db2.gz ZKARQOSVPYQACP-NSHDSACASA-N 0 3 215.300 2.547 20 0 BFADHN CC(C)OC1CC(N(C)Cc2cccc(O)c2)C1 ZINC000564710786 388886043 /nfs/dbraw/zinc/88/60/43/388886043.db2.gz KMUGSCPPBDPBPF-UHFFFAOYSA-N 0 3 249.354 2.780 20 0 BFADHN Cc1ccc(CN(C)CCc2cccc(C)n2)o1 ZINC000564909819 388897369 /nfs/dbraw/zinc/89/73/69/388897369.db2.gz GDTJERKRJMVCDA-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN C[C@@H](NCc1noc2ccccc21)[C@@H]1C[C@H]1C ZINC000565218835 388927363 /nfs/dbraw/zinc/92/73/63/388927363.db2.gz RWFXYDRDWFIZOA-CKYFFXLPSA-N 0 3 230.311 2.962 20 0 BFADHN CCC(O)(CC)C(C)(C)CNCC1(F)CC1 ZINC000565312706 388936888 /nfs/dbraw/zinc/93/68/88/388936888.db2.gz CBCRCXMRUZJFCJ-UHFFFAOYSA-N 0 3 231.355 2.655 20 0 BFADHN CC[C@@H]1CN(C)CCN1Cc1ccc(C)s1 ZINC000565261659 388933487 /nfs/dbraw/zinc/93/34/87/388933487.db2.gz BYLAKKJTTSZLNT-GFCCVEGCSA-N 0 3 238.400 2.583 20 0 BFADHN CN(Cc1ccc2c(c1)OCO2)CC1(C)CC1 ZINC000565281055 388935299 /nfs/dbraw/zinc/93/52/99/388935299.db2.gz IYKNORLQJDCDHE-UHFFFAOYSA-N 0 3 233.311 2.647 20 0 BFADHN CC(C)(C)C1=CC[N@H+](Cc2ncccc2[O-])CC1 ZINC000565685455 388961496 /nfs/dbraw/zinc/96/14/96/388961496.db2.gz XYWWCGCCHIHRSJ-UHFFFAOYSA-N 0 3 246.354 2.965 20 0 BFADHN CC(C)(C)C1=CC[N@@H+](Cc2ncccc2[O-])CC1 ZINC000565685455 388961499 /nfs/dbraw/zinc/96/14/99/388961499.db2.gz XYWWCGCCHIHRSJ-UHFFFAOYSA-N 0 3 246.354 2.965 20 0 BFADHN CCCN(C)[C@H](C)C(=O)N(C)C1CCCCC1 ZINC000153191925 388949584 /nfs/dbraw/zinc/94/95/84/388949584.db2.gz OHBDDXDYCGKSKN-GFCCVEGCSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H]1CN(CC2(C)CC2)C[C@@H](CC)O1 ZINC000565533510 388951329 /nfs/dbraw/zinc/95/13/29/388951329.db2.gz LARPVWBGLUTNSP-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN CCOc1ccccc1CNCC[C@H](C)OC ZINC000264551030 388992711 /nfs/dbraw/zinc/99/27/11/388992711.db2.gz ZQXUGUQOZOTBLO-LBPRGKRZSA-N 0 3 237.343 2.600 20 0 BFADHN CO[C@H](CNCc1ccc(F)c(F)c1)C(C)C ZINC000266623552 388996340 /nfs/dbraw/zinc/99/63/40/388996340.db2.gz MEJUPMDYBOMYEA-CYBMUJFWSA-N 0 3 243.297 2.725 20 0 BFADHN CO[C@H](CNCc1ccc(F)cc1)C(C)C ZINC000266646933 388996701 /nfs/dbraw/zinc/99/67/01/388996701.db2.gz WTGBWVHDTIWPEC-CYBMUJFWSA-N 0 3 225.307 2.586 20 0 BFADHN CO[C@@H](CNCc1ccc(F)cc1F)C(C)C ZINC000266641474 388997006 /nfs/dbraw/zinc/99/70/06/388997006.db2.gz UNPYHXCKQPQGFS-ZDUSSCGKSA-N 0 3 243.297 2.725 20 0 BFADHN CO[C@@H](CNCc1cccs1)C(C)C ZINC000266603654 388997313 /nfs/dbraw/zinc/99/73/13/388997313.db2.gz FOFAZZDDNCTKGY-NSHDSACASA-N 0 3 213.346 2.509 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccnc1)[C@@H]1CCCCO1 ZINC000271913190 389028192 /nfs/dbraw/zinc/02/81/92/389028192.db2.gz BNOUBPQKBNDPJK-SCRDCRAPSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ccc([C@H](C)NCCOC2CCC2)o1 ZINC000272198581 389029156 /nfs/dbraw/zinc/02/91/56/389029156.db2.gz IPPHYGHKEGXZOR-NSHDSACASA-N 0 3 223.316 2.808 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1cocn1 ZINC000272391171 389031688 /nfs/dbraw/zinc/03/16/88/389031688.db2.gz VCBJXEICAUQIDM-CMPLNLGQSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@H](NCC1=CCCOC1)c1cccc(F)c1 ZINC000269321811 389008054 /nfs/dbraw/zinc/00/80/54/389008054.db2.gz ZGMJXOJSLWTJSN-NSHDSACASA-N 0 3 235.302 2.823 20 0 BFADHN CCC[C@H](CNCc1ccc(F)c(F)c1)OC ZINC000293636290 389010473 /nfs/dbraw/zinc/01/04/73/389010473.db2.gz JJDURYSDKVBIAX-LLVKDONJSA-N 0 3 243.297 2.870 20 0 BFADHN CC[C@@H]1CN(Cc2cccc(Cl)c2)C[C@@H]1O ZINC000270487160 389012294 /nfs/dbraw/zinc/01/22/94/389012294.db2.gz AJFJAJSMNGAKQA-YPMHNXCESA-N 0 3 239.746 2.543 20 0 BFADHN CC[C@H]1CN(Cc2ccc(Cl)cc2)C[C@H]1O ZINC000270949249 389014619 /nfs/dbraw/zinc/01/46/19/389014619.db2.gz SOPXRZKWYKKFGM-WCQYABFASA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H](N[C@H]1CCSC1)c1ccc(Cl)nc1 ZINC000271505031 389020949 /nfs/dbraw/zinc/02/09/49/389020949.db2.gz NUZOQCPTIHDAGL-WPRPVWTQSA-N 0 3 242.775 2.891 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccc(F)cn1)C(C)C ZINC000271582890 389023257 /nfs/dbraw/zinc/02/32/57/389023257.db2.gz REPLVKKCSQFBRQ-GWCFXTLKSA-N 0 3 240.322 2.542 20 0 BFADHN C[C@@H](NCCF)c1ccc2c(c1)CCC2 ZINC000271730984 389024538 /nfs/dbraw/zinc/02/45/38/389024538.db2.gz YRBJRTZJEFGXOP-SNVBAGLBSA-N 0 3 207.292 2.795 20 0 BFADHN C[C@H](NCCF)C(C)(C)c1ccccc1 ZINC000271804232 389025815 /nfs/dbraw/zinc/02/58/15/389025815.db2.gz MWZSEVGYYVXPSI-NSHDSACASA-N 0 3 209.308 2.912 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2CC2(C)C)c1 ZINC000274423838 389046451 /nfs/dbraw/zinc/04/64/51/389046451.db2.gz UNVCOAZPYGVTJE-JQWIXIFHSA-N 0 3 204.317 2.839 20 0 BFADHN C[C@@H](NC1CCOCC1)c1ccc(Cl)cn1 ZINC000274877123 389050264 /nfs/dbraw/zinc/05/02/64/389050264.db2.gz GVDRLMAMFFRBPL-SECBINFHSA-N 0 3 240.734 2.565 20 0 BFADHN C[C@@H](CCCCO)NCc1cc(F)ccc1F ZINC000272497766 389034283 /nfs/dbraw/zinc/03/42/83/389034283.db2.gz VEVHHAHBMKDMRF-JTQLQIEISA-N 0 3 243.297 2.606 20 0 BFADHN CC(C)CCCNCc1cnc([C@@H](C)O)s1 ZINC000289191921 389035730 /nfs/dbraw/zinc/03/57/30/389035730.db2.gz GWBVENKFVMJEGL-SNVBAGLBSA-N 0 3 242.388 2.722 20 0 BFADHN CC(C)CCCNCc1cnc([C@H](C)O)s1 ZINC000289191917 389035829 /nfs/dbraw/zinc/03/58/29/389035829.db2.gz GWBVENKFVMJEGL-JTQLQIEISA-N 0 3 242.388 2.722 20 0 BFADHN FC(F)(F)C1CCC(NCc2cocn2)CC1 ZINC000274323240 389045574 /nfs/dbraw/zinc/04/55/74/389045574.db2.gz ZJZJAFMVRFHFRH-UHFFFAOYSA-N 0 3 248.248 2.885 20 0 BFADHN COc1ncccc1CN1CC[C@H](C)C[C@@H](C)C1 ZINC000488103597 533258576 /nfs/dbraw/zinc/25/85/76/533258576.db2.gz LISMYUBFCBJRCM-QWHCGFSZSA-N 0 3 248.370 2.958 20 0 BFADHN C(=C/c1ccccc1)\CN1CC2(C1)CCOCC2 ZINC000334793011 533350983 /nfs/dbraw/zinc/35/09/83/533350983.db2.gz PWLFQQCKYVGQBE-QPJJXVBHSA-N 0 3 243.350 2.812 20 0 BFADHN COC(=O)CCN(C)C/C(C)=C\c1ccccc1 ZINC000505273695 533407338 /nfs/dbraw/zinc/40/73/38/533407338.db2.gz PSBTVZVDWKGVCG-QBFSEMIESA-N 0 3 247.338 2.585 20 0 BFADHN C/C(=C\c1ccccc1)CN1C[C@@H](O)C[C@@H]1C ZINC000335667791 533410891 /nfs/dbraw/zinc/41/08/91/533410891.db2.gz SFEVYWOYZBWCLW-RKIJOGDYSA-N 0 3 231.339 2.545 20 0 BFADHN C/C(=C\c1ccccc1)CN1CC[C@H](C)[C@H](O)C1 ZINC000349358667 533411103 /nfs/dbraw/zinc/41/11/03/533411103.db2.gz YGQZYICLCGXDFL-AWIOHZCQSA-N 0 3 245.366 2.793 20 0 BFADHN COc1cccc(CN2CCC[C@H](C(C)C)C2)n1 ZINC000483335766 533480229 /nfs/dbraw/zinc/48/02/29/533480229.db2.gz CTMNOTRHOODLTB-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C(C)C)CC2)nn1C ZINC000487425154 533602480 /nfs/dbraw/zinc/60/24/80/533602480.db2.gz FEQWLFAPHLLULJ-CQSZACIVSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2C2CCCC2)nn1C ZINC000487382862 533605926 /nfs/dbraw/zinc/60/59/26/533605926.db2.gz WHMYGTBZJYTANZ-OAHLLOKOSA-N 0 3 247.386 2.883 20 0 BFADHN C[C@@H](CF)NC1CCN(c2ccccc2)CC1 ZINC000290095487 132216894 /nfs/dbraw/zinc/21/68/94/132216894.db2.gz HHMYOJZTWUIUEK-LBPRGKRZSA-N 0 3 236.334 2.603 20 0 BFADHN CCCCCN1CCN(c2ccc(O)cc2)CC1 ZINC000038977671 132295756 /nfs/dbraw/zinc/29/57/56/132295756.db2.gz IPHWIJVERWTCLR-UHFFFAOYSA-N 0 3 248.370 2.704 20 0 BFADHN c1ccc2c(c1)CCN(c1ccncc1)C2 ZINC000013489832 132322028 /nfs/dbraw/zinc/32/20/28/132322028.db2.gz CIOBWNSCGKJAQU-UHFFFAOYSA-N 0 3 210.280 2.644 20 0 in-vivo BFADHN Cc1ccc([O-])c(C[NH2+][C@@H]2CCC(C)(C)C2)n1 ZINC000162726955 133534064 /nfs/dbraw/zinc/53/40/64/133534064.db2.gz GPLVVITUBSIGQR-LLVKDONJSA-N 0 3 234.343 2.764 20 0 BFADHN CCc1ccc(CN2CC[C@H](O)CC23CCC3)o1 ZINC000335432754 133844620 /nfs/dbraw/zinc/84/46/20/133844620.db2.gz FQDANYYGRPIZQF-LBPRGKRZSA-N 0 3 249.354 2.721 20 0 BFADHN Cc1ncc(NC(=O)C(C)C(F)(F)F)s1 ZINC000335920786 134007013 /nfs/dbraw/zinc/00/70/13/134007013.db2.gz OFEQRMMZGWLGBV-SCSAIBSYSA-N 0 3 238.234 2.588 20 0 BFADHN CCC1(C)CCN(Cc2cc(C)no2)CC1 ZINC000335925799 134008637 /nfs/dbraw/zinc/00/86/37/134008637.db2.gz IESBVFAEZHZWJL-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1ncc(CN2CCC[C@H]3CCC[C@@H]32)cn1 ZINC000335938711 134013606 /nfs/dbraw/zinc/01/36/06/134013606.db2.gz NJAZUFFIOIOTRB-KGLIPLIRSA-N 0 3 231.343 2.550 20 0 BFADHN CCc1ccc(CN2C[C@@H](C)N(C)C[C@H]2C)cc1 ZINC000351951449 134016362 /nfs/dbraw/zinc/01/63/62/134016362.db2.gz KSCFRYFBCOJVLV-ZIAGYGMSSA-N 0 3 246.398 2.773 20 0 BFADHN Cc1cccc(CN2C[C@@H](C)N(C)C[C@H]2C)c1 ZINC000351958442 134017714 /nfs/dbraw/zinc/01/77/14/134017714.db2.gz KKRWBZKYIYABOK-ZIAGYGMSSA-N 0 3 232.371 2.519 20 0 BFADHN C[C@H](F)CCN1CC(C)(C)[C@@H]1[C@H]1CCCO1 ZINC000351959938 134018552 /nfs/dbraw/zinc/01/85/52/134018552.db2.gz SUJXWWKCTWCUBB-TUAOUCFPSA-N 0 3 229.339 2.624 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]2C(C)(C)C)cn1 ZINC000335945552 134019931 /nfs/dbraw/zinc/01/99/31/134019931.db2.gz OCDNFUWAXQNNID-CYBMUJFWSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1c(CN2CC[C@@H](C)C3(CCC3)C2)cnn1C ZINC000335947060 134020669 /nfs/dbraw/zinc/02/06/69/134020669.db2.gz BBSXGAZCBVYOAX-GFCCVEGCSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@H]1CC[C@@H](N(C)Cc2cncc(F)c2)C1 ZINC000335958939 134024298 /nfs/dbraw/zinc/02/42/98/134024298.db2.gz HFMFXOUNPXTUNC-GXFFZTMASA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1OCC[C@@H]1NC1(c2ccc(F)cc2)CC1 ZINC000335960500 134026470 /nfs/dbraw/zinc/02/64/70/134026470.db2.gz JZUGCDXXKAVRLM-GWCFXTLKSA-N 0 3 235.302 2.582 20 0 BFADHN CCn1nc(CN(C)CC2CC2)c2ccccc21 ZINC000352000851 134033762 /nfs/dbraw/zinc/03/37/62/134033762.db2.gz AOUSGGXRJYCDPR-UHFFFAOYSA-N 0 3 243.354 2.898 20 0 BFADHN C[C@H]1CN(CCOC2CCC2)CCC1(F)F ZINC000352005762 134034402 /nfs/dbraw/zinc/03/44/02/134034402.db2.gz GWHIOJYENRAJII-JTQLQIEISA-N 0 3 233.302 2.533 20 0 BFADHN Cc1ncsc1CN(C)CC[C@H](C)F ZINC000352012915 134036223 /nfs/dbraw/zinc/03/62/23/134036223.db2.gz GYYFFSPOMQBZNO-QMMMGPOBSA-N 0 3 216.325 2.631 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCC[C@H](O)CC1 ZINC000335980491 134037160 /nfs/dbraw/zinc/03/71/60/134037160.db2.gz QXQJMLPJVWYVPR-FZMZJTMJSA-N 0 3 237.318 2.734 20 0 BFADHN Cc1cnc(CN2CC[C@]3(CC[C@H](C)C3)C2)cn1 ZINC000335981052 134037527 /nfs/dbraw/zinc/03/75/27/134037527.db2.gz HGESJBFSUPBKGP-WFASDCNBSA-N 0 3 245.370 2.797 20 0 BFADHN C[C@H]1CCN(Cc2coc3ccccc23)C[C@@H]1O ZINC000335996606 134041973 /nfs/dbraw/zinc/04/19/73/134041973.db2.gz KYBXMKFENXTFCF-FZMZJTMJSA-N 0 3 245.322 2.636 20 0 BFADHN Cc1ccc(CN2CCCC[C@H]2C)cn1 ZINC000336031578 134058273 /nfs/dbraw/zinc/05/82/73/134058273.db2.gz KELFZMMUYPCSRZ-GFCCVEGCSA-N 0 3 204.317 2.764 20 0 BFADHN COCCN(CC[C@H](C)F)Cc1cccs1 ZINC000352097557 134064317 /nfs/dbraw/zinc/06/43/17/134064317.db2.gz TXLDXVKQAPQUKY-NSHDSACASA-N 0 3 245.363 2.945 20 0 BFADHN Fc1ccc(CN2CC[C@H]3CCC[C@H]32)nc1 ZINC000336052180 134065067 /nfs/dbraw/zinc/06/50/67/134065067.db2.gz IXTRODBEIRPHML-ZWNOBZJWSA-N 0 3 220.291 2.595 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1ccc(N2CCCC2)nc1 ZINC000336071728 134072437 /nfs/dbraw/zinc/07/24/37/134072437.db2.gz XIYXWKACVGLTHX-STQMWFEESA-N 0 3 245.370 2.665 20 0 BFADHN Cc1noc(C)c1CCN1CCC(C)=C(C)C1 ZINC000336089169 134078128 /nfs/dbraw/zinc/07/81/28/134078128.db2.gz SECOTSMEVCPJPR-UHFFFAOYSA-N 0 3 234.343 2.876 20 0 BFADHN Cc1cnc([C@@H](C)N2CC(C)=C[C@@H](C)C2)cn1 ZINC000336125059 134092384 /nfs/dbraw/zinc/09/23/84/134092384.db2.gz WGWXRLPCGMXYBS-ZWNOBZJWSA-N 0 3 231.343 2.744 20 0 BFADHN Cc1cnc([C@H](C)N2CC(C)=C[C@H](C)C2)cn1 ZINC000336125056 134092395 /nfs/dbraw/zinc/09/23/95/134092395.db2.gz WGWXRLPCGMXYBS-GWCFXTLKSA-N 0 3 231.343 2.744 20 0 BFADHN C[C@H]1CC[C@H](N(C)Cc2cncs2)C1 ZINC000336130484 134094364 /nfs/dbraw/zinc/09/43/64/134094364.db2.gz CNKREZMSKADZKY-UWVGGRQHSA-N 0 3 210.346 2.764 20 0 BFADHN CCc1ccccc1CN(C)[C@@H]1CCCOC1 ZINC000352229871 134110775 /nfs/dbraw/zinc/11/07/75/134110775.db2.gz GQAHOYYOWCXNJN-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN CCN(Cc1cccc(C(F)F)c1)C[C@@H](C)O ZINC000352229273 134110784 /nfs/dbraw/zinc/11/07/84/134110784.db2.gz DOFDMCIHAZMLTO-SNVBAGLBSA-N 0 3 243.297 2.827 20 0 BFADHN CC[C@]1(C)CCCN(Cc2conc2C)C1 ZINC000336164318 134112409 /nfs/dbraw/zinc/11/24/09/134112409.db2.gz AGALESAVFAWAPZ-CYBMUJFWSA-N 0 3 222.332 2.995 20 0 BFADHN CN(Cc1ccncc1F)C1(C)CCCC1 ZINC000336217494 134137697 /nfs/dbraw/zinc/13/76/97/134137697.db2.gz BNZMADXXFMQNBF-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN Cc1ccncc1CN(C)[C@@H]1CC1(C)C ZINC000336217990 134137907 /nfs/dbraw/zinc/13/79/07/134137907.db2.gz YJVUKHBDPSSNAX-GFCCVEGCSA-N 0 3 204.317 2.620 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cccc(OC)n1 ZINC000171859198 134261555 /nfs/dbraw/zinc/26/15/55/134261555.db2.gz OQZKGABGHDLOLD-CYBMUJFWSA-N 0 3 234.343 2.855 20 0 BFADHN COc1cccc(CN(C(C)C)C2CCC2)n1 ZINC000172664379 134267035 /nfs/dbraw/zinc/26/70/35/134267035.db2.gz YOGGKPOLDQRBKW-UHFFFAOYSA-N 0 3 234.343 2.853 20 0 BFADHN COCCCCN1CCS[C@H]2CCCC[C@@H]21 ZINC000177199524 134296379 /nfs/dbraw/zinc/29/63/79/134296379.db2.gz GMRRNZIRQSRMEZ-STQMWFEESA-N 0 3 243.416 2.773 20 0 BFADHN COc1ccc(OC)c(CN2CC[C@H](C)[C@H]2C)c1 ZINC000177870219 134303806 /nfs/dbraw/zinc/30/38/06/134303806.db2.gz RPUGYFOPIXBXMV-NWDGAFQWSA-N 0 3 249.354 2.934 20 0 BFADHN COc1ccc(OC)c(CN2CC[C@@H](C)[C@H]2C)c1 ZINC000177870203 134304325 /nfs/dbraw/zinc/30/43/25/134304325.db2.gz RPUGYFOPIXBXMV-VXGBXAGGSA-N 0 3 249.354 2.934 20 0 BFADHN CCc1ccc([C@H](C)NCCN2CCCC2)o1 ZINC000178312271 134308452 /nfs/dbraw/zinc/30/84/52/134308452.db2.gz UITVMIMXTBYPDD-LBPRGKRZSA-N 0 3 236.359 2.588 20 0 BFADHN CCn1ccnc1CN1CC[C@H](C)C[C@H](C)C1 ZINC000178963028 134313677 /nfs/dbraw/zinc/31/36/77/134313677.db2.gz WVZUEBLQRHBNSD-STQMWFEESA-N 0 3 235.375 2.771 20 0 BFADHN Cc1ccc(CN[C@]2(C)CCCOC2)cc1F ZINC000221335866 134320091 /nfs/dbraw/zinc/32/00/91/134320091.db2.gz FNOJSRCXNJMTGD-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN C[C@@H]1CCCN(Cc2cnn3ccccc23)CC1 ZINC000179653356 134323198 /nfs/dbraw/zinc/32/31/98/134323198.db2.gz NRAGPSKPRJTXNA-CYBMUJFWSA-N 0 3 243.354 2.956 20 0 BFADHN C[C@H](NCC1(C)CC1)c1cncc(F)c1 ZINC000222173619 134330098 /nfs/dbraw/zinc/33/00/98/134330098.db2.gz APTMDFWMUGGUNH-VIFPVBQESA-N 0 3 208.280 2.671 20 0 BFADHN OCc1cccc(CNCc2ccc(F)cc2)c1 ZINC000222117541 134330285 /nfs/dbraw/zinc/33/02/85/134330285.db2.gz HLHOTHQBBPJBJZ-UHFFFAOYSA-N 0 3 245.297 2.608 20 0 BFADHN C[C@@H]1CCC[C@@H](CO)N1Cc1ccccc1F ZINC000181384535 134336352 /nfs/dbraw/zinc/33/63/52/134336352.db2.gz DDEJNCSAOYTXRW-YPMHNXCESA-N 0 3 237.318 2.561 20 0 BFADHN Cc1oncc1CN1CC[C@H](c2ccccc2)C1 ZINC000181663983 134338408 /nfs/dbraw/zinc/33/84/08/134338408.db2.gz RCJXXKPAKJLAOA-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN C[C@@H](Cc1ccccc1)CN1CCO[C@@H](C)C1 ZINC000182030083 134341556 /nfs/dbraw/zinc/34/15/56/134341556.db2.gz SKDHPUZZRCFEJR-KBPBESRZSA-N 0 3 233.355 2.586 20 0 BFADHN COc1ccccc1[C@H]1CCCN1C[C@@H](C)OC ZINC000182122898 134342514 /nfs/dbraw/zinc/34/25/14/134342514.db2.gz ATEYCRAVKSZHHR-TZMCWYRMSA-N 0 3 249.354 2.867 20 0 BFADHN CCc1nnc(CN2C[C@H](C)[C@H]3CCCC[C@@H]32)o1 ZINC000182473872 134345006 /nfs/dbraw/zinc/34/50/06/134345006.db2.gz QNWRGFDHSBSPJB-TUAOUCFPSA-N 0 3 249.358 2.643 20 0 BFADHN C[C@@H]1CN(Cc2ccon2)[C@H]2CCCC[C@H]12 ZINC000182498250 134345669 /nfs/dbraw/zinc/34/56/69/134345669.db2.gz FXKFLCZTBXDEJZ-RTXFEEFZSA-N 0 3 220.316 2.685 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H](O)C(F)F)cc1C ZINC000224453138 134347636 /nfs/dbraw/zinc/34/76/36/134347636.db2.gz YAVREDXZQZSTLA-JQWIXIFHSA-N 0 3 243.297 2.580 20 0 BFADHN Cc1cc(F)ccc1CNCCOCC1CC1 ZINC000224530056 134348357 /nfs/dbraw/zinc/34/83/57/134348357.db2.gz YDONLRINYIUIIF-UHFFFAOYSA-N 0 3 237.318 2.650 20 0 BFADHN c1ccc2c(c1)COC[C@@H]2NC1CCSCC1 ZINC000226011151 134357892 /nfs/dbraw/zinc/35/78/92/134357892.db2.gz LGQRVEIPBSQULM-AWEZNQCLSA-N 0 3 249.379 2.743 20 0 BFADHN CC(C)CCN[C@H]1COCc2ccccc21 ZINC000225975994 134357938 /nfs/dbraw/zinc/35/79/38/134357938.db2.gz KNITUCXWUWPEAB-AWEZNQCLSA-N 0 3 219.328 2.894 20 0 BFADHN c1ccc2c(c1)COC[C@@H]2N[C@@H]1CCCSC1 ZINC000226011100 134357976 /nfs/dbraw/zinc/35/79/76/134357976.db2.gz SOBGFGMLALDLOE-OCCSQVGLSA-N 0 3 249.379 2.743 20 0 BFADHN Cn1c2ccccc2nc1CNC[C@@H]1CC1(C)C ZINC000226255558 134362220 /nfs/dbraw/zinc/36/22/20/134362220.db2.gz RTBOSZLFQCQMQT-NSHDSACASA-N 0 3 243.354 2.709 20 0 BFADHN C[C@H](c1ccccc1F)N(C)[C@H]1CCC[C@@H]1O ZINC000226284966 134362983 /nfs/dbraw/zinc/36/29/83/134362983.db2.gz UACBSGDGAKKKSR-SWHYSGLUSA-N 0 3 237.318 2.732 20 0 BFADHN Cc1ccc(F)cc1CN[C@@]1(C)CCCOC1 ZINC000226514876 134363888 /nfs/dbraw/zinc/36/38/88/134363888.db2.gz KPCQPQCXYOQZJV-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN CCC1(CNCc2ccc(OC)cc2OC)CC1 ZINC000226784982 134368855 /nfs/dbraw/zinc/36/88/55/134368855.db2.gz NNBRHUQXJKPSCH-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN COc1ccc(OC)c(CNCC2(C)CCC2)c1 ZINC000226787038 134369001 /nfs/dbraw/zinc/36/90/01/134369001.db2.gz JWZNCLPERQFOIS-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN C1=CC[C@H](CN2CCN3CCCC[C@@H]3C2)CC1 ZINC000227351145 134378774 /nfs/dbraw/zinc/37/87/74/134378774.db2.gz ZHKKMJGLUCFHKB-LSDHHAIUSA-N 0 3 234.387 2.513 20 0 BFADHN CCOC[C@H](C)NCc1cc(C)ccc1F ZINC000227286783 134379823 /nfs/dbraw/zinc/37/98/23/134379823.db2.gz WLJKKBRCRBCFFR-NSHDSACASA-N 0 3 225.307 2.649 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1CC(=O)Nc1ccccc1 ZINC000185648444 134387596 /nfs/dbraw/zinc/38/75/96/134387596.db2.gz UBXCMDNWGFNYCX-TZMCWYRMSA-N 0 3 246.354 2.746 20 0 BFADHN Cc1ccc(F)c(CNC2(CO)CCCC2)c1 ZINC000227602553 134388118 /nfs/dbraw/zinc/38/81/18/134388118.db2.gz WHODHRUXNJMNGD-UHFFFAOYSA-N 0 3 237.318 2.529 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2ccccc2F)C1(C)C ZINC000227832041 134389943 /nfs/dbraw/zinc/38/99/43/134389943.db2.gz FFCOTEKEFHXRBP-CHWSQXEVSA-N 0 3 237.318 2.729 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000186992479 134446727 /nfs/dbraw/zinc/44/67/27/134446727.db2.gz HIDCCSAIOXCIQI-CQROYNQRSA-N 0 3 247.338 2.814 20 0 BFADHN C[C@H]1OCC[C@@]1(C)NCc1ccc(F)cc1F ZINC000230151723 134483414 /nfs/dbraw/zinc/48/34/14/134483414.db2.gz JWZNSZYHJGHKMT-NOZJJQNGSA-N 0 3 241.281 2.622 20 0 BFADHN CC[C@@H](N[C@H](C)CCO)c1ccc(F)cc1F ZINC000151956885 134490882 /nfs/dbraw/zinc/49/08/82/134490882.db2.gz YIDAPTBFUZTENE-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN CC(C)(C)[C@@H](CCO)NCc1ccc(Cl)o1 ZINC000231073559 134539346 /nfs/dbraw/zinc/53/93/46/134539346.db2.gz QZWAQZZXPVKVHN-SNVBAGLBSA-N 0 3 245.750 2.820 20 0 BFADHN CC(C)=CCN1CCC(c2nc(C)no2)CC1 ZINC000053640660 134744519 /nfs/dbraw/zinc/74/45/19/134744519.db2.gz GRGMIYPKRUVHRF-UHFFFAOYSA-N 0 3 235.331 2.524 20 0 BFADHN C[C@H]1CCC[C@H](CCNCc2cc[nH]n2)C1 ZINC000070532034 134919626 /nfs/dbraw/zinc/91/96/26/134919626.db2.gz HMXWVSKOHWYQEB-NWDGAFQWSA-N 0 3 221.348 2.716 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)C1)c1nccs1 ZINC000070644300 135001114 /nfs/dbraw/zinc/00/11/14/135001114.db2.gz VKPMKXNGZOMBTN-KXUCPTDWSA-N 0 3 210.346 2.982 20 0 BFADHN CC[C@H]1CN(C[C@H]2CCC(C)(C)O2)CCS1 ZINC000248558048 135004051 /nfs/dbraw/zinc/00/40/51/135004051.db2.gz DASYQTFEONJOAO-NEPJUHHUSA-N 0 3 243.416 2.771 20 0 BFADHN Cn1cccc1[C@@H]1CCCN1C[C@H]1CCCCO1 ZINC000248406144 135005892 /nfs/dbraw/zinc/00/58/92/135005892.db2.gz SBUDMNVIRKCHLM-HIFRSBDPSA-N 0 3 248.370 2.731 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@@H]3CCCC[C@H]32)o1 ZINC000248438360 135009556 /nfs/dbraw/zinc/00/95/56/135009556.db2.gz SXHKMSZQKPCLTA-WCFLWFBJSA-N 0 3 234.343 2.994 20 0 BFADHN CSCCCN[C@@H](C)c1nc(C)cs1 ZINC000070732489 135009812 /nfs/dbraw/zinc/00/98/12/135009812.db2.gz FBAXTPSSSMXXPT-VIFPVBQESA-N 0 3 230.402 2.855 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2CCO[C@H](C)C2)n1 ZINC000070732757 135010767 /nfs/dbraw/zinc/01/07/67/135010767.db2.gz WWQZTDSHPXTCLO-OUAUKWLOSA-N 0 3 240.372 2.670 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1CCOCC(F)(F)F ZINC000076149390 135013805 /nfs/dbraw/zinc/01/38/05/135013805.db2.gz GONPUHVUIXBLIY-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@@H](C)[C@H](C)C1 ZINC000248628945 135017030 /nfs/dbraw/zinc/01/70/30/135017030.db2.gz QWYVLBKDCXANRM-IJLUTSLNSA-N 0 3 219.332 2.516 20 0 BFADHN Fc1cccc(CCNCc2cncc(F)c2)c1 ZINC000230938390 135021844 /nfs/dbraw/zinc/02/18/44/135021844.db2.gz PGNHUMFHNNKPCU-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN C[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1ncccn1 ZINC000248914492 135025822 /nfs/dbraw/zinc/02/58/22/135025822.db2.gz ZERSCFZLBHMYNM-JHJVBQTASA-N 0 3 231.343 2.630 20 0 BFADHN C[C@@H](CCN1CCO[C@@H](C)C1)c1ccccc1 ZINC000248993179 135029780 /nfs/dbraw/zinc/02/97/80/135029780.db2.gz OAXYFXMMOGYDFC-KBPBESRZSA-N 0 3 233.355 2.901 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN1CCO[C@@H](C)C1 ZINC000249058052 135032372 /nfs/dbraw/zinc/03/23/72/135032372.db2.gz HGYIHUBEKNDNKF-IHRRRGAJSA-N 0 3 223.360 2.700 20 0 BFADHN C[C@H](c1ccco1)N(C)CC[C@H]1CCCO1 ZINC000249293218 135041987 /nfs/dbraw/zinc/04/19/87/135041987.db2.gz MOSUPDFVHSIFER-VXGBXAGGSA-N 0 3 223.316 2.842 20 0 BFADHN CCCN(C)[C@H](C)C(=O)N[C@H](C)c1ccccc1 ZINC000249838895 135046248 /nfs/dbraw/zinc/04/62/48/135046248.db2.gz UKVQVANYVLNAMV-CHWSQXEVSA-N 0 3 248.370 2.594 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000249878904 135047217 /nfs/dbraw/zinc/04/72/17/135047217.db2.gz HIDCCSAIOXCIQI-ZRQNBYAXSA-N 0 3 247.338 2.814 20 0 BFADHN Cc1ncc(CN(C)CCC(C)C)s1 ZINC000076744683 135048169 /nfs/dbraw/zinc/04/81/69/135048169.db2.gz RPPCXXWLYSHDBJ-UHFFFAOYSA-N 0 3 212.362 2.929 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000250333510 135052595 /nfs/dbraw/zinc/05/25/95/135052595.db2.gz GZEFARVCEJAGBZ-JHJVBQTASA-N 0 3 235.375 2.817 20 0 BFADHN c1cc(CN[C@@H]2CC[C@H]3CCCC[C@H]3C2)n[nH]1 ZINC000250791061 135059117 /nfs/dbraw/zinc/05/91/17/135059117.db2.gz RAXGOHFDKSVRHB-FRRDWIJNSA-N 0 3 233.359 2.858 20 0 BFADHN CCN(CCNCc1ccc(Cl)o1)C1CC1 ZINC000163763334 135083073 /nfs/dbraw/zinc/08/30/73/135083073.db2.gz KIVSORXUYJUYLC-UHFFFAOYSA-N 0 3 242.750 2.507 20 0 BFADHN COCC[C@H](C)NCc1ccc2ccccc2n1 ZINC000077899875 135084817 /nfs/dbraw/zinc/08/48/17/135084817.db2.gz QRGGGQFNKBWARN-LBPRGKRZSA-N 0 3 244.338 2.749 20 0 BFADHN COCC[C@@H](C)NCc1ccc2ccccc2n1 ZINC000077899876 135084998 /nfs/dbraw/zinc/08/49/98/135084998.db2.gz QRGGGQFNKBWARN-GFCCVEGCSA-N 0 3 244.338 2.749 20 0 BFADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1cccc(O)c1 ZINC000252150654 135085330 /nfs/dbraw/zinc/08/53/30/135085330.db2.gz OOOHDXZBHZBWIY-CKYFFXLPSA-N 0 3 237.368 2.937 20 0 BFADHN C[C@]1(O)CCCN(C/C=C\c2ccc(F)cc2)C1 ZINC000255885645 135097822 /nfs/dbraw/zinc/09/78/22/135097822.db2.gz IKSSZVIQTTVENE-HKLWWIALSA-N 0 3 249.329 2.686 20 0 BFADHN CC[C@H](C)[C@](C)(O)CN[C@H](C)c1ccco1 ZINC000252712626 135100098 /nfs/dbraw/zinc/10/00/98/135100098.db2.gz MXKUAABNLZXITA-DMDPSCGWSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccccc2F)CS1 ZINC000086934299 135102198 /nfs/dbraw/zinc/10/21/98/135102198.db2.gz TWZZJSKRDHDQAF-GXSJLCMTSA-N 0 3 225.332 2.809 20 0 BFADHN C[C@H](c1cccs1)N(C)CC[C@H](C)O ZINC000252741235 135106703 /nfs/dbraw/zinc/10/67/03/135106703.db2.gz RIGVPOSDOHVKME-VHSXEESVSA-N 0 3 213.346 2.512 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000252749581 135107214 /nfs/dbraw/zinc/10/72/14/135107214.db2.gz FEASERDYIKBQDC-MPPDQPJWSA-N 0 3 237.343 2.652 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3c2OCO3)[C@H]1C ZINC000252802848 135110654 /nfs/dbraw/zinc/11/06/54/135110654.db2.gz VRHACVHIFCRGFJ-MNOVXSKESA-N 0 3 233.311 2.646 20 0 BFADHN Cc1ccc([C@H](C)NC[C@]2(C)CCCO2)o1 ZINC000087234033 135114148 /nfs/dbraw/zinc/11/41/48/135114148.db2.gz XUOUAZQHQUQOQA-AAEUAGOBSA-N 0 3 223.316 2.808 20 0 BFADHN C[C@@H](NC[C@@]1(C)CCCO1)c1ccsc1 ZINC000087234479 135116167 /nfs/dbraw/zinc/11/61/67/135116167.db2.gz FSGOAXLXZYBUQV-ZYHUDNBSSA-N 0 3 225.357 2.968 20 0 BFADHN Cc1ccsc1CNCCOC(F)(F)F ZINC000087310018 135122946 /nfs/dbraw/zinc/12/29/46/135122946.db2.gz NHEJDKTWLIKJGO-UHFFFAOYSA-N 0 3 239.262 2.683 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)C[C@H]1CCCO1 ZINC000255532084 135130073 /nfs/dbraw/zinc/13/00/73/135130073.db2.gz BNQPLLMTVWDOIN-NSRYLSIASA-N 0 3 249.329 2.950 20 0 BFADHN COC[C@@H](N[C@@H](C)c1nc(C)cs1)C(C)C ZINC000087480875 135144454 /nfs/dbraw/zinc/14/44/54/135144454.db2.gz SSTWYGAAXJUEOG-WDEREUQCSA-N 0 3 242.388 2.773 20 0 BFADHN Cc1cccnc1[C@@H](NC[C@H]1CCCO1)C(C)C ZINC000265996177 135146255 /nfs/dbraw/zinc/14/62/55/135146255.db2.gz LUWCDPAAVCDOFU-KGLIPLIRSA-N 0 3 248.370 2.856 20 0 BFADHN Cc1cccnc1[C@H](NC[C@H]1CCCO1)C(C)C ZINC000265996181 135146338 /nfs/dbraw/zinc/14/63/38/135146338.db2.gz LUWCDPAAVCDOFU-ZIAGYGMSSA-N 0 3 248.370 2.856 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CC2(C)C)on1 ZINC000266909907 135150536 /nfs/dbraw/zinc/15/05/36/135150536.db2.gz CRTKJNNZOWEMFI-VIFPVBQESA-N 0 3 208.305 2.603 20 0 BFADHN Cn1ccc(CN[C@@H]2CCc3ccc(F)cc32)c1 ZINC000267029436 135151378 /nfs/dbraw/zinc/15/13/78/135151378.db2.gz AQVYGCPMQHRGBX-OAHLLOKOSA-N 0 3 244.313 2.941 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCCCS2)s1 ZINC000087683423 135164332 /nfs/dbraw/zinc/16/43/32/135164332.db2.gz HMLAXZYKCJPJBO-JTQLQIEISA-N 0 3 242.413 2.827 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@@H](C)C2)no1 ZINC000084342450 135210733 /nfs/dbraw/zinc/21/07/33/135210733.db2.gz DNMMTPCVAQJRBI-PWSUYJOCSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@H](C)C2)on1 ZINC000084342488 135210761 /nfs/dbraw/zinc/21/07/61/135210761.db2.gz AUZOTVSBOZMVER-CMPLNLGQSA-N 0 3 222.332 2.899 20 0 BFADHN CC[C@H](N[C@H](C)COC)c1cccc(F)c1 ZINC000164071822 135212739 /nfs/dbraw/zinc/21/27/39/135212739.db2.gz DSNLQUVUPIEURS-MFKMUULPSA-N 0 3 225.307 2.901 20 0 BFADHN C[C@@H]1CN(Cc2cnc(N)s2)CC(C)(C)C1 ZINC000261575015 135216552 /nfs/dbraw/zinc/21/65/52/135216552.db2.gz JMECFBLDBGUPCO-VIFPVBQESA-N 0 3 239.388 2.593 20 0 BFADHN COC1CCC(N[C@H](C)c2nccs2)CC1 ZINC000164264974 135217866 /nfs/dbraw/zinc/21/78/66/135217866.db2.gz AUGLXKDRDMVMLV-KPPDAEKUSA-N 0 3 240.372 2.751 20 0 BFADHN CCCN1CCNC[C@H]1c1ccccc1Cl ZINC000261625619 135244720 /nfs/dbraw/zinc/24/47/20/135244720.db2.gz DIPXNBLPJWNUFG-ZDUSSCGKSA-N 0 3 238.762 2.696 20 0 BFADHN CC(C)C[C@@H]1COCCN1Cc1cccc(N)c1 ZINC000261712465 135247455 /nfs/dbraw/zinc/24/74/55/135247455.db2.gz PTLNEDLMWMBEIS-OAHLLOKOSA-N 0 3 248.370 2.516 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]CCC2(F)CCC2)c1 ZINC000342236590 135254751 /nfs/dbraw/zinc/25/47/51/135254751.db2.gz VUIOBLQKCFOYEE-UHFFFAOYSA-N 0 3 241.281 2.903 20 0 BFADHN CCn1nc(C)c(CN[C@@H]2CC[C@@H](F)C2)c1C ZINC000342272304 135260277 /nfs/dbraw/zinc/26/02/77/135260277.db2.gz DRLNLQGYSRNGCN-VXGBXAGGSA-N 0 3 239.338 2.500 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1NC[C@@H]1CCCOC1 ZINC000342410671 135265666 /nfs/dbraw/zinc/26/56/66/135265666.db2.gz BHRBABPRMDJIFN-SLEUVZQESA-N 0 3 247.338 2.525 20 0 BFADHN Cc1cccnc1[C@@H](NCC1(O)CCC1)C(C)C ZINC000342372271 135268241 /nfs/dbraw/zinc/26/82/41/135268241.db2.gz MLSADWXFHDCGQZ-ZDUSSCGKSA-N 0 3 248.370 2.592 20 0 BFADHN CCC[C@](C)(N)C(=O)NCC(CC)(CC)CC ZINC000262122658 135280492 /nfs/dbraw/zinc/28/04/92/135280492.db2.gz KLTPUYCUCFTGOA-ZDUSSCGKSA-N 0 3 242.407 2.837 20 0 BFADHN Cc1ccc([C@@H](NC[C@H](C)O)C2CCCC2)o1 ZINC000342533298 135281239 /nfs/dbraw/zinc/28/12/39/135281239.db2.gz CWMWCNXJEIATCF-HZMBPMFUSA-N 0 3 237.343 2.790 20 0 BFADHN COc1ccc([C@@H]2C[C@H]2[C@@H](C)NCCF)cc1 ZINC000342574685 135291569 /nfs/dbraw/zinc/29/15/69/135291569.db2.gz JLJUBUSBSRTHHV-SWHYSGLUSA-N 0 3 237.318 2.746 20 0 BFADHN C[C@@H](CCC(C)(C)C)N[C@@H](C)c1ncnn1C ZINC000342597204 135301334 /nfs/dbraw/zinc/30/13/34/135301334.db2.gz CWPGHSWGEZULED-QWRGUYRKSA-N 0 3 238.379 2.681 20 0 BFADHN CCC1CC(NCc2cccc3c2OCO3)C1 ZINC000342692141 135305209 /nfs/dbraw/zinc/30/52/09/135305209.db2.gz XXNQIEWTWPRJDJ-UHFFFAOYSA-N 0 3 233.311 2.694 20 0 BFADHN Cc1cccc(C)c1CN1CCOC(C)(C)C1 ZINC000342693318 135306769 /nfs/dbraw/zinc/30/67/69/135306769.db2.gz TZRVUYGUBICHCC-UHFFFAOYSA-N 0 3 233.355 2.914 20 0 BFADHN CCC1CC(N[C@@H](CO)c2cccc(F)c2)C1 ZINC000342740942 135310542 /nfs/dbraw/zinc/31/05/42/135310542.db2.gz VTZANOFTMMCQAQ-DBRPNBKGSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1ccc([C@@H](N[C@H](C)CO)C2CCCC2)o1 ZINC000342779561 135317824 /nfs/dbraw/zinc/31/78/24/135317824.db2.gz JVWUZQDIWDPUOH-YGRLFVJLSA-N 0 3 237.343 2.790 20 0 BFADHN Cc1cc(N2CCCOCC2)c2ccccc2n1 ZINC000334276320 135332734 /nfs/dbraw/zinc/33/27/34/135332734.db2.gz COJMETCDPPDAQS-UHFFFAOYSA-N 0 3 242.322 2.770 20 0 BFADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1cnccn1 ZINC000334277749 135333473 /nfs/dbraw/zinc/33/34/73/135333473.db2.gz OOUVHZJTLNOWEP-VHSXEESVSA-N 0 3 241.285 2.705 20 0 BFADHN CC(C)(C)OC(=O)CN1CCC[C@@H]1C1CCC1 ZINC000343003955 135344018 /nfs/dbraw/zinc/34/40/18/135344018.db2.gz FIDVAKBDSLNCAI-GFCCVEGCSA-N 0 3 239.359 2.593 20 0 BFADHN CCCC[C@H](N)C(=O)NC1CCC(C)(C)CC1 ZINC000079677730 135344669 /nfs/dbraw/zinc/34/46/69/135344669.db2.gz JEBWNSWXHYPICN-LBPRGKRZSA-N 0 3 240.391 2.589 20 0 BFADHN Fc1ccc(CN2CCC[C@@H]3COCC[C@H]32)cc1 ZINC000334303971 135347842 /nfs/dbraw/zinc/34/78/42/135347842.db2.gz DDOIEVJJUBVSQI-UKRRQHHQSA-N 0 3 249.329 2.827 20 0 BFADHN CCc1nocc1CN1CC[C@@H](C)[C@H](C)C1 ZINC000334305187 135347917 /nfs/dbraw/zinc/34/79/17/135347917.db2.gz GITVGUGTIKCEJE-GHMZBOCLSA-N 0 3 222.332 2.715 20 0 BFADHN CC(C)OC[C@@H](C)NCc1ccccc1 ZINC000306674741 135376530 /nfs/dbraw/zinc/37/65/30/135376530.db2.gz QYOLYURDVQMQSC-GFCCVEGCSA-N 0 3 207.317 2.590 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)C[C@@H](C)O)c(C)o1 ZINC000164493910 135380103 /nfs/dbraw/zinc/38/01/03/135380103.db2.gz SXVIMFTUFLPWGA-NGZCFLSTSA-N 0 3 225.332 2.706 20 0 BFADHN CC(C)CN1CCCC[C@H]1c1ccnn1C ZINC000334391754 135386107 /nfs/dbraw/zinc/38/61/07/135386107.db2.gz VWUJMGOXPSOKAD-ZDUSSCGKSA-N 0 3 221.348 2.603 20 0 BFADHN CC[C@H](COC)NCc1cc(C)ccc1OC ZINC000079733434 135397098 /nfs/dbraw/zinc/39/70/98/135397098.db2.gz JLBYRRJKQYBZEL-CYBMUJFWSA-N 0 3 237.343 2.518 20 0 BFADHN Cc1csc(CN2CC[C@@H](C3CC3)C2)n1 ZINC000334414811 135397209 /nfs/dbraw/zinc/39/72/09/135397209.db2.gz PDPRZBDLQQMUTC-LLVKDONJSA-N 0 3 222.357 2.683 20 0 BFADHN Cc1nccc(CN[C@H]2CCCC(C)(C)C2)n1 ZINC000079978343 135398175 /nfs/dbraw/zinc/39/81/75/135398175.db2.gz JWTGHKZFMBNEJR-LBPRGKRZSA-N 0 3 233.359 2.843 20 0 BFADHN CC1(C)C[C@@H](NCc2cccc3cc[nH]c32)CO1 ZINC000335354686 135411469 /nfs/dbraw/zinc/41/14/69/135411469.db2.gz AUVUHZUOZLBRAM-CYBMUJFWSA-N 0 3 244.338 2.825 20 0 BFADHN Cc1csc(CN[C@@H]2COC(C)(C)C2)c1 ZINC000335356976 135411971 /nfs/dbraw/zinc/41/19/71/135411971.db2.gz KUXYLVQMSNXMPS-JTQLQIEISA-N 0 3 225.357 2.714 20 0 BFADHN Cc1cnn(C)c1CN1CC(C)(C)[C@H]1C(C)C ZINC000334483850 135443176 /nfs/dbraw/zinc/44/31/76/135443176.db2.gz YUULYSBTFCKHTM-CYBMUJFWSA-N 0 3 235.375 2.595 20 0 BFADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccco2)C1(C)C ZINC000093605121 135602229 /nfs/dbraw/zinc/60/22/29/135602229.db2.gz GZOHNMFQJMBDLO-CHWSQXEVSA-N 0 3 237.343 2.915 20 0 BFADHN COc1cncc(CN(C)[C@H]2CC[C@@H](C)C2)c1 ZINC000343920813 135710642 /nfs/dbraw/zinc/71/06/42/135710642.db2.gz IQHGWLZHJVTOHA-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@H](N[C@H]1CCC12CCCC2)c1ccn(C)n1 ZINC000334580071 135755946 /nfs/dbraw/zinc/75/59/46/135755946.db2.gz LZUOTOSLXXTFTC-YPMHNXCESA-N 0 3 233.359 2.794 20 0 BFADHN COc1ccc(C2(N[C@@H](C)[C@@H](C)OC)CC2)cc1 ZINC000346735371 135967563 /nfs/dbraw/zinc/96/75/63/135967563.db2.gz RGADNNLAHQODGF-NWDGAFQWSA-N 0 3 249.354 2.697 20 0 BFADHN CCN1CCN(Cc2ccc3occc3c2)CC1 ZINC000347648151 136000691 /nfs/dbraw/zinc/00/06/91/136000691.db2.gz HAXLCPQLTANUCP-UHFFFAOYSA-N 0 3 244.338 2.570 20 0 BFADHN CCc1cc(CCCN(C)Cc2ccco2)on1 ZINC000347735965 136004431 /nfs/dbraw/zinc/00/44/31/136004431.db2.gz KJTZAIFKFRRDRV-UHFFFAOYSA-N 0 3 248.326 2.895 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@H]2C2CCCCC2)cn1 ZINC000347770812 136008801 /nfs/dbraw/zinc/00/88/01/136008801.db2.gz HVMJVPKALFZFFO-LSDHHAIUSA-N 0 3 245.370 2.843 20 0 BFADHN C[C@H]1CN(C)CCN1Cc1ccc2occc2c1 ZINC000347813521 136013745 /nfs/dbraw/zinc/01/37/45/136013745.db2.gz LTZJVYZSNOLUQO-LBPRGKRZSA-N 0 3 244.338 2.569 20 0 BFADHN Cc1ccc(CCCNCc2ccco2)cn1 ZINC000353915133 136019655 /nfs/dbraw/zinc/01/96/55/136019655.db2.gz MGSRLLMNNRPIKE-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN CC1(C)CN(Cc2ccno2)[C@H]2CCC[C@H]21 ZINC000335309846 136028863 /nfs/dbraw/zinc/02/88/63/136028863.db2.gz UWVJBUZNCFOJBB-NEPJUHHUSA-N 0 3 220.316 2.685 20 0 BFADHN COc1ccnc(CN2CCCC23CCC3)c1 ZINC000335310573 136028919 /nfs/dbraw/zinc/02/89/19/136028919.db2.gz KIARUAHICJVHFS-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1cccc2c1OCO2 ZINC000348101063 136036196 /nfs/dbraw/zinc/03/61/96/136036196.db2.gz HMTVVGHNFRPIFV-JQWIXIFHSA-N 0 3 233.311 2.694 20 0 BFADHN c1cc2c(c(CN[C@H]3CC[C@@H]3C3CC3)c1)OCO2 ZINC000348101622 136036304 /nfs/dbraw/zinc/03/63/04/136036304.db2.gz IJZDCQFMTUTLIM-OLZOCXBDSA-N 0 3 245.322 2.694 20 0 BFADHN CCOc1ncccc1CN[C@H]1CC[C@H]1CC ZINC000348111023 136037289 /nfs/dbraw/zinc/03/72/89/136037289.db2.gz VBTNXJXYUTVCRI-YPMHNXCESA-N 0 3 234.343 2.759 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1C(F)F)c1cccc(O)c1 ZINC000348146795 136039390 /nfs/dbraw/zinc/03/93/90/136039390.db2.gz FSHWRHBSJLZWDU-WHGOUJPWSA-N 0 3 227.254 2.696 20 0 BFADHN C[C@H](N[C@H]1C[C@H]1C(F)F)c1cncc(F)c1 ZINC000348151955 136039620 /nfs/dbraw/zinc/03/96/20/136039620.db2.gz ODOOMOYPJVIQMF-QUNWWBBNSA-N 0 3 230.233 2.525 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@H]2C(F)F)c1 ZINC000348187265 136040844 /nfs/dbraw/zinc/04/08/44/136040844.db2.gz PQLQJGZGZKTANN-GHMZBOCLSA-N 0 3 211.255 2.738 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1C[C@@H]1C(F)F ZINC000348180469 136043453 /nfs/dbraw/zinc/04/34/53/136043453.db2.gz HQIAWGMNQKUVNG-WDEREUQCSA-N 0 3 229.245 2.877 20 0 BFADHN CCN(Cc1c[nH]c2ccccc12)[C@@H]1CCOC1 ZINC000348214632 136045995 /nfs/dbraw/zinc/04/59/95/136045995.db2.gz ZFEUVJIYYMETKY-CYBMUJFWSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1nc(C)c(CN[C@H]2C[C@H]2C(F)F)s1 ZINC000348187962 136048938 /nfs/dbraw/zinc/04/89/38/136048938.db2.gz QSVFZLIHSZEYNI-SFYZADRCSA-N 0 3 232.299 2.503 20 0 BFADHN Fc1ccccc1CN1CC[C@H]([C@H]2CCOC2)C1 ZINC000335320940 136049541 /nfs/dbraw/zinc/04/95/41/136049541.db2.gz KXMRARCIPXQCHY-JSGCOSHPSA-N 0 3 249.329 2.684 20 0 BFADHN Fc1ccccc1CN1CC[C@H]([C@@H]2CCOC2)C1 ZINC000335320936 136049821 /nfs/dbraw/zinc/04/98/21/136049821.db2.gz KXMRARCIPXQCHY-GXTWGEPZSA-N 0 3 249.329 2.684 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2[C@@H](C)C[C@@H]2C)o1 ZINC000335329195 136053064 /nfs/dbraw/zinc/05/30/64/136053064.db2.gz XQAKZRYKSAYUBK-UWVGGRQHSA-N 0 3 245.326 2.961 20 0 BFADHN C[C@@H]1CN(C)CCN1CCC1CCCCC1 ZINC000335332211 136054183 /nfs/dbraw/zinc/05/41/83/136054183.db2.gz RVFKVCCGYNIWQZ-CYBMUJFWSA-N 0 3 224.392 2.593 20 0 BFADHN CC(C)OCCN1CC[C@H](C(F)(F)F)[C@@H]1C ZINC000348248429 136056630 /nfs/dbraw/zinc/05/66/30/136056630.db2.gz VKEYSRAMSCBQHQ-UWVGGRQHSA-N 0 3 239.281 2.684 20 0 BFADHN Cc1cnn(C)c1CN1C[C@@H](C)CC(C)(C)C1 ZINC000348264108 136058256 /nfs/dbraw/zinc/05/82/56/136058256.db2.gz WBEHQKAQRPRVBB-NSHDSACASA-N 0 3 235.375 2.597 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](c1nccn1C)C1CC1 ZINC000348277106 136059253 /nfs/dbraw/zinc/05/92/53/136059253.db2.gz FYXVSODQCDSXNJ-RAIGVLPGSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1nn(C(C)(C)C)c(C)c1CN1CCCC1 ZINC000335342767 136064772 /nfs/dbraw/zinc/06/47/72/136064772.db2.gz BXOMAHAOVVGMCT-UHFFFAOYSA-N 0 3 235.375 2.851 20 0 BFADHN CCc1ccc(CN(C)[C@@H]2CC2(C)C)cn1 ZINC000348355068 136072106 /nfs/dbraw/zinc/07/21/06/136072106.db2.gz JTYOIYIQBMOBPU-CYBMUJFWSA-N 0 3 218.344 2.874 20 0 BFADHN Cc1cnn(C)c1CN1CCC[C@H](C(C)(C)C)C1 ZINC000348360627 136072449 /nfs/dbraw/zinc/07/24/49/136072449.db2.gz QKQPJGDDVZSOEI-ZDUSSCGKSA-N 0 3 249.402 2.987 20 0 BFADHN C[C@@]1(F)CCCN(C[C@@H]2CCSC2)C1 ZINC000335357601 136074515 /nfs/dbraw/zinc/07/45/15/136074515.db2.gz KPNXTADLHLQSRU-WDEREUQCSA-N 0 3 217.353 2.564 20 0 BFADHN Cc1cnc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)o1 ZINC000335367932 136081846 /nfs/dbraw/zinc/08/18/46/136081846.db2.gz CEURAOZXECMVBA-VXGBXAGGSA-N 0 3 234.343 2.994 20 0 BFADHN C[C@H]1C[C@@H](NCc2cccc3ccoc32)CO1 ZINC000335390090 136090353 /nfs/dbraw/zinc/09/03/53/136090353.db2.gz LUSMUUGTLZRLSM-GXFFZTMASA-N 0 3 231.295 2.700 20 0 BFADHN C[C@H]1C[C@H](NCc2cc3ccc(F)cc3[nH]2)CO1 ZINC000335390829 136090703 /nfs/dbraw/zinc/09/07/03/136090703.db2.gz BDGQBEBRHHLEST-ZANVPECISA-N 0 3 248.301 2.574 20 0 BFADHN C[C@H]1C[C@H](NCc2cc3cc(F)ccc3o2)CO1 ZINC000335393701 136091709 /nfs/dbraw/zinc/09/17/09/136091709.db2.gz ULDVMRCYWKDMLQ-CABZTGNLSA-N 0 3 249.285 2.839 20 0 BFADHN CC1(C(N)=O)CN(C[C@H]2CCCC(F)(F)C2)C1 ZINC000335394703 136092347 /nfs/dbraw/zinc/09/23/47/136092347.db2.gz HAVUGKNGIJFKDF-VIFPVBQESA-N 0 3 246.301 2.669 20 0 BFADHN FCCN[C@@H]1CCC[C@H]1OCc1ccccc1 ZINC000348541549 136095361 /nfs/dbraw/zinc/09/53/61/136095361.db2.gz WTCVBIXRMCPEMP-ZIAGYGMSSA-N 0 3 237.318 2.683 20 0 BFADHN C[C@H](N[C@@H](c1nccn1C)C1CC1)C1CCC1 ZINC000348549545 136095871 /nfs/dbraw/zinc/09/58/71/136095871.db2.gz RUIAGJSQSDHQFL-GXFFZTMASA-N 0 3 233.359 2.649 20 0 BFADHN c1cc(CN2CCC[C@@]3(CCSC3)C2)ccn1 ZINC000335579903 136112002 /nfs/dbraw/zinc/11/20/02/136112002.db2.gz LLUNGIFOHBQTEM-CQSZACIVSA-N 0 3 248.395 2.801 20 0 BFADHN CCCCCCNCc1cnc([C@@H](C)O)s1 ZINC000289362584 397734973 /nfs/dbraw/zinc/73/49/73/397734973.db2.gz YWWPSDXJBDNHNU-SNVBAGLBSA-N 0 3 242.388 2.866 20 0 BFADHN CCc1ccc(CN[C@H]2[C@H]3CCO[C@@H]3C2(C)C)o1 ZINC000150825470 397732455 /nfs/dbraw/zinc/73/24/55/397732455.db2.gz VATFYVOPTBSIFW-RDBSUJKOSA-N 0 3 249.354 2.745 20 0 BFADHN CCc1ccc(CN[C@H]2CCCC[C@@H]2OC)o1 ZINC000150850456 397733580 /nfs/dbraw/zinc/73/35/80/397733580.db2.gz BTKRAMYGYMJUIV-KBPBESRZSA-N 0 3 237.343 2.889 20 0 BFADHN CCN(CC(C)C)[C@@H](C)C(=O)Nc1ccccc1 ZINC000153732827 397742171 /nfs/dbraw/zinc/74/21/71/397742171.db2.gz BOZFRGCKJORQDL-ZDUSSCGKSA-N 0 3 248.370 2.992 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H]1C(F)F)c1cccc(O)c1 ZINC000378770741 397829007 /nfs/dbraw/zinc/82/90/07/397829007.db2.gz FSHWRHBSJLZWDU-GGVZMXCHSA-N 0 3 227.254 2.696 20 0 BFADHN COC(C)(C)[C@H](C)NCc1ccccc1F ZINC000301023198 397885694 /nfs/dbraw/zinc/88/56/94/397885694.db2.gz QRQVJDKIGGCXPC-JTQLQIEISA-N 0 3 225.307 2.729 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCC[C@@H]2CCO)o1 ZINC000188034062 397881334 /nfs/dbraw/zinc/88/13/34/397881334.db2.gz VALFJVOAULMBTM-XQQFMLRXSA-N 0 3 237.343 2.790 20 0 BFADHN CCc1ncc(CN2C[C@H](C)C[C@H]2CC)cn1 ZINC000414393732 397927020 /nfs/dbraw/zinc/92/70/20/397927020.db2.gz JFJRQNBXYDFYBZ-DGCLKSJQSA-N 0 3 233.359 2.659 20 0 BFADHN COc1cccc2c1CN(CC1CCC1)CC2 ZINC000650108680 397928565 /nfs/dbraw/zinc/92/85/65/397928565.db2.gz PDJHBBBOBGSIAY-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN C[C@@]1(CN2CC3(CC3(F)F)C2)CCCS1 ZINC000628326659 397929835 /nfs/dbraw/zinc/92/98/35/397929835.db2.gz QFEWMDKXMSDVJW-VIFPVBQESA-N 0 3 233.327 2.613 20 0 BFADHN CCC[C@@H](NC[C@H](C)OC)c1cc(C)ccn1 ZINC000631623224 397929863 /nfs/dbraw/zinc/92/98/63/397929863.db2.gz XSYKEAHHQYYOCT-QWHCGFSZSA-N 0 3 236.359 2.856 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cc2n(n1)CCCC2 ZINC000649575607 397965372 /nfs/dbraw/zinc/96/53/72/397965372.db2.gz VOFVGLFCRUGOLQ-VXGBXAGGSA-N 0 3 235.375 2.744 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cc2n(n1)CCCC2 ZINC000649546495 397937790 /nfs/dbraw/zinc/93/77/90/397937790.db2.gz RSFUHAIHGHOQAO-JSGCOSHPSA-N 0 3 247.386 2.840 20 0 BFADHN CCC(CC)N(CC)Cc1ccc(C)nn1 ZINC000414438074 397937997 /nfs/dbraw/zinc/93/79/97/397937997.db2.gz CRPKVBMVVUWFML-UHFFFAOYSA-N 0 3 221.348 2.796 20 0 BFADHN Cc1occc1CN1CC[C@H](C)C[C@H]1[C@H](C)O ZINC000414441379 397939181 /nfs/dbraw/zinc/93/91/81/397939181.db2.gz WKRPTCOMRBKMIH-MJVIPROJSA-N 0 3 237.343 2.569 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)[C@@H](C)C2)nn1C ZINC000414441337 397939469 /nfs/dbraw/zinc/93/94/69/397939469.db2.gz ZYOXMDBGCARSRA-NTZNESFSSA-N 0 3 235.375 2.643 20 0 BFADHN CC(C)Oc1ccnc(CN2C[C@H](C)[C@@H](C)C2)c1 ZINC000649545707 397940069 /nfs/dbraw/zinc/94/00/69/397940069.db2.gz OFPFMRKMPDVLAF-STQMWFEESA-N 0 3 248.370 2.957 20 0 BFADHN C[C@@H](F)CCN[C@@H]1CCCc2cccnc21 ZINC000382381058 397944386 /nfs/dbraw/zinc/94/43/86/397944386.db2.gz JLQRLBLNBLGMHL-ZYHUDNBSSA-N 0 3 222.307 2.797 20 0 BFADHN CCCCN(CC(N)=O)[C@@H](CC)c1ccccc1 ZINC000358966486 397946234 /nfs/dbraw/zinc/94/62/34/397946234.db2.gz NAWFXHQVTMDQEH-AWEZNQCLSA-N 0 3 248.370 2.725 20 0 BFADHN CC[C@@H]1CCC[C@@H](CNCc2ncc[nH]2)C1 ZINC000394652887 397946452 /nfs/dbraw/zinc/94/64/52/397946452.db2.gz YEJYBWXAJNVISS-VXGBXAGGSA-N 0 3 221.348 2.716 20 0 BFADHN CCc1ncc(CN(CC2CC2)C2CCC2)cn1 ZINC000414451058 397947339 /nfs/dbraw/zinc/94/73/39/397947339.db2.gz ZLQZNKFGVAFASE-UHFFFAOYSA-N 0 3 245.370 2.804 20 0 BFADHN C[C@@H](c1ccncc1)N1CC[C@@H](O)CC(C)(C)C1 ZINC000650162581 397947623 /nfs/dbraw/zinc/94/76/23/397947623.db2.gz BXNISSYKLYFMBA-GXTWGEPZSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@H](NCc1nccn1C)C1C(C)(C)C1(C)C ZINC000446959002 397949612 /nfs/dbraw/zinc/94/96/12/397949612.db2.gz HZYMOPAALDZDPP-SNVBAGLBSA-N 0 3 235.375 2.580 20 0 BFADHN CC(C)N(Cc1cc2n(n1)CCC2)C1CCCC1 ZINC000649558393 397949995 /nfs/dbraw/zinc/94/99/95/397949995.db2.gz MGABUSBXRBJDQQ-UHFFFAOYSA-N 0 3 247.386 2.982 20 0 BFADHN CCC(C)(CC)NCc1cc2n(n1)CCCC2 ZINC000649563177 397953800 /nfs/dbraw/zinc/95/38/00/397953800.db2.gz FKFFFSYNXCTYDX-UHFFFAOYSA-N 0 3 235.375 2.888 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1cccc2nccn21 ZINC000414524636 397960087 /nfs/dbraw/zinc/96/00/87/397960087.db2.gz KGJYCYRITDYICR-LBPRGKRZSA-N 0 3 243.354 2.955 20 0 BFADHN COc1cc(CN2CCCC2)ccc1C ZINC000193590186 397896577 /nfs/dbraw/zinc/89/65/77/397896577.db2.gz PSWCWMIYSNUTGS-UHFFFAOYSA-N 0 3 205.301 2.599 20 0 BFADHN COc1cc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)ccn1 ZINC000355785301 397922623 /nfs/dbraw/zinc/92/26/23/397922623.db2.gz RCJYDMWGRKOPAW-UPJWGTAASA-N 0 3 248.370 2.957 20 0 BFADHN CC(C)=CCN1CCC[C@@H](OC(C)C)C1 ZINC000649699614 398050172 /nfs/dbraw/zinc/05/01/72/398050172.db2.gz OILSQKYICCEYTR-CYBMUJFWSA-N 0 3 211.349 2.842 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1ccccc1F ZINC000336663238 398006275 /nfs/dbraw/zinc/00/62/75/398006275.db2.gz CMXQCFBZCBCXIT-NWDGAFQWSA-N 0 3 225.332 2.809 20 0 BFADHN c1c(CNC2(C3CCC3)CCC2)nn2c1CCC2 ZINC000649627838 398009025 /nfs/dbraw/zinc/00/90/25/398009025.db2.gz XQSBPBRBYAHENP-UHFFFAOYSA-N 0 3 245.370 2.642 20 0 BFADHN CC(C)O[C@H]1CCCN(CCc2ccccn2)C1 ZINC000649698209 398046899 /nfs/dbraw/zinc/04/68/99/398046899.db2.gz UNNVANCJUVOEJQ-HNNXBMFYSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cccc(OC)n1 ZINC000274816698 398015505 /nfs/dbraw/zinc/01/55/05/398015505.db2.gz AMPOKUBDXFGLSV-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCCC[C@H](C)C2)n1 ZINC000274971136 398017696 /nfs/dbraw/zinc/01/76/96/398017696.db2.gz WEARLCACFKYZMD-NSHDSACASA-N 0 3 234.343 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCCC[C@H](C)C2)n1 ZINC000274971136 398017698 /nfs/dbraw/zinc/01/76/98/398017698.db2.gz WEARLCACFKYZMD-NSHDSACASA-N 0 3 234.343 2.718 20 0 BFADHN C[C@@H](O)CNC1(c2ccccc2Cl)CCC1 ZINC000649644299 398019537 /nfs/dbraw/zinc/01/95/37/398019537.db2.gz ZJSMGWWEKRCATL-SNVBAGLBSA-N 0 3 239.746 2.690 20 0 BFADHN Cc1ccc(CNC[C@]2(C)CCO[C@@H]2C2CC2)o1 ZINC000375121748 398022154 /nfs/dbraw/zinc/02/21/54/398022154.db2.gz VHMCKRUFCGJWCD-CABCVRRESA-N 0 3 249.354 2.883 20 0 BFADHN CC(C)(C)[C@H]1CCCN(Cc2cnccn2)C1 ZINC000334648179 398024092 /nfs/dbraw/zinc/02/40/92/398024092.db2.gz RELYPFBCYIFZIP-LBPRGKRZSA-N 0 3 233.359 2.735 20 0 BFADHN CCC[C@@H](CN(C)Cc1cccs1)OC ZINC000637845748 398029603 /nfs/dbraw/zinc/02/96/03/398029603.db2.gz CQYNVGYFSCZVLA-NSHDSACASA-N 0 3 227.373 2.995 20 0 BFADHN CCn1ccc(CN[C@@H]2CCCCC[C@@H]2C)n1 ZINC000649587492 397970603 /nfs/dbraw/zinc/97/06/03/397970603.db2.gz KQMCHCOWHPIHQQ-GXTWGEPZSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1ccc(CN[C@H]2CCCCC[C@H]2C)n1 ZINC000649587494 397970749 /nfs/dbraw/zinc/97/07/49/397970749.db2.gz KQMCHCOWHPIHQQ-OCCSQVGLSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cc2n(n1)CCCC2 ZINC000649588082 397972583 /nfs/dbraw/zinc/97/25/83/397972583.db2.gz AUSDQDHOOXCCKJ-DOMZBBRYSA-N 0 3 247.386 2.840 20 0 BFADHN CC1(C)CCC[C@@H]1NCc1cc2n(n1)CCCC2 ZINC000649591106 397977424 /nfs/dbraw/zinc/97/74/24/397977424.db2.gz NFFGIQQJFWNHMR-AWEZNQCLSA-N 0 3 247.386 2.888 20 0 BFADHN c1c(CN2CC[C@@H]3CCC[C@H]32)nn2c1CCCC2 ZINC000649589100 397979798 /nfs/dbraw/zinc/97/97/98/397979798.db2.gz HCOBZNGKILGCND-SWLSCSKDSA-N 0 3 245.370 2.594 20 0 BFADHN CC(C)(CNCc1ncc[nH]1)c1cccc(F)c1 ZINC000118453857 397983480 /nfs/dbraw/zinc/98/34/80/397983480.db2.gz OGLXHHQFSVFUJU-UHFFFAOYSA-N 0 3 247.317 2.616 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@H]2CCc3c2cccc3F)O1 ZINC000398232829 397985187 /nfs/dbraw/zinc/98/51/87/397985187.db2.gz UGZCCTIHCJNADH-ZETOZRRWSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@@H]2CCc3c2cccc3F)O1 ZINC000398232817 397985213 /nfs/dbraw/zinc/98/52/13/397985213.db2.gz UGZCCTIHCJNADH-JRPNMDOOSA-N 0 3 249.329 2.970 20 0 BFADHN CCOc1ccc(CN(C)C[C@H](C)OC)cc1 ZINC000272761894 397986215 /nfs/dbraw/zinc/98/62/15/397986215.db2.gz CQAHEMIOEBWHBB-LBPRGKRZSA-N 0 3 237.343 2.552 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCN(c2ccccc2F)CC1 ZINC000488311309 398059901 /nfs/dbraw/zinc/05/99/01/398059901.db2.gz ITRCBXWFKCRVST-CHWSQXEVSA-N 0 3 248.345 2.604 20 0 BFADHN CC[C@H]1CN(CCOC(C)(C)C)[C@@H](CC)CO1 ZINC000649719660 398062558 /nfs/dbraw/zinc/06/25/58/398062558.db2.gz VAQKGUCHAGZQDM-STQMWFEESA-N 0 3 243.391 2.691 20 0 BFADHN C[C@H](O)CN1C[C@@H](C)C[C@@H]1c1ccccc1F ZINC000282105118 398065919 /nfs/dbraw/zinc/06/59/19/398065919.db2.gz WLEGNYZXRGHZNK-COPLHBTASA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@H]1CN(Cc2ccccc2C)[C@@H](C)CO1 ZINC000649729930 398067792 /nfs/dbraw/zinc/06/77/92/398067792.db2.gz IBGZEIJMOSWUIB-ZFWWWQNUSA-N 0 3 233.355 2.994 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1C[C@H](C)O[C@H]1C ZINC000384559935 398096320 /nfs/dbraw/zinc/09/63/20/398096320.db2.gz HPEVFCTZNSPJBM-SGMGOOAPSA-N 0 3 249.354 2.659 20 0 BFADHN Cc1nc(CN2CCC[C@H](C3CCC3)CC2)n[nH]1 ZINC000640105830 398071563 /nfs/dbraw/zinc/07/15/63/398071563.db2.gz BSYJLUFKWPZLHC-ZDUSSCGKSA-N 0 3 248.374 2.515 20 0 BFADHN C[C@@H](NCc1ccccc1F)[C@@]1(C)CCCO1 ZINC000340176068 398074897 /nfs/dbraw/zinc/07/48/97/398074897.db2.gz BAROPSUVFSRBFG-BXUZGUMPSA-N 0 3 237.318 2.873 20 0 BFADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1ccncc1 ZINC000088169940 398075014 /nfs/dbraw/zinc/07/50/14/398075014.db2.gz UGFRANYXGISMNT-FOGDFJRCSA-N 0 3 222.357 2.626 20 0 BFADHN CC/C=C/CCN1C[C@H](CC)OC[C@@H]1C ZINC000649735120 398076609 /nfs/dbraw/zinc/07/66/09/398076609.db2.gz FTQQDAJIXXZERR-XKZLPGLHSA-N 0 3 211.349 2.842 20 0 BFADHN CCCC(=O)c1ccc(O[C@H](C)CN(C)C)cc1 ZINC000584616358 398078483 /nfs/dbraw/zinc/07/84/83/398078483.db2.gz FNQZNCZJAZFOFF-GFCCVEGCSA-N 0 3 249.354 2.998 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)[C@H](C)CO1 ZINC000649736928 398078584 /nfs/dbraw/zinc/07/85/84/398078584.db2.gz MOOJSFICWSXFLE-IJLUTSLNSA-N 0 3 247.329 2.921 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCC(F)(F)C2)[C@H](C)CO1 ZINC000649736927 398078646 /nfs/dbraw/zinc/07/86/46/398078646.db2.gz MOOJSFICWSXFLE-GRYCIOLGSA-N 0 3 247.329 2.921 20 0 BFADHN CCc1ccc(CN(CC)[C@@H]2CCCOC2)nc1 ZINC000649754977 398084987 /nfs/dbraw/zinc/08/49/87/398084987.db2.gz BEBSLQQMPORWGV-OAHLLOKOSA-N 0 3 248.370 2.645 20 0 BFADHN CCc1ccc(CN(CC)[C@H]2CCCOC2)nc1 ZINC000649754974 398085027 /nfs/dbraw/zinc/08/50/27/398085027.db2.gz BEBSLQQMPORWGV-HNNXBMFYSA-N 0 3 248.370 2.645 20 0 BFADHN Cc1ccncc1CCCNCc1nccs1 ZINC000289316420 398085826 /nfs/dbraw/zinc/08/58/26/398085826.db2.gz SQHSDXOTBHBGFO-UHFFFAOYSA-N 0 3 247.367 2.569 20 0 BFADHN Cc1oc2ccccc2c1CNC[C@H](O)C(C)C ZINC000160795775 398055175 /nfs/dbraw/zinc/05/51/75/398055175.db2.gz ZZGKUBBMSWZXKJ-AWEZNQCLSA-N 0 3 247.338 2.848 20 0 BFADHN Cc1cnc([C@H](C)NCCCOC(C)C)s1 ZINC000184977000 398055267 /nfs/dbraw/zinc/05/52/67/398055267.db2.gz ZXJHOWRJWMWIRD-NSHDSACASA-N 0 3 242.388 2.917 20 0 BFADHN CCc1ccc(CNCCCOCC2CC2)o1 ZINC000051721892 398057074 /nfs/dbraw/zinc/05/70/74/398057074.db2.gz BLDDWNWSNPWJDJ-UHFFFAOYSA-N 0 3 237.343 2.748 20 0 BFADHN CCc1ccc(CNCCCOC(C)C)o1 ZINC000051721913 398057382 /nfs/dbraw/zinc/05/73/82/398057382.db2.gz XPVDLMSYLZGTJI-UHFFFAOYSA-N 0 3 225.332 2.747 20 0 BFADHN CSCCCCCNCc1cncs1 ZINC000185160365 398057968 /nfs/dbraw/zinc/05/79/68/398057968.db2.gz LCBOGPRAFYSXMJ-UHFFFAOYSA-N 0 3 230.402 2.766 20 0 BFADHN CC[C@@H](C)NCc1ccc(OC)cc1F ZINC000083346630 398098280 /nfs/dbraw/zinc/09/82/80/398098280.db2.gz QJPVAIMQCCPEHM-SECBINFHSA-N 0 3 211.280 2.722 20 0 BFADHN c1coc(CNCCC[C@H]2CCCCO2)c1 ZINC000068991704 398099382 /nfs/dbraw/zinc/09/93/82/398099382.db2.gz YZIIMRRKXPNFHM-GFCCVEGCSA-N 0 3 223.316 2.719 20 0 BFADHN C[C@@H](N[C@H]1C=CCCC1)c1ccccn1 ZINC000090393068 398099466 /nfs/dbraw/zinc/09/94/66/398099466.db2.gz XFXZPDOTXBNAPU-NEPJUHHUSA-N 0 3 202.301 2.841 20 0 BFADHN c1cnc2ccc(CN[C@H]3CCCOC3)cc2c1 ZINC000069051346 398100411 /nfs/dbraw/zinc/10/04/11/398100411.db2.gz WDZGIAPYQIZHJW-AWEZNQCLSA-N 0 3 242.322 2.503 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1n[nH]cc1C ZINC000289658928 171295781 /nfs/dbraw/zinc/29/57/81/171295781.db2.gz YVORNXUHCGJYJM-GXSJLCMTSA-N 0 3 209.337 2.632 20 0 BFADHN c1csc(CNC2(C3CCC3)CC2)n1 ZINC000649810241 398116204 /nfs/dbraw/zinc/11/62/04/398116204.db2.gz AAFNCWXNXOGHHG-UHFFFAOYSA-N 0 3 208.330 2.565 20 0 BFADHN CCC(C)(CC)NCc1cnc(OC)s1 ZINC000389614150 398116688 /nfs/dbraw/zinc/11/66/88/398116688.db2.gz FUBYMQHFMAVDNZ-UHFFFAOYSA-N 0 3 228.361 2.820 20 0 BFADHN Cc1cnc(CNC2(C3CCC3)CC2)s1 ZINC000649810675 398117013 /nfs/dbraw/zinc/11/70/13/398117013.db2.gz USGCESRVEHOIDB-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN CC[C@](C)(NCC1CC1)c1nccs1 ZINC000236920648 398117258 /nfs/dbraw/zinc/11/72/58/398117258.db2.gz STYMHYNOOXJGMM-NSHDSACASA-N 0 3 210.346 2.768 20 0 BFADHN Cc1cnccc1CN[C@@H]1CCC(F)(F)C1 ZINC000384844475 398110839 /nfs/dbraw/zinc/11/08/39/398110839.db2.gz JQPQIBSHBBRXHA-LLVKDONJSA-N 0 3 226.270 2.667 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2)C2(CCC2)CO1 ZINC000649823122 398127182 /nfs/dbraw/zinc/12/71/82/398127182.db2.gz YXIHDJFVICVDLT-CQSZACIVSA-N 0 3 245.366 2.873 20 0 BFADHN C[C@@H](F)CCN1CCN(C)C2(CCCCC2)C1 ZINC000571937297 398127375 /nfs/dbraw/zinc/12/73/75/398127375.db2.gz RGLGATJIMLCGEF-CYBMUJFWSA-N 0 3 242.382 2.685 20 0 BFADHN COc1nccc(CN(C(C)C)C2CCCC2)n1 ZINC000294628152 398129001 /nfs/dbraw/zinc/12/90/01/398129001.db2.gz ADVFSDGHOALACX-UHFFFAOYSA-N 0 3 249.358 2.638 20 0 BFADHN C[C@H](c1ccco1)N(C)CCCc1cncn1C ZINC000572011203 398129350 /nfs/dbraw/zinc/12/93/50/398129350.db2.gz AVQOAKHUQKEXHA-GFCCVEGCSA-N 0 3 247.342 2.639 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@H]1C)c1cnccn1 ZINC000070024544 398123108 /nfs/dbraw/zinc/12/31/08/398123108.db2.gz AJGLTDJGHLPWMX-WOPDTQHZSA-N 0 3 219.332 2.706 20 0 BFADHN CC[C@H](NCCSC(C)C)c1nccn1C ZINC000286888263 398135123 /nfs/dbraw/zinc/13/51/23/398135123.db2.gz CWILTLZBABFJFJ-NSHDSACASA-N 0 3 241.404 2.602 20 0 BFADHN CC[C@H](CSC)NCc1ccc(C)o1 ZINC000162184781 171465376 /nfs/dbraw/zinc/46/53/76/171465376.db2.gz WWXFQUIXQQWJLM-SNVBAGLBSA-N 0 3 213.346 2.819 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)o1 ZINC000398122090 322864959 /nfs/dbraw/zinc/86/49/59/322864959.db2.gz XHUBFYKKUBZXCH-GBIKHYSHSA-N 0 3 222.332 2.897 20 0 BFADHN CCOc1ccccc1CNC[C@H]1CC[C@@H](C)O1 ZINC000398192631 322866213 /nfs/dbraw/zinc/86/62/13/322866213.db2.gz BFFGEXABKFIFGG-TZMCWYRMSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H](NCCOCC(F)(F)F)c1ccoc1 ZINC000087290261 322876542 /nfs/dbraw/zinc/87/65/42/322876542.db2.gz WAMQFVSZHOBCEA-MRVPVSSYSA-N 0 3 237.221 2.509 20 0 BFADHN CC(C)NCc1cc(F)c(F)cc1F ZINC000083343907 167116982 /nfs/dbraw/zinc/11/69/82/167116982.db2.gz IVPUCUVMAIHZGO-UHFFFAOYSA-N 0 3 203.207 2.602 20 0 BFADHN CC(C)NCc1c[nH]c(-c2ccccc2)n1 ZINC000062821267 167117312 /nfs/dbraw/zinc/11/73/12/167117312.db2.gz JINZMUVAEAPLNP-UHFFFAOYSA-N 0 3 215.300 2.575 20 0 BFADHN CC(C)N[C@H]1CCOc2ccc(F)cc21 ZINC000035652883 167139870 /nfs/dbraw/zinc/13/98/70/167139870.db2.gz QILVVFNNBPQLSZ-NSHDSACASA-N 0 3 209.264 2.647 20 0 BFADHN CC(C)N[C@H]1COc2c1cccc2Cl ZINC000035652831 167141988 /nfs/dbraw/zinc/14/19/88/167141988.db2.gz PUECKDCYRMDCPB-JTQLQIEISA-N 0 3 211.692 2.772 20 0 BFADHN C/C(=C\c1ccccc1)CN(C)C[C@H](O)C1CC1 ZINC000685461396 487529678 /nfs/dbraw/zinc/52/96/78/487529678.db2.gz LFQVRKALUFTDTA-ISBHARSQSA-N 0 3 245.366 2.793 20 0 BFADHN CC(C)OC(=O)CCN(C)[C@H](C)c1ccco1 ZINC000075674391 167152237 /nfs/dbraw/zinc/15/22/37/167152237.db2.gz DRAYVDLFIMMNBO-LLVKDONJSA-N 0 3 239.315 2.614 20 0 BFADHN Cc1ccc(CCNCc2cnc(C)s2)o1 ZINC000381567442 487559763 /nfs/dbraw/zinc/55/97/63/487559763.db2.gz VFIPUDYLNIYHLO-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN CCCc1ccc(CN[C@H]2C[C@@](C)(O)C2)cc1 ZINC000669574144 487561169 /nfs/dbraw/zinc/56/11/69/487561169.db2.gz UXUQRVVPZYSTBT-GASCZTMLSA-N 0 3 233.355 2.642 20 0 BFADHN Fc1cccc(CNC[C@H]2CCCS2)c1F ZINC000087473183 322885923 /nfs/dbraw/zinc/88/59/23/322885923.db2.gz SZWYPEJMWXSZFB-SNVBAGLBSA-N 0 3 243.322 2.950 20 0 BFADHN CN(Cc1cc2ccccc2[nH]1)C1(CO)CCC1 ZINC000668801302 487563662 /nfs/dbraw/zinc/56/36/62/487563662.db2.gz CVUGBEADIPUKCD-UHFFFAOYSA-N 0 3 244.338 2.515 20 0 BFADHN Cc1cc(CNC[C@H]2CC(C)=C[C@H](C)C2)ncn1 ZINC000685619381 487565816 /nfs/dbraw/zinc/56/58/16/487565816.db2.gz WYQIQRYQVDPOBX-SMDDNHRTSA-N 0 3 245.370 2.867 20 0 BFADHN C[C@H]1C[C@@H]1NCc1ccc(F)cc1Cl ZINC000052288180 177349581 /nfs/dbraw/zinc/34/95/81/177349581.db2.gz AMTOJZKVHWVQJY-CPCISQLKSA-N 0 3 213.683 2.977 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN(C)C2(CO)CCC2)o1 ZINC000668802808 487567303 /nfs/dbraw/zinc/56/73/03/487567303.db2.gz YVSIYTDYUOUQIG-WCQYABFASA-N 0 3 249.354 2.750 20 0 BFADHN CC[C@H](CNCc1nccc2ccccc21)OC ZINC000685631803 487568617 /nfs/dbraw/zinc/56/86/17/487568617.db2.gz MFOAAOXHNBKVEN-CYBMUJFWSA-N 0 3 244.338 2.749 20 0 BFADHN CC(C)OCC(C)(C)NCc1ccccc1 ZINC000306190344 167195061 /nfs/dbraw/zinc/19/50/61/167195061.db2.gz GNSIQKCOEYZIRQ-UHFFFAOYSA-N 0 3 221.344 2.980 20 0 BFADHN Cc1ccc(F)c(CN2CCC3(CCO3)CC2)c1 ZINC000669718374 487574123 /nfs/dbraw/zinc/57/41/23/487574123.db2.gz PDLFPXFYTSHXBG-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CC(C2CC2)C2CC2)n1 ZINC000090740030 322907417 /nfs/dbraw/zinc/90/74/17/322907417.db2.gz PSXKHYIWMXYKRD-UHFFFAOYSA-N 0 3 246.354 2.621 20 0 BFADHN CC(C)OCCN(C)[C@H](C)c1cccc(O)c1 ZINC000109784594 167230151 /nfs/dbraw/zinc/23/01/51/167230151.db2.gz JXVSQSUBDGLBCG-GFCCVEGCSA-N 0 3 237.343 2.810 20 0 BFADHN CN(Cc1ccnn1CC1CC1)C[C@@H]1CC1(C)C ZINC000668814900 487582270 /nfs/dbraw/zinc/58/22/70/487582270.db2.gz XTIMOYYNLBSAGP-ZDUSSCGKSA-N 0 3 247.386 2.771 20 0 BFADHN CCc1ncc(CN(C)C[C@@H]2CC2(C)C)cn1 ZINC000668814729 487582339 /nfs/dbraw/zinc/58/23/39/487582339.db2.gz RYIYGZWJFYPBOA-LBPRGKRZSA-N 0 3 233.359 2.517 20 0 BFADHN Cc1c[nH]nc1CN(C)[C@@H](C)C(C)(C)C ZINC000685833991 487582437 /nfs/dbraw/zinc/58/24/37/487582437.db2.gz FHUPUYQFCSDCPU-JTQLQIEISA-N 0 3 209.337 2.585 20 0 BFADHN CC(C)n1cc(CN(C)C[C@H]2CC2(C)C)cn1 ZINC000668815273 487583790 /nfs/dbraw/zinc/58/37/90/487583790.db2.gz JHUOBSKHCFTKED-CYBMUJFWSA-N 0 3 235.375 2.942 20 0 BFADHN COC[C@H]1CCCN1Cc1cc(C)ccc1OC ZINC000674469925 487584702 /nfs/dbraw/zinc/58/47/02/487584702.db2.gz LPUFQJCWCVXHTQ-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H](C)CC(F)(F)F ZINC000308892545 167256107 /nfs/dbraw/zinc/25/61/07/167256107.db2.gz YDLKMLDDRNZGNT-DTWKUNHWSA-N 0 3 227.270 2.730 20 0 BFADHN Cc1cccn2cc(CN3CC[C@H](C)[C@@H]3C)nc12 ZINC000674499746 487588103 /nfs/dbraw/zinc/58/81/03/487588103.db2.gz ZBHRVBTXUWAQTD-AAEUAGOBSA-N 0 3 243.354 2.873 20 0 BFADHN CCc1nn(C)cc1CN1CC(C)(C)[C@@H]1C1CC1 ZINC000685849930 487587986 /nfs/dbraw/zinc/58/79/86/487587986.db2.gz PMWJILPJQVEPJD-AWEZNQCLSA-N 0 3 247.386 2.603 20 0 BFADHN CN(Cc1cc2ccccc2[nH]1)C[C@@H]1CCCO1 ZINC000674505403 487589510 /nfs/dbraw/zinc/58/95/10/487589510.db2.gz FZINCZKPLNQMRC-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN CC(C)OC[C@H](C)NCc1cc(F)cc(F)c1 ZINC000337348623 167264711 /nfs/dbraw/zinc/26/47/11/167264711.db2.gz ZFKKLKSSPUUKKG-JTQLQIEISA-N 0 3 243.297 2.868 20 0 BFADHN CC(C)OC[C@H](C)NCc1cccs1 ZINC000308640507 167266391 /nfs/dbraw/zinc/26/63/91/167266391.db2.gz DQBVOCCNWXGHMF-JTQLQIEISA-N 0 3 213.346 2.651 20 0 BFADHN C[C@H](N[C@H]1CS[C@@H](C)C1)c1ccccn1 ZINC000086934441 487591049 /nfs/dbraw/zinc/59/10/49/487591049.db2.gz ISAWXBIVOISDLV-GARJFASQSA-N 0 3 222.357 2.626 20 0 BFADHN CC(C)c1cccc(CN2CC[C@@H](O)[C@H]2C)c1 ZINC000660738971 487593324 /nfs/dbraw/zinc/59/33/24/487593324.db2.gz SMBLZYJIHRZDTE-IUODEOHRSA-N 0 3 233.355 2.765 20 0 BFADHN CC(C)[C@@H](N[C@H](C)c1nccn1C)C1CC1 ZINC000324390469 487593853 /nfs/dbraw/zinc/59/38/53/487593853.db2.gz MYQQSZUYPLDQOX-ZYHUDNBSSA-N 0 3 221.348 2.505 20 0 BFADHN CCC[C@H](NCc1cc(C)[nH]n1)c1cccnc1 ZINC000680463134 487599851 /nfs/dbraw/zinc/59/98/51/487599851.db2.gz BULNMFIPUWMJNJ-AWEZNQCLSA-N 0 3 244.342 2.744 20 0 BFADHN CC1(C)CCC(O)(CNCc2ccccn2)CC1 ZINC000087047093 487600240 /nfs/dbraw/zinc/60/02/40/487600240.db2.gz PPLODRASWZDRSZ-UHFFFAOYSA-N 0 3 248.370 2.503 20 0 BFADHN CCC[C@@H](NC1CC(OC)C1)c1ccccn1 ZINC000680470063 487602114 /nfs/dbraw/zinc/60/21/14/487602114.db2.gz MTHRHTKIAQMQJK-ORHYLEIMSA-N 0 3 234.343 2.690 20 0 BFADHN CCc1ccc(CN2CCCOCC2)s1 ZINC000535080672 487604853 /nfs/dbraw/zinc/60/48/53/487604853.db2.gz DZUVOAHBSNAGCM-UHFFFAOYSA-N 0 3 225.357 2.533 20 0 BFADHN CCc1cccnc1[C@@H](C)NCC[C@H]1CCCO1 ZINC000679575032 487608530 /nfs/dbraw/zinc/60/85/30/487608530.db2.gz RWIMCRQKGMUIFY-TZMCWYRMSA-N 0 3 248.370 2.864 20 0 BFADHN CC(C)SCCN1CCS[C@@H](C)[C@H]1C ZINC000336680749 167325833 /nfs/dbraw/zinc/32/58/33/167325833.db2.gz GSVXQKGAJCINBW-MNOVXSKESA-N 0 3 233.446 2.954 20 0 BFADHN CCC[C@@H](NC(=O)[C@H](C)NCC)c1ccccc1 ZINC000674715432 487608604 /nfs/dbraw/zinc/60/86/04/487608604.db2.gz YLCRYWNXDIBRMI-GXTWGEPZSA-N 0 3 248.370 2.642 20 0 BFADHN CN(Cc1ccccn1)C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000660680702 409528086 /nfs/dbraw/zinc/52/80/86/409528086.db2.gz WFNDXHPQWZIXBR-MCIONIFRSA-N 0 3 230.355 2.950 20 0 BFADHN CO[C@H](CN(C)Cc1ccccn1)C1CCCC1 ZINC000660679205 409528288 /nfs/dbraw/zinc/52/82/88/409528288.db2.gz JAEBETZMCFVKPA-OAHLLOKOSA-N 0 3 248.370 2.719 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H]2N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000178309993 487609735 /nfs/dbraw/zinc/60/97/35/487609735.db2.gz ZKNYIJYMBNSJMH-BEAPCOKYSA-N 0 3 247.313 2.723 20 0 BFADHN Cc1ccnc(CN(C)C[C@H]2CC2(C)C)c1 ZINC000662343609 409658246 /nfs/dbraw/zinc/65/82/46/409658246.db2.gz AQNOPTUAFUADOG-GFCCVEGCSA-N 0 3 218.344 2.868 20 0 BFADHN CCCc1nc(C)c(CN2CC3CC2(C)C3)o1 ZINC000662385831 409689625 /nfs/dbraw/zinc/68/96/25/409689625.db2.gz HKUZYDYNOSGIKA-UHFFFAOYSA-N 0 3 234.343 2.920 20 0 BFADHN c1cc2c(c(CNC3(C4CCC4)CC3)c1)OCO2 ZINC000651959521 409750269 /nfs/dbraw/zinc/75/02/69/409750269.db2.gz QENYZIADWUSIEJ-UHFFFAOYSA-N 0 3 245.322 2.838 20 0 BFADHN CCCN(CC(C)C)[C@@H](C)c1nc(C)no1 ZINC000662598998 409776687 /nfs/dbraw/zinc/77/66/87/409776687.db2.gz BNVHIRDLKMXUBZ-JTQLQIEISA-N 0 3 225.336 2.807 20 0 BFADHN CCc1ccc(CCN(C)Cc2cn[nH]c2)cc1 ZINC000674752491 487611330 /nfs/dbraw/zinc/61/13/30/487611330.db2.gz ZGHMBLZLXJKIIH-UHFFFAOYSA-N 0 3 243.354 2.647 20 0 BFADHN CCc1ccc(CN[C@@H]2CCS[C@H]2C)o1 ZINC000308936773 409951271 /nfs/dbraw/zinc/95/12/71/409951271.db2.gz OKRVCJJAZLFIRK-JOYOIKCWSA-N 0 3 225.357 2.826 20 0 BFADHN C[C@@H](CF)NC[C@H]1C[C@H]1c1ccccc1 ZINC000308946560 409965974 /nfs/dbraw/zinc/96/59/74/409965974.db2.gz SHVADNMSNHUUCT-UHTWSYAYSA-N 0 3 207.292 2.738 20 0 BFADHN Cc1ncccc1CN1C[C@@H]2CCCC[C@@H]21 ZINC000660929967 409976803 /nfs/dbraw/zinc/97/68/03/409976803.db2.gz DHVIFYLNSNATDF-KBPBESRZSA-N 0 3 216.328 2.764 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NCC1=CCOCC1)CC2 ZINC000335234149 487611768 /nfs/dbraw/zinc/61/17/68/487611768.db2.gz VDBHTOKLSQYLJE-HNNXBMFYSA-N 0 3 247.313 2.749 20 0 BFADHN C[C@H](COCC1CC1)NCc1cccs1 ZINC000651981583 410121807 /nfs/dbraw/zinc/12/18/07/410121807.db2.gz SLEWWTZKZAZBDP-SNVBAGLBSA-N 0 3 225.357 2.653 20 0 BFADHN C[C@@H](COCC1CC1)N[C@@H](C)c1ccccn1 ZINC000651983284 410128056 /nfs/dbraw/zinc/12/80/56/410128056.db2.gz VWBKNMYGHZVVIE-RYUDHWBXSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1cc(CN[C@@H](C)COCC2CC2)cs1 ZINC000651984079 410133582 /nfs/dbraw/zinc/13/35/82/410133582.db2.gz SGKUKCDTJGFBMY-JTQLQIEISA-N 0 3 239.384 2.961 20 0 BFADHN CN(C)CCSC/C=C\c1ccccc1 ZINC000255363312 322925892 /nfs/dbraw/zinc/92/58/92/322925892.db2.gz PVXSSYYUKBWNIR-TWGQIWQCSA-N 0 3 221.369 2.995 20 0 BFADHN Brc1ccccc1Nc1ncc[nH]1 ZINC000013760746 166103340 /nfs/dbraw/zinc/10/33/40/166103340.db2.gz KHNAVCNEASQADL-UHFFFAOYSA-N 0 3 238.088 2.916 20 0 in-vivo BFADHN CC[C@H](C)N(CC)Cc1n[nH]c(C(C)(C)C)n1 ZINC000661105398 410243086 /nfs/dbraw/zinc/24/30/86/410243086.db2.gz XCXCRAWTWMPYAB-JTQLQIEISA-N 0 3 238.379 2.723 20 0 BFADHN CCCN(CCC)C(=O)CN(CC)[C@H](C)CC ZINC000661107594 410255296 /nfs/dbraw/zinc/25/52/96/410255296.db2.gz SGKQVQLNOHXKFR-CYBMUJFWSA-N 0 3 242.407 2.755 20 0 BFADHN CCN(CCC(F)(F)F)C[C@@H]1C[C@H]1C ZINC000683111103 487615686 /nfs/dbraw/zinc/61/56/86/487615686.db2.gz QKEUXSNEIVOLLR-BDAKNGLRSA-N 0 3 209.255 2.917 20 0 BFADHN C[C@H](Cc1cccs1)N[C@@H](C)CF ZINC000308264007 410698616 /nfs/dbraw/zinc/69/86/16/410698616.db2.gz OVOSNQVDFXPOEO-BDAKNGLRSA-N 0 3 201.310 2.627 20 0 BFADHN CC(C)[C@@H](NCC(C)(C)O)c1ccccc1F ZINC000661485174 410699461 /nfs/dbraw/zinc/69/94/61/410699461.db2.gz OHALPZTWEZTNTB-CYBMUJFWSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1nc(C)c(CN[C@@H](C)C2CCC2)o1 ZINC000393672945 410754484 /nfs/dbraw/zinc/75/44/84/410754484.db2.gz LFUCDBAJXLQGEV-QMMMGPOBSA-N 0 3 208.305 2.570 20 0 BFADHN COc1ncccc1CN1CC[C@@H](C2CCC2)C1 ZINC000665289934 410778431 /nfs/dbraw/zinc/77/84/31/410778431.db2.gz CDTFPVGCJMIOSO-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN CC(C)CN(C)C[C@@H](O)c1c(F)cccc1F ZINC000299347236 166936796 /nfs/dbraw/zinc/93/67/96/166936796.db2.gz PWXUMDDJNPOUMY-GFCCVEGCSA-N 0 3 243.297 2.586 20 0 BFADHN CC(C)CN1CCC[C@H]1c1nccs1 ZINC000377392151 166970260 /nfs/dbraw/zinc/97/02/60/166970260.db2.gz OKUPOJWDTWLONZ-JTQLQIEISA-N 0 3 210.346 2.936 20 0 BFADHN CC(C)CNCc1ccn(-c2ccccc2)n1 ZINC000037927266 167009725 /nfs/dbraw/zinc/00/97/25/167009725.db2.gz CWZWSBHRIANQRK-UHFFFAOYSA-N 0 3 229.327 2.618 20 0 BFADHN CC(C)CN[C@H]1COc2ccc(F)cc21 ZINC000336759722 167015608 /nfs/dbraw/zinc/01/56/08/167015608.db2.gz LNJKRJTUPBZCKS-NSHDSACASA-N 0 3 209.264 2.505 20 0 BFADHN Cn1ccc(CN2CC[C@@H](C3CCCCC3)C2)n1 ZINC000661578483 410905427 /nfs/dbraw/zinc/90/54/27/410905427.db2.gz NXJHUIJXOFPMMK-CQSZACIVSA-N 0 3 247.386 2.822 20 0 BFADHN CC(C)NCc1c(F)cccc1OC(F)F ZINC000125949720 167117448 /nfs/dbraw/zinc/11/74/48/167117448.db2.gz FTKMWXMEJMVWBG-UHFFFAOYSA-N 0 3 233.233 2.925 20 0 BFADHN CC(C)NCc1ccc2ccccc2n1 ZINC000020246153 167119921 /nfs/dbraw/zinc/11/99/21/167119921.db2.gz MRIFOMBSMJBGAB-UHFFFAOYSA-N 0 3 200.285 2.733 20 0 BFADHN CC(C)NCc1nc2c(s1)CCCC2 ZINC000045205919 167121336 /nfs/dbraw/zinc/12/13/36/167121336.db2.gz HTXFLWVWKVQTFY-UHFFFAOYSA-N 0 3 210.346 2.520 20 0 BFADHN CC(C)OC1CC(NCc2ccsc2)C1 ZINC000185853022 167176103 /nfs/dbraw/zinc/17/61/03/167176103.db2.gz AWXXJIWXSAIKHZ-UHFFFAOYSA-N 0 3 225.357 2.794 20 0 BFADHN CC(C)OC1CC(NCc2ccc(F)cc2)C1 ZINC000185850898 167176578 /nfs/dbraw/zinc/17/65/78/167176578.db2.gz CVJXKGWQXBSTDO-UHFFFAOYSA-N 0 3 237.318 2.871 20 0 BFADHN CC(C)OCCN1CCC[C@@H]1c1ccncc1 ZINC000119869081 167233017 /nfs/dbraw/zinc/23/30/17/167233017.db2.gz ZSUGODNXQOSYJM-CQSZACIVSA-N 0 3 234.343 2.644 20 0 BFADHN CC(C)OCCN1C[C@@H](C)C[C@@H]1c1cccnc1 ZINC000368418837 167235604 /nfs/dbraw/zinc/23/56/04/167235604.db2.gz GZXYMAYUGAGOND-DZGCQCFKSA-N 0 3 248.370 2.890 20 0 BFADHN CC(C)OCCNC(C)(C)c1cccs1 ZINC000336774848 167240966 /nfs/dbraw/zinc/24/09/66/167240966.db2.gz ZXUXEJKMIZVNBB-UHFFFAOYSA-N 0 3 227.373 2.998 20 0 BFADHN CC(C)OCCN[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000353188024 167244914 /nfs/dbraw/zinc/24/49/14/167244914.db2.gz XTIXBENNADIIFW-NSHDSACASA-N 0 3 247.342 2.639 20 0 BFADHN CC(C)OCCN[C@H](C)c1cccs1 ZINC000042224739 167245112 /nfs/dbraw/zinc/24/51/12/167245112.db2.gz ICWPXMCEOUPZKX-SNVBAGLBSA-N 0 3 213.346 2.824 20 0 BFADHN CC(C)OCCN[C@H]1CCCc2occc21 ZINC000158944228 167245820 /nfs/dbraw/zinc/24/58/20/167245820.db2.gz UUQBVIBAAAHXGU-LBPRGKRZSA-N 0 3 223.316 2.672 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H]1CCc2c1cccc2O ZINC000337329159 167256196 /nfs/dbraw/zinc/25/61/96/167256196.db2.gz FNLSQSIJWHNIEI-BXUZGUMPSA-N 0 3 249.354 2.783 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H](c1cccnc1)C1CC1 ZINC000337282201 167256526 /nfs/dbraw/zinc/25/65/26/167256526.db2.gz ICTYRAHHBQMZHZ-IUODEOHRSA-N 0 3 248.370 2.936 20 0 BFADHN CC(C)OC[C@@H](C)NCc1ccsc1 ZINC000308644807 167257113 /nfs/dbraw/zinc/25/71/13/167257113.db2.gz GZFWADWNQXROCS-SNVBAGLBSA-N 0 3 213.346 2.651 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H](c1cccnc1)C1CC1 ZINC000337282200 167259853 /nfs/dbraw/zinc/25/98/53/167259853.db2.gz ICTYRAHHBQMZHZ-DOMZBBRYSA-N 0 3 248.370 2.936 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H](C)c1cccc(O)c1 ZINC000337234521 167265711 /nfs/dbraw/zinc/26/57/11/167265711.db2.gz BHCJULMRWDPTFU-RYUDHWBXSA-N 0 3 237.343 2.856 20 0 BFADHN CC(C)O[C@@H]1C[C@H](NCc2ccccn2)C1(C)C ZINC000274320148 167281050 /nfs/dbraw/zinc/28/10/50/167281050.db2.gz WZARBPQLFYYFTO-UONOGXRCSA-N 0 3 248.370 2.763 20 0 BFADHN CC(C)O[C@@H]1C[C@H](NCc2cccnc2)C1(C)C ZINC000185185778 167281582 /nfs/dbraw/zinc/28/15/82/167281582.db2.gz FGPCPXCPXZMZJK-UONOGXRCSA-N 0 3 248.370 2.763 20 0 BFADHN COC[C@H](C)N(C)Cc1cc(F)ccc1C ZINC000179946093 410912147 /nfs/dbraw/zinc/91/21/47/410912147.db2.gz DDKANTPUEMYQQS-NSHDSACASA-N 0 3 225.307 2.601 20 0 BFADHN Cc1cc(C)c(CNCc2ccc(O)cc2)cn1 ZINC000397004044 410912880 /nfs/dbraw/zinc/91/28/80/410912880.db2.gz GEZSMGBDXISZRQ-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN CC(C)Oc1cccc(CNC[C@@H]2CCCO2)c1 ZINC000032104795 167307108 /nfs/dbraw/zinc/30/71/08/167307108.db2.gz HHZVUTIZOPBDEG-HNNXBMFYSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)SCCN(C)C[C@H]1CCCCO1 ZINC000336692288 167321222 /nfs/dbraw/zinc/32/12/22/167321222.db2.gz NDMRHYMXLPPUGS-GFCCVEGCSA-N 0 3 231.405 2.629 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@H](NCc2cocn2)C1 ZINC000397814454 410936475 /nfs/dbraw/zinc/93/64/75/410936475.db2.gz HQNYQGXBPSEWNO-CKYFFXLPSA-N 0 3 208.305 2.589 20 0 BFADHN CC(C)Sc1ccc([C@H](C)NCCO)cc1 ZINC000166489718 167337580 /nfs/dbraw/zinc/33/75/80/167337580.db2.gz AUJNHKOEHDZNMN-NSHDSACASA-N 0 3 239.384 2.830 20 0 BFADHN CC(C)[C@@H](NCc1ccccc1F)C(C)(C)O ZINC000359803248 167433604 /nfs/dbraw/zinc/43/36/04/167433604.db2.gz PSMSFKHSOIFYJF-CYBMUJFWSA-N 0 3 239.334 2.711 20 0 BFADHN OC[C@@H](CCF)NCc1ccc2ccccc2c1 ZINC000652015208 410958054 /nfs/dbraw/zinc/95/80/54/410958054.db2.gz XFDLUTNJIFGUKW-OAHLLOKOSA-N 0 3 247.313 2.650 20 0 BFADHN OC[C@H](CCF)NCc1ccc2ccccc2c1 ZINC000652015207 410958245 /nfs/dbraw/zinc/95/82/45/410958245.db2.gz XFDLUTNJIFGUKW-HNNXBMFYSA-N 0 3 247.313 2.650 20 0 BFADHN Cc1ncc([C@H](C)NCCC(C)(C)CO)s1 ZINC000651390984 410976018 /nfs/dbraw/zinc/97/60/18/410976018.db2.gz YGDALMCOVJZLFD-VIFPVBQESA-N 0 3 242.388 2.511 20 0 BFADHN CCC1(N[C@H]2CCCc3cccnc32)CC1 ZINC000651397356 410978726 /nfs/dbraw/zinc/97/87/26/410978726.db2.gz SNPFZISJJDWKQS-LBPRGKRZSA-N 0 3 216.328 2.991 20 0 BFADHN Cc1csc(CN[C@H](C)c2c[nH]nc2C)c1 ZINC000651421839 411009669 /nfs/dbraw/zinc/00/96/69/411009669.db2.gz SUWINMTZAFAHEP-SECBINFHSA-N 0 3 235.356 2.939 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2COCC2(C)C)s1 ZINC000651463744 411047962 /nfs/dbraw/zinc/04/79/62/411047962.db2.gz ZTCJHPRNLJCBIE-GZMMTYOYSA-N 0 3 240.372 2.527 20 0 BFADHN CCN(CC)[C@@H](C)c1cc(C)c(C(=O)OC)o1 ZINC000124732423 169796259 /nfs/dbraw/zinc/79/62/59/169796259.db2.gz JUHYLKSFRKZMBL-JTQLQIEISA-N 0 3 239.315 2.777 20 0 BFADHN FC1(CNC[C@@H]2CC[C@H](c3ccccc3)O2)CC1 ZINC000652035125 411085257 /nfs/dbraw/zinc/08/52/57/411085257.db2.gz MPABNAZZVMVZAV-UONOGXRCSA-N 0 3 249.329 2.998 20 0 BFADHN CN(CCC(C)(C)C)C[C@](C)(O)C(F)(F)F ZINC000399684374 411056824 /nfs/dbraw/zinc/05/68/24/411056824.db2.gz RXDHOXDTJYPGAQ-JTQLQIEISA-N 0 3 241.297 2.668 20 0 BFADHN C[C@@H](N[C@@H](CO)CCF)c1ccc(Cl)cc1 ZINC000652024778 411079977 /nfs/dbraw/zinc/07/99/77/411079977.db2.gz LSBSPMLLVRSTGM-BXKDBHETSA-N 0 3 245.725 2.711 20 0 BFADHN CCc1cccc(CNCc2[nH]ncc2C)c1 ZINC000652032811 411083422 /nfs/dbraw/zinc/08/34/22/411083422.db2.gz CFJLGICRMJNDGF-UHFFFAOYSA-N 0 3 229.327 2.570 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NC[C@@H]1CCCC1(F)F ZINC000651431848 411021312 /nfs/dbraw/zinc/02/13/12/411021312.db2.gz WKBGFTBBAPEUBD-WPRPVWTQSA-N 0 3 243.301 2.804 20 0 BFADHN CC(C)c1ccc([C@H](C)N[C@H]2C[C@@H](O)C2)cc1 ZINC000296116291 167618292 /nfs/dbraw/zinc/61/82/92/167618292.db2.gz ZTMQEUDLQVIPCR-TUKIKUTGSA-N 0 3 233.355 2.984 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3cc4cnccc4o3)C[C@@H]21 ZINC000651645346 411132793 /nfs/dbraw/zinc/13/27/93/411132793.db2.gz NZDRZPDAZOLDBQ-BETUJISGSA-N 0 3 242.322 2.916 20 0 BFADHN COC[C@@H](CC(C)(C)C)NCc1cccnc1 ZINC000651667057 411133518 /nfs/dbraw/zinc/13/35/18/411133518.db2.gz WMAGYSLYLKWRKG-CYBMUJFWSA-N 0 3 236.359 2.622 20 0 BFADHN CC[C@@H](C)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000044293104 411134103 /nfs/dbraw/zinc/13/41/03/411134103.db2.gz OOAYNFZEHINXCI-LLVKDONJSA-N 0 3 249.358 2.668 20 0 BFADHN CC1(C)C[C@@H]1NCc1cc2cnccc2o1 ZINC000651684928 411135694 /nfs/dbraw/zinc/13/56/94/411135694.db2.gz CDIGVWNFCDCUKF-LBPRGKRZSA-N 0 3 216.284 2.716 20 0 BFADHN c1cc2c(c(CN3CC=CCC3)c1)CCC2 ZINC000651756272 411141471 /nfs/dbraw/zinc/14/14/71/411141471.db2.gz PXIBQBYKLJYBNF-UHFFFAOYSA-N 0 3 213.324 2.937 20 0 BFADHN CC[C@]1(C)CCC[C@@H]1NCc1nnc(C)s1 ZINC000651758202 411141614 /nfs/dbraw/zinc/14/16/14/411141614.db2.gz SHVURZFUQLETQA-CMPLNLGQSA-N 0 3 239.388 2.905 20 0 BFADHN CC(C)OCCNCc1cccc2c1CCC2 ZINC000651761501 411142225 /nfs/dbraw/zinc/14/22/25/411142225.db2.gz UQRBZHUDOMDZLG-UHFFFAOYSA-N 0 3 233.355 2.690 20 0 BFADHN CC1(C)SC[C@@H]1NCc1cc2cnccc2o1 ZINC000651796549 411144467 /nfs/dbraw/zinc/14/44/67/411144467.db2.gz OHNKXLJGODNQFO-LBPRGKRZSA-N 0 3 248.351 2.811 20 0 BFADHN CCc1cccc(CNCC2=CCCOC2)c1 ZINC000651812372 411144987 /nfs/dbraw/zinc/14/49/87/411144987.db2.gz WNKMFGUAISOMPQ-UHFFFAOYSA-N 0 3 231.339 2.685 20 0 BFADHN CCN(Cc1cccc2c1CCC2)[C@@H]1CCOC1 ZINC000651815526 411145139 /nfs/dbraw/zinc/14/51/39/411145139.db2.gz WMOGSQZHUKRGNA-OAHLLOKOSA-N 0 3 245.366 2.786 20 0 BFADHN CCOCC(C)(C)NCc1cc2cnccc2o1 ZINC000651817118 411145241 /nfs/dbraw/zinc/14/52/41/411145241.db2.gz ZFNUPTXGQYSOJY-UHFFFAOYSA-N 0 3 248.326 2.733 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc3cnccc3o2)CS1 ZINC000651830771 411146485 /nfs/dbraw/zinc/14/64/85/411146485.db2.gz MQPIEPDEDATXOH-KOLCDFICSA-N 0 3 248.351 2.811 20 0 BFADHN Oc1cccc(CN2CCC23CCCC3)c1 ZINC000651873986 411147956 /nfs/dbraw/zinc/14/79/56/411147956.db2.gz FXZSFZDRNLAOKV-UHFFFAOYSA-N 0 3 217.312 2.911 20 0 BFADHN c1ncc(CN2CCC23CCCC3)s1 ZINC000651873763 411148234 /nfs/dbraw/zinc/14/82/34/411148234.db2.gz VHLBGECFYZZTSB-UHFFFAOYSA-N 0 3 208.330 2.662 20 0 BFADHN C=Cn1cc(CN2CCC23CCCC3)cn1 ZINC000651873242 411148514 /nfs/dbraw/zinc/14/85/14/411148514.db2.gz ZAFRBCRJVSTIIF-UHFFFAOYSA-N 0 3 217.316 2.502 20 0 BFADHN CCc1ccc(CN2CCC(C3(O)CC3)CC2)o1 ZINC000651878205 411148740 /nfs/dbraw/zinc/14/87/40/411148740.db2.gz BFDHNLDHXURBOU-UHFFFAOYSA-N 0 3 249.354 2.579 20 0 BFADHN CC(C)[C@H](C)N[C@@H](c1ccccc1F)[C@@H](C)O ZINC000651892149 411149082 /nfs/dbraw/zinc/14/90/82/411149082.db2.gz HTCONGKYNPWKET-MISXGVKJSA-N 0 3 239.334 2.882 20 0 BFADHN CCCCCN(CC(=O)NCC(C)C)C(C)C ZINC000046018036 411150539 /nfs/dbraw/zinc/15/05/39/411150539.db2.gz ZWTLAWKSWAHTBN-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1nc(CN2[C@H](C)CC[C@H]2C)c(C)o1 ZINC000659999959 411164903 /nfs/dbraw/zinc/16/49/03/411164903.db2.gz JOGLCPXGVFOOPH-RKDXNWHRSA-N 0 3 208.305 2.664 20 0 BFADHN C[C@@H]1COCCN1CCC(C)(C)C1CC1 ZINC000653647123 411155661 /nfs/dbraw/zinc/15/56/61/411155661.db2.gz YJGDPFZOLSBPKW-LLVKDONJSA-N 0 3 211.349 2.533 20 0 BFADHN CCN(Cc1ccsc1)[C@H]1CCCOC1 ZINC000651948544 411160094 /nfs/dbraw/zinc/16/00/94/411160094.db2.gz OVJZCIRLYTXSQU-LBPRGKRZSA-N 0 3 225.357 2.749 20 0 BFADHN CCc1ccc(CN(CC)[C@@H]2CCCOC2)o1 ZINC000651948095 411160315 /nfs/dbraw/zinc/16/03/15/411160315.db2.gz CCZYMKCDJFISBZ-GFCCVEGCSA-N 0 3 237.343 2.843 20 0 BFADHN CC(C)n1cc(CNC2CC3(CCC3)C2)cn1 ZINC000652041610 411090752 /nfs/dbraw/zinc/09/07/52/411090752.db2.gz KGICBTMVXVSXLM-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H](CCO)N(C)Cc1coc2ccccc12 ZINC000652048042 411099100 /nfs/dbraw/zinc/09/91/00/411099100.db2.gz DKLBOOYIIXBMPC-LLVKDONJSA-N 0 3 233.311 2.636 20 0 BFADHN Fc1ccc(CN[C@@H]2CCCSCC2)nc1 ZINC000657911166 411164810 /nfs/dbraw/zinc/16/48/10/411164810.db2.gz VGHZGINWCZXNRK-LLVKDONJSA-N 0 3 240.347 2.596 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1Cc1cn(C)cn1 ZINC000660015161 411175806 /nfs/dbraw/zinc/17/58/06/411175806.db2.gz ZDOAAGQKGREYOE-GXTWGEPZSA-N 0 3 235.375 2.821 20 0 BFADHN CCN(CCO)Cc1cccc(C(C)(C)C)c1 ZINC000659879591 411177236 /nfs/dbraw/zinc/17/72/36/411177236.db2.gz VUTVYQKBJGXALA-UHFFFAOYSA-N 0 3 235.371 2.798 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1cn[nH]c1 ZINC000652240876 411178545 /nfs/dbraw/zinc/17/85/45/411178545.db2.gz ONKOZQQPXORKCD-JFGNBEQYSA-N 0 3 221.348 2.885 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1ccnn1C ZINC000652241162 411179110 /nfs/dbraw/zinc/17/91/10/411179110.db2.gz XXMHECRURBUIEN-SDDRHHMPSA-N 0 3 235.375 2.895 20 0 BFADHN CC(C)c1cc(CN[C@@H]2C[C@@H](C)[C@H]2C)on1 ZINC000309597268 411179253 /nfs/dbraw/zinc/17/92/53/411179253.db2.gz WMMAKEIOMSMBBM-GIPNMCIBSA-N 0 3 222.332 2.932 20 0 BFADHN CC[C@@](C)(CO)N[C@@H](C)c1cc2cnccc2o1 ZINC000657955201 411180699 /nfs/dbraw/zinc/18/06/99/411180699.db2.gz FPRMUYIHYBEKAH-HZMBPMFUSA-N 0 3 248.326 2.639 20 0 BFADHN CO[C@H](C)CNCc1cnc(C2CCC2)s1 ZINC000336775496 411183615 /nfs/dbraw/zinc/18/36/15/411183615.db2.gz ZGBUUSBGDPZTBV-SECBINFHSA-N 0 3 240.372 2.535 20 0 BFADHN C[C@H]1C[C@H](NC2(C3CCC3)CC2)c2nccn21 ZINC000652273754 411185143 /nfs/dbraw/zinc/18/51/43/411185143.db2.gz WSDBXRTTXSHUBP-JQWIXIFHSA-N 0 3 231.343 2.811 20 0 BFADHN C[C@H](c1ccccc1F)N1C[C@@H]2COC[C@]2(C)C1 ZINC000661697491 411185192 /nfs/dbraw/zinc/18/51/92/411185192.db2.gz DINLOYHRGBNNOJ-JMSVASOKSA-N 0 3 249.329 2.855 20 0 BFADHN C[C@@H]1C[C@H](NC2(C3CCC3)CC2)c2nccn21 ZINC000652273755 411185632 /nfs/dbraw/zinc/18/56/32/411185632.db2.gz WSDBXRTTXSHUBP-PWSUYJOCSA-N 0 3 231.343 2.811 20 0 BFADHN C[C@H](COCC1CC1)N[C@@H](C)c1cccc(O)c1 ZINC000652287259 411187627 /nfs/dbraw/zinc/18/76/27/411187627.db2.gz SBPJKRXXVHOKJT-NEPJUHHUSA-N 0 3 249.354 2.858 20 0 BFADHN Cc1cncc([C@H](C)N[C@H](C)COCC2CC2)c1 ZINC000652287101 411187871 /nfs/dbraw/zinc/18/78/71/411187871.db2.gz NMRUZGOTYAJJKL-OLZOCXBDSA-N 0 3 248.370 2.856 20 0 BFADHN CCC(C)(C)N1CCN([C@H]2C=CCCC2)CC1 ZINC000653809407 411189085 /nfs/dbraw/zinc/18/90/85/411189085.db2.gz WNEKXEWKNKFTPC-AWEZNQCLSA-N 0 3 236.403 2.901 20 0 BFADHN CC[C@H]1CCN1CCc1noc2ccccc21 ZINC000653696257 411190121 /nfs/dbraw/zinc/19/01/21/411190121.db2.gz VZVOGONRDPZTNG-NSHDSACASA-N 0 3 230.311 2.855 20 0 BFADHN c1cn2c(n1)[C@H](N[C@H]1CC13CCCC3)CCC2 ZINC000655760045 411190874 /nfs/dbraw/zinc/19/08/74/411190874.db2.gz VOMYHCCQSMKONE-NEPJUHHUSA-N 0 3 231.343 2.640 20 0 BFADHN CC(C)CCN(C)Cc1cnc(C2CC2)nc1 ZINC000656411124 411244181 /nfs/dbraw/zinc/24/41/81/411244181.db2.gz HJSFRIXOGGCFNH-UHFFFAOYSA-N 0 3 233.359 2.832 20 0 BFADHN CC(C)CC[N@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000656413212 411244231 /nfs/dbraw/zinc/24/42/31/411244231.db2.gz DHCRRBMXKWNPOW-UHFFFAOYSA-N 0 3 249.358 2.538 20 0 BFADHN CC(C)CC[N@@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000656413212 411244234 /nfs/dbraw/zinc/24/42/34/411244234.db2.gz DHCRRBMXKWNPOW-UHFFFAOYSA-N 0 3 249.358 2.538 20 0 BFADHN C[C@H](c1cccc(F)c1)N1C[C@@H]2COC[C@]2(C)C1 ZINC000661700099 411192421 /nfs/dbraw/zinc/19/24/21/411192421.db2.gz IPKMTAXHESTDKM-KYOSRNDESA-N 0 3 249.329 2.855 20 0 BFADHN CC[C@@H](N[C@@H](CO)CCF)c1cccc(F)c1 ZINC000652301624 411192891 /nfs/dbraw/zinc/19/28/91/411192891.db2.gz DCXHMBAIKMVMEO-CHWSQXEVSA-N 0 3 243.297 2.587 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](CO)CCF)cc1C ZINC000652305798 411194716 /nfs/dbraw/zinc/19/47/16/411194716.db2.gz JEMDWMZYMMGCCZ-OCCSQVGLSA-N 0 3 239.334 2.675 20 0 BFADHN C[C@H](N[C@@H](CO)CCF)c1cccc(Cl)c1 ZINC000652305360 411194766 /nfs/dbraw/zinc/19/47/66/411194766.db2.gz AFJBDTSXLMGEEY-JOYOIKCWSA-N 0 3 245.725 2.711 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](CO)CCF)c(C)s1 ZINC000652305434 411195233 /nfs/dbraw/zinc/19/52/33/411195233.db2.gz BZHYDTNKZPWEDC-GXSJLCMTSA-N 0 3 245.363 2.736 20 0 BFADHN C[C@H]1CN(CCc2noc3ccccc32)[C@H]1C ZINC000653705106 411195477 /nfs/dbraw/zinc/19/54/77/411195477.db2.gz PYQZLSGCEIVNIG-QWRGUYRKSA-N 0 3 230.311 2.711 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](CO)CCF)cc1 ZINC000652306309 411196165 /nfs/dbraw/zinc/19/61/65/411196165.db2.gz UAIHRXKLFUWHJK-BXUZGUMPSA-N 0 3 239.334 2.620 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](CO)CCF)cc1 ZINC000652306310 411196308 /nfs/dbraw/zinc/19/63/08/411196308.db2.gz UAIHRXKLFUWHJK-FZMZJTMJSA-N 0 3 239.334 2.620 20 0 BFADHN C[C@H]1C[C@@H](NC2CCCCCC2)c2ncnn21 ZINC000658098826 411244765 /nfs/dbraw/zinc/24/47/65/411244765.db2.gz QTAGFYJKQOBMAL-CMPLNLGQSA-N 0 3 234.347 2.596 20 0 BFADHN CCC1CCC(N[C@H]2C[C@@H](C)n3ncnc32)CC1 ZINC000658098209 411244791 /nfs/dbraw/zinc/24/47/91/411244791.db2.gz MRTFVJPCGPBBNT-XVSSEFHLSA-N 0 3 248.374 2.842 20 0 BFADHN COc1ccc2c(c1)CN(CC(C)C)C2 ZINC000653834240 411196412 /nfs/dbraw/zinc/19/64/12/411196412.db2.gz OTOJWEKBQRXSTL-UHFFFAOYSA-N 0 3 205.301 2.667 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1cc2cnccc2o1)OC ZINC000658018333 411198829 /nfs/dbraw/zinc/19/88/29/411198829.db2.gz APMRQIBYCVJMKP-JQWIXIFHSA-N 0 3 248.326 2.903 20 0 BFADHN CN(C)CCSc1nc(-c2ccccc2)c[nH]1 ZINC000048441306 411199602 /nfs/dbraw/zinc/19/96/02/411199602.db2.gz BZRKOIKKKPOTBI-UHFFFAOYSA-N 0 3 247.367 2.730 20 0 BFADHN CCCC[C@H](CC)N[C@@H]1C[C@H](C)n2ncnc21 ZINC000658099225 411245017 /nfs/dbraw/zinc/24/50/17/411245017.db2.gz VRHHLQPKRRCOCE-SDDRHHMPSA-N 0 3 236.363 2.842 20 0 BFADHN CN([C@@H]1C=CCCC1)[C@H]1CCCc2c1cnn2C ZINC000246223612 411203348 /nfs/dbraw/zinc/20/33/48/411203348.db2.gz DRBUSLIVFNGRDN-OCCSQVGLSA-N 0 3 245.370 2.838 20 0 BFADHN Cc1n[nH]c(C)c1CN1CC2(C1)CCCCC2 ZINC000334792422 411245715 /nfs/dbraw/zinc/24/57/15/411245715.db2.gz ONSWREMJWBRJNJ-UHFFFAOYSA-N 0 3 233.359 2.793 20 0 BFADHN C[C@H]1C[C@H](N[C@H]2C[C@H](C)C[C@H](C)C2)c2ncnn21 ZINC000658101636 411246074 /nfs/dbraw/zinc/24/60/74/411246074.db2.gz MHOZHIJUUOCSOC-OFTGVCEQSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCC[C@@H](C)[C@H]2C)c2ncnn21 ZINC000658102968 411246168 /nfs/dbraw/zinc/24/61/68/411246168.db2.gz SPEOBSCDRSDRLX-NJMOYASZSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@@H](O)CN(Cc1cc2ccccc2o1)C1CC1 ZINC000653899758 411216013 /nfs/dbraw/zinc/21/60/13/411216013.db2.gz HNGZCIGIOUQVLR-LLVKDONJSA-N 0 3 245.322 2.778 20 0 BFADHN Fc1ccc(/C=C/CN[C@@H]2C[C@H]3CC[C@@H]2O3)cc1 ZINC000128845248 411246768 /nfs/dbraw/zinc/24/67/68/411246768.db2.gz IIFUBAMLAFVMDD-FSIKVHGASA-N 0 3 247.313 2.748 20 0 BFADHN FC1(F)CC12CN(C[C@@H]1CC=CCC1)C2 ZINC000656266451 411221816 /nfs/dbraw/zinc/22/18/16/411221816.db2.gz XOTGLDJYCUQCDI-SNVBAGLBSA-N 0 3 213.271 2.684 20 0 BFADHN C[C@@H]1CCCC[C@H]1N[C@@H]1CCCn2ccnc21 ZINC000655680991 411222996 /nfs/dbraw/zinc/22/29/96/411222996.db2.gz WBZSAJQUZYKMOB-JHJVBQTASA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H](NC[C@@H]1CCN1C(C)(C)C)c1ccco1 ZINC000658053951 411223676 /nfs/dbraw/zinc/22/36/76/411223676.db2.gz AHTXDIQXVBWAJV-RYUDHWBXSA-N 0 3 236.359 2.803 20 0 BFADHN COC1CC(CCN[C@H](C)c2cscn2)C1 ZINC000658057801 411224304 /nfs/dbraw/zinc/22/43/04/411224304.db2.gz YPNFPYVNINLCKT-KPPDAEKUSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@H](NC[C@@H]1CCN1C(C)(C)C)c1ccccn1 ZINC000658059200 411225840 /nfs/dbraw/zinc/22/58/40/411225840.db2.gz VXRYLIMNLQUJFW-STQMWFEESA-N 0 3 247.386 2.605 20 0 BFADHN Cc1nc(C)c(CN[C@H](C)C2CCCC2)o1 ZINC000309765380 411226615 /nfs/dbraw/zinc/22/66/15/411226615.db2.gz JKSVOUZMXHCZDF-SECBINFHSA-N 0 3 222.332 2.960 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NC[C@@H](C)c1ccccn1 ZINC000658061356 411226857 /nfs/dbraw/zinc/22/68/57/411226857.db2.gz AVNYJDXFVYZMDV-MNOVXSKESA-N 0 3 244.342 2.567 20 0 BFADHN Cn1cccc1CN1CCC[C@H](OC2CCC2)C1 ZINC000656271892 411227023 /nfs/dbraw/zinc/22/70/23/411227023.db2.gz MLZKXMATGRWUMB-HNNXBMFYSA-N 0 3 248.370 2.559 20 0 BFADHN Cc1csc(CN[C@H]2CC[C@H](C)SC2)n1 ZINC000655686233 411228473 /nfs/dbraw/zinc/22/84/73/411228473.db2.gz ZROKLNPCUFEYFZ-UWVGGRQHSA-N 0 3 242.413 2.825 20 0 BFADHN CC[C@H](F)CN1CCN(C)C2(CCCCC2)C1 ZINC000653722220 411247386 /nfs/dbraw/zinc/24/73/86/411247386.db2.gz QKVGLQSOJJFPFW-ZDUSSCGKSA-N 0 3 242.382 2.685 20 0 BFADHN Cc1ccncc1CN[C@H]1C=CCCC1 ZINC000235819376 411231917 /nfs/dbraw/zinc/23/19/17/411231917.db2.gz FVQJDONNTVKAQU-ZDUSSCGKSA-N 0 3 202.301 2.588 20 0 BFADHN CC[C@H](CC(F)F)CN1CCOCC12CCC2 ZINC000660116688 411237993 /nfs/dbraw/zinc/23/79/93/411237993.db2.gz JRLHZCWWVWHHBZ-LLVKDONJSA-N 0 3 247.329 2.923 20 0 BFADHN COc1cc(C)c(C)cc1N[C@@H]1CN(C)C[C@H]1C ZINC000658113345 411247927 /nfs/dbraw/zinc/24/79/27/411247927.db2.gz IIUSPHPOQLKFCV-TZMCWYRMSA-N 0 3 248.370 2.674 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H](CO)C1CCC1 ZINC000658088486 411239749 /nfs/dbraw/zinc/23/97/49/411239749.db2.gz UOQSMKRPXQGFHI-RISCZKNCSA-N 0 3 249.354 2.507 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@@H]2CCCn3ccnc32)C1 ZINC000655765155 411248058 /nfs/dbraw/zinc/24/80/58/411248058.db2.gz ZPEHRWVIKWFKMI-JHJVBQTASA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@H]2CCCn3ccnc32)C1 ZINC000655765153 411248082 /nfs/dbraw/zinc/24/80/82/411248082.db2.gz ZPEHRWVIKWFKMI-AGIUHOORSA-N 0 3 233.359 2.744 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1COC2(CCC2)C1 ZINC000657911029 411165009 /nfs/dbraw/zinc/16/50/09/411165009.db2.gz ZVQFDJDITORFQJ-STQMWFEESA-N 0 3 246.354 2.752 20 0 BFADHN CC[C@]1(C)CCN(Cc2cc(C)nc(C)n2)C1 ZINC000660000561 411165223 /nfs/dbraw/zinc/16/52/23/411165223.db2.gz XYYBWNFSNDJCFE-CQSZACIVSA-N 0 3 233.359 2.715 20 0 BFADHN C[C@@H](N[C@H]1CCN(C(C)(C)C)C1)c1ccco1 ZINC000658091356 411242151 /nfs/dbraw/zinc/24/21/51/411242151.db2.gz LYLCGMORCUSJCG-NEPJUHHUSA-N 0 3 236.359 2.803 20 0 BFADHN OC/C=C/CN[C@H](CC1CC1)c1ccccc1 ZINC000658136614 411261764 /nfs/dbraw/zinc/26/17/64/411261764.db2.gz XZNGIRFQTDUJRW-MBVDDHJVSA-N 0 3 231.339 2.666 20 0 BFADHN C[C@@H]1CC[C@H](NC/C=C/CO)c2sccc21 ZINC000658137523 411261857 /nfs/dbraw/zinc/26/18/57/411261857.db2.gz LFPKQXNFVBOLTQ-AKDQNTJRSA-N 0 3 237.368 2.825 20 0 BFADHN Cc1ccc([C@H](NC/C=C\CO)C2CCCC2)o1 ZINC000658138940 411263780 /nfs/dbraw/zinc/26/37/80/411263780.db2.gz NYIRVZPOZXJZGN-FOSCPCJNSA-N 0 3 249.354 2.957 20 0 BFADHN Cc1ccc2cc([C@H](C)NC/C=C/CO)oc2c1 ZINC000658142793 411265606 /nfs/dbraw/zinc/26/56/06/411265606.db2.gz YWUKMZUWXGILLP-PCAWENJQSA-N 0 3 245.322 2.940 20 0 BFADHN Cc1ccc2c(c1)[C@H](NC/C=C\CO)CCC2 ZINC000658142722 411265771 /nfs/dbraw/zinc/26/57/71/411265771.db2.gz YMHGUQTYKIOXRX-MPNWYJEXSA-N 0 3 231.339 2.511 20 0 BFADHN C[C@@H](NCCc1ccoc1)c1cccnc1 ZINC000656684531 411327461 /nfs/dbraw/zinc/32/74/61/411327461.db2.gz FEHQSNYNBYNGGH-LLVKDONJSA-N 0 3 216.284 2.568 20 0 BFADHN CCc1cc(CN[C@@H]2CC[C@@H](C)SC2)on1 ZINC000655715477 411269037 /nfs/dbraw/zinc/26/90/37/411269037.db2.gz LASMHEWFYJGWCA-MWLCHTKSSA-N 0 3 240.372 2.611 20 0 BFADHN CC(C)OC1CC(N(C)CCOC(C)(C)C)C1 ZINC000656458981 411269839 /nfs/dbraw/zinc/26/98/39/411269839.db2.gz ZDEYJZPHUXBBNQ-UHFFFAOYSA-N 0 3 243.391 2.689 20 0 BFADHN C[C@@H]1CN(CCC(C)(C)C2CC2)CCO1 ZINC000653476565 411327499 /nfs/dbraw/zinc/32/74/99/411327499.db2.gz KRZCKIMSSADGIP-LLVKDONJSA-N 0 3 211.349 2.533 20 0 BFADHN CC(C)(C)[C@@H](N)c1cn(CC2CCCC2)nn1 ZINC000656451739 411273645 /nfs/dbraw/zinc/27/36/45/411273645.db2.gz ZGSGXFGZWVTHNG-LBPRGKRZSA-N 0 3 236.363 2.514 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)C2(CO)CC2)o1 ZINC000658162730 411275781 /nfs/dbraw/zinc/27/57/81/411275781.db2.gz YKQOMSXCACPUJU-WDEREUQCSA-N 0 3 237.343 2.654 20 0 BFADHN CCCCCNCc1ccc2c(n1)CCC2 ZINC000656468711 411280835 /nfs/dbraw/zinc/28/08/35/411280835.db2.gz KKPPYFWCYFERQW-UHFFFAOYSA-N 0 3 218.344 2.850 20 0 BFADHN Cc1cc([C@H](C)N[C@@]2(C)CC2(C)C)nn1C ZINC000658304548 411328458 /nfs/dbraw/zinc/32/84/58/411328458.db2.gz PRUDMOQMJVYFBN-GWCFXTLKSA-N 0 3 221.348 2.568 20 0 BFADHN Cc1cc(C)cc(CN[C@H](C)c2ccnn2C)c1 ZINC000658177863 411282939 /nfs/dbraw/zinc/28/29/39/411282939.db2.gz ZMJWZSAONODJGL-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@@H]1C[C@H](NCCC(C)(C)F)c2nccn21 ZINC000658190916 411286918 /nfs/dbraw/zinc/28/69/18/411286918.db2.gz HQUSDSIVELGATH-ZJUUUORDSA-N 0 3 225.311 2.617 20 0 BFADHN C[C@H](NCCC(C)(C)F)c1cncs1 ZINC000658192192 411287520 /nfs/dbraw/zinc/28/75/20/411287520.db2.gz ATPRRRGPAMVWGU-QMMMGPOBSA-N 0 3 216.325 2.932 20 0 BFADHN CCCC[C@@H]1CCC[C@H]1NCc1cnccn1 ZINC000656496447 411287619 /nfs/dbraw/zinc/28/76/19/411287619.db2.gz MJDWLXBITUJKEG-TZMCWYRMSA-N 0 3 233.359 2.925 20 0 BFADHN CC(C)[C@H](N[C@H](C)c1cc2n(n1)CCC2)C1CC1 ZINC000658305358 411329023 /nfs/dbraw/zinc/32/90/23/411329023.db2.gz ZJMRZBJPWAQWAU-ABAIWWIYSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN[C@@H]2CCCC[C@@H]2O)o1 ZINC000051991197 411288257 /nfs/dbraw/zinc/28/82/57/411288257.db2.gz ZZTOBKKQTSOJCO-ASEORRQLSA-N 0 3 249.354 2.796 20 0 BFADHN c1cnc(CN[C@H]2C[C@@H]2C2CCCCC2)cn1 ZINC000656528789 411290300 /nfs/dbraw/zinc/29/03/00/411290300.db2.gz YLAUMVVRCPKWKN-KGLIPLIRSA-N 0 3 231.343 2.535 20 0 BFADHN Cc1ncc([C@@H](C)NCCc2ccoc2)c(C)n1 ZINC000658197451 411290359 /nfs/dbraw/zinc/29/03/59/411290359.db2.gz GZMFMCSKDNURAX-SNVBAGLBSA-N 0 3 245.326 2.580 20 0 BFADHN c1cnc(CN[C@H]2C[C@H]2C2CCCCC2)cn1 ZINC000656528788 411290519 /nfs/dbraw/zinc/29/05/19/411290519.db2.gz YLAUMVVRCPKWKN-KBPBESRZSA-N 0 3 231.343 2.535 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]2C[C@H]21)c1nccn1C ZINC000658205004 411291976 /nfs/dbraw/zinc/29/19/76/411291976.db2.gz DFKNOEPXSFEMSZ-ZDEQEGDKSA-N 0 3 233.359 2.507 20 0 BFADHN C[C@H](NC[C@]1(C)CC1(F)F)c1cccnc1 ZINC000656686567 411329509 /nfs/dbraw/zinc/32/95/09/411329509.db2.gz HOPMUOVEJAVGNL-ONGXEEELSA-N 0 3 226.270 2.778 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000658207772 411294425 /nfs/dbraw/zinc/29/44/25/411294425.db2.gz XEEVTJKLCKFRNT-CRWXNKLISA-N 0 3 247.386 2.990 20 0 BFADHN CCn1cc([C@H](C)N[C@@]2(C)CC2(C)C)cn1 ZINC000658306547 411329713 /nfs/dbraw/zinc/32/97/13/411329713.db2.gz DVBXCPGPZSFMKX-GWCFXTLKSA-N 0 3 221.348 2.742 20 0 BFADHN Cn1ncc(C2CC2)c1CN[C@@H]1CCC12CCC2 ZINC000658211703 411295002 /nfs/dbraw/zinc/29/50/02/411295002.db2.gz HIRBXPUFRARUKF-CQSZACIVSA-N 0 3 245.370 2.720 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@@H]1CCCn2ccnc21 ZINC000655722425 411295197 /nfs/dbraw/zinc/29/51/97/411295197.db2.gz AOXIRJAKHJZOJU-JHJVBQTASA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1nc(C)oc1C ZINC000660198428 411295234 /nfs/dbraw/zinc/29/52/34/411295234.db2.gz XQJZVXDDDLVPEQ-RKDXNWHRSA-N 0 3 210.321 2.816 20 0 BFADHN C[C@H]1CC[C@H](NCc2ccc(F)cn2)CS1 ZINC000655723587 411297277 /nfs/dbraw/zinc/29/72/77/411297277.db2.gz LXGYUUMNKWEOKY-CABZTGNLSA-N 0 3 240.347 2.594 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H](C)O1)c1ccccn1 ZINC000658219533 411298632 /nfs/dbraw/zinc/29/86/32/411298632.db2.gz NFCQFEPWOCDIRE-AGIUHOORSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H](NC[C@H]1CCC[C@@H](C)O1)c1ccccn1 ZINC000658219535 411298879 /nfs/dbraw/zinc/29/88/79/411298879.db2.gz NFCQFEPWOCDIRE-JHJVBQTASA-N 0 3 234.343 2.690 20 0 BFADHN CCn1cc([C@@H](C)NC[C@]2(C)CC2(F)F)cn1 ZINC000658222733 411302365 /nfs/dbraw/zinc/30/23/65/411302365.db2.gz XAMORMTVTKZRAK-KOLCDFICSA-N 0 3 243.301 2.599 20 0 BFADHN C[C@@H](NCCCC1CCC1)c1ccn(C)n1 ZINC000658223965 411302688 /nfs/dbraw/zinc/30/26/88/411302688.db2.gz QOECLDLQJZTDTE-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN FC(F)C1CN(Cc2cccc3[nH]ccc32)C1 ZINC000656690713 411330647 /nfs/dbraw/zinc/33/06/47/411330647.db2.gz OSFVJBCINOFYFO-UHFFFAOYSA-N 0 3 236.265 2.865 20 0 BFADHN FC(F)C1CN(CC2Cc3ccccc3C2)C1 ZINC000656690727 411330795 /nfs/dbraw/zinc/33/07/95/411330795.db2.gz PCBLPVJPQQWVOA-UHFFFAOYSA-N 0 3 237.293 2.598 20 0 BFADHN C[C@H](N[C@@]1(C)CC1(C)C)c1cccnc1 ZINC000658309533 411330914 /nfs/dbraw/zinc/33/09/14/411330914.db2.gz WZXJGJAEPHWRJU-GWCFXTLKSA-N 0 3 204.317 2.921 20 0 BFADHN C[C@H](N[C@@H]1CCc2ccc(O)cc21)[C@@H]1CCCO1 ZINC000658235399 411305345 /nfs/dbraw/zinc/30/53/45/411305345.db2.gz DBQGHHKISRGHMX-VQISRLSMSA-N 0 3 247.338 2.537 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)[C@@H](C)CO1 ZINC000659822303 411305741 /nfs/dbraw/zinc/30/57/41/411305741.db2.gz SANARHHFFDMZJY-MRTXSQPYSA-N 0 3 223.360 2.532 20 0 BFADHN CC1(C)C[C@H](N[C@@H]2CCc3ccc(O)cc32)CO1 ZINC000658235503 411306004 /nfs/dbraw/zinc/30/60/04/411306004.db2.gz DPFJDGJZAVSIDI-SMDDNHRTSA-N 0 3 247.338 2.537 20 0 BFADHN CCOC1CC(N[C@H]2CCc3ccc(O)cc32)C1 ZINC000658236981 411306129 /nfs/dbraw/zinc/30/61/29/411306129.db2.gz KZIVVZSZHCHGPA-HGMXIMQMSA-N 0 3 247.338 2.537 20 0 BFADHN CC[C@@H](N[C@@H](C)c1cc2n(n1)CCC2)C1CC1 ZINC000658236402 411306217 /nfs/dbraw/zinc/30/62/17/411306217.db2.gz VKAGDYGMBIMRDO-GXFFZTMASA-N 0 3 233.359 2.669 20 0 BFADHN N[C@@H]1CCCc2cn(C[C@@H]3CC[C@@H]4C[C@@H]4C3)nc21 ZINC000656601533 411306594 /nfs/dbraw/zinc/30/65/94/411306594.db2.gz XWIDROJMTGVOJO-MHDGFBEUSA-N 0 3 245.370 2.655 20 0 BFADHN N[C@@H]1CCCc2cn(C[C@@H]3CC[C@H]4C[C@H]4C3)nc21 ZINC000656601535 411306807 /nfs/dbraw/zinc/30/68/07/411306807.db2.gz XWIDROJMTGVOJO-ZMJPVWNMSA-N 0 3 245.370 2.655 20 0 BFADHN C[C@@H](O)[C@H]1CCCN1Cc1ccccc1Cl ZINC000661768069 411309206 /nfs/dbraw/zinc/30/92/06/411309206.db2.gz UIXWHFHTRIXYLE-ZWNOBZJWSA-N 0 3 239.746 2.685 20 0 BFADHN Cc1ccsc1CN1CC2(CC(F)C2)C1 ZINC000656693979 411331621 /nfs/dbraw/zinc/33/16/21/411331621.db2.gz IFLIEAJSTIFDMH-UHFFFAOYSA-N 0 3 225.332 2.990 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@@H](O)C23CCC3)c(C)o1 ZINC000658252112 411314589 /nfs/dbraw/zinc/31/45/89/411314589.db2.gz IUKYSJMRLPFHNJ-LEWSCRJBSA-N 0 3 249.354 2.851 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](O)C12CCC2)c1ccccc1 ZINC000658252204 411314670 /nfs/dbraw/zinc/31/46/70/411314670.db2.gz HQIPFOIUMRRFNP-YUTCNCBUSA-N 0 3 231.339 2.641 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@@H](O)C23CCC3)oc1C ZINC000658254027 411316371 /nfs/dbraw/zinc/31/63/71/411316371.db2.gz XPLABZZRUDRGAR-ZLKJLUDKSA-N 0 3 249.354 2.851 20 0 BFADHN Cc1noc([C@@H]2CCCN2C[C@@H]2C[C@H]3C[C@H]3C2)n1 ZINC000660549345 411316693 /nfs/dbraw/zinc/31/66/93/411316693.db2.gz VXCZKJJQTBQNLI-RNJOBUHISA-N 0 3 247.342 2.561 20 0 BFADHN CC[C@H](C)CCN[C@@H](C)c1cnccn1 ZINC000656680173 411320857 /nfs/dbraw/zinc/32/08/57/411320857.db2.gz OWVUYKSMHIJLEB-QWRGUYRKSA-N 0 3 207.321 2.563 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccncc1)C1CCCC1 ZINC000658316453 411332536 /nfs/dbraw/zinc/33/25/36/411332536.db2.gz QIERKXQRTKJKOI-SWLSCSKDSA-N 0 3 248.370 2.937 20 0 BFADHN CO[C@@H](CN1CCCc2occc2C1)C1CCC1 ZINC000660562493 411322555 /nfs/dbraw/zinc/32/25/55/411322555.db2.gz LKEKHAYZASNKJC-HNNXBMFYSA-N 0 3 249.354 2.843 20 0 BFADHN C[C@@H](NCC1=CCCC1)c1ccc(F)cn1 ZINC000658291785 411324354 /nfs/dbraw/zinc/32/43/54/411324354.db2.gz UFPYQDMKHCVOCY-SNVBAGLBSA-N 0 3 220.291 2.982 20 0 BFADHN CC[C@H](C)CCN[C@H]1C[C@@H](C)n2ccnc21 ZINC000658121334 411249953 /nfs/dbraw/zinc/24/99/53/411249953.db2.gz OMWOSOBXOAVZNV-TUAOUCFPSA-N 0 3 221.348 2.915 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1c(OC)cccc1OC ZINC000658118485 411250399 /nfs/dbraw/zinc/25/03/99/411250399.db2.gz GDSIZPDEQDUJAV-WCQYABFASA-N 0 3 249.354 2.982 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CC[C@H](C)SC1 ZINC000655699999 411250572 /nfs/dbraw/zinc/25/05/72/411250572.db2.gz IZSHCGNHZMJPMY-GZMMTYOYSA-N 0 3 240.372 2.665 20 0 BFADHN Cc1occc1CN[C@H]1CC[C@@H](C)SC1 ZINC000655700396 411250645 /nfs/dbraw/zinc/25/06/45/411250645.db2.gz GJGVPJIUISXGMO-SKDRFNHKSA-N 0 3 225.357 2.962 20 0 BFADHN FC1(CCN[C@H]2CCCn3ccnc32)CCC1 ZINC000655768945 411251563 /nfs/dbraw/zinc/25/15/63/411251563.db2.gz SRJYEALLFIMQBM-NSHDSACASA-N 0 3 237.322 2.590 20 0 BFADHN CC[C@@H](NCC[C@@H](C)CC)c1nccn1C ZINC000658122160 411251649 /nfs/dbraw/zinc/25/16/49/411251649.db2.gz RTFKYHDMYTXOED-NWDGAFQWSA-N 0 3 223.364 2.897 20 0 BFADHN CCC[C@@H](C)NCc1c(OC)cccc1OC ZINC000658122859 411251735 /nfs/dbraw/zinc/25/17/35/411251735.db2.gz WWOUYYIAZXLDFP-LLVKDONJSA-N 0 3 237.343 2.982 20 0 BFADHN CC[C@@H](C)CCN[C@@H](c1nccn1C)C1CC1 ZINC000658123285 411252819 /nfs/dbraw/zinc/25/28/19/411252819.db2.gz XMKZYUJZVSXIQG-DGCLKSJQSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1ncncc1[C@@H](C)NC1(C(C)C)CC1 ZINC000655770436 411253035 /nfs/dbraw/zinc/25/30/35/411253035.db2.gz KFMRSODCJXRFOH-LLVKDONJSA-N 0 3 219.332 2.624 20 0 BFADHN CC[C@@H](C)CCN[C@H]1CCCn2ccnc21 ZINC000658125968 411253359 /nfs/dbraw/zinc/25/33/59/411253359.db2.gz WLEGZYSVZIFJMW-NEPJUHHUSA-N 0 3 221.348 2.744 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C(C)C)C2CC2)nn1C ZINC000658299267 411326624 /nfs/dbraw/zinc/32/66/24/411326624.db2.gz DVCZBTAEAKXWIY-BXUZGUMPSA-N 0 3 235.375 2.814 20 0 BFADHN Cc1cc(CN[C@H]2CC[C@@H](C)SC2)ccn1 ZINC000655772417 411254713 /nfs/dbraw/zinc/25/47/13/411254713.db2.gz HHQRCBNGFLVSMN-YPMHNXCESA-N 0 3 236.384 2.764 20 0 BFADHN C[C@@H](NC/C=C/CO)c1cccc(Cl)c1 ZINC000658131763 411254964 /nfs/dbraw/zinc/25/49/64/411254964.db2.gz GKCLHEHRMAMIHS-VMZHVLLKSA-N 0 3 225.719 2.539 20 0 BFADHN CC(C)C[C@H](NC/C=C\CO)c1ccccc1 ZINC000658131927 411255072 /nfs/dbraw/zinc/25/50/72/411255072.db2.gz FFLQGSPCTMASQS-XHPSBEMXSA-N 0 3 233.355 2.912 20 0 BFADHN Cc1ccncc1CN[C@H]1CC[C@H](C)SC1 ZINC000655772576 411255184 /nfs/dbraw/zinc/25/51/84/411255184.db2.gz OPOLYSVXICTUPP-AAEUAGOBSA-N 0 3 236.384 2.764 20 0 BFADHN CCC[C@@H](NC/C=C\CO)c1ccsc1 ZINC000658132952 411255967 /nfs/dbraw/zinc/25/59/67/411255967.db2.gz BSMJSBHTRDWJHK-VSQXVHSFSA-N 0 3 225.357 2.727 20 0 BFADHN COc1ccccc1[C@@H]1CCCCN1C[C@H](C)O ZINC000656438624 411256274 /nfs/dbraw/zinc/25/62/74/411256274.db2.gz WUZMCEZNPPXTKO-JSGCOSHPSA-N 0 3 249.354 2.603 20 0 BFADHN Cc1cc(C)c([C@H](C)NC/C=C/CO)cc1C ZINC000658132783 411256587 /nfs/dbraw/zinc/25/65/87/411256587.db2.gz NAKDYJDZFPDYGR-GJBLVYBDSA-N 0 3 233.355 2.811 20 0 BFADHN CCc1ccc([C@@H](CC)NC/C=C\CO)cc1 ZINC000658132893 411256980 /nfs/dbraw/zinc/25/69/80/411256980.db2.gz JUOZUCILVMWWBF-IYKSTZQJSA-N 0 3 233.355 2.838 20 0 BFADHN CC1(C)C[C@H](NC/C=C\CO)c2ccccc21 ZINC000658133501 411258249 /nfs/dbraw/zinc/25/82/49/411258249.db2.gz MVGNBKZOWUGZTK-GXMNPTEKSA-N 0 3 231.339 2.547 20 0 BFADHN CC[C@@H](NC/C=C\CO)c1ccc(Cl)s1 ZINC000658134086 411259828 /nfs/dbraw/zinc/25/98/28/411259828.db2.gz PETARYCCBDSESF-ZBJFTSOASA-N 0 3 245.775 2.991 20 0 BFADHN FC1(F)CC2(CN(C[C@@H]3C[C@H]4C[C@H]4C3)C2)C1 ZINC000660146112 411260938 /nfs/dbraw/zinc/26/09/38/411260938.db2.gz CYGRZFIEVJROTB-JGPRNRPPSA-N 0 3 227.298 2.764 20 0 BFADHN COc1cc(CN2CCC[C@H]2C(C)C)sn1 ZINC000659858388 411350305 /nfs/dbraw/zinc/35/03/05/411350305.db2.gz SDKLLAFIZFWXCL-NSHDSACASA-N 0 3 240.372 2.772 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](O)C1)c1csc(Cl)c1 ZINC000658349598 411350950 /nfs/dbraw/zinc/35/09/50/411350950.db2.gz YRRCZJOYZPEMMA-FTLITQJKSA-N 0 3 231.748 2.575 20 0 BFADHN CC[C@@H](N[C@H]1C[C@H](O)C1)c1cc(C)ccc1OC ZINC000658351683 411353308 /nfs/dbraw/zinc/35/33/08/411353308.db2.gz ZCBHOIAMHJJOLY-YRGRVCCFSA-N 0 3 249.354 2.568 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@H]2COC[C@H]2C1 ZINC000662039624 411353335 /nfs/dbraw/zinc/35/33/35/411353335.db2.gz YSHFZUWMGYTXEW-UONOGXRCSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1cc([C@H](N[C@H]2C[C@H](O)C2)C2CC2)ccc1F ZINC000658351489 411353825 /nfs/dbraw/zinc/35/38/25/411353825.db2.gz XIWXOIMBVRHELS-UMVBOHGHSA-N 0 3 249.329 2.698 20 0 BFADHN CC[C@@H]1CCCN1Cc1nc(C)oc1C ZINC000659872870 411357613 /nfs/dbraw/zinc/35/76/13/411357613.db2.gz HKAHVUCZLPEBED-LLVKDONJSA-N 0 3 208.305 2.666 20 0 BFADHN C[C@@H](NC[C@H]1CC2CCC1CC2)c1cnccn1 ZINC000400008084 411359175 /nfs/dbraw/zinc/35/91/75/411359175.db2.gz BYNSWXFRHWLIFB-BLYZHGLHSA-N 0 3 245.370 2.954 20 0 BFADHN CC(C)c1nc(CN2[C@@H]3CC[C@H]2CC(C)C3)n[nH]1 ZINC000662127787 411363782 /nfs/dbraw/zinc/36/37/82/411363782.db2.gz FNRNNBNUHRHUSJ-YOGCLGLASA-N 0 3 248.374 2.691 20 0 BFADHN CC(C)(C)CNc1ccc([C@@H]2CNCCO2)cc1 ZINC000656793614 411364708 /nfs/dbraw/zinc/36/47/08/411364708.db2.gz VGMNLDLKEAYJNF-AWEZNQCLSA-N 0 3 248.370 2.806 20 0 BFADHN CC[C@@H](C)CCNC(=O)Nc1cccc(CN)c1 ZINC000659018575 411367559 /nfs/dbraw/zinc/36/75/59/411367559.db2.gz PJDBPXRWDQHJJX-LLVKDONJSA-N 0 3 249.358 2.703 20 0 BFADHN Cn1ccnc1CN1CCCC[C@@H]1CC1CCC1 ZINC000662157619 411369739 /nfs/dbraw/zinc/36/97/39/411369739.db2.gz QFJZCQAFRYNINF-CQSZACIVSA-N 0 3 247.386 2.965 20 0 BFADHN Cc1ncncc1[C@H](C)NCCCc1ccco1 ZINC000658324628 411333625 /nfs/dbraw/zinc/33/36/25/411333625.db2.gz AJVRJTSKGTXMLE-NSHDSACASA-N 0 3 245.326 2.661 20 0 BFADHN CC(=O)c1cccc(CN2CC[C@H]3C[C@H]3C2)c1 ZINC000661966763 411333917 /nfs/dbraw/zinc/33/39/17/411333917.db2.gz MBPWTXYWZOHGNX-GJZGRUSLSA-N 0 3 229.323 2.731 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NC1(CC(C)C)CC1 ZINC000658321109 411333959 /nfs/dbraw/zinc/33/39/59/411333959.db2.gz LRDXXKSDZWYGFD-NSHDSACASA-N 0 3 235.375 2.958 20 0 BFADHN Fc1cccc(F)c1CCN1CC[C@H]2C[C@H]2C1 ZINC000661966515 411334063 /nfs/dbraw/zinc/33/40/63/411334063.db2.gz JHBLHZXRHHAKNM-QWRGUYRKSA-N 0 3 237.293 2.849 20 0 BFADHN C[C@@H]1C[C@H](NCCCc2ccco2)c2nccn21 ZINC000658324922 411334282 /nfs/dbraw/zinc/33/42/82/411334282.db2.gz GTMFWKJHRSVRCE-YPMHNXCESA-N 0 3 245.326 2.704 20 0 BFADHN CC[C@H](NCCCc1ccco1)c1ccn(C)n1 ZINC000658325935 411334839 /nfs/dbraw/zinc/33/48/39/411334839.db2.gz VWAHAZNPRKYQKH-ZDUSSCGKSA-N 0 3 247.342 2.687 20 0 BFADHN COc1nc(C)cc(C)c1CN1CC[C@@H]2C[C@@H]2C1 ZINC000661967423 411335457 /nfs/dbraw/zinc/33/54/57/411335457.db2.gz OXFMCEUMWQHXIN-CHWSQXEVSA-N 0 3 246.354 2.549 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1csc(C)c1 ZINC000658330330 411338216 /nfs/dbraw/zinc/33/82/16/411338216.db2.gz IVBVFAIFUMURBL-JLLWLGSASA-N 0 3 225.357 2.885 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCc2c1cccc2F ZINC000658331920 411339932 /nfs/dbraw/zinc/33/99/32/411339932.db2.gz NFOPVWXOFIGCMO-MJBXVCDLSA-N 0 3 235.302 2.580 20 0 BFADHN C[C@@H](NC[C@H]1CCOC1(C)C)c1ccco1 ZINC000658332015 411339959 /nfs/dbraw/zinc/33/99/59/411339959.db2.gz OMEZTLNQQLDDQY-GHMZBOCLSA-N 0 3 223.316 2.745 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1cc[nH]n1 ZINC000167433617 411341384 /nfs/dbraw/zinc/34/13/84/411341384.db2.gz FUVILGOJAYCAPE-NSHDSACASA-N 0 3 207.321 2.634 20 0 BFADHN CO[C@@H](c1ccccc1)[C@H](C)N[C@@H]1C[C@]1(C)OC ZINC000658332898 411341477 /nfs/dbraw/zinc/34/14/77/411341477.db2.gz TXZSJYYYJYWUDO-MYPMTAMASA-N 0 3 249.354 2.530 20 0 BFADHN CC(C)(NCc1cc(C2CC2)no1)C1CC1 ZINC000660254230 411341860 /nfs/dbraw/zinc/34/18/60/411341860.db2.gz OKALYKCSXBMWFM-UHFFFAOYSA-N 0 3 220.316 2.830 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@]1(C)OC)c1ccc(OC)cc1 ZINC000658334220 411342153 /nfs/dbraw/zinc/34/21/53/411342153.db2.gz FNSZDFDVKSOAEK-KFWWJZLASA-N 0 3 249.354 2.913 20 0 BFADHN CC[C@H](N[C@@H]1C[C@]1(C)OC)c1cccs1 ZINC000658334466 411342396 /nfs/dbraw/zinc/34/23/96/411342396.db2.gz JVRKWBGEVYUBEJ-WCQGTBRESA-N 0 3 225.357 2.966 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403358988 411342661 /nfs/dbraw/zinc/34/26/61/411342661.db2.gz HDBVAKQRHURASV-ZDEQEGDKSA-N 0 3 231.343 2.624 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1ccc(C)s1 ZINC000658334245 411342681 /nfs/dbraw/zinc/34/26/81/411342681.db2.gz GIQQFBRFQGGXCE-JLLWLGSASA-N 0 3 225.357 2.885 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCCc2ccccc21 ZINC000658334623 411343170 /nfs/dbraw/zinc/34/31/70/411343170.db2.gz OVJVEHYIKOSGQA-ZNMIVQPWSA-N 0 3 231.339 2.831 20 0 BFADHN C[C@H](NC[C@@H]1CCOC1(C)C)c1cscn1 ZINC000658331241 411343347 /nfs/dbraw/zinc/34/33/47/411343347.db2.gz NKXFAONBMUPTEN-UWVGGRQHSA-N 0 3 240.372 2.609 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000658334920 411343530 /nfs/dbraw/zinc/34/35/30/411343530.db2.gz XVBJNYHSKQHDRG-MJBXVCDLSA-N 0 3 235.302 2.580 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1ccc(C)cc1 ZINC000658334872 411343660 /nfs/dbraw/zinc/34/36/60/411343660.db2.gz WGGVLECQVLPZFW-BNOWGMLFSA-N 0 3 219.328 2.823 20 0 BFADHN CC1(C)[C@H](O)CCN1Cc1cc2ccccc2o1 ZINC000336029410 168007126 /nfs/dbraw/zinc/00/71/26/168007126.db2.gz VHCMHEYDXPNLMA-CQSZACIVSA-N 0 3 245.322 2.778 20 0 BFADHN CC1(C)[C@H](O)CCN1CCCCC(F)(F)F ZINC000361405447 168008022 /nfs/dbraw/zinc/00/80/22/168008022.db2.gz XOJSUQUJPCFLMI-SECBINFHSA-N 0 3 239.281 2.564 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NCc1cccc(Cl)c1 ZINC000163364219 168008866 /nfs/dbraw/zinc/00/88/66/168008866.db2.gz LPZJFPGQLQPDTG-VXGBXAGGSA-N 0 3 239.746 2.589 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NCc1sccc1Cl ZINC000278609861 168009006 /nfs/dbraw/zinc/00/90/06/168009006.db2.gz CZLZVGVSERMKKJ-NXEZZACHSA-N 0 3 245.775 2.651 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1C(C)(C)C)c1nccn1C ZINC000658338716 411346219 /nfs/dbraw/zinc/34/62/19/411346219.db2.gz JVWKDZILYCSBER-QJPTWQEYSA-N 0 3 235.375 2.895 20 0 BFADHN CC(C)CN(C)Cc1cc(C2CC2)no1 ZINC000659856544 411346966 /nfs/dbraw/zinc/34/69/66/411346966.db2.gz DNGJAFBDPSBYTD-UHFFFAOYSA-N 0 3 208.305 2.640 20 0 BFADHN CC1(CNCc2cc(C3CC3)ccc2F)COC1 ZINC000296740614 168042408 /nfs/dbraw/zinc/04/24/08/168042408.db2.gz OJUFWKCMBZKIBZ-UHFFFAOYSA-N 0 3 249.329 2.829 20 0 BFADHN Cc1ccc2cc([C@@H](C)N[C@H]3C[C@H](O)C3)oc2c1 ZINC000658347750 411348221 /nfs/dbraw/zinc/34/82/21/411348221.db2.gz GVQUKGLXNDVLSP-RAIGVLPGSA-N 0 3 245.322 2.915 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](O)C1)c1cccc(C2CC2)c1 ZINC000658348441 411348363 /nfs/dbraw/zinc/34/83/63/411348363.db2.gz QAIFMRHWXZSDAK-VCTAVGKDSA-N 0 3 231.339 2.738 20 0 BFADHN CC1(NC(=O)C[C@H](N)c2ccccc2)CCCC1 ZINC000042187992 168057392 /nfs/dbraw/zinc/05/73/92/168057392.db2.gz AIZIDSXQVVQIQA-ZDUSSCGKSA-N 0 3 246.354 2.525 20 0 BFADHN CC1(NCc2cc(F)cc(F)c2)CCC1 ZINC000119352066 168062366 /nfs/dbraw/zinc/06/23/66/168062366.db2.gz LTDZIQYTYWPQKA-UHFFFAOYSA-N 0 3 211.255 2.997 20 0 BFADHN CC1(NCc2cc(F)ccc2F)CCOCC1 ZINC000131166018 168062992 /nfs/dbraw/zinc/06/29/92/168062992.db2.gz PTLVONPCQNCCAQ-UHFFFAOYSA-N 0 3 241.281 2.624 20 0 BFADHN CC1(NCc2ccn(-c3ccccc3)n2)CCC1 ZINC000091065045 168064654 /nfs/dbraw/zinc/06/46/54/168064654.db2.gz GNIUIAMCEJLISM-UHFFFAOYSA-N 0 3 241.338 2.905 20 0 BFADHN CC1=C(C)CN(Cc2ccncc2)CC1 ZINC000280192953 168084260 /nfs/dbraw/zinc/08/42/60/168084260.db2.gz AYULWDBFDNUYFA-UHFFFAOYSA-N 0 3 202.301 2.624 20 0 BFADHN CC1=C(C)CN(CCOCC(C)C)CC1 ZINC000337473078 168085257 /nfs/dbraw/zinc/08/52/57/168085257.db2.gz QKTKIJVADZAHEK-UHFFFAOYSA-N 0 3 211.349 2.701 20 0 BFADHN CC1=C(c2ccco2)CN(CCC[C@H](C)O)CC1 ZINC000338350425 168089874 /nfs/dbraw/zinc/08/98/74/168089874.db2.gz PMCYBCCUOAMAQJ-ZDUSSCGKSA-N 0 3 249.354 2.920 20 0 BFADHN CC1=C(c2ccco2)CN(CCC[C@@H](C)O)CC1 ZINC000338350423 168090366 /nfs/dbraw/zinc/09/03/66/168090366.db2.gz PMCYBCCUOAMAQJ-CYBMUJFWSA-N 0 3 249.354 2.920 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN(C)Cc1cnn(C)c1 ZINC000191041461 168101797 /nfs/dbraw/zinc/10/17/97/168101797.db2.gz QPEVQCTWAMBDBR-DZGCQCFKSA-N 0 3 247.386 2.844 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CN(C)Cc1cnn(C)c1 ZINC000191041415 168101916 /nfs/dbraw/zinc/10/19/16/168101916.db2.gz QPEVQCTWAMBDBR-HIFRSBDPSA-N 0 3 247.386 2.844 20 0 BFADHN CC1=CCN(CCCO[C@H]2CCCCO2)CC1 ZINC000338283554 168110662 /nfs/dbraw/zinc/11/06/62/168110662.db2.gz HZAARVFVBAJVNV-AWEZNQCLSA-N 0 3 239.359 2.572 20 0 BFADHN CC1=CCN(CCc2ccc3c(c2)CCO3)CC1 ZINC000270334201 168113105 /nfs/dbraw/zinc/11/31/05/168113105.db2.gz MNNGRWRRSQTLAI-UHFFFAOYSA-N 0 3 243.350 2.816 20 0 BFADHN Cc1noc(C)c1CCN1CC(C)=C[C@@H](C)C1 ZINC000336549165 168122809 /nfs/dbraw/zinc/12/28/09/168122809.db2.gz IWSBCPPJMFWERR-SNVBAGLBSA-N 0 3 234.343 2.732 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN2CCOC[C@@H]2C)C1 ZINC000356097199 168129290 /nfs/dbraw/zinc/12/92/90/168129290.db2.gz ZUTJLJIIUNLDNC-UBHSHLNASA-N 0 3 223.360 2.700 20 0 BFADHN CC1CCC(CN2CCOC[C@H]2C2CC2)CC1 ZINC000353742376 168153858 /nfs/dbraw/zinc/15/38/58/168153858.db2.gz UZWNNAWDINCCQS-PIMMBPRGSA-N 0 3 237.387 2.924 20 0 BFADHN CC1CCC(NCc2cscn2)CC1 ZINC000040420548 168163658 /nfs/dbraw/zinc/16/36/58/168163658.db2.gz CANZIFUYLUXSFZ-UHFFFAOYSA-N 0 3 210.346 2.811 20 0 BFADHN CC1CCC(c2noc([C@H]3CCN(C)C3)n2)CC1 ZINC000336499111 168169849 /nfs/dbraw/zinc/16/98/49/168169849.db2.gz RQRQNQYYUKIDDE-MCIGGMRASA-N 0 3 249.358 2.782 20 0 BFADHN C[C@@H](NCCN1CCC(C)CC1)c1ccco1 ZINC000040771377 168180523 /nfs/dbraw/zinc/18/05/23/168180523.db2.gz MHOGQBPEPROIKO-CYBMUJFWSA-N 0 3 236.359 2.662 20 0 BFADHN CC(C)Oc1cccc(CN2CC(C)C2)c1 ZINC000338954280 168190997 /nfs/dbraw/zinc/19/09/97/168190997.db2.gz ORAVUPYQIQRGKK-UHFFFAOYSA-N 0 3 219.328 2.926 20 0 BFADHN CC1CN(Cc2cccc(OC(F)F)c2)C1 ZINC000338959908 168191329 /nfs/dbraw/zinc/19/13/29/168191329.db2.gz YKIWFCQCPWZGTM-UHFFFAOYSA-N 0 3 227.254 2.740 20 0 BFADHN CCC(=O)CCCN(C)CCc1cccs1 ZINC000232839276 168199188 /nfs/dbraw/zinc/19/91/88/168199188.db2.gz AFYIKWDARWSHTB-UHFFFAOYSA-N 0 3 239.384 2.982 20 0 BFADHN CCC(=O)CCCN(CC)Cc1ccccn1 ZINC000233433788 168199256 /nfs/dbraw/zinc/19/92/56/168199256.db2.gz KUTGIZYSUYXKPS-UHFFFAOYSA-N 0 3 234.343 2.663 20 0 BFADHN CCC(=O)CCN(C)C[C@@H](C)c1nccs1 ZINC000190332621 168200084 /nfs/dbraw/zinc/20/00/84/168200084.db2.gz UVBSGUPPTUHQAC-SNVBAGLBSA-N 0 3 240.372 2.548 20 0 BFADHN CCC(=O)CCN(C)[C@@H]1CCc2ccccc21 ZINC000190184845 168200950 /nfs/dbraw/zinc/20/09/50/168200950.db2.gz WQAQWKVDAVMSCI-OAHLLOKOSA-N 0 3 231.339 2.975 20 0 BFADHN CCC(=O)CCN(C)Cc1cccc(N(C)C)c1 ZINC000190304006 168201272 /nfs/dbraw/zinc/20/12/72/168201272.db2.gz JVRCHYXJVBHIBX-UHFFFAOYSA-N 0 3 248.370 2.554 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1cn(C)cn1 ZINC000659948608 411391232 /nfs/dbraw/zinc/39/12/32/411391232.db2.gz RELOHOWUBGISIH-CYBMUJFWSA-N 0 3 221.348 2.575 20 0 BFADHN CCC(C)(C)CCN1CCc2n[nH]cc2C1 ZINC000358884513 168276653 /nfs/dbraw/zinc/27/66/53/168276653.db2.gz HMBRIVBFVVATCW-UHFFFAOYSA-N 0 3 221.348 2.594 20 0 BFADHN CCC(C)(C)CCN1CCO[C@@H](C)[C@H]1C ZINC000361678914 168276658 /nfs/dbraw/zinc/27/66/58/168276658.db2.gz RVDXCDNZMWIOKV-NEPJUHHUSA-N 0 3 213.365 2.922 20 0 BFADHN CCC(C)(C)CNCc1cnc([C@@H](C)O)s1 ZINC000289717320 168282232 /nfs/dbraw/zinc/28/22/32/168282232.db2.gz OGEKJPSWTVPOFU-SECBINFHSA-N 0 3 242.388 2.722 20 0 BFADHN Cc1c[nH]c(CNCCCC2CCCC2)n1 ZINC000657299708 411455209 /nfs/dbraw/zinc/45/52/09/411455209.db2.gz YEMHFDFYTZPMQI-UHFFFAOYSA-N 0 3 221.348 2.778 20 0 BFADHN CCC(C)(C)NCc1cnn(-c2ccccc2)n1 ZINC000020245911 168299508 /nfs/dbraw/zinc/29/95/08/168299508.db2.gz UZXZWDWOQKJHDX-UHFFFAOYSA-N 0 3 244.342 2.546 20 0 BFADHN CCC(C)(CC)NC(=O)CN1[C@H](C)CC[C@H]1C ZINC000360226346 168307210 /nfs/dbraw/zinc/30/72/10/168307210.db2.gz WSIOEBRGFRVVMK-VXGBXAGGSA-N 0 3 240.391 2.554 20 0 BFADHN CCC(C)(CC)NC(=O)C[C@@H](N)c1ccccc1 ZINC000227601406 168307426 /nfs/dbraw/zinc/30/74/26/168307426.db2.gz RZADYLOAUYUTCQ-CYBMUJFWSA-N 0 3 248.370 2.771 20 0 BFADHN COCCCCNCc1ccc(F)c(F)c1F ZINC000657313465 411460392 /nfs/dbraw/zinc/46/03/92/411460392.db2.gz RPJUURBYAGAXCX-UHFFFAOYSA-N 0 3 247.260 2.620 20 0 BFADHN CC[C@H](C)[C@@H]1CCCCN1Cc1cn(C)cn1 ZINC000659949999 411392537 /nfs/dbraw/zinc/39/25/37/411392537.db2.gz BZTRZEDXSRAMDN-JSGCOSHPSA-N 0 3 235.375 2.821 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccc(C)s2)CO1 ZINC000657313892 411460870 /nfs/dbraw/zinc/46/08/70/411460870.db2.gz WOJCDGSKCUSYFK-WDEREUQCSA-N 0 3 225.357 2.714 20 0 BFADHN Cc1cc(CN2CCC[C@H]3CCC[C@H]32)nc(C)n1 ZINC000659954892 411398081 /nfs/dbraw/zinc/39/80/81/411398081.db2.gz DNGNBOOMELWZEF-UKRRQHHQSA-N 0 3 245.370 2.858 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1cc(OC)no1 ZINC000660306392 411398817 /nfs/dbraw/zinc/39/88/17/411398817.db2.gz PMURXLYZFKZJGO-CMPLNLGQSA-N 0 3 238.331 2.742 20 0 BFADHN NCc1cccc(NC(=O)[C@@H]2C[C@H]2C2CCC2)c1 ZINC000653043211 411401871 /nfs/dbraw/zinc/40/18/71/411401871.db2.gz HUDUGUMTCZHHJZ-UONOGXRCSA-N 0 3 244.338 2.520 20 0 BFADHN C[C@H]1CCCN1Cc1cnc2ccccc2n1 ZINC000659969670 411403503 /nfs/dbraw/zinc/40/35/03/411403503.db2.gz FUYIGSZWVAIZIG-NSHDSACASA-N 0 3 227.311 2.614 20 0 BFADHN CCCC(C)(C)C(=O)NC[C@@H](N)c1ccccc1 ZINC000653048919 411405219 /nfs/dbraw/zinc/40/52/19/411405219.db2.gz FSSZLTVLCJXVAK-CYBMUJFWSA-N 0 3 248.370 2.629 20 0 BFADHN CCCCC[C@H]1CCCCN1C(=O)[C@H](C)NC ZINC000659385983 411406589 /nfs/dbraw/zinc/40/65/89/411406589.db2.gz MAQSFPRCUYFXSW-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN CC[C@H]1C[C@@H](Nc2cccc(CN(C)C)c2)CO1 ZINC000657322203 411461765 /nfs/dbraw/zinc/46/17/65/411461765.db2.gz JBWAUIKCSJAUAZ-CABCVRRESA-N 0 3 248.370 2.728 20 0 BFADHN CC[C@H](NCc1ccoc1C)[C@H]1CCCO1 ZINC000414371024 411412632 /nfs/dbraw/zinc/41/26/32/411412632.db2.gz XSIWOEXFKOLNCS-QWHCGFSZSA-N 0 3 223.316 2.635 20 0 BFADHN CCc1ncc(CN2[C@H](C)CC[C@H]2CC)cn1 ZINC000414441186 411418177 /nfs/dbraw/zinc/41/81/77/411418177.db2.gz JDZNFDLMJHJCFN-DGCLKSJQSA-N 0 3 233.359 2.802 20 0 BFADHN CCc1ncc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)cn1 ZINC000414442814 411419173 /nfs/dbraw/zinc/41/91/73/411419173.db2.gz YNYURSRYDIWSGW-AGIUHOORSA-N 0 3 247.386 2.905 20 0 BFADHN Cc1ccc(F)cc1CN1CCC[C@@H]2COC[C@@H]21 ZINC000657215154 411419865 /nfs/dbraw/zinc/41/98/65/411419865.db2.gz LRMUAEPXCURYDW-DOMZBBRYSA-N 0 3 249.329 2.745 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ccn(C)n1)C(C)(C)C ZINC000657227261 411421367 /nfs/dbraw/zinc/42/13/67/411421367.db2.gz FRNQIHLTNIQQPB-QWRGUYRKSA-N 0 3 223.364 2.753 20 0 BFADHN C[C@@H](CC1CCC1)N[C@@H](C)c1ccn(C)n1 ZINC000657227307 411421380 /nfs/dbraw/zinc/42/13/80/411421380.db2.gz KEBBRIRQDXPVGP-QWRGUYRKSA-N 0 3 221.348 2.649 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN2CCO[C@H](CF)C2)C1 ZINC000414465869 411423019 /nfs/dbraw/zinc/42/30/19/411423019.db2.gz ADFQYDXLMPQFBM-IACUBPJLSA-N 0 3 241.350 2.649 20 0 BFADHN CC(C)c1nccn1Cc1ccc2cn[nH]c2c1 ZINC000657255182 411428603 /nfs/dbraw/zinc/42/86/03/411428603.db2.gz ISRCKLZGDKVZAS-UHFFFAOYSA-N 0 3 240.310 2.931 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H]1CCCc2cccnc21 ZINC000657241722 411428919 /nfs/dbraw/zinc/42/89/19/411428919.db2.gz JVWGKCXKBJMVJZ-RDBSUJKOSA-N 0 3 246.354 2.616 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1cc2ccccc2[nH]1 ZINC000657241760 411429244 /nfs/dbraw/zinc/42/92/44/411429244.db2.gz WTUYQJCBDAIMMJ-CABCVRRESA-N 0 3 244.338 2.825 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2cncc(C)c2)C1(C)C ZINC000271596256 411431897 /nfs/dbraw/zinc/43/18/97/411431897.db2.gz YYPNSKHIFNVSBV-UKRRQHHQSA-N 0 3 248.370 2.683 20 0 BFADHN CO[C@@H](C)CN1CC[C@@H](c2cccc(F)c2)C1 ZINC000272796760 411435233 /nfs/dbraw/zinc/43/52/33/411435233.db2.gz KGISFVFDSFASAO-WCQYABFASA-N 0 3 237.318 2.650 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@H](C)[C@@H]2C)cs1 ZINC000306175038 411437158 /nfs/dbraw/zinc/43/71/58/411437158.db2.gz MCFMBYXCSIICAQ-DKCNOQQISA-N 0 3 210.346 2.586 20 0 BFADHN CCc1nnc(SCCN(CC)CC)s1 ZINC000657272246 411438520 /nfs/dbraw/zinc/43/85/20/411438520.db2.gz PTWVCCOUWSGYBT-UHFFFAOYSA-N 0 3 245.417 2.534 20 0 BFADHN CC1(CNCc2cc3n(n2)CCCC3)CCCC1 ZINC000655734060 411442235 /nfs/dbraw/zinc/44/22/35/411442235.db2.gz YGWRUQLZCWXECZ-UHFFFAOYSA-N 0 3 247.386 2.889 20 0 BFADHN Cc1c[nH]c(CNCCC2=CCCCC2)n1 ZINC000657283994 411442871 /nfs/dbraw/zinc/44/28/71/411442871.db2.gz KKDZFMAGZJFRSR-UHFFFAOYSA-N 0 3 219.332 2.698 20 0 BFADHN c1cn2c(n1)[C@@H](NCC1(C3CC3)CCC1)CCC2 ZINC000655736729 411443768 /nfs/dbraw/zinc/44/37/68/411443768.db2.gz HGVHMNVNAIRKAB-ZDUSSCGKSA-N 0 3 245.370 2.888 20 0 BFADHN C[C@@H]1CCCC[C@@H]1NCc1cn(C2CCC2)nn1 ZINC000657285673 411444082 /nfs/dbraw/zinc/44/40/82/411444082.db2.gz MNKBQBOGZRLWSB-RISCZKNCSA-N 0 3 248.374 2.671 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CCC[C@H](C)[C@@H]2C)n1 ZINC000657285341 411444644 /nfs/dbraw/zinc/44/46/44/411444644.db2.gz DJBPAPMACNOCAL-ZMLRMANQSA-N 0 3 221.348 2.632 20 0 BFADHN c1cn2c(n1)[C@@H](N[C@H]1CC3CCC1CC3)CCC2 ZINC000655744890 411447167 /nfs/dbraw/zinc/44/71/67/411447167.db2.gz WLYGWOJJNDHLMV-HOAMVYINSA-N 0 3 245.370 2.886 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@H]1CC3CCC1CC3)CC2 ZINC000400089643 411448876 /nfs/dbraw/zinc/44/88/76/411448876.db2.gz WWWCCPNGXJPHFF-NWINJMCUSA-N 0 3 245.370 2.744 20 0 BFADHN c1cn2c(n1)[C@@H](NC[C@@H]1CC3CCC1CC3)CC2 ZINC000400089641 411448900 /nfs/dbraw/zinc/44/89/00/411448900.db2.gz WWWCCPNGXJPHFF-HOAMVYINSA-N 0 3 245.370 2.744 20 0 BFADHN C[C@H](NCc1cnn2c1CCC2)c1ccccc1 ZINC000657289310 411450228 /nfs/dbraw/zinc/45/02/28/411450228.db2.gz FUYACCTVULOKCI-LBPRGKRZSA-N 0 3 241.338 2.680 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccc(C)cc2)CO1 ZINC000657290638 411451310 /nfs/dbraw/zinc/45/13/10/411451310.db2.gz LOXWDMFXBXKKCM-ZIAGYGMSSA-N 0 3 219.328 2.652 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccc(Cl)cc2)CO1 ZINC000657291036 411451339 /nfs/dbraw/zinc/45/13/39/411451339.db2.gz RINPUOWRUBCFHB-CHWSQXEVSA-N 0 3 239.746 2.997 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2ccccc2)CO1 ZINC000657290731 411451780 /nfs/dbraw/zinc/45/17/80/411451780.db2.gz PVRXENAYTLZKCF-FPMFFAJLSA-N 0 3 219.328 2.905 20 0 BFADHN C[C@H](NCc1ccc(F)c(F)c1F)C1CC1 ZINC000657292984 411453081 /nfs/dbraw/zinc/45/30/81/411453081.db2.gz HMLQMZVIEXURIW-ZETCQYMHSA-N 0 3 229.245 2.992 20 0 BFADHN CC(C)CCCNCc1cn(C2CCC2)nn1 ZINC000657297965 411454660 /nfs/dbraw/zinc/45/46/60/411454660.db2.gz JWZPNPUVXPNUIB-UHFFFAOYSA-N 0 3 236.363 2.529 20 0 BFADHN CCOc1ccc(CN[C@H]2CO[C@H](CC)C2)cc1 ZINC000657329414 411467263 /nfs/dbraw/zinc/46/72/63/411467263.db2.gz QPVKOPLZEBQSMB-ZIAGYGMSSA-N 0 3 249.354 2.742 20 0 BFADHN CCOc1ccc(CN[C@H]2CO[C@@H](CC)C2)cc1 ZINC000657329412 411467431 /nfs/dbraw/zinc/46/74/31/411467431.db2.gz QPVKOPLZEBQSMB-KGLIPLIRSA-N 0 3 249.354 2.742 20 0 BFADHN COCC[C@@H](C)NCc1ccc(F)c(F)c1F ZINC000657341503 411468321 /nfs/dbraw/zinc/46/83/21/411468321.db2.gz CFJMHZWVJSVHGC-MRVPVSSYSA-N 0 3 247.260 2.619 20 0 BFADHN COc1cc(CN(C)[C@@H](C)C(C)(C)C)on1 ZINC000659835801 411469264 /nfs/dbraw/zinc/46/92/64/411469264.db2.gz ILHWCEBLTZBEEN-VIFPVBQESA-N 0 3 226.320 2.550 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cc(C)nc(C)n1 ZINC000659836417 411469615 /nfs/dbraw/zinc/46/96/15/411469615.db2.gz PJPSEWQPOOPJOX-LLVKDONJSA-N 0 3 221.348 2.714 20 0 BFADHN CCc1nocc1CN[C@@H]1CCC[C@H]1C ZINC000660409588 411471612 /nfs/dbraw/zinc/47/16/12/411471612.db2.gz GWDKFBVXBUQCPN-BXKDBHETSA-N 0 3 208.305 2.515 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CCC=C(C)C ZINC000659840133 411471691 /nfs/dbraw/zinc/47/16/91/411471691.db2.gz BANDPZVWBLHGGV-CHWSQXEVSA-N 0 3 211.349 2.842 20 0 BFADHN CCc1nocc1CN[C@@H]1CCC[C@@H]1C ZINC000660409590 411472774 /nfs/dbraw/zinc/47/27/74/411472774.db2.gz GWDKFBVXBUQCPN-JOYOIKCWSA-N 0 3 208.305 2.515 20 0 BFADHN COc1cc(CN(C)[C@@H]2CCC[C@@H](C)C2)on1 ZINC000659842381 411473419 /nfs/dbraw/zinc/47/34/19/411473419.db2.gz NDEGUFFITJLYBV-GHMZBOCLSA-N 0 3 238.331 2.694 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H]2CCc3ccc(F)cc32)CO1 ZINC000657353910 411473815 /nfs/dbraw/zinc/47/38/15/411473815.db2.gz LBPQKRVXKRXWOJ-GUTXKFCHSA-N 0 3 249.329 2.970 20 0 BFADHN c1cc(CNC2CCC(C3CCC3)CC2)n[nH]1 ZINC000657354886 411473924 /nfs/dbraw/zinc/47/39/24/411473924.db2.gz XPAHOKVBPPOHKB-UHFFFAOYSA-N 0 3 233.359 2.858 20 0 BFADHN CO[C@@H](CN1CCSCC1)C1CCCCC1 ZINC000659843346 411473965 /nfs/dbraw/zinc/47/39/65/411473965.db2.gz RKWJIFVBUFZWON-ZDUSSCGKSA-N 0 3 243.416 2.631 20 0 BFADHN Cc1c[nH]c(CNC2(C)CCC(C)CC2)n1 ZINC000657356458 411477979 /nfs/dbraw/zinc/47/79/79/411477979.db2.gz MJWYANWZACXAAF-UHFFFAOYSA-N 0 3 221.348 2.777 20 0 BFADHN CCCC(C)(C)NCc1cn(C2CCC2)nn1 ZINC000657357065 411478417 /nfs/dbraw/zinc/47/84/17/411478417.db2.gz VZANOVIPFMZSRK-UHFFFAOYSA-N 0 3 236.363 2.671 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CC[C@H](C)C[C@H]2C)n1 ZINC000657357657 411478959 /nfs/dbraw/zinc/47/89/59/411478959.db2.gz COJLLIOCQKDYDB-HOSYDEDBSA-N 0 3 221.348 2.632 20 0 BFADHN CC[C@@H]1C[C@@H](NC2(c3ccccc3)CC2)CO1 ZINC000657357839 411479261 /nfs/dbraw/zinc/47/92/61/411479261.db2.gz FTRUFCKXLAMWRW-ZIAGYGMSSA-N 0 3 231.339 2.833 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](COC)c2ccccc2)CO1 ZINC000657366980 411479347 /nfs/dbraw/zinc/47/93/47/411479347.db2.gz NOKPZMSATGPWOH-RRFJBIMHSA-N 0 3 249.354 2.531 20 0 BFADHN CCc1nc([C@H](C)N2CCC[C@@H](C)CC2)n[nH]1 ZINC000659858033 411479530 /nfs/dbraw/zinc/47/95/30/411479530.db2.gz RWFLELUDLBYOJT-MNOVXSKESA-N 0 3 236.363 2.550 20 0 BFADHN Cc1nc(CN2CCC[C@H]2C(C)C)c(C)o1 ZINC000659856914 411480042 /nfs/dbraw/zinc/48/00/42/411480042.db2.gz DSEHUVBGWUKBRK-ZDUSSCGKSA-N 0 3 222.332 2.912 20 0 BFADHN CCc1cccc2cc(CNCC[C@H](C)O)oc21 ZINC000657362156 411480425 /nfs/dbraw/zinc/48/04/25/411480425.db2.gz PTIBRQGIGADNJO-NSHDSACASA-N 0 3 247.338 2.856 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2CC(C)C)co1 ZINC000659863009 411481819 /nfs/dbraw/zinc/48/18/19/411481819.db2.gz FNBFMXBHPDZSQY-CYBMUJFWSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1c[nH]c(CNC[C@@H](C)c2ccc(C)cc2)n1 ZINC000657369137 411483685 /nfs/dbraw/zinc/48/36/85/411483685.db2.gz XGQMZPSFWKZINK-GFCCVEGCSA-N 0 3 243.354 2.920 20 0 BFADHN CC1(C)CCN(CCO[C@H]2CC2(F)F)CC1 ZINC000659870808 411483996 /nfs/dbraw/zinc/48/39/96/411483996.db2.gz HZFHLYDASPRLLT-JTQLQIEISA-N 0 3 233.302 2.533 20 0 BFADHN CCC(C)(C)N(C)Cc1cc(C)nc(C)n1 ZINC000660073669 411533373 /nfs/dbraw/zinc/53/33/73/411533373.db2.gz IWPCAMGBUPBWKF-UHFFFAOYSA-N 0 3 221.348 2.714 20 0 BFADHN CC[C@H]1C[C@@H](NC2(c3cccc(F)c3)CC2)CO1 ZINC000657371302 411484407 /nfs/dbraw/zinc/48/44/07/411484407.db2.gz ISUYUKCLPIGPQN-KGLIPLIRSA-N 0 3 249.329 2.972 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2nc(C)c[nH]2)C1 ZINC000657372550 411484447 /nfs/dbraw/zinc/48/44/47/411484447.db2.gz HKXZDHWFHIQISX-RYUDHWBXSA-N 0 3 221.348 2.777 20 0 BFADHN C[C@@H]1CC(NCc2cc3n(n2)CCC3)C[C@@H](C)C1 ZINC000657371701 411484910 /nfs/dbraw/zinc/48/49/10/411484910.db2.gz LTGZZTNUYYXQSJ-RYUDHWBXSA-N 0 3 247.386 2.744 20 0 BFADHN CCN(Cc1ccoc1)C[C@@H](OC)C1CCC1 ZINC000659871053 411484972 /nfs/dbraw/zinc/48/49/72/411484972.db2.gz MBOUCSGYPAKJBK-CQSZACIVSA-N 0 3 237.343 2.917 20 0 BFADHN CC[C@H]1C[C@H](NC2(c3cccc(F)c3)CC2)CO1 ZINC000657371300 411485111 /nfs/dbraw/zinc/48/51/11/411485111.db2.gz ISUYUKCLPIGPQN-KBPBESRZSA-N 0 3 249.329 2.972 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CC[C@@H]3CCC[C@H]3C2)n1 ZINC000657377050 411486125 /nfs/dbraw/zinc/48/61/25/411486125.db2.gz QXUCOOAYRQKXFG-RWMBFGLXSA-N 0 3 233.359 2.777 20 0 BFADHN COCC(C)(C)NCc1cccc(SC)c1 ZINC000659876087 411487237 /nfs/dbraw/zinc/48/72/37/411487237.db2.gz WPGZKNRDSPOUQL-UHFFFAOYSA-N 0 3 239.384 2.923 20 0 BFADHN c1c(CN2CCC[C@@H]2C2CC2)onc1C1CC1 ZINC000659878296 411488318 /nfs/dbraw/zinc/48/83/18/411488318.db2.gz NKAQSLQIXJRKAI-CQSZACIVSA-N 0 3 232.327 2.926 20 0 BFADHN Cc1c[nH]c(CNC[C@H](C)Cc2cccs2)n1 ZINC000657381511 411488458 /nfs/dbraw/zinc/48/84/58/411488458.db2.gz PZJKBKCBVKDHHP-SNVBAGLBSA-N 0 3 249.383 2.748 20 0 BFADHN CC1(C)CCC[C@H]1NCc1cn(C2CCC2)nn1 ZINC000657388686 411490160 /nfs/dbraw/zinc/49/01/60/411490160.db2.gz OMAGSFGRHCSDIH-CYBMUJFWSA-N 0 3 248.374 2.671 20 0 BFADHN CC(C)n1ccnc1CNCC1(C)CCC1 ZINC000657388150 411490481 /nfs/dbraw/zinc/49/04/81/411490481.db2.gz GAGVNBDXKKXAHZ-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN CC(C)C1(CNCc2ccnn2CC2CC2)CC1 ZINC000657390942 411491437 /nfs/dbraw/zinc/49/14/37/411491437.db2.gz FREFHCKJNIPHTF-UHFFFAOYSA-N 0 3 247.386 2.819 20 0 BFADHN CCC1(CNCc2cn(C3CCC3)nn2)CCC1 ZINC000657391140 411491452 /nfs/dbraw/zinc/49/14/52/411491452.db2.gz HABOAWHKXNWMQV-UHFFFAOYSA-N 0 3 248.374 2.673 20 0 BFADHN Cc1c[nH]c(CNCC(C)(C)CC(C)C)n1 ZINC000657391662 411492766 /nfs/dbraw/zinc/49/27/66/411492766.db2.gz WBHAIZBNOZFNRX-UHFFFAOYSA-N 0 3 223.364 2.880 20 0 BFADHN C[C@@]1(NCc2cn(C3CCC3)nn2)CC=CCC1 ZINC000657490526 411533911 /nfs/dbraw/zinc/53/39/11/411533911.db2.gz MKTQBJBQMSNTKR-CQSZACIVSA-N 0 3 246.358 2.592 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccccn1)c1ccco1 ZINC000655839728 411533949 /nfs/dbraw/zinc/53/39/49/411533949.db2.gz YYRWRBJEBWXZLZ-NWDGAFQWSA-N 0 3 230.311 2.956 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H]2COc3ccc(C)cc32)CO1 ZINC000657401432 411496312 /nfs/dbraw/zinc/49/63/12/411496312.db2.gz VSTAXBJIVZSKRX-BZPMIXESSA-N 0 3 247.338 2.586 20 0 BFADHN CCc1cccc2cc(CN[C@@H]3CCOC3)oc21 ZINC000657415087 411499670 /nfs/dbraw/zinc/49/96/70/411499670.db2.gz GDFDZQAECZHFPT-CYBMUJFWSA-N 0 3 245.322 2.874 20 0 BFADHN CCc1cccc2cc(CN[C@H]3CCOC3)oc21 ZINC000657415088 411500318 /nfs/dbraw/zinc/50/03/18/411500318.db2.gz GDFDZQAECZHFPT-ZDUSSCGKSA-N 0 3 245.322 2.874 20 0 BFADHN Cc1cccc2[nH]c(CN[C@]3(C)CCOC3)cc21 ZINC000657417790 411501301 /nfs/dbraw/zinc/50/13/01/411501301.db2.gz XOPBNIVGHJQCTH-OAHLLOKOSA-N 0 3 244.338 2.745 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCOC[C@@H]1CC ZINC000659935136 411503668 /nfs/dbraw/zinc/50/36/68/411503668.db2.gz VLHMVTUTHXEAQM-QWRGUYRKSA-N 0 3 235.318 2.779 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cc3n(n2)CCC3)C[C@@H]1C ZINC000657434219 411505612 /nfs/dbraw/zinc/50/56/12/411505612.db2.gz ARAJSHFQYFGRPR-AGIUHOORSA-N 0 3 247.386 2.744 20 0 BFADHN Cc1nc(CN2C[C@H](C)CCC[C@H]2C)co1 ZINC000659951008 411508424 /nfs/dbraw/zinc/50/84/24/411508424.db2.gz BQJJYIJNSONQAC-GHMZBOCLSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@]1(CO)CCCN(C/C=C(\C)Cl)C1 ZINC000528461419 411508955 /nfs/dbraw/zinc/50/89/55/411508955.db2.gz APOXNLIKSMSGMA-JXDHDYMSSA-N 0 3 231.767 2.614 20 0 BFADHN CC[C@]1(CO)CCCN(C/C=C(\C)Cl)C1 ZINC000528461416 411509035 /nfs/dbraw/zinc/50/90/35/411509035.db2.gz APOXNLIKSMSGMA-JVOXIWMLSA-N 0 3 231.767 2.614 20 0 BFADHN CC(C)=CCNCc1c(C2CCC2)cnn1C ZINC000657448884 411510771 /nfs/dbraw/zinc/51/07/71/411510771.db2.gz WUPSBMYONNGNFA-UHFFFAOYSA-N 0 3 233.359 2.743 20 0 BFADHN Cc1c[nH]c(CN[C@H]2CCC[C@H](C)CC2)n1 ZINC000657441744 411511779 /nfs/dbraw/zinc/51/17/79/411511779.db2.gz HBOLCQOZGJUZNP-JQWIXIFHSA-N 0 3 221.348 2.777 20 0 BFADHN CC[C@H]1C[C@@H](NCc2cscc2C)CO1 ZINC000657451234 411511900 /nfs/dbraw/zinc/51/19/00/411511900.db2.gz LKOYMIRHLPWACG-NEPJUHHUSA-N 0 3 225.357 2.714 20 0 BFADHN CN(CCc1cccs1)[C@H]1COC(C)(C)C1 ZINC000659958764 411512044 /nfs/dbraw/zinc/51/20/44/411512044.db2.gz GUYKANNBIWLQNH-LLVKDONJSA-N 0 3 239.384 2.790 20 0 BFADHN CC(C)C1CCN(CCO[C@@H]2CC2(F)F)CC1 ZINC000659957156 411512318 /nfs/dbraw/zinc/51/23/18/411512318.db2.gz PXVZGKCUDNDHQY-GFCCVEGCSA-N 0 3 247.329 2.779 20 0 BFADHN CC(C)C1CC(NCc2c(C3CC3)cnn2C)C1 ZINC000657448376 411513655 /nfs/dbraw/zinc/51/36/55/411513655.db2.gz WKOBJGZVVSZGHY-UHFFFAOYSA-N 0 3 247.386 2.822 20 0 BFADHN C[C@H]1CN(Cc2cnc3ccccc3n2)C[C@@H]1C ZINC000659971670 411513960 /nfs/dbraw/zinc/51/39/60/411513960.db2.gz OWKNTOPVMYEEGV-RYUDHWBXSA-N 0 3 241.338 2.718 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2cccc3cc[nH]c32)CO1 ZINC000657447130 411514002 /nfs/dbraw/zinc/51/40/02/411514002.db2.gz PMBHDOWIJVQWQO-ZIAGYGMSSA-N 0 3 244.338 2.825 20 0 BFADHN CO[C@@H](C)CNCc1cc2ccc(C)cc2[nH]1 ZINC000657447821 411514030 /nfs/dbraw/zinc/51/40/30/411514030.db2.gz TZFKVZZWGGIBBA-NSHDSACASA-N 0 3 232.327 2.601 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CCC[C@@H](C)[C@H]2C)n1 ZINC000657455119 411516148 /nfs/dbraw/zinc/51/61/48/411516148.db2.gz PLTNYUKTJIIAGA-RTXFEEFZSA-N 0 3 235.375 2.880 20 0 BFADHN COC[C@H](NCc1cccc(C)c1OC)C1CC1 ZINC000655810993 411516316 /nfs/dbraw/zinc/51/63/16/411516316.db2.gz PWKPZRAORNDTPJ-AWEZNQCLSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CCC[C@H](C)[C@@H]2C)n1 ZINC000657455117 411516559 /nfs/dbraw/zinc/51/65/59/411516559.db2.gz PLTNYUKTJIIAGA-DRZSPHRISA-N 0 3 235.375 2.880 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1cn(C2CCC2)nn1 ZINC000657455871 411516679 /nfs/dbraw/zinc/51/66/79/411516679.db2.gz NBNBCWGQRWHZAT-NEPJUHHUSA-N 0 3 248.374 2.529 20 0 BFADHN Cn1ncc(C2CC2)c1CN[C@H]1CCC1(C)C ZINC000657465170 411518793 /nfs/dbraw/zinc/51/87/93/411518793.db2.gz HNOVTAYJTHEAFG-ZDUSSCGKSA-N 0 3 233.359 2.576 20 0 BFADHN C[C@H]1CCN(Cc2cnc3ccccc3n2)[C@H]1C ZINC000659984857 411519364 /nfs/dbraw/zinc/51/93/64/411519364.db2.gz WNAVWOURSFMRNW-RYUDHWBXSA-N 0 3 241.338 2.860 20 0 BFADHN FCCCCNCc1ccc(F)c(F)c1F ZINC000657468888 411521962 /nfs/dbraw/zinc/52/19/62/411521962.db2.gz AAFVWLXTDHHDIB-UHFFFAOYSA-N 0 3 235.224 2.943 20 0 BFADHN CCOC[C@H](C)NCc1cccc(C)c1OC ZINC000655809910 411522611 /nfs/dbraw/zinc/52/26/11/411522611.db2.gz FTMYJTVSCRKXAI-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN c1cc(CN[C@@H](C2CC2)[C@H]2CCCCO2)ccn1 ZINC000655810447 411523181 /nfs/dbraw/zinc/52/31/81/411523181.db2.gz MAVRVMBYJVCRRF-CABCVRRESA-N 0 3 246.354 2.519 20 0 BFADHN CC1(NCc2cn3ccccc3n2)CC=CC1 ZINC000655814656 411523428 /nfs/dbraw/zinc/52/34/28/411523428.db2.gz OIZBELOINDRAQA-UHFFFAOYSA-N 0 3 227.311 2.533 20 0 BFADHN CC(C)n1nccc1CNC1(C)CC=CC1 ZINC000655815639 411524591 /nfs/dbraw/zinc/52/45/91/411524591.db2.gz DAMSWURFNFEQLS-UHFFFAOYSA-N 0 3 219.332 2.662 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2CCCC2(C)C)n1 ZINC000657476321 411525240 /nfs/dbraw/zinc/52/52/40/411525240.db2.gz NFDGLZZRVWEMHC-LLVKDONJSA-N 0 3 221.348 2.634 20 0 BFADHN CC(C)(C)C1CC(NCc2cc3n(n2)CCC3)C1 ZINC000657475113 411525254 /nfs/dbraw/zinc/52/52/54/411525254.db2.gz JRXHYXYQYQKBIC-UHFFFAOYSA-N 0 3 247.386 2.744 20 0 BFADHN CCc1nocc1CN1[C@H](C)CC[C@H]1C ZINC000660000079 411525261 /nfs/dbraw/zinc/52/52/61/411525261.db2.gz MGYOPUMZTBMDBH-NXEZZACHSA-N 0 3 208.305 2.610 20 0 BFADHN CCC[C@H]1CCCN(CCO[C@@H]2CC2(F)F)C1 ZINC000660000105 411525277 /nfs/dbraw/zinc/52/52/77/411525277.db2.gz NCLULQLBTWUHAT-NWDGAFQWSA-N 0 3 247.329 2.923 20 0 BFADHN CCc1ccc([C@@H](C)NCC2(COC)CC2)o1 ZINC000655819238 411526012 /nfs/dbraw/zinc/52/60/12/411526012.db2.gz XPUVKBXDQMCHEZ-LLVKDONJSA-N 0 3 237.343 2.919 20 0 BFADHN CC[C@@H]1C[C@H](NCc2cc(C)cs2)CO1 ZINC000657481898 411527029 /nfs/dbraw/zinc/52/70/29/411527029.db2.gz ZRTBNQHXAGFJMR-WDEREUQCSA-N 0 3 225.357 2.714 20 0 BFADHN O[C@H]1C[C@@H](NCc2cccc(C3CCCC3)c2)C1 ZINC000657483786 411529093 /nfs/dbraw/zinc/52/90/93/411529093.db2.gz CDCVFQUDJOVUAR-IYBDPMFKSA-N 0 3 245.366 2.957 20 0 BFADHN CC(C)(NCc1cn(C2CCC2)nn1)C1CCC1 ZINC000657482184 411531592 /nfs/dbraw/zinc/53/15/92/411531592.db2.gz SGXHUKQJEZOMNN-UHFFFAOYSA-N 0 3 248.374 2.671 20 0 BFADHN C[C@@H](CC(C)(C)C)NCc1cc2n(n1)CCC2 ZINC000657488508 411531889 /nfs/dbraw/zinc/53/18/89/411531889.db2.gz QNBOVPBDFZPORH-NSHDSACASA-N 0 3 235.375 2.744 20 0 BFADHN Cn1ncc(C2CCC2)c1CN[C@@H]1CC1(C)C ZINC000657327438 411465894 /nfs/dbraw/zinc/46/58/94/411465894.db2.gz WJYUNQPCNNZPKH-CYBMUJFWSA-N 0 3 233.359 2.576 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CO[C@@H](CC)C1 ZINC000657327528 411466011 /nfs/dbraw/zinc/46/60/11/411466011.db2.gz XWSBJWXRRRLCLX-KBPBESRZSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@H](CO)NCc1cccc(C2CCCC2)c1 ZINC000657327891 411466249 /nfs/dbraw/zinc/46/62/49/411466249.db2.gz ZIBZTANYEOWULQ-GFCCVEGCSA-N 0 3 233.355 2.815 20 0 BFADHN CC[C@@H](NCc1ccco1)[C@@H]1CCCCO1 ZINC000655856652 411538790 /nfs/dbraw/zinc/53/87/90/411538790.db2.gz PYVGTCXBHDCCRA-OLZOCXBDSA-N 0 3 223.316 2.717 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCN3CCCC[C@H]23)o1 ZINC000655855916 411538877 /nfs/dbraw/zinc/53/88/77/411538877.db2.gz IYDLNOGPAYPBJS-HUUCEWRRSA-N 0 3 248.370 2.695 20 0 BFADHN Cn1ncc(C2CC2)c1CNC1CC2(CCC2)C1 ZINC000657503421 411538931 /nfs/dbraw/zinc/53/89/31/411538931.db2.gz IJWZMJNJWYPRQH-UHFFFAOYSA-N 0 3 245.370 2.720 20 0 BFADHN CCN1CC[C@H](Oc2ccc(Cl)cc2)C1 ZINC000339661351 170021779 /nfs/dbraw/zinc/02/17/79/170021779.db2.gz UXWOXFHUTOUSOW-LBPRGKRZSA-N 0 3 225.719 2.813 20 0 BFADHN CC[C@H](NCc1ccco1)[C@H]1CCCCO1 ZINC000655856653 411539288 /nfs/dbraw/zinc/53/92/88/411539288.db2.gz PYVGTCXBHDCCRA-QWHCGFSZSA-N 0 3 223.316 2.717 20 0 BFADHN CCN1C[C@@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000306305011 170028332 /nfs/dbraw/zinc/02/83/32/170028332.db2.gz RNALYXYCHYFGGG-ZIAGYGMSSA-N 0 3 232.371 2.601 20 0 BFADHN CC1(C)C[C@@H](NC/C=C\c2ccc(F)cc2)CO1 ZINC000657531763 411544542 /nfs/dbraw/zinc/54/45/42/411544542.db2.gz YGNIYZQPOKGQTI-VQTKUKTRSA-N 0 3 249.329 2.996 20 0 BFADHN CCN1C[C@H](C)N(Cc2cccs2)C[C@H]1C ZINC000353804099 170034090 /nfs/dbraw/zinc/03/40/90/170034090.db2.gz MHXYIUSTECNTFB-NEPJUHHUSA-N 0 3 238.400 2.663 20 0 BFADHN COc1cc(CN2CC[C@@H](C)C[C@H](C)C2)on1 ZINC000660122840 411540482 /nfs/dbraw/zinc/54/04/82/411540482.db2.gz ZKDAGZLECLWBDG-MNOVXSKESA-N 0 3 238.331 2.551 20 0 BFADHN CN(CCC1CCC1)Cc1cccnc1 ZINC000660125469 411541073 /nfs/dbraw/zinc/54/10/73/411541073.db2.gz IYYLRJVZLZVROA-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CCC[C@H]1CN(C[C@@H]2C[C@H]3C[C@H]3C2)CCO1 ZINC000660134386 411543201 /nfs/dbraw/zinc/54/32/01/411543201.db2.gz YURMIBAWZOHSDI-CRWXNKLISA-N 0 3 223.360 2.533 20 0 BFADHN CO[C@H](CN(C)[C@@H](C)c1ccccn1)C1CCC1 ZINC000660139717 411544172 /nfs/dbraw/zinc/54/41/72/411544172.db2.gz DVZKRDSHSVGEFE-SWLSCSKDSA-N 0 3 248.370 2.890 20 0 BFADHN CCNC(=O)[C@@H](C)N[C@@H](CC)c1cccc(C)c1 ZINC000285470829 170088603 /nfs/dbraw/zinc/08/86/03/170088603.db2.gz AWBWJQMDKKUUOT-OCCSQVGLSA-N 0 3 248.370 2.560 20 0 BFADHN CCCn1nccc1CNC1(C2CCC2)CC1 ZINC000657499797 411536549 /nfs/dbraw/zinc/53/65/49/411536549.db2.gz DQLJRMHDXOGFPH-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN Cc1ccc2cc(CN[C@@H]3CO[C@H](C)C3)[nH]c2c1 ZINC000657500213 411537045 /nfs/dbraw/zinc/53/70/45/411537045.db2.gz LRJIBCMPDIROSC-RISCZKNCSA-N 0 3 244.338 2.743 20 0 BFADHN CC[C@@H](NCc1cccc(O)c1)[C@@H]1CCCCO1 ZINC000655855027 411537943 /nfs/dbraw/zinc/53/79/43/411537943.db2.gz AHYJASIYNLCAKB-CABCVRRESA-N 0 3 249.354 2.830 20 0 BFADHN C[C@H]1C[C@H](NCc2cc3ccncc3s2)CO1 ZINC000657501318 411538100 /nfs/dbraw/zinc/53/81/00/411538100.db2.gz XBCVSJWWPRCHMK-ONGXEEELSA-N 0 3 248.351 2.563 20 0 BFADHN C[C@H](N[C@H](CO)C1CCC1)c1ccccc1F ZINC000657559002 411550895 /nfs/dbraw/zinc/55/08/95/411550895.db2.gz JLTKSLKNADGNTN-IINYFYTJSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1cc(F)ccc1C[C@H](C)NCc1cc[nH]n1 ZINC000657559978 411551330 /nfs/dbraw/zinc/55/13/30/411551330.db2.gz VBDLPBYUJGBSMX-NSHDSACASA-N 0 3 247.317 2.578 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cc(OC)ns1 ZINC000660169624 411551677 /nfs/dbraw/zinc/55/16/77/411551677.db2.gz PMFSDPSAUMQKDS-NXEZZACHSA-N 0 3 240.372 2.915 20 0 BFADHN COc1ccc(CN[C@@]23C[C@@H]2CCC3)c(F)c1 ZINC000657550571 411551685 /nfs/dbraw/zinc/55/16/85/411551685.db2.gz DEFMOLGYOJMMHE-FZMZJTMJSA-N 0 3 235.302 2.867 20 0 BFADHN CC[C@@H](COCC1CC1)NCc1ccco1 ZINC000657562660 411552092 /nfs/dbraw/zinc/55/20/92/411552092.db2.gz VYCQVCQZXRXGOX-LBPRGKRZSA-N 0 3 223.316 2.574 20 0 BFADHN CCNCc1nc(-c2cccs2)cs1 ZINC000053294456 170130370 /nfs/dbraw/zinc/13/03/70/170130370.db2.gz QMKSNEQLSHWMCK-UHFFFAOYSA-N 0 3 224.354 2.981 20 0 BFADHN C[C@H]1C[C@@H](NC[C@H]2CCCC[C@H]2C)c2ncnn21 ZINC000657569318 411553646 /nfs/dbraw/zinc/55/36/46/411553646.db2.gz MDKMAAIJXRVOCT-YVECIDJPSA-N 0 3 248.374 2.700 20 0 BFADHN C[C@H]1C[C@@H](NC[C@H]2CCC[C@@H](C)C2)c2ncnn21 ZINC000657569742 411553650 /nfs/dbraw/zinc/55/36/50/411553650.db2.gz OVTYXNFRSMPENB-MROQNXINSA-N 0 3 248.374 2.700 20 0 BFADHN CCNCc1ncc(-c2ccccc2)s1 ZINC000045206023 170132118 /nfs/dbraw/zinc/13/21/18/170132118.db2.gz CSFICYBMCKUDID-UHFFFAOYSA-N 0 3 218.325 2.920 20 0 BFADHN CCc1ccc(C)nc1NCc1[nH]ncc1C ZINC000657580035 411554132 /nfs/dbraw/zinc/55/41/32/411554132.db2.gz TWLLSNIVGUVRAG-UHFFFAOYSA-N 0 3 230.315 2.596 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1nc(C)c[nH]1 ZINC000657580608 411554518 /nfs/dbraw/zinc/55/45/18/411554518.db2.gz YBFKGGVGNHMBRN-JTQLQIEISA-N 0 3 209.337 2.632 20 0 BFADHN CCN[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000043392486 170141288 /nfs/dbraw/zinc/14/12/88/170141288.db2.gz GMKHWOJQADVNIK-LURJTMIESA-N 0 3 203.207 2.774 20 0 BFADHN CCN[C@@H](C)c1cn(C(C)(C)C)nc1C ZINC000166463122 170141496 /nfs/dbraw/zinc/14/14/96/170141496.db2.gz DGGJRQCDOKDQMX-VIFPVBQESA-N 0 3 209.337 2.617 20 0 BFADHN CC(C)[C@@H]1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)CCO1 ZINC000660220148 411555422 /nfs/dbraw/zinc/55/54/22/411555422.db2.gz HWHOWJUEYHDUST-KBXIAJHMSA-N 0 3 237.387 2.779 20 0 BFADHN c1ncc(CN2CCC3(CC3)CC2)s1 ZINC000660144658 411545120 /nfs/dbraw/zinc/54/51/20/411545120.db2.gz FVFHRHSLIZWNDS-UHFFFAOYSA-N 0 3 208.330 2.519 20 0 BFADHN CCN[C@H](C)c1cc(Br)ncc1F ZINC000273865207 170159201 /nfs/dbraw/zinc/15/92/01/170159201.db2.gz NFKALXBTLANPMQ-ZCFIWIBFSA-N 0 3 247.111 2.654 20 0 BFADHN CCN[C@H](C)c1cnn(Cc2ccccc2)c1 ZINC000054721129 170159802 /nfs/dbraw/zinc/15/98/02/170159802.db2.gz VBCLIDXYHULDDW-GFCCVEGCSA-N 0 3 229.327 2.602 20 0 BFADHN CCN[C@H](C)c1nc2ccccc2s1 ZINC000019488932 170159996 /nfs/dbraw/zinc/15/99/96/170159996.db2.gz RGEGHCWYXOHVGR-MRVPVSSYSA-N 0 3 206.314 2.967 20 0 BFADHN C[C@@H]1C[C@@H](O)CN1Cc1cccc(C(C)(C)C)c1 ZINC000660148338 411546372 /nfs/dbraw/zinc/54/63/72/411546372.db2.gz KPVXTRYXWLWSRH-IUODEOHRSA-N 0 3 247.382 2.939 20 0 BFADHN CC[C@@H](Cc1ccc(F)cc1)NCc1cc[nH]n1 ZINC000657548014 411546466 /nfs/dbraw/zinc/54/64/66/411546466.db2.gz OSYXBTNEMQEHKK-ZDUSSCGKSA-N 0 3 247.317 2.660 20 0 BFADHN CC[C@H](C)CNCc1cnc(C(F)(F)F)nc1 ZINC000657549247 411546656 /nfs/dbraw/zinc/54/66/56/411546656.db2.gz CSPOVMQBUMCZLV-QMMMGPOBSA-N 0 3 247.264 2.631 20 0 BFADHN Cn1c2ccccc2nc1CN[C@@]12C[C@@H]1CCC2 ZINC000657549131 411546774 /nfs/dbraw/zinc/54/67/74/411546774.db2.gz AXNAWKNCBWQTAI-NHYWBVRUSA-N 0 3 241.338 2.606 20 0 BFADHN CCc1cnc(CN[C@@]23C[C@@H]2CCC3)s1 ZINC000657549419 411546797 /nfs/dbraw/zinc/54/67/97/411546797.db2.gz DERIFVNSULDKDX-CABZTGNLSA-N 0 3 222.357 2.738 20 0 BFADHN CC[C@H](COCC1CC1)NCc1ccncc1C ZINC000657561156 411547739 /nfs/dbraw/zinc/54/77/39/411547739.db2.gz GABZZUITWRVRBP-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN CC(C)Cn1nccc1CN[C@@]12C[C@@H]1CCC2 ZINC000657554463 411549310 /nfs/dbraw/zinc/54/93/10/411549310.db2.gz ZMIRCPQXZZCPJL-JSGCOSHPSA-N 0 3 233.359 2.571 20 0 BFADHN CC[C@H](COCC1CC1)NCc1occc1C ZINC000657560984 411549407 /nfs/dbraw/zinc/54/94/07/411549407.db2.gz FCIBCEAUXOBLHT-CYBMUJFWSA-N 0 3 237.343 2.883 20 0 BFADHN CCOC(=O)CCN(CC)Cc1cccs1 ZINC000042209456 170204595 /nfs/dbraw/zinc/20/45/95/170204595.db2.gz HTZYFABJYOJTHU-UHFFFAOYSA-N 0 3 241.356 2.523 20 0 BFADHN CCOC(=O)CCN1C[C@H](C)[C@@H]1c1ccccc1 ZINC000177827442 170207424 /nfs/dbraw/zinc/20/74/24/170207424.db2.gz GBYWSKFOCHXNBG-SWLSCSKDSA-N 0 3 247.338 2.633 20 0 BFADHN Fc1ccc(CN[C@H]2COC3(CCC3)C2)cc1 ZINC000657629904 411566228 /nfs/dbraw/zinc/56/62/28/411566228.db2.gz OTVHGTDYMHSAII-CYBMUJFWSA-N 0 3 235.302 2.627 20 0 BFADHN CCOC(=O)CCNC(C)(C)c1ccccc1C ZINC000293064940 170209085 /nfs/dbraw/zinc/20/90/85/170209085.db2.gz UYMFNUBXAYRBDW-UHFFFAOYSA-N 0 3 249.354 2.773 20 0 BFADHN CCOC(=O)CCN[C@@H](C)c1cccc(C)c1 ZINC000045688166 170210046 /nfs/dbraw/zinc/21/00/46/170210046.db2.gz XMIIOGVTOKONSH-LBPRGKRZSA-N 0 3 235.327 2.599 20 0 BFADHN CCOC(=O)CCN[C@@H](C)c1cc(C)oc1C ZINC000044730353 170210193 /nfs/dbraw/zinc/21/01/93/170210193.db2.gz PMKDRKMFRWMDPT-JTQLQIEISA-N 0 3 239.315 2.500 20 0 BFADHN COc1cc(CN2CCCC[C@H](C)C2)sn1 ZINC000660309682 411566502 /nfs/dbraw/zinc/56/65/02/411566502.db2.gz IDGUQYWOEVUXCX-JTQLQIEISA-N 0 3 240.372 2.774 20 0 BFADHN COc1cc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)on1 ZINC000660304616 411566801 /nfs/dbraw/zinc/56/68/01/411566801.db2.gz FZXLQISGBZNIAX-CKYFFXLPSA-N 0 3 238.331 2.598 20 0 BFADHN Cc1nc(CN2CCCC[C@H](C)C2)c(C)o1 ZINC000660310358 411567050 /nfs/dbraw/zinc/56/70/50/411567050.db2.gz LQCKIGCLVBLFIJ-JTQLQIEISA-N 0 3 222.332 2.913 20 0 BFADHN Cc1cc(CN[C@@H]2COC3(CCC3)C2)ccc1F ZINC000657630590 411567252 /nfs/dbraw/zinc/56/72/52/411567252.db2.gz KCOABQBLXZXRBZ-ZDUSSCGKSA-N 0 3 249.329 2.935 20 0 BFADHN Cc1cc(CN2CCCC[C@H](C)C2)nc(C)n1 ZINC000660311441 411567683 /nfs/dbraw/zinc/56/76/83/411567683.db2.gz VZVFHGIQRFAFEY-NSHDSACASA-N 0 3 233.359 2.715 20 0 BFADHN CC(C)=CCCN[C@@H](CO)c1cccc(F)c1 ZINC000660235503 411556971 /nfs/dbraw/zinc/55/69/71/411556971.db2.gz GKWSUGHASIAMEF-AWEZNQCLSA-N 0 3 237.318 2.805 20 0 BFADHN CC[C@H](C)CCNCc1cnccc1N(C)C ZINC000657586112 411557230 /nfs/dbraw/zinc/55/72/30/411557230.db2.gz HRETUAKJWFJYQO-LBPRGKRZSA-N 0 3 235.375 2.673 20 0 BFADHN CC[C@H](C)CCNCc1cn(C)nc1C(F)F ZINC000657587388 411557914 /nfs/dbraw/zinc/55/79/14/411557914.db2.gz UHPVUMIKSHZGAU-VIFPVBQESA-N 0 3 245.317 2.884 20 0 BFADHN COc1cccc(OC)c1CN[C@H](C)[C@@H]1C[C@H]1C ZINC000657587245 411557935 /nfs/dbraw/zinc/55/79/35/411557935.db2.gz VAEDZIDAODCQNE-IJLUTSLNSA-N 0 3 249.354 2.838 20 0 BFADHN CC[C@@H](C)CCNCc1cn(C)nc1C(F)F ZINC000657587387 411557985 /nfs/dbraw/zinc/55/79/85/411557985.db2.gz UHPVUMIKSHZGAU-SECBINFHSA-N 0 3 245.317 2.884 20 0 BFADHN Cc1ccc(CNCCc2cnccc2C)o1 ZINC000657587663 411558000 /nfs/dbraw/zinc/55/80/00/411558000.db2.gz GTOPOGFUYLOGAI-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N1CCC(C)CC1 ZINC000360003463 170250061 /nfs/dbraw/zinc/25/00/61/170250061.db2.gz JBTNQUXOSXYPDZ-CYBMUJFWSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1nc(CN2CC[C@H](C(C)(C)C)C2)co1 ZINC000660250737 411560098 /nfs/dbraw/zinc/56/00/98/411560098.db2.gz CQNCYIVVJLKQCI-NSHDSACASA-N 0 3 222.332 2.851 20 0 BFADHN OC/C=C\CNCc1ccc(C2CCC2)cc1 ZINC000657595106 411560454 /nfs/dbraw/zinc/56/04/54/411560454.db2.gz XMBRLNNVLYRJEO-UPHRSURJSA-N 0 3 231.339 2.592 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N(C)CC1CCC1 ZINC000360027387 170270658 /nfs/dbraw/zinc/27/06/58/170270658.db2.gz IWEMMKMEYIUJOZ-ZDUSSCGKSA-N 0 3 241.375 2.696 20 0 BFADHN CCO[C@H]1C[C@H](NCc2csc(C)c2)C1 ZINC000657610524 411562458 /nfs/dbraw/zinc/56/24/58/411562458.db2.gz CNDMCHSOUIINQH-HAQNSBGRSA-N 0 3 225.357 2.714 20 0 BFADHN COC[C@H](NCC=C(C)C)c1cccc(OC)c1 ZINC000657612515 411563043 /nfs/dbraw/zinc/56/30/43/411563043.db2.gz MVHHFITULQXAPQ-HNNXBMFYSA-N 0 3 249.354 2.939 20 0 BFADHN COC[C@@H](NCC=C(C)C)c1cccc(OC)c1 ZINC000657612516 411563112 /nfs/dbraw/zinc/56/31/12/411563112.db2.gz MVHHFITULQXAPQ-OAHLLOKOSA-N 0 3 249.354 2.939 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H]1c1ccccc1)c1cn[nH]c1 ZINC000660270857 411563544 /nfs/dbraw/zinc/56/35/44/411563544.db2.gz FSJXKHQNTWBPHZ-LERXQTSPSA-N 0 3 227.311 2.616 20 0 BFADHN CCN(CCC1CC1)Cc1cc(OC)ns1 ZINC000660278048 411563805 /nfs/dbraw/zinc/56/38/05/411563805.db2.gz QQNLDESGQZVJKR-UHFFFAOYSA-N 0 3 240.372 2.774 20 0 BFADHN C[C@@H]1CCN(CCO[C@@H]2CC2(F)F)CC1(C)C ZINC000660277678 411564015 /nfs/dbraw/zinc/56/40/15/411564015.db2.gz OPCNXRSCFILJQS-GHMZBOCLSA-N 0 3 247.329 2.779 20 0 BFADHN C[C@H]1c2ccccc2C[C@H]1NCc1nccs1 ZINC000657616836 411564481 /nfs/dbraw/zinc/56/44/81/411564481.db2.gz UICLFVHTMMBXON-GXFFZTMASA-N 0 3 244.363 2.961 20 0 BFADHN Cc1cc(C)cc(CNCc2cc[nH]c2)c1 ZINC000657625696 411565603 /nfs/dbraw/zinc/56/56/03/411565603.db2.gz CMRMVHQBZBEICQ-UHFFFAOYSA-N 0 3 214.312 2.921 20 0 BFADHN Cc1nn(C(C)C)cc1CNCCc1ccoc1 ZINC000657652703 411570672 /nfs/dbraw/zinc/57/06/72/411570672.db2.gz CBNIWOYHKGBYNI-UHFFFAOYSA-N 0 3 247.342 2.698 20 0 BFADHN Fc1cnccc1CNC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000657654900 411571243 /nfs/dbraw/zinc/57/12/43/411571243.db2.gz ADIDKRKKRQSFDR-GMXVVIOVSA-N 0 3 234.318 2.747 20 0 BFADHN CCOC(=O)c1coc(CNCC(C)(C)C)c1 ZINC000354156761 170305036 /nfs/dbraw/zinc/30/50/36/170305036.db2.gz BYGOYAYYQYZOIL-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN Cc1nc(CN(C)[C@H]2CCCC[C@H]2C)co1 ZINC000660367466 411572127 /nfs/dbraw/zinc/57/21/27/411572127.db2.gz IXPBEEBVWBUZKN-MFKMUULPSA-N 0 3 222.332 2.994 20 0 BFADHN CCOC(C)(C)CNCc1cc(F)ccc1F ZINC000159272054 170312336 /nfs/dbraw/zinc/31/23/36/170312336.db2.gz MRIUUAIUUIGFIY-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1cncc(C)c1 ZINC000188690896 170313494 /nfs/dbraw/zinc/31/34/94/170313494.db2.gz CHKQDOLTFFIFLL-GFCCVEGCSA-N 0 3 236.359 2.856 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1cc(C)ccn1 ZINC000287618620 170313589 /nfs/dbraw/zinc/31/35/89/170313589.db2.gz SUFAMIOZCPDVRA-LBPRGKRZSA-N 0 3 236.359 2.856 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1ccncc1 ZINC000166948190 170313847 /nfs/dbraw/zinc/31/38/47/170313847.db2.gz LYTVPHUNKQMIJS-NSHDSACASA-N 0 3 222.332 2.547 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1ccco1 ZINC000166964711 170313888 /nfs/dbraw/zinc/31/38/88/170313888.db2.gz UOSBFZVSNGVLIH-JTQLQIEISA-N 0 3 211.305 2.745 20 0 BFADHN COc1ccsc1CNC[C@@]1(C)CC1(F)F ZINC000657667005 411573098 /nfs/dbraw/zinc/57/30/98/411573098.db2.gz WCMQUAUTWQZMBQ-SNVBAGLBSA-N 0 3 247.310 2.892 20 0 BFADHN C[C@H]1CCC[C@@H](CNCc2ccc(F)cc2)O1 ZINC000657667487 411573115 /nfs/dbraw/zinc/57/31/15/411573115.db2.gz WCVXUDGLXUYAGY-FZMZJTMJSA-N 0 3 237.318 2.873 20 0 BFADHN c1cc(CNCCCC2CCC2)n(CC2CC2)n1 ZINC000657667469 411573178 /nfs/dbraw/zinc/57/31/78/411573178.db2.gz RAOHCIPGUWWTES-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN CCOC1(CNCc2ccncc2C)CCCC1 ZINC000296104167 170318902 /nfs/dbraw/zinc/31/89/02/170318902.db2.gz RZSSQUAUVDHPAX-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN COc1ccsc1CNC[C@]1(C)CC1(F)F ZINC000657667002 411573233 /nfs/dbraw/zinc/57/32/33/411573233.db2.gz WCMQUAUTWQZMBQ-JTQLQIEISA-N 0 3 247.310 2.892 20 0 BFADHN CCOC1CC(CN(C)Cc2ccc(C)o2)C1 ZINC000285982313 170322962 /nfs/dbraw/zinc/32/29/62/170322962.db2.gz MGMXIWWRQNLPLQ-UHFFFAOYSA-N 0 3 237.343 2.835 20 0 BFADHN CCOC1CC(CCN[C@@H](C)c2ccccn2)C1 ZINC000189264001 170324032 /nfs/dbraw/zinc/32/40/32/170324032.db2.gz OQGXDMGZGBOQHY-HSBZDZAISA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CCC[C@H](C)O1 ZINC000657668358 411573917 /nfs/dbraw/zinc/57/39/17/411573917.db2.gz UITZCOASPJLOMY-RYUDHWBXSA-N 0 3 223.316 2.635 20 0 BFADHN CCOC1CC(NCc2ccc(F)c(C)c2)C1 ZINC000224368911 170329402 /nfs/dbraw/zinc/32/94/02/170329402.db2.gz ZHWHQUOOJGPBJG-UHFFFAOYSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@]1(CCNCc2ccncc2F)CC1(F)F ZINC000657671533 411574972 /nfs/dbraw/zinc/57/49/72/411574972.db2.gz VRYHMNCRSUCQCZ-NSHDSACASA-N 0 3 244.260 2.746 20 0 BFADHN Cc1nocc1CNCC[C@@]1(C)CC1(F)F ZINC000657671026 411575288 /nfs/dbraw/zinc/57/52/88/411575288.db2.gz QNZDIDMMXJSGKS-JTQLQIEISA-N 0 3 230.258 2.508 20 0 BFADHN CC[C@]1(C)CN(CCC2CCC2)CCO1 ZINC000660377788 411575672 /nfs/dbraw/zinc/57/56/72/411575672.db2.gz GOJOLZVECYQBSW-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CCOCC(C)(C)NCc1ccc(Cl)nc1 ZINC000293329041 170355017 /nfs/dbraw/zinc/35/50/17/170355017.db2.gz ROXRNANAYLVLIP-UHFFFAOYSA-N 0 3 242.750 2.640 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cc(OC)ns1 ZINC000660318097 411568142 /nfs/dbraw/zinc/56/81/42/411568142.db2.gz WPLACTWRJHCMJM-KOLCDFICSA-N 0 3 240.372 2.772 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cc(C)nc(C)n1 ZINC000660316902 411568227 /nfs/dbraw/zinc/56/82/27/411568227.db2.gz KIYBWSIDRBCBSY-IINYFYTJSA-N 0 3 233.359 2.714 20 0 BFADHN CC(C)(C)OCCCNCc1ccncc1F ZINC000657638739 411568437 /nfs/dbraw/zinc/56/84/37/411568437.db2.gz QOBLHMRKBALENX-UHFFFAOYSA-N 0 3 240.322 2.516 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCO[C@H](C2CC2)C1 ZINC000660320788 411568483 /nfs/dbraw/zinc/56/84/83/411568483.db2.gz AGXYIRCRXLQTBQ-JQWIXIFHSA-N 0 3 247.329 2.779 20 0 BFADHN C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCO[C@H](C2CC2)C1 ZINC000660321758 411568681 /nfs/dbraw/zinc/56/86/81/411568681.db2.gz IRRCPCAQYMQJKS-RZFFKMDDSA-N 0 3 235.371 2.533 20 0 BFADHN CC(C)n1cncc1CNCCC(C)(C)F ZINC000657645676 411569048 /nfs/dbraw/zinc/56/90/48/411569048.db2.gz DXPKSELDKKHPEH-UHFFFAOYSA-N 0 3 227.327 2.692 20 0 BFADHN c1cc(CN[C@@H]2C[C@@H](Oc3ccccc3)C2)c[nH]1 ZINC000657646229 411569463 /nfs/dbraw/zinc/56/94/63/411569463.db2.gz ZHNWJZWZXFFSMU-CTYIDZIISA-N 0 3 242.322 2.714 20 0 BFADHN CCOCCCNCc1cc(C)ccc1OC ZINC000035161879 170380785 /nfs/dbraw/zinc/38/07/85/170380785.db2.gz DSWPKRQQALJBOB-UHFFFAOYSA-N 0 3 237.343 2.520 20 0 BFADHN CCOCCCNCc1cccc(C)c1F ZINC000083354095 170381674 /nfs/dbraw/zinc/38/16/74/170381674.db2.gz ULFQCBDFSJUVAM-UHFFFAOYSA-N 0 3 225.307 2.650 20 0 BFADHN CCOCCN(C)CC1(c2ccccc2)CC1 ZINC000189295639 170388477 /nfs/dbraw/zinc/38/84/77/170388477.db2.gz HFXYBBRJDCVXDP-UHFFFAOYSA-N 0 3 233.355 2.687 20 0 BFADHN CCOCCN(C)Cc1cccc2cccnc21 ZINC000181027258 170390513 /nfs/dbraw/zinc/39/05/13/170390513.db2.gz HDNVSKGESHUEQG-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN CCOCCN(C)[C@@H]1C[C@H]1c1ccccc1 ZINC000268950697 170391536 /nfs/dbraw/zinc/39/15/36/170391536.db2.gz DBMMZDHMYKXIIE-UONOGXRCSA-N 0 3 219.328 2.511 20 0 BFADHN CCOCCN(C)[C@@H]1C[C@@H]1c1ccccc1 ZINC000268950700 170391805 /nfs/dbraw/zinc/39/18/05/170391805.db2.gz DBMMZDHMYKXIIE-ZIAGYGMSSA-N 0 3 219.328 2.511 20 0 BFADHN CCOCCN(CC)Cc1ccsc1 ZINC000092537962 170395710 /nfs/dbraw/zinc/39/57/10/170395710.db2.gz BYZMKWJAPCLFKR-UHFFFAOYSA-N 0 3 213.346 2.607 20 0 BFADHN CCc1nocc1CN[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000660780747 411628671 /nfs/dbraw/zinc/62/86/71/411628671.db2.gz PEBKNSDRZBFFFQ-SUZMYJTESA-N 0 3 220.316 2.515 20 0 BFADHN C(CN1CCO[C@H](C2CCC2)C1)C1CCC1 ZINC000660797171 411635060 /nfs/dbraw/zinc/63/50/60/411635060.db2.gz MRNVSYTYGZYNGU-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN CCOCCN[C@@H]1CCCc2sccc21 ZINC000061325878 170415190 /nfs/dbraw/zinc/41/51/90/170415190.db2.gz UZMFXZOJTFVTJS-LLVKDONJSA-N 0 3 225.357 2.752 20 0 BFADHN C[C@H]1CC(C)(C)CN1CCO[C@@H]1CC1(F)F ZINC000660806049 411640471 /nfs/dbraw/zinc/64/04/71/411640471.db2.gz RFAWGFOJQAUCRY-VHSXEESVSA-N 0 3 233.302 2.531 20 0 BFADHN O=C1CCN(CCC2CCC2)C2(CCC2)C1 ZINC000660817976 411643705 /nfs/dbraw/zinc/64/37/05/411643705.db2.gz FNKXDIPHHYJBAB-UHFFFAOYSA-N 0 3 221.344 2.764 20 0 BFADHN c1ncc(CNCCCC2CCC2)s1 ZINC000657664864 411576990 /nfs/dbraw/zinc/57/69/90/411576990.db2.gz AIOCFIRYFMFLGA-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2ccsc2)O1 ZINC000657665149 411577390 /nfs/dbraw/zinc/57/73/90/411577390.db2.gz NWQCGAFWAWDABQ-ZYHUDNBSSA-N 0 3 225.357 2.795 20 0 BFADHN COc1ccc(CNC[C@@H]2CCC[C@H](C)O2)cc1 ZINC000657665732 411577656 /nfs/dbraw/zinc/57/76/56/411577656.db2.gz QBYKTSSSCOKLBS-WFASDCNBSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1cncc(F)c1 ZINC000657665540 411577664 /nfs/dbraw/zinc/57/76/64/411577664.db2.gz NHJWQFBSQHOIDU-LDYMZIIASA-N 0 3 244.260 2.917 20 0 BFADHN C[C@H]1CCC[C@H](CNCc2ccccc2)O1 ZINC000657666198 411577865 /nfs/dbraw/zinc/57/78/65/411577865.db2.gz RMKDHQXOFWUQJH-GXTWGEPZSA-N 0 3 219.328 2.734 20 0 BFADHN Cc1occc1CNC[C@]1(C)CC1(F)F ZINC000657666020 411577951 /nfs/dbraw/zinc/57/79/51/411577951.db2.gz OOPHTDNNINHAKF-JTQLQIEISA-N 0 3 215.243 2.723 20 0 BFADHN C[C@H]1CCC[C@@H](CNCc2ccccc2)O1 ZINC000657666199 411578086 /nfs/dbraw/zinc/57/80/86/411578086.db2.gz RMKDHQXOFWUQJH-JSGCOSHPSA-N 0 3 219.328 2.734 20 0 BFADHN COc1cc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)on1 ZINC000660392638 411578425 /nfs/dbraw/zinc/57/84/25/411578425.db2.gz GLSVRCKXODNSQO-MXWKQRLJSA-N 0 3 238.331 2.550 20 0 BFADHN CCOC[C@@H](C)NCc1ccc(C)c(C)c1 ZINC000044691564 170431591 /nfs/dbraw/zinc/43/15/91/170431591.db2.gz XNWNLEJBVCZULL-CYBMUJFWSA-N 0 3 221.344 2.818 20 0 BFADHN CCn1ccnc1CN[C@@](C)(CC)C1CC1 ZINC000657688461 411578745 /nfs/dbraw/zinc/57/87/45/411578745.db2.gz LMSIOTAVQNOJFJ-ZDUSSCGKSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)nc(C)n1 ZINC000660394834 411578883 /nfs/dbraw/zinc/57/88/83/411578883.db2.gz ZLNRUKWJBQGOAL-MDZLAQPJSA-N 0 3 247.386 2.960 20 0 BFADHN CCn1ccc(CN(C)Cc2cccc(N)c2)c1 ZINC000660395486 411579328 /nfs/dbraw/zinc/57/93/28/411579328.db2.gz DXGKTKHTYKIDMG-UHFFFAOYSA-N 0 3 243.354 2.722 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H]2CCC[C@H]2CC)[n-]1 ZINC000660411225 411581584 /nfs/dbraw/zinc/58/15/84/411581584.db2.gz VRBBHSLQBUSTEO-AXFHLTTASA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@H](C)N[C@H]2CCC[C@H]2CC)[nH]1 ZINC000660411225 411581585 /nfs/dbraw/zinc/58/15/85/411581585.db2.gz VRBBHSLQBUSTEO-AXFHLTTASA-N 0 3 236.363 2.596 20 0 BFADHN Cc1ccc(C)c(CN[C@H]2C[C@@H](O)C23CCC3)c1 ZINC000657702784 411583276 /nfs/dbraw/zinc/58/32/76/411583276.db2.gz JHGSUXNIUSDYKE-LSDHHAIUSA-N 0 3 245.366 2.697 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1C[C@@H](O)C12CCC2 ZINC000657704921 411583619 /nfs/dbraw/zinc/58/36/19/411583619.db2.gz JQCVKFIEDGHIHA-ZIAGYGMSSA-N 0 3 249.329 2.527 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@H](O)C23CCC3)c1C ZINC000657703817 411583829 /nfs/dbraw/zinc/58/38/29/411583829.db2.gz PYBDGKQKLLWUEV-CABCVRRESA-N 0 3 245.366 2.697 20 0 BFADHN O[C@@H]1C[C@@H](NC/C=C\c2ccccc2)C12CCC2 ZINC000657704401 411583872 /nfs/dbraw/zinc/58/38/72/411583872.db2.gz GDAXFAOMCFKQQZ-JFCGUDLGSA-N 0 3 243.350 2.593 20 0 BFADHN Cc1ccc(F)cc1CN[C@H]1C[C@H](O)C12CCC2 ZINC000657704918 411584035 /nfs/dbraw/zinc/58/40/35/411584035.db2.gz JQCVKFIEDGHIHA-KBPBESRZSA-N 0 3 249.329 2.527 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@H](O)C23CCC3)cc1 ZINC000657704842 411584074 /nfs/dbraw/zinc/58/40/74/411584074.db2.gz VQCJWJWPFNZLHY-GJZGRUSLSA-N 0 3 245.366 2.642 20 0 BFADHN C[C@H]1CN(CCC2CCC2)C[C@@H](C2CC2)O1 ZINC000660445774 411585675 /nfs/dbraw/zinc/58/56/75/411585675.db2.gz NWPXNHLTMDTLMC-FZMZJTMJSA-N 0 3 223.360 2.676 20 0 BFADHN CCn1ccnc1CNC(C)(C1CC1)C1CC1 ZINC000657755164 411590290 /nfs/dbraw/zinc/59/02/90/411590290.db2.gz GVGZLWVEQKCJMP-UHFFFAOYSA-N 0 3 233.359 2.571 20 0 BFADHN Cn1c2ccccc2nc1CN[C@@]1(C)CC1(C)C ZINC000657760841 411590706 /nfs/dbraw/zinc/59/07/06/411590706.db2.gz AGGQETMWROPJHE-HNNXBMFYSA-N 0 3 243.354 2.852 20 0 BFADHN COc1ccc(OC)c(CN[C@@]2(C)CC2(C)C)c1 ZINC000657760029 411590938 /nfs/dbraw/zinc/59/09/38/411590938.db2.gz AFKDXJVXZBZPAF-HNNXBMFYSA-N 0 3 249.354 2.982 20 0 BFADHN COc1ccc(CN[C@]2(C)CC2(C)C)c(OC)c1 ZINC000657760301 411590946 /nfs/dbraw/zinc/59/09/46/411590946.db2.gz FWWKFYWBFDBRDA-OAHLLOKOSA-N 0 3 249.354 2.982 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1ccc(-c2cc[nH]n2)o1 ZINC000657760876 411590975 /nfs/dbraw/zinc/59/09/75/411590975.db2.gz AXMWKWDTYLACQL-CQSZACIVSA-N 0 3 245.326 2.948 20 0 BFADHN Cc1cnccc1CN[C@@]1(C)CC1(C)C ZINC000657761557 411591267 /nfs/dbraw/zinc/59/12/67/411591267.db2.gz NRLIOIWGCHLENC-ZDUSSCGKSA-N 0 3 204.317 2.668 20 0 BFADHN Cc1ccncc1CN[C@]1(C)CC1(C)C ZINC000657761699 411591342 /nfs/dbraw/zinc/59/13/42/411591342.db2.gz RDUZNVLFLPRIHJ-CYBMUJFWSA-N 0 3 204.317 2.668 20 0 BFADHN COCc1ccc(CN[C@]2(C)CC2(C)C)o1 ZINC000657761538 411591516 /nfs/dbraw/zinc/59/15/16/411591516.db2.gz NIUWDUXIBREBHW-CYBMUJFWSA-N 0 3 223.316 2.704 20 0 BFADHN Cn1ncc(C2CCC2)c1CNCC1=CCCC1 ZINC000657749741 411592265 /nfs/dbraw/zinc/59/22/65/411592265.db2.gz LHAJSYVCOMISOX-UHFFFAOYSA-N 0 3 245.370 2.888 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ccc(OC)cc1 ZINC000044688842 170448194 /nfs/dbraw/zinc/44/81/94/170448194.db2.gz PIKKGBBHSLYGIO-NWDGAFQWSA-N 0 3 237.343 2.771 20 0 BFADHN CO[C@@H](CNCc1cccnc1C)C1CCCC1 ZINC000657766042 411592977 /nfs/dbraw/zinc/59/29/77/411592977.db2.gz GZMAPTLPZNBNRI-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN CO[C@@H](CNCc1ccoc1)C1CCCC1 ZINC000657768152 411594013 /nfs/dbraw/zinc/59/40/13/411594013.db2.gz WNIWVWHFWMJZFV-ZDUSSCGKSA-N 0 3 223.316 2.574 20 0 BFADHN C=Cn1cc(CNC2(CC(C)C)CC2)cn1 ZINC000657775674 411594238 /nfs/dbraw/zinc/59/42/38/411594238.db2.gz TUBPRFSCYLPSSL-UHFFFAOYSA-N 0 3 219.332 2.652 20 0 BFADHN CC(C)CC1(NCc2cccnc2)CC1 ZINC000657776878 411594457 /nfs/dbraw/zinc/59/44/57/411594457.db2.gz ZTPDZKNVHXGXEA-UHFFFAOYSA-N 0 3 204.317 2.750 20 0 BFADHN CO[C@@H](CNCc1ccncc1)C1CCCCC1 ZINC000657783208 411594865 /nfs/dbraw/zinc/59/48/65/411594865.db2.gz RYOGFPGSBDEIIO-HNNXBMFYSA-N 0 3 248.370 2.767 20 0 BFADHN Cc1cnc(CNCCCc2ccco2)s1 ZINC000657783137 411594935 /nfs/dbraw/zinc/59/49/35/411594935.db2.gz QYDAYYPDODRFIP-UHFFFAOYSA-N 0 3 236.340 2.767 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1c(C)cccc1C ZINC000657788228 411596149 /nfs/dbraw/zinc/59/61/49/411596149.db2.gz LILHOXTWDZYZFI-KGLIPLIRSA-N 0 3 219.328 2.570 20 0 BFADHN CO[C@@]1(C)C[C@H]1NC/C(C)=C\c1ccccc1 ZINC000657788389 411596190 /nfs/dbraw/zinc/59/61/90/411596190.db2.gz OMBYIBBZSSRWNE-PVBRJXJSSA-N 0 3 231.339 2.857 20 0 BFADHN Cc1ccc(CNCCCc2ccco2)nc1 ZINC000657782052 411597392 /nfs/dbraw/zinc/59/73/92/411597392.db2.gz IDVMZEQLTQSATK-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN CO[C@H](CNCc1cccnc1)C1CCCCC1 ZINC000657781613 411597541 /nfs/dbraw/zinc/59/75/41/411597541.db2.gz FDUYQVGYQQCLKK-OAHLLOKOSA-N 0 3 248.370 2.767 20 0 BFADHN CO[C@H](CNCc1ccco1)C1CCCCC1 ZINC000657781288 411597612 /nfs/dbraw/zinc/59/76/12/411597612.db2.gz CTUHELFILVAJOC-CQSZACIVSA-N 0 3 237.343 2.965 20 0 BFADHN FC1(F)C[C@@H]1OCCN1CCC2(CCC2)CC1 ZINC000660545519 411597935 /nfs/dbraw/zinc/59/79/35/411597935.db2.gz WJFZIJXNBFJBHL-NSHDSACASA-N 0 3 245.313 2.677 20 0 BFADHN Cc1noc([C@H]2CCCCN2CC2CC=CC2)n1 ZINC000660547784 411598185 /nfs/dbraw/zinc/59/81/85/411598185.db2.gz FDXFHTIMKZRZON-CYBMUJFWSA-N 0 3 247.342 2.871 20 0 BFADHN CC[C@H](NCc1coc(C)n1)[C@H]1CC1(C)C ZINC000660824709 411645974 /nfs/dbraw/zinc/64/59/74/411645974.db2.gz PWFKHCZSBARLJQ-NEPJUHHUSA-N 0 3 222.332 2.897 20 0 BFADHN CC1(C)CC(NCc2nc3c(s2)CCC3)C1 ZINC000657802187 411599362 /nfs/dbraw/zinc/59/93/62/411599362.db2.gz UMNHCBBGZCIHPK-UHFFFAOYSA-N 0 3 236.384 2.910 20 0 BFADHN Cc1cccc2c1CCC[C@H]2NCc1cn[nH]c1 ZINC000657796673 411599464 /nfs/dbraw/zinc/59/94/64/411599464.db2.gz HOTIHYRQZAFAQC-OAHLLOKOSA-N 0 3 241.338 2.885 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@H](C)O[C@@H]2C)c1C ZINC000657802976 411599564 /nfs/dbraw/zinc/59/95/64/411599564.db2.gz GXEGYODKXDATFN-ZKYQVNSYSA-N 0 3 249.354 2.659 20 0 BFADHN COc1cccc(CNCc2ccncc2)c1C ZINC000657802237 411599657 /nfs/dbraw/zinc/59/96/57/411599657.db2.gz YCJKIYUWGFSMQN-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN CC1(C)CC(NCc2cn3cccc(F)c3n2)C1 ZINC000657802196 411599719 /nfs/dbraw/zinc/59/97/19/411599719.db2.gz VBQHYTYDUUQVMB-UHFFFAOYSA-N 0 3 247.317 2.752 20 0 BFADHN COc1cccc(CNCc2ccn(C)c2)c1C ZINC000657804125 411600188 /nfs/dbraw/zinc/60/01/88/411600188.db2.gz FHMHKMZYPSBGGH-UHFFFAOYSA-N 0 3 244.338 2.632 20 0 BFADHN CCOCCCN[C@H](C)c1cc2cnccc2o1 ZINC000657814617 411604741 /nfs/dbraw/zinc/60/47/41/411604741.db2.gz CSAKPNZQDZPRHS-LLVKDONJSA-N 0 3 248.326 2.905 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1ccc(C(F)F)nc1 ZINC000657816212 411604815 /nfs/dbraw/zinc/60/48/15/411604815.db2.gz JWUDPAQJRYLIJB-RKDXNWHRSA-N 0 3 244.285 2.705 20 0 BFADHN C[C@@H](NC1CC1)c1cc2cnccc2o1 ZINC000657815825 411604851 /nfs/dbraw/zinc/60/48/51/411604851.db2.gz IZWVWCGNDWQYFI-MRVPVSSYSA-N 0 3 202.257 2.641 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2COC3(CCC3)C2)o1 ZINC000657827137 411608113 /nfs/dbraw/zinc/60/81/13/411608113.db2.gz YNBHEPUDNLDQGM-RYUDHWBXSA-N 0 3 235.327 2.950 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2COC3(CCC3)C2)o1 ZINC000657827135 411608497 /nfs/dbraw/zinc/60/84/97/411608497.db2.gz YNBHEPUDNLDQGM-NWDGAFQWSA-N 0 3 235.327 2.950 20 0 BFADHN Cc1cc(CN[C@@H]2CC3CCC2CC3)nc(C)n1 ZINC000660624544 411608618 /nfs/dbraw/zinc/60/86/18/411608618.db2.gz BQKNQQQTFUFMLT-SSDMNJCBSA-N 0 3 245.370 2.762 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@H]1COC2(CCC2)C1 ZINC000657835113 411609308 /nfs/dbraw/zinc/60/93/08/411609308.db2.gz OFAFQTRTTCSQGE-YPMHNXCESA-N 0 3 247.338 2.958 20 0 BFADHN CCOCCN[C@@H](C)c1cc2cnccc2o1 ZINC000657840690 411610015 /nfs/dbraw/zinc/61/00/15/411610015.db2.gz VBRYEQPYWAZGEZ-JTQLQIEISA-N 0 3 234.299 2.515 20 0 BFADHN Cc1nc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)co1 ZINC000660643971 411610882 /nfs/dbraw/zinc/61/08/82/411610882.db2.gz YKDPKJNKANRFFF-STQMWFEESA-N 0 3 234.343 2.994 20 0 BFADHN CC[C@H](CC(F)F)CN1CCN(C)C[C@H]1CC ZINC000660645939 411611969 /nfs/dbraw/zinc/61/19/69/411611969.db2.gz YATGIDQNDYUHGL-VXGBXAGGSA-N 0 3 248.361 2.694 20 0 BFADHN CC(C)N(CCCC1CCC1)C1COC1 ZINC000660646663 411612025 /nfs/dbraw/zinc/61/20/25/411612025.db2.gz DHGMCZAINQRPGC-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN CO[C@H](CN1CC[C@@H](F)C1)C1CCCCC1 ZINC000660692291 411617639 /nfs/dbraw/zinc/61/76/39/411617639.db2.gz NFXDSJPZOKDKTQ-CHWSQXEVSA-N 0 3 229.339 2.626 20 0 BFADHN C[C@H]1CCC(C)(C)N1Cc1cncs1 ZINC000660756061 411621020 /nfs/dbraw/zinc/62/10/20/411621020.db2.gz XDSKMPMORCFYDP-VIFPVBQESA-N 0 3 210.346 2.906 20 0 BFADHN C[C@@H](NCCOCCF)c1cccc(Cl)c1 ZINC000663310670 411621777 /nfs/dbraw/zinc/62/17/77/411621777.db2.gz MSPLXPUOJRLHMS-SNVBAGLBSA-N 0 3 245.725 2.977 20 0 BFADHN COc1cc(CN[C@@]2(C)CCCC[C@H]2C)on1 ZINC000660766934 411622563 /nfs/dbraw/zinc/62/25/63/411622563.db2.gz JOSOXKJDYIHWFZ-MFKMUULPSA-N 0 3 238.331 2.742 20 0 BFADHN COCCN(Cc1ccsc1)C(C)(C)C ZINC000661137078 411716136 /nfs/dbraw/zinc/71/61/36/411716136.db2.gz YUOLWUZBHVTSTG-UHFFFAOYSA-N 0 3 227.373 2.995 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccoc2)C12CCC2 ZINC000135199917 170508281 /nfs/dbraw/zinc/50/82/81/170508281.db2.gz DPKGDWKUEXWHPH-OLZOCXBDSA-N 0 3 235.327 2.717 20 0 BFADHN COCC1(N(C)C/C=C/c2ccccc2)CC1 ZINC000663452372 411659607 /nfs/dbraw/zinc/65/96/07/411659607.db2.gz GLKQRLFBVPWBDH-RMKNXTFCSA-N 0 3 231.339 2.811 20 0 BFADHN C[C@H]1C[C@@H](NCCOCCF)c2ccccc21 ZINC000663460348 411662744 /nfs/dbraw/zinc/66/27/44/411662744.db2.gz NFVGWBGNHOEQQB-SMDDNHRTSA-N 0 3 237.318 2.811 20 0 BFADHN CC1(C)CC[C@@H](CN2CC[C@@H](C(F)F)C2)O1 ZINC000663464282 411665754 /nfs/dbraw/zinc/66/57/54/411665754.db2.gz VCBPNICKFMZFBF-ZJUUUORDSA-N 0 3 233.302 2.531 20 0 BFADHN Cc1ccc([C@H](C)NCCOCCF)cc1C ZINC000663465659 411667333 /nfs/dbraw/zinc/66/73/33/411667333.db2.gz ZILRKSBGRNJKPF-ZDUSSCGKSA-N 0 3 239.334 2.940 20 0 BFADHN c1c(CN[C@@H]2CCC[C@H]3C[C@H]32)onc1C1CC1 ZINC000660903948 411667876 /nfs/dbraw/zinc/66/78/76/411667876.db2.gz QDIYBSXKZRORCX-CYZMBNFOSA-N 0 3 232.327 2.830 20 0 BFADHN CCn1nccc1CN[C@H](C)C(C)(C)C(F)F ZINC000663471771 411668636 /nfs/dbraw/zinc/66/86/36/411668636.db2.gz XXXCYPAPNVKKRF-SECBINFHSA-N 0 3 245.317 2.672 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2C[C@H]3C[C@H]3C2)[C@H](C)CO1 ZINC000660911495 411668937 /nfs/dbraw/zinc/66/89/37/411668937.db2.gz CKCJPRKQIOAEFI-RKQHYHRCSA-N 0 3 223.360 2.532 20 0 BFADHN CC[C@H]1CN(CCC=C(C)C)[C@@H](C)CO1 ZINC000660912381 411669374 /nfs/dbraw/zinc/66/93/74/411669374.db2.gz JYMRQFYPEGUWNH-STQMWFEESA-N 0 3 211.349 2.842 20 0 BFADHN CC1(C)C[C@H](N2CCC3(CC3(F)F)CC2)CO1 ZINC000660917457 411670946 /nfs/dbraw/zinc/67/09/46/411670946.db2.gz NJAGYBXFXVLEBV-JTQLQIEISA-N 0 3 245.313 2.675 20 0 BFADHN Cc1cccc([C@H](C)N(C)Cc2cnc[nH]2)c1 ZINC000661085099 411697143 /nfs/dbraw/zinc/69/71/43/411697143.db2.gz JRBFVKOGYCXIQQ-LBPRGKRZSA-N 0 3 229.327 2.911 20 0 BFADHN CN(Cc1c[nH]cn1)C[C@H]1CCc2ccccc21 ZINC000661088528 411698427 /nfs/dbraw/zinc/69/84/27/411698427.db2.gz LDELFOPNBCOAMM-CYBMUJFWSA-N 0 3 241.338 2.572 20 0 BFADHN CN(Cc1cnc[nH]1)C[C@H]1CCc2ccccc21 ZINC000661088528 411698429 /nfs/dbraw/zinc/69/84/29/411698429.db2.gz LDELFOPNBCOAMM-CYBMUJFWSA-N 0 3 241.338 2.572 20 0 BFADHN C[C@H]1CCC[C@H](CN(C)Cc2c[nH]cn2)C1 ZINC000661089089 411699420 /nfs/dbraw/zinc/69/94/20/411699420.db2.gz XCEILYYOIGWLFV-RYUDHWBXSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@H]1CCC[C@H](CN(C)Cc2cnc[nH]2)C1 ZINC000661089089 411699423 /nfs/dbraw/zinc/69/94/23/411699423.db2.gz XCEILYYOIGWLFV-RYUDHWBXSA-N 0 3 221.348 2.668 20 0 BFADHN CCOc1cccc(CN2CC[C@@H](C)[C@@H]2C)n1 ZINC000355138981 170575710 /nfs/dbraw/zinc/57/57/10/170575710.db2.gz TZJZWNPEIJCTSG-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@]12COC[C@]1(C)CN(Cc1ccccc1F)C2 ZINC000661499898 411791540 /nfs/dbraw/zinc/79/15/40/411791540.db2.gz AVRPKDCSVTZHFF-GASCZTMLSA-N 0 3 249.329 2.684 20 0 BFADHN Cn1cnc(CN2CCCC3(CCCC3)CC2)c1 ZINC000661231489 411737590 /nfs/dbraw/zinc/73/75/90/411737590.db2.gz OYCYQXWQMBBBCI-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN CC(C)N1CCCC[C@@H]1Cn1cc(Cl)cn1 ZINC000663960103 411792034 /nfs/dbraw/zinc/79/20/34/411792034.db2.gz VDUHMVVSJGWSAP-GFCCVEGCSA-N 0 3 241.766 2.799 20 0 BFADHN C[C@H](c1cccnc1)N1C[C@]2(C)COC[C@]2(C)C1 ZINC000661502694 411792566 /nfs/dbraw/zinc/79/25/66/411792566.db2.gz PBZMAXQKDLEHTI-YUELXQCFSA-N 0 3 246.354 2.501 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@H]1CC[C@@H](C)O1)CC2 ZINC000661371487 411757676 /nfs/dbraw/zinc/75/76/76/411757676.db2.gz KTQDGPUGPDEZAJ-CZUORRHYSA-N 0 3 245.366 2.921 20 0 BFADHN FC(F)COCCN1CCC(C2CCC2)CC1 ZINC000661374485 411758456 /nfs/dbraw/zinc/75/84/56/411758456.db2.gz LWHSEHMJAKARRU-UHFFFAOYSA-N 0 3 247.329 2.780 20 0 BFADHN C[C@@H](NCC1CC=CC1)c1cscn1 ZINC000661415024 411772003 /nfs/dbraw/zinc/77/20/03/411772003.db2.gz QBEASFUFCFQEKG-SECBINFHSA-N 0 3 208.330 2.760 20 0 BFADHN C[C@@H]1COC2(CCC2)CN1CC1(C)CCC1 ZINC000661462825 411780690 /nfs/dbraw/zinc/78/06/90/411780690.db2.gz KQENYERAEFXDIJ-GFCCVEGCSA-N 0 3 223.360 2.820 20 0 BFADHN C[C@H]1COC2(CCC2)CN1CC1(C)CCC1 ZINC000661462826 411781014 /nfs/dbraw/zinc/78/10/14/411781014.db2.gz KQENYERAEFXDIJ-LBPRGKRZSA-N 0 3 223.360 2.820 20 0 BFADHN CC[C@@H](O)CN[C@@H](c1ccccc1F)C(C)C ZINC000661484880 411784512 /nfs/dbraw/zinc/78/45/12/411784512.db2.gz NFJAMJXLJXFTLR-BXUZGUMPSA-N 0 3 239.334 2.883 20 0 BFADHN CC(C)[C@@H](NCCCCO)c1ccccc1F ZINC000661487769 411785329 /nfs/dbraw/zinc/78/53/29/411785329.db2.gz PWZAYTJBNUQBIY-CQSZACIVSA-N 0 3 239.334 2.885 20 0 BFADHN C[C@]1(C2CC2)CN(CC2=CCCC2)CCO1 ZINC000661173526 411727879 /nfs/dbraw/zinc/72/78/79/411727879.db2.gz ZBAUCESIYUXHCT-CQSZACIVSA-N 0 3 221.344 2.598 20 0 BFADHN Fc1ccc([C@@H]2CCN2C[C@@H]2CCCOC2)cc1 ZINC000661513895 411794680 /nfs/dbraw/zinc/79/46/80/411794680.db2.gz UULVMMJFLIQBGO-WFASDCNBSA-N 0 3 249.329 2.999 20 0 BFADHN O[C@@]1(C2CC2)CCCN(Cc2ccc(F)cc2)C1 ZINC000661628528 411823552 /nfs/dbraw/zinc/82/35/52/411823552.db2.gz VDJIAOOYROYFTQ-HNNXBMFYSA-N 0 3 249.329 2.563 20 0 BFADHN CCc1nocc1CN1CCC[C@H](CCF)C1 ZINC000661690834 411841588 /nfs/dbraw/zinc/84/15/88/411841588.db2.gz VIIUKWAKHSAXFD-LLVKDONJSA-N 0 3 240.322 2.809 20 0 BFADHN FCC[C@@H]1CCCN(Cc2ccccn2)C1 ZINC000661693015 411842095 /nfs/dbraw/zinc/84/20/95/411842095.db2.gz KAQHZTGFUSAHFS-LBPRGKRZSA-N 0 3 222.307 2.653 20 0 BFADHN CC[C@@H](NCc1noc2c1CCCC2)C1CC1 ZINC000661758529 411868209 /nfs/dbraw/zinc/86/82/09/411868209.db2.gz OUSIMQGUXDZNAP-GFCCVEGCSA-N 0 3 234.343 2.832 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1cccc(F)c1)OC ZINC000362977461 170776051 /nfs/dbraw/zinc/77/60/51/170776051.db2.gz RGDUNUVOQULOIR-BXUZGUMPSA-N 0 3 239.334 2.976 20 0 BFADHN C[C@@H](c1ccccn1)N1CC[C@@H](C)O[C@@H](C)C1 ZINC000661855408 411904537 /nfs/dbraw/zinc/90/45/37/411904537.db2.gz DAUBLXYXIJRXPC-AGIUHOORSA-N 0 3 234.343 2.642 20 0 BFADHN COc1ccc(CN2CC[C@H]3C[C@H]3C2)cc1 ZINC000661972903 411928611 /nfs/dbraw/zinc/92/86/11/411928611.db2.gz RERVWADQUVQBKX-STQMWFEESA-N 0 3 217.312 2.537 20 0 BFADHN Clc1cnccc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000661971833 411929126 /nfs/dbraw/zinc/92/91/26/411929126.db2.gz OXLZIIRFYYXEBZ-MWLCHTKSSA-N 0 3 222.719 2.577 20 0 BFADHN Clc1cnccc1CN1CC[C@H]2C[C@H]2C1 ZINC000661971835 411929178 /nfs/dbraw/zinc/92/91/78/411929178.db2.gz OXLZIIRFYYXEBZ-ONGXEEELSA-N 0 3 222.719 2.577 20 0 BFADHN CC[C@@H](NCc1nc(-c2ccco2)no1)C1CC1 ZINC000661759172 411871994 /nfs/dbraw/zinc/87/19/94/411871994.db2.gz ZZNBBEUSYQBTSE-SNVBAGLBSA-N 0 3 247.298 2.608 20 0 BFADHN C[C@H](O)[C@H]1CCCN1Cc1ccc(Cl)cc1 ZINC000661768219 411879279 /nfs/dbraw/zinc/87/92/79/411879279.db2.gz UVNPJPYVKPZZLL-GXFFZTMASA-N 0 3 239.746 2.685 20 0 BFADHN CSc1ccc(CN2CCC3(COC3)C2)cc1 ZINC000662076897 411978988 /nfs/dbraw/zinc/97/89/88/411978988.db2.gz XXNBHIMQEFOUOF-UHFFFAOYSA-N 0 3 249.379 2.631 20 0 BFADHN c1cc(CN(CCC2CC2)CC2CC2)on1 ZINC000662178371 412019630 /nfs/dbraw/zinc/01/96/30/412019630.db2.gz BWFLIKLJYJUMBJ-UHFFFAOYSA-N 0 3 220.316 2.687 20 0 BFADHN CC[C@H](C)CN1CCOc2ccc(O)cc2C1 ZINC000662096751 411985967 /nfs/dbraw/zinc/98/59/67/411985967.db2.gz LUHCICGIBNJDMT-NSHDSACASA-N 0 3 235.327 2.633 20 0 BFADHN CCOCCNC1(c2cccc(OC)c2)CCC1 ZINC000662107577 411988859 /nfs/dbraw/zinc/98/88/59/411988859.db2.gz AOIKYOFFKYOLMB-UHFFFAOYSA-N 0 3 249.354 2.701 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@H](O)C1)c1ccc(F)cc1F ZINC000295831766 170910864 /nfs/dbraw/zinc/91/08/64/170910864.db2.gz CQDUBWNGLYCXPG-GBIKHYSHSA-N 0 3 241.281 2.529 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1cc(C)n(C)n1 ZINC000662156794 412010867 /nfs/dbraw/zinc/01/08/67/412010867.db2.gz FKBUPVBCFUJSSH-SMDDNHRTSA-N 0 3 235.375 2.882 20 0 BFADHN CCCCC[C@@H]1CCCCN1CC(=O)N(C)C ZINC000662157245 412011925 /nfs/dbraw/zinc/01/19/25/412011925.db2.gz VBYYDOBDZYPCCG-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN C1=C[C@H](N2CC[C@H]3COC[C@H]3C2)CCCCC1 ZINC000662036988 411951982 /nfs/dbraw/zinc/95/19/82/411951982.db2.gz SVHIDBVSBCYURB-ZNMIVQPWSA-N 0 3 235.371 2.844 20 0 BFADHN CC1(C)CN(C[C@@H]2CCOC2)[C@@H]1c1cccnc1 ZINC000664823847 412026026 /nfs/dbraw/zinc/02/60/26/412026026.db2.gz QAXJCUCMGHNBGC-GXTWGEPZSA-N 0 3 246.354 2.501 20 0 BFADHN CC1(C)CN(CCCCCO)[C@H]1c1ccncc1 ZINC000664832997 412028496 /nfs/dbraw/zinc/02/84/96/412028496.db2.gz IGSHINFAQVHLSK-AWEZNQCLSA-N 0 3 248.370 2.627 20 0 BFADHN COCCCN1CC(C)(C)[C@H]1c1ccncc1 ZINC000664834410 412028513 /nfs/dbraw/zinc/02/85/13/412028513.db2.gz XALAYQNRSNMCCY-CYBMUJFWSA-N 0 3 234.343 2.501 20 0 BFADHN CC(C)N1CC(C)(C)[C@@H]1c1ccncc1 ZINC000664832663 412029979 /nfs/dbraw/zinc/02/99/79/412029979.db2.gz NVWKHBQUYJIWMZ-LBPRGKRZSA-N 0 3 204.317 2.873 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CCCc2n[nH]cc2C1 ZINC000664861585 412034710 /nfs/dbraw/zinc/03/47/10/412034710.db2.gz URVFCXGRZNHJRC-CHWSQXEVSA-N 0 3 247.386 2.984 20 0 BFADHN CC(C)=CCCN1CCNCc2ccccc21 ZINC000662247493 412044118 /nfs/dbraw/zinc/04/41/18/412044118.db2.gz WGWAVVYNHVEEIR-UHFFFAOYSA-N 0 3 230.355 2.953 20 0 BFADHN CC[C@@H]1CCC[C@H]1[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000331205102 171000755 /nfs/dbraw/zinc/00/07/55/171000755.db2.gz XOWLCXNLCOLMPU-GHMZBOCLSA-N 0 3 236.363 2.596 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1nnc(C(C)C)[nH]1 ZINC000331205102 171000757 /nfs/dbraw/zinc/00/07/57/171000757.db2.gz XOWLCXNLCOLMPU-GHMZBOCLSA-N 0 3 236.363 2.596 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1cc(C)n(C)n1 ZINC000337446236 171003071 /nfs/dbraw/zinc/00/30/71/171003071.db2.gz GRJSHBGSROUSQX-JHJVBQTASA-N 0 3 235.375 2.958 20 0 BFADHN CC[C@@H]1CCN(Cc2nc3ccccc3n2C)C1 ZINC000176242109 171014271 /nfs/dbraw/zinc/01/42/71/171014271.db2.gz IVOUYBKYQNQRLF-GFCCVEGCSA-N 0 3 243.354 2.805 20 0 BFADHN CC[C@@H]1CCN1Cc1ccccc1NC(C)=O ZINC000292690277 171026047 /nfs/dbraw/zinc/02/60/47/171026047.db2.gz BJWSDZGPYNCWDA-CYBMUJFWSA-N 0 3 232.327 2.629 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cnc(OC)nc1C ZINC000337183994 171037823 /nfs/dbraw/zinc/03/78/23/171037823.db2.gz NVRSYOFMQUUWDP-ZWNOBZJWSA-N 0 3 249.358 2.557 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H]1CCCc2c[nH]nc21 ZINC000336740987 171045085 /nfs/dbraw/zinc/04/50/85/171045085.db2.gz QPCHBKVIPGWYRB-ADEWGFFLSA-N 0 3 219.332 2.565 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cncs1 ZINC000290486704 171053880 /nfs/dbraw/zinc/05/38/80/171053880.db2.gz OHUWLQKYLMYUJD-VHSXEESVSA-N 0 3 210.346 2.906 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1ncccc1C ZINC000336733883 171058919 /nfs/dbraw/zinc/05/89/19/171058919.db2.gz MRQUDYBJPMVXOK-VXGBXAGGSA-N 0 3 204.317 2.668 20 0 BFADHN CC[C@@H]1CN(Cc2ccc(C)cc2)CCCO1 ZINC000281383719 171088468 /nfs/dbraw/zinc/08/84/68/171088468.db2.gz WKJKMGWLBGNDIQ-OAHLLOKOSA-N 0 3 233.355 2.996 20 0 BFADHN CC[C@@H]1CN(Cc2ccsc2)CCCO1 ZINC000281360667 171091100 /nfs/dbraw/zinc/09/11/00/171091100.db2.gz UVEMJANOKCYPHS-GFCCVEGCSA-N 0 3 225.357 2.749 20 0 BFADHN CC[C@@H]1CN(Cc2occc2C)CCCO1 ZINC000281115683 171093766 /nfs/dbraw/zinc/09/37/66/171093766.db2.gz BRUFHTKKNGRPTB-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN CC[C@@H]1CN([C@@H](C)c2ccc(F)cc2)C[C@H]1O ZINC000341023696 171094889 /nfs/dbraw/zinc/09/48/89/171094889.db2.gz JTWFMJMIHKJBBU-MISXGVKJSA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@@H]1CN([C@H]2CCc3ccc(F)cc32)C[C@H]1O ZINC000270970816 171095586 /nfs/dbraw/zinc/09/55/86/171095586.db2.gz WFVSRLXJOJCKKD-WKPIXPDZSA-N 0 3 249.329 2.516 20 0 BFADHN CC[C@@H]1COCCN1C[C@@H]1CCC[C@H](C)C1 ZINC000356982104 171105645 /nfs/dbraw/zinc/10/56/45/171105645.db2.gz LOVXXXLNJAINAW-BFHYXJOUSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@@H]1COCCN1C[C@@H](C)CC(C)C ZINC000337188082 171106037 /nfs/dbraw/zinc/10/60/37/171106037.db2.gz QAHFDKMKHHCQGO-QWHCGFSZSA-N 0 3 213.365 2.779 20 0 BFADHN CC[C@@H]1C[C@@H](CN2CC[C@@](C)(F)C2)CCO1 ZINC000356976292 171119399 /nfs/dbraw/zinc/11/93/99/171119399.db2.gz CKJRPESLTOATNY-YNEHKIRRSA-N 0 3 229.339 2.626 20 0 BFADHN CCCn1nccc1CN1CCC[C@@H](C)CC1 ZINC000667560885 487621760 /nfs/dbraw/zinc/62/17/60/487621760.db2.gz FFRRVFYXIMOXMG-CYBMUJFWSA-N 0 3 235.375 2.915 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cccc(OC)n1 ZINC000274816702 171155755 /nfs/dbraw/zinc/15/57/55/171155755.db2.gz AMPOKUBDXFGLSV-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H]1[C@H](C)CC[N@@H+]1Cc1nc(C)ccc1[O-] ZINC000274973212 171161120 /nfs/dbraw/zinc/16/11/20/171161120.db2.gz WNENJYCVOASPSY-ZWNOBZJWSA-N 0 3 234.343 2.716 20 0 BFADHN CC[C@@H]1[C@H](C)CC[N@H+]1Cc1nc(C)ccc1[O-] ZINC000274973212 171161123 /nfs/dbraw/zinc/16/11/23/171161123.db2.gz WNENJYCVOASPSY-ZWNOBZJWSA-N 0 3 234.343 2.716 20 0 BFADHN CC[C@@](C)(CO)NCc1cc(Cl)cs1 ZINC000086332935 171170222 /nfs/dbraw/zinc/17/02/22/171170222.db2.gz VHGLKGUXBFUZRF-JTQLQIEISA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@@](C)(CO)NCc1cc(Cl)ccc1F ZINC000162691551 171170264 /nfs/dbraw/zinc/17/02/64/171170264.db2.gz CIEDJLVNKAQPPJ-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1ccc(Cl)cn1 ZINC000274897012 171184181 /nfs/dbraw/zinc/18/41/81/171184181.db2.gz KLLUOPBSRMFRMH-JOYOIKCWSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1ccccc1OC ZINC000087013338 171184774 /nfs/dbraw/zinc/18/47/74/171184774.db2.gz IMTSCVWJCDOOOB-SMDDNHRTSA-N 0 3 237.343 2.507 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1ccccc1F ZINC000083462493 171186664 /nfs/dbraw/zinc/18/66/64/171186664.db2.gz PSZGALKNKPGEAG-ZWNOBZJWSA-N 0 3 225.307 2.637 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cc(C)ncn2)C1 ZINC000292382069 171199113 /nfs/dbraw/zinc/19/91/13/171199113.db2.gz HOSSJOXTVSVFKW-AWEZNQCLSA-N 0 3 233.359 2.797 20 0 BFADHN CC[C@@]1(C)C[C@H]1C(=O)N1Cc2ccccc2CO1 ZINC000360405873 171206985 /nfs/dbraw/zinc/20/69/85/171206985.db2.gz JBJFCFXLUDLCJD-ZFWWWQNUSA-N 0 3 245.322 2.897 20 0 BFADHN CC[C@H](C(=O)N(C(C)C)C1CC1)N(CC)CC ZINC000359784261 171220421 /nfs/dbraw/zinc/22/04/21/171220421.db2.gz BAPRPJIAEDLIOB-CYBMUJFWSA-N 0 3 240.391 2.506 20 0 BFADHN CC[C@H](C(=O)N[C@@H](C)CCCC(C)C)N(C)C ZINC000124692714 171232200 /nfs/dbraw/zinc/23/22/00/171232200.db2.gz ATHWJWLBKKCBMH-QWHCGFSZSA-N 0 3 242.407 2.658 20 0 BFADHN CC[C@H](C(=O)N[C@H](C)CCCC(C)C)N(C)C ZINC000124693175 171233133 /nfs/dbraw/zinc/23/31/33/171233133.db2.gz ATHWJWLBKKCBMH-CHWSQXEVSA-N 0 3 242.407 2.658 20 0 BFADHN CC[C@H](C(=O)Nc1ccccc1)N(CC)CC ZINC000280805763 171234737 /nfs/dbraw/zinc/23/47/37/171234737.db2.gz WJJBXBDFQFYLCE-CYBMUJFWSA-N 0 3 234.343 2.746 20 0 BFADHN CC[C@H](C)CN(C)C(=O)C[C@H](N)c1ccccc1 ZINC000037173413 171269856 /nfs/dbraw/zinc/26/98/56/171269856.db2.gz RRYXTVZFOFMYKH-JSGCOSHPSA-N 0 3 248.370 2.581 20 0 BFADHN CC[C@H](C)CN(C)C(=O)Nc1cccc(CN)c1 ZINC000037173987 171270036 /nfs/dbraw/zinc/27/00/36/171270036.db2.gz AHZOGBIPRIIUDN-NSHDSACASA-N 0 3 249.358 2.655 20 0 BFADHN CC[C@H](C)CN(C)CC(=O)N(C)c1ccccc1 ZINC000111253340 171272868 /nfs/dbraw/zinc/27/28/68/171272868.db2.gz ADUKDENKDPJATO-ZDUSSCGKSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H](C)CN(CC(N)=O)[C@H](C)c1ccccc1 ZINC000123722926 171275953 /nfs/dbraw/zinc/27/59/53/171275953.db2.gz UGGQSXZIWXFOLU-QWHCGFSZSA-N 0 3 248.370 2.581 20 0 BFADHN CC[C@H](C)CN1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289739539 171283111 /nfs/dbraw/zinc/28/31/11/171283111.db2.gz DWODJVAJDDRZKL-JQWIXIFHSA-N 0 3 241.766 2.829 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1ccc(CO)o1 ZINC000083863658 171298355 /nfs/dbraw/zinc/29/83/55/171298355.db2.gz PCKXWKRCTCDZSO-QWRGUYRKSA-N 0 3 225.332 2.686 20 0 BFADHN CC[C@H](C)N(C)CC(=O)Nc1ccccc1C ZINC000104687770 171304363 /nfs/dbraw/zinc/30/43/63/171304363.db2.gz JTCUXFJVWZLSJN-LBPRGKRZSA-N 0 3 234.343 2.664 20 0 BFADHN CC[C@H](C)N(C)CCOc1ccccc1C(C)=O ZINC000037323178 171307574 /nfs/dbraw/zinc/30/75/74/171307574.db2.gz NEKYDCMCKOTDBS-LBPRGKRZSA-N 0 3 249.354 2.998 20 0 BFADHN CC[C@H](C)N(C)Cc1ccc([S@](C)=O)cc1 ZINC000179325146 171308115 /nfs/dbraw/zinc/30/81/15/171308115.db2.gz QABYMEPDEXLJRV-ZBEGNZNMSA-N 0 3 239.384 2.654 20 0 BFADHN CC[C@H](C)N1CCc2c(F)cccc2C1 ZINC000337324179 171321051 /nfs/dbraw/zinc/32/10/51/171321051.db2.gz UBIPDOPUCAKUPG-JTQLQIEISA-N 0 3 207.292 2.982 20 0 BFADHN CC[C@H](C)NCc1ccc(OC)cc1F ZINC000083346631 171343387 /nfs/dbraw/zinc/34/33/87/171343387.db2.gz QJPVAIMQCCPEHM-VIFPVBQESA-N 0 3 211.280 2.722 20 0 BFADHN CC[C@H](C)NCc1cnc([C@H]2CCCO2)s1 ZINC000082416814 171343913 /nfs/dbraw/zinc/34/39/13/171343913.db2.gz AUDLZTIJVLKYRI-GXSJLCMTSA-N 0 3 240.372 2.883 20 0 BFADHN CC[C@H](C)N[C@@H](C)c1cc(C(=O)OC)co1 ZINC000278183494 171346226 /nfs/dbraw/zinc/34/62/26/171346226.db2.gz DRVWTJCJFHKTAC-IUCAKERBSA-N 0 3 225.288 2.515 20 0 BFADHN CC[C@H](C)[C@@H](C)NCC(=O)Nc1ccc(C)cc1 ZINC000042556223 171364469 /nfs/dbraw/zinc/36/44/69/171364469.db2.gz APBGURAMEMWSIE-QWHCGFSZSA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1occc1C(=O)OC ZINC000273358662 171366510 /nfs/dbraw/zinc/36/65/10/171366510.db2.gz WEORMPWZJMPMRR-VHSXEESVSA-N 0 3 239.315 2.590 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1cnccn1 ZINC000070025551 171367052 /nfs/dbraw/zinc/36/70/52/171367052.db2.gz QQKOKZHHPMWAMO-AXFHLTTASA-N 0 3 207.321 2.562 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1cnc(C)s1)OC ZINC000294115597 171368444 /nfs/dbraw/zinc/36/84/44/171368444.db2.gz DHBNGWSYCQNWOH-JOYOIKCWSA-N 0 3 242.388 2.602 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1cccc(O)c1)OC ZINC000294262055 171368452 /nfs/dbraw/zinc/36/84/52/171368452.db2.gz QZMZDPCROYCXIK-SMDDNHRTSA-N 0 3 237.343 2.543 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)N[C@@H](C)CCCC(C)C ZINC000040858546 171371759 /nfs/dbraw/zinc/37/17/59/171371759.db2.gz LCVJGUBELAJMDH-RWMBFGLXSA-N 0 3 242.407 2.691 20 0 BFADHN CC[C@H](C)[C@H](CNCc1cnc(C)s1)OC ZINC000294115595 171391836 /nfs/dbraw/zinc/39/18/36/171391836.db2.gz DHBNGWSYCQNWOH-CABZTGNLSA-N 0 3 242.388 2.602 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)N[C@@H](C)c1ccc(C)cc1 ZINC000037764940 171395148 /nfs/dbraw/zinc/39/51/48/171395148.db2.gz OLJFGWIDIULQMV-OBJOEFQTSA-N 0 3 248.370 2.546 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1cc(C)ccn1)C1CC1 ZINC000665126212 412104648 /nfs/dbraw/zinc/10/46/48/412104648.db2.gz JVODEEVSYWZCNF-DOMZBBRYSA-N 0 3 248.370 2.683 20 0 BFADHN CN(C)CCOc1cccc(-c2cnsc2)c1 ZINC000665138603 412109735 /nfs/dbraw/zinc/10/97/35/412109735.db2.gz YMFCQSXMIVALLZ-UHFFFAOYSA-N 0 3 248.351 2.751 20 0 BFADHN CN(Cc1cncs1)C[C@H]1CC1(C)C ZINC000662345072 412112971 /nfs/dbraw/zinc/11/29/71/412112971.db2.gz VSXLFPADJCPBOI-SECBINFHSA-N 0 3 210.346 2.621 20 0 BFADHN COc1ncccc1CN(C)C[C@H]1CC1(C)C ZINC000662344331 412113851 /nfs/dbraw/zinc/11/38/51/412113851.db2.gz PICVFLKDWBQLOA-GFCCVEGCSA-N 0 3 234.343 2.568 20 0 BFADHN Cc1cccnc1CN(C)C[C@@H]1CC1(C)C ZINC000662346114 412116037 /nfs/dbraw/zinc/11/60/37/412116037.db2.gz RMUQNEFBJNMJIQ-LBPRGKRZSA-N 0 3 218.344 2.868 20 0 BFADHN C[C@@H](c1cnccn1)N(C)C[C@@H]1CC1(C)C ZINC000662345853 412116725 /nfs/dbraw/zinc/11/67/25/412116725.db2.gz MQNLYVZOWULFIU-QWRGUYRKSA-N 0 3 219.332 2.516 20 0 BFADHN COc1ccnc(CN(C)C[C@@H]2CC2(C)C)c1 ZINC000662349020 412120254 /nfs/dbraw/zinc/12/02/54/412120254.db2.gz ZLFGBPGQGHRPPM-NSHDSACASA-N 0 3 234.343 2.568 20 0 BFADHN C[C@H]1CN(CCC2CCC2)C[C@@](C)(C(F)F)O1 ZINC000662357698 412121699 /nfs/dbraw/zinc/12/16/99/412121699.db2.gz DHWNBPIEIOWCID-GWCFXTLKSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1CN(CCC2CC2)C[C@@](C)(C(F)F)O1 ZINC000662359890 412123568 /nfs/dbraw/zinc/12/35/68/412123568.db2.gz OJBZFBKJJLXPEF-SKDRFNHKSA-N 0 3 233.302 2.531 20 0 BFADHN CC(C)CCN1C[C@H](C)O[C@@](C)(C(F)F)C1 ZINC000662363736 412123781 /nfs/dbraw/zinc/12/37/81/412123781.db2.gz KPYNPZOZLCHXRK-CMPLNLGQSA-N 0 3 235.318 2.777 20 0 BFADHN CC(C)CCN1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662363741 412123972 /nfs/dbraw/zinc/12/39/72/412123972.db2.gz KPYNPZOZLCHXRK-PWSUYJOCSA-N 0 3 235.318 2.777 20 0 BFADHN C[C@H]1CN(CC2CC=CC2)C[C@@](C)(C(F)F)O1 ZINC000662359414 412124157 /nfs/dbraw/zinc/12/41/57/412124157.db2.gz ZEWLFDFNGRQYHZ-GWCFXTLKSA-N 0 3 245.313 2.697 20 0 BFADHN C[C@@H]1CN(CCC2CC2)C[C@](C)(C(F)F)O1 ZINC000662359887 412124165 /nfs/dbraw/zinc/12/41/65/412124165.db2.gz OJBZFBKJJLXPEF-BXKDBHETSA-N 0 3 233.302 2.531 20 0 BFADHN CC12CC(C1)CN2Cc1cccc(F)c1 ZINC000662380479 412130868 /nfs/dbraw/zinc/13/08/68/412130868.db2.gz MTXLYNWFYZABAZ-UHFFFAOYSA-N 0 3 205.276 2.810 20 0 BFADHN CCN(Cc1occc1C)[C@@H]1CCCOC1 ZINC000665241115 412183098 /nfs/dbraw/zinc/18/30/98/412183098.db2.gz KNTGEOSVBHNVNC-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN CC[C@H](NC)C(=O)Nc1cc(C)ccc1Cl ZINC000662465997 412184751 /nfs/dbraw/zinc/18/47/51/412184751.db2.gz PBGWDJVLMPMGQY-JTQLQIEISA-N 0 3 240.734 2.585 20 0 BFADHN CC[C@H](NCC(C)(C)C)c1ccn(C)n1 ZINC000308961134 171516602 /nfs/dbraw/zinc/51/66/02/171516602.db2.gz SCCJAMHCHBUOQD-JTQLQIEISA-N 0 3 209.337 2.507 20 0 BFADHN CC[C@H](NCCC=C(C)C)c1ccn(C)n1 ZINC000309693585 171519205 /nfs/dbraw/zinc/51/92/05/171519205.db2.gz KIRGARBRGJXKGY-LBPRGKRZSA-N 0 3 221.348 2.817 20 0 BFADHN CC[C@H](N[C@H](C)CO)c1ccc(Cl)s1 ZINC000166790382 171544722 /nfs/dbraw/zinc/54/47/22/171544722.db2.gz FSVFJCYHBQNKLI-SFYZADRCSA-N 0 3 233.764 2.823 20 0 BFADHN Cc1ccc(NC(=O)C2(NC3CC3)CCC2)cc1 ZINC000662432421 412169745 /nfs/dbraw/zinc/16/97/45/412169745.db2.gz OEIXWDGYNAOHQG-UHFFFAOYSA-N 0 3 244.338 2.608 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1ccc(Cl)cc1C ZINC000662433477 412169970 /nfs/dbraw/zinc/16/99/70/412169970.db2.gz HQYDXDAOIMZBDD-SNVBAGLBSA-N 0 3 240.734 2.585 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1ccc(C)cc1Cl ZINC000662434024 412171097 /nfs/dbraw/zinc/17/10/97/412171097.db2.gz DPUFTUSAUXJLPG-SNVBAGLBSA-N 0 3 240.734 2.585 20 0 BFADHN CC[C@@H](NC[C@@H](O)CC)c1ccc(F)cc1F ZINC000179623248 171577892 /nfs/dbraw/zinc/57/78/92/171577892.db2.gz QACOXLBFSRGQBN-GXFFZTMASA-N 0 3 243.297 2.776 20 0 BFADHN Cc1noc(C)c1CN1CC[C@H](C2CCC2)C1 ZINC000665284388 412229767 /nfs/dbraw/zinc/22/97/67/412229767.db2.gz JMGXYFIXQXBSQV-ZDUSSCGKSA-N 0 3 234.343 2.913 20 0 BFADHN COc1ccc(CN2CC[C@H](C3CCC3)C2)cn1 ZINC000665286043 412231049 /nfs/dbraw/zinc/23/10/49/412231049.db2.gz CUSQOOBMXFBKHU-AWEZNQCLSA-N 0 3 246.354 2.712 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@H](C2CCC2)C1 ZINC000665290030 412235210 /nfs/dbraw/zinc/23/52/10/412235210.db2.gz GHTPJVWOLIPCLH-AAEUAGOBSA-N 0 3 231.343 2.660 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@@H](C2CCC2)C1 ZINC000665290032 412235527 /nfs/dbraw/zinc/23/55/27/412235527.db2.gz GHTPJVWOLIPCLH-WCQYABFASA-N 0 3 231.343 2.660 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@H](C2CCC2)C1 ZINC000665290033 412235695 /nfs/dbraw/zinc/23/56/95/412235695.db2.gz GHTPJVWOLIPCLH-YPMHNXCESA-N 0 3 231.343 2.660 20 0 BFADHN CCc1ccc(NC(=O)[C@H](CC)NC)cc1CC ZINC000662822319 412311840 /nfs/dbraw/zinc/31/18/40/412311840.db2.gz NHUFIKMCMDMNJE-AWEZNQCLSA-N 0 3 248.370 2.748 20 0 BFADHN CCCC[C@@H](CC)CCCN(C)C(=O)CNC ZINC000663000912 412366713 /nfs/dbraw/zinc/36/67/13/412366713.db2.gz NETJVUAWNOOEJT-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CCC[C@@](C)(N)C(=O)N1C[C@@H](CC)CC[C@@H]1C ZINC000662888520 412335980 /nfs/dbraw/zinc/33/59/80/412335980.db2.gz BESCZMQSBPYBIW-SGMGOOAPSA-N 0 3 240.391 2.541 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1cccc(F)c1F ZINC000127474910 171704257 /nfs/dbraw/zinc/70/42/57/171704257.db2.gz ADFHDZKFMPNWAC-RNCFNFMXSA-N 0 3 243.297 2.776 20 0 BFADHN CN(CCc1cncs1)Cc1cccc(O)c1 ZINC000663048070 412376638 /nfs/dbraw/zinc/37/66/38/412376638.db2.gz DCZWUASUTZPLJM-UHFFFAOYSA-N 0 3 248.351 2.523 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](CO)CC2CC2)o1 ZINC000678605032 487623141 /nfs/dbraw/zinc/62/31/41/487623141.db2.gz BTHJBVKVMJSWHA-ZYHUDNBSSA-N 0 3 237.343 2.654 20 0 BFADHN CCc1cc(N[C@H]2CCOC2)c2ccccc2n1 ZINC000301780815 171769766 /nfs/dbraw/zinc/76/97/66/171769766.db2.gz RSDJIZGIMGMZJP-LBPRGKRZSA-N 0 3 242.322 2.998 20 0 BFADHN C[C@@H](N[C@@H](CO)CC1CC1)c1ccccc1F ZINC000678605015 487623211 /nfs/dbraw/zinc/62/32/11/487623211.db2.gz BLLDXAMWOSRQDF-ZYHUDNBSSA-N 0 3 237.318 2.637 20 0 BFADHN CCc1ccc(CNC[C@@H](C)SC)o1 ZINC000128113830 171806684 /nfs/dbraw/zinc/80/66/84/171806684.db2.gz COYZRHLFRBYBIC-SECBINFHSA-N 0 3 213.346 2.683 20 0 BFADHN CCc1ccc([C@H](C)NCCCOC)o1 ZINC000151708133 171838818 /nfs/dbraw/zinc/83/88/18/171838818.db2.gz XMPJVJPWNUOQSL-JTQLQIEISA-N 0 3 211.305 2.529 20 0 BFADHN CCc1cccc(F)c1CNC[C@@H](C)OC ZINC000309938261 171858174 /nfs/dbraw/zinc/85/81/74/171858174.db2.gz ASFQUTBSXHTNTE-SNVBAGLBSA-N 0 3 225.307 2.513 20 0 BFADHN CCc1nc(CN[C@H]2CC[C@H]2C)cs1 ZINC000308549070 171987923 /nfs/dbraw/zinc/98/79/23/171987923.db2.gz QTQAOICNUJWWKR-SCZZXKLOSA-N 0 3 210.346 2.594 20 0 BFADHN Fc1cnccc1CN[C@H]1CCC[C@@H](F)C1 ZINC000335388728 487623946 /nfs/dbraw/zinc/62/39/46/487623946.db2.gz XEIYBDIRXJWOGD-MNOVXSKESA-N 0 3 226.270 2.591 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C)[C@H]2C)s1 ZINC000271264737 172016801 /nfs/dbraw/zinc/01/68/01/172016801.db2.gz LODHLKGRADDESQ-NXEZZACHSA-N 0 3 224.373 2.936 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CCC[C@H]1C ZINC000124411066 172037362 /nfs/dbraw/zinc/03/73/62/172037362.db2.gz DZPSVWVJYJKJBI-LLVKDONJSA-N 0 3 235.375 2.529 20 0 BFADHN CCc1nnc(CN[C@@H](CC)C(C)C)s1 ZINC000336734475 172054318 /nfs/dbraw/zinc/05/43/18/172054318.db2.gz NDNAOQONAXEREM-VIFPVBQESA-N 0 3 227.377 2.625 20 0 BFADHN CCc1noc(C)c1CN(C)CC1CCC1 ZINC000119113654 172074988 /nfs/dbraw/zinc/07/49/88/172074988.db2.gz KVCBRSSFZOZXSX-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN CCc1noc(C)c1CN1CC[C@@H](C)[C@@H]1C ZINC000119600583 172077599 /nfs/dbraw/zinc/07/75/99/172077599.db2.gz ZRIWUMYDKHNCJO-ZJUUUORDSA-N 0 3 222.332 2.776 20 0 BFADHN CCc1noc(C)c1CN1CCC[C@@H]1C ZINC000119514564 172078247 /nfs/dbraw/zinc/07/82/47/172078247.db2.gz JWCFDGVPZMDNSM-VIFPVBQESA-N 0 3 208.305 2.530 20 0 BFADHN CCc1noc(C)c1CN1CCC[C@H]1C ZINC000119514746 172078363 /nfs/dbraw/zinc/07/83/63/172078363.db2.gz JWCFDGVPZMDNSM-SECBINFHSA-N 0 3 208.305 2.530 20 0 BFADHN CCc1noc(C)c1CNC[C@@H](C)CC ZINC000292875696 172078693 /nfs/dbraw/zinc/07/86/93/172078693.db2.gz DOYVPQHOXBTVJG-VIFPVBQESA-N 0 3 210.321 2.681 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CCC1(C)C ZINC000340439628 172078731 /nfs/dbraw/zinc/07/87/31/172078731.db2.gz RAXGQAKRMJJTIQ-GFCCVEGCSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CC[C@@H]1C1CC1 ZINC000340438183 172079089 /nfs/dbraw/zinc/07/90/89/172079089.db2.gz GBUIHUHXQXFBNB-BXUZGUMPSA-N 0 3 234.343 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CC[C@@H]1C1CC1 ZINC000340438186 172080409 /nfs/dbraw/zinc/08/04/09/172080409.db2.gz GBUIHUHXQXFBNB-RISCZKNCSA-N 0 3 234.343 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CC[C@H](C)C1 ZINC000339435647 172081228 /nfs/dbraw/zinc/08/12/28/172081228.db2.gz DPEJJFBCCFGBIU-ONGXEEELSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(CC)c1CNC[C@@H]1C[C@H]1C ZINC000309654212 172082996 /nfs/dbraw/zinc/08/29/96/172082996.db2.gz PCXATZAYPJFBMT-ZJUUUORDSA-N 0 3 222.332 2.545 20 0 BFADHN CCc1nocc1CN(C)CCC(C)C ZINC000293315043 172093437 /nfs/dbraw/zinc/09/34/37/172093437.db2.gz FQCVBDAZMMMGFK-UHFFFAOYSA-N 0 3 210.321 2.715 20 0 BFADHN CCc1nocc1CN1CCC[C@H](C)CC1 ZINC000336328769 172097860 /nfs/dbraw/zinc/09/78/60/172097860.db2.gz RNPFMPNQBGQUIJ-NSHDSACASA-N 0 3 222.332 2.859 20 0 BFADHN CCc1nocc1CN1CC[C@@H]2CCC[C@H]21 ZINC000336315631 172098930 /nfs/dbraw/zinc/09/89/30/172098930.db2.gz FZULVCCYUISHBQ-GXFFZTMASA-N 0 3 220.316 2.611 20 0 BFADHN CCc1nocc1CNC[C@H]1CC[C@H](C)C1 ZINC000339386320 172101706 /nfs/dbraw/zinc/10/17/06/172101706.db2.gz XYWXOVMQKXJKPM-QWRGUYRKSA-N 0 3 222.332 2.763 20 0 BFADHN CCc1nocc1CN[C@H]1CCC1(C)C ZINC000339401570 172101890 /nfs/dbraw/zinc/10/18/90/172101890.db2.gz WIXCDBPOSDRLDB-NSHDSACASA-N 0 3 208.305 2.515 20 0 BFADHN CCc1oc(CN[C@H](C)CC)cc1C(=O)OC ZINC000338945887 172112291 /nfs/dbraw/zinc/11/22/91/172112291.db2.gz XRBKDPNQZYJWJU-SECBINFHSA-N 0 3 239.315 2.517 20 0 BFADHN CCn1cc(CN(C)CC2CCCC2)cn1 ZINC000113943248 172160692 /nfs/dbraw/zinc/16/06/92/172160692.db2.gz KXXKRGQAWCJKEX-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1cc(CN2CCC(CC)(CC)C2)cn1 ZINC000353608814 172161967 /nfs/dbraw/zinc/16/19/67/172161967.db2.gz IGPUERPNKBOWTB-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCn1cc(CN2CCCC(C)(C)C2)cn1 ZINC000121130045 172161994 /nfs/dbraw/zinc/16/19/94/172161994.db2.gz KPXKDGIPEVXGEP-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1cc(CN2CCC3(CCC3)CC2)cn1 ZINC000368590419 172162768 /nfs/dbraw/zinc/16/27/68/172162768.db2.gz CTNVJZTWGUUVRP-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN CCn1cc(CN2C[C@H](C)C[C@H](C)[C@H]2C)cn1 ZINC000355075403 172166429 /nfs/dbraw/zinc/16/64/29/172166429.db2.gz JTNVJPSEIAGWEI-FRRDWIJNSA-N 0 3 235.375 2.769 20 0 BFADHN CCn1cc(CN[C@H]2CCC23CCCC3)cn1 ZINC000353978802 172175027 /nfs/dbraw/zinc/17/50/27/172175027.db2.gz NSLUUMZTJZGELZ-ZDUSSCGKSA-N 0 3 233.359 2.715 20 0 BFADHN CCn1cc(CN[C@H]2CCc3ccccc32)cn1 ZINC000050539547 172175580 /nfs/dbraw/zinc/17/55/80/172175580.db2.gz WVWBZCXHGHYECR-HNNXBMFYSA-N 0 3 241.338 2.680 20 0 BFADHN CCn1cc([C@@H](C)NCCC(F)(F)F)cn1 ZINC000063099772 172193021 /nfs/dbraw/zinc/19/30/21/172193021.db2.gz GZXOTKMDDHEEIS-MRVPVSSYSA-N 0 3 235.253 2.506 20 0 BFADHN CCn1ccnc1CN1CCCCC[C@H]1C ZINC000334148309 172219223 /nfs/dbraw/zinc/21/92/23/172219223.db2.gz AIHYLBRDPXYRSW-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN CCn1ccnc1CN1CCC[C@H]1C(C)(C)C ZINC000353445017 172220575 /nfs/dbraw/zinc/22/05/75/172220575.db2.gz WAIBJMNXPAPGOP-LBPRGKRZSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1ccnc1CN1CCC[C@H]1C(C)C ZINC000341832770 172221056 /nfs/dbraw/zinc/22/10/56/172221056.db2.gz YAJKHSCLRXDEKU-LBPRGKRZSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1ccnc1CN1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000336722491 172222564 /nfs/dbraw/zinc/22/25/64/172222564.db2.gz AXJLHESMXHSJSG-JHJVBQTASA-N 0 3 235.375 2.769 20 0 BFADHN CCn1ccnc1CN1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000336722487 172222644 /nfs/dbraw/zinc/22/26/44/172222644.db2.gz AXJLHESMXHSJSG-AGIUHOORSA-N 0 3 235.375 2.769 20 0 BFADHN CCn1ccnc1CN1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000336722493 172222992 /nfs/dbraw/zinc/22/29/92/172222992.db2.gz AXJLHESMXHSJSG-UPJWGTAASA-N 0 3 235.375 2.769 20 0 BFADHN CCn1ccnc1CN[C@H]1CCC[C@H](C)C1 ZINC000051921448 172223842 /nfs/dbraw/zinc/22/38/42/172223842.db2.gz ZDDIQSQSKMMFSR-RYUDHWBXSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccnc1CN[C@@H](C)CCC1CC1 ZINC000336777350 172224158 /nfs/dbraw/zinc/22/41/58/172224158.db2.gz IFOKUTNLPRFNBW-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC(C)(C)C1 ZINC000122394703 172224495 /nfs/dbraw/zinc/22/44/95/172224495.db2.gz AFOVPNNJCXJQJB-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC(C)(C)C ZINC000295170350 172227486 /nfs/dbraw/zinc/22/74/86/172227486.db2.gz FZJJEQHYALUJHE-SNVBAGLBSA-N 0 3 209.337 2.600 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC1CC(F)(F)C1 ZINC000297201737 172228098 /nfs/dbraw/zinc/22/80/98/172228098.db2.gz GABBZVQWGWSZOC-SECBINFHSA-N 0 3 243.301 2.599 20 0 BFADHN CCn1nc(C)c(CN2CCCC3(CC3)C2)c1C ZINC000336550653 172246162 /nfs/dbraw/zinc/24/61/62/172246162.db2.gz XUCVWQPCGATTKJ-UHFFFAOYSA-N 0 3 247.386 2.896 20 0 BFADHN CCn1nccc1CN(CC1CC1)CC1CCC1 ZINC000355737956 172267534 /nfs/dbraw/zinc/26/75/34/172267534.db2.gz WEPWNIUMJSNLMI-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CN(C)Cc1cccc(NC[C@H]2CCCOC2)c1 ZINC000111318917 172504185 /nfs/dbraw/zinc/50/41/85/172504185.db2.gz FETCIHSOCQPJSH-CQSZACIVSA-N 0 3 248.370 2.587 20 0 BFADHN CN1CCN(Cc2ccco2)c2ccccc2C1 ZINC000353589587 173090578 /nfs/dbraw/zinc/09/05/78/173090578.db2.gz QSMBSDJKEAGDKU-UHFFFAOYSA-N 0 3 242.322 2.732 20 0 BFADHN CN1CC[C@H](Nc2cc(Cl)ccc2F)C1 ZINC000061984967 173155230 /nfs/dbraw/zinc/15/52/30/173155230.db2.gz LPHYNOPZUWRGLH-VIFPVBQESA-N 0 3 228.698 2.595 20 0 BFADHN CNC(=O)CCN[C@H](C)c1ccc(C(C)C)cc1 ZINC000044316917 173260747 /nfs/dbraw/zinc/26/07/47/173260747.db2.gz RGIHAMNUZDJAHK-GFCCVEGCSA-N 0 3 248.370 2.597 20 0 BFADHN CNCc1c(C)nn(C2CCCCC2)c1C ZINC000040538503 173385123 /nfs/dbraw/zinc/38/51/23/173385123.db2.gz JJUNZBSBLJMWJX-UHFFFAOYSA-N 0 3 221.348 2.725 20 0 BFADHN CNCc1cccc(NC(=O)[C@@H]2CC=CCC2)c1 ZINC000036282675 173389408 /nfs/dbraw/zinc/38/94/08/173389408.db2.gz DMYXWIYPMTTZOV-CYBMUJFWSA-N 0 3 244.338 2.701 20 0 BFADHN CNCc1cccc(NC(=O)C2(C)CCCC2)c1 ZINC000094905704 173389427 /nfs/dbraw/zinc/38/94/27/173389427.db2.gz KRNHYTNTZDEOLQ-UHFFFAOYSA-N 0 3 246.354 2.925 20 0 BFADHN CNCc1cccc(NC(=O)[C@H]2CC=CCC2)c1 ZINC000036282674 173389775 /nfs/dbraw/zinc/38/97/75/173389775.db2.gz DMYXWIYPMTTZOV-ZDUSSCGKSA-N 0 3 244.338 2.701 20 0 BFADHN CNCc1cccc(NC(=O)C[C@@H]2C=CCC2)c1 ZINC000036282532 173389850 /nfs/dbraw/zinc/38/98/50/173389850.db2.gz CUXPAAJCHIVQFU-GFCCVEGCSA-N 0 3 244.338 2.701 20 0 BFADHN CNCc1cccc(NC(=O)CCC2CCC2)c1 ZINC000237205094 173389885 /nfs/dbraw/zinc/38/98/85/173389885.db2.gz DXVHYSYPSCAJHH-UHFFFAOYSA-N 0 3 246.354 2.925 20 0 BFADHN COC1(CCNCc2csc(C)n2)CCC1 ZINC000292979736 173990247 /nfs/dbraw/zinc/99/02/47/173990247.db2.gz MYTFFNFQLHKGLK-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN COC1(CN[C@@H](C)c2ccccn2)CCCC1 ZINC000295962945 174003869 /nfs/dbraw/zinc/00/38/69/174003869.db2.gz HYXOQVDRVNHUEQ-LBPRGKRZSA-N 0 3 234.343 2.691 20 0 BFADHN COC1(CN[C@H](C)c2cnccc2C)CCC1 ZINC000356847103 174004683 /nfs/dbraw/zinc/00/46/83/174004683.db2.gz NXJSUJPWZIKDJE-GFCCVEGCSA-N 0 3 234.343 2.610 20 0 BFADHN COC1(CN[C@H](C)c2cc(C)ccn2)CCCC1 ZINC000295987120 174004932 /nfs/dbraw/zinc/00/49/32/174004932.db2.gz JXUNMIFSAYLGHI-CYBMUJFWSA-N 0 3 248.370 3.000 20 0 BFADHN COC1CCC(C)(CNCc2ccco2)CC1 ZINC000355131473 174019790 /nfs/dbraw/zinc/01/97/90/174019790.db2.gz ZBRSKYDKUATGFY-UHFFFAOYSA-N 0 3 237.343 2.965 20 0 BFADHN COC1CCN(Cc2cc(C)sc2C)CC1 ZINC000299210986 174028424 /nfs/dbraw/zinc/02/84/24/174028424.db2.gz CZGSOPAJZKEHTC-UHFFFAOYSA-N 0 3 239.384 2.976 20 0 BFADHN COC1CCN(Cc2cc(F)ccc2C)CC1 ZINC000272334890 174028811 /nfs/dbraw/zinc/02/88/11/174028811.db2.gz XCWPARHFGXFKHQ-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN COC1CCN([C@@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000336278326 174031118 /nfs/dbraw/zinc/03/11/18/174031118.db2.gz BJUDUGHHQBVJQH-LSDHHAIUSA-N 0 3 249.329 2.792 20 0 BFADHN COCC(C)(C)CNCc1c(F)cccc1F ZINC000276912244 174073286 /nfs/dbraw/zinc/07/32/86/174073286.db2.gz JMZIGEUWMFBDSH-UHFFFAOYSA-N 0 3 243.297 2.727 20 0 BFADHN COCC(C)(C)CN[C@@H](C)c1ccco1 ZINC000293282608 174074242 /nfs/dbraw/zinc/07/42/42/174074242.db2.gz CYIYEWXPYXNUOH-JTQLQIEISA-N 0 3 211.305 2.603 20 0 BFADHN COCC(C)(C)CN[C@@H](C)c1csc(C)n1 ZINC000293877153 174075272 /nfs/dbraw/zinc/07/52/72/174075272.db2.gz YMUZRZPXFDENTP-VIFPVBQESA-N 0 3 242.388 2.775 20 0 BFADHN COCC(C)(C)CN[C@H](C)c1ccc(C)o1 ZINC000293676545 174076358 /nfs/dbraw/zinc/07/63/58/174076358.db2.gz MXKAIOLZXLMPJH-LLVKDONJSA-N 0 3 225.332 2.911 20 0 BFADHN COCC(C)(C)CNc1cc(C)cc(C)n1 ZINC000336774306 174076436 /nfs/dbraw/zinc/07/64/36/174076436.db2.gz WSSJAZMGFAKNDR-UHFFFAOYSA-N 0 3 222.332 2.783 20 0 BFADHN COCC(C)(C)NCc1cc(Cl)cs1 ZINC000125334844 174082982 /nfs/dbraw/zinc/08/29/82/174082982.db2.gz NVRVXGMQRUVGIC-UHFFFAOYSA-N 0 3 233.764 2.916 20 0 BFADHN COCC1(C)CCN(Cc2cccnc2C)CC1 ZINC000354802036 174092875 /nfs/dbraw/zinc/09/28/75/174092875.db2.gz ZQXUBZMGCDUJJJ-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN COCC1(CN(C)CCC(F)(F)F)CCC1 ZINC000361297643 174095570 /nfs/dbraw/zinc/09/55/70/174095570.db2.gz UBXPBMMIBUNLLU-UHFFFAOYSA-N 0 3 239.281 2.687 20 0 BFADHN COCC1(NC/C=C/c2ccc(F)cc2)CCC1 ZINC000284184951 174109770 /nfs/dbraw/zinc/10/97/70/174109770.db2.gz XWPGYIQNLHGZDO-DUXPYHPUSA-N 0 3 249.329 2.998 20 0 BFADHN COCC1=CCN(C/C=C/c2ccccc2)CC1 ZINC000185853798 174115280 /nfs/dbraw/zinc/11/52/80/174115280.db2.gz QGCQLVFBBRYOBI-VMPITWQZSA-N 0 3 243.350 2.978 20 0 BFADHN COCCC1(CN[C@H](C)c2ccco2)CC1 ZINC000090536917 174156750 /nfs/dbraw/zinc/15/67/50/174156750.db2.gz XZLNCOOPKRVJGA-LLVKDONJSA-N 0 3 223.316 2.747 20 0 BFADHN COCCC1(NCc2ccccc2OC)CCC1 ZINC000355489010 174159043 /nfs/dbraw/zinc/15/90/43/174159043.db2.gz WKXDSRDHWIKNQK-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN COCCCCCN[C@@H](C)c1nccs1 ZINC000093403401 174183150 /nfs/dbraw/zinc/18/31/50/174183150.db2.gz FSJGWWUDPRHKDU-JTQLQIEISA-N 0 3 228.361 2.610 20 0 BFADHN COCCCCN(C)[C@@H](C)c1ccncc1 ZINC000119789378 174187577 /nfs/dbraw/zinc/18/75/77/174187577.db2.gz KMEAKOJIZCBXDR-LBPRGKRZSA-N 0 3 222.332 2.501 20 0 BFADHN COCCCCN1CCc2c(F)cccc2C1 ZINC000152851004 174193572 /nfs/dbraw/zinc/19/35/72/174193572.db2.gz SNXPQNPNMUJBLC-UHFFFAOYSA-N 0 3 237.318 2.610 20 0 BFADHN COCCCCN1CC[C@H]1c1ccccc1 ZINC000336660587 174194227 /nfs/dbraw/zinc/19/42/27/174194227.db2.gz ASENDJKWAOWTCZ-AWEZNQCLSA-N 0 3 219.328 2.860 20 0 BFADHN COCCCCNCc1cccc(C)c1F ZINC000296617563 174199524 /nfs/dbraw/zinc/19/95/24/174199524.db2.gz ZRHBZEPIJSZKDK-UHFFFAOYSA-N 0 3 225.307 2.650 20 0 BFADHN COCCCCNC(C)(C)c1ncc(C)s1 ZINC000183937536 174199829 /nfs/dbraw/zinc/19/98/29/174199829.db2.gz OILXWSGPFQYBAV-UHFFFAOYSA-N 0 3 242.388 2.703 20 0 BFADHN COCCCCNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000044655330 174200343 /nfs/dbraw/zinc/20/03/43/174200343.db2.gz WYVBKXQRUSFMAQ-AAEUAGOBSA-N 0 3 237.343 2.919 20 0 BFADHN COCCCCNCc1ccsc1Cl ZINC000336660922 174201072 /nfs/dbraw/zinc/20/10/72/174201072.db2.gz RYQCBDRXSBOORC-UHFFFAOYSA-N 0 3 233.764 2.918 20 0 BFADHN COCCCCNCc1sccc1Cl ZINC000193007139 174201553 /nfs/dbraw/zinc/20/15/53/174201553.db2.gz BKYSUYDUPAHLOE-UHFFFAOYSA-N 0 3 233.764 2.918 20 0 BFADHN COCCCN(C)Cc1ccc2ccccc2n1 ZINC000124100812 174219134 /nfs/dbraw/zinc/21/91/34/174219134.db2.gz BERBCWIPBUKSBU-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN COCCCN(C)[C@H](C)c1nc2ccccc2o1 ZINC000181028000 174220735 /nfs/dbraw/zinc/22/07/35/174220735.db2.gz LJKCSJWRYBPMCF-LLVKDONJSA-N 0 3 248.326 2.857 20 0 BFADHN COCCCN1CC=C(c2ccc(O)cc2)CC1 ZINC000368750909 174225670 /nfs/dbraw/zinc/22/56/70/174225670.db2.gz OQVSIWVJHIBZRI-UHFFFAOYSA-N 0 3 247.338 2.518 20 0 BFADHN COCCCNCc1ncc(C(C)(C)C)s1 ZINC000279047185 174247946 /nfs/dbraw/zinc/24/79/46/174247946.db2.gz DDTQICIFUACPMP-UHFFFAOYSA-N 0 3 242.388 2.567 20 0 BFADHN COCCCNCc1cc2ccccc2o1 ZINC000020142843 174248666 /nfs/dbraw/zinc/24/86/66/174248666.db2.gz FOSNNGXIONGHJG-UHFFFAOYSA-N 0 3 219.284 2.559 20 0 BFADHN COCCC[C@H](C)NCc1ccc(Cl)cn1 ZINC000182117276 174264221 /nfs/dbraw/zinc/26/42/21/174264221.db2.gz AYMDMISRIAWJES-JTQLQIEISA-N 0 3 242.750 2.640 20 0 BFADHN COCCN(C)CCSC1CCCCC1 ZINC000337342117 174279761 /nfs/dbraw/zinc/27/97/61/174279761.db2.gz VVSJFPYGJQWXEB-UHFFFAOYSA-N 0 3 231.405 2.631 20 0 BFADHN COCCN(C)Cc1ccc(OCC2CC2)cc1 ZINC000276800465 174280218 /nfs/dbraw/zinc/28/02/18/174280218.db2.gz VJNSNYNONQXKLJ-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN COCCN(C)Cc1ccc2occc2c1 ZINC000289091338 174281394 /nfs/dbraw/zinc/28/13/94/174281394.db2.gz LJIBSEUTGBRSPL-UHFFFAOYSA-N 0 3 219.284 2.511 20 0 BFADHN COCCN(C)[C@H]1CCCc2ccc(F)cc21 ZINC000131712880 174284003 /nfs/dbraw/zinc/28/40/03/174284003.db2.gz YTFQHDBNEXWWHT-AWEZNQCLSA-N 0 3 237.318 2.781 20 0 BFADHN COCCN(C)[C@H]1CCc2c1cccc2Cl ZINC000133377202 174284035 /nfs/dbraw/zinc/28/40/35/174284035.db2.gz NGBLREZJHVSNIQ-ZDUSSCGKSA-N 0 3 239.746 2.906 20 0 BFADHN COCCN(CCC(C)=O)[C@@H](C)c1ccccc1 ZINC000269387452 174289414 /nfs/dbraw/zinc/28/94/14/174289414.db2.gz RBKROFSNVRYYOA-AWEZNQCLSA-N 0 3 249.354 2.675 20 0 BFADHN COCCN(CCCCF)Cc1ccc(C)o1 ZINC000286269397 174290695 /nfs/dbraw/zinc/29/06/95/174290695.db2.gz DYDKIZFAHCQPPU-UHFFFAOYSA-N 0 3 243.322 2.786 20 0 BFADHN COCCN(CCCF)Cc1cccs1 ZINC000361651460 174291184 /nfs/dbraw/zinc/29/11/84/174291184.db2.gz CYKCMDOHXIUMPC-UHFFFAOYSA-N 0 3 231.336 2.556 20 0 BFADHN COCCN(Cc1ccc(F)cc1)CC1CC1 ZINC000115562097 174299288 /nfs/dbraw/zinc/29/92/88/174299288.db2.gz UVNIQBDBHKQLLU-UHFFFAOYSA-N 0 3 237.318 2.684 20 0 BFADHN COCCN(Cc1ccc(F)cc1)C(C)C ZINC000299395519 174299906 /nfs/dbraw/zinc/29/99/06/174299906.db2.gz TWQVYGWQSXBJMC-UHFFFAOYSA-N 0 3 225.307 2.683 20 0 BFADHN COCCN(Cc1ccncc1)[C@@H]1CC[C@@H](C)C1 ZINC000361641074 174301265 /nfs/dbraw/zinc/30/12/65/174301265.db2.gz XLLHRMTWJSZBJO-UKRRQHHQSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN1CCCC[C@@H]1c1ccc(F)cc1 ZINC000267719505 174305012 /nfs/dbraw/zinc/30/50/12/174305012.db2.gz YDJBZAGWNUFJCO-CQSZACIVSA-N 0 3 237.318 2.999 20 0 BFADHN COCCN1CC[C@H](C)C[C@H]1c1ccco1 ZINC000076184240 174312640 /nfs/dbraw/zinc/31/26/40/174312640.db2.gz VJTZUCOQYVLWTR-RYUDHWBXSA-N 0 3 223.316 2.699 20 0 BFADHN COCCNC(C)(C)c1cccc(Cl)c1 ZINC000179601007 174329897 /nfs/dbraw/zinc/32/98/97/174329897.db2.gz XFHDUFDEKHJKTB-UHFFFAOYSA-N 0 3 227.735 2.811 20 0 BFADHN COCCNC(C)(C)c1cccc(Cl)c1F ZINC000274495124 174330602 /nfs/dbraw/zinc/33/06/02/174330602.db2.gz HYVVRKQPKOJOHC-UHFFFAOYSA-N 0 3 245.725 2.950 20 0 BFADHN COCCN[C@H](c1ccc(F)cc1)C1CCC1 ZINC000104703551 174339906 /nfs/dbraw/zinc/33/99/06/174339906.db2.gz RSPOKZOOWLUVPB-AWEZNQCLSA-N 0 3 237.318 2.903 20 0 BFADHN COCCN[C@H]1CC(C)(C)c2cc(OC)ccc21 ZINC000353273193 174340216 /nfs/dbraw/zinc/34/02/16/174340216.db2.gz PYAODDGUQQWDQT-AWEZNQCLSA-N 0 3 249.354 2.654 20 0 BFADHN COCCN[C@H](C)c1cccc(OC(F)F)c1 ZINC000020085581 174340412 /nfs/dbraw/zinc/34/04/12/174340412.db2.gz HHNHTQGDHDOEIP-SECBINFHSA-N 0 3 245.269 2.585 20 0 BFADHN COCCOc1ccccc1CN[C@H]1CC[C@H]1C ZINC000353123027 174369896 /nfs/dbraw/zinc/36/98/96/174369896.db2.gz WMAHIKRLLMZMQQ-OCCSQVGLSA-N 0 3 249.354 2.600 20 0 BFADHN COCC[C@@H](C)NCc1cc(F)c(F)cc1F ZINC000136000570 174384280 /nfs/dbraw/zinc/38/42/80/174384280.db2.gz UGSSHDWXMMILAG-MRVPVSSYSA-N 0 3 247.260 2.619 20 0 BFADHN COCC[C@@H](C)NCc1ccc(F)cc1Cl ZINC000081712583 174384679 /nfs/dbraw/zinc/38/46/79/174384679.db2.gz NKKLPGKMZDGYPG-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN COC[C@@H](NC1CC(C)(C)C1)c1ccco1 ZINC000336775465 174480784 /nfs/dbraw/zinc/48/07/84/174480784.db2.gz ZCXIVEPDJRVJBL-LLVKDONJSA-N 0 3 223.316 2.745 20 0 BFADHN COC[C@H](C)CNCc1ccccc1Cl ZINC000044478593 174533507 /nfs/dbraw/zinc/53/35/07/174533507.db2.gz OYVIYQACLOHUBN-SNVBAGLBSA-N 0 3 227.735 2.712 20 0 BFADHN COC[C@H](C)NCc1cc2cc(OC)ccc2o1 ZINC000122888212 174549376 /nfs/dbraw/zinc/54/93/76/174549376.db2.gz ZDCCNDRGWWPNEO-JTQLQIEISA-N 0 3 249.310 2.566 20 0 BFADHN CO[C@@H](C)CCNCc1cc(F)c(F)c(F)c1 ZINC000264527973 174688839 /nfs/dbraw/zinc/68/88/39/174688839.db2.gz GFHPWWSDBPSKRI-QMMMGPOBSA-N 0 3 247.260 2.619 20 0 BFADHN CO[C@H](CNCc1cccs1)C(C)C ZINC000266603652 174867798 /nfs/dbraw/zinc/86/77/98/174867798.db2.gz FOFAZZDDNCTKGY-LLVKDONJSA-N 0 3 213.346 2.509 20 0 BFADHN CO[C@H](CSCCN(C)C)c1ccccc1 ZINC000338405820 174871272 /nfs/dbraw/zinc/87/12/72/174871272.db2.gz HTWPSLIHEITBQO-CYBMUJFWSA-N 0 3 239.384 2.669 20 0 BFADHN COc1cc(C)ccc1NC1CCN(C)CC1 ZINC000037783172 174968365 /nfs/dbraw/zinc/96/83/65/174968365.db2.gz SZUFIXFRCVUBET-UHFFFAOYSA-N 0 3 234.343 2.510 20 0 BFADHN COc1cc2c(c(CNC3CCC3)c1)O[C@@H](C)C2 ZINC000337350640 174983306 /nfs/dbraw/zinc/98/33/06/174983306.db2.gz SVCTUYPEKLCNSZ-JTQLQIEISA-N 0 3 247.338 2.661 20 0 BFADHN COc1cc([C@H](C)NCCC(C)C)c(F)cn1 ZINC000286889496 175015660 /nfs/dbraw/zinc/01/56/60/175015660.db2.gz IWBHTAZAWPCIAW-JTQLQIEISA-N 0 3 240.322 2.926 20 0 BFADHN COc1cc([C@H](C)N[C@@H](C)C(C)C)c(F)cn1 ZINC000287076620 175016052 /nfs/dbraw/zinc/01/60/52/175016052.db2.gz VANAFYLMSGXMIN-UWVGGRQHSA-N 0 3 240.322 2.924 20 0 BFADHN COc1ccc(C(=O)CCN2CC[C@@H](C)C2)cc1 ZINC000286169294 175053577 /nfs/dbraw/zinc/05/35/77/175053577.db2.gz YNCXNMARXMUUQL-GFCCVEGCSA-N 0 3 247.338 2.610 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@H]1CCCOC1 ZINC000109543504 175081474 /nfs/dbraw/zinc/08/14/74/175081474.db2.gz FVZWOCIPGMCRJZ-STQMWFEESA-N 0 3 249.354 2.833 20 0 BFADHN COc1ccc(CN(C)C[C@H]2CC=CCC2)nc1 ZINC000338293417 175095111 /nfs/dbraw/zinc/09/51/11/175095111.db2.gz YZSIJAILWZHTON-ZDUSSCGKSA-N 0 3 246.354 2.878 20 0 BFADHN COc1ccc(CN(C)[C@@H](C)C2CC2)c(OC)c1 ZINC000158017105 175096511 /nfs/dbraw/zinc/09/65/11/175096511.db2.gz JNZBYTWPMBWCGO-NSHDSACASA-N 0 3 249.354 2.934 20 0 BFADHN COc1ccc(CN2C3CCC2CC3)cc1OC ZINC000084654108 175097312 /nfs/dbraw/zinc/09/73/12/175097312.db2.gz MFKYGKXYJBKSQN-UHFFFAOYSA-N 0 3 247.338 2.831 20 0 BFADHN COc1ccc(CN2CCC(C)(C)CC2)cn1 ZINC000341184062 175098174 /nfs/dbraw/zinc/09/81/74/175098174.db2.gz HVYRCSXSODMDFY-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccc(CN2CCCCCCC2)nc1 ZINC000271906356 175098898 /nfs/dbraw/zinc/09/88/98/175098898.db2.gz HSCWVVKEZWUSIY-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN COc1ccc(CN2CCC[C@H](OC)C2)cc1C ZINC000271092128 175099991 /nfs/dbraw/zinc/09/99/91/175099991.db2.gz IHAIEBQEVFCSJH-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN COc1ccc(CN2CC[C@H](SC)C2)cc1 ZINC000294947979 175102781 /nfs/dbraw/zinc/10/27/81/175102781.db2.gz CUMHTEZNOYEAOC-ZDUSSCGKSA-N 0 3 237.368 2.633 20 0 BFADHN COc1ccc(CN2[C@H](C)CCC[C@@H]2C)nc1 ZINC000271711926 175103328 /nfs/dbraw/zinc/10/33/28/175103328.db2.gz BBNZUVGVJJXLGF-TXEJJXNPSA-N 0 3 234.343 2.853 20 0 BFADHN COc1ccc(CNC2CC(F)(F)C2)cc1F ZINC000277770038 175105756 /nfs/dbraw/zinc/10/57/56/175105756.db2.gz YBURVOKTJQDPTI-UHFFFAOYSA-N 0 3 245.244 2.722 20 0 BFADHN COc1ccc(CNCc2ccc(C)cc2)cn1 ZINC000020185997 175107722 /nfs/dbraw/zinc/10/77/22/175107722.db2.gz WZUWDBURROKXRG-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN COc1ccc(CNCc2ccc(C)nc2)cc1 ZINC000057591338 175107784 /nfs/dbraw/zinc/10/77/84/175107784.db2.gz NFIOMBJSTYUQJI-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN COc1ccc(CN[C@@H]2CC[C@H]2C)c(OC)c1 ZINC000310360273 175109912 /nfs/dbraw/zinc/10/99/12/175109912.db2.gz JVURGMWXAPBUPI-ZWNOBZJWSA-N 0 3 235.327 2.592 20 0 BFADHN COc1ccc(CN[C@@H]2CC[C@@H]2C)c(OC)c1 ZINC000310360271 175109933 /nfs/dbraw/zinc/10/99/33/175109933.db2.gz JVURGMWXAPBUPI-GXFFZTMASA-N 0 3 235.327 2.592 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@@H](C)[C@H]2C)c(OC)c1 ZINC000336794289 175110022 /nfs/dbraw/zinc/11/00/22/175110022.db2.gz AXZXQQPEZILGOD-JTNHKYCSSA-N 0 3 249.354 2.838 20 0 BFADHN COc1ccc(F)c(CN[C@@H](C)C2CC2)c1 ZINC000294341718 175125294 /nfs/dbraw/zinc/12/52/94/175125294.db2.gz YYRFSFHDXRIWFD-VIFPVBQESA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)c(CN[C@H]2CC[C@@H](F)C2)c1 ZINC000339401466 175125560 /nfs/dbraw/zinc/12/55/60/175125560.db2.gz VTORJVRVDFYRCJ-MNOVXSKESA-N 0 3 241.281 2.815 20 0 BFADHN COc1ccc(F)cc1CN[C@H]1CC1(C)C ZINC000309206004 175130059 /nfs/dbraw/zinc/13/00/59/175130059.db2.gz CJOTUMTWCSKMQC-LBPRGKRZSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)cc1CNCC1CCC1 ZINC000269357079 175130080 /nfs/dbraw/zinc/13/00/80/175130080.db2.gz QSSDTWBXMFVJPN-UHFFFAOYSA-N 0 3 223.291 2.724 20 0 BFADHN COc1ccc(F)cc1CN[C@H]1CC[C@@H]1C ZINC000336651064 175130134 /nfs/dbraw/zinc/13/01/34/175130134.db2.gz HUVDPRKYFXHNNS-CABZTGNLSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(OC)c(CN2C[C@H](C)[C@H](C)C2)c1 ZINC000353883208 175165248 /nfs/dbraw/zinc/16/52/48/175165248.db2.gz SHZJJUCCKFNHKB-TXEJJXNPSA-N 0 3 249.354 2.792 20 0 BFADHN COc1ccc(OC)c(CN[C@@H](C)CC2CC2)c1 ZINC000070101638 175165438 /nfs/dbraw/zinc/16/54/38/175165438.db2.gz MHFNDIPYGIDRLH-NSHDSACASA-N 0 3 249.354 2.982 20 0 BFADHN COc1ccc(OC)c(CNCCC(C)C)c1 ZINC000019912140 175165672 /nfs/dbraw/zinc/16/56/72/175165672.db2.gz LEBWSVGBMBOZFE-UHFFFAOYSA-N 0 3 237.343 2.840 20 0 BFADHN COc1ccc(OC)c(CN[C@@H](C)C2(C)CC2)c1 ZINC000340459683 175165769 /nfs/dbraw/zinc/16/57/69/175165769.db2.gz KCIBLJIEHUCZSD-NSHDSACASA-N 0 3 249.354 2.982 20 0 BFADHN COc1ccc(OC)c(CN2CCC[C@@H]2C)c1 ZINC000174407658 175165937 /nfs/dbraw/zinc/16/59/37/175165937.db2.gz BUCPNYIFSJAUJR-NSHDSACASA-N 0 3 235.327 2.688 20 0 BFADHN COc1ccc(OCCN2CC=C(C)CC2)cc1 ZINC000339660168 175172397 /nfs/dbraw/zinc/17/23/97/175172397.db2.gz TUXPQUOKZDAWRJ-UHFFFAOYSA-N 0 3 247.338 2.726 20 0 BFADHN COc1ccc(OCCCN2C[C@@H](C)[C@H]2C)cc1 ZINC000339571604 175172661 /nfs/dbraw/zinc/17/26/61/175172661.db2.gz KTQRPDKKPGVASQ-CHWSQXEVSA-N 0 3 249.354 2.804 20 0 BFADHN COc1ccc([C@H](C)NC[C@@H](C)OC)c(F)c1 ZINC000191204895 175188186 /nfs/dbraw/zinc/18/81/86/175188186.db2.gz GIRJNGODTOIEBH-ZJUUUORDSA-N 0 3 241.306 2.520 20 0 BFADHN COc1ccc([C@H](C)NC[C@@H]2CCCCO2)cc1 ZINC000042812188 175188310 /nfs/dbraw/zinc/18/83/10/175188310.db2.gz PTOVNFURPBANBF-WFASDCNBSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccc([C@H](C)NCc2ccn(C)c2)cc1 ZINC000091008922 175189748 /nfs/dbraw/zinc/18/97/48/175189748.db2.gz VEYYQQQVUDRNIB-LBPRGKRZSA-N 0 3 244.338 2.885 20 0 BFADHN COc1ccc2c(c1)CN(CCCCF)CC2 ZINC000286085646 175210051 /nfs/dbraw/zinc/21/00/51/175210051.db2.gz TWRIQARBHXEXSY-UHFFFAOYSA-N 0 3 237.318 2.803 20 0 BFADHN COc1ccc2c(c1F)CC[C@H]2NC1CC1 ZINC000289329549 175221044 /nfs/dbraw/zinc/22/10/44/175221044.db2.gz VMVMBIPOBHSDRF-LLVKDONJSA-N 0 3 221.275 2.574 20 0 BFADHN COc1cccc(CN2CCC(C)(C)C2)c1OC ZINC000093339877 175256798 /nfs/dbraw/zinc/25/67/98/175256798.db2.gz MNAKNIKXFFKMFW-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN COc1cccc(CN2CCC(C)CC2)c1 ZINC000014193589 175256856 /nfs/dbraw/zinc/25/68/56/175256856.db2.gz WSSYXAVZVGONKK-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN COc1cccc(CN2CC[C@H](CC3CC3)C2)n1 ZINC000339683140 175260177 /nfs/dbraw/zinc/26/01/77/175260177.db2.gz MVFJMWLZKBGCPB-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cccc(CN2C[C@H](C)CC2(C)C)n1 ZINC000266955043 175261073 /nfs/dbraw/zinc/26/10/73/175261073.db2.gz VUDJZPCDRWLNRH-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cccc(CNC2CC(OC(C)C)C2)c1 ZINC000185850925 175262927 /nfs/dbraw/zinc/26/29/27/175262927.db2.gz SLATYLOFYHAHKA-UHFFFAOYSA-N 0 3 249.354 2.741 20 0 BFADHN COc1cccc(CNC(C)C)c1OC(C)C ZINC000033466310 175263734 /nfs/dbraw/zinc/26/37/34/175263734.db2.gz YGHDLQIHSJKZOL-UHFFFAOYSA-N 0 3 237.343 2.980 20 0 BFADHN COc1cccc(CNCCSC(C)C)c1 ZINC000290201937 175264316 /nfs/dbraw/zinc/26/43/16/175264316.db2.gz UVMNXWQDFLCZPI-UHFFFAOYSA-N 0 3 239.384 2.926 20 0 BFADHN COc1cccc(CNCC[C@@H](C)F)c1OC ZINC000339482106 175264909 /nfs/dbraw/zinc/26/49/09/175264909.db2.gz GDQURZDLQRJUOS-SNVBAGLBSA-N 0 3 241.306 2.542 20 0 BFADHN COc1cccc(CN[C@@H](C)c2cccnc2)c1 ZINC000020127451 175266425 /nfs/dbraw/zinc/26/64/25/175266425.db2.gz YRRGSBRLVMHGTR-LBPRGKRZSA-N 0 3 242.322 2.941 20 0 BFADHN COc1cccc(CN[C@@H]2CC[C@@H]2SC)c1 ZINC000309892621 175266554 /nfs/dbraw/zinc/26/65/54/175266554.db2.gz DIKCXKZRJVKBLT-OLZOCXBDSA-N 0 3 237.368 2.679 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1CCC1(C)C ZINC000290148382 175281435 /nfs/dbraw/zinc/28/14/35/175281435.db2.gz OQIBRMXHZHCCJE-CQSZACIVSA-N 0 3 249.354 2.982 20 0 BFADHN COc1cccc(OC)c1CNCC1CCC1 ZINC000285371547 175281994 /nfs/dbraw/zinc/28/19/94/175281994.db2.gz FXCIOWRSOKSYQO-UHFFFAOYSA-N 0 3 235.327 2.594 20 0 BFADHN COc1cccc([C@@H](C)N2CCOC[C@H](C)C2)c1 ZINC000336528015 175287070 /nfs/dbraw/zinc/28/70/70/175287070.db2.gz AEIBRUJEWSURSE-CHWSQXEVSA-N 0 3 249.354 2.725 20 0 BFADHN COc1cccc([C@@H](C)NC[C@@H]2CCCCO2)c1 ZINC000042812208 175287208 /nfs/dbraw/zinc/28/72/08/175287208.db2.gz VPRNMZUPLXWDLW-DOMZBBRYSA-N 0 3 249.354 2.915 20 0 BFADHN COc1cccc([C@@H](C)N[C@H](C)[C@@H](C)OC)c1 ZINC000129213073 175288118 /nfs/dbraw/zinc/28/81/18/175288118.db2.gz GLVNRBDUNWWDAF-IJLUTSLNSA-N 0 3 237.343 2.769 20 0 BFADHN COc1cccc([C@@H]2CCCN2CCC(C)=O)c1 ZINC000123365469 175289994 /nfs/dbraw/zinc/28/99/94/175289994.db2.gz KZNJIOWNNRSRSD-HNNXBMFYSA-N 0 3 247.338 2.811 20 0 BFADHN COc1cccc([C@H](C)NCc2cc[nH]c2)c1 ZINC000090994708 175293552 /nfs/dbraw/zinc/29/35/52/175293552.db2.gz NTAREKWRHSTCCC-NSHDSACASA-N 0 3 230.311 2.874 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2C[C@H](C)O[C@@H]2C)c1 ZINC000296673792 175293591 /nfs/dbraw/zinc/29/35/91/175293591.db2.gz HGUVNRIQMGEIRX-UUIJZJDISA-N 0 3 249.354 2.912 20 0 BFADHN COc1cccc2c1OCCC[C@@H]2NC1CC1 ZINC000035652550 175303947 /nfs/dbraw/zinc/30/39/47/175303947.db2.gz QTGWNHDAXCSWGJ-LBPRGKRZSA-N 0 3 233.311 2.661 20 0 BFADHN COc1ccccc1C(C)(C)NC[C@H](C)OC ZINC000182332677 175319658 /nfs/dbraw/zinc/31/96/58/175319658.db2.gz DZRGFNQFDVOMHK-NSHDSACASA-N 0 3 237.343 2.555 20 0 BFADHN COc1ccccc1CN1CCC(CF)CC1 ZINC000294978015 175326078 /nfs/dbraw/zinc/32/60/78/175326078.db2.gz GIVCVOXJJRMXSG-UHFFFAOYSA-N 0 3 237.318 2.877 20 0 BFADHN COc1ccccc1CN1CCC(C)(OC)CC1 ZINC000272328654 175326612 /nfs/dbraw/zinc/32/66/12/175326612.db2.gz DEMOPPDFLUPBDZ-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccccc1CN[C@H]1CC[C@H](F)C1 ZINC000309923864 175331493 /nfs/dbraw/zinc/33/14/93/175331493.db2.gz UIZAOUQQFBHOOG-RYUDHWBXSA-N 0 3 223.291 2.675 20 0 BFADHN COc1ccccc1SC[C@@H](C)N(C)C ZINC000358856417 175343524 /nfs/dbraw/zinc/34/35/24/175343524.db2.gz VTYFXWIOCAWCBS-SNVBAGLBSA-N 0 3 225.357 2.737 20 0 BFADHN COc1ccccc1[C@@H](C)NCCC(C)(C)O ZINC000149298416 175344641 /nfs/dbraw/zinc/34/46/41/175344641.db2.gz NPDUWZRIAVKKNX-LLVKDONJSA-N 0 3 237.343 2.507 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@@](C)(O)C1CC1 ZINC000336796354 175344652 /nfs/dbraw/zinc/34/46/52/175344652.db2.gz RVZJVBAKFPYXHU-IAQYHMDHSA-N 0 3 249.354 2.507 20 0 BFADHN COc1ccccc1[C@@H](C)NCCOC(C)C ZINC000128217801 175344705 /nfs/dbraw/zinc/34/47/05/175344705.db2.gz JJPWFUWJKRUNEY-GFCCVEGCSA-N 0 3 237.343 2.771 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@H]1CCCCO1 ZINC000047874148 175344721 /nfs/dbraw/zinc/34/47/21/175344721.db2.gz WYRCDZQVTRCJIT-CHWSQXEVSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@H](OC)C1CC1 ZINC000293678517 175345070 /nfs/dbraw/zinc/34/50/70/175345070.db2.gz KCXMPYVUQCILOM-ABAIWWIYSA-N 0 3 249.354 2.771 20 0 BFADHN COc1ccccc1[C@@H](C)NCC[C@H](C)OC ZINC000268893080 175345395 /nfs/dbraw/zinc/34/53/95/175345395.db2.gz ZHDGJUKUFRURGU-NWDGAFQWSA-N 0 3 237.343 2.771 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1CCOC1(C)C ZINC000296589229 175346122 /nfs/dbraw/zinc/34/61/22/175346122.db2.gz UWTYXNYYIAXFEX-BXUZGUMPSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H](C)[C@H]1CCCO1 ZINC000248528450 175351475 /nfs/dbraw/zinc/35/14/75/175351475.db2.gz JDCJOYJUMCUMGC-OUCADQQQSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@H]1CC[C@H](C)O1 ZINC000127658468 175351956 /nfs/dbraw/zinc/35/19/56/175351956.db2.gz HLZVEYXLYGJEEB-RWMBFGLXSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccnc(CN2CCC[C@@H]2C(C)C)c1 ZINC000264459231 175358220 /nfs/dbraw/zinc/35/82/20/175358220.db2.gz UORUWNDMZMEPOU-CQSZACIVSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN(C)CC2CCCC2)c1 ZINC000106860200 175358391 /nfs/dbraw/zinc/35/83/91/175358391.db2.gz AHPOTSUFPZJFRM-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccnc(CN(C)[C@H](C)C2CCC2)c1 ZINC000357018551 175358539 /nfs/dbraw/zinc/35/85/39/175358539.db2.gz ACHJOHYBASUKEZ-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN(C)[C@@H](C)C2(C)CC2)c1 ZINC000156730718 175359505 /nfs/dbraw/zinc/35/95/05/175359505.db2.gz GSFANQLZZWMIDY-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN2C[C@@H](C)CC[C@H]2C)c1 ZINC000266532488 175359992 /nfs/dbraw/zinc/35/99/92/175359992.db2.gz GBQNSIIBQNWDBF-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN2CC[C@H](C)C[C@H]2C)c1 ZINC000133073600 175360438 /nfs/dbraw/zinc/36/04/38/175360438.db2.gz WFYMZHDKZPVIPE-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CN1[C@H](C)CCC[C@@H]1C ZINC000287307749 175370260 /nfs/dbraw/zinc/37/02/60/175370260.db2.gz MWPVYYVGHLDHLQ-TXEJJXNPSA-N 0 3 234.343 2.853 20 0 BFADHN COc1ccncc1CN[C@@H](C)CCC1CC1 ZINC000294362107 175371905 /nfs/dbraw/zinc/37/19/05/175371905.db2.gz QKAFVEOKLJBINA-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccncc1CN[C@H](C)C1CCCC1 ZINC000287627043 175373796 /nfs/dbraw/zinc/37/37/96/175373796.db2.gz FNJWCYPWRWFBAF-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccncc1CN[C@H]1CC=C(C)CC1 ZINC000293938284 175373840 /nfs/dbraw/zinc/37/38/40/175373840.db2.gz OBSMZJLDXNTJCP-ZDUSSCGKSA-N 0 3 232.327 2.679 20 0 BFADHN COc1ccsc1CN(C)[C@H]1CCSC1 ZINC000271055466 175376536 /nfs/dbraw/zinc/37/65/36/175376536.db2.gz SUGQPHURSFKRNF-VIFPVBQESA-N 0 3 243.397 2.694 20 0 BFADHN COc1ccsc1CN1CCC(CF)CC1 ZINC000295012781 175376766 /nfs/dbraw/zinc/37/67/66/175376766.db2.gz JQZOPXDUGBEQPO-UHFFFAOYSA-N 0 3 243.347 2.938 20 0 BFADHN COc1ccsc1CN1CC[C@H](SC)C1 ZINC000294942102 175378001 /nfs/dbraw/zinc/37/80/01/175378001.db2.gz CIYDFFLCBUMLQP-VIFPVBQESA-N 0 3 243.397 2.694 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@H](C)[C@H](C)OC ZINC000290089720 175379623 /nfs/dbraw/zinc/37/96/23/175379623.db2.gz HGZAICPSJWCVNQ-BBBLOLIVSA-N 0 3 243.372 2.831 20 0 BFADHN COc1ccsc1[C@@H](C)NCCC[C@H](C)O ZINC000278957245 175380101 /nfs/dbraw/zinc/38/01/01/175380101.db2.gz ZVSZTRVKOJNXKB-VHSXEESVSA-N 0 3 243.372 2.568 20 0 BFADHN COc1cncc(CN2C[C@@H](C)C[C@H](C)C2)c1 ZINC000290459206 175385161 /nfs/dbraw/zinc/38/51/61/175385161.db2.gz WJORMFVSNNMDTK-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN COc1cncc(CN2[C@H](C)CCC[C@@H]2C)c1 ZINC000290207343 175385480 /nfs/dbraw/zinc/38/54/80/175385480.db2.gz HBAXQOSILQUEGF-TXEJJXNPSA-N 0 3 234.343 2.853 20 0 BFADHN COc1cncc(CN2C[C@H](C)CC[C@H]2C)c1 ZINC000290408594 175386016 /nfs/dbraw/zinc/38/60/16/175386016.db2.gz UAYRBUBYJMXPEA-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN2[C@H](C)CCC[C@H]2C)c1 ZINC000290207347 175386134 /nfs/dbraw/zinc/38/61/34/175386134.db2.gz HBAXQOSILQUEGF-VXGBXAGGSA-N 0 3 234.343 2.853 20 0 BFADHN COc1nc(C)cc(C)c1CN1CC[C@H](C)C1 ZINC000287398605 175390291 /nfs/dbraw/zinc/39/02/91/175390291.db2.gz RRUGCILJGFVHMY-JTQLQIEISA-N 0 3 234.343 2.549 20 0 BFADHN COc1nccnc1CN[C@H]1CCCC(C)(C)C1 ZINC000296931397 175413247 /nfs/dbraw/zinc/41/32/47/175413247.db2.gz SHYAQQRXPKRFPC-NSHDSACASA-N 0 3 249.358 2.544 20 0 BFADHN CSC(C)(C)CNCc1ncc(C)s1 ZINC000295644490 175442465 /nfs/dbraw/zinc/44/24/65/175442465.db2.gz IJKFPRHCVMLTHF-UHFFFAOYSA-N 0 3 230.402 2.683 20 0 BFADHN CSC1(CNCc2ccoc2C)CCC1 ZINC000308847090 175451952 /nfs/dbraw/zinc/45/19/52/175451952.db2.gz ANBPPDFRYMEUFJ-UHFFFAOYSA-N 0 3 225.357 2.963 20 0 BFADHN CSc1ccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)cc1 ZINC000152818071 175576212 /nfs/dbraw/zinc/57/62/12/175576212.db2.gz OBPSYXGHNPVEFK-BNOWGMLFSA-N 0 3 249.379 2.818 20 0 BFADHN CCCN(Cc1c(C2CC2)cnn1C)C(C)C ZINC000668294980 487631488 /nfs/dbraw/zinc/63/14/88/487631488.db2.gz HKAIIKRCHJHYKF-UHFFFAOYSA-N 0 3 235.375 2.918 20 0 BFADHN C[C@@H](Cc1ccc(O)cc1)NCc1cncs1 ZINC000133092164 175771375 /nfs/dbraw/zinc/77/13/75/175771375.db2.gz IJQOJVVIHBZBOE-JTQLQIEISA-N 0 3 248.351 2.570 20 0 BFADHN C[C@@H](N[C@@H](C)Cn1cccn1)c1ccccc1 ZINC000035276529 175781615 /nfs/dbraw/zinc/78/16/15/175781615.db2.gz GDAHFHSYUUFSMS-QWHCGFSZSA-N 0 3 229.327 2.622 20 0 BFADHN C[C@@H](NC[C@@H](O)C1CC1)c1cc2ccccc2o1 ZINC000071300028 175886572 /nfs/dbraw/zinc/88/65/72/175886572.db2.gz HSHMBGBCWFIOPG-ZWNOBZJWSA-N 0 3 245.322 2.854 20 0 BFADHN C[C@@H](NC[C@@H](c1ccco1)N(C)C)c1ccco1 ZINC000020122254 175886716 /nfs/dbraw/zinc/88/67/16/175886716.db2.gz DLNUCUYOWYPYQJ-NEPJUHHUSA-N 0 3 248.326 2.826 20 0 BFADHN C[C@@H](N[C@@H]1CC1(C)C)c1cncc(F)c1 ZINC000225774287 175912437 /nfs/dbraw/zinc/91/24/37/175912437.db2.gz WVJBWFLKRKXODU-LDYMZIIASA-N 0 3 208.280 2.670 20 0 BFADHN C[C@@H](N[C@H]1CCO[C@H](C2CC2)C1)c1ccco1 ZINC000157816480 175926353 /nfs/dbraw/zinc/92/63/53/175926353.db2.gz ZHHNHRATZYJZAR-OSMZGAPFSA-N 0 3 235.327 2.888 20 0 BFADHN C[C@@H](N[C@H]1CSC1(C)C)c1ccccn1 ZINC000282975486 175929070 /nfs/dbraw/zinc/92/90/70/175929070.db2.gz DLLRCMKUBPSNLR-KOLCDFICSA-N 0 3 222.357 2.626 20 0 BFADHN C[C@@H](c1cccc(Cl)c1)N1CC[C@@](C)(O)C1 ZINC000177986967 176017617 /nfs/dbraw/zinc/01/76/17/176017617.db2.gz NMLGCDWSZYEGGO-GXFFZTMASA-N 0 3 239.746 2.858 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCCO[C@H](C)C1 ZINC000170764307 176017813 /nfs/dbraw/zinc/01/78/13/176017813.db2.gz VMSSAQBMTJDFMR-NEPJUHHUSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)C[C@@H]1CCCCO1 ZINC000111239145 176019564 /nfs/dbraw/zinc/01/95/64/176019564.db2.gz HJQWFHLRQYIYMN-WFASDCNBSA-N 0 3 249.354 2.954 20 0 BFADHN C[C@@H](c1ccccc1)N(C)Cc1ccnc(N)c1 ZINC000297873390 176020711 /nfs/dbraw/zinc/02/07/11/176020711.db2.gz MWDNLIXMMQHRPM-LBPRGKRZSA-N 0 3 241.338 2.857 20 0 BFADHN C[C@@H](c1ccccc1F)N1CCC[C@@](C)(O)C1 ZINC000124223410 176024500 /nfs/dbraw/zinc/02/45/00/176024500.db2.gz IJFZSAIELLDGTR-SMDDNHRTSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)CC1(O)CCC1 ZINC000336688121 176025119 /nfs/dbraw/zinc/02/51/19/176025119.db2.gz GWCQHSZLCMCRTA-NSHDSACASA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H](c1ccccc1F)N1C[C@@H](O)C(C)(C)C1 ZINC000279678608 176025502 /nfs/dbraw/zinc/02/55/02/176025502.db2.gz FAUGXRKTBQIMCU-GXFFZTMASA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CC1CCC1 ZINC000177160765 176026791 /nfs/dbraw/zinc/02/67/91/176026791.db2.gz YGDCHTULVUJURV-NSHDSACASA-N 0 3 204.317 2.875 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC(C)(C)C1 ZINC000177353850 176028113 /nfs/dbraw/zinc/02/81/13/176028113.db2.gz XQPSFTXEYRARQZ-NSHDSACASA-N 0 3 204.317 2.875 20 0 BFADHN C[C@@H](c1ccco1)N(C)CCc1cscn1 ZINC000284423225 176031771 /nfs/dbraw/zinc/03/17/71/176031771.db2.gz YBUUFDSXYRSSTN-JTQLQIEISA-N 0 3 236.340 2.972 20 0 BFADHN C[C@@H](c1ccco1)N(C)C[C@@H](O)C(C)(C)C ZINC000284350167 176032203 /nfs/dbraw/zinc/03/22/03/176032203.db2.gz RZJSJZAFGXPKDA-CMPLNLGQSA-N 0 3 225.332 2.679 20 0 BFADHN C[C@@H](c1cnccn1)N1CCC(C)(C)CC1 ZINC000336562635 176035237 /nfs/dbraw/zinc/03/52/37/176035237.db2.gz DLLOPUUWQXMHJU-NSHDSACASA-N 0 3 219.332 2.660 20 0 BFADHN C[C@@H](c1cnccn1)N1CCC[C@@H](C2CC2)C1 ZINC000289543846 176036533 /nfs/dbraw/zinc/03/65/33/176036533.db2.gz WXHABAWEOQGRCR-WCQYABFASA-N 0 3 231.343 2.660 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@@H](C)C(C)(C)C1 ZINC000274489342 176037239 /nfs/dbraw/zinc/03/72/39/176037239.db2.gz SGDNWLVZTGHDAM-NEPJUHHUSA-N 0 3 233.359 2.906 20 0 BFADHN C[C@@H](c1cnccn1)N1CCC[C@H](C2CC2)C1 ZINC000289543835 176037539 /nfs/dbraw/zinc/03/75/39/176037539.db2.gz WXHABAWEOQGRCR-AAEUAGOBSA-N 0 3 231.343 2.660 20 0 BFADHN C[C@@H]1CCCCN1Cc1cnn2ccccc12 ZINC000179527067 176074129 /nfs/dbraw/zinc/07/41/29/176074129.db2.gz AVKOOEAPTYYQAZ-GFCCVEGCSA-N 0 3 229.327 2.709 20 0 BFADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1ccon1 ZINC000157532285 176078423 /nfs/dbraw/zinc/07/84/23/176078423.db2.gz NMDMMMWZSLYNED-PWSUYJOCSA-N 0 3 208.305 2.685 20 0 BFADHN C[C@@H]1CCCC[C@@]1(C)NCc1cnccn1 ZINC000308759956 176083722 /nfs/dbraw/zinc/08/37/22/176083722.db2.gz WVTGMCYPVXZVII-DGCLKSJQSA-N 0 3 219.332 2.535 20 0 BFADHN C[C@@H]1CCCC[C@H]1CCNCc1cc[nH]n1 ZINC000336742826 176083958 /nfs/dbraw/zinc/08/39/58/176083958.db2.gz OPLIUWJFSKBTGL-NEPJUHHUSA-N 0 3 221.348 2.716 20 0 BFADHN C[C@@H]1CCCC[C@H]1NCc1cscn1 ZINC000040420501 176088470 /nfs/dbraw/zinc/08/84/70/176088470.db2.gz DTZDZMWQDKRMQF-MWLCHTKSSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@@H]1CCCC[C@H]1OCCNCc1cc[nH]c1 ZINC000086251392 176090396 /nfs/dbraw/zinc/09/03/96/176090396.db2.gz SGCMLVAWZJCUAD-TZMCWYRMSA-N 0 3 236.359 2.700 20 0 BFADHN C[C@@H]1CCCN1Cc1ccc(N2CCCC2)nc1 ZINC000124328723 176110163 /nfs/dbraw/zinc/11/01/63/176110163.db2.gz XVOHJSIFVHHHNN-CYBMUJFWSA-N 0 3 245.370 2.666 20 0 BFADHN C[C@@H]1CCCN1Cc1cnn(C(C)(C)C)c1 ZINC000124402056 176110829 /nfs/dbraw/zinc/11/08/29/176110829.db2.gz DHUREGAAVOQOQN-LLVKDONJSA-N 0 3 221.348 2.622 20 0 BFADHN C[C@@H]1CCC[C@@H](C)C1NCc1cocn1 ZINC000182069134 176113496 /nfs/dbraw/zinc/11/34/96/176113496.db2.gz RRZJOXMZHHRRFC-NXEZZACHSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1CCNCc1ccco1 ZINC000341224484 176115140 /nfs/dbraw/zinc/11/51/40/176115140.db2.gz BRNHPSJLFLNJDZ-CHWSQXEVSA-N 0 3 236.359 2.632 20 0 BFADHN C[C@@H]1CCC[C@@H](CCN2CC[C@@](F)(CO)C2)C1 ZINC000338629245 176117298 /nfs/dbraw/zinc/11/72/98/176117298.db2.gz YPHNCKIEBOKQLC-RDBSUJKOSA-N 0 3 243.366 2.609 20 0 BFADHN CC(=O)CN(CCC(C)C)C1CCCC1 ZINC000087286773 487632749 /nfs/dbraw/zinc/63/27/49/487632749.db2.gz LBCPGLOEBRGOFR-UHFFFAOYSA-N 0 3 211.349 2.866 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2ccc(CO)o2)C1 ZINC000174301461 176119018 /nfs/dbraw/zinc/11/90/18/176119018.db2.gz OXBABKKESNTGDK-VXGBXAGGSA-N 0 3 237.343 2.688 20 0 BFADHN C[C@@H]1CCC[C@@H](CO)N1Cc1ccc(F)cc1 ZINC000269705723 176119296 /nfs/dbraw/zinc/11/92/96/176119296.db2.gz NJRYIGAZGAQEER-RISCZKNCSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@@H]1CCC[C@@H](CN[C@H]2CCn3ccnc32)C1 ZINC000345342126 176119682 /nfs/dbraw/zinc/11/96/82/176119682.db2.gz FTNPXMMFNLQJIY-UPJWGTAASA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1c(Cl)cnn1C ZINC000336782457 176128345 /nfs/dbraw/zinc/12/83/45/176128345.db2.gz XSLXPJLUMOIEJJ-NXEZZACHSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1nc(-c2ccco2)no1 ZINC000279199814 176132270 /nfs/dbraw/zinc/13/22/70/176132270.db2.gz FVJRJJNTUJBCPN-ZJUUUORDSA-N 0 3 247.298 2.608 20 0 BFADHN C[C@@H]1CCC[C@H](CCN2CC[C@](F)(CO)C2)C1 ZINC000338629244 176136342 /nfs/dbraw/zinc/13/63/42/176136342.db2.gz YPHNCKIEBOKQLC-MGPQQGTHSA-N 0 3 243.366 2.609 20 0 BFADHN C[C@@H]1CCC[C@H](CCN2CCOC[C@H]2C)C1 ZINC000362463499 176136405 /nfs/dbraw/zinc/13/64/05/176136405.db2.gz HWPNCTSZRRCVIP-MGPQQGTHSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCO[C@H](C3CC3)C2)C1 ZINC000362561838 176137322 /nfs/dbraw/zinc/13/73/22/176137322.db2.gz QYTIQBWZNBDEJB-IPYPFGDCSA-N 0 3 237.387 2.924 20 0 BFADHN C[C@@H]1CCC[C@H](CO)N1Cc1ccc(F)cc1 ZINC000269705719 176139657 /nfs/dbraw/zinc/13/96/57/176139657.db2.gz NJRYIGAZGAQEER-BXUZGUMPSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2ccc(F)cn2)C1 ZINC000335605302 176144449 /nfs/dbraw/zinc/14/44/49/176144449.db2.gz RAGBKUNKABWMAR-PWSUYJOCSA-N 0 3 222.307 2.889 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1nnc(-c2ccco2)o1 ZINC000279176152 176150685 /nfs/dbraw/zinc/15/06/85/176150685.db2.gz CHJZKFHLUJKLAE-NXEZZACHSA-N 0 3 247.298 2.608 20 0 BFADHN C[C@@H]1CCN(C[C@@H](O)c2ccccc2Cl)C1 ZINC000263757752 176172020 /nfs/dbraw/zinc/17/20/20/176172020.db2.gz UEBULUMQEQXNKG-ZWNOBZJWSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@@H]1CCN(C[C@H](O)c2cccc(Cl)c2)C1 ZINC000266539657 176172438 /nfs/dbraw/zinc/17/24/38/176172438.db2.gz PHJZIKGUWOAKCQ-MFKMUULPSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3nsnc32)[C@@H]1C ZINC000269004004 176175649 /nfs/dbraw/zinc/17/56/49/176175649.db2.gz KRFKQCWCSAHKHC-NXEZZACHSA-N 0 3 247.367 2.922 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(Cl)c2)[C@@H]1CO ZINC000189725663 176175669 /nfs/dbraw/zinc/17/56/69/176175669.db2.gz FTFTTXHMURVLMG-ZWNOBZJWSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@@H]1CCN(Cc2ccno2)CC1(C)C ZINC000273545585 176177053 /nfs/dbraw/zinc/17/70/53/176177053.db2.gz AEXFIGMCFXTUTA-SNVBAGLBSA-N 0 3 208.305 2.543 20 0 BFADHN C[C@@H]1CCN(Cc2cn3ccccc3n2)C[C@@H]1C ZINC000122561003 176177778 /nfs/dbraw/zinc/17/77/78/176177778.db2.gz MVEQHZCIUUSEOC-OLZOCXBDSA-N 0 3 243.354 2.812 20 0 BFADHN C[C@@H]1CCN(Cc2cn(C)nc2C(C)(C)C)C1 ZINC000334299596 176178054 /nfs/dbraw/zinc/17/80/54/176178054.db2.gz RFZLXSCWOICYNZ-LLVKDONJSA-N 0 3 235.375 2.559 20 0 BFADHN C[C@H](c1cccnc1)N1CCS[C@H](C)CC1 ZINC000276959438 176180437 /nfs/dbraw/zinc/18/04/37/176180437.db2.gz PUIMUDMPOWZSSI-VXGBXAGGSA-N 0 3 236.384 2.970 20 0 BFADHN C[C@@H]1CCOCCN1Cc1ccc2c(c1)CCC2 ZINC000367634243 176189576 /nfs/dbraw/zinc/18/95/76/176189576.db2.gz NXVGTLJFMOTINN-CYBMUJFWSA-N 0 3 245.366 2.786 20 0 BFADHN C[C@@H]1CCSCCN1CCC(F)(F)F ZINC000334978576 176193965 /nfs/dbraw/zinc/19/39/65/176193965.db2.gz QRKGEABRWYDTRJ-MRVPVSSYSA-N 0 3 227.295 2.766 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cn(C)nc1C(F)F ZINC000336350973 176200034 /nfs/dbraw/zinc/20/00/34/176200034.db2.gz QGJXNWXCNOEYTQ-RKDXNWHRSA-N 0 3 243.301 2.731 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC[C@@H]2c2cccn2C)O1 ZINC000190749777 176203923 /nfs/dbraw/zinc/20/39/23/176203923.db2.gz VWKYQIJZIANIAF-VNHYZAJKSA-N 0 3 248.370 2.730 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC[C@H]2c2ccncc2)O1 ZINC000248955710 176204002 /nfs/dbraw/zinc/20/40/02/176204002.db2.gz OLDFFOLZHMZXNR-SNPRPXQTSA-N 0 3 246.354 2.786 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC[C@@H]2c2ccncc2)O1 ZINC000188570191 176204297 /nfs/dbraw/zinc/20/42/97/176204297.db2.gz OLDFFOLZHMZXNR-VHDGCEQUSA-N 0 3 246.354 2.786 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2ccn(C(F)F)n2)C1 ZINC000355602534 176205790 /nfs/dbraw/zinc/20/57/90/176205790.db2.gz VKCFMPGJXMMVSA-NXEZZACHSA-N 0 3 243.301 2.804 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cn3ccccc3n2)C1 ZINC000135132006 176213424 /nfs/dbraw/zinc/21/34/24/176213424.db2.gz PMUWJGMIEUYQQN-VXGBXAGGSA-N 0 3 229.327 2.613 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1c(F)cccc1F ZINC000336687246 176217376 /nfs/dbraw/zinc/21/73/76/176217376.db2.gz LIODWDYNMBDZFY-PELKAZGASA-N 0 3 211.255 2.853 20 0 BFADHN C[C@@H]1CC[C@@]2(CCN(CCOCC(F)F)C2)C1 ZINC000372749193 176219097 /nfs/dbraw/zinc/21/90/97/176219097.db2.gz LPXLNTKOYKQNCS-DGCLKSJQSA-N 0 3 247.329 2.780 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCCc3occc3C2)O1 ZINC000288880827 176224036 /nfs/dbraw/zinc/22/40/36/176224036.db2.gz REFHEDJKSVLRGH-DGCLKSJQSA-N 0 3 235.327 2.595 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@@H]2CCCc3occc32)O1 ZINC000127749345 176227424 /nfs/dbraw/zinc/22/74/24/176227424.db2.gz QSRBUUQQCKGIAV-NQBHXWOUSA-N 0 3 235.327 2.814 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cn3ccsc3n2)C1 ZINC000121978924 176233810 /nfs/dbraw/zinc/23/38/10/176233810.db2.gz OAGQBDXFOOKBQQ-ZJUUUORDSA-N 0 3 235.356 2.674 20 0 BFADHN C[C@@H]1CN(CC2(C)CCC2)CC(C)(C)O1 ZINC000340291391 176285294 /nfs/dbraw/zinc/28/52/94/176285294.db2.gz RFILIIPLNTUCMG-LLVKDONJSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H]1CN(CCCCOC(C)(C)C)[C@H](C)CO1 ZINC000354902907 176288975 /nfs/dbraw/zinc/28/89/75/176288975.db2.gz XUZWLCRDHATZRL-CHWSQXEVSA-N 0 3 243.391 2.691 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCC(F)(F)C2)C[C@@H](C)O1 ZINC000338252127 176300349 /nfs/dbraw/zinc/30/03/49/176300349.db2.gz GEZDXKPSBJDLFN-UTUOFQBUSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1CN(Cc2nc3ccccc3[nH]2)C[C@H]1C ZINC000353878505 176311828 /nfs/dbraw/zinc/31/18/28/176311828.db2.gz DPQWQZVIRWXBNK-GHMZBOCLSA-N 0 3 229.327 2.651 20 0 BFADHN C[C@@H]1C[C@@H](CNCc2ccc(Cl)o2)CCO1 ZINC000309805255 176369798 /nfs/dbraw/zinc/36/97/98/176369798.db2.gz RZZAEOJCWORBTE-ZJUUUORDSA-N 0 3 243.734 2.838 20 0 BFADHN C[C@@H](NC[C@H]1CCO[C@H](C)C1)c1cscn1 ZINC000271511104 176369957 /nfs/dbraw/zinc/36/99/57/176369957.db2.gz IANBZIOIFLNMNZ-MXWKQRLJSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CC=CCC2)c2nccn21 ZINC000294158265 176378590 /nfs/dbraw/zinc/37/85/90/176378590.db2.gz QPJPDPOMGAJSRM-IJLUTSLNSA-N 0 3 217.316 2.587 20 0 BFADHN C[C@H](Cc1ccccc1)CN1CCO[C@H](C)[C@H]1C ZINC000360869918 176723511 /nfs/dbraw/zinc/72/35/11/176723511.db2.gz FAVRITSSTBYSJS-RBSFLKMASA-N 0 3 247.382 2.974 20 0 BFADHN CCOc1ccc(CN2CCC[C@@H]2CC)nc1 ZINC000674862896 487635378 /nfs/dbraw/zinc/63/53/78/487635378.db2.gz WDYNMMPNLFIXNJ-ZDUSSCGKSA-N 0 3 234.343 2.855 20 0 BFADHN C[C@H](NC1[C@H](C)CCC[C@H]1C)c1ncc[nH]1 ZINC000124742088 176831984 /nfs/dbraw/zinc/83/19/84/176831984.db2.gz GJJGJNAKTWWGEC-MXWKQRLJSA-N 0 3 221.348 2.885 20 0 BFADHN C[C@H](NCC1=CCCOC1)c1cccs1 ZINC000178157962 176837582 /nfs/dbraw/zinc/83/75/82/176837582.db2.gz PTURTGVZVQXXJX-JTQLQIEISA-N 0 3 223.341 2.745 20 0 BFADHN C[C@H](NCCOc1ccccc1)c1ccccn1 ZINC000049855266 176851747 /nfs/dbraw/zinc/85/17/47/176851747.db2.gz JIYNAKYONIQNRR-ZDUSSCGKSA-N 0 3 242.322 2.811 20 0 BFADHN C[C@H](NCC[C@@H]1CCCCO1)c1ccco1 ZINC000134728905 176852468 /nfs/dbraw/zinc/85/24/68/176852468.db2.gz ASNGCSISOLUOTI-RYUDHWBXSA-N 0 3 223.316 2.889 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1cccc(F)c1F ZINC000222720666 176896469 /nfs/dbraw/zinc/89/64/69/176896469.db2.gz IRHHCTNMIDXXOM-VHSXEESVSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@H](CN1CCCc2n[nH]cc2C1)C(C)(C)C ZINC000668843182 487636563 /nfs/dbraw/zinc/63/65/63/487636563.db2.gz WUOFEQICARZOMN-LLVKDONJSA-N 0 3 235.375 2.840 20 0 BFADHN C[C@H](O)[C@@H]1CCN(Cc2sccc2Cl)C1 ZINC000194476243 177009017 /nfs/dbraw/zinc/00/90/17/177009017.db2.gz SOXVEASATZBIOP-DTWKUNHWSA-N 0 3 245.775 2.604 20 0 BFADHN C[C@H](O)[C@@H]1CCN(Cc2ccc([C@H]3C[C@H]3C)o2)C1 ZINC000272537842 177009980 /nfs/dbraw/zinc/00/99/80/177009980.db2.gz OJFBOZOHFVSEHW-CZXHOFHRSA-N 0 3 249.354 2.606 20 0 BFADHN C[C@H](O)[C@H]1CCN(C/C=C\c2ccc(F)cc2)C1 ZINC000356939856 177015088 /nfs/dbraw/zinc/01/50/88/177015088.db2.gz VJDGFILGPDPDQQ-GLKJWWJJSA-N 0 3 249.329 2.542 20 0 BFADHN C[C@H](O)[C@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC000272630608 177016105 /nfs/dbraw/zinc/01/61/05/177016105.db2.gz WFXACXQNVDUROH-GVXVVHGQSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](c1ccc(F)cc1)N(C)C[C@@H]1CCCO1 ZINC000187834467 177033776 /nfs/dbraw/zinc/03/37/76/177033776.db2.gz IDQCOEJBRFKVKZ-RISCZKNCSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)CCOC1CCC1 ZINC000287725993 177036021 /nfs/dbraw/zinc/03/60/21/177036021.db2.gz KHHRATGDDQHZPS-GFCCVEGCSA-N 0 3 249.354 2.954 20 0 BFADHN C[C@H](c1cccc(F)c1)N1C[C@@H](O)C(C)(C)C1 ZINC000279669077 177036327 /nfs/dbraw/zinc/03/63/27/177036327.db2.gz DGTLXPDWLCUVFE-ZWNOBZJWSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](c1cccc(F)c1)N(C)CC(C)(C)CO ZINC000295329040 177036975 /nfs/dbraw/zinc/03/69/75/177036975.db2.gz XAEPJWOELDJCFP-LLVKDONJSA-N 0 3 239.334 2.837 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)C[C@@H]1CCCCO1 ZINC000111239147 177037403 /nfs/dbraw/zinc/03/74/03/177037403.db2.gz HJQWFHLRQYIYMN-DOMZBBRYSA-N 0 3 249.354 2.954 20 0 BFADHN C[C@H](c1ccccc1Cl)N1CC[C@@](C)(O)C1 ZINC000177977565 177041410 /nfs/dbraw/zinc/04/14/10/177041410.db2.gz QKHNWQJHRKROHS-ZWNOBZJWSA-N 0 3 239.746 2.858 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCCCF ZINC000285489824 177044666 /nfs/dbraw/zinc/04/46/66/177044666.db2.gz DHCOEVNDQCBEQZ-LLVKDONJSA-N 0 3 210.296 2.824 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCCCCCO ZINC000093236222 177045097 /nfs/dbraw/zinc/04/50/97/177045097.db2.gz ADKWQCCBRGDLGT-CYBMUJFWSA-N 0 3 236.359 2.627 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@@H]1CCSC1 ZINC000278538775 177045200 /nfs/dbraw/zinc/04/52/00/177045200.db2.gz CKALFJXTKWWGLX-NEPJUHHUSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@H](O)CC(C)(C)C1 ZINC000295297102 177045760 /nfs/dbraw/zinc/04/57/60/177045760.db2.gz HACIKBFYGGKMSM-OCCSQVGLSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@H](c1ccco1)N(CC1(C)COC1)C1CC1 ZINC000336718817 177047846 /nfs/dbraw/zinc/04/78/46/177047846.db2.gz XHXXYBSWQYORGN-LLVKDONJSA-N 0 3 235.327 2.842 20 0 BFADHN C[C@H](c1ccco1)N(C)CCc1cscn1 ZINC000284423228 177047978 /nfs/dbraw/zinc/04/79/78/177047978.db2.gz YBUUFDSXYRSSTN-SNVBAGLBSA-N 0 3 236.340 2.972 20 0 BFADHN C[C@H](c1cccs1)N(C)CCc1ccnn1C ZINC000276279540 177048922 /nfs/dbraw/zinc/04/89/22/177048922.db2.gz FMBQTAIJUPJDAD-LLVKDONJSA-N 0 3 249.383 2.717 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCCCF ZINC000285492287 177050653 /nfs/dbraw/zinc/05/06/53/177050653.db2.gz DNSFXTLZINKBDS-LLVKDONJSA-N 0 3 210.296 2.824 20 0 BFADHN C[C@H](c1nc2ccccc2o1)N(C)CCCCO ZINC000276398785 177054767 /nfs/dbraw/zinc/05/47/67/177054767.db2.gz OFCFXWXDTGOSGZ-LLVKDONJSA-N 0 3 248.326 2.593 20 0 BFADHN C[C@H]1CC(NCc2ccc(Cl)o2)C[C@H](C)O1 ZINC000335150315 177065157 /nfs/dbraw/zinc/06/51/57/177065157.db2.gz WBYPBYUMHWEXSQ-IUCAKERBSA-N 0 3 243.734 2.979 20 0 BFADHN C[C@H]1CCCCN1C/C=C/c1ccncc1 ZINC000336303843 177073662 /nfs/dbraw/zinc/07/36/62/177073662.db2.gz IZMNTYAUFNSHMX-BPJJOFIESA-N 0 3 216.328 2.969 20 0 BFADHN C[C@H]1CCCC[C@@H]1NCc1ncc(Cl)n1C ZINC000036804650 177083715 /nfs/dbraw/zinc/08/37/15/177083715.db2.gz GWVWYXSUBZIVTL-UWVGGRQHSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@H]1CCCN(Cc2cncc(F)c2)CC1 ZINC000275155337 177102391 /nfs/dbraw/zinc/10/23/91/177102391.db2.gz UVYJDUWAQFVKRY-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN C[C@H]1CCCN(Cc2cccc3nccn32)C1 ZINC000183458272 177102572 /nfs/dbraw/zinc/10/25/72/177102572.db2.gz XDBAWVQMISGILU-LBPRGKRZSA-N 0 3 229.327 2.566 20 0 BFADHN C[C@@H]1CCC[C@H](C)CN1Cc1ccon1 ZINC000125266225 177114847 /nfs/dbraw/zinc/11/48/47/177114847.db2.gz BWPJHYRVXPFCFD-WDEREUQCSA-N 0 3 208.305 2.685 20 0 BFADHN C[C@H]1CCC[C@@H](CO)N1Cc1ccc(F)cc1 ZINC000269705721 177120405 /nfs/dbraw/zinc/12/04/05/177120405.db2.gz NJRYIGAZGAQEER-FZMZJTMJSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@H]1CCC[C@H](C)C1NCc1ccon1 ZINC000088052380 177129838 /nfs/dbraw/zinc/12/98/38/177129838.db2.gz MBNUPUWIOKRPRC-UWVGGRQHSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1CCC[C@H](C)N(Cc2ccon2)C1 ZINC000125265840 177130580 /nfs/dbraw/zinc/13/05/80/177130580.db2.gz BWPJHYRVXPFCFD-QWRGUYRKSA-N 0 3 208.305 2.685 20 0 BFADHN C[C@H]1CCC[C@H](C)N1Cc1cncc(F)c1 ZINC000274880017 177132348 /nfs/dbraw/zinc/13/23/48/177132348.db2.gz WHTNHHQMBFNTCW-QWRGUYRKSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@H]1CCN(Cc2cccc3nsnc32)[C@H]1C ZINC000269004007 177165619 /nfs/dbraw/zinc/16/56/19/177165619.db2.gz KRFKQCWCSAHKHC-UWVGGRQHSA-N 0 3 247.367 2.922 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1Cc1cccnc1 ZINC000133062842 177165981 /nfs/dbraw/zinc/16/59/81/177165981.db2.gz OKNJFYVJJSIYFE-NWDGAFQWSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@H]1CCN(Cc2ccon2)C[C@H](C)C1 ZINC000127603009 177167371 /nfs/dbraw/zinc/16/73/71/177167371.db2.gz FUEGEGPSHXVZLK-WDEREUQCSA-N 0 3 208.305 2.543 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1ccnc(Cl)c1 ZINC000177879280 177167640 /nfs/dbraw/zinc/16/76/40/177167640.db2.gz IUSGYPUTKNKFCV-VHSXEESVSA-N 0 3 224.735 2.965 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2cncc(F)c2)C1 ZINC000275123373 177183636 /nfs/dbraw/zinc/18/36/36/177183636.db2.gz JSWSLLIVKLPCFR-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2ccc(F)c(F)c2)O1 ZINC000128440045 177190269 /nfs/dbraw/zinc/19/02/69/177190269.db2.gz YOIGFGJGFBABDE-ONGXEEELSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2c(Cl)cnn2C)C1 ZINC000309776210 177190618 /nfs/dbraw/zinc/19/06/18/177190618.db2.gz LTDQOSWQFOTZQO-VHSXEESVSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@@H]1C[C@@H](C)CC[C@H]1NCc1cocn1 ZINC000183936106 177193598 /nfs/dbraw/zinc/19/35/98/177193598.db2.gz RQPWLNFNPUJOSU-HOSYDEDBSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1cccc2c1OCCCO2 ZINC000310841374 177195096 /nfs/dbraw/zinc/19/50/96/177195096.db2.gz BEHBDEBRMFHYDK-AAEUAGOBSA-N 0 3 247.338 2.736 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2cn3ccccc3n2)C1 ZINC000174469429 177199384 /nfs/dbraw/zinc/19/93/84/177199384.db2.gz AZSDCSBYLGKQLJ-STQMWFEESA-N 0 3 243.354 2.955 20 0 BFADHN C[C@H]1CC[C@H](CN2CCC[C@@H]2c2cccn2C)O1 ZINC000190749760 177202251 /nfs/dbraw/zinc/20/22/51/177202251.db2.gz VWKYQIJZIANIAF-GZBFAFLISA-N 0 3 248.370 2.730 20 0 BFADHN C[C@H]1CN(C)C[C@@H]1c1nc(C2CCCCC2)no1 ZINC000359911162 177235653 /nfs/dbraw/zinc/23/56/53/177235653.db2.gz KWPFIXZGTBDMRW-JQWIXIFHSA-N 0 3 249.358 2.782 20 0 BFADHN C[C@H]1CN(CC2CC2)[C@@H](c2ccccc2)CO1 ZINC000268624152 177245651 /nfs/dbraw/zinc/24/56/51/177245651.db2.gz ATFWWIFBDHBZPM-SWLSCSKDSA-N 0 3 231.339 2.858 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1CCCCOC(C)(C)C ZINC000354902909 177249811 /nfs/dbraw/zinc/24/98/11/177249811.db2.gz XUZWLCRDHATZRL-OLZOCXBDSA-N 0 3 243.391 2.691 20 0 BFADHN C[C@H]1CN(CCc2ccc(F)c(F)c2)[C@H]1C ZINC000339567167 177253958 /nfs/dbraw/zinc/25/39/58/177253958.db2.gz MTZIUHQGYVVQOZ-UWVGGRQHSA-N 0 3 225.282 2.848 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1ccccc1N(C)C ZINC000339572643 177267051 /nfs/dbraw/zinc/26/70/51/177267051.db2.gz BEWCUARFSNVXEA-NWDGAFQWSA-N 0 3 218.344 2.593 20 0 BFADHN C[C@H]1CN(Cc2cnc(C(C)(C)C)nc2)C[C@@H]1C ZINC000284446850 177269620 /nfs/dbraw/zinc/26/96/20/177269620.db2.gz TYTNNJXIILWKCX-RYUDHWBXSA-N 0 3 247.386 2.862 20 0 BFADHN C[C@H]1CN(Cc2nccs2)C(C)(C)C1 ZINC000269732990 177271915 /nfs/dbraw/zinc/27/19/15/177271915.db2.gz YIWUDZIMXYMSIO-SECBINFHSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@H]1COCC[C@H]1NCc1sccc1Cl ZINC000278766908 177297238 /nfs/dbraw/zinc/29/72/38/177297238.db2.gz OIEFUMVZHMOKTA-WCBMZHEXSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@@H]1COC[C@H](C)N1C[C@@H]1CCCC(F)(F)C1 ZINC000338313495 177297847 /nfs/dbraw/zinc/29/78/47/177297847.db2.gz KKBUNPQJRFVUKW-GRYCIOLGSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1C[C@H](C)[C@@H](C)N(Cc2n[nH]c(C3CC3)n2)C1 ZINC000355750919 177315420 /nfs/dbraw/zinc/31/54/20/177315420.db2.gz VLTJVLGGDBFBLC-OUAUKWLOSA-N 0 3 248.374 2.549 20 0 BFADHN C[C@H]1C[C@@H](NCC2(C3CC3)CC2)c2nccn21 ZINC000345341163 177330999 /nfs/dbraw/zinc/33/09/99/177330999.db2.gz CPKZDCCGOOPWNE-CMPLNLGQSA-N 0 3 231.343 2.669 20 0 BFADHN C[C@H]1C[C@@H](NCCc2ccsc2)c2nccn21 ZINC000293892429 177332722 /nfs/dbraw/zinc/33/27/22/177332722.db2.gz GMTVXHZJMIYMBA-CMPLNLGQSA-N 0 3 247.367 2.783 20 0 BFADHN C[C@H](CC1CC1)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000345344413 177333903 /nfs/dbraw/zinc/33/39/03/177333903.db2.gz AXQQIBWFGZENGI-JFGNBEQYSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@H]1C[C@@H](Nc2ncccc2Cl)CCN1C ZINC000095015708 177334756 /nfs/dbraw/zinc/33/47/56/177334756.db2.gz FGRZVRXXHFQJEU-UWVGGRQHSA-N 0 3 239.750 2.630 20 0 BFADHN C[C@H]1C[C@@H](O)CCN1C/C=C/c1ccccc1 ZINC000292280675 177335578 /nfs/dbraw/zinc/33/55/78/177335578.db2.gz BQWILAFWDKGJMU-MNOINKQASA-N 0 3 231.339 2.545 20 0 BFADHN C[C@H]1C[C@@H]1CN1CC(c2ccccc2F)C1 ZINC000361248550 177342820 /nfs/dbraw/zinc/34/28/20/177342820.db2.gz CVPIRUHJSMZWEJ-WDEREUQCSA-N 0 3 219.303 2.881 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1c(F)cc(F)cc1F ZINC000354329664 177345851 /nfs/dbraw/zinc/34/58/51/177345851.db2.gz SWLYIWZHUVFHLC-JGVFFNPUSA-N 0 3 229.245 2.850 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN(CCO)C2CCC2)o1 ZINC000275883500 177351616 /nfs/dbraw/zinc/35/16/16/177351616.db2.gz BIBFSGCUEAIXJS-FZMZJTMJSA-N 0 3 249.354 2.750 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN[C@H]2CCCOC2)o1 ZINC000288465002 177351656 /nfs/dbraw/zinc/35/16/56/177351656.db2.gz OJKQOJVQYLFLEM-GVXVVHGQSA-N 0 3 235.327 2.672 20 0 BFADHN C[C@H](O)[C@@H]1CCN(Cc2ccc([C@H]3C[C@@H]3C)o2)C1 ZINC000272537845 177351810 /nfs/dbraw/zinc/35/18/10/177351810.db2.gz OJFBOZOHFVSEHW-FMSGJZPZSA-N 0 3 249.354 2.606 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN2CCC[C@@](C)(O)C2)o1 ZINC000129505697 177352155 /nfs/dbraw/zinc/35/21/55/177352155.db2.gz HNPMTBKZQRAQKH-CORIIIEPSA-N 0 3 249.354 2.750 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cncs2)C1 ZINC000157993934 177358887 /nfs/dbraw/zinc/35/88/87/177358887.db2.gz SZKUOLSPBSGFLY-UWVGGRQHSA-N 0 3 210.346 2.621 20 0 BFADHN C[C@H]1C[C@H](NCC2CC(F)(F)C2)c2nccn21 ZINC000297191972 177369227 /nfs/dbraw/zinc/36/92/27/177369227.db2.gz FKQVGHZWLVVDJU-WPRPVWTQSA-N 0 3 241.285 2.524 20 0 BFADHN C[C@H]1C[C@H](Nc2ncccc2Cl)CCN1C ZINC000095015706 177372742 /nfs/dbraw/zinc/37/27/42/177372742.db2.gz FGRZVRXXHFQJEU-VHSXEESVSA-N 0 3 239.750 2.630 20 0 BFADHN C[C@H]1C[C@H]1CN1CC(c2ccccc2F)C1 ZINC000361248549 177379538 /nfs/dbraw/zinc/37/95/38/177379538.db2.gz CVPIRUHJSMZWEJ-QWRGUYRKSA-N 0 3 219.303 2.881 20 0 BFADHN C[C@H]1C[C@H]1CNCc1cc(F)ccc1F ZINC000136058981 177381692 /nfs/dbraw/zinc/38/16/92/177381692.db2.gz ZZQPTUMLJLJOAZ-IUCAKERBSA-N 0 3 211.255 2.710 20 0 BFADHN C[C@H]1C[C@H]1CNCc1nccn1-c1ccccc1 ZINC000276967768 177382302 /nfs/dbraw/zinc/38/23/02/177382302.db2.gz NYZVACBHQKGDFD-STQMWFEESA-N 0 3 241.338 2.618 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN[C@@H]2CCCC[C@H]2O)o1 ZINC000185168936 177386918 /nfs/dbraw/zinc/38/69/18/177386918.db2.gz ZZTOBKKQTSOJCO-IGHBBLSQSA-N 0 3 249.354 2.796 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cc(OC)ccn1 ZINC000271519215 487637981 /nfs/dbraw/zinc/63/79/81/487637981.db2.gz KZJQUUZOXXUWSZ-YPMHNXCESA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H](COC)N[C@@H]1COc2c1ccc(C)c2C ZINC000271525573 487638006 /nfs/dbraw/zinc/63/80/06/487638006.db2.gz VSFGIDLYIMTAFZ-GXTWGEPZSA-N 0 3 249.354 2.752 20 0 BFADHN CC1(CN2Cc3c[nH]nc3CC(C)(C)C2)CC1 ZINC000668844476 487638497 /nfs/dbraw/zinc/63/84/97/487638497.db2.gz FNEYIEYYFJYYKR-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN CC[C@@H]1CCN(Cc2cn3cccc(C)c3n2)C1 ZINC000674868190 487639583 /nfs/dbraw/zinc/63/95/83/487639583.db2.gz NWNZUXIJKQUBJS-CYBMUJFWSA-N 0 3 243.354 2.875 20 0 BFADHN CC[C@H](N[C@@H](C)c1nccn1C)C1CCC1 ZINC000324708938 487640221 /nfs/dbraw/zinc/64/02/21/487640221.db2.gz WDKJZUBVLPWTKW-JQWIXIFHSA-N 0 3 221.348 2.649 20 0 BFADHN CCCN(Cc1ccccc1F)C[C@H](C)CO ZINC000678044129 487641396 /nfs/dbraw/zinc/64/13/96/487641396.db2.gz LLUSNFKIBYMHSA-LBPRGKRZSA-N 0 3 239.334 2.666 20 0 BFADHN OC[C@H](NC1CCCC1)c1ccc(F)cc1F ZINC000038122912 487641461 /nfs/dbraw/zinc/64/14/61/487641461.db2.gz NCQVTZXIMITDQV-ZDUSSCGKSA-N 0 3 241.281 2.530 20 0 BFADHN Cc1cc([C@@H]2CCCN2C[C@@H]2C[C@@H]2C)on1 ZINC000488319198 225370749 /nfs/dbraw/zinc/37/07/49/225370749.db2.gz BUTXJULDABOXPR-DLOVCJGASA-N 0 3 220.316 2.776 20 0 BFADHN C[C@H]1C[C@H]1CN1CCc2sccc2C1 ZINC000488329547 225373429 /nfs/dbraw/zinc/37/34/29/225373429.db2.gz YODQGLVSEUNASE-ONGXEEELSA-N 0 3 207.342 2.762 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCc2sccc2C1 ZINC000488329545 225374029 /nfs/dbraw/zinc/37/40/29/225374029.db2.gz YODQGLVSEUNASE-MWLCHTKSSA-N 0 3 207.342 2.762 20 0 BFADHN Cc1ccc2c(c1C)OC[C@@H]2NC[C@H]1C[C@H]1C ZINC000488356152 225386111 /nfs/dbraw/zinc/38/61/11/225386111.db2.gz SPXBNQAYRROTOI-QKCSRTOESA-N 0 3 231.339 2.983 20 0 BFADHN Cc1cccc2[nH]c(CNC[C@H]3C[C@H]3C)nc21 ZINC000488427406 225395319 /nfs/dbraw/zinc/39/53/19/225395319.db2.gz JYPLZJKWDMEHKD-GHMZBOCLSA-N 0 3 229.327 2.617 20 0 BFADHN Cc1ncsc1CN(C)C[C@@H]1C[C@@H]1C ZINC000488291860 225361317 /nfs/dbraw/zinc/36/13/17/225361317.db2.gz DDZSMOFBGYAZLQ-WPRPVWTQSA-N 0 3 210.346 2.539 20 0 BFADHN CC(C)Cc1nc(C2C[C@H]3CC[C@@H](C2)N3C)no1 ZINC000680774479 487646410 /nfs/dbraw/zinc/64/64/10/487646410.db2.gz MZLWTCHUVDQBRP-YOGCLGLASA-N 0 3 249.358 2.608 20 0 BFADHN COC[C@H]1CCN(Cc2cc(Cl)cs2)C1 ZINC000494932861 226271532 /nfs/dbraw/zinc/27/15/32/226271532.db2.gz DESLYEOAUQTRCF-VIFPVBQESA-N 0 3 245.775 2.870 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2COc3ccc(F)cc32)[C@@H]1C ZINC000494930640 226271570 /nfs/dbraw/zinc/27/15/70/226271570.db2.gz AERGJCXKRGEHNK-PNZRWIHMSA-N 0 3 235.302 2.893 20 0 BFADHN C[C@H](NCCC[C@@H]1CCOC1)c1ccccn1 ZINC000400546677 226345912 /nfs/dbraw/zinc/34/59/12/226345912.db2.gz MJSYVOIBPLLYPP-QWHCGFSZSA-N 0 3 234.343 2.549 20 0 BFADHN Fc1ccccc1CNCCC[C@@H]1CCOC1 ZINC000400580030 226346681 /nfs/dbraw/zinc/34/66/81/226346681.db2.gz ZBZDLVATXQGXAE-GFCCVEGCSA-N 0 3 237.318 2.732 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cccc3c2OCO3)C1 ZINC000505342864 226354346 /nfs/dbraw/zinc/35/43/46/226354346.db2.gz IINJWPFUOQAZFC-TXEJJXNPSA-N 0 3 247.338 2.893 20 0 BFADHN Cc1nc2ccccn2c1CN1CCC(C)CC1 ZINC000505891924 226361083 /nfs/dbraw/zinc/36/10/83/226361083.db2.gz SEASMHPPXVXFRA-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1cccc(Cn2cc3c(n2)[C@H](N)CCC3)c1 ZINC000564840848 322936578 /nfs/dbraw/zinc/93/65/78/322936578.db2.gz VGHCTCJXOXWYHS-CQSZACIVSA-N 0 3 241.338 2.576 20 0 BFADHN CC1(C)C[C@H]1CNCc1cnc2ccccc2n1 ZINC000232890164 487667132 /nfs/dbraw/zinc/66/71/32/487667132.db2.gz NVRVURSUHPHHNF-NSHDSACASA-N 0 3 241.338 2.766 20 0 BFADHN CCCN1CC[C@@H](N[C@H](C)c2ccc(C)o2)C1 ZINC000328379611 487671935 /nfs/dbraw/zinc/67/19/35/487671935.db2.gz UXIZAOAWRDJLJL-CHWSQXEVSA-N 0 3 236.359 2.723 20 0 BFADHN CN(Cc1cc2ccccc2[nH]1)CC1(O)CCC1 ZINC000675125306 487671818 /nfs/dbraw/zinc/67/18/18/487671818.db2.gz AIVUKPZDEGIDHV-UHFFFAOYSA-N 0 3 244.338 2.515 20 0 BFADHN CO[C@H]1C[C@@H](NCc2ccsc2)C12CCC2 ZINC000308336072 229226607 /nfs/dbraw/zinc/22/66/07/229226607.db2.gz CXBAQJQSHHDOQV-NEPJUHHUSA-N 0 3 237.368 2.795 20 0 BFADHN CCC(C)(C)N(C)Cc1ccnn1CC1CC1 ZINC000667616381 487678353 /nfs/dbraw/zinc/67/83/53/487678353.db2.gz QUGGBPZYWTUUBM-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN CCc1ncc(CN(C)CCC(C)C)cn1 ZINC000666295104 487682090 /nfs/dbraw/zinc/68/20/90/487682090.db2.gz LDDDZYNCKOUSMQ-UHFFFAOYSA-N 0 3 221.348 2.517 20 0 BFADHN CO[C@@H](CNC/C=C\c1ccncc1)CC(C)C ZINC000418103743 487683577 /nfs/dbraw/zinc/68/35/77/487683577.db2.gz WGSAUXYFXYXAIU-FOSCPCJNSA-N 0 3 248.370 2.746 20 0 BFADHN CC(C)CO[C@@H]1CCN([C@@H](C)c2ccncc2)C1 ZINC000675250051 487684363 /nfs/dbraw/zinc/68/43/63/487684363.db2.gz DWEMGEDUNHLJME-DZGCQCFKSA-N 0 3 248.370 2.890 20 0 BFADHN Fc1cnccc1CN[C@H]1CCCC(F)(F)C1 ZINC000336217548 487691669 /nfs/dbraw/zinc/69/16/69/487691669.db2.gz HQLKAKHYCHDKPE-JTQLQIEISA-N 0 3 244.260 2.888 20 0 BFADHN CCCn1nccc1CN(CC1CC1)CC1CC1 ZINC000667648887 487692710 /nfs/dbraw/zinc/69/27/10/487692710.db2.gz CKQMAYGNKFBHTK-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN FC(F)n1ccnc1CN[C@H]1CCC12CCC2 ZINC000669699066 487694373 /nfs/dbraw/zinc/69/43/73/487694373.db2.gz QWRUOUYRKIUPBP-VIFPVBQESA-N 0 3 241.285 2.701 20 0 BFADHN CC/C=C\CCN1CCO[C@@H]2CCC[C@H]21 ZINC000681019842 487696905 /nfs/dbraw/zinc/69/69/05/487696905.db2.gz PNKCPSDJDCVXGZ-FGTRRKKMSA-N 0 3 209.333 2.596 20 0 BFADHN COC[C@H](N[C@H]1CCC12CCC2)c1ccco1 ZINC000669700447 487696422 /nfs/dbraw/zinc/69/64/22/487696422.db2.gz IDNKFJJUHOWXQL-AAEUAGOBSA-N 0 3 235.327 2.889 20 0 BFADHN C[C@H](NCCC1(CO)CC1)c1ccccc1F ZINC000395903901 262794278 /nfs/dbraw/zinc/79/42/78/262794278.db2.gz ZBZGXBGIOVQIGU-NSHDSACASA-N 0 3 237.318 2.639 20 0 BFADHN C[C@H](NC[C@H]1CC[C@@H](C)C1)c1ccncn1 ZINC000395895476 262794287 /nfs/dbraw/zinc/79/42/87/262794287.db2.gz MFHQXNDPKSBLLP-WOPDTQHZSA-N 0 3 219.332 2.563 20 0 BFADHN CCO[C@H](CNCC1(F)CC1)c1ccccc1 ZINC000526994497 262800874 /nfs/dbraw/zinc/80/08/74/262800874.db2.gz FVTRDIAGFBSTGU-CYBMUJFWSA-N 0 3 237.318 2.856 20 0 BFADHN Cc1ncsc1CNC(C)(C)COC(C)C ZINC000396484397 262804939 /nfs/dbraw/zinc/80/49/39/262804939.db2.gz NLAZGTOMBXVZRJ-UHFFFAOYSA-N 0 3 242.388 2.745 20 0 BFADHN Cc1cc(CNCC[C@@H]2CC=CCC2)nn1C ZINC000527790626 262882060 /nfs/dbraw/zinc/88/20/60/262882060.db2.gz RSLPGFBNICSARC-CYBMUJFWSA-N 0 3 233.359 2.565 20 0 BFADHN CCC[C@H](C)CN[C@H]1Cc2ccccc2NC1=O ZINC000527799240 262883805 /nfs/dbraw/zinc/88/38/05/262883805.db2.gz KJMDRHVZDOGLBJ-FZMZJTMJSA-N 0 3 246.354 2.576 20 0 BFADHN COc1ccccc1CN[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C ZINC000527803318 262884247 /nfs/dbraw/zinc/88/42/47/262884247.db2.gz XPUCUERYYGUFIE-OXIQGZBJSA-N 0 3 249.354 2.597 20 0 BFADHN C[C@H]1CC(F)(F)CCN1CCc1ccncc1 ZINC000528767949 262972233 /nfs/dbraw/zinc/97/22/33/262972233.db2.gz MJDPQCAQKPRCNN-NSHDSACASA-N 0 3 240.297 2.744 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnc3n2CCC3)CC1 ZINC000667655671 487700680 /nfs/dbraw/zinc/70/06/80/487700680.db2.gz NACYMIBLJWGMPF-CYBMUJFWSA-N 0 3 247.386 2.841 20 0 BFADHN Cc1occc1CN[C@H](Cn1cccn1)C(C)C ZINC000651205845 487701153 /nfs/dbraw/zinc/70/11/53/487701153.db2.gz GYTFVFQEHAXMLL-CQSZACIVSA-N 0 3 247.342 2.599 20 0 BFADHN CN(CCC(C)(C)C)Cc1cnn2c1CCC2 ZINC000668275113 490070469 /nfs/dbraw/zinc/07/04/69/490070469.db2.gz RXSWTHOKCHHZOF-UHFFFAOYSA-N 0 3 235.375 2.697 20 0 BFADHN Cn1cnc(CN2CCC3(CCCCC3)CC2)c1 ZINC000683897368 487709747 /nfs/dbraw/zinc/70/97/47/487709747.db2.gz AKPQUXQYCXZAFM-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN CN(Cc1csc2ccccc12)C1(CO)CC1 ZINC000671727484 487709891 /nfs/dbraw/zinc/70/98/91/487709891.db2.gz KYRBJHLVFUJUPH-UHFFFAOYSA-N 0 3 247.363 2.858 20 0 BFADHN CC(C)c1ccc(CN(C)C2(CO)CC2)cc1 ZINC000671727587 487711301 /nfs/dbraw/zinc/71/13/01/487711301.db2.gz LGOFPTDLDYTMPA-UHFFFAOYSA-N 0 3 233.355 2.767 20 0 BFADHN CC[C@@H](NCC1COC1)c1cc(F)ccc1F ZINC000683899753 487711855 /nfs/dbraw/zinc/71/18/55/487711855.db2.gz JRIIAGPELIEOMK-CYBMUJFWSA-N 0 3 241.281 2.652 20 0 BFADHN CC1(C)C[C@@H]1NCc1scnc1C1CC1 ZINC000336750155 487721869 /nfs/dbraw/zinc/72/18/69/487721869.db2.gz FROAMAJFDXLIEY-JTQLQIEISA-N 0 3 222.357 2.909 20 0 BFADHN CN(Cc1c[nH]cn1)C[C@H]1C[C@H]1c1ccccc1 ZINC000668421693 487724531 /nfs/dbraw/zinc/72/45/31/487724531.db2.gz BODKDBVCOPIJDX-HIFRSBDPSA-N 0 3 241.338 2.645 20 0 BFADHN CN(Cc1cnc[nH]1)C[C@H]1C[C@H]1c1ccccc1 ZINC000668421693 487724535 /nfs/dbraw/zinc/72/45/35/487724535.db2.gz BODKDBVCOPIJDX-HIFRSBDPSA-N 0 3 241.338 2.645 20 0 BFADHN CC[C@H](N[C@H](CO)CCF)c1ccccc1F ZINC000652018465 487730234 /nfs/dbraw/zinc/73/02/34/487730234.db2.gz UFXHJMTZQLHUBI-GWCFXTLKSA-N 0 3 243.297 2.587 20 0 BFADHN F[C@H]1CCC[C@H]1NCc1ccc2[nH]cnc2c1 ZINC000550320626 487731091 /nfs/dbraw/zinc/73/10/91/487731091.db2.gz FCADNAIDZWRJRB-WDEREUQCSA-N 0 3 233.290 2.543 20 0 BFADHN F[C@H]1CCC[C@H]1NCc1ccc2nc[nH]c2c1 ZINC000550320626 487731095 /nfs/dbraw/zinc/73/10/95/487731095.db2.gz FCADNAIDZWRJRB-WDEREUQCSA-N 0 3 233.290 2.543 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H]1CCCc2ccc(O)cc21 ZINC000284929000 487732435 /nfs/dbraw/zinc/73/24/35/487732435.db2.gz DKYVPLKZBPJFAW-FIXISWKDSA-N 0 3 249.354 2.783 20 0 BFADHN CCN(Cc1occc1C)[C@@H]1CCCC[C@H]1O ZINC000412038985 487732760 /nfs/dbraw/zinc/73/27/60/487732760.db2.gz JYJFWQVHGSSIQI-CHWSQXEVSA-N 0 3 237.343 2.713 20 0 BFADHN Cc1ccncc1[C@H](C)NC1CC(OC(C)C)C1 ZINC000681141036 487736877 /nfs/dbraw/zinc/73/68/77/487736877.db2.gz IAPQNRKJFVVHRB-HSBZDZAISA-N 0 3 248.370 2.997 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1ncnn1C(C)C ZINC000088592758 487737840 /nfs/dbraw/zinc/73/78/40/487737840.db2.gz VNFQYHAENBIFOU-NEPJUHHUSA-N 0 3 238.379 2.773 20 0 BFADHN CC(C)CC[C@H](C)NCc1ncnn1C(C)C ZINC000088592114 487738040 /nfs/dbraw/zinc/73/80/40/487738040.db2.gz ZMPDGZCOSCUGRB-LBPRGKRZSA-N 0 3 238.379 2.773 20 0 BFADHN CC(C)n1ncnc1CN[C@@H]1CCC[C@H](C)C1 ZINC000088589179 487738840 /nfs/dbraw/zinc/73/88/40/487738840.db2.gz LOCGJFGKYHZFFR-NWDGAFQWSA-N 0 3 236.363 2.527 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H](C)c1ccc(C)nc1C ZINC000651436056 487741474 /nfs/dbraw/zinc/74/14/74/487741474.db2.gz NCKNLQQDAUTBGX-BPLDGKMQSA-N 0 3 248.370 2.917 20 0 BFADHN CC[C@H](O)CN1CC(C)(C)[C@H]1c1ccccc1 ZINC000675497385 487741544 /nfs/dbraw/zinc/74/15/44/487741544.db2.gz DCRUKKITWHXWRC-UONOGXRCSA-N 0 3 233.355 2.840 20 0 BFADHN CCCC[C@@H](CC)CNCc1ccon1 ZINC000088053880 487750719 /nfs/dbraw/zinc/75/07/19/487750719.db2.gz KCGQBJMQMFSKHK-LLVKDONJSA-N 0 3 210.321 2.981 20 0 BFADHN OC[C@@H](NCC1=CCCC1)c1cccc(F)c1 ZINC000645851470 487759212 /nfs/dbraw/zinc/75/92/12/487759212.db2.gz NXXUDQGQNXHFKD-CQSZACIVSA-N 0 3 235.302 2.559 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cc2n(n1)CCCC2 ZINC000649593303 487767661 /nfs/dbraw/zinc/76/76/61/487767661.db2.gz KRHSEAFOSSDMSR-IUODEOHRSA-N 0 3 247.386 2.888 20 0 BFADHN OCCCN(Cc1ccccc1C1CC1)C1CC1 ZINC000678264308 487783605 /nfs/dbraw/zinc/78/36/05/487783605.db2.gz UDNJNUJKNIIWMB-UHFFFAOYSA-N 0 3 245.366 2.911 20 0 BFADHN COCCC[C@H](C)NCc1cc(C(C)C)no1 ZINC000675882518 487786699 /nfs/dbraw/zinc/78/66/99/487786699.db2.gz NAMLJHKFBSZKDE-NSHDSACASA-N 0 3 240.347 2.703 20 0 BFADHN CCC(CC)CN[C@@H](C)c1cc2n(n1)CCC2 ZINC000414130563 487795798 /nfs/dbraw/zinc/79/57/98/487795798.db2.gz WRDVNBRUUOMKAL-NSHDSACASA-N 0 3 235.375 2.916 20 0 BFADHN Cc1ccc(CN2CC[C@H](C(F)F)C2)c(C)n1 ZINC000681407462 487795858 /nfs/dbraw/zinc/79/58/58/487795858.db2.gz YXYJJPGYTAFEOZ-LBPRGKRZSA-N 0 3 240.297 2.785 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CC[C@H]2CCC[C@H]21 ZINC000668012650 487796136 /nfs/dbraw/zinc/79/61/36/487796136.db2.gz HDRWPJIIZVIRAQ-TZMCWYRMSA-N 0 3 245.370 2.672 20 0 BFADHN CC(C)=CCNC(C)(C)C(=O)Nc1ccccc1 ZINC000675972244 487797797 /nfs/dbraw/zinc/79/77/97/487797797.db2.gz SLBLNBOCMILZGX-UHFFFAOYSA-N 0 3 246.354 2.960 20 0 BFADHN CC(C)C1(c2ccccc2)CN([C@H](C)[C@H](C)O)C1 ZINC000414192452 487798764 /nfs/dbraw/zinc/79/87/64/487798764.db2.gz TZCCIWPCDDQGLO-KGLIPLIRSA-N 0 3 247.382 2.665 20 0 BFADHN COCCC1CN(C/C=C/c2ccccc2)C1 ZINC000668472634 487799030 /nfs/dbraw/zinc/79/90/30/487799030.db2.gz NNNADSBLJBTYGQ-VMPITWQZSA-N 0 3 231.339 2.668 20 0 BFADHN C[C@@H]1C[C@H](NCc2n[nH]c3ccccc32)CS1 ZINC000666417791 487803376 /nfs/dbraw/zinc/80/33/76/487803376.db2.gz SFUKESRDZKDJFL-ZJUUUORDSA-N 0 3 247.367 2.547 20 0 BFADHN C[C@@H]1C[C@H](NCc2[nH]nc3ccccc32)CS1 ZINC000666417791 487803380 /nfs/dbraw/zinc/80/33/80/487803380.db2.gz SFUKESRDZKDJFL-ZJUUUORDSA-N 0 3 247.367 2.547 20 0 BFADHN CN(Cc1ccco1)C[C@]1(C)CCCS1 ZINC000628120341 487803828 /nfs/dbraw/zinc/80/38/28/487803828.db2.gz IKPSYYKQHVRRCT-LBPRGKRZSA-N 0 3 225.357 2.997 20 0 BFADHN C[C@@H]1CCCN1Cc1cc2cnccc2o1 ZINC000651638061 487806530 /nfs/dbraw/zinc/80/65/30/487806530.db2.gz KWRNULBGJFYDPB-SNVBAGLBSA-N 0 3 216.284 2.812 20 0 BFADHN COc1cccc(SCCN2CCCC2)c1 ZINC000681556273 487815442 /nfs/dbraw/zinc/81/54/42/487815442.db2.gz SFGUFTBRMRQVML-UHFFFAOYSA-N 0 3 237.368 2.883 20 0 BFADHN Cc1cc(C)c2c(c1)CN([C@H]1CCCOC1)CC2 ZINC000681601034 487822145 /nfs/dbraw/zinc/82/21/45/487822145.db2.gz HAKFKOCDNKQHDK-HNNXBMFYSA-N 0 3 245.366 2.841 20 0 BFADHN CC(C)N1CCN([C@@H]2CCc3ccccc32)CC1 ZINC000681657221 487831827 /nfs/dbraw/zinc/83/18/27/487831827.db2.gz WECYYYPILNRZPD-MRXNPFEDSA-N 0 3 244.382 2.700 20 0 BFADHN c1ccc2c(c1)CC[C@H]2N1CCSCC1 ZINC000681659473 487832747 /nfs/dbraw/zinc/83/27/47/487832747.db2.gz PTAWADLLAMYDDV-CYBMUJFWSA-N 0 3 219.353 2.723 20 0 BFADHN C[C@@H](NCc1ccnc(N)c1)c1ccsc1 ZINC000309310823 487833370 /nfs/dbraw/zinc/83/33/70/487833370.db2.gz WXQHRFRBGJEBIH-SECBINFHSA-N 0 3 233.340 2.576 20 0 BFADHN CCC[C@H](C)CN1CC[C@@H](C)C[C@@H]1C(=O)OC ZINC000482493590 528032011 /nfs/dbraw/zinc/03/20/11/528032011.db2.gz XQSVIFNMRJBBAI-FRRDWIJNSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@H](C)c1ncc[nH]1 ZINC000385241093 487835159 /nfs/dbraw/zinc/83/51/59/487835159.db2.gz VEDRXDMADRFSJQ-NXEZZACHSA-N 0 3 209.337 2.885 20 0 BFADHN CCN(Cc1cc2cnccc2o1)C[C@H](C)OC ZINC000651815404 487835465 /nfs/dbraw/zinc/83/54/65/487835465.db2.gz PCTTWISVBLWBKP-NSHDSACASA-N 0 3 248.326 2.685 20 0 BFADHN Cc1cccc(CN[C@@H]2COCC2(C)C)c1 ZINC000313071679 322947344 /nfs/dbraw/zinc/94/73/44/322947344.db2.gz FJZCPUINHQUSGO-CYBMUJFWSA-N 0 3 219.328 2.510 20 0 BFADHN CC/C=C\CCN1CC(C)(C)OC[C@@H]1C ZINC000488229668 528789462 /nfs/dbraw/zinc/78/94/62/528789462.db2.gz YCBGSRQOQMNVLP-DGMVEKRQSA-N 0 3 211.349 2.842 20 0 BFADHN COCCN(CCF)CCC(C)(C)C1CC1 ZINC000852480251 588683914 /nfs/dbraw/zinc/68/39/14/588683914.db2.gz SDQQRLRTVPGLGX-UHFFFAOYSA-N 0 3 231.355 2.731 20 0 BFADHN C[C@@H](NCCCF)c1cc(O)ccc1F ZINC000872049279 589860653 /nfs/dbraw/zinc/86/06/53/589860653.db2.gz RVMRPTMTSXXMNT-MRVPVSSYSA-N 0 3 215.243 2.542 20 0 BFADHN C[C@@H](CNCc1nc(Cl)cs1)C1CC1 ZINC000876967329 590262796 /nfs/dbraw/zinc/26/27/96/590262796.db2.gz FFSGWHXQTOOPPW-ZETCQYMHSA-N 0 3 230.764 2.932 20 0 BFADHN CC[C@]1(CO)CCCN([C@H](C)c2ccncc2)C1 ZINC000681710863 487846909 /nfs/dbraw/zinc/84/69/09/487846909.db2.gz XWSPEWZMJRJSOF-HIFRSBDPSA-N 0 3 248.370 2.627 20 0 BFADHN CN(C)CCSC[C@@H]1CC(C)(C)OC1(C)C ZINC000882138622 591304591 /nfs/dbraw/zinc/30/45/91/591304591.db2.gz KUIQGHJJTHRBHH-NSHDSACASA-N 0 3 245.432 2.875 20 0 BFADHN Fc1cccnc1CN[C@H]1CC[C@H]1C1CCC1 ZINC000558699048 322954023 /nfs/dbraw/zinc/95/40/23/322954023.db2.gz VSPHHRCCGZNSFB-AAEUAGOBSA-N 0 3 234.318 2.889 20 0 BFADHN Cc1ncc(CN2CC3(CCC3)[C@H]2C(C)C)n1C ZINC000668184292 487867346 /nfs/dbraw/zinc/86/73/46/487867346.db2.gz ACTKEKZAVAZPGB-CQSZACIVSA-N 0 3 247.386 2.739 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1nccn1CC ZINC000083863547 322960285 /nfs/dbraw/zinc/96/02/85/322960285.db2.gz SNTZJTXNHZYHJH-VXGBXAGGSA-N 0 3 223.364 2.817 20 0 BFADHN COCCN(Cc1ccc(C)cn1)C1CCCC1 ZINC000676557181 487871342 /nfs/dbraw/zinc/87/13/42/487871342.db2.gz LHXSRJZZNGIHBT-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1ccc(CN2CC[C@@H](c3ccco3)C2)nc1 ZINC000676560891 487873445 /nfs/dbraw/zinc/87/34/45/487873445.db2.gz DTWSXQLERMIUQM-CYBMUJFWSA-N 0 3 242.322 2.973 20 0 BFADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2NC[C@H](C)O ZINC000569351239 322962202 /nfs/dbraw/zinc/96/22/02/322962202.db2.gz USNSMECWGFXNPR-JOYOIKCWSA-N 0 3 237.343 2.572 20 0 BFADHN Cc1csc(CN[C@H]2CO[C@H](C3CC3)C2)c1 ZINC000668215213 487879015 /nfs/dbraw/zinc/87/90/15/487879015.db2.gz XIOBLPWVALQJAQ-YPMHNXCESA-N 0 3 237.368 2.714 20 0 BFADHN COc1ccccc1C1(NCCCF)CC1 ZINC000646000959 487880126 /nfs/dbraw/zinc/88/01/26/487880126.db2.gz KWJCSMPIVWEAEN-UHFFFAOYSA-N 0 3 223.291 2.634 20 0 BFADHN COc1ccccc1C1(NC(C)C)CC1 ZINC000645999176 487880154 /nfs/dbraw/zinc/88/01/54/487880154.db2.gz COEMVGOWHGTAGC-UHFFFAOYSA-N 0 3 205.301 2.682 20 0 BFADHN CN(CCCC(C)(C)C)Cc1cn[nH]c1 ZINC000682028884 487882010 /nfs/dbraw/zinc/88/20/10/487882010.db2.gz KPSCGPVNVDTLTQ-UHFFFAOYSA-N 0 3 209.337 2.668 20 0 BFADHN CC1CN(Cc2ccc3cnccc3c2)C1 ZINC000670270441 487885586 /nfs/dbraw/zinc/88/55/86/487885586.db2.gz FUTBTHYSLMDCIH-UHFFFAOYSA-N 0 3 212.296 2.687 20 0 BFADHN Cc1ccnc(CN2CCC[C@@H]2[C@H]2CCCO2)c1 ZINC000671245280 487888610 /nfs/dbraw/zinc/88/86/10/487888610.db2.gz ZMBKMDZDHBUTNI-HUUCEWRRSA-N 0 3 246.354 2.533 20 0 BFADHN Cc1ncc([C@H](C)N2CC[C@@H](C3CC3)C2)c(C)n1 ZINC000682071741 487893371 /nfs/dbraw/zinc/89/33/71/487893371.db2.gz ITFKBYCVEZUQPG-SMDDNHRTSA-N 0 3 245.370 2.886 20 0 BFADHN COc1ccc(C)cc1CN1CCCOC[C@H]1C ZINC000676710308 487897444 /nfs/dbraw/zinc/89/74/44/487897444.db2.gz BUCWKRAONAYOCL-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN CCOc1ccc(CN2CCCOC[C@H]2C)cc1 ZINC000676713297 487900756 /nfs/dbraw/zinc/90/07/56/487900756.db2.gz FMRFAFUDWLPGFO-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H]1CSC[C@@H]1C ZINC000304538201 487904181 /nfs/dbraw/zinc/90/41/81/487904181.db2.gz RVXDFCPMERZXPA-LAEOZQHASA-N 0 3 240.372 2.694 20 0 BFADHN CCOc1ccc(CN(C)CCC2CC2)nc1 ZINC000676716096 487904184 /nfs/dbraw/zinc/90/41/84/487904184.db2.gz DOWJCLIKQOINDN-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@@H](N[C@@H](CO)CCF)c1ccc(F)cc1 ZINC000652307107 487907815 /nfs/dbraw/zinc/90/78/15/487907815.db2.gz ODRZXWKYWDHBRX-CHWSQXEVSA-N 0 3 243.297 2.587 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2cc[nH]n2)CC1 ZINC000083435638 487912708 /nfs/dbraw/zinc/91/27/08/487912708.db2.gz JKYLOYPUBZRUEQ-NEPJUHHUSA-N 0 3 221.348 2.858 20 0 BFADHN CCN(CC[C@H]1CCOC1)CCC(F)(F)F ZINC000682153641 487915913 /nfs/dbraw/zinc/91/59/13/487915913.db2.gz NYMUYFWULKAODX-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN Fc1cccc([C@H]2CCN2CC[C@H]2CCOC2)c1 ZINC000682155698 487917566 /nfs/dbraw/zinc/91/75/66/487917566.db2.gz CITUPKNWVRJFHQ-SWLSCSKDSA-N 0 3 249.329 2.999 20 0 BFADHN Fc1cccc([C@@H]2CCN2CC[C@@H]2CCOC2)c1 ZINC000682155696 487917381 /nfs/dbraw/zinc/91/73/81/487917381.db2.gz CITUPKNWVRJFHQ-DOMZBBRYSA-N 0 3 249.329 2.999 20 0 BFADHN CC(C)O[C@@H]1CCCN(Cc2cccc(N)c2)C1 ZINC000404585670 487928514 /nfs/dbraw/zinc/92/85/14/487928514.db2.gz UCRKCRAHCHRARF-OAHLLOKOSA-N 0 3 248.370 2.658 20 0 BFADHN Cc1sccc1CN[C@@H]1COC(C)(C)C1 ZINC000396455165 487934174 /nfs/dbraw/zinc/93/41/74/487934174.db2.gz AOWWJRXEOPXVKN-NSHDSACASA-N 0 3 225.357 2.714 20 0 BFADHN Cc1nc(NC2CCC2)cc(NC2CCC2)n1 ZINC000676876547 487939829 /nfs/dbraw/zinc/93/98/29/487939829.db2.gz DCGXCGMBBRNCSP-UHFFFAOYSA-N 0 3 232.331 2.714 20 0 BFADHN Cc1ccc(C)c(CN[C@H]2COC(C)(C)C2)c1 ZINC000396530350 487940045 /nfs/dbraw/zinc/94/00/45/487940045.db2.gz IUNLYVBHZONDQY-CQSZACIVSA-N 0 3 233.355 2.961 20 0 BFADHN CN(CCCCC(F)(F)F)Cc1cn[nH]c1 ZINC000676894834 487943561 /nfs/dbraw/zinc/94/35/61/487943561.db2.gz YFZPRABIWIHDAW-UHFFFAOYSA-N 0 3 235.253 2.574 20 0 BFADHN CC/C=C/CNC/C=C/c1ccncc1 ZINC000449454844 487945841 /nfs/dbraw/zinc/94/58/41/487945841.db2.gz KIVKORAPKUFBKN-VNKDHWASSA-N 0 3 202.301 2.651 20 0 BFADHN C[C@@H]1CCN(Cc2c[nH]cn2)[C@H]2CCCC[C@@H]12 ZINC000684617727 487946536 /nfs/dbraw/zinc/94/65/36/487946536.db2.gz WBMPXOWRTTWLIB-XBFCOCLRSA-N 0 3 233.359 2.810 20 0 BFADHN C[C@@H]1CCN(Cc2cnc[nH]2)[C@H]2CCCC[C@@H]12 ZINC000684617727 487946537 /nfs/dbraw/zinc/94/65/37/487946537.db2.gz WBMPXOWRTTWLIB-XBFCOCLRSA-N 0 3 233.359 2.810 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1C[C@H]2COC[C@]2(C)C1 ZINC000661704572 487948694 /nfs/dbraw/zinc/94/86/94/487948694.db2.gz XMIRTBHPIADTHB-ZLDLUXBVSA-N 0 3 249.329 2.855 20 0 BFADHN OCc1ccc(CN2CC3(C2)CCCCC3)cc1 ZINC000227496743 487949213 /nfs/dbraw/zinc/94/92/13/487949213.db2.gz MSFJGLVXSSZASA-UHFFFAOYSA-N 0 3 245.366 2.945 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1ccc(O)cc1 ZINC000682406762 487950026 /nfs/dbraw/zinc/95/00/26/487950026.db2.gz NMRIHTFGLLZJMG-ZDUSSCGKSA-N 0 3 205.301 2.623 20 0 BFADHN COc1cccc(CN2C[C@@H]3[C@H](C2)C3(C)C)c1F ZINC000192956469 487952596 /nfs/dbraw/zinc/95/25/96/487952596.db2.gz IXZYLIJNALJHEU-TXEJJXNPSA-N 0 3 249.329 2.922 20 0 BFADHN Cc1ccc(CN[C@@H]2COC(C)(C)C2)cc1C ZINC000396546566 487953429 /nfs/dbraw/zinc/95/34/29/487953429.db2.gz QJXHLXHDVUKIPO-AWEZNQCLSA-N 0 3 233.355 2.961 20 0 BFADHN Fc1cccc2[nH]c(CN3CCCC3)cc21 ZINC000257976216 322874111 /nfs/dbraw/zinc/87/41/11/322874111.db2.gz JAJFPZYZHUUIHA-UHFFFAOYSA-N 0 3 218.275 2.903 20 0 BFADHN COc1cccc([C@@H](C)N[C@H]2C[C@@H]2C(F)F)c1 ZINC000558255395 322947982 /nfs/dbraw/zinc/94/79/82/322947982.db2.gz DNPMFQGNZOMQOD-ZHAHWJHGSA-N 0 3 241.281 2.999 20 0 BFADHN Cc1cccc(CCNCc2ncccc2F)c1 ZINC000560116456 322982998 /nfs/dbraw/zinc/98/29/98/322982998.db2.gz DUZCTDMWXZECLM-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN CCc1cc(CN[C@H]2CC=C(C)CC2)on1 ZINC000560464061 322987548 /nfs/dbraw/zinc/98/75/48/322987548.db2.gz LKSMLQXTIOWZPQ-LBPRGKRZSA-N 0 3 220.316 2.825 20 0 BFADHN Cc1cc(CN2[C@@H](C)C[C@@H]2C)cc(C)c1O ZINC000561961957 323009378 /nfs/dbraw/zinc/00/93/78/323009378.db2.gz PNQDUNAHQMXXEB-RYUDHWBXSA-N 0 3 219.328 2.992 20 0 BFADHN Cc1cc(CN2[C@H](C)C[C@@H]2C)cc(C)c1O ZINC000561961961 323009515 /nfs/dbraw/zinc/00/95/15/323009515.db2.gz PNQDUNAHQMXXEB-TXEJJXNPSA-N 0 3 219.328 2.992 20 0 BFADHN CCCOc1ccc(CN[C@@H]2C[C@@H]2OCC)cc1 ZINC000562467980 323020909 /nfs/dbraw/zinc/02/09/09/323020909.db2.gz XVVVGSYSCKPGRC-CABCVRRESA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H]1COCCN1C[C@H]1CCCC(C)(C)C1 ZINC000562488743 323021767 /nfs/dbraw/zinc/02/17/67/323021767.db2.gz AHQKQEITUXOETK-OLZOCXBDSA-N 0 3 225.376 2.924 20 0 BFADHN CCC[C@H](N[C@@H]1C[C@H]1OCC)c1cccnc1 ZINC000562498680 323021985 /nfs/dbraw/zinc/02/19/85/323021985.db2.gz CYMVUANACYFNHI-BFHYXJOUSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H](NCC[C@H]1CCCCO1)c1ccoc1 ZINC000134732494 323025486 /nfs/dbraw/zinc/02/54/86/323025486.db2.gz BXMRUILHVYLJGW-DGCLKSJQSA-N 0 3 223.316 2.889 20 0 BFADHN CCc1ccc(CN(C)CC(C)(C)CO)s1 ZINC000562668939 323027023 /nfs/dbraw/zinc/02/70/23/323027023.db2.gz RWUQZGGNKPMYST-UHFFFAOYSA-N 0 3 241.400 2.761 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCOc3ccccc32)CO1 ZINC000566973221 323029986 /nfs/dbraw/zinc/02/99/86/323029986.db2.gz QJBFBULRYVUCCY-YRGRVCCFSA-N 0 3 247.338 2.667 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCC23CCCC3)[nH]1 ZINC000562786620 323030597 /nfs/dbraw/zinc/03/05/97/323030597.db2.gz MUQLANMPPDSKTE-LLVKDONJSA-N 0 3 248.374 2.741 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CCC23CCCC3)[n-]1 ZINC000562786620 323030598 /nfs/dbraw/zinc/03/05/98/323030598.db2.gz MUQLANMPPDSKTE-LLVKDONJSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)[C@H]1C)c1cscn1 ZINC000306995090 323032843 /nfs/dbraw/zinc/03/28/43/323032843.db2.gz PGOLKBQMBJGNQB-QCLAVDOMSA-N 0 3 210.346 2.838 20 0 BFADHN CC[C@@](C)(CNCc1ccncc1Cl)OC ZINC000322688746 323046969 /nfs/dbraw/zinc/04/69/69/323046969.db2.gz KCRKVHTYQOQSJO-LBPRGKRZSA-N 0 3 242.750 2.640 20 0 BFADHN COCC1(C)CN(Cc2cc(C)sc2C)C1 ZINC000570023876 323047542 /nfs/dbraw/zinc/04/75/42/323047542.db2.gz XCGLKOIEJBTDGW-UHFFFAOYSA-N 0 3 239.384 2.833 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2ccc(C)c(F)c2)C1 ZINC000570249033 323053945 /nfs/dbraw/zinc/05/39/45/323053945.db2.gz ZNZPCAXULJWAGA-BETUJISGSA-N 0 3 237.318 2.743 20 0 BFADHN CCCN(Cc1snnc1C)CC(C)C ZINC000570455580 323060304 /nfs/dbraw/zinc/06/03/04/323060304.db2.gz SPIUQTMPLOYXGW-UHFFFAOYSA-N 0 3 227.377 2.715 20 0 BFADHN CCC[C@H](C)[C@@H](CO)N[C@H](C)c1cncs1 ZINC000570521558 323061931 /nfs/dbraw/zinc/06/19/31/323061931.db2.gz VNIXZHLTWRTELI-HBNTYKKESA-N 0 3 242.388 2.591 20 0 BFADHN COCCC[C@H](C)N[C@@H](C)c1cscn1 ZINC000570583669 323064013 /nfs/dbraw/zinc/06/40/13/323064013.db2.gz KBUKXTUPYHSEOK-UWVGGRQHSA-N 0 3 228.361 2.609 20 0 BFADHN COCCC[C@@H](C)N[C@@H](C)c1cscn1 ZINC000570583671 323064036 /nfs/dbraw/zinc/06/40/36/323064036.db2.gz KBUKXTUPYHSEOK-ZJUUUORDSA-N 0 3 228.361 2.609 20 0 BFADHN COCC1(N[C@@H](C)c2csc(C)c2)CC1 ZINC000570654770 323066005 /nfs/dbraw/zinc/06/60/05/323066005.db2.gz GLHSIGGXVBTWGZ-JTQLQIEISA-N 0 3 225.357 2.886 20 0 BFADHN CC[C@@H](N[C@@H](CC)C1CCOCC1)C(F)F ZINC000570773627 323069739 /nfs/dbraw/zinc/06/97/39/323069739.db2.gz FGIRHGCWYGXKGR-WDEREUQCSA-N 0 3 235.318 2.825 20 0 BFADHN CC[C@H](N[C@@H](CC)C1CCOCC1)C(F)F ZINC000570773626 323069783 /nfs/dbraw/zinc/06/97/83/323069783.db2.gz FGIRHGCWYGXKGR-QWRGUYRKSA-N 0 3 235.318 2.825 20 0 BFADHN CCc1nc(CN[C@@H](C)C2(C)CC2)cs1 ZINC000126965437 323074021 /nfs/dbraw/zinc/07/40/21/323074021.db2.gz WKSFDJCJEJLJAH-VIFPVBQESA-N 0 3 224.373 2.984 20 0 BFADHN Cc1ccc(CNCCOC(C)(C)C)cc1 ZINC000162367039 323076555 /nfs/dbraw/zinc/07/65/55/323076555.db2.gz UZDIJBAELHZBAW-UHFFFAOYSA-N 0 3 221.344 2.900 20 0 BFADHN CC/C=C/CCN1CCO[C@@]2(CCSC2)C1 ZINC000563066928 323084228 /nfs/dbraw/zinc/08/42/28/323084228.db2.gz CSNZUWMOKBQYTH-OOPCZODUSA-N 0 3 241.400 2.551 20 0 BFADHN C1=CC[C@H](CN2C[C@@]3(CCCO3)[C@H]2C2CC2)CC1 ZINC000354707551 323090311 /nfs/dbraw/zinc/09/03/11/323090311.db2.gz OGVJQDQGBZCJMB-IMJJTQAJSA-N 0 3 247.382 2.986 20 0 BFADHN C[C@@H]1CCCCN1Cc1ccc2c(n1)CCC2 ZINC000563090431 323091631 /nfs/dbraw/zinc/09/16/31/323091631.db2.gz RLZYOJZSRQPMSJ-GFCCVEGCSA-N 0 3 230.355 2.945 20 0 BFADHN COCC1(CCN[C@@H](C)c2cscn2)CC1 ZINC000567857022 323093259 /nfs/dbraw/zinc/09/32/59/323093259.db2.gz XLDQQFOPNJNLAO-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccnn1CC ZINC000157455785 323095798 /nfs/dbraw/zinc/09/57/98/323095798.db2.gz JJTRCNLRCPFCJU-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@H](NCc1nccn1C(F)F)[C@@H]1CC1(C)C ZINC000397855411 323098468 /nfs/dbraw/zinc/09/84/68/323098468.db2.gz TUIYZIPDRLXJMW-IUCAKERBSA-N 0 3 243.301 2.802 20 0 BFADHN CC(C)CC[C@@H](O)CN[C@@H]1CCCC[C@H]1F ZINC000571039598 323104371 /nfs/dbraw/zinc/10/43/71/323104371.db2.gz DBRMFYZSHJFOLU-JHJVBQTASA-N 0 3 231.355 2.654 20 0 BFADHN COCC1(NCc2cc(C)c(C)cc2C)CC1 ZINC000531701463 323111754 /nfs/dbraw/zinc/11/17/54/323111754.db2.gz XPMBKAYHROAQQS-UHFFFAOYSA-N 0 3 233.355 2.880 20 0 BFADHN COC(C)(C)CN(C)Cc1ccccc1F ZINC000531829896 323115010 /nfs/dbraw/zinc/11/50/10/323115010.db2.gz FJSFDHVNXKYVDW-UHFFFAOYSA-N 0 3 225.307 2.683 20 0 BFADHN CCc1ccc(CN2CCC(OC)CC2)s1 ZINC000534332515 323117156 /nfs/dbraw/zinc/11/71/56/323117156.db2.gz DUGXFQUMNZNAIO-UHFFFAOYSA-N 0 3 239.384 2.921 20 0 BFADHN CCOC(=O)CN(CCC(C)C)C1CCCC1 ZINC000110433952 323118251 /nfs/dbraw/zinc/11/82/51/323118251.db2.gz FSWDFVTVCQHAAF-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H](NCCC[C@@H]1CCOC1)c1ccoc1 ZINC000401884981 323129189 /nfs/dbraw/zinc/12/91/89/323129189.db2.gz BLQNAUSDVGSLMZ-VXGBXAGGSA-N 0 3 223.316 2.747 20 0 BFADHN CC1(C)CN(C/C=C\c2ccccc2)CC[C@H]1O ZINC000310612894 323133393 /nfs/dbraw/zinc/13/33/93/323133393.db2.gz CRCMUKAQYFCEKM-WUKHSURWSA-N 0 3 245.366 2.793 20 0 BFADHN C[C@@H](NCC1CC(C)(C)C1)c1ccn(C)n1 ZINC000571177650 323137160 /nfs/dbraw/zinc/13/71/60/323137160.db2.gz DYKSMSDIVPLYMW-SNVBAGLBSA-N 0 3 221.348 2.507 20 0 BFADHN CCN(Cc1nccn1C)[C@@H]1CCCC[C@H]1C ZINC000249429590 323139162 /nfs/dbraw/zinc/13/91/62/323139162.db2.gz YWQHCTGEQXJBTG-CHWSQXEVSA-N 0 3 235.375 2.821 20 0 BFADHN COc1cc(C)nc(CN2C[C@@H](C)C[C@H]2C)c1 ZINC000534544568 323153295 /nfs/dbraw/zinc/15/32/95/323153295.db2.gz FOORWBXPSDKQBO-CMPLNLGQSA-N 0 3 234.343 2.629 20 0 BFADHN CCC1CN(Cc2ccc(-n3ccnc3)cc2)C1 ZINC000453599794 323154628 /nfs/dbraw/zinc/15/46/28/323154628.db2.gz CNFXLWZRFFEQGA-UHFFFAOYSA-N 0 3 241.338 2.714 20 0 BFADHN CC1(C)CCC[C@@H](CN2CCOCC2)C1 ZINC000535064717 323165114 /nfs/dbraw/zinc/16/51/14/323165114.db2.gz ABEJUZGVVUBVMK-GFCCVEGCSA-N 0 3 211.349 2.535 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N(C)CCn1cccn1 ZINC000049983031 323165656 /nfs/dbraw/zinc/16/56/56/323165656.db2.gz ASJHPMDMASSAMB-LBPRGKRZSA-N 0 3 247.317 2.715 20 0 BFADHN CCC[C@@H](NCC1(CCO)CC1)c1ccccn1 ZINC000188054498 323169700 /nfs/dbraw/zinc/16/97/00/323169700.db2.gz JTMVSJXWVCXQHZ-CYBMUJFWSA-N 0 3 248.370 2.675 20 0 BFADHN C[C@H]1CC[C@H]1NCc1ccncc1Cl ZINC000306774664 323173198 /nfs/dbraw/zinc/17/31/98/323173198.db2.gz WCCLMZFQZWYCJJ-GZMMTYOYSA-N 0 3 210.708 2.623 20 0 BFADHN C[C@H](NCCCF)c1ccc(Cl)cn1 ZINC000308662675 323176612 /nfs/dbraw/zinc/17/66/12/323176612.db2.gz QXBAVCGQLSZDMH-QMMMGPOBSA-N 0 3 216.687 2.745 20 0 BFADHN CC[C@@H](NCC1CC(C)(C)C1)c1ccn(C)n1 ZINC000525858697 323176896 /nfs/dbraw/zinc/17/68/96/323176896.db2.gz SRRROQYKZSIVIP-GFCCVEGCSA-N 0 3 235.375 2.897 20 0 BFADHN CCC(CC)N(CC)CC(=O)N[C@H](C)C(C)C ZINC000563770367 323177244 /nfs/dbraw/zinc/17/72/44/323177244.db2.gz KWHVZZQIHWGPIX-GFCCVEGCSA-N 0 3 242.407 2.658 20 0 BFADHN CCC(CC)N(CC)CC(=O)N[C@@H](C)C(C)C ZINC000563770368 323177295 /nfs/dbraw/zinc/17/72/95/323177295.db2.gz KWHVZZQIHWGPIX-LBPRGKRZSA-N 0 3 242.407 2.658 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1ccc(F)cc1F ZINC000308844748 323179734 /nfs/dbraw/zinc/17/97/34/323179734.db2.gz ZSFXLCPKUCEXEW-UFBFGSQYSA-N 0 3 211.255 2.853 20 0 BFADHN c1nc(C2CC2)sc1CN[C@@H]1CCSC1 ZINC000308836690 323179895 /nfs/dbraw/zinc/17/98/95/323179895.db2.gz IKXJQIJGJNKOJU-SECBINFHSA-N 0 3 240.397 2.616 20 0 BFADHN FC1(CNC/C=C\c2ccccc2)CC1 ZINC000308858540 323180183 /nfs/dbraw/zinc/18/01/83/323180183.db2.gz VRLZEECCTJQIQY-DAXSKMNVSA-N 0 3 205.276 2.792 20 0 BFADHN COC1CCC(N[C@H](C)c2cscn2)CC1 ZINC000563872374 323181948 /nfs/dbraw/zinc/18/19/48/323181948.db2.gz FYQGAZQSJYHEAK-KPPDAEKUSA-N 0 3 240.372 2.751 20 0 BFADHN Fc1cccc(OCCN2CCCCC2)c1 ZINC000070253799 323182570 /nfs/dbraw/zinc/18/25/70/323182570.db2.gz IPEXVCXAHOOIKS-UHFFFAOYSA-N 0 3 223.291 2.690 20 0 BFADHN CC/C=C\CNCc1cnc(CC)s1 ZINC000394983085 323184995 /nfs/dbraw/zinc/18/49/95/323184995.db2.gz XNSJNVILOFWKKT-WAYWQWQTSA-N 0 3 210.346 2.761 20 0 BFADHN CCN(CCOc1ccccc1)C[C@@H]1CCCO1 ZINC000051555277 323189821 /nfs/dbraw/zinc/18/98/21/323189821.db2.gz UKXWPRJWXQQSEB-HNNXBMFYSA-N 0 3 249.354 2.566 20 0 BFADHN C[C@@H]1CCN(CCn2ccnc2)[C@@H]2CCCC[C@@H]12 ZINC000535745383 323190256 /nfs/dbraw/zinc/19/02/56/323190256.db2.gz NJCKZAPBCUTMIC-QLFBSQMISA-N 0 3 247.386 2.784 20 0 BFADHN c1ccc([C@@H]2CCCN2CC2=CCCOC2)nc1 ZINC000535780491 323191066 /nfs/dbraw/zinc/19/10/66/323191066.db2.gz DLSHEMFYYOLYEQ-HNNXBMFYSA-N 0 3 244.338 2.565 20 0 BFADHN CC(C)=CCNCc1cc2ccccc2[nH]c1=O ZINC000191630638 323192142 /nfs/dbraw/zinc/19/21/42/323192142.db2.gz PIIFEUMZCNXLQO-UHFFFAOYSA-N 0 3 242.322 2.996 20 0 BFADHN CCOc1cccc(CN2CC[C@H](C(C)C)C2)n1 ZINC000535826291 323192813 /nfs/dbraw/zinc/19/28/13/323192813.db2.gz SOPSHCDXHXNEQZ-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN CCN(CCOC)[C@@H](C)c1cccc(OC)c1 ZINC000052706092 323194888 /nfs/dbraw/zinc/19/48/88/323194888.db2.gz SXGORRJDKWXTFO-LBPRGKRZSA-N 0 3 237.343 2.725 20 0 BFADHN CN(Cc1ccnc2ccccc12)C1CC1 ZINC000536638058 323199267 /nfs/dbraw/zinc/19/92/67/323199267.db2.gz XDUMTFCFNHQXKE-UHFFFAOYSA-N 0 3 212.296 2.829 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cccc3c2OCCO3)C1 ZINC000536661657 323199729 /nfs/dbraw/zinc/19/97/29/323199729.db2.gz AYYZNAAXIUSLFO-VXGBXAGGSA-N 0 3 247.338 2.688 20 0 BFADHN COc1cc(CN2C[C@@H](C)C[C@H]2C)cc(OC)c1 ZINC000536682374 323200871 /nfs/dbraw/zinc/20/08/71/323200871.db2.gz KOWHBAPWVBPWBT-NWDGAFQWSA-N 0 3 249.354 2.934 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)[C@H](C)C1)c1ccncn1 ZINC000395929114 323265971 /nfs/dbraw/zinc/26/59/71/323265971.db2.gz WZSCZCKNCALKKF-FVCCEPFGSA-N 0 3 233.359 2.952 20 0 BFADHN c1cc2c(cc1CN1C3CCC1CC3)OCCO2 ZINC000532466971 323266738 /nfs/dbraw/zinc/26/67/38/323266738.db2.gz ZCOOBDNQPILMOC-UHFFFAOYSA-N 0 3 245.322 2.585 20 0 BFADHN CCC(CC)N(Cc1ccno1)C1CC1 ZINC000532529091 323273303 /nfs/dbraw/zinc/27/33/03/323273303.db2.gz CHQHJXLACYTSKA-UHFFFAOYSA-N 0 3 208.305 2.828 20 0 BFADHN C[C@H](NCCC1CCCC1)c1ccncn1 ZINC000396006543 323281652 /nfs/dbraw/zinc/28/16/52/323281652.db2.gz ZJNDNQDDCPMRIW-NSHDSACASA-N 0 3 219.332 2.708 20 0 BFADHN CC[C@H](C)N(C)Cc1cn2ccccc2n1 ZINC000104686156 323285910 /nfs/dbraw/zinc/28/59/10/323285910.db2.gz LTKIMXPNZNMDSP-NSHDSACASA-N 0 3 217.316 2.565 20 0 BFADHN Cc1nsc(C)c1CN[C@@H]1CSC[C@H]1C ZINC000572374840 323613382 /nfs/dbraw/zinc/61/33/82/323613382.db2.gz BVCOBLCIVKSEGU-RDDDGLTNSA-N 0 3 242.413 2.601 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2ccc(C)nc2)C1(C)C ZINC000162467939 323618283 /nfs/dbraw/zinc/61/82/83/323618283.db2.gz CGVGFVLNCRYGRU-UKRRQHHQSA-N 0 3 248.370 2.683 20 0 BFADHN CC[C@H](NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1nccn1C ZINC000527926281 323732638 /nfs/dbraw/zinc/73/26/38/323732638.db2.gz LZUXIAHQVYWKAY-MQYQWHSLSA-N 0 3 247.386 2.897 20 0 BFADHN Fc1ccc(N2CCN(C3CCCC3)CC2)cc1 ZINC000120077553 323978201 /nfs/dbraw/zinc/97/82/01/323978201.db2.gz WLOLFHSGHRWHAA-UHFFFAOYSA-N 0 3 248.345 2.890 20 0 BFADHN C[C@@H](N[C@H]1CS[C@@H](C)C1)c1cccc(O)c1 ZINC000227503899 487962117 /nfs/dbraw/zinc/96/21/17/487962117.db2.gz OOOHDXZBHZBWIY-HOSYDEDBSA-N 0 3 237.368 2.937 20 0 BFADHN CCC[C@H](C)CN1C[C@@H](C)O[C@@H](CC)C1 ZINC000537206363 324035655 /nfs/dbraw/zinc/03/56/55/324035655.db2.gz KKZOGSQSHROXOP-XQQFMLRXSA-N 0 3 213.365 2.922 20 0 BFADHN CCCNC(=O)CN(CCC)C[C@H](C)CCC ZINC000537205632 324035670 /nfs/dbraw/zinc/03/56/70/324035670.db2.gz JDPQWBFTJOMJHI-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CCC[C@H](C)CN1C[C@H](C)O[C@@H](CC)C1 ZINC000537206361 324035788 /nfs/dbraw/zinc/03/57/88/324035788.db2.gz KKZOGSQSHROXOP-AVGNSLFASA-N 0 3 213.365 2.922 20 0 BFADHN CC[C@]1(C)C[C@@H]1C(=O)Nc1ccccc1CNC ZINC000122657971 324052480 /nfs/dbraw/zinc/05/24/80/324052480.db2.gz KVSOGKSNSMIRGT-IUODEOHRSA-N 0 3 246.354 2.781 20 0 BFADHN CNCc1ccccc1NC(=O)/C=C/C(C)(C)C ZINC000122785604 324057606 /nfs/dbraw/zinc/05/76/06/324057606.db2.gz PKNBCTDHSHILGZ-MDZDMXLPSA-N 0 3 246.354 2.947 20 0 BFADHN Cc1cnc(CN[C@@H](C(C)C)C2CC2)s1 ZINC000123025438 324076179 /nfs/dbraw/zinc/07/61/79/324076179.db2.gz RYPVHUFPPJVADZ-LBPRGKRZSA-N 0 3 224.373 2.976 20 0 BFADHN c1nc2cc(CN3CCC34CCC4)ccc2[nH]1 ZINC000537632824 324090156 /nfs/dbraw/zinc/09/01/56/324090156.db2.gz TYTFNEJNAUHDPG-UHFFFAOYSA-N 0 3 227.311 2.691 20 0 BFADHN c1nc2ccc(CN3CCC34CCC4)cc2[nH]1 ZINC000537632824 324090158 /nfs/dbraw/zinc/09/01/58/324090158.db2.gz TYTFNEJNAUHDPG-UHFFFAOYSA-N 0 3 227.311 2.691 20 0 BFADHN CCc1ccc(CN(C)[C@@H]2CCOC2)s1 ZINC000537637031 324092559 /nfs/dbraw/zinc/09/25/59/324092559.db2.gz IEGKTZKPSLZAAI-SNVBAGLBSA-N 0 3 225.357 2.531 20 0 BFADHN c1cc2ccc(CN[C@@H]3C[C@H]4CC[C@@H]3O4)cc2[nH]1 ZINC000229663085 324103909 /nfs/dbraw/zinc/10/39/09/324103909.db2.gz WLZLPXIDASEELT-YUELXQCFSA-N 0 3 242.322 2.577 20 0 BFADHN CC1(C)CCN(Cc2cc(CO)ccc2F)C1 ZINC000528390100 324218706 /nfs/dbraw/zinc/21/87/06/324218706.db2.gz AFENPWXIJOPBSA-UHFFFAOYSA-N 0 3 237.318 2.550 20 0 BFADHN CC(C)C1(CNCc2ccc(F)cn2)CC1 ZINC000529539265 324331142 /nfs/dbraw/zinc/33/11/42/324331142.db2.gz DDKOTNRLLXYLTQ-UHFFFAOYSA-N 0 3 222.307 2.747 20 0 BFADHN C[C@@H](NCc1ccc(F)cn1)C1CC(F)(F)C1 ZINC000529540758 324331589 /nfs/dbraw/zinc/33/15/89/324331589.db2.gz FXLNRIXLBRZMCM-MRVPVSSYSA-N 0 3 244.260 2.744 20 0 BFADHN C[C@H]1CC[C@H](NCc2ccc(F)cn2)CC1 ZINC000529546187 324336049 /nfs/dbraw/zinc/33/60/49/324336049.db2.gz DYVZMCFMKNJGFL-UMSPYCQHSA-N 0 3 222.307 2.889 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1ccc(F)cn1 ZINC000529558445 324341663 /nfs/dbraw/zinc/34/16/63/324341663.db2.gz RYOVFYXNYQSMTD-GHMZBOCLSA-N 0 3 222.307 2.747 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc2cc[nH]c2c1 ZINC000529564487 324344700 /nfs/dbraw/zinc/34/47/00/324344700.db2.gz CVHWSAVTBRXMFK-LLVKDONJSA-N 0 3 232.327 2.635 20 0 BFADHN Fc1ccc(CNC[C@H]2CCCC2(F)F)nc1 ZINC000529592529 324356235 /nfs/dbraw/zinc/35/62/35/324356235.db2.gz QGHSKTWAUGIZKW-SECBINFHSA-N 0 3 244.260 2.746 20 0 BFADHN Fc1ccc(CNC[C@@H]2CCCC2(F)F)nc1 ZINC000529592530 324356311 /nfs/dbraw/zinc/35/63/11/324356311.db2.gz QGHSKTWAUGIZKW-VIFPVBQESA-N 0 3 244.260 2.746 20 0 BFADHN CC(C)(NCc1ccc(F)cn1)C1CCC1 ZINC000529594561 324357238 /nfs/dbraw/zinc/35/72/38/324357238.db2.gz LBGXNPIEWUDSNY-UHFFFAOYSA-N 0 3 222.307 2.889 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1ccc(F)cn1 ZINC000529601105 324359822 /nfs/dbraw/zinc/35/98/22/324359822.db2.gz RPNFFAIJVOPQBJ-ZWNOBZJWSA-N 0 3 222.307 2.745 20 0 BFADHN COCCC1CCN(Cc2occc2C)CC1 ZINC000529637942 324368784 /nfs/dbraw/zinc/36/87/84/324368784.db2.gz JYZCRSCBWKMRCO-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN c1cc(CNC[C@H]2Cc3ccccc3O2)cs1 ZINC000037986408 324584534 /nfs/dbraw/zinc/58/45/34/324584534.db2.gz AAXAKHUQQUUAIV-CYBMUJFWSA-N 0 3 245.347 2.841 20 0 BFADHN Cc1ccc(CNC[C@@H]2Cc3ccccc3O2)o1 ZINC000037986420 324584577 /nfs/dbraw/zinc/58/45/77/324584577.db2.gz RVXRMZIDNXLALJ-AWEZNQCLSA-N 0 3 243.306 2.681 20 0 BFADHN Fc1cc(F)cc(CNCCc2ccccn2)c1 ZINC000125594353 324645572 /nfs/dbraw/zinc/64/55/72/324645572.db2.gz YMNWKSRBTUJOOA-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN CC[C@@H](NCCCCOC)c1nccs1 ZINC000126677240 324709768 /nfs/dbraw/zinc/70/97/68/324709768.db2.gz NQOAKSVYESECBH-SNVBAGLBSA-N 0 3 228.361 2.610 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@H](C)CC1 ZINC000227309837 324835041 /nfs/dbraw/zinc/83/50/41/324835041.db2.gz LARWCJBWZGIFOT-QWHCGFSZSA-N 0 3 235.375 2.961 20 0 BFADHN Cn1cc(CN2C3CCC2CC3)c(C(C)(C)C)n1 ZINC000539407008 324836055 /nfs/dbraw/zinc/83/60/55/324836055.db2.gz NFRXNPPIQSVHFL-UHFFFAOYSA-N 0 3 247.386 2.844 20 0 BFADHN COCC1(N[C@H]2CCCc3ccccc32)CC1 ZINC000539653061 324850424 /nfs/dbraw/zinc/85/04/24/324850424.db2.gz YYJQFKHOGAPDQV-AWEZNQCLSA-N 0 3 231.339 2.833 20 0 BFADHN Cc1oncc1CN1CCC(C(C)C)CC1 ZINC000128720844 324876127 /nfs/dbraw/zinc/87/61/27/324876127.db2.gz YJJGTRSLRVACBU-UHFFFAOYSA-N 0 3 222.332 2.851 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCC2CCOCC2)o1 ZINC000070161054 324996342 /nfs/dbraw/zinc/99/63/42/324996342.db2.gz OBYREKDYLWJVPE-SMDDNHRTSA-N 0 3 249.354 2.919 20 0 BFADHN CC[C@@H](N)C(=O)N1CCCCC[C@H]1CC(C)C ZINC000129975869 325000105 /nfs/dbraw/zinc/00/01/05/325000105.db2.gz LXHIHTBBCAZKFX-QWHCGFSZSA-N 0 3 240.391 2.541 20 0 BFADHN CCN(Cc1cc(OC)c(OC)cc1C)C1CC1 ZINC000130209744 325024191 /nfs/dbraw/zinc/02/41/91/325024191.db2.gz MKRHUMNVYCVMMO-UHFFFAOYSA-N 0 3 249.354 2.997 20 0 BFADHN CC(C)CSCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000130512503 325060881 /nfs/dbraw/zinc/06/08/81/325060881.db2.gz ORZASNJSMCVTHD-BETUJISGSA-N 0 3 245.432 2.875 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)[C@H]1CCCO1)CO2 ZINC000543423322 325087214 /nfs/dbraw/zinc/08/72/14/325087214.db2.gz WPZUDHJKRFYUOK-IACUBPJLSA-N 0 3 247.338 2.586 20 0 BFADHN CC(C)CN1CCO[C@H](C2CCCCC2)C1 ZINC000543574313 325094337 /nfs/dbraw/zinc/09/43/37/325094337.db2.gz RHXAVZIIENKLFT-AWEZNQCLSA-N 0 3 225.376 2.924 20 0 BFADHN CCCCN(C)Cc1cnn(C)c1C(F)(F)F ZINC000130861133 325095843 /nfs/dbraw/zinc/09/58/43/325095843.db2.gz IKTWCICWBQELNM-UHFFFAOYSA-N 0 3 249.280 2.671 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1C[C@H](C)[C@H](C)C1 ZINC000543856244 325117450 /nfs/dbraw/zinc/11/74/50/325117450.db2.gz BEDBBTZWOBGYNV-XQQFMLRXSA-N 0 3 241.375 2.552 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N(C)C[C@@H](C)CC ZINC000543857951 325118667 /nfs/dbraw/zinc/11/86/67/325118667.db2.gz HHNIAOVOYUPBPD-QWHCGFSZSA-N 0 3 243.391 2.942 20 0 BFADHN C[C@@H](N[C@@H](CO)CC(C)(C)C)c1ccoc1 ZINC000131135846 325120937 /nfs/dbraw/zinc/12/09/37/325120937.db2.gz SPFVIWKLSJQBJI-ZYHUDNBSSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1ncccc1F ZINC000131249149 325129468 /nfs/dbraw/zinc/12/94/68/325129468.db2.gz XHEDDCOALKGCBF-ZYHUDNBSSA-N 0 3 222.307 2.889 20 0 BFADHN CCC[C@@H](O)CNCc1cc(C)sc1C ZINC000131256322 325130693 /nfs/dbraw/zinc/13/06/93/325130693.db2.gz BYRLJCOUCLRXJS-GFCCVEGCSA-N 0 3 227.373 2.616 20 0 BFADHN CCOC(=O)[C@H](CC)N1CC[C@H](C(C)(C)C)C1 ZINC000131349072 325140494 /nfs/dbraw/zinc/14/04/94/325140494.db2.gz UDARWFNYPSDXQX-RYUDHWBXSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H]1CN(Cc2cccc3c[nH]nc32)CCS1 ZINC000131350029 325140805 /nfs/dbraw/zinc/14/08/05/325140805.db2.gz WIAIFUZIDUCNNY-SNVBAGLBSA-N 0 3 247.367 2.500 20 0 BFADHN CC(C)CN[C@@H](c1ccccc1)c1cncnc1 ZINC000544582942 325150112 /nfs/dbraw/zinc/15/01/12/325150112.db2.gz SHCKBHQCUNGJDB-HNNXBMFYSA-N 0 3 241.338 2.812 20 0 BFADHN c1ccc([C@@H](NCC2CC2)c2cncnc2)cc1 ZINC000544581521 325150207 /nfs/dbraw/zinc/15/02/07/325150207.db2.gz KSFDROGYNAUESA-OAHLLOKOSA-N 0 3 239.322 2.566 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccoc1)[C@@H]1CCCO1 ZINC000131508958 325158441 /nfs/dbraw/zinc/15/84/41/325158441.db2.gz QIOCKHUNKOOJQY-DRZSPHRISA-N 0 3 223.316 2.888 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C[C@H]2C)cn1 ZINC000131856196 325187834 /nfs/dbraw/zinc/18/78/34/325187834.db2.gz RWVRULPIMZHUOH-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN CCN1CCN([C@@H]2CCCc3ccccc32)CC1 ZINC000132119597 325200566 /nfs/dbraw/zinc/20/05/66/325200566.db2.gz XZXVOPDEDDMOGV-MRXNPFEDSA-N 0 3 244.382 2.702 20 0 BFADHN C[C@]1(CO)CCCN1CCCCC(F)(F)F ZINC000545397746 325218222 /nfs/dbraw/zinc/21/82/22/325218222.db2.gz LPZVFUQNMGUFCJ-SNVBAGLBSA-N 0 3 239.281 2.566 20 0 BFADHN CCCCCNC(=O)[C@@H](C)N(CC)CCCC ZINC000132605952 325228956 /nfs/dbraw/zinc/22/89/56/325228956.db2.gz IHZUWMCVZOTULH-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN CCN(Cc1cnc2c(C)cccn12)C1CC1 ZINC000133323252 325286267 /nfs/dbraw/zinc/28/62/67/325286267.db2.gz ROTYMDKGZYJPHO-UHFFFAOYSA-N 0 3 229.327 2.627 20 0 BFADHN Cc1cncc([C@@H](C)NCCOC(C)(C)C)c1 ZINC000546645457 325298097 /nfs/dbraw/zinc/29/80/97/325298097.db2.gz HIUYWJUPIIFNHL-GFCCVEGCSA-N 0 3 236.359 2.856 20 0 BFADHN COC[C@H](N[C@H](C)c1cncc(C)c1)C(C)C ZINC000546645789 325298119 /nfs/dbraw/zinc/29/81/19/325298119.db2.gz XWDHYJOBDSPCDG-OCCSQVGLSA-N 0 3 236.359 2.712 20 0 BFADHN CC(C)[C@H](N[C@H](CCO)c1ccco1)C1CC1 ZINC000546692404 325302017 /nfs/dbraw/zinc/30/20/17/325302017.db2.gz NJCKVVPVSMMMFK-OCCSQVGLSA-N 0 3 237.343 2.727 20 0 BFADHN Cc1cnc(CN2CCCC[C@H]2C(C)C)o1 ZINC000075747725 325310011 /nfs/dbraw/zinc/31/00/11/325310011.db2.gz PPVIOSDONGVOQO-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@@H](CCO)c1ccco1 ZINC000547399109 325350612 /nfs/dbraw/zinc/35/06/12/325350612.db2.gz LUQLUYYQLJHVJR-UPJWGTAASA-N 0 3 237.343 2.871 20 0 BFADHN COc1ccc(C)cc1CN[C@@H](C)CSC ZINC000076769348 325355178 /nfs/dbraw/zinc/35/51/78/325355178.db2.gz CJBLBLYNUWXLBR-NSHDSACASA-N 0 3 239.384 2.845 20 0 BFADHN COc1ccc(C)cc1CN[C@H](C)CSC ZINC000076769350 325355207 /nfs/dbraw/zinc/35/52/07/325355207.db2.gz CJBLBLYNUWXLBR-LLVKDONJSA-N 0 3 239.384 2.845 20 0 BFADHN Cc1cc(CNC[C@H](C)Cc2ccccc2)no1 ZINC000547522665 325368654 /nfs/dbraw/zinc/36/86/54/325368654.db2.gz BBTXLUFLDKSPNC-GFCCVEGCSA-N 0 3 244.338 2.951 20 0 BFADHN Cc1cc(CNC2(CO)CCC2)ccc1Cl ZINC000134656200 325370420 /nfs/dbraw/zinc/37/04/20/325370420.db2.gz LLEFGSYIWQBDTK-UHFFFAOYSA-N 0 3 239.746 2.653 20 0 BFADHN COC1(CN[C@H](C)c2ccc(C)o2)CCC1 ZINC000134716877 325372967 /nfs/dbraw/zinc/37/29/67/325372967.db2.gz OCGYDFOKINJDQA-LLVKDONJSA-N 0 3 223.316 2.808 20 0 BFADHN CC1(C)CCCN1Cc1cccc2c1OCCO2 ZINC000077383053 325376432 /nfs/dbraw/zinc/37/64/32/325376432.db2.gz QISIIICJRHQZJI-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CC[C@H](C(C)C)C1 ZINC000134981145 325386367 /nfs/dbraw/zinc/38/63/67/325386367.db2.gz LTIHZYIDPDRGTE-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H]1C[C@H](C)N1CCOc1ccccc1 ZINC000547768385 325393780 /nfs/dbraw/zinc/39/37/80/325393780.db2.gz DTABBAKXPLJBID-RYUDHWBXSA-N 0 3 205.301 2.548 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1cccc(-n2cccn2)c1 ZINC000548098019 325429769 /nfs/dbraw/zinc/42/97/69/325429769.db2.gz CNFKZQWMQPWBIT-STQMWFEESA-N 0 3 241.338 2.855 20 0 BFADHN CSC[C@H](C)N[C@H](C)c1cn2ccccc2n1 ZINC000548713481 325475129 /nfs/dbraw/zinc/47/51/29/325475129.db2.gz LUQFQMYXIHVOIP-WDEREUQCSA-N 0 3 249.383 2.736 20 0 BFADHN CN(C)Cc1ccccc1NC(=O)[C@H]1CC1(C)C ZINC000548869696 325490028 /nfs/dbraw/zinc/49/00/28/325490028.db2.gz DSOPGEBSIVUVSU-GFCCVEGCSA-N 0 3 246.354 2.733 20 0 BFADHN CC[C@H](N[C@H](C)c1cncc(C)c1)[C@@H]1CCCO1 ZINC000248693705 325617746 /nfs/dbraw/zinc/61/77/46/325617746.db2.gz BZNMDCZPZMBXEH-SNPRPXQTSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@H](N[C@H](C)c1cncc(C)c1)[C@H]1CCCO1 ZINC000248693707 325617802 /nfs/dbraw/zinc/61/78/02/325617802.db2.gz BZNMDCZPZMBXEH-VHDGCEQUSA-N 0 3 248.370 2.998 20 0 BFADHN c1coc(CN2CCc3ccccc3CC2)c1 ZINC000093385283 325741254 /nfs/dbraw/zinc/74/12/54/325741254.db2.gz SIVZNMBBAYOTJW-UHFFFAOYSA-N 0 3 227.307 2.880 20 0 BFADHN C[C@H](NC[C@@H]1C[C@@H]1C)c1cn2ccccc2n1 ZINC000556261354 325787993 /nfs/dbraw/zinc/78/79/93/325787993.db2.gz LKUCFKXJXOEBFT-SRVKXCTJSA-N 0 3 229.327 2.641 20 0 BFADHN C[C@H](NC[C@H](c1ccco1)N(C)C)c1ccoc1 ZINC000094867554 325790286 /nfs/dbraw/zinc/79/02/86/325790286.db2.gz QTYLJKHEVAIPMN-WCQYABFASA-N 0 3 248.326 2.826 20 0 BFADHN CCCN(C(=O)[C@@H](CC)N(CC)CC)C(C)C ZINC000564585701 325798028 /nfs/dbraw/zinc/79/80/28/325798028.db2.gz RAMZXPYSDLYANE-CYBMUJFWSA-N 0 3 242.407 2.754 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(C2CC2)cc1 ZINC000565526193 325922828 /nfs/dbraw/zinc/92/28/28/325922828.db2.gz ULAGYHFOVFNRLZ-LSDHHAIUSA-N 0 3 231.339 2.831 20 0 BFADHN CC[C@H]1CN(CC2(C)CC2)C[C@H](CC)O1 ZINC000565533508 325923742 /nfs/dbraw/zinc/92/37/42/325923742.db2.gz LARPVWBGLUTNSP-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@H](CCc1cccc(F)c1)NCc1ccno1 ZINC000566243640 326021937 /nfs/dbraw/zinc/02/19/37/326021937.db2.gz ZBCCQDLDDREFLV-LLVKDONJSA-N 0 3 248.301 2.925 20 0 BFADHN CC[C@H]1CCN(Cc2c(C)nsc2C)C1 ZINC000566413863 326041882 /nfs/dbraw/zinc/04/18/82/326041882.db2.gz KNDFSVXVPNEMSM-NSHDSACASA-N 0 3 224.373 2.992 20 0 BFADHN C[C@H]1C[C@@H](O)CCN1C/C=C\c1ccccc1 ZINC000566662539 326071862 /nfs/dbraw/zinc/07/18/62/326071862.db2.gz BQWILAFWDKGJMU-DFIIWKEWSA-N 0 3 231.339 2.545 20 0 BFADHN Cc1nnsc1CN1CCC[C@@H]1C1CCC1 ZINC000566682974 326074065 /nfs/dbraw/zinc/07/40/65/326074065.db2.gz YSFAOVFNVSJSGV-LLVKDONJSA-N 0 3 237.372 2.611 20 0 BFADHN Cc1nc(CNCCC2CCCC2)[nH]c1C ZINC000566690696 326075214 /nfs/dbraw/zinc/07/52/14/326075214.db2.gz XIKJBJLHRKRYCF-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN C[C@]1(CO)CCCN1Cc1sccc1Cl ZINC000566843590 326087260 /nfs/dbraw/zinc/08/72/60/326087260.db2.gz CQHHTEQNQBVQCH-LLVKDONJSA-N 0 3 245.775 2.748 20 0 BFADHN FCC1CN(Cc2ccnc3ccccc23)C1 ZINC000567028894 326103285 /nfs/dbraw/zinc/10/32/85/326103285.db2.gz NGECMVXUDGHYJJ-UHFFFAOYSA-N 0 3 230.286 2.636 20 0 BFADHN Fc1ccccc1CCN1C2CCC1CC2 ZINC000567042002 326104811 /nfs/dbraw/zinc/10/48/11/326104811.db2.gz SJPCAWWJZZUFKU-UHFFFAOYSA-N 0 3 219.303 2.995 20 0 BFADHN CCCCCNC(=O)[C@@H](C)N(CC)CC(C)C ZINC000153711832 326109612 /nfs/dbraw/zinc/10/96/12/326109612.db2.gz GMURUSTUQCXEDL-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN CC(C)(C)c1nnc(CN[C@H]2CCCC23CC3)[nH]1 ZINC000567063307 326109630 /nfs/dbraw/zinc/10/96/30/326109630.db2.gz VBILZQMEWOPEQX-JTQLQIEISA-N 0 3 248.374 2.525 20 0 BFADHN CC(C)(C)c1nc(CN[C@H]2CCCC23CC3)n[nH]1 ZINC000567063307 326109632 /nfs/dbraw/zinc/10/96/32/326109632.db2.gz VBILZQMEWOPEQX-JTQLQIEISA-N 0 3 248.374 2.525 20 0 BFADHN CC(C)(C)c1nnc(CN[C@@H]2CCCC23CC3)[nH]1 ZINC000567063308 326109888 /nfs/dbraw/zinc/10/98/88/326109888.db2.gz VBILZQMEWOPEQX-SNVBAGLBSA-N 0 3 248.374 2.525 20 0 BFADHN CC(C)(C)c1nc(CN[C@@H]2CCCC23CC3)n[nH]1 ZINC000567063308 326109891 /nfs/dbraw/zinc/10/98/91/326109891.db2.gz VBILZQMEWOPEQX-SNVBAGLBSA-N 0 3 248.374 2.525 20 0 BFADHN CCC[C@H](C)NC(=O)[C@H](C)N(CC)CC(C)C ZINC000153721441 326109965 /nfs/dbraw/zinc/10/99/65/326109965.db2.gz YQRDXXVPPAPDLA-STQMWFEESA-N 0 3 242.407 2.658 20 0 BFADHN CCC[C@@H](C)NC(=O)[C@H](C)N(CC)CC(C)C ZINC000153721333 326110464 /nfs/dbraw/zinc/11/04/64/326110464.db2.gz YQRDXXVPPAPDLA-OLZOCXBDSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1nc(CN[C@@H](C)CCc2ccco2)[nH]c1C ZINC000567250290 326150482 /nfs/dbraw/zinc/15/04/82/326150482.db2.gz GNAJXJLVNPWEOT-JTQLQIEISA-N 0 3 247.342 2.730 20 0 BFADHN CCOc1cccc(CN(C)C(C)(C)C)n1 ZINC000567266178 326153477 /nfs/dbraw/zinc/15/34/77/326153477.db2.gz MVUDVENTCGVROX-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN Cc1nnc(CN[C@H]2CC(C)(C)C[C@@H]2C)s1 ZINC000309757328 326166429 /nfs/dbraw/zinc/16/64/29/326166429.db2.gz HVDFFMVXYUSZHT-WPRPVWTQSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1ncc(CNC(C)(C)c2ccccc2)n1C ZINC000567368148 326176102 /nfs/dbraw/zinc/17/61/02/326176102.db2.gz NIYGWTUCJRKYIG-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN NCc1ccccc1OCc1ncc(C2CC2)o1 ZINC000567463518 326197671 /nfs/dbraw/zinc/19/76/71/326197671.db2.gz FPADUCGPZQNMJD-UHFFFAOYSA-N 0 3 244.294 2.590 20 0 BFADHN C[C@H]1CN([C@H]2C=CCCC2)CC2(CCC2)O1 ZINC000567499294 326204987 /nfs/dbraw/zinc/20/49/87/326204987.db2.gz QRHNEJACNRKPLG-STQMWFEESA-N 0 3 221.344 2.739 20 0 BFADHN C[C@@H](N[C@H]1CCCSC1)c1ccoc1 ZINC000157133918 326258136 /nfs/dbraw/zinc/25/81/36/326258136.db2.gz QWAVCWRBZJQQBB-KOLCDFICSA-N 0 3 211.330 2.826 20 0 BFADHN C[C@@H](NC(=O)CN(C)C(C)(C)C)c1ccccc1 ZINC000567810934 326260818 /nfs/dbraw/zinc/26/08/18/326260818.db2.gz MUOAFSHANGCPQV-GFCCVEGCSA-N 0 3 248.370 2.594 20 0 BFADHN CCCCN(C)Cc1cnc2ccccn12 ZINC000157333005 326268621 /nfs/dbraw/zinc/26/86/21/326268621.db2.gz PXNVAESZJXLNGO-UHFFFAOYSA-N 0 3 217.316 2.566 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1nc(C)c(C)[nH]1 ZINC000567916237 326283336 /nfs/dbraw/zinc/28/33/36/326283336.db2.gz ODOSDAPOCCXQGB-UWVGGRQHSA-N 0 3 223.364 2.941 20 0 BFADHN C[C@@H]1CCCN(Cc2c[nH]nc2C(C)(C)C)C1 ZINC000157702045 326291352 /nfs/dbraw/zinc/29/13/52/326291352.db2.gz LFRGMOKLYVDCJB-LLVKDONJSA-N 0 3 235.375 2.939 20 0 BFADHN C[C@H]1CCCN(Cc2cnn(C(C)(C)C)c2)C1 ZINC000157717753 326291876 /nfs/dbraw/zinc/29/18/76/326291876.db2.gz TULXYJTVDYNNHL-LBPRGKRZSA-N 0 3 235.375 2.870 20 0 BFADHN Cc1ccc(CNc2nccn2C)c(Cl)c1 ZINC000567999057 326294553 /nfs/dbraw/zinc/29/45/53/326294553.db2.gz HNZBRBVFPRXLJN-UHFFFAOYSA-N 0 3 235.718 2.994 20 0 BFADHN Fc1cccc2c1CN(CC1CC1)CC2 ZINC000157944057 326301053 /nfs/dbraw/zinc/30/10/53/326301053.db2.gz SJNNXXMGUCENTK-UHFFFAOYSA-N 0 3 205.276 2.594 20 0 BFADHN CCCCN(C)Cc1cnc2cc(C)ccn12 ZINC000158157334 326310213 /nfs/dbraw/zinc/31/02/13/326310213.db2.gz YWCKNFFTFGWWFE-UHFFFAOYSA-N 0 3 231.343 2.875 20 0 BFADHN CCO[C@@H]1C[C@@H]1NC/C=C/c1ccc(F)cc1 ZINC000568171093 326319734 /nfs/dbraw/zinc/31/97/34/326319734.db2.gz XNGLMSDGUAUKCI-PUWCWTCHSA-N 0 3 235.302 2.606 20 0 BFADHN CCC[C@@H](NCCN(CC)CC)c1ccccn1 ZINC000158458714 326323101 /nfs/dbraw/zinc/32/31/01/326323101.db2.gz LPBHQJBNHFGAQQ-CQSZACIVSA-N 0 3 249.402 2.854 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnoc2C)C1 ZINC000158610536 326331691 /nfs/dbraw/zinc/33/16/91/326331691.db2.gz CKYCZWBHFHHNFB-LLVKDONJSA-N 0 3 208.305 2.605 20 0 BFADHN CC[C@H]1CCCN(Cc2cnoc2C)C1 ZINC000158610680 326332049 /nfs/dbraw/zinc/33/20/49/326332049.db2.gz CKYCZWBHFHHNFB-NSHDSACASA-N 0 3 208.305 2.605 20 0 BFADHN Cc1c(CN(C)[C@@H](C)CC(C)C)cnn1C ZINC000568305778 326343519 /nfs/dbraw/zinc/34/35/19/326343519.db2.gz RAQRNVLEZBYCBW-NSHDSACASA-N 0 3 223.364 2.595 20 0 BFADHN CC1CCN(Cc2cccc3c2OCO3)CC1 ZINC000158851178 326344498 /nfs/dbraw/zinc/34/44/98/326344498.db2.gz LMAPZZWRQFQINL-UHFFFAOYSA-N 0 3 233.311 2.647 20 0 BFADHN C[C@@H](CC1CCCCC1)NCc1cnccn1 ZINC000568363861 326349831 /nfs/dbraw/zinc/34/98/31/326349831.db2.gz USTCNNVARRLMEK-LBPRGKRZSA-N 0 3 233.359 2.925 20 0 BFADHN CCCCN(CCO)Cc1ccc(F)c(C)c1 ZINC000159094030 326349842 /nfs/dbraw/zinc/34/98/42/326349842.db2.gz QQPBQNSMKHVOHQ-UHFFFAOYSA-N 0 3 239.334 2.729 20 0 BFADHN CC1(C)CCC[C@@H](NCc2ncccn2)CC1 ZINC000568415821 326356408 /nfs/dbraw/zinc/35/64/08/326356408.db2.gz FFXCCUYDFVZAKD-GFCCVEGCSA-N 0 3 233.359 2.925 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1snnc1C ZINC000568516659 326362229 /nfs/dbraw/zinc/36/22/29/326362229.db2.gz IOBRJKBXPCBYNF-WCBMZHEXSA-N 0 3 225.361 2.609 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCC23CC3)c2nccn21 ZINC000568534148 326364437 /nfs/dbraw/zinc/36/44/37/326364437.db2.gz WIYWUZWVHQIASR-GRYCIOLGSA-N 0 3 231.343 2.811 20 0 BFADHN CC[C@H](NCc1nc(C)c(C)[nH]1)[C@H]1CC1(C)C ZINC000568533644 326364459 /nfs/dbraw/zinc/36/44/59/326364459.db2.gz OLRRCXZUUULHKI-NEPJUHHUSA-N 0 3 235.375 2.941 20 0 BFADHN Cc1cccc2nc(CNCC3(C)CC3)cn21 ZINC000568705533 326372737 /nfs/dbraw/zinc/37/27/37/326372737.db2.gz UAWNHDBEGXKVOX-UHFFFAOYSA-N 0 3 229.327 2.532 20 0 BFADHN Cc1nc(CN[C@H]2CC[C@H](C)CC2)[nH]c1C ZINC000568783274 326377625 /nfs/dbraw/zinc/37/76/25/326377625.db2.gz RJPXGASZIDEPQU-OTCDBFHCSA-N 0 3 221.348 2.695 20 0 BFADHN Cc1cccc(CN[C@H](C)Cc2ccoc2)n1 ZINC000568949803 326383147 /nfs/dbraw/zinc/38/31/47/326383147.db2.gz BRZGYMAMULZRNL-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN CC(C)C[C@H](CO)N(C)Cc1ccc(Cl)o1 ZINC000569052236 326387882 /nfs/dbraw/zinc/38/78/82/326387882.db2.gz HYCNCAYNMCRTSQ-SNVBAGLBSA-N 0 3 245.750 2.772 20 0 BFADHN C[C@@H](c1ccccc1)[C@@H](O)CNCc1ccco1 ZINC000569198139 326394634 /nfs/dbraw/zinc/39/46/34/326394634.db2.gz QMQDKGYMKZZZOL-WFASDCNBSA-N 0 3 245.322 2.534 20 0 BFADHN c1nc(C2CC2)ncc1CNCCCC1CC1 ZINC000572938034 326419730 /nfs/dbraw/zinc/41/97/30/326419730.db2.gz GLKRJXXNFLCZLO-UHFFFAOYSA-N 0 3 231.343 2.634 20 0 BFADHN c1c(CN[C@H]2CCC[C@H]3C[C@H]32)nc2ccccn12 ZINC000572987525 326423279 /nfs/dbraw/zinc/42/32/79/326423279.db2.gz XIXHNMLEODJTLI-YUTCNCBUSA-N 0 3 241.338 2.613 20 0 BFADHN C[C@H](O)[C@H](C)NCc1ccc(C2CC2)cc1F ZINC000573111635 326430369 /nfs/dbraw/zinc/43/03/69/326430369.db2.gz KNUCQCLSAZEYNH-UWVGGRQHSA-N 0 3 237.318 2.562 20 0 BFADHN COC(C)(C)CCN(C)Cc1ccsc1 ZINC000682516907 487974902 /nfs/dbraw/zinc/97/49/02/487974902.db2.gz LMMZDJJJPILLIY-UHFFFAOYSA-N 0 3 227.373 2.995 20 0 BFADHN Cc1cnccc1CN1CCC[C@H](CCF)C1 ZINC000668591895 487976621 /nfs/dbraw/zinc/97/66/21/487976621.db2.gz JJSRSHHPHWJLQE-CYBMUJFWSA-N 0 3 236.334 2.962 20 0 BFADHN CCc1cccc(CN2CCCOC[C@H]2C)c1 ZINC000682532498 487978638 /nfs/dbraw/zinc/97/86/38/487978638.db2.gz XRTNJELTMJJNEN-CYBMUJFWSA-N 0 3 233.355 2.860 20 0 BFADHN Cc1cccc(CN2CC3(CC(F)C3)C2)c1 ZINC000677132934 487979576 /nfs/dbraw/zinc/97/95/76/487979576.db2.gz ZZKMGJPPHVJTHZ-UHFFFAOYSA-N 0 3 219.303 2.929 20 0 BFADHN Cc1ccc(CN2C[C@H]3COC[C@]3(C)C2)cc1F ZINC000668594320 487979754 /nfs/dbraw/zinc/97/97/54/487979754.db2.gz BEXSDZPOOSHPME-ZFWWWQNUSA-N 0 3 249.329 2.602 20 0 BFADHN CC(C)[C@H]1CC[C@@H]1NCc1cn2ccccc2n1 ZINC000514081706 487983134 /nfs/dbraw/zinc/98/31/34/487983134.db2.gz DFXMZDNWHKXWHA-KGLIPLIRSA-N 0 3 243.354 2.859 20 0 BFADHN CCC[C@H](CC)N[C@@H](CCO)c1ccco1 ZINC000186550287 487986913 /nfs/dbraw/zinc/98/69/13/487986913.db2.gz ATUMVVJNNXTBLY-RYUDHWBXSA-N 0 3 225.332 2.871 20 0 BFADHN CC[C@@H]1CCN([C@@H](C)c2cnc(C)nc2C)C1 ZINC000682073298 487988742 /nfs/dbraw/zinc/98/87/42/487988742.db2.gz AKLXNZLOFIGUDV-WCQYABFASA-N 0 3 233.359 2.886 20 0 BFADHN CCC[C@H](N)c1cn(-c2ccccc2CC)nn1 ZINC000229920228 487995623 /nfs/dbraw/zinc/99/56/23/487995623.db2.gz ZLJAWAMLBNKYGX-LBPRGKRZSA-N 0 3 244.342 2.630 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)o1 ZINC000172038382 487998314 /nfs/dbraw/zinc/99/83/14/487998314.db2.gz JGEPONYDTMAVDS-WHLPLNIVSA-N 0 3 247.338 2.813 20 0 BFADHN CCN1CCN(Cc2c(C)cccc2C)C[C@@H]1C ZINC000677193569 487999605 /nfs/dbraw/zinc/99/96/05/487999605.db2.gz BMLZVZRAQBAQGD-HNNXBMFYSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1cnc(CCNCc2ccco2)c(C)c1 ZINC000401070645 488007036 /nfs/dbraw/zinc/00/70/36/488007036.db2.gz JIFHQSWUNSWGIG-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H](CO)CC2CC2)o1 ZINC000677245730 488007756 /nfs/dbraw/zinc/00/77/56/488007756.db2.gz RQKWKTWAYMMDHV-QKCSRTOESA-N 0 3 249.354 2.654 20 0 BFADHN c1ccc2c(c1)CCN(CCC[C@H]1CCOC1)C2 ZINC000682672366 488008574 /nfs/dbraw/zinc/00/85/74/488008574.db2.gz YULKGVXAAGEXSV-AWEZNQCLSA-N 0 3 245.366 2.861 20 0 BFADHN CCN(CCC[C@H]1CCOC1)Cc1ccoc1 ZINC000682674067 488008789 /nfs/dbraw/zinc/00/87/89/488008789.db2.gz ZNPLNFSBYXTFDY-ZDUSSCGKSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@H](NCc1ccsc1)[C@@H]1CC1(F)F ZINC000666444164 488010272 /nfs/dbraw/zinc/01/02/72/488010272.db2.gz CLPXMCACAHSWRF-CBAPKCEASA-N 0 3 217.284 2.881 20 0 BFADHN CCC[C@H](N)c1cn(CC(CC)CC)nn1 ZINC000229954645 488010063 /nfs/dbraw/zinc/01/00/63/488010063.db2.gz FNLIGSXLGYHFCI-NSHDSACASA-N 0 3 224.352 2.514 20 0 BFADHN C[C@@H](NCc1ccsc1)[C@@H]1CC1(F)F ZINC000666444163 488010507 /nfs/dbraw/zinc/01/05/07/488010507.db2.gz CLPXMCACAHSWRF-APPZFPTMSA-N 0 3 217.284 2.881 20 0 BFADHN C[C@@H](NCc1ccccc1F)[C@@H]1CC1(F)F ZINC000666445085 488011896 /nfs/dbraw/zinc/01/18/96/488011896.db2.gz OTHONWLRGFVFIV-SCZZXKLOSA-N 0 3 229.245 2.959 20 0 BFADHN COc1ccccc1CN[C@H](C)[C@@H]1CC1(F)F ZINC000666445069 488011973 /nfs/dbraw/zinc/01/19/73/488011973.db2.gz ORDZWALSDFIJSZ-KOLCDFICSA-N 0 3 241.281 2.829 20 0 BFADHN CCCN(Cc1ccnn1CC1CC1)CC1CC1 ZINC000667462755 488014175 /nfs/dbraw/zinc/01/41/75/488014175.db2.gz SXACFKXFDQSVOH-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CCCc1ccc(CN[C@]2(C)CCOC2)cc1 ZINC000677323628 488017903 /nfs/dbraw/zinc/01/79/03/488017903.db2.gz VWPUVVOBGYSKBQ-OAHLLOKOSA-N 0 3 233.355 2.908 20 0 BFADHN CCCCN(C)Cc1c(C2CCC2)cnn1C ZINC000667472319 488017755 /nfs/dbraw/zinc/01/77/55/488017755.db2.gz CLBHWMWZOQVFRX-UHFFFAOYSA-N 0 3 235.375 2.920 20 0 BFADHN CCC[C@@H](NCc1nnc(C)s1)C1CCC1 ZINC000391873282 488023561 /nfs/dbraw/zinc/02/35/61/488023561.db2.gz JRMZTQJXXYYWSZ-LLVKDONJSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1ccoc1CN1CC[C@@H](C)O[C@@H](C)C1 ZINC000668626133 488026708 /nfs/dbraw/zinc/02/67/08/488026708.db2.gz RJFLCKPDVPFQMZ-NEPJUHHUSA-N 0 3 223.316 2.587 20 0 BFADHN CC1=CCN([C@@H](C)c2ccncc2)CC1 ZINC000677379782 488026746 /nfs/dbraw/zinc/02/67/46/488026746.db2.gz KJOBXQOBJJODER-LBPRGKRZSA-N 0 3 202.301 2.795 20 0 BFADHN C[C@H](NCc1ccon1)C1CCC(F)(F)CC1 ZINC000682732089 488027309 /nfs/dbraw/zinc/02/73/09/488027309.db2.gz DOIRUZIBGVCLNN-VIFPVBQESA-N 0 3 244.285 2.978 20 0 BFADHN Cc1ncc(CN(C)CC2CCCCC2)n1C ZINC000667495137 488027512 /nfs/dbraw/zinc/02/75/12/488027512.db2.gz YOKAIOGYJVVKFV-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN COCCN(C)Cc1cc2c(cccc2C)[nH]1 ZINC000667495365 488027569 /nfs/dbraw/zinc/02/75/69/488027569.db2.gz GIHBTPQAWLAZFI-UHFFFAOYSA-N 0 3 232.327 2.555 20 0 BFADHN FC1(CN2CCC([C@@H]3CCCCO3)CC2)CC1 ZINC000668627891 488028644 /nfs/dbraw/zinc/02/86/44/488028644.db2.gz NJIDFXRFGPKWLY-ZDUSSCGKSA-N 0 3 241.350 2.770 20 0 BFADHN FC1(CN2CCC[C@@H]([C@H]3CCCCO3)C2)CC1 ZINC000668630136 488029752 /nfs/dbraw/zinc/02/97/52/488029752.db2.gz HOQICMRTGSPHQB-CHWSQXEVSA-N 0 3 241.350 2.770 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCC[C@H](C)C2)n1C ZINC000667499806 488030079 /nfs/dbraw/zinc/03/00/79/488030079.db2.gz VQKIELACPCDVOR-WCQYABFASA-N 0 3 235.375 2.739 20 0 BFADHN C[C@@H]1CCC[C@H](N(C)Cc2cnn3c2CCC3)C1 ZINC000667499848 488030552 /nfs/dbraw/zinc/03/05/52/488030552.db2.gz WMTZWTLITWYADP-OCCSQVGLSA-N 0 3 247.386 2.840 20 0 BFADHN Cc1cc(CNC2C[C@@H](C)C[C@H](C)C2)ncn1 ZINC000677424827 488034136 /nfs/dbraw/zinc/03/41/36/488034136.db2.gz NRWHJAIQUVMSOO-QWRGUYRKSA-N 0 3 233.359 2.699 20 0 BFADHN CCC[C@H](C)N[C@H](C)c1cc2n(n1)CCC2 ZINC000666079413 488034289 /nfs/dbraw/zinc/03/42/89/488034289.db2.gz JAIREFMUPBDQPO-WDEREUQCSA-N 0 3 221.348 2.669 20 0 BFADHN CCCn1nccc1CN(C)[C@@H](C)C1CC1 ZINC000667511240 488034821 /nfs/dbraw/zinc/03/48/21/488034821.db2.gz GYCABKCJFCSLMW-NSHDSACASA-N 0 3 221.348 2.523 20 0 BFADHN CCOc1ccc(CN2[C@@H](C)CC[C@@H]2C)nc1 ZINC000675127064 488034914 /nfs/dbraw/zinc/03/49/14/488034914.db2.gz ZRWUNBFFCMAURN-RYUDHWBXSA-N 0 3 234.343 2.853 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1c(C2CC2)cnn1C ZINC000667511245 488034648 /nfs/dbraw/zinc/03/46/48/488034648.db2.gz HFPMHRSJXAQIGX-SNVBAGLBSA-N 0 3 233.359 2.528 20 0 BFADHN C[C@@H]1CCN(C[C@@H](O)CC2CCCC2)C[C@H]1F ZINC000682772681 488035819 /nfs/dbraw/zinc/03/58/19/488035819.db2.gz QHNPONMQPDQEPQ-KWCYVHTRSA-N 0 3 243.366 2.608 20 0 BFADHN COc1cc(C)nc(CN2CCC[C@H]2C2CC2)c1 ZINC000677440169 488036075 /nfs/dbraw/zinc/03/60/75/488036075.db2.gz KSUGPBYFLBNORB-HNNXBMFYSA-N 0 3 246.354 2.773 20 0 BFADHN C[C@H](N(C)Cc1cnc2n1CCC2)C(C)(C)C ZINC000667517689 488036816 /nfs/dbraw/zinc/03/68/16/488036816.db2.gz BGOJPNKTSXMURW-NSHDSACASA-N 0 3 235.375 2.696 20 0 BFADHN C[C@@H](N(C)Cc1cnc2n1CCC2)C(C)(C)C ZINC000667517688 488037191 /nfs/dbraw/zinc/03/71/91/488037191.db2.gz BGOJPNKTSXMURW-LLVKDONJSA-N 0 3 235.375 2.696 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccnn2CC2CC2)C1 ZINC000667531446 488038494 /nfs/dbraw/zinc/03/84/94/488038494.db2.gz GQTXCRKWUIGXAM-CYBMUJFWSA-N 0 3 247.386 2.915 20 0 BFADHN CCCc1cccc(CN(C)C[C@H](O)C2CC2)c1 ZINC000685461331 488039180 /nfs/dbraw/zinc/03/91/80/488039180.db2.gz JVWPIXSKYJOJTR-INIZCTEOSA-N 0 3 247.382 2.842 20 0 BFADHN CCCN1CCN(c2ccccc2F)C[C@H]1C ZINC000682779499 488040191 /nfs/dbraw/zinc/04/01/91/488040191.db2.gz KJJCDJQTWIWMNT-GFCCVEGCSA-N 0 3 236.334 2.746 20 0 BFADHN CCCN1CCN(c2ccccc2F)C[C@@H]1C ZINC000682779501 488040318 /nfs/dbraw/zinc/04/03/18/488040318.db2.gz KJJCDJQTWIWMNT-LBPRGKRZSA-N 0 3 236.334 2.746 20 0 BFADHN Fc1ccc2c(c1)[C@@H](N[C@@H]1C[C@H]3CC[C@@H]1O3)CC2 ZINC000268939563 488042536 /nfs/dbraw/zinc/04/25/36/488042536.db2.gz SQQUHXSWJVRJSQ-BEAPCOKYSA-N 0 3 247.313 2.723 20 0 BFADHN CCN(Cc1cccs1)[C@H]1CCCOC1 ZINC000677476637 488045418 /nfs/dbraw/zinc/04/54/18/488045418.db2.gz XLVDSIVAQZYPBC-NSHDSACASA-N 0 3 225.357 2.749 20 0 BFADHN c1nn2c(c1CN1CCC[C@H]3CCC[C@@H]31)CCC2 ZINC000667556012 488045593 /nfs/dbraw/zinc/04/55/93/488045593.db2.gz VVTXFCJTOFTTPK-OCCSQVGLSA-N 0 3 245.370 2.594 20 0 BFADHN CCCn1nccc1CN(C)CC1CCCC1 ZINC000667564755 488047835 /nfs/dbraw/zinc/04/78/35/488047835.db2.gz YYKMSRQEHHBLRL-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCC1(C)CCN(Cc2cnc3n2CCC3)CC1 ZINC000667569880 488050326 /nfs/dbraw/zinc/05/03/26/488050326.db2.gz VUGBBSQKXBHDLA-UHFFFAOYSA-N 0 3 247.386 2.841 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@@H]1CO[C@@H](C)C1 ZINC000402319114 488052959 /nfs/dbraw/zinc/05/29/59/488052959.db2.gz MAKQDJPXEQBXKA-AVGNSLFASA-N 0 3 249.354 2.913 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CCC(C)(C)C1 ZINC000667621513 488061803 /nfs/dbraw/zinc/06/18/03/488061803.db2.gz MSROVRKHDKOGEB-UHFFFAOYSA-N 0 3 233.359 2.529 20 0 BFADHN C[C@H]1CCN(Cc2c(C3CC3)cnn2C)C[C@H]1C ZINC000667649422 488071526 /nfs/dbraw/zinc/07/15/26/488071526.db2.gz SQLVFCONILSEBH-NWDGAFQWSA-N 0 3 247.386 2.775 20 0 BFADHN CCCn1nccc1CN1C[C@H](C)CC[C@H]1C ZINC000667650263 488073340 /nfs/dbraw/zinc/07/33/40/488073340.db2.gz QZJDKKHLLJNEMY-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN COc1c(C)cccc1CN[C@H]1COC(C)(C)C1 ZINC000656269441 488073447 /nfs/dbraw/zinc/07/34/47/488073447.db2.gz RBLHVJLYAITTFS-CYBMUJFWSA-N 0 3 249.354 2.661 20 0 BFADHN CC[C@H](C)CN(C)Cc1cc(C)ccn1 ZINC000683008866 488073484 /nfs/dbraw/zinc/07/34/84/488073484.db2.gz AOGDGEOSRKVRNZ-NSHDSACASA-N 0 3 206.333 2.868 20 0 BFADHN CC(C)(C)C1=CCN([C@H]2CCCOC2)CC1 ZINC000677561021 488073969 /nfs/dbraw/zinc/07/39/69/488073969.db2.gz GHWMWCYJNSDVMJ-ZDUSSCGKSA-N 0 3 223.360 2.844 20 0 BFADHN CC(C)N1CCN(C[C@H](C)c2ccccc2)CC1 ZINC000075734577 488075420 /nfs/dbraw/zinc/07/54/20/488075420.db2.gz LCUPNVIFZUTEBH-HNNXBMFYSA-N 0 3 246.398 2.816 20 0 BFADHN CCN(Cc1c(C2CC2)cnn1C)C1CCC1 ZINC000667659022 488077345 /nfs/dbraw/zinc/07/73/45/488077345.db2.gz XWCAOIGVKWEZFM-UHFFFAOYSA-N 0 3 233.359 2.672 20 0 BFADHN COCCCCN(C)Cc1ccc(Cl)cn1 ZINC000561253146 488078128 /nfs/dbraw/zinc/07/81/28/488078128.db2.gz GVHDDGWLIOTBQT-UHFFFAOYSA-N 0 3 242.750 2.593 20 0 BFADHN COC1(CN2CC[C@H](C)[C@@H](F)C2)CCCC1 ZINC000682997475 488081293 /nfs/dbraw/zinc/08/12/93/488081293.db2.gz SPWXJYLBFZQSKJ-RYUDHWBXSA-N 0 3 229.339 2.626 20 0 BFADHN CC(C)C1CCN(Cc2cnc3n2CCC3)CC1 ZINC000667670532 488082378 /nfs/dbraw/zinc/08/23/78/488082378.db2.gz RUAGMAMKLGOCSA-UHFFFAOYSA-N 0 3 247.386 2.697 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](CC(C)C)C2)n1C ZINC000667675064 488083404 /nfs/dbraw/zinc/08/34/04/488083404.db2.gz YULDMKQVKKAQOT-AWEZNQCLSA-N 0 3 249.402 2.987 20 0 BFADHN CCC[C@H]1CCCN(Cc2cnc3n2CCC3)C1 ZINC000667673945 488083326 /nfs/dbraw/zinc/08/33/26/488083326.db2.gz SPTBAJYMZMOGTD-ZDUSSCGKSA-N 0 3 247.386 2.841 20 0 BFADHN c1cc(CN2CC[C@@H](C3CC3)C2)n(CC2CC2)n1 ZINC000667681921 488084147 /nfs/dbraw/zinc/08/41/47/488084147.db2.gz VKFDZWMLHDDMTN-CQSZACIVSA-N 0 3 245.370 2.525 20 0 BFADHN CCCN1CC[C@@H](NCc2ccc(Cl)o2)C1 ZINC000389258263 488084635 /nfs/dbraw/zinc/08/46/35/488084635.db2.gz OFORYQUTMVVXGF-SNVBAGLBSA-N 0 3 242.750 2.507 20 0 BFADHN C[C@]1(O)CCCN(Cc2ccc(Cl)cc2)C1 ZINC000124841100 488085265 /nfs/dbraw/zinc/08/52/65/488085265.db2.gz OORXAAHFKOSRAZ-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN CC[C@@H]1CCN(Cc2ccnn2CC2CC2)C1 ZINC000667697939 488091924 /nfs/dbraw/zinc/09/19/24/488091924.db2.gz SBRVTBUZZUQODS-GFCCVEGCSA-N 0 3 233.359 2.525 20 0 BFADHN CCCn1nccc1CN1CC[C@H](CC)C1 ZINC000667697488 488092332 /nfs/dbraw/zinc/09/23/32/488092332.db2.gz BRPJLVRCHVOPRO-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1ccnn1CC1CC1 ZINC000667698893 488092761 /nfs/dbraw/zinc/09/27/61/488092761.db2.gz PSTVLOZGRXYRQI-TXEJJXNPSA-N 0 3 233.359 2.666 20 0 BFADHN CCCn1nccc1CN1[C@@H](C)CC[C@@H]1C ZINC000667699282 488093092 /nfs/dbraw/zinc/09/30/92/488093092.db2.gz YEIOZQLWIJLKHS-RYUDHWBXSA-N 0 3 221.348 2.666 20 0 BFADHN Fc1ccc(CN2CCC3(CCOC3)CC2)cc1 ZINC000677720638 488100375 /nfs/dbraw/zinc/10/03/75/488100375.db2.gz JBGXPSOUPDOUHW-UHFFFAOYSA-N 0 3 249.329 2.828 20 0 BFADHN CCCCOCCN(C)Cc1ccn(CC)c1 ZINC000683031109 488101957 /nfs/dbraw/zinc/10/19/57/488101957.db2.gz IBHPJUJEJHRLTQ-UHFFFAOYSA-N 0 3 238.375 2.757 20 0 BFADHN COCC1(N(C)Cc2cc(C)sc2C)CC1 ZINC000677727412 488102606 /nfs/dbraw/zinc/10/26/06/488102606.db2.gz XWMZUXZRSWBASK-UHFFFAOYSA-N 0 3 239.384 2.976 20 0 BFADHN C1=C[C@@H](N2CCC3(CCOC3)CC2)CCC1 ZINC000677723777 488103026 /nfs/dbraw/zinc/10/30/26/488103026.db2.gz AFHBJBVIKXXBGX-CYBMUJFWSA-N 0 3 221.344 2.598 20 0 BFADHN COCC1(N(C)Cc2cccc(C)c2)CC1 ZINC000677725737 488103690 /nfs/dbraw/zinc/10/36/90/488103690.db2.gz IIKGKRMPYDYXMV-UHFFFAOYSA-N 0 3 219.328 2.606 20 0 BFADHN CC(C)CCOCCN1CC[C@@H](C(F)F)C1 ZINC000677729668 488106516 /nfs/dbraw/zinc/10/65/16/488106516.db2.gz HFLACXCDNQCQRU-LLVKDONJSA-N 0 3 235.318 2.636 20 0 BFADHN Cc1cc(CNC2CCC3(CC3)CC2)ncn1 ZINC000683080264 488107466 /nfs/dbraw/zinc/10/74/66/488107466.db2.gz YLLLYCDNTKSHCA-UHFFFAOYSA-N 0 3 231.343 2.597 20 0 BFADHN Cc1ccccc1CN[C@H]1CO[C@@H](C2CC2)C1 ZINC000667760155 488109632 /nfs/dbraw/zinc/10/96/32/488109632.db2.gz HAIFZPMLDVFEBF-HUUCEWRRSA-N 0 3 231.339 2.652 20 0 BFADHN CCO[C@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC000674250479 487536352 /nfs/dbraw/zinc/53/63/52/487536352.db2.gz AOWYZTAPFSJGNN-OLZOCXBDSA-N 0 3 234.343 2.644 20 0 BFADHN Cc1noc([C@@H](C)N2CC[C@@H](C(C)(C)C)C2)n1 ZINC000680110780 487536408 /nfs/dbraw/zinc/53/64/08/487536408.db2.gz LWEOWTWHAHCISG-MWLCHTKSSA-N 0 3 237.347 2.807 20 0 BFADHN CCC[C@H](C)NC(=O)Nc1ccccc1CNC ZINC000667762533 488110251 /nfs/dbraw/zinc/11/02/51/488110251.db2.gz HGXHMWMJNQXPSE-NSHDSACASA-N 0 3 249.358 2.716 20 0 BFADHN CCC[C@@H](C)NC(=O)Nc1ccccc1CNC ZINC000667762532 488110631 /nfs/dbraw/zinc/11/06/31/488110631.db2.gz HGXHMWMJNQXPSE-LLVKDONJSA-N 0 3 249.358 2.716 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@@H](C3CC3)C2)cc1 ZINC000667765364 488113423 /nfs/dbraw/zinc/11/34/23/488113423.db2.gz VZJDIHFYBYELJJ-HUUCEWRRSA-N 0 3 231.339 2.652 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@@H](C3CC3)C2)cc1 ZINC000667765365 488113624 /nfs/dbraw/zinc/11/36/24/488113624.db2.gz VZJDIHFYBYELJJ-LSDHHAIUSA-N 0 3 231.339 2.652 20 0 BFADHN COCC(C)(C)CNCc1cc(C(C)C)no1 ZINC000683146072 488115989 /nfs/dbraw/zinc/11/59/89/488115989.db2.gz XMCBMGJAHVKYBR-UHFFFAOYSA-N 0 3 240.347 2.560 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000667781302 488119081 /nfs/dbraw/zinc/11/90/81/488119081.db2.gz FTIHDBILUQYTLQ-CORIIIEPSA-N 0 3 247.338 2.814 20 0 BFADHN CCOc1cc(CNCC2=CCCC2)ccc1O ZINC000668690280 488119543 /nfs/dbraw/zinc/11/95/43/488119543.db2.gz NPOMGPNTWUHFIS-UHFFFAOYSA-N 0 3 247.338 2.991 20 0 BFADHN Cc1occc1CN[C@@H]1CCC(F)(F)C1 ZINC000321074843 488126883 /nfs/dbraw/zinc/12/68/83/488126883.db2.gz OCGGLTLLBGJUBA-SNVBAGLBSA-N 0 3 215.243 2.865 20 0 BFADHN C[C@@H](O)C1(NCc2cc3ccccc3s2)CC1 ZINC000668697098 488127084 /nfs/dbraw/zinc/12/70/84/488127084.db2.gz ACUCPKGHKBBQQT-SNVBAGLBSA-N 0 3 247.363 2.904 20 0 BFADHN CCC[C@@H](N[C@H](COC)C1CC1)c1ccccn1 ZINC000675817893 488127965 /nfs/dbraw/zinc/12/79/65/488127965.db2.gz YLRFRRXBEBOSGY-HUUCEWRRSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H](O)C1(N[C@H](C)c2cc3ccccc3o2)CC1 ZINC000668698726 488130962 /nfs/dbraw/zinc/13/09/62/488130962.db2.gz GLEGYYYRVXPLTF-GHMZBOCLSA-N 0 3 245.322 2.997 20 0 BFADHN C[C@@H](NC1([C@H](C)O)CC1)c1cc2ccccc2o1 ZINC000668698727 488131111 /nfs/dbraw/zinc/13/11/11/488131111.db2.gz GLEGYYYRVXPLTF-MNOVXSKESA-N 0 3 245.322 2.997 20 0 BFADHN CCCn1nccc1CNCCCC(C)(F)F ZINC000390076356 488133468 /nfs/dbraw/zinc/13/34/68/488133468.db2.gz UUMFZLNOIUAIEW-UHFFFAOYSA-N 0 3 245.317 2.818 20 0 BFADHN Cc1nccc(CN2[C@H](C)CCC2(C)C)n1 ZINC000334692578 487540260 /nfs/dbraw/zinc/54/02/60/487540260.db2.gz SJCWWBSJEGBDKV-SNVBAGLBSA-N 0 3 219.332 2.548 20 0 BFADHN Cc1nc(C)c(CN(CC(C)C)C2CC2)o1 ZINC000685561309 487543155 /nfs/dbraw/zinc/54/31/55/487543155.db2.gz MLTLNIKDCPVGDM-UHFFFAOYSA-N 0 3 222.332 2.912 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@H](C)CC2)o1 ZINC000685561712 487543573 /nfs/dbraw/zinc/54/35/73/487543573.db2.gz PRQGIUXAMJASRG-JTQLQIEISA-N 0 3 222.332 2.913 20 0 BFADHN Fc1ccc(CNCC2CC2)c(F)c1F ZINC000086763097 487546203 /nfs/dbraw/zinc/54/62/03/487546203.db2.gz OKDNAFMVMYFSEI-UHFFFAOYSA-N 0 3 215.218 2.604 20 0 BFADHN Cc1nc(C)c(CN2C[C@@H](C)C[C@H]2C)o1 ZINC000685565128 487547166 /nfs/dbraw/zinc/54/71/66/487547166.db2.gz SWYGWIIROCMMDV-DTWKUNHWSA-N 0 3 208.305 2.522 20 0 BFADHN Cc1ccoc1CN1CCC[C@@H](c2cc[nH]n2)C1 ZINC000121261135 490080472 /nfs/dbraw/zinc/08/04/72/490080472.db2.gz KLBGCHMTVOKEJC-GFCCVEGCSA-N 0 3 245.326 2.691 20 0 BFADHN c1cc2cccc(CN3CC4(CC4)C3)c2[nH]1 ZINC000334743294 487551148 /nfs/dbraw/zinc/55/11/48/487551148.db2.gz RMDJYVVFXUMTSE-UHFFFAOYSA-N 0 3 212.296 2.764 20 0 BFADHN COC[C@@H](NCc1ccc(C)c(F)c1)C1CC1 ZINC000119105588 487530198 /nfs/dbraw/zinc/53/01/98/487530198.db2.gz JWYWFQZWRXQPJJ-CQSZACIVSA-N 0 3 237.318 2.649 20 0 BFADHN c1cnc2ccc(CN3CC4(CC4)C3)cc2c1 ZINC000334740806 487553021 /nfs/dbraw/zinc/55/30/21/487553021.db2.gz ATRWLYFHIDJLHW-UHFFFAOYSA-N 0 3 224.307 2.831 20 0 BFADHN C[C@H]1c2ccccc2OCCN1[C@@H]1CCCOC1 ZINC000674301792 487554535 /nfs/dbraw/zinc/55/45/35/487554535.db2.gz VNDIIGLXPLSFJU-QWHCGFSZSA-N 0 3 247.338 2.621 20 0 BFADHN Cc1cc(CN(C)C2(CO)CC2)ccc1Cl ZINC000668797731 487554742 /nfs/dbraw/zinc/55/47/42/487554742.db2.gz GQYMCFFTJWXIBQ-UHFFFAOYSA-N 0 3 239.746 2.605 20 0 BFADHN Fc1cccc([C@@H]2CCN([C@@H]3CCCOC3)C2)c1 ZINC000674301623 487555041 /nfs/dbraw/zinc/55/50/41/487555041.db2.gz UBFQGYBSPOVRQU-UKRRQHHQSA-N 0 3 249.329 2.794 20 0 BFADHN CC[C@@H](NCc1cnccn1)C1CCCC1 ZINC000382815231 487555576 /nfs/dbraw/zinc/55/55/76/487555576.db2.gz YWFRBWKURZVODR-CYBMUJFWSA-N 0 3 219.332 2.535 20 0 BFADHN CCCc1cccc(CN(C)C2(CO)CC2)c1 ZINC000668798051 487556082 /nfs/dbraw/zinc/55/60/82/487556082.db2.gz KGLAFPWCSFGJKZ-UHFFFAOYSA-N 0 3 233.355 2.596 20 0 BFADHN Cc1ncc([C@@H](C)N2CCC[C@@H](C)C2)c(C)n1 ZINC000681640112 487479195 /nfs/dbraw/zinc/47/91/95/487479195.db2.gz WTLGZCMNBPIXAX-ZYHUDNBSSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cccc(CN[C@@H]2CC[C@@H](C)SC2)n1 ZINC000655696538 487484957 /nfs/dbraw/zinc/48/49/57/487484957.db2.gz GTZRNOKTDLIPFH-DGCLKSJQSA-N 0 3 236.384 2.764 20 0 BFADHN CC(C)C(N[C@H](C)c1ccn(C)n1)C(C)C ZINC000666111379 487487895 /nfs/dbraw/zinc/48/78/95/487487895.db2.gz HYWKLUQQOYRYBS-LLVKDONJSA-N 0 3 223.364 2.751 20 0 BFADHN CN(CCCOC(C)(C)C)Cc1ccccn1 ZINC000168316459 487493493 /nfs/dbraw/zinc/49/34/93/487493493.db2.gz XLTDSWMQQKPLFP-UHFFFAOYSA-N 0 3 236.359 2.719 20 0 BFADHN CC(C)c1ncc(CN(C)C2CCCC2)cn1 ZINC000685040985 487496013 /nfs/dbraw/zinc/49/60/13/487496013.db2.gz WONKZPYLZBONFC-UHFFFAOYSA-N 0 3 233.359 2.974 20 0 BFADHN COCCCCN1CC[C@@H]1c1cccc(F)c1 ZINC000674013111 487500179 /nfs/dbraw/zinc/50/01/79/487500179.db2.gz KOEGHHDPWPBPNN-CQSZACIVSA-N 0 3 237.318 2.999 20 0 BFADHN Fc1cccc([C@H]2CCN2C[C@H]2CCOC2)c1 ZINC000674011554 487500347 /nfs/dbraw/zinc/50/03/47/487500347.db2.gz VQXALDXRZBWRLE-BXUZGUMPSA-N 0 3 235.302 2.609 20 0 BFADHN CO[C@@H]1CCCN([C@H](C)c2ccccn2)CC1 ZINC000679953096 487501930 /nfs/dbraw/zinc/50/19/30/487501930.db2.gz LVQHGNOOCWIZJS-CHWSQXEVSA-N 0 3 234.343 2.644 20 0 BFADHN CC1(C)CC(NCc2ccc3c(n2)CCC3)C1 ZINC000668764043 487503781 /nfs/dbraw/zinc/50/37/81/487503781.db2.gz KVBDJNCQAJSMKE-UHFFFAOYSA-N 0 3 230.355 2.849 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCC[C@H]2C[C@H]21 ZINC000304660526 487513655 /nfs/dbraw/zinc/51/36/55/487513655.db2.gz ZQLUNXHTNBLHSI-LOWVWBTDSA-N 0 3 220.316 2.570 20 0 BFADHN Cc1csc(CCN[C@H](C)c2ccncc2)n1 ZINC000063132474 487513987 /nfs/dbraw/zinc/51/39/87/487513987.db2.gz AKJQFBZUYPAKJZ-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN CC[C@H](NCc1ccn(C)n1)C1CCCCC1 ZINC000112344920 487515162 /nfs/dbraw/zinc/51/51/62/487515162.db2.gz CUBHRKIZQJPHQQ-AWEZNQCLSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1ccc(CNC[C@@H](O)CC2CCCC2)o1 ZINC000232131197 487516172 /nfs/dbraw/zinc/51/61/72/487516172.db2.gz NSIZRJHHUYTULX-ZDUSSCGKSA-N 0 3 237.343 2.619 20 0 BFADHN CCc1nn(C)cc1CN1CCC2(CCC2)CC1 ZINC000685444249 487524703 /nfs/dbraw/zinc/52/47/03/487524703.db2.gz ONJFOWDYPODWDO-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN C[C@H](NCc1nn(C)c2ccccc12)[C@H]1C[C@H]1C ZINC000666128612 487525309 /nfs/dbraw/zinc/52/53/09/487525309.db2.gz QXUOTDORLXHFBX-MDZLAQPJSA-N 0 3 243.354 2.707 20 0 BFADHN CCCc1ccc(CN(C)CCN(C)C)cc1 ZINC000685451892 487525639 /nfs/dbraw/zinc/52/56/39/487525639.db2.gz QJUQBYKPBZXKLE-UHFFFAOYSA-N 0 3 234.387 2.633 20 0 BFADHN c1ccc([C@@H]2C[C@@H]2CN2CC3(CC3)C2)cc1 ZINC000335382905 487622406 /nfs/dbraw/zinc/62/24/06/487622406.db2.gz KLWNHTKRGPSNSB-KGLIPLIRSA-N 0 3 213.324 2.886 20 0 BFADHN COc1cccc(OC)c1CNC[C@H](C)C1CC1 ZINC000671707564 487701063 /nfs/dbraw/zinc/70/10/63/487701063.db2.gz GKDCBIXRLKVTFR-NSHDSACASA-N 0 3 249.354 2.840 20 0 BFADHN COC[C@@H]1CCCN1Cc1ccccc1Cl ZINC000674465523 487823457 /nfs/dbraw/zinc/82/34/57/487823457.db2.gz BOGHVTUGKLZXJF-LBPRGKRZSA-N 0 3 239.746 2.951 20 0 BFADHN C(N[C@H]1CCCC12CC2)c1noc2c1CCCC2 ZINC000668174765 487863875 /nfs/dbraw/zinc/86/38/75/487863875.db2.gz XICLOXSERVAKOX-AWEZNQCLSA-N 0 3 246.354 2.976 20 0 BFADHN COC[C@H](C)CN1CC[C@H]1c1cccc(F)c1 ZINC000682155823 487916733 /nfs/dbraw/zinc/91/67/33/487916733.db2.gz CYLGNYSQSLPOEK-RISCZKNCSA-N 0 3 237.318 2.855 20 0 BFADHN CCc1ccc(CN[C@H]2COC(C)(C)C2)cc1 ZINC000396836180 488035650 /nfs/dbraw/zinc/03/56/50/488035650.db2.gz XWYUOOBPUKODJT-CQSZACIVSA-N 0 3 233.355 2.906 20 0 BFADHN Fc1cccc(CNC[C@@H]2CC23CC3)c1F ZINC000561199076 488072953 /nfs/dbraw/zinc/07/29/53/488072953.db2.gz KVHRQHIFHGNDLD-JTQLQIEISA-N 0 3 223.266 2.855 20 0 BFADHN Cn1cc(CN2CC[C@@H]3C[C@@H]3C2)c(C(C)(C)C)n1 ZINC000668677391 488100666 /nfs/dbraw/zinc/10/06/66/488100666.db2.gz OHJSUOVNCXPRGB-VXGBXAGGSA-N 0 3 247.386 2.559 20 0 BFADHN C[C@H]1C[C@@H]1CN1CC(c2nc3ccccc3[nH]2)C1 ZINC000683110904 488112350 /nfs/dbraw/zinc/11/23/50/488112350.db2.gz UZWNMSUDPJUBPV-WDEREUQCSA-N 0 3 241.338 2.618 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@@H](C2CC2)C1)c1ccccc1 ZINC000667852837 488138261 /nfs/dbraw/zinc/13/82/61/488138261.db2.gz JISJNTBIOFIFJE-BYCMXARLSA-N 0 3 231.339 2.905 20 0 BFADHN CC(C)CCN[C@H](CO)c1ccc(F)cc1F ZINC000662160924 488140464 /nfs/dbraw/zinc/14/04/64/488140464.db2.gz JRZFGWYBIWHMGK-CYBMUJFWSA-N 0 3 243.297 2.634 20 0 BFADHN Cc1cc([C@@H](C)NCC2(N(C)C)CC2)c(C)o1 ZINC000397787762 488148751 /nfs/dbraw/zinc/14/87/51/488148751.db2.gz GLNSDZFKUMQATE-LLVKDONJSA-N 0 3 236.359 2.641 20 0 BFADHN C[C@@H](c1cccc(F)c1)N(C)C[C@H](O)C1CC1 ZINC000677891713 488150041 /nfs/dbraw/zinc/15/00/41/488150041.db2.gz DUUJESARKVLPIK-HZMBPMFUSA-N 0 3 237.318 2.589 20 0 BFADHN CCC1(CO)CCN([C@@H](C)c2ccncc2)CC1 ZINC000677905403 488153461 /nfs/dbraw/zinc/15/34/61/488153461.db2.gz ZVJWRAGJQWBDAY-ZDUSSCGKSA-N 0 3 248.370 2.627 20 0 BFADHN c1nc(CN[C@H]2CCCC23CC3)cs1 ZINC000657860521 488153963 /nfs/dbraw/zinc/15/39/63/488153963.db2.gz ISXLZCXMIIXBFJ-JTQLQIEISA-N 0 3 208.330 2.565 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1CO[C@@H](C2CC2)C1 ZINC000667923533 488159138 /nfs/dbraw/zinc/15/91/38/488159138.db2.gz PCOKZXCYISBOOW-NJZAAPMLSA-N 0 3 246.354 2.608 20 0 BFADHN CCOc1ncccc1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000667928766 488160703 /nfs/dbraw/zinc/16/07/03/488160703.db2.gz ILRPOJFNQWLYKU-XBFCOCLRSA-N 0 3 246.354 2.759 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN2CCC3(COC3)C2)o1 ZINC000668720222 488162128 /nfs/dbraw/zinc/16/21/28/488162128.db2.gz OCJNTJJTPAXBIZ-AAEUAGOBSA-N 0 3 247.338 2.625 20 0 BFADHN CC(C)c1cc(CN[C@H](C)[C@H]2C[C@H]2C)on1 ZINC000666091108 488164832 /nfs/dbraw/zinc/16/48/32/488164832.db2.gz ZKRUWNAAZSPHPW-FOGDFJRCSA-N 0 3 222.332 2.932 20 0 BFADHN COC[C@H](C)N(C)Cc1ccnc2ccccc12 ZINC000535803117 488167295 /nfs/dbraw/zinc/16/72/95/488167295.db2.gz MINJLTUSFMGVRS-LBPRGKRZSA-N 0 3 244.338 2.702 20 0 BFADHN Cc1cc(OCC(F)F)c(C)c(C)n1 ZINC000655677629 488167467 /nfs/dbraw/zinc/16/74/67/488167467.db2.gz VMTDUCDURNZDJE-UHFFFAOYSA-N 0 3 201.216 2.651 20 0 BFADHN CCCn1nccc1CN[C@@H]1CCC(F)(F)C1 ZINC000380054258 488169282 /nfs/dbraw/zinc/16/92/82/488169282.db2.gz WGZJKGLWTCMOQV-SNVBAGLBSA-N 0 3 243.301 2.571 20 0 BFADHN CCCn1nccc1CN[C@H]1CCC(F)(F)C1 ZINC000380054257 488169509 /nfs/dbraw/zinc/16/95/09/488169509.db2.gz WGZJKGLWTCMOQV-JTQLQIEISA-N 0 3 243.301 2.571 20 0 BFADHN C[C@H](CO)[C@H](C)Nc1ccnc2ccccc21 ZINC000134960671 488170250 /nfs/dbraw/zinc/17/02/50/488170250.db2.gz XYSVOGWBJWRPFV-MNOVXSKESA-N 0 3 230.311 2.664 20 0 BFADHN CCN(CCC1CC1)Cc1c(C2CC2)cnn1C ZINC000667988603 488178071 /nfs/dbraw/zinc/17/80/71/488178071.db2.gz OOSPRMOMNAEEII-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN CC[C@@H]1c2ccsc2CCN1C[C@H](C)CO ZINC000678044522 488179766 /nfs/dbraw/zinc/17/97/66/488179766.db2.gz UOBDSTUDJOZUCB-CMPLNLGQSA-N 0 3 239.384 2.686 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CCCC2(CC2)C1 ZINC000667998957 488180955 /nfs/dbraw/zinc/18/09/55/488180955.db2.gz OYCPOROJVWXFFG-UHFFFAOYSA-N 0 3 245.370 2.674 20 0 BFADHN CCCn1nccc1CN1CC[C@@H](C)[C@@H]1CC ZINC000668003161 488181521 /nfs/dbraw/zinc/18/15/21/488181521.db2.gz ISQYHIGSJLXERA-OCCSQVGLSA-N 0 3 235.375 2.914 20 0 BFADHN c1cc(CN2CC[C@@H]3CCC[C@H]32)n(CC2CC2)n1 ZINC000668013508 488186128 /nfs/dbraw/zinc/18/61/28/488186128.db2.gz ZVALYULTUDGWJC-DZGCQCFKSA-N 0 3 245.370 2.668 20 0 BFADHN C(=C\c1ccccc1)\CN1CCC12CCOCC2 ZINC000683526340 488187057 /nfs/dbraw/zinc/18/70/57/488187057.db2.gz OETDYHGQPHXKBX-DAXSKMNVSA-N 0 3 243.350 2.955 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@@H]2CCc3ccc(F)cc32)O1 ZINC000453398462 488191218 /nfs/dbraw/zinc/19/12/18/488191218.db2.gz ABCNTGFBQSEGDS-WDBKCZKBSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H](c1cccnc1)N1CCC2(CCOC2)CC1 ZINC000677718955 488193053 /nfs/dbraw/zinc/19/30/53/488193053.db2.gz KPUVYQKPTOCLTH-ZDUSSCGKSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1Cc1ccc(N(C)C)nc1 ZINC000678120821 488199634 /nfs/dbraw/zinc/19/96/34/488199634.db2.gz PQXMNMCCQZTRDW-BETUJISGSA-N 0 3 247.386 2.911 20 0 BFADHN Cn1nc(CN2C3CCC2CC3)c2ccccc21 ZINC000678132129 488201541 /nfs/dbraw/zinc/20/15/41/488201541.db2.gz ZUQMXVRIJDCMAX-UHFFFAOYSA-N 0 3 241.338 2.700 20 0 BFADHN CN(Cc1nn(C)c2ccccc12)C1CCCC1 ZINC000678128456 488202100 /nfs/dbraw/zinc/20/21/00/488202100.db2.gz WHSOFNCWXPUPSP-UHFFFAOYSA-N 0 3 243.354 2.948 20 0 BFADHN OCCN(Cc1ccccc1C1CC1)C1CC1 ZINC000678140899 488206791 /nfs/dbraw/zinc/20/67/91/488206791.db2.gz UUZKVJLYZBDUPX-UHFFFAOYSA-N 0 3 231.339 2.521 20 0 BFADHN COC[C@@H]1CCCN1Cc1ccc(C)c(OC)c1 ZINC000683701849 488209665 /nfs/dbraw/zinc/20/96/65/488209665.db2.gz YVDYUHKPVDXKFW-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CN(CC1(C)CCC1)[C@@H]1CCCc2c1cnn2C ZINC000683701847 488209921 /nfs/dbraw/zinc/20/99/21/488209921.db2.gz YRGBCLXSKCDXOS-CYBMUJFWSA-N 0 3 247.386 2.920 20 0 BFADHN COc1cc(CN2CCCOC[C@H]2C)ccc1C ZINC000683699073 488210166 /nfs/dbraw/zinc/21/01/66/488210166.db2.gz JHPZNUKZZGPTES-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN CC1(CN2CCC[C@H](OC(F)F)C2)CCC1 ZINC000683708009 488211547 /nfs/dbraw/zinc/21/15/47/488211547.db2.gz JMFRMYAOQKRHHZ-JTQLQIEISA-N 0 3 233.302 2.880 20 0 BFADHN Cc1cc(CNC[C@@H](C)c2ccccc2)ncn1 ZINC000683707658 488211564 /nfs/dbraw/zinc/21/15/64/488211564.db2.gz QTMVVBMMYFNKJQ-GFCCVEGCSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@@H](CF)NCc1ccc2cnccc2c1 ZINC000309781557 488214342 /nfs/dbraw/zinc/21/43/42/488214342.db2.gz RHMMECXVKYPDKU-JTQLQIEISA-N 0 3 218.275 2.682 20 0 BFADHN CCCn1nccc1CN1CCC[C@@H](C2CC2)C1 ZINC000668175076 488215007 /nfs/dbraw/zinc/21/50/07/488215007.db2.gz JTWDCTJBGDGXKB-CQSZACIVSA-N 0 3 247.386 2.915 20 0 BFADHN Cn1ccc(CNc2nc3ccc(F)cc3[nH]2)c1 ZINC000683751874 488216367 /nfs/dbraw/zinc/21/63/67/488216367.db2.gz VGLMFZTYYGCGHM-UHFFFAOYSA-N 0 3 244.273 2.653 20 0 BFADHN COc1nc(C)cc(C)c1CNCC1(C)CC1 ZINC000683769067 488218153 /nfs/dbraw/zinc/21/81/53/488218153.db2.gz DWDSNIXZWMBHQV-UHFFFAOYSA-N 0 3 234.343 2.597 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1ccc(N(C)C)nc1 ZINC000678222345 488220869 /nfs/dbraw/zinc/22/08/69/488220869.db2.gz MNPXXFNDYBAIPQ-QWHCGFSZSA-N 0 3 247.386 2.768 20 0 BFADHN CN(C)c1ccc(CN2CCC(C)(C)CC2)cn1 ZINC000678234736 488222896 /nfs/dbraw/zinc/22/28/96/488222896.db2.gz PJYUQWWMVSFGFQ-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN c1cn([C@@H]2CCCN(Cc3ccsc3)C2)cn1 ZINC000678235070 488223402 /nfs/dbraw/zinc/22/34/02/488223402.db2.gz CKABEJJAGGNKRD-CYBMUJFWSA-N 0 3 247.367 2.782 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cn2ccccc2n1 ZINC000078723266 488223771 /nfs/dbraw/zinc/22/37/71/488223771.db2.gz LFTIFFDIVYAFFV-LLVKDONJSA-N 0 3 229.327 2.565 20 0 BFADHN CCCC(C)(C)NCc1cc(OC)ns1 ZINC000399058137 488226962 /nfs/dbraw/zinc/22/69/62/488226962.db2.gz KTMBGQSTEYISBP-UHFFFAOYSA-N 0 3 228.361 2.820 20 0 BFADHN CC[C@@H](NCc1cc(OC)cc(C)n1)C1CC1 ZINC000323891745 488228012 /nfs/dbraw/zinc/22/80/12/488228012.db2.gz PBCBQAFDHWXOIG-CQSZACIVSA-N 0 3 234.343 2.677 20 0 BFADHN CCN1CCN(Cc2sccc2C)C[C@@H]1C ZINC000678261538 488228340 /nfs/dbraw/zinc/22/83/40/488228340.db2.gz MXUJDUPTQUGLGE-LBPRGKRZSA-N 0 3 238.400 2.583 20 0 BFADHN Cc1csc(CN[C@@H]2CO[C@@H](C3CC3)C2)c1 ZINC000668215212 488228790 /nfs/dbraw/zinc/22/87/90/488228790.db2.gz XIOBLPWVALQJAQ-WCQYABFASA-N 0 3 237.368 2.714 20 0 BFADHN CCN1CCN(Cc2ccc(C)cc2C)C[C@@H]1C ZINC000678261990 488228928 /nfs/dbraw/zinc/22/89/28/488228928.db2.gz YBDIGVRWOXNSKV-HNNXBMFYSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1n[nH]c(C)c1CN1CC[C@@H](c2ccco2)C1 ZINC000678262440 488229302 /nfs/dbraw/zinc/22/93/02/488229302.db2.gz QNWPRMUZCZAXAW-GFCCVEGCSA-N 0 3 245.326 2.609 20 0 BFADHN CN(CC1(C)CC1)[C@@H]1CCCc2c1cnn2C ZINC000683832198 488229624 /nfs/dbraw/zinc/22/96/24/488229624.db2.gz LXLCWELHHCKLGG-GFCCVEGCSA-N 0 3 233.359 2.529 20 0 BFADHN Cc1n[nH]c(C)c1CN1CC[C@H](c2ccco2)C1 ZINC000678262441 488229765 /nfs/dbraw/zinc/22/97/65/488229765.db2.gz QNWPRMUZCZAXAW-LBPRGKRZSA-N 0 3 245.326 2.609 20 0 BFADHN Cc1ccoc1CN1CCCOC(C)(C)C1 ZINC000678272001 488232586 /nfs/dbraw/zinc/23/25/86/488232586.db2.gz XRDZDAHORQPQAM-UHFFFAOYSA-N 0 3 223.316 2.589 20 0 BFADHN CCc1ccc(CN2CCCOC[C@@H]2C)s1 ZINC000678272051 488233071 /nfs/dbraw/zinc/23/30/71/488233071.db2.gz ASPSYTUADOAQBR-NSHDSACASA-N 0 3 239.384 2.921 20 0 BFADHN C[C@@H]1C[C@H]2CCCC[C@@H]2N1Cc1cn(C)cn1 ZINC000683898273 488235290 /nfs/dbraw/zinc/23/52/90/488235290.db2.gz LIWUYONDTBVKFX-BZPMIXESSA-N 0 3 233.359 2.573 20 0 BFADHN Cn1ccc(CN2C3CCCC2CCC3)c1 ZINC000678278699 488235533 /nfs/dbraw/zinc/23/55/33/488235533.db2.gz FWNUSOAFBPAGEU-UHFFFAOYSA-N 0 3 218.344 2.932 20 0 BFADHN CCn1cc(CN2C3CCCC2CCC3)cn1 ZINC000678278962 488236181 /nfs/dbraw/zinc/23/61/81/488236181.db2.gz IXFRNROJXGUMJI-UHFFFAOYSA-N 0 3 233.359 2.810 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCC[C@H]1CCOC1 ZINC000683888733 488237123 /nfs/dbraw/zinc/23/71/23/488237123.db2.gz HBVSHYGHHKQALD-KBPBESRZSA-N 0 3 248.370 2.891 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccc(N(C)C)nc2)C1 ZINC000678285433 488237239 /nfs/dbraw/zinc/23/72/39/488237239.db2.gz CMNVXUCOZIGBHD-HNNXBMFYSA-N 0 3 247.386 2.770 20 0 BFADHN CC(C)CN(Cc1cn(C)cn1)CC(C)(C)C ZINC000683898001 488240082 /nfs/dbraw/zinc/24/00/82/488240082.db2.gz JFCLVORMSVRHJP-UHFFFAOYSA-N 0 3 237.391 2.924 20 0 BFADHN CN(CCC1CC1)Cc1n[nH]c2ccccc21 ZINC000678338943 488242780 /nfs/dbraw/zinc/24/27/80/488242780.db2.gz GOIRHFSVPKCACI-UHFFFAOYSA-N 0 3 229.327 2.795 20 0 BFADHN CN(CCC1CC1)Cc1[nH]nc2ccccc21 ZINC000678338943 488242781 /nfs/dbraw/zinc/24/27/81/488242781.db2.gz GOIRHFSVPKCACI-UHFFFAOYSA-N 0 3 229.327 2.795 20 0 BFADHN CCN(CC(C)C)C(=O)Nc1cccc(CN)c1 ZINC000037112044 488246336 /nfs/dbraw/zinc/24/63/36/488246336.db2.gz PQVGCWUFFBUCNQ-UHFFFAOYSA-N 0 3 249.358 2.655 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H]2CCCCO2)c(C)n1 ZINC000678415109 488252939 /nfs/dbraw/zinc/25/29/39/488252939.db2.gz YJCZLUOIPJYNRP-JSGCOSHPSA-N 0 3 248.370 2.918 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1ccc(C)nc1C ZINC000678426390 488255196 /nfs/dbraw/zinc/25/51/96/488255196.db2.gz GLILFRGXUSWCER-WCQYABFASA-N 0 3 236.359 2.510 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NC1CC(C(F)(F)F)C1 ZINC000672623109 488262409 /nfs/dbraw/zinc/26/24/09/488262409.db2.gz MIXQXZWIOXBQBO-GVWIPJJGSA-N 0 3 247.264 2.710 20 0 BFADHN C[C@@H](CN[C@H](C)c1nccs1)c1ccncc1 ZINC000678544298 488263822 /nfs/dbraw/zinc/26/38/22/488263822.db2.gz YNYXRSVLKQDJRV-WDEREUQCSA-N 0 3 247.367 2.992 20 0 BFADHN CC(C)(C)[C@H]1CN(C2CCCC2)CCO1 ZINC000684103700 488266480 /nfs/dbraw/zinc/26/64/80/488266480.db2.gz RCEFXKMQYSNWAT-GFCCVEGCSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1c(CN(C)C[C@H]2CCC[C@H](C)C2)cnn1C ZINC000684107468 488267274 /nfs/dbraw/zinc/26/72/74/488267274.db2.gz HRTIJBIELONANH-JSGCOSHPSA-N 0 3 249.402 2.987 20 0 BFADHN CCCc1ccc(CN2C[C@@H](O)C(C)(C)C2)cc1 ZINC000684108402 488267344 /nfs/dbraw/zinc/26/73/44/488267344.db2.gz ZUSNWPOHQHXKHJ-OAHLLOKOSA-N 0 3 247.382 2.842 20 0 BFADHN CCC[C@H](NCC(C)(C)CO)c1ccccn1 ZINC000678602310 488270375 /nfs/dbraw/zinc/27/03/75/488270375.db2.gz NHCLITYQDYLZCL-LBPRGKRZSA-N 0 3 236.359 2.531 20 0 BFADHN Cc1cc(CN[C@H](C)CC2CCCC2)ncn1 ZINC000678604844 488270882 /nfs/dbraw/zinc/27/08/82/488270882.db2.gz LTODKGBDYYHSJU-LLVKDONJSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1cc(CN[C@@H](C)CC2CCCC2)ncn1 ZINC000678604845 488270919 /nfs/dbraw/zinc/27/09/19/488270919.db2.gz LTODKGBDYYHSJU-NSHDSACASA-N 0 3 233.359 2.843 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](CO)CC2CC2)c(C)n1 ZINC000678606854 488271953 /nfs/dbraw/zinc/27/19/53/488271953.db2.gz LQKGCTFLZKIJST-GXTWGEPZSA-N 0 3 248.370 2.510 20 0 BFADHN COc1ccc(COCCN2[C@H](C)C[C@@H]2C)cc1 ZINC000684208648 488276445 /nfs/dbraw/zinc/27/64/45/488276445.db2.gz PSNMVYHRZDHDLF-BETUJISGSA-N 0 3 249.354 2.695 20 0 BFADHN C[C@@H](CN[C@H](c1nccn1C)C1CC1)C1CC1 ZINC000678806483 488285312 /nfs/dbraw/zinc/28/53/12/488285312.db2.gz CUAWJNHQCSHDNY-GWCFXTLKSA-N 0 3 233.359 2.507 20 0 BFADHN Cn1ccnc1[C@H](NCCC1=CCCC1)C1CC1 ZINC000678807822 488285383 /nfs/dbraw/zinc/28/53/83/488285383.db2.gz LWPGVKDKZLRNBR-CQSZACIVSA-N 0 3 245.370 2.961 20 0 BFADHN CCc1nn(C)cc1CN1CCC(CC)CC1 ZINC000678817400 488287441 /nfs/dbraw/zinc/28/74/41/488287441.db2.gz BFLDKZLSYDMSMI-UHFFFAOYSA-N 0 3 235.375 2.605 20 0 BFADHN CC(C)[C@H]1CCN1Cc1n[nH]c2ccccc21 ZINC000678851592 488290923 /nfs/dbraw/zinc/29/09/23/488290923.db2.gz RKZCARYJDPQVSX-CQSZACIVSA-N 0 3 229.327 2.793 20 0 BFADHN CC(C)[C@H]1CCN1Cc1[nH]nc2ccccc21 ZINC000678851592 488290926 /nfs/dbraw/zinc/29/09/26/488290926.db2.gz RKZCARYJDPQVSX-CQSZACIVSA-N 0 3 229.327 2.793 20 0 BFADHN COc1ccc(CN2CC(C(C)C)C2)cc1OC ZINC000684408204 488307785 /nfs/dbraw/zinc/30/77/85/488307785.db2.gz NNCBNVHVBFAOSH-UHFFFAOYSA-N 0 3 249.354 2.792 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CC(C(C)C)C1 ZINC000684408169 488307973 /nfs/dbraw/zinc/30/79/73/488307973.db2.gz MOHUOSWGSCCKSD-UHFFFAOYSA-N 0 3 249.402 2.633 20 0 BFADHN CCc1nn(C)cc1CN(C)[C@H]1CCC(C)(C)C1 ZINC000684427110 488311789 /nfs/dbraw/zinc/31/17/89/488311789.db2.gz MMVQSFAHAKJHFH-ZDUSSCGKSA-N 0 3 249.402 2.993 20 0 BFADHN CCc1cccnc1N[C@H]1CCN(C)[C@@H](C)C1 ZINC000679151985 488313920 /nfs/dbraw/zinc/31/39/20/488313920.db2.gz WYRVVUPLQTXSED-AAEUAGOBSA-N 0 3 233.359 2.539 20 0 BFADHN Cc1cccc(OCCN2CC=CCC2)c1 ZINC000679324194 488337626 /nfs/dbraw/zinc/33/76/26/488337626.db2.gz OGIOKISAQPWBGI-UHFFFAOYSA-N 0 3 217.312 2.636 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2ccc(O)cc2)C1 ZINC000684683983 488338658 /nfs/dbraw/zinc/33/86/58/488338658.db2.gz XHUAVBDQFDJWQW-LLVKDONJSA-N 0 3 217.312 2.790 20 0 BFADHN c1ccc2ncc(CN3CC=CCC3)cc2c1 ZINC000679357240 488344030 /nfs/dbraw/zinc/34/40/30/488344030.db2.gz AHDFGMUEIHFTGB-UHFFFAOYSA-N 0 3 224.307 2.997 20 0 BFADHN CCCCCC(=O)N1CCCN(C)C[C@@H]1CC ZINC000684825014 488346583 /nfs/dbraw/zinc/34/65/83/488346583.db2.gz MHCAQLRJSDFTLJ-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC1(C)CN(Cc2ccc(O)cc2)CCS1 ZINC000679392064 488349692 /nfs/dbraw/zinc/34/96/92/488349692.db2.gz DYJKTEODWZBSRF-UHFFFAOYSA-N 0 3 237.368 2.720 20 0 BFADHN c1ccc([C@@H](NC2CSC2)[C@@H]2CCCO2)cc1 ZINC000679625152 488360175 /nfs/dbraw/zinc/36/01/75/488360175.db2.gz CWRQREHQDCHXKP-UONOGXRCSA-N 0 3 249.379 2.612 20 0 BFADHN CCCCCN1CCC[C@H](OC(F)F)C1 ZINC000679681899 488364409 /nfs/dbraw/zinc/36/44/09/488364409.db2.gz LABWAHXVRNRDRB-JTQLQIEISA-N 0 3 221.291 2.880 20 0 BFADHN COCc1cccc(CN2CC=CCC2)c1 ZINC000679322360 490112999 /nfs/dbraw/zinc/11/29/99/490112999.db2.gz GNQINVWAJXJAIQ-UHFFFAOYSA-N 0 3 217.312 2.595 20 0 BFADHN COc1ccc(OCCCN2CC=CCC2)cc1 ZINC000679322123 490113067 /nfs/dbraw/zinc/11/30/67/490113067.db2.gz BRWDDTMZQCLMFI-UHFFFAOYSA-N 0 3 247.338 2.726 20 0 BFADHN c1cnc2c(c1)cccc2CN1CC=CCC1 ZINC000679322317 490113991 /nfs/dbraw/zinc/11/39/91/490113991.db2.gz DVLNQRNBDVSZLI-UHFFFAOYSA-N 0 3 224.307 2.997 20 0 BFADHN C[C@H](NC1CC=CC1)c1cncc(F)c1 ZINC000122093027 490124142 /nfs/dbraw/zinc/12/41/42/490124142.db2.gz ZKQJASHKXKQVJO-VIFPVBQESA-N 0 3 206.264 2.590 20 0 BFADHN CCC[C@H](CNCc1cc2cnccc2o1)OC ZINC000651819131 490145126 /nfs/dbraw/zinc/14/51/26/490145126.db2.gz PXWNRADDCWPOKW-GFCCVEGCSA-N 0 3 248.326 2.733 20 0 BFADHN CCCN1C[C@@H](C)OC2(CCCCC2)C1 ZINC000653907846 490157133 /nfs/dbraw/zinc/15/71/33/490157133.db2.gz CIZJURLFNIQUBW-GFCCVEGCSA-N 0 3 211.349 2.820 20 0 BFADHN CO[C@H](CN(C)[C@@H](C)c1cccnc1)C1CCC1 ZINC000660142033 490178225 /nfs/dbraw/zinc/17/82/25/490178225.db2.gz YLBHTGLGUUBFQO-SWLSCSKDSA-N 0 3 248.370 2.890 20 0 BFADHN CC[C@@H](N[C@@H]1CCO[C@H](C)C1)c1nccs1 ZINC000070717501 490235304 /nfs/dbraw/zinc/23/53/04/490235304.db2.gz VFLDWCMUNUCTIM-GMTAPVOTSA-N 0 3 240.372 2.751 20 0 BFADHN OC1(CN[C@@H]2CCCc3occc32)CCCC1 ZINC000124057748 490281501 /nfs/dbraw/zinc/28/15/01/490281501.db2.gz GVQUXZGLUOAIQZ-GFCCVEGCSA-N 0 3 235.327 2.552 20 0 BFADHN CCN(CCCO)Cc1ccc(Cl)cc1C ZINC000274352822 490289144 /nfs/dbraw/zinc/28/91/44/490289144.db2.gz KXWYVHZQBBXHQK-UHFFFAOYSA-N 0 3 241.762 2.853 20 0 BFADHN CCN(Cc1cc2c(cccc2C)[nH]1)[C@H](C)CO ZINC000668184765 490336769 /nfs/dbraw/zinc/33/67/69/490336769.db2.gz PZHBFOCKXUIMQL-GFCCVEGCSA-N 0 3 246.354 2.679 20 0 BFADHN C[C@@H](CO)CN1CC(C)(C)[C@@H]1c1cccs1 ZINC000672578822 490340221 /nfs/dbraw/zinc/34/02/21/490340221.db2.gz HTWFUWXGRSNCEH-PWSUYJOCSA-N 0 3 239.384 2.759 20 0 BFADHN C=Cn1cc(CN2CCC[C@@H](CCF)C2)cn1 ZINC000668591678 490390627 /nfs/dbraw/zinc/39/06/27/490390627.db2.gz GHEJVCQSIPYPSU-LBPRGKRZSA-N 0 3 237.322 2.555 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@H]1C)c1ncc[nH]1 ZINC000124694692 490397727 /nfs/dbraw/zinc/39/77/27/490397727.db2.gz WUUKPJDTDFBRRO-VWYCJHECSA-N 0 3 207.321 2.639 20 0 BFADHN c1ccc([C@H](NC2CCC2)c2cncnc2)cc1 ZINC000349512561 490398734 /nfs/dbraw/zinc/39/87/34/490398734.db2.gz IABFABGCLRUELB-HNNXBMFYSA-N 0 3 239.322 2.708 20 0 BFADHN C[C@H](NC1[C@@H](C)CCC[C@@H]1C)c1ncc[nH]1 ZINC000124741892 490401471 /nfs/dbraw/zinc/40/14/71/490401471.db2.gz GJJGJNAKTWWGEC-DCAQKATOSA-N 0 3 221.348 2.885 20 0 BFADHN CCn1nc(CNC2CC=CC2)c2ccccc21 ZINC000125461173 490432081 /nfs/dbraw/zinc/43/20/81/490432081.db2.gz GFPGBWWBFBBATC-UHFFFAOYSA-N 0 3 241.338 2.864 20 0 BFADHN Cc1nn(C)cc1CN[C@@H]1CCc2ccccc21 ZINC000125944733 490473920 /nfs/dbraw/zinc/47/39/20/490473920.db2.gz LYZFOQQVWQIWEK-OAHLLOKOSA-N 0 3 241.338 2.506 20 0 BFADHN C[C@H](N[C@H]1CCCc2cccnc21)[C@@H]1CCCO1 ZINC000217737970 490483141 /nfs/dbraw/zinc/48/31/41/490483141.db2.gz ODZDSFGHRYLTNI-UBHSHLNASA-N 0 3 246.354 2.616 20 0 BFADHN C[C@H](NCC(C)(C)CO)c1ccc(F)c(F)c1 ZINC000126356305 490491884 /nfs/dbraw/zinc/49/18/84/490491884.db2.gz YNOSFEPQFKHSIY-VIFPVBQESA-N 0 3 243.297 2.634 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2cncnc2)CC1 ZINC000126817402 490520106 /nfs/dbraw/zinc/52/01/06/490520106.db2.gz OMCIVRMAMJYKEJ-AWEZNQCLSA-N 0 3 233.359 2.735 20 0 BFADHN Cc1ncc([C@@H](C)NCCc2ccco2)s1 ZINC000126943071 490526767 /nfs/dbraw/zinc/52/67/67/490526767.db2.gz BIQMFTZSWWGZAA-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN OCC[C@@H](NCc1ccccn1)C1CCCCC1 ZINC000305753213 490541892 /nfs/dbraw/zinc/54/18/92/490541892.db2.gz UJVFLQFBXGUGBG-OAHLLOKOSA-N 0 3 248.370 2.503 20 0 BFADHN OCc1ccc(CN2CCC[C@H](C3CCC3)C2)o1 ZINC000668717931 490542227 /nfs/dbraw/zinc/54/22/27/490542227.db2.gz HJDRNGSRUIVENF-ZDUSSCGKSA-N 0 3 249.354 2.784 20 0 BFADHN CC(C)C(C)(C)CNCc1nccs1 ZINC000218979676 490549919 /nfs/dbraw/zinc/54/99/19/490549919.db2.gz IETDBNWJIVWNKR-UHFFFAOYSA-N 0 3 212.362 2.915 20 0 BFADHN Fc1ccc(CNC2CC=CC2)c(F)c1 ZINC000131190840 490624103 /nfs/dbraw/zinc/62/41/03/490624103.db2.gz IMGMEWMBMPENDC-UHFFFAOYSA-N 0 3 209.239 2.773 20 0 BFADHN C[C@H](N[C@@H]1CCS[C@@H]1C)c1ccoc1 ZINC000306596931 490642188 /nfs/dbraw/zinc/64/21/88/490642188.db2.gz NNMMTNPCHFFKIX-IQJOONFLSA-N 0 3 211.330 2.824 20 0 BFADHN CC[C@H](N[C@H](C)c1nnc2ccccn21)C1CC1 ZINC000086117088 491057903 /nfs/dbraw/zinc/05/79/03/491057903.db2.gz FNYNWUAMVKUSCA-PWSUYJOCSA-N 0 3 244.342 2.569 20 0 BFADHN CC[C@@H](C)N(CC)C(=O)C[C@@H](N)c1ccccc1 ZINC000037113253 491078612 /nfs/dbraw/zinc/07/86/12/491078612.db2.gz AVGMAZZGEJYCPF-TZMCWYRMSA-N 0 3 248.370 2.724 20 0 BFADHN Cn1ccc(CNCCCCc2ccccc2)n1 ZINC000134283254 491071831 /nfs/dbraw/zinc/07/18/31/491071831.db2.gz NHNNJQAUQOEYNC-UHFFFAOYSA-N 0 3 243.354 2.533 20 0 BFADHN COC[C@@H](NCc1ccc(F)c(C)c1)C1CC1 ZINC000119418249 491088211 /nfs/dbraw/zinc/08/82/11/491088211.db2.gz VWHGBTBIKPWWMH-CQSZACIVSA-N 0 3 237.318 2.649 20 0 BFADHN Cc1nc([C@H](C)NCCC[C@H](C)O)c(C)s1 ZINC000228033261 491088482 /nfs/dbraw/zinc/08/84/82/491088482.db2.gz AOKQNJPWXMJLFH-IUCAKERBSA-N 0 3 242.388 2.572 20 0 BFADHN CN(Cc1cnc2n1CCC2)[C@@H]1CCC(C)(C)C1 ZINC000668095322 491116963 /nfs/dbraw/zinc/11/69/63/491116963.db2.gz GLMFSBNCFVFQPI-GFCCVEGCSA-N 0 3 247.386 2.840 20 0 BFADHN C[C@H](CCO)N[C@H](C)c1ccc(Cl)c(F)c1 ZINC000124022425 491121552 /nfs/dbraw/zinc/12/15/52/491121552.db2.gz KVVWNGXNGOITNR-RKDXNWHRSA-N 0 3 245.725 2.901 20 0 BFADHN Cc1ncc([C@@H](C)NCCc2ccncc2)s1 ZINC000090419533 491126705 /nfs/dbraw/zinc/12/67/05/491126705.db2.gz ZVBQMSQLTXVHBK-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN c1csc(CNCCC2CCCC2)n1 ZINC000082394055 491129686 /nfs/dbraw/zinc/12/96/86/491129686.db2.gz MFCHEVYGJDPEMS-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1nccs1 ZINC000230650386 491130420 /nfs/dbraw/zinc/13/04/20/491130420.db2.gz KYWKKULMSDJKGV-NXEZZACHSA-N 0 3 210.346 2.669 20 0 BFADHN COC[C@H]1CCCN1Cc1ccc(C)c(F)c1 ZINC000091862934 491131761 /nfs/dbraw/zinc/13/17/61/491131761.db2.gz MSZPRKDAFKAXEY-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN c1cc2cc(CN3CCC4(COC4)C3)ccc2o1 ZINC000668719231 491132441 /nfs/dbraw/zinc/13/24/41/491132441.db2.gz RBICDIYPKONUQB-UHFFFAOYSA-N 0 3 243.306 2.655 20 0 BFADHN CC[C@@H](NC[C@@H](C)C1CC1)c1nccn1C ZINC000132131082 491134959 /nfs/dbraw/zinc/13/49/59/491134959.db2.gz DXBVYULIVLSYEQ-ZYHUDNBSSA-N 0 3 221.348 2.507 20 0 BFADHN C/C=C\CN[C@H](C)c1sc(C)nc1C ZINC000308406558 491136265 /nfs/dbraw/zinc/13/62/65/491136265.db2.gz RHEUFKOAFXBKMU-RPSMYOMKSA-N 0 3 210.346 2.987 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc(OC)cc1 ZINC000308773050 491138021 /nfs/dbraw/zinc/13/80/21/491138021.db2.gz SYFVSIMDVVGSJJ-DOGVGXBMSA-N 0 3 205.301 2.922 20 0 BFADHN CC(C)N1CC[C@@H](Nc2ncc(Cl)s2)C1 ZINC000309078795 491144219 /nfs/dbraw/zinc/14/42/19/491144219.db2.gz NJCMJSCJZWHXDH-MRVPVSSYSA-N 0 3 245.779 2.691 20 0 BFADHN C[C@@H](CO)CNC1(c2cccc(Cl)c2)CC1 ZINC000309372127 491147618 /nfs/dbraw/zinc/14/76/18/491147618.db2.gz CCSRGAWJDTXCTR-SNVBAGLBSA-N 0 3 239.746 2.547 20 0 BFADHN CC(C)CCCNCc1csc(N(C)C)n1 ZINC000309423218 491149109 /nfs/dbraw/zinc/14/91/09/491149109.db2.gz AFVRQTGDTMLORS-UHFFFAOYSA-N 0 3 241.404 2.735 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NC[C@@H]1CCCCS1 ZINC000309587608 491151214 /nfs/dbraw/zinc/15/12/14/491151214.db2.gz SMVPIKQZDIYAIW-KOLCDFICSA-N 0 3 239.388 2.654 20 0 BFADHN C[C@H](N[C@@H]1CCS[C@@H]1C)c1cncc(F)c1 ZINC000309606156 491152324 /nfs/dbraw/zinc/15/23/24/491152324.db2.gz YRZLFXVKFSWXOP-YGOYTEALSA-N 0 3 240.347 2.765 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCCC[C@H]2C)o1 ZINC000309774662 491156184 /nfs/dbraw/zinc/15/61/84/491156184.db2.gz LIZAKQSJWYQCAW-BXKDBHETSA-N 0 3 222.332 2.960 20 0 BFADHN Fc1cccc(CN[C@H]2CCCOCC2)c1F ZINC000310472757 491161654 /nfs/dbraw/zinc/16/16/54/491161654.db2.gz JBYDSBATDXKOOG-NSHDSACASA-N 0 3 241.281 2.624 20 0 BFADHN CC(C)OC(=O)CC[C@@H](C)N1CCC[C@@H]1CF ZINC001172769943 974936091 /nfs/dbraw/zinc/93/60/91/974936091.db2.gz IANUXTFRUCYCJW-VXGBXAGGSA-N 0 3 245.338 2.541 20 0 BFADHN Cc1csc([C@H](C)NC[C@@](C)(O)C(C)C)n1 ZINC000168062503 582524646 /nfs/dbraw/zinc/52/46/46/582524646.db2.gz YOOUTAFZFUSWSR-CMPLNLGQSA-N 0 3 242.388 2.509 20 0 BFADHN C[C@@H]1C[C@@H]1CNc1cccc(OCCN(C)C)c1 ZINC000488359162 533731231 /nfs/dbraw/zinc/73/12/31/533731231.db2.gz SPIZTXMTBUMDHO-CHWSQXEVSA-N 0 3 248.370 2.695 20 0 BFADHN CSC[C@@H]1CCCN1C/C=C\c1ccncc1 ZINC000494980165 533750469 /nfs/dbraw/zinc/75/04/69/533750469.db2.gz YLHRQEBZDKLWAW-XBPVQUQDSA-N 0 3 248.395 2.922 20 0 BFADHN Cc1ccc(CCNCc2cncc(F)c2)cc1 ZINC000494609880 533805738 /nfs/dbraw/zinc/80/57/38/533805738.db2.gz VAKRBZNCBRBCDW-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN CC[C@@H](C)CN1CCC[C@H]1c1ncc[nH]1 ZINC000351063224 535513325 /nfs/dbraw/zinc/51/33/25/535513325.db2.gz LJQQZPPTOKOWPU-MNOVXSKESA-N 0 3 207.321 2.593 20 0 BFADHN C[C@@H]1C[C@H](N[C@H](c2nccn2C)C2CC2)[C@H]1C ZINC000495016852 533967510 /nfs/dbraw/zinc/96/75/10/533967510.db2.gz KTUILUNZNKAYHM-URBCHYCLSA-N 0 3 233.359 2.505 20 0 BFADHN C[C@H](N[C@H](CCO)c1ccco1)[C@H]1CC1(C)C ZINC000487575852 533994855 /nfs/dbraw/zinc/99/48/55/533994855.db2.gz RHRZLQBWBUBNOW-QJPTWQEYSA-N 0 3 237.343 2.727 20 0 BFADHN CCC[C@H](C)CN1CCN2CCCC[C@H]2C1 ZINC000342617378 535612094 /nfs/dbraw/zinc/61/20/94/535612094.db2.gz ASAJXTVGZASOFZ-KBPBESRZSA-N 0 3 224.392 2.593 20 0 BFADHN C[C@H]1COC(C)(C)CN1[C@H]1C=CCCC1 ZINC000488234751 534020601 /nfs/dbraw/zinc/02/06/01/534020601.db2.gz QJAKOMIHGGFIOW-RYUDHWBXSA-N 0 3 209.333 2.594 20 0 BFADHN C[C@H](CN1CCO[C@H](C2CC2)C1)c1ccccc1 ZINC000485832944 534068197 /nfs/dbraw/zinc/06/81/97/534068197.db2.gz SDSAQPOCRYGDMU-CJNGLKHVSA-N 0 3 245.366 2.901 20 0 BFADHN CCOC[C@H](C)N[C@H]1CCCc2ccc(O)cc21 ZINC000233736332 535690817 /nfs/dbraw/zinc/69/08/17/535690817.db2.gz DJZPFIJSVICADE-NHYWBVRUSA-N 0 3 249.354 2.784 20 0 BFADHN CCCCN(C)Cc1cnc(F)c(C)c1 ZINC000891449519 582688818 /nfs/dbraw/zinc/68/88/18/582688818.db2.gz YGXWRPMNKJAEKC-UHFFFAOYSA-N 0 3 210.296 2.761 20 0 BFADHN CC[C@@H](NCCCCOC)c1c(C)noc1C ZINC000182420547 535803676 /nfs/dbraw/zinc/80/36/76/535803676.db2.gz HDGHEBZJXUHUBD-GFCCVEGCSA-N 0 3 240.347 2.759 20 0 BFADHN CC(C)CN(C)Cc1cnn(CC2CCC2)c1 ZINC000891578757 582699348 /nfs/dbraw/zinc/69/93/48/582699348.db2.gz OKHJGSUQXAXQSL-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN CCOc1ccc(CN(C)[C@@H](C)C2CC2)o1 ZINC000891643138 582705387 /nfs/dbraw/zinc/70/53/87/582705387.db2.gz HTMSEQQKIJGIEY-JTQLQIEISA-N 0 3 223.316 2.909 20 0 BFADHN CC[C@H](C)[C@@H](C)N(Cc1cncn1C)C1CC1 ZINC000177051268 535826496 /nfs/dbraw/zinc/82/64/96/535826496.db2.gz TXDBWQSRZRBOGS-NWDGAFQWSA-N 0 3 235.375 2.819 20 0 BFADHN C[C@@H]1OCC[C@@]1(C)N[C@@H]1CCc2ccc(F)cc21 ZINC000494250008 534187977 /nfs/dbraw/zinc/18/79/77/534187977.db2.gz FQPQDYSQFOWMRV-COLVAYQJSA-N 0 3 249.329 2.970 20 0 BFADHN CCn1cc(CN2CCC[C@H](C)[C@@H]2C)c(C)n1 ZINC000891861632 582730422 /nfs/dbraw/zinc/73/04/22/582730422.db2.gz JAFVZXCETYUWIL-AAEUAGOBSA-N 0 3 235.375 2.832 20 0 BFADHN COC(=O)[C@@H](CC(C)C)N1CC[C@@H](C(C)C)C1 ZINC000509386842 534242081 /nfs/dbraw/zinc/24/20/81/534242081.db2.gz KSCQSSILSFUIBF-CHWSQXEVSA-N 0 3 241.375 2.552 20 0 BFADHN CC(C)(C)[C@H](O)CNC1(c2ccccc2)CC1 ZINC000343717179 517390061 /nfs/dbraw/zinc/39/00/61/517390061.db2.gz RTXIBLYLWPOACZ-CYBMUJFWSA-N 0 3 233.355 2.672 20 0 BFADHN CCOC[C@@H](NCc1ccc(C)nc1)C(C)C ZINC000233734916 517704851 /nfs/dbraw/zinc/70/48/51/517704851.db2.gz KOVICYHQRHWABW-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@@H](N[C@H]1CC1(C)C)c1cncc(F)c1 ZINC000225774320 518178479 /nfs/dbraw/zinc/17/84/79/518178479.db2.gz WVJBWFLKRKXODU-KCJUWKMLSA-N 0 3 208.280 2.670 20 0 BFADHN Cc1ccn2cc(CN[C@@H]3C[C@@H](C)[C@@H]3C)nc2c1 ZINC000495089913 534366211 /nfs/dbraw/zinc/36/62/11/534366211.db2.gz ZBZGVRGVGJMZGP-MBNYWOFBSA-N 0 3 243.354 2.777 20 0 BFADHN OC[C@H]1CCCCN1Cc1cc2ccccc2o1 ZINC000505908458 534395643 /nfs/dbraw/zinc/39/56/43/534395643.db2.gz XNYIHBGOEQTUOQ-CYBMUJFWSA-N 0 3 245.322 2.780 20 0 BFADHN C[C@@H](C1CC1)N1CCc2ccc(F)cc2C1 ZINC000782182453 582870489 /nfs/dbraw/zinc/87/04/89/582870489.db2.gz QTSWYJGWCFRMEF-JTQLQIEISA-N 0 3 219.303 2.982 20 0 BFADHN CC1(C)C[C@H]1C[NH2+]Cc1cc([O-])cc(F)c1 ZINC000225345630 534917587 /nfs/dbraw/zinc/91/75/87/534917587.db2.gz CDAQZJFEOSLJIJ-JTQLQIEISA-N 0 3 223.291 2.667 20 0 BFADHN CC(C)(C)/C=C/C(=O)Nc1ccc2c(c1)CNC2 ZINC000493051487 527551312 /nfs/dbraw/zinc/55/13/12/527551312.db2.gz OWYKSAWQOMLPSM-VOTSOKGWSA-N 0 3 244.338 2.831 20 0 BFADHN C/C=C/C=C\C(=O)Nc1cccc(CN(C)C)c1 ZINC000490575883 527613160 /nfs/dbraw/zinc/61/31/60/527613160.db2.gz KHGDXZUPNAEDIY-IGTFLHFFSA-N 0 3 244.338 2.819 20 0 BFADHN CC(C)CCN1CCOC[C@H]1Cc1ccccc1 ZINC000483190177 527726550 /nfs/dbraw/zinc/72/65/50/527726550.db2.gz ATCBOFWRFPNUMZ-MRXNPFEDSA-N 0 3 247.382 2.976 20 0 BFADHN CC(C)[C@H]1CCN1C/C=C\c1ccncc1 ZINC000495035421 527805177 /nfs/dbraw/zinc/80/51/77/527805177.db2.gz IJPIOPYAHGZVME-VQTKUKTRSA-N 0 3 216.328 2.825 20 0 BFADHN CCC[C@@H]1C[C@@H]1NCc1c(OC)cccc1OC ZINC000511766936 527831726 /nfs/dbraw/zinc/83/17/26/527831726.db2.gz AYFCJYSPXJGOLN-YPMHNXCESA-N 0 3 249.354 2.982 20 0 BFADHN CC(C)c1ccc(CN2C[C@H](O)C3(CC3)C2)cc1 ZINC000494663176 528095030 /nfs/dbraw/zinc/09/50/30/528095030.db2.gz UXOXSSKXDOGJPA-HNNXBMFYSA-N 0 3 245.366 2.767 20 0 BFADHN CCCCN[C@H](c1nc[nH]n1)C1CCCCC1 ZINC000494876843 528555917 /nfs/dbraw/zinc/55/59/17/528555917.db2.gz IMFRIKODGMXCKA-LBPRGKRZSA-N 0 3 236.363 2.816 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CCCC[C@H](C)C1 ZINC000481586229 528747282 /nfs/dbraw/zinc/74/72/82/528747282.db2.gz LBQFBHUZSHYBTF-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN CCCC[C@@H](CC)N1CCC[C@@H]1C(=O)OCC ZINC000468546226 528782666 /nfs/dbraw/zinc/78/26/66/528782666.db2.gz BJDLIVCMENWMSS-CHWSQXEVSA-N 0 3 241.375 2.983 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)CN(C)CCC ZINC000482631061 528789774 /nfs/dbraw/zinc/78/97/74/528789774.db2.gz BZIMWCRCULPJEV-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN CC(C)N(Cc1cc(Cl)cs1)C[C@H](C)O ZINC000494965667 528936674 /nfs/dbraw/zinc/93/66/74/528936674.db2.gz YQRXTRLWZBSCHC-VIFPVBQESA-N 0 3 247.791 2.993 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1Cc1ccccc1C ZINC000505642021 529309752 /nfs/dbraw/zinc/30/97/52/529309752.db2.gz VWIBSIDNGWPFLP-ZFWWWQNUSA-N 0 3 233.355 2.994 20 0 BFADHN CC[C@@H](C)CN(CC)[C@H](CC(C)C)C(=O)OC ZINC000509682327 529319266 /nfs/dbraw/zinc/31/92/66/529319266.db2.gz NYTUFRVCUZZPKM-CHWSQXEVSA-N 0 3 243.391 2.942 20 0 BFADHN CC[C@@H](C)N(C)Cc1c(C)nn(CC)c1C ZINC000507161067 529344913 /nfs/dbraw/zinc/34/49/13/529344913.db2.gz OYDSJJKGQBTQOP-SNVBAGLBSA-N 0 3 223.364 2.750 20 0 BFADHN CC[C@@H](C)N(CC(=O)OC)C[C@H](C)CC(C)C ZINC000499791579 529347561 /nfs/dbraw/zinc/34/75/61/529347561.db2.gz NKKWVOACVFGUMC-CHWSQXEVSA-N 0 3 243.391 2.942 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3nc4ccccc4o3)C[C@@H]21 ZINC000172957180 535043185 /nfs/dbraw/zinc/04/31/85/535043185.db2.gz CCERMEOYPZRWPM-PHIMTYICSA-N 0 3 242.322 2.916 20 0 BFADHN CC1(CNCc2cc(F)c(F)cc2F)CC1 ZINC000225292912 535151970 /nfs/dbraw/zinc/15/19/70/535151970.db2.gz MIAMJUPSWDVOTG-UHFFFAOYSA-N 0 3 229.245 2.994 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CCC12CCC2 ZINC000335944431 535157560 /nfs/dbraw/zinc/15/75/60/535157560.db2.gz XDTACIFYURAAFD-UHFFFAOYSA-N 0 3 233.359 2.836 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1ccn(C(C)C)n1 ZINC000342520880 535161140 /nfs/dbraw/zinc/16/11/40/535161140.db2.gz QNOSGGULKKIABU-GFCCVEGCSA-N 0 3 223.364 2.940 20 0 BFADHN CCC(C)(C)CNCc1cc(OC)cc(C)n1 ZINC000232907084 535353359 /nfs/dbraw/zinc/35/33/59/535353359.db2.gz ATLOZUDNTFCHPZ-UHFFFAOYSA-N 0 3 236.359 2.924 20 0 BFADHN CCC(C)(C)N(C)Cc1ccn(C(F)F)n1 ZINC000347709725 535355517 /nfs/dbraw/zinc/35/55/17/535355517.db2.gz USMLTDGBSSDPCV-UHFFFAOYSA-N 0 3 231.290 2.899 20 0 BFADHN CCC(C)(C)c1ccc(CN2CC[C@H](O)C2)cc1 ZINC000351755738 535367605 /nfs/dbraw/zinc/36/76/05/535367605.db2.gz ITKMAHIATVNQKF-HNNXBMFYSA-N 0 3 247.382 2.941 20 0 BFADHN CC[C@@H](C)CN1CCCC[C@@H]1c1cc[nH]n1 ZINC000352187304 535513483 /nfs/dbraw/zinc/51/34/83/535513483.db2.gz QWHVSJMNXZXWNF-DGCLKSJQSA-N 0 3 221.348 2.983 20 0 BFADHN CCOCCNCc1coc2ccccc12 ZINC000087780767 535626059 /nfs/dbraw/zinc/62/60/59/535626059.db2.gz SSSXDRAMMCWQQD-UHFFFAOYSA-N 0 3 219.284 2.559 20 0 BFADHN CCN(CC)[C@H](C)C(=O)Nc1cc(C)cc(C)c1 ZINC000079872080 535666418 /nfs/dbraw/zinc/66/64/18/535666418.db2.gz MPCMDWJYVNPBAC-CYBMUJFWSA-N 0 3 248.370 2.972 20 0 BFADHN CCN1CCN(Cc2sccc2C)[C@H](C)C1 ZINC000348542064 535723816 /nfs/dbraw/zinc/72/38/16/535723816.db2.gz AOMLZHLXQQABEQ-GFCCVEGCSA-N 0 3 238.400 2.583 20 0 BFADHN CCN1CCN(Cc2sccc2C)[C@@H](C)C1 ZINC000348542065 535723872 /nfs/dbraw/zinc/72/38/72/535723872.db2.gz AOMLZHLXQQABEQ-LBPRGKRZSA-N 0 3 238.400 2.583 20 0 BFADHN CCN(Cc1ccccc1SC)C[C@@H](C)O ZINC000352213124 535790349 /nfs/dbraw/zinc/79/03/49/535790349.db2.gz TVKIJTNLWZDHBG-LLVKDONJSA-N 0 3 239.384 2.611 20 0 BFADHN CC[C@H](NC[C@H]1CCCOC1)c1nccs1 ZINC000070717380 535911864 /nfs/dbraw/zinc/91/18/64/535911864.db2.gz YNVFRNLJDWSUIM-MNOVXSKESA-N 0 3 240.372 2.610 20 0 BFADHN CCc1c(C)nc2ccccc2c1N[C@@H](C)CO ZINC000165414014 536071220 /nfs/dbraw/zinc/07/12/20/536071220.db2.gz PHQKBOAQRMWHHD-JTQLQIEISA-N 0 3 244.338 2.898 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@H](C)C[C@H]1C ZINC000250326791 536111715 /nfs/dbraw/zinc/11/17/15/536111715.db2.gz AFZBMSVIRIZVQW-YNEHKIRRSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@H](C)CN(CC(N)=O)[C@@H](C)c1ccccc1 ZINC000350952983 536122769 /nfs/dbraw/zinc/12/27/69/536122769.db2.gz UGGQSXZIWXFOLU-STQMWFEESA-N 0 3 248.370 2.581 20 0 BFADHN CC[C@H](C)CNCc1ncc(Cl)s1 ZINC000223039066 536129636 /nfs/dbraw/zinc/12/96/36/536129636.db2.gz JEDTXOPIQYOAPU-ZETCQYMHSA-N 0 3 218.753 2.932 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC)C[C@@H]2C)cn1 ZINC000348333901 536179076 /nfs/dbraw/zinc/17/90/76/536179076.db2.gz CMGBKSWEELNGQJ-SWLSCSKDSA-N 0 3 248.370 2.643 20 0 BFADHN CO[C@@H]1CCN(Cc2coc3ccccc23)C1 ZINC000334412395 536689121 /nfs/dbraw/zinc/68/91/21/536689121.db2.gz LCDMLCQBXUEHOA-GFCCVEGCSA-N 0 3 231.295 2.654 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(C)nc2)CC1(C)C ZINC000336208859 536750161 /nfs/dbraw/zinc/75/01/61/536750161.db2.gz UQZCNRPMAOMRGH-AWEZNQCLSA-N 0 3 248.370 2.637 20 0 BFADHN CO[C@]1(C)CCCN(Cc2ccoc2C)C1 ZINC000335392094 536837100 /nfs/dbraw/zinc/83/71/00/536837100.db2.gz AGHQTXWRAGXZGG-CYBMUJFWSA-N 0 3 223.316 2.589 20 0 BFADHN CCn1cc(CNCCCCC(C)(C)C)nn1 ZINC000892915409 583008883 /nfs/dbraw/zinc/00/88/83/583008883.db2.gz BOKDHUQAWSGGCX-UHFFFAOYSA-N 0 3 238.379 2.604 20 0 BFADHN CCOc1ccc(CN[C@@H](C)CSC)o1 ZINC000893004006 583028364 /nfs/dbraw/zinc/02/83/64/583028364.db2.gz CZUBLNGZCUMKDS-VIFPVBQESA-N 0 3 229.345 2.519 20 0 BFADHN Cc1oc(C(C)(C)C)cc1CN(C)C[C@H](C)O ZINC000893547037 583162144 /nfs/dbraw/zinc/16/21/44/583162144.db2.gz VKAWKINYTVBGHJ-JTQLQIEISA-N 0 3 239.359 2.698 20 0 BFADHN COc1ccc(CNCC2CC(C)(C)C2)o1 ZINC000893875868 583238703 /nfs/dbraw/zinc/23/87/03/583238703.db2.gz VITHBHXZLCHYBW-UHFFFAOYSA-N 0 3 223.316 2.814 20 0 BFADHN Cc1ncoc1CN1CCC[C@H]1C(C)(C)C ZINC000893995258 583267507 /nfs/dbraw/zinc/26/75/07/583267507.db2.gz JEQKSKBIDCSOTO-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1n[nH]c(CN2C[C@@H](C)CC[C@@H]2C)c1C ZINC000894035474 583279774 /nfs/dbraw/zinc/27/97/74/583279774.db2.gz YWYNNPAXNWMXDL-UWVGGRQHSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1ncoc1CN(C)[C@H](C)C1(C)CC1 ZINC000894089725 583298258 /nfs/dbraw/zinc/29/82/58/583298258.db2.gz WJSGIIVNCYXDNO-SNVBAGLBSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1n[nH]c(CN(C)[C@H](C)C2(C)CC2)c1C ZINC000894088356 583298809 /nfs/dbraw/zinc/29/88/09/583298809.db2.gz LEVCCHRWURBPML-LLVKDONJSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1[nH]nc(CN(C)[C@H](C)C2(C)CC2)c1C ZINC000894088356 583298813 /nfs/dbraw/zinc/29/88/13/583298813.db2.gz LEVCCHRWURBPML-LLVKDONJSA-N 0 3 221.348 2.647 20 0 BFADHN CC(=O)C1CCN(CCCc2ccccc2)CC1 ZINC000111690710 588767482 /nfs/dbraw/zinc/76/74/82/588767482.db2.gz SQUXPOVDIAMYON-UHFFFAOYSA-N 0 3 245.366 2.920 20 0 BFADHN CCCCCC[C@@H](C)NCc1ccn(C)n1 ZINC000112337117 588794469 /nfs/dbraw/zinc/79/44/69/588794469.db2.gz WBGXLVPPEAZOAW-GFCCVEGCSA-N 0 3 223.364 2.869 20 0 BFADHN COCCCCCN[C@H](C)c1ccns1 ZINC000860053334 582499629 /nfs/dbraw/zinc/49/96/29/582499629.db2.gz AIPXJMZSRJPEGZ-SNVBAGLBSA-N 0 3 228.361 2.610 20 0 BFADHN C/C=C\C[C@H]1CCCN(CCOC(F)F)C1 ZINC000860185685 582513049 /nfs/dbraw/zinc/51/30/49/582513049.db2.gz RYJGVBLTRRHPGA-QUCGXOGASA-N 0 3 233.302 2.904 20 0 BFADHN CCN1CCc2cc(OC)c(OC)cc2[C@H]1C ZINC000140578961 582551369 /nfs/dbraw/zinc/55/13/69/582551369.db2.gz HOCSLMUKKBWEBB-SNVBAGLBSA-N 0 3 235.327 2.643 20 0 BFADHN CCc1ccccc1CN1C[C@H]2[C@@H](C1)C2(F)F ZINC000844461025 582592869 /nfs/dbraw/zinc/59/28/69/582592869.db2.gz ZKTQQBHRLUQSBQ-BETUJISGSA-N 0 3 237.293 2.946 20 0 BFADHN CN(Cc1c2c(nn1C)CCC2)C1CCCCC1 ZINC000891447584 582687447 /nfs/dbraw/zinc/68/74/47/582687447.db2.gz TXZAICIQPSZSQW-UHFFFAOYSA-N 0 3 247.386 2.673 20 0 BFADHN CCCCN(C)Cc1cnn(C)c1C1CC1 ZINC000891450626 582689674 /nfs/dbraw/zinc/68/96/74/582689674.db2.gz NDDJCNFJQSNLRD-UHFFFAOYSA-N 0 3 221.348 2.529 20 0 BFADHN CC(C)CCCCN(C)Cc1cnn(C)c1 ZINC000891510757 582694793 /nfs/dbraw/zinc/69/47/93/582694793.db2.gz ZIVVWNACCGLEQS-UHFFFAOYSA-N 0 3 223.364 2.678 20 0 BFADHN O=c1[nH]c2cccc(CN3C4CCC3CC4)c2o1 ZINC000891555502 582696884 /nfs/dbraw/zinc/69/68/84/582696884.db2.gz OZPSDKCHUCEOEY-UHFFFAOYSA-N 0 3 244.294 2.660 20 0 BFADHN Cc1cc(F)ncc1CN(C)CC(C)C ZINC000891578937 582698795 /nfs/dbraw/zinc/69/87/95/582698795.db2.gz QJWFLUCQYZYALK-UHFFFAOYSA-N 0 3 210.296 2.617 20 0 BFADHN CC(C)CCCCN1CCN(C2CC2)CC1 ZINC000891578779 582698961 /nfs/dbraw/zinc/69/89/61/582698961.db2.gz OUCUSYODHWUNGH-UHFFFAOYSA-N 0 3 224.392 2.593 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cnn(C)c1C1CC1 ZINC000891643737 582705686 /nfs/dbraw/zinc/70/56/86/582705686.db2.gz OBUIQAZKYYJKNZ-JTQLQIEISA-N 0 3 233.359 2.528 20 0 BFADHN COc1ccc(CN(C(C)C)C2CC2)o1 ZINC000891663173 582707478 /nfs/dbraw/zinc/70/74/78/582707478.db2.gz WCCFINYVSKDWDQ-UHFFFAOYSA-N 0 3 209.289 2.661 20 0 BFADHN Cc1ccc(CCN2CCCOCC2)cc1C ZINC000891718763 582713540 /nfs/dbraw/zinc/71/35/40/582713540.db2.gz ALXWMPZAPNSLOK-UHFFFAOYSA-N 0 3 233.355 2.568 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN(C)CC1CC1 ZINC000891736122 582715586 /nfs/dbraw/zinc/71/55/86/582715586.db2.gz GWVBCSJLMBDPOA-UHFFFAOYSA-N 0 3 235.375 2.923 20 0 BFADHN CC[C@@H]1CCCN1Cc1cccc2[nH]c(=O)oc21 ZINC000891779816 582720469 /nfs/dbraw/zinc/72/04/69/582720469.db2.gz PAEGPHWSVMBITQ-LLVKDONJSA-N 0 3 246.310 2.908 20 0 BFADHN C[C@@H]1CCN(Cc2cn(C)nc2C2CCCC2)C1 ZINC000891798324 582722802 /nfs/dbraw/zinc/72/28/02/582722802.db2.gz QBDSRPAVXYNJGD-GFCCVEGCSA-N 0 3 247.386 2.920 20 0 BFADHN CCn1cc(CN2CCC[C@@H](C)[C@H]2C)c(C)n1 ZINC000891861633 582730441 /nfs/dbraw/zinc/73/04/41/582730441.db2.gz JAFVZXCETYUWIL-DGCLKSJQSA-N 0 3 235.375 2.832 20 0 BFADHN c1cn(C2CC2)c(CN2CCC[C@@H]2C2CC2)n1 ZINC000891933234 582739826 /nfs/dbraw/zinc/73/98/26/582739826.db2.gz NNSNATOEAFLGRE-CYBMUJFWSA-N 0 3 231.343 2.592 20 0 BFADHN CCN1CCN(CCCCC(C)C)C[C@@H]1C ZINC000891993654 582755545 /nfs/dbraw/zinc/75/55/45/582755545.db2.gz VWILZMIBPSTFAT-AWEZNQCLSA-N 0 3 226.408 2.839 20 0 BFADHN CCCCN(CCOC)Cc1ccc(OC)o1 ZINC000892019027 582762456 /nfs/dbraw/zinc/76/24/56/582762456.db2.gz IOIBMZXVMOECSO-UHFFFAOYSA-N 0 3 241.331 2.537 20 0 BFADHN C[C@@H]1CCCN1Cc1cnn(CC2CCC2)c1 ZINC000892019259 582762469 /nfs/dbraw/zinc/76/24/69/582762469.db2.gz JHNPFKDDFXWVSC-GFCCVEGCSA-N 0 3 233.359 2.668 20 0 BFADHN C[C@@H]1CCCN1Cc1cnn(C2CCC2)c1 ZINC000892018643 582762862 /nfs/dbraw/zinc/76/28/62/582762862.db2.gz CLPHDTQOGMBVAM-LLVKDONJSA-N 0 3 219.332 2.592 20 0 BFADHN CN(Cc1cccc2[nH]c(=O)oc21)C1CCC1 ZINC000892058703 582778498 /nfs/dbraw/zinc/77/84/98/582778498.db2.gz DJXIPJQAPPSSAN-UHFFFAOYSA-N 0 3 232.283 2.518 20 0 BFADHN COc1ccc(CN2C[C@@H](C)CC[C@H]2C)o1 ZINC000892067590 582783038 /nfs/dbraw/zinc/78/30/38/582783038.db2.gz SADGRHYNBRTNGI-WDEREUQCSA-N 0 3 223.316 2.909 20 0 BFADHN Cc1cc(F)ncc1CN1CC[C@@H](C2CC2)C1 ZINC000892144814 582799431 /nfs/dbraw/zinc/79/94/31/582799431.db2.gz OKMIAEZKLVKXLV-GFCCVEGCSA-N 0 3 234.318 2.761 20 0 BFADHN COc1ccc(CN2CC[C@H](C3CC3)C2)o1 ZINC000892141318 582799464 /nfs/dbraw/zinc/79/94/64/582799464.db2.gz AIBIWNUPYZLWDQ-NSHDSACASA-N 0 3 221.300 2.520 20 0 BFADHN CC[C@]1(C)CCN(Cc2cn(C)nc2C2CC2)C1 ZINC000892146145 582800878 /nfs/dbraw/zinc/80/08/78/582800878.db2.gz MTHFSTLHHDDPBH-OAHLLOKOSA-N 0 3 247.386 2.920 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cnn(C2CCC2)c1 ZINC000892215216 582808330 /nfs/dbraw/zinc/80/83/30/582808330.db2.gz TZWSSXGFHGWMQD-NWDGAFQWSA-N 0 3 233.359 2.838 20 0 BFADHN CC[C@H]1CCN(Cc2cnc(F)cc2C)C1 ZINC000892224828 582810213 /nfs/dbraw/zinc/81/02/13/582810213.db2.gz ZVTJBCUGLKQCCG-NSHDSACASA-N 0 3 222.307 2.761 20 0 BFADHN CN(CCC1CC1)Cc1cn(C)nc1C1CC1 ZINC000892234002 582810868 /nfs/dbraw/zinc/81/08/68/582810868.db2.gz AHHNNZGJYLJDOD-UHFFFAOYSA-N 0 3 233.359 2.529 20 0 BFADHN CN(CCC1CC1)Cc1cn2ccnc2s1 ZINC000892236734 582811006 /nfs/dbraw/zinc/81/10/06/582811006.db2.gz UYMYNYOUSMDDCT-UHFFFAOYSA-N 0 3 235.356 2.628 20 0 BFADHN COc1ccccc1CN[C@H](C)[C@@H]1CCCCO1 ZINC000393593761 582812300 /nfs/dbraw/zinc/81/23/00/582812300.db2.gz AOICRYXHYOZQKC-OCCSQVGLSA-N 0 3 249.354 2.742 20 0 BFADHN CC[C@H]1COCCN1C[C@@H]1CCc2ccccc21 ZINC000780288979 582827068 /nfs/dbraw/zinc/82/70/68/582827068.db2.gz BFTPMIRYSXOLCJ-GJZGRUSLSA-N 0 3 245.366 2.827 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2CN1C[C@H]2CC[C@@H](C1)O2 ZINC000780293407 582827400 /nfs/dbraw/zinc/82/74/00/582827400.db2.gz VLFMLOHQSHMCTM-KFWWJZLASA-N 0 3 243.350 2.580 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@@H]1CCCOCC1 ZINC000092328437 582855399 /nfs/dbraw/zinc/85/53/99/582855399.db2.gz DLJGWCUAWZIVPO-BXKDBHETSA-N 0 3 238.331 2.511 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cnn(C2CCC2)c1 ZINC000892402526 582876882 /nfs/dbraw/zinc/87/68/82/582876882.db2.gz CJQORQNQWJEFAD-AWEZNQCLSA-N 0 3 233.359 2.838 20 0 BFADHN COc1ccc(CNCCc2ccccc2F)o1 ZINC000892413133 582881855 /nfs/dbraw/zinc/88/18/55/582881855.db2.gz OJHGCINAOLCOHP-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN COc1ccc(CNCCc2ccccc2)o1 ZINC000892432721 582886408 /nfs/dbraw/zinc/88/64/08/582886408.db2.gz VKPAZFGUBZSKKF-UHFFFAOYSA-N 0 3 231.295 2.621 20 0 BFADHN CCn1cc(CNCCc2cccs2)c(C)n1 ZINC000892440463 582887627 /nfs/dbraw/zinc/88/76/27/582887627.db2.gz DDHVZSRMKWTSJI-UHFFFAOYSA-N 0 3 249.383 2.605 20 0 BFADHN CCC[C@@H](C)NCc1ccc(OCC)o1 ZINC000892440389 582887745 /nfs/dbraw/zinc/88/77/45/582887745.db2.gz ALXFEKLOWGJLNK-SNVBAGLBSA-N 0 3 211.305 2.957 20 0 BFADHN C[C@@H]([NH2+]Cc1cc([O-])cc(Cl)c1)C1CC1 ZINC000892449796 582889648 /nfs/dbraw/zinc/88/96/48/582889648.db2.gz ZHYSQICVGLCGIT-MRVPVSSYSA-N 0 3 225.719 2.934 20 0 BFADHN CCC(CC)CNCc1ccc(OC)o1 ZINC000892505583 582900467 /nfs/dbraw/zinc/90/04/67/582900467.db2.gz CPLINYJJRGNVSO-UHFFFAOYSA-N 0 3 211.305 2.814 20 0 BFADHN COc1ccc(CNCCC(C)(C)C)nc1 ZINC000892521725 582906122 /nfs/dbraw/zinc/90/61/22/582906122.db2.gz CKLOUWNOKJWERI-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN c1ccc2oc(CNCC3CCC3)nc2c1 ZINC000393762686 582923066 /nfs/dbraw/zinc/92/30/66/582923066.db2.gz YUNRACIDQOUGII-UHFFFAOYSA-N 0 3 216.284 2.718 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H]1C=CCC1 ZINC000850510074 582923505 /nfs/dbraw/zinc/92/35/05/582923505.db2.gz RHGDLZBAXQMAEW-VXGBXAGGSA-N 0 3 202.301 2.759 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ccc(OC)o1 ZINC000892676657 582950131 /nfs/dbraw/zinc/95/01/31/582950131.db2.gz OQJBUDVCGIRYGS-NXEZZACHSA-N 0 3 211.305 2.812 20 0 BFADHN Cc1cccc2nc(CN[C@H]3CC[C@H]3C)cn21 ZINC000783239738 582951822 /nfs/dbraw/zinc/95/18/22/582951822.db2.gz MIEXTVUOALUAFZ-MFKMUULPSA-N 0 3 229.327 2.531 20 0 BFADHN CC(=O)OC[C@@H](C)N[C@@H]1CCc2cc(C)ccc21 ZINC000892681267 582952688 /nfs/dbraw/zinc/95/26/88/582952688.db2.gz YDQYELPYTDHZKL-IAQYHMDHSA-N 0 3 247.338 2.524 20 0 BFADHN COCc1ccc(CN[C@@H]2CCC(F)(F)C2)o1 ZINC000394558770 582994661 /nfs/dbraw/zinc/99/46/61/582994661.db2.gz GVCMMXBADZFCDR-SECBINFHSA-N 0 3 245.269 2.703 20 0 BFADHN CCCC(C)(C)NCc1cn(C)nc1Cl ZINC000856769491 588876762 /nfs/dbraw/zinc/87/67/62/588876762.db2.gz OUUMKKMCRWXEAQ-UHFFFAOYSA-N 0 3 229.755 2.742 20 0 BFADHN C[C@H](CNCc1cn(C)nc1Cl)C(C)(C)C ZINC000856771117 588876768 /nfs/dbraw/zinc/87/67/68/588876768.db2.gz ZZOQMAHNSAVYDV-SECBINFHSA-N 0 3 243.782 2.845 20 0 BFADHN Cn1cc(CNCC2(C)CCCC2)c(Cl)n1 ZINC000856769324 588876778 /nfs/dbraw/zinc/87/67/78/588876778.db2.gz LESXJMAJTWCAJN-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN Nc1cc(N[C@@H]2CCC(F)(F)C2)c(Cl)cn1 ZINC000892938359 583012627 /nfs/dbraw/zinc/01/26/27/583012627.db2.gz MHMFMLBAOSPBQF-ZCFIWIBFSA-N 0 3 247.676 2.917 20 0 BFADHN Cn1cc(CNCCCC(C)(C)C)c(Cl)n1 ZINC000856769766 588876798 /nfs/dbraw/zinc/87/67/98/588876798.db2.gz ANUHQNRGZAUQRV-UHFFFAOYSA-N 0 3 243.782 2.989 20 0 BFADHN C[C@@H](O)CCNCc1ccc(C(F)F)c(F)c1 ZINC000892969325 583023314 /nfs/dbraw/zinc/02/33/14/583023314.db2.gz RJAOGQGPQOLQLU-MRVPVSSYSA-N 0 3 247.260 2.624 20 0 BFADHN CCCC[C@H](COC)NCc1ccc(OC)o1 ZINC000893000281 583026618 /nfs/dbraw/zinc/02/66/18/583026618.db2.gz GYMTWPKXPIAPGZ-LLVKDONJSA-N 0 3 241.331 2.583 20 0 BFADHN COc1ccc(CN[C@H](C)CCSC)o1 ZINC000893004568 583028806 /nfs/dbraw/zinc/02/88/06/583028806.db2.gz WFJFKIACACUVFQ-SECBINFHSA-N 0 3 229.345 2.519 20 0 BFADHN CCOc1ccc(CNCCCSC)o1 ZINC000893008670 583029563 /nfs/dbraw/zinc/02/95/63/583029563.db2.gz MYTANQYFYYITBK-UHFFFAOYSA-N 0 3 229.345 2.521 20 0 BFADHN CCCSC[C@@H](C)N[C@H](C)c1cnn(C)c1 ZINC000893032097 583034183 /nfs/dbraw/zinc/03/41/83/583034183.db2.gz HRMPYMOHVQNGPS-GHMZBOCLSA-N 0 3 241.404 2.602 20 0 BFADHN CCC1(CNCc2cn(C)nc2Cl)CCC1 ZINC000856771487 588876871 /nfs/dbraw/zinc/87/68/71/588876871.db2.gz GMOZEFMCIWPTKE-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN Cn1nc(CNC2CC(C)(C)C2)c2ccccc21 ZINC000395175974 583047747 /nfs/dbraw/zinc/04/77/47/583047747.db2.gz JYHLVUWLDFVYNB-UHFFFAOYSA-N 0 3 243.354 2.852 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1ccc(OC)o1 ZINC000893108406 583050361 /nfs/dbraw/zinc/05/03/61/583050361.db2.gz OHDAGKRSMAVPQW-CMPLNLGQSA-N 0 3 223.316 2.957 20 0 BFADHN [O-]c1cc(Cl)cc(C[NH2+]C2CC=CC2)c1 ZINC000893133002 583055156 /nfs/dbraw/zinc/05/51/56/583055156.db2.gz XPGZYJNTRZVOPQ-UHFFFAOYSA-N 0 3 223.703 2.854 20 0 BFADHN Cc1cc(CN[C@@H]2CCC(F)(F)C2)cnc1F ZINC000893149443 583057601 /nfs/dbraw/zinc/05/76/01/583057601.db2.gz FLFVJOIUSABXOL-SNVBAGLBSA-N 0 3 244.260 2.807 20 0 BFADHN CCOc1ccc(CNCC2(C3CC3)CC2)o1 ZINC000893189062 583067496 /nfs/dbraw/zinc/06/74/96/583067496.db2.gz KGXVEBIRNDQILT-UHFFFAOYSA-N 0 3 235.327 2.958 20 0 BFADHN COc1ccc(CNc2cc(C)cc(C)n2)o1 ZINC000893202832 583070493 /nfs/dbraw/zinc/07/04/93/583070493.db2.gz OWPOZJQIQNCMGE-UHFFFAOYSA-N 0 3 232.283 2.912 20 0 BFADHN COc1nccc(CN[C@@H](C)C2CCC2)c1F ZINC000893255745 583078956 /nfs/dbraw/zinc/07/89/56/583078956.db2.gz OQKGJLQEGPGJTO-VIFPVBQESA-N 0 3 238.306 2.508 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCSC2)c(C)n1 ZINC000390456430 583109906 /nfs/dbraw/zinc/10/99/06/583109906.db2.gz DARTVNMWALIDRE-NEPJUHHUSA-N 0 3 236.384 2.855 20 0 BFADHN COc1ccc(CNC2Cc3ccccc3C2)o1 ZINC000893600600 583170607 /nfs/dbraw/zinc/17/06/07/583170607.db2.gz DFRCRHDSKDAFKV-UHFFFAOYSA-N 0 3 243.306 2.545 20 0 BFADHN C[C@H](NC[C@@H]1Cc2ccccc2O1)c1ccoc1 ZINC000094869084 583170978 /nfs/dbraw/zinc/17/09/78/583170978.db2.gz NCVDCMYNUHSGIM-FZMZJTMJSA-N 0 3 243.306 2.934 20 0 BFADHN C[C@H](NC[C@H]1Cc2ccccc2O1)c1ccoc1 ZINC000094869086 583171316 /nfs/dbraw/zinc/17/13/16/583171316.db2.gz NCVDCMYNUHSGIM-SMDDNHRTSA-N 0 3 243.306 2.934 20 0 BFADHN Cc1nccnc1CN1CCC2(CCCC2)C1 ZINC000857021171 588882439 /nfs/dbraw/zinc/88/24/39/588882439.db2.gz KIIQWJTTYDFVHQ-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cn(C)nc1Cl ZINC000857023127 588882731 /nfs/dbraw/zinc/88/27/31/588882731.db2.gz YXBWJPBSIQCFCE-KOLCDFICSA-N 0 3 241.766 2.694 20 0 BFADHN C[C@H]1CCCCN(Cc2c3c(nn2C)CCC3)C1 ZINC000893679317 583196322 /nfs/dbraw/zinc/19/63/22/583196322.db2.gz QRUCIJGESYUKGC-LBPRGKRZSA-N 0 3 247.386 2.531 20 0 BFADHN Cc1nccnc1CN1CCCCCCCC1 ZINC000857047645 588884146 /nfs/dbraw/zinc/88/41/46/588884146.db2.gz PSNIQWAXHYZOLY-UHFFFAOYSA-N 0 3 233.359 2.941 20 0 BFADHN Clc1ccc2c(n1)[C@H](N[C@H]1CC13CC3)CC2 ZINC000857917136 583213937 /nfs/dbraw/zinc/21/39/37/583213937.db2.gz WAPWZUXRWJXGFU-ZJUUUORDSA-N 0 3 234.730 2.864 20 0 BFADHN CCOc1ccc(CN[C@H]2CC[C@H](C)C2)o1 ZINC000893866624 583234135 /nfs/dbraw/zinc/23/41/35/583234135.db2.gz KMWJXUHJDSVABH-QWRGUYRKSA-N 0 3 223.316 2.957 20 0 BFADHN CCCCN(C)Cc1cnc2onc(C)c2c1 ZINC000893872398 583236748 /nfs/dbraw/zinc/23/67/48/583236748.db2.gz SKVUHXHYQCDKOC-UHFFFAOYSA-N 0 3 233.315 2.763 20 0 BFADHN Cc1noc2ncc(CN3CCCC[C@H]3C)cc12 ZINC000893872822 583236795 /nfs/dbraw/zinc/23/67/95/583236795.db2.gz VIRORMGQCXQFFU-SNVBAGLBSA-N 0 3 245.326 2.906 20 0 BFADHN Cc1ncoc1CN([C@H](C)C(C)C)C1CC1 ZINC000893871356 583237640 /nfs/dbraw/zinc/23/76/40/583237640.db2.gz KZIBGGIVRMDKTD-LLVKDONJSA-N 0 3 222.332 2.992 20 0 BFADHN CCN(Cc1cnc2onc(C)c2c1)C(C)C ZINC000893921661 583247829 /nfs/dbraw/zinc/24/78/29/583247829.db2.gz OWABZWAUOGHBPP-UHFFFAOYSA-N 0 3 233.315 2.762 20 0 BFADHN CCCCCN(CCO)Cc1cc(C)co1 ZINC000893921775 583248419 /nfs/dbraw/zinc/24/84/19/583248419.db2.gz QGCGGHUAPJFDTK-UHFFFAOYSA-N 0 3 225.332 2.573 20 0 BFADHN CSc1ccc(CN(C)[C@H]2CCSC2)o1 ZINC000893929683 583249917 /nfs/dbraw/zinc/24/99/17/583249917.db2.gz VVSDAJRKWXQWQX-VIFPVBQESA-N 0 3 243.397 2.939 20 0 BFADHN Cc1ccnc(N)c1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000893929593 583250465 /nfs/dbraw/zinc/25/04/65/583250465.db2.gz UZIRLUCKNRARLV-DGCLKSJQSA-N 0 3 247.386 2.983 20 0 BFADHN Cc1ccnc(N)c1CN(C)[C@H]1CCC[C@H](C)C1 ZINC000893929592 583250656 /nfs/dbraw/zinc/25/06/56/583250656.db2.gz UZIRLUCKNRARLV-AAEUAGOBSA-N 0 3 247.386 2.983 20 0 BFADHN CCCCN(Cc1ocnc1C)C1CC1 ZINC000893940618 583255162 /nfs/dbraw/zinc/25/51/62/583255162.db2.gz QNWFMCYXRAUNKL-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN Cc1n[nH]c(CN([C@H](C)C2CC2)C2CC2)c1C ZINC000893952544 583261129 /nfs/dbraw/zinc/26/11/29/583261129.db2.gz KKTNAVLSNXHGBX-LLVKDONJSA-N 0 3 233.359 2.789 20 0 BFADHN Cc1ncoc1CN1CCC[C@H](C)CC1 ZINC000893978086 583265776 /nfs/dbraw/zinc/26/57/76/583265776.db2.gz OVMPBAHJEIOTSF-JTQLQIEISA-N 0 3 208.305 2.605 20 0 BFADHN Cc1coc(CN2C[C@@H](C)S[C@H](C)C2)c1 ZINC000893978723 583266065 /nfs/dbraw/zinc/26/60/65/583266065.db2.gz VOZBXQUUDBFSBW-GHMZBOCLSA-N 0 3 225.357 2.914 20 0 BFADHN Cc1ncoc1CN1CCC[C@H](C)[C@H]1C ZINC000893986768 583269179 /nfs/dbraw/zinc/26/91/79/583269179.db2.gz MJZXVZJICAAADY-GXSJLCMTSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1n[nH]c(CN2CCC[C@@H](C)[C@H]2C)c1C ZINC000893988050 583270010 /nfs/dbraw/zinc/27/00/10/583270010.db2.gz YBCLDGLONMZAQU-BXKDBHETSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1ncoc1CN1CC[C@H](c2ccco2)C1 ZINC000894020618 583275040 /nfs/dbraw/zinc/27/50/40/583275040.db2.gz JOUQKXYEGKAFES-NSHDSACASA-N 0 3 232.283 2.566 20 0 BFADHN Cc1[nH]nc(CN2CCC(C(F)F)CC2)c1C ZINC000894032070 583276706 /nfs/dbraw/zinc/27/67/06/583276706.db2.gz BVRHNXOSFUJRDY-UHFFFAOYSA-N 0 3 243.301 2.504 20 0 BFADHN COc1ccc(CN[C@@H]2CC23CCCC3)o1 ZINC000894034074 583278872 /nfs/dbraw/zinc/27/88/72/583278872.db2.gz JUKREFCHZNKZGR-LLVKDONJSA-N 0 3 221.300 2.711 20 0 BFADHN Cc1coc(CN2CCC(OCC3CC3)CC2)c1 ZINC000894068123 583294143 /nfs/dbraw/zinc/29/41/43/583294143.db2.gz PPGRWRFKIRHBIN-UHFFFAOYSA-N 0 3 249.354 2.979 20 0 BFADHN CCSCC[C@@H](C)N(C)Cc1ocnc1C ZINC000894086001 583295076 /nfs/dbraw/zinc/29/50/76/583295076.db2.gz XSDHHTYVOPYREH-SNVBAGLBSA-N 0 3 242.388 2.947 20 0 BFADHN Cc1coc(CN2CCC3(C2)CCOCC3)c1 ZINC000894083293 583295093 /nfs/dbraw/zinc/29/50/93/583295093.db2.gz CSRGYPVFFMLMSB-UHFFFAOYSA-N 0 3 235.327 2.591 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc3oc(=O)oc3c2)C1 ZINC000894088593 583298574 /nfs/dbraw/zinc/29/85/74/583298574.db2.gz MCGFRQYFHSGTEB-SNVBAGLBSA-N 0 3 247.294 2.618 20 0 BFADHN CC[C@@H]1CN(C/C=C\c2cccc(F)c2)C[C@H]1O ZINC000894096495 583299575 /nfs/dbraw/zinc/29/95/75/583299575.db2.gz XGHCVBRBRCIKFS-AVXNYWLKSA-N 0 3 249.329 2.542 20 0 BFADHN CC(C)C1CN(C[C@@H]2C[C@@]2(C)Br)C1 ZINC000894133739 583313556 /nfs/dbraw/zinc/31/35/56/583313556.db2.gz IKLIJZDXZATYMQ-WDEREUQCSA-N 0 3 246.192 2.748 20 0 BFADHN COc1cnc(F)c(CN[C@H]2CC[C@@H](C)C2)c1 ZINC000877460583 583313881 /nfs/dbraw/zinc/31/38/81/583313881.db2.gz VSNXIEHKUYLOFP-KOLCDFICSA-N 0 3 238.306 2.508 20 0 BFADHN Cc1ccccc1CNCc1c(C)ccnc1N ZINC000894141763 583316771 /nfs/dbraw/zinc/31/67/71/583316771.db2.gz VUAWPOPGTMAKQM-UHFFFAOYSA-N 0 3 241.338 2.570 20 0 BFADHN CC(C)c1ccc(CCNCc2c[nH]cn2)cc1 ZINC000894150365 583319127 /nfs/dbraw/zinc/31/91/27/583319127.db2.gz IPFPRCMHQRAJIM-UHFFFAOYSA-N 0 3 243.354 2.865 20 0 BFADHN Cc1ncoc1CN[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000894149776 583319150 /nfs/dbraw/zinc/31/91/50/583319150.db2.gz OPJCYPPTCLAVGI-CKYFFXLPSA-N 0 3 222.332 2.897 20 0 BFADHN c1nc(CNCCCCc2ccccc2)c[nH]1 ZINC000894159388 583320326 /nfs/dbraw/zinc/32/03/26/583320326.db2.gz AQFKCLIWAXWADJ-UHFFFAOYSA-N 0 3 229.327 2.522 20 0 BFADHN C[C@]1(C(F)(F)F)CCN(C[C@H]2CCC=CO2)C1 ZINC000877812619 583348494 /nfs/dbraw/zinc/34/84/94/583348494.db2.gz RQGQFQSCOFPLTK-MNOVXSKESA-N 0 3 249.276 2.953 20 0 BFADHN Cc1ncoc1CNC1[C@@H](C)CCC[C@@H]1C ZINC000894207537 583352429 /nfs/dbraw/zinc/35/24/29/583352429.db2.gz YRKVCUATGORWEC-UWVGGRQHSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@H]1CSCCN1C[C@@H]1CCC2(CCC2)O1 ZINC000878120371 583362280 /nfs/dbraw/zinc/36/22/80/583362280.db2.gz HGYAAWNSDKJCIR-RYUDHWBXSA-N 0 3 241.400 2.525 20 0 BFADHN CSCCCN(C)Cc1cnc(F)c(C)c1 ZINC000894244179 583388910 /nfs/dbraw/zinc/38/89/10/583388910.db2.gz DBCZGCZGIWBFBX-UHFFFAOYSA-N 0 3 242.363 2.714 20 0 BFADHN Cc1oc(C(C)(C)C)cc1CN(C)CCCO ZINC000894253973 583393559 /nfs/dbraw/zinc/39/35/59/583393559.db2.gz BZMWYVYWGNTHSX-UHFFFAOYSA-N 0 3 239.359 2.700 20 0 BFADHN CN(CCCO)Cc1ccc(C(F)F)c(F)c1 ZINC000894254962 583393946 /nfs/dbraw/zinc/39/39/46/583393946.db2.gz LCNQZYPCARZZCY-UHFFFAOYSA-N 0 3 247.260 2.578 20 0 BFADHN CCSCCCNCc1c(C)noc1CC ZINC000894258092 583395602 /nfs/dbraw/zinc/39/56/02/583395602.db2.gz IOFHIELOMZRANQ-UHFFFAOYSA-N 0 3 242.388 2.778 20 0 BFADHN CO[C@@H]1CC[C@H](C)N(Cc2ccccc2F)C1 ZINC000879318475 583422890 /nfs/dbraw/zinc/42/28/90/583422890.db2.gz VDVNJWSRSICHMW-WCQYABFASA-N 0 3 237.318 2.825 20 0 BFADHN CC[C@H]1CCN1Cc1ccc2c(c1)CCO2 ZINC000292702736 583434493 /nfs/dbraw/zinc/43/44/93/583434493.db2.gz CLGLEQPLIVODIS-ZDUSSCGKSA-N 0 3 217.312 2.606 20 0 BFADHN COc1cc(C)cc(CN[C@H]2COCC2(C)C)c1 ZINC000894356493 583436055 /nfs/dbraw/zinc/43/60/55/583436055.db2.gz KIYWIKGLDYKYDE-AWEZNQCLSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1ncoc1CNC1(C)Cc2ccccc2C1 ZINC000894360182 583436348 /nfs/dbraw/zinc/43/63/48/583436348.db2.gz XNTVILQQNIEEIX-UHFFFAOYSA-N 0 3 242.322 2.630 20 0 BFADHN C[C@@]1(NCc2nccc3ccccc32)CCOC1 ZINC000894362940 583437693 /nfs/dbraw/zinc/43/76/93/583437693.db2.gz ZPVDANUEYTYMBA-OAHLLOKOSA-N 0 3 242.322 2.503 20 0 BFADHN CCn1cc(CN2CCC3(CCC3)CC2)c(C)n1 ZINC000894421232 583455302 /nfs/dbraw/zinc/45/53/02/583455302.db2.gz BOFATISRZRZZJX-UHFFFAOYSA-N 0 3 247.386 2.978 20 0 BFADHN CC(C)C1(O)CN(CCC2CCCCC2)C1 ZINC000300717233 583459953 /nfs/dbraw/zinc/45/99/53/583459953.db2.gz NYOMDFRURYIEDW-UHFFFAOYSA-N 0 3 225.376 2.660 20 0 BFADHN Cc1ncoc1CN1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000894440106 583460225 /nfs/dbraw/zinc/46/02/25/583460225.db2.gz UGAXEUGIISNSEL-RISCZKNCSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1cc(F)ncc1CNCCSC(C)C ZINC000894444747 583461249 /nfs/dbraw/zinc/46/12/49/583461249.db2.gz ZQYQUXGSFAYQKN-UHFFFAOYSA-N 0 3 242.363 2.760 20 0 BFADHN C[C@@H](CO)N(C)Cc1ccc(C(F)F)c(F)c1 ZINC000894465446 583465926 /nfs/dbraw/zinc/46/59/26/583465926.db2.gz VYQHQRUOAVIAJW-QMMMGPOBSA-N 0 3 247.260 2.576 20 0 BFADHN CN(C/C=C/c1cccc(F)c1)CC1(O)CCC1 ZINC000894461326 583466710 /nfs/dbraw/zinc/46/67/10/583466710.db2.gz IWIOTUOCTZBEMV-ZZXKWVIFSA-N 0 3 249.329 2.686 20 0 BFADHN C[C@@H]1CN(C/C=C\c2cccc(F)c2)CC[C@H]1O ZINC000894497743 583473232 /nfs/dbraw/zinc/47/32/32/583473232.db2.gz PTBNDIFEXYAAIQ-KUXCDZRCSA-N 0 3 249.329 2.542 20 0 BFADHN C[C@@H]1CCN(C/C=C\c2cccc(F)c2)[C@@H]1CO ZINC000894535105 583478811 /nfs/dbraw/zinc/47/88/11/583478811.db2.gz WTYCQHZLBPWDQD-KUXCDZRCSA-N 0 3 249.329 2.542 20 0 BFADHN OCCN(C/C=C\c1cccc(F)c1)C1CCC1 ZINC000894532916 583478917 /nfs/dbraw/zinc/47/89/17/583478917.db2.gz KAVKWUYENVDOEK-HYXAFXHYSA-N 0 3 249.329 2.686 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1nccc2ccccc21 ZINC000894534085 583478971 /nfs/dbraw/zinc/47/89/71/583478971.db2.gz XSHJEPWHPBYPAH-RYUDHWBXSA-N 0 3 244.338 2.748 20 0 BFADHN Cc1coc(CN2CCSCC[C@@H]2C)c1 ZINC000894554877 583483359 /nfs/dbraw/zinc/48/33/59/583483359.db2.gz ALZBZERZMHQOLN-NSHDSACASA-N 0 3 225.357 2.915 20 0 BFADHN COc1ccc(CN2CC(C3CC3)C2)c(C)c1 ZINC000894567210 583484884 /nfs/dbraw/zinc/48/48/84/583484884.db2.gz UMGNKAIIMLGBJF-UHFFFAOYSA-N 0 3 231.339 2.845 20 0 BFADHN COCC[C@@H]1COCCN1CCCCC(C)C ZINC000894571558 583485939 /nfs/dbraw/zinc/48/59/39/583485939.db2.gz UVOBRQOWNNKLQW-CQSZACIVSA-N 0 3 243.391 2.550 20 0 BFADHN CCc1onc(C)c1CNCC1CC(F)(F)C1 ZINC000894580903 583488665 /nfs/dbraw/zinc/48/86/65/583488665.db2.gz GOTXHWIHMOMCCS-UHFFFAOYSA-N 0 3 244.285 2.680 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@@H](C)CF)C1(C)C ZINC000894601137 583491577 /nfs/dbraw/zinc/49/15/77/583491577.db2.gz QYOZXSNLRWUIMY-AXFHLTTASA-N 0 3 217.328 2.526 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN1C[C@H](C)[C@@H]1C ZINC000894629217 583496840 /nfs/dbraw/zinc/49/68/40/583496840.db2.gz WGQNKVWQMRQIBO-JQWIXIFHSA-N 0 3 235.375 2.921 20 0 BFADHN Fc1ccc(CCN2CC[C@H]2C2CC2)cc1F ZINC000894687304 583506823 /nfs/dbraw/zinc/50/68/23/583506823.db2.gz BJQQYVOXFLQNKH-AWEZNQCLSA-N 0 3 237.293 2.992 20 0 BFADHN CCc1onc(C)c1CN1CC[C@H]1C1CC1 ZINC000894690981 583508918 /nfs/dbraw/zinc/50/89/18/583508918.db2.gz WJDBOWUEPJWMHZ-LBPRGKRZSA-N 0 3 220.316 2.530 20 0 BFADHN Oc1ccc(CN2CC[C@@H]2C2CC2)cc1F ZINC000894689464 583509057 /nfs/dbraw/zinc/50/90/57/583509057.db2.gz MQUADYWBXUJJSN-GFCCVEGCSA-N 0 3 221.275 2.516 20 0 BFADHN Cc1nnc(CNC23CCC(CC2)CC3)s1 ZINC000894737413 583515032 /nfs/dbraw/zinc/51/50/32/583515032.db2.gz XWBLUBUOACUMMB-UHFFFAOYSA-N 0 3 237.372 2.659 20 0 BFADHN Cc1ccnc(N)c1CN1CCC2(CCC2)CC1 ZINC000894749813 583516005 /nfs/dbraw/zinc/51/60/05/583516005.db2.gz LGHLLWZEGIOFDF-UHFFFAOYSA-N 0 3 245.370 2.738 20 0 BFADHN CCOC1CC2(C1)CCN(Cc1cc(C)co1)C2 ZINC000894750019 583516188 /nfs/dbraw/zinc/51/61/88/583516188.db2.gz PLYYSBCFYQRNRW-UHFFFAOYSA-N 0 3 249.354 2.979 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCC[C@@H]2C(C)C)[n-]1 ZINC000894774731 583517552 /nfs/dbraw/zinc/51/75/52/583517552.db2.gz WJXQIJSLDRVEEV-WCQGTBRESA-N 0 3 236.363 2.588 20 0 BFADHN Cc1coc(CNCC[C@H](O)c2ccccc2)c1 ZINC000894779181 583519540 /nfs/dbraw/zinc/51/95/40/583519540.db2.gz WFCDVRPHNIRHGP-HNNXBMFYSA-N 0 3 245.322 2.801 20 0 BFADHN CCOc1ccc(CNC[C@@H]2C[C@H]2C2CC2)o1 ZINC000894779065 583519693 /nfs/dbraw/zinc/51/96/93/583519693.db2.gz UFRLVFWRIPAOJM-AAEUAGOBSA-N 0 3 235.327 2.814 20 0 BFADHN Cc1n[nH]c(CNC2C(C)(C)C2(C)C)c1C ZINC000894779642 583519733 /nfs/dbraw/zinc/51/97/33/583519733.db2.gz KVWKRSFALMXBEY-UHFFFAOYSA-N 0 3 221.348 2.551 20 0 BFADHN CCOC1CC(N(C)Cc2cc(C)co2)C1 ZINC000894781679 583520680 /nfs/dbraw/zinc/52/06/80/583520680.db2.gz QWCCJQLHERSSNI-UHFFFAOYSA-N 0 3 223.316 2.587 20 0 BFADHN FC(F)C1CN(C[C@@H]2CCc3ccccc32)C1 ZINC000894827389 583526771 /nfs/dbraw/zinc/52/67/71/583526771.db2.gz BQVVDRDPDLQLJD-NSHDSACASA-N 0 3 237.293 2.913 20 0 BFADHN CC(C)(C)C1CN(Cc2cn3ccnc3s2)C1 ZINC000894844279 583530454 /nfs/dbraw/zinc/53/04/54/583530454.db2.gz YNDDUIGNTOASJK-UHFFFAOYSA-N 0 3 249.383 2.874 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cn2ccnc2s1 ZINC000894855927 583532385 /nfs/dbraw/zinc/53/23/85/583532385.db2.gz WVDAAINEQVEHDI-ZYHUDNBSSA-N 0 3 249.383 2.920 20 0 BFADHN Fc1ccc([C@H]2C[C@H](NCc3c[nH]cn3)C2)cc1 ZINC000894855829 583532559 /nfs/dbraw/zinc/53/25/59/583532559.db2.gz SUNKRVLGKOEKJI-AULYBMBSSA-N 0 3 245.301 2.585 20 0 BFADHN Fc1ccc([C@H]2C[C@H](NCc3cnc[nH]3)C2)cc1 ZINC000894855829 583532562 /nfs/dbraw/zinc/53/25/62/583532562.db2.gz SUNKRVLGKOEKJI-AULYBMBSSA-N 0 3 245.301 2.585 20 0 BFADHN CCCN1CCN([C@H](C)c2ccccc2)CC1 ZINC000726947737 583539204 /nfs/dbraw/zinc/53/92/04/583539204.db2.gz MKUKSWCTHBJSJP-CQSZACIVSA-N 0 3 232.371 2.775 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1ocnc1C ZINC000894883441 583545733 /nfs/dbraw/zinc/54/57/33/583545733.db2.gz XLRRXVSGNCXNEH-RYUDHWBXSA-N 0 3 222.332 2.899 20 0 BFADHN CC(C)(CCN1CCc2ncncc2C1)C1CC1 ZINC000880598402 583547844 /nfs/dbraw/zinc/54/78/44/583547844.db2.gz PQCMUZWWUBHYOQ-UHFFFAOYSA-N 0 3 245.370 2.661 20 0 BFADHN CCn1ccc(CNCCCCC(C)C)n1 ZINC000894893846 583549354 /nfs/dbraw/zinc/54/93/54/583549354.db2.gz JTPQAMJNNHQINU-UHFFFAOYSA-N 0 3 223.364 2.819 20 0 BFADHN Cc1n[nH]c(CN2C[C@H](C3CC3)[C@H]2C2CC2)c1C ZINC000894904929 583553442 /nfs/dbraw/zinc/55/34/42/583553442.db2.gz BKAUMSRUKZMMTH-UKRRQHHQSA-N 0 3 245.370 2.647 20 0 BFADHN Cc1[nH]nc(CN2C[C@H](C3CC3)[C@H]2C2CC2)c1C ZINC000894904929 583553443 /nfs/dbraw/zinc/55/34/43/583553443.db2.gz BKAUMSRUKZMMTH-UKRRQHHQSA-N 0 3 245.370 2.647 20 0 BFADHN Cc1scc(CNc2nc(C)cc(N)n2)c1C ZINC000894910061 583554924 /nfs/dbraw/zinc/55/49/24/583554924.db2.gz LVQLJPHOWZXFCA-UHFFFAOYSA-N 0 3 248.355 2.658 20 0 BFADHN Cc1ncoc1CNCCc1cc(C)ccc1F ZINC000894910708 583554989 /nfs/dbraw/zinc/55/49/89/583554989.db2.gz YKARTEYETWOMKD-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN Cc1coc(CN(C)[C@H](CO)CC(C)(C)C)c1 ZINC000894915925 583555452 /nfs/dbraw/zinc/55/54/52/583555452.db2.gz WVOQRIWOFGUAPO-LBPRGKRZSA-N 0 3 239.359 2.817 20 0 BFADHN Cc1coc(CN2CC[C@@H](O)CC(C)(C)C2)c1 ZINC000894918575 583555667 /nfs/dbraw/zinc/55/56/67/583555667.db2.gz XFZIKNNJUCFIDN-GFCCVEGCSA-N 0 3 237.343 2.571 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1c2c(nn1C)CCC2 ZINC000894921559 583556112 /nfs/dbraw/zinc/55/61/12/583556112.db2.gz KGJUBRBNPAOIFT-LLVKDONJSA-N 0 3 247.386 2.529 20 0 BFADHN COc1ccc(CN2CC[C@@H](C3CCC3)C2)o1 ZINC000894949058 583559090 /nfs/dbraw/zinc/55/90/90/583559090.db2.gz OKJNYASRLAGWIZ-GFCCVEGCSA-N 0 3 235.327 2.910 20 0 BFADHN Cc1coc(CN(C)[C@@H]2CCN(C(C)C)C2)c1 ZINC000894960964 583559423 /nfs/dbraw/zinc/55/94/23/583559423.db2.gz ZAMURXFFNMZTRE-CYBMUJFWSA-N 0 3 236.359 2.503 20 0 BFADHN COC[C@@H]1CCCN(Cc2cc(C)co2)CC1 ZINC000894952235 583559865 /nfs/dbraw/zinc/55/98/65/583559865.db2.gz IAJYGJOXIYMOKG-CYBMUJFWSA-N 0 3 237.343 2.837 20 0 BFADHN Cc1ncoc1CNC[C@H](C)CC(F)(F)F ZINC000894993462 583563798 /nfs/dbraw/zinc/56/37/98/583563798.db2.gz XEBPJKTXDNPTEJ-SSDOTTSWSA-N 0 3 236.237 2.661 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1cc(C)co1 ZINC000894985398 583564224 /nfs/dbraw/zinc/56/42/24/583564224.db2.gz NYUOVABZAHSOHG-ZDUSSCGKSA-N 0 3 237.343 2.979 20 0 BFADHN CCc1cccc(NC(=O)CN[C@H]2CC[C@@H]2C)c1 ZINC000895039391 583570630 /nfs/dbraw/zinc/57/06/30/583570630.db2.gz DDDGBGXLJJCUFF-FZMZJTMJSA-N 0 3 246.354 2.576 20 0 BFADHN CSCC[C@@H](C)N[C@@H]1CCCc2c[nH]nc21 ZINC000857853851 588913399 /nfs/dbraw/zinc/91/33/99/588913399.db2.gz AEIPLAUKMFDRFL-MWLCHTKSSA-N 0 3 239.388 2.518 20 0 BFADHN C[C@@H](N[C@@H]1CCOC2(CCCC2)C1)c1ncc[nH]1 ZINC000334320272 583579803 /nfs/dbraw/zinc/57/98/03/583579803.db2.gz VNLLCTAJPLLGNU-VXGBXAGGSA-N 0 3 249.358 2.552 20 0 BFADHN Cc1coc(CN2CC[C@H](c3cccnc3)C2)c1 ZINC000895074937 583580125 /nfs/dbraw/zinc/58/01/25/583580125.db2.gz GJGNHDKUJFGEOX-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN CCCC1(CN[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000857857422 588914109 /nfs/dbraw/zinc/91/41/09/588914109.db2.gz DNRGBCUHTNVVDN-GFCCVEGCSA-N 0 3 233.359 2.957 20 0 BFADHN Cc1cc(F)ncc1CN[C@H]1CCS[C@@H]1C ZINC000895121912 583596573 /nfs/dbraw/zinc/59/65/73/583596573.db2.gz BIAOPUKMMHZHNH-KOLCDFICSA-N 0 3 240.347 2.513 20 0 BFADHN C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1nnc(C2CC2)s1 ZINC000895123199 583596898 /nfs/dbraw/zinc/59/68/98/583596898.db2.gz CGIYZMGQOALCCA-GARJFASQSA-N 0 3 249.383 2.694 20 0 BFADHN CC[C@@H](C)CCN[C@@H]1CCCc2c[nH]nc21 ZINC000857870182 588915958 /nfs/dbraw/zinc/91/59/58/588915958.db2.gz CYUKGNAMIFEQNC-ZYHUDNBSSA-N 0 3 221.348 2.813 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H]1NCc1cn2ccsc2n1 ZINC000794605962 583616738 /nfs/dbraw/zinc/61/67/38/583616738.db2.gz UXETYZMYAQYCTA-IAZYJMLFSA-N 0 3 249.383 2.920 20 0 BFADHN Cc1cc(F)ccc1C[C@@H](C)NCc1c[nH]cn1 ZINC000895231745 583628785 /nfs/dbraw/zinc/62/87/85/583628785.db2.gz ULSJNVVIXHVJMG-LLVKDONJSA-N 0 3 247.317 2.578 20 0 BFADHN c1nn(C2CCC2)cc1CN1C[C@@H]2CCCC[C@@H]21 ZINC000895237048 583630456 /nfs/dbraw/zinc/63/04/56/583630456.db2.gz LTBXXZBEJZMSMZ-ZFWWWQNUSA-N 0 3 245.370 2.983 20 0 BFADHN CC1=NO[C@@H](CNC/C=C\c2cccc(F)c2)C1 ZINC000895300307 583641914 /nfs/dbraw/zinc/64/19/14/583641914.db2.gz SNNFTNZKRFEMMH-PKXJPQMGSA-N 0 3 248.301 2.593 20 0 BFADHN CC1=NO[C@H](CNC/C=C\c2ccc(F)cc2)C1 ZINC000895308945 583646539 /nfs/dbraw/zinc/64/65/39/583646539.db2.gz RRKMWTYFQYCLNZ-SFAKSCPVSA-N 0 3 248.301 2.593 20 0 BFADHN Cc1ccc([C@@H](C)CNCc2ccn(C)n2)cc1 ZINC000738435669 583654303 /nfs/dbraw/zinc/65/43/03/583654303.db2.gz INWZXPCMUCLGTE-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN Cc1cccc2c1CCC[C@@H]2NCc1c[nH]cn1 ZINC000895340195 583654784 /nfs/dbraw/zinc/65/47/84/583654784.db2.gz LIFIRLDBGPKCGQ-HNNXBMFYSA-N 0 3 241.338 2.885 20 0 BFADHN Cc1cccc2c1CCC[C@@H]2NCc1cnc[nH]1 ZINC000895340195 583654787 /nfs/dbraw/zinc/65/47/87/583654787.db2.gz LIFIRLDBGPKCGQ-HNNXBMFYSA-N 0 3 241.338 2.885 20 0 BFADHN Cc1cc(CN[C@@H]2CCc3cc(C)ccc32)[nH]n1 ZINC000727468185 583655977 /nfs/dbraw/zinc/65/59/77/583655977.db2.gz GIEBKBRIFHPULK-OAHLLOKOSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1cc(CN[C@@H]2CCc3cc(C)ccc32)n[nH]1 ZINC000727468185 583655978 /nfs/dbraw/zinc/65/59/78/583655978.db2.gz GIEBKBRIFHPULK-OAHLLOKOSA-N 0 3 241.338 2.804 20 0 BFADHN C[C@@H](CNCc1ccoc1)N1CCCC[C@@H]1C ZINC000727465862 583656086 /nfs/dbraw/zinc/65/60/86/583656086.db2.gz MUDIBYBNPTUROJ-STQMWFEESA-N 0 3 236.359 2.632 20 0 BFADHN COc1ccc(CNCCC(C)(C)F)o1 ZINC000895370121 583660868 /nfs/dbraw/zinc/66/08/68/583660868.db2.gz GJKLZDZLSBNBJG-UHFFFAOYSA-N 0 3 215.268 2.516 20 0 BFADHN COc1ccc(CNC[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000895378285 583662292 /nfs/dbraw/zinc/66/22/92/583662292.db2.gz RKEPWXBJWLJPDZ-MDZLAQPJSA-N 0 3 235.327 2.814 20 0 BFADHN c1cc2c(c(CN3CC[C@@H]4C[C@@H]4C3)n1)CCCC2 ZINC000895432937 583671281 /nfs/dbraw/zinc/67/12/81/583671281.db2.gz GKQSBWMHBRUQLE-ZIAGYGMSSA-N 0 3 242.366 2.802 20 0 BFADHN CC(C)CC1(NCc2cnn(C)c2C2CC2)CC1 ZINC000895450122 583675781 /nfs/dbraw/zinc/67/57/81/583675781.db2.gz AHGTXJMRHVCJGF-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN CCn1cc(CNCCCc2ccco2)c(C)n1 ZINC000895452899 583676924 /nfs/dbraw/zinc/67/69/24/583676924.db2.gz AUPGMDHMWALNEW-UHFFFAOYSA-N 0 3 247.342 2.527 20 0 BFADHN Cc1coc(CN2CC[C@@H]3CSC[C@H]3C2)c1 ZINC000895460468 583678152 /nfs/dbraw/zinc/67/81/52/583678152.db2.gz GUXYNFXYMAXDPA-VXGBXAGGSA-N 0 3 237.368 2.773 20 0 BFADHN CCOc1ccc(CNCC[C@H]2CC2(F)F)o1 ZINC000895513572 583682377 /nfs/dbraw/zinc/68/23/77/583682377.db2.gz PJVTZSDRZAHEJI-VIFPVBQESA-N 0 3 245.269 2.813 20 0 BFADHN Cn1cnc2cc(CN3CCC(F)CC3)ccc21 ZINC000895547211 583686799 /nfs/dbraw/zinc/68/67/99/583686799.db2.gz QCGIQJKKAXJZRS-UHFFFAOYSA-N 0 3 247.317 2.507 20 0 BFADHN FC[C@H]1CCCN(Cc2n[nH]c3ccccc32)C1 ZINC000895546600 583690182 /nfs/dbraw/zinc/69/01/82/583690182.db2.gz QPIIVAQTQVHGBV-LLVKDONJSA-N 0 3 247.317 2.744 20 0 BFADHN FC[C@H]1CCCN(Cc2[nH]nc3ccccc32)C1 ZINC000895546600 583690183 /nfs/dbraw/zinc/69/01/83/583690183.db2.gz QPIIVAQTQVHGBV-LLVKDONJSA-N 0 3 247.317 2.744 20 0 BFADHN COc1ccc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)o1 ZINC000895559559 583691000 /nfs/dbraw/zinc/69/10/00/583691000.db2.gz BOORTOWOOULBBR-UTUOFQBUSA-N 0 3 235.327 2.814 20 0 BFADHN C/C=C/CNCc1cc(O)cc(Cl)c1 ZINC000895631020 583698327 /nfs/dbraw/zinc/69/83/27/583698327.db2.gz MZRBVLBMBYSRSB-NSCUHMNNSA-N 0 3 211.692 2.711 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc(CC(C)C)nc2)C1 ZINC000895666619 583705616 /nfs/dbraw/zinc/70/56/16/583705616.db2.gz PIGOKHIMILPFBV-SHTZXODSSA-N 0 3 248.370 2.547 20 0 BFADHN COc1ccc(CNCC[C@H]2CCCS2)o1 ZINC000895770134 583723980 /nfs/dbraw/zinc/72/39/80/583723980.db2.gz KXYSSXHIZJBDFB-LLVKDONJSA-N 0 3 241.356 2.664 20 0 BFADHN COc1ccc(CN[C@@H](C)CC2CCC2)nc1 ZINC000895777815 583726780 /nfs/dbraw/zinc/72/67/80/583726780.db2.gz OANQHNGBEBNOLY-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN Fc1cc(CNC2CCC=CCC2)c(F)cn1 ZINC000895894924 583739074 /nfs/dbraw/zinc/73/90/74/583739074.db2.gz RPGKVTSJBRROAE-UHFFFAOYSA-N 0 3 238.281 2.948 20 0 BFADHN CCCn1nc(C)c(CN(C)CCCF)c1C ZINC000895898532 583741264 /nfs/dbraw/zinc/74/12/64/583741264.db2.gz WKDQIHFXOWWOHJ-UHFFFAOYSA-N 0 3 241.354 2.701 20 0 BFADHN COCc1csc(CN(C)CCCF)c1 ZINC000895896493 583743523 /nfs/dbraw/zinc/74/35/23/583743523.db2.gz VLAVVEJFBPBYAJ-UHFFFAOYSA-N 0 3 231.336 2.686 20 0 BFADHN CO[C@@H]1CC[C@@H](C)N(Cc2cc(C)co2)C1 ZINC000895926244 583747598 /nfs/dbraw/zinc/74/75/98/583747598.db2.gz BTSOKUXAGOESFJ-VXGBXAGGSA-N 0 3 223.316 2.587 20 0 BFADHN COc1ccccc1CN1C[C@H](OC)CC[C@@H]1C ZINC000895946466 583749398 /nfs/dbraw/zinc/74/93/98/583749398.db2.gz PRARWGIWIQRGRO-GXTWGEPZSA-N 0 3 249.354 2.695 20 0 BFADHN COCC1CN(Cc2coc3ccccc23)C1 ZINC000895971052 583756485 /nfs/dbraw/zinc/75/64/85/583756485.db2.gz KBVSVWSLRRYPSE-UHFFFAOYSA-N 0 3 231.295 2.511 20 0 BFADHN COc1ccc(CNC[C@@]2(C)CC2(C)C)o1 ZINC000895996831 583759423 /nfs/dbraw/zinc/75/94/23/583759423.db2.gz CIKYXFOWPNWHHE-CYBMUJFWSA-N 0 3 223.316 2.814 20 0 BFADHN C[C@@H]1Cc2cc(CN3CCC(F)CC3)ccc2O1 ZINC000895999142 583760271 /nfs/dbraw/zinc/76/02/71/583760271.db2.gz FXDQMULIIUSRGU-LLVKDONJSA-N 0 3 249.329 2.944 20 0 BFADHN Cc1c[nH]c(CNCC[C@H]2CCCC2(F)F)n1 ZINC000883265274 583770518 /nfs/dbraw/zinc/77/05/18/583770518.db2.gz XHWICOBPMDRNSJ-SNVBAGLBSA-N 0 3 243.301 2.633 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1CCO[C@H]1C ZINC000147861976 583777216 /nfs/dbraw/zinc/77/72/16/583777216.db2.gz GFFIHQIVLKHHEV-MDZLAQPJSA-N 0 3 235.327 2.523 20 0 BFADHN CCC[C@@H](C)CN1CC[C@@H](c2noc(C)n2)C1 ZINC000896237418 583785350 /nfs/dbraw/zinc/78/53/50/583785350.db2.gz MPANBBWSDPRDNS-ZYHUDNBSSA-N 0 3 237.347 2.604 20 0 BFADHN COc1ccc(CNC[C@@]23C[C@@H]2CCCC3)o1 ZINC000896268285 583787884 /nfs/dbraw/zinc/78/78/84/583787884.db2.gz PFVFOVICRYYSHS-SMDDNHRTSA-N 0 3 235.327 2.958 20 0 BFADHN COc1ccc(CNC[C@]23C[C@H]2CCCC3)nc1 ZINC000896268998 583788022 /nfs/dbraw/zinc/78/80/22/583788022.db2.gz SSRNHGMCMVMERV-DOMZBBRYSA-N 0 3 246.354 2.760 20 0 BFADHN CC(C)C1(CNCc2cc(N(C)C)ccn2)CC1 ZINC000896298360 583789318 /nfs/dbraw/zinc/78/93/18/583789318.db2.gz JDRUEXXRECRAAZ-UHFFFAOYSA-N 0 3 247.386 2.673 20 0 BFADHN CN(C)c1ccnc(CNCCC(C)(C)C)c1 ZINC000896299069 583789803 /nfs/dbraw/zinc/78/98/03/583789803.db2.gz QDLDARCZHPHXQD-UHFFFAOYSA-N 0 3 235.375 2.673 20 0 BFADHN CCCN1CC[C@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858045836 588930778 /nfs/dbraw/zinc/93/07/78/588930778.db2.gz BPYGQZLRVYUDAG-AWEZNQCLSA-N 0 3 246.354 2.822 20 0 BFADHN C[C@@H](Cc1ccsc1)N1CCO[C@H](C)CC1 ZINC000896583039 583815793 /nfs/dbraw/zinc/81/57/93/583815793.db2.gz CNGBRDAISYGDNG-NWDGAFQWSA-N 0 3 239.384 2.790 20 0 BFADHN CC1(C)CCC[C@H]1CCNCc1cncnc1 ZINC000858202960 588938513 /nfs/dbraw/zinc/93/85/13/588938513.db2.gz KXCONTCTCQXDGX-ZDUSSCGKSA-N 0 3 233.359 2.783 20 0 BFADHN CO[C@H](CNC(C)(C)/C=C\Cl)C1CC1 ZINC000896748760 583830132 /nfs/dbraw/zinc/83/01/32/583830132.db2.gz ZITHVOMEOFLIQW-JYESYGNLSA-N 0 3 217.740 2.532 20 0 BFADHN CO[C@@H](CNC(C)(C)/C=C/Cl)C1CC1 ZINC000896748758 583830155 /nfs/dbraw/zinc/83/01/55/583830155.db2.gz ZITHVOMEOFLIQW-FGEFZZPRSA-N 0 3 217.740 2.532 20 0 BFADHN COc1ccc(CN[C@@H]2CCS[C@H](C)C2)o1 ZINC000896951390 583864205 /nfs/dbraw/zinc/86/42/05/583864205.db2.gz IVTVRJUUDLNAHI-NXEZZACHSA-N 0 3 241.356 2.662 20 0 BFADHN CC1(C)[C@H](CNCc2ccnc(F)c2)C1(F)F ZINC000858192220 588938015 /nfs/dbraw/zinc/93/80/15/588938015.db2.gz HGEUBIOPLWHRRH-VIFPVBQESA-N 0 3 244.260 2.602 20 0 BFADHN C[C@H]1C[C@@H](N[C@H]2CCCc3cccnc32)CS1 ZINC000897072428 583877705 /nfs/dbraw/zinc/87/77/05/583877705.db2.gz NOLNDNIYQPHYCF-UHTWSYAYSA-N 0 3 248.395 2.943 20 0 BFADHN Nc1cc(N[C@@H]2C[C@@H]3CCC[C@@H]23)c(Cl)cn1 ZINC000897176177 583888801 /nfs/dbraw/zinc/88/88/01/583888801.db2.gz PGNPVKPBYUTQDU-QXFUBDJGSA-N 0 3 237.734 2.918 20 0 BFADHN [O-]c1cncc(C[NH2+]CCC2CCCCC2)c1 ZINC000897314755 583918299 /nfs/dbraw/zinc/91/82/99/583918299.db2.gz BGLVNAJRLUQZSL-UHFFFAOYSA-N 0 3 234.343 2.847 20 0 BFADHN C[C@@H]1CCC[C@H]([NH2+]Cc2cncc([O-])c2)[C@@H]1C ZINC000897315340 583918792 /nfs/dbraw/zinc/91/87/92/583918792.db2.gz KEKGKJQMHLCGMK-GYSYKLTISA-N 0 3 234.343 2.702 20 0 BFADHN [O-]c1cncc(C[NH2+][C@@H]2CCC[C@H](C3CC3)C2)c1 ZINC000897316150 583918821 /nfs/dbraw/zinc/91/88/21/583918821.db2.gz WNZGFOYQCODRCS-UONOGXRCSA-N 0 3 246.354 2.846 20 0 BFADHN C[C@@H]1CC[C@H]([NH2+]Cc2cncc([O-])c2)[C@H](C)C1 ZINC000897316341 583919072 /nfs/dbraw/zinc/91/90/72/583919072.db2.gz YYLJPTUEPVGHRH-GYSYKLTISA-N 0 3 234.343 2.702 20 0 BFADHN COCCCCN(C)C[C@H]1CC1(Cl)Cl ZINC000842173787 583923662 /nfs/dbraw/zinc/92/36/62/583923662.db2.gz UDBZHUTUENHWBJ-SECBINFHSA-N 0 3 240.174 2.539 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H](C)c1ccns1 ZINC000858316964 588943041 /nfs/dbraw/zinc/94/30/41/588943041.db2.gz PHKHKCSJJREDST-UWVGGRQHSA-N 0 3 228.361 2.607 20 0 BFADHN C[C@H](CNc1ccnc(CO)c1)c1ccccc1 ZINC000858316738 588944488 /nfs/dbraw/zinc/94/44/88/588944488.db2.gz JSAKPICZNQTTRO-GFCCVEGCSA-N 0 3 242.322 2.790 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CC[C@H]1C(C)C ZINC000872135549 583947966 /nfs/dbraw/zinc/94/79/66/583947966.db2.gz WWKUYEZUTZYVAM-RWMBFGLXSA-N 0 3 233.359 2.870 20 0 BFADHN CCC[C@@H](CNCc1ccnc(F)c1)OCC ZINC000858210395 588938943 /nfs/dbraw/zinc/93/89/43/588938943.db2.gz OYWFPQROCGVEID-LBPRGKRZSA-N 0 3 240.322 2.516 20 0 BFADHN Cc1nc(CNCC(C)(C)C2CC2)[nH]c1C ZINC000897437199 583978597 /nfs/dbraw/zinc/97/85/97/583978597.db2.gz HSJOTKHSQVUOSB-UHFFFAOYSA-N 0 3 221.348 2.552 20 0 BFADHN Cc1cc(CNCC(C)(C)C2CC2)on1 ZINC000897438539 583983403 /nfs/dbraw/zinc/98/34/03/583983403.db2.gz XKXHVVCZKDDWKC-UHFFFAOYSA-N 0 3 208.305 2.509 20 0 BFADHN OCc1cc(NCCCC2CCCC2)ccn1 ZINC000858340989 588946941 /nfs/dbraw/zinc/94/69/41/588946941.db2.gz UXPNIUIEGJWMCU-UHFFFAOYSA-N 0 3 234.343 2.956 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@@H](C3CC3)O2)c(C)o1 ZINC000897510573 583998874 /nfs/dbraw/zinc/99/88/74/583998874.db2.gz WNTYMIJSMWHIKS-GJZGRUSLSA-N 0 3 249.354 2.944 20 0 BFADHN CC1CCC(CNc2ccnc(CO)c2)CC1 ZINC000858361351 588948724 /nfs/dbraw/zinc/94/87/24/588948724.db2.gz NZSLPIGOSJFXLF-UHFFFAOYSA-N 0 3 234.343 2.812 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CNc1ccnc(CO)c1 ZINC000858363516 588948957 /nfs/dbraw/zinc/94/89/57/588948957.db2.gz KBPCFUPCUNYQLK-VXGBXAGGSA-N 0 3 234.343 2.812 20 0 BFADHN CCSCC[C@H](C)Nc1ccnc(CO)c1 ZINC000858429698 588953728 /nfs/dbraw/zinc/95/37/28/588953728.db2.gz LCQBYSFWXLHFLL-JTQLQIEISA-N 0 3 240.372 2.518 20 0 BFADHN Cc1ccc(CNCC[C@H](O)C2CCCC2)o1 ZINC000865877123 584033215 /nfs/dbraw/zinc/03/32/15/584033215.db2.gz VXXVXCDAZAMEOD-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN CCCc1ccc(CN2C[C@H](O)[C@@H](C)C2)s1 ZINC000897679731 584037507 /nfs/dbraw/zinc/03/75/07/584037507.db2.gz LGIPHDFQIAULOJ-GWCFXTLKSA-N 0 3 239.384 2.513 20 0 BFADHN Cc1ncoc1CN[C@H]1CCCC2(CC2)C1 ZINC000897771256 584044931 /nfs/dbraw/zinc/04/49/31/584044931.db2.gz ATWCBNBXBXDCQB-NSHDSACASA-N 0 3 220.316 2.795 20 0 BFADHN Cc1coc(CNC2CC3(C2)CO[C@H](C)C3)c1 ZINC000897772697 584045335 /nfs/dbraw/zinc/04/53/35/584045335.db2.gz BIORHNXVOLLTLI-LKSINWNRSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1nocc1CN[C@@H]1CCSC(C)(C)C1 ZINC000897793766 584048827 /nfs/dbraw/zinc/04/88/27/584048827.db2.gz PBBZJWJIWXCIJD-LLVKDONJSA-N 0 3 240.372 2.747 20 0 BFADHN COc1cccc(-c2cc(CNC(C)C)on2)c1 ZINC000897841153 584053712 /nfs/dbraw/zinc/05/37/12/584053712.db2.gz JSLQZXOSFBQVOC-UHFFFAOYSA-N 0 3 246.310 2.848 20 0 BFADHN C[C@@H](N[C@@H](C)CSCCF)c1cncs1 ZINC000897930181 584061554 /nfs/dbraw/zinc/06/15/54/584061554.db2.gz KDEHLOFBUMHUBZ-DTWKUNHWSA-N 0 3 248.392 2.885 20 0 BFADHN CCCCC1(NCc2cnn3c2CCC3)CC1 ZINC000897935600 584062205 /nfs/dbraw/zinc/06/22/05/584062205.db2.gz FAXZUEPNPQJVOE-UHFFFAOYSA-N 0 3 233.359 2.642 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897954072 584063928 /nfs/dbraw/zinc/06/39/28/584063928.db2.gz XQFJNVFTMKVTCN-GMXVVIOVSA-N 0 3 220.316 2.570 20 0 BFADHN Cc1csc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)n1 ZINC000897953301 584064073 /nfs/dbraw/zinc/06/40/73/584064073.db2.gz OPKOWPWMRZBAES-HBNTYKKESA-N 0 3 222.357 2.730 20 0 BFADHN CC(C)n1nccc1CN[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897954170 584064731 /nfs/dbraw/zinc/06/47/31/584064731.db2.gz BJKWOCIXVWLEHJ-KWCYVHTRSA-N 0 3 233.359 2.742 20 0 BFADHN CCCn1nccc1CN[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897957659 584065754 /nfs/dbraw/zinc/06/57/54/584065754.db2.gz DIKNIOSTWQIMLR-IACUBPJLSA-N 0 3 233.359 2.571 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2C[C@@H]3CCC[C@H]23)cc1F ZINC000897958381 584066158 /nfs/dbraw/zinc/06/61/58/584066158.db2.gz JAODGUPXUDBUHL-GMXVVIOVSA-N 0 3 235.302 2.810 20 0 BFADHN Fc1cc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)c(F)cn1 ZINC000897958815 584067000 /nfs/dbraw/zinc/06/70/00/584067000.db2.gz NVMMEAADPPKZID-MKPLZMMCSA-N 0 3 238.281 2.638 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897959959 584067302 /nfs/dbraw/zinc/06/73/02/584067302.db2.gz MKJORWAPVDTEQC-DMDPSCGWSA-N 0 3 234.343 2.824 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@@H]3CCC[C@H]23)o1 ZINC000897959374 584067362 /nfs/dbraw/zinc/06/73/62/584067362.db2.gz GLXRUPDGZUKTGP-ZMLRMANQSA-N 0 3 221.300 2.566 20 0 BFADHN Cc1cccnc1[C@@H](N[C@@H]1CCOC1)C(C)(C)C ZINC000897995272 584069935 /nfs/dbraw/zinc/06/99/35/584069935.db2.gz NGNZFBJXEFZQBI-TZMCWYRMSA-N 0 3 248.370 2.856 20 0 BFADHN CC[C@@H]1CCC[C@H](CNCc2cn(C)cn2)C1 ZINC000898045444 584073444 /nfs/dbraw/zinc/07/34/44/584073444.db2.gz NPAFEFSPHFDLQG-OLZOCXBDSA-N 0 3 235.375 2.726 20 0 BFADHN CC(C)[C@@H](C)NCc1cc(C(F)(F)F)n(C)n1 ZINC000898021598 584075990 /nfs/dbraw/zinc/07/59/90/584075990.db2.gz MUMFFNVTKMKRGC-MRVPVSSYSA-N 0 3 249.280 2.573 20 0 BFADHN Cn1ccc(CNC[C@@H]2CCC=CCCC2)n1 ZINC000898031507 584077235 /nfs/dbraw/zinc/07/72/35/584077235.db2.gz DTBCTBCITWDUAK-CYBMUJFWSA-N 0 3 233.359 2.646 20 0 BFADHN OCc1ccc(CNC[C@H]2CCC=CCCC2)o1 ZINC000898032480 584078185 /nfs/dbraw/zinc/07/81/85/584078185.db2.gz RQOSUWVVMNCPBB-ZDUSSCGKSA-N 0 3 249.354 2.998 20 0 BFADHN C[C@@H](NCc1cn(C)cn1)C1C(C)(C)C1(C)C ZINC000898043114 584080922 /nfs/dbraw/zinc/08/09/22/584080922.db2.gz FDBNZZXXCMYODG-SNVBAGLBSA-N 0 3 235.375 2.580 20 0 BFADHN Cc1ccc(CNC[C@]23C[C@H]2COC32CCC2)o1 ZINC000898065313 584081970 /nfs/dbraw/zinc/08/19/70/584081970.db2.gz GGMAPQROOSFBPL-GXTWGEPZSA-N 0 3 247.338 2.637 20 0 BFADHN CCC[C@@H](C)[C@H](CC)NCc1cnn(CC)n1 ZINC000858444087 588955366 /nfs/dbraw/zinc/95/53/66/588955366.db2.gz QRSMQVSVIRWMOG-YPMHNXCESA-N 0 3 238.379 2.602 20 0 BFADHN CCC1(CNc2ccnc(CO)c2)CCCC1 ZINC000858445968 588955722 /nfs/dbraw/zinc/95/57/22/588955722.db2.gz SGYYBLDYSUPHGQ-UHFFFAOYSA-N 0 3 234.343 2.956 20 0 BFADHN COC1(CN2CC(Cc3ccoc3)C2)CCCC1 ZINC000898160768 584088081 /nfs/dbraw/zinc/08/80/81/584088081.db2.gz SQNAISYUGWBFJL-UHFFFAOYSA-N 0 3 249.354 2.713 20 0 BFADHN CSCC(C)(C)NCc1ccoc1C ZINC000898304887 584108888 /nfs/dbraw/zinc/10/88/88/584108888.db2.gz LXAVWCQKLFKLBG-UHFFFAOYSA-N 0 3 213.346 2.819 20 0 BFADHN O[C@@H](CCNCc1sccc1Cl)C1CC1 ZINC000898198105 584097946 /nfs/dbraw/zinc/09/79/46/584097946.db2.gz NGZNUNAPEDTMDG-JTQLQIEISA-N 0 3 245.775 2.652 20 0 BFADHN CSCC(C)(C)NCc1ccc(C)o1 ZINC000898305932 584109244 /nfs/dbraw/zinc/10/92/44/584109244.db2.gz YGDMNLCNHJTAFV-UHFFFAOYSA-N 0 3 213.346 2.819 20 0 BFADHN CC1(C)CCC[C@H](Nc2ccnc(CO)c2)C1 ZINC000858507303 588961326 /nfs/dbraw/zinc/96/13/26/588961326.db2.gz ICBOICQJWKMQGZ-LBPRGKRZSA-N 0 3 234.343 2.955 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@H]2CC=CC[C@H]2C)n1 ZINC000898228086 584102441 /nfs/dbraw/zinc/10/24/41/584102441.db2.gz UMCQLUJFVCOWIQ-ZYHUDNBSSA-N 0 3 232.327 2.540 20 0 BFADHN CC1(C)C[C@H](N[C@H](c2ccncc2)C2CC2)CO1 ZINC000898321321 584107110 /nfs/dbraw/zinc/10/71/10/584107110.db2.gz QPJWOAWZQGUWFV-KBPBESRZSA-N 0 3 246.354 2.690 20 0 BFADHN CSCC(C)(C)NCc1cnc(F)cc1C ZINC000898305181 584109039 /nfs/dbraw/zinc/10/90/39/584109039.db2.gz SMELADWNDFKYLZ-UHFFFAOYSA-N 0 3 242.363 2.760 20 0 BFADHN CCc1ncc(CNC(C)(C)CSC)s1 ZINC000898305894 584109223 /nfs/dbraw/zinc/10/92/23/584109223.db2.gz XBXRXNWZZHKOCF-UHFFFAOYSA-N 0 3 244.429 2.937 20 0 BFADHN COC(=O)C1=CC[C@@H](N[C@H](C)c2ccccc2)C1 ZINC000858477084 588958685 /nfs/dbraw/zinc/95/86/85/588958685.db2.gz UMJAFBQJXKYAPI-BXUZGUMPSA-N 0 3 245.322 2.599 20 0 BFADHN Cc1nocc1CNC1(C)C(C)(C)C1(C)C ZINC000898414024 584131604 /nfs/dbraw/zinc/13/16/04/584131604.db2.gz GUXDGHNMCYMHCZ-UHFFFAOYSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@]1(Br)C[C@H]1CNC/C=C\Cl ZINC000898429302 584131930 /nfs/dbraw/zinc/13/19/30/584131930.db2.gz MHSYWHSBKYDQMY-YWUCGTOHSA-N 0 3 238.556 2.502 20 0 BFADHN COCc1csc(CNC/C=C\Cl)c1 ZINC000898429579 584133812 /nfs/dbraw/zinc/13/38/12/584133812.db2.gz QREGTGFXDZLLNF-IHWYPQMZSA-N 0 3 231.748 2.737 20 0 BFADHN C[C@H](Cc1ccc(O)cc1)NC/C=C/Cl ZINC000898427696 584132897 /nfs/dbraw/zinc/13/28/97/584132897.db2.gz DNFYREIRKFHSER-CYZOPGGISA-N 0 3 225.719 2.665 20 0 BFADHN C[C@@H]1Cc2cc(CNC/C=C\Cl)ccc2O1 ZINC000898427703 584133175 /nfs/dbraw/zinc/13/31/75/584133175.db2.gz KUWLMOVGTBTDNE-XJIUSYNZSA-N 0 3 237.730 2.852 20 0 BFADHN Cl/C=C\CN[C@H]1Cc2[nH]c3ccccc3c2C1 ZINC000898429517 584133648 /nfs/dbraw/zinc/13/36/48/584133648.db2.gz PCOSXTDHZFNIJV-XICOKMJESA-N 0 3 246.741 2.977 20 0 BFADHN COc1ccc(C[C@H](C)NC/C=C\Cl)cc1 ZINC000898432455 584135448 /nfs/dbraw/zinc/13/54/48/584135448.db2.gz FWRVGCMMBUTSLL-DZHRUPLWSA-N 0 3 239.746 2.968 20 0 BFADHN Cl/C=C\CNC[C@H]1CCOc2ccccc21 ZINC000898435763 584135923 /nfs/dbraw/zinc/13/59/23/584135923.db2.gz MLIMRNBEUFTPFE-KGHNQEBZSA-N 0 3 237.730 2.895 20 0 BFADHN Cl/C=C/CN[C@@H]1CCN(c2ccccc2)C1 ZINC000898434306 584136033 /nfs/dbraw/zinc/13/60/33/584136033.db2.gz VFCJXTPJZAUPMW-WNPFHQFXSA-N 0 3 236.746 2.607 20 0 BFADHN C[C@H](CCCO)N[C@H](c1ccccn1)C1CCC1 ZINC000858484629 588959698 /nfs/dbraw/zinc/95/96/98/588959698.db2.gz WQAFQPCBIGDORO-DOMZBBRYSA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@@H](Nc1ccnc(CO)c1)C1CCCC1 ZINC000858563091 588966331 /nfs/dbraw/zinc/96/63/31/588966331.db2.gz MNJVJJBPKGDKOQ-CQSZACIVSA-N 0 3 234.343 2.955 20 0 BFADHN C[C@@H](NC1CC(CF)(CF)C1)c1ccns1 ZINC000858578381 588968250 /nfs/dbraw/zinc/96/82/50/588968250.db2.gz ZFJJGTDZGOMCCI-MRVPVSSYSA-N 0 3 246.326 2.882 20 0 BFADHN CC(C)[C@H](N)c1cn(CC2CCC=CCC2)nn1 ZINC000899742984 584236360 /nfs/dbraw/zinc/23/63/60/584236360.db2.gz PTIGHHGQVPVLFT-AWEZNQCLSA-N 0 3 248.374 2.680 20 0 BFADHN C[C@@H](N[C@@H]1COC2(CCC2)C1)c1ccns1 ZINC000858576062 588967861 /nfs/dbraw/zinc/96/78/61/588967861.db2.gz HFSLZOADXZSSLS-ZJUUUORDSA-N 0 3 238.356 2.505 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H]1C1CC1)c1ccns1 ZINC000858575500 588967881 /nfs/dbraw/zinc/96/78/81/588967881.db2.gz BVVDFTLHEBAFEK-GDPRMGEGSA-N 0 3 222.357 2.982 20 0 BFADHN C[C@H](N[C@H]1COC2(CCC2)C1)c1ccns1 ZINC000858576061 588968049 /nfs/dbraw/zinc/96/80/49/588968049.db2.gz HFSLZOADXZSSLS-VHSXEESVSA-N 0 3 238.356 2.505 20 0 BFADHN Cc1cc(C)cc(CNc2ccnc(CO)c2)c1 ZINC000858625898 588971912 /nfs/dbraw/zinc/97/19/12/588971912.db2.gz GDIGGDXEKAXEKW-UHFFFAOYSA-N 0 3 242.322 2.803 20 0 BFADHN CC[C@@H](N)c1cn(CC2CCC3(CC3)CC2)nn1 ZINC000900602580 584330027 /nfs/dbraw/zinc/33/00/27/584330027.db2.gz XCEBPKCIJFZFGL-GFCCVEGCSA-N 0 3 248.374 2.658 20 0 BFADHN CN[C@@H](C)c1cc(-c2ccccc2OC)no1 ZINC000901385236 584436515 /nfs/dbraw/zinc/43/65/15/584436515.db2.gz NZJBDRDEZBQKMW-VIFPVBQESA-N 0 3 232.283 2.631 20 0 BFADHN CN[C@H](C)c1cc(-c2ccc(OC)cc2)no1 ZINC000901385323 584436526 /nfs/dbraw/zinc/43/65/26/584436526.db2.gz ADAJPJQUNIRBHZ-SECBINFHSA-N 0 3 232.283 2.631 20 0 BFADHN Fc1ccccc1-c1cc(CNC2CC2)on1 ZINC000901399018 584438928 /nfs/dbraw/zinc/43/89/28/584438928.db2.gz BOXDGGADBUGPSF-UHFFFAOYSA-N 0 3 232.258 2.733 20 0 BFADHN COC[C@@H](SCCN(C)C)c1ccccc1 ZINC000901659260 584476781 /nfs/dbraw/zinc/47/67/81/584476781.db2.gz ILGYRUQFRKEZLZ-CYBMUJFWSA-N 0 3 239.384 2.669 20 0 BFADHN CCn1cnc(CN[C@H]2CCCC[C@@H]2C)c1 ZINC000902025591 584512271 /nfs/dbraw/zinc/51/22/71/584512271.db2.gz HIFCAYALFFEVPF-AAEUAGOBSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cnc(CNC[C@@H]2CCCC[C@H]2C)c1 ZINC000902105330 584523593 /nfs/dbraw/zinc/52/35/93/584523593.db2.gz WITTZKUNVKIDBP-OLZOCXBDSA-N 0 3 235.375 2.819 20 0 BFADHN CCn1cnc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)c1 ZINC000902232671 584542671 /nfs/dbraw/zinc/54/26/71/584542671.db2.gz JMKFWGBDTQOBQC-SGMGOOAPSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1cn(CC)cn1 ZINC000902279078 584546844 /nfs/dbraw/zinc/54/68/44/584546844.db2.gz KERXZSGDRLRCNP-RYUDHWBXSA-N 0 3 223.364 2.817 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1cn(CC)cn1 ZINC000902279079 584546985 /nfs/dbraw/zinc/54/69/85/584546985.db2.gz KERXZSGDRLRCNP-VXGBXAGGSA-N 0 3 223.364 2.817 20 0 BFADHN CCn1cnc(CN[C@@H]2CC[C@@H]3CCC[C@H]3C2)c1 ZINC000902280196 584547387 /nfs/dbraw/zinc/54/73/87/584547387.db2.gz YLEMJQIPSVGIJR-MELADBBJSA-N 0 3 247.386 2.961 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cn(CC)cn1 ZINC000902310962 584554798 /nfs/dbraw/zinc/55/47/98/584554798.db2.gz HTALFLNNLNZIRM-AAEUAGOBSA-N 0 3 221.348 2.571 20 0 BFADHN CCCC1(CNCc2cn(CC)cn2)CC1 ZINC000902315817 584555650 /nfs/dbraw/zinc/55/56/50/584555650.db2.gz NOFDIOWNXRRVLE-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN Fc1cc(CN2CCCCCCC2)ccn1 ZINC000859053335 588994550 /nfs/dbraw/zinc/99/45/50/588994550.db2.gz CGRVGWDDJXEWLB-UHFFFAOYSA-N 0 3 222.307 2.987 20 0 BFADHN CCn1cnc(CNCC2(C3CC3)CCC2)c1 ZINC000902410151 584575717 /nfs/dbraw/zinc/57/57/17/584575717.db2.gz OYVNHLJTSHAWSC-UHFFFAOYSA-N 0 3 233.359 2.573 20 0 BFADHN CCn1cnc(CNc2cc(C)cc(C)n2)c1 ZINC000902404586 584588555 /nfs/dbraw/zinc/58/85/55/584588555.db2.gz WPVQZGVZNRTHJH-UHFFFAOYSA-N 0 3 230.315 2.527 20 0 BFADHN CCn1cnc(CNCC23CCC(CC2)C3)c1 ZINC000902416944 584591129 /nfs/dbraw/zinc/59/11/29/584591129.db2.gz PXHNMJIAJPQQCF-UHFFFAOYSA-N 0 3 233.359 2.573 20 0 BFADHN C[C@H](NCc1csc(N)n1)C1CCCCC1 ZINC000902489946 584617234 /nfs/dbraw/zinc/61/72/34/584617234.db2.gz SUPHKCUPOMZHOA-VIFPVBQESA-N 0 3 239.388 2.784 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2csc(N)n2)C1 ZINC000902492023 584618239 /nfs/dbraw/zinc/61/82/39/584618239.db2.gz VSLNMXKTOJPRCP-ZJUUUORDSA-N 0 3 239.388 2.784 20 0 BFADHN C[C@H](CC(C)(C)C)NCc1csc(N)n1 ZINC000902503385 584620528 /nfs/dbraw/zinc/62/05/28/584620528.db2.gz XIERTTFHUMDPAO-MRVPVSSYSA-N 0 3 227.377 2.640 20 0 BFADHN CCn1cnc(CN[C@@H]2CC[C@@H](C)[C@@H](C)C2)c1 ZINC000902481400 584623775 /nfs/dbraw/zinc/62/37/75/584623775.db2.gz CKLNKAISRRINCO-FRRDWIJNSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1cnc(CN[C@H](C)CCC2CC2)c1 ZINC000902485670 584625294 /nfs/dbraw/zinc/62/52/94/584625294.db2.gz MIRQFNQNFNMVOF-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN C/C=C\C[C@H](CO)NCc1ccccc1NCC ZINC000902488733 584627658 /nfs/dbraw/zinc/62/76/58/584627658.db2.gz NDGHFECSRGNDSV-PKXJPQMGSA-N 0 3 248.370 2.535 20 0 BFADHN CNc1ccc(C)cc1CNC1(COC)CCC1 ZINC000902536989 584628034 /nfs/dbraw/zinc/62/80/34/584628034.db2.gz KTUVKQAAHZPAEP-UHFFFAOYSA-N 0 3 248.370 2.695 20 0 BFADHN CCn1cnc(CNC2CCC3(CC3)CC2)c1 ZINC000902527960 584632839 /nfs/dbraw/zinc/63/28/39/584632839.db2.gz MGUYQNXHELIZQV-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN CNc1ccccc1CNCCSC(C)C ZINC000902561265 584634587 /nfs/dbraw/zinc/63/45/87/584634587.db2.gz BVFVDACZJNZWTR-UHFFFAOYSA-N 0 3 238.400 2.960 20 0 BFADHN CCC[C@@]1(NCc2ccccc2NC)CCOC1 ZINC000902557768 584634690 /nfs/dbraw/zinc/63/46/90/584634690.db2.gz IXPRJUIKFXXEDW-OAHLLOKOSA-N 0 3 248.370 2.777 20 0 BFADHN CCn1cnc(CNC2(C3CCC3)CCC2)c1 ZINC000902595745 584635698 /nfs/dbraw/zinc/63/56/98/584635698.db2.gz KRRNVBPGCWEEFV-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1cn(CC)cn1 ZINC000902577830 584637734 /nfs/dbraw/zinc/63/77/34/584637734.db2.gz JATKOVZIYZTOSD-QWHCGFSZSA-N 0 3 235.375 2.819 20 0 BFADHN CNc1ccccc1CNCc1ccnc(C)c1 ZINC000902577637 584637751 /nfs/dbraw/zinc/63/77/51/584637751.db2.gz FXNAFUBVAFLGHF-UHFFFAOYSA-N 0 3 241.338 2.722 20 0 BFADHN CNc1ccc(C)cc1CN[C@H]1CCOC1(C)C ZINC000902578211 584637884 /nfs/dbraw/zinc/63/78/84/584637884.db2.gz OOOSKBOBLQLFAS-AWEZNQCLSA-N 0 3 248.370 2.694 20 0 BFADHN CCC[C@H](CNCc1ccccc1NC)OC ZINC000902577759 584637908 /nfs/dbraw/zinc/63/79/08/584637908.db2.gz GOKUSQWBBYCUSR-CYBMUJFWSA-N 0 3 236.359 2.633 20 0 BFADHN CCn1cnc(CNC(C)(C)C2CCC2)c1 ZINC000902583649 584639116 /nfs/dbraw/zinc/63/91/16/584639116.db2.gz DEAGXRLKGBPANU-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cnc(CNCC2(C3CCC3)CCC2)c1 ZINC000902586608 584639552 /nfs/dbraw/zinc/63/95/52/584639552.db2.gz GAYKAFASXBZROT-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN CCn1cnc(CNC[C@H]2CC3CCC2CC3)c1 ZINC000902605783 584642381 /nfs/dbraw/zinc/64/23/81/584642381.db2.gz UOKYLIVHYRIETP-JXQTWKCFSA-N 0 3 247.386 2.819 20 0 BFADHN CCn1cnc(CN[C@@H](C)CC2CCC2)c1 ZINC000902631033 584646970 /nfs/dbraw/zinc/64/69/70/584646970.db2.gz FFFDGPWRIKSTFQ-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN CCNc1ccccc1CN[C@@H]1C[C@H](C)O[C@@H]1C ZINC000902631203 584647325 /nfs/dbraw/zinc/64/73/25/584647325.db2.gz VOJMUBRYBYSVSW-YWPYICTPSA-N 0 3 248.370 2.774 20 0 BFADHN CNc1ccccc1CNC[C@H]1CC(C)(C)CO1 ZINC000902639313 584649141 /nfs/dbraw/zinc/64/91/41/584649141.db2.gz SYEOOPYSIXCUKG-CYBMUJFWSA-N 0 3 248.370 2.633 20 0 BFADHN c1csc(CNC2C[C@@H]3CCC[C@H]3C2)n1 ZINC000902759296 584665772 /nfs/dbraw/zinc/66/57/72/584665772.db2.gz UARZVBAXBGRQLN-UWVGGRQHSA-N 0 3 222.357 2.811 20 0 BFADHN Cc1cc(CNC2C[C@H]3CCC[C@@H]3C2)on1 ZINC000902794239 584673155 /nfs/dbraw/zinc/67/31/55/584673155.db2.gz UCFDYCNDXDYTFJ-GHMZBOCLSA-N 0 3 220.316 2.651 20 0 BFADHN Fc1ccc(CNC2C[C@@H]3CCC[C@H]3C2)nc1 ZINC000902844073 584681053 /nfs/dbraw/zinc/68/10/53/584681053.db2.gz FHXDDRHWABVUOG-QWRGUYRKSA-N 0 3 234.318 2.889 20 0 BFADHN Fc1cccnc1CNC1C[C@@H]2CCC[C@H]2C1 ZINC000902885647 584688869 /nfs/dbraw/zinc/68/88/69/584688869.db2.gz CXHLRLCEGGGNCC-QWRGUYRKSA-N 0 3 234.318 2.889 20 0 BFADHN CCn1ccc(CNC2C[C@H]3CCC[C@@H]3C2)n1 ZINC000903019806 584713853 /nfs/dbraw/zinc/71/38/53/584713853.db2.gz RNENIYJRRHVFES-VXGBXAGGSA-N 0 3 233.359 2.571 20 0 BFADHN COc1ccncc1CNC1C[C@@H]2CCC[C@H]2C1 ZINC000902999945 584715312 /nfs/dbraw/zinc/71/53/12/584715312.db2.gz SLYRSPLNMNCICN-RYUDHWBXSA-N 0 3 246.354 2.759 20 0 BFADHN c1coc(CCN[C@@H](c2ccccn2)C2CC2)c1 ZINC000903058534 584722216 /nfs/dbraw/zinc/72/22/16/584722216.db2.gz RLHBZFBGBNBPMT-OAHLLOKOSA-N 0 3 242.322 2.958 20 0 BFADHN C[C@@H]1CCCCCN1Cc1ccnc(F)c1 ZINC000859142447 589008743 /nfs/dbraw/zinc/00/87/43/589008743.db2.gz SEQQUVBQXPZCRZ-LLVKDONJSA-N 0 3 222.307 2.985 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CN[C@@H]1CCCc2c[nH]nc21 ZINC000903093339 584729096 /nfs/dbraw/zinc/72/90/96/584729096.db2.gz IRYRMBZDGHJRLW-FYGCWZCISA-N 0 3 245.370 2.669 20 0 BFADHN CS[C@@H](C)CN[C@H](c1ccccn1)C1CC1 ZINC000903127598 584729602 /nfs/dbraw/zinc/72/96/02/584729602.db2.gz IZTZVDHNMVXVFH-GWCFXTLKSA-N 0 3 236.384 2.874 20 0 BFADHN COc1cc(C)cc(CN[C@H]2CCC[C@@H]2OC)c1 ZINC000903127946 584729902 /nfs/dbraw/zinc/72/99/02/584729902.db2.gz OYNPTJNXMLOMTC-GJZGRUSLSA-N 0 3 249.354 2.661 20 0 BFADHN CO[C@@H]1CCC[C@H]1NC1(c2ccccc2F)CC1 ZINC000903137484 584730320 /nfs/dbraw/zinc/73/03/20/584730320.db2.gz MPXQZMBWVIERBR-ZIAGYGMSSA-N 0 3 249.329 2.972 20 0 BFADHN CO[C@@H]1CCC[C@@H]1NC1(c2ccccc2)CC1 ZINC000903135260 584730352 /nfs/dbraw/zinc/73/03/52/584730352.db2.gz IEOFLFGQKIEMPZ-UONOGXRCSA-N 0 3 231.339 2.833 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2cocn2)[C@@H]1C ZINC000903138519 584730482 /nfs/dbraw/zinc/73/04/82/584730482.db2.gz WCUILHPRYFSHIP-XZUYRWCXSA-N 0 3 222.332 2.835 20 0 BFADHN CO[C@H]1CCC[C@H]1NC1(c2ccccc2)CC1 ZINC000903135258 584730546 /nfs/dbraw/zinc/73/05/46/584730546.db2.gz IEOFLFGQKIEMPZ-KGLIPLIRSA-N 0 3 231.339 2.833 20 0 BFADHN FC1(F)CC(N[C@@H](c2ccccn2)C2CC2)C1 ZINC000903159814 584731252 /nfs/dbraw/zinc/73/12/52/584731252.db2.gz ITLVJJVWHMZSHS-GFCCVEGCSA-N 0 3 238.281 2.920 20 0 BFADHN c1cn2c(n1)[C@H](NCC[C@@H]1CC[C@H]3C[C@H]31)CCC2 ZINC000903163615 584731797 /nfs/dbraw/zinc/73/17/97/584731797.db2.gz GBVSXZTTZIXGSF-XDQVBPFNSA-N 0 3 245.370 2.744 20 0 BFADHN CC(C)(CO)CCN[C@H](c1ccccn1)C1CC1 ZINC000903178250 584733124 /nfs/dbraw/zinc/73/31/24/584733124.db2.gz VLEDDPBMBHKHCB-AWEZNQCLSA-N 0 3 248.370 2.531 20 0 BFADHN C[C@H](NCc1nccc2c1CCCC2)C1CC1 ZINC000903185139 584733675 /nfs/dbraw/zinc/73/36/75/584733675.db2.gz QAOOCVVDMWZGGT-NSHDSACASA-N 0 3 230.355 2.849 20 0 BFADHN CCOc1cccc([C@H](C)NCCOC2CC2)c1 ZINC000903170541 584734121 /nfs/dbraw/zinc/73/41/21/584734121.db2.gz KRLQOAKHZPZSBO-LBPRGKRZSA-N 0 3 249.354 2.915 20 0 BFADHN c1cc(CCN[C@@H](c2ccccn2)C2CC2)co1 ZINC000903199569 584735009 /nfs/dbraw/zinc/73/50/09/584735009.db2.gz DVNXERDAHQJTLV-OAHLLOKOSA-N 0 3 242.322 2.958 20 0 BFADHN CO[C@@H]1CCC[C@@H]1N[C@@H](c1ccccn1)C1CC1 ZINC000903224483 584735935 /nfs/dbraw/zinc/73/59/35/584735935.db2.gz WQXBHYSEKPSVQB-NWANDNLSSA-N 0 3 246.354 2.690 20 0 BFADHN C/C=C\CN[C@H](C)c1cccc(COC(C)=O)c1 ZINC000903207923 584736458 /nfs/dbraw/zinc/73/64/58/584736458.db2.gz WRZFNGLNYLTXJX-QMAVJUDZSA-N 0 3 247.338 2.976 20 0 BFADHN Cc1nonc1CN[C@@H]1[C@H](C)CC[C@@H](C)[C@@H]1C ZINC000903216819 584737437 /nfs/dbraw/zinc/73/74/37/584737437.db2.gz JABJLDXXBPJUTO-ORXSELOVSA-N 0 3 237.347 2.538 20 0 BFADHN CO[C@H]1CCC[C@@H]1N[C@H]1CCc2c1cccc2F ZINC000903219181 584737691 /nfs/dbraw/zinc/73/76/91/584737691.db2.gz GTEUCTBSZPANAW-KKUMJFAQSA-N 0 3 249.329 2.970 20 0 BFADHN COC[C@H]1C[C@@H](N[C@@H](c2ccccn2)C2CC2)C1 ZINC000903218969 584737858 /nfs/dbraw/zinc/73/78/58/584737858.db2.gz GHSXEXBQOYAMAJ-OSAQELSMSA-N 0 3 246.354 2.547 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1ccc(F)cn1 ZINC000903254824 584739370 /nfs/dbraw/zinc/73/93/70/584739370.db2.gz STGTXOJGTGBZTF-HOVHJQPKSA-N 0 3 234.318 2.916 20 0 BFADHN C[C@H](NCC1(C2(O)CCC2)CC1)c1ccco1 ZINC000903260915 584740255 /nfs/dbraw/zinc/74/02/55/584740255.db2.gz YEPMHESRURGEKQ-NSHDSACASA-N 0 3 235.327 2.625 20 0 BFADHN C[C@H]1CCC[C@H](C)N1Cc1ccnc(F)c1 ZINC000859133665 589007135 /nfs/dbraw/zinc/00/71/35/589007135.db2.gz DQHFVWGYHHZIGB-QWRGUYRKSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@H]1C[C@H](Nc2cccc(C3CC3)n2)CCN1C ZINC000903267535 584741532 /nfs/dbraw/zinc/74/15/32/584741532.db2.gz CPQHOQFVFFHNAF-WCQYABFASA-N 0 3 245.370 2.854 20 0 BFADHN C[C@@H](N[C@@H]1CCSC(C)(C)C1)c1ncc[nH]1 ZINC000903282842 584742868 /nfs/dbraw/zinc/74/28/68/584742868.db2.gz NLSPELUPXAEOID-NXEZZACHSA-N 0 3 239.388 2.735 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1cccc(F)c1 ZINC000903289808 584746167 /nfs/dbraw/zinc/74/61/67/584746167.db2.gz TWQDRNHZEFQCPE-GKEDWUBWSA-N 0 3 247.313 2.652 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCC[C@H]12)c1ccns1 ZINC000903315322 584748985 /nfs/dbraw/zinc/74/89/85/584748985.db2.gz DTWDXCYXCKPJFI-XWLWVQCSSA-N 0 3 222.357 2.982 20 0 BFADHN Cc1ccc([C@@H](NC[C@H]2CCN2C)C2CCC2)o1 ZINC000903315998 584749342 /nfs/dbraw/zinc/74/93/42/584749342.db2.gz BIKOPCGOELILLF-HIFRSBDPSA-N 0 3 248.370 2.723 20 0 BFADHN CC1(C)C[C@@H](N[C@H](c2ccccn2)C2CC2)CO1 ZINC000903334754 584751342 /nfs/dbraw/zinc/75/13/42/584751342.db2.gz FWEMTVBIYFJFQK-OCCSQVGLSA-N 0 3 246.354 2.690 20 0 BFADHN CC1CC(N[C@H](c2ccccn2)C2CC2)C1 ZINC000903335219 584751419 /nfs/dbraw/zinc/75/14/19/584751419.db2.gz MKUJHPVNVYNHAO-OVGLSYRBSA-N 0 3 216.328 2.921 20 0 BFADHN CC[C@H](N[C@H](c1ncccn1)C1CC1)C1CC1 ZINC000903327390 584753671 /nfs/dbraw/zinc/75/36/71/584753671.db2.gz SLOIUTPEFTWWDK-STQMWFEESA-N 0 3 231.343 2.706 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H](c1ncccn1)C1CC1 ZINC000903329940 584753939 /nfs/dbraw/zinc/75/39/39/584753939.db2.gz GLRVCXIKANCMOR-DGCLKSJQSA-N 0 3 233.359 2.952 20 0 BFADHN CCC[C@H](C)N[C@@H](c1ncccn1)C1CC1 ZINC000903330274 584753976 /nfs/dbraw/zinc/75/39/76/584753976.db2.gz GVMSKWMHXXGIOB-CMPLNLGQSA-N 0 3 219.332 2.706 20 0 BFADHN Cc1cc([C@@H](C)NC2CC(CCO)C2)c(C)o1 ZINC000903331039 584754592 /nfs/dbraw/zinc/75/45/92/584754592.db2.gz VFJKVYNGUFVKOK-QFWMXSHPSA-N 0 3 237.343 2.708 20 0 BFADHN CC(C)CC[C@@H](NCc1cn(C)cn1)C1CC1 ZINC000903351158 584755541 /nfs/dbraw/zinc/75/55/41/584755541.db2.gz HYTPGPYQABXMNM-CQSZACIVSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H]1COC[C@@H]1N[C@H]1CCCc2c(F)cccc21 ZINC000903393458 584756710 /nfs/dbraw/zinc/75/67/10/584756710.db2.gz NNMKVWFVEFEMNH-ONERCXAPSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2COC[C@H]2C)ccc1F ZINC000903396032 584756834 /nfs/dbraw/zinc/75/68/34/584756834.db2.gz OTBVEIIADLHRPQ-UHIISALHSA-N 0 3 237.318 2.820 20 0 BFADHN C[C@@H]1COC[C@H]1N[C@@H]1CC(C)(C)Cc2occc21 ZINC000903394597 584757110 /nfs/dbraw/zinc/75/71/10/584757110.db2.gz FFMDYUVMPQTLGK-RAIGVLPGSA-N 0 3 249.354 2.918 20 0 BFADHN C[C@@H]1COC[C@@H]1N[C@H]1CC(C)(C)Cc2occc21 ZINC000903394599 584757145 /nfs/dbraw/zinc/75/71/45/584757145.db2.gz FFMDYUVMPQTLGK-WXHSDQCUSA-N 0 3 249.354 2.918 20 0 BFADHN Cc1ncccc1CCN[C@H](C)c1cncs1 ZINC000903416160 584760333 /nfs/dbraw/zinc/76/03/33/584760333.db2.gz QWVYAOJPSXJUGE-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN COc1ccccc1[C@H](C)NCC[C@@H](O)C1CC1 ZINC000903404155 584760976 /nfs/dbraw/zinc/76/09/76/584760976.db2.gz ISERYIZAJGIVSH-SMDDNHRTSA-N 0 3 249.354 2.507 20 0 BFADHN CC[C@@H]1COC[C@@H]1N[C@H](c1ccncc1)C1CC1 ZINC000903428926 584761730 /nfs/dbraw/zinc/76/17/30/584761730.db2.gz MSYJYGRQJSEVDF-UGFHNGPFSA-N 0 3 246.354 2.547 20 0 BFADHN C[C@H](N[C@H]1COC[C@H]1C1CC1)c1ccsc1 ZINC000903438900 584762501 /nfs/dbraw/zinc/76/25/01/584762501.db2.gz YSFTZWFQKFJPRM-XDTLVQLUSA-N 0 3 237.368 2.824 20 0 BFADHN CC[C@H](N[C@H]1COC[C@@H]1C1CC1)c1ccncc1 ZINC000903440212 584763350 /nfs/dbraw/zinc/76/33/50/584763350.db2.gz LNGLJHFWFFQVAK-ILXRZTDVSA-N 0 3 246.354 2.547 20 0 BFADHN C[C@@H](NC1(C)C(C)(C)C1(C)C)c1cnn(C)c1 ZINC000903442169 584767156 /nfs/dbraw/zinc/76/71/56/584767156.db2.gz LAXSJUPUPNWQTL-SNVBAGLBSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H](NC1(C)C(C)(C)C1(C)C)c1cnn(C)c1 ZINC000903442168 584767186 /nfs/dbraw/zinc/76/71/86/584767186.db2.gz LAXSJUPUPNWQTL-JTQLQIEISA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H](NC/C=C/Cl)c1cccc(CO)c1 ZINC000903445199 584769276 /nfs/dbraw/zinc/76/92/76/584769276.db2.gz SEVWPXPCTYTICN-YVGDHZEHSA-N 0 3 225.719 2.582 20 0 BFADHN C[C@H](NC/C=C\Cl)C1(Br)CC1 ZINC000903446265 584770249 /nfs/dbraw/zinc/77/02/49/584770249.db2.gz XICMKRVFDWCDEB-KVFVURRZSA-N 0 3 238.556 2.645 20 0 BFADHN C[C@@H](NC1(C2CCCCC2)CC1)c1cn(C)nn1 ZINC000903448367 584771311 /nfs/dbraw/zinc/77/13/11/584771311.db2.gz NTTULOWYQZRTQE-LLVKDONJSA-N 0 3 248.374 2.579 20 0 BFADHN CC(C)(C)CCN1CCOc2ccc(N)cc2C1 ZINC000903945108 584829726 /nfs/dbraw/zinc/82/97/26/584829726.db2.gz QJNYOSGDHSQTQM-UHFFFAOYSA-N 0 3 248.370 2.899 20 0 BFADHN FC(F)Oc1ccc(CN2CC[C@@H]3C[C@@H]32)cc1 ZINC000761086335 584909135 /nfs/dbraw/zinc/90/91/35/584909135.db2.gz DCERFKNFOUAULH-PWSUYJOCSA-N 0 3 239.265 2.882 20 0 BFADHN CC[C@](C)(NCc1cn[nH]c1)c1ccccc1 ZINC000730817459 584916868 /nfs/dbraw/zinc/91/68/68/584916868.db2.gz NYRZWZIEIHRTKM-AWEZNQCLSA-N 0 3 229.327 2.825 20 0 BFADHN CN(C)Cc1cccc(C(=O)OCCC2CC2)c1 ZINC000835188888 584920766 /nfs/dbraw/zinc/92/07/66/584920766.db2.gz RLXBNWBNXSGSSR-UHFFFAOYSA-N 0 3 247.338 2.705 20 0 BFADHN CCCCCCN(C)CC(=O)N[C@H](C)CCC ZINC000732265206 584934886 /nfs/dbraw/zinc/93/48/86/584934886.db2.gz OVSZCECFNLIGID-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN CCCN(CCC)CN1C[C@H](C(C)C)CC1=O ZINC000733290116 584945802 /nfs/dbraw/zinc/94/58/02/584945802.db2.gz QKXCLVATRNSGPW-CYBMUJFWSA-N 0 3 240.391 2.570 20 0 BFADHN Cc1cc(NCC[C@@H]2CCCC[C@@H]2C)nc(N)n1 ZINC000733993160 584956372 /nfs/dbraw/zinc/95/63/72/584956372.db2.gz HEDZEMADYPTMHT-JQWIXIFHSA-N 0 3 248.374 2.996 20 0 BFADHN C[C@H](NCc1ccoc1)[C@H](O)c1ccc(F)cc1 ZINC000734846116 584968728 /nfs/dbraw/zinc/96/87/28/584968728.db2.gz BQTLWDXTQYWGMB-HZMBPMFUSA-N 0 3 249.285 2.630 20 0 BFADHN C[C@@H]1CN(Cc2ccc3c(c2)COC3)[C@@H]1C ZINC000815163842 584973463 /nfs/dbraw/zinc/97/34/63/584973463.db2.gz RPGXAWYXJYPTKH-GHMZBOCLSA-N 0 3 217.312 2.557 20 0 BFADHN CCCCCCN(C)CC(=O)N(C)[C@H](C)CC ZINC000735653289 584980397 /nfs/dbraw/zinc/98/03/97/584980397.db2.gz KDYIGBWBIUHLQY-CYBMUJFWSA-N 0 3 242.407 2.755 20 0 BFADHN CCC(C)(C)N(C)Cc1ccnc(F)c1 ZINC000859192501 589017388 /nfs/dbraw/zinc/01/73/88/589017388.db2.gz UPVOVJCZLGKFQT-UHFFFAOYSA-N 0 3 210.296 2.841 20 0 BFADHN c1ccc2c(c1)SC[C@H]2N[C@H]1CCCOC1 ZINC000156281638 585021705 /nfs/dbraw/zinc/02/17/05/585021705.db2.gz MPSAZLIJTMYLKD-CMPLNLGQSA-N 0 3 235.352 2.602 20 0 BFADHN O[C@@H](CN1CCC[C@H]1c1ccc(F)cc1)C1CC1 ZINC000838783048 585069689 /nfs/dbraw/zinc/06/96/89/585069689.db2.gz GEMSQMHLDADVPJ-GJZGRUSLSA-N 0 3 249.329 2.734 20 0 BFADHN C[C@H]1c2ccc(F)cc2CCN1C[C@H](O)C1CC1 ZINC000838854703 585074942 /nfs/dbraw/zinc/07/49/42/585074942.db2.gz QUSNLXGTCOFOLY-BONVTDFDSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@H](c1ccco1)N(C[C@H](O)C1CC1)C1CC1 ZINC000838901293 585080286 /nfs/dbraw/zinc/08/02/86/585080286.db2.gz SFANGWABYZFSLM-MFKMUULPSA-N 0 3 235.327 2.576 20 0 BFADHN c1nc(C2CC2)ncc1CNCCC1CCC1 ZINC000838980672 585084130 /nfs/dbraw/zinc/08/41/30/585084130.db2.gz PDMXDJQMQSCQDL-UHFFFAOYSA-N 0 3 231.343 2.634 20 0 BFADHN Cc1ccc2oc(CNC[C@@H](O)C3CC3)cc2c1 ZINC000740005786 585089928 /nfs/dbraw/zinc/08/99/28/585089928.db2.gz FOZLTRIJUZZHKK-CQSZACIVSA-N 0 3 245.322 2.602 20 0 BFADHN Cc1cc(NC(C)C)ccc1OCCN(C)C ZINC000839183569 585096594 /nfs/dbraw/zinc/09/65/94/585096594.db2.gz BHCLUPBMPDHANC-UHFFFAOYSA-N 0 3 236.359 2.756 20 0 BFADHN CC(=O)CCN(C)[C@H](C)Cc1cccc(F)c1 ZINC000839193843 585097938 /nfs/dbraw/zinc/09/79/38/585097938.db2.gz WNEQSLLEFSDFNV-LLVKDONJSA-N 0 3 237.318 2.668 20 0 BFADHN Cc1ccc([C@H]2CCCN2CC2OCCO2)cc1 ZINC000741157111 585110701 /nfs/dbraw/zinc/11/07/01/585110701.db2.gz RWOQMOCCBZPAGQ-CQSZACIVSA-N 0 3 247.338 2.505 20 0 BFADHN CCCCOC(=O)[C@@H](C)N1CCC[C@H]1C(C)C ZINC000741784813 585118544 /nfs/dbraw/zinc/11/85/44/585118544.db2.gz VIZGUAYPOKUOJN-OLZOCXBDSA-N 0 3 241.375 2.839 20 0 BFADHN CCSCCN(CC)CCc1ccccn1 ZINC000741783077 585118636 /nfs/dbraw/zinc/11/86/36/585118636.db2.gz WBSFALMBRKTIOO-UHFFFAOYSA-N 0 3 238.400 2.699 20 0 BFADHN CCCOC(=O)[C@H](C)N1CCC[C@@H]1C1CCC1 ZINC000741782847 585118657 /nfs/dbraw/zinc/11/86/57/585118657.db2.gz NMBLZVFSUUETON-WCQYABFASA-N 0 3 239.359 2.593 20 0 BFADHN CCCOC(=O)[C@H](C)N1CCC[C@@H]1C(C)(C)C ZINC000741785247 585119000 /nfs/dbraw/zinc/11/90/00/585119000.db2.gz PVTFECSKIXRPCB-NWDGAFQWSA-N 0 3 241.375 2.839 20 0 BFADHN Fc1cccc(F)c1CCCN1C[C@@H]2C[C@@H]2C1 ZINC000886348832 585133766 /nfs/dbraw/zinc/13/37/66/585133766.db2.gz UAQWAYJLLNQVSJ-PHIMTYICSA-N 0 3 237.293 2.849 20 0 BFADHN CCC[C@@H]1CC(=O)N(CN(C)[C@H](C)C(C)C)C1 ZINC000743353899 585135792 /nfs/dbraw/zinc/13/57/92/585135792.db2.gz JLKAPWKAWYNLSI-CHWSQXEVSA-N 0 3 240.391 2.569 20 0 BFADHN Cc1cc([C@H]2CCCN2CC2CCC2)no1 ZINC000743839580 585140185 /nfs/dbraw/zinc/14/01/85/585140185.db2.gz MMQRPNKGGMNIKP-CYBMUJFWSA-N 0 3 220.316 2.920 20 0 BFADHN O[C@@H](CNC/C=C\c1ccccc1)c1ccco1 ZINC000747098903 585174915 /nfs/dbraw/zinc/17/49/15/585174915.db2.gz GAMKSYFPNNQCGE-VCDPDADVSA-N 0 3 243.306 2.616 20 0 BFADHN C[C@H](NC1CCC=CCC1)c1ncc[nH]1 ZINC000886815226 585178410 /nfs/dbraw/zinc/17/84/10/585178410.db2.gz AAFCTMKBAINKRU-JTQLQIEISA-N 0 3 205.305 2.559 20 0 BFADHN c1cn2c(n1)[C@H](NC1(C3CC3)CCC1)CCC2 ZINC000886825008 585180595 /nfs/dbraw/zinc/18/05/95/585180595.db2.gz KRYPBSHYPASDHA-GFCCVEGCSA-N 0 3 231.343 2.640 20 0 BFADHN CC[C@@]1(C)CCCN(C/C=C(/C)C(=O)OC)C1 ZINC000748822319 585196876 /nfs/dbraw/zinc/19/68/76/585196876.db2.gz BCELISWXMMGVEL-VDBIKXPHSA-N 0 3 239.359 2.618 20 0 BFADHN CC[C@@]1(C)CCCN(C/C=C(\C)C(=O)OC)C1 ZINC000748822317 585197094 /nfs/dbraw/zinc/19/70/94/585197094.db2.gz BCELISWXMMGVEL-GMMCIKNFSA-N 0 3 239.359 2.618 20 0 BFADHN C[C@H](NCc1ccoc1)[C@H](O)c1cccc(F)c1 ZINC000749120327 585199516 /nfs/dbraw/zinc/19/95/16/585199516.db2.gz VOBDSOGADOCXCL-HZMBPMFUSA-N 0 3 249.285 2.630 20 0 BFADHN CCCCOC(=O)CN(C(C)C)C1CCCC1 ZINC000749176410 585200212 /nfs/dbraw/zinc/20/02/12/585200212.db2.gz LFMDLFFGQWHCHG-UHFFFAOYSA-N 0 3 241.375 2.983 20 0 BFADHN CCCCCC(C)(C)C(=O)NC[C@@H](C)N(C)C ZINC000753285709 585256361 /nfs/dbraw/zinc/25/63/61/585256361.db2.gz AVYSABZXXOYFBG-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN CCCCN(CCOC)CCC(=O)C(C)(C)C ZINC000753721127 585267243 /nfs/dbraw/zinc/26/72/43/585267243.db2.gz JXYUOZOUIREBBO-UHFFFAOYSA-N 0 3 243.391 2.740 20 0 BFADHN CCC(=O)CCN1CC[C@H]1Cc1ccccc1 ZINC000753737526 585267321 /nfs/dbraw/zinc/26/73/21/585267321.db2.gz GDLJJPFXHLWHKW-AWEZNQCLSA-N 0 3 231.339 2.673 20 0 BFADHN CCC(=O)CCN1CCC(OC(C)(C)C)CC1 ZINC000753737002 585267607 /nfs/dbraw/zinc/26/76/07/585267607.db2.gz ANXOCNGNTNOBMC-UHFFFAOYSA-N 0 3 241.375 2.635 20 0 BFADHN Cc1c(Cl)cnn1CN(CC1CC1)C(C)C ZINC000753795087 585269303 /nfs/dbraw/zinc/26/93/03/585269303.db2.gz MLTNTYIGMXIYIW-UHFFFAOYSA-N 0 3 241.766 2.923 20 0 BFADHN Cc1cc([C@@H]2CCCN2C[C@H]2C[C@@H]2C)no1 ZINC000754100123 585278273 /nfs/dbraw/zinc/27/82/73/585278273.db2.gz HWBMVLFVCAEFMC-NDMJEZRESA-N 0 3 220.316 2.776 20 0 BFADHN CC[C@@H]1CC(=O)N(CN(CC)[C@H](C)C(C)C)C1 ZINC000755913373 585310499 /nfs/dbraw/zinc/31/04/99/585310499.db2.gz OPKZVNAHRCHNRI-CHWSQXEVSA-N 0 3 240.391 2.569 20 0 BFADHN Cc1ccc([C@H](N[C@@H]2CCN(C)C2)C2CCC2)o1 ZINC000756536964 585320741 /nfs/dbraw/zinc/32/07/41/585320741.db2.gz XYMBSKFTCBJGEY-UKRRQHHQSA-N 0 3 248.370 2.723 20 0 BFADHN Cc1ccccc1[C@@H](O)CN1CC=C(C)CC1 ZINC000756634305 585321876 /nfs/dbraw/zinc/32/18/76/585321876.db2.gz AFVZDMHHYULJGM-HNNXBMFYSA-N 0 3 231.339 2.680 20 0 BFADHN CC(C)(C)SCCN1CCSCC1 ZINC000757741402 585345069 /nfs/dbraw/zinc/34/50/69/585345069.db2.gz OJGKXSQBALSQQS-UHFFFAOYSA-N 0 3 219.419 2.567 20 0 BFADHN CC(C)(C)[C@@H]1CCN(Cc2ccoc2)C[C@@H]1O ZINC000757867814 585346238 /nfs/dbraw/zinc/34/62/38/585346238.db2.gz WGDFLVGALGBKKG-OLZOCXBDSA-N 0 3 237.343 2.509 20 0 BFADHN CCC(CC)CC(=O)N[C@H](CN(C)C)C(C)C ZINC000759217975 585365831 /nfs/dbraw/zinc/36/58/31/585365831.db2.gz HZGMXYFPGRNKEA-CYBMUJFWSA-N 0 3 242.407 2.515 20 0 BFADHN CN(CCF)[C@H]1CCCc2ccccc21 ZINC000759331873 585367994 /nfs/dbraw/zinc/36/79/94/585367994.db2.gz GUKUHOCBICUCOO-ZDUSSCGKSA-N 0 3 207.292 2.965 20 0 BFADHN Cc1ccc(C[C@H](C)N(C)CCF)cc1 ZINC000759343294 585369457 /nfs/dbraw/zinc/36/94/57/585369457.db2.gz NSSGMTKHXZQHKY-LBPRGKRZSA-N 0 3 209.308 2.827 20 0 BFADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1CCF ZINC000759346505 585369901 /nfs/dbraw/zinc/36/99/01/585369901.db2.gz YROJTYISEIEAAV-PWSUYJOCSA-N 0 3 225.282 2.973 20 0 BFADHN FCCN1CCSC2(CCCCC2)C1 ZINC000759347650 585370556 /nfs/dbraw/zinc/37/05/56/585370556.db2.gz XHODKEJYUCIPAK-UHFFFAOYSA-N 0 3 217.353 2.708 20 0 BFADHN C[C@H](c1ccco1)N(CCN1CCCC1)C1CC1 ZINC000760860176 585400210 /nfs/dbraw/zinc/40/02/10/585400210.db2.gz LQDVZIUVWXQTIB-CYBMUJFWSA-N 0 3 248.370 2.901 20 0 BFADHN CCCCOCCN1CC[C@H](C(F)(F)F)C1 ZINC000761050072 585404275 /nfs/dbraw/zinc/40/42/75/585404275.db2.gz BGOXBYOKNNAEHQ-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN FC(F)(F)[C@@H]1CCN([C@@H]2C=CCCC2)C1 ZINC000761049371 585404337 /nfs/dbraw/zinc/40/43/37/585404337.db2.gz PVICADUIZVNQDV-NXEZZACHSA-N 0 3 219.250 2.979 20 0 BFADHN C[C@@H]1CCC[C@H](CCN2CCN(C)[C@@H](C)C2)C1 ZINC000761077727 585405773 /nfs/dbraw/zinc/40/57/73/585405773.db2.gz OROMYHCDQYWHLI-QLFBSQMISA-N 0 3 238.419 2.839 20 0 BFADHN Cc1ccccc1CCCN1CCN(C)[C@@H](C)C1 ZINC000761078297 585405832 /nfs/dbraw/zinc/40/58/32/585405832.db2.gz BJCVADCJHACBGJ-HNNXBMFYSA-N 0 3 246.398 2.564 20 0 BFADHN CCOc1ccc(OCCN2CC[C@H]3C[C@H]32)cc1 ZINC000761085649 585407433 /nfs/dbraw/zinc/40/74/33/585407433.db2.gz NFJNCTMKFYIXLD-SWLSCSKDSA-N 0 3 247.338 2.558 20 0 BFADHN Clc1ccccc1CCN1CC[C@@H]2C[C@@H]21 ZINC000761089473 585407953 /nfs/dbraw/zinc/40/79/53/585407953.db2.gz IZLKDFDJKYSUGC-YPMHNXCESA-N 0 3 221.731 2.977 20 0 BFADHN Clc1cccc(CN2CC[C@@H]3C[C@@H]32)c1 ZINC000761097004 585408810 /nfs/dbraw/zinc/40/88/10/585408810.db2.gz RFTURWDEWAPXEF-PWSUYJOCSA-N 0 3 207.704 2.934 20 0 BFADHN Cc1ccc2c(c1)CC[C@H]2NCCOCC1CC1 ZINC000765868895 585493381 /nfs/dbraw/zinc/49/33/81/585493381.db2.gz SYFWAOBQEQMITR-MRXNPFEDSA-N 0 3 245.366 2.999 20 0 BFADHN COc1ccc(C)cc1CN(C)CCCF ZINC000766008395 585495712 /nfs/dbraw/zinc/49/57/12/585495712.db2.gz XDDNRILXAHPILL-UHFFFAOYSA-N 0 3 225.307 2.795 20 0 BFADHN C[C@H]1CCN(Cc2cccc3nsnc32)C1 ZINC000766045833 585496735 /nfs/dbraw/zinc/49/67/35/585496735.db2.gz VRRHBHOMHJFCPI-VIFPVBQESA-N 0 3 233.340 2.533 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cccc2nsnc21 ZINC000766074436 585496871 /nfs/dbraw/zinc/49/68/71/585496871.db2.gz HLHZDTUHRDANNH-VHSXEESVSA-N 0 3 247.367 2.922 20 0 BFADHN Cc1cc(N2CC(C)(C)CC(C)(C)C2)nc(N)n1 ZINC000767212966 585515619 /nfs/dbraw/zinc/51/56/19/585515619.db2.gz OMAJCNKCJBCBRC-UHFFFAOYSA-N 0 3 248.374 2.630 20 0 BFADHN CC/C=C/CCN1CCCC[C@H]1C1OCCO1 ZINC000767314770 585516565 /nfs/dbraw/zinc/51/65/65/585516565.db2.gz ZJKRNECUWLVEGH-OOPCZODUSA-N 0 3 239.359 2.570 20 0 BFADHN CN(CCC(=O)C1CC1)[C@H]1CCc2ccccc21 ZINC000767354911 585517410 /nfs/dbraw/zinc/51/74/10/585517410.db2.gz NGOSASZIIXGYQT-HNNXBMFYSA-N 0 3 243.350 2.975 20 0 BFADHN O=C(CCN1CCC[C@@H]1c1ccc[nH]1)C1CC1 ZINC000767419947 585519675 /nfs/dbraw/zinc/51/96/75/585519675.db2.gz FNQXWZBNLJYPBF-CYBMUJFWSA-N 0 3 232.327 2.521 20 0 BFADHN CN(CCCF)[C@@H]1C[C@H]1c1ccccc1 ZINC000767906586 585527237 /nfs/dbraw/zinc/52/72/37/585527237.db2.gz UZSCPPRDHBHHIM-QWHCGFSZSA-N 0 3 207.292 2.834 20 0 BFADHN CCN(CC)Cc1cnc(Cl)c(C)c1 ZINC000768134820 585532397 /nfs/dbraw/zinc/53/23/97/585532397.db2.gz VDNXSLMWLMKLHI-UHFFFAOYSA-N 0 3 212.724 2.885 20 0 BFADHN CCN(Cc1nn(C)c2ccccc12)C(C)C ZINC000768236796 585534280 /nfs/dbraw/zinc/53/42/80/585534280.db2.gz GJUATNRSJJRSLB-UHFFFAOYSA-N 0 3 231.343 2.804 20 0 BFADHN CN(Cc1cncc2ccccc21)C1CC1 ZINC000768336644 585535626 /nfs/dbraw/zinc/53/56/26/585535626.db2.gz XIEWCWYLYRQUEE-UHFFFAOYSA-N 0 3 212.296 2.829 20 0 BFADHN CCCCC[C@H](C)N1CCC[C@@H]1C(=O)OCC ZINC000768531914 585540014 /nfs/dbraw/zinc/54/00/14/585540014.db2.gz BDPAOBZIFOEBAB-QWHCGFSZSA-N 0 3 241.375 2.983 20 0 BFADHN CN(CCc1ccccc1F)Cc1ccn(C)c1 ZINC000769030958 585547997 /nfs/dbraw/zinc/54/79/97/585547997.db2.gz YZIQOPWWMXEMGJ-UHFFFAOYSA-N 0 3 246.329 2.839 20 0 BFADHN Cc1cc(CN2CCCOC[C@@H]2C)c(C)o1 ZINC000769454941 585557493 /nfs/dbraw/zinc/55/74/93/585557493.db2.gz BAMRSTPFQXJHGF-JTQLQIEISA-N 0 3 223.316 2.507 20 0 BFADHN Cc1nc(CN(C)C2CCCCCCC2)n[nH]1 ZINC000769466611 585557823 /nfs/dbraw/zinc/55/78/23/585557823.db2.gz NEQNCDWTWUOWMJ-UHFFFAOYSA-N 0 3 236.363 2.658 20 0 BFADHN CC(C)n1ccc(CNC2CC(C(F)F)C2)n1 ZINC000769518502 585558310 /nfs/dbraw/zinc/55/83/10/585558310.db2.gz FXJXUXTUQFRKOL-UHFFFAOYSA-N 0 3 243.301 2.597 20 0 BFADHN COC1CC(N[C@H](c2ccccn2)C(C)C)C1 ZINC000769523287 585558645 /nfs/dbraw/zinc/55/86/45/585558645.db2.gz WAJFIJFLEWZUDN-YIZWMMSDSA-N 0 3 234.343 2.546 20 0 BFADHN COc1ccc(CN2CC[C@H](C(F)F)C2)cc1 ZINC000769767915 585562942 /nfs/dbraw/zinc/56/29/42/585562942.db2.gz CXGLIAWVRCKGCW-NSHDSACASA-N 0 3 241.281 2.782 20 0 BFADHN CCOc1ccc(CN2CCCC23CCC3)nc1 ZINC000769984113 585568642 /nfs/dbraw/zinc/56/86/42/585568642.db2.gz KZTZFHHWXAERKB-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN C[C@@H]1CCN(Cc2ccoc2)C[C@H]1n1ccnc1 ZINC000770325341 585572896 /nfs/dbraw/zinc/57/28/96/585572896.db2.gz NRRIXPJBADIDCQ-TZMCWYRMSA-N 0 3 245.326 2.559 20 0 BFADHN CC(C)(C)CCC(=O)OCCN1CCCCC1 ZINC000770384643 585573556 /nfs/dbraw/zinc/57/35/56/585573556.db2.gz YZTLNAMCKCWVNY-UHFFFAOYSA-N 0 3 241.375 2.842 20 0 BFADHN CCCC1(C(=O)OCCN2CCCCC2)CC1 ZINC000770405503 585573779 /nfs/dbraw/zinc/57/37/79/585573779.db2.gz KELOSPHQJIXTIG-UHFFFAOYSA-N 0 3 239.359 2.596 20 0 BFADHN CC[C@H](CC(=O)OCCN(CC)CC)C(C)C ZINC000772094060 585608424 /nfs/dbraw/zinc/60/84/24/585608424.db2.gz YZHLQOJDHYDERX-CYBMUJFWSA-N 0 3 243.391 2.944 20 0 BFADHN CCN(CC)CCOC(=O)C(C)=C1CCCC1 ZINC000772183470 585609811 /nfs/dbraw/zinc/60/98/11/585609811.db2.gz MMSXDOYRHKBILL-UHFFFAOYSA-N 0 3 239.359 2.762 20 0 BFADHN CC/C(C)=C(/C)C(=O)OCCN(CC)CC ZINC000772254722 585611171 /nfs/dbraw/zinc/61/11/71/585611171.db2.gz KHVDKAHDFGCROP-QXMHVHEDSA-N 0 3 227.348 2.618 20 0 BFADHN CCCOC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1CC ZINC000772341118 585612243 /nfs/dbraw/zinc/61/22/43/585612243.db2.gz CFOYLKVCMAYOHQ-SRVKXCTJSA-N 0 3 227.348 2.591 20 0 BFADHN CCN(CC)CCOC(=O)[C@H](C)CC(C)C ZINC000772359250 585613361 /nfs/dbraw/zinc/61/33/61/585613361.db2.gz RXWWHQHKNIGGAS-GFCCVEGCSA-N 0 3 229.364 2.554 20 0 BFADHN CC1(C)CCC[C@@H]1N[C@@H]1CCCc2c[nH]nc21 ZINC000773065279 585630311 /nfs/dbraw/zinc/63/03/11/585630311.db2.gz OKGSHJZMMPUXIR-NEPJUHHUSA-N 0 3 233.359 2.955 20 0 BFADHN CC1(C)CCC[C@H]1N[C@H]1CCCc2c[nH]nc21 ZINC000773065281 585630345 /nfs/dbraw/zinc/63/03/45/585630345.db2.gz OKGSHJZMMPUXIR-NWDGAFQWSA-N 0 3 233.359 2.955 20 0 BFADHN Cl/C=C\CN1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000773698639 585642687 /nfs/dbraw/zinc/64/26/87/585642687.db2.gz YSWIRPSJESWVKY-IAWSGBMASA-N 0 3 243.778 2.878 20 0 BFADHN C[C@H]1CCCN1CCN[C@H]1CCCc2occc21 ZINC000774206432 585653988 /nfs/dbraw/zinc/65/39/88/585653988.db2.gz CEERIZIZMRXFNA-JSGCOSHPSA-N 0 3 248.370 2.731 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1CCO[C@H](C)C1 ZINC000774437040 585659131 /nfs/dbraw/zinc/65/91/31/585659131.db2.gz DFZQRLLXZMYYEY-VXGBXAGGSA-N 0 3 237.318 2.791 20 0 BFADHN CC1(C)CN(C[C@H]2CCC=CO2)C(C)(C)CO1 ZINC000774885988 585668472 /nfs/dbraw/zinc/66/84/72/585668472.db2.gz UBAGVSSNEOLPFD-GFCCVEGCSA-N 0 3 239.359 2.569 20 0 BFADHN FC(F)C1CCN(C[C@H]2CCC=CO2)CC1 ZINC000774883489 585668608 /nfs/dbraw/zinc/66/86/08/585668608.db2.gz FXWLVXGHZTUGRX-LLVKDONJSA-N 0 3 231.286 2.656 20 0 BFADHN c1ccc(C[C@H]2CCN2C[C@@H]2CCC=CO2)cc1 ZINC000774901951 585669000 /nfs/dbraw/zinc/66/90/00/585669000.db2.gz BBILOEFRKJRFNJ-CVEARBPZSA-N 0 3 243.350 2.996 20 0 BFADHN c1cncc([C@H](NC[C@H]2CCC=CO2)C2CC2)c1 ZINC000775040019 585670723 /nfs/dbraw/zinc/67/07/23/585670723.db2.gz ORDHRQSFIYQWAW-HUUCEWRRSA-N 0 3 244.338 2.815 20 0 BFADHN CCN(CC)CCOC(=O)[C@@H]1C[C@]1(C)C(C)C ZINC000775071594 585671855 /nfs/dbraw/zinc/67/18/55/585671855.db2.gz IQHFQTCGKFGINA-GXTWGEPZSA-N 0 3 241.375 2.554 20 0 BFADHN FC(F)(F)C[C@H]1CCN(C[C@H]2CCC=CO2)C1 ZINC000775135697 585672588 /nfs/dbraw/zinc/67/25/88/585672588.db2.gz KZYVWODDVXMBIJ-GHMZBOCLSA-N 0 3 249.276 2.953 20 0 BFADHN CC(C)[C@H](NCCC(C)(C)O)c1ccccn1 ZINC000775445043 585677881 /nfs/dbraw/zinc/67/78/81/585677881.db2.gz DZMZKCJULRUIHH-ZDUSSCGKSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@H]1CCOCCN1C/C=C\c1ccccc1 ZINC000775568900 585680070 /nfs/dbraw/zinc/68/00/70/585680070.db2.gz IERGENXDWXJAJM-PODYEWJSSA-N 0 3 231.339 2.811 20 0 BFADHN CCC[C@H]1CCCC[C@@H]1NCc1nonc1C ZINC000775681191 585682938 /nfs/dbraw/zinc/68/29/38/585682938.db2.gz IKFBDJQFOJQKNH-RYUDHWBXSA-N 0 3 237.347 2.827 20 0 BFADHN COc1ccc(CN2CC[C@H](CC(C)C)C2)nc1 ZINC000775973555 585688459 /nfs/dbraw/zinc/68/84/59/585688459.db2.gz ULAXEOUOJZPPKU-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN COCC1=CCN(Cc2cc(C)oc2C)CC1 ZINC000775993994 585688905 /nfs/dbraw/zinc/68/89/05/585688905.db2.gz FILZFOXKGLMGJK-UHFFFAOYSA-N 0 3 235.327 2.675 20 0 BFADHN CC[C@H](C)N(Cc1ccccc1)Cn1cnnc1 ZINC000776757157 585704217 /nfs/dbraw/zinc/70/42/17/585704217.db2.gz IPUYUVZLDOZYIM-ZDUSSCGKSA-N 0 3 244.342 2.536 20 0 BFADHN C[C@H](C1CC1)N1CCN(c2ccccc2)CC1 ZINC000777401908 585711345 /nfs/dbraw/zinc/71/13/45/585711345.db2.gz UHYSISNWLBJZNP-CYBMUJFWSA-N 0 3 230.355 2.607 20 0 BFADHN OC[C@H]1CCCCN1Cc1ccc(CF)cc1 ZINC000777556034 585715381 /nfs/dbraw/zinc/71/53/81/585715381.db2.gz UIPWSSNJUIRMLC-CQSZACIVSA-N 0 3 237.318 2.503 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccc(CF)cc2)C1 ZINC000777576064 585715737 /nfs/dbraw/zinc/71/57/37/585715737.db2.gz UUAGOZDOJUYUGD-CQSZACIVSA-N 0 3 237.318 2.767 20 0 BFADHN FCc1ccc(CN2CC=CCC2)cc1 ZINC000778968351 585743293 /nfs/dbraw/zinc/74/32/93/585743293.db2.gz ZLMIXXGUZXLJFW-UHFFFAOYSA-N 0 3 205.276 2.918 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccc(F)nc1 ZINC000779153808 585746076 /nfs/dbraw/zinc/74/60/76/585746076.db2.gz DOSHWTPTKCLIRU-LLVKDONJSA-N 0 3 208.280 2.595 20 0 BFADHN CC(C)[C@H](N[C@H]1C[C@H](O)C1(C)C)c1ccccn1 ZINC000779347678 585752292 /nfs/dbraw/zinc/75/22/92/585752292.db2.gz MJANBNZSBWSWFU-IHRRRGAJSA-N 0 3 248.370 2.528 20 0 BFADHN CC[C@@H]1C[C@H](CN2CC[C@H](C)[C@@H](F)C2)CCO1 ZINC000780766569 585784650 /nfs/dbraw/zinc/78/46/50/585784650.db2.gz HKMAKYJMSUUWHL-DGAVXFQQSA-N 0 3 243.366 2.872 20 0 BFADHN COC(CN[C@@H](CC1CC1)c1ccccc1)OC ZINC000781903812 585805038 /nfs/dbraw/zinc/80/50/38/585805038.db2.gz YNFXQRHPMUEATC-AWEZNQCLSA-N 0 3 249.354 2.736 20 0 BFADHN C[C@@H](C1CC1)N1CCO[C@H](Cc2ccccc2)C1 ZINC000782192110 585811459 /nfs/dbraw/zinc/81/14/59/585811459.db2.gz SFRVPKUONPPDFN-XJKSGUPXSA-N 0 3 245.366 2.728 20 0 BFADHN Cc1ccn2cc(CN[C@@H]3CC[C@@H]3C)nc2c1 ZINC000783237107 585831575 /nfs/dbraw/zinc/83/15/75/585831575.db2.gz QBCXTYFKCQZWHD-WCQYABFASA-N 0 3 229.327 2.531 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1nc2cc(F)ccc2n1C ZINC000783252570 585832337 /nfs/dbraw/zinc/83/23/37/585832337.db2.gz SOILUOYRCQXQBR-KOLCDFICSA-N 0 3 247.317 2.601 20 0 BFADHN CC(C)CCCN1CCCC[C@H]1C1OCCO1 ZINC000783558829 585836846 /nfs/dbraw/zinc/83/68/46/585836846.db2.gz VNMGOTHBEIQWCQ-ZDUSSCGKSA-N 0 3 241.375 2.650 20 0 BFADHN c1cc(CN2CCC([C@H]3CCCO3)CC2)co1 ZINC000784664303 585865294 /nfs/dbraw/zinc/86/52/94/585865294.db2.gz OFANLQFIPBTIIP-CQSZACIVSA-N 0 3 235.327 2.671 20 0 BFADHN COc1ccc2c(c1)nccc2NC[C@H](C)OC ZINC000785848444 585881865 /nfs/dbraw/zinc/88/18/65/585881865.db2.gz JMOUWXULDOKTMA-JTQLQIEISA-N 0 3 246.310 2.690 20 0 BFADHN COc1ccc2c(c1)nccc2NC[C@@H](C)OC ZINC000785848448 585881915 /nfs/dbraw/zinc/88/19/15/585881915.db2.gz JMOUWXULDOKTMA-SNVBAGLBSA-N 0 3 246.310 2.690 20 0 BFADHN CC[C@H](C)OC(=O)[C@@H]1CCCN1C1CCCC1 ZINC000786893876 585898380 /nfs/dbraw/zinc/89/83/80/585898380.db2.gz YODNGVPTBNSZQK-AAEUAGOBSA-N 0 3 239.359 2.735 20 0 BFADHN CCOC(CCN[C@H](C)c1ccoc1)OCC ZINC000786968599 585899222 /nfs/dbraw/zinc/89/92/22/585899222.db2.gz FKTBTOQOKWFGMO-LLVKDONJSA-N 0 3 241.331 2.719 20 0 BFADHN Cc1nc(F)ccc1CN1C2CCC1CC2 ZINC000787082190 585900758 /nfs/dbraw/zinc/90/07/58/585900758.db2.gz QJQZFIOBNWLABR-UHFFFAOYSA-N 0 3 220.291 2.656 20 0 BFADHN CCN(Cc1ccc(F)nc1C)CC1CC1 ZINC000787181965 585902650 /nfs/dbraw/zinc/90/26/50/585902650.db2.gz YZLZBMHBEGSIFS-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN C[C@@H](NC1CCCCCCC1)c1ncnn1C ZINC000788983296 585932848 /nfs/dbraw/zinc/93/28/48/585932848.db2.gz LHNCPRLLKLSKPH-LLVKDONJSA-N 0 3 236.363 2.579 20 0 BFADHN Cc1nc(SCCN2CCCC2)sc1C ZINC000789922669 585953096 /nfs/dbraw/zinc/95/30/96/585953096.db2.gz SVPHEZSNQMYCDL-UHFFFAOYSA-N 0 3 242.413 2.948 20 0 BFADHN Cc1ccccc1[C@H](O)CN1[C@H](C)C[C@H]1C ZINC000791565881 585984037 /nfs/dbraw/zinc/98/40/37/585984037.db2.gz KIAJSRSMRLEJCO-YRGRVCCFSA-N 0 3 219.328 2.511 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1[C@H](C)C[C@@H]1C ZINC000791573180 585984364 /nfs/dbraw/zinc/98/43/64/585984364.db2.gz HDLKUPCTDJONQE-UHIISALHSA-N 0 3 237.318 2.650 20 0 BFADHN Cc1ccc([C@H](O)CN2[C@H](C)C[C@H]2C)cc1 ZINC000791568328 585984478 /nfs/dbraw/zinc/98/44/78/585984478.db2.gz NTRRQXRBAZXIOC-YRGRVCCFSA-N 0 3 219.328 2.511 20 0 BFADHN CCC(=O)CCN1CC[C@H](C(F)(F)F)[C@H]1C ZINC000791583236 585984785 /nfs/dbraw/zinc/98/47/85/585984785.db2.gz HJVZWMKNRYCGCC-SCZZXKLOSA-N 0 3 237.265 2.628 20 0 BFADHN CCC(=O)CCN1CC[C@@H](C(F)(F)F)[C@@H]1C ZINC000791583237 585984862 /nfs/dbraw/zinc/98/48/62/585984862.db2.gz HJVZWMKNRYCGCC-WCBMZHEXSA-N 0 3 237.265 2.628 20 0 BFADHN CN(Cc1cnc[nH]1)C(C)(C)c1ccccc1 ZINC000792922469 586006787 /nfs/dbraw/zinc/00/67/87/586006787.db2.gz BPLMMIGHXCFIHI-UHFFFAOYSA-N 0 3 229.327 2.777 20 0 BFADHN Cc1cc(CN2CC(C(C)C)C2)c(C)o1 ZINC000793188356 586013373 /nfs/dbraw/zinc/01/33/73/586013373.db2.gz HFHGQXSFFLWMOQ-UHFFFAOYSA-N 0 3 207.317 2.984 20 0 BFADHN Cc1cc([C@@H](C)NCc2ccccc2C)n(C)n1 ZINC000793514277 586021395 /nfs/dbraw/zinc/02/13/95/586021395.db2.gz DSVMYVLNRNMGLR-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cc([C@@H](C)NCCc2cccs2)n(C)n1 ZINC000793517370 586021432 /nfs/dbraw/zinc/02/14/32/586021432.db2.gz MWQXPQZKIPRQBG-LLVKDONJSA-N 0 3 249.383 2.683 20 0 BFADHN Cc1cc([C@@H](C)NCCc2ccsc2)n(C)n1 ZINC000793523513 586021625 /nfs/dbraw/zinc/02/16/25/586021625.db2.gz ISBHKDJYCIIONR-LLVKDONJSA-N 0 3 249.383 2.683 20 0 BFADHN Cc1cc([C@H](C)NCCc2ccc(C)o2)n(C)n1 ZINC000793524892 586021697 /nfs/dbraw/zinc/02/16/97/586021697.db2.gz LVSYFIBCAKFOKI-LBPRGKRZSA-N 0 3 247.342 2.523 20 0 BFADHN CC[C@@H]1CCN1C[C@@H](O)c1ccc(F)cc1C ZINC000795651658 586090110 /nfs/dbraw/zinc/09/01/10/586090110.db2.gz ZGQAGARRCGJXPL-TZMCWYRMSA-N 0 3 237.318 2.652 20 0 BFADHN CC[C@@H]1CCN1C[C@@H](O)c1ccc(Cl)cc1 ZINC000795647545 586090228 /nfs/dbraw/zinc/09/02/28/586090228.db2.gz FBUHNXBPMBBNSS-CHWSQXEVSA-N 0 3 239.746 2.858 20 0 BFADHN CCCCCCN[C@H](c1nncn1C)C(C)C ZINC000796186344 586098611 /nfs/dbraw/zinc/09/86/11/586098611.db2.gz IGIKRCFMKZRPLD-LBPRGKRZSA-N 0 3 238.379 2.682 20 0 BFADHN CCCOC(=O)[C@@H](C)N(CC1CC1)C1CCC1 ZINC000796576551 586107440 /nfs/dbraw/zinc/10/74/40/586107440.db2.gz JITRLOALDUYDFH-LLVKDONJSA-N 0 3 239.359 2.593 20 0 BFADHN CCCOC(=O)[C@H](C)N(CC1CC1)C1CCC1 ZINC000796576553 586107536 /nfs/dbraw/zinc/10/75/36/586107536.db2.gz JITRLOALDUYDFH-NSHDSACASA-N 0 3 239.359 2.593 20 0 BFADHN CC1=C(C)CN(Cc2ccnc(N(C)C)c2)CC1 ZINC000796590025 586107774 /nfs/dbraw/zinc/10/77/74/586107774.db2.gz QMHAPECOAGHPSF-UHFFFAOYSA-N 0 3 245.370 2.690 20 0 BFADHN CC1=C(C)CN(C[C@H](O)c2cccc(F)c2)CC1 ZINC000796589488 586107853 /nfs/dbraw/zinc/10/78/53/586107853.db2.gz IDIKTFHUACVDEZ-HNNXBMFYSA-N 0 3 249.329 2.901 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cncc2ccccc21 ZINC000796639810 586108872 /nfs/dbraw/zinc/10/88/72/586108872.db2.gz GBUNVOZEBRIOBM-LBPRGKRZSA-N 0 3 244.338 2.702 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc(CF)cc1 ZINC000796642684 586109266 /nfs/dbraw/zinc/10/92/66/586109266.db2.gz SJHGILYTVWEBLF-LLVKDONJSA-N 0 3 225.307 2.623 20 0 BFADHN CC1=CCCN(CCC(=O)C(C)(C)C)C1 ZINC000797997266 586135492 /nfs/dbraw/zinc/13/54/92/586135492.db2.gz SOLHQWQDBCAYJR-UHFFFAOYSA-N 0 3 209.333 2.644 20 0 BFADHN CC(C)=CCN1CC[C@@H](c2ccccn2)C1 ZINC000798963372 586154267 /nfs/dbraw/zinc/15/42/67/586154267.db2.gz BCIHZVUWKMOGJD-CYBMUJFWSA-N 0 3 216.328 2.837 20 0 BFADHN CCO[C@@H](CN1CCC[C@@](C)(F)C1)C1CC1 ZINC000859320522 589031290 /nfs/dbraw/zinc/03/12/90/589031290.db2.gz YYPWCOPLRPTOQR-QWHCGFSZSA-N 0 3 229.339 2.626 20 0 BFADHN CCC[C@@H](C)CCCN1CC[C@@](O)(C(F)F)C1 ZINC000859325025 589031849 /nfs/dbraw/zinc/03/18/49/589031849.db2.gz ZEQTYQWBYDMGPV-YPMHNXCESA-N 0 3 249.345 2.905 20 0 BFADHN Fc1cc(CN2C[C@@H]3CCCC[C@@H]3C2)ccn1 ZINC000859324459 589032064 /nfs/dbraw/zinc/03/20/64/589032064.db2.gz LGLJKTNOUTWACQ-BETUJISGSA-N 0 3 234.318 2.843 20 0 BFADHN CC[C@@H](C)N(CC)Cc1ccnc(F)c1 ZINC000859341989 589032853 /nfs/dbraw/zinc/03/28/53/589032853.db2.gz DCZOKQTUUIOBME-SNVBAGLBSA-N 0 3 210.296 2.841 20 0 BFADHN C[C@]12C[C@H]1CCC[C@H]2NCc1cccnc1F ZINC000800490092 586222542 /nfs/dbraw/zinc/22/25/42/586222542.db2.gz AJRALPWRUGSYNE-BZPMIXESSA-N 0 3 234.318 2.889 20 0 BFADHN CC(C)C(=O)CCN1CC[C@H]1Cc1ccccc1 ZINC000808731576 586267596 /nfs/dbraw/zinc/26/75/96/586267596.db2.gz ABHQPRQLGUBKCO-HNNXBMFYSA-N 0 3 245.366 2.919 20 0 BFADHN CN1CCCC[C@@H]1CCC(=O)OCCC1CC1 ZINC000803856403 586377083 /nfs/dbraw/zinc/37/70/83/586377083.db2.gz PWAWGWVOBDVQDV-CYBMUJFWSA-N 0 3 239.359 2.594 20 0 BFADHN CCCCCOC(=O)CC[C@H]1CCCCN1C ZINC000803854042 586377095 /nfs/dbraw/zinc/37/70/95/586377095.db2.gz HDSKNFACKHZVOH-CYBMUJFWSA-N 0 3 241.375 2.984 20 0 BFADHN c1cc(CN(C2CC2)C2CC2)cc2c1COC2 ZINC000859628710 589050233 /nfs/dbraw/zinc/05/02/33/589050233.db2.gz ZAUFRELLMDKLNN-UHFFFAOYSA-N 0 3 229.323 2.844 20 0 BFADHN CCN(CN1C(=O)CCC1(C)C)[C@H](C)C(C)C ZINC000808145979 586464996 /nfs/dbraw/zinc/46/49/96/586464996.db2.gz VVZJUUJPGROYEV-GFCCVEGCSA-N 0 3 240.391 2.711 20 0 BFADHN Cn1cnc(CNCCCCC2CCCC2)c1 ZINC000808394463 586470967 /nfs/dbraw/zinc/47/09/67/586470967.db2.gz VDRSTEODVZAGSJ-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN CC1(C)CC[C@@H]1NCc1cn2cccc(F)c2n1 ZINC000808421524 586472466 /nfs/dbraw/zinc/47/24/66/586472466.db2.gz LBLSZKUYSZHOKF-LBPRGKRZSA-N 0 3 247.317 2.752 20 0 BFADHN CC(C)=CCOC(=O)[C@@H](C(C)C)N1CCCC1 ZINC000808520511 586477091 /nfs/dbraw/zinc/47/70/91/586477091.db2.gz SCHXUTHUCJIEFN-CYBMUJFWSA-N 0 3 239.359 2.616 20 0 BFADHN CCC[C@@H](CC)N[C@@H](c1nncn1C)C(C)C ZINC000809692047 586513170 /nfs/dbraw/zinc/51/31/70/586513170.db2.gz OYLLKCZCRNJRJN-VXGBXAGGSA-N 0 3 238.379 2.681 20 0 BFADHN Cc1cc(CN(C)CCCn2ccnc2)c(C)o1 ZINC000809752898 586517683 /nfs/dbraw/zinc/51/76/83/586517683.db2.gz KCWCOMPVLGHIOA-UHFFFAOYSA-N 0 3 247.342 2.615 20 0 BFADHN Cc1cc(CN(C)[C@@H](CO)CC(C)C)c(C)o1 ZINC000809766999 586518598 /nfs/dbraw/zinc/51/85/98/586518598.db2.gz DHTAHYNJVMNIPI-CQSZACIVSA-N 0 3 239.359 2.735 20 0 BFADHN CC[C@H](CSC)N(C)Cc1ccc(F)nc1 ZINC000809766790 586518781 /nfs/dbraw/zinc/51/87/81/586518781.db2.gz AUYMGWYWVZGUMM-LLVKDONJSA-N 0 3 242.363 2.794 20 0 BFADHN c1cc(CN2CCC[C@@H](C3CC3)C2)co1 ZINC000809787231 586519559 /nfs/dbraw/zinc/51/95/59/586519559.db2.gz WTUYZFKHBYBNMI-CYBMUJFWSA-N 0 3 205.301 2.902 20 0 BFADHN Cc1cc(C)cc([C@@H](O)CN2CC(C3CC3)C2)c1 ZINC000812312951 586573347 /nfs/dbraw/zinc/57/33/47/586573347.db2.gz LDHDCUJNNKVQDQ-INIZCTEOSA-N 0 3 245.366 2.679 20 0 BFADHN CCN(Cc1ccc(CF)cc1)[C@@H]1CCOC1 ZINC000812878712 586588346 /nfs/dbraw/zinc/58/83/46/586588346.db2.gz CUFXCAURBNJNRC-CQSZACIVSA-N 0 3 237.318 2.767 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+]C[C@H]2C(C)=CCC[C@@H]2C)[n-]1 ZINC000813330975 586600283 /nfs/dbraw/zinc/60/02/83/586600283.db2.gz KLQLQNDVBXHRDP-LOWVWBTDSA-N 0 3 248.374 2.756 20 0 BFADHN Cc1nnc([C@@H](C)NC[C@H]2C(C)=CCC[C@@H]2C)[nH]1 ZINC000813330975 586600284 /nfs/dbraw/zinc/60/02/84/586600284.db2.gz KLQLQNDVBXHRDP-LOWVWBTDSA-N 0 3 248.374 2.756 20 0 BFADHN C[C@@H]1CN([C@H]2C=CCCCCC2)CCN1C ZINC000815079247 586665750 /nfs/dbraw/zinc/66/57/50/586665750.db2.gz NXEXPFDHLGOAAA-KGLIPLIRSA-N 0 3 222.376 2.511 20 0 BFADHN C[C@@H]1C[C@@H](C)N1C[C@@H](O)c1cc2ccccc2o1 ZINC000815104131 586666379 /nfs/dbraw/zinc/66/63/79/586666379.db2.gz ZNVBPBUGUGRFDL-NQBHXWOUSA-N 0 3 245.322 2.949 20 0 BFADHN C[C@H]1C[C@H](C)N1C[C@@H](O)c1cc2ccccc2o1 ZINC000815104130 586666835 /nfs/dbraw/zinc/66/68/35/586666835.db2.gz ZNVBPBUGUGRFDL-GMXVVIOVSA-N 0 3 245.322 2.949 20 0 BFADHN Cc1cc(CNC[C@]2(O)CCC[C@H]2C)c(C)o1 ZINC000815159157 586670965 /nfs/dbraw/zinc/67/09/65/586670965.db2.gz KGEOEENADPFBFM-QMTHXVAHSA-N 0 3 237.343 2.537 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1C[C@H](C)[C@H]1C ZINC000815163263 586671448 /nfs/dbraw/zinc/67/14/48/586671448.db2.gz POFJXZBXXATBJR-MISXGVKJSA-N 0 3 237.318 2.508 20 0 BFADHN Cc1nonc1CN[C@@H]1CCCC12CCCC2 ZINC000816611311 586743672 /nfs/dbraw/zinc/74/36/72/586743672.db2.gz NFBXWZHKESJFQK-GFCCVEGCSA-N 0 3 235.331 2.581 20 0 BFADHN COc1cc(C)c(CN2C[C@@H](C)[C@@H]2C)c(C)n1 ZINC000816647627 586750917 /nfs/dbraw/zinc/75/09/17/586750917.db2.gz VVBRSYKJCDTAJJ-PWSUYJOCSA-N 0 3 234.343 2.547 20 0 BFADHN CCOCOc1ccc(CN2C[C@@H](C)[C@H]2C)cc1 ZINC000816650027 586752422 /nfs/dbraw/zinc/75/24/22/586752422.db2.gz RZJOSILOYPGNCM-CHWSQXEVSA-N 0 3 249.354 2.900 20 0 BFADHN c1cc(CN2CC3(CCOCC3)[C@@H]2C2CC2)co1 ZINC000816657054 586753191 /nfs/dbraw/zinc/75/31/91/586753191.db2.gz JDHZKENRQVJZGM-AWEZNQCLSA-N 0 3 247.338 2.671 20 0 BFADHN NCc1ccc(-c2noc(C3CCC3)n2)c(F)c1 ZINC000817864804 586830527 /nfs/dbraw/zinc/83/05/27/586830527.db2.gz COEKVPKQFWAIMH-UHFFFAOYSA-N 0 3 247.273 2.602 20 0 BFADHN COc1cccc2c1CN([C@H]1CC[C@@H](OC)C1)C2 ZINC000859940083 589072321 /nfs/dbraw/zinc/07/23/21/589072321.db2.gz XYINFASIWUTMAL-QWHCGFSZSA-N 0 3 247.338 2.578 20 0 BFADHN c1cc(CNC[C@@H]2C[C@H]2C2CCC2)co1 ZINC000818222707 586879846 /nfs/dbraw/zinc/87/98/46/586879846.db2.gz IVOVZEUQOYZEKZ-STQMWFEESA-N 0 3 205.301 2.805 20 0 BFADHN CCNCC(=O)N(CC)[C@H](CC)c1ccccc1 ZINC000818500074 586894990 /nfs/dbraw/zinc/89/49/90/586894990.db2.gz COKKWHPPYZWKIP-CQSZACIVSA-N 0 3 248.370 2.596 20 0 BFADHN Cc1ccc(N)cc1NC(=O)C(C)C(F)(F)F ZINC000818584923 586904155 /nfs/dbraw/zinc/90/41/55/586904155.db2.gz KLZCHDBLJHGCOZ-SSDOTTSWSA-N 0 3 246.232 2.714 20 0 BFADHN Cc1c2ccccc2oc1CNC[C@H](O)C1CC1 ZINC000819076514 586928808 /nfs/dbraw/zinc/92/88/08/586928808.db2.gz YHSZVEHHQTWDLT-ZDUSSCGKSA-N 0 3 245.322 2.602 20 0 BFADHN Cc1cccc(F)c1CNCC1(O)CCCC1 ZINC000819113556 586931376 /nfs/dbraw/zinc/93/13/76/586931376.db2.gz RUZJRTPKYRODRF-UHFFFAOYSA-N 0 3 237.318 2.529 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccccc1F)c1ccc[nH]1 ZINC000819193735 586937104 /nfs/dbraw/zinc/93/71/04/586937104.db2.gz JRKYYMYSJNBMQY-YGRLFVJLSA-N 0 3 248.301 2.538 20 0 BFADHN O[C@H](CN[C@@H]1CCCC[C@H]1F)c1ccccc1 ZINC000819369349 586957594 /nfs/dbraw/zinc/95/75/94/586957594.db2.gz JDUXMPGTNAMQQD-MGPQQGTHSA-N 0 3 237.318 2.590 20 0 BFADHN CCOC1CC(CN[C@@H](C)c2ccns2)C1 ZINC000860062582 589078255 /nfs/dbraw/zinc/07/82/55/589078255.db2.gz YQGCFFFWTXRRMX-WHXUTIOJSA-N 0 3 240.372 2.609 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cc(C)nn2C)C1 ZINC000860186340 589087907 /nfs/dbraw/zinc/08/79/07/589087907.db2.gz YRWVEXKLUSWJEO-NNTXTVRGSA-N 0 3 247.386 2.907 20 0 BFADHN CCN(Cc1ccccc1C)C[C@H]1CCC(=O)O1 ZINC000821349342 587110257 /nfs/dbraw/zinc/11/02/57/587110257.db2.gz WRKPOFVGLKBXQK-CQSZACIVSA-N 0 3 247.338 2.523 20 0 BFADHN CO[C@H]1CC[C@@H](N2CCc3sccc3C2)C1 ZINC000821348877 587110654 /nfs/dbraw/zinc/11/06/54/587110654.db2.gz RSIQGWCIFOQWAW-NEPJUHHUSA-N 0 3 237.368 2.674 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cc(C)nn2C)C1 ZINC000860186344 589088141 /nfs/dbraw/zinc/08/81/41/589088141.db2.gz YRWVEXKLUSWJEO-ZRUQZJFASA-N 0 3 247.386 2.907 20 0 BFADHN Cc1cscc1-c1noc([C@@H]2C[C@H]3C[C@H]3N2)n1 ZINC000823099675 587203108 /nfs/dbraw/zinc/20/31/08/587203108.db2.gz SXIPTEBZDYNLRU-QNSHHTMESA-N 0 3 247.323 2.529 20 0 BFADHN Fc1c(CNC2CCCC2)ccnc1Cl ZINC000823579558 587232173 /nfs/dbraw/zinc/23/21/73/587232173.db2.gz SHNQNCLKZQXQPK-UHFFFAOYSA-N 0 3 228.698 2.906 20 0 BFADHN C[C@H](NCc1ccnc(Cl)c1F)C1CC1 ZINC000823596328 587232378 /nfs/dbraw/zinc/23/23/78/587232378.db2.gz KLNARTICPGMMMA-ZETCQYMHSA-N 0 3 228.698 2.762 20 0 BFADHN CC(C)(C)CCNCc1cc(F)ncc1F ZINC000823630407 587233328 /nfs/dbraw/zinc/23/33/28/587233328.db2.gz JDINUCMVFYPGKO-UHFFFAOYSA-N 0 3 228.286 2.886 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1C[C@H](C)O[C@H]1C ZINC000823949951 587246945 /nfs/dbraw/zinc/24/69/45/587246945.db2.gz ZQSYMJNCEPRACU-COPLHBTASA-N 0 3 237.318 2.790 20 0 BFADHN CS[C@H]1CC[C@@H](NCc2ccncc2F)C1 ZINC000823949645 587246948 /nfs/dbraw/zinc/24/69/48/587246948.db2.gz PDTHYYHJPHVKFO-MNOVXSKESA-N 0 3 240.347 2.594 20 0 BFADHN CS[C@@H](C)CNCc1ccnc(Cl)c1F ZINC000824023496 587249192 /nfs/dbraw/zinc/24/91/92/587249192.db2.gz SMLQRGNJSXBSFP-ZETCQYMHSA-N 0 3 248.754 2.715 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cc(F)ncc2F)C1 ZINC000824237646 587260446 /nfs/dbraw/zinc/26/04/46/587260446.db2.gz USZZJAGQWRSALM-SCZZXKLOSA-N 0 3 226.270 2.638 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2cc(F)ncc2F)C1 ZINC000824320113 587265777 /nfs/dbraw/zinc/26/57/77/587265777.db2.gz DGFFTGBBRJJBNW-ZJUUUORDSA-N 0 3 240.297 2.886 20 0 BFADHN Cn1nc(C2CC2)cc1CN[C@@H]1CC[C@H]1C1CC1 ZINC000824332426 587266424 /nfs/dbraw/zinc/26/64/24/587266424.db2.gz LHSVZUZWBQYJPP-UONOGXRCSA-N 0 3 245.370 2.576 20 0 BFADHN Cc1nonc1CN[C@H](C)[C@H]1CCCC[C@@H]1C ZINC000824388709 587268724 /nfs/dbraw/zinc/26/87/24/587268724.db2.gz FTAUVASLUUMOFR-UMNHJUIQSA-N 0 3 237.347 2.682 20 0 BFADHN c1nc2n(c1CN1CCCCCCC1)CCC2 ZINC000824490089 587271757 /nfs/dbraw/zinc/27/17/57/587271757.db2.gz DDTXVMXJPIBJCY-UHFFFAOYSA-N 0 3 233.359 2.595 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN[C@H]2CCn3ccnc32)C1 ZINC000824526229 587273164 /nfs/dbraw/zinc/27/31/64/587273164.db2.gz JEYYFLGJYIIGCI-YUTCNCBUSA-N 0 3 245.370 2.910 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN[C@H]2CCn3ccnc32)C1 ZINC000824526228 587273175 /nfs/dbraw/zinc/27/31/75/587273175.db2.gz JEYYFLGJYIIGCI-UBHSHLNASA-N 0 3 245.370 2.910 20 0 BFADHN C[C@H](N[C@H]1CCn2ccnc21)C1C(C)(C)C1(C)C ZINC000824526458 587273889 /nfs/dbraw/zinc/27/38/89/587273889.db2.gz LEPBSDHPFAQBIG-QWRGUYRKSA-N 0 3 247.386 2.988 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1cc(F)cnc1Cl ZINC000824544049 587275426 /nfs/dbraw/zinc/27/54/26/587275426.db2.gz SMENETLNYPJKSX-JGVFFNPUSA-N 0 3 228.698 2.620 20 0 BFADHN CC1CC(NCc2cc(F)cnc2Cl)C1 ZINC000824544362 587275428 /nfs/dbraw/zinc/27/54/28/587275428.db2.gz VQAIYJOEWRSHQP-UHFFFAOYSA-N 0 3 228.698 2.762 20 0 BFADHN COC[C@@]1(C)CCN(Cc2cc(C)oc2C)C1 ZINC000824583246 587278094 /nfs/dbraw/zinc/27/80/94/587278094.db2.gz JYZJLTDQRVKBAT-AWEZNQCLSA-N 0 3 237.343 2.755 20 0 BFADHN CC[C@@](C)(CNCc1ccc(Cl)nc1)OC ZINC000824743830 587285365 /nfs/dbraw/zinc/28/53/65/587285365.db2.gz ONXMPPSVDULKFF-LBPRGKRZSA-N 0 3 242.750 2.640 20 0 BFADHN Cn1ccc(CNC[C@@]2(C)CC2(Cl)Cl)c1 ZINC000824765107 587285376 /nfs/dbraw/zinc/28/53/76/587285376.db2.gz HEEAKKPHTGHOEY-SNVBAGLBSA-N 0 3 247.169 2.699 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cccnc1Cl ZINC000824783590 587287358 /nfs/dbraw/zinc/28/73/58/587287358.db2.gz QUVOZQKGYJADGO-KOLCDFICSA-N 0 3 224.735 2.871 20 0 BFADHN Fc1ccc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)cn1 ZINC000824941865 587294109 /nfs/dbraw/zinc/29/41/09/587294109.db2.gz RZIPZZOQQZCSNN-DZGCQCFKSA-N 0 3 246.329 2.841 20 0 BFADHN CCc1nc(CN[C@H]2CCCC2(C)C)co1 ZINC000825058136 587300812 /nfs/dbraw/zinc/30/08/12/587300812.db2.gz SOFFCYLEOBNEIG-NSHDSACASA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nc(CN[C@@H]2CCC[C@@H](C)C2)co1 ZINC000825058341 587300816 /nfs/dbraw/zinc/30/08/16/587300816.db2.gz WIBWNCOJBYACIB-GHMZBOCLSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nc(CN[C@H]2CCC[C@H](C)C2)co1 ZINC000825058343 587300828 /nfs/dbraw/zinc/30/08/28/587300828.db2.gz WIBWNCOJBYACIB-QWRGUYRKSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nc(CN[C@H]2CCC[C@H]3C[C@H]32)co1 ZINC000825061921 587301833 /nfs/dbraw/zinc/30/18/33/587301833.db2.gz LZSNDCVNRGSHMV-WCQGTBRESA-N 0 3 220.316 2.515 20 0 BFADHN CCCc1ncc(CN[C@@H]2CC[C@H]2C)o1 ZINC000825084071 587303357 /nfs/dbraw/zinc/30/33/57/587303357.db2.gz BVVNLIZFAWQRFI-MWLCHTKSSA-N 0 3 208.305 2.515 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2nonc2C)CC1 ZINC000825922432 587345459 /nfs/dbraw/zinc/34/54/59/587345459.db2.gz QWSLQCRCODZATJ-NWDGAFQWSA-N 0 3 237.347 2.827 20 0 BFADHN CCN(CCOC)C[C@]1(C)CC1(Cl)Cl ZINC000827486810 587382896 /nfs/dbraw/zinc/38/28/96/587382896.db2.gz NTHQQRLMLHUKGY-VIFPVBQESA-N 0 3 240.174 2.539 20 0 BFADHN CCCN(C)C[C@@]1(C)CC1(Cl)Cl ZINC000827583873 587390519 /nfs/dbraw/zinc/39/05/19/587390519.db2.gz NGZQOSWIWZICAE-MRVPVSSYSA-N 0 3 210.148 2.912 20 0 BFADHN CCC[C@H](O)CN1CCc2c(C)cccc2C1 ZINC000827685678 587396298 /nfs/dbraw/zinc/39/62/98/587396298.db2.gz RCIMEXPQNXTTTB-AWEZNQCLSA-N 0 3 233.355 2.514 20 0 BFADHN CC(C)CC(=O)CCN1C2CCC1CC2 ZINC000827765618 587401771 /nfs/dbraw/zinc/40/17/71/587401771.db2.gz FPXLSRDXPRGVCZ-UHFFFAOYSA-N 0 3 209.333 2.619 20 0 BFADHN CN(Cc1ccc(F)nc1)C[C@@H]1CC1(C)C ZINC000827943221 587411652 /nfs/dbraw/zinc/41/16/52/587411652.db2.gz UVHRUNUBHCJFGT-NSHDSACASA-N 0 3 222.307 2.699 20 0 BFADHN CC(C)CC(=O)CCN1C[C@@H](C)S[C@@H](C)C1 ZINC000827947380 587412431 /nfs/dbraw/zinc/41/24/31/587412431.db2.gz QCQLOKYQZOOUGL-TXEJJXNPSA-N 0 3 243.416 2.818 20 0 BFADHN COc1ccc2c(c1)nccc2N1CC[C@H](F)C1 ZINC000828449453 587443154 /nfs/dbraw/zinc/44/31/54/587443154.db2.gz SNULXSDECWZLED-JTQLQIEISA-N 0 3 246.285 2.792 20 0 BFADHN C[C@H]1CN(CC/C=C\c2ccccc2)CCN1C ZINC000828529332 587447684 /nfs/dbraw/zinc/44/76/84/587447684.db2.gz MAUPUGAWMDZDAZ-MLBQELRYSA-N 0 3 244.382 2.726 20 0 BFADHN C[C@H]1CN(CC/C=C/c2ccccc2)CCN1C ZINC000828529434 587447957 /nfs/dbraw/zinc/44/79/57/587447957.db2.gz MAUPUGAWMDZDAZ-WGPYJDKQSA-N 0 3 244.382 2.726 20 0 BFADHN C[C@]1(CN2CC[C@H]3C[C@H]32)CC1(Cl)Cl ZINC000828533620 587448477 /nfs/dbraw/zinc/44/84/77/587448477.db2.gz FUYSBKHDQKXQTL-DJLDLDEBSA-N 0 3 220.143 2.665 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cccnc2N)s1 ZINC000828816518 587463217 /nfs/dbraw/zinc/46/32/17/587463217.db2.gz YPYPYYZGFDNUSP-SNVBAGLBSA-N 0 3 247.367 2.885 20 0 BFADHN CCCCCCN1CCO[C@@]2(CCSC2)C1 ZINC000829293126 587491685 /nfs/dbraw/zinc/49/16/85/587491685.db2.gz HNSXKDCCYKIONU-ZDUSSCGKSA-N 0 3 243.416 2.775 20 0 BFADHN FC1(F)CC(CN2CCC(F)(F)CC2)C1 ZINC000829367955 587494238 /nfs/dbraw/zinc/49/42/38/587494238.db2.gz XSQKQKMTEUGIQM-UHFFFAOYSA-N 0 3 225.229 2.763 20 0 BFADHN CCC(=O)CCCN1CC[C@H](c2ccccn2)C1 ZINC000829449342 587497541 /nfs/dbraw/zinc/49/75/41/587497541.db2.gz WNIAPTIZEANYQN-ZDUSSCGKSA-N 0 3 246.354 2.630 20 0 BFADHN C[C@H](NCc1cn(C)cn1)[C@H]1CCCC[C@H]1C ZINC000830515379 587555218 /nfs/dbraw/zinc/55/52/18/587555218.db2.gz VKUPBZCFTIDUEM-DYEKYZERSA-N 0 3 235.375 2.725 20 0 BFADHN CN(C[C@H](O)c1c(F)cccc1F)C(C)(C)C ZINC000830544937 587557062 /nfs/dbraw/zinc/55/70/62/587557062.db2.gz AQXASEKYMQPAIE-NSHDSACASA-N 0 3 243.297 2.729 20 0 BFADHN CN(C[C@@H](O)c1ccc(F)cc1F)C(C)(C)C ZINC000830545018 587557124 /nfs/dbraw/zinc/55/71/24/587557124.db2.gz CAQPNOZHJOGTGY-GFCCVEGCSA-N 0 3 243.297 2.729 20 0 BFADHN c1cc(CN2CCCCCCCC2)no1 ZINC000831932933 587637600 /nfs/dbraw/zinc/63/76/00/587637600.db2.gz MVXOFMOSSOTFTH-UHFFFAOYSA-N 0 3 208.305 2.831 20 0 BFADHN COc1cccc([C@H]2C[C@H](N(C)CCF)C2)c1 ZINC000832580729 587667341 /nfs/dbraw/zinc/66/73/41/587667341.db2.gz WQWIJPYVDSCBPV-JOCQHMNTSA-N 0 3 237.318 2.843 20 0 BFADHN Cc1nonc1CN[C@@H](C)[C@H]1CCC[C@H](C)C1 ZINC000832690859 587677672 /nfs/dbraw/zinc/67/76/72/587677672.db2.gz LRBDSNXDSZMOKY-NHCYSSNCSA-N 0 3 237.347 2.682 20 0 BFADHN CCN(CC)CCOC(=O)[C@H]1CCC[C@@H](C)C1 ZINC000001674088 587701917 /nfs/dbraw/zinc/70/19/17/587701917.db2.gz AKCIKTVHXHWIMO-OLZOCXBDSA-N 0 3 241.375 2.698 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1CC[C@@H]1C1CC1 ZINC000834384105 587753956 /nfs/dbraw/zinc/75/39/56/587753956.db2.gz ZOXLREJZTNBDFJ-HUUCEWRRSA-N 0 3 249.329 2.652 20 0 BFADHN CCc1noc(C)c1[C@H](C)N[C@@H](C)CC ZINC000834487576 587756746 /nfs/dbraw/zinc/75/67/46/587756746.db2.gz HQVGAALZYDWHED-IUCAKERBSA-N 0 3 210.321 2.995 20 0 BFADHN CCc1cc(CN[C@@H](CC)c2ccncc2)n[nH]1 ZINC000834585340 587760165 /nfs/dbraw/zinc/76/01/65/587760165.db2.gz NJGLBCQVCOKQFQ-AWEZNQCLSA-N 0 3 244.342 2.608 20 0 BFADHN Cc1csc(CN[C@@H](C)c2cc(C)nn2C)c1 ZINC000834882477 587769379 /nfs/dbraw/zinc/76/93/79/587769379.db2.gz KPTBZZHLOOWLCI-NSHDSACASA-N 0 3 249.383 2.949 20 0 BFADHN CCC1CN(CC2=Cc3ccccc3OC2)C1 ZINC000835074028 587780240 /nfs/dbraw/zinc/78/02/40/587780240.db2.gz FMVWTEMRPSQCCM-UHFFFAOYSA-N 0 3 229.323 2.804 20 0 BFADHN Cc1cc(CNC[C@H]2CC[C@@H](C)O2)c(C)o1 ZINC000835088811 587781713 /nfs/dbraw/zinc/78/17/13/587781713.db2.gz VCZWYDFYKHBCJO-NOZJJQNGSA-N 0 3 223.316 2.554 20 0 BFADHN CN(C)Cc1cccc(C(=O)OCC2CCC2)c1 ZINC000835182087 587787567 /nfs/dbraw/zinc/78/75/67/587787567.db2.gz UEBMAHYTIAVBIF-UHFFFAOYSA-N 0 3 247.338 2.705 20 0 BFADHN CC(C)[C@H](C)OC(=O)c1cccc(CN(C)C)c1 ZINC000835227016 587790632 /nfs/dbraw/zinc/79/06/32/587790632.db2.gz HCYCRPKRUQSESM-LBPRGKRZSA-N 0 3 249.354 2.950 20 0 BFADHN Cc1noc(C)c1CCCNCc1ccoc1C ZINC000840906251 587928863 /nfs/dbraw/zinc/92/88/63/587928863.db2.gz WUORTSKDHJUIKS-UHFFFAOYSA-N 0 3 248.326 2.915 20 0 BFADHN Cc1occc1CN[C@@H](C)C[C@@H](O)c1ccco1 ZINC000840908373 587929533 /nfs/dbraw/zinc/92/95/33/587929533.db2.gz WABHMBQKTVSSHM-GXFFZTMASA-N 0 3 249.310 2.783 20 0 BFADHN Cc1nc(F)ccc1CN[C@H]1CS[C@@H](C)C1 ZINC000840957449 587931535 /nfs/dbraw/zinc/93/15/35/587931535.db2.gz BRUKLTDUWAPMPM-GZMMTYOYSA-N 0 3 240.347 2.513 20 0 BFADHN C[C@@H](NCc1ccc(Cl)nc1)[C@@H]1CC1(F)F ZINC000840967527 587932652 /nfs/dbraw/zinc/93/26/52/587932652.db2.gz GXWLHIMTHSGMBN-APPZFPTMSA-N 0 3 246.688 2.868 20 0 BFADHN CCCCC[C@@H](C)N[C@H]1CNCCC1(F)F ZINC000841078702 587945204 /nfs/dbraw/zinc/94/52/04/587945204.db2.gz HIWMULUDKCCNSE-MNOVXSKESA-N 0 3 234.334 2.542 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2cnn(C)c2Cl)[C@H]1C ZINC000841891544 587981811 /nfs/dbraw/zinc/98/18/11/587981811.db2.gz ZVRFMJVOOQYDGI-BBBLOLIVSA-N 0 3 241.766 2.550 20 0 BFADHN CC[C@H](CN1CCc2c(C)cccc2C1)OC ZINC000842151704 588003473 /nfs/dbraw/zinc/00/34/73/588003473.db2.gz YAKKBWKHDJMRLN-CQSZACIVSA-N 0 3 233.355 2.778 20 0 BFADHN CC[C@@H](CN[C@H](c1ccccn1)C(C)C)OC ZINC000842154760 588003875 /nfs/dbraw/zinc/00/38/75/588003875.db2.gz WTSJSLAYMQGREE-JSGCOSHPSA-N 0 3 236.359 2.793 20 0 BFADHN COc1ncncc1CNC1CCCCCCC1 ZINC000842661290 588050773 /nfs/dbraw/zinc/05/07/73/588050773.db2.gz JADOWOUVICCEDJ-UHFFFAOYSA-N 0 3 249.358 2.688 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1ccnc(Cl)c1 ZINC000842702319 588054323 /nfs/dbraw/zinc/05/43/23/588054323.db2.gz FWEWBQPPZGWLJI-KKZNHRDASA-N 0 3 240.734 2.563 20 0 BFADHN CC[C@H](C)C[C@H](CO)NCc1cc(C)oc1C ZINC000842756890 588059971 /nfs/dbraw/zinc/05/99/71/588059971.db2.gz PXYVZXDCDVZJGP-IINYFYTJSA-N 0 3 239.359 2.783 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@@H]1CO[C@@H](C)C1 ZINC000842928274 588071937 /nfs/dbraw/zinc/07/19/37/588071937.db2.gz INPJEHVJBKLEHZ-GVXVVHGQSA-N 0 3 237.318 2.962 20 0 BFADHN CCC/C(C)=C/C(=O)NC[C@@H](N)c1ccccc1 ZINC000843337060 588100697 /nfs/dbraw/zinc/10/06/97/588100697.db2.gz KUFQIDHJRIXNQN-IEZBTEQYSA-N 0 3 246.354 2.549 20 0 BFADHN Cc1cc(CNCC2CCC(F)CC2)no1 ZINC000843432213 588109250 /nfs/dbraw/zinc/10/92/50/588109250.db2.gz JZKTUTWXFKPVPP-UHFFFAOYSA-N 0 3 226.295 2.601 20 0 BFADHN CCCCCCC(=O)N1CCC[C@H](N(C)C)C1 ZINC000105325270 588140642 /nfs/dbraw/zinc/14/06/42/588140642.db2.gz WDECLEVJGAHONB-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@@H]1CN(CCc2cccs2)[C@@H](C)CO1 ZINC000844389123 588188884 /nfs/dbraw/zinc/18/88/84/588188884.db2.gz CYAVCAMCTDQZMC-NWDGAFQWSA-N 0 3 239.384 2.790 20 0 BFADHN FC1(F)C[C@@]12CCCN(C[C@H]1CCCCO1)C2 ZINC000844438626 588193261 /nfs/dbraw/zinc/19/32/61/588193261.db2.gz XVEOUSRINFLIBO-VXGBXAGGSA-N 0 3 245.313 2.677 20 0 BFADHN CC[C@H](C)[C@@H](C)C(=O)O[C@@H](C)CN1CCCC1 ZINC000845662491 588239598 /nfs/dbraw/zinc/23/95/98/588239598.db2.gz GYJMSQZPSMHKHM-RWMBFGLXSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H](CN1CCCC1)OC(=O)/C=C/C(C)(C)C ZINC000845667072 588240274 /nfs/dbraw/zinc/24/02/74/588240274.db2.gz BIYMFFDTYHUDPI-GUOLPTJISA-N 0 3 239.359 2.616 20 0 BFADHN CCC[C@H](C)CC(=O)O[C@@H](C)CN1CCCC1 ZINC000845666904 588240455 /nfs/dbraw/zinc/24/04/55/588240455.db2.gz ASNSDGPTQPGYQI-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCC=CO2)[C@H](CC)CO1 ZINC000846027063 588253963 /nfs/dbraw/zinc/25/39/63/588253963.db2.gz KGFUATKJNDSTDO-MCIONIFRSA-N 0 3 239.359 2.569 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCC=CO2)[C@@H](CC)CO1 ZINC000846027065 588254024 /nfs/dbraw/zinc/25/40/24/588254024.db2.gz KGFUATKJNDSTDO-MJBXVCDLSA-N 0 3 239.359 2.569 20 0 BFADHN CC[C@H]1COC(C)(C)CN1C[C@@H]1CCC=CO1 ZINC000846027959 588254034 /nfs/dbraw/zinc/25/40/34/588254034.db2.gz RZEOVYGDCCPFGQ-STQMWFEESA-N 0 3 239.359 2.569 20 0 BFADHN Fc1ccc(CNC2CC3(CCC3)C2)cn1 ZINC000846106641 588256597 /nfs/dbraw/zinc/25/65/97/588256597.db2.gz HKXPHFCRZSIJIX-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN FC1(F)C[C@]12CCCN(C[C@H]1CCC=CO1)C2 ZINC000846105404 588256760 /nfs/dbraw/zinc/25/67/60/588256760.db2.gz UEAXTKNKEDLXAD-NEPJUHHUSA-N 0 3 243.297 2.800 20 0 BFADHN C[C@@H](NCc1cc(F)ncc1F)C(C)(C)C ZINC000846107594 588256933 /nfs/dbraw/zinc/25/69/33/588256933.db2.gz QECYBKJRPHEZAH-MRVPVSSYSA-N 0 3 228.286 2.884 20 0 BFADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H](CO)CCF ZINC000846210013 588259670 /nfs/dbraw/zinc/25/96/70/588259670.db2.gz VMNWQIWUVVOCPI-ZYHUDNBSSA-N 0 3 243.297 2.505 20 0 BFADHN CC1(C)CCCN1CC1(Br)CC1 ZINC000846796292 588288892 /nfs/dbraw/zinc/28/88/92/588288892.db2.gz IERCENWAFDXUNR-UHFFFAOYSA-N 0 3 232.165 2.788 20 0 BFADHN CC1=C[C@@H](C)CN(CC2(Br)CC2)C1 ZINC000846892324 588295782 /nfs/dbraw/zinc/29/57/82/588295782.db2.gz SYWFDNUZGUMZLE-SECBINFHSA-N 0 3 244.176 2.812 20 0 BFADHN C[C@H](c1nccc(N)n1)N1CCCCCCCC1 ZINC000846973116 588299485 /nfs/dbraw/zinc/29/94/85/588299485.db2.gz IICYGDZRDLSNCJ-GFCCVEGCSA-N 0 3 248.374 2.776 20 0 BFADHN C[C@@H](COC(=O)CC1CCC1)N1CCCCC1 ZINC000847959430 588345258 /nfs/dbraw/zinc/34/52/58/588345258.db2.gz GTLFIYZPJNWPJU-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN C/C=C(/CC)C(=O)OC[C@@H](C)N1CCCCC1 ZINC000847962152 588345943 /nfs/dbraw/zinc/34/59/43/588345943.db2.gz HBAUBLTXYOKPIJ-HREDRELGSA-N 0 3 239.359 2.760 20 0 BFADHN C[C@@H](CN[C@H]1CCCn2ccnc21)C(C)(C)C ZINC000848530449 588393488 /nfs/dbraw/zinc/39/34/88/588393488.db2.gz HAHSLXMOWKCFLI-RYUDHWBXSA-N 0 3 235.375 2.990 20 0 BFADHN CC(C)=CCCNCc1cnc(Cl)n1C ZINC000848548922 588396008 /nfs/dbraw/zinc/39/60/08/588396008.db2.gz MFOIZHZPDSVVFR-UHFFFAOYSA-N 0 3 227.739 2.519 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1noc2ccccc21 ZINC000848575666 588398956 /nfs/dbraw/zinc/39/89/56/588398956.db2.gz UXOXDWVYJLMJPD-MWLCHTKSSA-N 0 3 216.284 2.716 20 0 BFADHN CC[C@@](C)(NCc1cnn(C)c1)c1ccccc1 ZINC000107717928 588401094 /nfs/dbraw/zinc/40/10/94/588401094.db2.gz FXVTVCMQFVCVRU-OAHLLOKOSA-N 0 3 243.354 2.835 20 0 BFADHN Fc1cccc(N[C@@H]2CCCN3CCCC[C@H]23)n1 ZINC000848784237 588413702 /nfs/dbraw/zinc/41/37/02/588413702.db2.gz VLMVBGYMWZZJFY-VXGBXAGGSA-N 0 3 249.333 2.650 20 0 BFADHN CC[C@H](C)CN(C)Cc1cnc(Cl)n1C ZINC000848880829 588415926 /nfs/dbraw/zinc/41/59/26/588415926.db2.gz BGYHTWUNSMEZBC-VIFPVBQESA-N 0 3 229.755 2.551 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cnc(Cl)n1C ZINC000848887468 588417236 /nfs/dbraw/zinc/41/72/36/588417236.db2.gz XGGGFHHRQUBMRX-NXEZZACHSA-N 0 3 241.766 2.694 20 0 BFADHN CC(C)N(Cc1cnc(Cl)n1C)C1CCC1 ZINC000848887696 588417443 /nfs/dbraw/zinc/41/74/43/588417443.db2.gz CAGAROKAQOWTFK-UHFFFAOYSA-N 0 3 241.766 2.836 20 0 BFADHN CC(C)c1ccccc1CN1C[C@H]2C[C@@]2(CO)C1 ZINC000848906151 588418428 /nfs/dbraw/zinc/41/84/28/588418428.db2.gz SDPIKQPUMCKKKK-ZBFHGGJFSA-N 0 3 245.366 2.624 20 0 BFADHN CC[C@H]1C[C@@H](CN2CC3(CC3(F)F)C2)CCO1 ZINC000848922851 588420754 /nfs/dbraw/zinc/42/07/54/588420754.db2.gz ZVEBEVFWOUYKBJ-QWRGUYRKSA-N 0 3 245.313 2.533 20 0 BFADHN CCCC[C@@](C)(F)C(=O)OCCN(CC)CC ZINC000849846641 588504420 /nfs/dbraw/zinc/50/44/20/588504420.db2.gz OMEMSGMNJQCROC-CYBMUJFWSA-N 0 3 247.354 2.790 20 0 BFADHN CCCCC[C@@H](C)NCc1cc2n(n1)CCC2 ZINC000850005431 588513944 /nfs/dbraw/zinc/51/39/44/588513944.db2.gz ATQANOVMDGPDEF-GFCCVEGCSA-N 0 3 235.375 2.888 20 0 BFADHN C[C@H]1CC[C@@](C)(CNCc2cn(C)cn2)C1(C)C ZINC000850355163 588538402 /nfs/dbraw/zinc/53/84/02/588538402.db2.gz ADVUVBCALXMADG-WFASDCNBSA-N 0 3 249.402 2.972 20 0 BFADHN CC(C)N(C)c1ccc(CN[C@H]2C=CCC2)cn1 ZINC000850358644 588539184 /nfs/dbraw/zinc/53/91/84/588539184.db2.gz IOITWBWLHZYLSI-AWEZNQCLSA-N 0 3 245.370 2.734 20 0 BFADHN COc1ccc(CN[C@@H]2C=CCC2)c(C)c1OC ZINC000850358844 588539379 /nfs/dbraw/zinc/53/93/79/588539379.db2.gz MFVSPNAYYHSNRD-CYBMUJFWSA-N 0 3 247.338 2.820 20 0 BFADHN COc1ccsc1CN[C@H]1C=CCC1 ZINC000850359058 588539655 /nfs/dbraw/zinc/53/96/55/588539655.db2.gz UTBLPVIEFIGDSR-VIFPVBQESA-N 0 3 209.314 2.565 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@@H]1C=CCC1 ZINC000850359021 588539814 /nfs/dbraw/zinc/53/98/14/588539814.db2.gz SWDIGTQAOMZCQT-CYBMUJFWSA-N 0 3 219.332 2.581 20 0 BFADHN C1=C[C@@H](NCc2cccc3c2CCC3)CC1 ZINC000850359591 588540137 /nfs/dbraw/zinc/54/01/37/588540137.db2.gz LKZXVJDJGJRWCT-CQSZACIVSA-N 0 3 213.324 2.984 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H]1C[C@@H](O)c2ccccc21 ZINC000850375213 588542291 /nfs/dbraw/zinc/54/22/91/588542291.db2.gz QBMSERSNLPPVKN-KCPJHIHWSA-N 0 3 249.354 2.568 20 0 BFADHN Fc1ccc2c(c1)OC[C@H](NCc1ccoc1)C2 ZINC000850381121 588542495 /nfs/dbraw/zinc/54/24/95/588542495.db2.gz BNVGISMAQBXESA-CYBMUJFWSA-N 0 3 247.269 2.512 20 0 BFADHN CC[C@H]1COC[C@H]1NCc1ccc2occc2c1 ZINC000850383435 588542569 /nfs/dbraw/zinc/54/25/69/588542569.db2.gz PEIVVJCGQGIDGI-GXTWGEPZSA-N 0 3 245.322 2.947 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1ccc2c(c1)CCCO2 ZINC000850419526 588544777 /nfs/dbraw/zinc/54/47/77/588544777.db2.gz CAUMRUZEEHXPBB-VXGBXAGGSA-N 0 3 249.354 2.697 20 0 BFADHN C[C@H](Cc1ccco1)N[C@H]1CCCn2nccc21 ZINC000850426310 588545406 /nfs/dbraw/zinc/54/54/06/588545406.db2.gz YCVAIOFNYXKMSN-YPMHNXCESA-N 0 3 245.326 2.532 20 0 BFADHN CCc1cc(CN[C@H](C)C(C)(C)C(F)F)[nH]n1 ZINC000850434942 588545909 /nfs/dbraw/zinc/54/59/09/588545909.db2.gz DNNLFWLVEGYGFA-MRVPVSSYSA-N 0 3 245.317 2.742 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1cc2cnccc2o1 ZINC000850431990 588546170 /nfs/dbraw/zinc/54/61/70/588546170.db2.gz ORSGAYXDVBQQNV-MNOVXSKESA-N 0 3 248.326 2.903 20 0 BFADHN CCCCC[C@H](C)N[C@@H]1C[C@@H](C)n2ncnc21 ZINC000850498993 588552026 /nfs/dbraw/zinc/55/20/26/588552026.db2.gz HQALMZZRFFLBKL-QJPTWQEYSA-N 0 3 236.363 2.842 20 0 BFADHN COc1cc(C)c([C@@H](C)NC/C=C/CO)cc1C ZINC000850508170 588553917 /nfs/dbraw/zinc/55/39/17/588553917.db2.gz KRLWTMRDTWVWQV-URWSZGRFSA-N 0 3 249.354 2.511 20 0 BFADHN CCCCCCN(C)CC(=O)NC(CC)CC ZINC000109932781 588602416 /nfs/dbraw/zinc/60/24/16/588602416.db2.gz QNPPKMPLRPRSKG-UHFFFAOYSA-N 0 3 242.407 2.803 20 0 BFADHN CCN(CCOC(F)F)Cc1ccccc1 ZINC000851714861 588615601 /nfs/dbraw/zinc/61/56/01/588615601.db2.gz VLFDAVQGPFCBGM-UHFFFAOYSA-N 0 3 229.270 2.748 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cccc(F)n2)C1 ZINC000851718716 588616526 /nfs/dbraw/zinc/61/65/26/588616526.db2.gz PKROEXJCCAICTG-QWRGUYRKSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cccc(F)n2)C1 ZINC000851718715 588616608 /nfs/dbraw/zinc/61/66/08/588616608.db2.gz PKROEXJCCAICTG-PHIMTYICSA-N 0 3 222.307 2.699 20 0 BFADHN CN(CCOC(F)F)[C@H]1CCc2ccccc21 ZINC000851725225 588617654 /nfs/dbraw/zinc/61/76/54/588617654.db2.gz WRSWRKWJVQGCSC-LBPRGKRZSA-N 0 3 241.281 2.845 20 0 BFADHN CC(C)CCN(C[C@H](O)c1ccccn1)C1CC1 ZINC000851727669 588618584 /nfs/dbraw/zinc/61/85/84/588618584.db2.gz UWFSRBNPRZYXRA-HNNXBMFYSA-N 0 3 248.370 2.626 20 0 BFADHN CC[C@H]1CCCN1Cc1cccc(F)n1 ZINC000851746933 588622085 /nfs/dbraw/zinc/62/20/85/588622085.db2.gz BQHXJSVMMANXBJ-NSHDSACASA-N 0 3 208.280 2.595 20 0 BFADHN CCCN(Cc1cccc(F)n1)C1CC1 ZINC000851752075 588623874 /nfs/dbraw/zinc/62/38/74/588623874.db2.gz YJEUHNDRPNACDB-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN Fc1cccc(CN2CC[C@H](c3ccco3)C2)n1 ZINC000851767102 588625106 /nfs/dbraw/zinc/62/51/06/588625106.db2.gz XAEIWFXPTRTGSH-NSHDSACASA-N 0 3 246.285 2.803 20 0 BFADHN C[C@H]1CCCCCN1Cc1cccc(F)n1 ZINC000851773607 588626186 /nfs/dbraw/zinc/62/61/86/588626186.db2.gz XHDCAZYRXYOKBS-NSHDSACASA-N 0 3 222.307 2.985 20 0 BFADHN CC(C)(C)C1CCN(CCOC(F)F)CC1 ZINC000851774715 588626261 /nfs/dbraw/zinc/62/62/61/588626261.db2.gz GQARLXPWUUOFEZ-UHFFFAOYSA-N 0 3 235.318 2.984 20 0 BFADHN CC[C@@]1(C)CCCN(C[C@H](O)c2ccccn2)C1 ZINC000851790709 588628648 /nfs/dbraw/zinc/62/86/48/588628648.db2.gz NSWIIWIACPZDIF-GJZGRUSLSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2cccc(F)n2)C1 ZINC000851793563 588629150 /nfs/dbraw/zinc/62/91/50/588629150.db2.gz GMDDVJAAJQQNKX-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CN(CC=C(Cl)Cl)C[C@H]1C ZINC000851792059 588629349 /nfs/dbraw/zinc/62/93/49/588629349.db2.gz HGYYSQGXQJBBNP-HTQZYQBOSA-N 0 3 208.132 2.893 20 0 BFADHN CC1(C)OC[C@@H](CN2CC[C@H]2c2ccccc2)O1 ZINC000851800143 588630515 /nfs/dbraw/zinc/63/05/15/588630515.db2.gz IPUUTPZJFSSALK-KGLIPLIRSA-N 0 3 247.338 2.585 20 0 BFADHN CCC[C@H]1CCCN(C[C@H](O)c2ccccn2)C1 ZINC000851808506 588631839 /nfs/dbraw/zinc/63/18/39/588631839.db2.gz OBDRUUNTHLMBMP-ZFWWWQNUSA-N 0 3 248.370 2.627 20 0 BFADHN FC(F)(F)C1CN(C[C@@H]2C[C@H]3C[C@H]3C2)C1 ZINC000851857007 588635482 /nfs/dbraw/zinc/63/54/82/588635482.db2.gz KEFBFBUJDSINSY-AYMMMOKOSA-N 0 3 219.250 2.527 20 0 BFADHN CO[C@H]1CC[C@H](N2CCc3c(F)cccc3C2)C1 ZINC000851869665 588637352 /nfs/dbraw/zinc/63/73/52/588637352.db2.gz NUYSYPZEQXRRTA-STQMWFEESA-N 0 3 249.329 2.751 20 0 BFADHN CO[C@@H]1CC[C@H](N2CCc3cccc(F)c3C2)C1 ZINC000851885789 588639785 /nfs/dbraw/zinc/63/97/85/588639785.db2.gz LTPDWMVZJSPDTH-QWHCGFSZSA-N 0 3 249.329 2.751 20 0 BFADHN C[C@H]1CN(Cc2cccc(F)n2)CC[C@@H]1C ZINC000851886796 588640382 /nfs/dbraw/zinc/64/03/82/588640382.db2.gz SIJIIZCVHYTEEL-QWRGUYRKSA-N 0 3 222.307 2.699 20 0 BFADHN CCC[C@H](NC[C@@H]1CCC(=O)O1)c1ccccc1 ZINC000851893834 588641471 /nfs/dbraw/zinc/64/14/71/588641471.db2.gz WJPWDVBJJDVYOG-KBPBESRZSA-N 0 3 247.338 2.823 20 0 BFADHN CO[C@@H]1CC[C@H](N[C@@H](C)c2c(C)noc2C)C1 ZINC000851902850 588642009 /nfs/dbraw/zinc/64/20/09/588642009.db2.gz OPVDTQRXARVYLM-KPXOXKRLSA-N 0 3 238.331 2.510 20 0 BFADHN C[C@@H]1CC[C@]2(CCN(CCOC(F)F)C2)C1 ZINC000851921234 588643884 /nfs/dbraw/zinc/64/38/84/588643884.db2.gz ZERMSAWGJMRBKM-PWSUYJOCSA-N 0 3 233.302 2.738 20 0 BFADHN CCC[C@@H]1CCCC[C@@H]1NCc1cnon1 ZINC000851946722 588646871 /nfs/dbraw/zinc/64/68/71/588646871.db2.gz LDFBEDLYCBJHCX-PWSUYJOCSA-N 0 3 223.320 2.518 20 0 BFADHN CC(C)C1(C)CCN(CCOC(F)F)CC1 ZINC000851955524 588647677 /nfs/dbraw/zinc/64/76/77/588647677.db2.gz ICTMOXPGWUDHPU-UHFFFAOYSA-N 0 3 235.318 2.984 20 0 BFADHN CC(C)c1cccc(CN2C[C@H]3C[C@@]3(CO)C2)c1 ZINC000851967740 588648961 /nfs/dbraw/zinc/64/89/61/588648961.db2.gz FBCALTSXOFAMGJ-CVEARBPZSA-N 0 3 245.366 2.624 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccccn1)c1ccsc1 ZINC000852018467 588655813 /nfs/dbraw/zinc/65/58/13/588655813.db2.gz NENQQWALAYOIQG-MFKMUULPSA-N 0 3 248.351 2.527 20 0 BFADHN Cc1ccccc1C(C)(C)NC[C@H]1CCC(=O)O1 ZINC000852092689 588661399 /nfs/dbraw/zinc/66/13/99/588661399.db2.gz XWOHEXSDMVRXHA-GFCCVEGCSA-N 0 3 247.338 2.525 20 0 BFADHN CC1(C)CC[C@H]1NCc1cc(C2CC2)no1 ZINC000852125743 588665746 /nfs/dbraw/zinc/66/57/46/588665746.db2.gz WTOFDEBYYQNPTQ-GFCCVEGCSA-N 0 3 220.316 2.830 20 0 BFADHN OC1([C@@H]2CCCCN2Cc2ccsc2)CC1 ZINC000852158284 588668205 /nfs/dbraw/zinc/66/82/05/588668205.db2.gz UUJZKWKWYGEZIQ-LBPRGKRZSA-N 0 3 237.368 2.628 20 0 BFADHN CC[C@H](CC(F)F)CN1C[C@H]2[C@@H](C1)C2(F)F ZINC000852214008 588672971 /nfs/dbraw/zinc/67/29/71/588672971.db2.gz RQHZITQGFOSZNX-HLTSFMKQSA-N 0 3 239.256 2.865 20 0 BFADHN c1cc(CN2C[C@@H]3CCCC[C@@H]32)cc2nonc12 ZINC000852218675 588673585 /nfs/dbraw/zinc/67/35/85/588673585.db2.gz CRGKMDHABQIQGB-FZMZJTMJSA-N 0 3 243.310 2.597 20 0 BFADHN CCCCCCNC(=O)CN(CC)[C@H](C)CC ZINC000852294205 588676630 /nfs/dbraw/zinc/67/66/30/588676630.db2.gz UVBOBDFLERKENI-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN CC[C@@H](CC(F)F)CN(CCF)CCOC ZINC000852478970 588683132 /nfs/dbraw/zinc/68/31/32/588683132.db2.gz BXKADUXJAVIDJZ-JTQLQIEISA-N 0 3 241.297 2.586 20 0 BFADHN COCCN(CCF)CCCC1CCCC1 ZINC000852483122 588684826 /nfs/dbraw/zinc/68/48/26/588684826.db2.gz ARUZEMUNABKCAE-UHFFFAOYSA-N 0 3 231.355 2.875 20 0 BFADHN O[C@@H]1C[C@H](NCc2ccc(CF)cc2)C12CCC2 ZINC000852583146 588691899 /nfs/dbraw/zinc/69/18/99/588691899.db2.gz RQMSFDMUHSDTPF-UONOGXRCSA-N 0 3 249.329 2.549 20 0 BFADHN Cl/C=C(\Cl)CN1CC[C@@H]2C[C@@H]2C1 ZINC000852633346 588693330 /nfs/dbraw/zinc/69/33/30/588693330.db2.gz ABMRYTXBLIAYJW-CPOAVOENSA-N 0 3 206.116 2.647 20 0 BFADHN Cc1cnc(CN2CCC[C@@H](C3CCC3)C2)cn1 ZINC000852673921 588694975 /nfs/dbraw/zinc/69/49/75/588694975.db2.gz OWEJLGCXJUBECB-CQSZACIVSA-N 0 3 245.370 2.797 20 0 BFADHN CN(CCC(=O)C(C)(C)C)CC1=CCSC1 ZINC000852747342 588699400 /nfs/dbraw/zinc/69/94/00/588699400.db2.gz FHNWODIUAIYUJV-UHFFFAOYSA-N 0 3 241.400 2.597 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2CC2(C)C)nc1 ZINC000852772871 588701460 /nfs/dbraw/zinc/70/14/60/588701460.db2.gz RPFRSLYXDSAEFH-NSHDSACASA-N 0 3 234.343 2.568 20 0 BFADHN CCC/C=C/C(=O)Nc1ccc2c(c1)CNCC2 ZINC000852797269 588703831 /nfs/dbraw/zinc/70/38/31/588703831.db2.gz NKHAMTYZTPOMDF-SNAWJCMRSA-N 0 3 244.338 2.627 20 0 BFADHN Cc1c(CN2CCCCCC2)ccnc1F ZINC000862212944 589194258 /nfs/dbraw/zinc/19/42/58/589194258.db2.gz XKEJHAFETOLVFH-UHFFFAOYSA-N 0 3 222.307 2.905 20 0 BFADHN CCN(Cc1ccnc(F)c1C)C(C)C ZINC000862215639 589194590 /nfs/dbraw/zinc/19/45/90/589194590.db2.gz BBWAKUBNYFVXBK-UHFFFAOYSA-N 0 3 210.296 2.759 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccnc(F)c1C ZINC000862219698 589195313 /nfs/dbraw/zinc/19/53/13/589195313.db2.gz ODLGQWHWZSZHBG-SECBINFHSA-N 0 3 210.296 2.759 20 0 BFADHN C[C@@H](NCc1cscn1)C1CC(F)(F)C1 ZINC000862274495 589198385 /nfs/dbraw/zinc/19/83/85/589198385.db2.gz PFUSDJIQJGNWTO-SSDOTTSWSA-N 0 3 232.299 2.667 20 0 BFADHN Cc1c(CN[C@@H]2CCCSC2)ccnc1F ZINC000862284039 589199609 /nfs/dbraw/zinc/19/96/09/589199609.db2.gz DROCBBXCJRSUJF-LLVKDONJSA-N 0 3 240.347 2.514 20 0 BFADHN C[C@]1(CNCc2cccc(F)n2)CCCS1 ZINC000862291527 589200256 /nfs/dbraw/zinc/20/02/56/589200256.db2.gz QRRWSUUUTLUJHP-GFCCVEGCSA-N 0 3 240.347 2.596 20 0 BFADHN Cc1c(F)nccc1CNCCSC(C)C ZINC000862366324 589204612 /nfs/dbraw/zinc/20/46/12/589204612.db2.gz OFUQHGOLTMYEEU-UHFFFAOYSA-N 0 3 242.363 2.760 20 0 BFADHN Cc1c(CNCCC2(F)CCC2)ccnc1F ZINC000862368897 589205212 /nfs/dbraw/zinc/20/52/12/589205212.db2.gz PBIJVVFCVMEBFS-UHFFFAOYSA-N 0 3 240.297 2.901 20 0 BFADHN Cc1c(CN[C@H]2CS[C@@H](C)C2)ccnc1F ZINC000862394805 589208300 /nfs/dbraw/zinc/20/83/00/589208300.db2.gz KZMACOMCSADHRP-GZMMTYOYSA-N 0 3 240.347 2.513 20 0 BFADHN Cc1c(CN[C@@H]2CCS[C@@H]2C)ccnc1F ZINC000862399743 589209303 /nfs/dbraw/zinc/20/93/03/589209303.db2.gz BDXBVVBDUAZYNH-MWLCHTKSSA-N 0 3 240.347 2.513 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2ccc(C)nn2)C1 ZINC000862550179 589220713 /nfs/dbraw/zinc/22/07/13/589220713.db2.gz JOBZEQZEVRTOIW-VQTKUKTRSA-N 0 3 245.370 2.963 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cncnc2)C1 ZINC000862550269 589220934 /nfs/dbraw/zinc/22/09/34/589220934.db2.gz KQLAYRLROMTGGX-IBUXWKBASA-N 0 3 231.343 2.655 20 0 BFADHN Cc1cc(-c2ccc(NCCN(C)C)nc2)co1 ZINC000863723022 589313014 /nfs/dbraw/zinc/31/30/14/589313014.db2.gz RTDZJDRTOSMWPB-UHFFFAOYSA-N 0 3 245.326 2.624 20 0 BFADHN Cc1cc(-c2ccc3c(c2)CCNC3)co1 ZINC000863722718 589313061 /nfs/dbraw/zinc/31/30/61/589313061.db2.gz KQVXLZZXPRBQHY-UHFFFAOYSA-N 0 3 213.280 2.901 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1csc(N)n1 ZINC000864050059 589334952 /nfs/dbraw/zinc/33/49/52/589334952.db2.gz SKTVJCSCXMQIBT-MRVPVSSYSA-N 0 3 227.377 2.640 20 0 BFADHN CC(C)[C@@H]1N(Cc2c[nH]cn2)CC12CC=CC2 ZINC000864050088 589335286 /nfs/dbraw/zinc/33/52/86/589335286.db2.gz UHJXYAIDBDANNU-ZDUSSCGKSA-N 0 3 231.343 2.586 20 0 BFADHN CC(C)[C@@H]1N(Cc2cnc[nH]2)CC12CC=CC2 ZINC000864050088 589335288 /nfs/dbraw/zinc/33/52/88/589335288.db2.gz UHJXYAIDBDANNU-ZDUSSCGKSA-N 0 3 231.343 2.586 20 0 BFADHN C[C@H]1CCC[C@@H](C2CN(Cc3cnc[nH]3)C2)C1 ZINC000864055128 589335862 /nfs/dbraw/zinc/33/58/62/589335862.db2.gz IPUWKAGTSRJPGC-NWDGAFQWSA-N 0 3 233.359 2.668 20 0 BFADHN NCc1ccccc1OCc1coc(C2CC2)n1 ZINC000864553886 589378701 /nfs/dbraw/zinc/37/87/01/589378701.db2.gz BCIHGSAYUXKOBJ-UHFFFAOYSA-N 0 3 244.294 2.590 20 0 BFADHN CN(C)CCSCOC1CCCCC1 ZINC000865359828 589425637 /nfs/dbraw/zinc/42/56/37/589425637.db2.gz XBKBODVLEQPHRJ-UHFFFAOYSA-N 0 3 217.378 2.588 20 0 BFADHN C[C@@H]1CCCC[C@H]1NCc1cn(C2CC2)cn1 ZINC000865397303 589431700 /nfs/dbraw/zinc/43/17/00/589431700.db2.gz FIAGEVZAYBKFTC-BXUZGUMPSA-N 0 3 233.359 2.886 20 0 BFADHN c1csc(CCNCc2cn(C3CC3)cn2)c1 ZINC000865401922 589433189 /nfs/dbraw/zinc/43/31/89/589433189.db2.gz DOAHIEGRMDWSSH-UHFFFAOYSA-N 0 3 247.367 2.612 20 0 BFADHN c1nc(CNCCC2CCCC2)cn1C1CC1 ZINC000865408400 589433421 /nfs/dbraw/zinc/43/34/21/589433421.db2.gz XHJLIFHRHJVTGU-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN CC(C)(C)NCc1cccnc1Br ZINC000865409373 589433637 /nfs/dbraw/zinc/43/36/37/589433637.db2.gz GNRRUTUOVBYDAY-UHFFFAOYSA-N 0 3 243.148 2.732 20 0 BFADHN CCNCc1cccc(F)c1OC(F)(F)F ZINC000865403684 589433852 /nfs/dbraw/zinc/43/38/52/589433852.db2.gz LUINYQZFMQKKCX-UHFFFAOYSA-N 0 3 237.196 2.834 20 0 BFADHN Fc1cccc2cc(CN3CCCC3)cnc12 ZINC000865406522 589433891 /nfs/dbraw/zinc/43/38/91/589433891.db2.gz XGDWDTVMZVDYNB-UHFFFAOYSA-N 0 3 230.286 2.970 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1cnc(Cl)c(F)c1 ZINC000865413915 589434109 /nfs/dbraw/zinc/43/41/09/589434109.db2.gz CWXDTWJCXVZHAN-IONNQARKSA-N 0 3 228.698 2.620 20 0 BFADHN CC1(CNCc2cn(C3CC3)cn2)CCCC1 ZINC000865455490 589437619 /nfs/dbraw/zinc/43/76/19/589437619.db2.gz AIZPLHOVNPCBGT-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN CC1(C)CCC[C@@H]1NCc1cn(C2CC2)cn1 ZINC000865485497 589440572 /nfs/dbraw/zinc/44/05/72/589440572.db2.gz XYOQJUGTJKZTFE-ZDUSSCGKSA-N 0 3 233.359 2.886 20 0 BFADHN Fc1cc(CNC2CC=CC2)cnc1Cl ZINC000865492287 589440860 /nfs/dbraw/zinc/44/08/60/589440860.db2.gz QSJBGPPQEWGLCS-UHFFFAOYSA-N 0 3 226.682 2.682 20 0 BFADHN CC(C)(C)Oc1cccc(CN[C@H]2CCOC2)c1 ZINC000865508086 589442060 /nfs/dbraw/zinc/44/20/60/589442060.db2.gz LPTPZKBTVFVKNC-ZDUSSCGKSA-N 0 3 249.354 2.742 20 0 BFADHN CCOc1cccc([C@H](C)N[C@@H]2CCCOC2)c1 ZINC000120603071 589444427 /nfs/dbraw/zinc/44/44/27/589444427.db2.gz MDXXBBKDVQYRIF-GXTWGEPZSA-N 0 3 249.354 2.915 20 0 BFADHN CC1(C)CC(CNCc2cn(C3CC3)cn2)C1 ZINC000865539322 589444679 /nfs/dbraw/zinc/44/46/79/589444679.db2.gz TUUGAWQQWSZSJR-UHFFFAOYSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@H]1CC[C@H](CNCc2cn(C3CC3)cn2)C1 ZINC000865548478 589445337 /nfs/dbraw/zinc/44/53/37/589445337.db2.gz BTUDWFYBQFHBKY-RYUDHWBXSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1cn(C2CC2)cn1 ZINC000865552481 589446037 /nfs/dbraw/zinc/44/60/37/589446037.db2.gz MFVMADSBNREPSS-VXGBXAGGSA-N 0 3 233.359 2.744 20 0 BFADHN CC1(C)CC[C@@H]1NCc1cc2c(cc[nH]c2=O)o1 ZINC000865568576 589447367 /nfs/dbraw/zinc/44/73/67/589447367.db2.gz UDOJPEOWVDYNGU-LBPRGKRZSA-N 0 3 246.310 2.812 20 0 BFADHN CC1(C)C[C@@H]1NCc1cnc(Cl)c(F)c1 ZINC000865601814 589450267 /nfs/dbraw/zinc/45/02/67/589450267.db2.gz DRHJUTSEEMHXEI-VIFPVBQESA-N 0 3 228.698 2.762 20 0 BFADHN Cc1cc(C)c(/C=C\CN[C@@H]2CC[C@H]2O)cc1C ZINC000865609970 589451213 /nfs/dbraw/zinc/45/12/13/589451213.db2.gz XMJRLKJFXXACSO-KSLSASGISA-N 0 3 245.366 2.738 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1cc2c(cc[nH]c2=O)o1 ZINC000865631507 589452817 /nfs/dbraw/zinc/45/28/17/589452817.db2.gz FKLNOVHVCDLKTF-CQSZACIVSA-N 0 3 246.310 2.812 20 0 BFADHN c1nc(CNC[C@@H]2CCC[C@@H]3C[C@@H]32)cn1C1CC1 ZINC000865628637 589452844 /nfs/dbraw/zinc/45/28/44/589452844.db2.gz VJDWJUIOBZVNFH-XUJVJEKNSA-N 0 3 245.370 2.744 20 0 BFADHN Fc1cc(CN[C@@]23C[C@@H]2CCCC3)ccn1 ZINC000865702935 589457349 /nfs/dbraw/zinc/45/73/49/589457349.db2.gz AORQFYFWZUBQOC-AAEUAGOBSA-N 0 3 220.291 2.643 20 0 BFADHN c1nc(CN[C@]23C[C@H]2CCCC3)cn1C1CC1 ZINC000865704831 589457446 /nfs/dbraw/zinc/45/74/46/589457446.db2.gz ZOIZMARUFVZTEE-BXUZGUMPSA-N 0 3 231.343 2.640 20 0 BFADHN CS[C@H]1C[C@H](NCc2ccc(F)c(F)c2)C1 ZINC000865734824 589459459 /nfs/dbraw/zinc/45/94/59/589459459.db2.gz QEOSLAJQLREYGK-MGCOHNPYSA-N 0 3 243.322 2.948 20 0 BFADHN Cc1ccc(CNC(C)(C)[C@@H]2CCCCO2)nc1 ZINC000865746070 589460394 /nfs/dbraw/zinc/46/03/94/589460394.db2.gz LHKWDDLYQBOPLO-AWEZNQCLSA-N 0 3 248.370 2.827 20 0 BFADHN Cc1ccc(CNC(C)(C)[C@H]2CCCCO2)cn1 ZINC000865746455 589460472 /nfs/dbraw/zinc/46/04/72/589460472.db2.gz NIVHOHWTYMMHIN-CQSZACIVSA-N 0 3 248.370 2.827 20 0 BFADHN Cn1c(CNCCCCC2CC2)cnc1Cl ZINC000865786483 589461917 /nfs/dbraw/zinc/46/19/17/589461917.db2.gz NPZRDSWWIHWTDJ-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN CC(C)CCCNCc1nn(C)cc1C(F)F ZINC000865809244 589462510 /nfs/dbraw/zinc/46/25/10/589462510.db2.gz ZVCGSLRUZIPIEV-UHFFFAOYSA-N 0 3 245.317 2.884 20 0 BFADHN CC(C)C[C@H](C)NCc1nn(C)cc1C(F)F ZINC000865808734 589462605 /nfs/dbraw/zinc/46/26/05/589462605.db2.gz BOILPTQUHDZIDV-VIFPVBQESA-N 0 3 245.317 2.882 20 0 BFADHN Cc1cccc(CN[C@]23CCC[C@H]2OCC3)c1F ZINC000865857141 589465271 /nfs/dbraw/zinc/46/52/71/589465271.db2.gz MVVAAYYAIFBSDK-HIFRSBDPSA-N 0 3 249.329 2.935 20 0 BFADHN Cc1ccoc1CNCC[C@H](O)C1CCCC1 ZINC000865875312 589466814 /nfs/dbraw/zinc/46/68/14/589466814.db2.gz NQZUMBBADVZXIR-ZDUSSCGKSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1occc1CNCC[C@@H](O)C1CCCC1 ZINC000865877871 589467092 /nfs/dbraw/zinc/46/70/92/589467092.db2.gz USQULMFXWPGSJH-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC[C@H]2O)c(C)o1 ZINC000120911622 589476485 /nfs/dbraw/zinc/47/64/85/589476485.db2.gz VMVLADNRBYMLHV-GXTWGEPZSA-N 0 3 237.343 2.537 20 0 BFADHN C[C@H](CCc1cccnc1)NCc1ccco1 ZINC000866213917 589480997 /nfs/dbraw/zinc/48/09/97/589480997.db2.gz COGOEXPTQYFDBC-GFCCVEGCSA-N 0 3 230.311 2.786 20 0 BFADHN CCC[C@@H](N[C@H]1CCN(C)C1)c1cc(C)ccn1 ZINC000866260720 589483535 /nfs/dbraw/zinc/48/35/35/589483535.db2.gz WMNLNSWLLYMLSH-UONOGXRCSA-N 0 3 247.386 2.525 20 0 BFADHN C[C@@H]1CCc2ccc(F)cc2[C@@H]1N[C@H]1CCOC1 ZINC000866311371 589485737 /nfs/dbraw/zinc/48/57/37/589485737.db2.gz ZMQJJMMTRLSNCS-RIEGTJTDSA-N 0 3 249.329 2.828 20 0 BFADHN CC(C)[C@H](N[C@H]1CC12CC2)c1ccccn1 ZINC000866379821 589489044 /nfs/dbraw/zinc/48/90/44/589489044.db2.gz UYLHAMKYHXZOOP-STQMWFEESA-N 0 3 216.328 2.921 20 0 BFADHN CC(C)[C@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccccn1 ZINC000866383181 589489121 /nfs/dbraw/zinc/48/91/21/589489121.db2.gz GXNJPEKCGFFVSC-RZFFKMDDSA-N 0 3 246.354 2.688 20 0 BFADHN C[C@@H](N[C@@H]1CC(C)(C)CC[C@H]1O)c1ccco1 ZINC000866453030 589494358 /nfs/dbraw/zinc/49/43/58/589494358.db2.gz FQYPNJYIXCZQBI-IJLUTSLNSA-N 0 3 237.343 2.870 20 0 BFADHN CC[C@@H](C)NCc1noc2ccc(F)cc12 ZINC000866514436 589498629 /nfs/dbraw/zinc/49/86/29/589498629.db2.gz YZBCZQWFUCMKKH-MRVPVSSYSA-N 0 3 222.263 2.855 20 0 BFADHN C[C@H](NCC(C)(C)C(C)(F)F)c1ccn(C)n1 ZINC000866546616 589500095 /nfs/dbraw/zinc/50/00/95/589500095.db2.gz OSWRNICBMLOUPE-VIFPVBQESA-N 0 3 245.317 2.752 20 0 BFADHN Cn1cc(C(F)F)c(CNC2CC(C)(C)C2)n1 ZINC000866568243 589500493 /nfs/dbraw/zinc/50/04/93/589500493.db2.gz RTJBOPDRNGKKLT-UHFFFAOYSA-N 0 3 243.301 2.636 20 0 BFADHN CC[C@@H](NCc1nn(C)cc1C(F)F)C1CC1 ZINC000866563746 589500673 /nfs/dbraw/zinc/50/06/73/589500673.db2.gz QALXQBGAFUAHED-SNVBAGLBSA-N 0 3 243.301 2.636 20 0 BFADHN Cn1cc(C(F)F)c(CN[C@@H]2CCC2(C)C)n1 ZINC000866567995 589500715 /nfs/dbraw/zinc/50/07/15/589500715.db2.gz OSEMZDKXCKDEPH-SNVBAGLBSA-N 0 3 243.301 2.636 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@H]2CSC[C@@H]2C1 ZINC000866584040 589501638 /nfs/dbraw/zinc/50/16/38/589501638.db2.gz WTZKONVMOFRTNO-XBFCOCLRSA-N 0 3 248.395 2.828 20 0 BFADHN CC[C@H](C[C@H](C)O)N[C@@H](C)c1cnc(C)s1 ZINC000866634669 589506272 /nfs/dbraw/zinc/50/62/72/589506272.db2.gz IEVIYJPPCWNGFQ-ATZCPNFKSA-N 0 3 242.388 2.652 20 0 BFADHN CCCC[C@](C)(F)C(=O)O[C@@H](CC)CN(C)C ZINC000870548990 589673618 /nfs/dbraw/zinc/67/36/18/589673618.db2.gz SQDONCDASRBVEW-AAEUAGOBSA-N 0 3 247.354 2.788 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)C1(F)CCCCC1 ZINC000870549053 589673737 /nfs/dbraw/zinc/67/37/37/589673737.db2.gz WYIODUBSRKHTFF-NSHDSACASA-N 0 3 245.338 2.542 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)/C=C\c1ccccc1 ZINC000870553320 589674676 /nfs/dbraw/zinc/67/46/76/589674676.db2.gz ZNKHWWQTQWNMPN-IWMPZKFUSA-N 0 3 247.338 2.583 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1ccnc(Cl)c1 ZINC000123403332 589701376 /nfs/dbraw/zinc/70/13/76/589701376.db2.gz MSKRFUBVEKQHIW-MWLCHTKSSA-N 0 3 242.750 2.547 20 0 BFADHN C/C=C/CN[C@@H](CC)C(=O)Nc1ccc(C)cc1 ZINC000871897201 589830567 /nfs/dbraw/zinc/83/05/67/589830567.db2.gz QRPDGOVPDBIQOI-YVZNUBBCSA-N 0 3 246.354 2.878 20 0 BFADHN CC[C@H](NCc1nncn1CC)C(C)(C)CC ZINC000872022205 589856798 /nfs/dbraw/zinc/85/67/98/589856798.db2.gz HSTKVGYVMQABDP-NSHDSACASA-N 0 3 238.379 2.602 20 0 BFADHN CSCCCN[C@H](C)c1cc(O)ccc1F ZINC000872037768 589859104 /nfs/dbraw/zinc/85/91/04/589859104.db2.gz HPVXLZCHIZSQSX-SECBINFHSA-N 0 3 243.347 2.935 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1cccc(O)c1F ZINC000872038037 589859385 /nfs/dbraw/zinc/85/93/85/589859385.db2.gz KGERFRYDLJRWQU-VHSXEESVSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@@H]([NH2+]CCCF)c1cccc([O-])c1F ZINC000872049057 589860297 /nfs/dbraw/zinc/86/02/97/589860297.db2.gz OYBZXIVIRUJMBV-MRVPVSSYSA-N 0 3 215.243 2.542 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1cccc(O)c1F ZINC000872073350 589863452 /nfs/dbraw/zinc/86/34/52/589863452.db2.gz PCUXKQSGZOKGHG-OPRDCNLKSA-N 0 3 241.306 2.605 20 0 BFADHN C[C@@H](NCCC[C@H]1C=CCC1)c1ncc[nH]1 ZINC000872107969 589873835 /nfs/dbraw/zinc/87/38/35/589873835.db2.gz QCDNSFJGFFYRLC-NEPJUHHUSA-N 0 3 219.332 2.807 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]2CCC[C@H]2O1)c1ccoc1 ZINC000872109116 589874465 /nfs/dbraw/zinc/87/44/65/589874465.db2.gz HOORTFMEYPGVGY-UZGDPCLZSA-N 0 3 235.327 2.888 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000872129706 589877508 /nfs/dbraw/zinc/87/75/08/589877508.db2.gz ANXUMZSJNPTEKH-HYKPAMGXSA-N 0 3 245.370 2.870 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000872129708 589877728 /nfs/dbraw/zinc/87/77/28/589877728.db2.gz ANXUMZSJNPTEKH-RCCPXBDUSA-N 0 3 245.370 2.870 20 0 BFADHN CS[C@@H]1CC[C@@H](NCc2cncs2)C1 ZINC000124338381 589977241 /nfs/dbraw/zinc/97/72/41/589977241.db2.gz KDIPVBBKCDOFLE-RKDXNWHRSA-N 0 3 228.386 2.517 20 0 BFADHN Cc1nc(CNCCC[C@@H]2C=CCC2)[nH]c1C ZINC000873154239 589987468 /nfs/dbraw/zinc/98/74/68/589987468.db2.gz SHMUIVJEZIVILB-CYBMUJFWSA-N 0 3 233.359 2.863 20 0 BFADHN C1=C[C@H](CCCNCc2cc3n(n2)CCC3)CC1 ZINC000873153697 589987723 /nfs/dbraw/zinc/98/77/23/589987723.db2.gz DKNUYRHINMCLCQ-ZDUSSCGKSA-N 0 3 245.370 2.665 20 0 BFADHN CC(C)c1noc(-c2cccc3c2CCNC3)n1 ZINC000124466185 590016937 /nfs/dbraw/zinc/01/69/37/590016937.db2.gz SHUDQLPZFFOLKS-UHFFFAOYSA-N 0 3 243.310 2.506 20 0 BFADHN CC(C)CN(CN1CCCC1=O)CC(C)(C)C ZINC000124574658 590058815 /nfs/dbraw/zinc/05/88/15/590058815.db2.gz JXGYIIGPIYZWJM-UHFFFAOYSA-N 0 3 240.391 2.570 20 0 BFADHN C[C@H](CC1CCC1)N[C@@H](C)c1ncc[nH]1 ZINC000124792390 590085158 /nfs/dbraw/zinc/08/51/58/590085158.db2.gz DOLKAKYVFZEKOL-ZJUUUORDSA-N 0 3 207.321 2.639 20 0 BFADHN C[C@@H](CC1CCC1)N[C@@H](C)c1ncc[nH]1 ZINC000124792143 590085758 /nfs/dbraw/zinc/08/57/58/590085758.db2.gz DOLKAKYVFZEKOL-UWVGGRQHSA-N 0 3 207.321 2.639 20 0 BFADHN C[C@H](NC[C@H](O)c1ccc(F)cc1)c1ccoc1 ZINC000124911010 590098359 /nfs/dbraw/zinc/09/83/59/590098359.db2.gz XPFPOLGXIXVEBM-HZMBPMFUSA-N 0 3 249.285 2.803 20 0 BFADHN CCC[C@H](CC)C(=O)N[C@H](CN(C)C)C(C)C ZINC000874468580 590113915 /nfs/dbraw/zinc/11/39/15/590113915.db2.gz KYIYXUCPBJJSDT-QWHCGFSZSA-N 0 3 242.407 2.515 20 0 BFADHN COc1ccccc1CN[C@H](C)CCSC ZINC000125039368 590115201 /nfs/dbraw/zinc/11/52/01/590115201.db2.gz IQSHBLNPZXJDOP-LLVKDONJSA-N 0 3 239.384 2.926 20 0 BFADHN CCN(Cc1ccc(OC(C)=O)cc1)C1CC1 ZINC000125607696 590173031 /nfs/dbraw/zinc/17/30/31/590173031.db2.gz NUBBADJKHSTXDB-UHFFFAOYSA-N 0 3 233.311 2.596 20 0 BFADHN CCc1cccc(NC(=O)[C@@H]2C[C@@H](C)CCN2)c1 ZINC000126021623 590214837 /nfs/dbraw/zinc/21/48/37/590214837.db2.gz BMZCPEWTTHJLSX-FZMZJTMJSA-N 0 3 246.354 2.576 20 0 BFADHN Cc1c(Cl)cccc1CN1CCC[C@@H]1CO ZINC000876561839 590215165 /nfs/dbraw/zinc/21/51/65/590215165.db2.gz LYXQVNJQKQIPMK-GFCCVEGCSA-N 0 3 239.746 2.605 20 0 BFADHN CC(C)N(Cc1ccc(Cl)nn1)C1CC1 ZINC000876596466 590219757 /nfs/dbraw/zinc/21/97/57/590219757.db2.gz QWIYAXYPNPFGQX-UHFFFAOYSA-N 0 3 225.723 2.503 20 0 BFADHN CN(C)c1ccc(F)cc1CN(C)CC1CC1 ZINC000876621573 590222913 /nfs/dbraw/zinc/22/29/13/590222913.db2.gz BXXOAXPGACFEES-UHFFFAOYSA-N 0 3 236.334 2.734 20 0 BFADHN CSC(C)(C)CCN1CCO[C@@H](C)[C@@H]1C ZINC000876738407 590234801 /nfs/dbraw/zinc/23/48/01/590234801.db2.gz CTBCHYJKAZOSSS-QWRGUYRKSA-N 0 3 231.405 2.627 20 0 BFADHN CC(C)c1noc([C@@H]2C[C@H]3CCCC[C@@H]3N2)n1 ZINC000021811895 590235283 /nfs/dbraw/zinc/23/52/83/590235283.db2.gz OAIYBWZWDIDHNS-VWYCJHECSA-N 0 3 235.331 2.786 20 0 BFADHN CSC(C)(C)CCN(C)Cc1cccn1C ZINC000876758958 590238472 /nfs/dbraw/zinc/23/84/72/590238472.db2.gz JEXMIOOANSIPLE-UHFFFAOYSA-N 0 3 240.416 2.989 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cc3c(cc[nH]c3=O)o2)C1 ZINC000876761164 590238518 /nfs/dbraw/zinc/23/85/18/590238518.db2.gz ZLROIIOQCFBVLI-NXEZZACHSA-N 0 3 246.310 2.764 20 0 BFADHN CSC(C)(C)CCN1CCOC[C@@H]1C1CC1 ZINC000876764852 590239853 /nfs/dbraw/zinc/23/98/53/590239853.db2.gz OKALYDHSHYMOAF-GFCCVEGCSA-N 0 3 243.416 2.629 20 0 BFADHN Clc1ccc(CNCC2CCCCC2)nn1 ZINC000876887409 590254491 /nfs/dbraw/zinc/25/44/91/590254491.db2.gz WUPLFURIKXROJO-UHFFFAOYSA-N 0 3 239.750 2.800 20 0 BFADHN C[C@H](NCc1nc(Cl)cs1)C1CC1 ZINC000876896518 590255064 /nfs/dbraw/zinc/25/50/64/590255064.db2.gz GHAKLDPNZLOYES-LURJTMIESA-N 0 3 216.737 2.685 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2ccc(Cl)nn2)C1 ZINC000876916058 590257003 /nfs/dbraw/zinc/25/70/03/590257003.db2.gz JGLXCEMLYOXNRE-ZJUUUORDSA-N 0 3 239.750 2.798 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2ccc(Cl)nn2)C1 ZINC000876916055 590257055 /nfs/dbraw/zinc/25/70/55/590257055.db2.gz JGLXCEMLYOXNRE-NXEZZACHSA-N 0 3 239.750 2.798 20 0 BFADHN CC(C)(C)CNCc1nc(Cl)cs1 ZINC000876970268 590263070 /nfs/dbraw/zinc/26/30/70/590263070.db2.gz NDAVCCJRVAQZLG-UHFFFAOYSA-N 0 3 218.753 2.932 20 0 BFADHN CC(C)(C)OCCNC[C@@H]1CC1(Cl)Cl ZINC000876988030 590265602 /nfs/dbraw/zinc/26/56/02/590265602.db2.gz RNXLZTVKBHKSQH-QMMMGPOBSA-N 0 3 240.174 2.585 20 0 BFADHN COCCCCCNCc1nc(Cl)cs1 ZINC000876990320 590266039 /nfs/dbraw/zinc/26/60/39/590266039.db2.gz YPGVYYUEVFXKMR-UHFFFAOYSA-N 0 3 248.779 2.703 20 0 BFADHN COc1cccc(C)c1CNCCOC1CCC1 ZINC000877068610 590277174 /nfs/dbraw/zinc/27/71/74/590277174.db2.gz AIVWGELLQJGDMZ-UHFFFAOYSA-N 0 3 249.354 2.662 20 0 BFADHN CCC1(CNCc2ccc(Cl)nn2)CCC1 ZINC000877080766 590279813 /nfs/dbraw/zinc/27/98/13/590279813.db2.gz SVPFFROMXPLKIE-UHFFFAOYSA-N 0 3 239.750 2.800 20 0 BFADHN CS[C@H](C)CNCc1cccc(Cl)c1N ZINC000877111251 590287538 /nfs/dbraw/zinc/28/75/38/590287538.db2.gz GTPPBNRFKZPFPK-MRVPVSSYSA-N 0 3 244.791 2.763 20 0 BFADHN Cc1cncc(CNC[C@@H]2CC2(Cl)Cl)c1 ZINC000877123360 590289392 /nfs/dbraw/zinc/28/93/92/590289392.db2.gz VAJRUSMGJAPSPI-JTQLQIEISA-N 0 3 245.153 2.673 20 0 BFADHN CC[C@H](C)[C@H](CN[C@@H](C)c1ccc[nH]1)OC ZINC000877124607 590289410 /nfs/dbraw/zinc/28/94/10/590289410.db2.gz OXKRZGDAGLCMIX-GVXVVHGQSA-N 0 3 224.348 2.726 20 0 BFADHN Cc1cc(CNc2cccc(N(C)C)n2)sn1 ZINC000877191821 590298855 /nfs/dbraw/zinc/29/88/55/590298855.db2.gz GTTYYHZYTWETEU-UHFFFAOYSA-N 0 3 248.355 2.525 20 0 BFADHN C[C@@H](CCC1CC1)NCc1ccc(Cl)nn1 ZINC000877398498 590322767 /nfs/dbraw/zinc/32/27/67/590322767.db2.gz FYMYDJQCLSMVJT-VIFPVBQESA-N 0 3 239.750 2.798 20 0 BFADHN CC(C)C1CN(C[C@H]2CC2(Cl)Cl)C1 ZINC000877487265 590338438 /nfs/dbraw/zinc/33/84/38/590338438.db2.gz RREGYFUGPDKXQY-SECBINFHSA-N 0 3 222.159 2.768 20 0 BFADHN CC(C)C1(CNCc2cccnc2F)CC1 ZINC000877491897 590339748 /nfs/dbraw/zinc/33/97/48/590339748.db2.gz XVJGGZRYAOSACX-UHFFFAOYSA-N 0 3 222.307 2.747 20 0 BFADHN CC[C@@H](C)[C@H](CN1CCC(F)(F)CC1)OC ZINC000877491311 590340023 /nfs/dbraw/zinc/34/00/23/590340023.db2.gz IPGSWPFBWBXVQD-MNOVXSKESA-N 0 3 235.318 2.779 20 0 BFADHN Fc1ncccc1CNC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000877491090 590340095 /nfs/dbraw/zinc/34/00/95/590340095.db2.gz NSGHIMYUBHEIAC-NTZNESFSSA-N 0 3 234.318 2.747 20 0 BFADHN CCC[C@H](CN1CCC(F)(F)CC1)OC ZINC000877491553 590340428 /nfs/dbraw/zinc/34/04/28/590340428.db2.gz MJKFRXXXBOOQNQ-SNVBAGLBSA-N 0 3 221.291 2.533 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1ccc(Cl)nn1 ZINC000877500725 590341910 /nfs/dbraw/zinc/34/19/10/590341910.db2.gz IFUNROWVAGLYOQ-VHSXEESVSA-N 0 3 239.750 2.656 20 0 BFADHN COc1c(C)cnc(CN2CC[C@H]2C2CC2)c1C ZINC000877561284 590359588 /nfs/dbraw/zinc/35/95/88/590359588.db2.gz DPDCYKBRAWVZNG-AWEZNQCLSA-N 0 3 246.354 2.691 20 0 BFADHN CN(C)c1ccc(F)cc1CN1CC[C@@H]1C1CC1 ZINC000877560954 590359781 /nfs/dbraw/zinc/35/97/81/590359781.db2.gz ACCXXODFJFHIEE-OAHLLOKOSA-N 0 3 248.345 2.876 20 0 BFADHN Cc1nocc1CN[C@@H](C)CSC(C)(C)C ZINC000877563457 590360597 /nfs/dbraw/zinc/36/05/97/590360597.db2.gz NMWHZEQGBFGKSJ-VIFPVBQESA-N 0 3 242.388 2.993 20 0 BFADHN CC[C@@H](C)CNCc1cc(C2CC2)no1 ZINC000877595180 590370458 /nfs/dbraw/zinc/37/04/58/590370458.db2.gz UMYLGJYVLODOIE-SECBINFHSA-N 0 3 208.305 2.688 20 0 BFADHN CC[C@@H](C)[C@H](CN1CC(CC(F)F)C1)OC ZINC000877599395 590371215 /nfs/dbraw/zinc/37/12/15/590371215.db2.gz YKSROAPIOPJDCF-KOLCDFICSA-N 0 3 235.318 2.635 20 0 BFADHN CSC(C)(C)CCN1CC2(CC2(F)F)C1 ZINC000877617854 590375493 /nfs/dbraw/zinc/37/54/93/590375493.db2.gz XLYMSEJGNNTURA-UHFFFAOYSA-N 0 3 235.343 2.859 20 0 BFADHN CCC[C@H](CN[C@H]1C[C@@H](O)c2ccccc21)OC ZINC000877630110 590378125 /nfs/dbraw/zinc/37/81/25/590378125.db2.gz YUQOQZAXKMQGPF-BYCMXARLSA-N 0 3 249.354 2.570 20 0 BFADHN Fc1ccc(/C=C/CNC2CSC2)c(F)c1 ZINC000877634962 590379704 /nfs/dbraw/zinc/37/97/04/590379704.db2.gz WUULLTDJDVIITF-OWOJBTEDSA-N 0 3 241.306 2.683 20 0 BFADHN CC(C)(CNC1CSC1)Oc1ccccc1 ZINC000877634335 590379971 /nfs/dbraw/zinc/37/99/71/590379971.db2.gz ILVUIXPOPZMSPA-UHFFFAOYSA-N 0 3 237.368 2.549 20 0 BFADHN CN(C)c1ccc(F)cc1CN1CC[C@H]2C[C@H]2C1 ZINC000877635591 590380711 /nfs/dbraw/zinc/38/07/11/590380711.db2.gz XYAZGCJJRCDHTQ-RYUDHWBXSA-N 0 3 248.345 2.734 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccns1)[C@@H]1CC1(F)F ZINC000877659080 590385906 /nfs/dbraw/zinc/38/59/06/590385906.db2.gz IPRRILORJABMOI-CSMHCCOUSA-N 0 3 232.299 2.837 20 0 BFADHN C[C@H](CC1CCC1)NCc1ccc(Cl)nn1 ZINC000877700065 590393544 /nfs/dbraw/zinc/39/35/44/590393544.db2.gz BFLMKAZCQHMUCF-SECBINFHSA-N 0 3 239.750 2.798 20 0 BFADHN CC(C)(NCc1ccns1)[C@@H]1CCCCO1 ZINC000877702684 590395833 /nfs/dbraw/zinc/39/58/33/590395833.db2.gz WXCNSBUKLXEYCS-NSHDSACASA-N 0 3 240.372 2.580 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1noc2ccc(F)cc12 ZINC000877711049 590398522 /nfs/dbraw/zinc/39/85/22/590398522.db2.gz VNVRFPWQXTUGBU-DTWKUNHWSA-N 0 3 234.274 2.713 20 0 BFADHN Cc1nc(CN2C[C@@H]3CC=CC[C@@H]3C2)cs1 ZINC000877719103 590401156 /nfs/dbraw/zinc/40/11/56/590401156.db2.gz HZLVZPCAKZPZFP-TXEJJXNPSA-N 0 3 234.368 2.850 20 0 BFADHN Cc1occc1CN1CC[C@H]2CSC[C@H]2C1 ZINC000877738043 590404923 /nfs/dbraw/zinc/40/49/23/590404923.db2.gz MWAQKHFVEMPKSD-QWHCGFSZSA-N 0 3 237.368 2.773 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3CSC[C@@H]3C2)nc1 ZINC000877737099 590405786 /nfs/dbraw/zinc/40/57/86/590405786.db2.gz CRJGMDWQVXDMKV-OLZOCXBDSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1ccncc1CN1CC[C@@H]2CSC[C@@H]2C1 ZINC000877738533 590407484 /nfs/dbraw/zinc/40/74/84/590407484.db2.gz OKNVMKSXJQMZHU-OCCSQVGLSA-N 0 3 248.395 2.575 20 0 BFADHN c1cc(CN2CC[C@H]3CSC[C@H]3C2)cs1 ZINC000877742192 590408532 /nfs/dbraw/zinc/40/85/32/590408532.db2.gz XXAUIDLRKKSNQP-NWDGAFQWSA-N 0 3 239.409 2.933 20 0 BFADHN CC1(C)C[C@@H](O)CN(C/C=C/c2ccccc2)C1 ZINC000877752699 590415480 /nfs/dbraw/zinc/41/54/80/590415480.db2.gz IDHHMWSHLUNRGH-RZIFZGNASA-N 0 3 245.366 2.793 20 0 BFADHN C[C@@H](CN(C)Cc1cncnc1)C(C)(C)C ZINC000877823673 590427905 /nfs/dbraw/zinc/42/79/05/590427905.db2.gz AKLMCMDIYTVUSF-NSHDSACASA-N 0 3 221.348 2.591 20 0 BFADHN CCOC(=O)[C@H](C)C1CN(CCC(C)(C)C)C1 ZINC000877830771 590429401 /nfs/dbraw/zinc/42/94/01/590429401.db2.gz PVGLHFWYAYBNLR-LLVKDONJSA-N 0 3 241.375 2.554 20 0 BFADHN CC1(C)CN(CC2CC3(CCC3)C2)CCO1 ZINC000877898240 590442731 /nfs/dbraw/zinc/44/27/31/590442731.db2.gz YNKNIQUDHWSBIN-UHFFFAOYSA-N 0 3 223.360 2.678 20 0 BFADHN CC(C)[C@@H](O)CCN(C)Cc1ccsc1 ZINC000877921224 590447213 /nfs/dbraw/zinc/44/72/13/590447213.db2.gz HMVAXGZDDJFPIX-LBPRGKRZSA-N 0 3 227.373 2.587 20 0 BFADHN C=C/C=C/CCN1CCN(C2CCCC2)CC1 ZINC000877952963 590455679 /nfs/dbraw/zinc/45/56/79/590455679.db2.gz CUUUWPPLURLRNA-ONEGZZNKSA-N 0 3 234.387 2.679 20 0 BFADHN C=C/C=C\CCN1CCC(c2nc(C)no2)CC1 ZINC000877991318 590467600 /nfs/dbraw/zinc/46/76/00/590467600.db2.gz OXTOCGSSLXTRAA-PLNGDYQASA-N 0 3 247.342 2.690 20 0 BFADHN C=C/C=C/CCN1CCC[C@@H]1[C@@H](O)C(F)(F)F ZINC000878087143 590489644 /nfs/dbraw/zinc/48/96/44/590489644.db2.gz HNZPDCQVQAPREA-YIZUVKHSSA-N 0 3 249.276 2.506 20 0 BFADHN CCn1cc(CN(C)CCCC2CC2)cn1 ZINC000878105903 590494769 /nfs/dbraw/zinc/49/47/69/590494769.db2.gz BYMYMYFBIKWHEU-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN C(C1CC2(CCC2)C1)N1CCN(C2CCC2)CC1 ZINC000878104993 590495042 /nfs/dbraw/zinc/49/50/42/590495042.db2.gz UGJLSYMCYSHTRI-UHFFFAOYSA-N 0 3 248.414 2.737 20 0 BFADHN Cc1conc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000878133124 590503970 /nfs/dbraw/zinc/50/39/70/590503970.db2.gz GHRZYNPWXOMTOV-WCQYABFASA-N 0 3 220.316 2.748 20 0 BFADHN CO[C@H]1C[C@@H](CN2Cc3ccccc3[C@@H]2C)C1 ZINC000878157980 590509090 /nfs/dbraw/zinc/50/90/90/590509090.db2.gz QMGYTPIPWFEJKU-SGMGOOAPSA-N 0 3 231.339 2.988 20 0 BFADHN CC(C)[C@@H](O)CCN1CCC[C@@H]1c1ccc[nH]1 ZINC000878214609 590525199 /nfs/dbraw/zinc/52/51/99/590525199.db2.gz YUCCCLQVKBQWTM-KGLIPLIRSA-N 0 3 236.359 2.559 20 0 BFADHN CC(C)[C@H](O)CCN1CCC[C@@H]1c1cccnc1 ZINC000878308958 590540099 /nfs/dbraw/zinc/54/00/99/590540099.db2.gz JPKISWSNBPYUEZ-HUUCEWRRSA-N 0 3 248.370 2.626 20 0 BFADHN CC1(C)CC[C@@H](CN2CCCSCC2)OC1 ZINC000878312890 590541216 /nfs/dbraw/zinc/54/12/16/590541216.db2.gz CDKMPCFCWJPTSW-LBPRGKRZSA-N 0 3 243.416 2.631 20 0 BFADHN FC(F)[C@@H]1CCN(C[C@@H]2CCC3(CCC3)O2)C1 ZINC000878320086 590545279 /nfs/dbraw/zinc/54/52/79/590545279.db2.gz IZTWFOSJFQTVQY-MNOVXSKESA-N 0 3 245.313 2.675 20 0 BFADHN C[C@@H](NC[C@H]1CC2(CCC2)CO1)c1cccnc1 ZINC000878346076 590553382 /nfs/dbraw/zinc/55/33/82/590553382.db2.gz CPGXDPBLJPHRMY-TZMCWYRMSA-N 0 3 246.354 2.691 20 0 BFADHN C=C/C=C/CCN1CCC[C@@H](OC(F)F)C1 ZINC000878393761 590559120 /nfs/dbraw/zinc/55/91/20/590559120.db2.gz HODSKKDFXIEQGC-PGLGOXFNSA-N 0 3 231.286 2.822 20 0 BFADHN C=C/C=C\CCN[C@@H](CO)c1ccc(F)cc1 ZINC000878395401 590559698 /nfs/dbraw/zinc/55/96/98/590559698.db2.gz COEJTQWBLWTDOF-NQHOJNORSA-N 0 3 235.302 2.581 20 0 BFADHN C[C@H](CN(C)[C@@H](C)C[S@](C)=O)CC(C)(C)C ZINC000878405708 590561367 /nfs/dbraw/zinc/56/13/67/590561367.db2.gz IVXNETJVRURQTL-MKBNYLNASA-N 0 3 247.448 2.758 20 0 BFADHN C[C@@H](NC[C@@H]1CC2(CCC2)CO1)c1ccco1 ZINC000878414870 590562704 /nfs/dbraw/zinc/56/27/04/590562704.db2.gz OUAXINGMVFSBAE-NEPJUHHUSA-N 0 3 235.327 2.889 20 0 BFADHN C[C@@H](NCCCSC(C)(C)C)c1cn[nH]c1 ZINC000878426728 590564959 /nfs/dbraw/zinc/56/49/59/590564959.db2.gz OATPFYIZRLIYPG-SNVBAGLBSA-N 0 3 241.404 2.982 20 0 BFADHN Cc1conc1CN(C)[C@H]1CCCC[C@@H]1C ZINC000878474735 590573897 /nfs/dbraw/zinc/57/38/97/590573897.db2.gz DXUZWMDDTWJZGZ-GWCFXTLKSA-N 0 3 222.332 2.994 20 0 BFADHN C=C/C=C/CCN1CCO[C@@](C)(CC)C1 ZINC000878484690 590578438 /nfs/dbraw/zinc/57/84/38/590578438.db2.gz VOAPXSUGNWKRKA-YBJDMEARSA-N 0 3 209.333 2.620 20 0 BFADHN C=C/C=C/CCN1CCC[C@H]1c1cc[nH]n1 ZINC000878523551 590586348 /nfs/dbraw/zinc/58/63/48/590586348.db2.gz DTJPHAKRVOVURN-OOPCZODUSA-N 0 3 217.316 2.679 20 0 BFADHN C[C@@H]1C[C@@H](C)N1C[C@@H]1CCC2(CCC2)O1 ZINC000878532198 590587151 /nfs/dbraw/zinc/58/71/51/590587151.db2.gz BAWMDDBANGBSHK-UTUOFQBUSA-N 0 3 209.333 2.571 20 0 BFADHN C[C@H]1CN(CCCC2CC2)C[C@@H](C2CC2)O1 ZINC000878535227 590587986 /nfs/dbraw/zinc/58/79/86/590587986.db2.gz QUVJCUUMMGSYLL-FZMZJTMJSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@@H]1CN(CCCC2CC2)C[C@H](C2CC2)O1 ZINC000878535226 590588138 /nfs/dbraw/zinc/58/81/38/590588138.db2.gz QUVJCUUMMGSYLL-BXUZGUMPSA-N 0 3 223.360 2.676 20 0 BFADHN FC1(F)CCN(C[C@@H]2CC3(CCC3)CO2)CC1 ZINC000878542729 590589324 /nfs/dbraw/zinc/58/93/24/590589324.db2.gz PDGWMTGCZACVDR-NSHDSACASA-N 0 3 245.313 2.677 20 0 BFADHN C=C/C=C/CCN(CCO)Cc1ccc(C)o1 ZINC000878544680 590589709 /nfs/dbraw/zinc/58/97/09/590589709.db2.gz LCSNPAGOPBPNNS-SNAWJCMRSA-N 0 3 235.327 2.515 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCC(C)(C)O2)[C@H]1C ZINC000878652810 590599899 /nfs/dbraw/zinc/59/98/99/590599899.db2.gz WNBDYFNOXZKSTC-WOPDTQHZSA-N 0 3 211.349 2.674 20 0 BFADHN CC1(C)CO[C@@H](CN2CCC[C@@](C)(F)C2)C1 ZINC000878657596 590603638 /nfs/dbraw/zinc/60/36/38/590603638.db2.gz GNYHPFWCRBDMDZ-DGCLKSJQSA-N 0 3 229.339 2.626 20 0 BFADHN CC(C)N(CC[C@H]1CCCC1(F)F)C1COC1 ZINC000878658861 590604103 /nfs/dbraw/zinc/60/41/03/590604103.db2.gz WAIPPGSBKOSIPV-LLVKDONJSA-N 0 3 247.329 2.921 20 0 BFADHN CC1(C)C[C@@H](CN2CC[C@H](F)C2)C(C)(C)O1 ZINC000878664091 590605277 /nfs/dbraw/zinc/60/52/77/590605277.db2.gz XNCJUUFKVWTNCS-QWRGUYRKSA-N 0 3 229.339 2.624 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2nocc2C)C1 ZINC000878689098 590609971 /nfs/dbraw/zinc/60/99/71/590609971.db2.gz ZYJZZOQGHSELRW-NWDGAFQWSA-N 0 3 222.332 2.994 20 0 BFADHN C=C/C=C/CCN1CCO[C@@H](C2CCC2)C1 ZINC000878708666 590614035 /nfs/dbraw/zinc/61/40/35/590614035.db2.gz VOIPSQAYVHZJCB-RDFMZFSFSA-N 0 3 221.344 2.620 20 0 BFADHN Cc1conc1CN1CC[C@@H](C2CCCC2)C1 ZINC000878721127 590615981 /nfs/dbraw/zinc/61/59/81/590615981.db2.gz SXQWFABFNFSYJC-CYBMUJFWSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1ccccc1C1(NCC[C@@H](O)C(C)C)CC1 ZINC000878759561 590619148 /nfs/dbraw/zinc/61/91/48/590619148.db2.gz CWNUJMOKMZZIQY-OAHLLOKOSA-N 0 3 247.382 2.981 20 0 BFADHN C=C/C=C/CCN1C[C@@H](C)OC2(CCC2)C1 ZINC000878771019 590620581 /nfs/dbraw/zinc/62/05/81/590620581.db2.gz ZYCJXTVWCVBROS-MUBLQREKSA-N 0 3 221.344 2.762 20 0 BFADHN C=C/C=C\CCN1C[C@H](CC)OC[C@H]1C ZINC000878805147 590625698 /nfs/dbraw/zinc/62/56/98/590625698.db2.gz GPRDDJBBKMUDTJ-FAVCKAPTSA-N 0 3 209.333 2.618 20 0 BFADHN FC(F)(F)OCCCN1C[C@@H]2CCCC[C@@H]21 ZINC000878850503 590633645 /nfs/dbraw/zinc/63/36/45/590633645.db2.gz XDGSVQLIVRIKNA-UWVGGRQHSA-N 0 3 237.265 2.787 20 0 BFADHN C=C/C=C/CCN1CCO[C@@](C)(C2CC2)C1 ZINC000878888990 590641405 /nfs/dbraw/zinc/64/14/05/590641405.db2.gz RISYNZPXLOIUGB-ISZGNANSSA-N 0 3 221.344 2.620 20 0 BFADHN C=C/C=C\CCN1CCO[C@](C)(C2CC2)C1 ZINC000878888993 590641919 /nfs/dbraw/zinc/64/19/19/590641919.db2.gz RISYNZPXLOIUGB-WSNITJDQSA-N 0 3 221.344 2.620 20 0 BFADHN CN(Cc1ccns1)CC1CC(F)(F)C1 ZINC000878899408 590643709 /nfs/dbraw/zinc/64/37/09/590643709.db2.gz IPRDUKSCRFILOI-UHFFFAOYSA-N 0 3 232.299 2.620 20 0 BFADHN C[C@H]1COC2(CCC2)CN1CCCC1CC1 ZINC000878918400 590651141 /nfs/dbraw/zinc/65/11/41/590651141.db2.gz MTESSZZHONTTOY-LBPRGKRZSA-N 0 3 223.360 2.820 20 0 BFADHN C[C@H](CN1CCc2nccnc2C1)CC(C)(C)C ZINC000878992558 590671919 /nfs/dbraw/zinc/67/19/19/590671919.db2.gz PDAZYVRJSLPJLS-LBPRGKRZSA-N 0 3 247.386 2.907 20 0 BFADHN CCSCC[C@H](C)NCc1ccoc1 ZINC000127476062 590678704 /nfs/dbraw/zinc/67/87/04/590678704.db2.gz NNPNIUPQZWKYBU-JTQLQIEISA-N 0 3 213.346 2.901 20 0 BFADHN C([C@H]1C[C@H]2CCC[C@H]2O1)N(C1CC1)C1CC1 ZINC000879037442 590683807 /nfs/dbraw/zinc/68/38/07/590683807.db2.gz IQZMERLQCVHWSU-LERXQTSPSA-N 0 3 221.344 2.571 20 0 BFADHN CC1(C)CC[C@@H](CN(C2CC2)C2CC2)OC1 ZINC000879038394 590684263 /nfs/dbraw/zinc/68/42/63/590684263.db2.gz SRQWLISQGNWCDW-ZDUSSCGKSA-N 0 3 223.360 2.818 20 0 BFADHN CSC1CCN(C/C=C\Cl)CC1 ZINC000879095750 590696315 /nfs/dbraw/zinc/69/63/15/590696315.db2.gz GTNDKUOLJRBZEA-DJWKRKHSSA-N 0 3 205.754 2.566 20 0 BFADHN CC(C)C(=O)CCN1CCC(CCF)CC1 ZINC000879208675 590715292 /nfs/dbraw/zinc/71/52/92/590715292.db2.gz DHLVUNGZFGNKES-UHFFFAOYSA-N 0 3 229.339 2.673 20 0 BFADHN C1=C[C@H](N2CC[C@@H]3CSC[C@@H]3C2)CCC1 ZINC000879558825 590800109 /nfs/dbraw/zinc/80/01/09/590800109.db2.gz PCZPUNIPZGIHKB-AGIUHOORSA-N 0 3 223.385 2.780 20 0 BFADHN Cc1cncc(CN2CC[C@H]3CSC[C@H]3C2)c1 ZINC000879564089 590804372 /nfs/dbraw/zinc/80/43/72/590804372.db2.gz GQTQLPGMKHIJKP-UONOGXRCSA-N 0 3 248.395 2.575 20 0 BFADHN C[C@H](N[C@@H]1C=CCCCCC1)c1ccnn1C ZINC000879686775 590848185 /nfs/dbraw/zinc/84/81/85/590848185.db2.gz ITURDVXOJADXJS-QWHCGFSZSA-N 0 3 233.359 2.960 20 0 BFADHN CCC(O)(CC)C(C)(C)NCc1ccccn1 ZINC000879698855 590852032 /nfs/dbraw/zinc/85/20/32/590852032.db2.gz YNWLKWKFDUISQI-UHFFFAOYSA-N 0 3 236.359 2.501 20 0 BFADHN CSCCN1CCC[C@@H]1c1cncc(C)c1 ZINC000879747642 590858270 /nfs/dbraw/zinc/85/82/70/590858270.db2.gz OMGMVKPTDNKIFG-CYBMUJFWSA-N 0 3 236.384 2.890 20 0 BFADHN Cc1cncc([C@H]2CCCN2CCOC(C)C)c1 ZINC000879748562 590860447 /nfs/dbraw/zinc/86/04/47/590860447.db2.gz KQSPMOIHULAGAU-OAHLLOKOSA-N 0 3 248.370 2.952 20 0 BFADHN Cc1cncc([C@@H]2CCCN2C[C@@H]2CCCO2)c1 ZINC000879748690 590860521 /nfs/dbraw/zinc/86/05/21/590860521.db2.gz MPWSYLSQLOPQAV-GJZGRUSLSA-N 0 3 246.354 2.706 20 0 BFADHN Cc1cncc([C@H]2CCCN2C[C@@H]2CCCO2)c1 ZINC000879748692 590861526 /nfs/dbraw/zinc/86/15/26/590861526.db2.gz MPWSYLSQLOPQAV-LSDHHAIUSA-N 0 3 246.354 2.706 20 0 BFADHN Cc1cncc([C@H]2CCCN2CCC[C@@H](C)O)c1 ZINC000879751433 590862007 /nfs/dbraw/zinc/86/20/07/590862007.db2.gz IOXIHTPDKMHSKZ-UKRRQHHQSA-N 0 3 248.370 2.688 20 0 BFADHN CCC[C@@H](O)CN1CCC[C@@H]1c1cncc(C)c1 ZINC000879751064 590863049 /nfs/dbraw/zinc/86/30/49/590863049.db2.gz DCDQSBQLEJNBQW-HUUCEWRRSA-N 0 3 248.370 2.688 20 0 BFADHN CC(C)OCCN1CC[C@](C)(C(F)(F)F)C1 ZINC000879999111 590907335 /nfs/dbraw/zinc/90/73/35/590907335.db2.gz HVRSIUCDRRCOCG-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@]1(C(F)(F)F)CCN(CCCF)C1 ZINC000880002308 590907441 /nfs/dbraw/zinc/90/74/41/590907441.db2.gz XNWZKVASVBJTII-MRVPVSSYSA-N 0 3 213.218 2.620 20 0 BFADHN Cc1csc([C@@H]2CCN(CCCF)C2)n1 ZINC000880008970 590908142 /nfs/dbraw/zinc/90/81/42/590908142.db2.gz YQPQJNBKAMVLRE-SNVBAGLBSA-N 0 3 228.336 2.600 20 0 BFADHN CCC[C@H](C)N1CCO[C@H](C(F)(F)F)CC1 ZINC000880053513 590915398 /nfs/dbraw/zinc/91/53/98/590915398.db2.gz OLNQNNZTKHKDLT-UWVGGRQHSA-N 0 3 239.281 2.828 20 0 BFADHN CCC1(CC)CCCN1Cc1nccc(C)n1 ZINC000880094548 590924636 /nfs/dbraw/zinc/92/46/36/590924636.db2.gz KDYJIYHKBYQDSE-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@H](CF)C2)s1 ZINC000880232324 590962641 /nfs/dbraw/zinc/96/26/41/590962641.db2.gz LBAJRFGKUCGKIZ-NSHDSACASA-N 0 3 242.363 2.941 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@H](CF)C2)s1 ZINC000880232323 590962867 /nfs/dbraw/zinc/96/28/67/590962867.db2.gz LBAJRFGKUCGKIZ-LLVKDONJSA-N 0 3 242.363 2.941 20 0 BFADHN C[C@H](c1ccccn1)N1CCC[C@H](CF)C1 ZINC000880233923 590965345 /nfs/dbraw/zinc/96/53/45/590965345.db2.gz BBLXTCKAQQWEIZ-VXGBXAGGSA-N 0 3 222.307 2.824 20 0 BFADHN Cc1ccnc(CN2CCC[C@H](CF)C2)c1 ZINC000880236713 590965846 /nfs/dbraw/zinc/96/58/46/590965846.db2.gz GEQIFWYELWKSJH-GFCCVEGCSA-N 0 3 222.307 2.572 20 0 BFADHN Cc1ccnc(CN2CCC[C@@H](CF)C2)c1 ZINC000880236714 590966588 /nfs/dbraw/zinc/96/65/88/590966588.db2.gz GEQIFWYELWKSJH-LBPRGKRZSA-N 0 3 222.307 2.572 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](CF)C2)nc1 ZINC000880236582 590967045 /nfs/dbraw/zinc/96/70/45/590967045.db2.gz CAWYCRPXWYDLEO-LBPRGKRZSA-N 0 3 222.307 2.572 20 0 BFADHN Cc1cccc(CCN2CCC[C@@H](CF)C2)n1 ZINC000880238346 590969213 /nfs/dbraw/zinc/96/92/13/590969213.db2.gz RYXCBNOJIPQZJQ-ZDUSSCGKSA-N 0 3 236.334 2.614 20 0 BFADHN CC1(C)CCC[C@H](CN2CCC(F)CC2)O1 ZINC000880239125 590971791 /nfs/dbraw/zinc/97/17/91/590971791.db2.gz VVTJUQOKCXRVCJ-GFCCVEGCSA-N 0 3 229.339 2.768 20 0 BFADHN COCc1ccc(CN2CCC(F)CC2)cc1 ZINC000880240109 590974103 /nfs/dbraw/zinc/97/41/03/590974103.db2.gz ZKBFEGUMGMSSPK-UHFFFAOYSA-N 0 3 237.318 2.767 20 0 BFADHN CC(C)(C)OCCCN1CCC(F)CC1 ZINC000880242063 590975274 /nfs/dbraw/zinc/97/52/74/590975274.db2.gz NOXNLZRSFZPERC-UHFFFAOYSA-N 0 3 217.328 2.626 20 0 BFADHN FC[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC000880292580 590987821 /nfs/dbraw/zinc/98/78/21/590987821.db2.gz RNWFLZHWYGLTFP-LLVKDONJSA-N 0 3 211.255 2.617 20 0 BFADHN C[C@@H]1CCCC[C@@H]1OCCN1CC[C@H](CF)C1 ZINC000880295996 590990902 /nfs/dbraw/zinc/99/09/02/590990902.db2.gz RHVNICRRGSCDQY-MCIONIFRSA-N 0 3 243.366 2.873 20 0 BFADHN Cc1cc(C)c(CN2CC[C@H](CF)C2)c(C)n1 ZINC000880301183 590996848 /nfs/dbraw/zinc/99/68/48/590996848.db2.gz NXLUWXOMFPNRKN-CYBMUJFWSA-N 0 3 236.334 2.798 20 0 BFADHN COc1cc(CN2CC[C@H](CF)C2)ccc1C ZINC000880300863 590997738 /nfs/dbraw/zinc/99/77/38/590997738.db2.gz XYUXNKFSGPGKNH-CYBMUJFWSA-N 0 3 237.318 2.795 20 0 BFADHN CC[C@H](C)CN1CC[C@](OC)(C(F)(F)F)C1 ZINC000880303446 590998719 /nfs/dbraw/zinc/99/87/19/590998719.db2.gz NXNBUJLGEXSWOB-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN C=C/C=C/CCN1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880309992 591000128 /nfs/dbraw/zinc/00/01/28/591000128.db2.gz VIWMZHVOHMJPFM-ZWNMCFTASA-N 0 3 249.276 2.772 20 0 BFADHN C=C/C=C\CCN1CC[C@](OC)(C(F)(F)F)C1 ZINC000880309987 591000567 /nfs/dbraw/zinc/00/05/67/591000567.db2.gz VIWMZHVOHMJPFM-DOGVGXBMSA-N 0 3 249.276 2.772 20 0 BFADHN c1csc([C@@H]2CCN(Cc3ccncc3)C2)n1 ZINC000880316908 591003113 /nfs/dbraw/zinc/00/31/13/591003113.db2.gz MLQHCZSBFMJJDK-GFCCVEGCSA-N 0 3 245.351 2.528 20 0 BFADHN COCCCN1CCc2ccsc2[C@H]1C ZINC000880375980 591015862 /nfs/dbraw/zinc/01/58/62/591015862.db2.gz BGMGHGVEBCMFAA-SNVBAGLBSA-N 0 3 225.357 2.704 20 0 BFADHN C[C@@H]1CC[C@H](CO)N1Cc1ccc(Cl)cc1 ZINC000880444225 591045516 /nfs/dbraw/zinc/04/55/16/591045516.db2.gz RGYBMDRSPGHZBA-ZWNOBZJWSA-N 0 3 239.746 2.685 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@]23CCCO3)nc1 ZINC000880508145 591080452 /nfs/dbraw/zinc/08/04/52/591080452.db2.gz YPBSXVCMDFFBGR-CABCVRRESA-N 0 3 246.354 2.581 20 0 BFADHN CCC(C)(C)CCN1CCc2ncncc2C1 ZINC000880595290 591104444 /nfs/dbraw/zinc/10/44/44/591104444.db2.gz MXAQYMVRJNSOIE-UHFFFAOYSA-N 0 3 233.359 2.661 20 0 BFADHN c1ncc2c(n1)CCN(CCC1=CCCCC1)C2 ZINC000880600270 591109239 /nfs/dbraw/zinc/10/92/39/591109239.db2.gz ZEHSKDYNGOUEFH-UHFFFAOYSA-N 0 3 243.354 2.725 20 0 BFADHN C[C@@H](N)c1cn(CC[C@@H]2CCC[C@@H](C)C2)nn1 ZINC000881354098 591195184 /nfs/dbraw/zinc/19/51/84/591195184.db2.gz JUAACDCABATCRG-UTUOFQBUSA-N 0 3 236.363 2.514 20 0 BFADHN C[C@@H](N)c1cn(C[C@@H]2CCCCC2(C)C)nn1 ZINC000881366993 591197100 /nfs/dbraw/zinc/19/71/00/591197100.db2.gz PHWWOUNYGWQLLM-MNOVXSKESA-N 0 3 236.363 2.514 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCSC2)c(C)o1 ZINC000130586290 591485531 /nfs/dbraw/zinc/48/55/31/591485531.db2.gz PHESANOIVXXUHP-LBPRGKRZSA-N 0 3 225.357 2.834 20 0 BFADHN CCN1CC[C@@H]1CNc1nc2cc(C)ccc2o1 ZINC000882960530 591485872 /nfs/dbraw/zinc/48/58/72/591485872.db2.gz MXZKXWKPDOBYSC-LLVKDONJSA-N 0 3 245.326 2.642 20 0 BFADHN CC[C@H](CSC)NCc1nc(C(C)C)c[nH]1 ZINC000883082364 591537440 /nfs/dbraw/zinc/53/74/40/591537440.db2.gz DCRSIEHXVCGBOJ-SNVBAGLBSA-N 0 3 241.404 2.764 20 0 BFADHN CC(C)c1c[nH]c(CN[C@H]2CCC[C@@H]3C[C@@H]32)n1 ZINC000883144936 591551320 /nfs/dbraw/zinc/55/13/20/591551320.db2.gz GNKFVEBYBMAIMS-WOPDTQHZSA-N 0 3 233.359 2.811 20 0 BFADHN CCn1ccc(CN[C@H]2C[C@@H]3CC[C@@H](C3)C2)n1 ZINC000883145588 591551464 /nfs/dbraw/zinc/55/14/64/591551464.db2.gz GRGGLBWXEYIXFU-IMRBUKKESA-N 0 3 233.359 2.571 20 0 BFADHN CC[C@@H](NC[C@@H](C)O)c1sccc1Cl ZINC000883163609 591557267 /nfs/dbraw/zinc/55/72/67/591557267.db2.gz FTQCLHQBAQCCIW-VXNVDRBHSA-N 0 3 233.764 2.823 20 0 BFADHN CC[C@H](NCCCO)c1sccc1Cl ZINC000883165241 591558617 /nfs/dbraw/zinc/55/86/17/591558617.db2.gz ZSGUKKWHKWMHMC-VIFPVBQESA-N 0 3 233.764 2.825 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@@H]2C[C@@H]3CC[C@@H](C3)C2)[n-]1 ZINC000883208391 591573893 /nfs/dbraw/zinc/57/38/93/591573893.db2.gz FHXWABBBIKQREW-WISYIIOYSA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nnc([C@@H](C)N[C@@H]2C[C@@H]3CC[C@@H](C3)C2)[nH]1 ZINC000883208391 591573896 /nfs/dbraw/zinc/57/38/96/591573896.db2.gz FHXWABBBIKQREW-WISYIIOYSA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@H]2CCCC3(CC3)C2)[n-]1 ZINC000883209027 591574020 /nfs/dbraw/zinc/57/40/20/591574020.db2.gz UBMAYECUJDEMAW-MNOVXSKESA-N 0 3 248.374 2.741 20 0 BFADHN CCc1nnc([C@@H](C)N[C@H]2CCCC3(CC3)C2)[nH]1 ZINC000883209027 591574024 /nfs/dbraw/zinc/57/40/24/591574024.db2.gz UBMAYECUJDEMAW-MNOVXSKESA-N 0 3 248.374 2.741 20 0 BFADHN OCC[C@H](N[C@@H]1C[C@@H]2CC[C@@H](C2)C1)c1ccco1 ZINC000883215642 591574960 /nfs/dbraw/zinc/57/49/60/591574960.db2.gz CKUCCYJATCKOAH-CRWXNKLISA-N 0 3 249.354 2.871 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCC3(CC3)C2)c2ncnn21 ZINC000883234611 591581691 /nfs/dbraw/zinc/58/16/91/591581691.db2.gz IQIPMEVLGMMJBZ-UTUOFQBUSA-N 0 3 246.358 2.596 20 0 BFADHN O=c1[nH]ccc2oc(CNC[C@@H]3C=CCC3)cc21 ZINC000883236454 591582210 /nfs/dbraw/zinc/58/22/10/591582210.db2.gz BJZPVOZBVWKOGW-SNVBAGLBSA-N 0 3 244.294 2.589 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2C=CCC2)no1 ZINC000883245588 591585703 /nfs/dbraw/zinc/58/57/03/591585703.db2.gz NZSJEACFSLAEPX-GHMZBOCLSA-N 0 3 206.289 2.600 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@@H]1C=CCC1 ZINC000883245811 591587347 /nfs/dbraw/zinc/58/73/47/591587347.db2.gz SHPSEAQQFPYUHU-VXGBXAGGSA-N 0 3 219.332 2.520 20 0 BFADHN Fc1cnccc1CNCC1(CC2CC2)CC1 ZINC000883248633 591589964 /nfs/dbraw/zinc/58/99/64/591589964.db2.gz ABPYVDNCGMLOOO-UHFFFAOYSA-N 0 3 234.318 2.891 20 0 BFADHN CCC[C@@H](C)CCNCc1cnc(CC)nc1 ZINC000883250947 591590914 /nfs/dbraw/zinc/59/09/14/591590914.db2.gz WEINDBAYJWQLQT-GFCCVEGCSA-N 0 3 235.375 2.955 20 0 BFADHN CCC[C@@H](C)CCNCc1cc2n(n1)CCC2 ZINC000883250958 591591622 /nfs/dbraw/zinc/59/16/22/591591622.db2.gz WRILXFMJHZHBRS-GFCCVEGCSA-N 0 3 235.375 2.745 20 0 BFADHN CC1(C)C[C@@]1(C)CNCc1ccncc1F ZINC000883252403 591592998 /nfs/dbraw/zinc/59/29/98/591592998.db2.gz GMKQJHNZESCFKS-ZDUSSCGKSA-N 0 3 222.307 2.747 20 0 BFADHN CCC[C@H](C)CCN[C@@H](C)c1cnccn1 ZINC000883256807 591594713 /nfs/dbraw/zinc/59/47/13/591594713.db2.gz JJWVJFPXWFWYCQ-RYUDHWBXSA-N 0 3 221.348 2.954 20 0 BFADHN C[C@H](NCC(C)(C)CC(F)F)c1cnccn1 ZINC000883258494 591596374 /nfs/dbraw/zinc/59/63/74/591596374.db2.gz DOCYGSINGVGOTR-VIFPVBQESA-N 0 3 243.301 2.809 20 0 BFADHN C[C@H](NC[C@]1(C)CC1(C)C)c1cc2n(n1)CCC2 ZINC000883259932 591597481 /nfs/dbraw/zinc/59/74/81/591597481.db2.gz FDRXFAKUHFGMTE-NHYWBVRUSA-N 0 3 247.386 2.916 20 0 BFADHN C=CC=CCCNCc1nc(C(C)C)c[nH]1 ZINC000883259297 591597543 /nfs/dbraw/zinc/59/75/43/591597543.db2.gz IWVPEWBXFKXPGJ-WAYWQWQTSA-N 0 3 219.332 2.755 20 0 BFADHN C[C@H](NC[C@@]1(C)CC1(C)C)c1cc2n(n1)CCC2 ZINC000883259933 591597574 /nfs/dbraw/zinc/59/75/74/591597574.db2.gz FDRXFAKUHFGMTE-XHDPSFHLSA-N 0 3 247.386 2.916 20 0 BFADHN C[C@@H](NC[C@]1(C)CC1(C)C)c1ccn(C)n1 ZINC000883260135 591597641 /nfs/dbraw/zinc/59/76/41/591597641.db2.gz LAHKDVUBIZGSJF-MFKMUULPSA-N 0 3 221.348 2.507 20 0 BFADHN FC1(F)CCC[C@H]1CCNCc1ccccn1 ZINC000883266954 591601013 /nfs/dbraw/zinc/60/10/13/591601013.db2.gz OPXGMNHUSBZCEE-NSHDSACASA-N 0 3 240.297 2.997 20 0 BFADHN C=C/C=C\CCN[C@@H](C)c1cc2n(n1)CCC2 ZINC000883268553 591602025 /nfs/dbraw/zinc/60/20/25/591602025.db2.gz NPYYTTUHNJDUMA-RXNFCKPNSA-N 0 3 231.343 2.612 20 0 BFADHN C=C/C=C\CCN[C@H](C)c1cc2n(n1)CCC2 ZINC000883268552 591602244 /nfs/dbraw/zinc/60/22/44/591602244.db2.gz NPYYTTUHNJDUMA-QMAVJUDZSA-N 0 3 231.343 2.612 20 0 BFADHN Cc1ccc(CN[C@@H]2CCSC2)c(Cl)n1 ZINC000883301429 591609871 /nfs/dbraw/zinc/60/98/71/591609871.db2.gz ZZPGWYOGOXZNGF-SNVBAGLBSA-N 0 3 242.775 2.639 20 0 BFADHN CCC1(C)CCN(C/C=C(\C)C(=O)OC)CC1 ZINC000214553858 591609910 /nfs/dbraw/zinc/60/99/10/591609910.db2.gz BMNLEPSWHTZUBB-WUXMJOGZSA-N 0 3 239.359 2.618 20 0 BFADHN C[C@H](NC1CC(C(F)F)C1)c1cncs1 ZINC000883319102 591613063 /nfs/dbraw/zinc/61/30/63/591613063.db2.gz OQIAWWZIGCEIME-KKMMWDRVSA-N 0 3 232.299 2.837 20 0 BFADHN COC[C@H]1C[C@@H](NCc2cscc2Cl)C1 ZINC000883316229 591613517 /nfs/dbraw/zinc/61/35/17/591613517.db2.gz QGXRCDBKMWSJTN-WAAGHKOSSA-N 0 3 245.775 2.916 20 0 BFADHN c1cn2c(n1)[C@@H](N[C@@H]1C[C@@H]3CCCC[C@H]13)CCC2 ZINC000883321743 591615735 /nfs/dbraw/zinc/61/57/35/591615735.db2.gz KADSLUXFFFRQKG-XDQVBPFNSA-N 0 3 245.370 2.886 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1cc(O)ccc1Cl ZINC000883325567 591615805 /nfs/dbraw/zinc/61/58/05/591615805.db2.gz FKHMFISIFKQXNZ-PELKAZGASA-N 0 3 225.719 2.934 20 0 BFADHN C[C@H](NCc1cc(O)ccc1Cl)C1CC1 ZINC000883325367 591616507 /nfs/dbraw/zinc/61/65/07/591616507.db2.gz ZJWOGTSMTIPKGB-QMMMGPOBSA-N 0 3 225.719 2.934 20 0 BFADHN Cc1cc(N[C@H]2CN(C3CC3)C[C@H]2C)cnc1C ZINC000883341945 591620144 /nfs/dbraw/zinc/62/01/44/591620144.db2.gz FLTHDYSGBVYXOV-ABAIWWIYSA-N 0 3 245.370 2.593 20 0 BFADHN CCC[C@H](NC[C@@H]1CCN1CC)c1ccccn1 ZINC000883354701 591624581 /nfs/dbraw/zinc/62/45/81/591624581.db2.gz AWXBFQTVFHKLDY-KBPBESRZSA-N 0 3 247.386 2.607 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H]2CCN2CC)o1 ZINC000883355094 591626225 /nfs/dbraw/zinc/62/62/25/591626225.db2.gz JGNLTFNLFMQCND-RYUDHWBXSA-N 0 3 236.359 2.587 20 0 BFADHN F[C@@H]1CCc2ccccc2[C@H]1NC1CCOCC1 ZINC000883376236 591637147 /nfs/dbraw/zinc/63/71/47/591637147.db2.gz GQEBAUFQPKVZJY-HUUCEWRRSA-N 0 3 249.329 2.781 20 0 BFADHN Cc1ccsc1CCNCc1ccoc1 ZINC000131914176 591676849 /nfs/dbraw/zinc/67/68/49/591676849.db2.gz XVZLCPLGAKUNLO-UHFFFAOYSA-N 0 3 221.325 2.982 20 0 BFADHN CCO[C@@H]1C[C@H](N(C)C/C=C/Cl)C1(C)C ZINC000133146751 591769161 /nfs/dbraw/zinc/76/91/61/591769161.db2.gz TULJKHBKGBDRFJ-FQLSZKSXSA-N 0 3 231.767 2.874 20 0 BFADHN CCC1(CC)CCN(c2cc(C)nc(N)n2)CC1 ZINC000133158366 591771191 /nfs/dbraw/zinc/77/11/91/591771191.db2.gz MKEABMFRFBSUFK-UHFFFAOYSA-N 0 3 248.374 2.774 20 0 BFADHN CN[C@@H](CC(C)C)C(=O)Nc1ccc(C)cc1 ZINC000884044617 591824654 /nfs/dbraw/zinc/82/46/54/591824654.db2.gz OOUBQIWDXMDRFI-ZDUSSCGKSA-N 0 3 234.343 2.568 20 0 BFADHN CSCC[C@H](C)N[C@H](C)c1cncs1 ZINC000134034161 591875468 /nfs/dbraw/zinc/87/54/68/591875468.db2.gz PVUGRVYMFGBPGN-DTWKUNHWSA-N 0 3 230.402 2.935 20 0 BFADHN Cc1nonc1CN[C@H]1CCCC[C@@H]1C(C)C ZINC000215046710 591921146 /nfs/dbraw/zinc/92/11/46/591921146.db2.gz MNIKRSHUBCBKTQ-NEPJUHHUSA-N 0 3 237.347 2.682 20 0 BFADHN Cc1nnc(CNCC2CCC(C)CC2)s1 ZINC000134664793 591946788 /nfs/dbraw/zinc/94/67/88/591946788.db2.gz AEOHXQKFFGZZGX-UHFFFAOYSA-N 0 3 239.388 2.762 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2nnc(C)s2)C1 ZINC000134664163 591946910 /nfs/dbraw/zinc/94/69/10/591946910.db2.gz RQVMSCZSBVFFDG-QWRGUYRKSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1nnc(CNC[C@H]2CCCC[C@H]2C)s1 ZINC000134865386 591954225 /nfs/dbraw/zinc/95/42/25/591954225.db2.gz FPVAVGGQMPDQOB-MWLCHTKSSA-N 0 3 239.388 2.762 20 0 BFADHN CC(C)OCCNCc1cnc(C(C)C)s1 ZINC000134985706 591967451 /nfs/dbraw/zinc/96/74/51/591967451.db2.gz BEDJLPWZGSSZMD-UHFFFAOYSA-N 0 3 242.388 2.781 20 0 BFADHN Cc1cnc(N[C@H](C)CN2CCC(C)CC2)o1 ZINC000884558017 591979237 /nfs/dbraw/zinc/97/92/37/591979237.db2.gz HMTHOCZLELUZRQ-LLVKDONJSA-N 0 3 237.347 2.515 20 0 BFADHN Cc1ccncc1CN[C@@H](C)Cc1ccco1 ZINC000135442047 592013239 /nfs/dbraw/zinc/01/32/39/592013239.db2.gz LNSPXJKXFLLVQW-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN CCCCCCN1CCOCC12CCC2 ZINC000135503355 592015407 /nfs/dbraw/zinc/01/54/07/592015407.db2.gz PBBHIEYBUMHODK-UHFFFAOYSA-N 0 3 211.349 2.822 20 0 BFADHN CCN(Cc1cccs1)C[C@@](C)(O)C(F)F ZINC000885932932 592110242 /nfs/dbraw/zinc/11/02/42/592110242.db2.gz FGBFXFMOQQMNNQ-LLVKDONJSA-N 0 3 249.326 2.586 20 0 BFADHN CN(Cc1ccsc1)C[C@@H]1C[C@]12CCOC2 ZINC000885941086 592111736 /nfs/dbraw/zinc/11/17/36/592111736.db2.gz ZPENKJPMSIWCBC-STQMWFEESA-N 0 3 237.368 2.607 20 0 BFADHN Fc1cccc(/C=C\CNC[C@H]2CCCCO2)c1 ZINC000885941717 592112289 /nfs/dbraw/zinc/11/22/89/592112289.db2.gz FANUYADHQJSQCH-ZDTYVGOBSA-N 0 3 249.329 2.998 20 0 BFADHN CC1(C)COCCN1CC[C@@H]1C[C@H]1C1CC1 ZINC000885965549 592116635 /nfs/dbraw/zinc/11/66/35/592116635.db2.gz PKZKVMWDWPKQCN-OLZOCXBDSA-N 0 3 223.360 2.533 20 0 BFADHN C[C@@H]1CN(CCOC2CCCCC2)CCS1 ZINC000885985585 592121733 /nfs/dbraw/zinc/12/17/33/592121733.db2.gz LNDSPSQDQOYOFF-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN Cc1coc(CNC[C@@H](CCO)CC(C)C)c1 ZINC000886005968 592128618 /nfs/dbraw/zinc/12/86/18/592128618.db2.gz LRHYACDIQPAILL-ZDUSSCGKSA-N 0 3 239.359 2.722 20 0 BFADHN C[C@]1(NC/C=C/c2cccc(F)c2)CCOC1 ZINC000886047260 592140219 /nfs/dbraw/zinc/14/02/19/592140219.db2.gz ZDQVJZZWQQEZOQ-KQIUPUNMSA-N 0 3 235.302 2.608 20 0 BFADHN CCOC[C@H](C)NC/C=C\c1cccc(F)c1 ZINC000886073833 592144477 /nfs/dbraw/zinc/14/44/77/592144477.db2.gz ZEDXCHMROSKAAG-HWPRWLFBSA-N 0 3 237.318 2.854 20 0 BFADHN CC1=CCN(C[C@@H]2CC[C@@H](C3CC3)O2)CC1 ZINC000886086862 592145001 /nfs/dbraw/zinc/14/50/01/592145001.db2.gz NDTSIJWRWSUOBR-KBPBESRZSA-N 0 3 221.344 2.596 20 0 BFADHN Fc1cccc(/C=C/CNCC2=CCCOC2)c1 ZINC000886116977 592157046 /nfs/dbraw/zinc/15/70/46/592157046.db2.gz WKWHKSPYBAPTDU-GORDUTHDSA-N 0 3 247.313 2.775 20 0 BFADHN COC[C@@H](NCC[C@@H]1C[C@H]1C1CC1)c1ccco1 ZINC000886125002 592158866 /nfs/dbraw/zinc/15/88/66/592158866.db2.gz GVDHHBJEHWKVRU-HZSPNIEDSA-N 0 3 249.354 2.993 20 0 BFADHN CO[C@@H](CNC/C=C/c1cccc(F)c1)C1CC1 ZINC000886127969 592158985 /nfs/dbraw/zinc/15/89/85/592158985.db2.gz YUBCHYBGHSHLJM-UJAVWCLSSA-N 0 3 249.329 2.854 20 0 BFADHN CO[C@H](CNC/C=C/c1cccc(F)c1)C1CC1 ZINC000886127968 592159174 /nfs/dbraw/zinc/15/91/74/592159174.db2.gz YUBCHYBGHSHLJM-SJDLMVEWSA-N 0 3 249.329 2.854 20 0 BFADHN CO[C@@H](CNC/C=C\c1cccc(F)c1)C1CC1 ZINC000886127967 592159293 /nfs/dbraw/zinc/15/92/93/592159293.db2.gz YUBCHYBGHSHLJM-QTLSWZBMSA-N 0 3 249.329 2.854 20 0 BFADHN Cc1n[nH]c(CNCC2=CCCCC2)c1C ZINC000886130082 592159945 /nfs/dbraw/zinc/15/99/45/592159945.db2.gz VNAWEOJFMFNSFO-UHFFFAOYSA-N 0 3 219.332 2.617 20 0 BFADHN Cc1[nH]nc(CNCC2=CCCCC2)c1C ZINC000886130082 592159948 /nfs/dbraw/zinc/15/99/48/592159948.db2.gz VNAWEOJFMFNSFO-UHFFFAOYSA-N 0 3 219.332 2.617 20 0 BFADHN Cc1n[nH]c(CNC[C@@H]2CCCC2(F)F)c1C ZINC000886134000 592160887 /nfs/dbraw/zinc/16/08/87/592160887.db2.gz HPZZJUWEQLVCOC-JTQLQIEISA-N 0 3 243.301 2.552 20 0 BFADHN COCC1CCC(NCc2cc(C)co2)CC1 ZINC000886146962 592162210 /nfs/dbraw/zinc/16/22/10/592162210.db2.gz GMDJDCUHKULRPF-UHFFFAOYSA-N 0 3 237.343 2.883 20 0 BFADHN CC[C@@H]1OCC[C@H]1NC/C=C/c1cccc(F)c1 ZINC000886143319 592162822 /nfs/dbraw/zinc/16/28/22/592162822.db2.gz ZLTINKXKLJUNEH-WBNAFJIESA-N 0 3 249.329 2.996 20 0 BFADHN Cc1n[nH]c(CN[C@@H]2C[C@H]2CC(C)C)c1C ZINC000886143004 592162912 /nfs/dbraw/zinc/16/29/12/592162912.db2.gz QOEBGQLAHUYMFZ-VXGBXAGGSA-N 0 3 221.348 2.551 20 0 BFADHN COC1([C@@H](C)NCc2cc(C)co2)CCC1 ZINC000886147354 592163363 /nfs/dbraw/zinc/16/33/63/592163363.db2.gz PYRJODKWCXYPGT-LLVKDONJSA-N 0 3 223.316 2.635 20 0 BFADHN CCc1cccc(CNCc2n[nH]c(C)c2C)c1 ZINC000886153368 592164365 /nfs/dbraw/zinc/16/43/65/592164365.db2.gz DNEHRRHNGRSDBN-UHFFFAOYSA-N 0 3 243.354 2.879 20 0 BFADHN Cc1coc(CNC[C@@H]2C[C@H]3CCC[C@@H]3O2)c1 ZINC000886183516 592171328 /nfs/dbraw/zinc/17/13/28/592171328.db2.gz GXTOQVLCUSJGAV-XBFCOCLRSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1coc(CNC2CCC3(COC3)CC2)c1 ZINC000886188117 592172355 /nfs/dbraw/zinc/17/23/55/592172355.db2.gz LASHDCRBCKWNPU-UHFFFAOYSA-N 0 3 235.327 2.637 20 0 BFADHN Cc1coc(CNC[C@H]2CC3(CCC3)CO2)c1 ZINC000886285259 592200066 /nfs/dbraw/zinc/20/00/66/592200066.db2.gz COZPPSUMMBHCLR-CYBMUJFWSA-N 0 3 235.327 2.637 20 0 BFADHN Fc1cccc(CNC[C@H]2CC3(CCC3)CO2)c1 ZINC000886290337 592201760 /nfs/dbraw/zinc/20/17/60/592201760.db2.gz BKWXXZBJUKYWDX-CQSZACIVSA-N 0 3 249.329 2.875 20 0 BFADHN CC1(F)CCN(C[C@@H]2CC[C@@H](C3CC3)O2)CC1 ZINC000886308649 592204409 /nfs/dbraw/zinc/20/44/09/592204409.db2.gz IODUTYURQLHTLJ-STQMWFEESA-N 0 3 241.350 2.768 20 0 BFADHN Fc1cnccc1CNC1(C2CC2)CCC1 ZINC000886391872 592222721 /nfs/dbraw/zinc/22/27/21/592222721.db2.gz CHEIKKBKCDLCEO-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN c1ccc2[nH]c(CNC3(C4CC4)CCC3)nc2c1 ZINC000886391997 592223108 /nfs/dbraw/zinc/22/31/08/592223108.db2.gz NAJBJNCCCBKCLB-UHFFFAOYSA-N 0 3 241.338 2.985 20 0 BFADHN CC(C)=CCN(C)[C@H](CO)c1ccccc1C ZINC000886432406 592225160 /nfs/dbraw/zinc/22/51/60/592225160.db2.gz XNRDMHRPTRBORC-OAHLLOKOSA-N 0 3 233.355 2.926 20 0 BFADHN CCCC[C@H](COC)N[C@@H]1CCc2cccnc21 ZINC000886530127 592247608 /nfs/dbraw/zinc/24/76/08/592247608.db2.gz DQDIIRKKZPZOKK-ZIAGYGMSSA-N 0 3 248.370 2.864 20 0 BFADHN COC(C)(C)C[C@H](C)N[C@H]1CCc2cccnc21 ZINC000886533371 592248559 /nfs/dbraw/zinc/24/85/59/592248559.db2.gz ZMZGNTMLZNKOCC-AAEUAGOBSA-N 0 3 248.370 2.862 20 0 BFADHN CC[C@H](N[C@@H]1CCc2cccnc21)[C@@H]1CCCO1 ZINC000886556533 592260392 /nfs/dbraw/zinc/26/03/92/592260392.db2.gz DZUGTUHUCMHMDJ-MJBXVCDLSA-N 0 3 246.354 2.616 20 0 BFADHN CC(=O)CCN1C[C@@H]2CCC[C@]2(C(F)(F)F)C1 ZINC000886611185 592274580 /nfs/dbraw/zinc/27/45/80/592274580.db2.gz VQWUBKQDYMYUMI-QWRGUYRKSA-N 0 3 249.276 2.630 20 0 BFADHN CC(C)C1(N[C@H]2CCc3cccnc32)CC1 ZINC000886616280 592275299 /nfs/dbraw/zinc/27/52/99/592275299.db2.gz YOAUVWAYMUZDEI-LBPRGKRZSA-N 0 3 216.328 2.847 20 0 BFADHN CCC1(N[C@H]2CCc3cccnc32)CC1 ZINC000886617167 592275547 /nfs/dbraw/zinc/27/55/47/592275547.db2.gz FUDXIYCBBIOQKG-NSHDSACASA-N 0 3 202.301 2.601 20 0 BFADHN CC/C=C\CN[C@@H](c1cnn(C)c1)C(C)C ZINC000886618692 592277618 /nfs/dbraw/zinc/27/76/18/592277618.db2.gz IHUVAZRKKDEXAL-FMFIFOJESA-N 0 3 221.348 2.673 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@H]2CCc3cccnc32)C1 ZINC000886623956 592279880 /nfs/dbraw/zinc/27/98/80/592279880.db2.gz URFCEVRJQNFIFT-MJBXVCDLSA-N 0 3 246.354 2.615 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@H]1CCc2cccnc21 ZINC000886631356 592283303 /nfs/dbraw/zinc/28/33/03/592283303.db2.gz KRZBHQMEVWHJMW-HZSPNIEDSA-N 0 3 246.354 2.616 20 0 BFADHN c1coc(CCCN[C@H]2CCc3cccnc32)c1 ZINC000886639863 592286187 /nfs/dbraw/zinc/28/61/87/592286187.db2.gz MXCGGAWAASIRCH-AWEZNQCLSA-N 0 3 242.322 2.884 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1cc(C2CC2)no1 ZINC000886684704 592302571 /nfs/dbraw/zinc/30/25/71/592302571.db2.gz QGJLNNPYNUMBCU-JOYOIKCWSA-N 0 3 220.316 2.830 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CN[C@H]1CCCn2ccnc21 ZINC000886694578 592304855 /nfs/dbraw/zinc/30/48/55/592304855.db2.gz YQNAJRGHINHFHU-RGDJUOJXSA-N 0 3 245.370 2.600 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)no1 ZINC000886693581 592305091 /nfs/dbraw/zinc/30/50/91/592305091.db2.gz DXOXYAHOZFBEGW-QLDMKTHXSA-N 0 3 234.343 2.926 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@@H]1[C@H]1C[C@H]1C)c1nccn1C ZINC000886693575 592305910 /nfs/dbraw/zinc/30/59/10/592305910.db2.gz DVQWTIXLLQYWQO-QMVSFRDZSA-N 0 3 247.386 2.753 20 0 BFADHN C[C@H](NC1CCC=CCC1)c1ccn(C)n1 ZINC000886816321 592335606 /nfs/dbraw/zinc/33/56/06/592335606.db2.gz RGAIZLOGHVLPIK-NSHDSACASA-N 0 3 219.332 2.570 20 0 BFADHN Cc1cc([C@@H](C)NC2CCC=CCC2)nn1C ZINC000886816386 592338930 /nfs/dbraw/zinc/33/89/30/592338930.db2.gz SFNJTUBHQOREKM-GFCCVEGCSA-N 0 3 233.359 2.878 20 0 BFADHN Fc1ccc(CN2CC[C@@H]([C@@H]3CCCO3)C2)cc1 ZINC000886821524 592345587 /nfs/dbraw/zinc/34/55/87/592345587.db2.gz DCHMPFOXAPFLCQ-HIFRSBDPSA-N 0 3 249.329 2.827 20 0 BFADHN FC(F)CN(CCN1CCCCC1)C1CCC1 ZINC000887099241 592441616 /nfs/dbraw/zinc/44/16/16/592441616.db2.gz WRASCFKJKMBFMJ-UHFFFAOYSA-N 0 3 246.345 2.592 20 0 BFADHN CC[C@H](C)N1CCc2cc(O)c(OC)cc2C1 ZINC000887106584 592445004 /nfs/dbraw/zinc/44/50/04/592445004.db2.gz GMJQUYHPMKLJFD-JTQLQIEISA-N 0 3 235.327 2.557 20 0 BFADHN CC[C@@H](C)N1CCc2cc(O)c(OC)cc2C1 ZINC000887106585 592445101 /nfs/dbraw/zinc/44/51/01/592445101.db2.gz GMJQUYHPMKLJFD-SNVBAGLBSA-N 0 3 235.327 2.557 20 0 BFADHN CN(C/C=C/c1ccccc1)CCCF ZINC000887137650 592453612 /nfs/dbraw/zinc/45/36/12/592453612.db2.gz PEFYMKLDZFUXFE-WEVVVXLNSA-N 0 3 207.292 2.991 20 0 BFADHN CN(CCCF)Cc1cccc2c1CCOC2 ZINC000887142785 592458376 /nfs/dbraw/zinc/45/83/76/592458376.db2.gz ZWRUAYHYPQFAGI-UHFFFAOYSA-N 0 3 237.318 2.551 20 0 BFADHN CN(CCCF)C[C@@H]1CCC2(CCC2)CO1 ZINC000887143586 592458675 /nfs/dbraw/zinc/45/86/75/592458675.db2.gz GZDAXPRKTFRANT-LBPRGKRZSA-N 0 3 229.339 2.627 20 0 BFADHN C[C@H]1C[C@@H](C(=O)OC2CCCCC2)CCN1C ZINC000887591118 592530991 /nfs/dbraw/zinc/53/09/91/592530991.db2.gz CELYXWWLZBRICG-RYUDHWBXSA-N 0 3 239.359 2.593 20 0 BFADHN CCc1cc(NC(=O)[C@H](N)CC2CC2)ccc1C ZINC000302658184 592551101 /nfs/dbraw/zinc/55/11/01/592551101.db2.gz IRWJJALBAZGXGK-CQSZACIVSA-N 0 3 246.354 2.623 20 0 BFADHN CC(C)c1ccccc1NC(=O)[C@@H](N)CC1CC1 ZINC000302681867 592551700 /nfs/dbraw/zinc/55/17/00/592551700.db2.gz QFSGBWZJISUOQK-ZDUSSCGKSA-N 0 3 246.354 2.876 20 0 BFADHN CSCC[C@H](C)N1CCCC[C@@H]1C(C)=O ZINC001258223846 985668236 /nfs/dbraw/zinc/66/82/36/985668236.db2.gz HDDAYNUIBIXNPO-CMPLNLGQSA-N 0 3 229.389 2.572 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)Cc2c[nH]nc21 ZINC000931468050 634465111 /nfs/dbraw/zinc/46/51/11/634465111.db2.gz UOXIWCIUEVNHNF-LPWJVIDDSA-N 0 3 245.370 2.765 20 0 BFADHN Cc1ccc([C@@H](O)CN[C@H](C)c2ccoc2)cc1 ZINC000178451054 634547430 /nfs/dbraw/zinc/54/74/30/634547430.db2.gz MLRFUGGVEDPEJR-DOMZBBRYSA-N 0 3 245.322 2.972 20 0 BFADHN Cc1cncc([C@@H]2CCCN2CCOC2CC2)c1 ZINC000931567771 634598575 /nfs/dbraw/zinc/59/85/75/634598575.db2.gz XUEFUERIVVIKMN-HNNXBMFYSA-N 0 3 246.354 2.706 20 0 BFADHN Cc1nn(C)c(CNC[C@@H]2CC2(C)C)c1Cl ZINC000235973336 635593325 /nfs/dbraw/zinc/59/33/25/635593325.db2.gz PZHSKVFSBHXYKC-VIFPVBQESA-N 0 3 241.766 2.518 20 0 BFADHN Cc1cc(NC2CCC(C)(C)CC2)nc(N)n1 ZINC000090794922 631216442 /nfs/dbraw/zinc/21/64/42/631216442.db2.gz RZQOUTMFCLPDJW-UHFFFAOYSA-N 0 3 234.347 2.748 20 0 BFADHN CCCCN(C)C[C@H](O)c1c(F)cccc1F ZINC000051088001 631236260 /nfs/dbraw/zinc/23/62/60/631236260.db2.gz CWRGSFWMSIVDOH-LBPRGKRZSA-N 0 3 243.297 2.730 20 0 BFADHN Cc1cc(F)ncc1CN1CCC[C@@H](C)C1 ZINC000891446204 631319400 /nfs/dbraw/zinc/31/94/00/631319400.db2.gz HIAQHKPUKXIIAR-SNVBAGLBSA-N 0 3 222.307 2.761 20 0 BFADHN Cc1cc(F)ncc1CN1CCC(C)CC1 ZINC000891454862 631366812 /nfs/dbraw/zinc/36/68/12/631366812.db2.gz TUOFCXDVEAKZNJ-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN CCCCN(CC)Cc1c2c(nn1C)CCC2 ZINC000891456553 631372076 /nfs/dbraw/zinc/37/20/76/631372076.db2.gz HCFVNLQERVAOQC-UHFFFAOYSA-N 0 3 235.375 2.531 20 0 BFADHN CCN(C)Cc1cn(C)nc1C1CCCC1 ZINC000891572070 631396291 /nfs/dbraw/zinc/39/62/91/631396291.db2.gz MSRUPIVGGMRHTE-UHFFFAOYSA-N 0 3 221.348 2.529 20 0 BFADHN COc1cc([C@@H](C)N[C@@H](C)CCC2CC2)on1 ZINC000926196730 631398877 /nfs/dbraw/zinc/39/88/77/631398877.db2.gz RHDBCFPDHOVEKQ-VHSXEESVSA-N 0 3 238.331 2.913 20 0 BFADHN CC(C)Cc1ccc(CN(C)C2CC2)cn1 ZINC000891620080 631412543 /nfs/dbraw/zinc/41/25/43/631412543.db2.gz NWBQNNBOWBZRJR-UHFFFAOYSA-N 0 3 218.344 2.874 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCC(C)(C)CO)o1 ZINC000124320676 631478732 /nfs/dbraw/zinc/47/87/32/631478732.db2.gz KFJVDIDPZUWMQW-JQWIXIFHSA-N 0 3 237.343 2.511 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccco1)c1cccc(F)c1 ZINC000088264605 631489177 /nfs/dbraw/zinc/48/91/77/631489177.db2.gz ILWZLRZAXVJUJD-MFKMUULPSA-N 0 3 249.285 2.803 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccco1)c1cccc(F)c1 ZINC000088264606 631489615 /nfs/dbraw/zinc/48/96/15/631489615.db2.gz ILWZLRZAXVJUJD-GXFFZTMASA-N 0 3 249.285 2.803 20 0 BFADHN CCCCCN(C)Cc1c(C)noc1C ZINC000078774930 631547428 /nfs/dbraw/zinc/54/74/28/631547428.db2.gz RCBAMRMDWPCIGE-UHFFFAOYSA-N 0 3 210.321 2.913 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NC[C@@H]1CCC=CO1 ZINC000092327307 631553333 /nfs/dbraw/zinc/55/33/33/631553333.db2.gz RABZOAPBHRHNSD-SKDRFNHKSA-N 0 3 236.315 2.635 20 0 BFADHN COC(OC)[C@H](C)NCc1cccc(C2CC2)c1 ZINC000094519972 631576383 /nfs/dbraw/zinc/57/63/83/631576383.db2.gz MNKMTOOWOHJWJC-NSHDSACASA-N 0 3 249.354 2.661 20 0 BFADHN C[C@H](NC[C@H](O)c1ccccc1F)c1ccoc1 ZINC000178443244 631587630 /nfs/dbraw/zinc/58/76/30/631587630.db2.gz SCJWKNQAJIXCSJ-HZMBPMFUSA-N 0 3 249.285 2.803 20 0 BFADHN S=c1ncccn1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000176202862 631642612 /nfs/dbraw/zinc/64/26/12/631642612.db2.gz PLANFFFHRJXRIY-TXEJJXNPSA-N 0 3 249.383 2.692 20 0 BFADHN CCO[C@@H](CN[C@H](C)c1cncs1)C1CC1 ZINC000934196632 631726869 /nfs/dbraw/zinc/72/68/69/631726869.db2.gz VSUOHNVSNNPFCV-KOLCDFICSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@H](NCC(C)(C)C(F)(F)F)c1ccnnc1 ZINC000926367562 631752998 /nfs/dbraw/zinc/75/29/98/631752998.db2.gz NSUIZIKIKPQQDP-QMMMGPOBSA-N 0 3 247.264 2.716 20 0 BFADHN Cc1cc(C)c(CNCc2c[nH]cn2)c(C)c1 ZINC000112344560 631883056 /nfs/dbraw/zinc/88/30/56/631883056.db2.gz WCTKAWPURMUXFN-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN Cc1cc(C)c(CNCc2cnc[nH]2)c(C)c1 ZINC000112344560 631883061 /nfs/dbraw/zinc/88/30/61/631883061.db2.gz WCTKAWPURMUXFN-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN CC(=O)CCN1CC[C@H](C(F)(F)F)C1(C)C ZINC000309141280 631906801 /nfs/dbraw/zinc/90/68/01/631906801.db2.gz WKXSNOWGOBVFFX-VIFPVBQESA-N 0 3 237.265 2.628 20 0 BFADHN CCCC[C@H](CC)CN1CC[C@H](O)[C@H](F)C1 ZINC000934565507 631930343 /nfs/dbraw/zinc/93/03/43/631930343.db2.gz COLZYIWAGRLMMJ-XQQFMLRXSA-N 0 3 231.355 2.608 20 0 BFADHN CS[C@@H](C)CNCc1nc(C)c(C)s1 ZINC000122052015 632069063 /nfs/dbraw/zinc/06/90/63/632069063.db2.gz GQTKSGVBLUAVIR-ZETCQYMHSA-N 0 3 230.402 2.601 20 0 BFADHN CCC[C@H](N[C@@H]1COC[C@@H]1C)c1ccccn1 ZINC000924945235 635796919 /nfs/dbraw/zinc/79/69/19/635796919.db2.gz DOABSXQAMPFSLZ-FPMFFAJLSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccc[nH]1)c1cccnc1 ZINC000309555743 632493627 /nfs/dbraw/zinc/49/36/27/632493627.db2.gz JOZYIOSLWIENBV-MNOVXSKESA-N 0 3 215.300 2.822 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1ccnn1C1CCC1 ZINC000926615567 632695737 /nfs/dbraw/zinc/69/57/37/632695737.db2.gz PDUGZWXBIDXPPO-MFKMUULPSA-N 0 3 231.343 2.811 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@H](N)CC1CC1 ZINC000310164069 632706147 /nfs/dbraw/zinc/70/61/47/632706147.db2.gz MKRZQHQWOKFUBS-QWHCGFSZSA-N 0 3 240.391 2.589 20 0 BFADHN C[C@H]([NH2+][C@@H]1CC12CC2)c1cc([O-])cc(F)c1 ZINC000926617361 632708830 /nfs/dbraw/zinc/70/88/30/632708830.db2.gz XQZRCCRGXWENSH-QPUJVOFHSA-N 0 3 221.275 2.734 20 0 BFADHN C[C@H]1CCC[C@H](CCNCc2cn(C)cn2)C1 ZINC000310251719 632711270 /nfs/dbraw/zinc/71/12/70/632711270.db2.gz OEOYMRNALARCNU-QWHCGFSZSA-N 0 3 235.375 2.726 20 0 BFADHN CCCC[C@@H](CC)CN[C@H](C)c1cnn(C)n1 ZINC000924537859 632775996 /nfs/dbraw/zinc/77/59/96/632775996.db2.gz PGUYXQIHFRHLIC-VXGBXAGGSA-N 0 3 238.379 2.682 20 0 BFADHN CC[C@@H]1COC[C@H]1NCc1cccc(C)c1OC ZINC000926665204 632790608 /nfs/dbraw/zinc/79/06/08/632790608.db2.gz WOZBIMUZCVYHBA-TZMCWYRMSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1oc2ccccc2c1[C@@H](C)NC/C=C\CO ZINC000926690097 632826716 /nfs/dbraw/zinc/82/67/16/632826716.db2.gz VLPMOBKZKNVMDE-ISALQUGTSA-N 0 3 245.322 2.940 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CC[C@@H](C)C[C@@H]1C ZINC000925055513 635877781 /nfs/dbraw/zinc/87/77/81/635877781.db2.gz VHFIYBRUPGZNLG-FYLLDIAZSA-N 0 3 237.347 2.853 20 0 BFADHN CCN(Cc1cnc(Cl)cn1)CC(C)(C)C ZINC000309438821 633073440 /nfs/dbraw/zinc/07/34/40/633073440.db2.gz YZVGAWYZHBIXNF-UHFFFAOYSA-N 0 3 241.766 2.998 20 0 BFADHN CN(C)CC1(c2nc(C3CCCCC3)no2)CC1 ZINC000923788898 633082526 /nfs/dbraw/zinc/08/25/26/633082526.db2.gz PUXXEGLVQISEGZ-UHFFFAOYSA-N 0 3 249.358 2.711 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1C(F)(F)F)C1(Cl)CC1 ZINC000926800635 633086631 /nfs/dbraw/zinc/08/66/31/633086631.db2.gz ZFNBDFREVMKRSR-RRKCRQDMSA-N 0 3 227.657 2.687 20 0 BFADHN CCCC[C@H](CC)Cn1cc([C@@H](C)N)nn1 ZINC000167121935 633125553 /nfs/dbraw/zinc/12/55/53/633125553.db2.gz KQTNMAATLUXHKX-MNOVXSKESA-N 0 3 224.352 2.514 20 0 BFADHN CC[C@@H]([NH2+]Cc1ccc([O-])c(F)c1)C1CC1 ZINC000167965277 633135506 /nfs/dbraw/zinc/13/55/06/633135506.db2.gz SIZPWYQOBUYLPY-GFCCVEGCSA-N 0 3 223.291 2.810 20 0 BFADHN Cc1nc2ccccc2nc1CN1C[C@@H](C)[C@H]1C ZINC000293507366 633163868 /nfs/dbraw/zinc/16/38/68/633163868.db2.gz UXRYIKNVYBOVGT-ZYHUDNBSSA-N 0 3 241.338 2.778 20 0 BFADHN ClC1(Cl)C[C@H]1CN1CCCSCC1 ZINC000306730769 635974600 /nfs/dbraw/zinc/97/46/00/635974600.db2.gz PVXPKGYGMRQOID-QMMMGPOBSA-N 0 3 240.199 2.619 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1C/C=C/c1cccc(F)c1 ZINC000895034924 636017798 /nfs/dbraw/zinc/01/77/98/636017798.db2.gz ZHBQAJHTOFILEK-BYSCNOHMSA-N 0 3 249.329 2.684 20 0 BFADHN c1csc(CNC23CCC(CC2)C3)n1 ZINC000307639268 635992604 /nfs/dbraw/zinc/99/26/04/635992604.db2.gz FHBVTMAVPRQOGU-UHFFFAOYSA-N 0 3 208.330 2.565 20 0 BFADHN CC[C@@H](COC)[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000925106396 636045901 /nfs/dbraw/zinc/04/59/01/636045901.db2.gz OJZQRNNNENXFAM-SKDRFNHKSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@@H]1CCC(C)(C)C[C@@H]1N1CCc2n[nH]cc2C1 ZINC000895389172 636141201 /nfs/dbraw/zinc/14/12/01/636141201.db2.gz NOCPKDYBMDJVKG-RISCZKNCSA-N 0 3 247.386 2.983 20 0 BFADHN CC(C)n1cc([C@H](C)NC2(C3CC3)CCC2)nn1 ZINC000926874351 633759919 /nfs/dbraw/zinc/75/99/19/633759919.db2.gz PMLBVFZMAMOILM-NSHDSACASA-N 0 3 248.374 2.842 20 0 BFADHN COc1c(C)cc(CN[C@@H](C)CF)cc1C ZINC000309820273 633784476 /nfs/dbraw/zinc/78/44/76/633784476.db2.gz DUFLOFNZLWZTDG-NSHDSACASA-N 0 3 225.307 2.760 20 0 BFADHN CCOc1ccc(CNCCCc2ccco2)o1 ZINC000895453158 636145376 /nfs/dbraw/zinc/14/53/76/636145376.db2.gz ICLGCWKIYMEECC-UHFFFAOYSA-N 0 3 249.310 2.994 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1coc(C2CC2)n1 ZINC000309813328 634014288 /nfs/dbraw/zinc/01/42/88/634014288.db2.gz USPNZDBYUARKJU-CABZTGNLSA-N 0 3 220.316 2.830 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cn1cccnc1=S ZINC000184973058 634028909 /nfs/dbraw/zinc/02/89/09/634028909.db2.gz RUOUXUPBVRODJD-QWRGUYRKSA-N 0 3 237.372 2.690 20 0 BFADHN c1cc(CNCCOCc2ccccc2)co1 ZINC000053543702 634235929 /nfs/dbraw/zinc/23/59/29/634235929.db2.gz GONPSURZVKWCCM-UHFFFAOYSA-N 0 3 231.295 2.586 20 0 BFADHN Cc1cccc(OCCNCc2ccoc2)c1 ZINC000053566658 634248438 /nfs/dbraw/zinc/24/84/38/634248438.db2.gz QCQVXZWAHIECDB-UHFFFAOYSA-N 0 3 231.295 2.757 20 0 BFADHN COc1cc([C@H](C)N[C@H]2CCC(C)(C)C2)on1 ZINC000925678449 634271610 /nfs/dbraw/zinc/27/16/10/634271610.db2.gz URTFPSZFMHOHBZ-UWVGGRQHSA-N 0 3 238.331 2.913 20 0 BFADHN CCN(CCC1CC1)Cc1c2c(nn1C)CCC2 ZINC000893589033 635192422 /nfs/dbraw/zinc/19/24/22/635192422.db2.gz CILHVQQDKDYQJO-UHFFFAOYSA-N 0 3 247.386 2.531 20 0 BFADHN Cc1cccc([C@@H](C)NCc2cc(N)ccn2)c1 ZINC000925772499 635213534 /nfs/dbraw/zinc/21/35/34/635213534.db2.gz SMTFYAMIJQNBGZ-GFCCVEGCSA-N 0 3 241.338 2.823 20 0 BFADHN COC(=O)/C(C)=C/CN[C@H](C)c1ccc(C)cc1 ZINC000223623714 635371186 /nfs/dbraw/zinc/37/11/86/635371186.db2.gz NEHZALPKWSESTA-CNELAYHGSA-N 0 3 247.338 2.765 20 0 BFADHN C/C=C/C[C@@H]1CCCN(c2ccncc2CO)C1 ZINC000894835286 635944527 /nfs/dbraw/zinc/94/45/27/635944527.db2.gz JNLJLFQQBWGQEO-YWVDXFKGSA-N 0 3 246.354 2.757 20 0 BFADHN C/C=C\C[C@@H]1CCCN(c2ccncc2CO)C1 ZINC000894835283 635945607 /nfs/dbraw/zinc/94/56/07/635945607.db2.gz JNLJLFQQBWGQEO-XQJDBVBESA-N 0 3 246.354 2.757 20 0 BFADHN COc1nccc(CNC2CC(C)(C)C2)c1F ZINC000895469388 636147209 /nfs/dbraw/zinc/14/72/09/636147209.db2.gz ZJAHHZWSJGJUDV-UHFFFAOYSA-N 0 3 238.306 2.508 20 0 BFADHN Nc1nc(CNC2CCCCCC2)cs1 ZINC000082540295 636264343 /nfs/dbraw/zinc/26/43/43/636264343.db2.gz POMSEPJEYXUBSP-UHFFFAOYSA-N 0 3 225.361 2.538 20 0 BFADHN CC[C@H](N[C@@H](C)[C@@H](C)O)c1ccc(F)cc1F ZINC000341856694 636305505 /nfs/dbraw/zinc/30/55/05/636305505.db2.gz ZQOXDOFGTZDECI-RWEMILLDSA-N 0 3 243.297 2.775 20 0 BFADHN CCCOC(=O)[C@H](C)N1CCCC[C@@H]1C(C)C ZINC000303938851 636318857 /nfs/dbraw/zinc/31/88/57/636318857.db2.gz SFQNEHWQIDRQHH-QWHCGFSZSA-N 0 3 241.375 2.839 20 0 BFADHN CCCC[C@H](CC)CNc1cc(C)nc(N)n1 ZINC000221086090 636340237 /nfs/dbraw/zinc/34/02/37/636340237.db2.gz LBLDRHSLRAATLA-NSHDSACASA-N 0 3 236.363 2.996 20 0 BFADHN Cc1cc(N[C@H]2CCC[C@@H](C(C)C)C2)nc(N)n1 ZINC000728910781 636409458 /nfs/dbraw/zinc/40/94/58/636409458.db2.gz UFGANEKROHMZLX-NEPJUHHUSA-N 0 3 248.374 2.994 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@@H](C)c1nonc1C ZINC000925256058 636527509 /nfs/dbraw/zinc/52/75/09/636527509.db2.gz HZAOJYBBOAIEBH-JMJZKYOTSA-N 0 3 223.320 2.607 20 0 BFADHN C[C@@H](N[C@H](C)C1(C)CC1)c1cc2n(n1)CCC2 ZINC000840735236 636581646 /nfs/dbraw/zinc/58/16/46/636581646.db2.gz OETGEWGOZPNICU-GHMZBOCLSA-N 0 3 233.359 2.669 20 0 BFADHN CCC(CC)c1noc(C2(CN(C)C)CC2)n1 ZINC000923883922 636937461 /nfs/dbraw/zinc/93/74/61/636937461.db2.gz OUPZXRQQWBBLFA-UHFFFAOYSA-N 0 3 237.347 2.566 20 0 BFADHN CN[C@@H](C)c1cc(-c2ccccc2F)no1 ZINC000901400696 637252473 /nfs/dbraw/zinc/25/24/73/637252473.db2.gz WFNUIHRBSFNIOM-QMMMGPOBSA-N 0 3 220.247 2.761 20 0 BFADHN CC(C)NCc1cc(-c2cccc(F)c2)no1 ZINC000901400809 637253079 /nfs/dbraw/zinc/25/30/79/637253079.db2.gz YCHOMMPGWKXESR-UHFFFAOYSA-N 0 3 234.274 2.979 20 0 BFADHN CCC(CC)CN(CC)c1ccnc(CO)c1 ZINC000042488081 637272893 /nfs/dbraw/zinc/27/28/93/637272893.db2.gz AUEXYOHPESDFJT-UHFFFAOYSA-N 0 3 236.359 2.836 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ncc(Cl)n1C ZINC000042555883 637283789 /nfs/dbraw/zinc/28/37/89/637283789.db2.gz HCNDGQSDWDRGED-IUCAKERBSA-N 0 3 229.755 2.598 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ncc(Cl)n1C ZINC000042555880 637284112 /nfs/dbraw/zinc/28/41/12/637284112.db2.gz HCNDGQSDWDRGED-BDAKNGLRSA-N 0 3 229.755 2.598 20 0 BFADHN Cc1nonc1[C@H](C)N[C@H]1CCC[C@@H](C)C1 ZINC000924795031 637390418 /nfs/dbraw/zinc/39/04/18/637390418.db2.gz UXCNJCPXSJZWDO-YWVKMMECSA-N 0 3 223.320 2.607 20 0 BFADHN Cc1ccncc1-c1ccc(F)c2c1CCNC2 ZINC000904832594 637497845 /nfs/dbraw/zinc/49/78/45/637497845.db2.gz YJMJZCYJYUEEGO-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN Cc1oncc1-c1ccc(CN(C)C)s1 ZINC000904865475 637512489 /nfs/dbraw/zinc/51/24/89/637512489.db2.gz YINPNSAPFSNPRK-UHFFFAOYSA-N 0 3 222.313 2.773 20 0 BFADHN CCC(CC)NC(=O)C(C)(C)N1CCCCC1 ZINC000905070948 637602879 /nfs/dbraw/zinc/60/28/79/637602879.db2.gz OITQPLAKIWANJJ-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN Cn1cccc1CN1CCC(c2ccco2)CC1 ZINC000668462841 637833899 /nfs/dbraw/zinc/83/38/99/637833899.db2.gz SGBGTAQWWIXTBK-UHFFFAOYSA-N 0 3 244.338 2.998 20 0 BFADHN O[C@H](CNC/C=C/Cl)c1cccc(Cl)c1 ZINC000905708218 637892247 /nfs/dbraw/zinc/89/22/47/637892247.db2.gz NHPJYTIVVPXFBF-XGQHYKRYSA-N 0 3 246.137 2.716 20 0 BFADHN CCC[C@H](C)CCN[C@H](C(N)=O)c1ccccc1 ZINC000905776651 637906594 /nfs/dbraw/zinc/90/65/94/637906594.db2.gz JFOJDAANSPPHGG-JSGCOSHPSA-N 0 3 248.370 2.629 20 0 BFADHN CCc1ccc(CN2C[C@]3(C)COC[C@]3(C)C2)o1 ZINC000668516294 638022986 /nfs/dbraw/zinc/02/29/86/638022986.db2.gz YYYXJRWGJVTWNN-GASCZTMLSA-N 0 3 249.354 2.700 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1cn(C2CC2)nn1 ZINC000906296392 638135783 /nfs/dbraw/zinc/13/57/83/638135783.db2.gz LBRCAHXBZJACTK-SMDDNHRTSA-N 0 3 248.374 2.671 20 0 BFADHN CCC[C@@H](NCc1cn(C2CC2)nn1)C1CCC1 ZINC000906310021 638140423 /nfs/dbraw/zinc/14/04/23/638140423.db2.gz QAVVEYHOTVFDMX-CQSZACIVSA-N 0 3 248.374 2.671 20 0 BFADHN CC(C)n1cc([C@H](C)NC[C@H](C)C2CC2)nn1 ZINC000924829990 639407013 /nfs/dbraw/zinc/40/70/13/639407013.db2.gz YWHFSVFQJAQICJ-QWRGUYRKSA-N 0 3 236.363 2.556 20 0 BFADHN Cc1nonc1CN[C@H](C)C1CCCCCC1 ZINC000150236351 639453216 /nfs/dbraw/zinc/45/32/16/639453216.db2.gz ODFAFQWNSQRFFD-SNVBAGLBSA-N 0 3 237.347 2.827 20 0 BFADHN COC1(C)CCN(Cc2cc(C)cc(C)n2)CC1 ZINC000930235030 639647175 /nfs/dbraw/zinc/64/71/75/639647175.db2.gz XMBAJJPRICYUQP-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN C[C@@H]1CN(CCCC(C)(F)F)C[C@@H](C2CC2)O1 ZINC000930268471 639672561 /nfs/dbraw/zinc/67/25/61/639672561.db2.gz BKLHPKGQRPZTLR-PWSUYJOCSA-N 0 3 247.329 2.921 20 0 BFADHN CO[C@H]1CCN(Cc2cc(C)cc(C)n2)[C@H](C)C1 ZINC000930324932 639704584 /nfs/dbraw/zinc/70/45/84/639704584.db2.gz UMSNFFNFKXKRDI-HIFRSBDPSA-N 0 3 248.370 2.698 20 0 BFADHN CC[C@@H]1C[C@H]1CN1CCCC[C@@H]1c1cc[nH]n1 ZINC000930447821 639755792 /nfs/dbraw/zinc/75/57/92/639755792.db2.gz IQSGYWJLAXGSDX-MBNYWOFBSA-N 0 3 233.359 2.983 20 0 BFADHN CCC[C@@H](NCc1nncs1)C(C)(C)C ZINC000930622270 639826649 /nfs/dbraw/zinc/82/66/49/639826649.db2.gz BOOPHZREQZFVRN-SECBINFHSA-N 0 3 227.377 2.843 20 0 BFADHN C[C@@H]1CCCC[C@]1(C)NCc1nncs1 ZINC000930691542 639862976 /nfs/dbraw/zinc/86/29/76/639862976.db2.gz CAJWCMUDUBZZQB-KOLCDFICSA-N 0 3 225.361 2.597 20 0 BFADHN FCc1ccccc1CN1C[C@@H]2C[C@H]1CS2 ZINC000930706591 639874081 /nfs/dbraw/zinc/87/40/81/639874081.db2.gz UDTBVQZIIQNWGB-STQMWFEESA-N 0 3 237.343 2.846 20 0 BFADHN CCc1onc(C)c1CN1C[C@@H]2CCCC[C@@H]21 ZINC000930895624 639976148 /nfs/dbraw/zinc/97/61/48/639976148.db2.gz VZZVQVCIYADJSR-AAEUAGOBSA-N 0 3 234.343 2.920 20 0 BFADHN CCc1ncc(CNC2(CC(C)C)CC2)o1 ZINC000931062588 640050128 /nfs/dbraw/zinc/05/01/28/640050128.db2.gz RJGDLOIMIOYYMN-UHFFFAOYSA-N 0 3 222.332 2.905 20 0 BFADHN C[C@H](C1CC1)N1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000931081331 640062013 /nfs/dbraw/zinc/06/20/13/640062013.db2.gz ZEMZSLHJMJBKPK-LNLATYFQSA-N 0 3 233.302 2.529 20 0 BFADHN CC(C)c1ncc2c(n1)CCN([C@@H](C)C1CC1)C2 ZINC000931163226 640083356 /nfs/dbraw/zinc/08/33/56/640083356.db2.gz FDADNCMFBMYRNE-NSHDSACASA-N 0 3 245.370 2.757 20 0 BFADHN CC(C)=CCN1CCC(c2ncco2)CC1 ZINC000931443986 640211004 /nfs/dbraw/zinc/21/10/04/640211004.db2.gz KLMJMABSPVIEPG-UHFFFAOYSA-N 0 3 220.316 2.820 20 0 BFADHN CC(C)CCCN1Cc2c[nH]nc2[C@H](C)C1 ZINC000931461850 640220893 /nfs/dbraw/zinc/22/08/93/640220893.db2.gz ZXWRGLIQDWZRPB-LLVKDONJSA-N 0 3 221.348 2.765 20 0 BFADHN C[C@@H]1CN(CC2CC3(CCC3)C2)Cc2c[nH]nc21 ZINC000931465466 640224851 /nfs/dbraw/zinc/22/48/51/640224851.db2.gz GSPQLQQCOGLSJM-LLVKDONJSA-N 0 3 245.370 2.909 20 0 BFADHN C[C@@H]1CN(CC[C@@H]2C[C@H]2C2CC2)Cc2c[nH]nc21 ZINC000931467198 640225637 /nfs/dbraw/zinc/22/56/37/640225637.db2.gz NLXVAMNWRDIFGX-QKCSRTOESA-N 0 3 245.370 2.765 20 0 BFADHN COC/C(C)=C/CN[C@H](C)c1cnc(C)s1 ZINC000931466393 640227378 /nfs/dbraw/zinc/22/73/78/640227378.db2.gz CCWWIYWEQWEYCF-NAZIUFLLSA-N 0 3 240.372 2.695 20 0 BFADHN FC(F)(F)[C@H]1CN(C2CCCC2)CCS1 ZINC000932082098 640421289 /nfs/dbraw/zinc/42/12/89/640421289.db2.gz WCRCMJLLQHVKHP-SECBINFHSA-N 0 3 239.306 2.909 20 0 BFADHN CC/C=C/CCN1CC[C@H](c2noc(C)n2)C1 ZINC000931940175 640400127 /nfs/dbraw/zinc/40/01/27/640400127.db2.gz WQOYWQCZEFRTNU-ITKZLYELSA-N 0 3 235.331 2.524 20 0 BFADHN C[C@@H](C1CC1)N1CCS[C@H](C(F)(F)F)C1 ZINC000932072888 640421786 /nfs/dbraw/zinc/42/17/86/640421786.db2.gz HWCGPSDATHAMSJ-CBAPKCEASA-N 0 3 239.306 2.765 20 0 BFADHN FC(F)(F)[C@H]1CN(CCC2CC2)CCS1 ZINC000932072246 640423011 /nfs/dbraw/zinc/42/30/11/640423011.db2.gz BVJFDNXJCCJUFA-SECBINFHSA-N 0 3 239.306 2.766 20 0 BFADHN Cc1noc([C@@H](C)N2CC[C@@H](C)C[C@@H](C)C2)n1 ZINC000932121264 640433369 /nfs/dbraw/zinc/43/33/69/640433369.db2.gz JVELMHWNYVYDQQ-GMTAPVOTSA-N 0 3 237.347 2.807 20 0 BFADHN Cc1cc(C)c(CN2CCO[C@H](C)CC2)c(C)n1 ZINC000932335503 640476691 /nfs/dbraw/zinc/47/66/91/640476691.db2.gz HTNITOSTCAZJPT-CYBMUJFWSA-N 0 3 248.370 2.618 20 0 BFADHN C[C@H]1CCN(C/C=C/c2ccccc2)CCO1 ZINC000932348165 640491235 /nfs/dbraw/zinc/49/12/35/640491235.db2.gz CVIRHTSQRNMLMV-GPAKFWEMSA-N 0 3 231.339 2.811 20 0 BFADHN CCOc1ccc(CN2CCO[C@H](C)CC2)cc1 ZINC000932348725 640492088 /nfs/dbraw/zinc/49/20/88/640492088.db2.gz GVNCLMDVKCUNPH-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN CC1CC(CN[C@H](c2ncccn2)C2CC2)C1 ZINC000932352317 640496378 /nfs/dbraw/zinc/49/63/78/640496378.db2.gz NWFNRNSSOFFBOR-XIVSLSHWSA-N 0 3 231.343 2.563 20 0 BFADHN C/C=C\CN[C@@H](c1ccccn1)C1CC1 ZINC000932383312 640510137 /nfs/dbraw/zinc/51/01/37/640510137.db2.gz XPPUQGYQMNNASX-XQJDBVBESA-N 0 3 202.301 2.698 20 0 BFADHN CS[C@H]1CC[C@@H](NCc2csc(C)n2)C1 ZINC000164879201 640511819 /nfs/dbraw/zinc/51/18/19/640511819.db2.gz UPGLFQOEMWCMCA-KOLCDFICSA-N 0 3 242.413 2.825 20 0 BFADHN CCC(=O)CCN(Cc1ccccn1)CC(C)C ZINC000932449220 640528802 /nfs/dbraw/zinc/52/88/02/640528802.db2.gz NYBJMGRYLRZMND-UHFFFAOYSA-N 0 3 248.370 2.909 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1scnc1Cl ZINC000713500041 711491436 /nfs/dbraw/zinc/49/14/36/711491436.db2.gz BVVPUJCGMUDCOG-RNFRBKRXSA-N 0 3 248.804 2.638 20 0 BFADHN Cc1cc(N[C@@H]2CCC[C@@H](C)[C@@H]2C)nc(N)n1 ZINC000045723742 640653123 /nfs/dbraw/zinc/65/31/23/640653123.db2.gz HVTMNTIFICKOIS-DVVUODLYSA-N 0 3 234.347 2.604 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC000932972975 640658972 /nfs/dbraw/zinc/65/89/72/640658972.db2.gz VOHSSVAOSFREIW-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN CCO[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC000932980344 640660461 /nfs/dbraw/zinc/66/04/61/640660461.db2.gz WKJUBCKKDUTYLL-AJHXJQRKSA-N 0 3 231.339 2.811 20 0 BFADHN CCO[C@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC000932978354 640661740 /nfs/dbraw/zinc/66/17/40/640661740.db2.gz WQINHXKXHWKWPK-RYUDHWBXSA-N 0 3 237.318 2.998 20 0 BFADHN CC(C)OCCN[C@]1(C)CCOc2ccccc21 ZINC000933365276 640709531 /nfs/dbraw/zinc/70/95/31/640709531.db2.gz VIHCIMFVXQKTQL-OAHLLOKOSA-N 0 3 249.354 2.699 20 0 BFADHN CCCCN(Cc1ccc(Cl)nn1)C1CC1 ZINC000167399430 640715907 /nfs/dbraw/zinc/71/59/07/640715907.db2.gz LSJMIKIMDBSIII-UHFFFAOYSA-N 0 3 239.750 2.895 20 0 BFADHN c1cc(CN(CCc2ccncc2)C2CC2)co1 ZINC000934006209 640806206 /nfs/dbraw/zinc/80/62/06/640806206.db2.gz YAPOMXBXHCWPFX-UHFFFAOYSA-N 0 3 242.322 2.882 20 0 BFADHN c1cc(CNC[C@@H]2CCC3(CCCC3)O2)co1 ZINC000229312082 640944906 /nfs/dbraw/zinc/94/49/06/640944906.db2.gz ZVPNDNZMRPCVOU-ZDUSSCGKSA-N 0 3 235.327 2.861 20 0 BFADHN CCC[C@@H](N)c1cn(-c2cc(C)cc(C)c2)nn1 ZINC000229922443 640993792 /nfs/dbraw/zinc/99/37/92/640993792.db2.gz JZSBJSQWSMDCIH-CYBMUJFWSA-N 0 3 244.342 2.684 20 0 BFADHN CCN(Cc1ccc(Cl)nn1)CC1CCC1 ZINC000230313523 641045900 /nfs/dbraw/zinc/04/59/00/641045900.db2.gz UCUGZFHRXWYBFR-UHFFFAOYSA-N 0 3 239.750 2.752 20 0 BFADHN COc1ccc(C)cc1CN(C)[C@H]1CC[C@H]1OC ZINC000934123809 641085395 /nfs/dbraw/zinc/08/53/95/641085395.db2.gz AUAAUDZDEOWGLZ-DZGCQCFKSA-N 0 3 249.354 2.613 20 0 BFADHN CCC1(C)CCN(c2ccnc(CO)c2)CC1 ZINC000062955396 641115816 /nfs/dbraw/zinc/11/58/16/641115816.db2.gz RZRVTDLXZFJLIC-UHFFFAOYSA-N 0 3 234.343 2.590 20 0 BFADHN CCN(Cc1cnc(Cl)cn1)CC(C)C ZINC000231276370 641151392 /nfs/dbraw/zinc/15/13/92/641151392.db2.gz MAQVBFXLUNGORC-UHFFFAOYSA-N 0 3 227.739 2.608 20 0 BFADHN CCCCC[C@H](C)NC(=O)CN(C)[C@@H](C)CC ZINC000173293741 641163297 /nfs/dbraw/zinc/16/32/97/641163297.db2.gz QYSQVZLGEOWHPZ-STQMWFEESA-N 0 3 242.407 2.802 20 0 BFADHN CCC[C@H]1CC(=O)N(CN(CCC)CCC)C1 ZINC000173300417 641163476 /nfs/dbraw/zinc/16/34/76/641163476.db2.gz NPSFKWFHDYZNBZ-ZDUSSCGKSA-N 0 3 240.391 2.715 20 0 BFADHN C[C@]1(C2CC2)COCCN1Cc1ccccc1 ZINC000934485106 641170571 /nfs/dbraw/zinc/17/05/71/641170571.db2.gz YNPUEYCLOBBSTC-OAHLLOKOSA-N 0 3 231.339 2.688 20 0 BFADHN C[C@H]1CCCN1Cn1ccc(-c2ccccc2)n1 ZINC000173527684 641181554 /nfs/dbraw/zinc/18/15/54/641181554.db2.gz ZSISPMROYCAASK-ZDUSSCGKSA-N 0 3 241.338 2.992 20 0 BFADHN CC1(C)CCC(CN2CC[C@@H](O)[C@@H](F)C2)CC1 ZINC000934570864 641198832 /nfs/dbraw/zinc/19/88/32/641198832.db2.gz NPMXTJMYMKJKET-QWHCGFSZSA-N 0 3 243.366 2.608 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CC=CC[C@H]2C)o1 ZINC000934621193 641218280 /nfs/dbraw/zinc/21/82/80/641218280.db2.gz UIRKCIXRRNCJOG-ADEWGFFLSA-N 0 3 220.316 2.988 20 0 BFADHN C[C@@H]1CCC[N@@H+]1Cc1ccc([O-])c(F)c1 ZINC000232449707 641273374 /nfs/dbraw/zinc/27/33/74/641273374.db2.gz SHFGJFMEQGAGDF-SECBINFHSA-N 0 3 209.264 2.516 20 0 BFADHN C[C@@H]1CCC[N@H+]1Cc1ccc([O-])c(F)c1 ZINC000232449707 641273380 /nfs/dbraw/zinc/27/33/80/641273380.db2.gz SHFGJFMEQGAGDF-SECBINFHSA-N 0 3 209.264 2.516 20 0 BFADHN C[C@H](Cc1ccco1)[NH2+]Cc1ccc([O-])c(F)c1 ZINC000232421816 641276613 /nfs/dbraw/zinc/27/66/13/641276613.db2.gz XTRKAIXYUYUAKB-SNVBAGLBSA-N 0 3 249.285 2.845 20 0 BFADHN C[C@@H]1[C@@H](c2ccccc2)CCN1CCF ZINC000759347366 641422950 /nfs/dbraw/zinc/42/29/50/641422950.db2.gz TVNYQMCOTVTTLS-YPMHNXCESA-N 0 3 207.292 2.834 20 0 BFADHN CC1(C)COC[C@H]1N[C@H]1CSc2ccccc21 ZINC000313063140 641531289 /nfs/dbraw/zinc/53/12/89/641531289.db2.gz KGDVXWTWJPORRQ-WCQYABFASA-N 0 3 249.379 2.848 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1cccnc1Cl ZINC000159133384 641668775 /nfs/dbraw/zinc/66/87/75/641668775.db2.gz GQWQEEPKWKVCOW-VHSXEESVSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1ccccc1CNCc1ccc(F)nc1 ZINC000188966335 641777967 /nfs/dbraw/zinc/77/79/67/641777967.db2.gz PDNNVUDVDBKBGF-UHFFFAOYSA-N 0 3 230.286 2.819 20 0 BFADHN CCCN(Cc1ccc(F)nc1)C1CC1 ZINC000189296417 641800084 /nfs/dbraw/zinc/80/00/84/641800084.db2.gz RCMXWBLKXTWFLX-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN Cc1ccc2c(CN(C)C3CC3)cc(=O)oc2c1 ZINC000078528041 641932927 /nfs/dbraw/zinc/93/29/27/641932927.db2.gz DVTZIEVZRUFEPL-UHFFFAOYSA-N 0 3 243.306 2.696 20 0 BFADHN CCCCCCN1CCOC2(CCC2)C1 ZINC000154172634 641975732 /nfs/dbraw/zinc/97/57/32/641975732.db2.gz SSRLZIVDUOXGFG-UHFFFAOYSA-N 0 3 211.349 2.822 20 0 BFADHN C[C@H](NC[C@](C)(O)c1ccccc1)c1ccoc1 ZINC000160741181 642319943 /nfs/dbraw/zinc/31/99/43/642319943.db2.gz ISNUESBXVXPDPO-WFASDCNBSA-N 0 3 245.322 2.838 20 0 BFADHN Cc1ccoc1CN1CCC(c2cn[nH]c2)CC1 ZINC000352651761 642346337 /nfs/dbraw/zinc/34/63/37/642346337.db2.gz IOPHDEUOGDMKST-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN CCCN(CC)Cc1ccc(OCCOC)o1 ZINC000891398287 642467006 /nfs/dbraw/zinc/46/70/06/642467006.db2.gz AYJAQZSWUZXFIX-UHFFFAOYSA-N 0 3 241.331 2.537 20 0 BFADHN CSC[C@@H]1CCCN(C/C=C\Cl)C1 ZINC000308165650 642477165 /nfs/dbraw/zinc/47/71/65/642477165.db2.gz AWZNQJGYMMYTSX-TZGMSPROSA-N 0 3 219.781 2.814 20 0 BFADHN CCSCCN[C@H](C)c1cncs1 ZINC000308207551 642502300 /nfs/dbraw/zinc/50/23/00/642502300.db2.gz JAXIZCWTZHZHQV-MRVPVSSYSA-N 0 3 216.375 2.547 20 0 BFADHN CCSCCN[C@@H](C)c1cncs1 ZINC000308207552 642503005 /nfs/dbraw/zinc/50/30/05/642503005.db2.gz JAXIZCWTZHZHQV-QMMMGPOBSA-N 0 3 216.375 2.547 20 0 BFADHN Cc1c(Cl)ccc(CNC[C@H](C)O)c1Cl ZINC000921469522 642622686 /nfs/dbraw/zinc/62/26/86/642622686.db2.gz IVCCOGMZMHPSMI-ZETCQYMHSA-N 0 3 248.153 2.772 20 0 BFADHN CCCCCNCc1c(Cl)n[nH]c1CC ZINC000921474738 642633284 /nfs/dbraw/zinc/63/32/84/642633284.db2.gz GPDUYWGLFCEULA-UHFFFAOYSA-N 0 3 229.755 2.905 20 0 BFADHN CC(C)Oc1ccnc(CN(C)C2CCC2)c1 ZINC000649542015 642674745 /nfs/dbraw/zinc/67/47/45/642674745.db2.gz RQBIHSFCQVBCBM-UHFFFAOYSA-N 0 3 234.343 2.853 20 0 BFADHN FC1(F)CC(CCNCc2nccs2)C1 ZINC000651596938 642767210 /nfs/dbraw/zinc/76/72/10/642767210.db2.gz GLPAUMUZCHUAQG-UHFFFAOYSA-N 0 3 232.299 2.668 20 0 BFADHN CCCCCCNC(=O)CN(CCC)C1CC1 ZINC000170901115 642832770 /nfs/dbraw/zinc/83/27/70/642832770.db2.gz YAGDJFXGHUIYJN-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1cccc([C@H](O)CN[C@@H](C)c2ccoc2)c1 ZINC000181405536 642868887 /nfs/dbraw/zinc/86/88/87/642868887.db2.gz DDLHVZLUPYRXPI-SWLSCSKDSA-N 0 3 245.322 2.972 20 0 BFADHN CCCCCCN[C@H](C)c1nccn1C ZINC000070007429 642953928 /nfs/dbraw/zinc/95/39/28/642953928.db2.gz XTPFQUAQJXFZRJ-LLVKDONJSA-N 0 3 209.337 2.651 20 0 BFADHN C[C@H](NC[C@H](O)c1ccco1)c1ccsc1 ZINC000070797460 643070371 /nfs/dbraw/zinc/07/03/71/643070371.db2.gz YTCMASBQNQQBGI-ONGXEEELSA-N 0 3 237.324 2.725 20 0 BFADHN CCCCOCCN[C@H](c1ccccn1)C1CC1 ZINC000932376868 643189421 /nfs/dbraw/zinc/18/94/21/643189421.db2.gz FCWAWCOPMPRQEJ-HNNXBMFYSA-N 0 3 248.370 2.939 20 0 BFADHN C[C@@H](Cc1ccccc1Cl)NCc1ncc[nH]1 ZINC000049714930 643221267 /nfs/dbraw/zinc/22/12/67/643221267.db2.gz QVEUMOYLZHQXJB-JTQLQIEISA-N 0 3 249.745 2.784 20 0 BFADHN Cc1ccsc1CNC/C=C/Cl ZINC000235807678 643496638 /nfs/dbraw/zinc/49/66/38/643496638.db2.gz USYZQLNKWHAGAY-DUXPYHPUSA-N 0 3 201.722 2.899 20 0 BFADHN Cc1cc(N[C@@H]2CC[C@H](C)C[C@@H]2C)nc(N)n1 ZINC000083532327 643585071 /nfs/dbraw/zinc/58/50/71/643585071.db2.gz SXGTYLLQZGRRMM-ATZCPNFKSA-N 0 3 234.347 2.604 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1c(Cl)n[nH]c1C1CC1 ZINC000921528602 643588595 /nfs/dbraw/zinc/58/85/95/643588595.db2.gz GQSJMPDALJJVNO-VXNVDRBHSA-N 0 3 239.750 2.686 20 0 BFADHN Cc1cc(N2CCC[C@@H](C(C)C)CC2)nc(N)n1 ZINC000083604646 643596400 /nfs/dbraw/zinc/59/64/00/643596400.db2.gz JRPHEWHPKOHUIN-GFCCVEGCSA-N 0 3 248.374 2.630 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1ncc(Cl)n1C ZINC000083864951 643627697 /nfs/dbraw/zinc/62/76/97/643627697.db2.gz VLUBAARNNJJPEV-UWVGGRQHSA-N 0 3 243.782 2.988 20 0 BFADHN CO[C@H]1CCC[C@@H]1NCc1cccc(F)c1F ZINC000050373085 643693637 /nfs/dbraw/zinc/69/36/37/643693637.db2.gz JRKNRJISEBLBDK-RYUDHWBXSA-N 0 3 241.281 2.622 20 0 BFADHN CCC[C@@H]1C[C@@H]1NCc1c(Cl)n[nH]c1CC ZINC000921808021 643799321 /nfs/dbraw/zinc/79/93/21/643799321.db2.gz XNUJZCCJWFSAGG-KCJUWKMLSA-N 0 3 241.766 2.904 20 0 BFADHN Cn1cc(CN[C@H]2CC23CC3)c(C2CCCC2)n1 ZINC000922198217 643901046 /nfs/dbraw/zinc/90/10/46/643901046.db2.gz POXVCQPRXQFJEL-ZDUSSCGKSA-N 0 3 245.370 2.720 20 0 BFADHN O=c1[nH]c2cccc(CNCC3=CCCC3)c2o1 ZINC000922261141 643929841 /nfs/dbraw/zinc/92/98/41/643929841.db2.gz OIDLXUUFMYAEKK-UHFFFAOYSA-N 0 3 244.294 2.733 20 0 BFADHN c1nc(CNCC[C@@H]2C[C@H]2C2CC2)cn1C1CC1 ZINC000922423562 643990174 /nfs/dbraw/zinc/99/01/74/643990174.db2.gz GOEOMGCWZVQHNA-DOMZBBRYSA-N 0 3 245.370 2.744 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NCc1ccncc1Cl ZINC000922509492 644007768 /nfs/dbraw/zinc/00/77/68/644007768.db2.gz OKPMDYSBJWJTBP-GMTLJSCSSA-N 0 3 236.746 2.869 20 0 BFADHN CC[C@H](C)CN[C@@H](c1ncccn1)C1CC1 ZINC000922578654 644032827 /nfs/dbraw/zinc/03/28/27/644032827.db2.gz DAZNPCZPBKJZAV-CMPLNLGQSA-N 0 3 219.332 2.563 20 0 BFADHN Cc1[nH]nc(CNC(C)(C)/C=C/Cl)c1C ZINC000922645633 644051245 /nfs/dbraw/zinc/05/12/45/644051245.db2.gz TWDCCTZPQPQAQB-AATRIKPKSA-N 0 3 227.739 2.647 20 0 BFADHN Cc1ccc2oc(CN[C@H]3COC[C@@H]3C)cc2c1 ZINC000922642536 644058409 /nfs/dbraw/zinc/05/84/09/644058409.db2.gz XRBIUMMJOGOMHY-FZMZJTMJSA-N 0 3 245.322 2.866 20 0 BFADHN Cc1cc(F)ccc1[C@@H](C)NCc1cnc[nH]1 ZINC000922667149 644063295 /nfs/dbraw/zinc/06/32/95/644063295.db2.gz IXARPDXFHZWGOX-SNVBAGLBSA-N 0 3 233.290 2.708 20 0 BFADHN C1=CCC(CCNCc2cc3n(n2)CCCC3)C1 ZINC000922735652 644078344 /nfs/dbraw/zinc/07/83/44/644078344.db2.gz TWMXQJDXZPBJEE-UHFFFAOYSA-N 0 3 245.370 2.665 20 0 BFADHN CC(C)c1c[nH]c(CNCCC2CC=CC2)n1 ZINC000922733889 644082491 /nfs/dbraw/zinc/08/24/91/644082491.db2.gz CMTXUSSKFZMTNT-UHFFFAOYSA-N 0 3 233.359 2.979 20 0 BFADHN Cc1coc(CNC[C@]2(C)CCCCO2)c1 ZINC000922819810 644108720 /nfs/dbraw/zinc/10/87/20/644108720.db2.gz ZQAHURPVNRGLHV-ZDUSSCGKSA-N 0 3 223.316 2.637 20 0 BFADHN Cc1ccoc1CNC[C@@]1(C)CCCCO1 ZINC000922821070 644110565 /nfs/dbraw/zinc/11/05/65/644110565.db2.gz UOOKYVOVESBKMZ-CYBMUJFWSA-N 0 3 223.316 2.637 20 0 BFADHN Cc1csc(CNC[C@@H]2Cc3ccccc32)n1 ZINC000079055206 644149395 /nfs/dbraw/zinc/14/93/95/644149395.db2.gz NSVZXGHEEIFSQS-LBPRGKRZSA-N 0 3 244.363 2.881 20 0 BFADHN CC(C)OC[C@H](C)NCc1cccc2c1OCC2 ZINC000922944137 644197572 /nfs/dbraw/zinc/19/75/72/644197572.db2.gz KUXDGBXBBVIXHH-LBPRGKRZSA-N 0 3 249.354 2.525 20 0 BFADHN CC1(C)CCC([NH2+]Cc2cncc([O-])c2)CC1 ZINC000922975297 644205307 /nfs/dbraw/zinc/20/53/07/644205307.db2.gz FABYBCFOKCMUPL-UHFFFAOYSA-N 0 3 234.343 2.846 20 0 BFADHN CC[C@H]1CCC[C@H]([NH2+]Cc2cncc([O-])c2)C1 ZINC000922975896 644206511 /nfs/dbraw/zinc/20/65/11/644206511.db2.gz NLHDNBXCRRRUDL-AAEUAGOBSA-N 0 3 234.343 2.846 20 0 BFADHN C[C@@H]1CCCC[C@@H]1C[NH2+]Cc1cncc([O-])c1 ZINC000922975542 644207181 /nfs/dbraw/zinc/20/71/81/644207181.db2.gz IDEWVGVJAGSBKD-DGCLKSJQSA-N 0 3 234.343 2.703 20 0 BFADHN C[C@H]1CN(CCCC(C)(F)F)CC(C)(C)O1 ZINC000929348470 644236509 /nfs/dbraw/zinc/23/65/09/644236509.db2.gz RVJBDBVIXXEBJB-JTQLQIEISA-N 0 3 235.318 2.921 20 0 BFADHN Cc1ccc(CNC2([C@H]3CCCCO3)CC2)cn1 ZINC000923031863 644238832 /nfs/dbraw/zinc/23/88/32/644238832.db2.gz YSNRMFUNVAQKKK-CQSZACIVSA-N 0 3 246.354 2.581 20 0 BFADHN CCCN(Cc1nn(C)cc1C)CC(C)C ZINC000929389942 644449741 /nfs/dbraw/zinc/44/97/41/644449741.db2.gz XCBJSSVQQMBGGF-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN CC[C@]1(NCc2cccc(F)c2F)CCOC1 ZINC000383073085 644535471 /nfs/dbraw/zinc/53/54/71/644535471.db2.gz GKTUPUYOOXPUBE-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@H](C)C(C)(C)C)n1 ZINC000096610171 644826952 /nfs/dbraw/zinc/82/69/52/644826952.db2.gz FNXXTYUMSBKDEY-JTQLQIEISA-N 0 3 236.359 2.867 20 0 BFADHN CCCCOC(=O)[C@@H](C)N(CCC)CC1CC1 ZINC000303938902 644857797 /nfs/dbraw/zinc/85/77/97/644857797.db2.gz SFZGQVFYNBWLOD-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN CCCOC(=O)[C@@H](C)N1CCCC[C@H]1C(C)C ZINC000303938847 644857992 /nfs/dbraw/zinc/85/79/92/644857992.db2.gz SFQNEHWQIDRQHH-OLZOCXBDSA-N 0 3 241.375 2.839 20 0 BFADHN Cc1cc(N[C@H]2C[C@H](C)C[C@H](C)C2)nc(N)n1 ZINC000252662367 644873309 /nfs/dbraw/zinc/87/33/09/644873309.db2.gz YCTDUHOOEVOBMY-JZYVYDRUSA-N 0 3 234.347 2.604 20 0 BFADHN CCCN(CCC)CN1C[C@@](C)(CC)CC1=O ZINC000808136832 644874678 /nfs/dbraw/zinc/87/46/78/644874678.db2.gz JMLRFJNOPFZJSB-AWEZNQCLSA-N 0 3 240.391 2.715 20 0 BFADHN CC[C@]1(C)CC(=O)N(CN(C)[C@@H](C)C(C)C)C1 ZINC000808139421 644876830 /nfs/dbraw/zinc/87/68/30/644876830.db2.gz GPSUZEXPPDYKKL-GXTWGEPZSA-N 0 3 240.391 2.569 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCC=CO2)C[C@H](CC)O1 ZINC000817412500 644979357 /nfs/dbraw/zinc/97/93/57/644979357.db2.gz LXCXEGLBRPRCLX-MJBXVCDLSA-N 0 3 239.359 2.569 20 0 BFADHN CCn1nc(C)c(CNC(CC)(CC)CC)n1 ZINC000823882321 645051078 /nfs/dbraw/zinc/05/10/78/645051078.db2.gz LZGORQVVRLTASI-UHFFFAOYSA-N 0 3 238.379 2.665 20 0 BFADHN Fc1c(CN[C@H]2[C@@H]3CCC[C@@H]32)ccnc1Cl ZINC000827481379 645079656 /nfs/dbraw/zinc/07/96/56/645079656.db2.gz MHDNOIGFDIKXGE-JZYVYDRUSA-N 0 3 240.709 2.762 20 0 BFADHN CC[C@@H](C)COC(=O)c1cccc(CN(C)C)c1 ZINC000835268606 645166143 /nfs/dbraw/zinc/16/61/43/645166143.db2.gz ALJFYVLQZNNLAA-GFCCVEGCSA-N 0 3 249.354 2.951 20 0 BFADHN CCN(CC)CC(=O)N1CCCCCCCC1 ZINC000836924081 645180951 /nfs/dbraw/zinc/18/09/51/645180951.db2.gz ZGZMOMGMNCRYJE-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN CC(C)=CC(=O)OCCN(C)Cc1ccccc1 ZINC000837331909 645195485 /nfs/dbraw/zinc/19/54/85/645195485.db2.gz WMDWFPFIBFNXRQ-UHFFFAOYSA-N 0 3 247.338 2.628 20 0 BFADHN CSC1CC(NCc2cnc(C3CC3)o2)C1 ZINC000926545319 645268990 /nfs/dbraw/zinc/26/89/90/645268990.db2.gz JOQYVENVOHSDLS-UHFFFAOYSA-N 0 3 238.356 2.536 20 0 BFADHN C[C@H](N[C@H](C1CC1)C1CCC1)c1ncco1 ZINC000926558237 645278336 /nfs/dbraw/zinc/27/83/36/645278336.db2.gz IBLYTZXDSGNQLE-CABZTGNLSA-N 0 3 220.316 2.904 20 0 BFADHN C[C@H]1C[C@@H](NC2(C3CCC3)CCC2)c2ncnn21 ZINC000926574245 645292159 /nfs/dbraw/zinc/29/21/59/645292159.db2.gz UGMVLJWAALPONQ-CMPLNLGQSA-N 0 3 246.358 2.596 20 0 BFADHN COc1cc([C@H](C)NC[C@H](C)CC(F)F)on1 ZINC000926627857 645312447 /nfs/dbraw/zinc/31/24/47/645312447.db2.gz FWHCOGFCLBNJTG-SFYZADRCSA-N 0 3 248.273 2.625 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1ccnn1CC1CCC1 ZINC000926613699 645314650 /nfs/dbraw/zinc/31/46/50/645314650.db2.gz DQBXZIYMMDULIG-SMDDNHRTSA-N 0 3 245.370 2.886 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CCC[C@H](C2CC2)C1 ZINC000926616282 645316319 /nfs/dbraw/zinc/31/63/19/645316319.db2.gz HPETXRCONTVNBX-TVYUQYBPSA-N 0 3 249.358 2.997 20 0 BFADHN CC(=O)CCN1CC[C@](C)(c2cccc(F)c2)C1 ZINC000844360517 645321127 /nfs/dbraw/zinc/32/11/27/645321127.db2.gz OHYOGNXGHLURLQ-HNNXBMFYSA-N 0 3 249.329 2.768 20 0 BFADHN C[C@H]1CN(CCF)CCc2ccccc21 ZINC000844425046 645330152 /nfs/dbraw/zinc/33/01/52/645330152.db2.gz NVULPFXMSZOECF-NSHDSACASA-N 0 3 207.292 2.618 20 0 BFADHN CCn1nc(C)c([C@@H](C)N[C@H](C)C(C)(C)C)n1 ZINC000926657003 645333190 /nfs/dbraw/zinc/33/31/90/645333190.db2.gz GHNMFKVIHFZZQL-MWLCHTKSSA-N 0 3 238.379 2.692 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@H](C)C(C)(C)C)nn1 ZINC000926662104 645341929 /nfs/dbraw/zinc/34/19/29/645341929.db2.gz YVEFDDFNJKJKIB-GHMZBOCLSA-N 0 3 238.379 2.944 20 0 BFADHN CC[C@@H]1COC[C@@H]1NCc1cccc(C)c1OC ZINC000926665203 645345413 /nfs/dbraw/zinc/34/54/13/645345413.db2.gz WOZBIMUZCVYHBA-OCCSQVGLSA-N 0 3 249.354 2.518 20 0 BFADHN CC(C)[C@H](N[C@H](C)c1ncco1)C1CC1 ZINC000926721488 645382704 /nfs/dbraw/zinc/38/27/04/645382704.db2.gz YGSZLEOZXIIRFW-KOLCDFICSA-N 0 3 208.305 2.760 20 0 BFADHN COc1ccnc([C@H](C)N[C@]2(C)CC2(C)C)c1 ZINC000926720990 645383034 /nfs/dbraw/zinc/38/30/34/645383034.db2.gz FTCNDGYASPKKSX-IINYFYTJSA-N 0 3 234.343 2.929 20 0 BFADHN CCN(Cc1cc(C)oc1C)[C@@H]1CCCOC1 ZINC000846053726 645393154 /nfs/dbraw/zinc/39/31/54/645393154.db2.gz XEOQSIHXSGHJGL-CQSZACIVSA-N 0 3 237.343 2.897 20 0 BFADHN CC(C)CC[C@@H](CO)N[C@@H](C)c1ccns1 ZINC000926752627 645402442 /nfs/dbraw/zinc/40/24/42/645402442.db2.gz HGMOPLBCHDSWCS-QWRGUYRKSA-N 0 3 242.388 2.591 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2ccns2)C1 ZINC000926790981 645434935 /nfs/dbraw/zinc/43/49/35/645434935.db2.gz PKUKHADFICRUJB-IWSPIJDZSA-N 0 3 228.386 2.688 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2c(C)noc2C)C1 ZINC000926791977 645435736 /nfs/dbraw/zinc/43/57/36/645435736.db2.gz WKYIRXDFAAOMEO-AVPPRXQKSA-N 0 3 240.372 2.836 20 0 BFADHN Cc1nonc1CN[C@H]1CCC[C@@H]1C(C)(C)C ZINC000926839550 645448680 /nfs/dbraw/zinc/44/86/80/645448680.db2.gz JYPWMDMLBKYDIU-QWRGUYRKSA-N 0 3 237.347 2.682 20 0 BFADHN COc1cc([C@@H](C)NC[C@]2(C)CC2(C)C)on1 ZINC000926843319 645454432 /nfs/dbraw/zinc/45/44/32/645454432.db2.gz VCUWTJASLGKOMP-RNCFNFMXSA-N 0 3 238.331 2.770 20 0 BFADHN COc1cc([C@H](C)NC2(C3CC3)CCC2)on1 ZINC000926874311 645468684 /nfs/dbraw/zinc/46/86/84/645468684.db2.gz OHTQDCDWOGAVFH-VIFPVBQESA-N 0 3 236.315 2.667 20 0 BFADHN Cc1nonc1[C@@H](C)NC1CCC=CCC1 ZINC000926877848 645470741 /nfs/dbraw/zinc/47/07/41/645470741.db2.gz PUZYPKFPSOZABV-SECBINFHSA-N 0 3 221.304 2.527 20 0 BFADHN C[C@H](NC1CCC=CCC1)c1ncco1 ZINC000926877764 645472041 /nfs/dbraw/zinc/47/20/41/645472041.db2.gz OUWGKNXVAHPVLQ-JTQLQIEISA-N 0 3 206.289 2.824 20 0 BFADHN Cc1cc([C@@H](C)NCCC2CC=CC2)no1 ZINC000926952517 645499292 /nfs/dbraw/zinc/49/92/92/645499292.db2.gz ZLXAQDSTTKTTBU-LLVKDONJSA-N 0 3 220.316 2.990 20 0 BFADHN Cn1nc(CNC2CC(C)(C)C2)cc1C1CC1 ZINC000927108348 645551162 /nfs/dbraw/zinc/55/11/62/645551162.db2.gz NCEKSQSRSKVOMI-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN CCC[C@@H](C)NCc1cc(C2CC2)n(C)n1 ZINC000927113605 645552377 /nfs/dbraw/zinc/55/23/77/645552377.db2.gz KRWIXWOOMVGFJO-SNVBAGLBSA-N 0 3 221.348 2.576 20 0 BFADHN CC(C)C1CC(NCc2cc(C3CC3)n(C)n2)C1 ZINC000927109658 645552826 /nfs/dbraw/zinc/55/28/26/645552826.db2.gz UZAZDTJFBRFNJX-UHFFFAOYSA-N 0 3 247.386 2.822 20 0 BFADHN C[C@@H]1C[C@H](NCC2CCC=CCC2)c2ncnn21 ZINC000927120514 645554942 /nfs/dbraw/zinc/55/49/42/645554942.db2.gz GHYJILMBXILJHU-YPMHNXCESA-N 0 3 246.358 2.620 20 0 BFADHN CCOc1cc(F)cc(CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000877650749 645805780 /nfs/dbraw/zinc/80/57/80/645805780.db2.gz UIWJKDDIPPDWBT-TXEJJXNPSA-N 0 3 235.302 2.676 20 0 BFADHN C[C@@H](NC[C@@H]1CC2(CCC2)CO1)c1ccoc1 ZINC000878422917 645812555 /nfs/dbraw/zinc/81/25/55/645812555.db2.gz OBQLGDLKCJFJQL-YPMHNXCESA-N 0 3 235.327 2.889 20 0 BFADHN CC[C@@H](NC/C=C(/C)C(=O)OC)c1ccccc1 ZINC000223625234 645901510 /nfs/dbraw/zinc/90/15/10/645901510.db2.gz INKQQOSJDAGJED-GAJOTYCWSA-N 0 3 247.338 2.847 20 0 BFADHN Cc1cn(C)nc1CN1CCC[C@H](C(C)(C)C)C1 ZINC000930296525 645949997 /nfs/dbraw/zinc/94/99/97/645949997.db2.gz PUCHKPKRJFLVRO-ZDUSSCGKSA-N 0 3 249.402 2.987 20 0 BFADHN c1cc(CCN2CCc3ccccc3C2)sn1 ZINC000929126697 645957687 /nfs/dbraw/zinc/95/76/87/645957687.db2.gz WFSADKKNAGMJLW-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN COC(=O)/C=C(/C)CN(C)[C@@H](C)c1ccccc1 ZINC000929175650 645986370 /nfs/dbraw/zinc/98/63/70/645986370.db2.gz VBAZBIZEXXLYAO-UKVQZPPCSA-N 0 3 247.338 2.799 20 0 BFADHN CCc1onc(C)c1CN(C)[C@@H](C)C1CC1 ZINC000929203692 646004129 /nfs/dbraw/zinc/00/41/29/646004129.db2.gz NOMKPEBIEGNCOO-JTQLQIEISA-N 0 3 222.332 2.776 20 0 BFADHN Cc1nnsc1[C@@H](C)N1CCCCCC1 ZINC000929233136 646023841 /nfs/dbraw/zinc/02/38/41/646023841.db2.gz DZAWTFRPXHRZQI-SNVBAGLBSA-N 0 3 225.361 2.784 20 0 BFADHN CC[C@@H]1C[C@H]1CN(CCOC)Cc1ccco1 ZINC000929258090 646038806 /nfs/dbraw/zinc/03/88/06/646038806.db2.gz UCJBPRMQLNEDOI-OLZOCXBDSA-N 0 3 237.343 2.774 20 0 BFADHN CC[C@@](O)(CN(C(C)C)C1CC1)C(F)(F)F ZINC000929274677 646054645 /nfs/dbraw/zinc/05/46/45/646054645.db2.gz ITQBGVBRJUSTAE-SNVBAGLBSA-N 0 3 239.281 2.563 20 0 BFADHN COc1cc([C@@H](C)NCC2CCCCC2)on1 ZINC000924537941 646056830 /nfs/dbraw/zinc/05/68/30/646056830.db2.gz DAKDPEKDUWJTRL-SNVBAGLBSA-N 0 3 238.331 2.914 20 0 BFADHN C[C@H](NCCc1ccco1)c1ccns1 ZINC000924557064 646069417 /nfs/dbraw/zinc/06/94/17/646069417.db2.gz XMYDRLUPWYVLDH-VIFPVBQESA-N 0 3 222.313 2.629 20 0 BFADHN COc1cc([C@H](C)NCCCC(C)C)on1 ZINC000924604706 646072388 /nfs/dbraw/zinc/07/23/88/646072388.db2.gz XVIZDGPLWWDWCB-JTQLQIEISA-N 0 3 226.320 2.770 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N[C@@H](C)c1nonc1C ZINC000924620101 646079339 /nfs/dbraw/zinc/07/93/39/646079339.db2.gz LNWZCPRSFSUGOL-WCQGTBRESA-N 0 3 237.347 2.997 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2ccns2)o1 ZINC000924654183 646085713 /nfs/dbraw/zinc/08/57/13/646085713.db2.gz GGGBIPLCIAWRHQ-SNVBAGLBSA-N 0 3 236.340 2.938 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1nn(CC)nc1C ZINC000924772988 646096962 /nfs/dbraw/zinc/09/69/62/646096962.db2.gz GQQUMTFKZCRIRC-HBNTYKKESA-N 0 3 238.379 2.692 20 0 BFADHN CC[C@H](C[C@@](C)(O)CC)NCc1nccs1 ZINC000924728462 646098291 /nfs/dbraw/zinc/09/82/91/646098291.db2.gz IJCMWNONOXCDCV-PWSUYJOCSA-N 0 3 242.388 2.562 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](C)c1nn(CC)nc1C ZINC000924772987 646103992 /nfs/dbraw/zinc/10/39/92/646103992.db2.gz GQQUMTFKZCRIRC-GARJFASQSA-N 0 3 238.379 2.692 20 0 BFADHN Cc1nonc1[C@H](C)N[C@H]1[C@H](C)CCC[C@@H]1C ZINC000924801630 646109874 /nfs/dbraw/zinc/10/98/74/646109874.db2.gz GTTAXWYZJJAIBL-MIZYBKAJSA-N 0 3 237.347 2.853 20 0 BFADHN C[C@H](NC(C1CC1)C1CC1)c1ncco1 ZINC000924804452 646113537 /nfs/dbraw/zinc/11/35/37/646113537.db2.gz PMOBHIHXYBGKIX-QMMMGPOBSA-N 0 3 206.289 2.514 20 0 BFADHN C[C@@H](c1ccc(F)nc1)N1CC[C@@H](C)C1 ZINC000929461120 646123870 /nfs/dbraw/zinc/12/38/70/646123870.db2.gz FFTGUCDYKXFYMG-ZJUUUORDSA-N 0 3 208.280 2.624 20 0 BFADHN COCC[C@H](C)[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000924892779 646125782 /nfs/dbraw/zinc/12/57/82/646125782.db2.gz XKRSOHLGXBADKB-UWVGGRQHSA-N 0 3 241.306 2.607 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@H](C)C1(Cl)CC1 ZINC000924948750 646137628 /nfs/dbraw/zinc/13/76/28/646137628.db2.gz JBEBCOFJGDPYRB-WCBMZHEXSA-N 0 3 241.766 2.539 20 0 BFADHN CCCN(C[C@@](O)(CC)C(F)(F)F)C1CC1 ZINC000929515571 646165930 /nfs/dbraw/zinc/16/59/30/646165930.db2.gz GNZLBMXBSNKXKK-JTQLQIEISA-N 0 3 239.281 2.564 20 0 BFADHN CSC[C@@H](C)[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000925099191 646184895 /nfs/dbraw/zinc/18/48/95/646184895.db2.gz WVRUBXZDSZARIC-RKDXNWHRSA-N 0 3 243.347 2.933 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccns1)C(C)(C)C ZINC000925188538 646203285 /nfs/dbraw/zinc/20/32/85/646203285.db2.gz LESPNNJDCVQZPF-GXSJLCMTSA-N 0 3 242.388 2.855 20 0 BFADHN CC[C@H]1CN(CCCc2cccnc2)C[C@H](C)O1 ZINC000929621776 646207640 /nfs/dbraw/zinc/20/76/40/646207640.db2.gz RKINOHIQVGDVTO-ZFWWWQNUSA-N 0 3 248.370 2.514 20 0 BFADHN CCCC[C@@H](CCC)N[C@H](C)c1cnn(C)n1 ZINC000925214967 646211533 /nfs/dbraw/zinc/21/15/33/646211533.db2.gz AXFYIEOSANOVRE-VXGBXAGGSA-N 0 3 238.379 2.825 20 0 BFADHN CC(F)(F)CCCN1CCN(C2CCC2)CC1 ZINC000929640500 646215311 /nfs/dbraw/zinc/21/53/11/646215311.db2.gz VCLDSLHKODALAG-UHFFFAOYSA-N 0 3 246.345 2.592 20 0 BFADHN CCOc1cc(C)ccc1CN[C@@H]1COC[C@@H]1C ZINC000925261866 646223025 /nfs/dbraw/zinc/22/30/25/646223025.db2.gz JVJYOBYYHUISCB-GXTWGEPZSA-N 0 3 249.354 2.518 20 0 BFADHN C[C@@H]1SCCN(CCc2ccns2)[C@H]1C ZINC000929674450 646235409 /nfs/dbraw/zinc/23/54/09/646235409.db2.gz KKGFQVPMDLVKML-UWVGGRQHSA-N 0 3 242.413 2.511 20 0 BFADHN C[C@H]([NH2+]C1CCC1)c1cc([O-])cc(F)c1 ZINC000925375059 646254673 /nfs/dbraw/zinc/25/46/73/646254673.db2.gz AOIMVOPIEIITAP-QMMMGPOBSA-N 0 3 209.264 2.734 20 0 BFADHN C[C@@H]1CN(CCc2ccns2)C[C@@H](C)S1 ZINC000929725474 646273211 /nfs/dbraw/zinc/27/32/11/646273211.db2.gz IQERDOPWJPKLLB-NXEZZACHSA-N 0 3 242.413 2.511 20 0 BFADHN Cc1cc(C)nc(CN(C)C2CCC2)c1 ZINC000929720685 646274604 /nfs/dbraw/zinc/27/46/04/646274604.db2.gz RKHLTHOKPXNSHH-UHFFFAOYSA-N 0 3 204.317 2.683 20 0 BFADHN Cc1n[nH]cc1CN[C@H]1CCc2cccc(C)c21 ZINC000925460092 646286991 /nfs/dbraw/zinc/28/69/91/646286991.db2.gz JMPZIZRXCFVJAD-AWEZNQCLSA-N 0 3 241.338 2.804 20 0 BFADHN CCc1ncc(CN2C[C@H](C)CC[C@H]2C)o1 ZINC000929758183 646292655 /nfs/dbraw/zinc/29/26/55/646292655.db2.gz XEESBNUPJPFZFK-GHMZBOCLSA-N 0 3 222.332 2.857 20 0 BFADHN CCC[C@@H]1C[C@@H]1N[C@H](C)c1ccns1 ZINC000925486386 646297550 /nfs/dbraw/zinc/29/75/50/646297550.db2.gz RMSQMFJAMFYYKM-BBBLOLIVSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@@H]([NH2+]C1CC(C)C1)c1cc([O-])cc(F)c1 ZINC000925486483 646297725 /nfs/dbraw/zinc/29/77/25/646297725.db2.gz HIGUQXZDBTXREZ-NBBOBHDQSA-N 0 3 223.291 2.980 20 0 BFADHN CCC1(CN[C@@H](C)c2cn(C(C)C)nn2)CC1 ZINC000925499301 646303831 /nfs/dbraw/zinc/30/38/31/646303831.db2.gz WZHIXZASZGRRSK-NSHDSACASA-N 0 3 236.363 2.700 20 0 BFADHN c1ccc([C@H]2CCN2CCOC2CC2)cc1 ZINC000929790246 646303959 /nfs/dbraw/zinc/30/39/59/646303959.db2.gz VSHHPLHVWIVBEQ-CQSZACIVSA-N 0 3 217.312 2.612 20 0 BFADHN C[C@@H](N[C@@H]1COC[C@@H]1C)c1ccc(F)cc1F ZINC000925510680 646308933 /nfs/dbraw/zinc/30/89/33/646308933.db2.gz ZUNALMGNJRMLHE-IGJMFERPSA-N 0 3 241.281 2.650 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1c(C)noc1C ZINC000925602955 646346798 /nfs/dbraw/zinc/34/67/98/646346798.db2.gz ZOYPUPFBXOJCCU-SFYZADRCSA-N 0 3 228.361 2.694 20 0 BFADHN COC/C(C)=C/CN1CCC(C(F)F)CC1 ZINC000929845042 646354756 /nfs/dbraw/zinc/35/47/56/646354756.db2.gz ACZDMLTYKBCSNO-XCVCLJGOSA-N 0 3 233.302 2.556 20 0 BFADHN CCSc1ccccc1CN(C)[C@@H](C)CO ZINC000930086427 646426874 /nfs/dbraw/zinc/42/68/74/646426874.db2.gz MJJKKUVPJXXFPD-NSHDSACASA-N 0 3 239.384 2.611 20 0 BFADHN Cc1cc(C)nc(CN2CC=C(C)CC2)c1 ZINC000930094014 646430246 /nfs/dbraw/zinc/43/02/46/646430246.db2.gz IDSUOPGYMLSCEI-UHFFFAOYSA-N 0 3 216.328 2.850 20 0 BFADHN COC/C(C)=C/CN1CCC[C@H]1c1cccnc1 ZINC000930115303 646440335 /nfs/dbraw/zinc/44/03/35/646440335.db2.gz MKXRNKXWDBCKJE-COSNYCCFSA-N 0 3 246.354 2.811 20 0 BFADHN COC/C(C)=C\CN1CCC[C@@H]1c1cccnc1 ZINC000930115304 646440523 /nfs/dbraw/zinc/44/05/23/646440523.db2.gz MKXRNKXWDBCKJE-LPYTZGJOSA-N 0 3 246.354 2.811 20 0 BFADHN CCc1ncc(CN2CC[C@H](C)[C@@H](C)C2)o1 ZINC000930145610 646459075 /nfs/dbraw/zinc/45/90/75/646459075.db2.gz KJOHOJPWYBJEKN-QWRGUYRKSA-N 0 3 222.332 2.715 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cc(N)ccn2)cc1 ZINC000925769648 646471579 /nfs/dbraw/zinc/47/15/79/646471579.db2.gz BNMMZYPIVFVVMS-GFCCVEGCSA-N 0 3 241.338 2.823 20 0 BFADHN Cc1cc([C@H](C)NCc2cc(N)ccn2)c(C)o1 ZINC000925771625 646472234 /nfs/dbraw/zinc/47/22/34/646472234.db2.gz NLGQGZWOJUMTEK-JTQLQIEISA-N 0 3 245.326 2.724 20 0 BFADHN CC[C@@H](NCc1cc(N)ccn1)c1ccccc1 ZINC000925772570 646472433 /nfs/dbraw/zinc/47/24/33/646472433.db2.gz UKUMIPCRYRZRSF-OAHLLOKOSA-N 0 3 241.338 2.905 20 0 BFADHN CCc1ncc(CN(C)[C@H](CC)CSC)o1 ZINC000930178777 646480438 /nfs/dbraw/zinc/48/04/38/646480438.db2.gz ALVPBHRLMSBVKG-SNVBAGLBSA-N 0 3 242.388 2.810 20 0 BFADHN CC[C@H](NCc1ccc(N)nc1)c1ccccc1 ZINC000930188068 646484253 /nfs/dbraw/zinc/48/42/53/646484253.db2.gz KZKHTXWVASJOKW-AWEZNQCLSA-N 0 3 241.338 2.905 20 0 BFADHN CC[C@@H](NCc1ccc(N)nc1)c1ccccc1 ZINC000930188069 646484673 /nfs/dbraw/zinc/48/46/73/646484673.db2.gz KZKHTXWVASJOKW-CQSZACIVSA-N 0 3 241.338 2.905 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CC[C@@H](C)[C@H](C)C1 ZINC000926151854 646583496 /nfs/dbraw/zinc/58/34/96/646583496.db2.gz CISOYWZFXFECOD-MWGHHZFTSA-N 0 3 237.347 2.853 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CCCC(C)(C)C1 ZINC000926242146 646602604 /nfs/dbraw/zinc/60/26/04/646602604.db2.gz VMETVQUNBIDQLQ-GXSJLCMTSA-N 0 3 237.347 2.997 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CCC[C@@H](C)CC1 ZINC000926243294 646602689 /nfs/dbraw/zinc/60/26/89/646602689.db2.gz KDQUOSWHLWACOM-JFGNBEQYSA-N 0 3 237.347 2.997 20 0 BFADHN Cc1nonc1[C@H](C)NCC(C(C)C)C(C)C ZINC000926247430 646604935 /nfs/dbraw/zinc/60/49/35/646604935.db2.gz ASDMGRJLLROHGH-JTQLQIEISA-N 0 3 239.363 2.957 20 0 BFADHN CCOC[C@@H](N[C@@H](C)c1ccns1)C(C)C ZINC000926257935 646606052 /nfs/dbraw/zinc/60/60/52/646606052.db2.gz HMQJYQUUKRQUHT-WDEREUQCSA-N 0 3 242.388 2.855 20 0 BFADHN CCC(C)(C)CN[C@H](C)c1cn(C(C)C)nn1 ZINC000926280455 646609754 /nfs/dbraw/zinc/60/97/54/646609754.db2.gz CLLJQLYSIXHKBI-LLVKDONJSA-N 0 3 238.379 2.946 20 0 BFADHN CC(C)n1cc([C@@H](C)NCCC2(C)CC2)nn1 ZINC000926326735 646617344 /nfs/dbraw/zinc/61/73/44/646617344.db2.gz PKRICJKIAXYCDR-LLVKDONJSA-N 0 3 236.363 2.700 20 0 BFADHN CC[C@H]1CC[C@H](N[C@H]2C[C@H](C)n3ncnc32)CC1 ZINC000926485951 646657808 /nfs/dbraw/zinc/65/78/08/646657808.db2.gz MRTFVJPCGPBBNT-CYDGBPFRSA-N 0 3 248.374 2.842 20 0 BFADHN C[C@@H](F)CCN[C@@H](C)c1cn2c(n1)CCCC2 ZINC000926486406 646658028 /nfs/dbraw/zinc/65/80/28/646658028.db2.gz RBVRWUSMSZRMAW-MNOVXSKESA-N 0 3 239.338 2.618 20 0 BFADHN Cc1nonc1[C@@H](C)NC[C@@H]1CCCC1(C)C ZINC000926492025 646661273 /nfs/dbraw/zinc/66/12/73/646661273.db2.gz WWZLLNYFLXEZAG-KOLCDFICSA-N 0 3 237.347 2.855 20 0 BFADHN CCC[C@@H](N[C@H](C)c1cnn(C)n1)C(C)(C)C ZINC000926513663 646662458 /nfs/dbraw/zinc/66/24/58/646662458.db2.gz BOZQYDVPVRJJKM-ZYHUDNBSSA-N 0 3 238.379 2.681 20 0 BFADHN CC[C@@H]1CCC[C@H]1CN[C@@H](C)c1nonc1C ZINC000926508873 646667206 /nfs/dbraw/zinc/66/72/06/646667206.db2.gz BXBHRWHUPJXVCO-WCQGTBRESA-N 0 3 237.347 2.855 20 0 BFADHN CC[C@@H](C[C@@H](C)O)NCc1ccc(Cl)o1 ZINC000716956888 711589448 /nfs/dbraw/zinc/58/94/48/711589448.db2.gz XFBRRVFBBOKYLA-BDAKNGLRSA-N 0 3 231.723 2.572 20 0 BFADHN CC(C)(F)CNC[C@H](O)c1cccc(Cl)c1 ZINC000872217470 711789290 /nfs/dbraw/zinc/78/92/90/711789290.db2.gz XCYZXAXFPGOCPB-NSHDSACASA-N 0 3 245.725 2.711 20 0 BFADHN Cc1cc(CNC[C@]2(C)CC2(C)C)on1 ZINC000701982765 712034276 /nfs/dbraw/zinc/03/42/76/712034276.db2.gz UIXSXLWXQUHDAY-LBPRGKRZSA-N 0 3 208.305 2.509 20 0 BFADHN CCc1nnc(CNC[C@@H]2CC[C@@H](C)C2)s1 ZINC000702693579 712060138 /nfs/dbraw/zinc/06/01/38/712060138.db2.gz NHAKBBSYGLWSFF-NXEZZACHSA-N 0 3 239.388 2.626 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1c(C)noc1C ZINC000702731545 712060918 /nfs/dbraw/zinc/06/09/18/712060918.db2.gz GSTKCRMQRUMYIS-VIFPVBQESA-N 0 3 240.347 2.757 20 0 BFADHN CO[C@H](CN[C@H](C)c1cncs1)C1CCC1 ZINC000703089781 712066609 /nfs/dbraw/zinc/06/66/09/712066609.db2.gz QHRBXYDWEPXQED-MWLCHTKSSA-N 0 3 240.372 2.609 20 0 BFADHN Cc1ccc([C@H](C)NCCc2ccns2)o1 ZINC000709814329 712228266 /nfs/dbraw/zinc/22/82/66/712228266.db2.gz SNSQFPHNCFAGON-JTQLQIEISA-N 0 3 236.340 2.938 20 0 BFADHN CC1(CNCc2c(F)cc(F)cc2F)CC1 ZINC000378861722 712364067 /nfs/dbraw/zinc/36/40/67/712364067.db2.gz RNOHLQLLFFCFIK-UHFFFAOYSA-N 0 3 229.245 2.994 20 0 BFADHN CSCC[C@H](C)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000379141285 712370120 /nfs/dbraw/zinc/37/01/20/712370120.db2.gz FQSHTKCSBZRKMK-AXFHLTTASA-N 0 3 239.388 2.620 20 0 BFADHN Cc1cc(CNCC[C@@H]2C[C@H]2C2CC2)on1 ZINC000712690959 712379208 /nfs/dbraw/zinc/37/92/08/712379208.db2.gz GMKVEFMEVZXNTF-YPMHNXCESA-N 0 3 220.316 2.509 20 0 BFADHN CCN1CC[C@@H]1CNCc1sccc1Cl ZINC000712588791 712434634 /nfs/dbraw/zinc/43/46/34/712434634.db2.gz YDOXBHIIBZPWQB-SECBINFHSA-N 0 3 244.791 2.585 20 0 BFADHN CCCn1cc([C@@H](C)NCCC=C(C)C)nn1 ZINC000715891084 712540178 /nfs/dbraw/zinc/54/01/78/712540178.db2.gz ACRUQOXRWXQLSH-GFCCVEGCSA-N 0 3 236.363 2.695 20 0 BFADHN CCCn1cc([C@@H](C)NCCC2CCC2)nn1 ZINC000715925103 712543247 /nfs/dbraw/zinc/54/32/47/712543247.db2.gz YZKPAMBGPOYZTH-LLVKDONJSA-N 0 3 236.363 2.529 20 0 BFADHN CCCn1cc([C@@H](C)N[C@@H](C)CC(C)C)nn1 ZINC000715932572 712543480 /nfs/dbraw/zinc/54/34/80/712543480.db2.gz FLMWVVHVOJAKPW-NWDGAFQWSA-N 0 3 238.379 2.773 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H](CC)C2CC2)nn1 ZINC000715937470 712544365 /nfs/dbraw/zinc/54/43/65/712544365.db2.gz UUGXDAJIQLABCE-JQWIXIFHSA-N 0 3 236.363 2.527 20 0 BFADHN CCCn1cc([C@H](C)NCCC2(C)CC2)nn1 ZINC000715949955 712544917 /nfs/dbraw/zinc/54/49/17/712544917.db2.gz KHONPLIWDKCRLZ-NSHDSACASA-N 0 3 236.363 2.529 20 0 BFADHN CC(C)CC(C)(C)CN[C@@H](C)c1cn(C)nn1 ZINC000715930342 712543605 /nfs/dbraw/zinc/54/36/05/712543605.db2.gz UNCZTMKIDDJHMX-NSHDSACASA-N 0 3 238.379 2.538 20 0 BFADHN CCCn1cc([C@@H](C)NCCC(C)(C)C)nn1 ZINC000715935408 712544068 /nfs/dbraw/zinc/54/40/68/712544068.db2.gz OGZFLCUPVOMQKA-LLVKDONJSA-N 0 3 238.379 2.775 20 0 BFADHN Cc1nnsc1[C@H](C)N1CC[C@@H](C2CC2)C1 ZINC000930140598 712641958 /nfs/dbraw/zinc/64/19/58/712641958.db2.gz WORIIAUNAANHCP-GXSJLCMTSA-N 0 3 237.372 2.639 20 0 BFADHN Cc1coc(CN[C@H]2CCC(F)(F)C2)c1 ZINC000718119445 712744357 /nfs/dbraw/zinc/74/43/57/712744357.db2.gz OBKXICWCDGGMLB-VIFPVBQESA-N 0 3 215.243 2.865 20 0 BFADHN C[C@@H]1CCC(C)(C)C[C@@H]1NCc1ccon1 ZINC000720409880 712840551 /nfs/dbraw/zinc/84/05/51/712840551.db2.gz MUNIELUDYQOFHM-PWSUYJOCSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@H]1CCC(C)(C)C[C@H]1NCc1ccon1 ZINC000720409872 712840726 /nfs/dbraw/zinc/84/07/26/712840726.db2.gz MUNIELUDYQOFHM-CMPLNLGQSA-N 0 3 222.332 2.979 20 0 BFADHN COc1ccc(CNC2(C)CC(F)(F)C2)cc1 ZINC000720968656 712884250 /nfs/dbraw/zinc/88/42/50/712884250.db2.gz ZYRQCXXAWMUAIS-UHFFFAOYSA-N 0 3 241.281 2.973 20 0 BFADHN CC(C)n1cc(CNC2(C)CC(F)(F)C2)cn1 ZINC000720971384 712884468 /nfs/dbraw/zinc/88/44/68/712884468.db2.gz KILIKDWFGYPDSA-UHFFFAOYSA-N 0 3 243.301 2.741 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1c(C)cccc1F ZINC000381318157 710623127 /nfs/dbraw/zinc/62/31/27/710623127.db2.gz NURVBDZZPFMKIK-QWRGUYRKSA-N 0 3 225.307 2.647 20 0 BFADHN CSCC[C@H](C)N[C@@H](C)c1cc(C)n(C)n1 ZINC000381360913 710626852 /nfs/dbraw/zinc/62/68/52/710626852.db2.gz FQXTUFBBHHLXNO-ONGXEEELSA-N 0 3 241.404 2.521 20 0 BFADHN Cn1cnc(CNCCCCC(C)(C)C)c1 ZINC000381511722 710648606 /nfs/dbraw/zinc/64/86/06/710648606.db2.gz XAQSTCWBSCRLAS-UHFFFAOYSA-N 0 3 223.364 2.726 20 0 BFADHN CO[C@H]1C[C@@H](CN[C@@H](C)c2ncc(C)s2)C1 ZINC000721897742 710689210 /nfs/dbraw/zinc/68/92/10/710689210.db2.gz SNHSUZFQDGECRG-GARJFASQSA-N 0 3 240.372 2.527 20 0 BFADHN CCc1noc(C)c1[C@H](C)NC[C@@H](C)SC ZINC000381709848 710682024 /nfs/dbraw/zinc/68/20/24/710682024.db2.gz KATDTOMARMJYMI-BDAKNGLRSA-N 0 3 242.388 2.948 20 0 BFADHN CO[C@H]1C[C@@H](CN[C@H](C)c2ncc(C)s2)C1 ZINC000721897746 710688981 /nfs/dbraw/zinc/68/89/81/710688981.db2.gz SNHSUZFQDGECRG-MXWKQRLJSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1nc(C)c(CNCCSC(C)(C)C)o1 ZINC000382046868 710768591 /nfs/dbraw/zinc/76/85/91/710768591.db2.gz LCEUFQPGRCNATR-UHFFFAOYSA-N 0 3 242.388 2.913 20 0 BFADHN CO[C@@H]1CCC[C@@H]1N[C@@H](C)c1c(C)noc1C ZINC000383786074 710931735 /nfs/dbraw/zinc/93/17/35/710931735.db2.gz QCSYENLNUDYTMV-KPXOXKRLSA-N 0 3 238.331 2.510 20 0 BFADHN CC/C=C/CN[C@@H]1CCc2cccnc21 ZINC000384743035 710953109 /nfs/dbraw/zinc/95/31/09/710953109.db2.gz MGJPOYYVNJVQKF-AAOUONPWSA-N 0 3 202.301 2.625 20 0 BFADHN CC(C)C1(O)CN(CCC2=CCCCC2)C1 ZINC000385168554 711007464 /nfs/dbraw/zinc/00/74/64/711007464.db2.gz MALAONJXZKHGMR-UHFFFAOYSA-N 0 3 223.360 2.580 20 0 BFADHN COC(=O)/C(C)=C\CN[C@@H](C)c1cccs1 ZINC000380647896 712902005 /nfs/dbraw/zinc/90/20/05/712902005.db2.gz XCJJLDHWJKFFKR-MBACFSSFSA-N 0 3 239.340 2.518 20 0 BFADHN C[C@H](CCNCc1cn(C)cn1)c1ccccc1 ZINC000386214343 711024522 /nfs/dbraw/zinc/02/45/22/711024522.db2.gz NHSDAHAFZFUIRI-CYBMUJFWSA-N 0 3 243.354 2.704 20 0 BFADHN Cl/C=C\CN[C@H](c1cccnc1)C1CC1 ZINC000390003239 711103668 /nfs/dbraw/zinc/10/36/68/711103668.db2.gz VBXJZJGJFMHEGV-DWMUBGRBSA-N 0 3 222.719 2.875 20 0 BFADHN Cc1cc(CN[C@@H](C)CSC(C)(C)C)[nH]n1 ZINC000390465778 711118980 /nfs/dbraw/zinc/11/89/80/711118980.db2.gz HRXCUIMQVURRNX-JTQLQIEISA-N 0 3 241.404 2.728 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccnc1Cl)C(C)(C)O ZINC000390508135 711122601 /nfs/dbraw/zinc/12/26/01/711122601.db2.gz YBMPAKUNWCLPKF-DTWKUNHWSA-N 0 3 242.750 2.545 20 0 BFADHN O=c1cc(CN[C@@H]2CCCc3occc32)cc[nH]1 ZINC000392804603 711151822 /nfs/dbraw/zinc/15/18/22/711151822.db2.gz TYNLEDNWOKDLIK-GFCCVEGCSA-N 0 3 244.294 2.547 20 0 BFADHN FCCC[C@H]1CCC[C@@H]1NCc1ccon1 ZINC000393753229 711172286 /nfs/dbraw/zinc/17/22/86/711172286.db2.gz UJXZQTRWDVAPPV-PWSUYJOCSA-N 0 3 226.295 2.683 20 0 BFADHN FC1(F)CC[C@@H](N[C@@H]2CCc3cccnc32)C1 ZINC000393842844 711177196 /nfs/dbraw/zinc/17/71/96/711177196.db2.gz AJVLJXRVLPAMPS-GHMZBOCLSA-N 0 3 238.281 2.846 20 0 BFADHN CC1(C)SC[C@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000393887653 711178732 /nfs/dbraw/zinc/17/87/32/711178732.db2.gz UUOJOILUFFWPAN-LLVKDONJSA-N 0 3 241.331 2.515 20 0 BFADHN CCC(CC)(CC)CN[C@H](C)c1nnc(C)[nH]1 ZINC000395161285 711203372 /nfs/dbraw/zinc/20/33/72/711203372.db2.gz UBRKWJHWKKPEGE-SNVBAGLBSA-N 0 3 238.379 2.980 20 0 BFADHN CC/C=C(\C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000395397283 711210712 /nfs/dbraw/zinc/21/07/12/711210712.db2.gz BFPLYLSJLGXNLR-ONNFQVAWSA-N 0 3 230.311 2.585 20 0 BFADHN CC(C)OCC(C)(C)NCc1ccoc1 ZINC000396352853 711219722 /nfs/dbraw/zinc/21/97/22/711219722.db2.gz GWHXEGKMYWICQF-UHFFFAOYSA-N 0 3 211.305 2.573 20 0 BFADHN Cc1ncc(CNCC[C@H]2CC2(Cl)Cl)o1 ZINC000399129759 711421962 /nfs/dbraw/zinc/42/19/62/711421962.db2.gz OEWWDSOKLMBWOG-QMMMGPOBSA-N 0 3 249.141 2.657 20 0 BFADHN CCCc1ccc(NC(=O)[C@H](N)C2CCC2)cc1 ZINC000399243910 711426003 /nfs/dbraw/zinc/42/60/03/711426003.db2.gz VCGKQCZJDPIPKV-CQSZACIVSA-N 0 3 246.354 2.705 20 0 BFADHN Cc1nonc1CN[C@@H]1CCCCC12CCCC2 ZINC000380924419 710595976 /nfs/dbraw/zinc/59/59/76/710595976.db2.gz FAEFKHLACJKSKO-CYBMUJFWSA-N 0 3 249.358 2.971 20 0 BFADHN Cc1ccncc1CN[C@@H](C)c1ccc[nH]1 ZINC000381266289 710614678 /nfs/dbraw/zinc/61/46/78/710614678.db2.gz UEOUZGHJXXFRKN-NSHDSACASA-N 0 3 215.300 2.569 20 0 BFADHN CC1(C)CC[C@@H]1NCc1nc2c(s1)CCC2 ZINC000381471397 710640877 /nfs/dbraw/zinc/64/08/77/710640877.db2.gz MTPVUKSXFCQFFS-NSHDSACASA-N 0 3 236.384 2.910 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C2C[C@H](C)C[C@@H](C)C2)[n-]1 ZINC000392380853 711144836 /nfs/dbraw/zinc/14/48/36/711144836.db2.gz LMNBUARREMHFQO-BBBLOLIVSA-N 0 3 236.363 2.588 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CC[C@@H](C)[C@@H](C)C2)[n-]1 ZINC000392373743 711145284 /nfs/dbraw/zinc/14/52/84/711145284.db2.gz NMGOYKNSRXSQEL-FYLLDIAZSA-N 0 3 236.363 2.588 20 0 BFADHN CC[C@H](C)C[C@H](CC)[NH2+][C@H](C)c1nnc(C)[n-]1 ZINC000392440761 711146669 /nfs/dbraw/zinc/14/66/69/711146669.db2.gz AAFHXJVCLPBIIH-UMNHJUIQSA-N 0 3 238.379 2.979 20 0 BFADHN CC[C@H](C[C@@H](C)CC)[NH2+][C@H](C)c1nnc(C)[n-]1 ZINC000392440758 711146909 /nfs/dbraw/zinc/14/69/09/711146909.db2.gz AAFHXJVCLPBIIH-HOSYDEDBSA-N 0 3 238.379 2.979 20 0 BFADHN CC[C@H](C)C[C@H](CC)[NH2+][C@@H](C)c1nnc(C)[n-]1 ZINC000392440760 711146979 /nfs/dbraw/zinc/14/69/79/711146979.db2.gz AAFHXJVCLPBIIH-NHCYSSNCSA-N 0 3 238.379 2.979 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCC[C@@H](C)CC2)[n-]1 ZINC000392390652 711147117 /nfs/dbraw/zinc/14/71/17/711147117.db2.gz UMRWDXDSHKGARF-JFGNBEQYSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+]C[C@H]2CC(C)=C[C@H](C)C2)[n-]1 ZINC000392390226 711147236 /nfs/dbraw/zinc/14/72/36/711147236.db2.gz UBAPDUADLWINRW-UFGOTCBOSA-N 0 3 248.374 2.756 20 0 BFADHN FC(F)(F)[C@@H]1CC[C@@H](CN2CCCCC2)O1 ZINC000721448347 711497313 /nfs/dbraw/zinc/49/73/13/711497313.db2.gz PKGRIFCPYXNOLL-UWVGGRQHSA-N 0 3 237.265 2.582 20 0 BFADHN Cc1cc(CNCc2cnc[nH]2)ccc1Cl ZINC000134989308 711582739 /nfs/dbraw/zinc/58/27/39/711582739.db2.gz LOKMKCQJFYABIQ-UHFFFAOYSA-N 0 3 235.718 2.661 20 0 BFADHN Cc1nc(C)c(CN2CCCC(C)(C)C2)[nH]1 ZINC000724775939 711613064 /nfs/dbraw/zinc/61/30/64/711613064.db2.gz OGFCEVJRJLFTTM-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1nc(C)c(CN2CCC(C(C)C)CC2)[nH]1 ZINC000724780648 711614034 /nfs/dbraw/zinc/61/40/34/711614034.db2.gz IHJSBXKORXHFRE-UHFFFAOYSA-N 0 3 235.375 2.895 20 0 BFADHN CS[C@H](C)CNCc1nc(C)c(C)s1 ZINC000122051790 711745168 /nfs/dbraw/zinc/74/51/68/711745168.db2.gz GQTKSGVBLUAVIR-SSDOTTSWSA-N 0 3 230.402 2.601 20 0 BFADHN Cc1[nH]nc(CN2CC3(CCC3)[C@@H]2C2CC2)c1C ZINC000894795339 711772210 /nfs/dbraw/zinc/77/22/10/711772210.db2.gz RAOGPMNBRGCDMS-AWEZNQCLSA-N 0 3 245.370 2.791 20 0 BFADHN Cc1[nH]nc(CN2CC3(CCC3)[C@H]2C2CC2)c1C ZINC000894795344 711772247 /nfs/dbraw/zinc/77/22/47/711772247.db2.gz RAOGPMNBRGCDMS-CQSZACIVSA-N 0 3 245.370 2.791 20 0 BFADHN C[C@H](CN[C@@H]1C[C@@H](C)n2ncnc21)C(C)(C)C ZINC000668468295 711813032 /nfs/dbraw/zinc/81/30/32/711813032.db2.gz GHCPRAPNAOVECB-GMTAPVOTSA-N 0 3 236.363 2.556 20 0 BFADHN CCC[C@@H](N)c1cn(-c2ccc(C)c(F)c2)nn1 ZINC000229921233 711839914 /nfs/dbraw/zinc/83/99/14/711839914.db2.gz XCXBSLMXZTWHTD-GFCCVEGCSA-N 0 3 248.305 2.515 20 0 BFADHN CCC1(C)CCN(C/C=C(/C)C(=O)OC)CC1 ZINC000088630706 711843991 /nfs/dbraw/zinc/84/39/91/711843991.db2.gz BMNLEPSWHTZUBB-SDQBBNPISA-N 0 3 239.359 2.618 20 0 BFADHN COc1cncc(CNCc2ccc(C)cc2)c1 ZINC000700051455 711985164 /nfs/dbraw/zinc/98/51/64/711985164.db2.gz JIZBTAVDRWYPRV-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN Fc1cc(F)c(CNCC2CSC2)cc1F ZINC000700420667 711992792 /nfs/dbraw/zinc/99/27/92/711992792.db2.gz VTLBKJJDHWSDEO-UHFFFAOYSA-N 0 3 247.285 2.557 20 0 BFADHN COc1cc(F)cc(CN[C@@H]2C=CCC2)c1 ZINC000700505041 711995570 /nfs/dbraw/zinc/99/55/70/711995570.db2.gz KZMJKELUFKFZHL-GFCCVEGCSA-N 0 3 221.275 2.643 20 0 BFADHN Cc1nc(CNCC[C@@H]2CC2(F)F)sc1C ZINC000701948331 712033128 /nfs/dbraw/zinc/03/31/28/712033128.db2.gz URTOYZIDAQBMKK-SECBINFHSA-N 0 3 246.326 2.895 20 0 BFADHN COc1c(C)cnc(CN[C@@]2(C)CC2(C)C)c1C ZINC000701957073 712033198 /nfs/dbraw/zinc/03/31/98/712033198.db2.gz CAHGDWXLAXFODW-HNNXBMFYSA-N 0 3 248.370 2.985 20 0 BFADHN Cc1csc(CNC[C@@]2(C)CC2(C)C)n1 ZINC000701980325 712034400 /nfs/dbraw/zinc/03/44/00/712034400.db2.gz GRMLGBZPGORXQJ-GFCCVEGCSA-N 0 3 224.373 2.977 20 0 BFADHN CCCCCCn1cc([C@H](N)C(C)(C)C)nn1 ZINC000702250396 712041728 /nfs/dbraw/zinc/04/17/28/712041728.db2.gz HOVAMWQNYDBPEM-LBPRGKRZSA-N 0 3 238.379 2.904 20 0 BFADHN COc1cc(CNC2CSC2)ccc1Cl ZINC000703643767 712082830 /nfs/dbraw/zinc/08/28/30/712082830.db2.gz SIPXDNNYSOKVJC-UHFFFAOYSA-N 0 3 243.759 2.554 20 0 BFADHN C[C@@H]1CC[C@H](C)[N@H+]1Cc1ccc([O-])c(F)c1 ZINC000707041971 712164346 /nfs/dbraw/zinc/16/43/46/712164346.db2.gz FTWHEILLQMXQLF-AOOOYVTPSA-N 0 3 223.291 2.904 20 0 BFADHN C[C@@H]1CC[C@H](C)[N@@H+]1Cc1ccc([O-])c(F)c1 ZINC000707041971 712164347 /nfs/dbraw/zinc/16/43/47/712164347.db2.gz FTWHEILLQMXQLF-AOOOYVTPSA-N 0 3 223.291 2.904 20 0 BFADHN Cc1ccc(C(=O)CCN2CC[C@H]3C[C@H]32)cc1 ZINC000707095942 712164643 /nfs/dbraw/zinc/16/46/43/712164643.db2.gz KCRXSJURCCIJAL-UONOGXRCSA-N 0 3 229.323 2.662 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2COCC2(C)C)c1 ZINC000707986312 712174798 /nfs/dbraw/zinc/17/47/98/712174798.db2.gz PHMNJFXPZBIPPF-LLVKDONJSA-N 0 3 220.316 2.535 20 0 BFADHN Cc1nonc1CN[C@H]1C[C@H](C)CC(C)(C)C1 ZINC000708252571 712184969 /nfs/dbraw/zinc/18/49/69/712184969.db2.gz SJDKBQCGVSKVRC-ONGXEEELSA-N 0 3 237.347 2.682 20 0 BFADHN CC[C@H](NCc1nocc1C)[C@H]1CC1(C)C ZINC000708290456 712185834 /nfs/dbraw/zinc/18/58/34/712185834.db2.gz UCZVCPDWNUFQSL-MNOVXSKESA-N 0 3 222.332 2.897 20 0 BFADHN CCC[C@H]1CCCN(c2ccncc2CO)C1 ZINC000708462150 712194524 /nfs/dbraw/zinc/19/45/24/712194524.db2.gz UTOTZEVHWFAYDZ-LBPRGKRZSA-N 0 3 234.343 2.590 20 0 BFADHN CCC[C@H]1CCCN(c2ccnc(CO)c2)C1 ZINC000708457547 712194664 /nfs/dbraw/zinc/19/46/64/712194664.db2.gz HVFGRSPKQMVVJP-LBPRGKRZSA-N 0 3 234.343 2.590 20 0 BFADHN CCC[C@@H]1CCCN(c2ccncc2CO)C1 ZINC000708462149 712194814 /nfs/dbraw/zinc/19/48/14/712194814.db2.gz UTOTZEVHWFAYDZ-GFCCVEGCSA-N 0 3 234.343 2.590 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@H]2CCCC3(CC3)C2)n1 ZINC000709975912 712236667 /nfs/dbraw/zinc/23/66/67/712236667.db2.gz DCKMSBYGDVEECO-LBPRGKRZSA-N 0 3 246.354 2.908 20 0 BFADHN CCCCCCN[C@@H](C)c1cn(C)cn1 ZINC000709990980 712238147 /nfs/dbraw/zinc/23/81/47/712238147.db2.gz AGGCQLFHSRHXTP-NSHDSACASA-N 0 3 209.337 2.651 20 0 BFADHN C[C@H](NCCC1=CCCCC1)c1cn(C)cn1 ZINC000710012351 712240763 /nfs/dbraw/zinc/24/07/63/712240763.db2.gz ICHCGIYJNCTLOA-LBPRGKRZSA-N 0 3 233.359 2.961 20 0 BFADHN C[C@@H](N[C@@H](C)CCc1ccco1)c1cn(C)cn1 ZINC000710012862 712240947 /nfs/dbraw/zinc/24/09/47/712240947.db2.gz OBSPVVQILNTOCE-NWDGAFQWSA-N 0 3 247.342 2.685 20 0 BFADHN C[C@H](NCC(C)(C)C(C)(F)F)c1cn(C)cn1 ZINC000710035857 712242745 /nfs/dbraw/zinc/24/27/45/712242745.db2.gz WVULSEFEIQDLBB-VIFPVBQESA-N 0 3 245.317 2.752 20 0 BFADHN Cc1csc(CNC[C@@H](C)c2ccccn2)n1 ZINC000710277865 712250122 /nfs/dbraw/zinc/25/01/22/712250122.db2.gz HYWLZGUHBYZJTN-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN COC1(OC)CC[C@H]1NCc1cc(C)cc(C)c1 ZINC000710990185 712272701 /nfs/dbraw/zinc/27/27/01/712272701.db2.gz SOJOHRFYRDCFIW-CQSZACIVSA-N 0 3 249.354 2.545 20 0 BFADHN c1nc(CN[C@@H]2C[C@@H]3CC[C@@H](C3)C2)cs1 ZINC000711204029 712289232 /nfs/dbraw/zinc/28/92/32/712289232.db2.gz QFPNCPLOFUROGZ-JGPRNRPPSA-N 0 3 222.357 2.811 20 0 BFADHN CC1(C)CC[C@H](NCc2nccn2C2CC2)C1 ZINC000711746425 712323418 /nfs/dbraw/zinc/32/34/18/712323418.db2.gz MHKIFRNLCSCGMQ-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN CCCC1(CNCc2nccn2C2CC2)CC1 ZINC000711747665 712323914 /nfs/dbraw/zinc/32/39/14/712323914.db2.gz VFYAWQYSWCSZQL-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN CC1(C)CC(CNCc2nccn2C2CC2)C1 ZINC000711775196 712328672 /nfs/dbraw/zinc/32/86/72/712328672.db2.gz SDHPCPMQTJSIFP-UHFFFAOYSA-N 0 3 233.359 2.744 20 0 BFADHN c1cn(C2CC2)c(CNCC2(C3CC3)CCC2)n1 ZINC000711782456 712329622 /nfs/dbraw/zinc/32/96/22/712329622.db2.gz FMLYADHXJZYVJK-UHFFFAOYSA-N 0 3 245.370 2.888 20 0 BFADHN C[C@H](CC1CCC1)NCc1nccn1C1CC1 ZINC000711801736 712331961 /nfs/dbraw/zinc/33/19/61/712331961.db2.gz RBAKYUXGVSMDJH-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN CC(=O)CCN1CCc2ccsc2[C@H]1C ZINC000711837938 712332588 /nfs/dbraw/zinc/33/25/88/712332588.db2.gz YGJUITMZCYBDHH-SNVBAGLBSA-N 0 3 223.341 2.646 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@H]2C[C@@H]3CCCC[C@H]23)n1 ZINC000712361675 712426518 /nfs/dbraw/zinc/42/65/18/712426518.db2.gz VZMFVJSIJHKCNP-RWMBFGLXSA-N 0 3 246.354 2.764 20 0 BFADHN C[C@@H](CC1CCCC1)N[C@H]1CCn2ccnc21 ZINC000712513034 712432049 /nfs/dbraw/zinc/43/20/49/712432049.db2.gz CBMYPNXAXGTWCV-AAEUAGOBSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H](CC1CCCC1)N[C@@H]1CCn2ccnc21 ZINC000712513040 712432170 /nfs/dbraw/zinc/43/21/70/712432170.db2.gz CBMYPNXAXGTWCV-DGCLKSJQSA-N 0 3 233.359 2.886 20 0 BFADHN CCN1CC[C@@H]1CNc1nccc2ccccc21 ZINC000712597904 712437010 /nfs/dbraw/zinc/43/70/10/712437010.db2.gz YEWRCFGPZFZFEF-CYBMUJFWSA-N 0 3 241.338 2.741 20 0 BFADHN Cc1nc(CNCC[C@@H]2C[C@H]2C2CC2)[nH]c1C ZINC000712642617 712439360 /nfs/dbraw/zinc/43/93/60/712439360.db2.gz NDYSZNCGVHQPLN-OLZOCXBDSA-N 0 3 233.359 2.552 20 0 BFADHN CCN1CC[C@@H]1CNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000712526944 712440861 /nfs/dbraw/zinc/44/08/61/712440861.db2.gz FZSMLEFJJMGRQF-YRGRVCCFSA-N 0 3 248.370 2.587 20 0 BFADHN C=C/C=C\CCNCc1nc2ccccc2n1C ZINC000713492306 712462429 /nfs/dbraw/zinc/46/24/29/712462429.db2.gz YRRZGDKYTJSCMH-PLNGDYQASA-N 0 3 241.338 2.795 20 0 BFADHN C=C/C=C\CCNCc1cc(C(=O)OC)c(C)o1 ZINC000713498501 712463078 /nfs/dbraw/zinc/46/30/78/712463078.db2.gz HPJNYKZYRJFILF-WAYWQWQTSA-N 0 3 249.310 2.597 20 0 BFADHN C[C@@H](NC[C@H]1CCC(C)(C)CO1)c1ccccn1 ZINC000713596725 712466165 /nfs/dbraw/zinc/46/61/65/712466165.db2.gz NFELLSJQOBISBI-CHWSQXEVSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC(C)(C)CO2)o1 ZINC000713609784 712467318 /nfs/dbraw/zinc/46/73/18/712467318.db2.gz KDVMOBPQDJFBMM-LBPRGKRZSA-N 0 3 237.343 2.883 20 0 BFADHN C=C/C=C\CCN(CC(=O)OC)C1CCCC1 ZINC000713748400 712470978 /nfs/dbraw/zinc/47/09/78/712470978.db2.gz RBBMTEPJGFJIQK-PLNGDYQASA-N 0 3 237.343 2.536 20 0 BFADHN CCCn1cc(CN[C@@H](C)C[C@@H](C)CC)nn1 ZINC000714461222 712490860 /nfs/dbraw/zinc/49/08/60/712490860.db2.gz UFGBLVFPEWWZPP-RYUDHWBXSA-N 0 3 238.379 2.602 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@H](C2CC2)O1)c1ccccn1 ZINC000715474130 712520803 /nfs/dbraw/zinc/52/08/03/712520803.db2.gz UCUKATNLTWCUDR-CORIIIEPSA-N 0 3 246.354 2.690 20 0 BFADHN CCCCCC[C@H](C)N[C@@H](C)c1nncn1C ZINC000037017097 712529397 /nfs/dbraw/zinc/52/93/97/712529397.db2.gz VDZFLQRGEGLQQH-RYUDHWBXSA-N 0 3 238.379 2.825 20 0 BFADHN CCCn1cc([C@@H](C)NC(C2CC2)C2CC2)nn1 ZINC000715833720 712534198 /nfs/dbraw/zinc/53/41/98/712534198.db2.gz DWAGSSBJZMOZHZ-SNVBAGLBSA-N 0 3 248.374 2.527 20 0 BFADHN CCCn1cc([C@H](C)NC[C@@H]2CC=CCC2)nn1 ZINC000715835868 712534827 /nfs/dbraw/zinc/53/48/27/712534827.db2.gz LOYPWPDYGWLKGJ-QWHCGFSZSA-N 0 3 248.374 2.695 20 0 BFADHN CCCn1cc([C@H](C)NCC2(C3CC3)CC2)nn1 ZINC000715835394 712534941 /nfs/dbraw/zinc/53/49/41/712534941.db2.gz JYWFUEMZDDYRLZ-NSHDSACASA-N 0 3 248.374 2.529 20 0 BFADHN CCCn1cc([C@@H](C)NCC2CCCC2)nn1 ZINC000715922662 712542783 /nfs/dbraw/zinc/54/27/83/712542783.db2.gz OROPBJXAADOPPC-LLVKDONJSA-N 0 3 236.363 2.529 20 0 BFADHN CCC(CC)(CC)CN[C@H](C)c1cn(C)nn1 ZINC000715927903 712543209 /nfs/dbraw/zinc/54/32/09/712543209.db2.gz NILLAUGWILGCTL-LLVKDONJSA-N 0 3 238.379 2.682 20 0 BFADHN CCCn1cc([C@@H](C)NCC(CC)CC)nn1 ZINC000715933131 712543664 /nfs/dbraw/zinc/54/36/64/712543664.db2.gz HMEHBDIBFHLBOV-LLVKDONJSA-N 0 3 238.379 2.775 20 0 BFADHN CC(C)n1cc(CNCCCCC2CC2)nn1 ZINC000716356568 712556216 /nfs/dbraw/zinc/55/62/16/712556216.db2.gz AEBFHJJPFBGLOX-UHFFFAOYSA-N 0 3 236.363 2.529 20 0 BFADHN CC(C)c1ccc(CNCC2OCCCO2)cc1 ZINC000716373213 712557444 /nfs/dbraw/zinc/55/74/44/712557444.db2.gz ZHORTKAJSJEDRU-UHFFFAOYSA-N 0 3 249.354 2.663 20 0 BFADHN Cc1[nH]nc(CN[C@@H](C)c2ccsc2)c1C ZINC000716452962 712561860 /nfs/dbraw/zinc/56/18/60/712561860.db2.gz YKZWRQZCFCJCMR-JTQLQIEISA-N 0 3 235.356 2.939 20 0 BFADHN Cc1n[nH]c(CNCc2ccccc2C)c1C ZINC000716455696 712562452 /nfs/dbraw/zinc/56/24/52/712562452.db2.gz KMZIMVNFXWIPTM-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN CCc1ccc(CNCc2[nH]nc(C)c2C)s1 ZINC000716464121 712562898 /nfs/dbraw/zinc/56/28/98/712562898.db2.gz PFGGWKMLCVJCQA-UHFFFAOYSA-N 0 3 249.383 2.940 20 0 BFADHN CN(CCCF)CCC(=O)c1ccccc1 ZINC000716822553 712577063 /nfs/dbraw/zinc/57/70/63/712577063.db2.gz MWRFFDPSXAZJLC-UHFFFAOYSA-N 0 3 223.291 2.551 20 0 BFADHN CC[C@H]1CCCN1Cc1cnc([C@@H](C)O)s1 ZINC000809552908 712584450 /nfs/dbraw/zinc/58/44/50/712584450.db2.gz OBEFWCHCCYVXOT-ZJUUUORDSA-N 0 3 240.372 2.571 20 0 BFADHN CC(C)n1ccnc1CNCC[C@@H]1CC[C@H]2C[C@H]21 ZINC000717054527 712625791 /nfs/dbraw/zinc/62/57/91/712625791.db2.gz AJYSEXALHGBAND-IHRRRGAJSA-N 0 3 247.386 2.990 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CC[C@@H]2CC[C@H]3C[C@H]32)n1 ZINC000717132099 712634283 /nfs/dbraw/zinc/63/42/83/712634283.db2.gz BUZZLAPNXCGPIJ-AVGNSLFASA-N 0 3 246.354 2.621 20 0 BFADHN c1nc(CNC2(C3CC3)CCC2)cs1 ZINC000717863351 712639119 /nfs/dbraw/zinc/63/91/19/712639119.db2.gz NMWCTOASJIHPEU-UHFFFAOYSA-N 0 3 208.330 2.565 20 0 BFADHN Cc1ccc(F)c(CNC[C@H]2CCC=CO2)c1 ZINC000379993047 712645135 /nfs/dbraw/zinc/64/51/35/712645135.db2.gz XPAZEXMRALDJTR-CYBMUJFWSA-N 0 3 235.302 2.916 20 0 BFADHN C[C@H]1CCC(C)(C)C[C@H]1NCc1cocn1 ZINC000717437630 712657647 /nfs/dbraw/zinc/65/76/47/712657647.db2.gz JNABOSHRVDUPMT-CMPLNLGQSA-N 0 3 222.332 2.979 20 0 BFADHN CC[C@@H](NCC(OC)OC)c1ccc(C)cc1 ZINC000037566557 712666004 /nfs/dbraw/zinc/66/60/04/712666004.db2.gz WDSYFZYOMWYUBC-CYBMUJFWSA-N 0 3 237.343 2.655 20 0 BFADHN CC[C@@H](C(=O)N[C@H](C)c1ccccc1C)N(C)C ZINC000268756540 712689306 /nfs/dbraw/zinc/68/93/06/712689306.db2.gz YBHKAPBJZNVFKM-OCCSQVGLSA-N 0 3 248.370 2.512 20 0 BFADHN CC(C)c1cc(CN[C@H]2CC[C@H]2C)on1 ZINC000380131951 712691435 /nfs/dbraw/zinc/69/14/35/712691435.db2.gz SZSYKXZPIAHRCZ-KOLCDFICSA-N 0 3 208.305 2.686 20 0 BFADHN CCC[C@]1(NCc2cc(C)co2)CCOC1 ZINC000718031002 712736730 /nfs/dbraw/zinc/73/67/30/712736730.db2.gz QDEKFTZHCINQCC-ZDUSSCGKSA-N 0 3 223.316 2.637 20 0 BFADHN Cc1coc(CNC[C@@H](c2ccco2)N(C)C)c1 ZINC000718132911 712746922 /nfs/dbraw/zinc/74/69/22/712746922.db2.gz RUHDKOKLNQCSGF-ZDUSSCGKSA-N 0 3 248.326 2.574 20 0 BFADHN Cc1coc(CNC[C@H]2CCCS2)c1 ZINC000718147238 712748713 /nfs/dbraw/zinc/74/87/13/712748713.db2.gz WUMJNFIWPLKLTK-LLVKDONJSA-N 0 3 211.330 2.573 20 0 BFADHN Cc1coc(CN[C@@H]2CCS[C@@H]2C)c1 ZINC000718144824 712748767 /nfs/dbraw/zinc/74/87/67/712748767.db2.gz MZCYWDNUQPBFNI-MWLCHTKSSA-N 0 3 211.330 2.572 20 0 BFADHN CO[C@@H]1CCC[C@H](NCc2nccs2)CC1 ZINC000718754331 712780468 /nfs/dbraw/zinc/78/04/68/712780468.db2.gz GZBYLMJIOYFWDU-WDEREUQCSA-N 0 3 240.372 2.580 20 0 BFADHN C[C@@H](NCCCCC1CC1)c1ncc[nH]1 ZINC000720160335 712828404 /nfs/dbraw/zinc/82/84/04/712828404.db2.gz CFPRCFYPMHMVER-SNVBAGLBSA-N 0 3 207.321 2.641 20 0 BFADHN Cc1conc1CN[C@@H]1CC[C@H](C)C[C@@H]1C ZINC000720409721 712840684 /nfs/dbraw/zinc/84/06/84/712840684.db2.gz MJIHDJRFZLDZPQ-JBLDHEPKSA-N 0 3 222.332 2.897 20 0 BFADHN CCOC(=O)/C=C\CN[C@@H](C)c1cccc(C)c1 ZINC000380269563 712856996 /nfs/dbraw/zinc/85/69/96/712856996.db2.gz JNENRSXGWVQOSD-XURPKSDJSA-N 0 3 247.338 2.765 20 0 BFADHN CC1(CN2CC[C@](C)(F)[C@H](F)C2)CCOCC1 ZINC001207963076 956896796 /nfs/dbraw/zinc/89/67/96/956896796.db2.gz AXQVKBRAWHLKHZ-YPMHNXCESA-N 0 3 247.329 2.575 20 0 BFADHN Cc1cc(Cl)ncc1NC1=CCN(C)CC1 ZINC001208741001 957158107 /nfs/dbraw/zinc/15/81/07/957158107.db2.gz ABFFZCXZHYRUKS-UHFFFAOYSA-N 0 3 237.734 2.675 20 0 BFADHN CN(C)Cc1ccnc(N2CCC23CCCC3)c1 ZINC001164400120 718845605 /nfs/dbraw/zinc/84/56/05/718845605.db2.gz QCIAJMSMNXPEJP-UHFFFAOYSA-N 0 3 245.370 2.666 20 0 BFADHN CC(C)c1ccc(N2C[C@H](C)N(C)C[C@@H]2C)nc1 ZINC001164636246 719206110 /nfs/dbraw/zinc/20/61/10/719206110.db2.gz JGTZZKFWHNVWBF-STQMWFEESA-N 0 3 247.386 2.734 20 0 BFADHN CCSC[C@@H](C)N(C)Cc1cccnc1C ZINC000093739569 957490513 /nfs/dbraw/zinc/49/05/13/957490513.db2.gz QWYREJHDGIMXKB-LLVKDONJSA-N 0 3 238.400 2.963 20 0 BFADHN Nc1ccc(Cl)cc1CN1CCCCC1 ZINC000086549302 957635136 /nfs/dbraw/zinc/63/51/36/957635136.db2.gz VMYJUZBMDGICSE-UHFFFAOYSA-N 0 3 224.735 2.908 20 0 BFADHN CC(C)CCC[C@H](C)n1cnc(CN)c1 ZINC000300765499 957641785 /nfs/dbraw/zinc/64/17/85/957641785.db2.gz XYQVAYNYGYFBRJ-NSHDSACASA-N 0 3 209.337 2.729 20 0 BFADHN COc1cc(F)c(F)cc1CN(C)CC1CC1 ZINC001143387719 958217277 /nfs/dbraw/zinc/21/72/77/958217277.db2.gz QOZCXBYZQWZPFV-UHFFFAOYSA-N 0 3 241.281 2.815 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001140781999 960563994 /nfs/dbraw/zinc/56/39/94/960563994.db2.gz YKTVNUPUKAVMIJ-CQSZACIVSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cc(CN2CCC23CCCCC3)[nH]n1 ZINC001203070116 960618184 /nfs/dbraw/zinc/61/81/84/960618184.db2.gz HTYANPYKLOPWKK-UHFFFAOYSA-N 0 3 219.332 2.627 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C(F)(F)CC2)n[nH]1 ZINC001203070177 960625612 /nfs/dbraw/zinc/62/56/12/960625612.db2.gz JQRBJCJZQVAIEL-VIFPVBQESA-N 0 3 243.301 2.585 20 0 BFADHN Nc1cccc(F)c1CN1CCCC12CC2 ZINC001140872224 960663502 /nfs/dbraw/zinc/66/35/02/960663502.db2.gz UXEOZTKJFZVQEN-UHFFFAOYSA-N 0 3 220.291 2.536 20 0 BFADHN C[C@H]1CCCN(Cc2ncco2)C[C@H]1C ZINC001141004755 960803325 /nfs/dbraw/zinc/80/33/25/960803325.db2.gz LTVLFKIXQDLQMF-WDEREUQCSA-N 0 3 208.305 2.543 20 0 BFADHN CCCCC1CCN(Cc2cn[nH]c2)CC1 ZINC001203089120 960843193 /nfs/dbraw/zinc/84/31/93/960843193.db2.gz RFAUHGYLQBIEFL-UHFFFAOYSA-N 0 3 221.348 2.812 20 0 BFADHN CCCN(CC)Cc1cnc(C(F)(F)F)nc1 ZINC001141451566 961068524 /nfs/dbraw/zinc/06/85/24/961068524.db2.gz QWEPRYKEKNCYGU-UHFFFAOYSA-N 0 3 247.264 2.727 20 0 BFADHN Cc1ccc(Cl)cc1O[C@H]1CCN(C)C1 ZINC001225874053 962887428 /nfs/dbraw/zinc/88/74/28/962887428.db2.gz GDXWMMUKSSIMBQ-NSHDSACASA-N 0 3 225.719 2.731 20 0 BFADHN Cc1cc(CN(C)CC2CC(F)(F)C2)sn1 ZINC001233312445 965486502 /nfs/dbraw/zinc/48/65/02/965486502.db2.gz SRKDWODANZBWGC-UHFFFAOYSA-N 0 3 246.326 2.929 20 0 BFADHN CCc1cc(CN2CCC[C@@H](CC)C2)on1 ZINC001203226456 966569691 /nfs/dbraw/zinc/56/96/91/966569691.db2.gz NVCXTRBLHDGGOL-LLVKDONJSA-N 0 3 222.332 2.859 20 0 BFADHN FC1(F)C[C@@H]2CN(Cc3ccsc3)C[C@@H]21 ZINC001204034053 966861395 /nfs/dbraw/zinc/86/13/95/966861395.db2.gz ANZIDCHCFOGOOT-ZJUUUORDSA-N 0 3 229.295 2.835 20 0 BFADHN c1cc(CN2CC[C@@]3(C2)CCCCO3)cs1 ZINC001204034068 966862050 /nfs/dbraw/zinc/86/20/50/966862050.db2.gz BBMBXDAWDLDUHU-CYBMUJFWSA-N 0 3 237.368 2.893 20 0 BFADHN CCCC[C@@H](CC)COC(=O)N1CC[C@H]1NC ZINC001239335653 966863340 /nfs/dbraw/zinc/86/33/40/966863340.db2.gz SPIFXVPPJWWOFR-NEPJUHHUSA-N 0 3 242.363 2.591 20 0 BFADHN CN(Cc1sccc1Cl)[C@@H]1CCN(C)C1 ZINC001205339501 967553370 /nfs/dbraw/zinc/55/33/70/967553370.db2.gz KBWBBIXMRWLOPN-SECBINFHSA-N 0 3 244.791 2.537 20 0 BFADHN C[C@H](O)CNC(C)(C)c1ccccc1Cl ZINC001251972185 968415109 /nfs/dbraw/zinc/41/51/09/968415109.db2.gz WOBKWDVOVNFCDI-VIFPVBQESA-N 0 3 227.735 2.546 20 0 BFADHN C[C@@H]1CN(Cc2cncc(F)c2)C2(CC2)C1 ZINC001207025263 968557063 /nfs/dbraw/zinc/55/70/63/968557063.db2.gz XSXBXLSVYAESJP-JTQLQIEISA-N 0 3 220.291 2.595 20 0 BFADHN C[C@@H]1C[C@H](F)CN1CCc1ccc(F)cc1 ZINC001207511949 968811008 /nfs/dbraw/zinc/81/10/08/968811008.db2.gz VXGDFIUWOXPMFO-MFKMUULPSA-N 0 3 225.282 2.801 20 0 BFADHN F[C@H]1CCN(CC2(c3ccccc3)CC2)C1 ZINC001207833802 968895611 /nfs/dbraw/zinc/89/56/11/968895611.db2.gz OIXNXVJFIMHEGK-ZDUSSCGKSA-N 0 3 219.303 2.762 20 0 BFADHN CS[C@@H](C)CCN(C)Cc1cccnc1C ZINC001208151305 969074185 /nfs/dbraw/zinc/07/41/85/969074185.db2.gz UOVHLNFILZQCEH-NSHDSACASA-N 0 3 238.400 2.963 20 0 BFADHN CCOC1CN(C[C@@H]2CCC(C)=C[C@H]2C)C1 ZINC001208160986 969089777 /nfs/dbraw/zinc/08/97/77/969089777.db2.gz LKLMBQXFVYPXES-OLZOCXBDSA-N 0 3 223.360 2.700 20 0 BFADHN C[C@@H](CCN1CC[C@](F)(CO)C1)CC(C)(C)C ZINC001208656750 969186135 /nfs/dbraw/zinc/18/61/35/969186135.db2.gz XVOCXHJJEUBVGZ-GXTWGEPZSA-N 0 3 245.382 2.855 20 0 BFADHN Cc1c(F)cccc1NC1=CCN(C)CC1 ZINC001208837449 969251832 /nfs/dbraw/zinc/25/18/32/969251832.db2.gz ISJQATOLLVAKBI-UHFFFAOYSA-N 0 3 220.291 2.765 20 0 BFADHN CN1CC=C(Nc2cc(O)ccc2Cl)CC1 ZINC001208909499 969294455 /nfs/dbraw/zinc/29/44/55/969294455.db2.gz BEEQGQIAOZKUHI-UHFFFAOYSA-N 0 3 238.718 2.677 20 0 BFADHN COC(C)(C)CCN1CC[C@](C)(F)[C@H](F)C1 ZINC001209403097 969472046 /nfs/dbraw/zinc/47/20/46/969472046.db2.gz WPWHUULWQLGHRE-PWSUYJOCSA-N 0 3 235.318 2.574 20 0 BFADHN CC1(C)COCCN1C1CCC(F)(F)CC1 ZINC001254224183 969544252 /nfs/dbraw/zinc/54/42/52/969544252.db2.gz SFXFXVPMIZYGMM-UHFFFAOYSA-N 0 3 233.302 2.675 20 0 BFADHN CCCC[C@H](C)NCc1cn(CC2CC2)cn1 ZINC001255320463 970091917 /nfs/dbraw/zinc/09/19/17/970091917.db2.gz QFEBJGBPGBWBCL-LBPRGKRZSA-N 0 3 235.375 2.961 20 0 BFADHN CC(C)(C)C1CCC(N2C[C@@H](O)[C@@H](F)C2)CC1 ZINC001255501193 970263896 /nfs/dbraw/zinc/26/38/96/970263896.db2.gz WSKDYOBOKVGGQL-IFWUJCSASA-N 0 3 243.366 2.606 20 0 BFADHN NCc1ccn(C2CCC(C(F)(F)F)CC2)n1 ZINC001255507406 970272654 /nfs/dbraw/zinc/27/26/54/970272654.db2.gz ZJPYWHHUTUOILN-UHFFFAOYSA-N 0 3 247.264 2.635 20 0 BFADHN CCN(CCn1cccn1)[C@@H](C)CC(F)(F)F ZINC001255656428 970431974 /nfs/dbraw/zinc/43/19/74/970431974.db2.gz UHYVVQIFLRCEHK-JTQLQIEISA-N 0 3 249.280 2.546 20 0 BFADHN C[C@@H](CC(F)(F)F)N1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001255651496 970433414 /nfs/dbraw/zinc/43/34/14/970433414.db2.gz LGTVVVMCNTUDKU-JBDRJPRFSA-N 0 3 225.229 2.617 20 0 BFADHN CCC1(O)CCN([C@H](C)CC(F)(F)F)CC1 ZINC001255656692 970434395 /nfs/dbraw/zinc/43/43/95/970434395.db2.gz ZRFBZOPGIYKTEI-SECBINFHSA-N 0 3 239.281 2.564 20 0 BFADHN CCCO[C@@H]1CCN([C@H](C)CC(F)(F)F)C1 ZINC001255657739 970437882 /nfs/dbraw/zinc/43/78/82/970437882.db2.gz KFXGJAAPEJSFOK-NXEZZACHSA-N 0 3 239.281 2.828 20 0 BFADHN CCSC[C@@H](C)N1CCC[C@@H](F)C1 ZINC001173306555 975209259 /nfs/dbraw/zinc/20/92/59/975209259.db2.gz OZORIOKOXTUYLD-NXEZZACHSA-N 0 3 205.342 2.562 20 0 BFADHN CN1CC[C@H](Oc2cc(F)cc(Cl)c2)C1 ZINC001227855618 975742790 /nfs/dbraw/zinc/74/27/90/975742790.db2.gz QZUHTHJFJPFWHM-JTQLQIEISA-N 0 3 229.682 2.562 20 0 BFADHN Cc1cnccc1Nc1ccccc1F ZINC001173848559 975750406 /nfs/dbraw/zinc/75/04/06/975750406.db2.gz ZPRIKOOGIYGQID-UHFFFAOYSA-N 0 3 202.232 2.695 20 0 BFADHN CCC1(NCc2ccnc(OC)c2F)CCC1 ZINC001334970992 976808692 /nfs/dbraw/zinc/80/86/92/976808692.db2.gz AQZVRLMISRDRBV-UHFFFAOYSA-N 0 3 238.306 2.652 20 0 BFADHN CC(C)[C@@H]1CCCC[C@H]1NCc1nncs1 ZINC001335780761 977263126 /nfs/dbraw/zinc/26/31/26/977263126.db2.gz MQNNCKZZYDYDJY-WDEREUQCSA-N 0 3 239.388 2.843 20 0 BFADHN CCOc1ncccc1CN1CCCC1(C)C ZINC001231760231 977733549 /nfs/dbraw/zinc/73/35/49/977733549.db2.gz HGLNBEIACSDJNS-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1cc(CN2CCC[C@H]3C[C@H]32)cnc1F ZINC001231931746 977804737 /nfs/dbraw/zinc/80/47/37/977804737.db2.gz ZWYBUNFUKGLRGD-NWDGAFQWSA-N 0 3 220.291 2.513 20 0 BFADHN CCOc1ccnc(CN2CCC(C)(C)C2)c1 ZINC001232583863 978186803 /nfs/dbraw/zinc/18/68/03/978186803.db2.gz ROFRGYARHKCXSH-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCCN(CC)Cc1cc(OCC)ccn1 ZINC001232578566 978186435 /nfs/dbraw/zinc/18/64/35/978186435.db2.gz DJWYWPUFTUIULW-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN Cc1ccc(Cl)cc1CN1C[C@H](O)C[C@H]1C ZINC001233247674 978509155 /nfs/dbraw/zinc/50/91/55/978509155.db2.gz FYMVYLRCNBSPDF-ZWNOBZJWSA-N 0 3 239.746 2.604 20 0 BFADHN Cc1cnsc1CN1CCC[C@@H](F)CC1 ZINC001233318144 978553370 /nfs/dbraw/zinc/55/33/70/978553370.db2.gz RQVFBNSXMLXVGV-SNVBAGLBSA-N 0 3 228.336 2.776 20 0 BFADHN CCN(Cc1cc(C)c(C)s1)C1CN(C)C1 ZINC001233700515 978675690 /nfs/dbraw/zinc/67/56/90/978675690.db2.gz ODJVINMTIFQNMG-UHFFFAOYSA-N 0 3 238.400 2.501 20 0 BFADHN CC[C@@H](C)[C@@H]1CCCCN1CC(=O)OC(C)C ZINC001339379220 978844701 /nfs/dbraw/zinc/84/47/01/978844701.db2.gz TYFKOJXDOIZALC-OLZOCXBDSA-N 0 3 241.375 2.839 20 0 BFADHN CCCCC1=CC[C@@H](N2CCOCC2)CC1 ZINC001249980324 978920024 /nfs/dbraw/zinc/92/00/24/978920024.db2.gz XRSJDXVCJXCZNN-CQSZACIVSA-N 0 3 223.360 2.988 20 0 BFADHN CN[C@@H]1CCN1CCCc1ccccc1Cl ZINC001236165863 979420058 /nfs/dbraw/zinc/42/00/58/979420058.db2.gz HGEDKQOLKRYSCR-ZDUSSCGKSA-N 0 3 238.762 2.524 20 0 BFADHN CC(C)C(C)(C)NCc1ncccc1F ZINC001182762979 979618593 /nfs/dbraw/zinc/61/85/93/979618593.db2.gz AHDCJOBKOCJSKR-UHFFFAOYSA-N 0 3 210.296 2.745 20 0 BFADHN Cc1nc(C)c(CN(CC2CC2)C2CC2)o1 ZINC001236594635 979672072 /nfs/dbraw/zinc/67/20/72/979672072.db2.gz HNRKXQLVMFWCPF-UHFFFAOYSA-N 0 3 220.316 2.666 20 0 BFADHN [S-]c1ccoc1C[N@@H+]1C[C@@H]2CCC[C@@H]2C1 ZINC001237177779 979827619 /nfs/dbraw/zinc/82/76/19/979827619.db2.gz SRGHLKYBIAMPOU-AOOOYVTPSA-N 0 3 223.341 2.800 20 0 BFADHN [S-]c1ccoc1C[N@H+]1C[C@@H]2CCC[C@@H]2C1 ZINC001237177779 979827623 /nfs/dbraw/zinc/82/76/23/979827623.db2.gz SRGHLKYBIAMPOU-AOOOYVTPSA-N 0 3 223.341 2.800 20 0 BFADHN CC[C@H](C)N(C)Cc1ccc(Cl)nc1OC ZINC001237240083 979855183 /nfs/dbraw/zinc/85/51/83/979855183.db2.gz XKYJBSKJQSGDED-VIFPVBQESA-N 0 3 242.750 2.974 20 0 BFADHN CCCN(Cc1nc(C)co1)[C@H](C)CC ZINC001237632469 979976633 /nfs/dbraw/zinc/97/66/33/979976633.db2.gz DEYNRFXPOOEBBV-LLVKDONJSA-N 0 3 210.321 2.994 20 0 BFADHN CC(C)CC[C@H](N)C(=O)NC(C(C)C)C(C)C ZINC001343693302 980195874 /nfs/dbraw/zinc/19/58/74/980195874.db2.gz ZPFAMHGKXZSQRB-LBPRGKRZSA-N 0 3 242.407 2.547 20 0 BFADHN COCCN(C)Cc1ccc(F)c(C2CC2)c1 ZINC001238504641 980283019 /nfs/dbraw/zinc/28/30/19/980283019.db2.gz XWHJMABDVDRZCS-UHFFFAOYSA-N 0 3 237.318 2.781 20 0 BFADHN CN(C)Cc1ccnc(C2=CCCC2)c1 ZINC001239787262 980919759 /nfs/dbraw/zinc/91/97/59/980919759.db2.gz ZEGFRPATZPWMHE-UHFFFAOYSA-N 0 3 202.301 2.711 20 0 BFADHN Cc1cncc(CN2CCCCCCC2)n1 ZINC001203173876 981321469 /nfs/dbraw/zinc/32/14/69/981321469.db2.gz VKSIEIILEDIMBT-UHFFFAOYSA-N 0 3 219.332 2.551 20 0 BFADHN Cc1cc(O)cnc1NC1=CCSCC1 ZINC001201327808 983188511 /nfs/dbraw/zinc/18/85/11/983188511.db2.gz WSIGOPZHIPYEJM-UHFFFAOYSA-N 0 3 222.313 2.528 20 0 BFADHN CC(C)CN1CCCC(F)(F)[C@H](F)C1 ZINC001201463708 983234981 /nfs/dbraw/zinc/23/49/81/983234981.db2.gz HZVHIKCFITVHQQ-SECBINFHSA-N 0 3 209.255 2.712 20 0 BFADHN CC(C)=CCC[C@@H](C)CN1C[C@H]2C[C@@H](C1)O2 ZINC001201496515 983262971 /nfs/dbraw/zinc/26/29/71/983262971.db2.gz NUGVLDPGVGUEMU-MCIONIFRSA-N 0 3 223.360 2.842 20 0 BFADHN CC(C)OCC[C@@H](C)NCc1cc(F)ccn1 ZINC001172440617 974798525 /nfs/dbraw/zinc/79/85/25/974798525.db2.gz GKEBKBFSPCSCNR-LLVKDONJSA-N 0 3 240.322 2.514 20 0 BFADHN CC(C)OCC[C@H](C)NCc1cc(F)ccn1 ZINC001172440619 974798233 /nfs/dbraw/zinc/79/82/33/974798233.db2.gz GKEBKBFSPCSCNR-NSHDSACASA-N 0 3 240.322 2.514 20 0 BFADHN CC(C)OCC[C@H](C)N1CCO[C@@H](C(C)C)C1 ZINC001172456793 974822232 /nfs/dbraw/zinc/82/22/32/974822232.db2.gz OZSHENZHGKSADP-UONOGXRCSA-N 0 3 243.391 2.547 20 0 BFADHN CC(C)CC[C@H]1CCCCN1Cc1nnc[nH]1 ZINC001327556044 972636744 /nfs/dbraw/zinc/63/67/44/972636744.db2.gz VZDCMMMXVNMPQW-GFCCVEGCSA-N 0 3 236.363 2.595 20 0 BFADHN Cc1cc(CN(C)[C@H](C)CCC(C)C)n(C)n1 ZINC001256367577 970773050 /nfs/dbraw/zinc/77/30/50/970773050.db2.gz HBVFBMJAPRKJGE-CYBMUJFWSA-N 0 3 237.391 2.985 20 0 BFADHN COC(=O)[C@H](C(C)C)N(C)[C@@H](C)CCC(C)C ZINC001256374389 970790173 /nfs/dbraw/zinc/79/01/73/970790173.db2.gz ZTDCUBUMINNOIV-STQMWFEESA-N 0 3 243.391 2.941 20 0 BFADHN COC(=O)[C@H](C(C)C)N(C)[C@H](C)CCC(C)C ZINC001256374388 970793930 /nfs/dbraw/zinc/79/39/30/970793930.db2.gz ZTDCUBUMINNOIV-OLZOCXBDSA-N 0 3 243.391 2.941 20 0 BFADHN C[C@@H](Cc1ccccn1)N(C)Cc1ccccn1 ZINC001256409013 970815177 /nfs/dbraw/zinc/81/51/77/970815177.db2.gz HAVGAGRKPCJBSJ-ZDUSSCGKSA-N 0 3 241.338 2.540 20 0 BFADHN C[C@H](Cc1ccccn1)N1CCc2occc2C1 ZINC001256422621 970831962 /nfs/dbraw/zinc/83/19/62/970831962.db2.gz UBENUSUCTZQXRC-GFCCVEGCSA-N 0 3 242.322 2.664 20 0 BFADHN CCOC1CCC(N2C[C@@H]3C[C@@H]3[C@@H](F)C2)CC1 ZINC001256439849 970840074 /nfs/dbraw/zinc/84/00/74/970840074.db2.gz TWDHPEYHGRRVMC-ASUPZPJHSA-N 0 3 241.350 2.624 20 0 BFADHN CSc1ncc(Nc2cnc(C)n2C)cc1C ZINC001215828090 970857248 /nfs/dbraw/zinc/85/72/48/970857248.db2.gz CGQPVUYHCSSBSE-UHFFFAOYSA-N 0 3 248.355 2.897 20 0 BFADHN Cc1ncoc1CN1CCCC(C)(C)C1 ZINC001331978396 970861951 /nfs/dbraw/zinc/86/19/51/970861951.db2.gz FSZAYYNKJQHKRA-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN COc1ccc(Nc2nccn2C)cc1SC ZINC001215930757 970876537 /nfs/dbraw/zinc/87/65/37/970876537.db2.gz JQYYIAFWDCMGIC-UHFFFAOYSA-N 0 3 249.339 2.894 20 0 BFADHN Cc1cnccc1Nc1cc(C2CC2)cnc1F ZINC001215953356 970879780 /nfs/dbraw/zinc/87/97/80/970879780.db2.gz NVGUSUYDWRUYTD-UHFFFAOYSA-N 0 3 243.285 2.967 20 0 BFADHN C[C@H]1C[C@H]1c1nnc(C2CCN(C3CC3)CC2)o1 ZINC001256700837 970928704 /nfs/dbraw/zinc/92/87/04/970928704.db2.gz PQIVVROSXUCJFT-JOYOIKCWSA-N 0 3 247.342 2.535 20 0 BFADHN Cc1cnccc1Nc1cc(C)c(CO)c(C)c1 ZINC001216117540 970946819 /nfs/dbraw/zinc/94/68/19/970946819.db2.gz JXJHTQLKAICQJS-UHFFFAOYSA-N 0 3 242.322 2.665 20 0 BFADHN FCC1(N[C@H]2CCO[C@H](c3ccccc3)C2)CC1 ZINC001256783836 970987475 /nfs/dbraw/zinc/98/74/75/970987475.db2.gz DQJWSYOKUJHCOL-KBPBESRZSA-N 0 3 249.329 2.998 20 0 BFADHN CCC1CCC(NCC(=O)c2cccnc2)CC1 ZINC001256868642 971006252 /nfs/dbraw/zinc/00/62/52/971006252.db2.gz XTLUTBJAHZIIOB-UHFFFAOYSA-N 0 3 246.354 2.823 20 0 BFADHN FC(F)(F)Oc1ccc(C2=CNCCC2)cn1 ZINC001243950856 971038719 /nfs/dbraw/zinc/03/87/19/971038719.db2.gz OPEPOGGLPUDEEE-UHFFFAOYSA-N 0 3 244.216 2.705 20 0 BFADHN Cc1nc(OC(C)C)ccc1C1=CNCCC1 ZINC001243951073 971039055 /nfs/dbraw/zinc/03/90/55/971039055.db2.gz BQKZAXLQUVTZGL-UHFFFAOYSA-N 0 3 232.327 2.902 20 0 BFADHN COc1cc(F)ccc1C1=CNCCC1 ZINC001243949544 971041858 /nfs/dbraw/zinc/04/18/58/971041858.db2.gz MELCESSFTDMSRC-UHFFFAOYSA-N 0 3 207.248 2.559 20 0 BFADHN c1ccc2c(c1)cncc2C1=CNCCC1 ZINC001243951716 971045331 /nfs/dbraw/zinc/04/53/31/971045331.db2.gz CNTQWAKSYRWTTM-UHFFFAOYSA-N 0 3 210.280 2.959 20 0 BFADHN C[C@@H]1C[C@@H](NCOc2ccccc2)C[C@H](C)O1 ZINC001257077447 971087750 /nfs/dbraw/zinc/08/77/50/971087750.db2.gz DEMWLUDSSFFKSS-CLLJXQQHSA-N 0 3 235.327 2.569 20 0 BFADHN C[C@H]1CCCN(Cc2ccc(O)cc2F)C1 ZINC000404045747 971118586 /nfs/dbraw/zinc/11/85/86/971118586.db2.gz ITBPVTQNSLUENH-JTQLQIEISA-N 0 3 223.291 2.763 20 0 BFADHN CCC[C@@H](CC)N(C)c1nc(CN)ccc1C ZINC001257244184 971138502 /nfs/dbraw/zinc/13/85/02/971138502.db2.gz KLWGESJRJHTUDR-CYBMUJFWSA-N 0 3 235.375 2.864 20 0 BFADHN C[C@H]1CC[C@H]([NH2+]Cc2ccc([O-])cc2F)C1 ZINC000404153764 971139373 /nfs/dbraw/zinc/13/93/73/971139373.db2.gz UISAUGQIVHALRC-ONGXEEELSA-N 0 3 223.291 2.810 20 0 BFADHN Cc1noc2ccc(Nc3cnc(C)n3C)cc12 ZINC001216640508 971146178 /nfs/dbraw/zinc/14/61/78/971146178.db2.gz UWVOVLDWRZHFNE-UHFFFAOYSA-N 0 3 242.282 2.922 20 0 BFADHN CCCC[C@H](CC)CNCc1cn(CC)nn1 ZINC000404444643 971161463 /nfs/dbraw/zinc/16/14/63/971161463.db2.gz JQUHSDVIKFMOKH-LBPRGKRZSA-N 0 3 238.379 2.604 20 0 BFADHN CCC(CC)NCc1ncc(F)cc1F ZINC001257289211 971174990 /nfs/dbraw/zinc/17/49/90/971174990.db2.gz UCZJZLZDFHVAKA-UHFFFAOYSA-N 0 3 214.259 2.638 20 0 BFADHN CCC(CC)NC1(c2cccc(C)c2)COC1 ZINC001257289342 971176288 /nfs/dbraw/zinc/17/62/88/971176288.db2.gz XNIFZPCBYOPLHU-UHFFFAOYSA-N 0 3 233.355 2.999 20 0 BFADHN CCC(CC)n1nc(CN)c2ccccc21 ZINC001257287526 971176519 /nfs/dbraw/zinc/17/65/19/971176519.db2.gz ZYIPCRIOVUEDPI-UHFFFAOYSA-N 0 3 217.316 2.856 20 0 BFADHN CCC(CC)NCC(=O)c1ccccc1OC ZINC001257289863 971176633 /nfs/dbraw/zinc/17/66/33/971176633.db2.gz GEUHUWIAHCYKDI-UHFFFAOYSA-N 0 3 235.327 2.656 20 0 BFADHN CCC(CC)N1CC(N2CCC(F)(F)CC2)C1 ZINC001257299845 971189959 /nfs/dbraw/zinc/18/99/59/971189959.db2.gz CDDMUTZHCMTBKA-UHFFFAOYSA-N 0 3 246.345 2.590 20 0 BFADHN CCC(CC)N1CCc2ncc(C)cc2C1 ZINC001257301922 971193744 /nfs/dbraw/zinc/19/37/44/971193744.db2.gz OFSBRFVBSYDSSB-UHFFFAOYSA-N 0 3 218.344 2.937 20 0 BFADHN CCC[C@@H](C)NCC(=O)c1ccccc1F ZINC001257307454 971195555 /nfs/dbraw/zinc/19/55/55/971195555.db2.gz MTDBMOHAGCEBHR-SNVBAGLBSA-N 0 3 223.291 2.787 20 0 BFADHN CCC[C@@H](C)NCC(=O)c1ccc(C)cc1 ZINC001257308889 971199223 /nfs/dbraw/zinc/19/92/23/971199223.db2.gz LSAHZJLCMHDQAM-GFCCVEGCSA-N 0 3 219.328 2.956 20 0 BFADHN CCC[C@@H](C)NCc1cn(CC2CC2)cn1 ZINC001257312304 971207618 /nfs/dbraw/zinc/20/76/18/971207618.db2.gz BKWQBAFGBPGLCW-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN CCCC(=O)[C@H](CCC)OC[C@@H]1CCCN1C ZINC001224817401 974001712 /nfs/dbraw/zinc/00/17/12/974001712.db2.gz OYZHPWHFMDYCAH-JSGCOSHPSA-N 0 3 241.375 2.635 20 0 BFADHN CCC[C@H](C)N1CC[C@](C)(F)[C@H](F)C1 ZINC001257317715 971218172 /nfs/dbraw/zinc/21/81/72/971218172.db2.gz SROVGZDOELWTNS-AXFHLTTASA-N 0 3 205.292 2.947 20 0 BFADHN CCC[C@H](C)N1CC[C@@H](C)C[C@@H]1C(=O)OCC ZINC001257325592 971226810 /nfs/dbraw/zinc/22/68/10/971226810.db2.gz LUJCNHYYLXQBTN-FRRDWIJNSA-N 0 3 241.375 2.839 20 0 BFADHN CCC[C@H](C)N1CC(N2CCC(F)(F)CC2)C1 ZINC001257325121 971225829 /nfs/dbraw/zinc/22/58/29/971225829.db2.gz DUBFQHWVAYHJAC-NSHDSACASA-N 0 3 246.345 2.590 20 0 BFADHN CCCC(CCC)n1nc(CN)cc1C ZINC001257329329 971230253 /nfs/dbraw/zinc/23/02/53/971230253.db2.gz WJTDXNWVTCWZOH-UHFFFAOYSA-N 0 3 209.337 2.792 20 0 BFADHN CCCCCC[C@H](C)N[C@H]1COC[C@@H]1F ZINC001257359899 971273977 /nfs/dbraw/zinc/27/39/77/971273977.db2.gz ZBOJSQZLYZZIBL-SRVKXCTJSA-N 0 3 217.328 2.672 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CO[C@@H](C)[C@@H]1C ZINC000405762684 971303572 /nfs/dbraw/zinc/30/35/72/971303572.db2.gz ONIBDLFIHXUWGL-SGMGOOAPSA-N 0 3 249.354 2.598 20 0 BFADHN CCCC[C@@H](CC)N1CCN(CC(F)F)CC1 ZINC001257425714 971328003 /nfs/dbraw/zinc/32/80/03/971328003.db2.gz XNEAHMGCXCKJPP-GFCCVEGCSA-N 0 3 248.361 2.838 20 0 BFADHN CC[C@H](C)NCc1cc(OCC2CCC2)ncn1 ZINC001257434307 971343793 /nfs/dbraw/zinc/34/37/93/971343793.db2.gz PWEAUEVETDLARZ-NSHDSACASA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@@H](C)NCc1cn(Cc2ccccc2)cn1 ZINC001257435665 971346017 /nfs/dbraw/zinc/34/60/17/971346017.db2.gz KASMTQJZLTVMNO-CYBMUJFWSA-N 0 3 243.354 2.820 20 0 BFADHN CC[C@@H](C)N1CC2(C1)CC(F)(F)CS2 ZINC001257444130 971359448 /nfs/dbraw/zinc/35/94/48/971359448.db2.gz SHVZHBJQWQCYLA-MRVPVSSYSA-N 0 3 221.316 2.612 20 0 BFADHN CC[C@@H](C)N1CCc2ncc(C)cc2C1 ZINC001257446187 971362669 /nfs/dbraw/zinc/36/26/69/971362669.db2.gz JSAJWOOSBBUDDL-LLVKDONJSA-N 0 3 204.317 2.547 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N1CC[C@](F)(CO)C1 ZINC001257474358 971396477 /nfs/dbraw/zinc/39/64/77/971396477.db2.gz ARQNQUXHKMFMQF-MELADBBJSA-N 0 3 245.382 2.998 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N1CC[C@@H](O)[C@H](F)C1 ZINC001257479593 971405208 /nfs/dbraw/zinc/40/52/08/971405208.db2.gz ZCGXGKSAINPASQ-REWJHTLYSA-N 0 3 245.382 2.996 20 0 BFADHN CCCCC[C@H](C)N[C@H](CO)c1ccccn1 ZINC001257501108 971415531 /nfs/dbraw/zinc/41/55/31/971415531.db2.gz COAQLUJPEOVTQV-GXTWGEPZSA-N 0 3 236.359 2.673 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N1CC[C@@H](O)[C@@H](F)C1 ZINC001257479594 971405824 /nfs/dbraw/zinc/40/58/24/971405824.db2.gz ZCGXGKSAINPASQ-RFQIPJPRSA-N 0 3 245.382 2.996 20 0 BFADHN CC[C@@H](OC[C@@H]1COC(C)(C)N1)c1ccccc1 ZINC001217821233 971418820 /nfs/dbraw/zinc/41/88/20/971418820.db2.gz FPXYQRXQDYWBQE-ZIAGYGMSSA-N 0 3 249.354 2.879 20 0 BFADHN CCCCC[C@H](C)N1CCC[C@]1(C)C(=O)OC ZINC001257511212 971434663 /nfs/dbraw/zinc/43/46/63/971434663.db2.gz HPSFEXNELDKCPW-GXTWGEPZSA-N 0 3 241.375 2.983 20 0 BFADHN CCCCC[C@H](C)N1CCc2nn(C)cc2C1 ZINC001257514377 971437910 /nfs/dbraw/zinc/43/79/10/971437910.db2.gz DJZUODXPNXPOIX-LBPRGKRZSA-N 0 3 235.375 2.747 20 0 BFADHN CCCCC[C@@H](C)N(C1CC1)C1COC1 ZINC001257516481 971438298 /nfs/dbraw/zinc/43/82/98/971438298.db2.gz LEXZTFSSAISRDP-LLVKDONJSA-N 0 3 211.349 2.818 20 0 BFADHN CN1CCC[C@H](Cc2nnc(C3CCCC3)o2)C1 ZINC001257531999 971444173 /nfs/dbraw/zinc/44/41/73/971444173.db2.gz GDSVXHPBSVGJBE-LLVKDONJSA-N 0 3 249.358 2.612 20 0 BFADHN NCc1nn([C@@H]2CCCSC2)c2ccccc12 ZINC001257659124 971460908 /nfs/dbraw/zinc/46/09/08/971460908.db2.gz HLEPOAUULSJVBS-SNVBAGLBSA-N 0 3 247.367 2.563 20 0 BFADHN CCC(CC)[C@H](CC)OC[C@H]1COC(C)(C)N1 ZINC001217865251 971457276 /nfs/dbraw/zinc/45/72/76/971457276.db2.gz GWYGWNOGJJLVSG-STQMWFEESA-N 0 3 243.391 2.942 20 0 BFADHN NCc1nn([C@H]2CCCSC2)c2ccccc12 ZINC001257659123 971461363 /nfs/dbraw/zinc/46/13/63/971461363.db2.gz HLEPOAUULSJVBS-JTQLQIEISA-N 0 3 247.367 2.563 20 0 BFADHN CCCC(CCC)OC[C@H]1COC(C)(C)N1 ZINC001217869316 971464635 /nfs/dbraw/zinc/46/46/35/971464635.db2.gz BURHBMJESRHJCL-NSHDSACASA-N 0 3 229.364 2.696 20 0 BFADHN C[C@@H]1CC[C@H](OC[C@@H]2COC(C)(C)N2)C[C@@H]1C ZINC001217872510 971469247 /nfs/dbraw/zinc/46/92/47/971469247.db2.gz XUPIGERQGFIMIH-XQHKEYJVSA-N 0 3 241.375 2.552 20 0 BFADHN Cc1cnc2c(c1)CN([C@@H]1CCCSC1)CC2 ZINC001257680537 971487613 /nfs/dbraw/zinc/48/76/13/971487613.db2.gz BEGQOKVSSHLRPW-CYBMUJFWSA-N 0 3 248.395 2.644 20 0 BFADHN CCCCC(CCCC)OC[C@@H]1CNCCO1 ZINC001217896277 971497938 /nfs/dbraw/zinc/49/79/38/971497938.db2.gz PYQUGPXMGAXRPD-AWEZNQCLSA-N 0 3 243.391 2.740 20 0 BFADHN CC(C)=CCO[C@@H]1CNCc2ccccc21 ZINC001217937953 971538419 /nfs/dbraw/zinc/53/84/19/971538419.db2.gz LFVPHMPIANAUIG-CQSZACIVSA-N 0 3 217.312 2.814 20 0 BFADHN CC(C)CCO[C@@H]1CNCc2ccccc21 ZINC001217939615 971539004 /nfs/dbraw/zinc/53/90/04/971539004.db2.gz ZGJAJSSTEOJHJZ-CQSZACIVSA-N 0 3 219.328 2.894 20 0 BFADHN C[C@H]1CCN1[C@H]1CCc2cc(F)cc(F)c2C1 ZINC001170689795 971548719 /nfs/dbraw/zinc/54/87/19/971548719.db2.gz NAUFGRFJXDBSFS-CABZTGNLSA-N 0 3 237.293 2.916 20 0 BFADHN Nc1ccncc1-c1c(Cl)ccnc1Cl ZINC001244939019 971551322 /nfs/dbraw/zinc/55/13/22/971551322.db2.gz JPBHGQOSPIQLLU-UHFFFAOYSA-N 0 3 240.093 2.863 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)n1cncc1CN ZINC001258054038 971576585 /nfs/dbraw/zinc/57/65/85/971576585.db2.gz YHTXSBMXCSFDJM-NEPJUHHUSA-N 0 3 223.364 2.975 20 0 BFADHN Cc1cc(O[C@@H]2CCNC[C@@H]2F)cc(C)c1C ZINC001218069767 971594282 /nfs/dbraw/zinc/59/42/82/971594282.db2.gz KSNLJEUIVIODOA-UONOGXRCSA-N 0 3 237.318 2.691 20 0 BFADHN Cc1cc(Cl)ccc1O[C@H]1CCNC[C@@H]1F ZINC001218066939 971595273 /nfs/dbraw/zinc/59/52/73/971595273.db2.gz OVNHLKZDHDBGLX-JQWIXIFHSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1cc(O[C@H]2CCNC[C@@H]2F)cc(C)c1C ZINC001218069764 971593238 /nfs/dbraw/zinc/59/32/38/971593238.db2.gz KSNLJEUIVIODOA-KBPBESRZSA-N 0 3 237.318 2.691 20 0 BFADHN CCC[C@H](C)CCCN1CCO[C@@H](C)C1 ZINC001170713255 971623120 /nfs/dbraw/zinc/62/31/20/971623120.db2.gz ZSIRAYINHXZHBO-STQMWFEESA-N 0 3 213.365 2.924 20 0 BFADHN CC1(C)[C@@H]2C[C@@H](NCc3ncccc3F)C[C@@H]21 ZINC001170714135 971623820 /nfs/dbraw/zinc/62/38/20/971623820.db2.gz ZQGNBWAZBYATSL-RTCCRHLQSA-N 0 3 234.318 2.745 20 0 BFADHN C[C@H](N)c1ccn([C@@H]2C[C@@H]3[C@H](C2)C3(C)C)n1 ZINC001170725218 971659535 /nfs/dbraw/zinc/65/95/35/971659535.db2.gz WANQUJYXFKPOEW-XWLWVQCSSA-N 0 3 219.332 2.510 20 0 BFADHN CC1(C)[C@@H]2C[C@@H](N3CCc4cnccc4C3)C[C@@H]21 ZINC001170737695 971683947 /nfs/dbraw/zinc/68/39/47/971683947.db2.gz BWODWLPSTCCUNO-QKDCVEJESA-N 0 3 242.366 2.874 20 0 BFADHN c1cc2c(c(O[C@@H]3C[C@H]4CC[C@@H]3C4)c1)CNC2 ZINC001218294436 971691715 /nfs/dbraw/zinc/69/17/15/971691715.db2.gz LUZMFALXHHRLKO-FIXISWKDSA-N 0 3 229.323 2.857 20 0 BFADHN CCC[C@H](C)c1cc(N=C2CCCNC2)on1 ZINC001159116968 971694296 /nfs/dbraw/zinc/69/42/96/971694296.db2.gz AYYTUHTYLABQPJ-JTQLQIEISA-N 0 3 235.331 2.867 20 0 BFADHN Cc1cc(CN(C)[C@H]2C[C@@H]3[C@H](C2)C3(C)C)n(C)n1 ZINC001170758678 971732201 /nfs/dbraw/zinc/73/22/01/971732201.db2.gz UMTFLTSGBWCTRM-UBSAEIQESA-N 0 3 247.386 2.595 20 0 BFADHN C[C@@H]1NC[C@@H]1Oc1nc(-c2ccccc2)cs1 ZINC001218383242 971761364 /nfs/dbraw/zinc/76/13/64/971761364.db2.gz FTEQANMSQAJKHN-CABZTGNLSA-N 0 3 246.335 2.549 20 0 BFADHN Cc1ccc(F)cc1O[C@H]1CNCCC1(F)F ZINC001218446442 971822310 /nfs/dbraw/zinc/82/23/10/971822310.db2.gz APVNMZRXCCMRLD-NSHDSACASA-N 0 3 245.244 2.510 20 0 BFADHN FC[C@@H](N[C@@H]1CCCOCC1)c1ccccc1 ZINC001170789215 971825615 /nfs/dbraw/zinc/82/56/15/971825615.db2.gz AQJLDOYMYRTXQO-ZIAGYGMSSA-N 0 3 237.318 2.856 20 0 BFADHN CCCOC1CN([C@@H]2CC[C@H](C)[C@H](C)C2)C1 ZINC001172373815 974567895 /nfs/dbraw/zinc/56/78/95/974567895.db2.gz NRZPGFHTHZINGX-YNEHKIRRSA-N 0 3 225.376 2.922 20 0 BFADHN Fc1cccc(F)c1CN[C@@H]1CCCOCC1 ZINC000310204290 971956288 /nfs/dbraw/zinc/95/62/88/971956288.db2.gz BKQJKOTUZGFUDR-SNVBAGLBSA-N 0 3 241.281 2.624 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)N1CC[C@@H](F)C1 ZINC001172342815 974613062 /nfs/dbraw/zinc/61/30/62/974613062.db2.gz NXBDWJMEUXDQDK-IJLUTSLNSA-N 0 3 217.328 2.624 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)N1C[C@@H](C)OC[C@@H]1C ZINC001172348102 974617509 /nfs/dbraw/zinc/61/75/09/974617509.db2.gz OAXLZJHJEUEYJH-IGQOVBAYSA-N 0 3 243.391 2.689 20 0 BFADHN COc1cccc(CN2C[C@H]3CC[C@@H]2C3)c1F ZINC000192943793 972188312 /nfs/dbraw/zinc/18/83/12/972188312.db2.gz ZLAKJXWAIJCQCZ-CMPLNLGQSA-N 0 3 235.302 2.819 20 0 BFADHN c1ccc(C2CC2)c(CN2CC[C@@]23CCOC3)c1 ZINC001139883398 956896678 /nfs/dbraw/zinc/89/66/78/956896678.db2.gz ROYDWXAUXXHJPQ-INIZCTEOSA-N 0 3 243.350 2.929 20 0 BFADHN c1ccc(C2CC2)c(CN2CC[C@]23CCOC3)c1 ZINC001139883399 956898179 /nfs/dbraw/zinc/89/81/79/956898179.db2.gz ROYDWXAUXXHJPQ-MRXNPFEDSA-N 0 3 243.350 2.929 20 0 BFADHN CO[C@@H]1CCN(Cc2cc(C)c(F)c(C)c2)C1 ZINC001143374474 957061184 /nfs/dbraw/zinc/06/11/84/957061184.db2.gz ZBULMTMFZUXUHT-CYBMUJFWSA-N 0 3 237.318 2.663 20 0 BFADHN FC1C[C@@H]2COC[C@H](C1)N2CCC1CCCC1 ZINC001208693859 957128871 /nfs/dbraw/zinc/12/88/71/957128871.db2.gz FFYZSRRDUJHNCK-AGUYFDCRSA-N 0 3 241.350 2.768 20 0 BFADHN CCN(Cc1cccnc1)C[C@@H]1CCC=CO1 ZINC001208881673 957198574 /nfs/dbraw/zinc/19/85/74/957198574.db2.gz ZXQDXTHQBNHWPN-AWEZNQCLSA-N 0 3 232.327 2.596 20 0 BFADHN COCCN1CCC[C@H]1c1ccccc1F ZINC001209212863 957358965 /nfs/dbraw/zinc/35/89/65/957358965.db2.gz XGPKUFSPDZNSMN-ZDUSSCGKSA-N 0 3 223.291 2.609 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCC[C@H]1c1nccs1 ZINC001209421795 957435695 /nfs/dbraw/zinc/43/56/95/957435695.db2.gz OYUAGLYKEHSTRX-MXWKQRLJSA-N 0 3 222.357 2.936 20 0 BFADHN CCn1c2ccccc2nc1-c1cnccc1N ZINC000397339775 957597434 /nfs/dbraw/zinc/59/74/34/957597434.db2.gz MFCHYEWAXIMOHD-UHFFFAOYSA-N 0 3 238.294 2.531 20 0 BFADHN Nc1ccncc1-c1nc2cc(Cl)ccc2[nH]1 ZINC000397375020 957608176 /nfs/dbraw/zinc/60/81/76/957608176.db2.gz TXIKVNPEKOQLPL-UHFFFAOYSA-N 0 3 244.685 2.691 20 0 BFADHN c1csc(C2CCN(Cc3cnc[nH]3)CC2)c1 ZINC001136870045 972278484 /nfs/dbraw/zinc/27/84/84/972278484.db2.gz JLPHHZWDYFIMGS-UHFFFAOYSA-N 0 3 247.367 2.851 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N(C)[C@@H](C)C(C)(C)C ZINC000400794733 957687957 /nfs/dbraw/zinc/68/79/57/957687957.db2.gz CZZBOMXBYDMVCH-SDDRHHMPSA-N 0 3 242.407 2.643 20 0 BFADHN CCc1cc(Nc2ccn(C(C)C)n2)ccn1 ZINC001210341604 957722736 /nfs/dbraw/zinc/72/27/36/957722736.db2.gz JJMKNAKZIVXFSF-UHFFFAOYSA-N 0 3 230.315 2.587 20 0 BFADHN Cc1ccn2c(CN3CCC4(CC4)C3)cnc2c1 ZINC001136886652 972295153 /nfs/dbraw/zinc/29/51/53/972295153.db2.gz SJIAGIJVWUPXPW-UHFFFAOYSA-N 0 3 241.338 2.629 20 0 BFADHN CCCN(CC)Cc1ncc(C(F)(F)F)[nH]1 ZINC001137010199 972324645 /nfs/dbraw/zinc/32/46/45/972324645.db2.gz ICDFQGYFJJBIDV-UHFFFAOYSA-N 0 3 235.253 2.660 20 0 BFADHN CN(CCC1CC1)Cc1ncc(C(F)(F)F)[nH]1 ZINC001137019130 972328437 /nfs/dbraw/zinc/32/84/37/972328437.db2.gz SNTQAOHMDSHJHF-UHFFFAOYSA-N 0 3 247.264 2.660 20 0 BFADHN CCN(C)Cc1cc(Br)c(C)cn1 ZINC001143647134 972348383 /nfs/dbraw/zinc/34/83/83/972348383.db2.gz AYPRPPUOIXNVFO-UHFFFAOYSA-N 0 3 243.148 2.604 20 0 BFADHN CCN(CCOC)Cc1cc(F)cc(F)c1C ZINC001143757598 972362718 /nfs/dbraw/zinc/36/27/18/972362718.db2.gz GKHQWFMSAKYYMP-UHFFFAOYSA-N 0 3 243.297 2.742 20 0 BFADHN [O-]c1ccc(C[NH2+]C[C@]23C[C@H]2CCC3)cc1F ZINC000693533568 958724667 /nfs/dbraw/zinc/72/46/67/958724667.db2.gz LACBODISTBRNOA-RISCZKNCSA-N 0 3 235.302 2.811 20 0 BFADHN Cc1cc(C)nc(NCC(C)(F)F)c1 ZINC000708031766 958937063 /nfs/dbraw/zinc/93/70/63/958937063.db2.gz FNVIMABQNMWPBF-UHFFFAOYSA-N 0 3 200.232 2.766 20 0 BFADHN CCn1ccnc1CNC[C@@]12C[C@@H]1CCCC2 ZINC000693621730 959015963 /nfs/dbraw/zinc/01/59/63/959015963.db2.gz OWHCQQPPYOBDRA-GXTWGEPZSA-N 0 3 233.359 2.573 20 0 BFADHN CNc1ncccc1CN1CCC2(CCC2)CC1 ZINC000308742830 959493606 /nfs/dbraw/zinc/49/36/06/959493606.db2.gz ZFCNGLXTSRAMJW-UHFFFAOYSA-N 0 3 245.370 2.889 20 0 BFADHN C[C@H]1C[C@H](OC[C@@H]2COC(C)(C)N2)C[C@@H](C)C1 ZINC001217860824 959675312 /nfs/dbraw/zinc/67/53/12/959675312.db2.gz PMDQMMDYAWGWFD-XQHKEYJVSA-N 0 3 241.375 2.552 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@@H](C)c1ccco1 ZINC000301039383 959686119 /nfs/dbraw/zinc/68/61/19/959686119.db2.gz YOKJHJNCOWVKQI-VHSXEESVSA-N 0 3 211.305 2.744 20 0 BFADHN CCCCC[C@H](OC[C@H]1CNCCO1)C(C)C ZINC001217893363 959723211 /nfs/dbraw/zinc/72/32/11/959723211.db2.gz YBJFBOLKNKSMHE-KGLIPLIRSA-N 0 3 243.391 2.596 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cncc2ccccc21 ZINC000694393378 959853068 /nfs/dbraw/zinc/85/30/68/959853068.db2.gz OWIQEWWEIFJYBZ-CABCVRRESA-N 0 3 242.322 2.502 20 0 BFADHN CCC(C)(C)CCN[C@H]1C[C@@H](C)n2ncnc21 ZINC000926780572 959961233 /nfs/dbraw/zinc/96/12/33/959961233.db2.gz DVVXIQXRSMFTGD-MNOVXSKESA-N 0 3 236.363 2.700 20 0 BFADHN CCC(C)(C)CCN[C@@H]1C[C@@H](C)n2ncnc21 ZINC000926780571 959962088 /nfs/dbraw/zinc/96/20/88/959962088.db2.gz DVVXIQXRSMFTGD-GHMZBOCLSA-N 0 3 236.363 2.700 20 0 BFADHN Cc1csc(CNCCCOC(C)(C)C)n1 ZINC000695288698 960187081 /nfs/dbraw/zinc/18/70/81/960187081.db2.gz BWRROXMEEPUFFO-UHFFFAOYSA-N 0 3 242.388 2.746 20 0 BFADHN COC(=O)[C@H](C)N(C)[C@H]1C[C@H](C)CC(C)(C)C1 ZINC000709019248 960212417 /nfs/dbraw/zinc/21/24/17/960212417.db2.gz IAWHVSBRTIKIQR-SRVKXCTJSA-N 0 3 241.375 2.695 20 0 BFADHN C[C@H](N[C@@H](C)C1(Cl)CC1)c1cccnc1 ZINC000695649171 960323672 /nfs/dbraw/zinc/32/36/72/960323672.db2.gz QBUAGMDYZGTJTR-UWVGGRQHSA-N 0 3 224.735 2.892 20 0 BFADHN COC(=O)[C@@H]1CCCN1C[C@H](C)CC(C)(C)C ZINC000709261397 960464656 /nfs/dbraw/zinc/46/46/56/960464656.db2.gz MDVPCACODJYEGA-NEPJUHHUSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@]1(F)CCCCN(Cc2cc[nH]c2)C1 ZINC001140704963 960515832 /nfs/dbraw/zinc/51/58/32/960515832.db2.gz RBDAJOUTGMJOJB-LBPRGKRZSA-N 0 3 210.296 2.729 20 0 BFADHN Cc1ncc(CN[C@@H](C)Cc2cccc(F)c2)o1 ZINC000397933404 960536005 /nfs/dbraw/zinc/53/60/05/960536005.db2.gz VWLZEUIWULXJDY-JTQLQIEISA-N 0 3 248.301 2.843 20 0 BFADHN Cn1cnc2ccc(CN3CC4(CCC4)C3)cc21 ZINC001140741259 960541970 /nfs/dbraw/zinc/54/19/70/960541970.db2.gz MZIVXJOBEWZDFY-UHFFFAOYSA-N 0 3 241.338 2.559 20 0 BFADHN C[C@@H]1CN(Cc2coc3ccccc23)CCN1C ZINC001140786206 960568261 /nfs/dbraw/zinc/56/82/61/960568261.db2.gz BCAUUWLGRHBBQD-GFCCVEGCSA-N 0 3 244.338 2.569 20 0 BFADHN Cc1cc(Br)c(F)cc1CN(C)C ZINC001140794073 960575163 /nfs/dbraw/zinc/57/51/63/960575163.db2.gz WHIGAJRPMOTMJP-UHFFFAOYSA-N 0 3 246.123 2.958 20 0 BFADHN C[C@@H]1CCN(Cc2cnc3cc[nH]cc2-3)C[C@H]1F ZINC001140802152 960586142 /nfs/dbraw/zinc/58/61/42/960586142.db2.gz OWAXMWVGWNQMKD-ZWNOBZJWSA-N 0 3 247.317 2.743 20 0 BFADHN C[C@@H]1CCN(Cc2c[nH]c3ccncc23)C[C@H]1F ZINC001140802152 960586150 /nfs/dbraw/zinc/58/61/50/960586150.db2.gz OWAXMWVGWNQMKD-ZWNOBZJWSA-N 0 3 247.317 2.743 20 0 BFADHN Cc1cc(CN2CCCC3(CCCC3)C2)[nH]n1 ZINC001203069714 960611134 /nfs/dbraw/zinc/61/11/34/960611134.db2.gz BJPCYQQGGFEBRY-UHFFFAOYSA-N 0 3 233.359 2.874 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C(F)(F)CC2)n[nH]1 ZINC001203070176 960620763 /nfs/dbraw/zinc/62/07/63/960620763.db2.gz JQRBJCJZQVAIEL-SECBINFHSA-N 0 3 243.301 2.585 20 0 BFADHN CN(Cc1c(N)cccc1F)C[C@@H]1CC1(C)C ZINC001140877297 960659784 /nfs/dbraw/zinc/65/97/84/960659784.db2.gz ILTMMEODHREXRB-JTQLQIEISA-N 0 3 236.334 2.886 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3CCCC[C@H]32)[nH]n1 ZINC001203077295 960689677 /nfs/dbraw/zinc/68/96/77/960689677.db2.gz WOEBDCRCQJXGLF-GXTWGEPZSA-N 0 3 233.359 2.873 20 0 BFADHN c1ncc(CN2CCCC3(CCCC3)C2)o1 ZINC001140928916 960703141 /nfs/dbraw/zinc/70/31/41/960703141.db2.gz WDLRKVBUTBCMJM-UHFFFAOYSA-N 0 3 220.316 2.831 20 0 BFADHN Fc1ccc([C@H]2CCN(Cc3cnco3)C2)cc1 ZINC001140932607 960710761 /nfs/dbraw/zinc/71/07/61/960710761.db2.gz VBNPFSSVUMJFGM-LBPRGKRZSA-N 0 3 246.285 2.803 20 0 BFADHN Cc1noc([C@H](N[C@@H]2CCC[C@@H]2C)C(C)C)n1 ZINC000167943903 960812773 /nfs/dbraw/zinc/81/27/73/960812773.db2.gz AAUPUYRTBIQXJT-MVWJERBFSA-N 0 3 237.347 2.853 20 0 BFADHN CC(C)CN(C)Cc1c[nH]nc1C(F)(F)F ZINC001141012012 960817089 /nfs/dbraw/zinc/81/70/89/960817089.db2.gz FXHUXYJJUFVJLI-UHFFFAOYSA-N 0 3 235.253 2.516 20 0 BFADHN CCOCC1CCN(Cc2cnccc2C)CC1 ZINC001141036096 960824474 /nfs/dbraw/zinc/82/44/74/960824474.db2.gz LODQXSGPZBBKOF-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN C[C@@]1(CF)CCN(Cc2ccn3ccnc3c2)C1 ZINC001141047561 960833777 /nfs/dbraw/zinc/83/37/77/960833777.db2.gz LDSRQUBGIMKCHW-AWEZNQCLSA-N 0 3 247.317 2.516 20 0 BFADHN FC[C@@H]1CCCN(Cc2ccn3ccnc3c2)C1 ZINC001141045971 960835993 /nfs/dbraw/zinc/83/59/93/960835993.db2.gz HVDVUPSSOKKLCT-ZDUSSCGKSA-N 0 3 247.317 2.516 20 0 BFADHN CCCCc1nc(CN2C[C@@H]3CCC[C@H]3C2)c[nH]1 ZINC001141066345 960852655 /nfs/dbraw/zinc/85/26/55/960852655.db2.gz CPPYLRKKLFGJOK-STQMWFEESA-N 0 3 247.386 2.984 20 0 BFADHN CCCCc1nc(CN2CCC[C@@H]2C)c[nH]1 ZINC001141066996 960853991 /nfs/dbraw/zinc/85/39/91/960853991.db2.gz NNIBATSWIHFLTG-NSHDSACASA-N 0 3 221.348 2.737 20 0 BFADHN CCc1ccccc1CN1CC2(CSC2)C1 ZINC001141229242 960998636 /nfs/dbraw/zinc/99/86/36/960998636.db2.gz NNYDKQLRVWEPNM-UHFFFAOYSA-N 0 3 233.380 2.798 20 0 BFADHN C[C@@H](NCC1SCCS1)c1ccccn1 ZINC000692889339 961186088 /nfs/dbraw/zinc/18/60/88/961186088.db2.gz XOZHZHUOJUDXGQ-SECBINFHSA-N 0 3 240.397 2.538 20 0 BFADHN Cc1cc(O)cc(C)c1CN1CC2(CCC2)C1 ZINC001139712904 961312569 /nfs/dbraw/zinc/31/25/69/961312569.db2.gz ZGZFVRJEGZNVOA-UHFFFAOYSA-N 0 3 231.339 2.995 20 0 BFADHN C[C@@H]1CCCN(Cc2[nH]cnc2C(C)(C)C)C1 ZINC001142209498 961348614 /nfs/dbraw/zinc/34/86/14/961348614.db2.gz PHNRUZRCIPXERB-LLVKDONJSA-N 0 3 235.375 2.939 20 0 BFADHN CC[C@H](C)N(C)Cc1[nH]cnc1C(C)(C)C ZINC001142213191 961358331 /nfs/dbraw/zinc/35/83/31/961358331.db2.gz QORBSMPPDHPRFH-JTQLQIEISA-N 0 3 223.364 2.938 20 0 BFADHN CC(C)(C)c1nc[nH]c1CN1CC2CC(C2)C1 ZINC001142234600 961364360 /nfs/dbraw/zinc/36/43/60/961364360.db2.gz DJGABUQSRZXRMV-UHFFFAOYSA-N 0 3 233.359 2.549 20 0 BFADHN CCC(=O)N(C)CCN(C)[C@H](C)c1ccccc1 ZINC001268229618 961450300 /nfs/dbraw/zinc/45/03/00/961450300.db2.gz NZPQQTLKZATYMK-CYBMUJFWSA-N 0 3 248.370 2.548 20 0 BFADHN O=C(CN[C@H]1C[C@H]2C[C@H]2C1)c1ccc(Cl)cc1 ZINC001167894602 961512384 /nfs/dbraw/zinc/51/23/84/961512384.db2.gz PNBQQXKVJXKKDJ-PJXYFTJBSA-N 0 3 249.741 2.911 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1CO[C@H](C)C1 ZINC000401829532 961555836 /nfs/dbraw/zinc/55/58/36/961555836.db2.gz HWFHXUJWAHKUQZ-UTUOFQBUSA-N 0 3 235.327 2.523 20 0 BFADHN c1nc2c(cccc2CN2CC3(CCC3)C2)[nH]1 ZINC001142676116 961600546 /nfs/dbraw/zinc/60/05/46/961600546.db2.gz AGKOZAUOMJKRGR-UHFFFAOYSA-N 0 3 227.311 2.549 20 0 BFADHN C[C@@H](N[C@H]1C[C@H]2C[C@H]2C1)c1nccs1 ZINC001167901954 961642013 /nfs/dbraw/zinc/64/20/13/961642013.db2.gz IQOCPRKQZPEHOY-IMSYWVGJSA-N 0 3 208.330 2.592 20 0 BFADHN c1ccc(-c2cccc(CN3CCC3)c2)nc1 ZINC001142829404 961794305 /nfs/dbraw/zinc/79/43/05/961794305.db2.gz ZOAFXLQERNYHNX-UHFFFAOYSA-N 0 3 224.307 2.954 20 0 BFADHN c1cc2c(nccc2CN2CCC[C@@H]3C[C@@H]32)[nH]1 ZINC001142884184 961810542 /nfs/dbraw/zinc/81/05/42/961810542.db2.gz XOHQWNJZTUMTQY-MFKMUULPSA-N 0 3 227.311 2.547 20 0 BFADHN C[C@@H]1CCC[N@H+](Cc2ccc(O)cc2[O-])[C@@H]1C ZINC000092737184 961866035 /nfs/dbraw/zinc/86/60/35/961866035.db2.gz WIEVCNZDCCFLJW-GHMZBOCLSA-N 0 3 235.327 2.718 20 0 BFADHN C[C@@H]1CCC[N@@H+](Cc2ccc(O)cc2[O-])[C@@H]1C ZINC000092737184 961866039 /nfs/dbraw/zinc/86/60/39/961866039.db2.gz WIEVCNZDCCFLJW-GHMZBOCLSA-N 0 3 235.327 2.718 20 0 BFADHN c1ccc([C@@H]2CN([C@H]3C[C@H]4C[C@H]4C3)CCO2)cc1 ZINC001167940182 962159256 /nfs/dbraw/zinc/15/92/56/962159256.db2.gz PHYRDSQOGCWBJW-JJXSEGSLSA-N 0 3 243.350 2.858 20 0 BFADHN CCC[C@@H](N)c1cn(-c2cccc(C)c2F)nn1 ZINC000302294556 962230107 /nfs/dbraw/zinc/23/01/07/962230107.db2.gz LGASUGBSEHLEQU-SNVBAGLBSA-N 0 3 248.305 2.515 20 0 BFADHN [O-]c1ccc(C[N@@H+]2CCC[C@@H]3CCCC[C@@H]32)nc1 ZINC000132385568 962418217 /nfs/dbraw/zinc/41/82/17/962418217.db2.gz XXCMIOLAJDFWNR-WFASDCNBSA-N 0 3 246.354 2.942 20 0 BFADHN [O-]c1ccc(C[N@H+]2CCC[C@@H]3CCCC[C@@H]32)nc1 ZINC000132385568 962418227 /nfs/dbraw/zinc/41/82/27/962418227.db2.gz XXCMIOLAJDFWNR-WFASDCNBSA-N 0 3 246.354 2.942 20 0 BFADHN C[C@@H]1CCCCC[N@@H+]1Cc1ccc([O-])cn1 ZINC000132742619 962479065 /nfs/dbraw/zinc/47/90/65/962479065.db2.gz ZPNDUMUUJOBQAN-LLVKDONJSA-N 0 3 220.316 2.552 20 0 BFADHN C[C@@H]1CCCCC[N@H+]1Cc1ccc([O-])cn1 ZINC000132742619 962479077 /nfs/dbraw/zinc/47/90/77/962479077.db2.gz ZPNDUMUUJOBQAN-LLVKDONJSA-N 0 3 220.316 2.552 20 0 BFADHN COc1cccnc1CN(CC1CC1)C(C)C ZINC001206645605 962503936 /nfs/dbraw/zinc/50/39/36/962503936.db2.gz YRGYOKMCJFIPNG-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ccc(Cl)cc1O[C@@H]1CCN(C)C1 ZINC001225874052 962888507 /nfs/dbraw/zinc/88/85/07/962888507.db2.gz GDXWMMUKSSIMBQ-LLVKDONJSA-N 0 3 225.719 2.731 20 0 BFADHN C[C@H]1SCC[C@H]1Nc1cc(N)ncc1Cl ZINC000894364364 962966527 /nfs/dbraw/zinc/96/65/27/962966527.db2.gz RUDDAORELANFMQ-HTRCEHHLSA-N 0 3 243.763 2.623 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1csnc1OC ZINC000712891456 962999302 /nfs/dbraw/zinc/99/93/02/962999302.db2.gz VYQWFODNRCYBOW-KOLCDFICSA-N 0 3 240.372 2.820 20 0 BFADHN CC(C)CC[C@@H](C)Oc1ccnc(N)c1 ZINC001226657717 963274906 /nfs/dbraw/zinc/27/49/06/963274906.db2.gz QUSZNKLTLTXTSL-SNVBAGLBSA-N 0 3 208.305 2.867 20 0 BFADHN C[C@H]1CC[C@@H](CO)N1Cc1ccccc1Cl ZINC001203377757 963372976 /nfs/dbraw/zinc/37/29/76/963372976.db2.gz QAUWMPRJJSOQQL-JQWIXIFHSA-N 0 3 239.746 2.685 20 0 BFADHN Cc1cc(F)cc(CN2CCC[C@]3(CCO3)C2)c1 ZINC001143589888 963384103 /nfs/dbraw/zinc/38/41/03/963384103.db2.gz ITQLIFQTZXZJMO-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1ccnc(N(C(=N)N)c2ccc(F)cc2)c1 ZINC001157224908 963557217 /nfs/dbraw/zinc/55/72/17/963557217.db2.gz MZVKDKHSYPDUEJ-UHFFFAOYSA-N 0 3 244.273 2.561 20 0 BFADHN Cc1nocc1CNCC(C)(C)C(C)(F)F ZINC000697134008 963745142 /nfs/dbraw/zinc/74/51/42/963745142.db2.gz HIGJSFJQHOCOMR-UHFFFAOYSA-N 0 3 232.274 2.754 20 0 BFADHN C[C@H](Cc1ccc(Cl)cc1)n1cncc1CN ZINC001168148626 963867560 /nfs/dbraw/zinc/86/75/60/963867560.db2.gz FVDBOMYRLRDTNE-SNVBAGLBSA-N 0 3 249.745 2.799 20 0 BFADHN ClC(Cl)=CCNC1(C2CC2)CC1 ZINC000697400234 963904507 /nfs/dbraw/zinc/90/45/07/963904507.db2.gz DHMQBUMERPFYCF-UHFFFAOYSA-N 0 3 206.116 2.838 20 0 BFADHN O=c1ccc2cc(NC3=CNCCC3)c[nH]c-2c1 ZINC001159313851 964059301 /nfs/dbraw/zinc/05/93/01/964059301.db2.gz KABGTOUFZRMKJV-UHFFFAOYSA-N 0 3 241.294 2.577 20 0 BFADHN c1cc(NC2=CNCCC2)nc(C2CC2)c1 ZINC001159365235 964067882 /nfs/dbraw/zinc/06/78/82/964067882.db2.gz BNORNBGFJMCFJL-UHFFFAOYSA-N 0 3 215.300 2.596 20 0 BFADHN COc1ccc(F)c(NC2=CNCCC2)c1F ZINC001159674941 964147620 /nfs/dbraw/zinc/14/76/20/964147620.db2.gz OKBHRLUXYJFYGB-UHFFFAOYSA-N 0 3 240.253 2.610 20 0 BFADHN CCO[C@@H](C)COc1ccccc1CN(C)C ZINC001229700411 964165420 /nfs/dbraw/zinc/16/54/20/964165420.db2.gz ALFUTMWUVJJNBC-LBPRGKRZSA-N 0 3 237.343 2.552 20 0 BFADHN Cn1ccnc1Nc1cccc(OC(F)F)c1 ZINC001212053657 964174911 /nfs/dbraw/zinc/17/49/11/964174911.db2.gz DMWJONRLIJMBDP-UHFFFAOYSA-N 0 3 239.225 2.765 20 0 BFADHN Cc1cc(C)nc(N[C@H](C)c2ncc(F)cn2)c1 ZINC001159959520 964234464 /nfs/dbraw/zinc/23/44/64/964234464.db2.gz NFEJIBJRTXQSGA-SNVBAGLBSA-N 0 3 246.289 2.801 20 0 BFADHN CC(F)(F)C(C)(C)CN[C@H]1CCn2ccnc21 ZINC000696586367 964896646 /nfs/dbraw/zinc/89/66/46/964896646.db2.gz GDSSKPQGYYYCHP-VIFPVBQESA-N 0 3 243.301 2.599 20 0 BFADHN NCc1cn(-c2ccc(F)c3ccccc32)cn1 ZINC001162302419 964917489 /nfs/dbraw/zinc/91/74/89/964917489.db2.gz QQNIMCBZWMSOQR-UHFFFAOYSA-N 0 3 241.269 2.623 20 0 BFADHN Cc1ccc(Cl)c(C)c1-n1cnc(CN)c1 ZINC001162304844 964920970 /nfs/dbraw/zinc/92/09/70/964920970.db2.gz VKPGJAGYGCSFPZ-UHFFFAOYSA-N 0 3 235.718 2.601 20 0 BFADHN Clc1ccc(CN(CC2CC2)C2CC2)nn1 ZINC000167408441 964939376 /nfs/dbraw/zinc/93/93/76/964939376.db2.gz QQZOYTJFCXQMSE-UHFFFAOYSA-N 0 3 237.734 2.504 20 0 BFADHN Fc1cc(F)cc(CN[C@H]2C=CCC2)c1 ZINC000698213461 965111742 /nfs/dbraw/zinc/11/17/42/965111742.db2.gz JZZUNIGUVDPVEB-LBPRGKRZSA-N 0 3 209.239 2.773 20 0 BFADHN COc1ccc(CN[C@@H]2C=CCC2)c(F)c1 ZINC000698213432 965113225 /nfs/dbraw/zinc/11/32/25/965113225.db2.gz JQVNQEWRGYERCD-LLVKDONJSA-N 0 3 221.275 2.643 20 0 BFADHN C1=C[C@H](N[C@@H]2CCCc3cccnc32)CC1 ZINC000698263877 965159163 /nfs/dbraw/zinc/15/91/63/965159163.db2.gz DEGZTCNYJDDENN-QWHCGFSZSA-N 0 3 214.312 2.767 20 0 BFADHN CC[C@H](C)CCNCc1ccoc1C(=O)OC ZINC000696156824 965258689 /nfs/dbraw/zinc/25/86/89/965258689.db2.gz GIJNPORTPYMVBK-JTQLQIEISA-N 0 3 239.315 2.592 20 0 BFADHN Cc1ccncc1N[C@H]1CNCc2ccsc21 ZINC001163226948 965308497 /nfs/dbraw/zinc/30/84/97/965308497.db2.gz NXSQXWZXCQDEOF-LBPRGKRZSA-N 0 3 245.351 2.708 20 0 BFADHN Cc1ccc(N[C@@H]2CNCc3ccsc32)nc1 ZINC001163220537 965309039 /nfs/dbraw/zinc/30/90/39/965309039.db2.gz RKVPQLBEQIWFJZ-LLVKDONJSA-N 0 3 245.351 2.708 20 0 BFADHN C[C@@H]1CCN(Cc2cnsc2)[C@H](C)C1 ZINC001232226185 965316016 /nfs/dbraw/zinc/31/60/16/965316016.db2.gz AHFOFOXRGGRUHO-NXEZZACHSA-N 0 3 210.346 2.764 20 0 BFADHN CCc1csc(CN2C[C@@H]3CCC[C@H]3C2)n1 ZINC001232471041 965360173 /nfs/dbraw/zinc/36/01/73/965360173.db2.gz ZWGKWZYCKQAGGF-QWRGUYRKSA-N 0 3 236.384 2.937 20 0 BFADHN C[C@]1(NCC2=NOCC2)CCCc2ccccc21 ZINC001168423257 965406084 /nfs/dbraw/zinc/40/60/84/965406084.db2.gz GEHSPFCMQLGYJD-HNNXBMFYSA-N 0 3 244.338 2.604 20 0 BFADHN CC(C)N(c1cc(CN(C)C)ccn1)C(C)C ZINC001163577557 965461075 /nfs/dbraw/zinc/46/10/75/965461075.db2.gz SKWIMENPCKFEBS-UHFFFAOYSA-N 0 3 235.375 2.766 20 0 BFADHN CCCN(CC)c1ncccc1CN1CCCC1 ZINC001163606931 965480548 /nfs/dbraw/zinc/48/05/48/965480548.db2.gz RHWNOZDJSKUFCY-UHFFFAOYSA-N 0 3 247.386 2.914 20 0 BFADHN Cc1cc(C)cc(CNc2ccnc(CN)c2)c1 ZINC001163753074 965567361 /nfs/dbraw/zinc/56/73/61/965567361.db2.gz JEPNAPYUTBYBFB-UHFFFAOYSA-N 0 3 241.338 2.769 20 0 BFADHN [O-]c1ccc(C[NH2+]C[C@@H]2C[C@H]2C2CC2)cc1F ZINC000698915809 965589458 /nfs/dbraw/zinc/58/94/58/965589458.db2.gz ZCKJVACPXNYXTB-RYUDHWBXSA-N 0 3 235.302 2.667 20 0 BFADHN CCCCCC[C@H](C)C(=O)N(C)CCN(C)C ZINC000176374058 965641569 /nfs/dbraw/zinc/64/15/69/965641569.db2.gz FLHUVEHFYJAMDL-ZDUSSCGKSA-N 0 3 242.407 2.613 20 0 BFADHN Cc1cc(CN)nn1-c1cc(C)c(C)c(C)c1 ZINC001163980945 965674397 /nfs/dbraw/zinc/67/43/97/965674397.db2.gz BAZACOGMJJXGMB-UHFFFAOYSA-N 0 3 229.327 2.565 20 0 BFADHN Cc1cc(CN)nn1-c1cccc(C(F)F)c1 ZINC001163985138 965678927 /nfs/dbraw/zinc/67/89/27/965678927.db2.gz JLDVMCOWMMMZLO-UHFFFAOYSA-N 0 3 237.253 2.577 20 0 BFADHN Cc1nc2ccc(NCC(=O)C(C)(C)C)cc2[nH]1 ZINC001164003867 965687882 /nfs/dbraw/zinc/68/78/82/965687882.db2.gz XLHMIIHNFUCTCX-UHFFFAOYSA-N 0 3 245.326 2.898 20 0 BFADHN C[C@@H](N)c1nccn1[C@@H](C)Cc1cccc(F)c1 ZINC001168454235 965759617 /nfs/dbraw/zinc/75/96/17/965759617.db2.gz NNDPHTDKLGUMJS-WDEREUQCSA-N 0 3 247.317 2.846 20 0 BFADHN C[C@H](C[NH+](C)C)Oc1c(Cl)ccc([O-])c1F ZINC001234264137 965770018 /nfs/dbraw/zinc/77/00/18/965770018.db2.gz NMTFRJHXZJWQEQ-SSDOTTSWSA-N 0 3 247.697 2.514 20 0 BFADHN C[C@@H](COc1ccccc1)NCc1ccns1 ZINC000699300692 965842145 /nfs/dbraw/zinc/84/21/45/965842145.db2.gz XRYFPNJRNPUHLE-NSHDSACASA-N 0 3 248.351 2.700 20 0 BFADHN CCc1ccc(CN2CC[C@]3(C2)CCCCO3)o1 ZINC001204390161 965871714 /nfs/dbraw/zinc/87/17/14/965871714.db2.gz DJCSIQZOGMJQMH-HNNXBMFYSA-N 0 3 249.354 2.987 20 0 BFADHN Cc1cnc(N2CCN(C(C)C)[C@H](C)C2)cc1C ZINC001165199570 965980555 /nfs/dbraw/zinc/98/05/55/965980555.db2.gz BJBWMYCWKRUZLW-CQSZACIVSA-N 0 3 247.386 2.617 20 0 BFADHN Cc1c2[nH]cnc2ccc1NC[C@@H]1CCCCO1 ZINC001165230308 965997330 /nfs/dbraw/zinc/99/73/30/965997330.db2.gz VFXMWDRGTYYLMB-NSHDSACASA-N 0 3 245.326 2.852 20 0 BFADHN CC[C@H](N[C@@H]1COC[C@H]1C)c1ccccc1F ZINC000699792058 966094040 /nfs/dbraw/zinc/09/40/40/966094040.db2.gz VJGBWKDCQGWRDM-DDTOSNHZSA-N 0 3 237.318 2.901 20 0 BFADHN C[C@H](Cc1cccc(F)c1)N1CC[C@]12CCOC2 ZINC001168501664 966098704 /nfs/dbraw/zinc/09/87/04/966098704.db2.gz UGSRDVKTJNLOJN-IUODEOHRSA-N 0 3 249.329 2.622 20 0 BFADHN Cc1ccc(CN[C@H]2COC[C@H]2C)c(Cl)c1 ZINC000699875949 966160235 /nfs/dbraw/zinc/16/02/35/966160235.db2.gz HWOFDOUTLYFLEF-MFKMUULPSA-N 0 3 239.746 2.773 20 0 BFADHN Cc1nc(N(C)C(C)(C)C)cc(N2CCCC2)n1 ZINC001166530115 966288758 /nfs/dbraw/zinc/28/87/58/966288758.db2.gz OUXWZLGRWZDMBA-UHFFFAOYSA-N 0 3 248.374 2.620 20 0 BFADHN Cc1cncc(CN2CCC3(C2)CCCCC3)n1 ZINC001203180659 966545549 /nfs/dbraw/zinc/54/55/49/966545549.db2.gz VLVHGJFHCATKJW-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN Cc1ccc(CN2C[C@H]3[C@H](CC3(F)F)C2)o1 ZINC001203290811 966605040 /nfs/dbraw/zinc/60/50/40/966605040.db2.gz SICQQRZIFMYTQW-KOLCDFICSA-N 0 3 227.254 2.675 20 0 BFADHN COc1ccccc1CN1C[C@@H]2C[C@H]1C[C@H]2F ZINC001203432095 966643523 /nfs/dbraw/zinc/64/35/23/966643523.db2.gz HBWNPQXUWHSCPB-RWMBFGLXSA-N 0 3 235.302 2.628 20 0 BFADHN COc1ccccc1CN1CCC[C@@H]2C[C@@H]21 ZINC001203438544 966650303 /nfs/dbraw/zinc/65/03/03/966650303.db2.gz JTETXEONQUBENL-YPMHNXCESA-N 0 3 217.312 2.680 20 0 BFADHN Cc1ccccc1CN1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001203700105 966738431 /nfs/dbraw/zinc/73/84/31/966738431.db2.gz GVERVWXWNHDEDJ-IHRRRGAJSA-N 0 3 219.303 2.785 20 0 BFADHN Cc1ccccc1CN1CC(c2cccnc2)C1 ZINC001203709409 966741507 /nfs/dbraw/zinc/74/15/07/966741507.db2.gz GWQAQIOEGLWEDS-UHFFFAOYSA-N 0 3 238.334 2.989 20 0 BFADHN Cn1ccnc1Nc1cc(F)cc2[nH]ccc21 ZINC001203724863 966750548 /nfs/dbraw/zinc/75/05/48/966750548.db2.gz AUCXGCNFOYSFKT-UHFFFAOYSA-N 0 3 230.246 2.784 20 0 BFADHN CCN(Cc1c(C)cc(C)cc1C)C1CN(C)C1 ZINC001203740148 966760764 /nfs/dbraw/zinc/76/07/64/966760764.db2.gz DGEPFKKFXKIJHE-UHFFFAOYSA-N 0 3 246.398 2.748 20 0 BFADHN COc1cc(C)c(CN2CCCOCC2)cc1C ZINC001203752964 966769194 /nfs/dbraw/zinc/76/91/94/966769194.db2.gz UJNQUJGTHFFHSB-UHFFFAOYSA-N 0 3 249.354 2.534 20 0 BFADHN O=C1CCC[C@H]2CN(Cc3cccc(F)c3)C[C@@H]12 ZINC001203790456 966778933 /nfs/dbraw/zinc/77/89/33/966778933.db2.gz JLKFOHXXUOJHHL-GXTWGEPZSA-N 0 3 247.313 2.627 20 0 BFADHN CCCOC1CCN(Cc2cccc(O)c2)CC1 ZINC001203860580 966798654 /nfs/dbraw/zinc/79/86/54/966798654.db2.gz OXFPTGYEWYGZMV-UHFFFAOYSA-N 0 3 249.354 2.783 20 0 BFADHN O=C1CCC[C@H]2CN(Cc3ccc(F)cc3)C[C@@H]12 ZINC001203917958 966822264 /nfs/dbraw/zinc/82/22/64/966822264.db2.gz JHABCKCNFAKUMU-GXTWGEPZSA-N 0 3 247.313 2.627 20 0 BFADHN CCCO[C@H]1CCN(Cc2ccc(OC)cc2)C1 ZINC001203955557 966829783 /nfs/dbraw/zinc/82/97/83/966829783.db2.gz HYPZDDLKMHXPIP-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccc2ccccc2c1CN(C)C ZINC001203988301 966842220 /nfs/dbraw/zinc/84/22/20/966842220.db2.gz GTOMHNWCKUBERE-UHFFFAOYSA-N 0 3 215.296 2.910 20 0 BFADHN c1c[nH]c(CN2CCC(OC3CCC3)CC2)c1 ZINC001204004963 966845038 /nfs/dbraw/zinc/84/50/38/966845038.db2.gz LFZOCWOFTYYUEV-UHFFFAOYSA-N 0 3 234.343 2.548 20 0 BFADHN c1c[nH]c(CN2CCc3ccccc3CC2)c1 ZINC001204010082 966848390 /nfs/dbraw/zinc/84/83/90/966848390.db2.gz KVQJXMVCQLVYLA-UHFFFAOYSA-N 0 3 226.323 2.616 20 0 BFADHN CCCC[C@H](CC)COC(=O)N1CC[C@@H]1NC ZINC001239335662 966863818 /nfs/dbraw/zinc/86/38/18/966863818.db2.gz SPIFXVPPJWWOFR-NWDGAFQWSA-N 0 3 242.363 2.591 20 0 BFADHN Cc1cccc(CN2CC[C@]3(C2)CCCCO3)n1 ZINC001204067519 966874575 /nfs/dbraw/zinc/87/45/75/966874575.db2.gz VOGOZVRYZHJQSO-HNNXBMFYSA-N 0 3 246.354 2.535 20 0 BFADHN CCCN(CCOC)Cc1cc(F)cc(F)c1 ZINC001204228321 966932290 /nfs/dbraw/zinc/93/22/90/966932290.db2.gz YIZCGKGBMJNRKO-UHFFFAOYSA-N 0 3 243.297 2.823 20 0 BFADHN c1cc(CN2CCC3(CCCOC3)CC2)co1 ZINC001204243668 966939906 /nfs/dbraw/zinc/93/99/06/966939906.db2.gz DWWWTCVCAYESFD-UHFFFAOYSA-N 0 3 235.327 2.672 20 0 BFADHN Nc1nc2cc(-c3ccsc3)ccc2[nH]1 ZINC001239511035 966953310 /nfs/dbraw/zinc/95/33/10/966953310.db2.gz SHXQBKVTELQOAR-UHFFFAOYSA-N 0 3 215.281 2.874 20 0 BFADHN Nc1nc2ccc(-c3ccsc3)cc2[nH]1 ZINC001239511035 966953316 /nfs/dbraw/zinc/95/33/16/966953316.db2.gz SHXQBKVTELQOAR-UHFFFAOYSA-N 0 3 215.281 2.874 20 0 BFADHN COc1ccc(CN2CCC[C@H](C)C2)nc1C ZINC001249888745 967127852 /nfs/dbraw/zinc/12/78/52/967127852.db2.gz IQAZXWFUUUQECH-NSHDSACASA-N 0 3 234.343 2.631 20 0 BFADHN CN(C)c1cc(F)cc(CN2CC3CC(C3)C2)c1 ZINC001249958082 967199103 /nfs/dbraw/zinc/19/91/03/967199103.db2.gz HMCGANMGNXXYDE-UHFFFAOYSA-N 0 3 248.345 2.734 20 0 BFADHN CCCc1ccc(N2CCN(CC)CC2)cc1 ZINC001249967981 967207778 /nfs/dbraw/zinc/20/77/78/967207778.db2.gz XMWBWEBZGODSDG-UHFFFAOYSA-N 0 3 232.371 2.781 20 0 BFADHN CCOc1cc(CN2CCC3(CCC3)C2)ccn1 ZINC001250014478 967274123 /nfs/dbraw/zinc/27/41/23/967274123.db2.gz JTVZDZDDUJSGGF-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN Cc1cccc(CN2CC3(CSC3)C2)c1C ZINC001205096223 967345058 /nfs/dbraw/zinc/34/50/58/967345058.db2.gz XYEJWVAYEQKYSK-UHFFFAOYSA-N 0 3 233.380 2.852 20 0 BFADHN CSc1ccc(F)c(CCN(C)C)c1F ZINC001250159873 967452283 /nfs/dbraw/zinc/45/22/83/967452283.db2.gz OLSQQKMJFZYUDA-UHFFFAOYSA-N 0 3 231.311 2.791 20 0 BFADHN Clc1cnccc1CCN1CCCCC1 ZINC001250241865 967552171 /nfs/dbraw/zinc/55/21/71/967552171.db2.gz LXDDJRHKBQTJCI-UHFFFAOYSA-N 0 3 224.735 2.763 20 0 BFADHN Nc1c(F)cc(CCN2CCCCC2)cc1F ZINC001250242194 967552990 /nfs/dbraw/zinc/55/29/90/967552990.db2.gz SVSONYWSJDZGKV-UHFFFAOYSA-N 0 3 240.297 2.575 20 0 BFADHN CCn1cc(CN2CC[C@H]3CCCC[C@@H]3C2)cn1 ZINC001205557257 967697117 /nfs/dbraw/zinc/69/71/17/967697117.db2.gz LXXZAYCICFHULK-HUUCEWRRSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1cc(F)cc(CN2CCC[C@]23CCOC3)c1 ZINC001143618739 967699647 /nfs/dbraw/zinc/69/96/47/967699647.db2.gz FFBHCBZGWAHSCJ-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN CCCN(CCOC)Cc1cc(C)ccc1F ZINC001205612061 967725219 /nfs/dbraw/zinc/72/52/19/967725219.db2.gz JXEGCRIFYRPRNU-UHFFFAOYSA-N 0 3 239.334 2.993 20 0 BFADHN c1nocc1CN1CC[C@@H](Cc2ccccc2)C1 ZINC001205696731 967786831 /nfs/dbraw/zinc/78/68/31/967786831.db2.gz FRWDMDJCOXGTQC-AWEZNQCLSA-N 0 3 242.322 2.739 20 0 BFADHN c1nocc1CN1C2CCCC1CCC2 ZINC001205732158 967805946 /nfs/dbraw/zinc/80/59/46/967805946.db2.gz OJXGRKHCBSTQBH-UHFFFAOYSA-N 0 3 206.289 2.582 20 0 BFADHN CCCCc1nc2ccc(N(C)C)cc2[nH]1 ZINC001250426088 967840549 /nfs/dbraw/zinc/84/05/49/967840549.db2.gz BBLYQLFTKJQYSW-UHFFFAOYSA-N 0 3 217.316 2.972 20 0 BFADHN CN(C)c1ccc2nc(C3CCC3)[nH]c2c1 ZINC001250431934 967849825 /nfs/dbraw/zinc/84/98/25/967849825.db2.gz WGXYVKPJSFYWEE-UHFFFAOYSA-N 0 3 215.300 2.896 20 0 BFADHN Cc1c2[nH]c(C3CCOCC3)nc2ccc1F ZINC001250433499 967849925 /nfs/dbraw/zinc/84/99/25/967849925.db2.gz OBNGHUUEVHJJPB-UHFFFAOYSA-N 0 3 234.274 2.904 20 0 BFADHN Cc1cnccc1CN1CCC[C@H](C(F)F)C1 ZINC001205857930 967860664 /nfs/dbraw/zinc/86/06/64/967860664.db2.gz RCOUWTKONBUMKA-LBPRGKRZSA-N 0 3 240.297 2.867 20 0 BFADHN CN1CC=C(c2ccc(C(F)(F)F)cn2)CC1 ZINC001250448970 967874109 /nfs/dbraw/zinc/87/41/09/967874109.db2.gz JRVBGMNBEXRIQZ-UHFFFAOYSA-N 0 3 242.244 2.819 20 0 BFADHN Cc1nc(CN2CCC[C@H](C)[C@H](C)C2)co1 ZINC001206041551 968004345 /nfs/dbraw/zinc/00/43/45/968004345.db2.gz RHKDHXFPFGTSPS-WDEREUQCSA-N 0 3 222.332 2.851 20 0 BFADHN CCN(C)Cc1cccc(OC)c1OCC1CC1 ZINC001250521393 968023659 /nfs/dbraw/zinc/02/36/59/968023659.db2.gz PVOFRIAWUOQZCT-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN c1ccc(C2=CC[C@H](N3CCOCC3)CC2)cc1 ZINC001250536602 968041504 /nfs/dbraw/zinc/04/15/04/968041504.db2.gz NAHSCTIKKZHVEO-INIZCTEOSA-N 0 3 243.350 2.955 20 0 BFADHN Cc1c2[nH]c([C@H]3CCCOC3)nc2ccc1F ZINC001250550392 968055644 /nfs/dbraw/zinc/05/56/44/968055644.db2.gz YTAMZNCCHJASHU-VIFPVBQESA-N 0 3 234.274 2.904 20 0 BFADHN Cc1c2[nH]c([C@@H]3CCCOC3)nc2ccc1F ZINC001250550391 968056390 /nfs/dbraw/zinc/05/63/90/968056390.db2.gz YTAMZNCCHJASHU-SECBINFHSA-N 0 3 234.274 2.904 20 0 BFADHN CC1(O)CN([C@@H]2CC[C@@](C)(c3ccccc3)C2)C1 ZINC001206187176 968115585 /nfs/dbraw/zinc/11/55/85/968115585.db2.gz RUCPHEQJJKKEDW-HUUCEWRRSA-N 0 3 245.366 2.563 20 0 BFADHN C[C@]1(NCc2ncccc2F)CC=CCC1 ZINC001206239717 968161015 /nfs/dbraw/zinc/16/10/15/968161015.db2.gz LKEDLHAIHVBAHP-ZDUSSCGKSA-N 0 3 220.291 2.809 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccncc2OC)C1 ZINC001206480021 968334614 /nfs/dbraw/zinc/33/46/14/968334614.db2.gz GDHNTWXDRREENM-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1c(N)ccnc1-c1cc2n[nH]cc2c(F)c1 ZINC001206550823 968377045 /nfs/dbraw/zinc/37/70/45/968377045.db2.gz LAFJUSAJIKZDJW-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN COc1cccnc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001206618610 968418934 /nfs/dbraw/zinc/41/89/34/968418934.db2.gz APJGAJRJZRBXGR-STQMWFEESA-N 0 3 246.354 2.712 20 0 BFADHN COc1cccnc1CN1CCC12CCCCC2 ZINC001206618770 968424401 /nfs/dbraw/zinc/42/44/01/968424401.db2.gz CXZLGTXHPTWLHW-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)[C@H](C)C2)no1 ZINC001206818420 968488452 /nfs/dbraw/zinc/48/84/52/968488452.db2.gz RMJAKUZPBYYQBC-GHMZBOCLSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1cc(CN2CC3CCC(CC3)C2)no1 ZINC001206821399 968492356 /nfs/dbraw/zinc/49/23/56/968492356.db2.gz ZYTFONXIEGBRSG-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN CCOCC1CCN(Cc2cc(C)ccn2)CC1 ZINC001206852958 968499813 /nfs/dbraw/zinc/49/98/13/968499813.db2.gz KEVPLPAVLVAFIW-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN Fc1cccnc1CN1CC2CCC(CC2)C1 ZINC001207035132 968568478 /nfs/dbraw/zinc/56/84/78/968568478.db2.gz FDZPGBGYTAOSLK-UHFFFAOYSA-N 0 3 234.318 2.843 20 0 BFADHN CCCC[C@@H](O)CN(Cc1ccco1)C1CC1 ZINC001252092821 968571522 /nfs/dbraw/zinc/57/15/22/968571522.db2.gz CLYSLVBDRSFASJ-CYBMUJFWSA-N 0 3 237.343 2.795 20 0 BFADHN C[C@H]1CN(Cc2ccc(F)cn2)C2(CC2)C1 ZINC001207042384 968575041 /nfs/dbraw/zinc/57/50/41/968575041.db2.gz AJTYNWNESXAAKS-SNVBAGLBSA-N 0 3 220.291 2.595 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1ncc2ccccn21 ZINC001207055464 968587525 /nfs/dbraw/zinc/58/75/25/968587525.db2.gz XZHALCNJUAFTLH-CQSZACIVSA-N 0 3 243.354 2.955 20 0 BFADHN CCc1nccc(CN2CCC[C@@H](C)[C@H]2C)n1 ZINC001207086648 968617265 /nfs/dbraw/zinc/61/72/65/968617265.db2.gz RCRSQALGEFXMIC-VXGBXAGGSA-N 0 3 233.359 2.659 20 0 BFADHN CCc1nccc(CN(C)CC(C)(C)C)n1 ZINC001207085269 968619984 /nfs/dbraw/zinc/61/99/84/968619984.db2.gz AEYSFXGASNMGLI-UHFFFAOYSA-N 0 3 221.348 2.517 20 0 BFADHN CN(Cc1cccc(F)c1)Cc1cc[nH]c(=O)c1 ZINC001207119579 968639129 /nfs/dbraw/zinc/63/91/29/968639129.db2.gz UUZGVUKJGQHJRQ-UHFFFAOYSA-N 0 3 246.285 2.558 20 0 BFADHN CN(Cc1ccc(F)cc1)Cc1cc[nH]c(=O)c1 ZINC001207116676 968639423 /nfs/dbraw/zinc/63/94/23/968639423.db2.gz ZLFPBODZYCNQCW-UHFFFAOYSA-N 0 3 246.285 2.558 20 0 BFADHN Cc1noc(C)c1CN1CCCCC12CC2 ZINC001207139980 968651226 /nfs/dbraw/zinc/65/12/26/968651226.db2.gz UMNAMOJXPVVVPB-UHFFFAOYSA-N 0 3 220.316 2.810 20 0 BFADHN CCCOC1CCN(Cc2cccnc2C)CC1 ZINC001207151082 968659772 /nfs/dbraw/zinc/65/97/72/968659772.db2.gz LVUWABWMWYVJII-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN Cn1cnc2c1CN(CCC1CCCCC1)CC2 ZINC001207261505 968700336 /nfs/dbraw/zinc/70/03/36/968700336.db2.gz URDQARXHOKDFAF-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN C(CN(C1CC1)C1COC1)C1CCCCC1 ZINC001207261598 968701236 /nfs/dbraw/zinc/70/12/36/968701236.db2.gz YNETUGJRKMPZMM-UHFFFAOYSA-N 0 3 223.360 2.820 20 0 BFADHN COc1ccc(CCN2C[C@@H](F)C[C@H]2C)cc1 ZINC001207472495 968793041 /nfs/dbraw/zinc/79/30/41/968793041.db2.gz PZCZJBOVUBQEEU-YPMHNXCESA-N 0 3 237.318 2.670 20 0 BFADHN COc1cccc(CCCN(C)CCF)c1 ZINC001207572072 968824629 /nfs/dbraw/zinc/82/46/29/968824629.db2.gz HVGUPDDJRUYHSG-UHFFFAOYSA-N 0 3 225.307 2.529 20 0 BFADHN CC(=O)[C@@H]1CCCN1CCCc1cccc(F)c1 ZINC001207606689 968829243 /nfs/dbraw/zinc/82/92/43/968829243.db2.gz QCZUYIBTTCRHEJ-HNNXBMFYSA-N 0 3 249.329 2.812 20 0 BFADHN CN(C)Cc1cccc(-c2ccccc2O)n1 ZINC001241009450 968856006 /nfs/dbraw/zinc/85/60/06/968856006.db2.gz QEPOJWNHBOQSKL-UHFFFAOYSA-N 0 3 228.295 2.516 20 0 BFADHN COc1c(C)cc(-c2cccc(CN)n2)cc1C ZINC001241016096 968860790 /nfs/dbraw/zinc/86/07/90/968860790.db2.gz VAPHNSAYIYCHLO-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN C[C@@]1(F)CCN(CC2CCSCC2)C[C@H]1F ZINC001207696599 968864202 /nfs/dbraw/zinc/86/42/02/968864202.db2.gz IZDSYUYSGPLLOY-VXGBXAGGSA-N 0 3 249.370 2.902 20 0 BFADHN Nc1nc2cc(-c3ccc(O)cc3)ccc2[nH]1 ZINC001241064126 968886507 /nfs/dbraw/zinc/88/65/07/968886507.db2.gz UJUAKBLKWNUYMZ-UHFFFAOYSA-N 0 3 225.251 2.518 20 0 BFADHN Nc1nc2ccc(-c3ccc(O)cc3)cc2[nH]1 ZINC001241064126 968886511 /nfs/dbraw/zinc/88/65/11/968886511.db2.gz UJUAKBLKWNUYMZ-UHFFFAOYSA-N 0 3 225.251 2.518 20 0 BFADHN Cc1ccc([C@H]2CCCN2C[C@H]2CCCO2)cn1 ZINC001207887388 968911985 /nfs/dbraw/zinc/91/19/85/968911985.db2.gz HUVWZICAJHWEGB-HUUCEWRRSA-N 0 3 246.354 2.706 20 0 BFADHN C[C@@H](CN(C)C1(C)COC1)c1ccccc1 ZINC001207910383 968929277 /nfs/dbraw/zinc/92/92/77/968929277.db2.gz PFXUHNVUPJRAJK-LBPRGKRZSA-N 0 3 219.328 2.511 20 0 BFADHN c1ccc(C2CN(C[C@H]3CCCCO3)C2)cc1 ZINC001207959106 968952367 /nfs/dbraw/zinc/95/23/67/968952367.db2.gz IQYNFIBXNBRPEY-OAHLLOKOSA-N 0 3 231.339 2.655 20 0 BFADHN CC(=O)Nc1ccc(F)cc1C1=CCN(C)CC1 ZINC001241319530 968972403 /nfs/dbraw/zinc/97/24/03/968972403.db2.gz MQEMVZKGTLUVCD-UHFFFAOYSA-N 0 3 248.301 2.503 20 0 BFADHN Cc1nc(CN2C[C@H]3CC[C@@H]2C3)sc1C ZINC000088579425 968975601 /nfs/dbraw/zinc/97/56/01/968975601.db2.gz MOYBFXDAHHYYRP-WDEREUQCSA-N 0 3 222.357 2.744 20 0 BFADHN CN1CC=C(c2ccccc2OC2CC2)CC1 ZINC001241326924 968977892 /nfs/dbraw/zinc/97/78/92/968977892.db2.gz BLHYMSGXUIKXHZ-UHFFFAOYSA-N 0 3 229.323 2.947 20 0 BFADHN CN(CCCC1CCCC1)C1(C)COC1 ZINC001208091345 968995341 /nfs/dbraw/zinc/99/53/41/968995341.db2.gz BCTPHKACFKECLN-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN COCc1ccc(C2=CCN(C)CC2)cc1 ZINC001241330024 968979700 /nfs/dbraw/zinc/97/97/00/968979700.db2.gz FWMVEZLMHYLNJT-UHFFFAOYSA-N 0 3 217.312 2.552 20 0 BFADHN CN(CCCC1CCCC1)Cc1ccncn1 ZINC001208092727 968994231 /nfs/dbraw/zinc/99/42/31/968994231.db2.gz IJHKVEFBWHLNIW-UHFFFAOYSA-N 0 3 233.359 2.879 20 0 BFADHN Fc1cccc(F)c1CCN1CC2CC(C2)C1 ZINC001208119314 969015840 /nfs/dbraw/zinc/01/58/40/969015840.db2.gz ZKQASPQBLWTWQP-UHFFFAOYSA-N 0 3 237.293 2.849 20 0 BFADHN COC1(C)CN(C[C@H]2CCC(C)=C[C@H]2C)C1 ZINC001208159902 969082366 /nfs/dbraw/zinc/08/23/66/969082366.db2.gz HUMMYSBSXRTTTE-CHWSQXEVSA-N 0 3 223.360 2.700 20 0 BFADHN CC1=C[C@H](C)[C@H](CN2CC3(C2)CCCO3)CC1 ZINC001208162911 969094406 /nfs/dbraw/zinc/09/44/06/969094406.db2.gz SQKGFJRKNVLCFV-KBPBESRZSA-N 0 3 235.371 2.844 20 0 BFADHN c1cc(N2CCOCC2)c(C2=CCCCC2)cn1 ZINC001241717105 969097568 /nfs/dbraw/zinc/09/75/68/969097568.db2.gz NTEUHVIWAWXPAV-UHFFFAOYSA-N 0 3 244.338 2.876 20 0 BFADHN Fc1cccc(CCN2CCC[C@]3(CCO3)C2)c1 ZINC001208198079 969127164 /nfs/dbraw/zinc/12/71/64/969127164.db2.gz DCINBEXKFFFWGY-HNNXBMFYSA-N 0 3 249.329 2.623 20 0 BFADHN COC(=O)C1CN(CC[C@@H](C)CC(C)(C)C)C1 ZINC001208653340 969180066 /nfs/dbraw/zinc/18/00/66/969180066.db2.gz HXECHYMGKYIFTK-LLVKDONJSA-N 0 3 241.375 2.554 20 0 BFADHN CN1CC=C(Nc2cncc3ccccc32)CC1 ZINC001208717380 969202262 /nfs/dbraw/zinc/20/22/62/969202262.db2.gz CKKOWFGVKUIUMA-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN CN1CC=C(Nc2nccc3ccccc32)CC1 ZINC001208720051 969204426 /nfs/dbraw/zinc/20/44/26/969204426.db2.gz XRTSRPQSUBXBDR-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN CC/C=C\CCN(C)Cc1cnc(C)nc1 ZINC001208729757 969216203 /nfs/dbraw/zinc/21/62/03/969216203.db2.gz JADDECAEPYUQJO-WAYWQWQTSA-N 0 3 219.332 2.573 20 0 BFADHN Cc1c(N)ccnc1-c1ccccc1N(C)C ZINC001242174040 969218301 /nfs/dbraw/zinc/21/83/01/969218301.db2.gz UFXVIQZBKKJXMK-UHFFFAOYSA-N 0 3 227.311 2.705 20 0 BFADHN CCCCC[C@H](O)CN[C@H]1CCc2cccnc21 ZINC001253553661 969227224 /nfs/dbraw/zinc/22/72/24/969227224.db2.gz KVTFGPIBDKVBRQ-KBPBESRZSA-N 0 3 248.370 2.600 20 0 BFADHN Fc1ccc(CCCN2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC001208766323 969231036 /nfs/dbraw/zinc/23/10/36/969231036.db2.gz DGKLUCWVSOSCPS-GASCZTMLSA-N 0 3 249.329 2.622 20 0 BFADHN CN1CC=C(Nc2cccnc2C2CC2)CC1 ZINC001208794652 969246331 /nfs/dbraw/zinc/24/63/31/969246331.db2.gz RDLQWXVCIQGQPJ-UHFFFAOYSA-N 0 3 229.327 2.590 20 0 BFADHN CN1CC=C(Nc2ccc3c(c2)N=NC3)CC1 ZINC001208978202 969316428 /nfs/dbraw/zinc/31/64/28/969316428.db2.gz AFLCTRQACIBUIV-UHFFFAOYSA-N 0 3 228.299 2.915 20 0 BFADHN CC(=O)OC(C)(C)CCCN1CCC[C@@H](F)C1 ZINC001209155106 969357275 /nfs/dbraw/zinc/35/72/75/969357275.db2.gz ZVTOIMHTAMUVJW-GFCCVEGCSA-N 0 3 245.338 2.542 20 0 BFADHN CC(C)C[C@@H](Cc1ccccc1)N1CCOCC1 ZINC001169070636 969379717 /nfs/dbraw/zinc/37/97/17/969379717.db2.gz SOYJBAAILPGKGQ-INIZCTEOSA-N 0 3 247.382 2.976 20 0 BFADHN c1csc(CCN2CCc3ccncc3C2)c1 ZINC001209227683 969410618 /nfs/dbraw/zinc/41/06/18/969410618.db2.gz VKXUEXDVCUSQPG-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN c1ccc(CCCCCN2C[C@@H]3C[C@H]2CO3)cc1 ZINC001209228844 969412455 /nfs/dbraw/zinc/41/24/55/969412455.db2.gz BIHRWQHASFODNH-HOTGVXAUSA-N 0 3 245.366 2.873 20 0 BFADHN COc1ccc2c(c1)CN(CCC(C)(C)OC)C2 ZINC001209407409 969470217 /nfs/dbraw/zinc/47/02/17/969470217.db2.gz FJIAOBWMSMLWPE-UHFFFAOYSA-N 0 3 249.354 2.826 20 0 BFADHN COC(C)(C)CCN1CCc2ncc(C)cc2C1 ZINC001209409687 969475327 /nfs/dbraw/zinc/47/53/27/969475327.db2.gz SVCWJJYZALLEPF-UHFFFAOYSA-N 0 3 248.370 2.563 20 0 BFADHN NCc1cc(N[C@H]2CCCC(F)(F)C2)ccn1 ZINC001169219259 969489371 /nfs/dbraw/zinc/48/93/71/969489371.db2.gz QYEDSFNGPYXSJU-JTQLQIEISA-N 0 3 241.285 2.530 20 0 BFADHN C[C@@H](N)c1ccn(-c2cccc3c2CCCC3)n1 ZINC001169230734 969504386 /nfs/dbraw/zinc/50/43/86/969504386.db2.gz BFGRWNUDWOHCFI-LLVKDONJSA-N 0 3 241.338 2.771 20 0 BFADHN C=Cc1ccccc1-n1ccc([C@H](C)N)n1 ZINC001169231884 969509346 /nfs/dbraw/zinc/50/93/46/969509346.db2.gz VOMMNENGLONWLB-JTQLQIEISA-N 0 3 213.284 2.535 20 0 BFADHN CC1=Cc2cccc(-n3ccc([C@H](C)N)n3)c2C1 ZINC001169232213 969510922 /nfs/dbraw/zinc/51/09/22/969510922.db2.gz AXNXZNOBXRZBJH-NSHDSACASA-N 0 3 239.322 2.851 20 0 BFADHN C[C@@H](N)c1ccn(-c2cccc3[nH]cc(F)c32)n1 ZINC001169234865 969521389 /nfs/dbraw/zinc/52/13/89/969521389.db2.gz LVYXFNWBROFSCT-MRVPVSSYSA-N 0 3 244.273 2.512 20 0 BFADHN c1nc(CN2CCCC23CC3)cc2c1OCCC2 ZINC001209498937 969524978 /nfs/dbraw/zinc/52/49/78/969524978.db2.gz CRHYOGPQHUHJBG-UHFFFAOYSA-N 0 3 244.338 2.535 20 0 BFADHN C[C@@H]1CCCN(Cc2cc3c(cn2)OCCC3)C1 ZINC001209500288 969529955 /nfs/dbraw/zinc/52/99/55/969529955.db2.gz RFIPRPDJAAFVMQ-GFCCVEGCSA-N 0 3 246.354 2.639 20 0 BFADHN CC1CC(N(C)Cc2cc3c(cn2)OCCC3)C1 ZINC001209501133 969529973 /nfs/dbraw/zinc/52/99/73/969529973.db2.gz BFOLQMCEWOUXGW-UHFFFAOYSA-N 0 3 246.354 2.637 20 0 BFADHN C[C@@H]1CCCCN1Cc1cc2c(cn1)OCCC2 ZINC001209501078 969530668 /nfs/dbraw/zinc/53/06/68/969530668.db2.gz ZQGAWHZJYPEUJO-GFCCVEGCSA-N 0 3 246.354 2.781 20 0 BFADHN Cc1ccc(CN2CCC[C@H](C(F)F)C2)[nH]1 ZINC001209574590 969539032 /nfs/dbraw/zinc/53/90/32/969539032.db2.gz RGWRMCLWJFWZSI-JTQLQIEISA-N 0 3 228.286 2.800 20 0 BFADHN C[C@@H]1C[C@@H](F)CN1C1CCC(F)(F)CC1 ZINC001254218947 969545898 /nfs/dbraw/zinc/54/58/98/969545898.db2.gz XSZPRUXRDBWMLX-RKDXNWHRSA-N 0 3 221.266 2.997 20 0 BFADHN Clc1cnc2[nH]cc(CN3CCCC3)c2c1 ZINC001209591694 969566198 /nfs/dbraw/zinc/56/61/98/969566198.db2.gz BCDQFTBIUAMEEZ-UHFFFAOYSA-N 0 3 235.718 2.812 20 0 BFADHN Cc1[nH]c2ncccc2c1CN1C[C@@H](C)[C@@H](F)C1 ZINC001209586621 969566677 /nfs/dbraw/zinc/56/66/77/969566677.db2.gz GJBDSYFRIKVNHL-RNCFNFMXSA-N 0 3 247.317 2.661 20 0 BFADHN COc1cc(CN2CC[C@H](C)C[C@@H]2C)ccn1 ZINC001209642986 969568212 /nfs/dbraw/zinc/56/82/12/969568212.db2.gz YIHPIFPVIQCCPZ-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN COC[C@@H]1CCN1Cc1c[nH]c2cc(F)ccc12 ZINC001209695266 969574779 /nfs/dbraw/zinc/57/47/79/969574779.db2.gz HEWRHJVPQGLDIJ-LBPRGKRZSA-N 0 3 248.301 2.528 20 0 BFADHN Cc1c(F)ccc(CN[C@@H]2CCCOC2)c1F ZINC001254365107 969577389 /nfs/dbraw/zinc/57/73/89/969577389.db2.gz AKWCQYGJTNNOGF-LLVKDONJSA-N 0 3 241.281 2.542 20 0 BFADHN c1ccc2c(c1)CN([C@H]1CCCOC1)CCS2 ZINC001254388865 969606392 /nfs/dbraw/zinc/60/63/92/969606392.db2.gz KCAIGBLBJXSHFF-ZDUSSCGKSA-N 0 3 249.379 2.773 20 0 BFADHN NCc1ccc(NC2CCC3(CC3)CC2)cn1 ZINC001254436513 969623663 /nfs/dbraw/zinc/62/36/63/969623663.db2.gz DOSYIFCNILCJMT-UHFFFAOYSA-N 0 3 231.343 2.675 20 0 BFADHN O=C(CNC1CCC2(CC2)CC1)c1cccnc1 ZINC001254436207 969625472 /nfs/dbraw/zinc/62/54/72/969625472.db2.gz QKQPATJNPLQNLF-UHFFFAOYSA-N 0 3 244.338 2.577 20 0 BFADHN Cn1ccnc1Nc1cccc2cc[nH]c21 ZINC001210209360 969832878 /nfs/dbraw/zinc/83/28/78/969832878.db2.gz WUOGAWYCNNONSG-UHFFFAOYSA-N 0 3 212.256 2.645 20 0 BFADHN F[C@H]1CCCN(C2Cc3ccccc3C2)C1 ZINC001255024183 969851521 /nfs/dbraw/zinc/85/15/21/969851521.db2.gz FHHUKQNIHPYVPV-ZDUSSCGKSA-N 0 3 219.303 2.588 20 0 BFADHN FC(F)(F)c1ccc(CNC2CCOCC2)o1 ZINC001255039187 969860630 /nfs/dbraw/zinc/86/06/30/969860630.db2.gz XKGOBSFWVNVLIC-UHFFFAOYSA-N 0 3 249.232 2.567 20 0 BFADHN Cc1ncc(Nc2cccc([C@H](C)O)c2)n1C ZINC001210508617 969916987 /nfs/dbraw/zinc/91/69/87/969916987.db2.gz OAEQVUQMVJABMT-VIFPVBQESA-N 0 3 231.299 2.525 20 0 BFADHN c1cc2c(o1)CCN(C1CCSCC1)C2 ZINC001255094205 969932329 /nfs/dbraw/zinc/93/23/29/969932329.db2.gz PKZLYDXYZKYZJQ-UHFFFAOYSA-N 0 3 223.341 2.533 20 0 BFADHN CCc1cc(OC)ccc1Nc1nccn1C ZINC001210710975 970001753 /nfs/dbraw/zinc/00/17/53/970001753.db2.gz HWVIBBNQLYKSIQ-UHFFFAOYSA-N 0 3 231.299 2.735 20 0 BFADHN c1ccc2cc(CN[C@@H]3CCSC3)ncc2c1 ZINC001255269290 970021048 /nfs/dbraw/zinc/02/10/48/970021048.db2.gz BQMZHYHPKRKZCS-CYBMUJFWSA-N 0 3 244.363 2.830 20 0 BFADHN C/C=C(\C)c1cc(OC2CCN(C)CC2)ccn1 ZINC001242757967 970053827 /nfs/dbraw/zinc/05/38/27/970053827.db2.gz XIOFMOJIUVIZJJ-UUILKARUSA-N 0 3 246.354 2.978 20 0 BFADHN CCC1CCN(Cc2cccnc2NC)CC1 ZINC000052730663 970066655 /nfs/dbraw/zinc/06/66/55/970066655.db2.gz PSRYAJHPDULUNJ-UHFFFAOYSA-N 0 3 233.359 2.745 20 0 BFADHN CC1(C)CCC(N2CCC(=O)[C@@H](F)CC2)CC1 ZINC001255303510 970066771 /nfs/dbraw/zinc/06/67/71/970066771.db2.gz ZIYWDDWTOCLIIE-LBPRGKRZSA-N 0 3 241.350 2.958 20 0 BFADHN CC1(C)CCC(N2CCc3ncncc3C2)CC1 ZINC001255306543 970073258 /nfs/dbraw/zinc/07/32/58/970073258.db2.gz LFHMVLKNSBIADS-UHFFFAOYSA-N 0 3 245.370 2.804 20 0 BFADHN CCCC[C@@H](C)N1CCCc2ccc(CN)nc21 ZINC001255314803 970083699 /nfs/dbraw/zinc/08/36/99/970083699.db2.gz TWOOTHXSBOPFNQ-GFCCVEGCSA-N 0 3 247.386 2.872 20 0 BFADHN CCCC[C@H](C)N1CCC(=O)[C@H](F)CC1 ZINC001255321950 970095213 /nfs/dbraw/zinc/09/52/13/970095213.db2.gz FNSHVLSINJAXLA-WDEREUQCSA-N 0 3 215.312 2.568 20 0 BFADHN CCCC[C@H](C)NCc1cn(CC)cn1 ZINC001255321619 970096660 /nfs/dbraw/zinc/09/66/60/970096660.db2.gz UZASIBJEUNSAKF-NSHDSACASA-N 0 3 209.337 2.571 20 0 BFADHN CCCC[C@@H](C)N1CCC(=O)[C@@H](F)CC1 ZINC001255321947 970097321 /nfs/dbraw/zinc/09/73/21/970097321.db2.gz FNSHVLSINJAXLA-MNOVXSKESA-N 0 3 215.312 2.568 20 0 BFADHN CCCC[C@@H](C)N1CCCC[C@@H]1C(=O)OCC ZINC001255330415 970110467 /nfs/dbraw/zinc/11/04/67/970110467.db2.gz SZEPRPGWUZKSIJ-CHWSQXEVSA-N 0 3 241.375 2.983 20 0 BFADHN CC(C)CCC[C@@H](C)n1ncc2c1CCNC2 ZINC001170078041 970179883 /nfs/dbraw/zinc/17/98/83/970179883.db2.gz OQNAGAAVJZVJEA-GFCCVEGCSA-N 0 3 235.375 2.916 20 0 BFADHN CC(C)CCC[C@H](C)N1C[C@@H](F)C[C@H](CO)C1 ZINC001170078542 970184971 /nfs/dbraw/zinc/18/49/71/970184971.db2.gz UFEDXFODNKRLIK-IHRRRGAJSA-N 0 3 245.382 2.854 20 0 BFADHN CC(C)CCC[C@@H](C)N1C[C@@H]2C[C@H]1CO2 ZINC001170084322 970197939 /nfs/dbraw/zinc/19/79/39/970197939.db2.gz WPKKQOZQCMLDLK-AGIUHOORSA-N 0 3 211.349 2.674 20 0 BFADHN CC(C)CCC[C@@H](C)N1CCc2nn(C)cc2C1 ZINC001170084933 970203265 /nfs/dbraw/zinc/20/32/65/970203265.db2.gz ZZNRIINESQPKPZ-CYBMUJFWSA-N 0 3 249.402 2.993 20 0 BFADHN CN(C1COC1)C1CCC(C(C)(C)C)CC1 ZINC001255501983 970265258 /nfs/dbraw/zinc/26/52/58/970265258.db2.gz OOMRELNMYXAXAB-UHFFFAOYSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@H]1CC[C@@H](NCC(=O)c2ccccc2)C1 ZINC001170097774 970267038 /nfs/dbraw/zinc/26/70/38/970267038.db2.gz CJTLSOGVKBQTSB-WCQYABFASA-N 0 3 217.312 2.648 20 0 BFADHN C[C@H]1CC[C@H](NCc2ncc(F)cc2F)C1 ZINC001170101828 970294562 /nfs/dbraw/zinc/29/45/62/970294562.db2.gz PUYMGPVLQSRULR-WPRPVWTQSA-N 0 3 226.270 2.638 20 0 BFADHN C[C@H]1CC[C@@H](NCC(=O)c2ccccc2F)C1 ZINC001170102284 970302690 /nfs/dbraw/zinc/30/26/90/970302690.db2.gz ADMHFNJBSUYJMV-WDEREUQCSA-N 0 3 235.302 2.787 20 0 BFADHN Cc1ccc(C2(N[C@@H]3CC[C@@H](C)C3)COC2)cc1 ZINC001170105773 970307131 /nfs/dbraw/zinc/30/71/31/970307131.db2.gz TXBIZNZYSOJZOF-UKRRQHHQSA-N 0 3 245.366 2.999 20 0 BFADHN Cc1ccc(C(=O)CN[C@@H]2CC[C@H](C)C2)cc1 ZINC001170109947 970320600 /nfs/dbraw/zinc/32/06/00/970320600.db2.gz TUMQIYHKBPJXPZ-GXTWGEPZSA-N 0 3 231.339 2.956 20 0 BFADHN COC[C@H](C)NCc1cc(C)ccc1Cl ZINC001255561389 970346068 /nfs/dbraw/zinc/34/60/68/970346068.db2.gz BJWMDTBQDRGLEO-JTQLQIEISA-N 0 3 227.735 2.773 20 0 BFADHN C[C@H]1CC[C@H](NCc2cc3ccccn3n2)C1 ZINC001170120547 970353617 /nfs/dbraw/zinc/35/36/17/970353617.db2.gz ITEUOLYQLFRACP-RYUDHWBXSA-N 0 3 229.327 2.613 20 0 BFADHN C[C@H]1CC[C@H](N2CCc3cnccc3C2)C1 ZINC001170131801 970392034 /nfs/dbraw/zinc/39/20/34/970392034.db2.gz KRJKNVSDXDIPFR-FZMZJTMJSA-N 0 3 216.328 2.628 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCc3cnccc3C2)C1 ZINC001170131798 970396166 /nfs/dbraw/zinc/39/61/66/970396166.db2.gz KRJKNVSDXDIPFR-BXUZGUMPSA-N 0 3 216.328 2.628 20 0 BFADHN CCCCN(CC(=O)OCC)[C@@H]1CC[C@@H](C)C1 ZINC001170147126 970432759 /nfs/dbraw/zinc/43/27/59/970432759.db2.gz ADOSDOSTXPVIAJ-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN CCCCN(CC(=O)OCC)[C@H]1CC[C@@H](C)C1 ZINC001170147127 970434287 /nfs/dbraw/zinc/43/42/87/970434287.db2.gz ADOSDOSTXPVIAJ-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H]1CC[C@@H](N2C[C@@H]3C[C@H]2[C@@H](C(F)(F)F)O3)C1 ZINC001170147588 970439056 /nfs/dbraw/zinc/43/90/56/970439056.db2.gz CQUIWDGTSRXJSS-SSRBZLIGSA-N 0 3 249.276 2.579 20 0 BFADHN C[C@@H](CSc1ccc(F)cc1)NCCF ZINC001255732968 970466008 /nfs/dbraw/zinc/46/60/08/970466008.db2.gz PPKPLUUPMREEFP-VIFPVBQESA-N 0 3 231.311 2.865 20 0 BFADHN Cc1ccc(C[C@H](C)N2C=C(N)C=CC2)cc1 ZINC001255782670 970486467 /nfs/dbraw/zinc/48/64/67/970486467.db2.gz YHQVTHYPPWIGCF-ZDUSSCGKSA-N 0 3 228.339 2.598 20 0 BFADHN Cc1ccc(C[C@H](C)N2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC001255787321 970493321 /nfs/dbraw/zinc/49/33/21/970493321.db2.gz JEOSKGFZEDGUHZ-CWRNSKLLSA-N 0 3 245.366 2.789 20 0 BFADHN C[C@@H](Cc1ccccc1F)NC1(CF)CC1 ZINC001255829134 970500936 /nfs/dbraw/zinc/50/09/36/970500936.db2.gz ISYHHIWBYHASOB-JTQLQIEISA-N 0 3 225.282 2.848 20 0 BFADHN C[C@@H](Cc1ccccc1F)NCc1cnco1 ZINC001255831941 970506519 /nfs/dbraw/zinc/50/65/19/970506519.db2.gz XTZIAGWKBDPZRA-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN C[C@@H](Cc1ccccc1F)N[C@@H]1CCCCC1=O ZINC001255833818 970507978 /nfs/dbraw/zinc/50/79/78/970507978.db2.gz BXBYLABVMTVXRO-SMDDNHRTSA-N 0 3 249.329 2.858 20 0 BFADHN C[C@@H](N)c1ccn([C@H](C)Cc2ccccc2F)n1 ZINC001255835560 970508270 /nfs/dbraw/zinc/50/82/70/970508270.db2.gz DQRKAOVCVQFVGB-GHMZBOCLSA-N 0 3 247.317 2.846 20 0 BFADHN Cc1cc(CN)nn1[C@H](C)Cc1ccccc1F ZINC001255831057 970508554 /nfs/dbraw/zinc/50/85/54/970508554.db2.gz YFJOZCFBFXNJLM-SNVBAGLBSA-N 0 3 247.317 2.593 20 0 BFADHN C[C@H](N)c1nccn1[C@@H](C)Cc1ccccc1F ZINC001255829979 970509409 /nfs/dbraw/zinc/50/94/09/970509409.db2.gz QLUWNBYYXFNSTF-QWRGUYRKSA-N 0 3 247.317 2.846 20 0 BFADHN COc1ccc(CN(C)Cc2cc[nH]c2)cc1 ZINC000179558121 970513970 /nfs/dbraw/zinc/51/39/70/970513970.db2.gz DHHYKJTYKXDSAR-UHFFFAOYSA-N 0 3 230.311 2.655 20 0 BFADHN C[C@H](Cc1ccc(F)cc1)N1CCCC12COC2 ZINC001255876640 970538141 /nfs/dbraw/zinc/53/81/41/970538141.db2.gz ZXWQDYVLZXAMBH-GFCCVEGCSA-N 0 3 249.329 2.622 20 0 BFADHN CC(=O)[C@@H]1CCCN1[C@@H](C)Cc1ccc(F)cc1 ZINC001255878374 970540206 /nfs/dbraw/zinc/54/02/06/970540206.db2.gz CRFJBNOQULMOGQ-NHYWBVRUSA-N 0 3 249.329 2.810 20 0 BFADHN C[C@H](N)c1ccn(C2CCCCCC2)n1 ZINC001255883912 970544973 /nfs/dbraw/zinc/54/49/73/970544973.db2.gz JAHKIVLABBTBEV-JTQLQIEISA-N 0 3 207.321 2.798 20 0 BFADHN CC[C@H]1C[C@@H](NCOc2ccccc2)CCO1 ZINC001170178018 970551505 /nfs/dbraw/zinc/55/15/05/970551505.db2.gz MGPWTXHBDCBVKG-STQMWFEESA-N 0 3 235.327 2.570 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)N(CC)c1ccccc1 ZINC000400788226 970626327 /nfs/dbraw/zinc/62/63/27/970626327.db2.gz SEMNRSMOEKAOCB-OCCSQVGLSA-N 0 3 248.370 2.803 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N(CC)c1ccccc1 ZINC000400788224 970629617 /nfs/dbraw/zinc/62/96/17/970629617.db2.gz SEMNRSMOEKAOCB-GXTWGEPZSA-N 0 3 248.370 2.803 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)Nc1cccc(F)c1 ZINC000400859644 970639406 /nfs/dbraw/zinc/63/94/06/970639406.db2.gz HUXYQUJWRNYPPW-BXKDBHETSA-N 0 3 238.306 2.528 20 0 BFADHN Cc1cc2ncccc2cc1NCC1CN(C)C1 ZINC001170256457 970639808 /nfs/dbraw/zinc/63/98/08/970639808.db2.gz NDPKXEKPLQIQQU-UHFFFAOYSA-N 0 3 241.338 2.517 20 0 BFADHN CN1CC(CNc2ccccc2C2CC2)C1 ZINC001170256514 970641660 /nfs/dbraw/zinc/64/16/60/970641660.db2.gz PQYKTDPGZJAQQF-UHFFFAOYSA-N 0 3 216.328 2.538 20 0 BFADHN Cc1nc2c(cccc2NCC2CN(C)C2)s1 ZINC001170256797 970642884 /nfs/dbraw/zinc/64/28/84/970642884.db2.gz WYKUJINVXLBPCJ-UHFFFAOYSA-N 0 3 247.367 2.578 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)N(CC)C1CCCC1 ZINC000400952480 970648661 /nfs/dbraw/zinc/64/86/61/970648661.db2.gz JKMJRINWCVQTDB-YPMHNXCESA-N 0 3 240.391 2.541 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)N(CC)C1CCCC1 ZINC000400952474 970649887 /nfs/dbraw/zinc/64/98/87/970649887.db2.gz JKMJRINWCVQTDB-DGCLKSJQSA-N 0 3 240.391 2.541 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N1CCCCCCC1 ZINC000400981213 970653112 /nfs/dbraw/zinc/65/31/12/970653112.db2.gz RTOREZXVARQBSV-STQMWFEESA-N 0 3 240.391 2.543 20 0 BFADHN COc1cccc(C[C@H](C)N(C)CCF)c1 ZINC001256171479 970682590 /nfs/dbraw/zinc/68/25/90/970682590.db2.gz VVVAKJXSQIZSHK-NSHDSACASA-N 0 3 225.307 2.528 20 0 BFADHN CC[C@H](C)Nc1ccc(N2CCN(C)CC2)cc1 ZINC000182629274 970727424 /nfs/dbraw/zinc/72/74/24/970727424.db2.gz YKJKTMDMUAXGCX-ZDUSSCGKSA-N 0 3 247.386 2.649 20 0 BFADHN c1cc2ccc(CN3CCC[C@H]4C[C@H]43)nc2[nH]1 ZINC001144187222 972675265 /nfs/dbraw/zinc/67/52/65/972675265.db2.gz DFHIDSIRYPQSAL-WCQYABFASA-N 0 3 227.311 2.547 20 0 BFADHN CCCC[N@@H+](CCC)Cc1ccc([O-])cn1 ZINC001144258665 972708587 /nfs/dbraw/zinc/70/85/87/972708587.db2.gz ANKGDDMTHAIION-UHFFFAOYSA-N 0 3 222.332 2.799 20 0 BFADHN CCCC[N@H+](CCC)Cc1ccc([O-])cn1 ZINC001144258665 972708574 /nfs/dbraw/zinc/70/85/74/972708574.db2.gz ANKGDDMTHAIION-UHFFFAOYSA-N 0 3 222.332 2.799 20 0 BFADHN C[C@@]1(F)CCC[N@H+](Cc2ccc([O-])cn2)CC1 ZINC001144253440 972714450 /nfs/dbraw/zinc/71/44/50/972714450.db2.gz YAIBMDQQPPPORL-CYBMUJFWSA-N 0 3 238.306 2.501 20 0 BFADHN C[C@@]1(F)CCC[N@@H+](Cc2ccc([O-])cn2)CC1 ZINC001144253440 972714456 /nfs/dbraw/zinc/71/44/56/972714456.db2.gz YAIBMDQQPPPORL-CYBMUJFWSA-N 0 3 238.306 2.501 20 0 BFADHN C[C@@]1(F)CCCN(Cc2ccc(O)cn2)CC1 ZINC001144253440 972714464 /nfs/dbraw/zinc/71/44/64/972714464.db2.gz YAIBMDQQPPPORL-CYBMUJFWSA-N 0 3 238.306 2.501 20 0 BFADHN CC(C)[C@H](O)CN(C)Cc1cc2ccccc2[nH]1 ZINC001327864388 972766067 /nfs/dbraw/zinc/76/60/67/972766067.db2.gz KOHYGLCACZZTII-OAHLLOKOSA-N 0 3 246.354 2.617 20 0 BFADHN CC[C@@H]1CC[N@H+](Cc2c(F)cc([O-])cc2F)C1 ZINC001144567585 972873338 /nfs/dbraw/zinc/87/33/38/972873338.db2.gz SCWHFBAJWUQQCL-SECBINFHSA-N 0 3 241.281 2.902 20 0 BFADHN CC[C@@H]1CC[N@@H+](Cc2c(F)cc([O-])cc2F)C1 ZINC001144567585 972873340 /nfs/dbraw/zinc/87/33/40/972873340.db2.gz SCWHFBAJWUQQCL-SECBINFHSA-N 0 3 241.281 2.902 20 0 BFADHN CC[C@@H]1CCCN(Cc2ncn3ccccc23)C1 ZINC001144624292 972887330 /nfs/dbraw/zinc/88/73/30/972887330.db2.gz CTQATMWNQHLVJL-CYBMUJFWSA-N 0 3 243.354 2.956 20 0 BFADHN CC(C)N(Cc1ncn2ccccc12)C(C)C ZINC001144612005 972889765 /nfs/dbraw/zinc/88/97/65/972889765.db2.gz ZRXXBKCRVACXTL-UHFFFAOYSA-N 0 3 231.343 2.953 20 0 BFADHN c1nc2cc(CN3CCCC3)ccc2s1 ZINC001144732562 972971759 /nfs/dbraw/zinc/97/17/59/972971759.db2.gz ZPGJYFPHPFPMES-UHFFFAOYSA-N 0 3 218.325 2.892 20 0 BFADHN c1cc2cccc(CN3CC4(CSC4)C3)c2[nH]1 ZINC001144721486 972973580 /nfs/dbraw/zinc/97/35/80/972973580.db2.gz OMHGEKYIKNSJGO-UHFFFAOYSA-N 0 3 244.363 2.717 20 0 BFADHN CN(C)c1ccncc1NC(=O)C1=CCCCC1 ZINC001146708173 973219735 /nfs/dbraw/zinc/21/97/35/973219735.db2.gz ZGACHUDRJMHMEZ-UHFFFAOYSA-N 0 3 245.326 2.587 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cc(C)n[nH]2)C1 ZINC001329077200 973468738 /nfs/dbraw/zinc/46/87/38/973468738.db2.gz AEZALFVRRICKBA-DHCBQETCSA-N 0 3 233.359 2.896 20 0 BFADHN CC(C)[C@@H](OC[C@@H]1CCCN1C)C(F)(F)F ZINC001224817947 973997164 /nfs/dbraw/zinc/99/71/64/973997164.db2.gz DRAYNMIHMSYCQV-VHSXEESVSA-N 0 3 239.281 2.684 20 0 BFADHN COc1cc([C@@H](C)NC/C=C\C2CC2)ccn1 ZINC001329941773 974043392 /nfs/dbraw/zinc/04/33/92/974043392.db2.gz TVWHCZOKNYAMQO-DLRQAJBASA-N 0 3 232.327 2.707 20 0 BFADHN COc1cc([C@H](C)NC/C=C\C2CC2)ccn1 ZINC001329941772 974045127 /nfs/dbraw/zinc/04/51/27/974045127.db2.gz TVWHCZOKNYAMQO-BYCRGOAPSA-N 0 3 232.327 2.707 20 0 BFADHN COC(=O)c1cc(CN[C@H]2C=CCCC2)c(C)o1 ZINC001329983900 974067362 /nfs/dbraw/zinc/06/73/62/974067362.db2.gz PEOIKVRFDDUKRY-LBPRGKRZSA-N 0 3 249.310 2.573 20 0 BFADHN Fc1cc2c(cc1F)C[C@@H](N[C@@H]1C[C@H]1F)CC2 ZINC001171461519 974076989 /nfs/dbraw/zinc/07/69/89/974076989.db2.gz XELOOPWJCJOQNL-ZWKOPEQDSA-N 0 3 241.256 2.522 20 0 BFADHN CC(=O)CN1CC[C@@H]([C@@H]2CCCC2(C)C)C1 ZINC001171459138 974077557 /nfs/dbraw/zinc/07/75/57/974077557.db2.gz RSKDRIQZPNJDQR-OLZOCXBDSA-N 0 3 223.360 2.724 20 0 BFADHN Fc1cc2c(cc1F)C[C@@H](N1CCC1)CC2 ZINC001171471685 974081039 /nfs/dbraw/zinc/08/10/39/974081039.db2.gz IVYADRQNUJGYRT-NSHDSACASA-N 0 3 223.266 2.528 20 0 BFADHN Cc1ccc(CC[C@H](C)n2cnc(CN)c2)cc1 ZINC001171655905 974120158 /nfs/dbraw/zinc/12/01/58/974120158.db2.gz QFYGIFGGJMQSRY-ZDUSSCGKSA-N 0 3 243.354 2.844 20 0 BFADHN Cc1ccnc(CN2C[C@H]3CCCC[C@H]32)c1 ZINC001171757090 974149286 /nfs/dbraw/zinc/14/92/86/974149286.db2.gz WOWYPOKBQJPTSL-TZMCWYRMSA-N 0 3 216.328 2.764 20 0 BFADHN Cc1cc(CN2CC3(CCC3)[C@H]2C(C)C)n[nH]1 ZINC001330214039 974163199 /nfs/dbraw/zinc/16/31/99/974163199.db2.gz VGVJMSGQRQAOHX-CYBMUJFWSA-N 0 3 233.359 2.729 20 0 BFADHN CC1(C)CN([C@H]2Cc3cccc(F)c3C2)C1 ZINC001171792768 974186679 /nfs/dbraw/zinc/18/66/79/974186679.db2.gz JBNLKBBLEBKNEE-NSHDSACASA-N 0 3 219.303 2.635 20 0 BFADHN Fc1cccc2c1C[C@H](N1CC3(CCC3)C1)C2 ZINC001171793191 974187874 /nfs/dbraw/zinc/18/78/74/974187874.db2.gz KECNNKJIBGGLDD-GFCCVEGCSA-N 0 3 231.314 2.779 20 0 BFADHN CC1(C)CC[C@H](n2cc(CNCC3CC3)nn2)C1 ZINC001330290225 974217924 /nfs/dbraw/zinc/21/79/24/974217924.db2.gz SVJZBZFJAIJMAF-ZDUSSCGKSA-N 0 3 248.374 2.529 20 0 BFADHN CCOc1ccc(O[C@H]2CN3CCC2CC3)cc1 ZINC001225255515 974316326 /nfs/dbraw/zinc/31/63/26/974316326.db2.gz INJUALFDUMAGRL-HNNXBMFYSA-N 0 3 247.338 2.558 20 0 BFADHN C1=CCN([C@H]2CSc3ccccc3C2)C1 ZINC001172193835 974481134 /nfs/dbraw/zinc/48/11/34/974481134.db2.gz PEPYCWIISLUVQU-GFCCVEGCSA-N 0 3 217.337 2.575 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2COC(C)(C)C2)c1 ZINC001172271920 974487227 /nfs/dbraw/zinc/48/72/27/974487227.db2.gz RZUIZUAUROQJNV-RYUDHWBXSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2COC(C)(C)C2)nc1 ZINC001172275432 974490045 /nfs/dbraw/zinc/49/00/45/974490045.db2.gz QPFFGCXKIJIHLZ-VXGBXAGGSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1cc(CN2CCC[C@H](C3CCC3)C2)[nH]n1 ZINC001330891359 974523952 /nfs/dbraw/zinc/52/39/52/974523952.db2.gz AUSCEVPKBWURNW-ZDUSSCGKSA-N 0 3 233.359 2.730 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N1[C@@H](C)CCC1(C)C ZINC001330891057 974524302 /nfs/dbraw/zinc/52/43/02/974524302.db2.gz FEFDOHHIXWJENV-RYUDHWBXSA-N 0 3 240.391 2.539 20 0 BFADHN C[C@@H]1CC[C@H](N2CCc3nn(C)cc3C2)C[C@H]1C ZINC001172373284 974572344 /nfs/dbraw/zinc/57/23/44/974572344.db2.gz LCZVPQKNOWMLSG-BZPMIXESSA-N 0 3 247.386 2.603 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCC(=O)C[C@@H]2C)C[C@H]1C ZINC001172378406 974582385 /nfs/dbraw/zinc/58/23/85/974582385.db2.gz LQWTTXHLLCOQCV-FVCCEPFGSA-N 0 3 223.360 2.865 20 0 BFADHN Cc1cc2c(ccc(O[C@H]3CCN(C)C3)c2F)[nH]1 ZINC001225641755 974612584 /nfs/dbraw/zinc/61/25/84/974612584.db2.gz JAYRTRYTNXFCCF-JTQLQIEISA-N 0 3 248.301 2.698 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)N1CCC[C@H]1C(C)=O ZINC001172362147 974633639 /nfs/dbraw/zinc/63/36/39/974633639.db2.gz QFCSFMSIUGVDQZ-BZPMIXESSA-N 0 3 241.375 2.634 20 0 BFADHN C[C@@H]1CC[C@@H](NCC(=O)c2cccnc2)C[C@H]1C ZINC001172363391 974636021 /nfs/dbraw/zinc/63/60/21/974636021.db2.gz HMHFNORFVQCHFI-YRGRVCCFSA-N 0 3 246.354 2.679 20 0 BFADHN C[C@@H]1CC[C@@H](n2ncc3c2CCNC3)C[C@@H]1C ZINC001172367450 974645849 /nfs/dbraw/zinc/64/58/49/974645849.db2.gz SBEJAEIBKNELQG-NTZNESFSSA-N 0 3 233.359 2.526 20 0 BFADHN C[C@@H]1CC[C@H](N2Cc3cncnc3C2)C[C@@H]1C ZINC001172369032 974649082 /nfs/dbraw/zinc/64/90/82/974649082.db2.gz YPCICMSGVKORBZ-MDZLAQPJSA-N 0 3 231.343 2.617 20 0 BFADHN C[C@@H]1CC[C@@H](NC2(c3cnccn3)CC2)C[C@H]1C ZINC001172369387 974650552 /nfs/dbraw/zinc/65/05/52/974650552.db2.gz LPTZTLGJJRHGPD-JHJVBQTASA-N 0 3 245.370 2.880 20 0 BFADHN CCOCC[C@H](C)N[C@H](C)c1nc(C)cs1 ZINC001172410928 974675205 /nfs/dbraw/zinc/67/52/05/974675205.db2.gz LMZATEVYARWWFX-GXSJLCMTSA-N 0 3 242.388 2.917 20 0 BFADHN Cc1cccnc1CNCC(C)(C)OCC1CC1 ZINC001331401252 974705816 /nfs/dbraw/zinc/70/58/16/974705816.db2.gz XQYPLNVIIHAEAM-UHFFFAOYSA-N 0 3 248.370 2.685 20 0 BFADHN CC[C@H](CC(C)C)n1ccnc1[C@H](C)N ZINC001172382709 974723502 /nfs/dbraw/zinc/72/35/02/974723502.db2.gz HANFKBRGMDTGBL-WDEREUQCSA-N 0 3 209.337 2.900 20 0 BFADHN CC(C)OCC[C@@H](C)N1CC[C@@H](C)[C@H](F)C1 ZINC001172441653 974801401 /nfs/dbraw/zinc/80/14/01/974801401.db2.gz CEKVCZVVHFCCJB-JHJVBQTASA-N 0 3 231.355 2.870 20 0 BFADHN CC(C)OCC[C@H](C)N[C@@H](C)c1nccs1 ZINC001172447375 974810093 /nfs/dbraw/zinc/81/00/93/974810093.db2.gz HZJAVOIXOHKSOY-QWRGUYRKSA-N 0 3 242.388 2.997 20 0 BFADHN C[C@H]1CCN1[C@H]1Cc2ccc(Cl)cc2C1 ZINC001172719313 974904556 /nfs/dbraw/zinc/90/45/56/974904556.db2.gz ODTWTXOVYDIYDZ-ZANVPECISA-N 0 3 221.731 2.901 20 0 BFADHN Cc1ccc2c(c1)C[C@@H](N1CCOC[C@H]1C)CC2 ZINC001172826338 974948645 /nfs/dbraw/zinc/94/86/45/974948645.db2.gz AHDFOYKHFRQWED-CJNGLKHVSA-N 0 3 245.366 2.573 20 0 BFADHN Cc1ccc2c(c1)C[C@H](N[C@@H]1C[C@H]1F)CC2 ZINC001172837198 974952213 /nfs/dbraw/zinc/95/22/13/974952213.db2.gz YKGXSJORSAXHAJ-MGPQQGTHSA-N 0 3 219.303 2.552 20 0 BFADHN CC(=O)CN[C@H](C)Cc1ccc(F)cc1Cl ZINC001172864326 974956300 /nfs/dbraw/zinc/95/63/00/974956300.db2.gz VMQASYHESNZUSY-MRVPVSSYSA-N 0 3 243.709 2.589 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](Oc2ccnc(N)c2)C1 ZINC001226654779 975001582 /nfs/dbraw/zinc/00/15/82/975001582.db2.gz CBXYCFGCZDHSKL-SCVCMEIPSA-N 0 3 220.316 2.867 20 0 BFADHN CC[C@@H](Oc1ccnc(N)c1)C(C)(C)C ZINC001226657016 975001715 /nfs/dbraw/zinc/00/17/15/975001715.db2.gz NPYWHQBHKHFHNG-SNVBAGLBSA-N 0 3 208.305 2.867 20 0 BFADHN C[C@H]1CC[C@H](Oc2ccnc(N)c2)CC1 ZINC001226658098 975004960 /nfs/dbraw/zinc/00/49/60/975004960.db2.gz VWECXBKNRBDXIY-MGCOHNPYSA-N 0 3 206.289 2.621 20 0 BFADHN CCc1ccc(C[C@@H](C)N[C@@H]2C[C@H]2F)cc1 ZINC001173236428 975072900 /nfs/dbraw/zinc/07/29/00/975072900.db2.gz WVGRHSGYHWTZRM-LERXQTSPSA-N 0 3 221.319 2.880 20 0 BFADHN CC[C@@H]1CC[C@H](N(C)c2nc(CN)ccc2C)C1 ZINC001173262413 975115617 /nfs/dbraw/zinc/11/56/17/975115617.db2.gz ZBYFTPBIDZAOLU-OCCSQVGLSA-N 0 3 247.386 2.864 20 0 BFADHN CCSC[C@@H](C)Nc1cccc(F)c1CN ZINC001173292927 975167878 /nfs/dbraw/zinc/16/78/78/975167878.db2.gz UKMCDJDJLNTOIC-SECBINFHSA-N 0 3 242.363 2.838 20 0 BFADHN CC[C@@H]1CC[C@H](N2CC(OC(C)C)C2)C1 ZINC001173292486 975169374 /nfs/dbraw/zinc/16/93/74/975169374.db2.gz GUBIFFKAPIHUMA-NEPJUHHUSA-N 0 3 211.349 2.674 20 0 BFADHN CCSC[C@@H](C)N1CCc2cccnc2C1 ZINC001173312850 975226179 /nfs/dbraw/zinc/22/61/79/975226179.db2.gz WSXJLPOTSJXDAB-LLVKDONJSA-N 0 3 236.384 2.581 20 0 BFADHN CC[C@H]1CC[C@H](N(C)Cc2cc(C)nn2C)C1 ZINC001173280393 975234082 /nfs/dbraw/zinc/23/40/82/975234082.db2.gz OCSBLGZNPIEVBG-STQMWFEESA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@@H]1CC[C@H](N2CC3(C2)CCCCO3)C1 ZINC001173283294 975239722 /nfs/dbraw/zinc/23/97/22/975239722.db2.gz URYGQFKNNQSTSN-OLZOCXBDSA-N 0 3 223.360 2.820 20 0 BFADHN CCSC[C@@H](C)NCc1cc(C)ccn1 ZINC001173285597 975243043 /nfs/dbraw/zinc/24/30/43/975243043.db2.gz YGHNATBJNTWNRV-LLVKDONJSA-N 0 3 224.373 2.621 20 0 BFADHN CCSC[C@H](C)NCc1cc(Cl)ccn1 ZINC001173285338 975244424 /nfs/dbraw/zinc/24/44/24/975244424.db2.gz RLVBBBARVPZUFH-VIFPVBQESA-N 0 3 244.791 2.966 20 0 BFADHN CCc1onc(C)c1CN1CC2CCC1CC2 ZINC001332696453 975254473 /nfs/dbraw/zinc/25/44/73/975254473.db2.gz AETRNODALSROGH-UHFFFAOYSA-N 0 3 234.343 2.920 20 0 BFADHN O=c1[nH]c2cccc(CN[C@H]3C=CCCC3)c2o1 ZINC001332781073 975282671 /nfs/dbraw/zinc/28/26/71/975282671.db2.gz PLCMYZYFKSSWRQ-NSHDSACASA-N 0 3 244.294 2.732 20 0 BFADHN CCCc1c(O)cccc1O[C@@H]1CCCN(C)C1 ZINC001227148300 975295132 /nfs/dbraw/zinc/29/51/32/975295132.db2.gz IXNLRXHKJYUTKU-GFCCVEGCSA-N 0 3 249.354 2.818 20 0 BFADHN CCOc1cc(C)ccc1O[C@@H]1CCCN(C)C1 ZINC001227202667 975312450 /nfs/dbraw/zinc/31/24/50/975312450.db2.gz NEMCGCHIIOSPCF-CYBMUJFWSA-N 0 3 249.354 2.867 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1nncs1 ZINC001332921902 975322347 /nfs/dbraw/zinc/32/23/47/975322347.db2.gz IJYWOPUACGNAFC-ZJUUUORDSA-N 0 3 239.388 2.843 20 0 BFADHN O=C1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1CF ZINC001332938271 975329480 /nfs/dbraw/zinc/32/94/80/975329480.db2.gz VUYCKWLXYCNNIK-OKILXGFUSA-N 0 3 247.313 2.852 20 0 BFADHN C[C@@H](NC1(C)CC1)c1cn2ccccc2n1 ZINC001332946432 975337696 /nfs/dbraw/zinc/33/76/96/975337696.db2.gz MKEQKGLRFVBWDY-SNVBAGLBSA-N 0 3 215.300 2.537 20 0 BFADHN Cc1ncc(Nc2ccccc2C)n1C ZINC001173814710 975564735 /nfs/dbraw/zinc/56/47/35/975564735.db2.gz CKMKJADQJWVBGR-UHFFFAOYSA-N 0 3 201.273 2.781 20 0 BFADHN Cc1cc(F)cc(O[C@H]2CCCN(C)C2)c1 ZINC001227849325 975738913 /nfs/dbraw/zinc/73/89/13/975738913.db2.gz ZBHUITAUVPXJDP-LBPRGKRZSA-N 0 3 223.291 2.607 20 0 BFADHN Cc1cc(F)cc(O[C@H]2CN3CCC2CC3)c1 ZINC001227846802 975738956 /nfs/dbraw/zinc/73/89/56/975738956.db2.gz ANSHOKNFVYFATH-AWEZNQCLSA-N 0 3 235.302 2.607 20 0 BFADHN CCOc1ccccc1Nc1ccncc1C ZINC001173847740 975746556 /nfs/dbraw/zinc/74/65/56/975746556.db2.gz HBIKPBIYCJBAGZ-UHFFFAOYSA-N 0 3 228.295 2.954 20 0 BFADHN CCN(Cc1cc(OC)ns1)C(C)(C)C ZINC001333607455 975888709 /nfs/dbraw/zinc/88/87/09/975888709.db2.gz VRAUSWDMMKHXSJ-UHFFFAOYSA-N 0 3 228.361 2.772 20 0 BFADHN FC(F)(F)c1cnccc1Nc1ccncc1 ZINC001173879119 975911214 /nfs/dbraw/zinc/91/12/14/975911214.db2.gz HBQVYFCDKMFRIX-UHFFFAOYSA-N 0 3 239.200 2.661 20 0 BFADHN Nc1ccc(F)c2ccn(-c3ccncc3)c21 ZINC001173885331 975984189 /nfs/dbraw/zinc/98/41/89/975984189.db2.gz UKGYVPMZDSLRFE-UHFFFAOYSA-N 0 3 227.242 2.747 20 0 BFADHN C[C@@H]1CCN(c2cc(N)ncc2Cl)C[C@@H]1F ZINC001333696148 976000683 /nfs/dbraw/zinc/00/06/83/976000683.db2.gz APBOQWJTDMPJKT-APPZFPTMSA-N 0 3 243.713 2.502 20 0 BFADHN Cc1cncc(Nc2ccccc2CN(C)C)n1 ZINC001173927842 976039415 /nfs/dbraw/zinc/03/94/15/976039415.db2.gz PUKLXJWQLDPRLV-UHFFFAOYSA-N 0 3 242.326 2.590 20 0 BFADHN CCn1nccc1Nc1ccccc1CN(C)C ZINC001173927855 976041865 /nfs/dbraw/zinc/04/18/65/976041865.db2.gz QCNWPTNKKYJCPY-UHFFFAOYSA-N 0 3 244.342 2.708 20 0 BFADHN CCN1CCC[C@H](Oc2ccc(C)cc2F)C1 ZINC001228382983 976171629 /nfs/dbraw/zinc/17/16/29/976171629.db2.gz OHKVKWIFHIVZSN-LBPRGKRZSA-N 0 3 237.318 2.997 20 0 BFADHN CCN1CC[C@@H](Oc2cnc(Cl)cc2C)C1 ZINC001228532185 976286296 /nfs/dbraw/zinc/28/62/96/976286296.db2.gz BDYLFDIXTAPBOU-SNVBAGLBSA-N 0 3 240.734 2.516 20 0 BFADHN C[C@@H]1COCCN(C/C=C\c2cccc(F)c2)C1 ZINC001334232430 976393249 /nfs/dbraw/zinc/39/32/49/976393249.db2.gz GXZNBENLENRAAK-DXTPNHAXSA-N 0 3 249.329 2.807 20 0 BFADHN Cc1nc(Cl)ccc1O[C@H]1CCCN(C)C1 ZINC001228702742 976446092 /nfs/dbraw/zinc/44/60/92/976446092.db2.gz VSWJFAJZVCOHNG-JTQLQIEISA-N 0 3 240.734 2.516 20 0 BFADHN Cc1cc(O[C@@H]2CCCN(C)C2)ncc1Cl ZINC001228746966 976480211 /nfs/dbraw/zinc/48/02/11/976480211.db2.gz YOVSIDWZRCVMQB-SNVBAGLBSA-N 0 3 240.734 2.516 20 0 BFADHN Cc1cc(OC2CCN(C)CC2)ncc1Cl ZINC001228745075 976481095 /nfs/dbraw/zinc/48/10/95/976481095.db2.gz IDRSPXZFWBWJDV-UHFFFAOYSA-N 0 3 240.734 2.516 20 0 BFADHN CN1CCC(Oc2cnc3ccsc3c2)CC1 ZINC001228895147 976566439 /nfs/dbraw/zinc/56/64/39/976566439.db2.gz LIVRCXDIABPKNZ-UHFFFAOYSA-N 0 3 248.351 2.769 20 0 BFADHN CN1CCc2cc(O[C@H]3C=CCC3)ccc2C1 ZINC001228945936 976596747 /nfs/dbraw/zinc/59/67/47/976596747.db2.gz YIGSVYVCSZQZDT-AWEZNQCLSA-N 0 3 229.323 2.772 20 0 BFADHN CC(C)(C)c1cc(Nc2cncnc2)ccn1 ZINC001174383058 976617980 /nfs/dbraw/zinc/61/79/80/976617980.db2.gz MMSSBHFLRQOWOH-UHFFFAOYSA-N 0 3 228.299 2.913 20 0 BFADHN CC(=O)c1c(C)cccc1O[C@@H](C)CN(C)C ZINC001228993023 976624114 /nfs/dbraw/zinc/62/41/14/976624114.db2.gz LWZZTXSRZSFLMS-NSHDSACASA-N 0 3 235.327 2.527 20 0 BFADHN C[C@H](c1ncccn1)N1CC[C@@H](C(C)(C)C)C1 ZINC001334767057 976632598 /nfs/dbraw/zinc/63/25/98/976632598.db2.gz MMLXABZAADKJCE-VXGBXAGGSA-N 0 3 233.359 2.906 20 0 BFADHN Cc1ncc(Nc2cccc(C(C)(C)O)c2)n1C ZINC001174407920 976689461 /nfs/dbraw/zinc/68/94/61/976689461.db2.gz WJHSYWYARXTGDP-UHFFFAOYSA-N 0 3 245.326 2.700 20 0 BFADHN CCOc1ncccc1Nc1ccnc(CC)c1 ZINC001174456973 976736995 /nfs/dbraw/zinc/73/69/95/976736995.db2.gz JMEPDAXEAXYNFM-UHFFFAOYSA-N 0 3 243.310 2.603 20 0 BFADHN CN1CCC(Oc2c(O)cccc2Cl)CC1 ZINC001229116560 976747436 /nfs/dbraw/zinc/74/74/36/976747436.db2.gz FRKHKDPZHZMXKV-UHFFFAOYSA-N 0 3 241.718 2.519 20 0 BFADHN CCc1cc(Nc2ccc(N)cc2F)ccn1 ZINC001174481710 976802883 /nfs/dbraw/zinc/80/28/83/976802883.db2.gz WDTQKNXSMJDKGA-UHFFFAOYSA-N 0 3 231.274 2.531 20 0 BFADHN Cc1cnccc1Nc1nc(C)c(C)nc1Cl ZINC001174535936 976811947 /nfs/dbraw/zinc/81/19/47/976811947.db2.gz CYHCXVBEAJRYSL-UHFFFAOYSA-N 0 3 248.717 2.616 20 0 BFADHN Cc1ccc(Nc2ccncc2C)c(C)n1 ZINC001174535831 976812063 /nfs/dbraw/zinc/81/20/63/976812063.db2.gz AUFRMANRXZHVIX-UHFFFAOYSA-N 0 3 213.284 2.567 20 0 BFADHN Cc1cnccc1Nc1cncc(Cl)c1 ZINC001174536362 976816337 /nfs/dbraw/zinc/81/63/37/976816337.db2.gz CIMXIOSUGJJKMG-UHFFFAOYSA-N 0 3 219.675 2.604 20 0 BFADHN CC[C@H](C)Oc1ccc(C(=O)CCN(C)C)cc1 ZINC001229306792 976816976 /nfs/dbraw/zinc/81/69/76/976816976.db2.gz RKNJEDUIFUSMHX-LBPRGKRZSA-N 0 3 249.354 2.998 20 0 BFADHN Cc1cnccc1Nc1ccnc(Cl)c1F ZINC001174537040 976819728 /nfs/dbraw/zinc/81/97/28/976819728.db2.gz TYRYHLRQVRNPDW-UHFFFAOYSA-N 0 3 237.665 2.743 20 0 BFADHN Cc1cnccc1Nc1ccc(N(C)C)cc1 ZINC001174537595 976821063 /nfs/dbraw/zinc/82/10/63/976821063.db2.gz LHTDWLWIEKBQMZ-UHFFFAOYSA-N 0 3 227.311 2.622 20 0 BFADHN Cc1cnccc1Nc1cc(Cl)ccc1CO ZINC001174538857 976828459 /nfs/dbraw/zinc/82/84/59/976828459.db2.gz PICPEAVOKQHBLI-UHFFFAOYSA-N 0 3 248.713 2.701 20 0 BFADHN Cc1cc(O)cc(Nc2ccncc2C)c1 ZINC001174539469 976828577 /nfs/dbraw/zinc/82/85/77/976828577.db2.gz GWIUQOZNBHJGBN-UHFFFAOYSA-N 0 3 214.268 2.570 20 0 BFADHN COc1cccc(Nc2ccncc2C)c1C ZINC001174539342 976829606 /nfs/dbraw/zinc/82/96/06/976829606.db2.gz ICEFJRAUUAGUDS-UHFFFAOYSA-N 0 3 228.295 2.873 20 0 BFADHN Cc1cnccc1Nc1cc(F)c(C)cc1N ZINC001174540382 976832788 /nfs/dbraw/zinc/83/27/88/976832788.db2.gz YDCHZWJXKOAECH-UHFFFAOYSA-N 0 3 231.274 2.585 20 0 BFADHN COc1ccc(Nc2ccncc2C)cc1C ZINC001174540230 976833087 /nfs/dbraw/zinc/83/30/87/976833087.db2.gz ZEJLVGJNEVGLOO-UHFFFAOYSA-N 0 3 228.295 2.873 20 0 BFADHN C[C@H]1CCN([C@@H]2CCc3c2cccc3F)CCO1 ZINC001335119091 976855856 /nfs/dbraw/zinc/85/58/56/976855856.db2.gz XCOZRWSLDPVXQT-XHDPSFHLSA-N 0 3 249.329 2.924 20 0 BFADHN Cc1ccc(OC2CCN(C)CC2)c(Cl)n1 ZINC001229427693 976865613 /nfs/dbraw/zinc/86/56/13/976865613.db2.gz YEQHWXKVTHUGPS-UHFFFAOYSA-N 0 3 240.734 2.516 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2N[C@H]1CC[C@H]1C ZINC001335281053 976918570 /nfs/dbraw/zinc/91/85/70/976918570.db2.gz IZJQAEFLAADYFM-MIMYLULJSA-N 0 3 220.316 2.749 20 0 BFADHN Cc1cc(Nc2cc(C(F)(F)F)no2)ccn1 ZINC001174651503 976935229 /nfs/dbraw/zinc/93/52/29/976935229.db2.gz KYJOUBPLRPGDAA-UHFFFAOYSA-N 0 3 243.188 2.562 20 0 BFADHN Cc1cc(Nc2ccnc(C(C)(C)C)n2)ccn1 ZINC001174654527 976943208 /nfs/dbraw/zinc/94/32/08/976943208.db2.gz DUYUVLBBUYRQCV-UHFFFAOYSA-N 0 3 242.326 2.643 20 0 BFADHN COc1cc(Cl)nc(Nc2ccnc(C)c2)c1 ZINC001174654002 976945888 /nfs/dbraw/zinc/94/58/88/976945888.db2.gz YKLXMPPFWQJHGZ-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN CCN1CC[C@H](Oc2c(O)cccc2C(C)C)C1 ZINC001229706797 976954753 /nfs/dbraw/zinc/95/47/53/976954753.db2.gz DTFWEEAMLXOIHM-LBPRGKRZSA-N 0 3 249.354 2.989 20 0 BFADHN CC[C@H](C)Oc1ccccc1CN(C)C ZINC001229700125 976955743 /nfs/dbraw/zinc/95/57/43/976955743.db2.gz WUNSZVGXZXVMPV-NSHDSACASA-N 0 3 207.317 2.926 20 0 BFADHN CC(C)c1cccc(O)c1O[C@@H]1CCN(C)C1 ZINC001229706831 976956948 /nfs/dbraw/zinc/95/69/48/976956948.db2.gz FCDAZLAOAUXPKP-LLVKDONJSA-N 0 3 235.327 2.599 20 0 BFADHN CC1CC(NCc2noc3cc(F)ccc23)C1 ZINC001335524821 977117085 /nfs/dbraw/zinc/11/70/85/977117085.db2.gz ZGOOUMSQFZNWBX-UHFFFAOYSA-N 0 3 234.274 2.855 20 0 BFADHN Cc1ncc(Nc2ccc(F)c(F)c2F)n1C ZINC001174967976 977192002 /nfs/dbraw/zinc/19/20/02/977192002.db2.gz OUBVSSXGNCALES-UHFFFAOYSA-N 0 3 241.216 2.889 20 0 BFADHN Cc1ccc2ncc(O[C@@H]3CCN(C)C3)cc2c1 ZINC001230523747 977233776 /nfs/dbraw/zinc/23/37/76/977233776.db2.gz GCNFEVMOQNKFKV-CYBMUJFWSA-N 0 3 242.322 2.626 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@@H]1C)c1ncccn1 ZINC001335766769 977273047 /nfs/dbraw/zinc/27/30/47/977273047.db2.gz YRYGUFYXXUVEMF-SDDRHHMPSA-N 0 3 219.332 2.706 20 0 BFADHN CCOc1ccc(C)cc1Nc1nccn1C ZINC001175123021 977278363 /nfs/dbraw/zinc/27/83/63/977278363.db2.gz OQRHGOAKXNPRLA-UHFFFAOYSA-N 0 3 231.299 2.871 20 0 BFADHN CCCC[C@@H](CC)Oc1nncc2n[nH]cc21 ZINC001230895773 977362702 /nfs/dbraw/zinc/36/27/02/977362702.db2.gz KPPUXCDGKROHNE-SECBINFHSA-N 0 3 234.303 2.701 20 0 BFADHN CC(C)CC[C@@H](C)Oc1nncc2n[nH]cc21 ZINC001230901565 977374142 /nfs/dbraw/zinc/37/41/42/977374142.db2.gz RFYHIZRSTIACBF-SECBINFHSA-N 0 3 234.303 2.556 20 0 BFADHN Cc1ccc2n[nH]cc2c1Nc1ccncc1C ZINC001175525055 977502018 /nfs/dbraw/zinc/50/20/18/977502018.db2.gz IOETXHXQGFCFEV-UHFFFAOYSA-N 0 3 238.294 2.740 20 0 BFADHN CCN(C)c1ccc(NC2=CCCN(C)C2)cc1 ZINC001175582495 977514788 /nfs/dbraw/zinc/51/47/88/977514788.db2.gz PZAQCDHMKMNUON-UHFFFAOYSA-N 0 3 245.370 2.774 20 0 BFADHN CN1CCC=C(Nc2cccc3cccnc32)C1 ZINC001175576579 977577052 /nfs/dbraw/zinc/57/70/52/977577052.db2.gz KCJZAVKGIIKYHO-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN CN1CCC=C(Nc2ccc3ncsc3c2)C1 ZINC001175575948 977582751 /nfs/dbraw/zinc/58/27/51/977582751.db2.gz DVZJFNAFWYQTKN-UHFFFAOYSA-N 0 3 245.351 2.928 20 0 BFADHN CCOc1cccc(NC2=CCCN(C)C2)c1 ZINC001175581134 977604718 /nfs/dbraw/zinc/60/47/18/977604718.db2.gz ZDKDOTRWXWEBRF-UHFFFAOYSA-N 0 3 232.327 2.717 20 0 BFADHN CCc1ccc(CN2CC[C@H]3OCC[C@H]32)s1 ZINC001231500104 977611400 /nfs/dbraw/zinc/61/14/00/977611400.db2.gz QTJMOWYZUAWUNP-CHWSQXEVSA-N 0 3 237.368 2.674 20 0 BFADHN CCc1cc(Nc2ccc(SC)nc2)ccn1 ZINC001175654004 977616000 /nfs/dbraw/zinc/61/60/00/977616000.db2.gz ADQRSBVDGFPXBO-UHFFFAOYSA-N 0 3 245.351 2.926 20 0 BFADHN CC(C)Oc1ccc(CN2CCCC23CC3)cn1 ZINC001231532577 977627202 /nfs/dbraw/zinc/62/72/02/977627202.db2.gz WWLIJNOYSYUGCE-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN CC(C)Oc1ccc(CN2C[C@H]3CC[C@@H]2C3)cn1 ZINC001231535293 977631710 /nfs/dbraw/zinc/63/17/10/977631710.db2.gz HSWYVIOTJKXGJP-GXTWGEPZSA-N 0 3 246.354 2.853 20 0 BFADHN CCOc1ccc(CN2C3CCC2CC3)cn1 ZINC001231654914 977666138 /nfs/dbraw/zinc/66/61/38/977666138.db2.gz WCRWAHUPSOMFKH-UHFFFAOYSA-N 0 3 232.327 2.607 20 0 BFADHN Cc1ccc([O-])c(C[NH+]2CC3CCC(CC3)C2)n1 ZINC001231674531 977690788 /nfs/dbraw/zinc/69/07/88/977690788.db2.gz ZMQLGDQAYKGQHY-UHFFFAOYSA-N 0 3 246.354 2.718 20 0 BFADHN CCOc1ncccc1CN1C[C@H](C)CC12CC2 ZINC001231765871 977737704 /nfs/dbraw/zinc/73/77/04/977737704.db2.gz MEMOSIJGZQTQSQ-GFCCVEGCSA-N 0 3 246.354 2.855 20 0 BFADHN CCOc1ncccc1CN1C[C@H](C)C[C@@H](C)C1 ZINC001231768968 977743165 /nfs/dbraw/zinc/74/31/65/977743165.db2.gz SBMSQWAMCGLFAV-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CC23CC3)cnc1F ZINC001231926068 977797130 /nfs/dbraw/zinc/79/71/30/977797130.db2.gz HVTSHYMGQAYGPI-JTQLQIEISA-N 0 3 234.318 2.904 20 0 BFADHN COc1cccc2[nH]cc(CN3CC(C)C3)c21 ZINC001232033890 977857718 /nfs/dbraw/zinc/85/77/18/977857718.db2.gz FJTYRZNFBBYRST-UHFFFAOYSA-N 0 3 230.311 2.628 20 0 BFADHN Cc1nc(C(F)(F)F)ccc1Nc1ncc[nH]1 ZINC001176078920 977870591 /nfs/dbraw/zinc/87/05/91/977870591.db2.gz CSARTNJMBRYJHK-UHFFFAOYSA-N 0 3 242.204 2.876 20 0 BFADHN CCC[N@@H+](Cc1cncc([O-])c1)CC(C)C ZINC001232047421 977874976 /nfs/dbraw/zinc/87/49/76/977874976.db2.gz XBNNHUFZWDYSEW-UHFFFAOYSA-N 0 3 222.332 2.655 20 0 BFADHN CCC[N@H+](Cc1cncc([O-])c1)CC(C)C ZINC001232047421 977874983 /nfs/dbraw/zinc/87/49/83/977874983.db2.gz XBNNHUFZWDYSEW-UHFFFAOYSA-N 0 3 222.332 2.655 20 0 BFADHN Cc1ccc(-n2nccc2Nc2ncc[nH]2)cc1 ZINC001176081731 977876180 /nfs/dbraw/zinc/87/61/80/977876180.db2.gz AOAOJAKXVYTESN-UHFFFAOYSA-N 0 3 239.282 2.647 20 0 BFADHN FCC1CCN(Cc2cc3ncccc3[nH]2)CC1 ZINC001232065183 977877521 /nfs/dbraw/zinc/87/75/21/977877521.db2.gz MSKKPSMDSHBQPU-UHFFFAOYSA-N 0 3 247.317 2.744 20 0 BFADHN CCCO[C@H]1CCN(Cc2ccc(C)nc2C)C1 ZINC001232083958 977884388 /nfs/dbraw/zinc/88/43/88/977884388.db2.gz QFXPSQAQWRSBOP-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN CO[C@@H]1CCOc2c(Nc3ncc[nH]3)cccc21 ZINC001176085700 977891929 /nfs/dbraw/zinc/89/19/29/977891929.db2.gz OUIFKQIUURIARR-LLVKDONJSA-N 0 3 245.282 2.623 20 0 BFADHN c1cc(CN2CC[C@H]3CCC[C@@H]3C2)sn1 ZINC001232188787 977910064 /nfs/dbraw/zinc/91/00/64/977910064.db2.gz LPYSQTXUSCOJJX-GHMZBOCLSA-N 0 3 222.357 2.765 20 0 BFADHN c1nscc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001232226377 977956750 /nfs/dbraw/zinc/95/67/50/977956750.db2.gz PZRWUSLBPROQIT-VXGBXAGGSA-N 0 3 222.357 2.765 20 0 BFADHN C[C@@H]1CN(Cc2cnsc2)C2(CC2)C1 ZINC001232229625 977962304 /nfs/dbraw/zinc/96/23/04/977962304.db2.gz RFVHNOPDNMOARN-VIFPVBQESA-N 0 3 208.330 2.518 20 0 BFADHN C[C@H]1CCN1Cc1nccc2ccccc21 ZINC001232247900 977973446 /nfs/dbraw/zinc/97/34/46/977973446.db2.gz HEXQRSJASFITGT-NSHDSACASA-N 0 3 212.296 2.829 20 0 BFADHN CCC1CCN(Cc2cccc(COC)n2)CC1 ZINC001232252365 977979038 /nfs/dbraw/zinc/97/90/38/977979038.db2.gz TYMXSPIRPVKTOU-UHFFFAOYSA-N 0 3 248.370 2.850 20 0 BFADHN C[C@@H]1CC[N@H+](Cc2ccc([O-])c3ncccc23)C1 ZINC001232273532 977987460 /nfs/dbraw/zinc/98/74/60/977987460.db2.gz ZWZCXYXYZNBTOQ-LLVKDONJSA-N 0 3 242.322 2.782 20 0 BFADHN C[C@@H]1CC[N@@H+](Cc2ccc([O-])c3ncccc23)C1 ZINC001232273532 977987470 /nfs/dbraw/zinc/98/74/70/977987470.db2.gz ZWZCXYXYZNBTOQ-LLVKDONJSA-N 0 3 242.322 2.782 20 0 BFADHN CN(Cc1cc(Cl)cs1)[C@@H]1CCN(C)C1 ZINC001232280135 977989797 /nfs/dbraw/zinc/98/97/97/977989797.db2.gz CDMGFQMYDVGGCX-SNVBAGLBSA-N 0 3 244.791 2.537 20 0 BFADHN CCCN(C)Cc1cc(OC)ccc1OCC ZINC001232383572 978043213 /nfs/dbraw/zinc/04/32/13/978043213.db2.gz ZVLLKVXZPZEDIY-UHFFFAOYSA-N 0 3 237.343 2.936 20 0 BFADHN CCOc1ccc(OC)cc1CN1CCCC1 ZINC001232383537 978043503 /nfs/dbraw/zinc/04/35/03/978043503.db2.gz YNBIEDIGPHJNSX-UHFFFAOYSA-N 0 3 235.327 2.690 20 0 BFADHN FC(F)(F)[C@@H]1C[C@H]1NCc1cccn1C1CC1 ZINC001336918943 978056072 /nfs/dbraw/zinc/05/60/72/978056072.db2.gz SWIQACCTEJWSFO-GHMZBOCLSA-N 0 3 244.260 2.863 20 0 BFADHN CCCCN(CC)Cc1[nH]nc2c1CCC2 ZINC001232428242 978061286 /nfs/dbraw/zinc/06/12/86/978061286.db2.gz JYFWRPLMNNYTJD-UHFFFAOYSA-N 0 3 221.348 2.520 20 0 BFADHN C(c1n[nH]c2c1CCC2)N1C2CCCC1CCC2 ZINC001232430124 978069672 /nfs/dbraw/zinc/06/96/72/978069672.db2.gz OOMMNARHWMPIMM-UHFFFAOYSA-N 0 3 245.370 2.805 20 0 BFADHN Cc1ncc(CN(C)Cc2ccc(C)cc2)[nH]1 ZINC001232462261 978095145 /nfs/dbraw/zinc/09/51/45/978095145.db2.gz LZSWLMVGJOVPFG-UHFFFAOYSA-N 0 3 229.327 2.659 20 0 BFADHN Cc1ncc(CN2Cc3ccccc3C[C@H]2C)[nH]1 ZINC001232464993 978113510 /nfs/dbraw/zinc/11/35/10/978113510.db2.gz PPORPEYYGZQBNV-LLVKDONJSA-N 0 3 241.338 2.665 20 0 BFADHN Cc1cc(CN(C)C[C@H](C)c2ccccc2)[nH]n1 ZINC001337032517 978135188 /nfs/dbraw/zinc/13/51/88/978135188.db2.gz DIGFGJOPSBOCRM-LBPRGKRZSA-N 0 3 243.354 2.954 20 0 BFADHN FCc1cccc(CN2CCC3(CCC3)C2)n1 ZINC001232540119 978166646 /nfs/dbraw/zinc/16/66/46/978166646.db2.gz YJBWJYWLTIKHQU-UHFFFAOYSA-N 0 3 234.318 2.927 20 0 BFADHN CCN(CC)Cc1cc2ccoc2cn1 ZINC001232549290 978170642 /nfs/dbraw/zinc/17/06/42/978170642.db2.gz DPGFJJVQVHEOOJ-UHFFFAOYSA-N 0 3 204.273 2.670 20 0 BFADHN CCOc1ccnc(CN2C[C@@H](C)C[C@H](C)C2)c1 ZINC001232584493 978186121 /nfs/dbraw/zinc/18/61/21/978186121.db2.gz FZNUAWQFSKAVHQ-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN CCOc1ccnc(CN(C)[C@H](C)CC)c1 ZINC001232580788 978187124 /nfs/dbraw/zinc/18/71/24/978187124.db2.gz DOFOFCCLRQOOFA-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN FC1CCN(Cc2cncc3cnccc32)CC1 ZINC001232650570 978211165 /nfs/dbraw/zinc/21/11/65/978211165.db2.gz XWRWYTIOXTWGMH-UHFFFAOYSA-N 0 3 245.301 2.564 20 0 BFADHN CNc1ccccc1CN1CCC[C@H](C(C)=O)C1 ZINC001232672968 978234087 /nfs/dbraw/zinc/23/40/87/978234087.db2.gz NTTDURWNERXBNP-ZDUSSCGKSA-N 0 3 246.354 2.529 20 0 BFADHN CCCn1cnc(CN2CC[C@H]3CCC[C@@H]3C2)c1 ZINC001232797632 978281308 /nfs/dbraw/zinc/28/13/08/978281308.db2.gz MKMRYIVOBBKROQ-ZIAGYGMSSA-N 0 3 247.386 2.915 20 0 BFADHN CCCn1cnc(CN(C)CC2CCCC2)c1 ZINC001232803711 978301093 /nfs/dbraw/zinc/30/10/93/978301093.db2.gz QJDORWYSWPWCQC-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CC(C)[C@H](OCCN1CCCC1)C(F)(F)F ZINC001206302390 978330637 /nfs/dbraw/zinc/33/06/37/978330637.db2.gz JANHGMPBWMANBJ-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN c1nc2ccc(CN3CCCCC3)cc2o1 ZINC001232938541 978346320 /nfs/dbraw/zinc/34/63/20/978346320.db2.gz FOKLSRAWCBQPAS-UHFFFAOYSA-N 0 3 216.284 2.814 20 0 BFADHN COC1(C)CCN(Cc2cnc(C)cc2C)CC1 ZINC001233008195 978400369 /nfs/dbraw/zinc/40/03/69/978400369.db2.gz MFIMBBWNVKOCEB-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1cc(C)c(CN2CCCOC(C)(C)C2)cn1 ZINC001233006703 978400962 /nfs/dbraw/zinc/40/09/62/978400962.db2.gz HBJNKEXWHYGGDT-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN CC1CC[NH+](Cc2cc(Cl)ncc2[O-])CC1 ZINC001233031453 978414305 /nfs/dbraw/zinc/41/43/05/978414305.db2.gz CVVHLHZLWGYUDX-UHFFFAOYSA-N 0 3 240.734 2.673 20 0 BFADHN Oc1cccc(CN2CCC3(CC3)CC2)c1F ZINC001233052174 978450051 /nfs/dbraw/zinc/45/00/51/978450051.db2.gz ZWHUAUQRODRAOO-UHFFFAOYSA-N 0 3 235.302 2.907 20 0 BFADHN Cc1cc(CN2CC[C@H](OC(C)C)C2)cnc1C ZINC001233161157 978483023 /nfs/dbraw/zinc/48/30/23/978483023.db2.gz VBUXNEFOJZOWNE-HNNXBMFYSA-N 0 3 248.370 2.698 20 0 BFADHN CCOC1CCN(Cc2cnc(C)c(C)c2)CC1 ZINC001233164424 978485970 /nfs/dbraw/zinc/48/59/70/978485970.db2.gz UQBJEFPQWBIGAL-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1ccc(Cl)cc1CN1CCC[C@@H]1CO ZINC001233248530 978510226 /nfs/dbraw/zinc/51/02/26/978510226.db2.gz OMVMZDWDBKFOKX-CYBMUJFWSA-N 0 3 239.746 2.605 20 0 BFADHN F[C@@H]1CCCN(Cc2cnn3ccccc23)CC1 ZINC001233236367 978511956 /nfs/dbraw/zinc/51/19/56/978511956.db2.gz UVZTURDHSOFBHW-CYBMUJFWSA-N 0 3 247.317 2.658 20 0 BFADHN Cc1cc(CN2CCC[C@@H](F)CC2)sn1 ZINC001233309994 978533533 /nfs/dbraw/zinc/53/35/33/978533533.db2.gz LZKXMLVCCBNKTP-SNVBAGLBSA-N 0 3 228.336 2.776 20 0 BFADHN Cc1cnsc1CN(C)C[C@H]1CC1(C)C ZINC001233321158 978557130 /nfs/dbraw/zinc/55/71/30/978557130.db2.gz CEIKLGDOEVAJTJ-SNVBAGLBSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)C[C@@H]2C)o1 ZINC001233367145 978580857 /nfs/dbraw/zinc/58/08/57/978580857.db2.gz NEPOICRNQROIIS-UWVGGRQHSA-N 0 3 208.305 2.603 20 0 BFADHN OCc1cc(NC[C@H]2C[C@@H]2C2CCCC2)ccn1 ZINC001338144439 978623068 /nfs/dbraw/zinc/62/30/68/978623068.db2.gz WEQKOPGFMIHQTJ-IUODEOHRSA-N 0 3 246.354 2.812 20 0 BFADHN CCn1ccc(CNCC[C@H]2CC=CCC2)n1 ZINC001179358427 978694495 /nfs/dbraw/zinc/69/44/95/978694495.db2.gz DXNBRBRQFRVZMN-ZDUSSCGKSA-N 0 3 233.359 2.739 20 0 BFADHN O=C1CCC[C@@H]2CN(Cc3ccccc3F)C[C@@H]12 ZINC001203360174 978727193 /nfs/dbraw/zinc/72/71/93/978727193.db2.gz UJACRHCDUYTKPD-DGCLKSJQSA-N 0 3 247.313 2.627 20 0 BFADHN C[C@@H]1CCN1Cc1c[nH]c(=O)c2ccccc12 ZINC001233964525 978741039 /nfs/dbraw/zinc/74/10/39/978741039.db2.gz PCJDLTVOPSZLAN-SNVBAGLBSA-N 0 3 228.295 2.535 20 0 BFADHN CCCn1cncc1CN1C[C@@H](C)CC[C@@H]1C ZINC001179855040 978767258 /nfs/dbraw/zinc/76/72/58/978767258.db2.gz MNKHCGAOYGOEMU-STQMWFEESA-N 0 3 235.375 2.914 20 0 BFADHN COc1cc(CN(C)C)cc(F)c1OC(C)C ZINC001234239128 978800548 /nfs/dbraw/zinc/80/05/48/978800548.db2.gz QHCPJLKKKWOOEM-UHFFFAOYSA-N 0 3 241.306 2.683 20 0 BFADHN C[C@H](CN(C)C[C@@H]1CCCCO1)C(F)(F)F ZINC000441150451 978818351 /nfs/dbraw/zinc/81/83/51/978818351.db2.gz GEQVAIZZWVNBEI-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CC[C@@H](C)Oc1cccc2c1CCN(C)C2 ZINC001234420358 978832030 /nfs/dbraw/zinc/83/20/30/978832030.db2.gz QJWNVQMWGSSISS-LLVKDONJSA-N 0 3 219.328 2.852 20 0 BFADHN CC(C)c1ccc(O[C@@H]2CN3CCC2CC3)cn1 ZINC001234486105 978848976 /nfs/dbraw/zinc/84/89/76/978848976.db2.gz QSNNVIRAZGSZCC-OAHLLOKOSA-N 0 3 246.354 2.678 20 0 BFADHN Fc1cccnc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001180280990 978860059 /nfs/dbraw/zinc/86/00/59/978860059.db2.gz SCKKSMBHXIOXBX-FZMZJTMJSA-N 0 3 234.318 2.985 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@](C)(F)CC2)[nH]1 ZINC001234602145 978870576 /nfs/dbraw/zinc/87/05/76/978870576.db2.gz YQINIDYJBASLSZ-CYBMUJFWSA-N 0 3 239.338 2.741 20 0 BFADHN COc1nc(CN2CCC[C@@H](C)C2)ccc1C ZINC001180372691 978875286 /nfs/dbraw/zinc/87/52/86/978875286.db2.gz VWEMUDZYXLOOEV-LLVKDONJSA-N 0 3 234.343 2.631 20 0 BFADHN COc1nc(CN2CCC[C@H](C)C2)ccc1C ZINC001180372700 978875810 /nfs/dbraw/zinc/87/58/10/978875810.db2.gz VWEMUDZYXLOOEV-NSHDSACASA-N 0 3 234.343 2.631 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1c(Cl)n[nH]c1C1CC1 ZINC001339880254 978932323 /nfs/dbraw/zinc/93/23/23/978932323.db2.gz BDTIGNHPANQQEF-XCBNKYQSSA-N 0 3 239.750 2.829 20 0 BFADHN CCn1ccc(CN2CCCC[C@@H](C)C2)n1 ZINC001180962595 978970150 /nfs/dbraw/zinc/97/01/50/978970150.db2.gz NNQUCGKXQZDRNH-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN CCc1cccc(CN2CCC(C)CC2)n1 ZINC001235252055 979006261 /nfs/dbraw/zinc/00/62/61/979006261.db2.gz XUUXZQXGOHDCSQ-UHFFFAOYSA-N 0 3 218.344 2.876 20 0 BFADHN CCc1cccc(CN(CCOC)C(C)C)n1 ZINC001235259882 979014152 /nfs/dbraw/zinc/01/41/52/979014152.db2.gz YJQNOZNSMMDBAB-UHFFFAOYSA-N 0 3 236.359 2.501 20 0 BFADHN Cc1cnc(F)c(CN2C[C@@H]3CCC[C@@H]3C2)c1 ZINC001235327975 979026327 /nfs/dbraw/zinc/02/63/27/979026327.db2.gz HZAVSNACSIJTKL-TXEJJXNPSA-N 0 3 234.318 2.761 20 0 BFADHN CCOc1cc(O)cc(CN2CC[C@H](C)C2)c1 ZINC001235394290 979034987 /nfs/dbraw/zinc/03/49/87/979034987.db2.gz RJEJXGFDAYSLKX-NSHDSACASA-N 0 3 235.327 2.633 20 0 BFADHN CCOc1cc(O)cc(CN2CCC[C@@H]2C)c1 ZINC001235388040 979035135 /nfs/dbraw/zinc/03/51/35/979035135.db2.gz YLUKGUQXZXDRHN-NSHDSACASA-N 0 3 235.327 2.775 20 0 BFADHN Cc1cc(CN2CCOCC[C@H]2C)cs1 ZINC001340849497 979124932 /nfs/dbraw/zinc/12/49/32/979124932.db2.gz VJZAWTCKOSTDGZ-SNVBAGLBSA-N 0 3 225.357 2.667 20 0 BFADHN Cc1nc(F)ccc1CN(C)C1CC(C)C1 ZINC001235804874 979154040 /nfs/dbraw/zinc/15/40/40/979154040.db2.gz IFVSHZABUFWAOL-UHFFFAOYSA-N 0 3 222.307 2.759 20 0 BFADHN CN[C@H]1CCN1CCCCCc1ccccc1 ZINC001236166382 979421256 /nfs/dbraw/zinc/42/12/56/979421256.db2.gz KQUFAIVDYHGBQJ-OAHLLOKOSA-N 0 3 232.371 2.651 20 0 BFADHN CN[C@@H]1CCN1CCC(C)(C)c1ccccc1 ZINC001236168280 979421485 /nfs/dbraw/zinc/42/14/85/979421485.db2.gz WAVAVAJWCBQCED-AWEZNQCLSA-N 0 3 232.371 2.606 20 0 BFADHN CC(C)C[C@@H](N)C(=O)Nc1cncc(C(C)C)c1 ZINC001341490683 979436524 /nfs/dbraw/zinc/43/65/24/979436524.db2.gz LVPNFXAVGKAIAE-CYBMUJFWSA-N 0 3 249.358 2.517 20 0 BFADHN COc1cc(-c2ccnc(CN)c2)cc(C)c1F ZINC001236193608 979443402 /nfs/dbraw/zinc/44/34/02/979443402.db2.gz ZIUJKLHESFLOTB-UHFFFAOYSA-N 0 3 246.285 2.663 20 0 BFADHN C[C@@H]1CCN(Cc2cn3ccccc3n2)[C@@H](C)C1 ZINC000265800094 979478719 /nfs/dbraw/zinc/47/87/19/979478719.db2.gz BUKCOJMYHAPCNM-OLZOCXBDSA-N 0 3 243.354 2.955 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2ccc(N)nc2)n1 ZINC001236309155 979511930 /nfs/dbraw/zinc/51/19/30/979511930.db2.gz PEOQODSSLPBPCO-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN CCCn1cc(CN2C[C@H]3CCCC[C@H]32)c(C)n1 ZINC001182743045 979631215 /nfs/dbraw/zinc/63/12/15/979631215.db2.gz LIWOVCPANROTIW-UKRRQHHQSA-N 0 3 247.386 2.976 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@H](F)CC2)o1 ZINC001236589666 979668643 /nfs/dbraw/zinc/66/86/43/979668643.db2.gz NYMPTJKCJUJCEN-NSHDSACASA-N 0 3 226.295 2.615 20 0 BFADHN CCN(CC)Cc1cc(Cl)cc(C)n1 ZINC001236636644 979684072 /nfs/dbraw/zinc/68/40/72/979684072.db2.gz SJKJPEUFCKLDKZ-UHFFFAOYSA-N 0 3 212.724 2.885 20 0 BFADHN c1cc(CN2CCC[C@@H]2c2ccccn2)c[nH]1 ZINC000536629688 979713089 /nfs/dbraw/zinc/71/30/89/979713089.db2.gz FUEQKCQVDUEUQR-CQSZACIVSA-N 0 3 227.311 2.747 20 0 BFADHN COCC1CN(Cc2cccc3occc32)C1 ZINC001236734196 979713229 /nfs/dbraw/zinc/71/32/29/979713229.db2.gz HNYMQNTUDLRRSS-UHFFFAOYSA-N 0 3 231.295 2.511 20 0 BFADHN CCN(Cc1cccc2occc21)C1CN(C)C1 ZINC001236737604 979715999 /nfs/dbraw/zinc/71/59/99/979715999.db2.gz GPWNDHIRTIMFOL-UHFFFAOYSA-N 0 3 244.338 2.569 20 0 BFADHN CN(C)Cc1ccccc1OC(C)(C)C ZINC001237026754 979805208 /nfs/dbraw/zinc/80/52/08/979805208.db2.gz NBAHYABDAJDHIP-UHFFFAOYSA-N 0 3 207.317 2.926 20 0 BFADHN [S-]c1ccoc1C[N@@H+]1CCC2(CC2)C1 ZINC001237178903 979827395 /nfs/dbraw/zinc/82/73/95/979827395.db2.gz BFWFUARAEXBPBK-UHFFFAOYSA-N 0 3 209.314 2.554 20 0 BFADHN [S-]c1ccoc1C[N@H+]1CCC2(CC2)C1 ZINC001237178903 979827401 /nfs/dbraw/zinc/82/74/01/979827401.db2.gz BFWFUARAEXBPBK-UHFFFAOYSA-N 0 3 209.314 2.554 20 0 BFADHN F[C@@H]1CCC[N@H+](Cc2occc2[S-])CC1 ZINC001237183303 979833770 /nfs/dbraw/zinc/83/37/70/979833770.db2.gz ZIAQBTFUMZUFIA-SECBINFHSA-N 0 3 229.320 2.892 20 0 BFADHN F[C@@H]1CCC[N@@H+](Cc2occc2[S-])CC1 ZINC001237183303 979833773 /nfs/dbraw/zinc/83/37/73/979833773.db2.gz ZIAQBTFUMZUFIA-SECBINFHSA-N 0 3 229.320 2.892 20 0 BFADHN [S-]c1ccoc1C[N@@H+]1CCCC12CC2 ZINC001237184347 979835731 /nfs/dbraw/zinc/83/57/31/979835731.db2.gz XXEVVNLFDXUUDK-UHFFFAOYSA-N 0 3 209.314 2.697 20 0 BFADHN [S-]c1ccoc1C[N@H+]1CCCC12CC2 ZINC001237184347 979835735 /nfs/dbraw/zinc/83/57/35/979835735.db2.gz XXEVVNLFDXUUDK-UHFFFAOYSA-N 0 3 209.314 2.697 20 0 BFADHN C[C@@H]1CCCC[N@@H+]1Cc1occc1[S-] ZINC001237184623 979838650 /nfs/dbraw/zinc/83/86/50/979838650.db2.gz ZUCNYNKIZABPEQ-SECBINFHSA-N 0 3 211.330 2.943 20 0 BFADHN C[C@@H]1CCCC[N@H+]1Cc1occc1[S-] ZINC001237184623 979838658 /nfs/dbraw/zinc/83/86/58/979838658.db2.gz ZUCNYNKIZABPEQ-SECBINFHSA-N 0 3 211.330 2.943 20 0 BFADHN C[C@H](N)C(=O)Nc1cccc2c1[C@H](C)CC2(C)C ZINC001342605791 979839535 /nfs/dbraw/zinc/83/95/35/979839535.db2.gz FVCMBUPIKGGSRT-ZJUUUORDSA-N 0 3 246.354 2.757 20 0 BFADHN CO[C@@H]1CC[N@H+](Cc2occc2[S-])[C@H](C)C1 ZINC001237188381 979840501 /nfs/dbraw/zinc/84/05/01/979840501.db2.gz WZFOEIKCHNARLL-NXEZZACHSA-N 0 3 241.356 2.568 20 0 BFADHN CO[C@@H]1CC[N@@H+](Cc2occc2[S-])[C@H](C)C1 ZINC001237188381 979840504 /nfs/dbraw/zinc/84/05/04/979840504.db2.gz WZFOEIKCHNARLL-NXEZZACHSA-N 0 3 241.356 2.568 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccc(Cl)nc1OC ZINC001237240066 979856417 /nfs/dbraw/zinc/85/64/17/979856417.db2.gz XKYJBSKJQSGDED-SECBINFHSA-N 0 3 242.750 2.974 20 0 BFADHN CN(Cc1ccncc1C(F)(F)F)CC1CC1 ZINC001237286144 979864658 /nfs/dbraw/zinc/86/46/58/979864658.db2.gz WYAMNKGUJMTRGP-UHFFFAOYSA-N 0 3 244.260 2.942 20 0 BFADHN Cc1cc(F)cc(C)c1CN(C)[C@H]1CCOC1 ZINC001237321727 979866395 /nfs/dbraw/zinc/86/63/95/979866395.db2.gz NKRGVVDDXDKLEM-ZDUSSCGKSA-N 0 3 237.318 2.663 20 0 BFADHN CCc1cccc(C)c1CN(CC)C1CN(C)C1 ZINC001237328996 979869345 /nfs/dbraw/zinc/86/93/45/979869345.db2.gz GPGAXAUNDLXHMM-UHFFFAOYSA-N 0 3 246.398 2.693 20 0 BFADHN CCc1cccc(C)c1CN1CCN(CC)CC1 ZINC001237335971 979871113 /nfs/dbraw/zinc/87/11/13/979871113.db2.gz RPAZLZZSAGFRSW-UHFFFAOYSA-N 0 3 246.398 2.695 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1cc2ccccn2n1 ZINC001237446451 979895416 /nfs/dbraw/zinc/89/54/16/979895416.db2.gz CVYYEEDOEKAZPY-OAHLLOKOSA-N 0 3 243.354 2.955 20 0 BFADHN CCCN(Cc1cnn(C2CCC2)c1)C1CC1 ZINC001342810045 979902640 /nfs/dbraw/zinc/90/26/40/979902640.db2.gz FPGDEOHNTZWGNE-UHFFFAOYSA-N 0 3 233.359 2.983 20 0 BFADHN COc1c(C)cccc1CN1CCC(F)CC1 ZINC001237501791 979921639 /nfs/dbraw/zinc/92/16/39/979921639.db2.gz WFVQFKAWOTXWIY-UHFFFAOYSA-N 0 3 237.318 2.938 20 0 BFADHN COc1c(C)cccc1CN1CCC(OC)CC1 ZINC001237502162 979924376 /nfs/dbraw/zinc/92/43/76/979924376.db2.gz ZKSWYKIBTWZBHC-UHFFFAOYSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1cccnc1[C@H](C)NC[C@@H]1CCC=CO1 ZINC001184290309 980016675 /nfs/dbraw/zinc/01/66/75/980016675.db2.gz JWVQZWLLDDTEAR-STQMWFEESA-N 0 3 232.327 2.733 20 0 BFADHN CCc1nc(OC)ccc1Nc1ccncc1C ZINC001203586305 980045407 /nfs/dbraw/zinc/04/54/07/980045407.db2.gz VDAPUDSOOHOGTD-UHFFFAOYSA-N 0 3 243.310 2.522 20 0 BFADHN Cc1noc([C@H](N[C@H]2CCC[C@H]2C)C(C)C)n1 ZINC001184437539 980071422 /nfs/dbraw/zinc/07/14/22/980071422.db2.gz AAUPUYRTBIQXJT-ADEWGFFLSA-N 0 3 237.347 2.853 20 0 BFADHN COc1ccoc1CN1CCC[C@H](C)[C@@H]1C ZINC001237871819 980109597 /nfs/dbraw/zinc/10/95/97/980109597.db2.gz MYFLHQKPVVEUDN-QWRGUYRKSA-N 0 3 223.316 2.909 20 0 BFADHN c1cc(CN2CCCC2)nc(N2CCCCC2)c1 ZINC001237999803 980123343 /nfs/dbraw/zinc/12/33/43/980123343.db2.gz XPGSTUNFRRKIHM-UHFFFAOYSA-N 0 3 245.370 2.668 20 0 BFADHN COc1ncc(C2CC2)cc1CN1CC[C@@H](C)C1 ZINC001238022650 980129707 /nfs/dbraw/zinc/12/97/07/980129707.db2.gz AXMQWRGYGUZMEE-LLVKDONJSA-N 0 3 246.354 2.809 20 0 BFADHN COC[C@H]1CCCN1Cc1cncc(C2CC2)c1 ZINC001238182525 980212159 /nfs/dbraw/zinc/21/21/59/980212159.db2.gz UTLLXBMUCYWMPK-OAHLLOKOSA-N 0 3 246.354 2.570 20 0 BFADHN CN(C)Cc1cccc(-c2cccs2)n1 ZINC001238206301 980224392 /nfs/dbraw/zinc/22/43/92/980224392.db2.gz TYHHMHYQVUVUEF-UHFFFAOYSA-N 0 3 218.325 2.872 20 0 BFADHN Cc1cnc(CN2CCC(F)CC2)c(C)c1 ZINC001238425681 980253401 /nfs/dbraw/zinc/25/34/01/980253401.db2.gz IAQZEUVTKKPLJE-UHFFFAOYSA-N 0 3 222.307 2.632 20 0 BFADHN CCO[C@@H]1CCCN(Cc2ncc(C)cc2C)C1 ZINC001238430179 980259124 /nfs/dbraw/zinc/25/91/24/980259124.db2.gz PHPYILSXSYUJQA-CQSZACIVSA-N 0 3 248.370 2.699 20 0 BFADHN CC(C)Oc1cncc(CN2CC3CC(C3)C2)c1 ZINC001238464852 980272957 /nfs/dbraw/zinc/27/29/57/980272957.db2.gz IRXWZGFGFFJFMP-UHFFFAOYSA-N 0 3 246.354 2.711 20 0 BFADHN C[C@@]1(O)CCN(Cc2ccc(F)c(C3CC3)c2)C1 ZINC001238498514 980274485 /nfs/dbraw/zinc/27/44/85/980274485.db2.gz LNISAVXHGAKYQA-OAHLLOKOSA-N 0 3 249.329 2.660 20 0 BFADHN C[C@]1(O)CCN(Cc2ccc(F)c(C3CC3)c2)C1 ZINC001238498511 980277521 /nfs/dbraw/zinc/27/75/21/980277521.db2.gz LNISAVXHGAKYQA-HNNXBMFYSA-N 0 3 249.329 2.660 20 0 BFADHN CN(Cc1ccc(F)c(C2CC2)c1)[C@H]1CCOC1 ZINC001238508165 980280986 /nfs/dbraw/zinc/28/09/86/980280986.db2.gz HTSDLRRGBGAAQU-ZDUSSCGKSA-N 0 3 249.329 2.924 20 0 BFADHN CN(Cc1ccc(F)c(C2CC2)c1)[C@@H]1CCOC1 ZINC001238510891 980284549 /nfs/dbraw/zinc/28/45/49/980284549.db2.gz HTSDLRRGBGAAQU-CYBMUJFWSA-N 0 3 249.329 2.924 20 0 BFADHN Cc1ccc(Cl)c(CN2CC[C@](C)(O)C2)c1 ZINC001238565933 980289275 /nfs/dbraw/zinc/28/92/75/980289275.db2.gz WLJOWFZZYYBQJG-ZDUSSCGKSA-N 0 3 239.746 2.605 20 0 BFADHN CCOCc1cncc(-c2cc(N)c(C)cn2)c1 ZINC001238912554 980365890 /nfs/dbraw/zinc/36/58/90/980365890.db2.gz LMQMQAVQZWERRL-UHFFFAOYSA-N 0 3 243.310 2.571 20 0 BFADHN CCN(Cc1n[nH]c(C(C)(C)C)n1)C(C)(C)C ZINC001344336092 980371989 /nfs/dbraw/zinc/37/19/89/980371989.db2.gz SSHFCFBGYWUADK-UHFFFAOYSA-N 0 3 238.379 2.723 20 0 BFADHN NCc1cc(-c2coc3ccccc23)ccn1 ZINC001239066287 980435216 /nfs/dbraw/zinc/43/52/16/980435216.db2.gz YHQPQDKMHYSDFK-UHFFFAOYSA-N 0 3 224.263 2.954 20 0 BFADHN CC(C)n1ccc(-c2ccnc(CN)c2)c1 ZINC001239069293 980445079 /nfs/dbraw/zinc/44/50/79/980445079.db2.gz DXCSQXTTZJTPGI-UHFFFAOYSA-N 0 3 215.300 2.590 20 0 BFADHN Cc1cc(CN[C@H]2C=CCCC2)cnc1F ZINC001345075809 980543818 /nfs/dbraw/zinc/54/38/18/980543818.db2.gz IBGBGFQCDGBBSD-LBPRGKRZSA-N 0 3 220.291 2.727 20 0 BFADHN CNc1ccc(-c2c[nH]cc3ccnc2-3)c(F)n1 ZINC001239421011 980571532 /nfs/dbraw/zinc/57/15/32/980571532.db2.gz MVNYQEFCPPMAPM-UHFFFAOYSA-N 0 3 242.257 2.806 20 0 BFADHN Cc1ccc(-c2nccc(N)c2C)c(C)n1 ZINC001239440818 980575009 /nfs/dbraw/zinc/57/50/09/980575009.db2.gz BKFAQQOETFROMF-UHFFFAOYSA-N 0 3 213.284 2.651 20 0 BFADHN Cc1ccc(-c2cccc(CN(C)C)n2)c(C)n1 ZINC001239451398 980582835 /nfs/dbraw/zinc/58/28/35/980582835.db2.gz NTUYOHICGHFRRE-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN CN(C)Cc1cccc(-c2ccsc2)n1 ZINC001239508206 980674637 /nfs/dbraw/zinc/67/46/37/980674637.db2.gz BFZJOBKMQKZCQA-UHFFFAOYSA-N 0 3 218.325 2.872 20 0 BFADHN c1cc(-c2cnccc2N2CCOCC2)cs1 ZINC001239510739 980681712 /nfs/dbraw/zinc/68/17/12/980681712.db2.gz JCAFXVLNVIHFPK-UHFFFAOYSA-N 0 3 246.335 2.647 20 0 BFADHN CN(C)Cc1cncc(-c2ccsc2)c1 ZINC001239511825 980683773 /nfs/dbraw/zinc/68/37/73/980683773.db2.gz HMQLYEPDMXNGMS-UHFFFAOYSA-N 0 3 218.325 2.872 20 0 BFADHN Cc1nc(-c2cccnc2)cc2[nH]ccc21 ZINC001239567824 980750735 /nfs/dbraw/zinc/75/07/35/980750735.db2.gz AOUOCHNOXPUWJB-UHFFFAOYSA-N 0 3 209.252 2.933 20 0 BFADHN Fc1ccc(-c2nccc3c2CCN3)cc1F ZINC001239582185 980772048 /nfs/dbraw/zinc/77/20/48/980772048.db2.gz WOJYGLAGRRPOJW-UHFFFAOYSA-N 0 3 232.233 2.995 20 0 BFADHN Fc1cc(F)cc(-c2ccc3c(n2)CNCC3)c1 ZINC001239654169 980828636 /nfs/dbraw/zinc/82/86/36/980828636.db2.gz RLFOVPXWZIULFG-UHFFFAOYSA-N 0 3 246.260 2.673 20 0 BFADHN Nc1ccnc(-c2ccc3[nH]ccc3c2)c1 ZINC001239691778 980851266 /nfs/dbraw/zinc/85/12/66/980851266.db2.gz KHCJWQJIYXGDIE-UHFFFAOYSA-N 0 3 209.252 2.812 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1ncccc1F ZINC001205509047 980868834 /nfs/dbraw/zinc/86/88/34/980868834.db2.gz BXYJVIYRIIHBBK-WDEREUQCSA-N 0 3 222.307 2.747 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1ncccc1F ZINC001205509044 980869074 /nfs/dbraw/zinc/86/90/74/980869074.db2.gz BXYJVIYRIIHBBK-GHMZBOCLSA-N 0 3 222.307 2.747 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC[C@@H](OC2CC2)C1 ZINC001205534129 980896961 /nfs/dbraw/zinc/89/69/61/980896961.db2.gz OOSIXQDHGSOONH-GXTWGEPZSA-N 0 3 246.354 2.786 20 0 BFADHN Cc1ccccc1[C@@H]1CCN(CC2=NOCC2)C1 ZINC001190692470 980912296 /nfs/dbraw/zinc/91/22/96/980912296.db2.gz XONBKIMRSZVCBV-CYBMUJFWSA-N 0 3 244.338 2.561 20 0 BFADHN NCc1cccc(-c2cnc3ccccc3c2)n1 ZINC001239792276 980931272 /nfs/dbraw/zinc/93/12/72/980931272.db2.gz VVNATUWUQCHOMF-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN Cc1cnc(C)c(-c2cncc(CN(C)C)c2)c1 ZINC001239907001 981030927 /nfs/dbraw/zinc/03/09/27/981030927.db2.gz HPSGIUUDMNQUMR-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN COCc1ccccc1-c1nccc2c1CCN2 ZINC001239950765 981056824 /nfs/dbraw/zinc/05/68/24/981056824.db2.gz MWEPCVCHUJGCIK-UHFFFAOYSA-N 0 3 240.306 2.863 20 0 BFADHN CC(C)CCC1CCN(CC2=NOCC2)CC1 ZINC001191610846 981072540 /nfs/dbraw/zinc/07/25/40/981072540.db2.gz SMVCSQCFEFFEBY-UHFFFAOYSA-N 0 3 238.375 2.911 20 0 BFADHN CC[C@H](N)c1cn(C[C@H]2CC3CCC2CC3)nn1 ZINC000691695228 981076554 /nfs/dbraw/zinc/07/65/54/981076554.db2.gz BYSPWIIATZYTEL-TUUUFIMRSA-N 0 3 248.374 2.514 20 0 BFADHN Cc1ccncc1-c1cncc(CN(C)C)c1 ZINC001239976407 981082442 /nfs/dbraw/zinc/08/24/42/981082442.db2.gz WPBBKNHXVQSOMH-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN c1cc2c(c(-c3ccc4cncnc4c3)n1)CCN2 ZINC001239979674 981085089 /nfs/dbraw/zinc/08/50/89/981085089.db2.gz NGXCEOVHFLWUMK-UHFFFAOYSA-N 0 3 248.289 2.660 20 0 BFADHN c1cc(CN2CC[C@H]2c2ccccc2)c[nH]1 ZINC000335847368 981111196 /nfs/dbraw/zinc/11/11/96/981111196.db2.gz GOGJFWNCEJKFPH-AWEZNQCLSA-N 0 3 212.296 2.962 20 0 BFADHN NCc1cccc(-c2c3cc[nH]c3ccc2F)n1 ZINC001240204637 981152702 /nfs/dbraw/zinc/15/27/02/981152702.db2.gz KCFJKSKCNLMPFT-UHFFFAOYSA-N 0 3 241.269 2.828 20 0 BFADHN c1[nH]nc2ccc(-c3nccc4c3CCN4)cc12 ZINC001240210015 981156971 /nfs/dbraw/zinc/15/69/71/981156971.db2.gz JHPGNRGWKKAFNS-UHFFFAOYSA-N 0 3 236.278 2.593 20 0 BFADHN NCc1ccc(-c2c3cc[nH]c3ccc2F)cn1 ZINC001240214491 981163994 /nfs/dbraw/zinc/16/39/94/981163994.db2.gz SUNLBYQKXCEMPX-UHFFFAOYSA-N 0 3 241.269 2.828 20 0 BFADHN Nc1ccnc(-c2cccc(-n3cccn3)c2)c1 ZINC001240244317 981222591 /nfs/dbraw/zinc/22/25/91/981222591.db2.gz DGIJDPVMPBHWCR-UHFFFAOYSA-N 0 3 236.278 2.517 20 0 BFADHN COc1cc(-c2nc(C)cc3[nH]ccc32)ccn1 ZINC001240256085 981227782 /nfs/dbraw/zinc/22/77/82/981227782.db2.gz LHJPJHXKMGDYEJ-UHFFFAOYSA-N 0 3 239.278 2.942 20 0 BFADHN Cc1c(-c2ccc(C3=NCCC3)cc2)cnn1C ZINC001240380889 981338032 /nfs/dbraw/zinc/33/80/32/981338032.db2.gz KZHQMGDPQDXCDK-UHFFFAOYSA-N 0 3 239.322 2.978 20 0 BFADHN Cc1c(-c2cccc(C3=NCCC3)c2)cnn1C ZINC001240383731 981344522 /nfs/dbraw/zinc/34/45/22/981344522.db2.gz ZHBHIRPMMJAXTK-UHFFFAOYSA-N 0 3 239.322 2.978 20 0 BFADHN NCc1cccc(-c2ccc3occc3c2)n1 ZINC001240421366 981369502 /nfs/dbraw/zinc/36/95/02/981369502.db2.gz FEUMDTUPRFTKFT-UHFFFAOYSA-N 0 3 224.263 2.954 20 0 BFADHN Cc1nn(C)c2ccc(-c3cc(N)ccn3)cc12 ZINC001240444518 981390224 /nfs/dbraw/zinc/39/02/24/981390224.db2.gz XCJAKYFXCTVHDL-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN FCc1ccc(CN2CC[C@]23CCOC3)cc1 ZINC001348631392 981410410 /nfs/dbraw/zinc/41/04/10/981410410.db2.gz QMQXCDXZEMZKEL-CQSZACIVSA-N 0 3 235.302 2.521 20 0 BFADHN Cc1cnccc1-c1cncc2cc[nH]c21 ZINC001240611040 981509074 /nfs/dbraw/zinc/50/90/74/981509074.db2.gz TYMTVSMBPWOIQM-UHFFFAOYSA-N 0 3 209.252 2.933 20 0 BFADHN CC(C)=Cc1cncc([C@H]2CN3CCC2CC3)n1 ZINC001240633281 981525670 /nfs/dbraw/zinc/52/56/70/981525670.db2.gz VSGRJDMRXZGWEQ-AWEZNQCLSA-N 0 3 243.354 2.709 20 0 BFADHN CCn1cc(-c2ccc3c(c2)CN(C)CC3)cn1 ZINC001240653205 981564976 /nfs/dbraw/zinc/56/49/76/981564976.db2.gz CVGKTBQWPRSFTM-UHFFFAOYSA-N 0 3 241.338 2.558 20 0 BFADHN CCCCCCOC(=O)N(CC)CCN(C)C ZINC001194156528 981579342 /nfs/dbraw/zinc/57/93/42/981579342.db2.gz SDXREZARRHLKTN-UHFFFAOYSA-N 0 3 244.379 2.587 20 0 BFADHN NCc1cc(-c2ccc3c(c2)CCCO3)ccn1 ZINC001240772811 981816127 /nfs/dbraw/zinc/81/61/27/981816127.db2.gz IIFNWLQHXZQOMF-UHFFFAOYSA-N 0 3 240.306 2.532 20 0 BFADHN Nc1ccnc(-c2ccc3cc[nH]c3c2)c1 ZINC001240795606 981838973 /nfs/dbraw/zinc/83/89/73/981838973.db2.gz CQHSYPCVJDQQIZ-UHFFFAOYSA-N 0 3 209.252 2.812 20 0 BFADHN CN(C)Cc1ccnc(-c2cccc(O)c2)c1 ZINC001240924579 981988180 /nfs/dbraw/zinc/98/81/80/981988180.db2.gz ZKWQQFJJRKRQCX-UHFFFAOYSA-N 0 3 228.295 2.516 20 0 BFADHN COCc1ccc(-c2cc(N(C)C)ccn2)cc1 ZINC001240949601 982019303 /nfs/dbraw/zinc/01/93/03/982019303.db2.gz VYINJQZSRGKJJV-UHFFFAOYSA-N 0 3 242.322 2.961 20 0 BFADHN c1nn(CC2CCC2)cc1CN[C@H]1C=CCCC1 ZINC001350890604 982114146 /nfs/dbraw/zinc/11/41/46/982114146.db2.gz UCRSXWCNAMOIAH-HNNXBMFYSA-N 0 3 245.370 2.882 20 0 BFADHN CCOc1ccc(-c2ccnc(CN)c2)cc1F ZINC001241087701 982200490 /nfs/dbraw/zinc/20/04/90/982200490.db2.gz WAEVLVGFFORYNW-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN CCOc1cncc(CNC/C=C\C2CC2)c1 ZINC001351141855 982217403 /nfs/dbraw/zinc/21/74/03/982217403.db2.gz SXBRQVBQMKREJZ-ARJAWSKDSA-N 0 3 232.327 2.536 20 0 BFADHN CC(=O)c1ccccc1-c1ccc([C@H](C)N)nc1 ZINC001241208006 982357012 /nfs/dbraw/zinc/35/70/12/982357012.db2.gz ISJDJJDVENTQAG-JTQLQIEISA-N 0 3 240.306 2.971 20 0 BFADHN CCOc1cccc(-c2cnccc2N)c1F ZINC001241264927 982400660 /nfs/dbraw/zinc/40/06/60/982400660.db2.gz ZPDWIZVCTPPWIR-UHFFFAOYSA-N 0 3 232.258 2.699 20 0 BFADHN CCOc1ccc(-c2cccc(CN)n2)c(F)c1 ZINC001241275259 982405357 /nfs/dbraw/zinc/40/53/57/982405357.db2.gz XQHQMUJMXIQDKF-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN [NH3+]CCc1ccccc1-c1cc([O-])cc(F)c1 ZINC001241277222 982409015 /nfs/dbraw/zinc/40/90/15/982409015.db2.gz HVSIHWGZQBXKRY-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN CN(CCC1CC1)c1cc(N)ncc1Cl ZINC001351998829 982427768 /nfs/dbraw/zinc/42/77/68/982427768.db2.gz UYLLJDJQEJQXMV-UHFFFAOYSA-N 0 3 225.723 2.554 20 0 BFADHN [NH3+]Cc1ccccc1-c1c(F)ccc([O-])c1F ZINC001241293935 982439859 /nfs/dbraw/zinc/43/98/59/982439859.db2.gz RQMWFVBRRPKONK-UHFFFAOYSA-N 0 3 235.233 2.796 20 0 BFADHN Cc1cccc2ncc(C3=CCN(C)CC3)nc12 ZINC001241308272 982447743 /nfs/dbraw/zinc/44/77/43/982447743.db2.gz JAAYKGIKDQMRED-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN COc1cc(C2=CCN(C)CC2)ccc1C ZINC001241321743 982481309 /nfs/dbraw/zinc/48/13/09/982481309.db2.gz CHLIDRYWWNVVBE-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN Cc1cc(C2=CCN(C)CC2)cc(F)c1F ZINC001241327095 982489553 /nfs/dbraw/zinc/48/95/53/982489553.db2.gz FQHGUBUSRHIVQN-UHFFFAOYSA-N 0 3 223.266 2.992 20 0 BFADHN COC(OC)c1ccc(C2=CCN(C)CC2)cc1 ZINC001241331827 982496450 /nfs/dbraw/zinc/49/64/50/982496450.db2.gz FKCJWYOYPSRPFC-UHFFFAOYSA-N 0 3 247.338 2.697 20 0 BFADHN COCc1cc(OC)ccc1C1=CCN(C)CC1 ZINC001241333722 982499011 /nfs/dbraw/zinc/49/90/11/982499011.db2.gz ZSGMOAIHWDBXQN-UHFFFAOYSA-N 0 3 247.338 2.561 20 0 BFADHN Oc1cccc(CN2CCC[C@H](C(F)F)C2)c1 ZINC001203862455 982501067 /nfs/dbraw/zinc/50/10/67/982501067.db2.gz HTDURETVUPVBGO-NSHDSACASA-N 0 3 241.281 2.869 20 0 BFADHN CC(C)COc1cc(C2=CCN(C)CC2)ccn1 ZINC001241333743 982501618 /nfs/dbraw/zinc/50/16/18/982501618.db2.gz XGPJBUKYDKHLJX-UHFFFAOYSA-N 0 3 246.354 2.835 20 0 BFADHN c1cc2n(c1)CCN(CC13CCC(CC1)C3)C2 ZINC001197621984 982578950 /nfs/dbraw/zinc/57/89/50/982578950.db2.gz NXHWNAMJHIKSCC-UHFFFAOYSA-N 0 3 230.355 2.884 20 0 BFADHN CC[C@H](C)[C@H](C)N1Cc2c[nH]nc2C[C@H](C)C1 ZINC001197785414 982591792 /nfs/dbraw/zinc/59/17/92/982591792.db2.gz FNBORUPYEDHYLI-SRVKXCTJSA-N 0 3 235.375 2.839 20 0 BFADHN F[C@H]1CCC[C@@H](NCc2ccc(Cl)nn2)C1 ZINC001352879644 982621404 /nfs/dbraw/zinc/62/14/04/982621404.db2.gz XMSCLFGTBXWARI-DTWKUNHWSA-N 0 3 243.713 2.500 20 0 BFADHN Cc1nc2ccccc2nc1C1=CCCN(C)C1 ZINC001241566072 982810213 /nfs/dbraw/zinc/81/02/13/982810213.db2.gz RBJPKSOLFYWIRR-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1cc2cc(C3=CCCN(C)C3)ncc2[nH]1 ZINC001241566715 982813559 /nfs/dbraw/zinc/81/35/59/982813559.db2.gz BMGVVSKDYIEFJJ-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CN1CCC=C(c2cccc3c2CCC3)C1 ZINC001241569410 982817014 /nfs/dbraw/zinc/81/70/14/982817014.db2.gz XZRKXCYBJHAOBY-UHFFFAOYSA-N 0 3 213.324 2.894 20 0 BFADHN CN1CCC=C(c2ccc3c(c2)C=CC3)C1 ZINC001241570379 982820816 /nfs/dbraw/zinc/82/08/16/982820816.db2.gz GZAWPLVDNXDXOE-UHFFFAOYSA-N 0 3 211.308 2.975 20 0 BFADHN CN1CCC=C(c2ccc(OC3CC3)cc2)C1 ZINC001241570786 982824191 /nfs/dbraw/zinc/82/41/91/982824191.db2.gz MNXMYJDPLVOEAW-UHFFFAOYSA-N 0 3 229.323 2.947 20 0 BFADHN Cc1cnc(-c2cccc3nn(C)cc32)cc1N ZINC001241581559 982828856 /nfs/dbraw/zinc/82/88/56/982828856.db2.gz ULLVLWXVDVNFQL-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN CSc1ccc(-c2nccc3c2CCN3)cn1 ZINC001241630774 982865851 /nfs/dbraw/zinc/86/58/51/982865851.db2.gz HQBPPINDCISNKU-UHFFFAOYSA-N 0 3 243.335 2.834 20 0 BFADHN Cc1n[nH]c2cc(-c3cc(N)ccn3)ccc12 ZINC001241637532 982873696 /nfs/dbraw/zinc/87/36/96/982873696.db2.gz RPZNJAYGFKREHC-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN COc1ccc(C)c(-c2cc(N)c(C)cn2)c1 ZINC001241666193 982899902 /nfs/dbraw/zinc/89/99/02/982899902.db2.gz BLWKAAMJTFIEGU-UHFFFAOYSA-N 0 3 228.295 2.956 20 0 BFADHN CCCc1ccc(-c2cc(CNC)ncn2)cc1 ZINC001241688703 982939705 /nfs/dbraw/zinc/93/97/05/982939705.db2.gz LPGATPUGNUQQAI-UHFFFAOYSA-N 0 3 241.338 2.816 20 0 BFADHN NCc1cccc(-c2ccc3c(c2)CCCN3)n1 ZINC001241834507 983078457 /nfs/dbraw/zinc/07/84/57/983078457.db2.gz UVXXIHUGXLTTKP-UHFFFAOYSA-N 0 3 239.322 2.565 20 0 BFADHN FC12C[C@@H]3C[C@H](C1)CC(NCc1cc[nH]n1)(C3)C2 ZINC001354174694 983182118 /nfs/dbraw/zinc/18/21/18/983182118.db2.gz OPIJHBXOOTXXNI-WHCAJBEMSA-N 0 3 249.333 2.560 20 0 BFADHN Cc1cc(C[C@H](C)NC2=CCSCC2)on1 ZINC001201326048 983185524 /nfs/dbraw/zinc/18/55/24/983185524.db2.gz CAFSIXXSCQDJRX-VIFPVBQESA-N 0 3 238.356 2.524 20 0 BFADHN Cc1cc(-c2ccc3[nH]c(N)nc3c2)cc(C)n1 ZINC001241992532 983186266 /nfs/dbraw/zinc/18/62/66/983186266.db2.gz MJAKJCVJUBQYRY-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN Cc1cc(-c2ccc3nc(N)[nH]c3c2)cc(C)n1 ZINC001241992532 983186269 /nfs/dbraw/zinc/18/62/69/983186269.db2.gz MJAKJCVJUBQYRY-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN CC(C)(C)CN1CC[C@@](C)(F)[C@H](F)C1 ZINC001201433075 983225032 /nfs/dbraw/zinc/22/50/32/983225032.db2.gz RUNRLAMMZJNVEC-MWLCHTKSSA-N 0 3 205.292 2.805 20 0 BFADHN CCCCOC1CN(C[C@H]2CC=CCC2)C1 ZINC001201418329 983219799 /nfs/dbraw/zinc/21/97/99/983219799.db2.gz OVOCKSOTSISOKO-ZDUSSCGKSA-N 0 3 223.360 2.844 20 0 BFADHN CC(=O)c1ccc2c(c1)CN(CC(C)C)CC2 ZINC001201479036 983287197 /nfs/dbraw/zinc/28/71/97/983287197.db2.gz XLECKYHUNQZAHW-UHFFFAOYSA-N 0 3 231.339 2.903 20 0 BFADHN CCC[C@H](C)CN(C)[C@H](C(=O)OC)[C@@H](C)CC ZINC001201553757 983311175 /nfs/dbraw/zinc/31/11/75/983311175.db2.gz PLENJUQBJUYAFF-AVGNSLFASA-N 0 3 243.391 2.942 20 0 BFADHN CCC(CC)CN(C)Cc1cc(C)nn1C ZINC001201531422 983325481 /nfs/dbraw/zinc/32/54/81/983325481.db2.gz OTKLSKPBHDYRKX-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN c1ccc(CCN2CCc3cccnc3C2)cc1 ZINC001201606165 983374812 /nfs/dbraw/zinc/37/48/12/983374812.db2.gz NGMKYWQEVRXWQG-UHFFFAOYSA-N 0 3 238.334 2.682 20 0 BFADHN CSCCCN1CCC(=O)[C@H]2CCCC[C@@H]21 ZINC001201682320 983393577 /nfs/dbraw/zinc/39/35/77/983393577.db2.gz VKEQIBUXWQHKNY-RYUDHWBXSA-N 0 3 241.400 2.573 20 0 BFADHN CCCN1CCN(c2ccc(F)cc2C)CC1 ZINC001201647771 983401693 /nfs/dbraw/zinc/40/16/93/983401693.db2.gz OWNGEACYTMSTAI-UHFFFAOYSA-N 0 3 236.334 2.666 20 0 BFADHN CCCCN1CC2(CCN2Cc2ccccc2)C1 ZINC001201713736 983417778 /nfs/dbraw/zinc/41/77/78/983417778.db2.gz RPHGMGYYYBRNFK-UHFFFAOYSA-N 0 3 244.382 2.747 20 0 BFADHN NCc1cc(-c2ccc3cccnc3c2)ccn1 ZINC001242249421 983461848 /nfs/dbraw/zinc/46/18/48/983461848.db2.gz KTISYRZNKBKIOD-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN CCCCCCN1[C@H]2CC[C@H](C2)[C@H]1C(=O)OC ZINC001201761352 983463995 /nfs/dbraw/zinc/46/39/95/983463995.db2.gz BGCZUWQXLZNYPJ-AGIUHOORSA-N 0 3 239.359 2.593 20 0 BFADHN CCCCCCN1[C@@H](C(=O)OC)[C@@H]2CC[C@H]1C2 ZINC001201761354 983467544 /nfs/dbraw/zinc/46/75/44/983467544.db2.gz BGCZUWQXLZNYPJ-FRRDWIJNSA-N 0 3 239.359 2.593 20 0 BFADHN FC(F)(F)CCN1CC[C@@]2(C1)CCCCO2 ZINC001202307777 983618642 /nfs/dbraw/zinc/61/86/42/983618642.db2.gz MNVKKKOWUIEBLG-SNVBAGLBSA-N 0 3 237.265 2.584 20 0 BFADHN CCc1nc(C)c(CN2CCC3(CCC3)C2)[nH]1 ZINC001203247301 983634460 /nfs/dbraw/zinc/63/44/60/983634460.db2.gz YZVBWVAIZDUAND-UHFFFAOYSA-N 0 3 233.359 2.657 20 0 BFADHN Cc1cnc2c(c1)CN(CCC(F)(F)F)CC2 ZINC001202355822 983638564 /nfs/dbraw/zinc/63/85/64/983638564.db2.gz BHEPHSUKFUAWIT-UHFFFAOYSA-N 0 3 244.260 2.701 20 0 BFADHN CCc1ncccc1-c1cc(N)c(C)cn1 ZINC001242520957 983839813 /nfs/dbraw/zinc/83/98/13/983839813.db2.gz JRQVJIAFPBGNCO-UHFFFAOYSA-N 0 3 213.284 2.597 20 0 BFADHN C[C@H]1CC[C@H](N2CCc3ncsc3C2)C1 ZINC000339110536 983892004 /nfs/dbraw/zinc/89/20/04/983892004.db2.gz GOUFPUBBNRRCHD-UWVGGRQHSA-N 0 3 222.357 2.690 20 0 BFADHN c1cc(N2CCCC2)oc1CN1CC2CC(C2)C1 ZINC001137070223 983900742 /nfs/dbraw/zinc/90/07/42/983900742.db2.gz FTSSYJKZGYSLBX-UHFFFAOYSA-N 0 3 246.354 2.722 20 0 BFADHN CCCn1cncc1CN1CC[C@H](C)[C@H](C)C1 ZINC001474369707 983902715 /nfs/dbraw/zinc/90/27/15/983902715.db2.gz KAUQYJDWNWXYGX-QWHCGFSZSA-N 0 3 235.375 2.771 20 0 BFADHN CCO[C@H](CN[C@H](C)c1ncccc1C)C1CC1 ZINC001560220808 983935161 /nfs/dbraw/zinc/93/51/61/983935161.db2.gz FXAXRFYFJSORMZ-TZMCWYRMSA-N 0 3 248.370 2.856 20 0 BFADHN Clc1ccc(CN2CC3CC(C3)C2)cn1 ZINC001137169820 984022254 /nfs/dbraw/zinc/02/22/54/984022254.db2.gz XNSQCWLPYURZQB-UHFFFAOYSA-N 0 3 222.719 2.577 20 0 BFADHN NCc1ccc(-c2cccc(OC3CC3)c2)cn1 ZINC001242805134 984177985 /nfs/dbraw/zinc/17/79/85/984177985.db2.gz UVWUIJISFYWXMA-UHFFFAOYSA-N 0 3 240.306 2.749 20 0 BFADHN CN(C)Cc1ccc2cccc(Cl)c2n1 ZINC001137220131 984181277 /nfs/dbraw/zinc/18/12/77/984181277.db2.gz UGNDMEYTOPHZHI-UHFFFAOYSA-N 0 3 220.703 2.950 20 0 BFADHN CCCCC[C@H](O)CN(C)C1CC(F)(F)C1 ZINC001253519755 984189464 /nfs/dbraw/zinc/18/94/64/984189464.db2.gz RPIAVIDISWIEJV-NSHDSACASA-N 0 3 235.318 2.657 20 0 BFADHN C[C@H]1CCC(C)(C)C[C@@H]1NCc1ncccn1 ZINC000717441348 984197214 /nfs/dbraw/zinc/19/72/14/984197214.db2.gz ZKRSRALZESZDNA-RYUDHWBXSA-N 0 3 233.359 2.781 20 0 BFADHN Clc1ccncc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC001137231289 984198228 /nfs/dbraw/zinc/19/82/28/984198228.db2.gz JJBVRKLRCYBBQA-PHIMTYICSA-N 0 3 236.746 2.967 20 0 BFADHN CCCCC[C@@H](O)CN[C@H](C)c1ccccn1 ZINC001253549151 984202252 /nfs/dbraw/zinc/20/22/52/984202252.db2.gz NNEAIUWUSBLNGU-CHWSQXEVSA-N 0 3 236.359 2.673 20 0 BFADHN Clc1ccncc1CN1CCC[C@@H]2C[C@@H]21 ZINC001137239339 984206479 /nfs/dbraw/zinc/20/64/79/984206479.db2.gz RBTMANQKEVEGPW-SKDRFNHKSA-N 0 3 222.719 2.719 20 0 BFADHN Cc1nc2c(s1)CN(CC1CCC1)CC2 ZINC001202740032 984210375 /nfs/dbraw/zinc/21/03/75/984210375.db2.gz REWBADUZPDWOEJ-UHFFFAOYSA-N 0 3 222.357 2.610 20 0 BFADHN COc1cc(C)ccc1-c1cccc(CN)n1 ZINC001242978716 984348174 /nfs/dbraw/zinc/34/81/74/984348174.db2.gz WKIKOFGNLJFKDX-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN [O-]c1cc(-c2cccc3c2C[NH2+]C3)ccc1F ZINC001243051958 984410333 /nfs/dbraw/zinc/41/03/33/984410333.db2.gz QXTSHKZZEXYUCM-UHFFFAOYSA-N 0 3 229.254 2.802 20 0 BFADHN [NH3+]CCc1ccc(-c2ccc(F)c([O-])c2)cc1 ZINC001243060935 984434559 /nfs/dbraw/zinc/43/45/59/984434559.db2.gz AYDRNNNRZVWKNC-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN C[NH2+]Cc1ccc(-c2ccc(F)c([O-])c2)cc1 ZINC001243062933 984455841 /nfs/dbraw/zinc/45/58/41/984455841.db2.gz PRJIQKWIIVZESU-UHFFFAOYSA-N 0 3 231.270 2.918 20 0 BFADHN Cc1nc(CNCCCC(F)F)sc1C ZINC000719549378 984725870 /nfs/dbraw/zinc/72/58/70/984725870.db2.gz FUVBTGWZDSBXJC-UHFFFAOYSA-N 0 3 234.315 2.895 20 0 BFADHN Cc1ccc(-c2cc(N(C)C)ccn2)cc1O ZINC001243228643 984756741 /nfs/dbraw/zinc/75/67/41/984756741.db2.gz JPLLCSPYGNVEKI-UHFFFAOYSA-N 0 3 228.295 2.829 20 0 BFADHN Clc1ncccc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000380164463 984799920 /nfs/dbraw/zinc/79/99/20/984799920.db2.gz CUXIYRXKOYRGAQ-URLYPYJESA-N 0 3 222.719 2.623 20 0 BFADHN CCCC(CCC)n1cnc2c1CNCC2 ZINC001257335308 984901884 /nfs/dbraw/zinc/90/18/84/984901884.db2.gz SAOFESPTBBIJDW-UHFFFAOYSA-N 0 3 221.348 2.670 20 0 BFADHN c1cnc([C@H]2CCCN2C2CCCC2)nc1 ZINC001257354880 984921244 /nfs/dbraw/zinc/92/12/44/984921244.db2.gz PFBQKIDXVZOTHZ-GFCCVEGCSA-N 0 3 217.316 2.556 20 0 BFADHN Nc1cc(-c2cncc3cc[nH]c32)ccc1F ZINC001243455469 984969806 /nfs/dbraw/zinc/96/98/06/984969806.db2.gz NALFXANNJUKIGJ-UHFFFAOYSA-N 0 3 227.242 2.951 20 0 BFADHN Clc1cnc2c(c1)CN(CC1CCC1)CC2 ZINC001202776188 985087399 /nfs/dbraw/zinc/08/73/99/985087399.db2.gz MPOSKTATYQVVCC-UHFFFAOYSA-N 0 3 236.746 2.893 20 0 BFADHN Cc1ccnc(F)c1-c1cccc(CN(C)C)n1 ZINC001243548374 985101940 /nfs/dbraw/zinc/10/19/40/985101940.db2.gz GXMDOEDEVWZOQL-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN Cc1ccnc(F)c1-c1cncc(CN(C)C)c1 ZINC001243552515 985113063 /nfs/dbraw/zinc/11/30/63/985113063.db2.gz CQYJWYSEBDSZIV-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN Cc1ccc(CNC[C@H]2CCC=CO2)c(F)c1 ZINC000686501909 985123681 /nfs/dbraw/zinc/12/36/81/985123681.db2.gz ULFQLJMISGKZRZ-CYBMUJFWSA-N 0 3 235.302 2.916 20 0 BFADHN CCCCC[C@H](C)N1C[C@H](F)[C@@H](OC)C1 ZINC001257507965 985139184 /nfs/dbraw/zinc/13/91/84/985139184.db2.gz AUMPUOPXQNGLFB-SRVKXCTJSA-N 0 3 217.328 2.624 20 0 BFADHN CCCCC[C@H](C)N1CCC[C@H]1C(=O)OCC ZINC001257514138 985142186 /nfs/dbraw/zinc/14/21/86/985142186.db2.gz BDPAOBZIFOEBAB-STQMWFEESA-N 0 3 241.375 2.983 20 0 BFADHN C[C@]1(F)CCN([C@@H]2CCCSC2)C[C@@H]1F ZINC001257671718 985249966 /nfs/dbraw/zinc/24/99/66/985249966.db2.gz QGLIDRWIEXOONT-VWYCJHECSA-N 0 3 235.343 2.654 20 0 BFADHN BrC1=CCCN(CC2CC=CC2)C1 ZINC000692086216 985353431 /nfs/dbraw/zinc/35/34/31/985353431.db2.gz GKICIDNGYJCJIE-UHFFFAOYSA-N 0 3 242.160 2.937 20 0 BFADHN CO[C@H]1CC=C(c2cc(CN(C)C)ccn2)CC1 ZINC001243805531 985380875 /nfs/dbraw/zinc/38/08/75/985380875.db2.gz MATSJIHSMSIAIQ-AWEZNQCLSA-N 0 3 246.354 2.726 20 0 BFADHN CO[C@@H]1CC=C(c2ccc3[nH]c(N)nc3c2)CC1 ZINC001243811156 985398880 /nfs/dbraw/zinc/39/88/80/985398880.db2.gz ZAZVHGWOSVMWHF-LLVKDONJSA-N 0 3 243.310 2.727 20 0 BFADHN CO[C@@H]1CC=C(c2ccc3nc(N)[nH]c3c2)CC1 ZINC001243811156 985398900 /nfs/dbraw/zinc/39/89/00/985398900.db2.gz ZAZVHGWOSVMWHF-LLVKDONJSA-N 0 3 243.310 2.727 20 0 BFADHN C[C@H](N[C@H]1COC[C@@H]1C)c1ccccc1F ZINC000688003071 985462632 /nfs/dbraw/zinc/46/26/32/985462632.db2.gz AMRNCVWRPRXREF-KWBADKCTSA-N 0 3 223.291 2.511 20 0 BFADHN CC[C@@H](Cc1ccccc1)N(C)CC1=NOCC1 ZINC001459662910 985571903 /nfs/dbraw/zinc/57/19/03/985571903.db2.gz RSECUDXOCCLVDM-HNNXBMFYSA-N 0 3 246.354 2.716 20 0 BFADHN C[C@H](Cc1ccco1)N1CC[C@@H](C)[C@H](F)C1 ZINC001258186417 985577876 /nfs/dbraw/zinc/57/78/76/985577876.db2.gz NEOPQOPHXRQELA-NQBHXWOUSA-N 0 3 225.307 2.891 20 0 BFADHN C[C@H](Cc1ccco1)N1C[C@H](F)C[C@H]1C ZINC001258186549 985583057 /nfs/dbraw/zinc/58/30/57/985583057.db2.gz OSBYFDSNFASRIT-GMTAPVOTSA-N 0 3 211.280 2.643 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2COC3(CCC3)C2)c1 ZINC000688279750 985600140 /nfs/dbraw/zinc/60/01/40/985600140.db2.gz KZQTXPOCFOUXGF-CYBMUJFWSA-N 0 3 249.329 2.935 20 0 BFADHN C[C@@H](N[C@@H]1COC2(CCC2)C1)c1ccoc1 ZINC000688342245 985621923 /nfs/dbraw/zinc/62/19/23/985621923.db2.gz MHFJSLHXCCYQLW-PWSUYJOCSA-N 0 3 221.300 2.642 20 0 BFADHN COC(=O)c1cc(C2=CNCCC2)ccc1C ZINC001243948848 985629340 /nfs/dbraw/zinc/62/93/40/985629340.db2.gz DIDAABNLJGWZPZ-UHFFFAOYSA-N 0 3 231.295 2.506 20 0 BFADHN Cc1c[nH]c2ncc(C3=CNCCC3)cc12 ZINC001243949848 985641103 /nfs/dbraw/zinc/64/11/03/985641103.db2.gz KGUGBRBQQOWAGL-UHFFFAOYSA-N 0 3 213.284 2.596 20 0 BFADHN Cc1nc2ccc(C3=CNCCC3)cc2o1 ZINC001243950190 985643801 /nfs/dbraw/zinc/64/38/01/985643801.db2.gz OPCDWPKCEFMNQZ-UHFFFAOYSA-N 0 3 214.268 2.861 20 0 BFADHN c1noc2cc(C3=CNCCC3)ccc12 ZINC001243949773 985644223 /nfs/dbraw/zinc/64/42/23/985644223.db2.gz INRIVYABLRGHOQ-UHFFFAOYSA-N 0 3 200.241 2.552 20 0 BFADHN Nc1nc2ccc(C3=CNCCC3)cc2s1 ZINC001243950872 985652445 /nfs/dbraw/zinc/65/24/45/985652445.db2.gz PFGMKHBAPDVPQQ-UHFFFAOYSA-N 0 3 231.324 2.603 20 0 BFADHN COc1ccc2nccc(C3=CNCCC3)c2c1 ZINC001243951567 985655127 /nfs/dbraw/zinc/65/51/27/985655127.db2.gz KHHBFSBMRPEUSK-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN c1cc(OCC2CC2)ncc1C1=CNCCC1 ZINC001243953198 985665380 /nfs/dbraw/zinc/66/53/80/985665380.db2.gz YIBHFUHCWMFLBY-UHFFFAOYSA-N 0 3 230.311 2.595 20 0 BFADHN CSCC[C@@H](C)N1CCCC[C@@H]1C(C)=O ZINC001258223859 985669350 /nfs/dbraw/zinc/66/93/50/985669350.db2.gz HDDAYNUIBIXNPO-ZYHUDNBSSA-N 0 3 229.389 2.572 20 0 BFADHN CC(C)(C)c1cc(-c2ccc(CN)nc2)ccn1 ZINC001244020615 985755893 /nfs/dbraw/zinc/75/58/93/985755893.db2.gz QFYUBQUWZGUGAC-UHFFFAOYSA-N 0 3 241.338 2.900 20 0 BFADHN CSC(C)(C)C[C@@H](C)N(C)CCF ZINC001258344906 985817557 /nfs/dbraw/zinc/81/75/57/985817557.db2.gz DEBBOWIRVIVORR-SECBINFHSA-N 0 3 207.358 2.808 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CC(C)(C)C[C@@H]1C ZINC001460253397 985819510 /nfs/dbraw/zinc/81/95/10/985819510.db2.gz XKCLNIZONZXQTR-NWDGAFQWSA-N 0 3 241.375 2.839 20 0 BFADHN COc1c(C)cc(C)cc1-c1ccnc(CN)c1 ZINC001244115354 985827282 /nfs/dbraw/zinc/82/72/82/985827282.db2.gz DQLCVVUIDCZVOI-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN Cc1ncc(C2CC2)cc1-c1cccc(CN)n1 ZINC001244136915 985839420 /nfs/dbraw/zinc/83/94/20/985839420.db2.gz BAPSOWJKYLTETH-UHFFFAOYSA-N 0 3 239.322 2.788 20 0 BFADHN Cc1cncc(-c2[nH]ccc3ncnc2-3)c1C ZINC001244150885 985862607 /nfs/dbraw/zinc/86/26/07/985862607.db2.gz YCOAQDOOJNHVNJ-UHFFFAOYSA-N 0 3 224.267 2.637 20 0 BFADHN Cc1cncc(-c2cccc(CN(C)C)n2)c1C ZINC001244153756 985869459 /nfs/dbraw/zinc/86/94/59/985869459.db2.gz VUJLVMHOIBMRMG-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN Cc1cncc(-c2cncc(CN(C)C)c2)c1C ZINC001244154210 985872225 /nfs/dbraw/zinc/87/22/25/985872225.db2.gz NGNCIDSZBDJWJX-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN C[C@H](CC1CC1)N1CCN(Cc2ccco2)CC1 ZINC001258463089 985907637 /nfs/dbraw/zinc/90/76/37/985907637.db2.gz MLKKJEVFNRQOCY-CYBMUJFWSA-N 0 3 248.370 2.586 20 0 BFADHN C[C@H](NCc1ccc(N)nc1)c1cccs1 ZINC001579485927 986007449 /nfs/dbraw/zinc/00/74/49/986007449.db2.gz YTWQUGGYSYXMFF-VIFPVBQESA-N 0 3 233.340 2.576 20 0 BFADHN CCn1cnc(Cl)c1CNC1(C)CCCC1 ZINC001461163401 986016735 /nfs/dbraw/zinc/01/67/35/986016735.db2.gz ZQVBICHBQQDYIS-UHFFFAOYSA-N 0 3 241.766 2.979 20 0 BFADHN Cc1ccc(-c2nccc(N)c2C)c(N)c1 ZINC001244389665 986020986 /nfs/dbraw/zinc/02/09/86/986020986.db2.gz SSWBXRZZGLCDSV-UHFFFAOYSA-N 0 3 213.284 2.530 20 0 BFADHN c1nscc1CN[C@H]1C[C@@H]1Cc1ccccc1 ZINC001461219531 986026743 /nfs/dbraw/zinc/02/67/43/986026743.db2.gz ASZIASLQCKZHQX-KBPBESRZSA-N 0 3 244.363 2.864 20 0 BFADHN CCCn1cc(CN[C@H]2C=CCCC2)c(C)n1 ZINC001461310810 986038129 /nfs/dbraw/zinc/03/81/29/986038129.db2.gz ZACGZEUSSZDRNG-AWEZNQCLSA-N 0 3 233.359 2.800 20 0 BFADHN Cc1ccccc1CNCc1ccnc(F)c1 ZINC001461673628 986107947 /nfs/dbraw/zinc/10/79/47/986107947.db2.gz UMFGLQWROYWXIT-UHFFFAOYSA-N 0 3 230.286 2.819 20 0 BFADHN Cc1ccc(-c2nccc3c2CCN3)c(CO)c1 ZINC001244484180 986176667 /nfs/dbraw/zinc/17/66/67/986176667.db2.gz DCEQRCYQZFBTKY-UHFFFAOYSA-N 0 3 240.306 2.517 20 0 BFADHN CCCn1cncc1CN1CCC[C@@H](C)[C@H]1C ZINC001461850730 986210915 /nfs/dbraw/zinc/21/09/15/986210915.db2.gz QGRHOBOUWYQRRB-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1cc(-c2ccc(C[NH3+])cc2)cc([O-])c1F ZINC001244519235 986220340 /nfs/dbraw/zinc/22/03/40/986220340.db2.gz XQLYRPUBCMRETN-UHFFFAOYSA-N 0 3 231.270 2.965 20 0 BFADHN CC[C@@H](Cc1ccccc1)N1CCOC[C@@H]1C ZINC001258844304 986301827 /nfs/dbraw/zinc/30/18/27/986301827.db2.gz LQAWZRVUFFOGEF-ZFWWWQNUSA-N 0 3 233.355 2.728 20 0 BFADHN Cc1cc(CO)cc(C)c1-c1nccc(N)c1C ZINC001244689701 986309399 /nfs/dbraw/zinc/30/93/99/986309399.db2.gz SJZRKYAQWBBZAK-UHFFFAOYSA-N 0 3 242.322 2.748 20 0 BFADHN CO[C@@H](C)CN1CC(c2ccc(Cl)cc2)C1 ZINC001462251498 986319134 /nfs/dbraw/zinc/31/91/34/986319134.db2.gz YQPWTCMCCUGSAC-JTQLQIEISA-N 0 3 239.746 2.774 20 0 BFADHN C[C@@H]1CN(Cc2ncccc2F)[C@@H](C)[C@H]1C ZINC001462356622 986363562 /nfs/dbraw/zinc/36/35/62/986363562.db2.gz QJKLHNRLYASSKN-VWYCJHECSA-N 0 3 222.307 2.697 20 0 BFADHN CN(C)Cc1cccc(-c2cc(N)ccn2)c1F ZINC001244865897 986405065 /nfs/dbraw/zinc/40/50/65/986405065.db2.gz UQJCFYWHYSYLLI-UHFFFAOYSA-N 0 3 245.301 2.532 20 0 BFADHN Cc1cnc(-c2cnc(Cl)cc2C)cc1N ZINC001244913924 986459589 /nfs/dbraw/zinc/45/95/89/986459589.db2.gz SYFANQCACKLTAJ-UHFFFAOYSA-N 0 3 233.702 2.996 20 0 BFADHN Cc1cccnc1[C@@H](C)N[C@@H](C)Cc1ccno1 ZINC001462653723 986484504 /nfs/dbraw/zinc/48/45/04/986484504.db2.gz JXMNZTCYUWTOML-NWDGAFQWSA-N 0 3 245.326 2.660 20 0 BFADHN CNc1cc(-c2nc(C)cc3[nH]ccc32)ccn1 ZINC001244974186 986557193 /nfs/dbraw/zinc/55/71/93/986557193.db2.gz VATJQPVEKJKWLG-UHFFFAOYSA-N 0 3 238.294 2.975 20 0 BFADHN Cc1c(F)ccc(F)c1-c1cnccc1N ZINC001244979987 986572492 /nfs/dbraw/zinc/57/24/92/986572492.db2.gz CMEFMUAGOJZKCD-UHFFFAOYSA-N 0 3 220.222 2.748 20 0 BFADHN C[C@H](NC/C=C\C1CC1)c1cncs1 ZINC000378854982 986625428 /nfs/dbraw/zinc/62/54/28/986625428.db2.gz JJOBWPAOWPZCTP-XADBCAIWSA-N 0 3 208.330 2.760 20 0 BFADHN CC(=O)c1cccc(-c2ccc(CN)nc2)c1F ZINC001245153627 986676684 /nfs/dbraw/zinc/67/66/84/986676684.db2.gz PLZAEJLPVRFXEG-UHFFFAOYSA-N 0 3 244.269 2.549 20 0 BFADHN Cc1cc2[nH]ccc2c(C2=CCCOC2)n1 ZINC001245156522 986680394 /nfs/dbraw/zinc/68/03/94/986680394.db2.gz XLLHSWBJVIWWMV-UHFFFAOYSA-N 0 3 214.268 2.675 20 0 BFADHN Nc1c(Cl)cccc1-c1nccc2c1CCN2 ZINC001245176920 986712477 /nfs/dbraw/zinc/71/24/77/986712477.db2.gz DNKDDXJVQRKXDM-UHFFFAOYSA-N 0 3 245.713 2.952 20 0 BFADHN Cc1c(CN(C)CC2CCC2)nc2ccccn12 ZINC001137272533 986713191 /nfs/dbraw/zinc/71/31/91/986713191.db2.gz YLMJSVHASFWYFI-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1cc(C)c(CN2CC[C@@H]3OCCC[C@@H]3C2)[nH]1 ZINC001137321142 986759865 /nfs/dbraw/zinc/75/98/65/986759865.db2.gz CXKLBYVKWHIMDO-HIFRSBDPSA-N 0 3 248.370 2.632 20 0 BFADHN FC[C@H]1[C@@H]2CN(Cc3cc4ccccc4[nH]3)C[C@H]12 ZINC001137319562 986761378 /nfs/dbraw/zinc/76/13/78/986761378.db2.gz DEVDXUZVQJGHRG-ZSOGYDGISA-N 0 3 244.313 2.815 20 0 BFADHN CCOc1cc(-c2nccc3c2CCN3)ccn1 ZINC001245264927 986767621 /nfs/dbraw/zinc/76/76/21/986767621.db2.gz KNVHZXLFLDSVND-UHFFFAOYSA-N 0 3 241.294 2.510 20 0 BFADHN Cc1cc(C)c(CN2C[C@H]3[C@H](CC3(F)F)C2)[nH]1 ZINC001137322675 986773125 /nfs/dbraw/zinc/77/31/25/986773125.db2.gz MSMJEEFKMUCHAJ-MNOVXSKESA-N 0 3 240.297 2.719 20 0 BFADHN Cc1cc(C)c(CN2CC[C@H]3CCCO[C@@H]3C2)[nH]1 ZINC001137322713 986777600 /nfs/dbraw/zinc/77/76/00/986777600.db2.gz NTCZGGIHBJPBQP-UKRRQHHQSA-N 0 3 248.370 2.632 20 0 BFADHN Cc1noc2ccc(-c3ccnc(CN)c3)cc12 ZINC001245283591 986780039 /nfs/dbraw/zinc/78/00/39/986780039.db2.gz XTHUJDOCSKUJKK-UHFFFAOYSA-N 0 3 239.278 2.657 20 0 BFADHN Cc1ccc(C(=O)CNC2CCSCC2)cc1 ZINC001255082422 986808184 /nfs/dbraw/zinc/80/81/84/986808184.db2.gz GIXZHRMEVVPUKV-UHFFFAOYSA-N 0 3 249.379 2.663 20 0 BFADHN c1ccc2c(c1)[nH]nc2CN1CCC2(CC2)CC1 ZINC001137345107 986810027 /nfs/dbraw/zinc/81/00/27/986810027.db2.gz SEQSFRDCZYSDFS-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN c1ccc2c(c1)[nH]nc2CN1CCC2(CC2)C1 ZINC001137344965 986810428 /nfs/dbraw/zinc/81/04/28/986810428.db2.gz LEDNQMMBJDBLCD-UHFFFAOYSA-N 0 3 227.311 2.549 20 0 BFADHN Nc1ccc2c(c1)OCCN([C@H]1C=CCCC1)C2 ZINC001580453218 986856744 /nfs/dbraw/zinc/85/67/44/986856744.db2.gz STQGUTWWOQEMPI-AWEZNQCLSA-N 0 3 244.338 2.572 20 0 BFADHN COc1cccc2c1CN([C@@H]1CCSC1)C2 ZINC001255292268 986893256 /nfs/dbraw/zinc/89/32/56/986893256.db2.gz FPKPITXXQVHEBF-LLVKDONJSA-N 0 3 235.352 2.516 20 0 BFADHN CCCC[C@@H](C)N1CCN(C)c2ncccc2C1 ZINC001255329232 986922205 /nfs/dbraw/zinc/92/22/05/986922205.db2.gz PMUUEEQONSQRKZ-CYBMUJFWSA-N 0 3 247.386 2.912 20 0 BFADHN CCOc1ccncc1CN1CCCC1(C)C ZINC001139627583 986935178 /nfs/dbraw/zinc/93/51/78/986935178.db2.gz VEDRHHUATXIZPJ-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN NCc1cc(-c2cc3cc(F)ccc3[nH]2)ccn1 ZINC001245577626 986976405 /nfs/dbraw/zinc/97/64/05/986976405.db2.gz XFROWPPHRAWZKO-UHFFFAOYSA-N 0 3 241.269 2.828 20 0 BFADHN C[C@H](CC(F)(F)F)N1CCC[C@@]2(CCO2)C1 ZINC001255654544 987044760 /nfs/dbraw/zinc/04/47/60/987044760.db2.gz FHRPAIORKAKYKR-NXEZZACHSA-N 0 3 237.265 2.582 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2ccc3nncn3c2)n1 ZINC001245661296 987053831 /nfs/dbraw/zinc/05/38/31/987053831.db2.gz VGZNCQAPFSABPY-UHFFFAOYSA-N 0 3 249.277 2.581 20 0 BFADHN C[C@@H](N)c1nccn1[C@H](C)Cc1ccc(F)cc1 ZINC001255854313 987072933 /nfs/dbraw/zinc/07/29/33/987072933.db2.gz BRMAWGRILWGTGV-GHMZBOCLSA-N 0 3 247.317 2.846 20 0 BFADHN CCc1cc(-c2ccc(CN)nc2)ccc1OC ZINC001245789808 987084258 /nfs/dbraw/zinc/08/42/58/987084258.db2.gz GBOBNGNPGKRCLV-UHFFFAOYSA-N 0 3 242.322 2.778 20 0 BFADHN COc1ccc(Cl)cc1-c1ccnc(CN)c1 ZINC001245810522 987090311 /nfs/dbraw/zinc/09/03/11/987090311.db2.gz CMDUSTKFKPANKF-UHFFFAOYSA-N 0 3 248.713 2.869 20 0 BFADHN Cc1csc(CN[C@@H](C)Cc2ccccn2)n1 ZINC000694018519 987316361 /nfs/dbraw/zinc/31/63/61/987316361.db2.gz HBDVIURJDPSTLW-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN CN(Cc1ccccc1F)CC12CC(C)(C1)OC2 ZINC001473113149 987335843 /nfs/dbraw/zinc/33/58/43/987335843.db2.gz WCBLQOCRTXZTJR-UHFFFAOYSA-N 0 3 249.329 2.827 20 0 BFADHN C[C@H]1CSCCN1CCc1cccc(F)c1 ZINC001473185510 987361541 /nfs/dbraw/zinc/36/15/41/987361541.db2.gz JGTMQUAXZFVEBQ-NSHDSACASA-N 0 3 239.359 2.806 20 0 BFADHN C(C1=NOCC1)N1CCC(C2CCCC2)CC1 ZINC001473202606 987365414 /nfs/dbraw/zinc/36/54/14/987365414.db2.gz RVQZWBIEWIYAKN-UHFFFAOYSA-N 0 3 236.359 2.665 20 0 BFADHN COc1c(C)cnc(CN[C@@H]2C=CCC2)c1C ZINC000698223630 987370034 /nfs/dbraw/zinc/37/00/34/987370034.db2.gz YXMBPTXUAZCLBE-GFCCVEGCSA-N 0 3 232.327 2.515 20 0 BFADHN COc1cc(CN2CCC[C@H]2C)ccc1F ZINC001116442760 987578693 /nfs/dbraw/zinc/57/86/93/987578693.db2.gz OTPKPEBJXMUMFH-SNVBAGLBSA-N 0 3 223.291 2.819 20 0 BFADHN Cc1conc1CN1C[C@H]2[C@H]3CC[C@H](C3)[C@@]2(C)C1 ZINC001473843400 987584107 /nfs/dbraw/zinc/58/41/07/987584107.db2.gz XPWAXVSCMJLFRC-SFDCQRBFSA-N 0 3 246.354 2.851 20 0 BFADHN c1cc(CN2CCCC[C@@H]2[C@@H]2CCCO2)ccn1 ZINC001473883129 987602601 /nfs/dbraw/zinc/60/26/01/987602601.db2.gz BENDYDFYPJTTAE-CABCVRRESA-N 0 3 246.354 2.615 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C3(CCC3)C2)[nH]n1 ZINC001116505221 987608523 /nfs/dbraw/zinc/60/85/23/987608523.db2.gz QPLFUMIDUAFLSH-LLVKDONJSA-N 0 3 233.359 2.730 20 0 BFADHN CCOC(=O)/C=C/CN1CC=CC12CCCCC2 ZINC001473900000 987609887 /nfs/dbraw/zinc/60/98/87/987609887.db2.gz RKMDFMBMZOJAPD-SOFGYWHQSA-N 0 3 249.354 2.680 20 0 BFADHN COc1ccc(F)cc1CN[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC001474271586 987671856 /nfs/dbraw/zinc/67/18/56/987671856.db2.gz CFXJKMWOFRLARS-WBMYTEFPSA-N 0 3 249.329 2.968 20 0 BFADHN CCCn1cncc1CN1CC[C@@H](C)[C@@H](C)C1 ZINC001474369706 987699172 /nfs/dbraw/zinc/69/91/72/987699172.db2.gz KAUQYJDWNWXYGX-OLZOCXBDSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1nonc1CNC(C)(C)C1CCCCC1 ZINC001116823108 987720547 /nfs/dbraw/zinc/72/05/47/987720547.db2.gz ZODJKLDCEFBQMA-UHFFFAOYSA-N 0 3 237.347 2.827 20 0 BFADHN CCNc1ccccc1CN1CCO[C@@H](C)CC1 ZINC001474716375 987808151 /nfs/dbraw/zinc/80/81/51/987808151.db2.gz KVOIPUYUFSLDBV-ZDUSSCGKSA-N 0 3 248.370 2.729 20 0 BFADHN COc1nc(CN[C@@H]2C[C@H]2C(C)C)ccc1C ZINC001474761063 987820980 /nfs/dbraw/zinc/82/09/80/987820980.db2.gz BOFZENWFXHNDAJ-QWHCGFSZSA-N 0 3 234.343 2.533 20 0 BFADHN CCN(C)c1ccc(CNC/C=C/C2CC2)cn1 ZINC001117706401 987869658 /nfs/dbraw/zinc/86/96/58/987869658.db2.gz FUSCNLXIVPHCCJ-SNAWJCMRSA-N 0 3 245.370 2.594 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N1C[C@@H](F)[C@@H](N)C1 ZINC001246531167 987886035 /nfs/dbraw/zinc/88/60/35/987886035.db2.gz PASVONVDTPLVSS-RVMXOQNASA-N 0 3 230.371 2.572 20 0 BFADHN C[C@@H](Cc1ccsc1)N1CC[C@]12CCOC2 ZINC001117994180 987976313 /nfs/dbraw/zinc/97/63/13/987976313.db2.gz LDWNLUJHZAFERI-WCQYABFASA-N 0 3 237.368 2.544 20 0 BFADHN C[C@H](Cc1ccsc1)N1CC[C@]12CCOC2 ZINC001117994178 987977597 /nfs/dbraw/zinc/97/75/97/987977597.db2.gz LDWNLUJHZAFERI-DGCLKSJQSA-N 0 3 237.368 2.544 20 0 BFADHN CCCCC[C@H](C)N1CCC(F)(F)[C@H](N)C1 ZINC001246582550 988120392 /nfs/dbraw/zinc/12/03/92/988120392.db2.gz NSVGVYVMQDVMCX-WDEREUQCSA-N 0 3 234.334 2.624 20 0 BFADHN CC(C)=CCC[C@H](C)N1CCC(F)(F)[C@@H](N)C1 ZINC001246582570 988124932 /nfs/dbraw/zinc/12/49/32/988124932.db2.gz NVVZVWXCNVMCAT-RYUDHWBXSA-N 0 3 246.345 2.790 20 0 BFADHN CCN(Cc1cccn1C1CC1)[C@H]1CCCOC1 ZINC001118247831 988149601 /nfs/dbraw/zinc/14/96/01/988149601.db2.gz MOVUVZYRWVFXER-HNNXBMFYSA-N 0 3 248.370 2.824 20 0 BFADHN Cc1ccc(C[C@H](C)NCc2ccno2)cc1 ZINC001118264432 988164524 /nfs/dbraw/zinc/16/45/24/988164524.db2.gz HGWUZRFBUJDWBT-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN CC(C)CCC[C@H](C)N1CCC(F)(F)[C@@H](N)C1 ZINC001246599826 988208736 /nfs/dbraw/zinc/20/87/36/988208736.db2.gz QRICCXOGILXABR-RYUDHWBXSA-N 0 3 248.361 2.870 20 0 BFADHN CS[C@H]1CC[C@@H](N(C)Cc2cncs2)C1 ZINC001118495310 988380618 /nfs/dbraw/zinc/38/06/18/988380618.db2.gz VELQQAMIQBUXPM-ZJUUUORDSA-N 0 3 242.413 2.859 20 0 BFADHN CCCCCC[C@@H](CC)N1CC[C@@H]1NC ZINC001246897587 988669996 /nfs/dbraw/zinc/66/99/96/988669996.db2.gz KAHCBGFWYRJTFA-CHWSQXEVSA-N 0 3 212.381 2.987 20 0 BFADHN CCCC[C@H](CC(C)C)N1CC[C@@H]1NC ZINC001246901918 988675530 /nfs/dbraw/zinc/67/55/30/988675530.db2.gz FPAQLZMSLUGMLU-CHWSQXEVSA-N 0 3 212.381 2.843 20 0 BFADHN CCC[C@H](Cc1ccccc1)N1CC[C@H]1NC ZINC001246901614 988676447 /nfs/dbraw/zinc/67/64/47/988676447.db2.gz YOHSVVCASPLCLN-CABCVRRESA-N 0 3 232.371 2.649 20 0 BFADHN Cc1cc(CN2CCC(C)(C(C)C)CC2)[nH]n1 ZINC001324236382 988766665 /nfs/dbraw/zinc/76/66/65/988766665.db2.gz QCDKZTUGBGYQGW-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@H]2C(C)C)n[nH]1 ZINC001324275871 988781538 /nfs/dbraw/zinc/78/15/38/988781538.db2.gz JITSLKFFLFEXJV-GFCCVEGCSA-N 0 3 221.348 2.585 20 0 BFADHN CCN(CC(=O)OC(C)C)[C@@H]1CCCC[C@H]1C ZINC001324300303 988788537 /nfs/dbraw/zinc/78/85/37/988788537.db2.gz ZDKNITHUBZZYNS-CHWSQXEVSA-N 0 3 241.375 2.839 20 0 BFADHN CCN(CC(=O)OC(C)C)[C@H]1CCCC[C@@H]1C ZINC001324300308 988789881 /nfs/dbraw/zinc/78/98/81/988789881.db2.gz ZDKNITHUBZZYNS-STQMWFEESA-N 0 3 241.375 2.839 20 0 BFADHN CCN(CC(=O)OC(C)C)[C@H]1CCCC[C@H]1C ZINC001324300305 988790817 /nfs/dbraw/zinc/79/08/17/988790817.db2.gz ZDKNITHUBZZYNS-OLZOCXBDSA-N 0 3 241.375 2.839 20 0 BFADHN CCCC[C@H](Cc1ccccc1)N1CCNC1 ZINC001246998718 988831708 /nfs/dbraw/zinc/83/17/08/988831708.db2.gz ZGQYSRBDPWZXMH-OAHLLOKOSA-N 0 3 232.371 2.651 20 0 BFADHN c1ccc([C@H]2CCC[C@H](N3CCNC3)C2)cc1 ZINC001246998124 988833122 /nfs/dbraw/zinc/83/31/22/988833122.db2.gz XEZJWODRIPKLLS-GJZGRUSLSA-N 0 3 230.355 2.576 20 0 BFADHN Cc1cc2[nH]c([C@@H](C)N)nc2cc1C(F)(F)F ZINC001247015570 988839413 /nfs/dbraw/zinc/83/94/13/988839413.db2.gz UJDXCLMIFXGGER-ZCFIWIBFSA-N 0 3 243.232 2.910 20 0 BFADHN C[C@@H](N)c1nc2cc(F)c(C(F)(F)F)cc2[nH]1 ZINC001247014969 988841314 /nfs/dbraw/zinc/84/13/14/988841314.db2.gz SYXOAGWWXWRJTM-SCSAIBSYSA-N 0 3 247.195 2.741 20 0 BFADHN C[C@@H](N)c1nc2c(cc(F)c(Cl)c2F)[nH]1 ZINC001247017857 988843460 /nfs/dbraw/zinc/84/34/60/988843460.db2.gz POPGFGRFJPNVKG-GSVOUGTGSA-N 0 3 231.633 2.514 20 0 BFADHN C[C@@H](N)c1nc2c(cc(Cl)c(F)c2F)[nH]1 ZINC001247018578 988844051 /nfs/dbraw/zinc/84/40/51/988844051.db2.gz XDVOLQJUSCZQDE-GSVOUGTGSA-N 0 3 231.633 2.514 20 0 BFADHN Cc1ccc2[nH]c([C@@H](C)N)nc2c1Cl ZINC001247018985 988845558 /nfs/dbraw/zinc/84/55/58/988845558.db2.gz ZSENGRXYYVXVHA-ZCFIWIBFSA-N 0 3 209.680 2.544 20 0 BFADHN CCCn1c([C@H](C)N)nc2ccc(F)c(F)c21 ZINC001247042251 988847334 /nfs/dbraw/zinc/84/73/34/988847334.db2.gz VEKQMZUCKDXJNH-ZETCQYMHSA-N 0 3 239.269 2.744 20 0 BFADHN CCn1c2ccc3ncccc3c2nc1[C@@H](C)N ZINC001247040078 988848327 /nfs/dbraw/zinc/84/83/27/988848327.db2.gz FFFGVWUXRFNGKW-SECBINFHSA-N 0 3 240.310 2.624 20 0 BFADHN CCCCOC(=O)[C@@H](C)N(CC)C(C)(C)C ZINC001324731266 988956258 /nfs/dbraw/zinc/95/62/58/988956258.db2.gz IEXHDYJPDFQDEJ-LLVKDONJSA-N 0 3 229.364 2.839 20 0 BFADHN CC(C)N(C)Cc1cc(Cl)cn2ccnc12 ZINC001248429890 989104679 /nfs/dbraw/zinc/10/46/79/989104679.db2.gz DULQZTNOEOBVSB-UHFFFAOYSA-N 0 3 237.734 2.828 20 0 BFADHN C[C@@]1(O)CCN(Cc2c3ccoc3ccc2F)C1 ZINC001248528436 989115821 /nfs/dbraw/zinc/11/58/21/989115821.db2.gz NVKMAUGRYBNGNU-CQSZACIVSA-N 0 3 249.285 2.529 20 0 BFADHN COC[C@H]1CCN1Cc1ccc(F)c2cc[nH]c21 ZINC001248819120 989171254 /nfs/dbraw/zinc/17/12/54/989171254.db2.gz MPEZWODSEABGLW-LLVKDONJSA-N 0 3 248.301 2.528 20 0 BFADHN C(=C\C1CC1)\CNCc1ccc2c(n1)CCC2 ZINC001325502262 989200836 /nfs/dbraw/zinc/20/08/36/989200836.db2.gz PCUJODAQTYSZDG-IHWYPQMZSA-N 0 3 228.339 2.626 20 0 BFADHN CCN(Cc1nc2cc(OC)ccc2[nH]1)C(C)C ZINC001249411283 989277016 /nfs/dbraw/zinc/27/70/16/989277016.db2.gz UCKICFZEXXKHDG-UHFFFAOYSA-N 0 3 247.342 2.802 20 0 BFADHN COc1cc(OC)c(CN(C)C)c2ccccc12 ZINC001249442838 989283397 /nfs/dbraw/zinc/28/33/97/989283397.db2.gz DWSWWTHWVRVTCV-UHFFFAOYSA-N 0 3 245.322 2.919 20 0 BFADHN CO[C@@H]1CCN(Cc2cc(F)cc3cc[nH]c32)C1 ZINC001249522704 989299896 /nfs/dbraw/zinc/29/98/96/989299896.db2.gz LNHYCSQQFSSCIO-CYBMUJFWSA-N 0 3 248.301 2.528 20 0 BFADHN C[C@@H]1CCN1Cc1cc(F)cc2cc[nH]c21 ZINC001249522238 989302200 /nfs/dbraw/zinc/30/22/00/989302200.db2.gz SWOPSAJTZYKVFG-SECBINFHSA-N 0 3 218.275 2.901 20 0 BFADHN CCc1ncc(CN2CCCC23CCC3)o1 ZINC001119771909 989398404 /nfs/dbraw/zinc/39/84/04/989398404.db2.gz QECMRBYSGAKFCH-UHFFFAOYSA-N 0 3 220.316 2.756 20 0 BFADHN CCC[C@@H](CC)NC(=O)[C@@H](N)C1CCCCC1 ZINC001326302672 989473756 /nfs/dbraw/zinc/47/37/56/989473756.db2.gz IQGYJMDOCLNDRB-OLZOCXBDSA-N 0 3 240.391 2.589 20 0 BFADHN CC(C)C1CN(Cc2cccn2C2CC2)C1 ZINC001326447915 989562887 /nfs/dbraw/zinc/56/28/87/989562887.db2.gz ZBTGBRISCVCOFA-UHFFFAOYSA-N 0 3 218.344 2.911 20 0 BFADHN C[C@]1(C(=O)Nc2cccc3c2CNC3)C=CCC1 ZINC001522496902 989857761 /nfs/dbraw/zinc/85/77/61/989857761.db2.gz QRDBIQIDMTUTFX-HNNXBMFYSA-N 0 3 242.322 2.585 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)N(C(C)C)C1CCC1 ZINC001121965827 990161157 /nfs/dbraw/zinc/16/11/57/990161157.db2.gz MSTVGAYFBVMVJD-DGCLKSJQSA-N 0 3 240.391 2.539 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)NC(C(C)C)C(C)C ZINC001122486324 990396277 /nfs/dbraw/zinc/39/62/77/990396277.db2.gz WYWFLIWXXHKBNY-VXGBXAGGSA-N 0 3 242.407 2.547 20 0 BFADHN C=C/C=C/CC(=O)Nc1cccc(CN(C)C)c1 ZINC001540628049 990630837 /nfs/dbraw/zinc/63/08/37/990630837.db2.gz YTSFVRDGBOWMEH-AATRIKPKSA-N 0 3 244.338 2.819 20 0 BFADHN CCCCCN(C)Cc1ccc(F)nc1 ZINC001137734265 990844445 /nfs/dbraw/zinc/84/44/45/990844445.db2.gz ITJBSGPXHAICLA-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN Cc1cccc(CN2CC[C@@]3(CCCO3)C2)c1F ZINC001137673651 990838408 /nfs/dbraw/zinc/83/84/08/990838408.db2.gz LWFQADXRHZVVSY-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1cccc(CN(CCCO)C(C)C)c1F ZINC001137677290 990839842 /nfs/dbraw/zinc/83/98/42/990839842.db2.gz KSKZDJGIXBWNJK-UHFFFAOYSA-N 0 3 239.334 2.727 20 0 BFADHN CCN(C)Cc1cccc(OC(C)(C)C)n1 ZINC001138276697 990905136 /nfs/dbraw/zinc/90/51/36/990905136.db2.gz SGVGRALCNGHRDN-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN Cc1c2ccccc2[nH]c1CN1CC=CC1 ZINC001138397192 990935867 /nfs/dbraw/zinc/93/58/67/990935867.db2.gz MFGWXFWBYBPPMI-UHFFFAOYSA-N 0 3 212.296 2.848 20 0 BFADHN CO[C@H]1CCN(Cc2[nH]c3ccccc3c2C)C1 ZINC001138396879 990938636 /nfs/dbraw/zinc/93/86/36/990938636.db2.gz HTDQLACAWGSYFC-LBPRGKRZSA-N 0 3 244.338 2.697 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2CC[C@H]2C)cc1 ZINC001138447558 990948228 /nfs/dbraw/zinc/94/82/28/990948228.db2.gz WHAMFTOSTBMULY-GFCCVEGCSA-N 0 3 241.338 2.979 20 0 BFADHN CC(=O)CN(C)[C@H](C)C[C@@H](C)CC(C)C ZINC001258062305 990953720 /nfs/dbraw/zinc/95/37/20/990953720.db2.gz JRVRUHPKFDQGAG-NWDGAFQWSA-N 0 3 213.365 2.968 20 0 BFADHN CC(=O)CN(C)[C@@H](C)C[C@H](C)CC(C)C ZINC001258062303 990956312 /nfs/dbraw/zinc/95/63/12/990956312.db2.gz JRVRUHPKFDQGAG-NEPJUHHUSA-N 0 3 213.365 2.968 20 0 BFADHN CCn1cnc(Cl)c1CN[C@H]1CCC1(C)C ZINC001308400913 990984316 /nfs/dbraw/zinc/98/43/16/990984316.db2.gz FHAOGNXZCAKBEL-JTQLQIEISA-N 0 3 241.766 2.835 20 0 BFADHN c1nc(CNCc2cc3ccccc3s2)c[nH]1 ZINC001308417590 990986382 /nfs/dbraw/zinc/98/63/82/990986382.db2.gz SRCMMGKHINCABU-UHFFFAOYSA-N 0 3 243.335 2.914 20 0 BFADHN c1ncc(CNCc2cc3ccccc3s2)[nH]1 ZINC001308417590 990986394 /nfs/dbraw/zinc/98/63/94/990986394.db2.gz SRCMMGKHINCABU-UHFFFAOYSA-N 0 3 243.335 2.914 20 0 BFADHN CC(C)=CCC[C@H](C)N1C=C(N)C=CC1 ZINC001258084980 990990285 /nfs/dbraw/zinc/99/02/85/990990285.db2.gz CULPIUACACUYSL-LBPRGKRZSA-N 0 3 206.333 2.793 20 0 BFADHN CC(C)=CCC[C@H](C)n1ncc2c1CCNC2 ZINC001258088278 990994764 /nfs/dbraw/zinc/99/47/64/990994764.db2.gz KTSGLEAJTIXSMX-LBPRGKRZSA-N 0 3 233.359 2.836 20 0 BFADHN CCSc1ncc(CN(C)CC(C)C)cn1 ZINC001138652004 991000003 /nfs/dbraw/zinc/00/00/03/991000003.db2.gz TXWIPSXRMQDGPI-UHFFFAOYSA-N 0 3 239.388 2.676 20 0 BFADHN CCn1ccc(CNCC2CCC(F)CC2)n1 ZINC001308454407 991002178 /nfs/dbraw/zinc/00/21/78/991002178.db2.gz XFDDRUWRMJIHHK-UHFFFAOYSA-N 0 3 239.338 2.521 20 0 BFADHN CC(C)=CCC[C@H](C)N1CCc2c(cnn2C)C1 ZINC001258096325 991005305 /nfs/dbraw/zinc/00/53/05/991005305.db2.gz NBHGJAIDTMWKDT-ZDUSSCGKSA-N 0 3 247.386 2.913 20 0 BFADHN CC(C)=CCC[C@@H](C)N(C1CC1)C1COC1 ZINC001258099366 991012019 /nfs/dbraw/zinc/01/20/19/991012019.db2.gz LHKMWAKDFJIXNH-GFCCVEGCSA-N 0 3 223.360 2.985 20 0 BFADHN CCOC(=O)CCC[C@H](C)N1CCC[C@H](F)C1 ZINC001258125011 991025525 /nfs/dbraw/zinc/02/55/25/991025525.db2.gz LIDWHYVTUDJVJC-RYUDHWBXSA-N 0 3 245.338 2.542 20 0 BFADHN C[C@H]1CCCCN(Cc2cccnc2F)C1 ZINC001138783372 991037962 /nfs/dbraw/zinc/03/79/62/991037962.db2.gz PZNUCLIOCHUZLP-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN Fc1ncccc1CN1CCCCCCC1 ZINC001138788036 991054791 /nfs/dbraw/zinc/05/47/91/991054791.db2.gz RHJYUEKBNGYHDA-UHFFFAOYSA-N 0 3 222.307 2.987 20 0 BFADHN C[C@H]1C[C@H](N2CCc3nn(C)cc3C2)C[C@@H](C)C1 ZINC001258167198 991058705 /nfs/dbraw/zinc/05/87/05/991058705.db2.gz HBYZYXMXWFHRJV-IMRBUKKESA-N 0 3 247.386 2.603 20 0 BFADHN Clc1cc(CN2CC3CC(C3)C2)ccn1 ZINC001138819649 991069318 /nfs/dbraw/zinc/06/93/18/991069318.db2.gz JYFXSQOENSGYPM-UHFFFAOYSA-N 0 3 222.719 2.577 20 0 BFADHN CC(=O)[C@H]1CCN(Cc2ccc3occc3c2)C1 ZINC001138825172 991073132 /nfs/dbraw/zinc/07/31/32/991073132.db2.gz NYFIETMSZICGLU-AWEZNQCLSA-N 0 3 243.306 2.844 20 0 BFADHN CCCOC1CN([C@H]2C[C@H](C)C[C@H](C)C2)C1 ZINC001258173680 991073674 /nfs/dbraw/zinc/07/36/74/991073674.db2.gz HIZRZCNGIHQGRW-ITGUQSILSA-N 0 3 225.376 2.922 20 0 BFADHN c1cc2cc(CN3CC[C@H]4OCC[C@H]43)ccc2o1 ZINC001138823289 991076067 /nfs/dbraw/zinc/07/60/67/991076067.db2.gz CLZCEOOHVFNGFK-UKRRQHHQSA-N 0 3 243.306 2.796 20 0 BFADHN CO[C@@H](C)C1CN(Cc2ccc3occc3c2)C1 ZINC001138826423 991076780 /nfs/dbraw/zinc/07/67/80/991076780.db2.gz XOJPSYZZGGXRGJ-NSHDSACASA-N 0 3 245.322 2.900 20 0 BFADHN CCCn1cncc1CN1CCCC[C@H]1C ZINC001308652769 991081111 /nfs/dbraw/zinc/08/11/11/991081111.db2.gz DTFZMLHITJMYER-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN CCCn1cncc1CN1CCCC[C@@H]1C ZINC001308652770 991081361 /nfs/dbraw/zinc/08/13/61/991081361.db2.gz DTFZMLHITJMYER-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@@H](Cc1ccco1)N[C@@H](C)c1ccno1 ZINC001258184651 991088528 /nfs/dbraw/zinc/08/85/28/991088528.db2.gz HSLQEEFOYBHFGU-UWVGGRQHSA-N 0 3 220.272 2.549 20 0 BFADHN c1ccc2nc(CN3CCCC34CC4)cnc2c1 ZINC001138863564 991089801 /nfs/dbraw/zinc/08/98/01/991089801.db2.gz QVCKYDMTCGQCSH-UHFFFAOYSA-N 0 3 239.322 2.758 20 0 BFADHN CCCn1cncc1CN1CCCC(C)(C)C1 ZINC001308691437 991095536 /nfs/dbraw/zinc/09/55/36/991095536.db2.gz TWUKXVFSRVLJAB-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN C[C@@H](Cc1ccco1)N1CCc2ncsc2C1 ZINC001258194105 991103832 /nfs/dbraw/zinc/10/38/32/991103832.db2.gz BLVPWMHKLCRRTF-JTQLQIEISA-N 0 3 248.351 2.725 20 0 BFADHN C[C@H](Cc1ccco1)N1CC(c2cccnc2)C1 ZINC001258194754 991106901 /nfs/dbraw/zinc/10/69/01/991106901.db2.gz KPAMMVVWMJMVOE-GFCCVEGCSA-N 0 3 242.322 2.705 20 0 BFADHN CCCCNCc1c(F)cc(F)cc1F ZINC000083348908 991120612 /nfs/dbraw/zinc/12/06/12/991120612.db2.gz OWDDSCUGVNFACP-UHFFFAOYSA-N 0 3 217.234 2.994 20 0 BFADHN CCCn1cc(CN2CCCCC23CC3)cn1 ZINC001139040222 991127706 /nfs/dbraw/zinc/12/77/06/991127706.db2.gz WMMAVRZFBCCVFA-UHFFFAOYSA-N 0 3 233.359 2.812 20 0 BFADHN COc1cc(O)ccc1CN(C)C1CC(C)C1 ZINC001139137468 991152136 /nfs/dbraw/zinc/15/21/36/991152136.db2.gz APTNGFFQQFDGOO-UHFFFAOYSA-N 0 3 235.327 2.631 20 0 BFADHN C1CC2(CN(C3CCCCCCC3)C2)O1 ZINC001258240049 991187513 /nfs/dbraw/zinc/18/75/13/991187513.db2.gz IXKZDROCAQAGAP-UHFFFAOYSA-N 0 3 209.333 2.574 20 0 BFADHN FC(F)n1cccc1CN1CC[C@H]2C[C@H]2C1 ZINC001308873280 991190544 /nfs/dbraw/zinc/19/05/44/991190544.db2.gz KXYNTFUIKYWKEU-UWVGGRQHSA-N 0 3 226.270 2.725 20 0 BFADHN Cc1cc(CN)nn1[C@@H]1CCCC(C)(C)C1 ZINC001258274197 991197570 /nfs/dbraw/zinc/19/75/70/991197570.db2.gz OUGXKSCEBDJTKX-GFCCVEGCSA-N 0 3 221.348 2.792 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CN1CCO[C@H](OC)C1 ZINC001308921314 991218755 /nfs/dbraw/zinc/21/87/55/991218755.db2.gz APQFWPPERIWDFO-RDBSUJKOSA-N 0 3 241.375 2.508 20 0 BFADHN Fc1cccnc1CNC1(C2CC2)CCC1 ZINC001308935904 991222224 /nfs/dbraw/zinc/22/22/24/991222224.db2.gz BCEDSYSBAASCEM-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN CC[C@H](NCC1=NOCC1)c1ccc(C)cc1 ZINC001559711523 991224674 /nfs/dbraw/zinc/22/46/74/991224674.db2.gz LOZZMTDJHQOBPG-AWEZNQCLSA-N 0 3 232.327 2.812 20 0 BFADHN C=C/C=C\CCN1CCCCC[C@H]1C(=O)OC ZINC001559738871 991237535 /nfs/dbraw/zinc/23/75/35/991237535.db2.gz HVLPBJOUXZQMDW-ZFDPJTLLSA-N 0 3 237.343 2.536 20 0 BFADHN CC(C)C(CN(C)Cc1ccn(C)n1)C(C)C ZINC001308976281 991243615 /nfs/dbraw/zinc/24/36/15/991243615.db2.gz CAPWQZCAAHQUSV-UHFFFAOYSA-N 0 3 237.391 2.780 20 0 BFADHN CN(C)c1ccc(CN2CCCCC23CC3)cn1 ZINC001139459040 991246496 /nfs/dbraw/zinc/24/64/96/991246496.db2.gz YBGPBXNBJNQGEF-UHFFFAOYSA-N 0 3 245.370 2.666 20 0 BFADHN CSC(C)(C)C[C@@H](C)n1ccc([C@@H](C)N)n1 ZINC001258324243 991251082 /nfs/dbraw/zinc/25/10/82/991251082.db2.gz PHOMUCQTMTUVFK-NXEZZACHSA-N 0 3 241.404 2.996 20 0 BFADHN CC(=O)CN1CC[C@@H](C)C2(CCCCC2)C1 ZINC001559772714 991254058 /nfs/dbraw/zinc/25/40/58/991254058.db2.gz YCEVZHUVNWILFM-GFCCVEGCSA-N 0 3 223.360 2.868 20 0 BFADHN CCN(C#N)CCN1CCC(C)(C(C)C)CC1 ZINC001559770238 991255045 /nfs/dbraw/zinc/25/50/45/991255045.db2.gz BRCFLPABQJFAHJ-UHFFFAOYSA-N 0 3 237.391 2.547 20 0 BFADHN CSC(C)(C)C[C@H](C)N1CCC(=O)C[C@@H]1C ZINC001258333722 991262547 /nfs/dbraw/zinc/26/25/47/991262547.db2.gz AQDHTDODMDINKE-QWRGUYRKSA-N 0 3 243.416 2.960 20 0 BFADHN CCOC1CN([C@H](C)CC(C)(C)SC)C1 ZINC001258334808 991262831 /nfs/dbraw/zinc/26/28/31/991262831.db2.gz HYQUTHMHFOPTLO-SNVBAGLBSA-N 0 3 231.405 2.627 20 0 BFADHN CSC(C)(C)C[C@@H](C)N1CCOCC12CC2 ZINC001258345712 991270309 /nfs/dbraw/zinc/27/03/09/991270309.db2.gz QDMRZYMYPFTNPS-LLVKDONJSA-N 0 3 243.416 2.771 20 0 BFADHN CCOc1ccncc1CN1C[C@H]2CCC[C@@H]2C1 ZINC001139627294 991300161 /nfs/dbraw/zinc/30/01/61/991300161.db2.gz LQPMYBNLYPJDLL-CHWSQXEVSA-N 0 3 246.354 2.712 20 0 BFADHN CCOc1ccncc1CN(C)C1CCCC1 ZINC001139628807 991303496 /nfs/dbraw/zinc/30/34/96/991303496.db2.gz AEJGKFYBALNQKZ-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN COc1nscc1CN1[C@H](C)CCC1(C)C ZINC001559903488 991317631 /nfs/dbraw/zinc/31/76/31/991317631.db2.gz BFIHAZYTZKOVEQ-SECBINFHSA-N 0 3 240.372 2.915 20 0 BFADHN COc1ccc(CC[C@H](C)N(C)CC(C)=O)cc1 ZINC001258397227 991345194 /nfs/dbraw/zinc/34/51/94/991345194.db2.gz GQDDFZWALRTOSL-LBPRGKRZSA-N 0 3 249.354 2.537 20 0 BFADHN c1cnc2cc(CN3C4CCC3CC4)cnc2c1 ZINC001139741385 991351722 /nfs/dbraw/zinc/35/17/22/991351722.db2.gz JJRLKJFIIRYLRT-UHFFFAOYSA-N 0 3 239.322 2.757 20 0 BFADHN CCCCC[C@@H](CC)N1CC(N2CC(F)C2)C1 ZINC001258436118 991369915 /nfs/dbraw/zinc/36/99/15/991369915.db2.gz OGAWMNKYTXWGCH-CYBMUJFWSA-N 0 3 242.382 2.683 20 0 BFADHN CCCCC[C@@H](CC)N1CC[C@@H](O)[C@H](F)C1 ZINC001258438435 991373949 /nfs/dbraw/zinc/37/39/49/991373949.db2.gz CURSVGFRCLIDSL-JHJVBQTASA-N 0 3 231.355 2.750 20 0 BFADHN CCCCC[C@H](CC)N1CC(=O)N(C)C[C@@H]1C ZINC001258442377 991379046 /nfs/dbraw/zinc/37/90/46/991379046.db2.gz DRTZDZNPXKSJFD-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN CCCCC[C@H](CC)N1CCNC(=O)C1(C)C ZINC001258445180 991388043 /nfs/dbraw/zinc/38/80/43/991388043.db2.gz FGZOBYRSTXIYRG-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CCCCC[C@H](CC)N1CCN(C)C(=O)[C@@H]1C ZINC001258445429 991388110 /nfs/dbraw/zinc/38/81/10/991388110.db2.gz HKXDKAYLZYCWMZ-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN Clc1cnccc1CN1CCC[C@@H]2C[C@@H]21 ZINC001139849481 991402709 /nfs/dbraw/zinc/40/27/09/991402709.db2.gz HUVJGECLIBCVGU-SKDRFNHKSA-N 0 3 222.719 2.719 20 0 BFADHN C[C@H](CC1CC1)N1CCc2cnccc2C1 ZINC001258458128 991412029 /nfs/dbraw/zinc/41/20/29/991412029.db2.gz PUISBYUHHGLITM-LLVKDONJSA-N 0 3 216.328 2.628 20 0 BFADHN CN(C/C=C/Cl)C[C@]12CCC[C@H]1OCC2 ZINC001560235235 991429133 /nfs/dbraw/zinc/42/91/33/991429133.db2.gz DOYWTLGFMTYYQD-BKZLJMBUSA-N 0 3 229.751 2.630 20 0 BFADHN CC(C)(C)C1CCN(Cc2cccnn2)CC1 ZINC001139970137 991434647 /nfs/dbraw/zinc/43/46/47/991434647.db2.gz LRTWQPUMNIBWBK-UHFFFAOYSA-N 0 3 233.359 2.735 20 0 BFADHN CCOc1cccc(F)c1CNC[C@H]1C[C@@H]1C ZINC001560267420 991446316 /nfs/dbraw/zinc/44/63/16/991446316.db2.gz RDXLJHOXGLYRPU-WDEREUQCSA-N 0 3 237.318 2.970 20 0 BFADHN CCn1ccc(CNCCCc2cccs2)n1 ZINC001560398422 991468050 /nfs/dbraw/zinc/46/80/50/991468050.db2.gz QSNPPAZDRBMGDW-UHFFFAOYSA-N 0 3 249.383 2.687 20 0 BFADHN C[C@H]1CN(Cc2cc(O)ccc2F)C2(CC2)C1 ZINC001140052007 991504538 /nfs/dbraw/zinc/50/45/38/991504538.db2.gz AMLKNTZGKWMNQI-SNVBAGLBSA-N 0 3 235.302 2.906 20 0 BFADHN COc1ccc2n[nH]c(CN3CCC[C@H]3C)c2c1 ZINC001140065663 991514795 /nfs/dbraw/zinc/51/47/95/991514795.db2.gz KDVIZKNGDUVNHX-SNVBAGLBSA-N 0 3 245.326 2.556 20 0 BFADHN C[C@H](O)C(C)(C)CNCc1ccsc1Cl ZINC001560609534 991516411 /nfs/dbraw/zinc/51/64/11/991516411.db2.gz OBWCHATXISFDKZ-QMMMGPOBSA-N 0 3 247.791 2.898 20 0 BFADHN C[C@H]1CCN(Cc2cccc(F)n2)[C@@H](C)C1 ZINC001140134350 991537305 /nfs/dbraw/zinc/53/73/05/991537305.db2.gz SUPNESJLGDOSAT-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H](Cc1cccnc1)N(C)C1CC(F)(F)C1 ZINC001258623062 991558922 /nfs/dbraw/zinc/55/89/22/991558922.db2.gz YJKJWGBEHZRVDV-JTQLQIEISA-N 0 3 240.297 2.742 20 0 BFADHN CCOCCN(CC)Cc1cccn1C(F)F ZINC001560694680 991561648 /nfs/dbraw/zinc/56/16/48/991561648.db2.gz GKPINAKXQNBTBD-UHFFFAOYSA-N 0 3 246.301 2.742 20 0 BFADHN C[C@H](Cc1cccnc1)N(C)Cc1cncs1 ZINC001258634007 991577763 /nfs/dbraw/zinc/57/77/63/991577763.db2.gz NKUSTGLKNPESCS-LLVKDONJSA-N 0 3 247.367 2.601 20 0 BFADHN Cc1ccccc1C[N@@H+](C)Cc1ccncc1[O-] ZINC001140277555 991592444 /nfs/dbraw/zinc/59/24/44/991592444.db2.gz DTFNVEIGPYDKCT-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN Cc1ccccc1C[N@H+](C)Cc1ccncc1[O-] ZINC001140277555 991592456 /nfs/dbraw/zinc/59/24/56/991592456.db2.gz DTFNVEIGPYDKCT-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN CCC[C@H](CN1CCO[C@H](C(C)(C)C)C1)OC ZINC001560801117 991607075 /nfs/dbraw/zinc/60/70/75/991607075.db2.gz GDZUEVCORJJHDF-OLZOCXBDSA-N 0 3 243.391 2.548 20 0 BFADHN Cc1ccc2[nH]nc(CN3CC4CC(C4)C3)c2c1 ZINC001140333350 991609271 /nfs/dbraw/zinc/60/92/71/991609271.db2.gz HUBDNUAIJFCASW-UHFFFAOYSA-N 0 3 241.338 2.713 20 0 BFADHN Cc1ccc2n[nH]c(CN3CC4CC(C4)C3)c2c1 ZINC001140333350 991609275 /nfs/dbraw/zinc/60/92/75/991609275.db2.gz HUBDNUAIJFCASW-UHFFFAOYSA-N 0 3 241.338 2.713 20 0 BFADHN CCc1nc(CN[C@@H]2CC[C@@H]3CCC[C@H]23)co1 ZINC001560837184 991618277 /nfs/dbraw/zinc/61/82/77/991618277.db2.gz LIZPWEBJOKGKLN-WCFLWFBJSA-N 0 3 234.343 2.905 20 0 BFADHN c1ccc([C@@H]2CCCN2Cc2ccncn2)cc1 ZINC001140347497 991619380 /nfs/dbraw/zinc/61/93/80/991619380.db2.gz LZDAIDFPXPKADX-HNNXBMFYSA-N 0 3 239.322 2.814 20 0 BFADHN C[C@H]1C[C@@H](N2CC3(CCO3)C2)CC(C)(C)C1 ZINC001258700960 991635473 /nfs/dbraw/zinc/63/54/73/991635473.db2.gz PVHDSPNVXGNTHP-NWDGAFQWSA-N 0 3 223.360 2.676 20 0 BFADHN CO[C@@H](C)C1CN(Cc2ccc3c(c2)CCC3)C1 ZINC001203035087 991637018 /nfs/dbraw/zinc/63/70/18/991637018.db2.gz RFZIBIGRBBYTJJ-LBPRGKRZSA-N 0 3 245.366 2.642 20 0 BFADHN CCn1ccc(CN2CCCC3(CC3)CC2)n1 ZINC001560955035 991666624 /nfs/dbraw/zinc/66/66/24/991666624.db2.gz JHUIAPGQQUNGDJ-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1cc(C)ccn1)OC ZINC001560989871 991679456 /nfs/dbraw/zinc/67/94/56/991679456.db2.gz DKCSYXPYAOGQHH-TZMCWYRMSA-N 0 3 236.359 2.541 20 0 BFADHN CC(C)OC1CN(C[C@@H]2CCCC[C@H]2C)C1 ZINC001560994571 991682804 /nfs/dbraw/zinc/68/28/04/991682804.db2.gz KZWCRNVXDZSONS-OLZOCXBDSA-N 0 3 225.376 2.922 20 0 BFADHN CC(C)OC1CN(C[C@H]2CCCC[C@@H]2C)C1 ZINC001560994572 991683005 /nfs/dbraw/zinc/68/30/05/991683005.db2.gz KZWCRNVXDZSONS-QWHCGFSZSA-N 0 3 225.376 2.922 20 0 BFADHN CCCC[C@H](CCC)N1CC(N2CC(F)C2)C1 ZINC001258760128 991712460 /nfs/dbraw/zinc/71/24/60/991712460.db2.gz JNROEYLSMMXZNT-ZDUSSCGKSA-N 0 3 242.382 2.683 20 0 BFADHN CCCC[C@@H](CCC)N1CC(N(C)C(C)=O)C1 ZINC001258763411 991715953 /nfs/dbraw/zinc/71/59/53/991715953.db2.gz PPQDMPIHZBAKKF-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)n1cnc(CN)c1 ZINC001258779964 991748255 /nfs/dbraw/zinc/74/82/55/991748255.db2.gz ZFLCDOCPSCSEMM-ZYHUDNBSSA-N 0 3 209.337 2.729 20 0 BFADHN CC[C@H](C)C[C@H](CC)n1cnc2c1CNCC2 ZINC001258793062 991781543 /nfs/dbraw/zinc/78/15/43/991781543.db2.gz ZYWJXLBJUZEYRN-RYUDHWBXSA-N 0 3 235.375 2.916 20 0 BFADHN CC(C)C1CCC(N(C)C2COC2)CC1 ZINC001258804134 991802399 /nfs/dbraw/zinc/80/23/99/991802399.db2.gz QMFLOWWZRPZNAX-UHFFFAOYSA-N 0 3 211.349 2.532 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@@H](C)C2)c(C)o1 ZINC001258808926 991815162 /nfs/dbraw/zinc/81/51/62/991815162.db2.gz RYPIQPPGPJCFOX-BXKDBHETSA-N 0 3 222.332 2.960 20 0 BFADHN CCCN1CCCC[C@H]1C(=O)NC(CC)CC ZINC001319234899 991821970 /nfs/dbraw/zinc/82/19/70/991821970.db2.gz DLWLUXHAGMWATN-ZDUSSCGKSA-N 0 3 240.391 2.556 20 0 BFADHN CCCN1CCCC[C@@H]1C(=O)NC(CC)CC ZINC001319234898 991823369 /nfs/dbraw/zinc/82/33/69/991823369.db2.gz DLWLUXHAGMWATN-CYBMUJFWSA-N 0 3 240.391 2.556 20 0 BFADHN CO[C@H]1CCN(c2c(C)cnc3ccccc32)C1 ZINC001319313670 991838723 /nfs/dbraw/zinc/83/87/23/991838723.db2.gz CLNIVDWDZWFHQW-LBPRGKRZSA-N 0 3 242.322 2.768 20 0 BFADHN CCCOC1CN([C@H]2CCC[C@H](C)C2)C1 ZINC001258823392 991847172 /nfs/dbraw/zinc/84/71/72/991847172.db2.gz LAISARFGRNMHIM-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)OC1CN([C@@H]2CCC[C@H](C)C2)C1 ZINC001258828345 991853355 /nfs/dbraw/zinc/85/33/55/991853355.db2.gz LGZKCPCDSCYOQA-NWDGAFQWSA-N 0 3 211.349 2.674 20 0 BFADHN CC[C@@H](Cc1ccccc1)N[C@@H]1C[C@H]1F ZINC001258839435 991871389 /nfs/dbraw/zinc/87/13/89/991871389.db2.gz ZLUMVNYDDGXRHK-YNEHKIRRSA-N 0 3 207.292 2.708 20 0 BFADHN CCc1cnccc1[C@H](C)NCc1cc(C)[nH]n1 ZINC001319782542 991982221 /nfs/dbraw/zinc/98/22/21/991982221.db2.gz TWUVTSDPKLKKEO-NSHDSACASA-N 0 3 244.342 2.526 20 0 BFADHN CS[C@@H]1CC[C@@H](N(C)Cc2cnoc2C)C1 ZINC001320550794 992245459 /nfs/dbraw/zinc/24/54/59/992245459.db2.gz KVKNTTVZPRGSPE-VXGBXAGGSA-N 0 3 240.372 2.699 20 0 BFADHN CN(C(=O)[C@@H](N)C1CCCCC1)c1ccccc1 ZINC001261492375 992337480 /nfs/dbraw/zinc/33/74/80/992337480.db2.gz ASVYAQKOGFBXPX-AWEZNQCLSA-N 0 3 246.354 2.557 20 0 BFADHN C[C@H]([NH2+][C@H]1CC[C@H]1C)c1cccc([O-])c1F ZINC001261891120 992564748 /nfs/dbraw/zinc/56/47/48/992564748.db2.gz YROZUIKUGSEXIL-YWVKMMECSA-N 0 3 223.291 2.980 20 0 BFADHN Cc1[nH]nc(CN2CC(C)(C)C2(C)C)c1C ZINC001262109171 992665528 /nfs/dbraw/zinc/66/55/28/992665528.db2.gz LPOGYISBNWBAGF-UHFFFAOYSA-N 0 3 221.348 2.647 20 0 BFADHN c1cnc2c(c1)CC[C@H]2N[C@H]1C=CCCC1 ZINC001262107810 992677427 /nfs/dbraw/zinc/67/74/27/992677427.db2.gz ZSAULUXESPHTCL-QWHCGFSZSA-N 0 3 214.312 2.767 20 0 BFADHN C[C@@H]([NH2+]C1(C)CC1)c1cc([O-])cc(F)c1 ZINC001262201696 992797182 /nfs/dbraw/zinc/79/71/82/992797182.db2.gz CSROJXKNMKXUKL-MRVPVSSYSA-N 0 3 209.264 2.734 20 0 BFADHN Cc1ccc(N(C)C(=O)[C@H](N)CC(C)C)cc1C ZINC001574155095 992813737 /nfs/dbraw/zinc/81/37/37/992813737.db2.gz PJEOVAULOOMWRZ-CQSZACIVSA-N 0 3 248.370 2.640 20 0 BFADHN Cc1ccc([C@H](C)NCc2ccc(N)nc2)s1 ZINC001262258018 992822580 /nfs/dbraw/zinc/82/25/80/992822580.db2.gz YKWZZMDZKUWKQA-JTQLQIEISA-N 0 3 247.367 2.885 20 0 BFADHN CO[C@@H]1CN(Cc2cc(C)cc(C)n2)CC[C@H]1C ZINC001262270925 992829849 /nfs/dbraw/zinc/82/98/49/992829849.db2.gz KHTJZEBYYRMASY-IUODEOHRSA-N 0 3 248.370 2.555 20 0 BFADHN CC(C)CCN(C)Cc1cnn(C)c1C1CC1 ZINC001262355189 992855266 /nfs/dbraw/zinc/85/52/66/992855266.db2.gz MEQIXJXPDTUILL-UHFFFAOYSA-N 0 3 235.375 2.775 20 0 BFADHN COC(=O)c1ccc(CN2CC=CCC2)cc1C ZINC001262365079 992861397 /nfs/dbraw/zinc/86/13/97/992861397.db2.gz RJTHTYYRGYXTFX-UHFFFAOYSA-N 0 3 245.322 2.544 20 0 BFADHN c1ncc2c(n1)CCN(C1CCCCCC1)C2 ZINC000585379919 993260837 /nfs/dbraw/zinc/26/08/37/993260837.db2.gz QRQZMBAAGNIBBU-UHFFFAOYSA-N 0 3 231.343 2.558 20 0 BFADHN C[C@@H](CC(F)(F)F)NCC1(F)CCC1 ZINC000379640873 993266722 /nfs/dbraw/zinc/26/67/22/993266722.db2.gz UUVSZWZBFMNZOQ-ZETCQYMHSA-N 0 3 213.218 2.809 20 0 BFADHN C[C@H]1CC(n2cnc3c2CNCC3)C[C@H](C)C1 ZINC000379976417 993301292 /nfs/dbraw/zinc/30/12/92/993301292.db2.gz OHOBWRVIANDBRJ-GHMZBOCLSA-N 0 3 233.359 2.526 20 0 BFADHN CCSC[C@H](C)N[C@H]1COc2ccccc21 ZINC000381387914 993392113 /nfs/dbraw/zinc/39/21/13/993392113.db2.gz NEZQAOMZYHFHBJ-JQWIXIFHSA-N 0 3 237.368 2.851 20 0 BFADHN C[C@@]1(CO)CCN(Cc2ccccc2Cl)C1 ZINC000381471012 993397697 /nfs/dbraw/zinc/39/76/97/993397697.db2.gz LEMMGFJNFAZFQQ-CYBMUJFWSA-N 0 3 239.746 2.544 20 0 BFADHN C[C@]1(CO)CCN(Cc2ccccc2Cl)C1 ZINC000381471018 993397749 /nfs/dbraw/zinc/39/77/49/993397749.db2.gz LEMMGFJNFAZFQQ-ZDUSSCGKSA-N 0 3 239.746 2.544 20 0 BFADHN Nc1ccc(F)cc1CN1CC2CCC1CC2 ZINC000383729815 993512704 /nfs/dbraw/zinc/51/27/04/993512704.db2.gz WOYZULBPJFNZNS-UHFFFAOYSA-N 0 3 234.318 2.782 20 0 BFADHN CC[C@@H]1CCN(Cc2c(F)ccc(N)c2F)C1 ZINC000383733101 993514498 /nfs/dbraw/zinc/51/44/98/993514498.db2.gz HICNESHGDSMJDS-SECBINFHSA-N 0 3 240.297 2.779 20 0 BFADHN CCCC1CCN(Cc2c[nH]c(C)n2)CC1 ZINC000724785702 994078248 /nfs/dbraw/zinc/07/82/48/994078248.db2.gz DRURQNORTYZZEN-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cccnc2F)C1 ZINC000725377816 994099273 /nfs/dbraw/zinc/09/92/73/994099273.db2.gz AFAKAJDYSUEHQM-QWRGUYRKSA-N 0 3 222.307 2.699 20 0 BFADHN CC[C@H](C)[C@@H](C(=O)OC)N1CCC(CC)CC1 ZINC001307459598 995369056 /nfs/dbraw/zinc/36/90/56/995369056.db2.gz XCJFIGCBUNVVIT-AAEUAGOBSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H](CN1CCOC[C@@H]1C)CC(C)(C)C ZINC001307783943 995463329 /nfs/dbraw/zinc/46/33/29/995463329.db2.gz ACUMIHALOPWXGO-NEPJUHHUSA-N 0 3 213.365 2.779 20 0 BFADHN c1csc(CNCc2cn3ccnc3s2)c1 ZINC001308179261 995595377 /nfs/dbraw/zinc/59/53/77/995595377.db2.gz AKRGDVOYICXLEA-UHFFFAOYSA-N 0 3 249.364 2.747 20 0 BFADHN CCC[C@@H](C)NCc1c(Cl)ncn1CC ZINC001308206642 995599884 /nfs/dbraw/zinc/59/98/84/995599884.db2.gz OBPMQSVGLKVXEL-SECBINFHSA-N 0 3 229.755 2.835 20 0 BFADHN C[C@@H](NC[C@@H](C)C1CC1)c1ccc(F)cn1 ZINC000161434856 538915574 /nfs/dbraw/zinc/91/55/74/538915574.db2.gz FHPVWFBQCZLRJK-NXEZZACHSA-N 0 3 222.307 2.917 20 0 BFADHN Cc1nc(CN[C@H]2CCC[C@@H]2C)cs1 ZINC000070827216 398139203 /nfs/dbraw/zinc/13/92/03/398139203.db2.gz PYLQPNFLWFPPQO-KWQFWETISA-N 0 3 210.346 2.730 20 0 BFADHN COCC[C@H](C)N1CCc2sccc2C1 ZINC000268033570 538916239 /nfs/dbraw/zinc/91/62/39/538916239.db2.gz OWWHNNFPHMTBKZ-JTQLQIEISA-N 0 3 225.357 2.531 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCC[C@H]1C ZINC000070827232 398139385 /nfs/dbraw/zinc/13/93/85/398139385.db2.gz MLVDGHKFPPTUDF-PELKAZGASA-N 0 3 208.305 2.570 20 0 BFADHN COCC[C@@H](C)N(C)Cc1ccccc1OC ZINC000268041633 538916285 /nfs/dbraw/zinc/91/62/85/538916285.db2.gz UOKZQZOYHMZDJP-GFCCVEGCSA-N 0 3 237.343 2.552 20 0 BFADHN CCOC[C@H](C)N[C@H]1CCc2ccc(F)cc21 ZINC000488124639 538913582 /nfs/dbraw/zinc/91/35/82/538913582.db2.gz KGTJKPXXUKKUOF-HZMBPMFUSA-N 0 3 237.318 2.828 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H](CC(C)C)C2)n1 ZINC000093338462 343977256 /nfs/dbraw/zinc/97/72/56/343977256.db2.gz BYAVCWRFVIWPIP-ZDUSSCGKSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H](CC(C)C)C2)n1 ZINC000093338462 343977259 /nfs/dbraw/zinc/97/72/59/343977259.db2.gz BYAVCWRFVIWPIP-ZDUSSCGKSA-N 0 3 248.370 2.964 20 0 BFADHN CC(C)N(C)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC000070944378 398141293 /nfs/dbraw/zinc/14/12/93/398141293.db2.gz MHEDFYNAOISECX-CYBMUJFWSA-N 0 3 248.370 2.546 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](C)O[C@H]1C)c1ccsc1 ZINC000385233228 398141750 /nfs/dbraw/zinc/14/17/50/398141750.db2.gz UOEVIHZIWRLTDK-SVDPJWKOSA-N 0 3 225.357 2.965 20 0 BFADHN CCC(CC)(CCO)NCc1ccc(C)o1 ZINC000282987071 168321182 /nfs/dbraw/zinc/32/11/82/168321182.db2.gz MQNYBFZOTZQSBN-UHFFFAOYSA-N 0 3 225.332 2.619 20 0 BFADHN C[C@H](O)CCN1CCc2cc(F)ccc2[C@@H]1C ZINC000649319442 398142605 /nfs/dbraw/zinc/14/26/05/398142605.db2.gz BRGHTJJIGNZSAJ-QWRGUYRKSA-N 0 3 237.318 2.516 20 0 BFADHN CC(C)C[C@@H](C)N[C@H](CCO)c1ccco1 ZINC000186540276 349463888 /nfs/dbraw/zinc/46/38/88/349463888.db2.gz FIPMFOSQQICEBK-VXGBXAGGSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1nc(C)c(CN[C@@H](C2CC2)C2CCC2)o1 ZINC000628305057 349486714 /nfs/dbraw/zinc/48/67/14/349486714.db2.gz IXOWKOLUJVHVFD-CQSZACIVSA-N 0 3 234.343 2.960 20 0 BFADHN C[C@H](CCO)N(C)Cc1ccc(Cl)cc1 ZINC000649864563 398146015 /nfs/dbraw/zinc/14/60/15/398146015.db2.gz DUKGDFRBNXJPAX-SNVBAGLBSA-N 0 3 227.735 2.543 20 0 BFADHN Cc1noc(C)c1CN1CCCC(C)(C)C1 ZINC000112701707 398146432 /nfs/dbraw/zinc/14/64/32/398146432.db2.gz OJDPEQBASJXEAB-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN CCC(CC)(CO)CN[C@@H](C)c1ccco1 ZINC000088175758 168328927 /nfs/dbraw/zinc/32/89/27/168328927.db2.gz OMPFSOKEGNQYKN-NSHDSACASA-N 0 3 225.332 2.729 20 0 BFADHN CCc1ccc([C@H](C)NCc2ccnn2C)s1 ZINC000071333641 398147006 /nfs/dbraw/zinc/14/70/06/398147006.db2.gz XYMCRDAPSDGKAQ-JTQLQIEISA-N 0 3 249.383 2.895 20 0 BFADHN COC1([C@@H](C)NCc2ccc(C)nc2)CCCC1 ZINC000421821649 398147157 /nfs/dbraw/zinc/14/71/57/398147157.db2.gz SLRHBYWBTUORQC-CYBMUJFWSA-N 0 3 248.370 2.827 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1O)c1cccc(Cl)c1 ZINC000378717454 346814891 /nfs/dbraw/zinc/81/48/91/346814891.db2.gz CWXRAQZSBZDGQB-XXILOJSOSA-N 0 3 225.719 2.514 20 0 BFADHN C[C@@H](N[C@H](C)C1CCC1)c1cscn1 ZINC000161987643 538920088 /nfs/dbraw/zinc/92/00/88/538920088.db2.gz GGTWZVDVMMNRRH-RKDXNWHRSA-N 0 3 210.346 2.982 20 0 BFADHN Cc1sccc1CNCCOC(C)(C)C ZINC000378725535 346815774 /nfs/dbraw/zinc/81/57/74/346815774.db2.gz AXEGALFREOCXRK-UHFFFAOYSA-N 0 3 227.373 2.961 20 0 BFADHN Cc1cc(CNCCc2cscn2)cs1 ZINC000328551160 178022630 /nfs/dbraw/zinc/02/26/30/178022630.db2.gz TXBORSKZRSETRF-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)[C@H](O)C2)c(C)s1 ZINC000357564453 178000082 /nfs/dbraw/zinc/00/00/82/178000082.db2.gz BPDSEUPFLWHYIN-NOZJJQNGSA-N 0 3 239.384 2.568 20 0 BFADHN Cc1cc(CN2CC[C@@]3(CC[C@H](C)C3)C2)nn1C ZINC000359558921 178000257 /nfs/dbraw/zinc/00/02/57/178000257.db2.gz ORVBNEYOYBECFQ-SWLSCSKDSA-N 0 3 247.386 2.741 20 0 BFADHN CCCc1ccc(CN2CCC[C@H](O)C2)cc1 ZINC000299229784 398150098 /nfs/dbraw/zinc/15/00/98/398150098.db2.gz ZYBKKZYLQQBSRP-HNNXBMFYSA-N 0 3 233.355 2.596 20 0 BFADHN Cc1cc(CN2CC[C@H](CC(C)C)C2)ncn1 ZINC000292418691 178001389 /nfs/dbraw/zinc/00/13/89/178001389.db2.gz KSUCNFMOXIPCGE-CYBMUJFWSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1cc(CN2CC[C@H](O)C[C@@H]2C)c(C)s1 ZINC000292392091 178004075 /nfs/dbraw/zinc/00/40/75/178004075.db2.gz KKQUGWDRJHWIQM-ZANVPECISA-N 0 3 239.384 2.710 20 0 BFADHN Cc1cc(CN2C[C@@H]3C[C@H]2CS3)cs1 ZINC000335154491 178005132 /nfs/dbraw/zinc/00/51/32/178005132.db2.gz IMNMMGLAFAPRQP-QWRGUYRKSA-N 0 3 225.382 2.746 20 0 BFADHN CCC[C@H](N)C(=O)N([C@H](C)[C@H](C)CC)C1CC1 ZINC000262537732 398151724 /nfs/dbraw/zinc/15/17/24/398151724.db2.gz QGLSLJBOAJHLCU-WZRBSPASSA-N 0 3 240.391 2.539 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)nn1C ZINC000336725201 178008660 /nfs/dbraw/zinc/00/86/60/178008660.db2.gz QKBZGGHDBDRGAU-WZRBSPASSA-N 0 3 235.375 2.595 20 0 BFADHN Cc1cc(CN2[C@H](C)CCC[C@H]2C)ncn1 ZINC000292394532 178009880 /nfs/dbraw/zinc/00/98/80/178009880.db2.gz ISGOVQKMKSAOQK-VXGBXAGGSA-N 0 3 219.332 2.548 20 0 BFADHN CSc1ccccc1CN(C)[C@@H](C)CCO ZINC000649869507 398155957 /nfs/dbraw/zinc/15/59/57/398155957.db2.gz FTGYVLLCOOJHFX-NSHDSACASA-N 0 3 239.384 2.611 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCO2)ccc1Cl ZINC000125467286 178022105 /nfs/dbraw/zinc/02/21/05/178022105.db2.gz LDOOSFVTONOJDK-LBPRGKRZSA-N 0 3 239.746 2.917 20 0 BFADHN Cc1cc(CNCC2(C)CCCC2)no1 ZINC000308998582 178020633 /nfs/dbraw/zinc/02/06/33/178020633.db2.gz KQFOLWUONFYLCR-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN Cc1cc(CNCCN2CCCCC2)cs1 ZINC000286038779 178020676 /nfs/dbraw/zinc/02/06/76/178020676.db2.gz WMISMVQOORGQTH-UHFFFAOYSA-N 0 3 238.400 2.632 20 0 BFADHN Cc1cc(CNC[C@H](O)CC(C)(C)C)cs1 ZINC000294686824 178024607 /nfs/dbraw/zinc/02/46/07/178024607.db2.gz ADXWAGYWVCFQAR-GFCCVEGCSA-N 0 3 241.400 2.943 20 0 BFADHN CCc1cc(N2CCC3(CCOC3)CC2)ccn1 ZINC000649355241 398169033 /nfs/dbraw/zinc/16/90/33/398169033.db2.gz GHGYDFLDPDDCQS-UHFFFAOYSA-N 0 3 246.354 2.651 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@H]1CCCc2sccc21 ZINC000245697210 398170257 /nfs/dbraw/zinc/17/02/57/398170257.db2.gz MUQSDPPULPIQSC-WCQGTBRESA-N 0 3 237.368 2.893 20 0 BFADHN COCCCCCN(C)Cc1ccc(C)cn1 ZINC000649900657 398170397 /nfs/dbraw/zinc/17/03/97/398170397.db2.gz PSDKSXOSFQOHIF-UHFFFAOYSA-N 0 3 236.359 2.639 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@H]2CC2(C)C)on1 ZINC000309122629 178031139 /nfs/dbraw/zinc/03/11/39/178031139.db2.gz OLGHGCBSNCQBDQ-GXSJLCMTSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC[C@H]2C)on1 ZINC000044300978 178033005 /nfs/dbraw/zinc/03/30/05/178033005.db2.gz GILOGGCZDLSGPV-BXKDBHETSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CCO[C@@H]2C)c(C)s1 ZINC000134080657 178034669 /nfs/dbraw/zinc/03/46/69/178034669.db2.gz ZCEPAPJWPTZZSM-BXKDBHETSA-N 0 3 225.357 2.632 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H](C)O[C@@H]2C)ccc1F ZINC000294789554 178034799 /nfs/dbraw/zinc/03/47/99/178034799.db2.gz OJQGNNJNGJLULL-JTNHKYCSSA-N 0 3 237.318 2.790 20 0 BFADHN C[C@@H](N[C@H]1CC1(C)C)c1ccncc1F ZINC000334243897 136215600 /nfs/dbraw/zinc/21/56/00/136215600.db2.gz RSLKMMLPPKDRQN-KCJUWKMLSA-N 0 3 208.280 2.670 20 0 BFADHN Cc1cc(CN[C@@H]2CCc3ccccc3C2)on1 ZINC000044301046 178035243 /nfs/dbraw/zinc/03/52/43/178035243.db2.gz GGZLFUXXGHLPKA-CQSZACIVSA-N 0 3 242.322 2.630 20 0 BFADHN C[C@@H](c1cccnc1)N1CC[C@@]2(CC2(F)F)C1 ZINC000649903183 398173225 /nfs/dbraw/zinc/17/32/25/398173225.db2.gz KJTBKZOSMQBRDT-CMPLNLGQSA-N 0 3 238.281 2.874 20 0 BFADHN CC(C)[C@@H]1C[C@H](N[C@@H](C)c2cn[nH]c2)CS1 ZINC000277353123 398173643 /nfs/dbraw/zinc/17/36/43/398173643.db2.gz VVFYUGIOAVPEFN-DLOVCJGASA-N 0 3 239.388 2.590 20 0 BFADHN CC(C)[C@H]1C[C@H](N[C@@H](C)c2cn[nH]c2)CS1 ZINC000277353131 398173833 /nfs/dbraw/zinc/17/38/33/398173833.db2.gz VVFYUGIOAVPEFN-ZMLRMANQSA-N 0 3 239.388 2.590 20 0 BFADHN Cc1cc(CN[C@H](C)C2CCCCC2)ncn1 ZINC000358368674 178037562 /nfs/dbraw/zinc/03/75/62/178037562.db2.gz OUSYVEYYTNHISW-GFCCVEGCSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1cc(CN[C@H](CO)C(C)(C)C)cs1 ZINC000295561100 178038980 /nfs/dbraw/zinc/03/89/80/178038980.db2.gz ZDCSDTNKFRCOEH-LLVKDONJSA-N 0 3 227.373 2.553 20 0 BFADHN Cc1cc(CN[C@H]2CCC(C)(C)C2)on1 ZINC000087771538 178039036 /nfs/dbraw/zinc/03/90/36/178039036.db2.gz XPHQGBXNSCGFQL-JTQLQIEISA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CCO[C@@H]2C2CC2)cs1 ZINC000336668256 178039967 /nfs/dbraw/zinc/03/99/67/178039967.db2.gz QZFJSGCWRDNQON-QWHCGFSZSA-N 0 3 237.368 2.714 20 0 BFADHN Cc1cc(CN[C@H]2CCCC[C@H]2C)no1 ZINC000044295898 178040234 /nfs/dbraw/zinc/04/02/34/178040234.db2.gz JSVNPUSDCRHMBJ-SKDRFNHKSA-N 0 3 208.305 2.651 20 0 BFADHN COC1(CCN(C)Cc2ccc(C)cn2)CCC1 ZINC000649903926 398174952 /nfs/dbraw/zinc/17/49/52/398174952.db2.gz ACMVFOAIVMWEFK-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1ccc(CN(C)CC[C@H]2CCCCO2)nc1 ZINC000649902981 398176263 /nfs/dbraw/zinc/17/62/63/398176263.db2.gz LZKFLNPWADIMNG-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN COc1cc(CN(C)C2CCCCC2)ccn1 ZINC000339865369 398176337 /nfs/dbraw/zinc/17/63/37/398176337.db2.gz HVGSSMDXTUQGFI-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN F[C@]1(c2ccccc2)C[C@H]1NC[C@H]1CCCOC1 ZINC000424207064 398176748 /nfs/dbraw/zinc/17/67/48/398176748.db2.gz XEEXAVBXOKFQSI-YUELXQCFSA-N 0 3 249.329 2.640 20 0 BFADHN C[C@@H](O)CCNCc1ccccc1C(F)(F)F ZINC000078782026 398177466 /nfs/dbraw/zinc/17/74/66/398177466.db2.gz PMHFHOHAXZVJLQ-SECBINFHSA-N 0 3 247.260 2.566 20 0 BFADHN CCc1cc(N2CCC[C@H]3C[C@H]32)ccn1 ZINC000649366147 398179084 /nfs/dbraw/zinc/17/90/84/398179084.db2.gz IDRUQVYERVBKJV-GXFFZTMASA-N 0 3 202.301 2.633 20 0 BFADHN Cc1ccc(CN2CC[C@]3(CC3(F)F)C2)nc1 ZINC000649907349 398179758 /nfs/dbraw/zinc/17/97/58/398179758.db2.gz PDBXQMCELGDWBN-LBPRGKRZSA-N 0 3 238.281 2.621 20 0 BFADHN Cc1cc(C[C@@H](C)N[C@@H](C)c2ccco2)[nH]n1 ZINC000090532994 178054932 /nfs/dbraw/zinc/05/49/32/178054932.db2.gz OCDSNAUILRJYBK-KOLCDFICSA-N 0 3 233.315 2.593 20 0 BFADHN Cc1cc(C[C@@H](C)N[C@@H](C)c2ccco2)n[nH]1 ZINC000090532994 178054933 /nfs/dbraw/zinc/05/49/33/178054933.db2.gz OCDSNAUILRJYBK-KOLCDFICSA-N 0 3 233.315 2.593 20 0 BFADHN CC[C@H](C[C@H](C)CO)N[C@@H](C)c1ccco1 ZINC000336743972 398181897 /nfs/dbraw/zinc/18/18/97/398181897.db2.gz UHUIASGFLYOIMM-SDDRHHMPSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@@H](C)N[C@H](C)c1cc(C(=O)OC)co1 ZINC000278183496 398181962 /nfs/dbraw/zinc/18/19/62/398181962.db2.gz DRVWTJCJFHKTAC-RKDXNWHRSA-N 0 3 225.288 2.515 20 0 BFADHN FC1(F)C[C@]12CCN(Cc1cccs1)C2 ZINC000649906721 398181993 /nfs/dbraw/zinc/18/19/93/398181993.db2.gz BBZGUVQQVASTDA-JTQLQIEISA-N 0 3 229.295 2.979 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cnc(OC)s1 ZINC000380020821 398182417 /nfs/dbraw/zinc/18/24/17/398182417.db2.gz ODLWREMQASYKJX-RKDXNWHRSA-N 0 3 228.361 2.676 20 0 BFADHN C[C@@H]1C[C@H]1CN1C[C@@H](C)NCc2ccccc21 ZINC000415095650 398160683 /nfs/dbraw/zinc/16/06/83/398160683.db2.gz CCBUKYQCOPENOJ-BZPMIXESSA-N 0 3 230.355 2.641 20 0 BFADHN Cc1cc(Cl)cc(CN(C)CCN(C)C)c1 ZINC000353495208 178063950 /nfs/dbraw/zinc/06/39/50/178063950.db2.gz HBWHHTSYYVLYDS-UHFFFAOYSA-N 0 3 240.778 2.642 20 0 BFADHN Cc1cc(Cl)cc(CNC(C)(C)CO)c1 ZINC000339250055 178064418 /nfs/dbraw/zinc/06/44/18/178064418.db2.gz VCUKFQUIORAUBV-UHFFFAOYSA-N 0 3 227.735 2.509 20 0 BFADHN Cc1cc(Cl)ccc1CN(C)CC[C@@H](C)O ZINC000136201885 178066003 /nfs/dbraw/zinc/06/60/03/178066003.db2.gz WKZNCQNFPOLOJQ-LLVKDONJSA-N 0 3 241.762 2.851 20 0 BFADHN Cc1cc(Cl)ccc1CN(C)CC[C@H](C)O ZINC000136201927 178066320 /nfs/dbraw/zinc/06/63/20/178066320.db2.gz WKZNCQNFPOLOJQ-NSHDSACASA-N 0 3 241.762 2.851 20 0 BFADHN Cc1cc(Cl)ccc1CN1CC[C@@](C)(O)C1 ZINC000185520299 178066968 /nfs/dbraw/zinc/06/69/68/178066968.db2.gz MYJUDQVLOJNWHY-CYBMUJFWSA-N 0 3 239.746 2.605 20 0 BFADHN Cc1cc(Cl)ccc1CN(C)CCCCO ZINC000276635012 178067254 /nfs/dbraw/zinc/06/72/54/178067254.db2.gz KCAPXIVKSSDWEC-UHFFFAOYSA-N 0 3 241.762 2.853 20 0 BFADHN CCCCCN[C@@H](C(=O)N(C)C)c1ccccc1 ZINC000072815743 398188036 /nfs/dbraw/zinc/18/80/36/398188036.db2.gz XQHQGFNUVRETFY-CQSZACIVSA-N 0 3 248.370 2.596 20 0 BFADHN COc1ccc(CN[C@H]2C[C@H]2C(F)F)cc1F ZINC000342196048 398189113 /nfs/dbraw/zinc/18/91/13/398189113.db2.gz AGRLZQJHUPQROO-SCZZXKLOSA-N 0 3 245.244 2.578 20 0 BFADHN Cc1cc(F)ccc1CN1CCC12CCOCC2 ZINC000376502931 178085053 /nfs/dbraw/zinc/08/50/53/178085053.db2.gz ZJVBIOVYBQKAFA-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN C[C@@H]1C[C@H](NCc2nn(C)c3ccccc23)[C@@H]1C ZINC000385961194 398193295 /nfs/dbraw/zinc/19/32/95/398193295.db2.gz NXGGBKRSDPAMPV-WZRBSPASSA-N 0 3 243.354 2.707 20 0 BFADHN FC1(F)C[C@]12CCN(CCOCC1CCC1)C2 ZINC000649908785 398184941 /nfs/dbraw/zinc/18/49/41/398184941.db2.gz WRFYAXILCFMWRB-LBPRGKRZSA-N 0 3 245.313 2.534 20 0 BFADHN CCCCN[C@H](c1cnn(C)c1)c1ccccc1 ZINC000272897615 538926497 /nfs/dbraw/zinc/92/64/97/538926497.db2.gz CFGBGLWNRNBOPR-HNNXBMFYSA-N 0 3 243.354 2.899 20 0 BFADHN CCC(CC)C(=O)Nc1cccc(CN)c1 ZINC000019281818 168341965 /nfs/dbraw/zinc/34/19/65/168341965.db2.gz VCTPBDKNTCMIBH-UHFFFAOYSA-N 0 3 220.316 2.520 20 0 BFADHN Cc1nc(C)c(CN2CCCCC2)s1 ZINC000266033375 398209124 /nfs/dbraw/zinc/20/91/24/398209124.db2.gz SPUXJKIHPRSKRH-UHFFFAOYSA-N 0 3 210.346 2.746 20 0 BFADHN Cc1ccccc1N1CCN(CC2(C)CC2)CC1 ZINC000576291415 365555296 /nfs/dbraw/zinc/55/52/96/365555296.db2.gz YKJXWWZELMQOBX-UHFFFAOYSA-N 0 3 244.382 2.917 20 0 BFADHN C1=C[C@H](N2C[C@H]3CC[C@@H](C2)O3)CCCCC1 ZINC000339012113 187328331 /nfs/dbraw/zinc/32/83/31/187328331.db2.gz YBQXJEYRXQJUQE-MELADBBJSA-N 0 3 221.344 2.739 20 0 BFADHN C1=C[C@@H](N2CCOC3(CCCC3)C2)CCC1 ZINC000366215436 187328865 /nfs/dbraw/zinc/32/88/65/187328865.db2.gz VAJRQSWDWABHJP-CYBMUJFWSA-N 0 3 221.344 2.740 20 0 BFADHN CCO[C@H]1CCN(Cc2cc(C)ccn2)C[C@@H]1C ZINC000649992157 398210952 /nfs/dbraw/zinc/21/09/52/398210952.db2.gz OXUCSBHKFNLUSC-ZFWWWQNUSA-N 0 3 248.370 2.637 20 0 BFADHN CCC(CC)CC(=O)NC[C@@H](N)c1ccccc1 ZINC000236632074 168345087 /nfs/dbraw/zinc/34/50/87/168345087.db2.gz IMPKKDVUPORHJX-CQSZACIVSA-N 0 3 248.370 2.629 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@H]1CC[C@H](F)C1 ZINC000342315743 136222777 /nfs/dbraw/zinc/22/27/77/136222777.db2.gz ZQDPRAPQCKZTSW-STQMWFEESA-N 0 3 239.338 2.753 20 0 BFADHN C1=C[C@@H](N2CCOC[C@H]2CC2CCC2)CCC1 ZINC000339772493 187330507 /nfs/dbraw/zinc/33/05/07/187330507.db2.gz OMTNPZJMOONWGC-HUUCEWRRSA-N 0 3 235.371 2.986 20 0 BFADHN CN(C)CCOc1cccc(-c2ccncc2)c1 ZINC000649422929 398221933 /nfs/dbraw/zinc/22/19/33/398221933.db2.gz LMFRHELKHJBJGA-UHFFFAOYSA-N 0 3 242.322 2.689 20 0 BFADHN CCc1nc(C)c(CN(C)C2CCC2)o1 ZINC000428215128 398222415 /nfs/dbraw/zinc/22/24/15/398222415.db2.gz JLMOZYDSKWSBCU-UHFFFAOYSA-N 0 3 208.305 2.530 20 0 BFADHN CCC(CC)CN1CCC[C@@H]1c1ncc[nH]1 ZINC000375355864 168349546 /nfs/dbraw/zinc/34/95/46/168349546.db2.gz XYYXUAJXXVBMBZ-GFCCVEGCSA-N 0 3 221.348 2.983 20 0 BFADHN Cc1cc(N[C@H](C)[C@@H](C)CO)c2ccccc2n1 ZINC000077227744 178186015 /nfs/dbraw/zinc/18/60/15/178186015.db2.gz NDUJSJCKVSREKD-CMPLNLGQSA-N 0 3 244.338 2.972 20 0 BFADHN Cc1cc(CN[C@@H](C)COC(C)C)cc(C)n1 ZINC000421387298 192326433 /nfs/dbraw/zinc/32/64/33/192326433.db2.gz SJLUCYVPNXDNNH-ZDUSSCGKSA-N 0 3 236.359 2.602 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2C[C@@H]2C)C[C@H](CC)O1 ZINC000421387564 192326865 /nfs/dbraw/zinc/32/68/65/192326865.db2.gz IYHSDYSXDDFDBQ-ZDEQEGDKSA-N 0 3 211.349 2.532 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2C[C@H]2C)C[C@@H](CC)O1 ZINC000421387563 192326895 /nfs/dbraw/zinc/32/68/95/192326895.db2.gz IYHSDYSXDDFDBQ-YVECIDJPSA-N 0 3 211.349 2.532 20 0 BFADHN COc1ncncc1CNCCCC1CCCC1 ZINC000647169202 398227098 /nfs/dbraw/zinc/22/70/98/398227098.db2.gz FCCBQXHAVKRUMY-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN CC[C@@H]1CN(CC2(SC)CC2)C[C@@H](CC)O1 ZINC000421391487 192328012 /nfs/dbraw/zinc/32/80/12/192328012.db2.gz PBQKHJVQKYPALV-VXGBXAGGSA-N 0 3 243.416 2.771 20 0 BFADHN CCC(CC)CN1CCO[C@@H](COC(C)C)C1 ZINC000373488992 168352969 /nfs/dbraw/zinc/35/29/69/168352969.db2.gz HFSWIHWWNDHJAO-CQSZACIVSA-N 0 3 243.391 2.548 20 0 BFADHN c1cc(C2CC2)ccc1CN1CC[C@]12CCOC2 ZINC000421405091 192331002 /nfs/dbraw/zinc/33/10/02/192331002.db2.gz QMNDOLNQJIDPGN-MRXNPFEDSA-N 0 3 243.350 2.929 20 0 BFADHN Cc1cc([C@@H](C)NCC2CCCC2)no1 ZINC000282662522 178223470 /nfs/dbraw/zinc/22/34/70/178223470.db2.gz MBJKBUSXYAOKCR-SNVBAGLBSA-N 0 3 208.305 2.824 20 0 BFADHN Cc1cc([C@@H](C)NCCc2ccccc2)nn1C ZINC000337403068 178223884 /nfs/dbraw/zinc/22/38/84/178223884.db2.gz DLMMOCHZRYJHCL-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN Cc1cc([C@@H](C)NCCC2(C)CC2)nn1C ZINC000309457119 178224886 /nfs/dbraw/zinc/22/48/86/178224886.db2.gz NXLPFJHXTRZOCW-LLVKDONJSA-N 0 3 221.348 2.569 20 0 BFADHN Cc1cc([C@@H](C)NCCOC(C)C)c(C)o1 ZINC000044730193 178224973 /nfs/dbraw/zinc/22/49/73/178224973.db2.gz CPWKVGUKTMJFOF-LLVKDONJSA-N 0 3 225.332 2.972 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@](C)(O)C2CC2)c(C)o1 ZINC000336717195 178226055 /nfs/dbraw/zinc/22/60/55/178226055.db2.gz QIGPOSSBHGZPPT-QMTHXVAHSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CCCO2)oc1C ZINC000282155360 178226827 /nfs/dbraw/zinc/22/68/27/178226827.db2.gz LJWUIHLOXFCOGF-PWSUYJOCSA-N 0 3 223.316 2.726 20 0 BFADHN Cc1cc([C@@H](C)NCCc2ccsc2)nn1C ZINC000282346122 178227012 /nfs/dbraw/zinc/22/70/12/178227012.db2.gz VNPHSEBXILQRMH-LLVKDONJSA-N 0 3 249.383 2.683 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CC=CCC2)no1 ZINC000282709199 178227017 /nfs/dbraw/zinc/22/70/17/178227017.db2.gz PLWQJYXLKWMGHE-VXGBXAGGSA-N 0 3 220.316 2.990 20 0 BFADHN CCOCCN[C@H]1CCCOc2ccc(C)cc21 ZINC000161927578 349522698 /nfs/dbraw/zinc/52/26/98/349522698.db2.gz BZLBUVLVZULOEF-AWEZNQCLSA-N 0 3 249.354 2.835 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2C[C@@H](O)CC[C@H]2C)o1 ZINC000421368094 192333741 /nfs/dbraw/zinc/33/37/41/192333741.db2.gz FTXNCZQBXPPDKT-NMKXLXIOSA-N 0 3 249.354 2.748 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)CC2CC2)nn1C ZINC000336745096 178231768 /nfs/dbraw/zinc/23/17/68/178231768.db2.gz QOILNLXACXTAND-GXSJLCMTSA-N 0 3 221.348 2.568 20 0 BFADHN CCC(CC)CNC(=O)[C@H](CC)N(CC)CC ZINC000346059030 168356727 /nfs/dbraw/zinc/35/67/27/168356727.db2.gz QNHZTSOXXXDPRP-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1cccc(CN(C)C2CCCC2)n1 ZINC000334290419 136225089 /nfs/dbraw/zinc/22/50/89/136225089.db2.gz LUJFAHWVEATFFU-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)Cn2ccnc2)cs1 ZINC000294300496 178232307 /nfs/dbraw/zinc/23/23/07/178232307.db2.gz UYLUXUHDKKNLQQ-ZYHUDNBSSA-N 0 3 249.383 2.992 20 0 BFADHN Cc1nocc1CN1CC[C@H](C)C[C@@H](C)C1 ZINC000334290467 136225128 /nfs/dbraw/zinc/22/51/28/136225128.db2.gz KZNZRUPKIANGPD-WDEREUQCSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)Cn2cccn2)c(C)o1 ZINC000037155966 178232867 /nfs/dbraw/zinc/23/28/67/178232867.db2.gz SSXQXJIPTZIXNC-ZYHUDNBSSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)Cn2cccn2)oc1C ZINC000337485961 178232899 /nfs/dbraw/zinc/23/28/99/178232899.db2.gz SMIIDLQJIJYXSW-VXGBXAGGSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2COCC2(C)C)c(C)o1 ZINC000313007227 178232940 /nfs/dbraw/zinc/23/29/40/178232940.db2.gz RAENRYLIBLODNM-MFKMUULPSA-N 0 3 237.343 2.972 20 0 BFADHN COCCC1CCN(Cc2ccc(C)cn2)CC1 ZINC000625630714 398233086 /nfs/dbraw/zinc/23/30/86/398233086.db2.gz KRFFVEGJPACRHF-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1cc([C@@H](N[C@@H]2CCOC2)C2CC2)ccc1F ZINC000271565977 178238000 /nfs/dbraw/zinc/23/80/00/178238000.db2.gz UZCOGFRFFKWPFO-HIFRSBDPSA-N 0 3 249.329 2.964 20 0 BFADHN Cc1cccc(CCN[C@@H](C)c2ccco2)n1 ZINC000280196302 538937037 /nfs/dbraw/zinc/93/70/37/538937037.db2.gz SPGNVYZNBGMNBC-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN c1ccc2nc(CNCC3CCCC3)cnc2c1 ZINC000037254905 361783455 /nfs/dbraw/zinc/78/34/55/361783455.db2.gz LNKLZBSAKJNPQA-UHFFFAOYSA-N 0 3 241.338 2.910 20 0 BFADHN COC(=O)c1csc(CN(C)CC(C)C)c1 ZINC000602437785 349524392 /nfs/dbraw/zinc/52/43/92/349524392.db2.gz LIUFMRSHLKCXOL-UHFFFAOYSA-N 0 3 241.356 2.623 20 0 BFADHN Cc1cc([C@H](C)NCC(C)(C)CCO)oc1C ZINC000282393020 178245237 /nfs/dbraw/zinc/24/52/37/178245237.db2.gz XOLDIURLTQCHBG-NSHDSACASA-N 0 3 239.359 2.956 20 0 BFADHN Cc1cc([C@H](C)NC2CCCCC2)nn1C ZINC000309578706 178245720 /nfs/dbraw/zinc/24/57/20/178245720.db2.gz PSQDXPJWOJJPNI-NSHDSACASA-N 0 3 221.348 2.712 20 0 BFADHN Cc1cc([C@H](C)NCCN2CCCC2)oc1C ZINC000337388102 178246262 /nfs/dbraw/zinc/24/62/62/178246262.db2.gz JCOKUCGUAFQCAO-LBPRGKRZSA-N 0 3 236.359 2.643 20 0 BFADHN CN(C)CCOc1cccc(-c2cccnc2)c1 ZINC000649430663 398233684 /nfs/dbraw/zinc/23/36/84/398233684.db2.gz LDXQSLKFNROHBC-UHFFFAOYSA-N 0 3 242.322 2.689 20 0 BFADHN Cc1cc([C@H](C)NCCCC(C)C)nn1C ZINC000309537930 178247024 /nfs/dbraw/zinc/24/70/24/178247024.db2.gz DDHHHTURIRAFIZ-LBPRGKRZSA-N 0 3 223.364 2.815 20 0 BFADHN Cc1cc([C@H](C)NCCC2(C)CC2)nn1C ZINC000309457121 178247155 /nfs/dbraw/zinc/24/71/55/178247155.db2.gz NXLPFJHXTRZOCW-NSHDSACASA-N 0 3 221.348 2.569 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](O)C(C)C)oc1C ZINC000282093100 178247838 /nfs/dbraw/zinc/24/78/38/178247838.db2.gz IFIFJBJMKQGIOP-CMPLNLGQSA-N 0 3 225.332 2.564 20 0 BFADHN Cc1cc([C@H](C)NCCc2cnn(C)c2)c(C)o1 ZINC000128166301 178248245 /nfs/dbraw/zinc/24/82/45/178248245.db2.gz SCCJQIBFDHOBNF-NSHDSACASA-N 0 3 247.342 2.523 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](C)CCO)oc1C ZINC000282353456 178248473 /nfs/dbraw/zinc/24/84/73/178248473.db2.gz VVWMKNRRJKKJAG-ONGXEEELSA-N 0 3 225.332 2.566 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2nccnc2C)o1 ZINC000525858358 398233937 /nfs/dbraw/zinc/23/39/37/398233937.db2.gz LKFYYGHIZLPLHT-NSHDSACASA-N 0 3 245.326 2.580 20 0 BFADHN CC(C)c1cc(CN[C@H](C)C2CC2)on1 ZINC000165328678 538935535 /nfs/dbraw/zinc/93/55/35/538935535.db2.gz GRKPYVCZKHTWMI-SECBINFHSA-N 0 3 208.305 2.686 20 0 BFADHN COc1cc(C)nc(CN[C@H](C)C2CCC2)c1 ZINC000165389379 538935636 /nfs/dbraw/zinc/93/56/36/538935636.db2.gz GXPGWDALEVDEDJ-LLVKDONJSA-N 0 3 234.343 2.677 20 0 BFADHN CC(C)c1cc(CN[C@@H](C)C2CC2)on1 ZINC000165328551 538935645 /nfs/dbraw/zinc/93/56/45/538935645.db2.gz GRKPYVCZKHTWMI-VIFPVBQESA-N 0 3 208.305 2.686 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CCCOC2)oc1C ZINC000282337650 178250959 /nfs/dbraw/zinc/25/09/59/178250959.db2.gz VBPZHGICYAMUDK-WCQYABFASA-N 0 3 237.343 2.974 20 0 BFADHN Cc1cc([C@H](C)NC[C@@](C)(O)C(C)C)oc1C ZINC000337517591 178251037 /nfs/dbraw/zinc/25/10/37/178251037.db2.gz MUBKWNAGGGFUOS-SMDDNHRTSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)CCCO)oc1C ZINC000281997777 178252174 /nfs/dbraw/zinc/25/21/74/178252174.db2.gz CUUVRNIERBHKMC-QWRGUYRKSA-N 0 3 225.332 2.708 20 0 BFADHN CCC(CC)CNCc1cccnc1OC ZINC000037408112 168362177 /nfs/dbraw/zinc/36/21/77/168362177.db2.gz WBGIXDOOEPTNRP-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC[C@@H](C)C2)nn1C ZINC000336727657 178255672 /nfs/dbraw/zinc/25/56/72/178255672.db2.gz CCLQFCHRNYPSRO-ADEWGFFLSA-N 0 3 221.348 2.568 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCCC[C@H]2C)nn1C ZINC000337401168 178257423 /nfs/dbraw/zinc/25/74/23/178257423.db2.gz PTOPDYJCVOPKOD-WXHSDQCUSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCC[C@@H]2C)no1 ZINC000282876753 178257865 /nfs/dbraw/zinc/25/78/65/178257865.db2.gz VLLULVBVKQFWEQ-LSJOCFKGSA-N 0 3 208.305 2.822 20 0 BFADHN Cc1cc([C@H]2CCCN2CC2CC2)no1 ZINC000360900207 178267234 /nfs/dbraw/zinc/26/72/34/178267234.db2.gz GMLMGCNXTGXUDJ-GFCCVEGCSA-N 0 3 206.289 2.530 20 0 BFADHN Cc1cc([C@H]2CCCN2C[Si](C)(C)C)no1 ZINC000364167991 178267263 /nfs/dbraw/zinc/26/72/63/178267263.db2.gz OVWHACFOVKZEPY-GFCCVEGCSA-N 0 3 238.407 2.997 20 0 BFADHN CCC(CC)CNCc1cc(C(=O)OC)co1 ZINC000168904209 168361655 /nfs/dbraw/zinc/36/16/55/168361655.db2.gz NQIIKZSVSMGVCL-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN CCC(CC)CNCc1cnccc1OC ZINC000292831099 168361980 /nfs/dbraw/zinc/36/19/80/168361980.db2.gz XTPZQTFZNXYTMT-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN Cc1cc(CN)cc(NC(=O)CCC(C)C)c1 ZINC000322970902 259308099 /nfs/dbraw/zinc/30/80/99/259308099.db2.gz YLAPTCZAAPKEGQ-UHFFFAOYSA-N 0 3 234.343 2.828 20 0 BFADHN C[C@@H](Cc1cccs1)N[C@@H](C)c1ncc[nH]1 ZINC000285640403 538941544 /nfs/dbraw/zinc/94/15/44/538941544.db2.gz LSXFFDXDPULOFF-UWVGGRQHSA-N 0 3 235.356 2.753 20 0 BFADHN C[C@@H](O)[C@H](C)N[C@@H](C)c1ccc(F)cc1Cl ZINC000336775830 398241017 /nfs/dbraw/zinc/24/10/17/398241017.db2.gz CUGWLBGBQCMKOP-XHNCKOQMSA-N 0 3 245.725 2.899 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@@H]1NCc1ncccn1 ZINC000576650013 365650787 /nfs/dbraw/zinc/65/07/87/365650787.db2.gz WHBOCNKIUUKBKE-UPJWGTAASA-N 0 3 233.359 2.781 20 0 BFADHN C[C@H](N[C@H]1C[C@@H]1Cc1ccccc1)c1ncc[nH]1 ZINC000286174738 538943392 /nfs/dbraw/zinc/94/33/92/538943392.db2.gz QBDOEQIDUACGCN-UBHSHLNASA-N 0 3 241.338 2.692 20 0 BFADHN CCCN(Cc1cc(C)nn1C)CC(C)C ZINC000417714329 398253645 /nfs/dbraw/zinc/25/36/45/398253645.db2.gz IKEXJPNMRSIOOM-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN Cc1ccc(-c2ccc(CN[C@H](C)CO)o2)cc1 ZINC000237392645 178323986 /nfs/dbraw/zinc/32/39/86/178323986.db2.gz NREQPRHOHWICCY-GFCCVEGCSA-N 0 3 245.322 2.725 20 0 BFADHN Cc1nnsc1CN1CCCC(C)(C)CC1 ZINC000576693010 365658672 /nfs/dbraw/zinc/65/86/72/365658672.db2.gz RMDRIRPDKYOMIO-UHFFFAOYSA-N 0 3 239.388 2.859 20 0 BFADHN CC[C@@H](NCc1cncn1C)c1cccc(C)c1 ZINC000289614663 538945856 /nfs/dbraw/zinc/94/58/56/538945856.db2.gz DFXJHKMNYOGXCC-OAHLLOKOSA-N 0 3 243.354 2.969 20 0 BFADHN FCCCCNCc1nc2c(s1)CCCC2 ZINC000381498131 398257662 /nfs/dbraw/zinc/25/76/62/398257662.db2.gz BRNFQSYGROQZLB-UHFFFAOYSA-N 0 3 242.363 2.861 20 0 BFADHN COC1(C)CN(c2ccnc3ccc(C)cc32)C1 ZINC000428134222 398258477 /nfs/dbraw/zinc/25/84/77/398258477.db2.gz IXGPBKRLVSGZNK-UHFFFAOYSA-N 0 3 242.322 2.768 20 0 BFADHN CC(C)=CCCN[C@H](C)c1cncnc1C ZINC000576714896 365667445 /nfs/dbraw/zinc/66/74/45/365667445.db2.gz FWUOANQGVDXMGF-LLVKDONJSA-N 0 3 219.332 2.792 20 0 BFADHN COC(=O)c1csc(CN2CCC[C@H]2C)c1 ZINC000602466924 349539933 /nfs/dbraw/zinc/53/99/33/349539933.db2.gz FXEZVSZLZJHYFP-SECBINFHSA-N 0 3 239.340 2.519 20 0 BFADHN CC[C@H](NCc1cnns1)C1CCCCC1 ZINC000381666564 398254868 /nfs/dbraw/zinc/25/48/68/398254868.db2.gz VQEBCDKJTYGIJH-LBPRGKRZSA-N 0 3 239.388 2.987 20 0 BFADHN C[C@H](N[C@H]1CCS[C@@H]1C)c1ccc(F)cn1 ZINC000381870959 398255367 /nfs/dbraw/zinc/25/53/67/398255367.db2.gz YTHOFZGIKHTRBS-SBMIAAHKSA-N 0 3 240.347 2.765 20 0 BFADHN C[C@]1(c2ccccc2)CCN(Cc2c[nH]cn2)C1 ZINC000334539284 136231007 /nfs/dbraw/zinc/23/10/07/136231007.db2.gz XYMXRBCVECJGKQ-HNNXBMFYSA-N 0 3 241.338 2.573 20 0 BFADHN C[C@]1(c2ccccc2)CCN(Cc2cnc[nH]2)C1 ZINC000334539284 136231008 /nfs/dbraw/zinc/23/10/08/136231008.db2.gz XYMXRBCVECJGKQ-HNNXBMFYSA-N 0 3 241.338 2.573 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H]1C[C@@H]1C(F)F ZINC000378754072 346825239 /nfs/dbraw/zinc/82/52/39/346825239.db2.gz WVUYANAYKUTWEE-YWVKMMECSA-N 0 3 226.270 2.694 20 0 BFADHN CCc1nn(C)cc1CN(C)C1CCC(C)CC1 ZINC000179602804 538955673 /nfs/dbraw/zinc/95/56/73/538955673.db2.gz XFMFFWYMMDHFOU-UHFFFAOYSA-N 0 3 249.402 2.993 20 0 BFADHN Cc1cc(CNC[C@H]2CCCCC2(F)F)on1 ZINC000381638768 398268176 /nfs/dbraw/zinc/26/81/76/398268176.db2.gz WQDKLWZHJAKNQM-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN CCCn1nccc1CN(CC)CCC1CC1 ZINC000667988867 488178146 /nfs/dbraw/zinc/17/81/46/488178146.db2.gz WLACUNLXCNROOQ-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@H]1O)c1ccc(Cl)s1 ZINC000378809263 346840289 /nfs/dbraw/zinc/84/02/89/346840289.db2.gz YYTUGHIYXMVSJK-DJLDLDEBSA-N 0 3 245.775 2.966 20 0 BFADHN C/C=C/CNCc1cc(F)c(F)c(F)c1 ZINC000384720095 344000633 /nfs/dbraw/zinc/00/06/33/344000633.db2.gz GYWRXJWMVBPYJJ-NSCUHMNNSA-N 0 3 215.218 2.770 20 0 BFADHN C[C@@H](NC1(C2CC2)CC1)c1ccncc1F ZINC000378849044 346846794 /nfs/dbraw/zinc/84/67/94/346846794.db2.gz CMDUVRWZFJICTL-SECBINFHSA-N 0 3 220.291 2.814 20 0 BFADHN c1ccc2[nH]c(CN[C@H]3CCC[C@@H]4C[C@@H]43)nc2c1 ZINC000378878490 346852186 /nfs/dbraw/zinc/85/21/86/346852186.db2.gz CWBSRURKUYSJFH-WOPDTQHZSA-N 0 3 241.338 2.841 20 0 BFADHN CCc1cnc(CN[C@@H]2CC[C@@H](C)C2)s1 ZINC000164905249 361834108 /nfs/dbraw/zinc/83/41/08/361834108.db2.gz DPNPXGNIATWKEH-NXEZZACHSA-N 0 3 224.373 2.984 20 0 BFADHN Cc1ccccc1CCNCc1ccncc1F ZINC000449058158 398274287 /nfs/dbraw/zinc/27/42/87/398274287.db2.gz GUSKKPDHTHGEHT-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN Fc1cnccc1CNCCC1CCCC1 ZINC000449077853 398275000 /nfs/dbraw/zinc/27/50/00/398275000.db2.gz ZIFMXCGSLCYTGW-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN CN(Cc1cc2n(n1)CCC2)C1CCCCCC1 ZINC000649476745 398275040 /nfs/dbraw/zinc/27/50/40/398275040.db2.gz PPEMYLXZVVRTCP-UHFFFAOYSA-N 0 3 247.386 2.984 20 0 BFADHN c1csc(CN[C@@H]2CCC23CCCC3)n1 ZINC000189075866 346857335 /nfs/dbraw/zinc/85/73/35/346857335.db2.gz KILIKYXKTYKPOL-SNVBAGLBSA-N 0 3 222.357 2.956 20 0 BFADHN c1csc(CN[C@H]2CCC23CCCC3)n1 ZINC000189075891 346857620 /nfs/dbraw/zinc/85/76/20/346857620.db2.gz KILIKYXKTYKPOL-JTQLQIEISA-N 0 3 222.357 2.956 20 0 BFADHN OCCN[C@H]1CCc2c1ccc(Cl)c2Cl ZINC000166499467 361852053 /nfs/dbraw/zinc/85/20/53/361852053.db2.gz PQYAXNKEGOARQT-JTQLQIEISA-N 0 3 246.137 2.563 20 0 BFADHN CCSCCN1CC(Cc2cccs2)C1 ZINC000600216097 342707671 /nfs/dbraw/zinc/70/76/71/342707671.db2.gz SNKNFCOZJZVJRI-UHFFFAOYSA-N 0 3 241.425 2.976 20 0 BFADHN COC[C@H](NC1(c2cccc(F)c2)CC1)C1CC1 ZINC000182220350 538962120 /nfs/dbraw/zinc/96/21/20/538962120.db2.gz DHUOATBYBCLTJP-AWEZNQCLSA-N 0 3 249.329 2.829 20 0 BFADHN Cc1ccc2c(c1)OCCC[C@@H]2N[C@H]1CCOC1 ZINC000182231906 538962500 /nfs/dbraw/zinc/96/25/00/538962500.db2.gz GYRJVRMKNZQKHV-JSGCOSHPSA-N 0 3 247.338 2.587 20 0 BFADHN CC(C)c1ncc(CN[C@@H]2CCCOC2)s1 ZINC000165922176 361847518 /nfs/dbraw/zinc/84/75/18/361847518.db2.gz MNBDVUVKABAZPM-SNVBAGLBSA-N 0 3 240.372 2.535 20 0 BFADHN CCC(=O)CCCN(C)Cc1ccn(CC)c1 ZINC000649480008 398277561 /nfs/dbraw/zinc/27/75/61/398277561.db2.gz OFRCCEUCVDKHSH-UHFFFAOYSA-N 0 3 236.359 2.699 20 0 BFADHN CC[C@](C)(O)CNc1ccnc2ccccc21 ZINC000083421538 398281492 /nfs/dbraw/zinc/28/14/92/398281492.db2.gz DZEZGRLNZYYVAB-AWEZNQCLSA-N 0 3 230.311 2.808 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCCOCC2)o1 ZINC000083425310 398281572 /nfs/dbraw/zinc/28/15/72/398281572.db2.gz PFQXXKXFGSTQQC-NWDGAFQWSA-N 0 3 223.316 2.808 20 0 BFADHN CC[C@@H]1CN(C/C=C/c2ccncc2)CCS1 ZINC000495000726 529385648 /nfs/dbraw/zinc/38/56/48/529385648.db2.gz YEEJXORKGWYDMX-RDFMZFSFSA-N 0 3 248.395 2.922 20 0 BFADHN c1cc2cnccc2c(CN2CCSCC2)c1 ZINC000183025055 538965100 /nfs/dbraw/zinc/96/51/00/538965100.db2.gz XZEPVFMPWNCSGP-UHFFFAOYSA-N 0 3 244.363 2.784 20 0 BFADHN OCC1CCN(Cc2coc3ccccc23)CC1 ZINC000127056560 342732436 /nfs/dbraw/zinc/73/24/36/342732436.db2.gz AOVIPEGNNJWZTE-UHFFFAOYSA-N 0 3 245.322 2.637 20 0 BFADHN CCN(CC)CCC(=O)c1ccc(F)cc1 ZINC000004891658 342753803 /nfs/dbraw/zinc/75/38/03/342753803.db2.gz UDMQAYDYSACXAS-UHFFFAOYSA-N 0 3 223.291 2.740 20 0 BFADHN CC(C)n1cc(CN[C@@H]2CCC[C@@H](F)C2)cn1 ZINC000518183729 398282161 /nfs/dbraw/zinc/28/21/61/398282161.db2.gz LMSYSFGPNIQHPI-CHWSQXEVSA-N 0 3 239.338 2.834 20 0 BFADHN C[C@H]1CCC[C@@H](N(C)Cc2cc3n(n2)CCC3)C1 ZINC000649487466 398284767 /nfs/dbraw/zinc/28/47/67/398284767.db2.gz DNJIOQMCTOFYIB-GXTWGEPZSA-N 0 3 247.386 2.840 20 0 BFADHN CCN(Cc1cc2n(n1)CCC2)C1CCCCC1 ZINC000649487879 398285560 /nfs/dbraw/zinc/28/55/60/398285560.db2.gz SZYUJJDCCDPFRK-UHFFFAOYSA-N 0 3 247.386 2.984 20 0 BFADHN COC(C)(C)CCN[C@@H](C)c1nccs1 ZINC000230417577 259320029 /nfs/dbraw/zinc/32/00/29/259320029.db2.gz YVYOIUIQWXZABQ-VIFPVBQESA-N 0 3 228.361 2.609 20 0 BFADHN c1ncc(CNCCC2=CCCCCC2)cn1 ZINC000185406457 538970223 /nfs/dbraw/zinc/97/02/23/538970223.db2.gz DRYDXDDQDUEUAL-UHFFFAOYSA-N 0 3 231.343 2.847 20 0 BFADHN Fc1cnccc1CNC[C@@H]1CCCCS1 ZINC000449235134 398285855 /nfs/dbraw/zinc/28/58/55/398285855.db2.gz SZLCTZLNBBCNHM-NSHDSACASA-N 0 3 240.347 2.596 20 0 BFADHN CC(C)Oc1ncccc1CN[C@@H](C)C1CC1 ZINC000042391470 538977909 /nfs/dbraw/zinc/97/79/09/538977909.db2.gz WGUOLWREJWLDFP-NSHDSACASA-N 0 3 234.343 2.757 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1cscc1Cl ZINC000379586149 538978585 /nfs/dbraw/zinc/97/85/85/538978585.db2.gz QNSWDCLISLIGDY-YUMQZZPRSA-N 0 3 233.764 2.651 20 0 BFADHN CCC(CC)N[C@H](C)c1cc(C(=O)OC)co1 ZINC000278303478 168392868 /nfs/dbraw/zinc/39/28/68/168392868.db2.gz SCIDPKMLAFYVNI-SECBINFHSA-N 0 3 239.315 2.905 20 0 BFADHN CCC(CC)CN1CCC[C@@H]1c1nc(C)no1 ZINC000348809866 136239306 /nfs/dbraw/zinc/23/93/06/136239306.db2.gz PCFHNWXUWXOVDE-GFCCVEGCSA-N 0 3 237.347 2.951 20 0 BFADHN CC[C@@]1(NCc2cc(F)ccc2C)CCOC1 ZINC000378762863 538974139 /nfs/dbraw/zinc/97/41/39/538974139.db2.gz WOZBYGGBOFMZSA-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1cccc(CCN2CC=C(C)CC2)n1 ZINC000335464586 398289763 /nfs/dbraw/zinc/28/97/63/398289763.db2.gz WJDWPRCAPRVTBA-UHFFFAOYSA-N 0 3 216.328 2.585 20 0 BFADHN C[C@H]1Cc2ccccc2[C@@H]1NC1CSC1 ZINC000380255358 538987949 /nfs/dbraw/zinc/98/79/49/538987949.db2.gz QEADEMNMJOOPPZ-TVQRCGJNSA-N 0 3 219.353 2.625 20 0 BFADHN CC(C)CCOCCNCc1ccc(O)cc1 ZINC000045093798 538990912 /nfs/dbraw/zinc/99/09/12/538990912.db2.gz UWKGRMRVXBBOKD-UHFFFAOYSA-N 0 3 237.343 2.545 20 0 BFADHN Cc1ccc(CN[C@H]2CCC(F)(F)C2)o1 ZINC000379865127 538981176 /nfs/dbraw/zinc/98/11/76/538981176.db2.gz NTVSIWDEJBQLQV-VIFPVBQESA-N 0 3 215.243 2.865 20 0 BFADHN CCC[C@H](C)[C@H](CC)NCc1cocn1 ZINC000379923082 538982318 /nfs/dbraw/zinc/98/23/18/538982318.db2.gz JDHHSRDYGASKFD-JQWIXIFHSA-N 0 3 210.321 2.979 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NCCC1(F)CCC1 ZINC000381435649 539000793 /nfs/dbraw/zinc/00/07/93/539000793.db2.gz CMRLABQFYQWCJW-VIFPVBQESA-N 0 3 225.311 2.651 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1nc2c(s1)CCCC2 ZINC000381504412 539002674 /nfs/dbraw/zinc/00/26/74/539002674.db2.gz PQTQYDHHNIHDJN-ZJUUUORDSA-N 0 3 236.384 2.910 20 0 BFADHN C/C(=C/c1ccccc1)CN(C)CCN(C)C ZINC000022916741 342837558 /nfs/dbraw/zinc/83/75/58/342837558.db2.gz HUDDLZLXYHUOSJ-OWBHPGMISA-N 0 3 232.371 2.583 20 0 BFADHN OC[C@H](CC1CC1)NCc1ccccc1Cl ZINC000381846856 539007456 /nfs/dbraw/zinc/00/74/56/539007456.db2.gz RSTAJGJICOCLKM-LBPRGKRZSA-N 0 3 239.746 2.591 20 0 BFADHN CC(C)n1cc(CN[C@H]2CCC(F)(F)C2)cn1 ZINC000383938548 539012084 /nfs/dbraw/zinc/01/20/84/539012084.db2.gz DJYJSKLXCRAUCN-NSHDSACASA-N 0 3 243.301 2.741 20 0 BFADHN C/C=C\CN[C@H]1CCc2ccc(OC)cc21 ZINC000384726506 344005327 /nfs/dbraw/zinc/00/53/27/344005327.db2.gz IIUXTZLYLZLBEN-NQHOJNORSA-N 0 3 217.312 2.848 20 0 BFADHN CC[C@]1(C)CCN(Cc2cccnc2OC)C1 ZINC000488207439 529400260 /nfs/dbraw/zinc/40/02/60/529400260.db2.gz AVBRJWSNTMSUIR-CQSZACIVSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@H](NCc1cccc(Cl)c1F)C(C)(C)O ZINC000381362008 538999302 /nfs/dbraw/zinc/99/93/02/538999302.db2.gz DHTHYFDAJSTVTE-QMMMGPOBSA-N 0 3 245.725 2.728 20 0 BFADHN CO[C@H]1CCC[C@@H](NCc2occc2C)C1 ZINC000381401041 538999708 /nfs/dbraw/zinc/99/97/08/538999708.db2.gz QCCKSEOIUGDPST-NEPJUHHUSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@H](CN1CCCC1)Nc1ncc(C)s1 ZINC000381391801 538999895 /nfs/dbraw/zinc/99/98/95/538999895.db2.gz OIGGAIZGXWNQRD-LLVKDONJSA-N 0 3 239.388 2.738 20 0 BFADHN Cc1ccc(CN[C@H]2CCC(F)(F)C2)nc1 ZINC000390289132 539021052 /nfs/dbraw/zinc/02/10/52/539021052.db2.gz XYKDCLLIMFADAD-JTQLQIEISA-N 0 3 226.270 2.667 20 0 BFADHN C/C=C\CN[C@@H]1CCN(C(C)=O)c2ccccc21 ZINC000624199458 342842479 /nfs/dbraw/zinc/84/24/79/342842479.db2.gz PMSHPRWUPQIODD-VQTKUKTRSA-N 0 3 244.338 2.650 20 0 BFADHN CC(C)CN(C)Cc1cc(OC(C)C)ccn1 ZINC000649498036 398296440 /nfs/dbraw/zinc/29/64/40/398296440.db2.gz ALPGTCARFXMYGP-UHFFFAOYSA-N 0 3 236.359 2.957 20 0 BFADHN Cc1nnc(CN[C@H]2CCC[C@@H](C)CC2)s1 ZINC000391860456 398296727 /nfs/dbraw/zinc/29/67/27/398296727.db2.gz XLJSWUBUZZGCEP-KOLCDFICSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2cccs2)on1 ZINC000390645616 539026766 /nfs/dbraw/zinc/02/67/66/539026766.db2.gz MFKUYFNRFXFKNT-SECBINFHSA-N 0 3 236.340 2.765 20 0 BFADHN C[C@H](NCc1cc2ccccc2o1)C(C)(C)O ZINC000393971394 344007087 /nfs/dbraw/zinc/00/70/87/344007087.db2.gz IFUWLTJDWSZISY-JTQLQIEISA-N 0 3 233.311 2.682 20 0 BFADHN CC(C)c1ccc(NC(=O)[C@@H](N)C(C)C)cc1 ZINC000011958602 342845026 /nfs/dbraw/zinc/84/50/26/342845026.db2.gz NNNLLNIGZLXMST-ZDUSSCGKSA-N 0 3 234.343 2.732 20 0 BFADHN C[C@H](NCc1ccno1)[C@@H]1CC2CCC1CC2 ZINC000382047084 398300659 /nfs/dbraw/zinc/30/06/59/398300659.db2.gz FEXDTUCPCYVEJD-BBCYWQGDSA-N 0 3 234.343 2.979 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H](C)O[C@H]1C)c1cscn1 ZINC000581871854 342846360 /nfs/dbraw/zinc/84/63/60/342846360.db2.gz RAQXMZYFUWWMIE-VPOLOUISSA-N 0 3 240.372 2.607 20 0 BFADHN c1c(CN2CCC[C@H]2C2CC2)nn2c1CCCC2 ZINC000649508844 398301976 /nfs/dbraw/zinc/30/19/76/398301976.db2.gz HGARAMVZPQMTKA-HNNXBMFYSA-N 0 3 245.370 2.594 20 0 BFADHN COc1cccc(CNCC=C(C)C)c1OC ZINC000191632133 398302216 /nfs/dbraw/zinc/30/22/16/398302216.db2.gz IPQDNLDCPGYKIQ-UHFFFAOYSA-N 0 3 235.327 2.760 20 0 BFADHN CCCn1nccc1CNCCCCSC ZINC000164868961 342853448 /nfs/dbraw/zinc/85/34/48/342853448.db2.gz UMQDJNUDFYAOIT-UHFFFAOYSA-N 0 3 241.404 2.526 20 0 BFADHN Cc1ccoc1CN1CC[C@@H](COC(F)F)C1 ZINC000348874279 136242903 /nfs/dbraw/zinc/24/29/03/136242903.db2.gz HHNHQPITUMHRJV-SNVBAGLBSA-N 0 3 245.269 2.649 20 0 BFADHN CC(C)Cn1nccc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000648008817 398299815 /nfs/dbraw/zinc/29/98/15/398299815.db2.gz AAGJKMVQTSKUOB-OKILXGFUSA-N 0 3 247.386 2.771 20 0 BFADHN CCN(CC(=O)NCC(C)(C)C)[C@H](C)C(C)C ZINC000625109233 342861089 /nfs/dbraw/zinc/86/10/89/342861089.db2.gz VSZHFWILNKNOSS-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CC3CCC2CC3)o1 ZINC000382066505 398304315 /nfs/dbraw/zinc/30/43/15/398304315.db2.gz BJQSYWTYSBLGFA-BPCQOVAHSA-N 0 3 234.343 2.960 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CC3CCC2CC3)o1 ZINC000382066508 398304439 /nfs/dbraw/zinc/30/44/39/398304439.db2.gz BJQSYWTYSBLGFA-WXRRBKDZSA-N 0 3 234.343 2.960 20 0 BFADHN CN(Cc1ccc(Cl)nc1)[C@@H]1CCSC1 ZINC000037174785 398304887 /nfs/dbraw/zinc/30/48/87/398304887.db2.gz IFTLVKZMOGOMOX-SNVBAGLBSA-N 0 3 242.775 2.672 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@H]2CC(C)C)n1 ZINC000385889144 342867900 /nfs/dbraw/zinc/86/79/00/342867900.db2.gz YTAIHBQCWHTIID-DGCLKSJQSA-N 0 3 234.343 2.614 20 0 BFADHN CCC(CC)[C@H](O)CN[C@@H](C)c1cccnc1 ZINC000092748480 398305728 /nfs/dbraw/zinc/30/57/28/398305728.db2.gz RFBNHXVEIOVIHI-SMDDNHRTSA-N 0 3 236.359 2.529 20 0 BFADHN CCC(CC)[C@@H](O)CN[C@@H](C)c1cccnc1 ZINC000092748482 398305867 /nfs/dbraw/zinc/30/58/67/398305867.db2.gz RFBNHXVEIOVIHI-FZMZJTMJSA-N 0 3 236.359 2.529 20 0 BFADHN COc1cccc(Cl)c1CNC1CCC1 ZINC000132927973 398309033 /nfs/dbraw/zinc/30/90/33/398309033.db2.gz ZLUXVWKIFBJABM-UHFFFAOYSA-N 0 3 225.719 2.991 20 0 BFADHN Cc1ccc(CN2CCOC[C@@H](C)C2)s1 ZINC000336343301 398318122 /nfs/dbraw/zinc/31/81/22/398318122.db2.gz VMPXPGMKARWQBI-JTQLQIEISA-N 0 3 225.357 2.525 20 0 BFADHN Cc1cc(Cl)cc(CN(C)[C@@H]2CCOC2)c1 ZINC000351397971 136245680 /nfs/dbraw/zinc/24/56/80/136245680.db2.gz OGGBRNALTKFZFX-CYBMUJFWSA-N 0 3 239.746 2.869 20 0 BFADHN CCC(=O)CCCN1C[C@@H](C)S[C@@H](C)C1 ZINC000232866549 342886836 /nfs/dbraw/zinc/88/68/36/342886836.db2.gz HTEJWZJDXGPIAJ-PHIMTYICSA-N 0 3 229.389 2.572 20 0 BFADHN CCC(CC)N(Cc1cncc(OC)n1)C1CC1 ZINC000625135707 342887437 /nfs/dbraw/zinc/88/74/37/342887437.db2.gz WZWHFXRNKVUMFO-UHFFFAOYSA-N 0 3 249.358 2.638 20 0 BFADHN C[C@@H](NCc1cccc(F)c1F)C1CC1 ZINC000036888588 342913516 /nfs/dbraw/zinc/91/35/16/342913516.db2.gz YCKKIRJKCKTOHA-MRVPVSSYSA-N 0 3 211.255 2.853 20 0 BFADHN CCCC[C@H](CC)CNCc1cc[nH]n1 ZINC000036900143 342913138 /nfs/dbraw/zinc/91/31/38/342913138.db2.gz RFTNAELNHBDAIZ-NSHDSACASA-N 0 3 209.337 2.716 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000631699823 398324396 /nfs/dbraw/zinc/32/43/96/398324396.db2.gz BGNIYRHXEKGCDR-CIQGVGRVSA-N 0 3 248.370 2.861 20 0 BFADHN Cc1cc(CN2C[C@H](C)CCC[C@@H]2C)on1 ZINC000351520442 136247227 /nfs/dbraw/zinc/24/72/27/136247227.db2.gz RROWCPRCOCRXLY-PWSUYJOCSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@H](C)CN(CC)CC(=O)NCC(C)(C)C ZINC000625213127 342914966 /nfs/dbraw/zinc/91/49/66/342914966.db2.gz LCKAGLIOFMTWDO-LBPRGKRZSA-N 0 3 242.407 2.517 20 0 BFADHN CCO[C@H](CN(CC)Cc1ccccn1)C1CC1 ZINC000625223357 342920317 /nfs/dbraw/zinc/92/03/17/342920317.db2.gz NEIPFESHAMVFMR-OAHLLOKOSA-N 0 3 248.370 2.719 20 0 BFADHN COc1ccc(CN2CCC[C@@H](OC)C2)cc1C ZINC000271092130 187335860 /nfs/dbraw/zinc/33/58/60/187335860.db2.gz IHAIEBQEVFCSJH-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CC[C@@H]1C[C@@]1(NCCOC)c1cccc(C)c1 ZINC000502533020 529431244 /nfs/dbraw/zinc/43/12/44/529431244.db2.gz XLCCWCXCQPQUOI-HIFRSBDPSA-N 0 3 233.355 2.856 20 0 BFADHN C[C@H](CF)N[C@H]1CS[C@H](C(C)(C)C)C1 ZINC000308564289 346882824 /nfs/dbraw/zinc/88/28/24/346882824.db2.gz GZBPWVABYHPZHS-BBBLOLIVSA-N 0 3 219.369 2.854 20 0 BFADHN CC[C@]1(O)CCN(C/C=C/c2ccccc2)C1 ZINC000495190233 529440896 /nfs/dbraw/zinc/44/08/96/529440896.db2.gz IBVZGYLJSKIWJT-PPYMECGQSA-N 0 3 231.339 2.547 20 0 BFADHN COc1ccc(CN2CCC(C)(OC)CC2)cc1 ZINC000272387801 187336071 /nfs/dbraw/zinc/33/60/71/187336071.db2.gz UDOQQTLZISJNIR-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN C[C@@H]1CN(C[C@]2(C)CCCS2)CC(C)(C)O1 ZINC000628154380 398336190 /nfs/dbraw/zinc/33/61/90/398336190.db2.gz VBLHZGRQQGQYKN-YPMHNXCESA-N 0 3 243.416 2.771 20 0 BFADHN C[C@H](N[C@@H](C)C1CC1)c1cc2n(n1)CCCC2 ZINC000647280881 398339042 /nfs/dbraw/zinc/33/90/42/398339042.db2.gz JWLQUCVGDCPQLK-QWRGUYRKSA-N 0 3 233.359 2.669 20 0 BFADHN Cc1ccc(C)c(NC(=O)C2(N)CCCC2)c1 ZINC000037616037 398332631 /nfs/dbraw/zinc/33/26/31/398332631.db2.gz BKOUKJZWXFRATL-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN Cc1scc(CN[C@@H]2CO[C@H](C)C2)c1C ZINC000401848305 398333689 /nfs/dbraw/zinc/33/36/89/398333689.db2.gz YFRCQCKAPZOGOF-PELKAZGASA-N 0 3 225.357 2.632 20 0 BFADHN Cc1csc(CCNCc2occc2C)n1 ZINC000126658515 344013802 /nfs/dbraw/zinc/01/38/02/344013802.db2.gz RUDMLDZZBPAYDQ-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN COC[C@H](C)CN1Cc2ccccc2O[C@H](C)C1 ZINC000576807874 365690124 /nfs/dbraw/zinc/69/01/24/365690124.db2.gz ZJMVMXFVIRIBLP-CHWSQXEVSA-N 0 3 249.354 2.552 20 0 BFADHN C[C@H](N[C@H]1CC[C@H]1C)c1cc2n(n1)CCCC2 ZINC000647303510 398339958 /nfs/dbraw/zinc/33/99/58/398339958.db2.gz BBJGKBNFATZOJF-MDZLAQPJSA-N 0 3 233.359 2.669 20 0 BFADHN Fc1cc(Cl)ccc1CN[C@H]1CCCOC1 ZINC000133113460 398341282 /nfs/dbraw/zinc/34/12/82/398341282.db2.gz VRDUZDZUTXMWJG-NSHDSACASA-N 0 3 243.709 2.748 20 0 BFADHN CC1(C)CC[C@@H](CNCc2ccc(F)cc2)O1 ZINC000160197185 398343344 /nfs/dbraw/zinc/34/33/44/398343344.db2.gz AZXZMRPUTUWPHA-ZDUSSCGKSA-N 0 3 237.318 2.873 20 0 BFADHN O=C1CCN(C2CCCCCCC2)CC1 ZINC000019431890 342948622 /nfs/dbraw/zinc/94/86/22/342948622.db2.gz SZRIOULUSHMESZ-UHFFFAOYSA-N 0 3 209.333 2.764 20 0 BFADHN Cc1c(Cl)cccc1NC(=O)[C@H](N)C(C)C ZINC000019507077 342950134 /nfs/dbraw/zinc/95/01/34/342950134.db2.gz LYKMUXYXRRZPJR-LLVKDONJSA-N 0 3 240.734 2.570 20 0 BFADHN CCC[C@H](NCc1ncc[nH]1)C1CCCC1 ZINC000313388319 259334524 /nfs/dbraw/zinc/33/45/24/259334524.db2.gz BTIXUUQESSDGDE-LBPRGKRZSA-N 0 3 221.348 2.858 20 0 BFADHN CCC1(O)CCN(Cc2cccc(F)c2)CC1 ZINC000075858841 344018689 /nfs/dbraw/zinc/01/86/89/344018689.db2.gz JGUATLCLSAHETK-UHFFFAOYSA-N 0 3 237.318 2.563 20 0 BFADHN CCCCOCCN[C@H](C)c1ccccn1 ZINC000040506218 346905703 /nfs/dbraw/zinc/90/57/03/346905703.db2.gz HDYIDJABKVQGNY-GFCCVEGCSA-N 0 3 222.332 2.549 20 0 BFADHN CCc1cc(N2CCCC[C@H](COC)C2)ccn1 ZINC000450447018 529531128 /nfs/dbraw/zinc/53/11/28/529531128.db2.gz SBPJLQNPCYULTR-ZDUSSCGKSA-N 0 3 248.370 2.897 20 0 BFADHN Cc1cc(CN(C)CCc2cccs2)on1 ZINC000075966643 344019932 /nfs/dbraw/zinc/01/99/32/344019932.db2.gz SSEPEAFOPSLIFQ-UHFFFAOYSA-N 0 3 236.340 2.719 20 0 BFADHN Cc1ccncc1CN(C)CC1CCC1 ZINC000353362172 187209318 /nfs/dbraw/zinc/20/93/18/187209318.db2.gz FENYYFZLGDAINW-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CO[C@@H]1CN(Cc2ccsc2)CC[C@@H]1C ZINC000249168380 536733422 /nfs/dbraw/zinc/73/34/22/536733422.db2.gz KRCYXTSXORDEFH-CMPLNLGQSA-N 0 3 225.357 2.605 20 0 BFADHN CCSC1(CNCc2ccns2)CC1 ZINC000602713975 349705349 /nfs/dbraw/zinc/70/53/49/349705349.db2.gz RCJLJJYEBJAWET-UHFFFAOYSA-N 0 3 228.386 2.518 20 0 BFADHN CC(C)[C@@H]1C[C@H](N[C@H]2CCC[C@H]2F)CS1 ZINC000354652768 187211083 /nfs/dbraw/zinc/21/10/83/187211083.db2.gz KBKCCZLAHYSBJM-USZNOCQGSA-N 0 3 231.380 2.997 20 0 BFADHN COc1ccc(CNC[C@@H]2CC2(C)C)c(F)c1 ZINC000234923838 342965869 /nfs/dbraw/zinc/96/58/69/342965869.db2.gz DNYYVKSCCZWBOL-NSHDSACASA-N 0 3 237.318 2.970 20 0 BFADHN Cc1ccc(CN[C@@H](C)CCCO)c(Cl)c1 ZINC000235093327 342977215 /nfs/dbraw/zinc/97/72/15/342977215.db2.gz PHAZPGUZWCTJPJ-NSHDSACASA-N 0 3 241.762 2.899 20 0 BFADHN Cc1cc([C@@H]2CCCN2CC[C@@H](C)F)on1 ZINC000351851693 136257529 /nfs/dbraw/zinc/25/75/29/136257529.db2.gz JNCBNAAUMVWSOZ-KOLCDFICSA-N 0 3 226.295 2.868 20 0 BFADHN Fc1ccc(CNC[C@H]2CCCO2)c(Cl)c1 ZINC000020055565 342967386 /nfs/dbraw/zinc/96/73/86/342967386.db2.gz DLDIQAFKSXQXTQ-LLVKDONJSA-N 0 3 243.709 2.748 20 0 BFADHN CCSCCN[C@@H](C)c1cnc(C)s1 ZINC000602736177 349720763 /nfs/dbraw/zinc/72/07/63/349720763.db2.gz NDJDAABDSGKJQI-QMMMGPOBSA-N 0 3 230.402 2.855 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCN1CCCCC1 ZINC000162444382 349708873 /nfs/dbraw/zinc/70/88/73/349708873.db2.gz CBXSJHFKJFAPIR-CQSZACIVSA-N 0 3 247.386 2.560 20 0 BFADHN CCN(CC)C(=O)[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000020368004 342984053 /nfs/dbraw/zinc/98/40/53/342984053.db2.gz FDGHUKOLFPUFEI-QWHCGFSZSA-N 0 3 248.370 2.594 20 0 BFADHN CSC1CCN(Cc2ccncc2C)CC1 ZINC000602724771 349714326 /nfs/dbraw/zinc/71/43/26/349714326.db2.gz BHSIUVGCOCCRRZ-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1ccc(NC(=O)[C@H](N)C(C)C)c(Cl)c1 ZINC000020471409 342986208 /nfs/dbraw/zinc/98/62/08/342986208.db2.gz FXPRXTLBNKCUBF-LLVKDONJSA-N 0 3 240.734 2.570 20 0 BFADHN C=Cn1cc(CN[C@@H](C)Cc2ccsc2)cn1 ZINC000235132311 342987784 /nfs/dbraw/zinc/98/77/84/342987784.db2.gz AQDWWQOKLWQZMQ-NSHDSACASA-N 0 3 247.367 2.766 20 0 BFADHN CC(=O)CCN1CC[C@@H](c2cccc(C)c2)C1 ZINC000273122022 187336680 /nfs/dbraw/zinc/33/66/80/187336680.db2.gz RNTQQUPRLPCRHX-OAHLLOKOSA-N 0 3 231.339 2.763 20 0 BFADHN Cc1ccc(CN[C@@H](C)C[C@@H](C)O)c(Cl)c1 ZINC000235244402 342999100 /nfs/dbraw/zinc/99/91/00/342999100.db2.gz SRRUJYMVMAXHCG-WDEREUQCSA-N 0 3 241.762 2.897 20 0 BFADHN CC(C)SCCNCc1ccncc1Cl ZINC000308794525 346914154 /nfs/dbraw/zinc/91/41/54/346914154.db2.gz IMLNPGGKAMWJHB-UHFFFAOYSA-N 0 3 244.791 2.966 20 0 BFADHN CCn1ccnc1CN1CC(C)(C)[C@H]2CCC[C@H]21 ZINC000335902419 136259790 /nfs/dbraw/zinc/25/97/90/136259790.db2.gz OHUCFDBWBGAUHX-QWHCGFSZSA-N 0 3 247.386 2.914 20 0 BFADHN C1=C[C@H](N2CCC[C@H]3COCC[C@@H]32)CCC1 ZINC000362447547 187219188 /nfs/dbraw/zinc/21/91/88/187219188.db2.gz CFYVRWQLJSOVSW-IHRRRGAJSA-N 0 3 221.344 2.596 20 0 BFADHN CCCC[C@@H](CC)CN1CCC[C@@H]1C(=O)OC ZINC000050048526 343021074 /nfs/dbraw/zinc/02/10/74/343021074.db2.gz KIDFIAPBQNNNKX-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN CCCC[C@H](CC)CN1CCC[C@@H]1C(=O)OC ZINC000050048528 343021319 /nfs/dbraw/zinc/02/13/19/343021319.db2.gz KIDFIAPBQNNNKX-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H](CO)CN[C@H](C)c1ccc(F)cc1Cl ZINC000083219389 349748720 /nfs/dbraw/zinc/74/87/20/349748720.db2.gz CNVXTCUQDVINPB-RKDXNWHRSA-N 0 3 245.725 2.758 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cnc(C)nc2)C1 ZINC000351872365 136260934 /nfs/dbraw/zinc/26/09/34/136260934.db2.gz XQIIRWRUEYAPTI-CYBMUJFWSA-N 0 3 233.359 2.797 20 0 BFADHN C=Cn1cc(CN[C@H](C)Cc2ccsc2)cn1 ZINC000235483450 343039664 /nfs/dbraw/zinc/03/96/64/343039664.db2.gz AQDWWQOKLWQZMQ-LLVKDONJSA-N 0 3 247.367 2.766 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H](C)[C@@H](C)OC ZINC000467377648 529622352 /nfs/dbraw/zinc/62/23/52/529622352.db2.gz QNLNPJFSHYQEFU-QJPTWQEYSA-N 0 3 236.359 2.718 20 0 BFADHN CC(C)(C)OC(=O)CCN1C[C@H]2CCCC[C@H]21 ZINC000367994216 187221367 /nfs/dbraw/zinc/22/13/67/187221367.db2.gz JQUQUIXXRYLULW-VXGBXAGGSA-N 0 3 239.359 2.593 20 0 BFADHN CCN(C#N)CCN[C@H](C)c1ccc(F)c(C)c1 ZINC000602806458 349769842 /nfs/dbraw/zinc/76/98/42/349769842.db2.gz UCHQTTCSOZWYHQ-GFCCVEGCSA-N 0 3 249.333 2.588 20 0 BFADHN CCN(C#N)CCN[C@H](C)c1ccc(C)c(F)c1 ZINC000602807368 349770801 /nfs/dbraw/zinc/77/08/01/349770801.db2.gz YHILNBPWHAGDJR-GFCCVEGCSA-N 0 3 249.333 2.588 20 0 BFADHN Cc1ccc(CN[C@@H](C)C[C@H](C)O)c(Cl)c1 ZINC000235534370 343048372 /nfs/dbraw/zinc/04/83/72/343048372.db2.gz SRRUJYMVMAXHCG-QWRGUYRKSA-N 0 3 241.762 2.897 20 0 BFADHN C(=C/c1ccccc1)\CN1CCC12CCOCC2 ZINC000376501214 187225682 /nfs/dbraw/zinc/22/56/82/187225682.db2.gz OETDYHGQPHXKBX-QPJJXVBHSA-N 0 3 243.350 2.955 20 0 BFADHN CC[C@@H](C)N(CC(=O)OC(C)(C)C)CC1CC1 ZINC000136763693 323032402 /nfs/dbraw/zinc/03/24/02/323032402.db2.gz DVWQTRFJDFXUHQ-LLVKDONJSA-N 0 3 241.375 2.839 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3CC[C@H]3C)cn2c1 ZINC000336626810 136264464 /nfs/dbraw/zinc/26/44/64/136264464.db2.gz LGQPJYMBMLEJMS-DGCLKSJQSA-N 0 3 229.327 2.531 20 0 BFADHN CC[C@H](C)CN(CC)[C@@H](CC(C)C)C(=O)OC ZINC000509682335 529658070 /nfs/dbraw/zinc/65/80/70/529658070.db2.gz NYTUFRVCUZZPKM-STQMWFEESA-N 0 3 243.391 2.942 20 0 BFADHN CC(C)CCC(=O)Nc1ccc2c(c1)CNC2 ZINC000386457604 343065245 /nfs/dbraw/zinc/06/52/45/343065245.db2.gz MWHSBSITZUYHMT-UHFFFAOYSA-N 0 3 232.327 2.665 20 0 BFADHN Cc1nccnc1CN[C@@H]1CC[C@@H](C)C[C@@H]1C ZINC000621335127 343066800 /nfs/dbraw/zinc/06/68/00/343066800.db2.gz BOYDHPPGIRBFKS-NTZNESFSSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1nccnc1CN[C@@H]1CC[C@H](C)C[C@@H]1C ZINC000621335125 343067016 /nfs/dbraw/zinc/06/70/16/343067016.db2.gz BOYDHPPGIRBFKS-GMXVVIOVSA-N 0 3 233.359 2.699 20 0 BFADHN CC1(CNCc2cnccn2)CCCCCC1 ZINC000576837352 365701235 /nfs/dbraw/zinc/70/12/35/365701235.db2.gz KKXYJUMDOOTPDQ-UHFFFAOYSA-N 0 3 233.359 2.927 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C3CC3)C2)s1 ZINC000336626818 136264470 /nfs/dbraw/zinc/26/44/70/136264470.db2.gz MXPZIIIUAAUTKJ-LLVKDONJSA-N 0 3 222.357 2.683 20 0 BFADHN CCN1CCN(C[C@H](C)C2CCCCC2)CC1 ZINC000576840566 365702203 /nfs/dbraw/zinc/70/22/03/365702203.db2.gz IZWNLOBMPHHYKN-AWEZNQCLSA-N 0 3 238.419 2.840 20 0 BFADHN CN1CCCN(Cc2cscc2Cl)CC1 ZINC000678118159 488198724 /nfs/dbraw/zinc/19/87/24/488198724.db2.gz MKHLKKARLBQUCQ-UHFFFAOYSA-N 0 3 244.791 2.539 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1cnc2ccccc2n1 ZINC000383430858 362023733 /nfs/dbraw/zinc/02/37/33/362023733.db2.gz DEZXXXYEKIJIGQ-JQWIXIFHSA-N 0 3 227.311 2.518 20 0 BFADHN CC(C)C[C@H](C)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000345350543 187232558 /nfs/dbraw/zinc/23/25/58/187232558.db2.gz HUKXMBXLWXUDEO-QJPTWQEYSA-N 0 3 221.348 2.913 20 0 BFADHN CC(C)(C)OC1CC(N[C@H]2CCC[C@H]2F)C1 ZINC000340530351 187232650 /nfs/dbraw/zinc/23/26/50/187232650.db2.gz MURAKAGJBQBLFH-HCWSGVFWSA-N 0 3 229.339 2.813 20 0 BFADHN CCC(O)(CC)CN[C@H](C)c1cc(C)ccn1 ZINC000285908259 168424941 /nfs/dbraw/zinc/42/49/41/168424941.db2.gz DBBFMFIFHNBZDF-GFCCVEGCSA-N 0 3 236.359 2.592 20 0 BFADHN CN[C@H](CC(C)(C)C)C(=O)Nc1ccc(C)cc1 ZINC000387602434 346928267 /nfs/dbraw/zinc/92/82/67/346928267.db2.gz WGLBBSCZKCAGOH-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN CC(C)[C@H](N[C@H](C)c1ccco1)C(C)(C)O ZINC000276756493 187239469 /nfs/dbraw/zinc/23/94/69/187239469.db2.gz IKOJIXDYNXVAJW-PWSUYJOCSA-N 0 3 225.332 2.726 20 0 BFADHN Cc1csc(CN[C@@H](C)Cc2ccoc2)n1 ZINC000084961431 343134691 /nfs/dbraw/zinc/13/46/91/343134691.db2.gz GOLQJDXDTATQBV-VIFPVBQESA-N 0 3 236.340 2.765 20 0 BFADHN COc1ccccc1CNCCC1(OC)CCC1 ZINC000293077430 187240957 /nfs/dbraw/zinc/24/09/57/187240957.db2.gz VXPDCZSOCJMSDY-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H](C)O[C@H]2C)cs1 ZINC000394988052 362116799 /nfs/dbraw/zinc/11/67/99/362116799.db2.gz BKECQKGDKACDJQ-PTOFAABTSA-N 0 3 225.357 2.712 20 0 BFADHN CC(C)C[C@@H](CN(C)C)N[C@H](C)c1ccoc1 ZINC000094868846 343154081 /nfs/dbraw/zinc/15/40/81/343154081.db2.gz ORZMIXGOVFWWEW-OCCSQVGLSA-N 0 3 238.375 2.907 20 0 BFADHN CC[C@H](C)N(CC(=O)OC)CC1CCCCC1 ZINC000499794182 529693764 /nfs/dbraw/zinc/69/37/64/529693764.db2.gz DCBKLZDNODEQCQ-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cccc(O)c2)CCCO1 ZINC000294014432 187242073 /nfs/dbraw/zinc/24/20/73/187242073.db2.gz NARRCHRAMLOSBF-HNNXBMFYSA-N 0 3 249.354 2.639 20 0 BFADHN CCC1(CN[C@H](C)c2cncnc2C)CC1 ZINC000576956864 365732350 /nfs/dbraw/zinc/73/23/50/365732350.db2.gz IQRVXFJYFIEOLT-SNVBAGLBSA-N 0 3 219.332 2.626 20 0 BFADHN CC1(C)OCC[C@H]1NCc1ccc(F)cc1F ZINC000293962355 187242263 /nfs/dbraw/zinc/24/22/63/187242263.db2.gz ZYVPRMWNFWSFRI-GFCCVEGCSA-N 0 3 241.281 2.622 20 0 BFADHN CC[C@H](C)CNC(=O)c1ccc(CN(C)C)cc1 ZINC000421583451 192357271 /nfs/dbraw/zinc/35/72/71/192357271.db2.gz YFPASFOUWKBESV-LBPRGKRZSA-N 0 3 248.370 2.524 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCCCF)o1 ZINC000124413833 343167366 /nfs/dbraw/zinc/16/73/66/343167366.db2.gz OCYQMICKJHDTQL-KOLCDFICSA-N 0 3 211.280 2.852 20 0 BFADHN C[C@H]1C[C@H]1CN(Cc1ccncc1)C1CC1 ZINC000625269350 343167980 /nfs/dbraw/zinc/16/79/80/343167980.db2.gz FGZGGBHOYHZEFI-AAEUAGOBSA-N 0 3 216.328 2.702 20 0 BFADHN CC[C@H](O)CN[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000162395722 343205031 /nfs/dbraw/zinc/20/50/31/343205031.db2.gz QIKYKHSBGZLNNA-WPRPVWTQSA-N 0 3 245.725 2.901 20 0 BFADHN FC(F)(F)[C@H]1CCCN(C2CCC2)C1 ZINC000189347966 343214209 /nfs/dbraw/zinc/21/42/09/343214209.db2.gz GQMIQBUTEFWELR-QMMMGPOBSA-N 0 3 207.239 2.813 20 0 BFADHN C[C@@H](CCC1CC1)NCc1ccccn1 ZINC000336767289 136276106 /nfs/dbraw/zinc/27/61/06/136276106.db2.gz SVTNNHASYCLKEA-NSHDSACASA-N 0 3 204.317 2.750 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccn1C)C(C)(C)C ZINC000133884301 343186453 /nfs/dbraw/zinc/18/64/53/343186453.db2.gz ZIMLUGDEHNVFKT-VHSXEESVSA-N 0 3 209.337 2.505 20 0 BFADHN Cc1ccc(CN[C@H](C)C(C)(C)C)nc1 ZINC000133280621 343190197 /nfs/dbraw/zinc/19/01/97/343190197.db2.gz BYFIETRMEDUGFS-LLVKDONJSA-N 0 3 206.333 2.914 20 0 BFADHN CCO[C@H](CN(C)[C@H](C)c1ccccn1)C1CC1 ZINC000625272865 343193024 /nfs/dbraw/zinc/19/30/24/343193024.db2.gz WUWWLZDAHJAEBM-IUODEOHRSA-N 0 3 248.370 2.890 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cncc(OC)n1 ZINC000625323703 343232798 /nfs/dbraw/zinc/23/27/98/343232798.db2.gz IWDXPZPUFCVXOC-CYBMUJFWSA-N 0 3 249.358 2.640 20 0 BFADHN CCO[C@@H](CN[C@@H](C)c1cnccc1C)C1CC1 ZINC000625320801 343234329 /nfs/dbraw/zinc/23/43/29/343234329.db2.gz ZOBNFJGGJRWKAN-WFASDCNBSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@@H](NC[C@@H]1CC(C)(C)CO1)c1cccc(O)c1 ZINC000634686725 343235096 /nfs/dbraw/zinc/23/50/96/343235096.db2.gz QMVPTEGEEYBEOJ-RISCZKNCSA-N 0 3 249.354 2.858 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1cncc(OC)n1 ZINC000625329477 343235266 /nfs/dbraw/zinc/23/52/66/343235266.db2.gz HUNNVHQDWXFIAZ-DGCLKSJQSA-N 0 3 249.358 2.544 20 0 BFADHN Nc1cccc(CN2CCc3sccc3C2)c1 ZINC000019407311 343248443 /nfs/dbraw/zinc/24/84/43/343248443.db2.gz ZZHVPVOWGJXOPT-UHFFFAOYSA-N 0 3 244.363 2.889 20 0 BFADHN CC(C)[C@H](NCc1cnn(C)c1)c1ccccc1 ZINC000035016311 343283264 /nfs/dbraw/zinc/28/32/64/343283264.db2.gz PQHYPTVDPGDMRX-HNNXBMFYSA-N 0 3 243.354 2.907 20 0 BFADHN Clc1ccc(CNC[C@H]2CCCCO2)cc1 ZINC000035150994 343286860 /nfs/dbraw/zinc/28/68/60/343286860.db2.gz YVPMMAQMVQRQKH-CYBMUJFWSA-N 0 3 239.746 2.999 20 0 BFADHN Cc1ccc(CNC[C@]2(C)CCCO2)c(F)c1 ZINC000631086502 343286907 /nfs/dbraw/zinc/28/69/07/343286907.db2.gz AGKLLQHZHWFMBG-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN COCCCN(C)Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000051501622 343258132 /nfs/dbraw/zinc/25/81/32/343258132.db2.gz MSSUOBFLOLKNEU-WCQYABFASA-N 0 3 237.343 2.871 20 0 BFADHN COCCCN(C)Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000051501619 343258386 /nfs/dbraw/zinc/25/83/86/343258386.db2.gz MSSUOBFLOLKNEU-YPMHNXCESA-N 0 3 237.343 2.871 20 0 BFADHN CCC[C@@H](N)c1cn(CCC(C)(C)CC)nn1 ZINC000641049213 362160559 /nfs/dbraw/zinc/16/05/59/362160559.db2.gz GOBOAYCFNXOURY-LLVKDONJSA-N 0 3 238.379 2.904 20 0 BFADHN C[C@H](Cc1cccs1)NCc1ccccn1 ZINC000035254161 343290848 /nfs/dbraw/zinc/29/08/48/343290848.db2.gz XROVODKCFRYJPG-LLVKDONJSA-N 0 3 232.352 2.864 20 0 BFADHN FC1(CN2CCC[C@]3(CCSC3)C2)CC1 ZINC000569794541 323040856 /nfs/dbraw/zinc/04/08/56/323040856.db2.gz GPJWOKXDIHEQRK-NSHDSACASA-N 0 3 229.364 2.708 20 0 BFADHN Cc1n[nH]cc1CN[C@@H](C)c1ccccc1 ZINC000036901397 343327207 /nfs/dbraw/zinc/32/72/07/343327207.db2.gz MXIXIGQACZHJQZ-JTQLQIEISA-N 0 3 215.300 2.569 20 0 BFADHN CCCCCCN[C@H](C)c1nnc2ccccn21 ZINC000036968878 343328708 /nfs/dbraw/zinc/32/87/08/343328708.db2.gz OPKLMVSNRYOHEZ-GFCCVEGCSA-N 0 3 246.358 2.960 20 0 BFADHN C[C@@H](Cn1ccnc1)NCc1ccc(Cl)cc1 ZINC000035274882 343291784 /nfs/dbraw/zinc/29/17/84/343291784.db2.gz NJJDQXKVYOSOAP-NSHDSACASA-N 0 3 249.745 2.715 20 0 BFADHN C[C@@H](Cn1ccnc1)NCc1cccc(Cl)c1 ZINC000035274794 343292014 /nfs/dbraw/zinc/29/20/14/343292014.db2.gz MDXKNMJZVLJQCW-NSHDSACASA-N 0 3 249.745 2.715 20 0 BFADHN C[C@@H](CCc1ccccc1)NCc1nccn1C ZINC000035404051 343296297 /nfs/dbraw/zinc/29/62/97/343296297.db2.gz ANLBPQQBNNAHHT-ZDUSSCGKSA-N 0 3 243.354 2.531 20 0 BFADHN Cc1cnn(C)c1CN1CCCC(C)(C)CC1 ZINC000347862513 136293243 /nfs/dbraw/zinc/29/32/43/136293243.db2.gz LINBYOQPCSIQLW-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1ccc(CN2CC(C3CCC3)C2)o1 ZINC000348713139 136293630 /nfs/dbraw/zinc/29/36/30/136293630.db2.gz VQTYKRBFEWJDHT-UHFFFAOYSA-N 0 3 205.301 2.820 20 0 BFADHN C[C@@H](O)CN[C@H](C)c1ccc(F)c(Cl)c1 ZINC000035601576 343298455 /nfs/dbraw/zinc/29/84/55/343298455.db2.gz LFWRALYVGAFSJY-HTQZYQBOSA-N 0 3 231.698 2.511 20 0 BFADHN C[C@@H](O)CN[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000035601604 343298995 /nfs/dbraw/zinc/29/89/95/343298995.db2.gz CHATZFDMSRWLAG-RKDXNWHRSA-N 0 3 247.260 2.737 20 0 BFADHN C[C@H](CO)N[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000035602486 343299295 /nfs/dbraw/zinc/29/92/95/343299295.db2.gz GOOYVLOTENHKFB-BDAKNGLRSA-N 0 3 247.260 2.737 20 0 BFADHN C[C@H](CO)N[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000035603175 343299811 /nfs/dbraw/zinc/29/98/11/343299811.db2.gz CCAGSMDLPJMMMN-RKDXNWHRSA-N 0 3 247.260 2.737 20 0 BFADHN CCN(Cc1coc2ccccc12)C[C@H](C)O ZINC000348717860 136294577 /nfs/dbraw/zinc/29/45/77/136294577.db2.gz VZDSJYJJYOGOFP-NSHDSACASA-N 0 3 233.311 2.636 20 0 BFADHN CN(CCC(=O)c1ccc(F)cc1)CC1CC1 ZINC000037925933 343361233 /nfs/dbraw/zinc/36/12/33/343361233.db2.gz OZFWRZDHAFXATL-UHFFFAOYSA-N 0 3 235.302 2.740 20 0 BFADHN Fc1cc(Cl)ccc1CNC[C@@H]1CCCO1 ZINC000037927492 343361283 /nfs/dbraw/zinc/36/12/83/343361283.db2.gz NEKYBVSFXTUZRY-NSHDSACASA-N 0 3 243.709 2.748 20 0 BFADHN C=Cc1ccc(CCNCc2cc(C)no2)cc1 ZINC000631138446 343366329 /nfs/dbraw/zinc/36/63/29/343366329.db2.gz FNUZMEVGFNXFBZ-UHFFFAOYSA-N 0 3 242.322 2.958 20 0 BFADHN C[C@H](CO)NCc1cc(-c2ccccc2)cs1 ZINC000038030786 343366336 /nfs/dbraw/zinc/36/63/36/343366336.db2.gz ONOWMYBGCCGYIU-LLVKDONJSA-N 0 3 247.363 2.886 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1C[C@@H](C)O[C@H]1C ZINC000395032461 362174096 /nfs/dbraw/zinc/17/40/96/362174096.db2.gz HKPJSSBEMUDAKE-UHIISALHSA-N 0 3 237.318 2.790 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1C[C@H](C)O[C@@H]1C ZINC000395032016 362174323 /nfs/dbraw/zinc/17/43/23/362174323.db2.gz HKPJSSBEMUDAKE-MISXGVKJSA-N 0 3 237.318 2.790 20 0 BFADHN C[C@H](CN1CCCCC1)N[C@H](C)c1cccnc1 ZINC000037153981 343336883 /nfs/dbraw/zinc/33/68/83/343336883.db2.gz HXMNAEZOZMJULQ-ZIAGYGMSSA-N 0 3 247.386 2.607 20 0 BFADHN CN1CCC(Nc2nc3ccccc3s2)CC1 ZINC000037248257 343340891 /nfs/dbraw/zinc/34/08/91/343340891.db2.gz AEJGOTHOFBTYAA-UHFFFAOYSA-N 0 3 247.367 2.802 20 0 BFADHN CCCCC[C@@H](NCC(=O)NC)c1ccccc1 ZINC000037254055 343341277 /nfs/dbraw/zinc/34/12/77/343341277.db2.gz YKZSFDYXXBDXIR-CQSZACIVSA-N 0 3 248.370 2.644 20 0 BFADHN Cc1c2ccccc2oc1[C@@H](C)N[C@H](C)CO ZINC000037258278 343341722 /nfs/dbraw/zinc/34/17/22/343341722.db2.gz JIOAHGMGIHFPAL-MWLCHTKSSA-N 0 3 233.311 2.773 20 0 BFADHN C[C@@H](CO)N[C@@H](C)c1ccc(F)cc1Cl ZINC000037258604 343341888 /nfs/dbraw/zinc/34/18/88/343341888.db2.gz NVFHLYBLZNONGO-YUMQZZPRSA-N 0 3 231.698 2.511 20 0 BFADHN CC(C)C[C@@H](C)NCc1cn2ccccc2n1 ZINC000037264864 343342395 /nfs/dbraw/zinc/34/23/95/343342395.db2.gz OWJOBYPWFYLVCK-GFCCVEGCSA-N 0 3 231.343 2.859 20 0 BFADHN FC(F)OC[C@H]1CCN(Cc2ccsc2)C1 ZINC000348864478 136302516 /nfs/dbraw/zinc/30/25/16/136302516.db2.gz BIOLQTACQSBOPI-VIFPVBQESA-N 0 3 247.310 2.809 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1cccc(Cl)c1 ZINC000037481229 343346795 /nfs/dbraw/zinc/34/67/95/343346795.db2.gz XTZJCJLYULZQDK-LBPRGKRZSA-N 0 3 240.734 2.796 20 0 BFADHN Cc1ncc(CNCCc2ccccc2)s1 ZINC000037505322 343347655 /nfs/dbraw/zinc/34/76/55/343347655.db2.gz CDVXEOLKLOCNBJ-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1ccc(C)cc1F ZINC000037621404 343349774 /nfs/dbraw/zinc/34/97/74/343349774.db2.gz NFLLXXVXQKHCGK-ZDUSSCGKSA-N 0 3 238.306 2.590 20 0 BFADHN Cn1c(Cl)cnc1CNCCC1CCCC1 ZINC000037741309 343353411 /nfs/dbraw/zinc/35/34/11/343353411.db2.gz YXHGGNZJYCYDLP-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN c1cncc([C@@H]2CCCN2CC2=CCCOC2)c1 ZINC000335674418 136317695 /nfs/dbraw/zinc/31/76/95/136317695.db2.gz WMNNPVKDPXSZRE-HNNXBMFYSA-N 0 3 244.338 2.565 20 0 BFADHN c1nn2ccccc2c1CN1CC(C2CCC2)C1 ZINC000335652650 136305570 /nfs/dbraw/zinc/30/55/70/136305570.db2.gz LSUGQPDMXHCICU-UHFFFAOYSA-N 0 3 241.338 2.566 20 0 BFADHN C[C@H]1CC[C@@H](NCc2noc3c2CCCC3)C1 ZINC000335657493 136306772 /nfs/dbraw/zinc/30/67/72/136306772.db2.gz SAZLUMYNEVLOQI-WDEREUQCSA-N 0 3 234.343 2.832 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2noc3c2CCCC3)C1 ZINC000335657490 136307099 /nfs/dbraw/zinc/30/70/99/136307099.db2.gz SAZLUMYNEVLOQI-GHMZBOCLSA-N 0 3 234.343 2.832 20 0 BFADHN Fc1ccccc1C1(N[C@H]2CCCOCC2)CC1 ZINC000335669875 136312604 /nfs/dbraw/zinc/31/26/04/136312604.db2.gz AMZMLKSUBGHNIA-LBPRGKRZSA-N 0 3 249.329 2.974 20 0 BFADHN CC[C@@](C)(N)c1cn([C@@H]2C=CCCCCC2)nn1 ZINC000641075503 362183860 /nfs/dbraw/zinc/18/38/60/362183860.db2.gz JGSOBJAUCJXMPM-TZMCWYRMSA-N 0 3 248.374 2.923 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]2C(F)F)cc(C)c1O ZINC000349018889 136313044 /nfs/dbraw/zinc/31/30/44/136313044.db2.gz AENXJSIVVNURBO-GHMZBOCLSA-N 0 3 241.281 2.752 20 0 BFADHN Cc1ccc(NC(=O)[C@@H](N)C(C)(C)C)c(C)c1 ZINC000040458372 343404817 /nfs/dbraw/zinc/40/48/17/343404817.db2.gz ODLGPBXYUMCGON-GFCCVEGCSA-N 0 3 234.343 2.615 20 0 BFADHN CCCCCc1ccc(NC(=O)[C@@H](C)N)cc1 ZINC000040810135 343410120 /nfs/dbraw/zinc/41/01/20/343410120.db2.gz PORNNOWEIJDESS-LLVKDONJSA-N 0 3 234.343 2.705 20 0 BFADHN Cc1ccccc1[C@@H](C)NC(=O)[C@H](N)CC(C)C ZINC000040854684 343410254 /nfs/dbraw/zinc/41/02/54/343410254.db2.gz TYMZZUQUMXVRFB-TZMCWYRMSA-N 0 3 248.370 2.546 20 0 BFADHN Cc1csc(C2(NC(C)C)CCC2)n1 ZINC000041061616 343414243 /nfs/dbraw/zinc/41/42/43/343414243.db2.gz GSTICFXQZAPDOT-UHFFFAOYSA-N 0 3 210.346 2.829 20 0 BFADHN CC(C)c1ccc(CNCCn2ccnc2)cc1 ZINC000041063277 343414773 /nfs/dbraw/zinc/41/47/73/343414773.db2.gz UNXJGLMZAHATNQ-UHFFFAOYSA-N 0 3 243.354 2.796 20 0 BFADHN CC[C@H](NCc1cnn(C)c1)c1ccc(F)cc1 ZINC000041158873 343416355 /nfs/dbraw/zinc/41/63/55/343416355.db2.gz CWVNMDNRQXIIIG-AWEZNQCLSA-N 0 3 247.317 2.800 20 0 BFADHN CC(C)[C@@H](N)c1cn(CC23CCC(CC2)C3)nn1 ZINC000641085736 362208731 /nfs/dbraw/zinc/20/87/31/362208731.db2.gz BLIZBJSWPSEIRG-HRDQMINSSA-N 0 3 248.374 2.514 20 0 BFADHN CC1(Cn2cc(CNC3CCCC3)nn2)CCC1 ZINC000641087856 362210333 /nfs/dbraw/zinc/21/03/33/362210333.db2.gz MEAFYBSBHUMXBK-UHFFFAOYSA-N 0 3 248.374 2.501 20 0 BFADHN Cc1ccc([C@@H](NC[C@@H](C)CO)C2CCC2)o1 ZINC000349450500 136351917 /nfs/dbraw/zinc/35/19/17/136351917.db2.gz LELXYKWFNPKKMR-YGRLFVJLSA-N 0 3 237.343 2.647 20 0 BFADHN Cc1ccc(C)c(CN2CCN(C3CC3)CC2)c1 ZINC000349860377 136352604 /nfs/dbraw/zinc/35/26/04/136352604.db2.gz FIMXMTZHAJOJRQ-UHFFFAOYSA-N 0 3 244.382 2.583 20 0 BFADHN CC[C@H](NCCCO)c1ccc(Cl)s1 ZINC000166263078 136329563 /nfs/dbraw/zinc/32/95/63/136329563.db2.gz FDPOUSJXWQODDM-QMMMGPOBSA-N 0 3 233.764 2.825 20 0 BFADHN C[C@@H]1[C@@H](CO)CCN1Cc1cc(Cl)cs1 ZINC000335834473 136352981 /nfs/dbraw/zinc/35/29/81/136352981.db2.gz XWVBGRLJAHDKCD-RKDXNWHRSA-N 0 3 245.775 2.604 20 0 BFADHN Cc1cc(Cl)cc(C)c1CN(C)[C@H](C)CO ZINC000349272515 136333552 /nfs/dbraw/zinc/33/35/52/136333552.db2.gz AFAUVJDSBDLVKP-LLVKDONJSA-N 0 3 241.762 2.769 20 0 BFADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1ccno1 ZINC000335707924 136336498 /nfs/dbraw/zinc/33/64/98/136336498.db2.gz FTCSIBKMSGZELM-PWSUYJOCSA-N 0 3 208.305 2.685 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cc(C)sc1C ZINC000308914415 346938790 /nfs/dbraw/zinc/93/87/90/346938790.db2.gz FMWICRPDVLCSNN-NXEZZACHSA-N 0 3 227.373 2.878 20 0 BFADHN CCCN(C)Cc1cnn(-c2ccccc2)c1 ZINC000047703668 343446431 /nfs/dbraw/zinc/44/64/31/343446431.db2.gz YVDYBEPCWMZDTN-UHFFFAOYSA-N 0 3 229.327 2.714 20 0 BFADHN CCC[C@@H](C)NCc1ccn(C(C)C)n1 ZINC000082866350 362242966 /nfs/dbraw/zinc/24/29/66/362242966.db2.gz VWPLTHKTSJSGIW-LLVKDONJSA-N 0 3 209.337 2.742 20 0 BFADHN CSC1CCN(Cc2cc(C)ccn2)CC1 ZINC000602919052 349809216 /nfs/dbraw/zinc/80/92/16/349809216.db2.gz FTMYIWOVZJXHBH-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN CCOc1ccc(CNC[C@H]2CCCCO2)cc1 ZINC000042811747 343454615 /nfs/dbraw/zinc/45/46/15/343454615.db2.gz WRNKOQRWXZHVFU-OAHLLOKOSA-N 0 3 249.354 2.744 20 0 BFADHN CC(C)N(C)c1ccc(CN[C@H]2CC2(C)C)cn1 ZINC000276725037 187339924 /nfs/dbraw/zinc/33/99/24/187339924.db2.gz CCKTYSFPFSMUCV-ZDUSSCGKSA-N 0 3 247.386 2.814 20 0 BFADHN C[C@H]1CN(CC2CCCCC2)[C@@H](C)CO1 ZINC000247968507 136373571 /nfs/dbraw/zinc/37/35/71/136373571.db2.gz RKIOLTJRVKNTLH-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCC(=O)Nc1ccccc1C ZINC000042556244 343442924 /nfs/dbraw/zinc/44/29/24/343442924.db2.gz LABFNNVIDUMQRX-DGCLKSJQSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H](N[C@@H]1COC(C)(C)C1)c1cccs1 ZINC000335655740 136376403 /nfs/dbraw/zinc/37/64/03/136376403.db2.gz FTSXCBYTJMJZSQ-ZJUUUORDSA-N 0 3 225.357 2.966 20 0 BFADHN CN(CCOc1ccccc1)C[C@H]1CCCCO1 ZINC000042976743 343461864 /nfs/dbraw/zinc/46/18/64/343461864.db2.gz LNPVWNKXXXZHJL-OAHLLOKOSA-N 0 3 249.354 2.566 20 0 BFADHN Cc1csc(CNC[C@H](C)C(C)C)n1 ZINC000082829154 343467333 /nfs/dbraw/zinc/46/73/33/343467333.db2.gz VOVYBLBCLSRLNK-VIFPVBQESA-N 0 3 212.362 2.833 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC000278524847 187340495 /nfs/dbraw/zinc/34/04/95/187340495.db2.gz YRUOMGQDZQZOAJ-TXEJJXNPSA-N 0 3 234.318 2.617 20 0 BFADHN CCCCOCCN1CCC(SC)CC1 ZINC000602920851 349810056 /nfs/dbraw/zinc/81/00/56/349810056.db2.gz DXXJCWPGTAGAGY-UHFFFAOYSA-N 0 3 231.405 2.631 20 0 BFADHN Fc1cc(CNC[C@H]2CCCO2)ccc1Cl ZINC000043388387 343469155 /nfs/dbraw/zinc/46/91/55/343469155.db2.gz KMWCQNBREODCJW-SNVBAGLBSA-N 0 3 243.709 2.748 20 0 BFADHN Cc1nc([C@H](C)NCCC2CC2)cs1 ZINC000083893287 343506174 /nfs/dbraw/zinc/50/61/74/343506174.db2.gz XVQMICXWASEMFU-QMMMGPOBSA-N 0 3 210.346 2.902 20 0 BFADHN Cn1c(Cl)cnc1CNC1(C)CCCCC1 ZINC000045045704 343522399 /nfs/dbraw/zinc/52/23/99/343522399.db2.gz YOHTUMCDLSQLMO-UHFFFAOYSA-N 0 3 241.766 2.886 20 0 BFADHN COc1cccc(CNCCCC2CC2)c1OC ZINC000076767828 343527946 /nfs/dbraw/zinc/52/79/46/343527946.db2.gz KUWSOZIYVFJMNL-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN COC[C@H](C)CN[C@H](C)c1ccccc1F ZINC000045656381 343531960 /nfs/dbraw/zinc/53/19/60/343531960.db2.gz UMBKYZSMIPMDAI-GHMZBOCLSA-N 0 3 225.307 2.759 20 0 BFADHN COC[C@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC000045656068 343532015 /nfs/dbraw/zinc/53/20/15/343532015.db2.gz JDTBBXGTTUEZGM-ZJUUUORDSA-N 0 3 243.297 2.898 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCCC[C@@H]2C)o1 ZINC000397899723 262940109 /nfs/dbraw/zinc/94/01/09/262940109.db2.gz WDEQQKIVUXKNHB-JQWIXIFHSA-N 0 3 222.332 2.899 20 0 BFADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1cnn(C)c1 ZINC000044495174 343503772 /nfs/dbraw/zinc/50/37/72/343503772.db2.gz ZLVJLDIKHSHHEH-IAQYHMDHSA-N 0 3 241.338 2.758 20 0 BFADHN COc1ncccc1CN(C)[C@H](C)C(C)(C)C ZINC000577006826 365755944 /nfs/dbraw/zinc/75/59/44/365755944.db2.gz DMIPYWXGVMAZNM-LLVKDONJSA-N 0 3 236.359 2.957 20 0 BFADHN Cc1ccc2[nH]c(SCCN(C)C)nc2c1 ZINC000047819987 343566439 /nfs/dbraw/zinc/56/64/39/343566439.db2.gz SPQXAWVIAJRWHO-UHFFFAOYSA-N 0 3 235.356 2.525 20 0 BFADHN Cc1ccc2nc(SCCN(C)C)[nH]c2c1 ZINC000047819987 343566442 /nfs/dbraw/zinc/56/64/42/343566442.db2.gz SPQXAWVIAJRWHO-UHFFFAOYSA-N 0 3 235.356 2.525 20 0 BFADHN CCOCCCCNCc1ccncc1Cl ZINC000388413457 343570368 /nfs/dbraw/zinc/57/03/68/343570368.db2.gz ZLIJJBATHRXZRJ-UHFFFAOYSA-N 0 3 242.750 2.641 20 0 BFADHN CO[C@]1(C)CCCN(Cc2cccc(F)c2)C1 ZINC000279296992 187342248 /nfs/dbraw/zinc/34/22/48/187342248.db2.gz LSVQMEXALCVGJN-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN CO[C@]1(C)CCCN([C@H](C)c2cccnc2)C1 ZINC000279313468 187342324 /nfs/dbraw/zinc/34/23/24/187342324.db2.gz NHZYFOWNQTVYKZ-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1ccc(Cl)o1 ZINC000379663909 343591236 /nfs/dbraw/zinc/59/12/36/343591236.db2.gz UDRMAGVJHSBCSN-IUCAKERBSA-N 0 3 231.748 2.917 20 0 BFADHN Cc1nc(C)c([C@@H](C)NCCOC(C)C)s1 ZINC000049259525 343595168 /nfs/dbraw/zinc/59/51/68/343595168.db2.gz NFPSCCRXBFXHID-SECBINFHSA-N 0 3 242.388 2.836 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@H](C)CC(F)(F)F ZINC000390329074 537791493 /nfs/dbraw/zinc/79/14/93/537791493.db2.gz KACFWGYKJIRKSQ-RNFRBKRXSA-N 0 3 235.253 2.710 20 0 BFADHN CN(CCO)C1c2ccccc2-c2ccccc21 ZINC000049633770 343604809 /nfs/dbraw/zinc/60/48/09/343604809.db2.gz CFNSBGNNOFACDK-UHFFFAOYSA-N 0 3 239.318 2.681 20 0 BFADHN CCCC[C@H](CC)CNCc1ncc[nH]1 ZINC000049714652 343605159 /nfs/dbraw/zinc/60/51/59/343605159.db2.gz BHQRRRXCDBURCC-NSHDSACASA-N 0 3 209.337 2.716 20 0 BFADHN C[C@@H]1CCN(CCC(F)(F)F)CCS1 ZINC000335864628 344035336 /nfs/dbraw/zinc/03/53/36/344035336.db2.gz FJCCPQXGTAJKFG-MRVPVSSYSA-N 0 3 227.295 2.766 20 0 BFADHN CC(C)[C@H](CO)NCc1ccc(Cl)s1 ZINC000086121872 343611166 /nfs/dbraw/zinc/61/11/66/343611166.db2.gz LHXAAJUDGULAOX-VIFPVBQESA-N 0 3 233.764 2.508 20 0 BFADHN N#Cc1ccc(CN[C@H]2CCC(F)(F)C2)cc1 ZINC000379837911 343638850 /nfs/dbraw/zinc/63/88/50/343638850.db2.gz FNJNDFZPKNJHLY-LBPRGKRZSA-N 0 3 236.265 2.836 20 0 BFADHN CC(C)COCCN(C)CCc1cccs1 ZINC000283335354 187343680 /nfs/dbraw/zinc/34/36/80/187343680.db2.gz PTHJAGJSDYYEGM-UHFFFAOYSA-N 0 3 241.400 2.895 20 0 BFADHN CC(C)COCCN(C)[C@H](C)c1ccncc1 ZINC000283437130 187343974 /nfs/dbraw/zinc/34/39/74/187343974.db2.gz XKRXVLDEFGPBLN-CYBMUJFWSA-N 0 3 236.359 2.747 20 0 BFADHN C[C@H](NCc1ccc[nH]c1=O)C(C)(C)C(F)F ZINC000624260378 343628674 /nfs/dbraw/zinc/62/86/74/343628674.db2.gz LRNUPYOIYZFBIS-QMMMGPOBSA-N 0 3 244.285 2.557 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1cccc(F)c1F ZINC000379804669 343629781 /nfs/dbraw/zinc/62/97/81/343629781.db2.gz NMAWJLXZIYHLHE-KCJUWKMLSA-N 0 3 211.255 2.853 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1cccc(F)c1F ZINC000379804671 343630005 /nfs/dbraw/zinc/63/00/05/343630005.db2.gz NMAWJLXZIYHLHE-KWQFWETISA-N 0 3 211.255 2.853 20 0 BFADHN c1coc(C2=CCCN(CC3CC3)C2)c1 ZINC000285288257 187344958 /nfs/dbraw/zinc/34/49/58/187344958.db2.gz KBGNOTPFQCHQJX-UHFFFAOYSA-N 0 3 203.285 2.779 20 0 BFADHN CO[C@H]1C[C@H](N[C@H]2CCSc3ccccc32)C1 ZINC000624297173 343675454 /nfs/dbraw/zinc/67/54/54/343675454.db2.gz RNVVESOAFDXAOF-GVXVVHGQSA-N 0 3 249.379 2.991 20 0 BFADHN CC(C)CN(C)Cc1cnn(-c2ccccc2)c1 ZINC000053906596 343653553 /nfs/dbraw/zinc/65/35/53/343653553.db2.gz YTMQSQKNJJSZFK-UHFFFAOYSA-N 0 3 243.354 2.960 20 0 BFADHN CC[C@@H](NCc1c[nH]cn1)c1ccc(OC)cc1 ZINC000054764698 343661690 /nfs/dbraw/zinc/66/16/90/343661690.db2.gz MWQPABKCVHVXKV-CQSZACIVSA-N 0 3 245.326 2.659 20 0 BFADHN CC[C@@H](NCc1cnc[nH]1)c1ccc(OC)cc1 ZINC000054764698 343661691 /nfs/dbraw/zinc/66/16/91/343661691.db2.gz MWQPABKCVHVXKV-CQSZACIVSA-N 0 3 245.326 2.659 20 0 BFADHN CC[C@@H](C)NCc1c(F)cc(F)cc1F ZINC000083348902 187268281 /nfs/dbraw/zinc/26/82/81/187268281.db2.gz YPJWNQNFOXLCRO-SSDOTTSWSA-N 0 3 217.234 2.992 20 0 BFADHN CCOC(=O)CCCN(C)Cc1cccs1 ZINC000057591959 343690917 /nfs/dbraw/zinc/69/09/17/343690917.db2.gz KMOQLWURWYWIHS-UHFFFAOYSA-N 0 3 241.356 2.523 20 0 BFADHN COCCN(Cc1cccc(C)c1)C1CC1 ZINC000048023083 343701002 /nfs/dbraw/zinc/70/10/02/343701002.db2.gz VHJNTMPHRSRCPN-UHFFFAOYSA-N 0 3 219.328 2.606 20 0 BFADHN Cc1n[nH]cc1CN(C)CC1CCC(C)CC1 ZINC000093566096 537804806 /nfs/dbraw/zinc/80/48/06/537804806.db2.gz IAWQUZOMISMOLO-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN Clc1cc2c(s1)CC[C@@H]2N[C@@H]1CCOC1 ZINC000088117498 343706147 /nfs/dbraw/zinc/70/61/47/343706147.db2.gz KCDCRJAOUIDCOS-APPZFPTMSA-N 0 3 243.759 2.767 20 0 BFADHN CCOCCCN[C@@H]1CCCc2scnc21 ZINC000623849084 343686177 /nfs/dbraw/zinc/68/61/77/343686177.db2.gz VKRXJJIDNMREKE-SNVBAGLBSA-N 0 3 240.372 2.537 20 0 BFADHN CN(C/C=C\c1ccncc1)[C@H]1CCSC1 ZINC000495063283 529820800 /nfs/dbraw/zinc/82/08/00/529820800.db2.gz GIBAOHRUWCZCEG-ZRMMWKCHSA-N 0 3 234.368 2.532 20 0 BFADHN COc1ccc(CNCCSC(C)C)cc1 ZINC000290217495 187348187 /nfs/dbraw/zinc/34/81/87/187348187.db2.gz WRLDHGOYSSYVJR-UHFFFAOYSA-N 0 3 239.384 2.926 20 0 BFADHN CC/C=C\CCN1C[C@H](C)OC(C)(C)C1 ZINC000342274661 343728569 /nfs/dbraw/zinc/72/85/69/343728569.db2.gz OXWQJGAINBYRLN-DGMVEKRQSA-N 0 3 211.349 2.842 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN(C)[C@@H]2CCC[C@H]2O)o1 ZINC000289230686 187347656 /nfs/dbraw/zinc/34/76/56/187347656.db2.gz CZDDAANQRJVWBX-IGHBBLSQSA-N 0 3 249.354 2.748 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)[C@@H](OC)c1ccccc1 ZINC000584208301 343773731 /nfs/dbraw/zinc/77/37/31/343773731.db2.gz JYSUAQOJFSJNOB-FAAHXZRKSA-N 0 3 249.354 2.530 20 0 BFADHN CCCC[C@@H]1CCC[C@@H]1NC(=O)[C@H](N)CCC ZINC000262541473 349858082 /nfs/dbraw/zinc/85/80/82/349858082.db2.gz SCVGBSNKMSNPIB-UPJWGTAASA-N 0 3 240.391 2.589 20 0 BFADHN CCn1ccc(CN[C@H]2CCCCC2(C)C)n1 ZINC000584159899 343766650 /nfs/dbraw/zinc/76/66/50/343766650.db2.gz BLHXJUPJHJWAJB-ZDUSSCGKSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1nccc1CN(CC(C)C)C(C)C ZINC000179703083 346993223 /nfs/dbraw/zinc/99/32/23/346993223.db2.gz MDXJOEJRYKBMLI-UHFFFAOYSA-N 0 3 223.364 2.769 20 0 BFADHN CC1(c2ccccc2)CC(NCCF)C1 ZINC000298209913 187352933 /nfs/dbraw/zinc/35/29/33/187352933.db2.gz YXRZWWJCAZVRFX-UHFFFAOYSA-N 0 3 207.292 2.666 20 0 BFADHN Cc1ccc(CNC2CC(C)C2)nc1C ZINC000314804312 178601576 /nfs/dbraw/zinc/60/15/76/178601576.db2.gz OONGOPZINUXVQO-UHFFFAOYSA-N 0 3 204.317 2.587 20 0 BFADHN CC[C@@H](N[C@@H](C)c1ncc[nH]1)C(C)(C)C ZINC000336729242 537831939 /nfs/dbraw/zinc/83/19/39/537831939.db2.gz IVWAVDWUHHHJRF-VHSXEESVSA-N 0 3 209.337 2.885 20 0 BFADHN Cc1ccccc1CN(C)C[C@H]1CCCO1 ZINC000066561113 343873908 /nfs/dbraw/zinc/87/39/08/343873908.db2.gz ZHUJKFKCJLXKTA-CQSZACIVSA-N 0 3 219.328 2.606 20 0 BFADHN CCO[C@H](CN(C)Cc1ccc(C)cn1)C1CC1 ZINC000625469796 343874072 /nfs/dbraw/zinc/87/40/72/343874072.db2.gz UVVDAYYKIZNAOW-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN CC(C)[C@H](C)n1cc([C@@H](N)C(C)(C)C)nn1 ZINC000641188066 362265466 /nfs/dbraw/zinc/26/54/66/362265466.db2.gz DHSUPXVWNIOCHL-GXSJLCMTSA-N 0 3 224.352 2.541 20 0 BFADHN C[C@H](N[C@H]1C=CCCC1)c1ccccn1 ZINC000090393067 343931003 /nfs/dbraw/zinc/93/10/03/343931003.db2.gz XFXZPDOTXBNAPU-RYUDHWBXSA-N 0 3 202.301 2.841 20 0 BFADHN CC[C@@H](C)N[C@H]1COc2ccc(C)cc21 ZINC000090452211 343931867 /nfs/dbraw/zinc/93/18/67/343931867.db2.gz YJACARRJFPFRJO-PWSUYJOCSA-N 0 3 205.301 2.817 20 0 BFADHN C[C@@H](N[C@@H](CO)CC(C)(C)C)c1ccccn1 ZINC000090588428 343936021 /nfs/dbraw/zinc/93/60/21/343936021.db2.gz KLSOVWIACIKREX-VXGBXAGGSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)CCN[C@@H](C)c1ccc(F)cn1 ZINC000092195047 343953395 /nfs/dbraw/zinc/95/33/95/343953395.db2.gz QYGSVMXMXHPFRY-JTQLQIEISA-N 0 3 210.296 2.917 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN[C@@H]1CCC1(C)C ZINC000342197152 187356344 /nfs/dbraw/zinc/35/63/44/187356344.db2.gz BSURHPJEZWXYGW-LLVKDONJSA-N 0 3 235.375 2.985 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1C[C@]1(C)CCCS1 ZINC000628120073 343968827 /nfs/dbraw/zinc/96/88/27/343968827.db2.gz WTBSOERGNJTWSA-UPJWGTAASA-N 0 3 243.416 2.771 20 0 BFADHN C[C@@H](O)CCCN[C@@H](c1ccccn1)C1CCC1 ZINC000625785430 344074146 /nfs/dbraw/zinc/07/41/46/344074146.db2.gz BNRLPFYEFOGJHY-IUODEOHRSA-N 0 3 248.370 2.673 20 0 BFADHN CC(C)(O)CNCc1cccc(Cl)c1Cl ZINC000082687389 187282863 /nfs/dbraw/zinc/28/28/63/187282863.db2.gz IBMMWTRZWKRPDQ-UHFFFAOYSA-N 0 3 248.153 2.854 20 0 BFADHN Cc1cc(CN(C)CC2(C)COC2)cs1 ZINC000625792662 344075976 /nfs/dbraw/zinc/07/59/76/344075976.db2.gz LREPHYBUZUBTLR-UHFFFAOYSA-N 0 3 225.357 2.525 20 0 BFADHN C/C(Cl)=C\CN1CCCC[C@@H]1C1(O)CC1 ZINC000528519085 262956445 /nfs/dbraw/zinc/95/64/45/262956445.db2.gz ILLRXLNKWJDJCH-IGLBNKAOSA-N 0 3 229.751 2.508 20 0 BFADHN Fc1cccc(CCN2CCCCC2)c1F ZINC000130032503 344104519 /nfs/dbraw/zinc/10/45/19/344104519.db2.gz HZEKCYBYJQQJLY-UHFFFAOYSA-N 0 3 225.282 2.993 20 0 BFADHN CC[C@@H](CN1CCC[C@@H]1c1cccc(C)n1)OC ZINC000573346578 344105619 /nfs/dbraw/zinc/10/56/19/344105619.db2.gz PISMOCMPTOHKKS-DZGCQCFKSA-N 0 3 248.370 2.952 20 0 BFADHN C/C=C\CN[C@H]1CCCc2ccc(O)cc21 ZINC000384743747 344088330 /nfs/dbraw/zinc/08/83/30/344088330.db2.gz MJFADWAKRMQOHV-SFAKSCPVSA-N 0 3 217.312 2.935 20 0 BFADHN CN(C)c1ccccc1CN(C1CC1)C1CC1 ZINC000625838838 344092209 /nfs/dbraw/zinc/09/22/09/344092209.db2.gz LWHJTVAIEBMNNW-UHFFFAOYSA-N 0 3 230.355 2.879 20 0 BFADHN Cc1ccc(CN[C@]2(C)CCO[C@@H]2C)c(F)c1 ZINC000631112538 344171639 /nfs/dbraw/zinc/17/16/39/344171639.db2.gz WISOQFMDKBYUBJ-BXUZGUMPSA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)C[C@@H](CO)NCc1ccc(Cl)s1 ZINC000040774818 187288163 /nfs/dbraw/zinc/28/81/63/187288163.db2.gz DDIWMXDVSVUVSK-VIFPVBQESA-N 0 3 247.791 2.898 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCO[C@@H]2CCC[C@@H]21 ZINC000625853937 344125943 /nfs/dbraw/zinc/12/59/43/344125943.db2.gz NUCQEIROUWJGAE-SDDRHHMPSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H](NCc1cc[nH]n1)[C@@H](C)c1ccccc1 ZINC000080247831 344126085 /nfs/dbraw/zinc/12/60/85/344126085.db2.gz WPEMBKNWDCVVOG-VXGBXAGGSA-N 0 3 229.327 2.692 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1ccc(C)nc1C ZINC000678426816 488254230 /nfs/dbraw/zinc/25/42/30/488254230.db2.gz JHVXBPRIKHLSJL-CHWSQXEVSA-N 0 3 236.359 2.774 20 0 BFADHN C/C=C/CNCc1ccc(OCC)c(OC)c1 ZINC000384792537 344152443 /nfs/dbraw/zinc/15/24/43/344152443.db2.gz VUTJNBKZBTVQKL-GQCTYLIASA-N 0 3 235.327 2.760 20 0 BFADHN COC1CCN(Cc2ccc3[nH]ccc3c2)CC1 ZINC000628124960 344154638 /nfs/dbraw/zinc/15/46/38/344154638.db2.gz DKDHOPBKNKEFTG-UHFFFAOYSA-N 0 3 244.338 2.779 20 0 BFADHN FC(F)[C@@H]1CCN(CCC2CCCC2)CCO1 ZINC000634753231 344207132 /nfs/dbraw/zinc/20/71/32/344207132.db2.gz AYDOMNAJZMHKOM-LBPRGKRZSA-N 0 3 247.329 2.923 20 0 BFADHN CC(C)SCCNCc1n[nH]c2ccccc12 ZINC000588926520 344207154 /nfs/dbraw/zinc/20/71/54/344207154.db2.gz JVHHDIJHHQPNDF-UHFFFAOYSA-N 0 3 249.383 2.794 20 0 BFADHN CC(C)SCCNCc1[nH]nc2ccccc21 ZINC000588926520 344207155 /nfs/dbraw/zinc/20/71/55/344207155.db2.gz JVHHDIJHHQPNDF-UHFFFAOYSA-N 0 3 249.383 2.794 20 0 BFADHN C[C@H](C[C@H]1CCCO1)N[C@H](C)c1ccoc1 ZINC000381224200 344216299 /nfs/dbraw/zinc/21/62/99/344216299.db2.gz JKBYTBGAROMDCN-NQBHXWOUSA-N 0 3 223.316 2.888 20 0 BFADHN CC1(C)CCC[C@H]1NCc1cscn1 ZINC000086831099 344223278 /nfs/dbraw/zinc/22/32/78/344223278.db2.gz QDIMBTRGZVJHPW-SNVBAGLBSA-N 0 3 210.346 2.811 20 0 BFADHN CC1(C)CC(CN2CCO[C@@H](C(F)F)CC2)C1 ZINC000634759465 344232957 /nfs/dbraw/zinc/23/29/57/344232957.db2.gz JZXCUWWYUNCSDD-LLVKDONJSA-N 0 3 247.329 2.779 20 0 BFADHN Clc1ccc(CN[C@H]2[C@@H]3CCC[C@@H]32)o1 ZINC000306438032 344185055 /nfs/dbraw/zinc/18/50/55/344185055.db2.gz HYXLPHQHPGCIOU-JZYVYDRUSA-N 0 3 211.692 2.821 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnc(C2CC2)o1 ZINC000628136153 344201866 /nfs/dbraw/zinc/20/18/66/344201866.db2.gz SFOAHQBVYGWKQN-SECBINFHSA-N 0 3 208.305 2.782 20 0 BFADHN CCN(Cc1cc(C)ccc1F)CC(C)(C)O ZINC000093423352 344316408 /nfs/dbraw/zinc/31/64/08/344316408.db2.gz VNTJTPMTRPCZSL-UHFFFAOYSA-N 0 3 239.334 2.727 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1ccc(C)o1 ZINC000133396130 344317728 /nfs/dbraw/zinc/31/77/28/344317728.db2.gz KYSSWADKTISFJK-JTQLQIEISA-N 0 3 211.305 2.664 20 0 BFADHN CC[C@@H](CN1CCC[C@@H]1c1ccccn1)OC ZINC000573583468 344321922 /nfs/dbraw/zinc/32/19/22/344321922.db2.gz AQVLRKZPZVDHEP-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@H](NC[C@H]1CC2CCC1CC2)c1ccncn1 ZINC000400093228 344301076 /nfs/dbraw/zinc/30/10/76/344301076.db2.gz ZINGUFINXHWOLO-GFJIZPEISA-N 0 3 245.370 2.954 20 0 BFADHN C[C@H](NCCC(C)(C)C)c1cnccn1 ZINC000132720303 344307359 /nfs/dbraw/zinc/30/73/59/344307359.db2.gz BKLUMTQQJYJJDS-JTQLQIEISA-N 0 3 207.321 2.563 20 0 BFADHN CC(C)[C@H](C)CNCc1nn(C)c2ccccc12 ZINC000084440245 344432141 /nfs/dbraw/zinc/43/21/41/344432141.db2.gz VZIGDRWZCYNDCL-GFCCVEGCSA-N 0 3 245.370 2.955 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](CC)c1cccc(OC)c1 ZINC000584271758 344373863 /nfs/dbraw/zinc/37/38/63/344373863.db2.gz SMOYRKGPPHIYFP-RRFJBIMHSA-N 0 3 249.354 2.913 20 0 BFADHN CCC1(CC)CCN(Cc2cnccn2)CC1 ZINC000584277375 344374582 /nfs/dbraw/zinc/37/45/82/344374582.db2.gz BARDPQIYGPCXAA-UHFFFAOYSA-N 0 3 233.359 2.879 20 0 BFADHN COC(=O)c1ccccc1CN(C)CCC(C)C ZINC000096730926 344380635 /nfs/dbraw/zinc/38/06/35/344380635.db2.gz YWERNWKBTHXSPQ-UHFFFAOYSA-N 0 3 249.354 2.951 20 0 BFADHN C[C@H](C(=O)N(C)C(C)(C)C)[C@H](N)c1ccccc1 ZINC000381959782 347050363 /nfs/dbraw/zinc/05/03/63/347050363.db2.gz FNSYRPLWRVBUEB-AAEUAGOBSA-N 0 3 248.370 2.579 20 0 BFADHN CCN(Cc1ccc(F)c(F)c1)C1CC1 ZINC000097205693 344391759 /nfs/dbraw/zinc/39/17/59/344391759.db2.gz FZZCSQBEWUHXND-UHFFFAOYSA-N 0 3 211.255 2.949 20 0 BFADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1cnccn1 ZINC000214940655 344403615 /nfs/dbraw/zinc/40/36/15/344403615.db2.gz KTOFGEHICVQWDT-KBPBESRZSA-N 0 3 233.359 2.781 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1csc(C)n1 ZINC000041010462 344411703 /nfs/dbraw/zinc/41/17/03/344411703.db2.gz ZCPNVFHEDXBWKO-BDAKNGLRSA-N 0 3 212.362 2.976 20 0 BFADHN CC[C@H](N[C@@H]1CCCC1(F)F)C1CCOCC1 ZINC000672622918 488262469 /nfs/dbraw/zinc/26/24/69/488262469.db2.gz ZBLWEOLAAJRWEG-NWDGAFQWSA-N 0 3 247.329 2.969 20 0 BFADHN CC1(C)CCC[C@H](CNCc2cc[nH]n2)C1 ZINC000309749776 344452126 /nfs/dbraw/zinc/45/21/26/344452126.db2.gz GKKWBMCSVQLHBB-NSHDSACASA-N 0 3 221.348 2.716 20 0 BFADHN CN(CCCCO)Cc1ccc(Cl)cc1 ZINC000042439286 344453475 /nfs/dbraw/zinc/45/34/75/344453475.db2.gz XKCZDYRWELSQSI-UHFFFAOYSA-N 0 3 227.735 2.544 20 0 BFADHN CC[C@H](O)CN[C@@H](C)c1ccc(Cl)s1 ZINC000042587808 344459783 /nfs/dbraw/zinc/45/97/83/344459783.db2.gz LLDNCHGFLLSEBY-YUMQZZPRSA-N 0 3 233.764 2.823 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H]1O)c1ccc(Cl)s1 ZINC000042587829 344459803 /nfs/dbraw/zinc/45/98/03/344459803.db2.gz IVRZXYJNXGSORD-VGMNWLOBSA-N 0 3 245.775 2.966 20 0 BFADHN CCC[C@H](N)C(=O)Nc1ccc(Cl)cc1F ZINC000037029131 344486768 /nfs/dbraw/zinc/48/67/68/344486768.db2.gz XHWGRHAWTYXCGB-VIFPVBQESA-N 0 3 244.697 2.545 20 0 BFADHN CCN(CC(C)C)C(=O)C[C@@H](N)c1ccccc1 ZINC000037111695 344488060 /nfs/dbraw/zinc/48/80/60/344488060.db2.gz XWPMCCJTGRODEG-CQSZACIVSA-N 0 3 248.370 2.581 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1sc(C)nc1C ZINC000381476351 344510535 /nfs/dbraw/zinc/51/05/35/344510535.db2.gz QWUJWLFQSHHTDQ-INTQDDNPSA-N 0 3 240.372 2.588 20 0 BFADHN c1cc(-c2ccc(CNCCCC3CC3)o2)n[nH]1 ZINC000577341972 344521578 /nfs/dbraw/zinc/52/15/78/344521578.db2.gz OQPNJWHRMCQFKJ-UHFFFAOYSA-N 0 3 245.326 2.950 20 0 BFADHN Cc1nc(CNC[C@@H]2CC=CCC2)cs1 ZINC000044346597 344539472 /nfs/dbraw/zinc/53/94/72/344539472.db2.gz YLUGIRQREPFUSF-LLVKDONJSA-N 0 3 222.357 2.897 20 0 BFADHN CC1(C)C[C@@H]1NCc1ccc(F)c(F)c1 ZINC000044371398 344540075 /nfs/dbraw/zinc/54/00/75/344540075.db2.gz WJSYWVYYHLMBDD-NSHDSACASA-N 0 3 211.255 2.853 20 0 BFADHN Cc1c[nH]nc1CN[C@H]1C[C@@H]1C1CCCCC1 ZINC000368093998 344552392 /nfs/dbraw/zinc/55/23/92/344552392.db2.gz VBCCOLSHOKAZGS-OLZOCXBDSA-N 0 3 233.359 2.777 20 0 BFADHN Cc1cc(-c2noc([C@H]3CCCN3)n2)ccc1F ZINC000037734552 344575756 /nfs/dbraw/zinc/57/57/56/344575756.db2.gz BMNQTAAMSPHYCL-LLVKDONJSA-N 0 3 247.273 2.609 20 0 BFADHN CCCCCN(CCCCC)C(=O)[C@@H](C)N ZINC000037814455 344591513 /nfs/dbraw/zinc/59/15/13/344591513.db2.gz HXWXYLNFGRIVID-GFCCVEGCSA-N 0 3 228.380 2.543 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@@H]2CC=CCC2)n1 ZINC000103344116 187291671 /nfs/dbraw/zinc/29/16/71/187291671.db2.gz WDQMPSHJEWHNRS-GFCCVEGCSA-N 0 3 232.327 2.542 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1cccc(Cl)c1C ZINC000309403794 347055592 /nfs/dbraw/zinc/05/55/92/347055592.db2.gz ANBSQZLDVBRGOT-VIFPVBQESA-N 0 3 240.734 2.585 20 0 BFADHN C[C@H](NCc1ccno1)[C@H]1CCC[C@@H](C)C1 ZINC000309815182 259377972 /nfs/dbraw/zinc/37/79/72/259377972.db2.gz VCAVIIOTKUUZGD-WOPDTQHZSA-N 0 3 222.332 2.979 20 0 BFADHN CCC[C@@H](C)N1CCc2c(O)cccc2C1 ZINC000626028423 344680994 /nfs/dbraw/zinc/68/09/94/344680994.db2.gz IWRLDXWUMFOHSQ-LLVKDONJSA-N 0 3 219.328 2.939 20 0 BFADHN CC(C)C[C@@H](C)CN1CCO[C@@H](CCF)C1 ZINC000626005835 344663814 /nfs/dbraw/zinc/66/38/14/344663814.db2.gz LKMWVJKFCFSWTR-OLZOCXBDSA-N 0 3 231.355 2.729 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(Cl)cc1C ZINC000309962910 259378873 /nfs/dbraw/zinc/37/88/73/259378873.db2.gz MEIXPMJXTPMYMY-STQMWFEESA-N 0 3 239.746 2.915 20 0 BFADHN CC1(CN2CCc3c(O)cccc3C2)CCC1 ZINC000626028783 344681622 /nfs/dbraw/zinc/68/16/22/344681622.db2.gz XXOUGVRIZCYFIZ-UHFFFAOYSA-N 0 3 231.339 2.941 20 0 BFADHN COc1cccc2c1CN([C@H]1COC(C)(C)C1)C2 ZINC000626023879 344675843 /nfs/dbraw/zinc/67/58/43/344675843.db2.gz UHHJPFKZUJPOJM-GFCCVEGCSA-N 0 3 247.338 2.578 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1cccc(C)c1 ZINC000309442059 347063267 /nfs/dbraw/zinc/06/32/67/347063267.db2.gz IUBBNTDTULDHJU-FPMFFAJLSA-N 0 3 219.328 2.823 20 0 BFADHN CCCCOCCN1CCC(F)(F)C[C@@H]1C ZINC000625979741 344644115 /nfs/dbraw/zinc/64/41/15/344644115.db2.gz TZIIEXVWBHMQAE-NSHDSACASA-N 0 3 235.318 2.923 20 0 BFADHN Cc1ncc(CN2C[C@@H]3CCCC[C@@]32C)cn1 ZINC000626103485 344740865 /nfs/dbraw/zinc/74/08/65/344740865.db2.gz BROSYEULKMXGHR-KBPBESRZSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1nocc1CN1C[C@@H]2CCCC[C@@]21C ZINC000626103604 344743099 /nfs/dbraw/zinc/74/30/99/344743099.db2.gz HOKRSGJUXBEEST-STQMWFEESA-N 0 3 220.316 2.748 20 0 BFADHN CC[C@@H](O)CN(C)[C@@H](C)c1cccs1 ZINC000049728099 344685309 /nfs/dbraw/zinc/68/53/09/344685309.db2.gz GUNFUKLLFDKXKC-VHSXEESVSA-N 0 3 213.346 2.512 20 0 BFADHN CCc1nc(CNCC2CCC2)cs1 ZINC000049918467 344702757 /nfs/dbraw/zinc/70/27/57/344702757.db2.gz CJZLJGJPCIPFEC-UHFFFAOYSA-N 0 3 210.346 2.595 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1nccn1CC ZINC000075021435 344761297 /nfs/dbraw/zinc/76/12/97/344761297.db2.gz WEGKZUFIGLXLRE-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cn2ccccc2n1 ZINC000075021792 344761782 /nfs/dbraw/zinc/76/17/82/344761782.db2.gz OKZSJRNLUADZRZ-TZMCWYRMSA-N 0 3 243.354 2.955 20 0 BFADHN CCc1cnc(CN2C[C@H](C)C[C@@H]2CC)o1 ZINC000075021716 344762071 /nfs/dbraw/zinc/76/20/71/344762071.db2.gz LRXUKABNMMNMPN-MNOVXSKESA-N 0 3 222.332 2.857 20 0 BFADHN CC[C@@H](O)CN(C)[C@H](C)c1ccccc1F ZINC000075641073 344782114 /nfs/dbraw/zinc/78/21/14/344782114.db2.gz CGBTYGDOSYXCSC-GHMZBOCLSA-N 0 3 225.307 2.589 20 0 BFADHN CC[C@@H](O)CN1CCC[C@@H]1c1cccc(F)c1 ZINC000075643426 344782435 /nfs/dbraw/zinc/78/24/35/344782435.db2.gz GMLBSEMVVIBYCB-ZIAGYGMSSA-N 0 3 237.318 2.734 20 0 BFADHN CC(C)CCOCCN1C[C@H](C)S[C@@H](C)C1 ZINC000075890894 344786851 /nfs/dbraw/zinc/78/68/51/344786851.db2.gz RZIRBHZVGVFQCE-STQMWFEESA-N 0 3 245.432 2.875 20 0 BFADHN Cc1cc(C)n(CCN2CCC[C@H]3CCC[C@@H]32)n1 ZINC000075769425 344791941 /nfs/dbraw/zinc/79/19/41/344791941.db2.gz UIMZFDBBGMGGGF-CABCVRRESA-N 0 3 247.386 2.764 20 0 BFADHN Cc1ccc(CN(C)CCC(C)C)cn1 ZINC000153738820 537858743 /nfs/dbraw/zinc/85/87/43/537858743.db2.gz MLYBZGKYTYEEMN-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN Cc1ccccc1NC(=O)CN1C[C@H](C)[C@H](C)C1 ZINC000076113583 344796801 /nfs/dbraw/zinc/79/68/01/344796801.db2.gz ACROUIFKPBBWFT-BETUJISGSA-N 0 3 246.354 2.521 20 0 BFADHN Cc1ncc(CN(CC2CC2)C(C)C)o1 ZINC000628142516 344808871 /nfs/dbraw/zinc/80/88/71/344808871.db2.gz GWOFAMWLUMKLFC-UHFFFAOYSA-N 0 3 208.305 2.603 20 0 BFADHN CCCN1CCC[C@H]1c1ccc2c(c1)OCO2 ZINC000077381866 344817722 /nfs/dbraw/zinc/81/77/22/344817722.db2.gz LQJSCGJDFXPYRK-LBPRGKRZSA-N 0 3 233.311 2.962 20 0 BFADHN CC[C@H](NCc1ccc(C)cc1F)C(C)(C)O ZINC000631144985 344829811 /nfs/dbraw/zinc/82/98/11/344829811.db2.gz YAVNYABLLYJQJX-ZDUSSCGKSA-N 0 3 239.334 2.773 20 0 BFADHN CSC1(CN[C@H]2CCCc3cccnc32)CC1 ZINC000235162970 344832002 /nfs/dbraw/zinc/83/20/02/344832002.db2.gz QIJFWWVAKDHDGZ-LBPRGKRZSA-N 0 3 248.395 2.944 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]2C2CCC2)o1 ZINC000628160579 344856611 /nfs/dbraw/zinc/85/66/11/344856611.db2.gz ZQQCEIIDVYLKII-CYBMUJFWSA-N 0 3 220.316 2.748 20 0 BFADHN Cc1ncc(CN2CCC[C@H](C)[C@H]2C)o1 ZINC000628160409 344856734 /nfs/dbraw/zinc/85/67/34/344856734.db2.gz UJUBVKJOYJHSAI-VHSXEESVSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ncc(CN2CCC[C@H](C)[C@@H]2C)o1 ZINC000628160408 344856918 /nfs/dbraw/zinc/85/69/18/344856918.db2.gz UJUBVKJOYJHSAI-UWVGGRQHSA-N 0 3 208.305 2.603 20 0 BFADHN CN(Cc1cccn1C)CC1(C)CCC1 ZINC000432822246 537869320 /nfs/dbraw/zinc/86/93/20/537869320.db2.gz LYHXCOIGWBNSCL-UHFFFAOYSA-N 0 3 206.333 2.647 20 0 BFADHN C[C@@H]1CN(Cc2cccc3n[nH]cc32)CCS1 ZINC000628163269 344864154 /nfs/dbraw/zinc/86/41/54/344864154.db2.gz VZQLEVOUWPYSJO-SNVBAGLBSA-N 0 3 247.367 2.500 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)CCOC(C)C ZINC000119817541 344865641 /nfs/dbraw/zinc/86/56/41/344865641.db2.gz AOPWLKNVOYDCMK-AWEZNQCLSA-N 0 3 236.359 2.890 20 0 BFADHN COCCN(Cc1ccco1)CC1CCCC1 ZINC000078455613 344870093 /nfs/dbraw/zinc/87/00/93/344870093.db2.gz BKELPBMDTOZFRV-UHFFFAOYSA-N 0 3 237.343 2.918 20 0 BFADHN c1cc(CN2CCC[C@@H]2C2CCCCC2)n[nH]1 ZINC000153482450 344885697 /nfs/dbraw/zinc/88/56/97/344885697.db2.gz IBXQETUXUFHIAP-CQSZACIVSA-N 0 3 233.359 2.954 20 0 BFADHN OCCCN(Cc1ccc2cc[nH]c2c1)C1CC1 ZINC000628174079 344895126 /nfs/dbraw/zinc/89/51/26/344895126.db2.gz WDVJZTKNPLQSKI-UHFFFAOYSA-N 0 3 244.338 2.515 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cnc(C)o2)C1 ZINC000628177525 344906539 /nfs/dbraw/zinc/90/65/39/344906539.db2.gz BXXMHAABJOELGV-ZDUSSCGKSA-N 0 3 222.332 2.995 20 0 BFADHN CC[C@H]([NH2+]Cc1nnc(C2CC2)[n-]1)[C@H]1CC1(C)C ZINC000584040471 537873445 /nfs/dbraw/zinc/87/34/45/537873445.db2.gz BBCMINYRNUPWQU-MNOVXSKESA-N 0 3 248.374 2.596 20 0 BFADHN Cc1cccc(CN[C@@H]2CC[C@H]2C(C)C)n1 ZINC000390142195 344929422 /nfs/dbraw/zinc/92/94/22/344929422.db2.gz PGUPCDBBYLWZNT-UONOGXRCSA-N 0 3 218.344 2.914 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cnc(C2CC2)o1 ZINC000628183256 344929455 /nfs/dbraw/zinc/92/94/55/344929455.db2.gz VKGOXDAMCRCWAH-VHSXEESVSA-N 0 3 220.316 2.782 20 0 BFADHN CC[C@H](NCc1nnc(C2CC2)[nH]1)[C@H]1CC1(C)C ZINC000584040471 537873481 /nfs/dbraw/zinc/87/34/81/537873481.db2.gz BBCMINYRNUPWQU-MNOVXSKESA-N 0 3 248.374 2.596 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cnc(C)o1 ZINC000628179177 344914555 /nfs/dbraw/zinc/91/45/55/344914555.db2.gz QFMLCICZNMZHRJ-KOLCDFICSA-N 0 3 208.305 2.603 20 0 BFADHN COc1cncc(CNCC2=CCCCC2)c1C ZINC000631147825 344921619 /nfs/dbraw/zinc/92/16/19/344921619.db2.gz TYSYQSYMTNETNI-UHFFFAOYSA-N 0 3 246.354 2.989 20 0 BFADHN Cc1ccc(CN(C)CC2CCC2)cn1 ZINC000153685607 344952976 /nfs/dbraw/zinc/95/29/76/344952976.db2.gz SKEQZRTTZWFYNF-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CC(C)[C@H]1CCC[C@@H](NCc2ccn(C)n2)C1 ZINC000221390222 344961584 /nfs/dbraw/zinc/96/15/84/344961584.db2.gz FDXSDPRBOBMJKA-QWHCGFSZSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H]2C(C)C)o1 ZINC000628198804 344962818 /nfs/dbraw/zinc/96/28/18/344962818.db2.gz MDPLOKRVBKAKOG-LLVKDONJSA-N 0 3 208.305 2.522 20 0 BFADHN CC[C@@H](N[C@@H](C)CN(C)C)c1ccccc1F ZINC000122576874 344963583 /nfs/dbraw/zinc/96/35/83/344963583.db2.gz COXMCOTULRWONP-SMDDNHRTSA-N 0 3 238.350 2.817 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cnc2ccccc2n1 ZINC000628198748 344963801 /nfs/dbraw/zinc/96/38/01/344963801.db2.gz JYMMPMHYBBIFPF-HNNXBMFYSA-N 0 3 241.338 2.860 20 0 BFADHN Cc1nc(C)c(CNCCc2ccccc2C)o1 ZINC000628198829 344964747 /nfs/dbraw/zinc/96/47/47/344964747.db2.gz MXURPSDRQQOBDN-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN Cc1nc(CN[C@@H](C)C2CC(F)(F)C2)cs1 ZINC000628201149 344974509 /nfs/dbraw/zinc/97/45/09/344974509.db2.gz STZMHHNOPFCZFH-ZETCQYMHSA-N 0 3 246.326 2.975 20 0 BFADHN CN(Cc1ccc[nH]1)C[C@]1(C)CCCS1 ZINC000628188084 344940871 /nfs/dbraw/zinc/94/08/71/344940871.db2.gz NGWKNKBCUNOIBT-LBPRGKRZSA-N 0 3 224.373 2.732 20 0 BFADHN Cc1ccc(CCNCc2cnc(C3CC3)o2)o1 ZINC000628202242 344978231 /nfs/dbraw/zinc/97/82/31/344978231.db2.gz MKWBFZCKTBNSIT-UHFFFAOYSA-N 0 3 246.310 2.786 20 0 BFADHN C/C=C\C[C@H](CO)NCc1ccc(C)cc1F ZINC000631113900 344982480 /nfs/dbraw/zinc/98/24/80/344982480.db2.gz NHCCZYCVCJYMQI-DHCBQETCSA-N 0 3 237.318 2.551 20 0 BFADHN Cc1cccc(NC(=O)C(C)C(F)(F)F)n1 ZINC000079588971 344996671 /nfs/dbraw/zinc/99/66/71/344996671.db2.gz CXNLTBSTRXXTSI-ZETCQYMHSA-N 0 3 232.205 2.527 20 0 BFADHN COCC[C@@H](C)N1CCC=C(c2ccccn2)C1 ZINC000574766533 345004637 /nfs/dbraw/zinc/00/46/37/345004637.db2.gz LTTUFZJSPJQOQS-CYBMUJFWSA-N 0 3 246.354 2.596 20 0 BFADHN CC(C)[C@H](O)CN[C@@H](C)c1ccc(F)c(F)c1 ZINC000135465486 187299339 /nfs/dbraw/zinc/29/93/39/187299339.db2.gz BZVQQWURGPKPRS-TVQRCGJNSA-N 0 3 243.297 2.632 20 0 BFADHN CC(C)n1cc(NC(=O)C(C)C(F)(F)F)cn1 ZINC000079945917 345063238 /nfs/dbraw/zinc/06/32/38/345063238.db2.gz VCSVOYYMAZCQJH-ZETCQYMHSA-N 0 3 249.236 2.601 20 0 BFADHN CC[C@@H](NCc1n[nH]c(C)n1)c1ccc(C)cc1 ZINC000358045882 345064521 /nfs/dbraw/zinc/06/45/21/345064521.db2.gz KLVPUVSZZPJTBH-CYBMUJFWSA-N 0 3 244.342 2.662 20 0 BFADHN CC(F)(F)CCCNCc1cncs1 ZINC000390190772 259382058 /nfs/dbraw/zinc/38/20/58/259382058.db2.gz NJHQZLQNZPBBMG-UHFFFAOYSA-N 0 3 220.288 2.668 20 0 BFADHN CCCCCN(C(=O)[C@H](C)N)[C@@H](C)CCC ZINC000155767410 345103964 /nfs/dbraw/zinc/10/39/64/345103964.db2.gz OEFUTJCAGDXCON-RYUDHWBXSA-N 0 3 228.380 2.541 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1ccon1 ZINC000634982178 345162537 /nfs/dbraw/zinc/16/25/37/345162537.db2.gz DYLFNLIKSJLNFQ-MNOVXSKESA-N 0 3 208.305 2.591 20 0 BFADHN FC1(F)CC12CCC(NCc1ccon1)CC2 ZINC000634986166 345165723 /nfs/dbraw/zinc/16/57/23/345165723.db2.gz NYJUJLQNJBMBIK-UHFFFAOYSA-N 0 3 242.269 2.732 20 0 BFADHN CCC(NCc1ccon1)(C1CC1)C1CC1 ZINC000634987379 345169559 /nfs/dbraw/zinc/16/95/59/345169559.db2.gz TWYFQUHTVJFJGY-UHFFFAOYSA-N 0 3 220.316 2.733 20 0 BFADHN C[C@H](NCc1nc2cc(F)ccc2o1)C1CC1 ZINC000634949978 345125284 /nfs/dbraw/zinc/12/52/84/345125284.db2.gz GHGAHBFURURBLL-QMMMGPOBSA-N 0 3 234.274 2.855 20 0 BFADHN CC1(NCc2ccon2)CCC(F)(F)CC1 ZINC000634975828 345150374 /nfs/dbraw/zinc/15/03/74/345150374.db2.gz ILYOMYISUFOMNZ-UHFFFAOYSA-N 0 3 230.258 2.732 20 0 BFADHN CC1CCC(C)(CNCc2ccon2)CC1 ZINC000634978992 345158889 /nfs/dbraw/zinc/15/88/89/345158889.db2.gz SFTACAYIHLTNOY-UHFFFAOYSA-N 0 3 222.332 2.981 20 0 BFADHN c1cc(CNCC2(C3CCC3)CCC2)no1 ZINC000634983313 345160210 /nfs/dbraw/zinc/16/02/10/345160210.db2.gz KIAKAFPPHDLUIB-UHFFFAOYSA-N 0 3 220.316 2.735 20 0 BFADHN C[C@H](NCc1cc[nH]n1)C1C(C)(C)C1(C)C ZINC000381280415 345227050 /nfs/dbraw/zinc/22/70/50/345227050.db2.gz XZFADHQMICUZCQ-VIFPVBQESA-N 0 3 221.348 2.570 20 0 BFADHN CC(C)N(Cc1ccon1)C1CCCC1 ZINC000157794894 187301117 /nfs/dbraw/zinc/30/11/17/187301117.db2.gz ZBSFALJSOAGKEM-UHFFFAOYSA-N 0 3 208.305 2.828 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1ccc(F)c(F)c1 ZINC000128319205 345229424 /nfs/dbraw/zinc/22/94/24/345229424.db2.gz NFTQXEIYJUGGAH-MWLCHTKSSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1cccc(F)c1 ZINC000157390811 345258972 /nfs/dbraw/zinc/25/89/72/345258972.db2.gz AGFKNRIPXSOSPH-MFKMUULPSA-N 0 3 225.307 2.637 20 0 BFADHN CCCC[C@@H](COC)N[C@H](C)c1cccnc1 ZINC000129632683 345260451 /nfs/dbraw/zinc/26/04/51/345260451.db2.gz ISLPDKJNADCYON-OCCSQVGLSA-N 0 3 236.359 2.937 20 0 BFADHN CCCCCNC(=O)CN(C)[C@@H](C)CCCC ZINC000562056139 345275900 /nfs/dbraw/zinc/27/59/00/345275900.db2.gz FDJFEEDTKYYHAI-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN CCc1cnccc1[C@@H](C)N[C@H](COC)C1CC1 ZINC000359349933 345281358 /nfs/dbraw/zinc/28/13/58/345281358.db2.gz WPZXKJAGXUZLIB-IAQYHMDHSA-N 0 3 248.370 2.720 20 0 BFADHN c1cc(CN2CCCC3(CC3)CC2)ccn1 ZINC000626113735 345306807 /nfs/dbraw/zinc/30/68/07/345306807.db2.gz DFZYTTBHFJHCQO-UHFFFAOYSA-N 0 3 216.328 2.848 20 0 BFADHN CCCC(C)(C)NC(=O)CN(C)CC(C)(C)C ZINC000635456150 345378218 /nfs/dbraw/zinc/37/82/18/345378218.db2.gz NMTJWBSAFLAKQR-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCOC2(C)C)o1 ZINC000296662929 178849351 /nfs/dbraw/zinc/84/93/51/178849351.db2.gz ZYWWTZJVMPFHAF-PWSUYJOCSA-N 0 3 223.316 2.806 20 0 BFADHN CC[C@H]1CCCN(Cc2cnc3ccccn23)C1 ZINC000158461393 345335086 /nfs/dbraw/zinc/33/50/86/345335086.db2.gz IOGPADYZZVBDSR-ZDUSSCGKSA-N 0 3 243.354 2.956 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1ccn(C2CCCC2)n1 ZINC000159185874 345414178 /nfs/dbraw/zinc/41/41/78/345414178.db2.gz NHGKXSDVNQMQOF-NEPJUHHUSA-N 0 3 233.359 2.744 20 0 BFADHN COCC(C)(C)NCc1ccc(C)c(F)c1 ZINC000135060765 345431474 /nfs/dbraw/zinc/43/14/74/345431474.db2.gz NMYVXPKRYNDCDO-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN CSCCNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000070184478 345455185 /nfs/dbraw/zinc/45/51/85/345455185.db2.gz NQEKXJHYOKDYAS-KOLCDFICSA-N 0 3 225.357 2.856 20 0 BFADHN CN(CCOC(C)(C)C)Cc1cccc(O)c1 ZINC000080919607 345451238 /nfs/dbraw/zinc/45/12/38/345451238.db2.gz SMMDRGQWDJIREH-UHFFFAOYSA-N 0 3 237.343 2.639 20 0 BFADHN CSCCNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000070184479 345455441 /nfs/dbraw/zinc/45/54/41/345455441.db2.gz NQEKXJHYOKDYAS-ONGXEEELSA-N 0 3 225.357 2.856 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1CCOCC(F)(F)F ZINC000080656162 345397577 /nfs/dbraw/zinc/39/75/77/345397577.db2.gz OOVLUUBHVKXCID-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN CCc1nn(C)cc1CN(C)[C@@H](C)C(C)(C)C ZINC000678814464 488286832 /nfs/dbraw/zinc/28/68/32/488286832.db2.gz LSWOFFZRYGMDAK-NSHDSACASA-N 0 3 237.391 2.849 20 0 BFADHN Fc1cccc(OCCN2CCC23CCC3)c1 ZINC000081580212 345479811 /nfs/dbraw/zinc/47/98/11/345479811.db2.gz AZZIIPDBWQUSFW-UHFFFAOYSA-N 0 3 235.302 2.833 20 0 BFADHN c1ccc(OCCN2CCC23CCC3)cc1 ZINC000081580177 345479872 /nfs/dbraw/zinc/47/98/72/345479872.db2.gz JVRVIJAZPYYYOA-UHFFFAOYSA-N 0 3 217.312 2.694 20 0 BFADHN Cc1cnccc1CCN[C@H](C)c1ccco1 ZINC000090847324 345577367 /nfs/dbraw/zinc/57/73/67/345577367.db2.gz UFGVDPNPMNRTJY-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN COc1ccc(CN2CCC[C@H](C)CC2)cn1 ZINC000085789067 345531119 /nfs/dbraw/zinc/53/11/19/345531119.db2.gz UFZIINUSQYUUDL-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@@H](COc1cccc(F)c1)NCc1cc[nH]c1 ZINC000086251558 345536610 /nfs/dbraw/zinc/53/66/10/345536610.db2.gz WSWYVEGPYOZDRS-NSHDSACASA-N 0 3 248.301 2.711 20 0 BFADHN C[C@H]1OCC[C@@H]1N[C@@H]1CCCc2ccc(F)cc21 ZINC000089213810 345548947 /nfs/dbraw/zinc/54/89/47/345548947.db2.gz WFADQPWHXGGJSO-WKPIXPDZSA-N 0 3 249.329 2.970 20 0 BFADHN CCC[C@H](CC)NCc1snnc1C ZINC000336694548 187307412 /nfs/dbraw/zinc/30/74/12/187307412.db2.gz RTMFYOYIYCDWRS-VIFPVBQESA-N 0 3 213.350 2.515 20 0 BFADHN Cc1nnc([C@@H](C)N[C@@H]2CCC[C@H]3C[C@H]32)s1 ZINC000378772950 345561266 /nfs/dbraw/zinc/56/12/66/345561266.db2.gz GMJHCODRRKHMOE-APHKKCJPSA-N 0 3 237.372 2.686 20 0 BFADHN COC[C@H](N[C@@H]1COc2ccc(C)cc21)C(C)C ZINC000090452737 345568701 /nfs/dbraw/zinc/56/87/01/345568701.db2.gz CGQWLVPWAWUULV-UONOGXRCSA-N 0 3 249.354 2.689 20 0 BFADHN CC[C@@H](N[C@@H]1CCOC1)c1cc(F)ccc1F ZINC000090078003 345569576 /nfs/dbraw/zinc/56/95/76/345569576.db2.gz FAWGZPVSUUMYHB-ZWNOBZJWSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@H](O)CC(C)(C)CN[C@@H](C)c1ccccn1 ZINC000090085715 345569780 /nfs/dbraw/zinc/56/97/80/345569780.db2.gz IXNQWDLIWFBQEF-RYUDHWBXSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@@H]1CCN(Cc2sccc2OC)C1 ZINC000091929416 345612811 /nfs/dbraw/zinc/61/28/11/345612811.db2.gz SYUROVWPYZQRPM-SNVBAGLBSA-N 0 3 225.357 2.989 20 0 BFADHN c1cc(CN2CCCCC2)c2c(c1)OCCCO2 ZINC000092106839 345616099 /nfs/dbraw/zinc/61/60/99/345616099.db2.gz CZTANDDWCVCGKN-UHFFFAOYSA-N 0 3 247.338 2.834 20 0 BFADHN COc1ccsc1CN1CCCCC1 ZINC000092107003 345616643 /nfs/dbraw/zinc/61/66/43/345616643.db2.gz QRPKCPSXRINDJP-UHFFFAOYSA-N 0 3 211.330 2.743 20 0 BFADHN C[C@H]1CCN(Cc2cnc(C3CC3)s2)C1 ZINC000092471627 345624027 /nfs/dbraw/zinc/62/40/27/345624027.db2.gz HASYMONHIWOZTN-VIFPVBQESA-N 0 3 222.357 2.862 20 0 BFADHN COc1ccccc1[C@H](NCCO)C1CCCC1 ZINC000091265584 345590537 /nfs/dbraw/zinc/59/05/37/345590537.db2.gz FLEVYBHWSUGBBS-OAHLLOKOSA-N 0 3 249.354 2.508 20 0 BFADHN CC(C)N(CC(=O)Nc1ccccc1)C(C)C ZINC000180453130 187308475 /nfs/dbraw/zinc/30/84/75/187308475.db2.gz SKBZCGZTLFAOKH-UHFFFAOYSA-N 0 3 234.343 2.744 20 0 BFADHN CSc1ccc(CNCCc2ccccn2)o1 ZINC000641701518 362613849 /nfs/dbraw/zinc/61/38/49/362613849.db2.gz SUGDHFJNIRTJKT-UHFFFAOYSA-N 0 3 248.351 2.729 20 0 BFADHN Cc1ccoc1CN(C)CCc1nccs1 ZINC000093844028 345666671 /nfs/dbraw/zinc/66/66/71/345666671.db2.gz HEFUASIWUVEUGW-UHFFFAOYSA-N 0 3 236.340 2.719 20 0 BFADHN C[C@@H]1CC[C@@H](N(C)CC(=O)Nc2ccccc2)C1 ZINC000092904108 345647867 /nfs/dbraw/zinc/64/78/67/345647867.db2.gz CSWMBMZENOHCAG-TZMCWYRMSA-N 0 3 246.354 2.746 20 0 BFADHN CCC(O)(CC)CNCc1ccc(SC)o1 ZINC000641713175 362625480 /nfs/dbraw/zinc/62/54/80/362625480.db2.gz QEOFIGWKBTYAQL-UHFFFAOYSA-N 0 3 243.372 2.642 20 0 BFADHN CC(C)C[C@@H]1CCN(Cc2ccc(CO)o2)C1 ZINC000093338518 345653439 /nfs/dbraw/zinc/65/34/39/345653439.db2.gz UBPIVCMDNWXBOQ-LBPRGKRZSA-N 0 3 237.343 2.640 20 0 BFADHN CCc1ncc(CN2C[C@@H]3CCC[C@@H]3C2)s1 ZINC000093442917 345671058 /nfs/dbraw/zinc/67/10/58/345671058.db2.gz YDVASZNWXLBHHS-PHIMTYICSA-N 0 3 236.384 2.937 20 0 BFADHN COc1ccc(CN2CCC2(C)C)cc1 ZINC000093460418 345672805 /nfs/dbraw/zinc/67/28/05/345672805.db2.gz JSCMPXSSGULKNA-UHFFFAOYSA-N 0 3 205.301 2.680 20 0 BFADHN CCc1ncc(CN2C[C@H](C)[C@@H](C)C2)s1 ZINC000093503321 345674548 /nfs/dbraw/zinc/67/45/48/345674548.db2.gz TZTVKAKOELADAN-UWVGGRQHSA-N 0 3 224.373 2.793 20 0 BFADHN COc1cc(CN2C[C@@H](C)[C@H](C)C2)cc(OC)c1 ZINC000093503538 345674678 /nfs/dbraw/zinc/67/46/78/345674678.db2.gz CGNWPGMMWDZMQY-VXGBXAGGSA-N 0 3 249.354 2.792 20 0 BFADHN COCC[C@@H](C)NCc1ccc(SC)o1 ZINC000641714251 362629862 /nfs/dbraw/zinc/62/98/62/362629862.db2.gz VDHHSVHHTPNHKI-SECBINFHSA-N 0 3 229.345 2.516 20 0 BFADHN COc1ccc([C@H](C)N(C)C[C@H](C)OC)cc1 ZINC000182003058 187309325 /nfs/dbraw/zinc/30/93/25/187309325.db2.gz FIDFDXJOZUUDCE-RYUDHWBXSA-N 0 3 237.343 2.723 20 0 BFADHN CCN1CCC[C@H](Oc2ccccc2)C1 ZINC000223299347 345749180 /nfs/dbraw/zinc/74/91/80/345749180.db2.gz JCEBFPMKDVPXJL-ZDUSSCGKSA-N 0 3 205.301 2.550 20 0 BFADHN Cc1ccc(CNCCOCC2CCC2)s1 ZINC000381376364 345703705 /nfs/dbraw/zinc/70/37/05/345703705.db2.gz JWWHZWZVKDEMAH-UHFFFAOYSA-N 0 3 239.384 2.963 20 0 BFADHN CCCN(CC(=O)Nc1ccccc1)CC(C)C ZINC000145200443 345710244 /nfs/dbraw/zinc/71/02/44/345710244.db2.gz JQTHTBCRYSDAOL-UHFFFAOYSA-N 0 3 248.370 2.993 20 0 BFADHN CCOC(=O)c1ccc(CN2CCCCC2)cc1 ZINC000145706025 345711751 /nfs/dbraw/zinc/71/17/51/345711751.db2.gz NDUFVBMXIRHZMF-UHFFFAOYSA-N 0 3 247.338 2.849 20 0 BFADHN CCC(C)(CC)NC(=O)CN(C)CC(C)(C)C ZINC000635558320 345727510 /nfs/dbraw/zinc/72/75/10/345727510.db2.gz IVSOTFSMRQAXRX-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN Cn1ccnc1CN1CCC[C@H](C(C)(C)C)C1 ZINC000201003838 345729250 /nfs/dbraw/zinc/72/92/50/345729250.db2.gz IWUUFQRIZCVXCE-LBPRGKRZSA-N 0 3 235.375 2.678 20 0 BFADHN c1cc(-c2cccc(N3CCNCC3)c2)cs1 ZINC000142789429 345863828 /nfs/dbraw/zinc/86/38/28/345863828.db2.gz OXLFNTNQKXBZJV-UHFFFAOYSA-N 0 3 244.363 2.825 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@@H](C)c1ccccc1OC ZINC000186504446 187311629 /nfs/dbraw/zinc/31/16/29/187311629.db2.gz PJIFNXPVVVMFSV-WWKJKZQJSA-N 0 3 249.354 2.673 20 0 BFADHN C[C@@H](Cc1ccsc1)N(C)Cc1cnn(C)c1 ZINC000176435879 345902637 /nfs/dbraw/zinc/90/26/37/345902637.db2.gz XCHMRYKRERZKNA-NSHDSACASA-N 0 3 249.383 2.545 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1ccc(OCC)cc1 ZINC000185821150 187311159 /nfs/dbraw/zinc/31/11/59/187311159.db2.gz FRBWBPMBJDDTFU-KQIUPUNMSA-N 0 3 249.354 2.502 20 0 BFADHN CC(C)C[C@@H](CO)N(C)Cc1cccc(F)c1 ZINC000185317440 187311385 /nfs/dbraw/zinc/31/13/85/187311385.db2.gz JRIYODQYLBLYMK-AWEZNQCLSA-N 0 3 239.334 2.665 20 0 BFADHN Cc1nc(CNC[C@@H](C)C2CC2)cs1 ZINC000136210982 345961277 /nfs/dbraw/zinc/96/12/77/345961277.db2.gz CGVXJKRPCVKNKC-MRVPVSSYSA-N 0 3 210.346 2.587 20 0 BFADHN CC(C)[C@@H](C)CNCc1cnc(C2CC2)o1 ZINC000628218057 345948461 /nfs/dbraw/zinc/94/84/61/345948461.db2.gz SBVMTPVEGCXNOY-JTQLQIEISA-N 0 3 222.332 2.934 20 0 BFADHN CC[C@H](CN[C@@H](CC)c1ccc(F)cn1)OC ZINC000310517185 187313028 /nfs/dbraw/zinc/31/30/28/187313028.db2.gz VRQUTTYHPZDPLL-NEPJUHHUSA-N 0 3 240.322 2.686 20 0 BFADHN CCC[C@H]1CCCC[C@@H]1NCc1cn(C)cn1 ZINC000673601330 488296730 /nfs/dbraw/zinc/29/67/30/488296730.db2.gz AFLDXYYPBUNFQP-JSGCOSHPSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1cnc([C@@H](C)N[C@H]2CCC2(C)C)cn1 ZINC000381465248 345966950 /nfs/dbraw/zinc/96/69/50/345966950.db2.gz MJFIXJOZOBZEDR-PWSUYJOCSA-N 0 3 219.332 2.624 20 0 BFADHN CC[C@H](NCc1ncccc1N(C)C)C1CCC1 ZINC000449332816 201872105 /nfs/dbraw/zinc/87/21/05/201872105.db2.gz DYFWMRDESBQVDP-ZDUSSCGKSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@@H](F)CCNCc1cc2cccnc2o1 ZINC000449342651 201873992 /nfs/dbraw/zinc/87/39/92/201873992.db2.gz DALBHTVNXXVSHP-SECBINFHSA-N 0 3 222.263 2.666 20 0 BFADHN Cc1ccc(CNCC2(CO)CC2)cc1Cl ZINC000235863170 537940630 /nfs/dbraw/zinc/94/06/30/537940630.db2.gz AMISUNHIHCKNNU-UHFFFAOYSA-N 0 3 239.746 2.511 20 0 BFADHN CCc1ncc(CNC2CC(C)(F)C2)s1 ZINC000449371035 201890453 /nfs/dbraw/zinc/89/04/53/201890453.db2.gz PPNJBJCCQXKCFF-UHFFFAOYSA-N 0 3 228.336 2.686 20 0 BFADHN C[C@@H](NC[C@H]1CC=CCC1)c1ccon1 ZINC000336765768 187315255 /nfs/dbraw/zinc/31/52/55/187315255.db2.gz RMPQTEQZIJVBEK-MNOVXSKESA-N 0 3 206.289 2.682 20 0 BFADHN FC1(CN2CC[C@H](c3ccco3)C2)CC1 ZINC000684360167 488300255 /nfs/dbraw/zinc/30/02/55/488300255.db2.gz QUBQTYZGIMKOMF-JTQLQIEISA-N 0 3 209.264 2.571 20 0 BFADHN C=Cn1cc(CN(C)CCc2ccccc2)cn1 ZINC000193669465 187315868 /nfs/dbraw/zinc/31/58/68/187315868.db2.gz HRBQATDUVUKAPD-UHFFFAOYSA-N 0 3 241.338 2.658 20 0 BFADHN Cc1cc([C@@H](C)NCCc2cn(C)cn2)c(C)o1 ZINC000313288477 537951919 /nfs/dbraw/zinc/95/19/19/537951919.db2.gz YSTCHUABQYBLBC-LLVKDONJSA-N 0 3 247.342 2.523 20 0 BFADHN Cc1nc(C)c(CNCC2(C3CC3)CC2)o1 ZINC000628229923 346178985 /nfs/dbraw/zinc/17/89/85/346178985.db2.gz MPMSGCNDYCFONR-UHFFFAOYSA-N 0 3 220.316 2.571 20 0 BFADHN Cc1ccncc1CNCCOCC1CCCC1 ZINC000313309669 537953291 /nfs/dbraw/zinc/95/32/91/537953291.db2.gz VUYWZSUZPHMVIA-UHFFFAOYSA-N 0 3 248.370 2.686 20 0 BFADHN Cc1ncc(CN2CCCC[C@@H](C)C2)o1 ZINC000628240509 346202861 /nfs/dbraw/zinc/20/28/61/346202861.db2.gz IFZIMKHZSUPIAL-SNVBAGLBSA-N 0 3 208.305 2.605 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cnc(C)o1 ZINC000628240613 346203464 /nfs/dbraw/zinc/20/34/64/346203464.db2.gz LWULSVJLDMVZMP-JOYOIKCWSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1nc(C)c(CNC[C@H](C)C(C)C)o1 ZINC000311734005 346180615 /nfs/dbraw/zinc/18/06/15/346180615.db2.gz DSIZOUCXVPQWJE-VIFPVBQESA-N 0 3 210.321 2.673 20 0 BFADHN Cc1ncc(CNCC2(CC(C)C)CC2)o1 ZINC000628228195 346181085 /nfs/dbraw/zinc/18/10/85/346181085.db2.gz HHBOWXVBZDOTGB-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN CCc1nn(C)cc1CN1CCC[C@H]2CCC[C@H]21 ZINC000245644357 537954551 /nfs/dbraw/zinc/95/45/51/537954551.db2.gz FGWYHKSHUMVGLY-IUODEOHRSA-N 0 3 247.386 2.747 20 0 BFADHN C[C@@H]1CCN(C[C@@]2(C)CCCS2)C[C@@H]1F ZINC000628234689 346187684 /nfs/dbraw/zinc/18/76/84/346187684.db2.gz KEWXUPLUHWWBGY-GRYCIOLGSA-N 0 3 231.380 2.952 20 0 BFADHN Cc1cc(CNCCc2c(C)cccc2C)on1 ZINC000631091609 346190889 /nfs/dbraw/zinc/19/08/89/346190889.db2.gz OLVZMIWBJCKVGR-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C(C)(C)C)C2)o1 ZINC000628237336 346193207 /nfs/dbraw/zinc/19/32/07/346193207.db2.gz KFTZUOLLRYEZOV-LLVKDONJSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1c[nH]c(CN2C[C@H](C)C[C@H](C)[C@@H]2C)n1 ZINC000628249431 346218805 /nfs/dbraw/zinc/21/88/05/346218805.db2.gz DDWJXSSUSMWYHE-SCVCMEIPSA-N 0 3 221.348 2.585 20 0 BFADHN CC(C)=CCCNCc1oc(C)nc1C ZINC000628250842 346220453 /nfs/dbraw/zinc/22/04/53/346220453.db2.gz HXKFMMSLGUTJFA-UHFFFAOYSA-N 0 3 208.305 2.737 20 0 BFADHN CC[C@@H](O)CN([C@@H](C)c1ccco1)C1CC1 ZINC000151363103 346226152 /nfs/dbraw/zinc/22/61/52/346226152.db2.gz SWEJPESUZLWINP-CMPLNLGQSA-N 0 3 223.316 2.576 20 0 BFADHN Cc1ccc(CN[C@@H](C)CC2CCOCC2)o1 ZINC000673811294 488307834 /nfs/dbraw/zinc/30/78/34/488307834.db2.gz UNNXQTRIFGPGGB-NSHDSACASA-N 0 3 237.343 2.883 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2ccno2)C1 ZINC000626160205 346241846 /nfs/dbraw/zinc/24/18/46/346241846.db2.gz CUAQJGXTZZJKME-QAVQXKDTSA-N 0 3 220.316 2.853 20 0 BFADHN CC[C@@H]1CN(Cc2ccccc2C)C[C@H](C)O1 ZINC000111273339 187317023 /nfs/dbraw/zinc/31/70/23/187317023.db2.gz BKGLMBALENKWMK-DZGCQCFKSA-N 0 3 233.355 2.994 20 0 BFADHN Cc1ncc(CNC[C@H]2CCC[C@H]2C)o1 ZINC000628260441 346260320 /nfs/dbraw/zinc/26/03/20/346260320.db2.gz HKEDKBMJXNOCBP-MWLCHTKSSA-N 0 3 208.305 2.509 20 0 BFADHN c1cc([C@@H]2CCCN([C@@H]3C=CCCC3)C2)ncn1 ZINC000365131618 187317914 /nfs/dbraw/zinc/31/79/14/187317914.db2.gz CWUVPNSHJDPZAR-ZIAGYGMSSA-N 0 3 243.354 2.765 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](C)CC2)ncn1 ZINC000641744842 362667341 /nfs/dbraw/zinc/66/73/41/362667341.db2.gz LSKJQYYKPVCQPE-WCQYABFASA-N 0 3 233.359 2.843 20 0 BFADHN CC(C)CC[C@H](CO)N[C@H](C)c1cncs1 ZINC000624110468 346266103 /nfs/dbraw/zinc/26/61/03/346266103.db2.gz ZOVNEGPALKQJFR-GHMZBOCLSA-N 0 3 242.388 2.591 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cn(C)nc2C)C1 ZINC000626164411 346271777 /nfs/dbraw/zinc/27/17/77/346271777.db2.gz FKVWKXZLVKZJAD-WSNITJDQSA-N 0 3 247.386 2.907 20 0 BFADHN CCCCN(CC(=O)OC)[C@@H]1CCC[C@@H](C)C1 ZINC000577081865 365809233 /nfs/dbraw/zinc/80/92/33/365809233.db2.gz BRXCADFQODMYTP-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2ccnn2C)C1 ZINC000626163273 346274887 /nfs/dbraw/zinc/27/48/87/346274887.db2.gz HWZGDFHZZCKMQL-OOPCZODUSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C/C[C@H]1CCCN(CCOCC(F)F)C1 ZINC000626163521 346276342 /nfs/dbraw/zinc/27/63/42/346276342.db2.gz LTNBOSUSFNKNFP-JDGPPOGSSA-N 0 3 247.329 2.946 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cc(C)ncn2)C1 ZINC000626164138 346277430 /nfs/dbraw/zinc/27/74/30/346277430.db2.gz XIGKUSRFNCWFBR-NQHOJNORSA-N 0 3 245.370 2.963 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1nc(C)c(C)[nH]1 ZINC000628281144 346292606 /nfs/dbraw/zinc/29/26/06/346292606.db2.gz CYVXCIJKCMFCNZ-BXKDBHETSA-N 0 3 221.348 2.789 20 0 BFADHN Cc1cccc(C)c1CN1C[C@@H](C)N(C)[C@H](C)C1 ZINC000339619845 179034889 /nfs/dbraw/zinc/03/48/89/179034889.db2.gz YIZOFGQNZSKQEA-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN CCn1nccc1CN1CCC(C2CCC2)CC1 ZINC000668493614 537965771 /nfs/dbraw/zinc/96/57/71/537965771.db2.gz SGVPGERZYYMWAY-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CSc1ccc(CNCC[C@H](C)F)o1 ZINC000641756638 362682556 /nfs/dbraw/zinc/68/25/56/362682556.db2.gz GZHMBEQULIPEDS-QMMMGPOBSA-N 0 3 217.309 2.839 20 0 BFADHN CC(C)(O)C(C)(C)NCc1cccc(F)c1F ZINC000223248571 187319642 /nfs/dbraw/zinc/31/96/42/187319642.db2.gz GWTILCGXTQXUBE-UHFFFAOYSA-N 0 3 243.297 2.604 20 0 BFADHN Cc1cccc(C)c1CNCCOC(C)C ZINC000134129444 179036938 /nfs/dbraw/zinc/03/69/38/179036938.db2.gz MQWKHCKDBATGEV-UHFFFAOYSA-N 0 3 221.344 2.818 20 0 BFADHN CSc1ccc(CN[C@@H]2CS[C@H](C)C2)o1 ZINC000641761915 362688210 /nfs/dbraw/zinc/68/82/10/362688210.db2.gz AHFPQAZTRNWOGK-BDAKNGLRSA-N 0 3 243.397 2.985 20 0 BFADHN Cc1cccc(C)c1NC(=O)CN[C@H](C)C1CC1 ZINC000237417960 179041213 /nfs/dbraw/zinc/04/12/13/179041213.db2.gz ZASWQRMUOYSHCA-GFCCVEGCSA-N 0 3 246.354 2.630 20 0 BFADHN Cc1cccc(C)c1NC(=O)CN[C@@H](C)C1CC1 ZINC000237538347 179041285 /nfs/dbraw/zinc/04/12/85/179041285.db2.gz ZASWQRMUOYSHCA-LBPRGKRZSA-N 0 3 246.354 2.630 20 0 BFADHN COCC[C@H](C)CNCc1ccc(SC)o1 ZINC000641762588 362693077 /nfs/dbraw/zinc/69/30/77/362693077.db2.gz MJJMVLZWWFNMIC-JTQLQIEISA-N 0 3 243.372 2.764 20 0 BFADHN CSc1ccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)o1 ZINC000641771662 362709793 /nfs/dbraw/zinc/70/97/93/362709793.db2.gz AFINTHHLHGMPEA-PEGIJTEDSA-N 0 3 223.341 2.890 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@@H](C)CC2)n1 ZINC000641762119 362696619 /nfs/dbraw/zinc/69/66/19/362696619.db2.gz FNTFVZJKSSSXTQ-CHWSQXEVSA-N 0 3 235.375 2.961 20 0 BFADHN Cc1cccc(CCCNCc2cncs2)n1 ZINC000285453150 179056374 /nfs/dbraw/zinc/05/63/74/179056374.db2.gz GKAIQVAHWJCTGB-UHFFFAOYSA-N 0 3 247.367 2.569 20 0 BFADHN CCCCN(CC(=O)OC)[C@H]1CCC[C@H](C)C1 ZINC000577081868 365809554 /nfs/dbraw/zinc/80/95/54/365809554.db2.gz BRXCADFQODMYTP-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN Cc1ccncc1CN(C)CCCCF ZINC000352394148 187367814 /nfs/dbraw/zinc/36/78/14/187367814.db2.gz JNZGPXPCLHMQFR-UHFFFAOYSA-N 0 3 210.296 2.572 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1cc(C)c(C)o1 ZINC000281999503 346373930 /nfs/dbraw/zinc/37/39/30/346373930.db2.gz CWLDXELGXAWLQT-CMPLNLGQSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cccc(CN(C)CC2(CO)CC2)c1C ZINC000188951748 179064283 /nfs/dbraw/zinc/06/42/83/179064283.db2.gz UNFKNJOLJDMBCX-UHFFFAOYSA-N 0 3 233.355 2.508 20 0 BFADHN CC[C@@H](O)CN(C)[C@H](C)c1cccs1 ZINC000173538888 346397722 /nfs/dbraw/zinc/39/77/22/346397722.db2.gz GUNFUKLLFDKXKC-NXEZZACHSA-N 0 3 213.346 2.512 20 0 BFADHN Cc1cn[nH]c1CN(C)Cc1cccc(C)c1 ZINC000290320310 179069034 /nfs/dbraw/zinc/06/90/34/179069034.db2.gz BNHJKKPKOUHRMH-UHFFFAOYSA-N 0 3 229.327 2.659 20 0 BFADHN CN(C)Cc1cccc(NCC2(C)CC2)c1 ZINC000309959145 323122394 /nfs/dbraw/zinc/12/23/94/323122394.db2.gz KEIHCPBFWIBDKX-UHFFFAOYSA-N 0 3 218.344 2.960 20 0 BFADHN CCc1nc(CNCC2CC(F)(F)C2)cs1 ZINC000291643323 346405144 /nfs/dbraw/zinc/40/51/44/346405144.db2.gz BTZXAFOJZDMTAL-UHFFFAOYSA-N 0 3 246.326 2.840 20 0 BFADHN Cc1cccc(CN2CCCO[C@@H](C)C2)c1 ZINC000170770980 179074515 /nfs/dbraw/zinc/07/45/15/179074515.db2.gz ZZZWQKDZFCEYKB-ZDUSSCGKSA-N 0 3 219.328 2.606 20 0 BFADHN Cc1cccc(CN(C)C[C@H]2CC[C@@H](C)O2)c1 ZINC000354891591 179066840 /nfs/dbraw/zinc/06/68/40/179066840.db2.gz MBGCJFNJAMGRGZ-UKRRQHHQSA-N 0 3 233.355 2.994 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1ccc(C(F)F)nc1 ZINC000641788130 362725022 /nfs/dbraw/zinc/72/50/22/362725022.db2.gz JWUDPAQJRYLIJB-IUCAKERBSA-N 0 3 244.285 2.705 20 0 BFADHN Cc1cccc(CN2CCOCC3(CC3)C2)c1 ZINC000360100812 179079573 /nfs/dbraw/zinc/07/95/73/179079573.db2.gz ZRRMNPGSPPMIFG-UHFFFAOYSA-N 0 3 231.339 2.607 20 0 BFADHN CC[C@@H](CN(C)Cc1ccc(F)cc1)OC ZINC000419200714 192102977 /nfs/dbraw/zinc/10/29/77/192102977.db2.gz MDBKCBDNPCPPRL-ZDUSSCGKSA-N 0 3 225.307 2.683 20 0 BFADHN CC[C@@](O)(CN1CCCC[C@H]1C)C(F)(F)F ZINC000669379429 537979729 /nfs/dbraw/zinc/97/97/29/537979729.db2.gz BCUHIADQIPHNBO-NXEZZACHSA-N 0 3 239.281 2.564 20 0 BFADHN COC[C@@H]1CCCN([C@H](C)c2ccncc2)C1 ZINC000603413105 349961473 /nfs/dbraw/zinc/96/14/73/349961473.db2.gz CRWFURRFRZNSSQ-CHWSQXEVSA-N 0 3 234.343 2.501 20 0 BFADHN COc1ccc(CN[C@H](C)C(C)(C)C)nc1 ZINC000381621421 346459688 /nfs/dbraw/zinc/45/96/88/346459688.db2.gz ZWKAEHBTLCRHSA-SNVBAGLBSA-N 0 3 222.332 2.614 20 0 BFADHN Cc1cccc(CNCCOC(C)C)c1F ZINC000295483045 179091507 /nfs/dbraw/zinc/09/15/07/179091507.db2.gz SEMKTDVEKJHTFI-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN Cc1cccc(CNC[C@@H]2CCCOC2)c1F ZINC000296552655 179091630 /nfs/dbraw/zinc/09/16/30/179091630.db2.gz USMLKZRIJQJXGA-LBPRGKRZSA-N 0 3 237.318 2.650 20 0 BFADHN Fc1cccc(F)c1CCNCC1(F)CC1 ZINC000381623072 346464244 /nfs/dbraw/zinc/46/42/44/346464244.db2.gz FSRKQMOHQIGQPS-UHFFFAOYSA-N 0 3 229.245 2.599 20 0 BFADHN c1cc(CNC[C@H]2CCCc3ccccc32)no1 ZINC000148844540 346466248 /nfs/dbraw/zinc/46/62/48/346466248.db2.gz DAEKTQUKAJYYPL-CYBMUJFWSA-N 0 3 242.322 2.884 20 0 BFADHN Cc1cccc(CN[C@@H](CCO)C(C)C)c1F ZINC000295796830 179097849 /nfs/dbraw/zinc/09/78/49/179097849.db2.gz SKALVZAMTIYZSE-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1ccc([C@@H](O)CNCc2cccc(C)c2)o1 ZINC000266036224 179093766 /nfs/dbraw/zinc/09/37/66/179093766.db2.gz SUIPILVQBGYCQH-AWEZNQCLSA-N 0 3 245.322 2.720 20 0 BFADHN Cc1cccc(CNCc2ccc(O)cc2)c1 ZINC000020133830 179093874 /nfs/dbraw/zinc/09/38/74/179093874.db2.gz MQTZSORZFJGLAN-UHFFFAOYSA-N 0 3 227.307 2.990 20 0 BFADHN Cc1cccc(CNC[C@](C)(O)c2ccco2)c1 ZINC000266127236 179094731 /nfs/dbraw/zinc/09/47/31/179094731.db2.gz BWZJTUFCCZFNKZ-HNNXBMFYSA-N 0 3 245.322 2.585 20 0 BFADHN Cc1cccc(CNCc2cncc(C)c2)c1 ZINC000123038867 179095381 /nfs/dbraw/zinc/09/53/81/179095381.db2.gz WKLAKKWRGOGPHS-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN Cc1cccc(CNCc2cnccc2C)c1 ZINC000135434487 179096688 /nfs/dbraw/zinc/09/66/88/179096688.db2.gz WCBAGMTVKOIUQX-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN Cc1cccc(CNCc2cccnc2)c1C ZINC000048219025 179096822 /nfs/dbraw/zinc/09/68/22/179096822.db2.gz XGXKQVQMRCESIV-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN COc1cc(CN(C)CC2(C)CC2)cc(OC)c1 ZINC000574978311 346481058 /nfs/dbraw/zinc/48/10/58/346481058.db2.gz UQIOLXNJULMDRB-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN Cc1cccc(Cl)c1CN1CCC[C@H]1CO ZINC000297130256 179107500 /nfs/dbraw/zinc/10/75/00/179107500.db2.gz MZSHNCCKDQLUNT-NSHDSACASA-N 0 3 239.746 2.605 20 0 BFADHN C[C@H](N[C@@H](CCO)C(C)(C)C)c1ccoc1 ZINC000186400508 346505415 /nfs/dbraw/zinc/50/54/15/346505415.db2.gz OBDBCPHKDJQTNL-JQWIXIFHSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@@H](CN(C)Cc1ccc(C)cc1)OC ZINC000419208981 192103526 /nfs/dbraw/zinc/10/35/26/192103526.db2.gz VZGMLRHWXJNXLA-AWEZNQCLSA-N 0 3 221.344 2.852 20 0 BFADHN CC(C)[C@@H](C)C(=O)Nc1cccc2c1CCNC2 ZINC000070122737 349966734 /nfs/dbraw/zinc/96/67/34/349966734.db2.gz IKQNYHUUDRLPMP-LLVKDONJSA-N 0 3 246.354 2.563 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1NCc1cccc(Cl)c1 ZINC000163364135 346558407 /nfs/dbraw/zinc/55/84/07/346558407.db2.gz LPZJFPGQLQPDTG-RYUDHWBXSA-N 0 3 239.746 2.589 20 0 BFADHN C[C@@H]1CCN(CCCOC(C)(C)C)C[C@H]1F ZINC000679227815 488322521 /nfs/dbraw/zinc/32/25/21/488322521.db2.gz SXQQZJATCIZTJZ-VXGBXAGGSA-N 0 3 231.355 2.872 20 0 BFADHN CC[C@@H](O)CCNCc1cc(Cl)ccc1F ZINC000162712919 346536537 /nfs/dbraw/zinc/53/65/37/346536537.db2.gz KCDPDEPCNQOKQV-LLVKDONJSA-N 0 3 245.725 2.730 20 0 BFADHN COC[C@@H](C)CN1Cc2ccc(C)cc2C1 ZINC000580144746 346554984 /nfs/dbraw/zinc/55/49/84/346554984.db2.gz XFJDPVNFPUFTNY-LBPRGKRZSA-N 0 3 219.328 2.593 20 0 BFADHN Cc1cccc(NC(=O)CN(C)C2CCCC2)c1 ZINC000193118464 179127976 /nfs/dbraw/zinc/12/79/76/179127976.db2.gz COWSUTOWTFNPIQ-UHFFFAOYSA-N 0 3 246.354 2.808 20 0 BFADHN C[C@@H](CNCc1cc[nH]n1)c1cccc(Cl)c1 ZINC000187642573 346594087 /nfs/dbraw/zinc/59/40/87/346594087.db2.gz NZIZKRBOHWHCKC-JTQLQIEISA-N 0 3 249.745 2.956 20 0 BFADHN CCS[C@@H]1CCC[C@H]1NCc1cncs1 ZINC000300390066 346612886 /nfs/dbraw/zinc/61/28/86/346612886.db2.gz QZOYOWPGRDNPJX-GHMZBOCLSA-N 0 3 242.413 2.907 20 0 BFADHN CCn1ccnc1CN1CCCC[C@@H](C)C1 ZINC000187948578 346656092 /nfs/dbraw/zinc/65/60/92/346656092.db2.gz DHLLHXDKPVPHQZ-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN CCc1nc([C@@H](C)NC[C@H](C)COC)cs1 ZINC000187973057 346661242 /nfs/dbraw/zinc/66/12/42/346661242.db2.gz TVSKSJRFZYZYPA-VHSXEESVSA-N 0 3 242.388 2.639 20 0 BFADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1ccoc1 ZINC000187864227 346634753 /nfs/dbraw/zinc/63/47/53/346634753.db2.gz JSZCPGPPPTUIKE-PWSUYJOCSA-N 0 3 223.316 2.888 20 0 BFADHN CC[C@H](NCc1cc(C)[nH]n1)c1ccccc1 ZINC000589647144 346722905 /nfs/dbraw/zinc/72/29/05/346722905.db2.gz INUSCCRYRBAGEK-AWEZNQCLSA-N 0 3 229.327 2.959 20 0 BFADHN CC[C@H](NCc1cc(C)n[nH]1)c1ccccc1 ZINC000589647144 346722908 /nfs/dbraw/zinc/72/29/08/346722908.db2.gz INUSCCRYRBAGEK-AWEZNQCLSA-N 0 3 229.327 2.959 20 0 BFADHN Cc1cc(CN[C@@H]2CCc3cc(F)ccc32)n[nH]1 ZINC000589648038 346723159 /nfs/dbraw/zinc/72/31/59/346723159.db2.gz RMTOSUMFPMJMDM-CQSZACIVSA-N 0 3 245.301 2.634 20 0 BFADHN COC(=O)c1occc1CN[C@@H](C)CC(C)C ZINC000037255821 346679932 /nfs/dbraw/zinc/67/99/32/346679932.db2.gz YTGXDFIPVWQPPC-JTQLQIEISA-N 0 3 239.315 2.590 20 0 BFADHN C[C@@H](O)CN[C@H](CC(F)(F)F)c1ccccc1 ZINC000037258122 346680050 /nfs/dbraw/zinc/68/00/50/346680050.db2.gz MTUFMFVPHIWWDY-MWLCHTKSSA-N 0 3 247.260 2.651 20 0 BFADHN Cc1cccc(NCCN2CCC(C)CC2)n1 ZINC000040772347 179145128 /nfs/dbraw/zinc/14/51/28/179145128.db2.gz QNFPDRIWBAKWIK-UHFFFAOYSA-N 0 3 233.359 2.534 20 0 BFADHN CCN1CCC[C@H]1CNCc1ccc(Cl)o1 ZINC000037487066 346701039 /nfs/dbraw/zinc/70/10/39/346701039.db2.gz ZGNKBYKPPOBZIT-JTQLQIEISA-N 0 3 242.750 2.507 20 0 BFADHN CC[C@@H]1CCC[C@H](N[C@H]2CCn3ccnc32)C1 ZINC000345345460 365858883 /nfs/dbraw/zinc/85/88/83/365858883.db2.gz JCXCAMTXHCBPSX-AGIUHOORSA-N 0 3 233.359 2.886 20 0 BFADHN CCCCN1CCN(c2ccccc2C)CC1 ZINC000197644402 347120311 /nfs/dbraw/zinc/12/03/11/347120311.db2.gz ULPXIIUTOYMZRY-UHFFFAOYSA-N 0 3 232.371 2.917 20 0 BFADHN CCC(CC)N(Cc1cc(C)nn1C)C1CC1 ZINC000582052039 347136976 /nfs/dbraw/zinc/13/69/76/347136976.db2.gz HDVQIGNDXCXAMP-UHFFFAOYSA-N 0 3 235.375 2.882 20 0 BFADHN CC[C@@H](O)CCNCc1cc(Cl)cs1 ZINC000183872242 347157423 /nfs/dbraw/zinc/15/74/23/347157423.db2.gz OFLUZJPLCATDID-SECBINFHSA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H](C)c1ccc(F)cn1 ZINC000388030690 347161870 /nfs/dbraw/zinc/16/18/70/347161870.db2.gz POMYULMSTRYUFW-AXFHLTTASA-N 0 3 222.307 2.917 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CCC[C@H]2C)o1 ZINC000309646465 347128192 /nfs/dbraw/zinc/12/81/92/347128192.db2.gz MJWGYVZTVMMUAN-KOLCDFICSA-N 0 3 222.332 2.824 20 0 BFADHN CCCC[C@H](CC)CN1CCCC[C@@H]1C(N)=O ZINC000183592432 347130749 /nfs/dbraw/zinc/13/07/49/347130749.db2.gz ZSOZRBNPOBNBSO-QWHCGFSZSA-N 0 3 240.391 2.543 20 0 BFADHN CCc1nc(CN[C@@H]2CC[C@H]2C2CC2)cs1 ZINC000309900117 347194768 /nfs/dbraw/zinc/19/47/68/347194768.db2.gz HGLUELNDTLYIPJ-NWDGAFQWSA-N 0 3 236.384 2.984 20 0 BFADHN CCC1(CC)CN(C2CCCC2)CC[S@@]1=O ZINC000185291519 347195729 /nfs/dbraw/zinc/19/57/29/347195729.db2.gz VNJVFWKLGWOLCH-INIZCTEOSA-N 0 3 243.416 2.552 20 0 BFADHN Cc1cccc([C@@H](C)N[C@H]2CCOC2)c1C ZINC000167770440 179183833 /nfs/dbraw/zinc/18/38/33/179183833.db2.gz YIQRQQUMHJFEMW-OLZOCXBDSA-N 0 3 219.328 2.743 20 0 BFADHN CC[C@@H](N[C@H]1CCC1(C)C)c1nccn1C ZINC000309840345 347182984 /nfs/dbraw/zinc/18/29/84/347182984.db2.gz CVVOVRBBALLMEJ-MNOVXSKESA-N 0 3 221.348 2.649 20 0 BFADHN CCCC[C@H](C)N[C@@H]1CCCc2c[nH]nc21 ZINC000310018925 347219382 /nfs/dbraw/zinc/21/93/82/347219382.db2.gz MFWLVJXVPFGWKG-CMPLNLGQSA-N 0 3 221.348 2.955 20 0 BFADHN Cc1cccc([C@H](C)NCC2=CCCOC2)c1 ZINC000286854882 179194204 /nfs/dbraw/zinc/19/42/04/179194204.db2.gz ARUDMMLBBTXQAG-ZDUSSCGKSA-N 0 3 231.339 2.992 20 0 BFADHN Cc1cc(CN2CC[C@H](O)[C@H](C)C2)c(C)s1 ZINC000186857143 347241478 /nfs/dbraw/zinc/24/14/78/347241478.db2.gz RYGDNXSJUCYTDC-RNCFNFMXSA-N 0 3 239.384 2.568 20 0 BFADHN Cc1ncc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)o1 ZINC000628296794 347245640 /nfs/dbraw/zinc/24/56/40/347245640.db2.gz YZZKXOFEWHAPAU-STQMWFEESA-N 0 3 234.343 2.994 20 0 BFADHN Cc1nn(C)cc1CN[C@@H](C)c1cccc(C)c1 ZINC000127934265 179195878 /nfs/dbraw/zinc/19/58/78/179195878.db2.gz XPYVDMKLFREKOA-LBPRGKRZSA-N 0 3 243.354 2.888 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NC/C=C/c1ccc(F)cc1 ZINC000189345843 347301135 /nfs/dbraw/zinc/30/11/35/347301135.db2.gz CETHXYBNINMUEB-PUWCWTCHSA-N 0 3 249.329 2.588 20 0 BFADHN COc1cnccc1[C@H](C)N[C@H]1CC[C@H](C)C1 ZINC000188777653 347289432 /nfs/dbraw/zinc/28/94/32/347289432.db2.gz XODACNBAKSQZRS-SRVKXCTJSA-N 0 3 234.343 2.929 20 0 BFADHN CO[C@@H]([C@H](C)N[C@@H](C)c1cccc(O)c1)C1CC1 ZINC000388293334 347296845 /nfs/dbraw/zinc/29/68/45/347296845.db2.gz XTKFCHVUXFGPHV-PGUXBMHVSA-N 0 3 249.354 2.856 20 0 BFADHN CN1CC[C@@H](CSc2ncccc2Cl)C1 ZINC000187677121 347262364 /nfs/dbraw/zinc/26/23/64/347262364.db2.gz DRBCMUSIAYOQDD-SECBINFHSA-N 0 3 242.775 2.779 20 0 BFADHN CC(C)CC[C@H](C)N(C)[C@@H](C)C[S@](C)=O ZINC000187837471 347266683 /nfs/dbraw/zinc/26/66/83/347266683.db2.gz WDALUAFVJBWAFK-HUBLWGQQSA-N 0 3 233.421 2.510 20 0 BFADHN CC1(C)CN(CCc2ccccn2)CC(C)(C)O1 ZINC000190528782 347332036 /nfs/dbraw/zinc/33/20/36/347332036.db2.gz ZQSYVIKPQBCZGZ-UHFFFAOYSA-N 0 3 248.370 2.514 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@H](C)c1ccoc1)C1CC1 ZINC000388360256 347355252 /nfs/dbraw/zinc/35/52/52/347355252.db2.gz SIKDWEXCEKBVKI-BREBYQMCSA-N 0 3 223.316 2.744 20 0 BFADHN CO[C@@H]([C@H](C)N[C@@H](C)c1cncs1)C1CC1 ZINC000388302431 347301926 /nfs/dbraw/zinc/30/19/26/347301926.db2.gz OGSDAPRICGITHJ-AUTRQRHGSA-N 0 3 240.372 2.607 20 0 BFADHN CCCNCc1coc(-c2ccccc2)n1 ZINC000082239291 347308788 /nfs/dbraw/zinc/30/87/88/347308788.db2.gz KNRICTYLZOOBHG-UHFFFAOYSA-N 0 3 216.284 2.841 20 0 BFADHN Cc1cccc2nc(CN[C@H]3CCC[C@@H]3C)cn21 ZINC000268060579 179229864 /nfs/dbraw/zinc/22/98/64/179229864.db2.gz YKMWHBCYQWUYMY-FZMZJTMJSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1cccc2ncc(CN3C[C@@H](C)[C@H](C)C3)n21 ZINC000353923897 179231180 /nfs/dbraw/zinc/23/11/80/179231180.db2.gz PLIIIGWKUMKXHL-VXGBXAGGSA-N 0 3 243.354 2.731 20 0 BFADHN Cc1cccc2ncc(CN3CCCCC3)n21 ZINC000336480123 179232219 /nfs/dbraw/zinc/23/22/19/179232219.db2.gz LLLFDUIPSKVBJS-UHFFFAOYSA-N 0 3 229.327 2.629 20 0 BFADHN Cc1nnsc1CN1C[C@H](C)CCC[C@H]1C ZINC000571527537 323146987 /nfs/dbraw/zinc/14/69/87/323146987.db2.gz YNSXNYKIMJUTOV-NXEZZACHSA-N 0 3 239.388 2.857 20 0 BFADHN CC[C@H](CNC1(c2ccccc2)CC1)OC ZINC000419228346 192107101 /nfs/dbraw/zinc/10/71/01/192107101.db2.gz MGPFMDATHLTPGF-CYBMUJFWSA-N 0 3 219.328 2.690 20 0 BFADHN CCCC[C@@H](C)C(=O)NC[C@@H](N)c1ccccc1 ZINC000302355527 347522179 /nfs/dbraw/zinc/52/21/79/347522179.db2.gz KLVLFUVSUGBZBV-TZMCWYRMSA-N 0 3 248.370 2.629 20 0 BFADHN CCCC[C@@H](C)C(=O)NC[C@H](N)c1ccccc1 ZINC000302355526 347522316 /nfs/dbraw/zinc/52/23/16/347522316.db2.gz KLVLFUVSUGBZBV-OCCSQVGLSA-N 0 3 248.370 2.629 20 0 BFADHN CC(C)C(C)(C)NCc1cn2ccccc2n1 ZINC000577236239 365894858 /nfs/dbraw/zinc/89/48/58/365894858.db2.gz MQBKUTMQORRNIX-UHFFFAOYSA-N 0 3 231.343 2.859 20 0 BFADHN CCN(CCCOC(C)C)Cc1ccoc1 ZINC000590818754 347568785 /nfs/dbraw/zinc/56/87/85/347568785.db2.gz SGGURULFOUJXHT-UHFFFAOYSA-N 0 3 225.332 2.917 20 0 BFADHN Cc1ccccc1C1CCN([C@@H]2CCOC2)CC1 ZINC000271466971 179256613 /nfs/dbraw/zinc/25/66/13/179256613.db2.gz YCYXGWPJFXNRQP-OAHLLOKOSA-N 0 3 245.366 2.963 20 0 BFADHN CSC1(CN2CC3(CC(F)C3)C2)CCC1 ZINC000590969320 347596769 /nfs/dbraw/zinc/59/67/69/347596769.db2.gz STCXHDRRFXFGNF-UHFFFAOYSA-N 0 3 229.364 2.706 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1ccoc1C ZINC000591257631 347634766 /nfs/dbraw/zinc/63/47/66/347634766.db2.gz SVNHWFDRESZCQY-WDEREUQCSA-N 0 3 211.330 2.572 20 0 BFADHN Cc1ccccc1CCN[C@@H](C)c1cnccn1 ZINC000094182746 179267391 /nfs/dbraw/zinc/26/73/91/179267391.db2.gz NNUGBYMHWUXXKR-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1ccccc1CN(C)C[C@@H]1CCCCO1 ZINC000042977366 179268704 /nfs/dbraw/zinc/26/87/04/179268704.db2.gz GZVXJJJQKRTIRH-HNNXBMFYSA-N 0 3 233.355 2.996 20 0 BFADHN Cc1ccccc1CN(C)C[C@@H]1CC[C@H](C)O1 ZINC000336691678 179269244 /nfs/dbraw/zinc/26/92/44/179269244.db2.gz HFAYNOLGDPZOTG-ZFWWWQNUSA-N 0 3 233.355 2.994 20 0 BFADHN COCCCN1C[C@H](C(F)(F)F)CC[C@H]1C ZINC000679545195 488357587 /nfs/dbraw/zinc/35/75/87/488357587.db2.gz JESDJQLZZFLEQC-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1ccccc1CN1CCOCC2(CC2)C1 ZINC000360098774 179273515 /nfs/dbraw/zinc/27/35/15/179273515.db2.gz PYFGLIPSYONKFZ-UHFFFAOYSA-N 0 3 231.339 2.607 20 0 BFADHN Cc1ccccc1CN1CCO[C@@H]2CCC[C@H]21 ZINC000363144822 179274960 /nfs/dbraw/zinc/27/49/60/179274960.db2.gz FQAATYIDIXHPEE-HUUCEWRRSA-N 0 3 231.339 2.748 20 0 BFADHN Cc1ccccc1CN1CC[C@H](n2cccn2)C1 ZINC000291267116 179276355 /nfs/dbraw/zinc/27/63/55/179276355.db2.gz NKKCNMUDUWJIIG-HNNXBMFYSA-N 0 3 241.338 2.639 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@H](C)c1c(C)noc1C ZINC000591836911 347703891 /nfs/dbraw/zinc/70/38/91/347703891.db2.gz QUOBSSFWBAOWHA-AVPPRXQKSA-N 0 3 240.372 2.836 20 0 BFADHN Cc1ccccc1CN[C@H](C)c1cc[nH]c(=O)c1 ZINC000339452040 179284940 /nfs/dbraw/zinc/28/49/40/179284940.db2.gz AQLKTBSOMXAFPQ-GFCCVEGCSA-N 0 3 242.322 2.946 20 0 BFADHN Cc1nocc1CNC(C)(C)C1CCC1 ZINC000449517871 201949382 /nfs/dbraw/zinc/94/93/82/201949382.db2.gz HNCXTRKVBYUTOA-UHFFFAOYSA-N 0 3 208.305 2.651 20 0 BFADHN CCSCCN1C[C@H](C)OC2(CCCC2)C1 ZINC000592196315 347749974 /nfs/dbraw/zinc/74/99/74/347749974.db2.gz VVADJCHIHWUDCA-LBPRGKRZSA-N 0 3 243.416 2.773 20 0 BFADHN CCN(CCSC)Cc1sc(C)nc1C ZINC000592199584 347751509 /nfs/dbraw/zinc/75/15/09/347751509.db2.gz FRTAIWUQPFZOAU-UHFFFAOYSA-N 0 3 244.429 2.945 20 0 BFADHN CCN(CCSC)Cc1ccc(C)cn1 ZINC000592201526 347754174 /nfs/dbraw/zinc/75/41/74/347754174.db2.gz HHSFWTYMMKWKHY-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN CCN(CCSC)Cc1cccnc1C ZINC000592202722 347754752 /nfs/dbraw/zinc/75/47/52/347754752.db2.gz ROFAZNSFUPLXCC-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN CCN(CCSC)[C@H](C)c1ccccn1 ZINC000592203241 347756010 /nfs/dbraw/zinc/75/60/10/347756010.db2.gz LIAJTXSAOYANQX-LLVKDONJSA-N 0 3 224.373 2.828 20 0 BFADHN CCSCCN1CC(C)(C)OC[C@H]1CC ZINC000593056238 347848053 /nfs/dbraw/zinc/84/80/53/347848053.db2.gz CHJMATCIKCDACI-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN Cc1ccccc1OCCNCc1cccn1C ZINC000161743803 179310626 /nfs/dbraw/zinc/31/06/26/179310626.db2.gz GCMIKHKRSKEFQJ-UHFFFAOYSA-N 0 3 244.338 2.502 20 0 BFADHN COCC1(N[C@H](C)c2cccs2)CC1 ZINC000308596330 347889520 /nfs/dbraw/zinc/88/95/20/347889520.db2.gz MSIWFCUFJZATLX-SECBINFHSA-N 0 3 211.330 2.578 20 0 BFADHN COCC1(N[C@@H](C)c2cccs2)CC1 ZINC000308596331 347889783 /nfs/dbraw/zinc/88/97/83/347889783.db2.gz MSIWFCUFJZATLX-VIFPVBQESA-N 0 3 211.330 2.578 20 0 BFADHN Cc1ccccc1OCCNCc1ccco1 ZINC000032016047 179311748 /nfs/dbraw/zinc/31/17/48/179311748.db2.gz KFOPKLFTGKIJQS-UHFFFAOYSA-N 0 3 231.295 2.757 20 0 BFADHN Cc1ncc(CN2C[C@H](C3CC3)[C@H]2C2CC2)o1 ZINC000628300200 347881127 /nfs/dbraw/zinc/88/11/27/347881127.db2.gz UQZXCDIMOLLSNN-ZIAGYGMSSA-N 0 3 232.327 2.603 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1cccs1 ZINC000308625899 347928461 /nfs/dbraw/zinc/92/84/61/347928461.db2.gz WBJMZVYKNATYRG-OPRDCNLKSA-N 0 3 211.330 2.576 20 0 BFADHN Cc1ccccc1[C@H](C)NCC1=CCCOC1 ZINC000176824044 179337812 /nfs/dbraw/zinc/33/78/12/179337812.db2.gz SOSGMQGCADPWOQ-ZDUSSCGKSA-N 0 3 231.339 2.992 20 0 BFADHN Cc1ccccc1[C@H](C)NCc1ccnn1C ZINC000036901194 179338443 /nfs/dbraw/zinc/33/84/43/179338443.db2.gz IEHVQXXILQYTEV-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1ccccc1[C@H](C)N(C)Cc1cnc[nH]1 ZINC000280485207 179334209 /nfs/dbraw/zinc/33/42/09/179334209.db2.gz OHMUFIFTYQOSQO-LBPRGKRZSA-N 0 3 229.327 2.911 20 0 BFADHN CC[C@H](CN(CC)Cc1occc1C)OC ZINC000419275636 192111372 /nfs/dbraw/zinc/11/13/72/192111372.db2.gz CWRRFLQYAPLHPC-GFCCVEGCSA-N 0 3 225.332 2.835 20 0 BFADHN Cc1c[nH]c(CNCCCSCC(C)C)n1 ZINC000594935222 348141378 /nfs/dbraw/zinc/14/13/78/348141378.db2.gz IDXKKMWUKYEUGG-UHFFFAOYSA-N 0 3 241.404 2.587 20 0 BFADHN CSCC1(CCNCc2ccco2)CC1 ZINC000594962055 348151597 /nfs/dbraw/zinc/15/15/97/348151597.db2.gz ALDCKDXGGYMYHJ-UHFFFAOYSA-N 0 3 225.357 2.903 20 0 BFADHN CCSC1(CNCc2cnccc2C)CC1 ZINC000594986292 348165421 /nfs/dbraw/zinc/16/54/21/348165421.db2.gz BWPRRTVUIVRQLM-UHFFFAOYSA-N 0 3 236.384 2.765 20 0 BFADHN Cc1cccnc1CN1CCC[C@@H](C)C1 ZINC000093158390 179372833 /nfs/dbraw/zinc/37/28/33/179372833.db2.gz FEDUKLUHBCQFQN-LLVKDONJSA-N 0 3 204.317 2.622 20 0 BFADHN CCC[C@@H](C)CN[C@H](C)c1nccn1CC ZINC000449562287 201968082 /nfs/dbraw/zinc/96/80/82/201968082.db2.gz ISZPUEMQMMQRCF-VXGBXAGGSA-N 0 3 223.364 2.990 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](C)c1nccn1CC ZINC000449562242 201967902 /nfs/dbraw/zinc/96/79/02/201967902.db2.gz IFSYTQZOHCYMTD-SDDRHHMPSA-N 0 3 223.364 2.988 20 0 BFADHN Cc1cccnc1CN1CCCC[C@H]1C[C@@H](C)O ZINC000123722515 179374426 /nfs/dbraw/zinc/37/44/26/179374426.db2.gz XNKGIXXAHBNFGL-KGLIPLIRSA-N 0 3 248.370 2.515 20 0 BFADHN COC(=O)[C@H](C)N(CCC1CCC1)C1CCC1 ZINC000595454598 348254477 /nfs/dbraw/zinc/25/44/77/348254477.db2.gz MYQLUAZQVINMHF-NSHDSACASA-N 0 3 239.359 2.593 20 0 BFADHN CO[C@H](CC(C)C)CN1CCOC(C)(C)[C@H]1C ZINC000419241598 192113936 /nfs/dbraw/zinc/11/39/36/192113936.db2.gz RXSKSDCRZCSFKB-CHWSQXEVSA-N 0 3 243.391 2.547 20 0 BFADHN COC(=O)CN(CCC=C(C)C)C1CCCC1 ZINC000595343565 348234138 /nfs/dbraw/zinc/23/41/38/348234138.db2.gz BJJCPHIOINDQLL-UHFFFAOYSA-N 0 3 239.359 2.760 20 0 BFADHN CC[C@@H](CN[C@@H](c1cccnc1)C1CC1)OC ZINC000419253336 192115241 /nfs/dbraw/zinc/11/52/41/192115241.db2.gz UDOPPIQHUZSIHF-UONOGXRCSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1cccnc1CN[C@H](C)Cc1ccoc1 ZINC000341284295 179384776 /nfs/dbraw/zinc/38/47/76/179384776.db2.gz QWMGIKWXPVOLNU-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN CC[C@H](CN1CC[C@H](C(F)(F)F)[C@@H]1C)OC ZINC000419298821 192118782 /nfs/dbraw/zinc/11/87/82/192118782.db2.gz XGZKCXVQTBVORH-AEJSXWLSSA-N 0 3 239.281 2.684 20 0 BFADHN Cc1cccnc1CN[C@@H]1CCOC2(CCC2)C1 ZINC000094372740 179385169 /nfs/dbraw/zinc/38/51/69/179385169.db2.gz CKQFGKHBBTUILW-CYBMUJFWSA-N 0 3 246.354 2.581 20 0 BFADHN CSCCN[C@@H](C)c1cc(C)c(C)o1 ZINC000308735303 348270044 /nfs/dbraw/zinc/27/00/44/348270044.db2.gz KZQAVLJJOLFYQD-VIFPVBQESA-N 0 3 213.346 2.910 20 0 BFADHN COc1ccccc1CNCCc1ccco1 ZINC000035121468 358454045 /nfs/dbraw/zinc/45/40/45/358454045.db2.gz CTWRVBYJECRKJD-UHFFFAOYSA-N 0 3 231.295 2.621 20 0 BFADHN CO[C@@H](CC(C)C)CN1CC(C)(C)OC[C@@H]1C ZINC000419303371 192120398 /nfs/dbraw/zinc/12/03/98/192120398.db2.gz HUGHKNYMFJPZOY-STQMWFEESA-N 0 3 243.391 2.547 20 0 BFADHN COC(=O)[C@@H](C)N1CCCC2(CCCC2)CC1 ZINC000595641342 348304287 /nfs/dbraw/zinc/30/42/87/348304287.db2.gz JIZNIBXFDZBJTC-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1cccnc1[C@H](N[C@H]1CCO[C@@H]1C)C(C)C ZINC000121193478 179398982 /nfs/dbraw/zinc/39/89/82/179398982.db2.gz NTSPQVXNSOMNCA-HZSPNIEDSA-N 0 3 248.370 2.854 20 0 BFADHN Cc1cccnc1[C@H](N[C@H]1CCO[C@H]1C)C(C)C ZINC000245945576 179399782 /nfs/dbraw/zinc/39/97/82/179399782.db2.gz NTSPQVXNSOMNCA-MELADBBJSA-N 0 3 248.370 2.854 20 0 BFADHN CCN(C[C@@H](O)C(F)(F)F)C1CCCCC1 ZINC000082680053 348325798 /nfs/dbraw/zinc/32/57/98/348325798.db2.gz NWCLSMLBAURNDX-SNVBAGLBSA-N 0 3 239.281 2.564 20 0 BFADHN CN(C[C@@H](O)C(F)(F)F)C1CCCCCC1 ZINC000082680146 348327517 /nfs/dbraw/zinc/32/75/17/348327517.db2.gz DMDDOSAXDRGXMT-SNVBAGLBSA-N 0 3 239.281 2.564 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2COCc3ccccc32)CS1 ZINC000397853816 362926077 /nfs/dbraw/zinc/92/60/77/362926077.db2.gz LIQJGJGPNGWJFG-QKCSRTOESA-N 0 3 249.379 2.742 20 0 BFADHN CCc1noc([C@H](C)N2C[C@H](C)[C@H](C)[C@@H]2C)n1 ZINC000419337236 192124194 /nfs/dbraw/zinc/12/41/94/192124194.db2.gz BAIXUEKOUDYFQZ-NAKRPEOUSA-N 0 3 237.347 2.669 20 0 BFADHN CC(C)SCCN(C)CC1=CCSC1 ZINC000595888465 348389225 /nfs/dbraw/zinc/38/92/25/348389225.db2.gz MSJSGLFCNGHXAC-UHFFFAOYSA-N 0 3 231.430 2.733 20 0 BFADHN C[C@@H]1[C@H](C)CN(CC(=O)Nc2ccccc2)[C@H]1C ZINC000419395746 192130897 /nfs/dbraw/zinc/13/08/97/192130897.db2.gz REAWQHPNACQSRD-UPJWGTAASA-N 0 3 246.354 2.601 20 0 BFADHN COCCCCN(C)Cc1cccc(C(C)=O)c1 ZINC000419386410 192133787 /nfs/dbraw/zinc/13/37/87/192133787.db2.gz MIEMAHJORGENEJ-UHFFFAOYSA-N 0 3 249.354 2.748 20 0 BFADHN COc1cc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)ccn1 ZINC000419381787 192132890 /nfs/dbraw/zinc/13/28/90/192132890.db2.gz YMVUVDRTDZJMMY-TUAOUCFPSA-N 0 3 234.343 2.567 20 0 BFADHN CC(C)n1ccc(CN2C[C@@H](C)[C@@H](C)[C@@H]2C)n1 ZINC000419378489 192133208 /nfs/dbraw/zinc/13/32/08/192133208.db2.gz VQFYKRNWRSFKGS-UPJWGTAASA-N 0 3 235.375 2.940 20 0 BFADHN Cc1cccc(CN[C@H](C)CC(C)C)n1 ZINC000051574060 323189916 /nfs/dbraw/zinc/18/99/16/323189916.db2.gz DPSSBULYJWMGEB-GFCCVEGCSA-N 0 3 206.333 2.914 20 0 BFADHN COc1cccc(OC)c1CNC[C@H]1CC12CC2 ZINC000419833903 192146865 /nfs/dbraw/zinc/14/68/65/192146865.db2.gz QKZNEWMWJAIRIT-LLVKDONJSA-N 0 3 247.338 2.594 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1CCSC1 ZINC000271549693 179500145 /nfs/dbraw/zinc/50/01/45/179500145.db2.gz MRIFOUWBRSPKPT-WDEREUQCSA-N 0 3 222.357 2.546 20 0 BFADHN Cc1ccsc1[C@H](CO)NCc1ccccc1 ZINC000041674794 179556571 /nfs/dbraw/zinc/55/65/71/179556571.db2.gz FZRIRKTVYXVHGJ-ZDUSSCGKSA-N 0 3 247.363 2.880 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CO[C@H](C)C2)c(C)o1 ZINC000401892549 348600198 /nfs/dbraw/zinc/60/01/98/348600198.db2.gz TYHBIQHLAMOXOO-QRTLGDNMSA-N 0 3 223.316 2.724 20 0 BFADHN CO[C@H](C)CCN[C@@H](C)c1cnc(C)s1 ZINC000389897024 538008069 /nfs/dbraw/zinc/00/80/69/538008069.db2.gz ZSVDFEQXSUUUSV-BDAKNGLRSA-N 0 3 228.361 2.527 20 0 BFADHN Cc1ccoc1CN[C@H]1CCC[C@H](C(N)=O)C1 ZINC000330675978 179530527 /nfs/dbraw/zinc/53/05/27/179530527.db2.gz TWAGNAYRGBDCSX-QWRGUYRKSA-N 0 3 236.315 2.772 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)n1C ZINC000341107461 179607178 /nfs/dbraw/zinc/60/71/78/179607178.db2.gz BUKCESGWRNILPH-RAIGVLPGSA-N 0 3 235.375 2.643 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1ccc(F)c(F)c1 ZINC000401925035 348739312 /nfs/dbraw/zinc/73/93/12/348739312.db2.gz QNOAOLMICSPLNN-FXPVBKGRSA-N 0 3 241.281 2.793 20 0 BFADHN COC[C@H](C)N1CCCc2sccc2C1 ZINC000075718566 348764939 /nfs/dbraw/zinc/76/49/39/348764939.db2.gz XRMDIIUJBBAJFV-JTQLQIEISA-N 0 3 225.357 2.531 20 0 BFADHN Cc1cc(CNC2C[C@H](C)C[C@@H](C)C2)on1 ZINC000082830451 348821822 /nfs/dbraw/zinc/82/18/22/348821822.db2.gz NTOVNCHPWLUBHX-NXEZZACHSA-N 0 3 222.332 2.897 20 0 BFADHN CCC(CC)CN[C@@H](C)c1nccn1CC ZINC000449563253 201970265 /nfs/dbraw/zinc/97/02/65/201970265.db2.gz SYZKMVASWCYKBK-NSHDSACASA-N 0 3 223.364 2.990 20 0 BFADHN CCC(CC)N(Cc1ccnn1C)C1CC1 ZINC000536650055 323199684 /nfs/dbraw/zinc/19/96/84/323199684.db2.gz COFRKKNRTQHKPN-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CCOc1ccc([C@H](C)N[C@H]2CO[C@@H](C)C2)cc1 ZINC000401960416 348918429 /nfs/dbraw/zinc/91/84/29/348918429.db2.gz VMTISLLBGUUZJL-SGMGOOAPSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1ccccc1C[C@@H](C)NCc1cnccn1 ZINC000054766501 349078925 /nfs/dbraw/zinc/07/89/25/349078925.db2.gz UMNKGQRZWVZKBR-CYBMUJFWSA-N 0 3 241.338 2.506 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1cnccn1 ZINC000054766077 349078988 /nfs/dbraw/zinc/07/89/88/349078988.db2.gz XNRLQHLOTNUSDJ-DGCLKSJQSA-N 0 3 219.332 2.535 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(SC)s1 ZINC000309042105 323205651 /nfs/dbraw/zinc/20/56/51/323205651.db2.gz LUJCOEFSYXSIIM-VHSXEESVSA-N 0 3 243.397 2.737 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1ccncc1Cl ZINC000421828346 192386705 /nfs/dbraw/zinc/38/67/05/192386705.db2.gz CGTZFWSMZOKPJB-ZJUUUORDSA-N 0 3 242.750 2.547 20 0 BFADHN COCC[C@@H](C)N[C@H](C)c1ccncc1Cl ZINC000421826831 192386960 /nfs/dbraw/zinc/38/69/60/192386960.db2.gz UVYYIONVUWADCI-NXEZZACHSA-N 0 3 242.750 2.811 20 0 BFADHN COC1([C@@H](C)N[C@@H]2CCC[C@@H]2F)CCCC1 ZINC000421863075 192396396 /nfs/dbraw/zinc/39/63/96/192396396.db2.gz XAIRBIHPROLDPJ-GRYCIOLGSA-N 0 3 229.339 2.814 20 0 BFADHN CC[C@@H](O)CN[C@@H](C)c1nccc2ccccc21 ZINC000421868951 192396835 /nfs/dbraw/zinc/39/68/35/192396835.db2.gz DBXGWENCSQGPAU-WCQYABFASA-N 0 3 244.338 2.656 20 0 BFADHN COC1([C@@H](C)NCc2cnccc2C)CCCC1 ZINC000421853216 192389953 /nfs/dbraw/zinc/38/99/53/192389953.db2.gz IUVYDFBODQFDFI-CYBMUJFWSA-N 0 3 248.370 2.827 20 0 BFADHN C[C@@H](Cc1ccc(F)cc1Cl)NCCF ZINC000421856834 192389991 /nfs/dbraw/zinc/38/99/91/192389991.db2.gz PCEZNHVOQWJULZ-QMMMGPOBSA-N 0 3 233.689 2.969 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1ccncc1Cl ZINC000421860120 192390548 /nfs/dbraw/zinc/39/05/48/192390548.db2.gz UEWDQHQXQJTXEG-BBBLOLIVSA-N 0 3 242.750 2.809 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1cc(C)nc(C)c1 ZINC000421857297 192390563 /nfs/dbraw/zinc/39/05/63/192390563.db2.gz OZODRCAZMFUOQJ-GFCCVEGCSA-N 0 3 236.359 2.602 20 0 BFADHN COC1([C@H](C)NCc2cncc(C)c2)CCCC1 ZINC000421836593 192390890 /nfs/dbraw/zinc/39/08/90/192390890.db2.gz OQWOOBZLCAPEFT-ZDUSSCGKSA-N 0 3 248.370 2.827 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1ccncc1Cl ZINC000421838234 192392645 /nfs/dbraw/zinc/39/26/45/192392645.db2.gz UZEZJWLYBXFZIF-NXEZZACHSA-N 0 3 242.750 2.547 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1ccncc1Cl ZINC000421844528 192393110 /nfs/dbraw/zinc/39/31/10/192393110.db2.gz UEWDQHQXQJTXEG-IVZWLZJFSA-N 0 3 242.750 2.809 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1ccncc1Cl ZINC000421844529 192393457 /nfs/dbraw/zinc/39/34/57/192393457.db2.gz UEWDQHQXQJTXEG-LPEHRKFASA-N 0 3 242.750 2.809 20 0 BFADHN COC(=O)c1cccc(CN(C2CC2)C2CC2)c1 ZINC000599593403 349222809 /nfs/dbraw/zinc/22/28/09/349222809.db2.gz DRLZFOMOOZAGND-UHFFFAOYSA-N 0 3 245.322 2.600 20 0 BFADHN Cc1cscc1CN[C@@H](C)[C@H]1CCCO1 ZINC000336684996 179805491 /nfs/dbraw/zinc/80/54/91/179805491.db2.gz KLQJROYOCNBFIS-CMPLNLGQSA-N 0 3 225.357 2.714 20 0 BFADHN C[C@H](N[C@H]1CC[C@H]1C1CC1)c1cscn1 ZINC000308976213 349261671 /nfs/dbraw/zinc/26/16/71/349261671.db2.gz GSGYZCUERNKIJX-LSJOCFKGSA-N 0 3 222.357 2.982 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@@H](C)c1ccncn1 ZINC000388615970 349264620 /nfs/dbraw/zinc/26/46/20/349264620.db2.gz ASQBQYWOQSAFKJ-LOWVWBTDSA-N 0 3 219.332 2.706 20 0 BFADHN Cc1c[nH]c(CN2C[C@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)n1 ZINC000628303059 349269107 /nfs/dbraw/zinc/26/91/07/349269107.db2.gz DKAQWHKCXSWGRC-UKTARXLSSA-N 0 3 245.370 2.586 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1Cc2ccccc21 ZINC000037565780 349269972 /nfs/dbraw/zinc/26/99/72/349269972.db2.gz QJEPXUPXHJRONJ-ZDUSSCGKSA-N 0 3 242.322 2.721 20 0 BFADHN Cc1ncc(CNCCC2CC(F)(F)C2)o1 ZINC000628304814 349335721 /nfs/dbraw/zinc/33/57/21/349335721.db2.gz VEILAURORWZURB-UHFFFAOYSA-N 0 3 230.258 2.508 20 0 BFADHN Cc1ccc(CN2CCCCC[C@@H]2CCO)nc1 ZINC000342032565 538039304 /nfs/dbraw/zinc/03/93/04/538039304.db2.gz MQQDTDDWPYBYHU-OAHLLOKOSA-N 0 3 248.370 2.517 20 0 BFADHN C/C(=C/c1ccccc1)CN1CC[C@](C)(O)C1 ZINC000255040242 349365193 /nfs/dbraw/zinc/36/51/93/349365193.db2.gz DRYVXYAIATVCJW-WVUXNXBLSA-N 0 3 231.339 2.547 20 0 BFADHN Cc1ccc(CN2CCCCC[C@H]2CCO)nc1 ZINC000342032564 538039935 /nfs/dbraw/zinc/03/99/35/538039935.db2.gz MQQDTDDWPYBYHU-HNNXBMFYSA-N 0 3 248.370 2.517 20 0 BFADHN CCc1ccccc1CNCc1ccc(=O)[nH]c1 ZINC000392719123 349371388 /nfs/dbraw/zinc/37/13/88/349371388.db2.gz ZRGXWQRHBZCBTQ-UHFFFAOYSA-N 0 3 242.322 2.639 20 0 BFADHN CCn1ccnc1CNC[C@H](C)CC(F)(F)F ZINC000396967287 350013923 /nfs/dbraw/zinc/01/39/23/350013923.db2.gz XUTRQMZGCJSYKN-SECBINFHSA-N 0 3 249.280 2.581 20 0 BFADHN COc1c(C)cnc(CN2C[C@@H](C)C[C@H]2C)c1C ZINC000409445149 191013863 /nfs/dbraw/zinc/01/38/63/191013863.db2.gz CMUPRHQMGDGYHC-CMPLNLGQSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@H](COC)NCc1ccc(F)cc1C ZINC000070658032 350039956 /nfs/dbraw/zinc/03/99/56/350039956.db2.gz QOGNVUPBBAEJBW-CYBMUJFWSA-N 0 3 225.307 2.649 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@@H](C)C2)cc1Cl ZINC000402239570 350082694 /nfs/dbraw/zinc/08/26/94/350082694.db2.gz XMHQBEHWSGEFBI-CMPLNLGQSA-N 0 3 239.746 2.915 20 0 BFADHN CN(CCc1cccnc1)Cc1ccsc1 ZINC000604041041 350072393 /nfs/dbraw/zinc/07/23/93/350072393.db2.gz GQEBHLNTWXOMJF-UHFFFAOYSA-N 0 3 232.352 2.818 20 0 BFADHN CCCOc1cccc(CN[C@H]2CO[C@@H](C)C2)c1 ZINC000402279339 350107655 /nfs/dbraw/zinc/10/76/55/350107655.db2.gz FXIPOGRIOUGPSI-GXTWGEPZSA-N 0 3 249.354 2.742 20 0 BFADHN CCCOc1cccc(CN[C@@H]2CO[C@@H](C)C2)c1 ZINC000402279348 350107731 /nfs/dbraw/zinc/10/77/31/350107731.db2.gz FXIPOGRIOUGPSI-JSGCOSHPSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1nc(N[C@@H]2CCCN(C)[C@@H]2C)sc1C ZINC000336748646 180022637 /nfs/dbraw/zinc/02/26/37/180022637.db2.gz SXTRIMZAHVPHEP-MWLCHTKSSA-N 0 3 239.388 2.655 20 0 BFADHN Cc1nc(N[C@@H]2CCN(C)[C@H](C)C2)sc1C ZINC000123971492 180024357 /nfs/dbraw/zinc/02/43/57/180024357.db2.gz KTLPDVIAEWLDDG-LDYMZIIASA-N 0 3 239.388 2.655 20 0 BFADHN C[C@H](CCc1cccc(F)c1)NCc1ncc[nH]1 ZINC000604417604 350127599 /nfs/dbraw/zinc/12/75/99/350127599.db2.gz MGLYCFYKRYRGBD-LLVKDONJSA-N 0 3 247.317 2.660 20 0 BFADHN Cc1nc([C@@H](C)N2CCCC(C)(C)CC2)n[nH]1 ZINC000336283785 180035316 /nfs/dbraw/zinc/03/53/16/180035316.db2.gz XWHZCIWLVGEXJW-SNVBAGLBSA-N 0 3 236.363 2.686 20 0 BFADHN Cc1nc([C@@H](C)NCCC[C@H](C)CO)cs1 ZINC000222543485 180036268 /nfs/dbraw/zinc/03/62/68/180036268.db2.gz RTVPLSQBTBSHFG-VHSXEESVSA-N 0 3 242.388 2.511 20 0 BFADHN Cc1nc([C@@H](C)N[C@H](C)C2CC2)cs1 ZINC000036915607 180036750 /nfs/dbraw/zinc/03/67/50/180036750.db2.gz ALEXVPPFDHTSQY-HTQZYQBOSA-N 0 3 210.346 2.901 20 0 BFADHN Cc1nc([C@@H](C)NC[C@@]2(C)CCOC2)cs1 ZINC000309561340 180037389 /nfs/dbraw/zinc/03/73/89/180037389.db2.gz LDJNJFROFCKPCR-BXKDBHETSA-N 0 3 240.372 2.529 20 0 BFADHN CC(C)Oc1cccc(CN[C@H]2CO[C@@H](C)C2)c1 ZINC000402282873 350115038 /nfs/dbraw/zinc/11/50/38/350115038.db2.gz GMLCICBFSWCEGX-GXTWGEPZSA-N 0 3 249.354 2.741 20 0 BFADHN C[C@@H](CCc1ccccc1)NCc1ccno1 ZINC000219159164 350116138 /nfs/dbraw/zinc/11/61/38/350116138.db2.gz ZMRUQOIQIIODCS-LBPRGKRZSA-N 0 3 230.311 2.786 20 0 BFADHN CCn1nc(CNCC2CC2)c2ccccc21 ZINC000089173903 191019731 /nfs/dbraw/zinc/01/97/31/191019731.db2.gz WQDQMQHZZYTCTF-UHFFFAOYSA-N 0 3 229.327 2.556 20 0 BFADHN CCn1nc(CNC2CCC2)c2ccccc21 ZINC000089174341 191019949 /nfs/dbraw/zinc/01/99/49/191019949.db2.gz DXIKHBYSEJUFDF-UHFFFAOYSA-N 0 3 229.327 2.698 20 0 BFADHN Cc1nc([C@@H]2CCCN(CCC(C)C)C2)no1 ZINC000360107288 180043220 /nfs/dbraw/zinc/04/32/20/180043220.db2.gz HZRNXGXPUIZSST-GFCCVEGCSA-N 0 3 237.347 2.604 20 0 BFADHN Cc1nc([C@H](C)NCC[C@@H](C)CCO)cs1 ZINC000297450023 180048415 /nfs/dbraw/zinc/04/84/15/180048415.db2.gz WXNKMRIJCKLTQF-ZJUUUORDSA-N 0 3 242.388 2.511 20 0 BFADHN Cc1nc([C@H](C)N[C@@H]2CC[C@@H]2C)cs1 ZINC000307028310 180051741 /nfs/dbraw/zinc/05/17/41/180051741.db2.gz BKGZZLDBGYTLHP-OYNCUSHFSA-N 0 3 210.346 2.901 20 0 BFADHN CCc1ccc(CCN2C[C@@H](C)OC[C@@H]2C)cc1 ZINC000604385034 350121681 /nfs/dbraw/zinc/12/16/81/350121681.db2.gz KPVNAKMUOQACGX-UONOGXRCSA-N 0 3 247.382 2.901 20 0 BFADHN CC1(C)CN([C@H]2C=CCCC2)C(C)(C)CO1 ZINC000604387020 350122649 /nfs/dbraw/zinc/12/26/49/350122649.db2.gz YXENTMYEBGVSDT-LBPRGKRZSA-N 0 3 223.360 2.985 20 0 BFADHN Cc1nc2cc(NC3CCN(C)CC3)ccc2o1 ZINC000020143938 180081098 /nfs/dbraw/zinc/08/10/98/180081098.db2.gz VWXBTTGIZLMKMQ-UHFFFAOYSA-N 0 3 245.326 2.642 20 0 BFADHN CC(C)(C)c1ccc(CNC2(CO)CCC2)cc1 ZINC000133161353 191037605 /nfs/dbraw/zinc/03/76/05/191037605.db2.gz PQAJKDBPNSCKLS-UHFFFAOYSA-N 0 3 247.382 2.989 20 0 BFADHN COC(C)(C)CNCc1ccc(F)cc1Cl ZINC000133405277 191040042 /nfs/dbraw/zinc/04/00/42/191040042.db2.gz NLGRPTORDCXRDI-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN Cc1ncc(CN(C)CCCCF)s1 ZINC000286162532 180137871 /nfs/dbraw/zinc/13/78/71/180137871.db2.gz YADOLLWHIGRTSG-UHFFFAOYSA-N 0 3 216.325 2.633 20 0 BFADHN Cc1ncc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)cn1 ZINC000336439836 180140528 /nfs/dbraw/zinc/14/05/28/180140528.db2.gz BVWVBGKGHLQJRK-KBPBESRZSA-N 0 3 245.370 2.796 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)C3(CCC3)C2)cn1 ZINC000334146183 180142405 /nfs/dbraw/zinc/14/24/05/180142405.db2.gz BPKIEEJJIZACSE-GFCCVEGCSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1nc2sccn2c1CN(C)CCC1CC1 ZINC000336708643 180117996 /nfs/dbraw/zinc/11/79/96/180117996.db2.gz YHHIGKIQILBJMV-UHFFFAOYSA-N 0 3 249.383 2.936 20 0 BFADHN Cc1ncc(CN2CCSCC[C@@H]2C)s1 ZINC000191813418 180144243 /nfs/dbraw/zinc/14/42/43/180144243.db2.gz LKBAKYSWSAFCKW-VIFPVBQESA-N 0 3 242.413 2.779 20 0 BFADHN Cc1ncc(CN[C@@H]2CC23CCCC3)s1 ZINC000335600001 180153793 /nfs/dbraw/zinc/15/37/93/180153793.db2.gz NZYZMLRMZBDJJU-LLVKDONJSA-N 0 3 222.357 2.874 20 0 BFADHN CC(C)Cn1cc(CN2CC[C@H]3CCC[C@H]32)cn1 ZINC000428454606 191075245 /nfs/dbraw/zinc/07/52/45/191075245.db2.gz ILGOCFMDYKKTKT-HUUCEWRRSA-N 0 3 247.386 2.914 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H]2CCC2(C)C)c(C)n1 ZINC000287173189 180172500 /nfs/dbraw/zinc/17/25/00/180172500.db2.gz UTPKKFFKDIVYDL-ZWNOBZJWSA-N 0 3 233.359 2.933 20 0 BFADHN Cc1ncc(CN2C[C@@H]3CCC[C@H]3C2)s1 ZINC000153205260 180145374 /nfs/dbraw/zinc/14/53/74/180145374.db2.gz XKXDXTDVFYAMEU-QWRGUYRKSA-N 0 3 222.357 2.683 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)[C@H](C)C2)s1 ZINC000122724675 180145929 /nfs/dbraw/zinc/14/59/29/180145929.db2.gz KGJGEOJVEIIZPO-NXEZZACHSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1ncc(CN2C[C@H](C)[C@H](C)C2)s1 ZINC000267670266 180146600 /nfs/dbraw/zinc/14/66/00/180146600.db2.gz OGCLRPFWTGSBKR-DTORHVGOSA-N 0 3 210.346 2.539 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)C[C@H](C)C2)cn1 ZINC000336341912 180146705 /nfs/dbraw/zinc/14/67/05/180146705.db2.gz HFAJFDFOHPIBNN-RYUDHWBXSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1ncc(CN2C[C@@H](C)[C@H](C)C2)s1 ZINC000267670271 180146875 /nfs/dbraw/zinc/14/68/75/180146875.db2.gz OGCLRPFWTGSBKR-RKDXNWHRSA-N 0 3 210.346 2.539 20 0 BFADHN CC(C)Cn1cc(CN2CC[C@@H]3CCC[C@H]32)cn1 ZINC000428454607 191075093 /nfs/dbraw/zinc/07/50/93/191075093.db2.gz ILGOCFMDYKKTKT-LSDHHAIUSA-N 0 3 247.386 2.914 20 0 BFADHN Cc1ncc(CNC[C@]2(C)CCCS2)s1 ZINC000087620437 180151274 /nfs/dbraw/zinc/15/12/74/180151274.db2.gz RKOBTXYQRVUDNB-NSHDSACASA-N 0 3 242.413 2.827 20 0 BFADHN CC[C@@H](N[C@H]1CCCOCC1)c1nccs1 ZINC000083425830 350207068 /nfs/dbraw/zinc/20/70/68/350207068.db2.gz JSMAUCHNBSFQII-WDEREUQCSA-N 0 3 240.372 2.753 20 0 BFADHN Cc1nccc(CN2CCC3(C2)CCCCC3)n1 ZINC000336376469 180183300 /nfs/dbraw/zinc/18/33/00/180183300.db2.gz BPFVBYVCQXQHHF-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN Cc1nccc(CN(CC2CC2)C2CCC2)n1 ZINC000353282436 180184882 /nfs/dbraw/zinc/18/48/82/180184882.db2.gz JCIWHBNGQFTFLV-UHFFFAOYSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1nccc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)n1 ZINC000353154543 180185235 /nfs/dbraw/zinc/18/52/35/180185235.db2.gz MUAIVKMWFUXAAG-WOPDTQHZSA-N 0 3 233.359 2.651 20 0 BFADHN COc1ncccc1CNC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403232415 350227092 /nfs/dbraw/zinc/22/70/92/350227092.db2.gz ORGXYPJLOOGFHI-BZPMIXESSA-N 0 3 246.354 2.616 20 0 BFADHN Cc1ncccc1CN1CCCC[C@H]1C[C@@H](C)O ZINC000336792274 180218978 /nfs/dbraw/zinc/21/89/78/180218978.db2.gz RGHPNLSJSKNZHJ-DOMZBBRYSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1ncccc1CN[C@H]1CC12CCCC2 ZINC000309716054 180222662 /nfs/dbraw/zinc/22/26/62/180222662.db2.gz SYCJKIGZAZCRDZ-ZDUSSCGKSA-N 0 3 216.328 2.812 20 0 BFADHN CCN1CCC[C@@H]1CNc1nc(C)c(C)s1 ZINC000083532651 350237562 /nfs/dbraw/zinc/23/75/62/350237562.db2.gz GSIMBOZNLKZPLT-LLVKDONJSA-N 0 3 239.388 2.656 20 0 BFADHN COCCCCN(Cc1ccc(C)o1)C1CC1 ZINC000605149942 350259520 /nfs/dbraw/zinc/25/95/20/350259520.db2.gz SFFFSUPXENOXDI-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN CC(C)CC[C@H](C)N[C@H](C)c1ncc[nH]1 ZINC000124758694 490401850 /nfs/dbraw/zinc/40/18/50/490401850.db2.gz BMKBKQGOKIQCPO-WDEREUQCSA-N 0 3 209.337 2.885 20 0 BFADHN CN(Cc1cncc(Cl)c1)[C@@H]1CCSC1 ZINC000621270273 350311631 /nfs/dbraw/zinc/31/16/31/350311631.db2.gz VNIOQKOYJXUALH-LLVKDONJSA-N 0 3 242.775 2.672 20 0 BFADHN Cc1nccnc1[C@H](C)NCC1CCCC1 ZINC000219111093 180281539 /nfs/dbraw/zinc/28/15/39/180281539.db2.gz USGDFKHVEVHMRX-NSHDSACASA-N 0 3 219.332 2.626 20 0 BFADHN C[C@@H](CNc1nc2ccccc2s1)N(C)C ZINC000037985922 350295427 /nfs/dbraw/zinc/29/54/27/350295427.db2.gz JRAGSENNFAAXPN-VIFPVBQESA-N 0 3 235.356 2.658 20 0 BFADHN C[C@H]1c2ccc(F)cc2CCN1CCCCO ZINC000606449856 350339276 /nfs/dbraw/zinc/33/92/76/350339276.db2.gz HGZCCTGTXJSTOV-NSHDSACASA-N 0 3 237.318 2.517 20 0 BFADHN c1nc2c(s1)CN(CCc1ccccc1)CC2 ZINC000224777903 350340199 /nfs/dbraw/zinc/34/01/99/350340199.db2.gz AWOKGMGEUPVQSH-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN Cc1ncsc1CN(C)[C@@H](C)C(C)C ZINC000271043798 180314321 /nfs/dbraw/zinc/31/43/21/180314321.db2.gz KFFPREGZFRRLEH-JTQLQIEISA-N 0 3 212.362 2.928 20 0 BFADHN Cc1ncsc1CN1CCCCCC1 ZINC000157386165 180315276 /nfs/dbraw/zinc/31/52/76/180315276.db2.gz ZGYMOXLPRGZYBT-UHFFFAOYSA-N 0 3 210.346 2.828 20 0 BFADHN Cc1ncsc1CCN1CCC(CF)CC1 ZINC000295140902 180309066 /nfs/dbraw/zinc/30/90/66/180309066.db2.gz MGRKHEOGMFJRME-UHFFFAOYSA-N 0 3 242.363 2.676 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)C[C@H]1CC=CCC1 ZINC000353036581 180336417 /nfs/dbraw/zinc/33/64/17/180336417.db2.gz RTQRZQZKBWDHIC-AWEZNQCLSA-N 0 3 247.386 2.825 20 0 BFADHN COc1ccc(CN(C)[C@H]2CC2(C)C)cc1O ZINC000426558719 191097829 /nfs/dbraw/zinc/09/78/29/191097829.db2.gz HLUSQFBDZNJYAY-ZDUSSCGKSA-N 0 3 235.327 2.631 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C)C2)cc1O ZINC000131927338 191101314 /nfs/dbraw/zinc/10/13/14/191101314.db2.gz QALHRPRDMHIJSG-LLVKDONJSA-N 0 3 235.327 2.633 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@@H](C)CC(C)C ZINC000124560655 180350868 /nfs/dbraw/zinc/35/08/68/180350868.db2.gz CMXYJRNVEWSMRR-VIFPVBQESA-N 0 3 243.782 2.906 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@@H]1CCC1(C)C ZINC000289964383 180350897 /nfs/dbraw/zinc/35/08/97/180350897.db2.gz CQKZFSZZXYDCSW-SNVBAGLBSA-N 0 3 241.766 2.660 20 0 BFADHN CCN(Cc1c(C)noc1C)CC1CCC1 ZINC000336663470 350425022 /nfs/dbraw/zinc/42/50/22/350425022.db2.gz SALFROCCZXEVMY-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN Cc1nn(C)c(C)c1CN1CC[C@H](C)C(C)(C)C1 ZINC000354023779 180339069 /nfs/dbraw/zinc/33/90/69/180339069.db2.gz FYUZUNOUVFDOOB-NSHDSACASA-N 0 3 249.402 2.905 20 0 BFADHN Cc1nn(C)cc1CN1CCC(C)(C2CC2)CC1 ZINC000334607540 180373678 /nfs/dbraw/zinc/37/36/78/180373678.db2.gz BOZGIHQOSREFKY-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@H]1C)c1nccn1C ZINC000070007339 350490481 /nfs/dbraw/zinc/49/04/81/350490481.db2.gz OOMGKTFUKAGPLG-GRYCIOLGSA-N 0 3 221.348 2.649 20 0 BFADHN CCCN(C)Cc1oc(C(C)C)nc1C ZINC000428228765 191105500 /nfs/dbraw/zinc/10/55/00/191105500.db2.gz LTSXBSBPPBBLCI-UHFFFAOYSA-N 0 3 210.321 2.948 20 0 BFADHN CN1CCN(Cc2ccc(C(C)(C)C)cc2)CC1 ZINC000058873697 350484010 /nfs/dbraw/zinc/48/40/10/350484010.db2.gz WYLLWPCBVGLKJM-UHFFFAOYSA-N 0 3 246.398 2.732 20 0 BFADHN CC(C)OCCN[C@@H](C)c1ccsc1 ZINC000061362614 350514311 /nfs/dbraw/zinc/51/43/11/350514311.db2.gz XZHFTUYCJIGYKH-JTQLQIEISA-N 0 3 213.346 2.824 20 0 BFADHN CC(C)(C)CN(CCO)Cc1ccc(Cl)o1 ZINC000128377858 490576814 /nfs/dbraw/zinc/57/68/14/490576814.db2.gz SUYZNWSSUPNBSK-UHFFFAOYSA-N 0 3 245.750 2.773 20 0 BFADHN CC(C)C(CN[C@H]1CCn2ccnc21)C(C)C ZINC000379089673 350526200 /nfs/dbraw/zinc/52/62/00/350526200.db2.gz KOXBLKQYUQRRTJ-ZDUSSCGKSA-N 0 3 235.375 2.846 20 0 BFADHN Fc1cc(CNC2CC=CC2)cc(F)c1F ZINC000131200690 490624359 /nfs/dbraw/zinc/62/43/59/490624359.db2.gz FJZQZKOWZZMPCG-UHFFFAOYSA-N 0 3 227.229 2.912 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1ccccc1F ZINC000220515216 490604907 /nfs/dbraw/zinc/60/49/07/490604907.db2.gz KRGJKOGHJALSBG-CABZTGNLSA-N 0 3 225.332 2.809 20 0 BFADHN COc1ccccc1CN[C@H]1CCS[C@@H]1C ZINC000220524957 490607318 /nfs/dbraw/zinc/60/73/18/490607318.db2.gz ZKQNXRUUGMPGNK-PWSUYJOCSA-N 0 3 237.368 2.679 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cnc(OC)nc1C ZINC000337137910 350559335 /nfs/dbraw/zinc/55/93/35/350559335.db2.gz QBSBJQZVRVXBEF-CYBMUJFWSA-N 0 3 249.358 2.558 20 0 BFADHN CCN1CCN(Cc2ccccc2)C(C)(C)C1 ZINC000337518596 350593063 /nfs/dbraw/zinc/59/30/63/350593063.db2.gz WRDFSWWVNPZRNX-UHFFFAOYSA-N 0 3 232.371 2.603 20 0 BFADHN COCCN[C@@H]1CCSc2ccc(F)cc21 ZINC000152092457 191114641 /nfs/dbraw/zinc/11/46/41/191114641.db2.gz LVSXDTFZTIXLJQ-LLVKDONJSA-N 0 3 241.331 2.599 20 0 BFADHN COc1ccccc1OCCN1CCCC[C@H]1C ZINC000051228269 350616939 /nfs/dbraw/zinc/61/69/39/350616939.db2.gz PDIGNGHZFYFXLE-CYBMUJFWSA-N 0 3 249.354 2.948 20 0 BFADHN Cc1ncc(CNCCCC(C)(C)C)o1 ZINC000397944576 362972335 /nfs/dbraw/zinc/97/23/35/362972335.db2.gz LMIQJXNYHYLQOD-UHFFFAOYSA-N 0 3 210.321 2.899 20 0 BFADHN COCCN[C@H](C)c1cc(C)c(F)c(C)c1 ZINC000152145962 191114555 /nfs/dbraw/zinc/11/45/55/191114555.db2.gz BOZAZDKAUDVUGD-LLVKDONJSA-N 0 3 225.307 2.740 20 0 BFADHN Cc1cc(CN[C@H](C)[C@@H]2CC2(F)F)ccn1 ZINC000623550269 362970896 /nfs/dbraw/zinc/97/08/96/362970896.db2.gz HEHFZYPSLCSMSL-KOLCDFICSA-N 0 3 226.270 2.523 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@H]1CSCc2ccccc21 ZINC000252691926 538098741 /nfs/dbraw/zinc/09/87/41/538098741.db2.gz ZLHLAFDVQYSXPX-BPNCWPANSA-N 0 3 249.379 2.742 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1ccc(F)c(F)c1 ZINC000564039869 323416905 /nfs/dbraw/zinc/41/69/05/323416905.db2.gz MYBAHIDNOOMICQ-BZHVJNSISA-N 0 3 241.281 2.793 20 0 BFADHN CCc1nc(CNCCCCF)cs1 ZINC000308483246 350752530 /nfs/dbraw/zinc/75/25/30/350752530.db2.gz MMWRTLBSVMEITI-UHFFFAOYSA-N 0 3 216.325 2.545 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CC[C@](F)(CO)C2)C1 ZINC000339001556 350725418 /nfs/dbraw/zinc/72/54/18/350725418.db2.gz OCTWQRHKKVTLKY-HZSPNIEDSA-N 0 3 243.366 2.609 20 0 BFADHN CCc1ccc(NC(=O)[C@H](N)C(C)C)cc1CC ZINC000521441160 350816407 /nfs/dbraw/zinc/81/64/07/350816407.db2.gz DQKMMFGDRMNQEJ-CQSZACIVSA-N 0 3 248.370 2.733 20 0 BFADHN CCCC[C@H](C)N(CCCC)CC(=O)N(C)C ZINC000339651178 350771486 /nfs/dbraw/zinc/77/14/86/350771486.db2.gz RAHQDTZZCBQWOR-ZDUSSCGKSA-N 0 3 242.407 2.755 20 0 BFADHN Cc1ncc(CN(C)C2CC(C)(C)C2)o1 ZINC000628309203 350785208 /nfs/dbraw/zinc/78/52/08/350785208.db2.gz GWIRWXICVOSEFI-UHFFFAOYSA-N 0 3 208.305 2.603 20 0 BFADHN c1cc2ccc(CN3C[C@@H]4C[C@H]3CS4)cc2[nH]1 ZINC000628309812 350785849 /nfs/dbraw/zinc/78/58/49/350785849.db2.gz VJNQDCXMMFRHFS-STQMWFEESA-N 0 3 244.363 2.858 20 0 BFADHN c1cc2cc(CN3C[C@@H]4C[C@H]3CS4)ccc2[nH]1 ZINC000628309742 350786104 /nfs/dbraw/zinc/78/61/04/350786104.db2.gz QBFHNXSKAJMUBW-STQMWFEESA-N 0 3 244.363 2.858 20 0 BFADHN C[C@H]1C[C@H](NCc2cnc(C3CC3)o2)CS1 ZINC000628311126 350810693 /nfs/dbraw/zinc/81/06/93/350810693.db2.gz ZLGZTOBNDUSNJL-WPRPVWTQSA-N 0 3 238.356 2.536 20 0 BFADHN COC[C@H](C)N[C@H](C)c1ccc(F)cc1 ZINC000019904662 350840500 /nfs/dbraw/zinc/84/05/00/350840500.db2.gz NSDCEKYKQGVHAG-VHSXEESVSA-N 0 3 211.280 2.511 20 0 BFADHN C[C@@H](NCc1ccccc1)c1ccncc1 ZINC000019899502 350828958 /nfs/dbraw/zinc/82/89/58/350828958.db2.gz IONQHMYOKSTIRH-GFCCVEGCSA-N 0 3 212.296 2.932 20 0 BFADHN C[C@@H](CN1CCC(=O)[C@H](C)C1)C(C)(C)C ZINC000251792701 350903062 /nfs/dbraw/zinc/90/30/62/350903062.db2.gz NNEDWKFGUKXBRR-MNOVXSKESA-N 0 3 211.349 2.580 20 0 BFADHN COCCCN(C)[C@H](C)c1ccccc1F ZINC000052074500 350929669 /nfs/dbraw/zinc/92/96/69/350929669.db2.gz LBUANAKFXJLBJE-LLVKDONJSA-N 0 3 225.307 2.855 20 0 BFADHN CC[C@H](COCC1CC1)NCc1ccnc(C)c1 ZINC000623563076 362987269 /nfs/dbraw/zinc/98/72/69/362987269.db2.gz MSRDHXRKMHEBNL-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN C/C=C/CNCc1cccc(OCCCOC)c1 ZINC000623739297 350885552 /nfs/dbraw/zinc/88/55/52/350885552.db2.gz PQGWVOVLHXVLES-ONEGZZNKSA-N 0 3 249.354 2.768 20 0 BFADHN CCN(Cc1cccc(F)c1)[C@H](C)COC ZINC000052219030 350939301 /nfs/dbraw/zinc/93/93/01/350939301.db2.gz PHEWWUVVCCFOLR-LLVKDONJSA-N 0 3 225.307 2.683 20 0 BFADHN CCc1ncc(CN[C@H]2CC23CCCCC3)cn1 ZINC000623568906 362996373 /nfs/dbraw/zinc/99/63/73/362996373.db2.gz NVUILJSFFSUWIX-ZDUSSCGKSA-N 0 3 245.370 2.851 20 0 BFADHN C=Cn1cc(CN2C[C@@H](C)CC[C@H]2C)cn1 ZINC000193977312 191129856 /nfs/dbraw/zinc/12/98/56/191129856.db2.gz SYBRBEYIQMKCIP-NWDGAFQWSA-N 0 3 219.332 2.604 20 0 BFADHN Cc1nn(C)cc1COc1cc(C)nc(C)c1C ZINC000580963783 351027259 /nfs/dbraw/zinc/02/72/59/351027259.db2.gz ZIZXWEXPZUQNDZ-UHFFFAOYSA-N 0 3 245.326 2.628 20 0 BFADHN C[C@]1(CNCc2ccccn2)C[C@H]2C[C@H]2C1 ZINC000623740067 351050492 /nfs/dbraw/zinc/05/04/92/351050492.db2.gz LYSRDFDWKBKLJQ-IMRBUKKESA-N 0 3 216.328 2.607 20 0 BFADHN C/C=C\CNCc1cn(C)nc1-c1cccs1 ZINC000623740428 351051884 /nfs/dbraw/zinc/05/18/84/351051884.db2.gz VZERYMSSSFNHMM-ARJAWSKDSA-N 0 3 247.367 2.814 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)C[C@H]2C)o1 ZINC000628319128 351106242 /nfs/dbraw/zinc/10/62/42/351106242.db2.gz NEPOICRNQROIIS-NXEZZACHSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H]1CN(CC2CCCCC2)C[C@H](C)O1 ZINC000052856676 351074263 /nfs/dbraw/zinc/07/42/63/351074263.db2.gz CAWQGCBXQFJFTR-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN CCN(CCOC(C)C)Cc1ccccc1 ZINC000052875155 351075436 /nfs/dbraw/zinc/07/54/36/351075436.db2.gz YVYXRDAERKCNCB-UHFFFAOYSA-N 0 3 221.344 2.934 20 0 BFADHN CCCCN(C)CC(=O)N1[C@@H](C)CCC[C@@H]1C ZINC000052869605 351075510 /nfs/dbraw/zinc/07/55/10/351075510.db2.gz VAFWTRBBSDWZKF-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN CCCCCN(CCCCC)CC(=O)N(C)C ZINC000052889889 351075621 /nfs/dbraw/zinc/07/56/21/351075621.db2.gz YKRWTTCIMLHYIP-UHFFFAOYSA-N 0 3 242.407 2.757 20 0 BFADHN Cc1c[nH]c(CN2CCCC[C@@H]2C2CCC2)n1 ZINC000628322623 351211597 /nfs/dbraw/zinc/21/15/97/351211597.db2.gz DUPZLBKHICDLAZ-CYBMUJFWSA-N 0 3 233.359 2.873 20 0 BFADHN c1nc(C2CC2)oc1CN[C@]12C[C@H]1CCC2 ZINC000628337188 351282832 /nfs/dbraw/zinc/28/28/32/351282832.db2.gz YSTWPCYOKHPNSY-ZWNOBZJWSA-N 0 3 218.300 2.584 20 0 BFADHN C[C@]1(CNCc2cscn2)C[C@H]2C[C@H]2C1 ZINC000623741318 351268772 /nfs/dbraw/zinc/26/87/72/351268772.db2.gz NQBREWPSSAFVOS-IAZYJMLFSA-N 0 3 222.357 2.669 20 0 BFADHN c1ccc2nc(CN[C@]34C[C@H]3CCC4)cnc2c1 ZINC000628336301 351279053 /nfs/dbraw/zinc/27/90/53/351279053.db2.gz DSVXVKNHDHVAST-IAQYHMDHSA-N 0 3 239.322 2.662 20 0 BFADHN Cc1cc(C)c(/C=C/CN[C@@H]2C[C@H]2CO)cc1C ZINC000631126329 351365892 /nfs/dbraw/zinc/36/58/92/351365892.db2.gz IWOIGMBDQJFQCV-CGFBPQRUSA-N 0 3 245.366 2.595 20 0 BFADHN c1cc(CN[C@H]2[C@@H]3CCC[C@@H]32)n(CC2CCC2)n1 ZINC000631178421 351377664 /nfs/dbraw/zinc/37/76/64/351377664.db2.gz SKTJDDGBZPRVQJ-FICVDOATSA-N 0 3 245.370 2.571 20 0 BFADHN c1cnc2c(c1)[C@H](N[C@@H]1CC13CC3)CCC2 ZINC000631638558 351345966 /nfs/dbraw/zinc/34/59/66/351345966.db2.gz OQNPBIPPLVQRPK-CHWSQXEVSA-N 0 3 214.312 2.601 20 0 BFADHN CCC[C@H](N)C(=O)Nc1cccc2c1CCCC2 ZINC000036872712 358463177 /nfs/dbraw/zinc/46/31/77/358463177.db2.gz SRCDIPPZUMFZQW-ZDUSSCGKSA-N 0 3 246.354 2.631 20 0 BFADHN CC(C)n1ncnc1CNCC12CCC(CC1)C2 ZINC000631101235 351354051 /nfs/dbraw/zinc/35/40/51/351354051.db2.gz KIFOYFOWVRXMIG-UHFFFAOYSA-N 0 3 248.374 2.529 20 0 BFADHN CCn1ccnc1CNC[C@H]1CCC[C@@H](C)C1 ZINC000218237749 351433058 /nfs/dbraw/zinc/43/30/58/351433058.db2.gz PDAJYQXIANTXME-OLZOCXBDSA-N 0 3 235.375 2.819 20 0 BFADHN CCC[C@H](CNCc1cccc(O)c1)OCC ZINC000623598298 363034750 /nfs/dbraw/zinc/03/47/50/363034750.db2.gz YEOBYJYZWTZELZ-CQSZACIVSA-N 0 3 237.343 2.687 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1ccc(CO)o1 ZINC000571973134 323514417 /nfs/dbraw/zinc/51/44/17/323514417.db2.gz YCRPAKYWWUWVRY-UONOGXRCSA-N 0 3 237.343 2.686 20 0 BFADHN CCC[C@@H](CNCc1scnc1C)OCC ZINC000623598751 363035604 /nfs/dbraw/zinc/03/56/04/363035604.db2.gz MHLGASAVCMDPKY-NSHDSACASA-N 0 3 242.388 2.746 20 0 BFADHN CCN(Cc1cccc(O)c1OC)CC(C)C ZINC000272270681 351466690 /nfs/dbraw/zinc/46/66/90/351466690.db2.gz LHHOMMGLXPOBTC-UHFFFAOYSA-N 0 3 237.343 2.879 20 0 BFADHN c1cc(CN[C@H]2CCc3ccccc3C2)sn1 ZINC000404485446 351478835 /nfs/dbraw/zinc/47/88/35/351478835.db2.gz AZMGLMJRLJSCID-ZDUSSCGKSA-N 0 3 244.363 2.790 20 0 BFADHN CCN(Cc1cn(C)nc1C(F)F)CC(C)C ZINC000274485509 351570532 /nfs/dbraw/zinc/57/05/32/351570532.db2.gz NNDSXNNCZDSQGH-UHFFFAOYSA-N 0 3 245.317 2.836 20 0 BFADHN CC1(C)CC[C@@H](N[C@H](CCO)c2ccco2)C1 ZINC000274659300 351575765 /nfs/dbraw/zinc/57/57/65/351575765.db2.gz MXMRSPSVFNHXOV-VXGBXAGGSA-N 0 3 237.343 2.871 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccc(F)cn2)C1 ZINC000276132500 351611157 /nfs/dbraw/zinc/61/11/57/351611157.db2.gz BIUDOLACOOVIJU-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN CC(C)CN(C)[C@H]1CCc2ccccc2NC1=O ZINC000276769912 351647381 /nfs/dbraw/zinc/64/73/81/351647381.db2.gz IANQIOKWRKUTQT-AWEZNQCLSA-N 0 3 246.354 2.528 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccc(F)cn1 ZINC000276323540 351617112 /nfs/dbraw/zinc/61/71/12/351617112.db2.gz FTUSJNXSXKQEKD-CYBMUJFWSA-N 0 3 222.307 2.985 20 0 BFADHN Cc1nc(CNCC2(C3CC3)CCC2)[nH]c1C ZINC000577747139 366052024 /nfs/dbraw/zinc/05/20/24/366052024.db2.gz SIQBPYAVUZBMQN-UHFFFAOYSA-N 0 3 233.359 2.696 20 0 BFADHN COc1cncc(CN2CCC[C@@H](C)C2)c1C ZINC000637831701 351681021 /nfs/dbraw/zinc/68/10/21/351681021.db2.gz FOWJKCHWCJOCBN-LLVKDONJSA-N 0 3 234.343 2.631 20 0 BFADHN CC(C)=C(C)CC(=O)NC[C@@H](N)c1ccccc1 ZINC000637832733 351681963 /nfs/dbraw/zinc/68/19/63/351681963.db2.gz MACWEZPHGQNGPK-CQSZACIVSA-N 0 3 246.354 2.549 20 0 BFADHN C[C@@H]1CCCN(Cc2ccnn2CC2CCC2)C1 ZINC000637833577 351683953 /nfs/dbraw/zinc/68/39/53/351683953.db2.gz UFZUFPHAMJGABX-CYBMUJFWSA-N 0 3 247.386 2.915 20 0 BFADHN COc1cncc(CN2CCCC[C@H]2C)c1C ZINC000637835574 351686934 /nfs/dbraw/zinc/68/69/34/351686934.db2.gz IAXALMCPMWWPID-LLVKDONJSA-N 0 3 234.343 2.773 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)C2)sn1 ZINC000637833924 351688087 /nfs/dbraw/zinc/68/80/87/351688087.db2.gz WQSOPCUBZAZYHH-VIFPVBQESA-N 0 3 210.346 2.683 20 0 BFADHN COc1ccccc1CN(C)CCC[C@H]1CCO1 ZINC000637844554 351699823 /nfs/dbraw/zinc/69/98/23/351699823.db2.gz IWQYYQJAEOFVPT-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1scc(CN(CCO)C(C)C)c1C ZINC000637858274 351719810 /nfs/dbraw/zinc/71/98/10/351719810.db2.gz YCWWNCFKRQIWPV-UHFFFAOYSA-N 0 3 227.373 2.568 20 0 BFADHN COc1cncc(CN(C)C2CCCC2)c1C ZINC000637879543 351746477 /nfs/dbraw/zinc/74/64/77/351746477.db2.gz XZZRALGZVZSBRA-UHFFFAOYSA-N 0 3 234.343 2.773 20 0 BFADHN CC[C@H](C)N(C)Cc1cncc(OC)c1C ZINC000637911379 351785109 /nfs/dbraw/zinc/78/51/09/351785109.db2.gz KXJHTLAYSZQAKO-JTQLQIEISA-N 0 3 222.332 2.629 20 0 BFADHN CCN[C@H](C)C(=O)N(C)[C@@H](C)c1ccc(C)cc1 ZINC000637915850 351790779 /nfs/dbraw/zinc/79/07/79/351790779.db2.gz AITCAJOEUUQQCF-OLZOCXBDSA-N 0 3 248.370 2.512 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1c2c(nn1C)CCCC2 ZINC000637919816 351795080 /nfs/dbraw/zinc/79/50/80/351795080.db2.gz JEILTGRDBJNKRP-LLVKDONJSA-N 0 3 247.386 2.529 20 0 BFADHN Cc1cc(CN(C)[C@H](C)C2CC2)sn1 ZINC000637920984 351796031 /nfs/dbraw/zinc/79/60/31/351796031.db2.gz STZBLYSMVYSUAX-SECBINFHSA-N 0 3 210.346 2.682 20 0 BFADHN COc1cccc(OC)c1CN(C)[C@@H](C)C1CC1 ZINC000637921658 351796471 /nfs/dbraw/zinc/79/64/71/351796471.db2.gz YTHJMTXQYROXBA-NSHDSACASA-N 0 3 249.354 2.934 20 0 BFADHN CC[C@@H](NCc1ccnc(N)c1)c1ccccc1 ZINC000278363408 351759446 /nfs/dbraw/zinc/75/94/46/351759446.db2.gz FMYFZDWSVLAMHU-CQSZACIVSA-N 0 3 241.338 2.905 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H]1CCc2cc(F)ccc21 ZINC000577821113 366071150 /nfs/dbraw/zinc/07/11/50/366071150.db2.gz AXFBIHUQLMBUED-MGPQQGTHSA-N 0 3 235.302 2.580 20 0 BFADHN Cc1scc(CN(C)C[C@@H]2CCCO2)c1C ZINC000637893698 351765063 /nfs/dbraw/zinc/76/50/63/351765063.db2.gz KCQOUHKSDYVYRJ-ZDUSSCGKSA-N 0 3 239.384 2.976 20 0 BFADHN CCN(Cc1ccc(F)nc1C)C(C)C ZINC000278478534 351766291 /nfs/dbraw/zinc/76/62/91/351766291.db2.gz RNGRSNIIIFHDKW-UHFFFAOYSA-N 0 3 210.296 2.759 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)N(C)[C@@H](C)C(C)(C)C ZINC000637929716 351803340 /nfs/dbraw/zinc/80/33/40/351803340.db2.gz OKWQCFXSQZYLCX-NWDGAFQWSA-N 0 3 242.407 2.643 20 0 BFADHN C[C@H](c1ccco1)N(C)CCC[C@@H]1CCO1 ZINC000637902685 351774453 /nfs/dbraw/zinc/77/44/53/351774453.db2.gz MKYMBROJGWBFEK-VXGBXAGGSA-N 0 3 223.316 2.842 20 0 BFADHN CC[C@@](C)(CN1CCc2ccc(O)cc2C1)OC ZINC000637946908 351822275 /nfs/dbraw/zinc/82/22/75/351822275.db2.gz NMAHHLCLBAFQTO-HNNXBMFYSA-N 0 3 249.354 2.565 20 0 BFADHN CC[C@](C)(CN(C)Cc1cnc(C)s1)OC ZINC000637950132 351826597 /nfs/dbraw/zinc/82/65/97/351826597.db2.gz BJWKBTLOIJCFFS-GFCCVEGCSA-N 0 3 242.388 2.698 20 0 BFADHN CC[C@H](C)[C@H](CN(C)Cc1ccoc1)OC ZINC000637964986 351838530 /nfs/dbraw/zinc/83/85/30/351838530.db2.gz FAALYTQNYJVGTE-AAEUAGOBSA-N 0 3 225.332 2.773 20 0 BFADHN CC[C@@](C)(CN1CCOc2ccccc2C1)OC ZINC000637956764 351832565 /nfs/dbraw/zinc/83/25/65/351832565.db2.gz BTVRUDJDKGXZJV-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@@](C)(CN(C)Cc1ccoc1)OC ZINC000637964952 351838589 /nfs/dbraw/zinc/83/85/89/351838589.db2.gz DEZIFDWYFFUKCN-LBPRGKRZSA-N 0 3 211.305 2.527 20 0 BFADHN CC[C@H](C)CN(C)Cc1cnc(OC)s1 ZINC000637940302 351817663 /nfs/dbraw/zinc/81/76/63/351817663.db2.gz FWBIMUKZTARXIS-VIFPVBQESA-N 0 3 228.361 2.630 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccns2)C1 ZINC000637943075 351818249 /nfs/dbraw/zinc/81/82/49/351818249.db2.gz BHBKLYWHAXMWJE-SNVBAGLBSA-N 0 3 210.346 2.765 20 0 BFADHN CC[C@@H]1CCCN1[C@@H](c1nccn1C)C1CC1 ZINC000637965401 351839918 /nfs/dbraw/zinc/83/99/18/351839918.db2.gz RLQQQEBLIWLADY-CHWSQXEVSA-N 0 3 233.359 2.746 20 0 BFADHN CC[C@H](C)[C@@H](CN(C)C[C@@H]1CCCCO1)OC ZINC000637967902 351842923 /nfs/dbraw/zinc/84/29/23/351842923.db2.gz YVCXUIRQIVQFFI-MELADBBJSA-N 0 3 243.391 2.548 20 0 BFADHN CC[C@H](CO)N[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000162601100 323630543 /nfs/dbraw/zinc/63/05/43/323630543.db2.gz JQWYBVXEUMGGJP-WCBMZHEXSA-N 0 3 245.725 2.901 20 0 BFADHN Cn1nc2c(c1CN1CCCC1(C)C)CCCC2 ZINC000637996538 351871059 /nfs/dbraw/zinc/87/10/59/351871059.db2.gz JORRHWQSLQYRTB-UHFFFAOYSA-N 0 3 247.386 2.673 20 0 BFADHN Cc1scc(CN2CCCO[C@H](C)C2)c1C ZINC000638022937 351899559 /nfs/dbraw/zinc/89/95/59/351899559.db2.gz ZNGDONFLOSNDGS-SNVBAGLBSA-N 0 3 239.384 2.976 20 0 BFADHN Cc1ccnc([C@@H](C)NC[C@]2(C)CCCO2)c1 ZINC000353543397 187547280 /nfs/dbraw/zinc/54/72/80/187547280.db2.gz NNORKGQSKACUIT-OCCSQVGLSA-N 0 3 234.343 2.610 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CCC[C@H]1C1CC1 ZINC000638028143 351907511 /nfs/dbraw/zinc/90/75/11/351907511.db2.gz GVTPMVNTTPGOCD-KBPBESRZSA-N 0 3 245.370 2.746 20 0 BFADHN Cc1scc(CN(C)[C@@H]2CCOC2)c1C ZINC000638028690 351907994 /nfs/dbraw/zinc/90/79/94/351907994.db2.gz HOTORHWLSKZART-GFCCVEGCSA-N 0 3 225.357 2.586 20 0 BFADHN CC1(C)CN([C@H]2CCOC2)Cc2ccccc21 ZINC000284846975 352007581 /nfs/dbraw/zinc/00/75/81/352007581.db2.gz CLBSKQKZRCPVJF-ZDUSSCGKSA-N 0 3 231.339 2.569 20 0 BFADHN COc1ncc(CN2CCCCC[C@@H]2C)s1 ZINC000638077539 351950782 /nfs/dbraw/zinc/95/07/82/351950782.db2.gz UHJURPHVCGPGIW-JTQLQIEISA-N 0 3 240.372 2.916 20 0 BFADHN c1cc(CN2CCc3ccccc3CC2)sn1 ZINC000638079357 351954514 /nfs/dbraw/zinc/95/45/14/351954514.db2.gz SONQZWJOPBNBPU-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN Cc1cc(CN2C[C@H]3CCC[C@@H]3C2)sn1 ZINC000638086139 351963311 /nfs/dbraw/zinc/96/33/11/351963311.db2.gz CKEFPHPCUIMYEV-GHMZBOCLSA-N 0 3 222.357 2.683 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1ccns1 ZINC000638097354 351977782 /nfs/dbraw/zinc/97/77/82/351977782.db2.gz XBSBJGATSJRIHY-VHSXEESVSA-N 0 3 210.346 2.764 20 0 BFADHN CC(C)C1(CN(C)Cc2cccn2C)CC1 ZINC000638106572 351984742 /nfs/dbraw/zinc/98/47/42/351984742.db2.gz PXLSUPGDXLEESK-UHFFFAOYSA-N 0 3 220.360 2.893 20 0 BFADHN Cn1ccnc1[C@@H](C1CC1)N1CC[C@H](C2CC2)C1 ZINC000638134630 352002716 /nfs/dbraw/zinc/00/27/16/352002716.db2.gz PAILVDFVRKVLEX-UONOGXRCSA-N 0 3 245.370 2.603 20 0 BFADHN CN(C)Cc1cccc(NCCC[C@H]2CCO2)c1 ZINC000638192613 352054898 /nfs/dbraw/zinc/05/48/98/352054898.db2.gz YHSJQYKQGCOGDE-HNNXBMFYSA-N 0 3 248.370 2.729 20 0 BFADHN Cc1cncc(CNCc2ccccc2)c1 ZINC000083891998 352055092 /nfs/dbraw/zinc/05/50/92/352055092.db2.gz CJMAHZOUOCPFAL-UHFFFAOYSA-N 0 3 212.296 2.680 20 0 BFADHN C[C@@H](N(C)[C@H](c1nccn1C)C1CC1)C1(C)CC1 ZINC000638170571 352035379 /nfs/dbraw/zinc/03/53/79/352035379.db2.gz LTUCMJDZDDKVNK-YPMHNXCESA-N 0 3 247.386 2.992 20 0 BFADHN CC(C)[C@@H]1CCN([C@@H](c2nccn2C)C2CC2)C1 ZINC000638168066 352036287 /nfs/dbraw/zinc/03/62/87/352036287.db2.gz BRKJXUBWVPTQOA-ZIAGYGMSSA-N 0 3 247.386 2.849 20 0 BFADHN CCc1cc(CNCCc2ccc(C)cc2)on1 ZINC000638273798 352110841 /nfs/dbraw/zinc/11/08/41/352110841.db2.gz KWAYXOFEPXBUCE-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN CCNCc1cc(Cl)cc(Cl)c1N ZINC000638276105 352113267 /nfs/dbraw/zinc/11/32/67/352113267.db2.gz QBMPHINULGUTLI-UHFFFAOYSA-N 0 3 219.115 2.685 20 0 BFADHN C[C@H]1C[C@H]1CNCc1nc2ccccc2o1 ZINC000638362264 352174645 /nfs/dbraw/zinc/17/46/45/352174645.db2.gz DAUVUNFURIPJAO-UWVGGRQHSA-N 0 3 216.284 2.573 20 0 BFADHN CCCC[C@@H](C)NCc1ncccc1N(C)C ZINC000289607564 352191556 /nfs/dbraw/zinc/19/15/56/352191556.db2.gz CXRZKXZYCMOPHK-GFCCVEGCSA-N 0 3 235.375 2.816 20 0 BFADHN Cc1ccc(OCCNCc2ccns2)cc1 ZINC000638319459 352143088 /nfs/dbraw/zinc/14/30/88/352143088.db2.gz WKWFGOUSPJKNJI-UHFFFAOYSA-N 0 3 248.351 2.620 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C)c1ccco1 ZINC000527998093 323734688 /nfs/dbraw/zinc/73/46/88/323734688.db2.gz AUJZWOXMAPQFRS-JPYZYGQNSA-N 0 3 223.316 2.742 20 0 BFADHN CC[C@@H](N[C@@H](c1nccn1C)C1CC1)C(C)C ZINC000638428186 352236280 /nfs/dbraw/zinc/23/62/80/352236280.db2.gz SMCLYOWMBVQMEZ-CHWSQXEVSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@@H](C)[C@@H](CN[C@@H](C)c1ccccn1)OC ZINC000638425113 352236601 /nfs/dbraw/zinc/23/66/01/352236601.db2.gz HQKAROFAJOXROS-MBNYWOFBSA-N 0 3 236.359 2.793 20 0 BFADHN CCN[C@H](C)C(=O)Nc1ccc(Cl)c(C)c1 ZINC000638395680 352202830 /nfs/dbraw/zinc/20/28/30/352202830.db2.gz CVNOMPUMVJQUBL-SECBINFHSA-N 0 3 240.734 2.585 20 0 BFADHN CCOc1ccccc1CN[C@H](C)[C@@H]1CCCO1 ZINC000020205431 352204537 /nfs/dbraw/zinc/20/45/37/352204537.db2.gz WAKBRQMUSXTZJE-OCCSQVGLSA-N 0 3 249.354 2.742 20 0 BFADHN CCN(Cc1ccccn1)[C@@H]1CCOC(C)(C)C1 ZINC000289970668 352205035 /nfs/dbraw/zinc/20/50/35/352205035.db2.gz XCJVHZBOPCTOCJ-CQSZACIVSA-N 0 3 248.370 2.861 20 0 BFADHN Cc1nc2ccccc2nc1CN[C@H]1CC1(C)C ZINC000638406395 352214772 /nfs/dbraw/zinc/21/47/72/352214772.db2.gz IAPXIXLSMKVAHP-AWEZNQCLSA-N 0 3 241.338 2.826 20 0 BFADHN CCC(C)(C)[C@@H](C)NC(=O)[C@@H](N)CCC(C)C ZINC000638406405 352215139 /nfs/dbraw/zinc/21/51/39/352215139.db2.gz IGFLCMFUMLHFIM-NEPJUHHUSA-N 0 3 242.407 2.691 20 0 BFADHN Cc1cc(CN2CCC(C)(F)CC2)ccn1 ZINC000630072970 363156123 /nfs/dbraw/zinc/15/61/23/363156123.db2.gz OLVWYXKUVNZVEH-UHFFFAOYSA-N 0 3 222.307 2.714 20 0 BFADHN Cn1ccnc1[C@H](NC(C1CC1)C1CC1)C1CC1 ZINC000638437873 352245220 /nfs/dbraw/zinc/24/52/20/352245220.db2.gz PPWAABWDTVEDGP-CQSZACIVSA-N 0 3 245.370 2.649 20 0 BFADHN CC[C@H](C)c1cccc(NC(=O)CNC2CC2)c1 ZINC000638469279 352278294 /nfs/dbraw/zinc/27/82/94/352278294.db2.gz VONTWPKWYXEDIH-NSHDSACASA-N 0 3 246.354 2.891 20 0 BFADHN CCC(C)(C)N[C@@H](c1nccn1C)C1CC1 ZINC000638472796 352285727 /nfs/dbraw/zinc/28/57/27/352285727.db2.gz WKXINGGJXOMLBP-LLVKDONJSA-N 0 3 221.348 2.649 20 0 BFADHN CCN(Cc1cc(C)ncn1)C1CCCCC1 ZINC000292111809 352302933 /nfs/dbraw/zinc/30/29/33/352302933.db2.gz DDQIJAZPOXITNW-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN CC[C@H](NC[C@H]1CCCO1)c1ccccc1OC ZINC000037215133 358471088 /nfs/dbraw/zinc/47/10/88/358471088.db2.gz HSZAAVBXBDSPSB-OCCSQVGLSA-N 0 3 249.354 2.915 20 0 BFADHN Cc1nc([C@@H](C)N2CC[C@@H](CC(C)C)C2)n[nH]1 ZINC000411297902 191176360 /nfs/dbraw/zinc/17/63/60/191176360.db2.gz ILECWIAVJFFHEO-PWSUYJOCSA-N 0 3 236.363 2.542 20 0 BFADHN CCN(CCc1cncs1)Cc1ccccn1 ZINC000411314945 191177711 /nfs/dbraw/zinc/17/77/11/191177711.db2.gz GJQMREPOPFIKTG-UHFFFAOYSA-N 0 3 247.367 2.603 20 0 BFADHN CSCCN(C)[C@H]1C[C@H]1c1cccc(F)c1 ZINC000411345166 191178437 /nfs/dbraw/zinc/17/84/37/191178437.db2.gz KFTSYCYOXTWWPY-STQMWFEESA-N 0 3 239.359 2.976 20 0 BFADHN CCN(Cc1nccnc1OC)C1CCCCC1 ZINC000294618314 352381023 /nfs/dbraw/zinc/38/10/23/352381023.db2.gz XVGHGTZAKFCZQZ-UHFFFAOYSA-N 0 3 249.358 2.640 20 0 BFADHN CC[C@@H](NCc1csc(C)c1)[C@@H](O)C(F)F ZINC000295044923 352395013 /nfs/dbraw/zinc/39/50/13/352395013.db2.gz MZVSXXUFHFEWHG-NXEZZACHSA-N 0 3 249.326 2.551 20 0 BFADHN C[C@]1(O)CCCN([C@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411417750 191184877 /nfs/dbraw/zinc/18/48/77/191184877.db2.gz DZXATVPEVFQUAJ-KKUMJFAQSA-N 0 3 249.329 2.528 20 0 BFADHN CCN(Cc1cnn(CC(F)F)c1)CC(C)C ZINC000295444477 352413757 /nfs/dbraw/zinc/41/37/57/352413757.db2.gz USGHYGSGAHZQDD-UHFFFAOYSA-N 0 3 245.317 2.626 20 0 BFADHN CCN(Cc1conc1C)[C@H](C)C(C)C ZINC000295476387 352414308 /nfs/dbraw/zinc/41/43/08/352414308.db2.gz WUPFTVJJAWUZRF-LLVKDONJSA-N 0 3 210.321 2.849 20 0 BFADHN CNCC(=O)Nc1ccc(Cl)cc1C(C)C ZINC000638585553 352417884 /nfs/dbraw/zinc/41/78/84/352417884.db2.gz BAZXPTRBARSEHC-UHFFFAOYSA-N 0 3 240.734 2.621 20 0 BFADHN Cc1ccncc1CN(C)CCc1cncs1 ZINC000411673976 191204819 /nfs/dbraw/zinc/20/48/19/191204819.db2.gz XVEHBNUPGOFEGM-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN CCc1nnc(CN[C@@H](C)CCC=C(C)C)[nH]1 ZINC000296115100 352434757 /nfs/dbraw/zinc/43/47/57/352434757.db2.gz SPLZKEKDNFZHHN-NSHDSACASA-N 0 3 236.363 2.592 20 0 BFADHN CCc1nnc(C[NH2+][C@@H](C)CCC=C(C)C)[n-]1 ZINC000296115100 352434760 /nfs/dbraw/zinc/43/47/60/352434760.db2.gz SPLZKEKDNFZHHN-NSHDSACASA-N 0 3 236.363 2.592 20 0 BFADHN COC(=O)CCN[C@H](C)c1c(C)cccc1C ZINC000411522934 191192675 /nfs/dbraw/zinc/19/26/75/191192675.db2.gz CFNFOLOAVSPSEO-GFCCVEGCSA-N 0 3 235.327 2.517 20 0 BFADHN CCc1ccc(CN[C@@H]2CCCC[C@H]2OC)nc1 ZINC000411553931 191193589 /nfs/dbraw/zinc/19/35/89/191193589.db2.gz DUJPAHHRVUJXDF-HUUCEWRRSA-N 0 3 248.370 2.691 20 0 BFADHN CC[C@@]1(O)CCN([C@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411566821 191193793 /nfs/dbraw/zinc/19/37/93/191193793.db2.gz HFOJSYSGXZXRIC-SOUVJXGZSA-N 0 3 249.329 2.528 20 0 BFADHN CC1(C)C[C@H](N[C@H]2CCC[C@@H]2F)C(C)(C)O1 ZINC000340533675 352440862 /nfs/dbraw/zinc/44/08/62/352440862.db2.gz WMLCHIGYNFQKGS-DCAQKATOSA-N 0 3 229.339 2.813 20 0 BFADHN CC(C)(NCCC[C@@H]1CCO1)c1nccs1 ZINC000638611009 352449291 /nfs/dbraw/zinc/44/92/91/352449291.db2.gz VKVVGTJAQPJJCZ-SNVBAGLBSA-N 0 3 240.372 2.537 20 0 BFADHN C[C@H](NCCN1CCC[C@@H](C)C1)c1ccoc1 ZINC000178067987 366083712 /nfs/dbraw/zinc/08/37/12/366083712.db2.gz ZMFZASUDDRKAIB-OLZOCXBDSA-N 0 3 236.359 2.662 20 0 BFADHN C[C@H](CCc1cccn1C)NCc1ccns1 ZINC000638625794 352463573 /nfs/dbraw/zinc/46/35/73/352463573.db2.gz IFJPCCRMPGPHLG-LLVKDONJSA-N 0 3 249.383 2.593 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@@H](C)CCCC(C)C ZINC000040858723 352605595 /nfs/dbraw/zinc/60/55/95/352605595.db2.gz SBJMHRBJLUFMTK-JSGCOSHPSA-N 0 3 242.407 2.835 20 0 BFADHN C(C1CCCC1)N1CCO[C@@H](C2CCC2)C1 ZINC000411872208 191226087 /nfs/dbraw/zinc/22/60/87/191226087.db2.gz JFAQOXLYXMPPCF-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@@H]2CCC[C@@H]2C1 ZINC000608063991 352663100 /nfs/dbraw/zinc/66/31/00/352663100.db2.gz SNLZPKDIBVYWKI-BFHYXJOUSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@@H](CNCc1cn[nH]c1)Sc1ccccc1 ZINC000608066775 352663128 /nfs/dbraw/zinc/66/31/28/352663128.db2.gz LFADRYNSMVMUCK-NSHDSACASA-N 0 3 247.367 2.680 20 0 BFADHN FC(F)(F)CCNCc1n[nH]c2ccccc12 ZINC000608071523 352670051 /nfs/dbraw/zinc/67/00/51/352670051.db2.gz BJCMWVXCASEAOE-UHFFFAOYSA-N 0 3 243.232 2.605 20 0 BFADHN FC(F)(F)CCNCc1[nH]nc2ccccc21 ZINC000608071523 352670055 /nfs/dbraw/zinc/67/00/55/352670055.db2.gz BJCMWVXCASEAOE-UHFFFAOYSA-N 0 3 243.232 2.605 20 0 BFADHN CC1(CN2CCO[C@@H](C3CCC3)C2)CCC1 ZINC000411858541 191221866 /nfs/dbraw/zinc/22/18/66/191221866.db2.gz XXUXCMAIQJCJHK-CYBMUJFWSA-N 0 3 223.360 2.678 20 0 BFADHN CO[C@](C)([C@H](C)NCc1ccc(C)cn1)C1CC1 ZINC000411972442 191233842 /nfs/dbraw/zinc/23/38/42/191233842.db2.gz QAXZSJONLLHGDT-SWLSCSKDSA-N 0 3 248.370 2.683 20 0 BFADHN C[C@@H]1CC(C)(C)CN1CCOCC(F)(F)F ZINC000412045134 191244229 /nfs/dbraw/zinc/24/42/29/191244229.db2.gz PEKYJKDJYTXVEN-SECBINFHSA-N 0 3 239.281 2.686 20 0 BFADHN CCN(Cc1occc1C)C[C@H]1CC[C@H](C)O1 ZINC000412027889 191240207 /nfs/dbraw/zinc/24/02/07/191240207.db2.gz QQOROKCIQLOMJO-QWHCGFSZSA-N 0 3 237.343 2.977 20 0 BFADHN CCN(Cc1cc(C)no1)C1CC(C)(C)C1 ZINC000412031617 191241190 /nfs/dbraw/zinc/24/11/90/191241190.db2.gz GDEAIXBNWRGBEI-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@@H]1C[C@H](O)CN([C@@H]2CCc3ccc(F)cc32)C1 ZINC000412033020 191244312 /nfs/dbraw/zinc/24/43/12/191244312.db2.gz IDHNEHVQVBDDCD-RIEGTJTDSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1cn2ccccc2n1 ZINC000412047189 191243166 /nfs/dbraw/zinc/24/31/66/191243166.db2.gz SGKNYOQHDVQJQJ-LBPRGKRZSA-N 0 3 243.354 2.955 20 0 BFADHN Cc1nnsc1CN[C@H]1CCCC(F)(F)C1 ZINC000638751915 352684096 /nfs/dbraw/zinc/68/40/96/352684096.db2.gz UEFSSHTURYRLFU-QMMMGPOBSA-N 0 3 247.314 2.514 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCCC(F)(F)C1 ZINC000638751914 352684174 /nfs/dbraw/zinc/68/41/74/352684174.db2.gz UEFSSHTURYRLFU-MRVPVSSYSA-N 0 3 247.314 2.514 20 0 BFADHN Fc1cccnc1CNC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000638778291 352727357 /nfs/dbraw/zinc/72/73/57/352727357.db2.gz DKJZEULXUOZRDO-UTUOFQBUSA-N 0 3 234.318 2.747 20 0 BFADHN CCN(Cc1ccnc(C)n1)C1CCCC1 ZINC000341996912 352735339 /nfs/dbraw/zinc/73/53/39/352735339.db2.gz SXFXNFRXSYBYNU-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN Cc1cc(C)nc(NCCC[C@H]2CCO2)c1 ZINC000638788487 352747689 /nfs/dbraw/zinc/74/76/89/352747689.db2.gz LPDCRAYJIPOYFE-LBPRGKRZSA-N 0 3 220.316 2.679 20 0 BFADHN CCN(CCSC)Cc1occc1C ZINC000412036662 191248480 /nfs/dbraw/zinc/24/84/80/191248480.db2.gz HFYNUPSYZXAELW-UHFFFAOYSA-N 0 3 213.346 2.773 20 0 BFADHN CC(C)[C@H](C)[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000366788950 352827029 /nfs/dbraw/zinc/82/70/29/352827029.db2.gz INBPSRACBIDNLM-AAEUAGOBSA-N 0 3 244.342 2.528 20 0 BFADHN CC(C)[C@H](C)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000366788950 352827031 /nfs/dbraw/zinc/82/70/31/352827031.db2.gz INBPSRACBIDNLM-AAEUAGOBSA-N 0 3 244.342 2.528 20 0 BFADHN C[C@@H]1c2ccccc2CN1CCc1ccnn1C ZINC000334193525 352784880 /nfs/dbraw/zinc/78/48/80/352784880.db2.gz SGZPRFDXJNKAHL-GFCCVEGCSA-N 0 3 241.338 2.539 20 0 BFADHN C[C@H]1c2ccccc2CN1CCc1ccnn1C ZINC000334193528 352785306 /nfs/dbraw/zinc/78/53/06/352785306.db2.gz SGZPRFDXJNKAHL-LBPRGKRZSA-N 0 3 241.338 2.539 20 0 BFADHN Clc1ccc(CN2C[C@@H]3CCC[C@@H]3C2)nc1 ZINC000334197065 352788345 /nfs/dbraw/zinc/78/83/45/352788345.db2.gz NIVFEZNOWMZQQG-PHIMTYICSA-N 0 3 236.746 2.967 20 0 BFADHN Cc1nnc(CN2CCCC23CCCCC3)o1 ZINC000334197773 352790068 /nfs/dbraw/zinc/79/00/68/352790068.db2.gz MDTZQLCNXXNDHT-UHFFFAOYSA-N 0 3 235.331 2.677 20 0 BFADHN Cc1nccc(CN2C[C@H](C)CC(C)(C)C2)n1 ZINC000334198596 352790568 /nfs/dbraw/zinc/79/05/68/352790568.db2.gz MTCVGLANUDQJBH-LLVKDONJSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1n[nH]cc1CN1CC[C@]2(CC[C@H](C)C2)C1 ZINC000334198541 352790688 /nfs/dbraw/zinc/79/06/88/352790688.db2.gz MBAIJAUZMUVGME-FZMZJTMJSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1cnc([C@@H](C)N2CCC[C@@H](C)CC2)cn1 ZINC000334211144 352808574 /nfs/dbraw/zinc/80/85/74/352808574.db2.gz PAAUUHDCYMQRLW-DGCLKSJQSA-N 0 3 233.359 2.968 20 0 BFADHN COc1cc(CN2CCC[C@H]3CCC[C@@H]32)ccn1 ZINC000342858497 352877247 /nfs/dbraw/zinc/87/72/47/352877247.db2.gz PLLRFIAPFMAAGW-KGLIPLIRSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@@H]1CCCCCN1Cc1cncc(F)c1 ZINC000334235143 352852462 /nfs/dbraw/zinc/85/24/62/352852462.db2.gz BBDQEPBCJIVPFX-LLVKDONJSA-N 0 3 222.307 2.985 20 0 BFADHN Cc1cnc(CN(CC2CC2)CC2CCC2)n1C ZINC000342852550 352874931 /nfs/dbraw/zinc/87/49/31/352874931.db2.gz LTDYHUAKWNVNJA-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1nccn1CCN1CCC[C@H]2CCCC[C@@H]21 ZINC000334248173 352839654 /nfs/dbraw/zinc/83/96/54/352839654.db2.gz OQNKMFUCZZZFMY-CABCVRRESA-N 0 3 247.386 2.846 20 0 BFADHN Cc1nccn1CCN1CCC[C@@H]2CCCC[C@H]21 ZINC000334248176 352839928 /nfs/dbraw/zinc/83/99/28/352839928.db2.gz OQNKMFUCZZZFMY-LSDHHAIUSA-N 0 3 247.386 2.846 20 0 BFADHN Cn1cncc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC000334248428 352840870 /nfs/dbraw/zinc/84/08/70/352840870.db2.gz PWOJTCQIBBESPZ-OCCSQVGLSA-N 0 3 233.359 2.575 20 0 BFADHN Cc1ccnc(CN2C[C@H](C)CCC[C@H]2C)n1 ZINC000334228062 352844729 /nfs/dbraw/zinc/84/47/29/352844729.db2.gz GJAFOYSNGZLLEN-DGCLKSJQSA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cccc3nccn32)C1 ZINC000342899497 352914274 /nfs/dbraw/zinc/91/42/74/352914274.db2.gz FBIWCQFWVOEZER-HNNXBMFYSA-N 0 3 243.354 2.956 20 0 BFADHN CCn1nc(C)c(CN2CC[C@@H]2C(C)C)c1C ZINC000342862093 352879213 /nfs/dbraw/zinc/87/92/13/352879213.db2.gz QFPOASMVSHAKNQ-CQSZACIVSA-N 0 3 235.375 2.750 20 0 BFADHN CC[C@@H](C)CN(C)Cc1ccnc(OC)c1 ZINC000342863299 352880929 /nfs/dbraw/zinc/88/09/29/352880929.db2.gz HOPPTLBZAHOVJK-LLVKDONJSA-N 0 3 222.332 2.568 20 0 BFADHN CCOc1cccc(CN2CC[C@H]2C(C)C)n1 ZINC000342863025 352880953 /nfs/dbraw/zinc/88/09/53/352880953.db2.gz VMZMRZBBXZWXLV-ZDUSSCGKSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cc(CN([C@H](C)C2CC2)C2CC2)ccn1 ZINC000342865236 352881749 /nfs/dbraw/zinc/88/17/49/352881749.db2.gz SYAIHYXBCAZUPL-LLVKDONJSA-N 0 3 246.354 2.853 20 0 BFADHN COc1cc(CN2C[C@@H](C)CC[C@@H]2C)ccn1 ZINC000342863927 352882011 /nfs/dbraw/zinc/88/20/11/352882011.db2.gz KOKBENSRVLALKQ-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cc(CN2C[C@H](C)CC[C@@H]2C)ccn1 ZINC000342863925 352882065 /nfs/dbraw/zinc/88/20/65/352882065.db2.gz KOKBENSRVLALKQ-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cc(CN2CC[C@@H](C)C[C@H](C)C2)ccn1 ZINC000342870331 352883409 /nfs/dbraw/zinc/88/34/09/352883409.db2.gz DUGOOVWQPCTNNE-OLZOCXBDSA-N 0 3 248.370 2.958 20 0 BFADHN CCc1nocc1CN1CC(C)=C[C@@H](C)C1 ZINC000334260886 352893494 /nfs/dbraw/zinc/89/34/94/352893494.db2.gz SHQHUQJVMURGEZ-SNVBAGLBSA-N 0 3 220.316 2.635 20 0 BFADHN Cc1nn(C)cc1CN1CC[C@@H](C)C2(CCC2)C1 ZINC000334262242 352895584 /nfs/dbraw/zinc/89/55/84/352895584.db2.gz VBBOFWRTPKCXPN-GFCCVEGCSA-N 0 3 247.386 2.741 20 0 BFADHN CCC[C@@H](CN[C@H](c1cccnc1)C1CC1)OC ZINC000638813094 352993123 /nfs/dbraw/zinc/99/31/23/352993123.db2.gz CFCKPIZRKZGYHJ-GJZGRUSLSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cnc2ccccn12 ZINC000334318567 352948751 /nfs/dbraw/zinc/94/87/51/352948751.db2.gz SIXCFYNKPWPCAS-NWDGAFQWSA-N 0 3 229.327 2.565 20 0 BFADHN CCc1nocc1CN1CCCC[C@H](C)C1 ZINC000334372817 353025889 /nfs/dbraw/zinc/02/58/89/353025889.db2.gz RZVNTMJIRNRKDI-NSHDSACASA-N 0 3 222.332 2.859 20 0 BFADHN Cn1ncc2c1CCC[C@@H]2N[C@H]1CC12CCCC2 ZINC000335890105 180825792 /nfs/dbraw/zinc/82/57/92/180825792.db2.gz KTLNBZOBBRGJMB-JSGCOSHPSA-N 0 3 245.370 2.720 20 0 BFADHN CCC1(c2noc([C@@H]3CCN(C)C3)n2)CCCC1 ZINC000334396893 353070015 /nfs/dbraw/zinc/07/00/15/353070015.db2.gz CCQHFAIXYAURBR-LLVKDONJSA-N 0 3 249.358 2.711 20 0 BFADHN Cc1ccc(NC(=O)C(C)C(F)(F)F)nc1 ZINC000334383658 353045608 /nfs/dbraw/zinc/04/56/08/353045608.db2.gz LDFAPHILSFSAOD-ZETCQYMHSA-N 0 3 232.205 2.527 20 0 BFADHN Cc1nn(C)cc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC000334429845 353104434 /nfs/dbraw/zinc/10/44/34/353104434.db2.gz ZJNGGIBUGDREHP-DZGCQCFKSA-N 0 3 247.386 2.883 20 0 BFADHN CCCc1cccc(CNC2(CO)CCC2)c1 ZINC000187307918 188001767 /nfs/dbraw/zinc/00/17/67/188001767.db2.gz XJIRKPGNEWRKDS-UHFFFAOYSA-N 0 3 233.355 2.644 20 0 BFADHN CC[C@H](C)[C@@H](CN(C)Cc1cccnc1)OC ZINC000638917453 353081349 /nfs/dbraw/zinc/08/13/49/353081349.db2.gz FLCREMTXTAIIGP-GXTWGEPZSA-N 0 3 236.359 2.575 20 0 BFADHN CC(C)COCCN[C@@H](C)c1cccc(O)c1 ZINC000167314928 187991558 /nfs/dbraw/zinc/99/15/58/187991558.db2.gz QULBXOMYIQDJHG-LBPRGKRZSA-N 0 3 237.343 2.715 20 0 BFADHN CC(C)C[C@@H](C)CN1CCOCC1(C)C ZINC000337146792 188013249 /nfs/dbraw/zinc/01/32/49/188013249.db2.gz YOTUMNOFKNHTNH-GFCCVEGCSA-N 0 3 213.365 2.779 20 0 BFADHN CC(C)C[C@H](C)CN1CC[S@@](=O)CC[C@H]1C ZINC000337200808 188014883 /nfs/dbraw/zinc/01/48/83/188014883.db2.gz FHYOXWWUBBBXTA-ZENOOKHLSA-N 0 3 245.432 2.512 20 0 BFADHN C[C@@H]1CC(CCNCc2ccccn2)C[C@@H](C)O1 ZINC000338481434 188018338 /nfs/dbraw/zinc/01/83/38/188018338.db2.gz WWPPSEVUNLZYAC-CHWSQXEVSA-N 0 3 248.370 2.765 20 0 BFADHN CC(C)[C@@H]1CN(CCC(F)(F)F)CCCO1 ZINC000339822525 188019138 /nfs/dbraw/zinc/01/91/38/188019138.db2.gz YQHYGUTVPMFUJH-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1sccc1Cl ZINC000308602563 188019360 /nfs/dbraw/zinc/01/93/60/188019360.db2.gz VLMXLRQIBCRLED-YUMQZZPRSA-N 0 3 233.764 2.651 20 0 BFADHN c1ccc2c(c1)C=C(CN[C@@H]1CCSC1)CO2 ZINC000266144764 188022570 /nfs/dbraw/zinc/02/25/70/188022570.db2.gz IMOKXZLNCHIPNM-CYBMUJFWSA-N 0 3 247.363 2.558 20 0 BFADHN CCc1ncc(CN(C)[C@@H](C)C2CC2)s1 ZINC000271101874 188024947 /nfs/dbraw/zinc/02/49/47/188024947.db2.gz PRDDHHGCRDVQPM-VIFPVBQESA-N 0 3 224.373 2.936 20 0 BFADHN CC(C)[C@H](O)C1(CN[C@@H](C)c2ccco2)CC1 ZINC000271812441 188026068 /nfs/dbraw/zinc/02/60/68/188026068.db2.gz AZULPQKHLIBMMD-AAEUAGOBSA-N 0 3 237.343 2.727 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@@H]1CCc2c1cccc2F ZINC000274570903 188028497 /nfs/dbraw/zinc/02/84/97/188028497.db2.gz AXQXFRGUEUKJHW-WFFJFSKWSA-N 0 3 249.329 2.730 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cc(C)ccc1C ZINC000277075581 188029847 /nfs/dbraw/zinc/02/98/47/188029847.db2.gz LHLZIYTWKBPBHF-ZDUSSCGKSA-N 0 3 221.344 2.770 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000278445965 188030756 /nfs/dbraw/zinc/03/07/56/188030756.db2.gz MKMSCHSECLOKNM-BETUJISGSA-N 0 3 234.318 2.761 20 0 BFADHN C=Cn1cc(CN2CCC(CC)CC2)cn1 ZINC000278255251 188030854 /nfs/dbraw/zinc/03/08/54/188030854.db2.gz PRHUANMARGJHLU-UHFFFAOYSA-N 0 3 219.332 2.606 20 0 BFADHN CC1(C)C[C@H]1NCc1ccnn1-c1ccccc1 ZINC000279077415 188030972 /nfs/dbraw/zinc/03/09/72/188030972.db2.gz GTROOVVXQQVVDY-CQSZACIVSA-N 0 3 241.338 2.760 20 0 BFADHN CC(C)[C@H](O)CN1Cc2ccccc2C2(CC2)C1 ZINC000366595834 188032618 /nfs/dbraw/zinc/03/26/18/188032618.db2.gz IUKUBKNDJDMGGQ-OAHLLOKOSA-N 0 3 245.366 2.551 20 0 BFADHN c1ncc(CN2CCC=C(c3ccccc3)C2)[nH]1 ZINC000284924395 188033087 /nfs/dbraw/zinc/03/30/87/188033087.db2.gz XXRDUENCLMXMHR-UHFFFAOYSA-N 0 3 239.322 2.699 20 0 BFADHN C/C=C/C[C@H](CO)NCc1ccc2occc2c1 ZINC000286181556 188034091 /nfs/dbraw/zinc/03/40/91/188034091.db2.gz XCXVEWYJORLDJL-BAABZTOOSA-N 0 3 245.322 2.850 20 0 BFADHN Oc1ccc(CNCCOc2ccccc2)cc1 ZINC000032101010 353141210 /nfs/dbraw/zinc/14/12/10/353141210.db2.gz NMVBZMDMIQRAEH-UHFFFAOYSA-N 0 3 243.306 2.561 20 0 BFADHN C=Cn1cc(CN(C)[C@H](CC)CSC)cn1 ZINC000290350325 188035683 /nfs/dbraw/zinc/03/56/83/188035683.db2.gz FZXUBNCXRUIRRB-GFCCVEGCSA-N 0 3 239.388 2.557 20 0 BFADHN CC(C)N(Cc1ccsc1)[C@H]1CCOC1 ZINC000291287162 188036571 /nfs/dbraw/zinc/03/65/71/188036571.db2.gz PFFGVCJVGBEIKW-LBPRGKRZSA-N 0 3 225.357 2.747 20 0 BFADHN Cc1ccc(C(=O)CCN2C[C@H](C)[C@H]2C)cc1 ZINC000292919523 188037332 /nfs/dbraw/zinc/03/73/32/188037332.db2.gz GCPZHQRVXJAWFW-QWHCGFSZSA-N 0 3 231.339 2.908 20 0 BFADHN CC(C)[C@@]1(CO)CCCN(Cc2ccco2)C1 ZINC000294197069 188038819 /nfs/dbraw/zinc/03/88/19/188038819.db2.gz XPGSSEVFDSXUKK-AWEZNQCLSA-N 0 3 237.343 2.510 20 0 BFADHN CC(C)N(Cc1cccc2[nH]ccc21)C1COC1 ZINC000295582742 188039604 /nfs/dbraw/zinc/03/96/04/188039604.db2.gz BOAAPYMISOMWOK-UHFFFAOYSA-N 0 3 244.338 2.777 20 0 BFADHN CCOCCN(C)Cc1csc(C)c1C ZINC000638938482 353120002 /nfs/dbraw/zinc/12/00/02/353120002.db2.gz JTYUFAWFVLOTBU-UHFFFAOYSA-N 0 3 227.373 2.833 20 0 BFADHN Cn1cncc1CCN1CC=C(C(C)(C)C)CC1 ZINC000298263024 188041000 /nfs/dbraw/zinc/04/10/00/188041000.db2.gz FVTMFTHQMIUYKN-UHFFFAOYSA-N 0 3 247.386 2.641 20 0 BFADHN CC(=O)[C@@H](C)SCC[C@H]1CCCCN1C ZINC000340696482 188044012 /nfs/dbraw/zinc/04/40/12/188044012.db2.gz RQJKPBPNSBLDHZ-VXGBXAGGSA-N 0 3 229.389 2.572 20 0 BFADHN CC1(C)SC[C@H]1NCc1ccc(F)cc1 ZINC000282904524 188049502 /nfs/dbraw/zinc/04/95/02/188049502.db2.gz UMMZDAWQDCOXCQ-LLVKDONJSA-N 0 3 225.332 2.809 20 0 BFADHN Cc1csc(CN[C@@H]2CCC2(C)C)n1 ZINC000284426825 188050054 /nfs/dbraw/zinc/05/00/54/188050054.db2.gz RBDAUBNTJNTHRI-SECBINFHSA-N 0 3 210.346 2.730 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1cccc(C)c1C ZINC000037619283 358475024 /nfs/dbraw/zinc/47/50/24/358475024.db2.gz AFGOHEFQURPNJB-GFCCVEGCSA-N 0 3 234.343 2.759 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1cc(C)cc(C)c1 ZINC000037619257 358475390 /nfs/dbraw/zinc/47/53/90/358475390.db2.gz DCLSMGOCFPYVPY-CYBMUJFWSA-N 0 3 234.343 2.759 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1ccc(C)c(F)c1 ZINC000037619265 358475152 /nfs/dbraw/zinc/47/51/52/358475152.db2.gz DHRMNFQLRZFPGY-GFCCVEGCSA-N 0 3 238.306 2.590 20 0 BFADHN CCC[C@@H](N)C(=O)NC1CCC(CCC)CC1 ZINC000049547609 353168075 /nfs/dbraw/zinc/16/80/75/353168075.db2.gz KUTTYFUWOIGKPA-WXRRBKDZSA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@@H](O)[C@H]1CCCCN1Cc1cccnc1C ZINC000093322442 538109831 /nfs/dbraw/zinc/10/98/31/538109831.db2.gz PKVGFECPPZDQNO-HUUCEWRRSA-N 0 3 248.370 2.515 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc(OC)c(OC)c1 ZINC000310043219 538111103 /nfs/dbraw/zinc/11/11/03/538111103.db2.gz HECFYXMSEFPBDL-ISALQUGTSA-N 0 3 235.327 2.931 20 0 BFADHN Cc1cc(NC(=O)[C@@H](N)CCC(C)C)cs1 ZINC000638994078 353227889 /nfs/dbraw/zinc/22/78/89/353227889.db2.gz HWPOUKWYILGDRR-NSHDSACASA-N 0 3 240.372 2.759 20 0 BFADHN FC(F)Cn1cc(CN2CCCCCC2)cn1 ZINC000294864644 180904361 /nfs/dbraw/zinc/90/43/61/180904361.db2.gz JRWZWMCKXIUCQH-UHFFFAOYSA-N 0 3 243.301 2.524 20 0 BFADHN Cc1ncc([C@@H](C)NC2(C)CCC2)c(C)n1 ZINC000134519084 538112809 /nfs/dbraw/zinc/11/28/09/538112809.db2.gz JAASPQYWXQIRGA-SNVBAGLBSA-N 0 3 219.332 2.687 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NCc1cccs1 ZINC000134544672 538112859 /nfs/dbraw/zinc/11/28/59/538112859.db2.gz JLDWMQKJLCGQOX-MRVPVSSYSA-N 0 3 221.329 2.630 20 0 BFADHN Cc1nnc(CN[C@H]2CCCC[C@H]2C)s1 ZINC000134721058 538113656 /nfs/dbraw/zinc/11/36/56/538113656.db2.gz JPSZRMNTTAPEHP-SCZZXKLOSA-N 0 3 225.361 2.515 20 0 BFADHN Cc1ccnc(CN(CC2CC2)CC2CCC2)n1 ZINC000361551489 188244673 /nfs/dbraw/zinc/24/46/73/188244673.db2.gz JYYXKTJXNSXLRM-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN COC1(CNCc2ccco2)CCCCC1 ZINC000187442296 188253641 /nfs/dbraw/zinc/25/36/41/188253641.db2.gz HELQOAGDZCVJPI-UHFFFAOYSA-N 0 3 223.316 2.719 20 0 BFADHN CC(C)=CCN[C@H](C)c1cncc(F)c1 ZINC000181894072 188254433 /nfs/dbraw/zinc/25/44/33/188254433.db2.gz NCVVOAQRKPEYMW-SNVBAGLBSA-N 0 3 208.280 2.838 20 0 BFADHN C/C=C\C[C@H](CO)NCc1cccc(Cl)c1 ZINC000336781433 188256598 /nfs/dbraw/zinc/25/65/98/188256598.db2.gz UTCYKGSVTVPXGD-XQJDBVBESA-N 0 3 239.746 2.757 20 0 BFADHN CC(C)(C)OC1CC(N[C@@H]2CCC[C@@H]2F)C1 ZINC000340530354 188257162 /nfs/dbraw/zinc/25/71/62/188257162.db2.gz MURAKAGJBQBLFH-MMVSWEMESA-N 0 3 229.339 2.813 20 0 BFADHN Cc1nnc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)s1 ZINC000134888610 538113876 /nfs/dbraw/zinc/11/38/76/538113876.db2.gz PWSCRAKJUTYLIM-WCABBAIRSA-N 0 3 239.388 2.761 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cnccc1OC ZINC000287862164 188268037 /nfs/dbraw/zinc/26/80/37/188268037.db2.gz RUOWCOAFJJFRJO-YPMHNXCESA-N 0 3 234.343 2.759 20 0 BFADHN CC[C@H](NC[C@@H](C)OC)c1ccsc1 ZINC000294441558 188269053 /nfs/dbraw/zinc/26/90/53/188269053.db2.gz QZOLUYNFCDAOMQ-KOLCDFICSA-N 0 3 213.346 2.824 20 0 BFADHN Cc1ccc(Cl)cc1NC(=O)[C@H](N)C(C)C ZINC000019472650 188281171 /nfs/dbraw/zinc/28/11/71/188281171.db2.gz JDMKCBMHANBFJX-LLVKDONJSA-N 0 3 240.734 2.570 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H](C)c1nnc2ccccn21 ZINC000036969015 188286376 /nfs/dbraw/zinc/28/63/76/188286376.db2.gz KKVMBVPJEMXZFB-NEPJUHHUSA-N 0 3 246.358 2.815 20 0 BFADHN CC(C)(C)[C@H](N)C(=O)Nc1ccc(Cl)cc1 ZINC000040458388 188291883 /nfs/dbraw/zinc/29/18/83/188291883.db2.gz BSUGECQZGVPRAW-SNVBAGLBSA-N 0 3 240.734 2.652 20 0 BFADHN Cc1ncc(CNCCOCCC(C)C)s1 ZINC000049265993 188299041 /nfs/dbraw/zinc/29/90/41/188299041.db2.gz FRLAIXPFODIPFN-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN CC(C)[C@H]1CCCCN1Cc1ccc(CO)o1 ZINC000093444102 188306727 /nfs/dbraw/zinc/30/67/27/188306727.db2.gz NGOSFBPXBYMUEC-CQSZACIVSA-N 0 3 237.343 2.782 20 0 BFADHN CC1(C)C[C@H]1NCc1cn2cc(Cl)ccc2n1 ZINC000096543839 188321069 /nfs/dbraw/zinc/32/10/69/188321069.db2.gz KCKQHSMBKHYHEZ-LLVKDONJSA-N 0 3 249.745 2.876 20 0 BFADHN CCN(CCC1CC1)[C@H](c1nccn1C)C1CC1 ZINC000639046900 353337309 /nfs/dbraw/zinc/33/73/09/353337309.db2.gz FYLORBCZOKVPQU-AWEZNQCLSA-N 0 3 247.386 2.993 20 0 BFADHN CC[C@H](N)C(=O)N1CCCC[C@@H]1CCC(C)C ZINC000122587119 324050432 /nfs/dbraw/zinc/05/04/32/324050432.db2.gz QFNNMFYYDZYZLX-OLZOCXBDSA-N 0 3 240.391 2.541 20 0 BFADHN CO[C@H](CC(C)C)CN(C)Cc1ccncc1 ZINC000639040602 353317854 /nfs/dbraw/zinc/31/78/54/353317854.db2.gz KZQYUHRDGDBCPV-CQSZACIVSA-N 0 3 236.359 2.575 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCC[C@@H]1CCO1 ZINC000639040174 353322245 /nfs/dbraw/zinc/32/22/45/353322245.db2.gz CTAQJLFWSGNZTN-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN CC(C)CSCCNCc1cccn1C ZINC000126346241 188316486 /nfs/dbraw/zinc/31/64/86/188316486.db2.gz HSUFVXKOXFZOSW-UHFFFAOYSA-N 0 3 226.389 2.504 20 0 BFADHN Cc1ccc(CN(C)CCC(C)(C)O)cc1 ZINC000086233873 188317817 /nfs/dbraw/zinc/31/78/17/188317817.db2.gz KRFGFSFZKYZYGY-UHFFFAOYSA-N 0 3 221.344 2.588 20 0 BFADHN CC1(C)CC[C@H](NCc2nccs2)C1 ZINC000087771291 188318390 /nfs/dbraw/zinc/31/83/90/188318390.db2.gz KYPXTPUSXDMACB-VIFPVBQESA-N 0 3 210.346 2.811 20 0 BFADHN Cn1c(CN[C@H]2CC[C@H](F)C2)nc2ccccc21 ZINC000334502699 353337800 /nfs/dbraw/zinc/33/78/00/353337800.db2.gz LAMSPWWCIKVCNO-QWRGUYRKSA-N 0 3 247.317 2.554 20 0 BFADHN CC1(C)C[C@H]1NCc1cccc(F)c1F ZINC000045119532 188326439 /nfs/dbraw/zinc/32/64/39/188326439.db2.gz NZWYHDPSOWPLOF-SNVBAGLBSA-N 0 3 211.255 2.853 20 0 BFADHN CC(=O)CCN(C)Cc1ccc(Cl)cc1 ZINC000047979760 188326658 /nfs/dbraw/zinc/32/66/58/188326658.db2.gz VWUDQYHGISARBD-UHFFFAOYSA-N 0 3 225.719 2.751 20 0 BFADHN c1csc(CNC[C@H]2CCC=CO2)c1 ZINC000050267647 188328378 /nfs/dbraw/zinc/32/83/78/188328378.db2.gz WLOPXBOELLVHBL-SNVBAGLBSA-N 0 3 209.314 2.530 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1ccccc1OC ZINC000132786170 353390239 /nfs/dbraw/zinc/39/02/39/353390239.db2.gz INKFBKOWXXPQHP-RYUDHWBXSA-N 0 3 237.343 2.507 20 0 BFADHN Cc1noc(C)c1CN1C[C@H](C)C[C@H]1C ZINC000334508521 353378373 /nfs/dbraw/zinc/37/83/73/353378373.db2.gz LAFOJGJSWMYICS-RKDXNWHRSA-N 0 3 208.305 2.522 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H](NCc2ncc[nH]2)C1 ZINC000108879701 188347868 /nfs/dbraw/zinc/34/78/68/188347868.db2.gz APBMDJPQWIYGNG-VXGBXAGGSA-N 0 3 221.348 2.714 20 0 BFADHN COc1ccc(CNCC=C(C)C)c(OC)c1 ZINC000191628373 188351049 /nfs/dbraw/zinc/35/10/49/188351049.db2.gz KYOFOVWBVNYCNK-UHFFFAOYSA-N 0 3 235.327 2.760 20 0 BFADHN Cc1ccnc([C@@H](C)NCCCCF)c1 ZINC000336772216 188352717 /nfs/dbraw/zinc/35/27/17/188352717.db2.gz XXKOZQSRMDQQIU-LLVKDONJSA-N 0 3 210.296 2.790 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H](C)c1ccc(C)o1 ZINC000336778141 188354246 /nfs/dbraw/zinc/35/42/46/188354246.db2.gz KLRRIUJTLSIVGM-XLMCQVRKSA-N 0 3 223.316 2.566 20 0 BFADHN CC(C)=CCN1CCc2ccccc2[C@H]1CO ZINC000268495290 188373477 /nfs/dbraw/zinc/37/34/77/188373477.db2.gz PYSWUZZPIUTXKN-OAHLLOKOSA-N 0 3 231.339 2.544 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NC[C@@H]1CC=CCC1 ZINC000269848950 188374925 /nfs/dbraw/zinc/37/49/25/188374925.db2.gz XLNPPFKPZUNPMZ-YPMHNXCESA-N 0 3 231.343 2.733 20 0 BFADHN Cc1ccc(F)c(CNC[C@H]2CCCOC2)c1 ZINC000227284792 188359717 /nfs/dbraw/zinc/35/97/17/188359717.db2.gz ZFOFQAQHUFBJDE-GFCCVEGCSA-N 0 3 237.318 2.650 20 0 BFADHN CC(C)C[C@@H](C)NCc1ncnn1C(C)(C)C ZINC000337268080 188362107 /nfs/dbraw/zinc/36/21/07/188362107.db2.gz XDOQNKPIFQNPCX-LLVKDONJSA-N 0 3 238.379 2.557 20 0 BFADHN CC(C)(C)[C@H]1CCCN(Cc2ccno2)C1 ZINC000336089995 188366956 /nfs/dbraw/zinc/36/69/56/188366956.db2.gz RQHGTTJZZPQWTE-NSHDSACASA-N 0 3 222.332 2.933 20 0 BFADHN COc1ccc(CN(C)[C@H]2CC[C@@H](C)C2)cn1 ZINC000339046223 188367757 /nfs/dbraw/zinc/36/77/57/188367757.db2.gz IBVKAABAFZUTAM-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN CCC[C@H](CC(C)C)C(=O)NC[C@H](C)N(C)C ZINC000412392483 191279219 /nfs/dbraw/zinc/27/92/19/191279219.db2.gz DLJPGMVRBSVEKZ-QWHCGFSZSA-N 0 3 242.407 2.515 20 0 BFADHN CC1(C)CC(CNCc2nccs2)C1 ZINC000308669196 538117116 /nfs/dbraw/zinc/11/71/16/538117116.db2.gz UQXQWVZWXXAOCC-UHFFFAOYSA-N 0 3 210.346 2.669 20 0 BFADHN CC(C)(C)[C@@H]1C[C@@H](NCc2ccccn2)CCO1 ZINC000270665598 188376341 /nfs/dbraw/zinc/37/63/41/188376341.db2.gz PGZDPHAGODRXPW-JSGCOSHPSA-N 0 3 248.370 2.765 20 0 BFADHN CC(C)=CCCNCc1cnn(CC(C)C)c1 ZINC000276658638 188380182 /nfs/dbraw/zinc/38/01/82/188380182.db2.gz VOQNTCRVDWDRBI-UHFFFAOYSA-N 0 3 235.375 2.985 20 0 BFADHN CC[C@]1(C)CN(CC2CCSCC2)CCO1 ZINC000639091426 353453009 /nfs/dbraw/zinc/45/30/09/353453009.db2.gz TURFRWGJMIYLSS-CYBMUJFWSA-N 0 3 243.416 2.631 20 0 BFADHN Cc1ccnc(NC[C@H]2CCCCN2C2CC2)c1 ZINC000277568534 188381463 /nfs/dbraw/zinc/38/14/63/188381463.db2.gz ZLRHVKHGLOOPGG-CQSZACIVSA-N 0 3 245.370 2.819 20 0 BFADHN CO[C@]1(C)CCCN([C@@H](C)c2ccccn2)C1 ZINC000279232935 188382638 /nfs/dbraw/zinc/38/26/38/188382638.db2.gz FAJMBJLHYYBERE-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN CC(C)COCCN1CCC[C@H]1c1ccc[nH]1 ZINC000283432071 188385371 /nfs/dbraw/zinc/38/53/71/188385371.db2.gz WZYWCGLYVZGFFB-AWEZNQCLSA-N 0 3 236.359 2.824 20 0 BFADHN CC(C)COCCN(C)[C@@H](C)c1ccncc1 ZINC000283437142 188385885 /nfs/dbraw/zinc/38/58/85/188385885.db2.gz XKRXVLDEFGPBLN-ZDUSSCGKSA-N 0 3 236.359 2.747 20 0 BFADHN c1coc(C2=CCCN(C[C@H]3CCCCO3)C2)c1 ZINC000285468413 188386275 /nfs/dbraw/zinc/38/62/75/188386275.db2.gz ZYXJEKORHNGTGN-CQSZACIVSA-N 0 3 247.338 2.938 20 0 BFADHN Cc1ccnc([C@@H](C)NCC[C@H]2CCCCO2)c1 ZINC000286290904 188386863 /nfs/dbraw/zinc/38/68/63/188386863.db2.gz WNYQQHNXTNFKPC-ZIAGYGMSSA-N 0 3 248.370 3.000 20 0 BFADHN Cc1ccnc([C@@H](C)NCCc2ccccn2)c1 ZINC000285756387 188387162 /nfs/dbraw/zinc/38/71/62/188387162.db2.gz RYJSJPNHNKIYRX-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN CC(=O)c1ccc(CN2CC[C@@H](C)C2)cc1 ZINC000297163335 188395144 /nfs/dbraw/zinc/39/51/44/188395144.db2.gz PBXVDWZURRDOEY-LLVKDONJSA-N 0 3 217.312 2.731 20 0 BFADHN CC(C)Cn1cc(CN[C@@H]2CCC2(C)C)cn1 ZINC000342193975 188399410 /nfs/dbraw/zinc/39/94/10/188399410.db2.gz DHLXJLDXPWCCMR-CYBMUJFWSA-N 0 3 235.375 2.817 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CC[C@@H]2CCC[C@@H]21 ZINC000639094173 353471283 /nfs/dbraw/zinc/47/12/83/353471283.db2.gz PJKKYCISHVOFRD-UBHSHLNASA-N 0 3 245.370 2.746 20 0 BFADHN CC[C@@H](C)NCc1c(Cl)nc2ccccn21 ZINC000296161528 188394365 /nfs/dbraw/zinc/39/43/65/188394365.db2.gz YFHBRFWTGVLDQO-SECBINFHSA-N 0 3 237.734 2.876 20 0 BFADHN Cc1ccc2c(c1)CCN(CCC[C@H]1CCO1)C2 ZINC000639100755 353519193 /nfs/dbraw/zinc/51/91/93/353519193.db2.gz TZKOEYNQRNQIMX-INIZCTEOSA-N 0 3 245.366 2.922 20 0 BFADHN CC(C)[C@H]1CN(C)CCN1Cc1cccs1 ZINC000184739366 535236225 /nfs/dbraw/zinc/23/62/25/535236225.db2.gz LHKIDZYQEREQBS-CYBMUJFWSA-N 0 3 238.400 2.520 20 0 BFADHN CCc1csc(N[C@@H]2CCCN(C)[C@H]2C)n1 ZINC000336777613 353501311 /nfs/dbraw/zinc/50/13/11/353501311.db2.gz IZGLFYARBRMEQS-GXSJLCMTSA-N 0 3 239.388 2.600 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H]2NC[C@H]1CCCCO1 ZINC000129708536 180986357 /nfs/dbraw/zinc/98/63/57/180986357.db2.gz AQPRTBXMOZCLPV-HIFRSBDPSA-N 0 3 249.329 2.972 20 0 BFADHN Cc1cnc(CN[C@]2(C)CCCC[C@@H]2C)nc1 ZINC000334516810 353632832 /nfs/dbraw/zinc/63/28/32/353632832.db2.gz QPTAOTWFLMIAEA-GXTWGEPZSA-N 0 3 233.359 2.843 20 0 BFADHN CCC[C@H](CCO)CN[C@H](C)c1ccccn1 ZINC000231634907 353635167 /nfs/dbraw/zinc/63/51/67/353635167.db2.gz BGOVZQKEARLBTB-CHWSQXEVSA-N 0 3 236.359 2.531 20 0 BFADHN COc1ccc(CN(C)[C@@H](C)C2(C)CC2)nc1 ZINC000343314407 353638832 /nfs/dbraw/zinc/63/88/32/353638832.db2.gz NFNYAINAJRNHDB-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN Cc1cc(CN(C)C2CCCCC2)on1 ZINC000053398336 363240479 /nfs/dbraw/zinc/24/04/79/363240479.db2.gz BTZQZJWJABKQBF-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN Cc1cc(CN(C)C2CCC(C)CC2)on1 ZINC000053401160 363245355 /nfs/dbraw/zinc/24/53/55/363245355.db2.gz MFVPWJJPJAQKCW-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN Fc1cccc2c1CC[C@H]2NC[C@H]1CCCCO1 ZINC000147641060 181007142 /nfs/dbraw/zinc/00/71/42/181007142.db2.gz JXVAHIBZSMDNJY-IAQYHMDHSA-N 0 3 249.329 2.972 20 0 BFADHN Fc1cccc2c1CN(CC[C@@H]1CCCO1)CC2 ZINC000276285035 181006630 /nfs/dbraw/zinc/00/66/30/181006630.db2.gz UXCBJACCODCXBK-ZDUSSCGKSA-N 0 3 249.329 2.753 20 0 BFADHN CC(C)C[C@H](CCO)CN[C@H](C)c1ccoc1 ZINC000178198253 366104575 /nfs/dbraw/zinc/10/45/75/366104575.db2.gz BQWMQQVGCFWWID-OLZOCXBDSA-N 0 3 239.359 2.975 20 0 BFADHN Fc1cccc(F)c1CN[C@H]1CC[C@H](F)C1 ZINC000335918619 181000311 /nfs/dbraw/zinc/00/03/11/181000311.db2.gz QTYNHLJFRQUWCG-IUCAKERBSA-N 0 3 229.245 2.945 20 0 BFADHN CC(C)C[C@@H](CCO)CN[C@H](C)c1ccoc1 ZINC000178198243 366105414 /nfs/dbraw/zinc/10/54/14/366105414.db2.gz BQWMQQVGCFWWID-CHWSQXEVSA-N 0 3 239.359 2.975 20 0 BFADHN Fc1ccccc1CN[C@@H]1CCCSC1 ZINC000061571325 181012636 /nfs/dbraw/zinc/01/26/36/181012636.db2.gz MDKRSNUMMSBECN-LLVKDONJSA-N 0 3 225.332 2.811 20 0 BFADHN Fc1ccccc1CN[C@H]1CCCSC1 ZINC000061571326 181012809 /nfs/dbraw/zinc/01/28/09/181012809.db2.gz MDKRSNUMMSBECN-NSHDSACASA-N 0 3 225.332 2.811 20 0 BFADHN Fc1ccccc1CN[C@@H]1C[C@H]2C[C@H]2C1 ZINC000335660285 181013172 /nfs/dbraw/zinc/01/31/72/181013172.db2.gz AQMJGHLYWBZMPZ-ZSBIGDGJSA-N 0 3 205.276 2.714 20 0 BFADHN Fc1ccccc1-c1n[nH]cc1CN1CCCC1 ZINC000151416960 181009388 /nfs/dbraw/zinc/00/93/88/181009388.db2.gz ONLFIENHWLCIBJ-UHFFFAOYSA-N 0 3 245.301 2.812 20 0 BFADHN Fc1ccccc1C1(NCC2CC2)CC1 ZINC000130529838 181010232 /nfs/dbraw/zinc/01/02/32/181010232.db2.gz IMICFHVSKLEQPZ-UHFFFAOYSA-N 0 3 205.276 2.814 20 0 BFADHN Cc1cc(CNCC2(C(F)F)CC2)sn1 ZINC000639117574 353746236 /nfs/dbraw/zinc/74/62/36/353746236.db2.gz IIFAHYAVVIMYOQ-UHFFFAOYSA-N 0 3 232.299 2.586 20 0 BFADHN C[C@H](N[C@H]1C[C@H](C)N(C2CC2)C1)c1ccoc1 ZINC000178384887 366119158 /nfs/dbraw/zinc/11/91/58/366119158.db2.gz QFPYRMSONHPSGR-GVXVVHGQSA-N 0 3 234.343 2.555 20 0 BFADHN C[C@H](CC1CC1)N[C@@H](c1nccn1C)C1CC1 ZINC000353822811 353806979 /nfs/dbraw/zinc/80/69/79/353806979.db2.gz UPWBMAXDYRXLHE-ZWNOBZJWSA-N 0 3 233.359 2.649 20 0 BFADHN CCC[C@H]1CN(CC)CCN1Cc1ccccc1 ZINC000343406963 353878434 /nfs/dbraw/zinc/87/84/34/353878434.db2.gz VSMAILJVLLDOQZ-INIZCTEOSA-N 0 3 246.398 2.993 20 0 BFADHN C1=C[C@@H](NCc2ccc3c(n2)CCC3)CCC1 ZINC000334520042 353883458 /nfs/dbraw/zinc/88/34/58/353883458.db2.gz VJJRXMKAAHOAAC-CYBMUJFWSA-N 0 3 228.339 2.769 20 0 BFADHN C[C@H](O)CN1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000334520425 353884496 /nfs/dbraw/zinc/88/44/96/353884496.db2.gz YRGYVAGUQHPSNO-ZFWWWQNUSA-N 0 3 245.366 2.985 20 0 BFADHN CS[C@H]1CCN(Cc2ccc3c(n2)CCC3)C1 ZINC000334520511 353884795 /nfs/dbraw/zinc/88/47/95/353884795.db2.gz ZRIOPNKNZJNCRU-ZDUSSCGKSA-N 0 3 248.395 2.508 20 0 BFADHN Cc1cncc([C@@H](C)NC2CC(C)(F)C2)c1 ZINC000334520991 353885439 /nfs/dbraw/zinc/88/54/39/353885439.db2.gz XZYXSRBKIXRLJD-QFWMXSHPSA-N 0 3 222.307 2.931 20 0 BFADHN Cc1ncc([C@H](C)NC2CC(C)(F)C2)c(C)n1 ZINC000334520829 353885539 /nfs/dbraw/zinc/88/55/39/353885539.db2.gz VAMMJNHKIYZGFE-FJJSSXBZSA-N 0 3 237.322 2.635 20 0 BFADHN Cc1ncc([C@@H](C)NC2CC(C)(F)C2)c(C)n1 ZINC000334520828 353885550 /nfs/dbraw/zinc/88/55/50/353885550.db2.gz VAMMJNHKIYZGFE-CBZQXFMTSA-N 0 3 237.322 2.635 20 0 BFADHN C[C@@H](N[C@H]1CCC12CCCC2)c1ccncn1 ZINC000334521973 353888648 /nfs/dbraw/zinc/88/86/48/353888648.db2.gz ICGPJZRIOGIUOH-YPMHNXCESA-N 0 3 231.343 2.850 20 0 BFADHN Cc1cnc(CN[C@@]2(C)CCCC[C@H]2C)cn1 ZINC000334522792 353891037 /nfs/dbraw/zinc/89/10/37/353891037.db2.gz VXRLPBAOIYGRLM-RISCZKNCSA-N 0 3 233.359 2.843 20 0 BFADHN CC1=C[C@@H](C)CN([C@@H](c2nccn2C)C2CC2)C1 ZINC000639131630 353848840 /nfs/dbraw/zinc/84/88/40/353848840.db2.gz WPMGRWXHMJMUMD-BXUZGUMPSA-N 0 3 245.370 2.769 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)N(C2CC2)C1)c1ccoc1 ZINC000178384912 366119517 /nfs/dbraw/zinc/11/95/17/366119517.db2.gz QFPYRMSONHPSGR-GMXVVIOVSA-N 0 3 234.343 2.555 20 0 BFADHN CC(C)(C)C[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000343515764 353925576 /nfs/dbraw/zinc/92/55/76/353925576.db2.gz UJDKRZBZNMGHMS-GFCCVEGCSA-N 0 3 244.342 2.530 20 0 BFADHN CC(C)(C)CN[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000343515764 353925577 /nfs/dbraw/zinc/92/55/77/353925577.db2.gz UJDKRZBZNMGHMS-GFCCVEGCSA-N 0 3 244.342 2.530 20 0 BFADHN CC[C@@H](C)N(CC(=O)OC)C[C@H]1CC=CCC1 ZINC000343610590 353932788 /nfs/dbraw/zinc/93/27/88/353932788.db2.gz YKJYLERPSAVDFW-OLZOCXBDSA-N 0 3 239.359 2.616 20 0 BFADHN CCOC(=O)CCCN1CC[C@@H]1c1ccccc1 ZINC000343588465 353940827 /nfs/dbraw/zinc/94/08/27/353940827.db2.gz WOCAFQLKBLDZSL-CQSZACIVSA-N 0 3 247.338 2.777 20 0 BFADHN CCN(Cc1cncc(OC)c1)CC1CCC1 ZINC000343761082 353976346 /nfs/dbraw/zinc/97/63/46/353976346.db2.gz DAZCCJMKXQAMCL-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@H](C)C1CC1 ZINC000086109740 491057433 /nfs/dbraw/zinc/05/74/33/491057433.db2.gz NWRXDTDWHRXKTE-HTQZYQBOSA-N 0 3 208.305 2.741 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC1CCCC1 ZINC000086109749 491057472 /nfs/dbraw/zinc/05/74/72/491057472.db2.gz SBEHTRDLSQEBGS-QMMMGPOBSA-N 0 3 208.305 2.885 20 0 BFADHN CC[C@H]1CN(C)CCN1CC1=CCCCC1 ZINC000577948986 366131228 /nfs/dbraw/zinc/13/12/28/366131228.db2.gz BFNAOTFNNRSYMB-AWEZNQCLSA-N 0 3 222.376 2.513 20 0 BFADHN CC[C@@H]1CN(C/C=C/c2ccncc2)CCCO1 ZINC000343678000 353961703 /nfs/dbraw/zinc/96/17/03/353961703.db2.gz MZDFYRJPMIJVBM-SJDLMVEWSA-N 0 3 246.354 2.596 20 0 BFADHN CCN(Cc1ccncc1)C[C@@](C)(OC)C1CC1 ZINC000639167809 354040740 /nfs/dbraw/zinc/04/07/40/354040740.db2.gz JLPGGBSMJCWGQE-OAHLLOKOSA-N 0 3 248.370 2.719 20 0 BFADHN Cc1nc([C@@H](C)N2CCC3(CCCC3)CC2)n[nH]1 ZINC000334557741 354005139 /nfs/dbraw/zinc/00/51/39/354005139.db2.gz PHLMWWBFEVCDLF-LLVKDONJSA-N 0 3 248.374 2.830 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1cnccn1 ZINC000334558883 354007245 /nfs/dbraw/zinc/00/72/45/354007245.db2.gz GYIBXRHELKUZMY-YPMHNXCESA-N 0 3 233.359 2.781 20 0 BFADHN COc1cncc(CN2C[C@H](C)CC2(C)C)c1 ZINC000343808665 354010960 /nfs/dbraw/zinc/01/09/60/354010960.db2.gz IHPAJPDIULXGMY-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](NC[C@@H](O)C1CCCCC1)c1ccoc1 ZINC000178558694 366140023 /nfs/dbraw/zinc/14/00/23/366140023.db2.gz GHCSIIOVXCKUTL-SMDDNHRTSA-N 0 3 237.343 2.871 20 0 BFADHN Cc1nc2ccccc2nc1CN[C@@H]1CC[C@H]1C ZINC000639166699 354026546 /nfs/dbraw/zinc/02/65/46/354026546.db2.gz QPGRLOLJSOYJHQ-ZYHUDNBSSA-N 0 3 241.338 2.826 20 0 BFADHN Cc1csc(CNC[C@H]2CC[C@H](C)C2)n1 ZINC000230412061 363309604 /nfs/dbraw/zinc/30/96/04/363309604.db2.gz NCMIVEFLBZCCPJ-ONGXEEELSA-N 0 3 224.373 2.977 20 0 BFADHN CCOC(=O)[C@H](CC)N1C[C@@H](C)CC(C)(C)C1 ZINC000344033323 354096501 /nfs/dbraw/zinc/09/65/01/354096501.db2.gz FQUJCLWTZMCFCZ-RYUDHWBXSA-N 0 3 241.375 2.696 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2cccc(C)n2)C1(C)C ZINC000344041661 354107155 /nfs/dbraw/zinc/10/71/55/354107155.db2.gz CTCJXNMRUDDUFF-IACUBPJLSA-N 0 3 248.370 2.539 20 0 BFADHN COc1ccc(CN2C[C@H](C)CC(C)(C)C2)cn1 ZINC000344041508 354107168 /nfs/dbraw/zinc/10/71/68/354107168.db2.gz VZNUEFKKFZEXKE-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN CCC(C)(C)CNCc1csc(C)n1 ZINC000230644411 363315558 /nfs/dbraw/zinc/31/55/58/363315558.db2.gz PJOBNGWGAGMPKT-UHFFFAOYSA-N 0 3 212.362 2.977 20 0 BFADHN CCCC[C@@H]1CCC[C@@H]1NCc1ccn(C)n1 ZINC000344083521 354136202 /nfs/dbraw/zinc/13/62/02/354136202.db2.gz IUUJIYDVKUCRIS-OCCSQVGLSA-N 0 3 235.375 2.869 20 0 BFADHN CC1(CNCc2ccc(F)cn2)CCCC1 ZINC000529552368 324338810 /nfs/dbraw/zinc/33/88/10/324338810.db2.gz ZSDQXFGNXUXFMU-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN CSCCCN(C)CCc1ccccc1O ZINC000344144674 354153726 /nfs/dbraw/zinc/15/37/26/354153726.db2.gz CSRWFTGSBJNPET-UHFFFAOYSA-N 0 3 239.384 2.620 20 0 BFADHN COc1ccc(CN2CC[C@@H](C(C)(C)C)C2)cn1 ZINC000344149741 354155259 /nfs/dbraw/zinc/15/52/59/354155259.db2.gz LQKDQUYWVRELQW-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccc(CN2CC[C@H](C(C)(C)C)C2)cn1 ZINC000344149742 354155395 /nfs/dbraw/zinc/15/53/95/354155395.db2.gz LQKDQUYWVRELQW-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN c1nc(C2CC2)oc1CNC1CCSCC1 ZINC000449695260 202003120 /nfs/dbraw/zinc/00/31/20/202003120.db2.gz DVHYPYMFDLZPHE-UHFFFAOYSA-N 0 3 238.356 2.537 20 0 BFADHN C[C@H]1CC[C@H](NCc2cnc(C3CC3)o2)C1 ZINC000449700943 202004026 /nfs/dbraw/zinc/00/40/26/202004026.db2.gz VDFOQCLOIWVILE-ONGXEEELSA-N 0 3 220.316 2.830 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cnc(C3CC3)o2)C1 ZINC000449700941 202004477 /nfs/dbraw/zinc/00/44/77/202004477.db2.gz VDFOQCLOIWVILE-MWLCHTKSSA-N 0 3 220.316 2.830 20 0 BFADHN CC(C)[C@@H](C)NCc1cnc(C2CC2)o1 ZINC000449701343 202004931 /nfs/dbraw/zinc/00/49/31/202004931.db2.gz BXSAKLZALLUWLS-SECBINFHSA-N 0 3 208.305 2.686 20 0 BFADHN C[C@@H]1C[C@H](NCc2cnc(C3CC3)o2)[C@H]1C ZINC000449706867 202006898 /nfs/dbraw/zinc/00/68/98/202006898.db2.gz OXWXTMSUYCKFAC-PTRXPTGYSA-N 0 3 220.316 2.686 20 0 BFADHN c1nc(-c2ccccn2)sc1CNC1CCC1 ZINC000344212702 354164920 /nfs/dbraw/zinc/16/49/20/354164920.db2.gz MDEIYLYKLAZNHO-UHFFFAOYSA-N 0 3 245.351 2.847 20 0 BFADHN CC(C)(CNCc1ccccn1)C1(O)CCCC1 ZINC000449737229 202012920 /nfs/dbraw/zinc/01/29/20/202012920.db2.gz QPDNPGSPXJPCPZ-UHFFFAOYSA-N 0 3 248.370 2.503 20 0 BFADHN C[C@@H](N[C@@H]1CCC12CCCC2)c1ccn(C)n1 ZINC000334580065 354196216 /nfs/dbraw/zinc/19/62/16/354196216.db2.gz LZUOTOSLXXTFTC-DGCLKSJQSA-N 0 3 233.359 2.794 20 0 BFADHN CO[C@@H]1CC[C@@H](NCc2ccc(Cl)o2)C1 ZINC000231681278 363348550 /nfs/dbraw/zinc/34/85/50/363348550.db2.gz QZVTVOFMDKNEGP-RKDXNWHRSA-N 0 3 229.707 2.590 20 0 BFADHN C[C@H](c1noc([C@@H]2CCN(C)C2)n1)C(C)(C)C ZINC000334584964 354208021 /nfs/dbraw/zinc/20/80/21/354208021.db2.gz MSEOFOOBBBNLOL-NXEZZACHSA-N 0 3 237.347 2.638 20 0 BFADHN c1ccc2c(c1)CN(CC[C@H]1CCCCO1)C2 ZINC000334585552 354209337 /nfs/dbraw/zinc/20/93/37/354209337.db2.gz JZVMQFIGJXGQML-OAHLLOKOSA-N 0 3 231.339 2.961 20 0 BFADHN Cc1ccc(CN2CCSC[C@@H](C)C2)o1 ZINC000190848729 358485013 /nfs/dbraw/zinc/48/50/13/358485013.db2.gz MVYIHBWDOIHPHA-JTQLQIEISA-N 0 3 225.357 2.773 20 0 BFADHN CCn1cc(CNC(C)(C)c2cccs2)cn1 ZINC000344305039 354215629 /nfs/dbraw/zinc/21/56/29/354215629.db2.gz BROWPXHZIAPGBT-UHFFFAOYSA-N 0 3 249.383 2.989 20 0 BFADHN Cc1ccc(CN2CC3(C2)CCCOC3)s1 ZINC000334598707 354233828 /nfs/dbraw/zinc/23/38/28/354233828.db2.gz JDMKVGDWVZDNFW-UHFFFAOYSA-N 0 3 237.368 2.669 20 0 BFADHN Cc1ncc(CNC/C=C\c2ccccc2)cn1 ZINC000344352781 354240303 /nfs/dbraw/zinc/24/03/03/354240303.db2.gz ZBSWQFNVWYXVAU-YVMONPNESA-N 0 3 239.322 2.588 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2C(C)C)n(C)n1 ZINC000334603430 354283553 /nfs/dbraw/zinc/28/35/53/354283553.db2.gz RHIXJWOLSBRARG-AWEZNQCLSA-N 0 3 235.375 2.739 20 0 BFADHN CC(C(=O)N1CCc2ccccc21)C(F)(F)F ZINC000334606393 354313006 /nfs/dbraw/zinc/31/30/06/354313006.db2.gz QHHKQAZUJDOVAJ-MRVPVSSYSA-N 0 3 243.228 2.774 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(C)nc2C)[C@H](C)C1 ZINC000334615239 354300785 /nfs/dbraw/zinc/30/07/85/354300785.db2.gz QQFHPXOCPQBOOU-DOMZBBRYSA-N 0 3 248.370 2.698 20 0 BFADHN CC(C)(C)CCN1CC[S@](=O)C(C)(C)CC1 ZINC000334617308 354304613 /nfs/dbraw/zinc/30/46/13/354304613.db2.gz CWEODUUNRUKIDC-INIZCTEOSA-N 0 3 245.432 2.656 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cc2ccncc2)nc1 ZINC000344761729 354356077 /nfs/dbraw/zinc/35/60/77/354356077.db2.gz QUNXXNXWCIDEDN-ZDUSSCGKSA-N 0 3 241.338 2.506 20 0 BFADHN Cc1csc(CN[C@H](C)Cc2ccncc2)n1 ZINC000344766314 354357253 /nfs/dbraw/zinc/35/72/53/354357253.db2.gz XJRYICYKWPUTDR-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN CCn1cc(CN[C@H]2CCCC(F)(F)C2)cn1 ZINC000344767355 354357277 /nfs/dbraw/zinc/35/72/77/354357277.db2.gz CCYVKOODMBJZTE-NSHDSACASA-N 0 3 243.301 2.571 20 0 BFADHN COC[C@H](NCc1ccc(F)cc1C)C1CC1 ZINC000178680829 366154617 /nfs/dbraw/zinc/15/46/17/366154617.db2.gz LRMQHGOVAXFALZ-AWEZNQCLSA-N 0 3 237.318 2.649 20 0 BFADHN CCCc1ccc(CN2CC[C@@H](OC)C2)cc1 ZINC000353854542 354320855 /nfs/dbraw/zinc/32/08/55/354320855.db2.gz KDFNQFHAWKSVSK-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN Cc1ccoc1CN[C@H](C)CC1CCOCC1 ZINC000344621973 354328210 /nfs/dbraw/zinc/32/82/10/354328210.db2.gz KOXWWCWDZYUBJL-GFCCVEGCSA-N 0 3 237.343 2.883 20 0 BFADHN CC(C)[C@H]1CCN([C@H](C)c2cnccn2)C1 ZINC000334632518 354376358 /nfs/dbraw/zinc/37/63/58/354376358.db2.gz RDESZKBPLUBGHZ-NEPJUHHUSA-N 0 3 219.332 2.516 20 0 BFADHN CC[C@](C)(CN[C@@H]1c2ccccc2O[C@H]1C)OC ZINC000639186199 354379823 /nfs/dbraw/zinc/37/98/23/354379823.db2.gz KJKTYTCTIRPYRW-TUKIKUTGSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1NCCC[C@H]1CCO1 ZINC000639185979 354381192 /nfs/dbraw/zinc/38/11/92/354381192.db2.gz HAWZXIFAVNCBAL-HUBLWGQQSA-N 0 3 247.338 2.667 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H]1c2ccccc2O[C@H]1C ZINC000639187018 354381436 /nfs/dbraw/zinc/38/14/36/354381436.db2.gz WYIWRPYRVQSFHY-MIJXAVMKSA-N 0 3 235.327 2.522 20 0 BFADHN CN(CC1(F)CC1)C1CC(OC(C)(C)C)C1 ZINC000578004078 366171894 /nfs/dbraw/zinc/17/18/94/366171894.db2.gz HCXDKPHVKDTLLM-UHFFFAOYSA-N 0 3 229.339 2.766 20 0 BFADHN Cn1cc([C@@H](NCc2cc[nH]c2)C(C)(C)C)cn1 ZINC000344788942 354370690 /nfs/dbraw/zinc/37/06/90/354370690.db2.gz LMSSPFSMBKGRJF-CYBMUJFWSA-N 0 3 246.358 2.625 20 0 BFADHN CCc1cc(N2CC[C@H](OC)C(C)(C)C2)ccn1 ZINC000334643755 354401250 /nfs/dbraw/zinc/40/12/50/354401250.db2.gz FPSQVUQMMOGGNA-AWEZNQCLSA-N 0 3 248.370 2.895 20 0 BFADHN c1[nH]nc2c1cccc2CN1CC2(C1)CCCC2 ZINC000334644785 354402708 /nfs/dbraw/zinc/40/27/08/354402708.db2.gz KXABODPGDFAIFO-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN Cc1nocc1CNC12CCC(CC1)CC2 ZINC000334676380 354419810 /nfs/dbraw/zinc/41/98/10/354419810.db2.gz QSOXGQQLWROKRD-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN c1coc(CN2CCSC[C@H]2C2CC2)c1 ZINC000334678789 354423384 /nfs/dbraw/zinc/42/33/84/354423384.db2.gz HJUHZPUARAZYKV-LBPRGKRZSA-N 0 3 223.341 2.607 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1ccncc1F ZINC000334652831 354445933 /nfs/dbraw/zinc/44/59/33/354445933.db2.gz NZPTWLCNLXKPKF-GXFFZTMASA-N 0 3 222.307 2.841 20 0 BFADHN CC1=C[C@@H](C)CN(CCc2cscn2)C1 ZINC000334655737 354449226 /nfs/dbraw/zinc/44/92/26/354449226.db2.gz UDHAIIOMYBNEER-SNVBAGLBSA-N 0 3 222.357 2.584 20 0 BFADHN CC(C)[C@H]1CN(C[C@H]2CCC(F)(F)C2)CCO1 ZINC000334657353 354452134 /nfs/dbraw/zinc/45/21/34/354452134.db2.gz VTPNWBSVVZDFHR-NWDGAFQWSA-N 0 3 247.329 2.779 20 0 BFADHN C[C@H]1C[C@@H](O)CN(Cc2coc3ccccc23)C1 ZINC000334746461 354470575 /nfs/dbraw/zinc/47/05/75/354470575.db2.gz QEBGOJJVVLHZDD-WCQYABFASA-N 0 3 245.322 2.636 20 0 BFADHN Fc1cnccc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000334746515 354470925 /nfs/dbraw/zinc/47/09/25/354470925.db2.gz HOTQQRWCBPKZJL-TXEJJXNPSA-N 0 3 234.318 2.843 20 0 BFADHN Cc1cc(CN2[C@H](C)CCC2(C)C)ncn1 ZINC000334692641 354482690 /nfs/dbraw/zinc/48/26/90/354482690.db2.gz WRPUCYSKNBKDDQ-LLVKDONJSA-N 0 3 219.332 2.548 20 0 BFADHN c1cc(CN2CCC(c3c[nH]cn3)CC2)cs1 ZINC000334733908 354533645 /nfs/dbraw/zinc/53/36/45/354533645.db2.gz ASRCEJXYLYBNLB-UHFFFAOYSA-N 0 3 247.367 2.851 20 0 BFADHN CO[C@H]1CCN(Cc2csc(C)c2)C[C@H]1C ZINC000334738353 354541200 /nfs/dbraw/zinc/54/12/00/354541200.db2.gz JQTCTQAJNYIPKK-MFKMUULPSA-N 0 3 239.384 2.913 20 0 BFADHN F[C@H]1CCC[C@H]1NCc1cccc2c[nH]nc21 ZINC000334710453 354506823 /nfs/dbraw/zinc/50/68/23/354506823.db2.gz FUTVNURIWMNQGM-NWDGAFQWSA-N 0 3 233.290 2.543 20 0 BFADHN Cc1cc(F)ccc1[C@H]1CCCN1C[C@H](C)O ZINC000334798441 354553094 /nfs/dbraw/zinc/55/30/94/354553094.db2.gz WQJQAVWGNURIEL-SMDDNHRTSA-N 0 3 237.318 2.652 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1ccncc1F ZINC000334755330 354576919 /nfs/dbraw/zinc/57/69/19/354576919.db2.gz DJPQXDHZDFLZPR-JQWIXIFHSA-N 0 3 222.307 2.841 20 0 BFADHN c1cc2c(o1)CCCN(CCC[C@@H]1CCO1)C2 ZINC000639193155 354592741 /nfs/dbraw/zinc/59/27/41/354592741.db2.gz QGKPZPPFYMGQRR-CYBMUJFWSA-N 0 3 235.327 2.597 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CS[C@@H](C)C1 ZINC000639205782 354661340 /nfs/dbraw/zinc/66/13/40/354661340.db2.gz MKFJOTPUWJCEPD-WCBMZHEXSA-N 0 3 240.372 2.529 20 0 BFADHN CC(C)n1cncc1CN1[C@@H](C)CC[C@@H]1C ZINC000335082619 354615415 /nfs/dbraw/zinc/61/54/15/354615415.db2.gz FRPFJWBYCQLKGX-RYUDHWBXSA-N 0 3 221.348 2.837 20 0 BFADHN COc1cccc(C)c1CNC[C@@H](OC)C1CC1 ZINC000639208917 354729372 /nfs/dbraw/zinc/72/93/72/354729372.db2.gz HSKIJPSYRUPNKQ-OAHLLOKOSA-N 0 3 249.354 2.518 20 0 BFADHN COC[C@@H]1CCN1Cc1csc(C)c1C ZINC000639207185 354671391 /nfs/dbraw/zinc/67/13/91/354671391.db2.gz MNVSNSAUPVRURR-LBPRGKRZSA-N 0 3 225.357 2.586 20 0 BFADHN CC(C)[C@H](C)NC(=O)c1ccccc1CN(C)C ZINC000345524030 354672108 /nfs/dbraw/zinc/67/21/08/354672108.db2.gz MIKWDGKPVOPSKT-LBPRGKRZSA-N 0 3 248.370 2.523 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@H](NCc2ccc(C)o2)C1(C)C ZINC000345705895 354754156 /nfs/dbraw/zinc/75/41/56/354754156.db2.gz LHHUWDPTPRSBRM-WCFLWFBJSA-N 0 3 237.343 2.737 20 0 BFADHN CC(C)CCNC(=O)c1ccccc1CN(C)C ZINC000345940555 354815236 /nfs/dbraw/zinc/81/52/36/354815236.db2.gz ZWAANGIXQDQURV-UHFFFAOYSA-N 0 3 248.370 2.524 20 0 BFADHN CCC(=O)CCCN1CCSC(C)(C)C1 ZINC000232860618 363388195 /nfs/dbraw/zinc/38/81/95/363388195.db2.gz AXSWPUWEKZQQMW-UHFFFAOYSA-N 0 3 229.389 2.573 20 0 BFADHN CC[C@@H](C(=O)OC)N1CCC[C@@H](CC(C)C)C1 ZINC000345953014 354819077 /nfs/dbraw/zinc/81/90/77/354819077.db2.gz MJXWGKJOUOETAN-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN CO[C@@H]1CC[C@H](NCc2ccc(F)cc2F)C1 ZINC000190936434 358491317 /nfs/dbraw/zinc/49/13/17/358491317.db2.gz OKYHHAZBOPLQLX-NWDGAFQWSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1csc([C@H](C)NC[C@@H](C)C[C@H](C)O)n1 ZINC000623897080 354870071 /nfs/dbraw/zinc/87/00/71/354870071.db2.gz GFPJZCCSIHDGCD-LSJOCFKGSA-N 0 3 242.388 2.509 20 0 BFADHN CCC[C@@H](CC)NC(=O)[C@@H](CC)N(CC)CC ZINC000345992049 354834715 /nfs/dbraw/zinc/83/47/15/354834715.db2.gz CYDBKYLCEXKFCE-CHWSQXEVSA-N 0 3 242.407 2.802 20 0 BFADHN CC(C)n1cc(CN2C[C@@H](C)C[C@H]2C)cn1 ZINC000335944601 134019223 /nfs/dbraw/zinc/01/92/23/134019223.db2.gz XTTFLTKBKHOSNV-NWDGAFQWSA-N 0 3 221.348 2.694 20 0 BFADHN COc1cccnc1CN[C@H]1CCCC[C@@H]1C ZINC000449749038 202020433 /nfs/dbraw/zinc/02/04/33/202020433.db2.gz OINMWQFVLOZALN-RYUDHWBXSA-N 0 3 234.343 2.759 20 0 BFADHN CO[C@H]1CC[C@@H](NCc2ccc(F)cc2F)C1 ZINC000190936483 358491142 /nfs/dbraw/zinc/49/11/42/358491142.db2.gz OKYHHAZBOPLQLX-NEPJUHHUSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@@H]1CC=CCC1 ZINC000346327775 354897328 /nfs/dbraw/zinc/89/73/28/354897328.db2.gz INZSQTXGNCJCLT-GFCCVEGCSA-N 0 3 219.332 2.506 20 0 BFADHN CCCN(CC)CC(=O)N(C)[C@@H](C)CC(C)C ZINC000346335343 354900284 /nfs/dbraw/zinc/90/02/84/354900284.db2.gz LTICGCPODKPMCK-ZDUSSCGKSA-N 0 3 242.407 2.611 20 0 BFADHN CCOC(=O)[C@H](CC)N(C[C@@H](C)CC)C1CC1 ZINC000346370292 354901965 /nfs/dbraw/zinc/90/19/65/354901965.db2.gz KIFLXPHJMXRKJJ-AAEUAGOBSA-N 0 3 241.375 2.839 20 0 BFADHN CCOC(=O)[C@H](CC)N(C[C@H](C)CC)C1CC1 ZINC000346370298 354902022 /nfs/dbraw/zinc/90/20/22/354902022.db2.gz KIFLXPHJMXRKJJ-YPMHNXCESA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H](N[C@H]1CCCC1(C)C)c1ccn(C)n1 ZINC000335165833 354922809 /nfs/dbraw/zinc/92/28/09/354922809.db2.gz FUOALSSSDOGIGD-PWSUYJOCSA-N 0 3 221.348 2.649 20 0 BFADHN F[C@H]1CC[C@@H](NCc2ccc3c(n2)CCC3)C1 ZINC000335170172 354932532 /nfs/dbraw/zinc/93/25/32/354932532.db2.gz LSXWKUNQFRBTNI-NWDGAFQWSA-N 0 3 234.318 2.551 20 0 BFADHN CC(C)OC1CC(N2CCC(F)(F)[C@@H](C)C2)C1 ZINC000335172419 354937682 /nfs/dbraw/zinc/93/76/82/354937682.db2.gz ZDGFUWQXASVJJT-UNXYVOJBSA-N 0 3 247.329 2.920 20 0 BFADHN CC(C)(C)c1ccc(CN[C@H]2CCOC2)s1 ZINC000166052366 354948552 /nfs/dbraw/zinc/94/85/52/354948552.db2.gz ZXBCCLHSUKTXFB-JTQLQIEISA-N 0 3 239.384 2.924 20 0 BFADHN CCCCCC(=O)NC[C@H]1CCCCN1CC ZINC000346461957 354952612 /nfs/dbraw/zinc/95/26/12/354952612.db2.gz MKVGFZAWNZEIGL-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN CCc1cccc(CN2CCOCC3(CC3)C2)c1 ZINC000346480689 354957820 /nfs/dbraw/zinc/95/78/20/354957820.db2.gz CHDCMLUGGJIRTM-UHFFFAOYSA-N 0 3 245.366 2.861 20 0 BFADHN Cc1nc2ccccc2nc1CN[C@H](C)C1CC1 ZINC000639224955 354995594 /nfs/dbraw/zinc/99/55/94/354995594.db2.gz CWXXMWZMOUFIAS-SNVBAGLBSA-N 0 3 241.338 2.826 20 0 BFADHN C[C@@H]1CCN(CC2(C)CCC2)[C@H](C(N)=O)C1 ZINC000335200544 355033972 /nfs/dbraw/zinc/03/39/72/355033972.db2.gz TUUHHZMBLQJUJU-MNOVXSKESA-N 0 3 224.348 2.812 20 0 BFADHN CC/C=C\CNC[C@H](Cc1ccccc1)OC ZINC000639226700 355036158 /nfs/dbraw/zinc/03/61/58/355036158.db2.gz HGZVPVLOHWBYJY-UVCVVPOWSA-N 0 3 233.355 2.800 20 0 BFADHN Cc1cccc(CN(C)CC2CCC2)n1 ZINC000346649502 355018662 /nfs/dbraw/zinc/01/86/62/355018662.db2.gz UKUNYJKBJGHLBB-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1ccc(CCN2CCO[C@H]3CCC[C@@H]32)cc1 ZINC000346651097 355018822 /nfs/dbraw/zinc/01/88/22/355018822.db2.gz VKDZXMMMISFJPZ-HOTGVXAUSA-N 0 3 245.366 2.791 20 0 BFADHN C[C@@H](c1cnccn1)N1CC(C)(C)C[C@H]1C ZINC000335191282 355023876 /nfs/dbraw/zinc/02/38/76/355023876.db2.gz PMTAZGOFCKZSGS-MNOVXSKESA-N 0 3 219.332 2.658 20 0 BFADHN Cc1cccc(CCN2CCN(C)[C@@H](C)[C@@H]2C)c1 ZINC000335230501 355073425 /nfs/dbraw/zinc/07/34/25/355073425.db2.gz LQKILCCVMMVSQC-GJZGRUSLSA-N 0 3 246.398 2.562 20 0 BFADHN Cc1cccnc1CN1CCCO[C@H](C(C)C)C1 ZINC000335183132 355081279 /nfs/dbraw/zinc/08/12/79/355081279.db2.gz GPZZDNJJJCLRTI-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN CCc1cc(N2CCC=C(F)C2)ccn1 ZINC000335222763 355063190 /nfs/dbraw/zinc/06/31/90/355063190.db2.gz NBPRSSMDEVTWPZ-UHFFFAOYSA-N 0 3 206.264 2.708 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2COCC2(C)C)oc1C ZINC000335222792 355063524 /nfs/dbraw/zinc/06/35/24/355063524.db2.gz NXBHRTBHBZUDTB-GWCFXTLKSA-N 0 3 237.343 2.972 20 0 BFADHN Cc1ncc(C[NH2+][C@H](C)CC2CCCC2)c(=O)[n-]1 ZINC000346793461 355106781 /nfs/dbraw/zinc/10/67/81/355106781.db2.gz LBZJFVSRZFBRGQ-SNVBAGLBSA-N 0 3 249.358 2.549 20 0 BFADHN Cc1ncc(C[NH2+][C@@H](C)C2CCCCC2)c(=O)[n-]1 ZINC000346804335 355109321 /nfs/dbraw/zinc/10/93/21/355109321.db2.gz HGDWMNRJEMDPBX-JTQLQIEISA-N 0 3 249.358 2.549 20 0 BFADHN O[C@H]1C[C@@H](NCc2ccc(C3CCCC3)cc2)C1 ZINC000449754412 202022539 /nfs/dbraw/zinc/02/25/39/202022539.db2.gz QIXCDNHKTIBJPM-IYBDPMFKSA-N 0 3 245.366 2.957 20 0 BFADHN COc1ccc(C2(N[C@H](C)[C@H](C)OC)CC2)cc1 ZINC000346735366 355089389 /nfs/dbraw/zinc/08/93/89/355089389.db2.gz RGADNNLAHQODGF-NEPJUHHUSA-N 0 3 249.354 2.697 20 0 BFADHN Cc1cccc(CCN2C[C@H](C)N(C)C[C@@H]2C)c1 ZINC000335231749 355092603 /nfs/dbraw/zinc/09/26/03/355092603.db2.gz QTYIAWPPBXPTNE-GJZGRUSLSA-N 0 3 246.398 2.562 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1nc2ccccc2o1 ZINC000639227878 355093209 /nfs/dbraw/zinc/09/32/09/355093209.db2.gz FNFJPZIMLCCRJN-MNOVXSKESA-N 0 3 230.311 2.964 20 0 BFADHN C[C@H](O)[C@H]1CCN(Cc2cccc(Cl)c2)C1 ZINC000166077114 355125799 /nfs/dbraw/zinc/12/57/99/355125799.db2.gz GKZWCIHSKFPJOC-JQWIXIFHSA-N 0 3 239.746 2.543 20 0 BFADHN Cc1ccoc1CNCCc1nc(C)oc1C ZINC000346822966 355125810 /nfs/dbraw/zinc/12/58/10/355125810.db2.gz KDVXLOZQPDUCAX-UHFFFAOYSA-N 0 3 234.299 2.525 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1ccccn1 ZINC000449759483 202024893 /nfs/dbraw/zinc/02/48/93/202024893.db2.gz JOVPIRRYIACDEB-ZIAGYGMSSA-N 0 3 234.343 2.519 20 0 BFADHN Cc1oncc1CN(C)C1CC(C)(C)C1 ZINC000335238412 355099922 /nfs/dbraw/zinc/09/99/22/355099922.db2.gz GLENRSGGYPVWPX-UHFFFAOYSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H](NC1(c2ccccc2)CC1)[C@@H]1CCCO1 ZINC000346834026 355130846 /nfs/dbraw/zinc/13/08/46/355130846.db2.gz NKYCYGLLFUTXCO-JSGCOSHPSA-N 0 3 231.339 2.833 20 0 BFADHN C[C@@H](NC1(c2ccccc2)CC1)[C@H]1CCCO1 ZINC000346834029 355130926 /nfs/dbraw/zinc/13/09/26/355130926.db2.gz NKYCYGLLFUTXCO-TZMCWYRMSA-N 0 3 231.339 2.833 20 0 BFADHN CCC(C)(C)N(C)C(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000346846896 355136344 /nfs/dbraw/zinc/13/63/44/355136344.db2.gz CPWUGGCURSMOKH-RYUDHWBXSA-N 0 3 240.391 2.506 20 0 BFADHN CCc1cccnc1[C@H](C)NCC[C@@H]1CCOC1 ZINC000346877065 355141858 /nfs/dbraw/zinc/14/18/58/355141858.db2.gz SEXULDBCBPXKGX-QWHCGFSZSA-N 0 3 248.370 2.721 20 0 BFADHN CC[C@H](C)CN(C)Cc1cnccc1OC ZINC000346970914 355207532 /nfs/dbraw/zinc/20/75/32/355207532.db2.gz AXLVXKPJMZIJNS-NSHDSACASA-N 0 3 222.332 2.568 20 0 BFADHN CCC[C@H](N[C@@H](C)CCCO)c1ccccn1 ZINC000346974927 355208889 /nfs/dbraw/zinc/20/88/89/355208889.db2.gz CJSGOEPIAGJBRV-JSGCOSHPSA-N 0 3 236.359 2.673 20 0 BFADHN CCC[C@H](N[C@H](C)CCCO)c1ccccn1 ZINC000346974929 355209331 /nfs/dbraw/zinc/20/93/31/355209331.db2.gz CJSGOEPIAGJBRV-OCCSQVGLSA-N 0 3 236.359 2.673 20 0 BFADHN c1cc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)sn1 ZINC000639232846 355214619 /nfs/dbraw/zinc/21/46/19/355214619.db2.gz PXQSRXCIXZHCRE-QWHCGFSZSA-N 0 3 234.368 2.764 20 0 BFADHN COc1ccncc1CN(C)C[C@H]1CC=CCC1 ZINC000346933753 355182308 /nfs/dbraw/zinc/18/23/08/355182308.db2.gz BSVPDMHNGTXYES-ZDUSSCGKSA-N 0 3 246.354 2.878 20 0 BFADHN c1cncc([C@@H]2CCCN2CCOC2CCC2)c1 ZINC000346981288 355183916 /nfs/dbraw/zinc/18/39/16/355183916.db2.gz NJOPYHNJODTJKH-HNNXBMFYSA-N 0 3 246.354 2.788 20 0 BFADHN FCCCCN1CCC[C@H]1c1cccnc1 ZINC000346983052 355185613 /nfs/dbraw/zinc/18/56/13/355185613.db2.gz ZCFMOJDTQLIJSK-ZDUSSCGKSA-N 0 3 222.307 2.968 20 0 BFADHN CCC[C@@H](NCC[C@H](C)OC)c1ccccn1 ZINC000346986996 355186485 /nfs/dbraw/zinc/18/64/85/355186485.db2.gz QSCWWPCGCSWKDE-QWHCGFSZSA-N 0 3 236.359 2.937 20 0 BFADHN COc1cc(CNC[C@@H]2C[C@H]2C(C)C)sn1 ZINC000639233494 355215856 /nfs/dbraw/zinc/21/58/56/355215856.db2.gz IDDLVMCXPXZPPQ-ONGXEEELSA-N 0 3 240.372 2.533 20 0 BFADHN CC[C@@H](C(=O)NC(C)(C)CC(C)(C)C)N(C)C ZINC000413099401 191355529 /nfs/dbraw/zinc/35/55/29/191355529.db2.gz DFZOURDEMHWMMR-NSHDSACASA-N 0 3 242.407 2.658 20 0 BFADHN Cc1cnn(C)c1CN(C)CC1CCCCC1 ZINC000347621413 355238537 /nfs/dbraw/zinc/23/85/37/355238537.db2.gz ZUBVXEHDEZJGJN-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CC[C@H](CN1CCC[C@H]1c1cccnc1)OC ZINC000412975704 191346339 /nfs/dbraw/zinc/34/63/39/191346339.db2.gz GQHNYTSFXZTBJQ-KGLIPLIRSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@H](CN1CCC[C@H]1c1cccnc1)OC ZINC000412975702 191346449 /nfs/dbraw/zinc/34/64/49/191346449.db2.gz GQHNYTSFXZTBJQ-KBPBESRZSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@H](CN1CC[C@H]1c1ccccc1)OC ZINC000412976647 191346849 /nfs/dbraw/zinc/34/68/49/191346849.db2.gz JTDZTILQGWQJSH-KBPBESRZSA-N 0 3 219.328 2.858 20 0 BFADHN CC(C)n1ncnc1CNC12CCC(CC1)CC2 ZINC000639236198 355219173 /nfs/dbraw/zinc/21/91/73/355219173.db2.gz NYCXXVWQVISMQR-UHFFFAOYSA-N 0 3 248.374 2.671 20 0 BFADHN CC[C@@H]1OCC[C@H]1Nc1ccnc2ccccc21 ZINC000413459733 191382293 /nfs/dbraw/zinc/38/22/93/191382293.db2.gz XXYWMSCCQIVIMG-CABCVRRESA-N 0 3 242.322 2.636 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000639245993 355274357 /nfs/dbraw/zinc/27/43/57/355274357.db2.gz CJEHSVVRQUSUBO-FVCCEPFGSA-N 0 3 233.359 2.600 20 0 BFADHN COc1cc(CN2CC[C@@H](C)[C@@H](C)C2)ccn1 ZINC000347593883 355249847 /nfs/dbraw/zinc/24/98/47/355249847.db2.gz GEPSRNZPDFWPKR-NEPJUHHUSA-N 0 3 234.343 2.568 20 0 BFADHN C[C@@H]1CN(C[C@@H]2C[C@@H]2c2ccccc2)C[C@@H](C)O1 ZINC000347664156 355254941 /nfs/dbraw/zinc/25/49/41/355254941.db2.gz OXVDCGAJCUPCOA-LUYZLQTOSA-N 0 3 245.366 2.899 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000623225451 355288835 /nfs/dbraw/zinc/28/88/35/355288835.db2.gz SPFVJSAULNBGTO-RFHZTLPTSA-N 0 3 245.370 2.813 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@H]2CC2(F)F)nc1C ZINC000639256076 355297225 /nfs/dbraw/zinc/29/72/25/355297225.db2.gz CGPZCSSWGAVSAX-ZYHUDNBSSA-N 0 3 240.297 2.832 20 0 BFADHN Cn1ccnc1[C@H](N[C@]1(C)CC=CCC1)C1CC1 ZINC000639258824 355304423 /nfs/dbraw/zinc/30/44/23/355304423.db2.gz NWVUHAZNIILGEL-UKRRQHHQSA-N 0 3 245.370 2.960 20 0 BFADHN CCn1ccc(CNC2[C@@H](C)CCC[C@@H]2C)n1 ZINC000414210270 191468995 /nfs/dbraw/zinc/46/89/95/191468995.db2.gz OGEJTUCSFFBNIN-RYUDHWBXSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@H]2C(C)C)n1 ZINC000414210167 191469538 /nfs/dbraw/zinc/46/95/38/191469538.db2.gz OAQLYVFMVUIGQC-UONOGXRCSA-N 0 3 235.375 2.817 20 0 BFADHN C[C@@H](CNCc1cc(C2CC2)no1)CC(F)F ZINC000639284261 355383157 /nfs/dbraw/zinc/38/31/57/355383157.db2.gz WLICTPKARCRUDE-MRVPVSSYSA-N 0 3 244.285 2.933 20 0 BFADHN C[C@H](CNCc1cc(C2CC2)no1)CC(F)F ZINC000639284262 355383255 /nfs/dbraw/zinc/38/32/55/355383255.db2.gz WLICTPKARCRUDE-QMMMGPOBSA-N 0 3 244.285 2.933 20 0 BFADHN CC1(CC(F)F)CN(CCC[C@@H]2CCO2)C1 ZINC000639284717 355383938 /nfs/dbraw/zinc/38/39/38/355383938.db2.gz ZTLWAVHQUZCGLM-SNVBAGLBSA-N 0 3 233.302 2.533 20 0 BFADHN C[C@@H](NCC(C)(C)O)c1csc(Cl)c1 ZINC000191277926 355384809 /nfs/dbraw/zinc/38/48/09/355384809.db2.gz YMDXCFDZAHEWCW-SSDOTTSWSA-N 0 3 233.764 2.823 20 0 BFADHN CO[C@](C)(CN1CC(C)(CC(F)F)C1)C1CC1 ZINC000639284552 355385790 /nfs/dbraw/zinc/38/57/90/355385790.db2.gz VWTJDWQPZMDXFG-CYBMUJFWSA-N 0 3 247.329 2.779 20 0 BFADHN C[C@@H]1[C@H](NCCF)C[C@H]1c1ccccc1 ZINC000367095203 355386564 /nfs/dbraw/zinc/38/65/64/355386564.db2.gz VXIUEQCXOVQNSN-CYZMBNFOSA-N 0 3 207.292 2.738 20 0 BFADHN Nc1c(F)cccc1CN[C@@H]1C[C@H]1c1ccco1 ZINC000639270793 355341831 /nfs/dbraw/zinc/34/18/31/355341831.db2.gz BLUDLHBIXHTBDN-ZYHUDNBSSA-N 0 3 246.285 2.647 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1c1ccco1)[C@H]1CC1(F)F ZINC000639274780 355342434 /nfs/dbraw/zinc/34/24/34/355342434.db2.gz RBTZBOBLMHNCLW-SGIHWFKDSA-N 0 3 227.254 2.769 20 0 BFADHN CO[C@H](CNCc1cc(C)ns1)CC(C)C ZINC000639272442 355343001 /nfs/dbraw/zinc/34/30/01/355343001.db2.gz JOFZXFUBOPBJDW-NSHDSACASA-N 0 3 242.388 2.602 20 0 BFADHN CCC[C@H](CNCc1cc(C)nc(C)c1)OC ZINC000639272479 355343063 /nfs/dbraw/zinc/34/30/63/355343063.db2.gz NEHRXGRIJCDASS-CQSZACIVSA-N 0 3 236.359 2.603 20 0 BFADHN COc1ncc(CN2C[C@H](C)[C@H](C)[C@@H]2C)s1 ZINC000639272746 355344048 /nfs/dbraw/zinc/34/40/48/355344048.db2.gz SQBZYDOFHPKDMB-GUBZILKMSA-N 0 3 240.372 2.628 20 0 BFADHN CN(Cc1ccnn1CC1CCC1)C(C)(C)C ZINC000639286711 355390887 /nfs/dbraw/zinc/39/08/87/355390887.db2.gz SIAYUFWKULWWGA-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN CN([C@@H](c1nccn1C)C1CC1)C(C)(C)C ZINC000639285471 355390965 /nfs/dbraw/zinc/39/09/65/355390965.db2.gz GLKWNIACYMYIFN-LLVKDONJSA-N 0 3 221.348 2.602 20 0 BFADHN CCC[C@@H](CN[C@@H]1C[C@H]1c1ccco1)OC ZINC000639275154 355351278 /nfs/dbraw/zinc/35/12/78/355351278.db2.gz SJDXSTMGNIHLKM-QJPTWQEYSA-N 0 3 223.316 2.540 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]2C2CCC2)sn1 ZINC000639277540 355357766 /nfs/dbraw/zinc/35/77/66/355357766.db2.gz PZITYNGKFGASGM-NWDGAFQWSA-N 0 3 222.357 2.730 20 0 BFADHN Nc1c(F)cccc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000639278006 355359065 /nfs/dbraw/zinc/35/90/65/355359065.db2.gz XMHAKKRUBFGJRJ-WCQYABFASA-N 0 3 234.318 2.686 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cnc(C)nc1 ZINC000352024304 134040824 /nfs/dbraw/zinc/04/08/24/134040824.db2.gz FOMAFDSWXOFQNF-NSHDSACASA-N 0 3 221.348 2.653 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@@H]1N1CCc2n[nH]cc2C1 ZINC000623240584 355417339 /nfs/dbraw/zinc/41/73/39/355417339.db2.gz PBDPAAQNYMJGFM-RISCZKNCSA-N 0 3 247.386 2.983 20 0 BFADHN C[C@@H](F)CCN1CCCc2occc2C1 ZINC000335993772 134041475 /nfs/dbraw/zinc/04/14/75/134041475.db2.gz XRSZKXQSORBYGY-SNVBAGLBSA-N 0 3 211.280 2.776 20 0 BFADHN C[C@H](C1CCCCC1)N1CCc2n[nH]cc2C1 ZINC000623241615 355490817 /nfs/dbraw/zinc/49/08/17/355490817.db2.gz ZUJALZZBMYKHOB-LLVKDONJSA-N 0 3 233.359 2.737 20 0 BFADHN c1nnsc1CN1CCC[C@@H]1C1CCCC1 ZINC000335794916 355450520 /nfs/dbraw/zinc/45/05/20/355450520.db2.gz CZJZDNGEABVGFU-GFCCVEGCSA-N 0 3 237.372 2.693 20 0 BFADHN CCOc1cccc(CN(C)C2CCCC2)n1 ZINC000349788149 355463235 /nfs/dbraw/zinc/46/32/35/355463235.db2.gz OAGGOPDOOYBXCM-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN COCCN(C)C/C=C/c1ccc(Cl)cc1 ZINC000349802858 355466279 /nfs/dbraw/zinc/46/62/79/355466279.db2.gz KNPZQLUQGQQVTI-ONEGZZNKSA-N 0 3 239.746 2.931 20 0 BFADHN C[C@@H]1CC[C@H](N2CCc3n[nH]cc3C2)C[C@@H]1C ZINC000623241110 355479382 /nfs/dbraw/zinc/47/93/82/355479382.db2.gz HLRLKAKVISWHIW-MDZLAQPJSA-N 0 3 233.359 2.593 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCc3n[nH]cc3C2)C[C@@H]1C ZINC000623241112 355479404 /nfs/dbraw/zinc/47/94/04/355479404.db2.gz HLRLKAKVISWHIW-NTZNESFSSA-N 0 3 233.359 2.593 20 0 BFADHN c1cc(CN2CCC3(C2)CCCCC3)on1 ZINC000335808688 355481797 /nfs/dbraw/zinc/48/17/97/355481797.db2.gz PNVANUUJMJWTRJ-UHFFFAOYSA-N 0 3 220.316 2.831 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H]1N1CCc2n[nH]cc2C1 ZINC000623241319 355489002 /nfs/dbraw/zinc/48/90/02/355489002.db2.gz PKFVPBANCKTDOA-JSGCOSHPSA-N 0 3 233.359 2.593 20 0 BFADHN CC(C)CC[C@H](C)N1CCc2n[nH]cc2C1 ZINC000623241347 355489092 /nfs/dbraw/zinc/48/90/92/355489092.db2.gz QFBCYZXDOURUOS-NSHDSACASA-N 0 3 221.348 2.593 20 0 BFADHN C[C@@H](CC1CCCC1)N[C@@H]1CNCCC1(F)F ZINC000414993672 191643461 /nfs/dbraw/zinc/64/34/61/191643461.db2.gz CUOJGNFNLDNFLF-CMPLNLGQSA-N 0 3 246.345 2.542 20 0 BFADHN COc1cc(C)nc(CN2CC[C@H]3CCC[C@H]32)c1 ZINC000335833030 355560817 /nfs/dbraw/zinc/56/08/17/355560817.db2.gz MNIRKVUAHIWXMK-IUODEOHRSA-N 0 3 246.354 2.773 20 0 BFADHN CCN(C)C/C=C/c1ccc(F)cc1F ZINC000349980741 355561049 /nfs/dbraw/zinc/56/10/49/355561049.db2.gz ZVGLTJMKLROZNC-SNAWJCMRSA-N 0 3 211.255 2.930 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H](N2CCc3n[nH]cc3C2)C1 ZINC000623241923 355536376 /nfs/dbraw/zinc/53/63/76/355536376.db2.gz JJZSIFZBMMKQDN-TZMCWYRMSA-N 0 3 247.386 2.983 20 0 BFADHN CCCC(CCC)N[C@H]1CNCCC1(F)F ZINC000415011254 191649241 /nfs/dbraw/zinc/64/92/41/191649241.db2.gz ZUZANAFVKQUHPM-NSHDSACASA-N 0 3 234.334 2.542 20 0 BFADHN CO[C@@H]1CN(Cc2ccc(C)nc2C)CC[C@@H]1C ZINC000335820515 355538389 /nfs/dbraw/zinc/53/83/89/355538389.db2.gz XEFKIDLFRJKXML-XHDPSFHLSA-N 0 3 248.370 2.555 20 0 BFADHN CC(C)CC[C@@H](O)CN1CCC(F)(F)[C@H](C)C1 ZINC000352027709 134044165 /nfs/dbraw/zinc/04/41/65/134044165.db2.gz NARITEUAFUAWJL-VXGBXAGGSA-N 0 3 249.345 2.761 20 0 BFADHN Cc1cnn(C)c1CN1CCC(C(C)C)CC1 ZINC000335999950 134044168 /nfs/dbraw/zinc/04/41/68/134044168.db2.gz XLSJOSIUSGECAO-UHFFFAOYSA-N 0 3 235.375 2.597 20 0 BFADHN CC(C)n1nccc1CN1[C@H](C)CC[C@H]1C ZINC000335819641 355511158 /nfs/dbraw/zinc/51/11/58/355511158.db2.gz XGXKRXBMYSSTNK-VXGBXAGGSA-N 0 3 221.348 2.837 20 0 BFADHN CCOc1cccc(CN(C)CC(C)C)n1 ZINC000349870139 355512759 /nfs/dbraw/zinc/51/27/59/355512759.db2.gz AEUKGASAOSLXTP-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN Cn1ccnc1[C@@H](NC1(C)CC=CC1)C1CC1 ZINC000639295497 355581127 /nfs/dbraw/zinc/58/11/27/355581127.db2.gz JQJSSYYCPIVQAJ-LBPRGKRZSA-N 0 3 231.343 2.570 20 0 BFADHN Cc1oncc1CN1CCC[C@H]1C(C)(C)C ZINC000335856157 355600749 /nfs/dbraw/zinc/60/07/49/355600749.db2.gz JKCVJOHNSWVLOF-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN CCc1ccc(CN2CCCC[C@H]2COC)cn1 ZINC000449761459 202025972 /nfs/dbraw/zinc/02/59/72/202025972.db2.gz XSSWGDHKVDCNTR-HNNXBMFYSA-N 0 3 248.370 2.645 20 0 BFADHN CC[C@H](C1CCCC1)N1CCc2n[nH]cc2C1 ZINC000623242629 355579725 /nfs/dbraw/zinc/57/97/25/355579725.db2.gz CYMRFYAIKQVHCW-CQSZACIVSA-N 0 3 233.359 2.737 20 0 BFADHN C(N[C@H]1CCC[C@H]2C[C@H]21)c1nnc(C2CC2)s1 ZINC000583552218 355579839 /nfs/dbraw/zinc/57/98/39/355579839.db2.gz ZDCCEMJZIXSTGF-AXFHLTTASA-N 0 3 249.383 2.694 20 0 BFADHN c1[nH]nc2c1CN([C@@H]1CCCC13CCCC3)CC2 ZINC000623242753 355580723 /nfs/dbraw/zinc/58/07/23/355580723.db2.gz HLTMDZHGQBWSDJ-CQSZACIVSA-N 0 3 245.370 2.881 20 0 BFADHN COC[C@H](C)NCc1cccc(OC(C)C)c1 ZINC000040639978 358497501 /nfs/dbraw/zinc/49/75/01/358497501.db2.gz PKNGMDFLTTXTDR-LBPRGKRZSA-N 0 3 237.343 2.598 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccc(F)cn1 ZINC000335872241 355639547 /nfs/dbraw/zinc/63/95/47/355639547.db2.gz QWZHNPCUOHAFLP-GFCCVEGCSA-N 0 3 208.280 2.595 20 0 BFADHN CCC[C@@H](CN[C@H]1CCc2ccc(O)cc21)OC ZINC000639314962 355706258 /nfs/dbraw/zinc/70/62/58/355706258.db2.gz BFXWKCAIZIMGHY-ZFWWWQNUSA-N 0 3 249.354 2.784 20 0 BFADHN CC[C@](C)(CN[C@@H]1CCc2ccc(O)cc21)OC ZINC000639317854 355713585 /nfs/dbraw/zinc/71/35/85/355713585.db2.gz QHEVEFVKGLOZDV-HUUCEWRRSA-N 0 3 249.354 2.784 20 0 BFADHN CC[C@@](C)(CN[C@@H]1CCc2ccc(O)cc21)OC ZINC000639317849 355713856 /nfs/dbraw/zinc/71/38/56/355713856.db2.gz QHEVEFVKGLOZDV-CABCVRRESA-N 0 3 249.354 2.784 20 0 BFADHN CC1(C)C[C@@H](N[C@@H]2CCCc3ncccc32)CO1 ZINC000631645215 355714997 /nfs/dbraw/zinc/71/49/97/355714997.db2.gz NCAFHRZOYMFFFL-BXUZGUMPSA-N 0 3 246.354 2.616 20 0 BFADHN CC1(C)C[C@H](N[C@H]2CCCc3ncccc32)CO1 ZINC000631645216 355715317 /nfs/dbraw/zinc/71/53/17/355715317.db2.gz NCAFHRZOYMFFFL-FZMZJTMJSA-N 0 3 246.354 2.616 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1cc(OC)no1 ZINC000639323342 355717001 /nfs/dbraw/zinc/71/70/01/355717001.db2.gz AUNKIVZLYPXJCE-GHMZBOCLSA-N 0 3 240.347 2.988 20 0 BFADHN Nc1c(F)cccc1CNCC1=CCCC1 ZINC000639330760 355742947 /nfs/dbraw/zinc/74/29/47/355742947.db2.gz RAMWFDAKPWSXSI-UHFFFAOYSA-N 0 3 220.291 2.608 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1ccncc1 ZINC000449761042 202026997 /nfs/dbraw/zinc/02/69/97/202026997.db2.gz UEUQIBPDJVIQRL-ZIAGYGMSSA-N 0 3 234.343 2.519 20 0 BFADHN CCC[C@H]1[C@@H](C)CCCN1Cc1ccn(C)n1 ZINC000353996366 355773228 /nfs/dbraw/zinc/77/32/28/355773228.db2.gz CLQSZMIHCKVREG-JSGCOSHPSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1cc(CNCCCc2ccco2)sn1 ZINC000639335434 355766712 /nfs/dbraw/zinc/76/67/12/355766712.db2.gz ODKMOCOAVLGMFT-UHFFFAOYSA-N 0 3 236.340 2.767 20 0 BFADHN c1ccc(C[C@@H]2CCN2Cc2ccccn2)cc1 ZINC000353997397 355779171 /nfs/dbraw/zinc/77/91/71/355779171.db2.gz LLGLKQJTVUTYMQ-INIZCTEOSA-N 0 3 238.334 2.899 20 0 BFADHN CCC[C@H](CN1CC(Cc2ccco2)C1)OC ZINC000639348590 355789683 /nfs/dbraw/zinc/78/96/83/355789683.db2.gz BZKVIFJJCYNSKO-CQSZACIVSA-N 0 3 237.343 2.569 20 0 BFADHN Cc1cnc(CNC[C@H]2CCCC23CC3)o1 ZINC000639355989 355809859 /nfs/dbraw/zinc/80/98/59/355809859.db2.gz CWVTYBBXSWFILD-LLVKDONJSA-N 0 3 220.316 2.653 20 0 BFADHN Cc1cc(CNCC(C)(C)F)cc(C)c1O ZINC000639358570 355812970 /nfs/dbraw/zinc/81/29/70/355812970.db2.gz MNJALHOSWHWGPT-UHFFFAOYSA-N 0 3 225.307 2.847 20 0 BFADHN CCn1nc(C)c(CN(C)CC2(C)CC2)c1C ZINC000639359606 355813527 /nfs/dbraw/zinc/81/35/27/355813527.db2.gz CQPXKIYZKDXTSH-UHFFFAOYSA-N 0 3 235.375 2.752 20 0 BFADHN c1cc(CN[C@H]2CCCC23CC3)nc2c1CCC2 ZINC000639361302 355815626 /nfs/dbraw/zinc/81/56/26/355815626.db2.gz WPWQSBWYXZIUEI-HNNXBMFYSA-N 0 3 242.366 2.993 20 0 BFADHN C[C@@H](NCc1ncc[nH]1)[C@@H]1C[C@H]1c1ccccc1 ZINC000639365050 355836988 /nfs/dbraw/zinc/83/69/88/355836988.db2.gz AKZWRLDCJRHRDV-XBFCOCLRSA-N 0 3 241.338 2.692 20 0 BFADHN c1coc(CN[C@H](C2CCC2)[C@@H]2CCCO2)c1 ZINC000631252529 355840025 /nfs/dbraw/zinc/84/00/25/355840025.db2.gz ACAVAGJMCTUYMU-UONOGXRCSA-N 0 3 235.327 2.717 20 0 BFADHN C[C@H](CCc1ccccc1F)NCc1ncc[nH]1 ZINC000639370574 355861439 /nfs/dbraw/zinc/86/14/39/355861439.db2.gz FCNPTJUNNHJWBG-LLVKDONJSA-N 0 3 247.317 2.660 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)NCc1ncc[nH]1 ZINC000639371937 355872085 /nfs/dbraw/zinc/87/20/85/355872085.db2.gz NPMCEYKLAOPHHH-NEPJUHHUSA-N 0 3 223.364 2.960 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)NCc1ncc[nH]1 ZINC000639371939 355872407 /nfs/dbraw/zinc/87/24/07/355872407.db2.gz NPMCEYKLAOPHHH-RYUDHWBXSA-N 0 3 223.364 2.960 20 0 BFADHN C[C@@H](c1ccncc1)N1C[C@@H](C)S[C@H](C)C1 ZINC000608502023 355829491 /nfs/dbraw/zinc/82/94/91/355829491.db2.gz HQNKVFKLPRTSSQ-UTUOFQBUSA-N 0 3 236.384 2.968 20 0 BFADHN Cc1ccc(CNCCC[C@@H](C)CO)c(F)c1 ZINC000631101467 355905263 /nfs/dbraw/zinc/90/52/63/355905263.db2.gz GGNMWVSZELTFIF-GFCCVEGCSA-N 0 3 239.334 2.632 20 0 BFADHN C[C@H](CCNC1(C)COC1)c1ccccc1 ZINC000639381037 355920308 /nfs/dbraw/zinc/92/03/08/355920308.db2.gz NQOQFALRSKXPFL-GFCCVEGCSA-N 0 3 219.328 2.559 20 0 BFADHN CC[C@@H]1CCCN(Cc2cncn2CC)C1 ZINC000417729194 191917593 /nfs/dbraw/zinc/91/75/93/191917593.db2.gz LBMQEWZBKXBFRH-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN c1c2ccccc2[nH]c1CN1CCCC1 ZINC000001510556 355936252 /nfs/dbraw/zinc/93/62/52/355936252.db2.gz SPJJMCSFSLNTDJ-UHFFFAOYSA-N 0 3 200.285 2.764 20 0 BFADHN CC1CCC(CN2C[C@@H](C)OC[C@@H]2C)CC1 ZINC000351051281 355942046 /nfs/dbraw/zinc/94/20/46/355942046.db2.gz FQUJKWYSDIWERO-YIFLHPOLSA-N 0 3 225.376 2.922 20 0 BFADHN CCn1nccc1CN(C)[C@H](C)CC(C)C ZINC000351027395 355938766 /nfs/dbraw/zinc/93/87/66/355938766.db2.gz LKWVHVNUMIRBKT-GFCCVEGCSA-N 0 3 223.364 2.769 20 0 BFADHN CC(C)N(Cc1ccc(F)cc1F)C[C@@H](C)O ZINC000035718768 355973755 /nfs/dbraw/zinc/97/37/55/355973755.db2.gz BQXLXYDPWRREPA-SNVBAGLBSA-N 0 3 243.297 2.556 20 0 BFADHN CCN(Cc1ccccn1)C[C@@H]1C[C@@H]1C ZINC000351424396 355989352 /nfs/dbraw/zinc/98/93/52/355989352.db2.gz VWTBVQKVMUWNRR-RYUDHWBXSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@@H](NCc1cn2ccsc2n1)C1(C)CC1 ZINC000166949613 355944312 /nfs/dbraw/zinc/94/43/12/355944312.db2.gz KCJKRJIFFHXAAP-SECBINFHSA-N 0 3 235.356 2.674 20 0 BFADHN CCc1cnccc1[C@@H](C)N[C@@H]1COC(C)(C)C1 ZINC000417955183 191944968 /nfs/dbraw/zinc/94/49/68/191944968.db2.gz CQBIDVUSHBMJJP-YPMHNXCESA-N 0 3 248.370 2.862 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@@H]1C[C@H]1C(F)(F)F)OC ZINC000639390963 356034758 /nfs/dbraw/zinc/03/47/58/356034758.db2.gz RJVLPHRZJLTHHG-SGIHWFKDSA-N 0 3 239.281 2.588 20 0 BFADHN CCC[C@H]1CCCN(Cc2ccnc(C)n2)C1 ZINC000351672335 356051808 /nfs/dbraw/zinc/05/18/08/356051808.db2.gz MEOHUVOTIWHPAP-ZDUSSCGKSA-N 0 3 233.359 2.797 20 0 BFADHN Cc1ncccc1CN1CCC(C)(F)CC1 ZINC000639388798 356008320 /nfs/dbraw/zinc/00/83/20/356008320.db2.gz MUVXHUJRGDAWOE-UHFFFAOYSA-N 0 3 222.307 2.714 20 0 BFADHN CCC1(CC)CCN(Cc2conc2C)C1 ZINC000351566338 356020160 /nfs/dbraw/zinc/02/01/60/356020160.db2.gz CEKOZCSULVGTLI-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1nccnc1CN(C)C[C@H](C)C(C)(C)C ZINC000639397451 356105395 /nfs/dbraw/zinc/10/53/95/356105395.db2.gz CCLVZDRPMVDJRQ-NSHDSACASA-N 0 3 235.375 2.899 20 0 BFADHN COc1ncc(CN[C@]23C[C@H]2CCCC3)s1 ZINC000639395963 356106607 /nfs/dbraw/zinc/10/66/07/356106607.db2.gz SJPKFAKJFBNWAV-BXKDBHETSA-N 0 3 238.356 2.574 20 0 BFADHN C[C@@H](F)CCN(C)CCc1ccccc1O ZINC000351718279 356069164 /nfs/dbraw/zinc/06/91/64/356069164.db2.gz HXYGHSKPUOBDJZ-LLVKDONJSA-N 0 3 225.307 2.615 20 0 BFADHN COCc1cccc(CN2CC(C)(C)C2)c1 ZINC000639393385 356071316 /nfs/dbraw/zinc/07/13/16/356071316.db2.gz LHDFMKUZNRSEPG-UHFFFAOYSA-N 0 3 219.328 2.675 20 0 BFADHN C[C@@H](F)CCN(C)[C@@H](C)c1ccncc1 ZINC000351736523 356075548 /nfs/dbraw/zinc/07/55/48/356075548.db2.gz QXNVEOGBJJQNRY-MNOVXSKESA-N 0 3 210.296 2.823 20 0 BFADHN Cc1cnn(C)c1CN(C)C[C@H](C)C(C)(C)C ZINC000639399467 356126514 /nfs/dbraw/zinc/12/65/14/356126514.db2.gz RPJIBVBMCVNZPB-LBPRGKRZSA-N 0 3 237.391 2.843 20 0 BFADHN CN(Cc1ccc2c(c1)OC(F)(F)O2)C1CC1 ZINC000351843518 356095935 /nfs/dbraw/zinc/09/59/35/356095935.db2.gz FPPWCVWJOIDCIP-UHFFFAOYSA-N 0 3 241.237 2.602 20 0 BFADHN C[C@@H](CN(C)Cc1cncn1C)C(C)(C)C ZINC000639399693 356130219 /nfs/dbraw/zinc/13/02/19/356130219.db2.gz SKDYKWMBGXIHKB-NSHDSACASA-N 0 3 223.364 2.534 20 0 BFADHN C[C@H]1[C@@H](CO)CCN1Cc1cc2ccccc2o1 ZINC000336096427 134080709 /nfs/dbraw/zinc/08/07/09/134080709.db2.gz ARGNVYTWNKHAHJ-WCQYABFASA-N 0 3 245.322 2.636 20 0 BFADHN CO[C@@H](C)CN1Cc2ccccc2C2(CC2)C1 ZINC000336083701 134076073 /nfs/dbraw/zinc/07/60/73/134076073.db2.gz MQKMSMKINNBWDL-LBPRGKRZSA-N 0 3 231.339 2.569 20 0 BFADHN CCC1(CC)CCN(Cc2cnc(C)nc2)C1 ZINC000351888066 356145111 /nfs/dbraw/zinc/14/51/11/356145111.db2.gz GJVAVQYIVUDFFQ-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CC(C)[C@@](C)(O)CNCc1ccccc1Cl ZINC000123272002 192018921 /nfs/dbraw/zinc/01/89/21/192018921.db2.gz KUDVJCCPMDWYOZ-ZDUSSCGKSA-N 0 3 241.762 2.837 20 0 BFADHN COC1CCN(Cc2c(C)cc(C)nc2C)CC1 ZINC000639432923 356211515 /nfs/dbraw/zinc/21/15/15/356211515.db2.gz OPJPHFSOCHAISO-UHFFFAOYSA-N 0 3 248.370 2.618 20 0 BFADHN CC1(C)CO[C@@H](CN2CCc3ccccc3C2)C1 ZINC000639435070 356214337 /nfs/dbraw/zinc/21/43/37/356214337.db2.gz FZGOACDLBHZDDH-OAHLLOKOSA-N 0 3 245.366 2.860 20 0 BFADHN C[C@@H]1OCC[C@@H]1CN1CCc2sccc2C1 ZINC000639443162 356220672 /nfs/dbraw/zinc/22/06/72/356220672.db2.gz XJAYGVYRGCFEKU-WDEREUQCSA-N 0 3 237.368 2.531 20 0 BFADHN COC[C@@H](C)CN1CCc2sccc2[C@H]1C ZINC000526114390 356225884 /nfs/dbraw/zinc/22/58/84/356225884.db2.gz JAZAHBYUBRVDGQ-WDEREUQCSA-N 0 3 239.384 2.950 20 0 BFADHN COC[C@@H](C)CN1CCc2sccc2[C@@H]1C ZINC000526114389 356226086 /nfs/dbraw/zinc/22/60/86/356226086.db2.gz JAZAHBYUBRVDGQ-QWRGUYRKSA-N 0 3 239.384 2.950 20 0 BFADHN CCC(F)(F)CN1CCC[C@H](N2CCCC2)C1 ZINC000639452868 356234046 /nfs/dbraw/zinc/23/40/46/356234046.db2.gz GTPMXRSXURRPLR-LBPRGKRZSA-N 0 3 246.345 2.592 20 0 BFADHN Fc1ccc2cc(CN[C@H]3CCCOC3)[nH]c2c1 ZINC000336100006 134081402 /nfs/dbraw/zinc/08/14/02/134081402.db2.gz MWWSJEJEZRVGDB-LBPRGKRZSA-N 0 3 248.301 2.576 20 0 BFADHN COc1ccc(CN2CC[C@H](C)[C@@H](C)C2)cn1 ZINC000123061481 192018026 /nfs/dbraw/zinc/01/80/26/192018026.db2.gz ZPTIPZNMPXSANF-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN Cc1cnc([C@H](C)N2CCC[C@@H](C)C2)cn1 ZINC000336114774 134088084 /nfs/dbraw/zinc/08/80/84/134088084.db2.gz ALUKQMGPQSSLQE-PWSUYJOCSA-N 0 3 219.332 2.578 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C(C)C)C2)ncn1 ZINC000336116954 134088763 /nfs/dbraw/zinc/08/87/63/134088763.db2.gz ZHXTUTNEIZPNKJ-CYBMUJFWSA-N 0 3 233.359 2.653 20 0 BFADHN CC(=O)CN1CCC[C@@H]1c1ccccc1C ZINC000639454553 356238918 /nfs/dbraw/zinc/23/89/18/356238918.db2.gz FDLAMTWNUOWMBU-CQSZACIVSA-N 0 3 217.312 2.721 20 0 BFADHN CC(=O)CN1CCC[C@@H]1CCc1ccccc1 ZINC000639455047 356239500 /nfs/dbraw/zinc/23/95/00/356239500.db2.gz LUCOMRXBXKJTEG-OAHLLOKOSA-N 0 3 231.339 2.673 20 0 BFADHN O=c1cc(CN2C3CCCC2CCC3)cc[nH]1 ZINC000639496696 356323061 /nfs/dbraw/zinc/32/30/61/356323061.db2.gz HBCXOGLATSZWLG-UHFFFAOYSA-N 0 3 232.327 2.694 20 0 BFADHN Cc1cnc([C@@H](C)N2CC(C)=C[C@H](C)C2)cn1 ZINC000336125057 134092552 /nfs/dbraw/zinc/09/25/52/134092552.db2.gz WGWXRLPCGMXYBS-GXFFZTMASA-N 0 3 231.343 2.744 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2cocn2)C1 ZINC000236944468 363461914 /nfs/dbraw/zinc/46/19/14/363461914.db2.gz IEKQOYPGNKVMKO-GHMZBOCLSA-N 0 3 208.305 2.733 20 0 BFADHN CCC[C@]1(C)CCCN(CCc2cnccn2)C1 ZINC000639505753 356333525 /nfs/dbraw/zinc/33/35/25/356333525.db2.gz YVUKSTXPCDGIDZ-OAHLLOKOSA-N 0 3 247.386 2.921 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCOC2)c(C)s1 ZINC000336138589 134096992 /nfs/dbraw/zinc/09/69/92/134096992.db2.gz JERZHOHHFHMKAF-GFCCVEGCSA-N 0 3 225.357 2.586 20 0 BFADHN CC[C@@]1(C)CCCN(CCc2cnccn2)C1 ZINC000639503958 356330305 /nfs/dbraw/zinc/33/03/05/356330305.db2.gz KBZNLVQWPPTCBM-AWEZNQCLSA-N 0 3 233.359 2.531 20 0 BFADHN CCCC[C@@H](N)C(=O)N[C@@H](C)c1ccccc1C ZINC000040854800 358504166 /nfs/dbraw/zinc/50/41/66/358504166.db2.gz XIKUGSNWLUOSEC-GXTWGEPZSA-N 0 3 248.370 2.690 20 0 BFADHN Cc1cn[nH]c1CN(C)Cc1ccc(C)c(C)c1 ZINC000449764021 202028435 /nfs/dbraw/zinc/02/84/35/202028435.db2.gz XOKNERBCIRJWON-UHFFFAOYSA-N 0 3 243.354 2.967 20 0 BFADHN CC[C@@H]1CCCN(Cc2conc2C)CC1 ZINC000336630766 356412538 /nfs/dbraw/zinc/41/25/38/356412538.db2.gz SZGXCNPUQCCBNS-GFCCVEGCSA-N 0 3 222.332 2.995 20 0 BFADHN CCc1cnccc1[C@@H](C)NCc1[nH]ncc1C ZINC000352796107 356413280 /nfs/dbraw/zinc/41/32/80/356413280.db2.gz JTWWAZMPVRRZTK-LLVKDONJSA-N 0 3 244.342 2.526 20 0 BFADHN Cc1cccc(CN[C@H]2CO[C@@H](C3CC3)C2)c1F ZINC000623754581 356418447 /nfs/dbraw/zinc/41/84/47/356418447.db2.gz PZLWRZUQMXYQMA-ZIAGYGMSSA-N 0 3 249.329 2.791 20 0 BFADHN COCC1(N(C)Cc2c(C)cc(C)nc2C)CC1 ZINC000639551140 356432491 /nfs/dbraw/zinc/43/24/91/356432491.db2.gz HFXORBMUHKVYFR-UHFFFAOYSA-N 0 3 248.370 2.618 20 0 BFADHN Cc1ncc(CN2CC[C@@H]2C(C)C)s1 ZINC000336157465 134106747 /nfs/dbraw/zinc/10/67/47/134106747.db2.gz LDGFUSNOQMYVGI-LLVKDONJSA-N 0 3 210.346 2.682 20 0 BFADHN C[C@H]1OCC[C@@H]1CN1CCc2cccc(F)c2C1 ZINC000639554998 356460207 /nfs/dbraw/zinc/46/02/07/356460207.db2.gz QPZJCTMOTCNUTB-DGCLKSJQSA-N 0 3 249.329 2.609 20 0 BFADHN C[C@@H]1OCC[C@@H]1CN1CCc2cc(F)ccc2C1 ZINC000639557988 356462940 /nfs/dbraw/zinc/46/29/40/356462940.db2.gz VWAOIKSSAWSBAM-WCQYABFASA-N 0 3 249.329 2.609 20 0 BFADHN COC[C@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC000352221573 134109310 /nfs/dbraw/zinc/10/93/10/134109310.db2.gz JDAIQFUCLNVBTJ-NEPJUHHUSA-N 0 3 237.318 2.855 20 0 BFADHN CCN(Cc1ccc(Cl)c(F)c1)C[C@H](C)O ZINC000352223080 134109824 /nfs/dbraw/zinc/10/98/24/134109824.db2.gz TZPONIVLRWUFFU-VIFPVBQESA-N 0 3 245.725 2.682 20 0 BFADHN O[C@H]1C[C@@H](CN(Cc2ccccc2F)C2CC2)C1 ZINC000420902780 192268040 /nfs/dbraw/zinc/26/80/40/192268040.db2.gz NIRZCCUZFWXLHL-VDISTLRHSA-N 0 3 249.329 2.561 20 0 BFADHN CC(=O)CN[C@H]1CCCC[C@H]1c1ccccc1 ZINC000639592849 356509541 /nfs/dbraw/zinc/50/95/41/356509541.db2.gz NGYIDMREIZLCOY-GJZGRUSLSA-N 0 3 231.339 2.891 20 0 BFADHN CC(=O)CN[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC000639592850 356509853 /nfs/dbraw/zinc/50/98/53/356509853.db2.gz NGYIDMREIZLCOY-HUUCEWRRSA-N 0 3 231.339 2.891 20 0 BFADHN COC[C@H](C)N1CCC[C@H](CC(F)(F)F)C1 ZINC000420957073 192279189 /nfs/dbraw/zinc/27/91/89/192279189.db2.gz ZBHZMCSYLMHKDN-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN CC[C@@H]1CN(CCCOC(C)C)C[C@@H](CC)O1 ZINC000420958147 192279506 /nfs/dbraw/zinc/27/95/06/192279506.db2.gz OYGQZZCAFSEALO-ZIAGYGMSSA-N 0 3 243.391 2.691 20 0 BFADHN C[C@H]1c2ccccc2CCN1CCn1ccnc1 ZINC000179460348 366260473 /nfs/dbraw/zinc/26/04/73/366260473.db2.gz QGEBZVNXJXRORQ-ZDUSSCGKSA-N 0 3 241.338 2.502 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cn(C)nc1C(C)(C)C ZINC000179714998 366291434 /nfs/dbraw/zinc/29/14/34/366291434.db2.gz CHXXDJSMMYLPNI-LLVKDONJSA-N 0 3 249.402 2.948 20 0 BFADHN CC1(C)CCN(CCc2cscn2)CC1 ZINC000336194406 134125190 /nfs/dbraw/zinc/12/51/90/134125190.db2.gz QBUKFORMZUCGSF-UHFFFAOYSA-N 0 3 224.373 2.808 20 0 BFADHN CCCN(C)Cc1cn(C)nc1C(C)(C)C ZINC000179669885 366281875 /nfs/dbraw/zinc/28/18/75/366281875.db2.gz BJIMNDGHFULNSP-UHFFFAOYSA-N 0 3 223.364 2.559 20 0 BFADHN Cc1cc(C)cc(CN2CC[C@@]23CCOC3)c1 ZINC000421011157 192299422 /nfs/dbraw/zinc/29/94/22/192299422.db2.gz DCIZYCYXPHNZNK-HNNXBMFYSA-N 0 3 231.339 2.668 20 0 BFADHN CCC(F)(F)CN1C[C@H](N2CCCC2)C[C@@H]1C ZINC000639641210 356548837 /nfs/dbraw/zinc/54/88/37/356548837.db2.gz IGVAWPFRHDBPTI-NWDGAFQWSA-N 0 3 246.345 2.590 20 0 BFADHN CC1(C)CN(Cc2ccc(F)cc2)[C@@H]2COC[C@@H]21 ZINC000449783253 202035375 /nfs/dbraw/zinc/03/53/75/202035375.db2.gz NQXZQUPKOIWCGR-UONOGXRCSA-N 0 3 249.329 2.683 20 0 BFADHN CC[C@@H](C)N(C)Cc1cccc2nccn21 ZINC000179696759 366286822 /nfs/dbraw/zinc/28/68/22/366286822.db2.gz WMPJDKZGUQADGV-LLVKDONJSA-N 0 3 217.316 2.565 20 0 BFADHN Cc1cccc(CCCN2CC[C@]23CCOC3)c1 ZINC000421016841 192303912 /nfs/dbraw/zinc/30/39/12/192303912.db2.gz JJSZBXAABFNECZ-MRXNPFEDSA-N 0 3 245.366 2.792 20 0 BFADHN FC1(F)CCCC[C@@H]1CN1CC[C@]12CCOC2 ZINC000421019045 192303947 /nfs/dbraw/zinc/30/39/47/192303947.db2.gz LUSQZHNKUYDNRQ-VXGBXAGGSA-N 0 3 245.313 2.677 20 0 BFADHN CC(C)(C)C[C@@H]1CCN(Cc2c[nH]cn2)C1 ZINC000449776620 202031706 /nfs/dbraw/zinc/03/17/06/202031706.db2.gz OQVPGGIMSBFNSG-NSHDSACASA-N 0 3 221.348 2.668 20 0 BFADHN CC(C)(C)C[C@@H]1CCN(Cc2cnc[nH]2)C1 ZINC000449776620 202031707 /nfs/dbraw/zinc/03/17/07/202031707.db2.gz OQVPGGIMSBFNSG-NSHDSACASA-N 0 3 221.348 2.668 20 0 BFADHN Cc1ccc(CN2CC[C@]23CCOC3)cc1C ZINC000421021268 192306255 /nfs/dbraw/zinc/30/62/55/192306255.db2.gz OIVXYROVWNXHSN-OAHLLOKOSA-N 0 3 231.339 2.668 20 0 BFADHN C1=C(CCN2CC[C@@]23CCOC3)CCCC1 ZINC000421027072 192307395 /nfs/dbraw/zinc/30/73/95/192307395.db2.gz UHZCYBIETNBIQT-AWEZNQCLSA-N 0 3 221.344 2.742 20 0 BFADHN C[C@@H]1CN(C[C@H]2CC(C)(C)CO2)CCC1(F)F ZINC000639644997 356558584 /nfs/dbraw/zinc/55/85/84/356558584.db2.gz CJNMLQNELKXZDQ-GHMZBOCLSA-N 0 3 247.329 2.779 20 0 BFADHN c1cc(CN2CC[C@@H](c3ccncc3)C2)sn1 ZINC000639644985 356558824 /nfs/dbraw/zinc/55/88/24/356558824.db2.gz BZLZDEJBUFNIBT-GFCCVEGCSA-N 0 3 245.351 2.528 20 0 BFADHN Cc1ccc(CN2CC[C@]23CCOC3)c(C)c1 ZINC000421026422 192307963 /nfs/dbraw/zinc/30/79/63/192307963.db2.gz SOHGNUCOOUAQNT-OAHLLOKOSA-N 0 3 231.339 2.668 20 0 BFADHN C(CC1CCCC1)CN1CC[C@]12CCOC2 ZINC000421026745 192308194 /nfs/dbraw/zinc/30/81/94/192308194.db2.gz STTLHOGGVLKABW-CQSZACIVSA-N 0 3 223.360 2.822 20 0 BFADHN CCc1ccccc1CCN1CC[C@@]12CCOC2 ZINC000421028134 192308979 /nfs/dbraw/zinc/30/89/79/192308979.db2.gz VXCJLVASSUBHFY-INIZCTEOSA-N 0 3 245.366 2.656 20 0 BFADHN CCCN(CCC)C(=O)CN(CCC)C1CC1 ZINC000170901161 535316947 /nfs/dbraw/zinc/31/69/47/535316947.db2.gz LTCQGYANCQDUOT-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@@H]1CCN1Cc1cccc2c1CCOC2 ZINC000639654318 356566326 /nfs/dbraw/zinc/56/63/26/356566326.db2.gz GNHVAYGSQQVKRW-CQSZACIVSA-N 0 3 231.339 2.744 20 0 BFADHN O[C@H]1CN(Cc2cccc(C3CC3)c2)CC12CC2 ZINC000421344252 192319187 /nfs/dbraw/zinc/31/91/87/192319187.db2.gz OZENPQVPUWJORJ-HNNXBMFYSA-N 0 3 243.350 2.521 20 0 BFADHN c1ccc(CNC[C@@H]2CC23CCSCC3)nc1 ZINC000421350780 192320606 /nfs/dbraw/zinc/32/06/06/192320606.db2.gz LHIKOBJAUZPSIX-LBPRGKRZSA-N 0 3 248.395 2.705 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccncc2)cc(C)n1 ZINC000421198929 192315001 /nfs/dbraw/zinc/31/50/01/192315001.db2.gz JOKCDYAITWMEFG-ZDUSSCGKSA-N 0 3 241.338 2.944 20 0 BFADHN C[C@@H]1CC[C@@H](N(C)Cc2ccncc2F)C1 ZINC000336208453 134133267 /nfs/dbraw/zinc/13/32/67/134133267.db2.gz LNNIVHONDSDSQP-ZYHUDNBSSA-N 0 3 222.307 2.841 20 0 BFADHN CCN1CCN(Cc2cccc(C3CC3)c2)CC1 ZINC000421320786 192316308 /nfs/dbraw/zinc/31/63/08/192316308.db2.gz AXGFASRAAXQNRZ-UHFFFAOYSA-N 0 3 244.382 2.702 20 0 BFADHN C[C@@H]1CN(C)CCN1Cc1cccc(C2CC2)c1 ZINC000421318420 192316678 /nfs/dbraw/zinc/31/66/78/192316678.db2.gz FJCJITBRMDDBLN-CYBMUJFWSA-N 0 3 244.382 2.700 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1c(C)cc(C)nc1C ZINC000639672106 356588877 /nfs/dbraw/zinc/58/88/77/356588877.db2.gz VBSHVAQJIDAQKL-CABCVRRESA-N 0 3 248.370 2.664 20 0 BFADHN c1cncc(CN[C@H](C2CCC2)[C@@H]2CCCO2)c1 ZINC000631254729 356582474 /nfs/dbraw/zinc/58/24/74/356582474.db2.gz QCBWPSBRWUCGLZ-LSDHHAIUSA-N 0 3 246.354 2.519 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@H](CC)N(C)C ZINC000450102463 202079867 /nfs/dbraw/zinc/07/98/67/202079867.db2.gz GWFVYFXPFQCGEJ-STQMWFEESA-N 0 3 242.407 2.802 20 0 BFADHN CCn1nccc1CN[C@H]1CC12CCCCC2 ZINC000387135663 363513162 /nfs/dbraw/zinc/51/31/62/363513162.db2.gz MXQGXRUVQAZTBG-ZDUSSCGKSA-N 0 3 233.359 2.715 20 0 BFADHN CCN1[C@H](C)CN([C@H](C)c2ccncc2)C[C@@H]1C ZINC000639742944 356632080 /nfs/dbraw/zinc/63/20/80/356632080.db2.gz DOOSZGZRBGXOCH-HZSPNIEDSA-N 0 3 247.386 2.557 20 0 BFADHN C[C@@H](NCc1cocn1)C1C(C)(C)C1(C)C ZINC000381351546 538467456 /nfs/dbraw/zinc/46/74/56/538467456.db2.gz BOXGDJUSKUCCBN-SECBINFHSA-N 0 3 222.332 2.835 20 0 BFADHN COc1ccc(CN[C@H]2CC23CCCCC3)cn1 ZINC000387153531 363521884 /nfs/dbraw/zinc/52/18/84/363521884.db2.gz PTDBTLYEHGQRGQ-ZDUSSCGKSA-N 0 3 246.354 2.903 20 0 BFADHN C[C@@H](O)CCN1CCC[C@H]1c1ccc(F)cc1 ZINC000450226950 202100286 /nfs/dbraw/zinc/10/02/86/202100286.db2.gz NRUYJVPIRGRCSY-RISCZKNCSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H](O)CCN1CC=C(c2ccc(F)cc2)CC1 ZINC000450220155 202100379 /nfs/dbraw/zinc/10/03/79/202100379.db2.gz HCAPBRYWDPYNPV-LBPRGKRZSA-N 0 3 249.329 2.686 20 0 BFADHN CCC[C@@H](O)CN(C)Cc1cccc(Cl)c1 ZINC000450243560 202105675 /nfs/dbraw/zinc/10/56/75/202105675.db2.gz CLVIFYSULLVUNQ-CYBMUJFWSA-N 0 3 241.762 2.933 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CC1=CCCCC1 ZINC000450259474 202111024 /nfs/dbraw/zinc/11/10/24/202111024.db2.gz GCKNGSNCLIDYHG-JSGCOSHPSA-N 0 3 223.360 2.986 20 0 BFADHN CCCc1ccc(CN[C@@H]2C[C@H](O)C2(C)C)cc1 ZINC000582234509 356638008 /nfs/dbraw/zinc/63/80/08/356638008.db2.gz BQGXCUBRUCAWES-CABCVRRESA-N 0 3 247.382 2.888 20 0 BFADHN CN(C[C@H]1CCCO1)C[C@@H]1CCCCC1(F)F ZINC000450272377 202115559 /nfs/dbraw/zinc/11/55/59/202115559.db2.gz WXBJWNUGWKCYBR-NWDGAFQWSA-N 0 3 247.329 2.923 20 0 BFADHN C[C@@H](O)CCN(Cc1ccco1)C1CCCC1 ZINC000450269417 202116598 /nfs/dbraw/zinc/11/65/98/202116598.db2.gz IJZSFNPMDPPHKS-GFCCVEGCSA-N 0 3 237.343 2.795 20 0 BFADHN CCc1cc(N2C[C@@H](C)O[C@H](CC)C2)ccn1 ZINC000450335931 202133156 /nfs/dbraw/zinc/13/31/56/202133156.db2.gz NBVJJEBXEOHULW-BXUZGUMPSA-N 0 3 234.343 2.648 20 0 BFADHN OCCN(Cc1ccccc1)CC1=CCCCC1 ZINC000450352413 202140240 /nfs/dbraw/zinc/14/02/40/202140240.db2.gz YJKPGKSUJCYBNA-UHFFFAOYSA-N 0 3 245.366 2.981 20 0 BFADHN CC(C)c1ccccc1CN(C)C[C@H](C)O ZINC000675075540 538509703 /nfs/dbraw/zinc/50/97/03/538509703.db2.gz ROMQHPHXEXKKFC-LBPRGKRZSA-N 0 3 221.344 2.623 20 0 BFADHN Cc1c(Cl)cccc1-c1cnn2c1CNCC2 ZINC000675076013 538510364 /nfs/dbraw/zinc/51/03/64/538510364.db2.gz IGIMCQIFYIBFOZ-UHFFFAOYSA-N 0 3 247.729 2.615 20 0 BFADHN CCc1cc(N2C[C@@H]3CCC[C@H]3C2)ccn1 ZINC000450381225 202146586 /nfs/dbraw/zinc/14/65/86/202146586.db2.gz UGTNIZLDEDGXAL-RYUDHWBXSA-N 0 3 216.328 2.880 20 0 BFADHN Cc1cc2cc(CN3CC[C@@H](O)C3)oc2cc1C ZINC000179951020 366338148 /nfs/dbraw/zinc/33/81/48/366338148.db2.gz QMVQDXFBASBYEE-CYBMUJFWSA-N 0 3 245.322 2.616 20 0 BFADHN FCCCCCN1CCC[C@@H]1c1cn[nH]c1 ZINC000639778218 356722699 /nfs/dbraw/zinc/72/26/99/356722699.db2.gz QVCNDBODICLEIL-GFCCVEGCSA-N 0 3 225.311 2.686 20 0 BFADHN CC[C@@H](N[C@H](c1nccn1C)C1CC1)C1CC1 ZINC000353858370 356723526 /nfs/dbraw/zinc/72/35/26/356723526.db2.gz BVQNSKJCBWQXEX-OLZOCXBDSA-N 0 3 233.359 2.649 20 0 BFADHN CC/C=C\CCN1CCC[C@@H]1c1cn[nH]c1 ZINC000639778149 356724916 /nfs/dbraw/zinc/72/49/16/356724916.db2.gz PTBULZFTDJLEIC-DHCBQETCSA-N 0 3 219.332 2.903 20 0 BFADHN CC1(C)CN(Cc2cocn2)[C@@H]2CCC[C@@H]21 ZINC000354578700 356740881 /nfs/dbraw/zinc/74/08/81/356740881.db2.gz LXNIIRRQNGSNSL-NWDGAFQWSA-N 0 3 220.316 2.685 20 0 BFADHN CCC[C@H](O)CN1C[C@H](C)[C@H]1c1ccccc1 ZINC000450470154 202175882 /nfs/dbraw/zinc/17/58/82/202175882.db2.gz SXTKAGRPBVKRQI-QEJZJMRPSA-N 0 3 233.355 2.840 20 0 BFADHN CN(CCN1CCC12CCC2)Cc1ccccc1 ZINC000450473591 202176507 /nfs/dbraw/zinc/17/65/07/202176507.db2.gz YYWYBUPVSCKINS-UHFFFAOYSA-N 0 3 244.382 2.747 20 0 BFADHN Cn1cc(C2=CCCN(CC3(C)CCC3)C2)cn1 ZINC000639797874 356757593 /nfs/dbraw/zinc/75/75/93/356757593.db2.gz RBDFAMKJMYMVNP-UHFFFAOYSA-N 0 3 245.370 2.699 20 0 BFADHN C[C@@H]1OCC[C@H]1CN1CC[C@@H]1c1ccc(F)cc1 ZINC000639799575 356761038 /nfs/dbraw/zinc/76/10/38/356761038.db2.gz FBLQLUWJSIRNHH-CORIIIEPSA-N 0 3 249.329 2.998 20 0 BFADHN C[C@@H](N[C@@H]1CCCc2scnc21)C1CC1 ZINC000623852940 491103166 /nfs/dbraw/zinc/10/31/66/491103166.db2.gz GSDVOCSICVBAHD-PSASIEDQSA-N 0 3 222.357 2.909 20 0 BFADHN CCc1cc(N2CC[C@@H](C)[C@H](OC)C2)ccn1 ZINC000450467366 202174706 /nfs/dbraw/zinc/17/47/06/202174706.db2.gz CUHFANIQKVVWGB-BXUZGUMPSA-N 0 3 234.343 2.505 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1n[nH]cc1C ZINC000356124451 356789654 /nfs/dbraw/zinc/78/96/54/356789654.db2.gz JEIKAMYDVDURCO-GFCCVEGCSA-N 0 3 221.348 2.873 20 0 BFADHN CCc1cc(N(C)Cc2cnccc2C)ccn1 ZINC000450493915 202184544 /nfs/dbraw/zinc/18/45/44/202184544.db2.gz HNLCXTAOSRLEOP-UHFFFAOYSA-N 0 3 241.338 2.984 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1C[C@@H]1CCCCC1(F)F ZINC000450495028 202185357 /nfs/dbraw/zinc/18/53/57/202185357.db2.gz QYXWHZNQTQMMFI-WOPDTQHZSA-N 0 3 247.329 2.921 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H](CC)COC ZINC000356889304 356808374 /nfs/dbraw/zinc/80/83/74/356808374.db2.gz FQBRMZXEOSNJGA-AAEUAGOBSA-N 0 3 236.359 2.720 20 0 BFADHN Cc1nc(CN[C@H](C)[C@@H]2C[C@H]2C2CC2)cs1 ZINC000623363850 356815647 /nfs/dbraw/zinc/81/56/47/356815647.db2.gz IRJCTLYUDZJXOK-JCOFBHIZSA-N 0 3 236.384 2.976 20 0 BFADHN CC[C@@H](O)CN1CCC(C)=C(c2ccco2)C1 ZINC000367219297 356784347 /nfs/dbraw/zinc/78/43/47/356784347.db2.gz MNWHNJKHCQINTE-GFCCVEGCSA-N 0 3 235.327 2.530 20 0 BFADHN CCN(CCc1cccs1)Cc1cncnc1 ZINC000351643418 538706931 /nfs/dbraw/zinc/70/69/31/538706931.db2.gz CWSVCPLONQURFE-UHFFFAOYSA-N 0 3 247.367 2.603 20 0 BFADHN CCO[C@H]1CCCN(Cc2cccs2)C1 ZINC000155440740 538711271 /nfs/dbraw/zinc/71/12/71/538711271.db2.gz SWGWFWRLUKCWKO-NSHDSACASA-N 0 3 225.357 2.749 20 0 BFADHN CCO[C@H]1CCCN(Cc2ccc(CC)o2)C1 ZINC000155453442 538716426 /nfs/dbraw/zinc/71/64/26/538716426.db2.gz SLSPFPFUZGVDHB-ZDUSSCGKSA-N 0 3 237.343 2.843 20 0 BFADHN CN(CCCC1CCCC1)Cc1cn[nH]c1 ZINC000088537453 491104822 /nfs/dbraw/zinc/10/48/22/491104822.db2.gz FIBOWAYEJSNTFL-UHFFFAOYSA-N 0 3 221.348 2.812 20 0 BFADHN CCc1cnccc1[C@H](C)NC[C@@H]1CCCCO1 ZINC000361131444 356917087 /nfs/dbraw/zinc/91/70/87/356917087.db2.gz JGOPYHHSLOYSGP-JSGCOSHPSA-N 0 3 248.370 2.864 20 0 BFADHN CCN(Cc1sccc1C)[C@H]1CCOC1 ZINC000361235631 356920556 /nfs/dbraw/zinc/92/05/56/356920556.db2.gz DFCWVKFZQORKGL-NSHDSACASA-N 0 3 225.357 2.667 20 0 BFADHN CCN(Cc1ccc(C)cc1C)[C@H]1CCOC1 ZINC000361236449 356920568 /nfs/dbraw/zinc/92/05/68/356920568.db2.gz FJYCOIWVHOWMPX-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN CCc1ccc(CCN[C@@H](C)c2ncc[nH]2)cc1 ZINC000353520419 538749449 /nfs/dbraw/zinc/74/94/49/538749449.db2.gz OPOQWPXCTZMLSE-LBPRGKRZSA-N 0 3 243.354 2.865 20 0 BFADHN Cc1ccc(CC[C@@H](C)N[C@@H](C)c2ncc[nH]2)o1 ZINC000353529137 538749804 /nfs/dbraw/zinc/74/98/04/538749804.db2.gz ILYXTLRANBAAMW-PWSUYJOCSA-N 0 3 247.342 2.983 20 0 BFADHN CCN(Cc1cccnc1OC)CC1CCC1 ZINC000361480801 356930473 /nfs/dbraw/zinc/93/04/73/356930473.db2.gz GDELHPUNRCUKEL-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCN(Cc1cnoc1C)CC(C)(C)C ZINC000361530422 356931938 /nfs/dbraw/zinc/93/19/38/356931938.db2.gz ZSLFUVDYDBVLOD-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN CO[C@@H](C)CN(C)CCOC1CCCCCC1 ZINC000353265977 538738139 /nfs/dbraw/zinc/73/81/39/538738139.db2.gz ZXFRCWVJJHUJFP-ZDUSSCGKSA-N 0 3 243.391 2.693 20 0 BFADHN Cc1cccc(CN(C)CC2CC(F)(F)C2)n1 ZINC000450573828 202202071 /nfs/dbraw/zinc/20/20/71/202202071.db2.gz YPSZXPSGMWYEPE-UHFFFAOYSA-N 0 3 240.297 2.867 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1N[C@@H](CCO)c1ccco1 ZINC000353690058 538763380 /nfs/dbraw/zinc/76/33/80/538763380.db2.gz REUHCOIBMXZDTI-XQQFMLRXSA-N 0 3 237.343 2.727 20 0 BFADHN COC[C@H](C)NCc1ccc(C(C)C)cc1 ZINC000019904483 356992837 /nfs/dbraw/zinc/99/28/37/356992837.db2.gz BJXNJBBFZJIDDY-LBPRGKRZSA-N 0 3 221.344 2.935 20 0 BFADHN CCC1(NCc2cc[nH]n2)CCCCC1 ZINC000389580525 356995494 /nfs/dbraw/zinc/99/54/94/356995494.db2.gz DYXWHFNIOYTMGO-UHFFFAOYSA-N 0 3 207.321 2.612 20 0 BFADHN COC[C@H](C)NCc1cc2ccccc2o1 ZINC000020087432 356997540 /nfs/dbraw/zinc/99/75/40/356997540.db2.gz FRXIXODJYLTBIB-JTQLQIEISA-N 0 3 219.284 2.557 20 0 BFADHN CCN(Cc1nc(C)no1)[C@H]1CCCC[C@@H]1C ZINC000120023817 357031740 /nfs/dbraw/zinc/03/17/40/357031740.db2.gz JDCGQKPYOAASMU-JQWIXIFHSA-N 0 3 237.347 2.779 20 0 BFADHN Cc1nc(CNCCC(C)(C)C)cs1 ZINC000040435514 357032756 /nfs/dbraw/zinc/03/27/56/357032756.db2.gz ZBEQRZOEWKBNLK-UHFFFAOYSA-N 0 3 212.362 2.977 20 0 BFADHN Cc1ncncc1[C@H](C)NCCc1ccccc1 ZINC000582311755 357052116 /nfs/dbraw/zinc/05/21/16/357052116.db2.gz WKDGQDLVPBYSMI-LBPRGKRZSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1ccc(CN[C@@H]2C=CCCC2)cn1 ZINC000156217410 538772711 /nfs/dbraw/zinc/77/27/11/538772711.db2.gz FOKROVCSSIPFOJ-CYBMUJFWSA-N 0 3 202.301 2.588 20 0 BFADHN CCC(O)(CC)CCN[C@@H](C)c1ccoc1 ZINC000390558032 357104427 /nfs/dbraw/zinc/10/44/27/357104427.db2.gz RCCVCQOTCKSDKO-NSHDSACASA-N 0 3 225.332 2.871 20 0 BFADHN CC[C@@H](NCc1ccn(C(C)C)n1)C1CC1 ZINC000390659666 357110256 /nfs/dbraw/zinc/11/02/56/357110256.db2.gz RUYDCEBXTJOVDV-CYBMUJFWSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@@H]2C(C)C)no1 ZINC000390137208 357082955 /nfs/dbraw/zinc/08/29/55/357082955.db2.gz MPDIPKMNAHJLJL-OLZOCXBDSA-N 0 3 222.332 2.897 20 0 BFADHN CCn1ccnc1CNC1CCC(F)(F)CC1 ZINC000390001255 357083772 /nfs/dbraw/zinc/08/37/72/357083772.db2.gz JVUJGDLQXGANAT-UHFFFAOYSA-N 0 3 243.301 2.571 20 0 BFADHN CC(C)O[C@@H]1C[C@@H](NCC2(F)CC2)C1(C)C ZINC000390326787 357091436 /nfs/dbraw/zinc/09/14/36/357091436.db2.gz JOODPJSEKVWPFI-GHMZBOCLSA-N 0 3 229.339 2.670 20 0 BFADHN CO[C@](C)(CNCC1(F)CC1)c1ccccc1 ZINC000582400887 357132315 /nfs/dbraw/zinc/13/23/15/357132315.db2.gz DUVLJTMDGMCFEZ-CYBMUJFWSA-N 0 3 237.318 2.640 20 0 BFADHN C[C@H](N[C@H](CO)CC(C)(C)C)c1ccoc1 ZINC000131135219 325120731 /nfs/dbraw/zinc/12/07/31/325120731.db2.gz SPFVIWKLSJQBJI-JQWIXIFHSA-N 0 3 225.332 2.727 20 0 BFADHN CCCC[C@H](CC)CCNCc1nncn1C ZINC000356067538 538805436 /nfs/dbraw/zinc/80/54/36/538805436.db2.gz BPOAKKWWIHKYEN-LBPRGKRZSA-N 0 3 238.379 2.511 20 0 BFADHN Fc1cccc(CCNCC2(F)CC2)c1F ZINC000390776442 357115551 /nfs/dbraw/zinc/11/55/51/357115551.db2.gz FURMDNWAYHCDQW-UHFFFAOYSA-N 0 3 229.245 2.599 20 0 BFADHN CCN1CC=C(c2ccc(Cl)nc2)CC1 ZINC000374999620 357171437 /nfs/dbraw/zinc/17/14/37/357171437.db2.gz RNSDBDLYYAXEMX-UHFFFAOYSA-N 0 3 222.719 2.844 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC2(F)F)ccn1 ZINC000391162892 357124249 /nfs/dbraw/zinc/12/42/49/357124249.db2.gz DCGWYNNPKFPYKZ-LBPRGKRZSA-N 0 3 240.297 2.915 20 0 BFADHN CC(C)CC(C)(C)CNCc1cnccn1 ZINC000391164579 357124482 /nfs/dbraw/zinc/12/44/82/357124482.db2.gz CRKZEISXDMZDRH-UHFFFAOYSA-N 0 3 221.348 2.639 20 0 BFADHN Cc1cc(CNCCOc2ccccc2)ccn1 ZINC000391206627 357126576 /nfs/dbraw/zinc/12/65/76/357126576.db2.gz QGHWICUADJEOSR-UHFFFAOYSA-N 0 3 242.322 2.559 20 0 BFADHN C[C@@H](NCC(C)(C)F)c1cccc(CO)c1 ZINC000631660327 357193682 /nfs/dbraw/zinc/19/36/82/357193682.db2.gz VIDUTEBTQAQABK-SNVBAGLBSA-N 0 3 225.307 2.578 20 0 BFADHN CN(C)CCSCc1ccc(F)cc1 ZINC000072261480 325132507 /nfs/dbraw/zinc/13/25/07/325132507.db2.gz HSZRUUYQOKTLHI-UHFFFAOYSA-N 0 3 213.321 2.621 20 0 BFADHN CC1(C)CO[C@H](CN2CCCC(F)(F)CC2)C1 ZINC000639889978 357206885 /nfs/dbraw/zinc/20/68/85/357206885.db2.gz MTXRTCAJJYKGOB-NSHDSACASA-N 0 3 247.329 2.923 20 0 BFADHN COc1ccccc1/C=C/CN1C[C@@H]2C[C@@H]2C1 ZINC000628409258 357209935 /nfs/dbraw/zinc/20/99/35/357209935.db2.gz OVTZJURMBQGUOJ-MRPPWBEGSA-N 0 3 229.323 2.660 20 0 BFADHN CCC(C)(C)N(C)Cc1cccnc1OC ZINC000348306501 535355324 /nfs/dbraw/zinc/35/53/24/535355324.db2.gz MOMNSMWKYDOATL-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN C[C@@H](N[C@H]1CCCC12CC2)c1cc2n(n1)CCC2 ZINC000631663062 357226231 /nfs/dbraw/zinc/22/62/31/357226231.db2.gz IDXBZFFYDQNUAJ-RISCZKNCSA-N 0 3 245.370 2.813 20 0 BFADHN Cc1cc(CNCC[C@@H]2CC=CCC2)on1 ZINC000631182649 357233361 /nfs/dbraw/zinc/23/33/61/357233361.db2.gz UBUJFTYVVYGZSE-GFCCVEGCSA-N 0 3 220.316 2.819 20 0 BFADHN Cc1ccc(CNC2(CF)CCC2)cc1 ZINC000583693058 357180707 /nfs/dbraw/zinc/18/07/07/357180707.db2.gz WJGHCMUNCFBENX-UHFFFAOYSA-N 0 3 207.292 2.977 20 0 BFADHN C[C@H]1CN(Cc2cccn2C)C[C@H](C)C1(F)F ZINC000628391088 357186899 /nfs/dbraw/zinc/18/68/99/357186899.db2.gz TUIHLVMVOGCQKO-QWRGUYRKSA-N 0 3 242.313 2.748 20 0 BFADHN CCCCN[C@@H](C)c1cn2ccccc2n1 ZINC000393802650 357300624 /nfs/dbraw/zinc/30/06/24/357300624.db2.gz NZCQRXCYVGMNAB-NSHDSACASA-N 0 3 217.316 2.785 20 0 BFADHN Cc1cc(CN[C@@H](C)C2CCSCC2)no1 ZINC000393814136 357302106 /nfs/dbraw/zinc/30/21/06/357302106.db2.gz ULPPZFKFEVWDOA-JTQLQIEISA-N 0 3 240.372 2.604 20 0 BFADHN CN(Cc1coc2ccccc12)CC1(C)COC1 ZINC000628424012 357249813 /nfs/dbraw/zinc/24/98/13/357249813.db2.gz UHQKCJIJAKNLSM-UHFFFAOYSA-N 0 3 245.322 2.901 20 0 BFADHN CCC[C@H](CCO)N[C@@H](C)c1ccc(C)o1 ZINC000631665641 357255104 /nfs/dbraw/zinc/25/51/04/357255104.db2.gz AAHMTPNFWBEEES-NWDGAFQWSA-N 0 3 225.332 2.790 20 0 BFADHN C(C1CCCCCC1)N1CC2(C1)CCCO2 ZINC000628427276 357257136 /nfs/dbraw/zinc/25/71/36/357257136.db2.gz QQWFZHMAEYXRAG-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CN1CC2(C1)CCCO2 ZINC000628427707 357257169 /nfs/dbraw/zinc/25/71/69/357257169.db2.gz USXDMSOLKFGBLQ-KGLIPLIRSA-N 0 3 235.371 2.844 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@H]2C[C@H]21)c1ccon1 ZINC000393160852 357278453 /nfs/dbraw/zinc/27/84/53/357278453.db2.gz WFAOPPZRCQYYPF-KLBPJQLPSA-N 0 3 206.289 2.514 20 0 BFADHN CCC[C@H](C)[C@@H](CO)NCc1ccc(CC)o1 ZINC000582729921 357374076 /nfs/dbraw/zinc/37/40/76/357374076.db2.gz PBAHXXSKZNKSES-SMDDNHRTSA-N 0 3 239.359 2.729 20 0 BFADHN CCn1c2ccccc2nc1CN[C@@H]1CC[C@H]1C ZINC000393976095 357313444 /nfs/dbraw/zinc/31/34/44/357313444.db2.gz BJNVWYUFGLNGJB-VXGBXAGGSA-N 0 3 243.354 2.944 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1cnc(C)n1C ZINC000582497661 357327560 /nfs/dbraw/zinc/32/75/60/357327560.db2.gz AICRWVKHQIPQKM-UONOGXRCSA-N 0 3 235.375 2.643 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1nnc(C2CC2)[nH]1 ZINC000582707972 357375997 /nfs/dbraw/zinc/37/59/97/357375997.db2.gz BFQUQGYZIUPLCV-SECBINFHSA-N 0 3 236.363 2.596 20 0 BFADHN COC(=O)c1coc([C@@H](C)NC2(C3CC3)CC2)c1 ZINC000582645792 357362187 /nfs/dbraw/zinc/36/21/87/357362187.db2.gz WQXVBQLURRNPPA-SECBINFHSA-N 0 3 249.310 2.659 20 0 BFADHN COC(=O)c1coc([C@H](C)NC2(C3CC3)CC2)c1 ZINC000582645793 357362715 /nfs/dbraw/zinc/36/27/15/357362715.db2.gz WQXVBQLURRNPPA-VIFPVBQESA-N 0 3 249.310 2.659 20 0 BFADHN Cc1cnn(C)c1CN[C@@H](C)Cc1ccsc1 ZINC000394863119 357384529 /nfs/dbraw/zinc/38/45/29/357384529.db2.gz RMANOMHWHBQUJB-NSHDSACASA-N 0 3 249.383 2.511 20 0 BFADHN CCC(F)(F)C(C)(C)CNCc1cc[nH]n1 ZINC000623408477 357405699 /nfs/dbraw/zinc/40/56/99/357405699.db2.gz XKSOYCFMWNEZNC-UHFFFAOYSA-N 0 3 231.290 2.571 20 0 BFADHN C[C@H](NCc1cocn1)[C@@H]1CC2CCC1CC2 ZINC000395177568 357415588 /nfs/dbraw/zinc/41/55/88/357415588.db2.gz JKTLLDPJZFKVCN-BBCYWQGDSA-N 0 3 234.343 2.979 20 0 BFADHN COCC(C)(C)N(C)Cc1ccc(Cl)cn1 ZINC000583061179 357470649 /nfs/dbraw/zinc/47/06/49/357470649.db2.gz PLCPXOADNVFODR-UHFFFAOYSA-N 0 3 242.750 2.592 20 0 BFADHN C[C@@H](Cc1ccccc1F)N[C@@H](C)c1ncc[nH]1 ZINC000158076749 538850259 /nfs/dbraw/zinc/85/02/59/538850259.db2.gz XZFLDNZISJZSDO-QWRGUYRKSA-N 0 3 247.317 2.831 20 0 BFADHN CCCN[C@@H](CCO)c1ccc(Cl)c(F)c1 ZINC000639915792 357516386 /nfs/dbraw/zinc/51/63/86/357516386.db2.gz BSFWFJXEZWAMHP-LBPRGKRZSA-N 0 3 245.725 2.902 20 0 BFADHN O=c1ccc(CN[C@@H]2CCCc3occc32)c[nH]1 ZINC000392656385 357520560 /nfs/dbraw/zinc/52/05/60/357520560.db2.gz XXTQDUKQOGAVGD-GFCCVEGCSA-N 0 3 244.294 2.547 20 0 BFADHN COC(C)(C)CCN[C@@H](C)c1ccccn1 ZINC000230417169 357523194 /nfs/dbraw/zinc/52/31/94/357523194.db2.gz QDZWHGKLZGJSIP-NSHDSACASA-N 0 3 222.332 2.547 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1CC[C@@H](C)C1 ZINC000230408267 357539470 /nfs/dbraw/zinc/53/94/70/357539470.db2.gz LAMZFCKGFIOXPD-BXKDBHETSA-N 0 3 222.332 2.817 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2occc2C)C1(C)C ZINC000230664109 357546432 /nfs/dbraw/zinc/54/64/32/357546432.db2.gz WMSQKEKNRUWYBG-OLZOCXBDSA-N 0 3 237.343 2.881 20 0 BFADHN CO[C@@H]1CC[C@@H](N[C@@H](C)c2csc(C)n2)C1 ZINC000231691974 357621970 /nfs/dbraw/zinc/62/19/70/357621970.db2.gz XPVATWHKLKHDRZ-JMJZKYOTSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1ccc([C@H](C)NCC[C@H]2CCOC2)o1 ZINC000231708030 357622537 /nfs/dbraw/zinc/62/25/37/357622537.db2.gz NRQPYFBUUVHEGA-RYUDHWBXSA-N 0 3 223.316 2.665 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1C[C@H](O)CC(F)(F)F ZINC000189251142 357624961 /nfs/dbraw/zinc/62/49/61/357624961.db2.gz DCPVZGKWECGIIK-OPRDCNLKSA-N 0 3 239.281 2.563 20 0 BFADHN Fc1cncc(CNCCCc2ccccc2)c1 ZINC000230937942 357579534 /nfs/dbraw/zinc/57/95/34/357579534.db2.gz QHIAZNCMFNQWMI-UHFFFAOYSA-N 0 3 244.313 2.943 20 0 BFADHN C[C@@H](CCc1ccco1)NCc1cncc(F)c1 ZINC000230938239 357580036 /nfs/dbraw/zinc/58/00/36/357580036.db2.gz CUQWCIHLCKMREM-NSHDSACASA-N 0 3 248.301 2.925 20 0 BFADHN C[C@H](CCc1ccco1)NCc1cncc(F)c1 ZINC000230938242 357580670 /nfs/dbraw/zinc/58/06/70/357580670.db2.gz CUQWCIHLCKMREM-LLVKDONJSA-N 0 3 248.301 2.925 20 0 BFADHN Clc1ccc2c(c1)C[C@@H](NCc1ccno1)C2 ZINC000231881711 357628915 /nfs/dbraw/zinc/62/89/15/357628915.db2.gz NFVXPOIZMGNMIV-LBPRGKRZSA-N 0 3 248.713 2.585 20 0 BFADHN C[C@H]1CCCCN1Cc1ccc(N(C)C)nc1 ZINC000158950661 538871464 /nfs/dbraw/zinc/87/14/64/538871464.db2.gz AWWWAPKEACPDFZ-LBPRGKRZSA-N 0 3 233.359 2.522 20 0 BFADHN Cc1cnc([C@H](C)NC2CC(C)C2)s1 ZINC000231788750 357629886 /nfs/dbraw/zinc/62/98/86/357629886.db2.gz QQIDHCYFXJMQOR-ZAJCDATESA-N 0 3 210.346 2.901 20 0 BFADHN Cl/C=C/CN1CCC([C@@H]2CCCO2)CC1 ZINC000189113954 357615572 /nfs/dbraw/zinc/61/55/72/357615572.db2.gz MVAAFAHGBVEKSL-QSLRECBCSA-N 0 3 229.751 2.630 20 0 BFADHN CC(C)C[C@H](C)CN[C@@H](C)c1ccn(C)n1 ZINC000459771636 357663303 /nfs/dbraw/zinc/66/33/03/357663303.db2.gz ZANAYAUDNSOVGL-RYUDHWBXSA-N 0 3 223.364 2.753 20 0 BFADHN c1cc2ccc(CNCC3=CCOCC3)cc2[nH]1 ZINC000397753008 357675743 /nfs/dbraw/zinc/67/57/43/357675743.db2.gz QKQYWDUCMSUUSC-UHFFFAOYSA-N 0 3 242.322 2.604 20 0 BFADHN Fc1cc(F)cc(OCCN2CCCCC2)c1 ZINC000190092013 357683120 /nfs/dbraw/zinc/68/31/20/357683120.db2.gz OPKVXMFVVMBFNW-UHFFFAOYSA-N 0 3 241.281 2.830 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@@H]1CCC[C@H](C)CC1 ZINC000232991327 357688543 /nfs/dbraw/zinc/68/85/43/357688543.db2.gz SWMLQAZYGGMZEV-XQQFMLRXSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CC[C@H](C)O2)cc1 ZINC000190325777 357701295 /nfs/dbraw/zinc/70/12/95/357701295.db2.gz JDDHPXVKQSXPFO-DZGCQCFKSA-N 0 3 233.355 2.994 20 0 BFADHN CN(C)C1(CNc2nccc3ccccc32)CC1 ZINC000397860363 357741238 /nfs/dbraw/zinc/74/12/38/357741238.db2.gz OEBPOWXNZWIVDV-UHFFFAOYSA-N 0 3 241.338 2.741 20 0 BFADHN CCOC[C@@H](C)N[C@H]1CCCc2ccc(O)cc21 ZINC000233742569 357741628 /nfs/dbraw/zinc/74/16/28/357741628.db2.gz DJZPFIJSVICADE-ABAIWWIYSA-N 0 3 249.354 2.784 20 0 BFADHN CCOC[C@@H](NCc1cccc(C)n1)C(C)C ZINC000233735910 357741800 /nfs/dbraw/zinc/74/18/00/357741800.db2.gz OYQVADFISSIWJK-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN CO[C@H](C)CNCc1coc2ccccc12 ZINC000191198931 357755723 /nfs/dbraw/zinc/75/57/23/357755723.db2.gz MXSKQJPECFXVRV-SNVBAGLBSA-N 0 3 219.284 2.557 20 0 BFADHN CCN(C)c1ccc(CN(CC)C2CC2)cn1 ZINC000191228001 357759205 /nfs/dbraw/zinc/75/92/05/357759205.db2.gz KPYUMUHTLIQZNB-UHFFFAOYSA-N 0 3 233.359 2.522 20 0 BFADHN Cc1occc1CNCC1(O)CCCCCC1 ZINC000321346814 259472792 /nfs/dbraw/zinc/47/27/92/259472792.db2.gz CCQUZKZCKHAGHV-UHFFFAOYSA-N 0 3 237.343 2.763 20 0 BFADHN CCn1nc(C)c(CN(C)CC2CC=CC2)c1C ZINC000639924321 357774397 /nfs/dbraw/zinc/77/43/97/357774397.db2.gz CNFPKGXDCHRUHX-UHFFFAOYSA-N 0 3 247.386 2.918 20 0 BFADHN CCC(CC)CN([C@H](C)C(=O)OC)C1CCC1 ZINC000191644580 357781248 /nfs/dbraw/zinc/78/12/48/357781248.db2.gz OYEWVCNDZPHXQU-LLVKDONJSA-N 0 3 241.375 2.839 20 0 BFADHN CCC(C)(C)[C@@H]1CCCN1Cc1ncccn1 ZINC000192123825 357823685 /nfs/dbraw/zinc/82/36/85/357823685.db2.gz IIPFUTLNQKGBJV-LBPRGKRZSA-N 0 3 233.359 2.877 20 0 BFADHN CCC[C@H]1[C@@H](C)CCCN1Cc1ncccn1 ZINC000192111824 357823714 /nfs/dbraw/zinc/82/37/14/357823714.db2.gz JILAFZAWYPYYEL-STQMWFEESA-N 0 3 233.359 2.877 20 0 BFADHN Fc1ccc(OCCN2CCCCC2)cc1F ZINC000192781126 357851880 /nfs/dbraw/zinc/85/18/80/357851880.db2.gz BTBPIFBLLGIUPO-UHFFFAOYSA-N 0 3 241.281 2.830 20 0 BFADHN Cc1ccncc1CN[C@@H](C)CC(F)(F)F ZINC000235063039 357842147 /nfs/dbraw/zinc/84/21/47/357842147.db2.gz XFLJYJXDMOSGQG-VIFPVBQESA-N 0 3 232.249 2.821 20 0 BFADHN CC1(C)C(NCc2cncs2)C1(C)C ZINC000086239895 357874686 /nfs/dbraw/zinc/87/46/86/357874686.db2.gz VGKIROQWPJNQDC-UHFFFAOYSA-N 0 3 210.346 2.667 20 0 BFADHN CCN(CC[C@H](C)CCC=C(C)C)CC(N)=O ZINC000193330522 357878574 /nfs/dbraw/zinc/87/85/74/357878574.db2.gz BGRCGHKZDHZPAC-CYBMUJFWSA-N 0 3 240.391 2.566 20 0 BFADHN C=Cn1cc(CN(C)[C@@H]2CC[C@@H](C)C2)cn1 ZINC000583302196 357888319 /nfs/dbraw/zinc/88/83/19/357888319.db2.gz GOSBEIASCZPONJ-DGCLKSJQSA-N 0 3 219.332 2.604 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CNCc2ccn(C)n2)C1 ZINC000235670888 357927110 /nfs/dbraw/zinc/92/71/10/357927110.db2.gz JZFZAHXRJXFLCY-YPMHNXCESA-N 0 3 233.359 2.502 20 0 BFADHN CNCc1ccccc1NC(=O)C[C@H]1C=CCC1 ZINC000036280696 358017329 /nfs/dbraw/zinc/01/73/29/358017329.db2.gz RCQATAIEAWLIEF-LBPRGKRZSA-N 0 3 244.338 2.701 20 0 BFADHN CNCc1ccccc1NC(=O)C[C@@H]1C=CCC1 ZINC000036280699 358017585 /nfs/dbraw/zinc/01/75/85/358017585.db2.gz RCQATAIEAWLIEF-GFCCVEGCSA-N 0 3 244.338 2.701 20 0 BFADHN CC[C@H](C(=O)NCC(C)(C)CC(C)C)N(C)C ZINC000133673963 325307969 /nfs/dbraw/zinc/30/79/69/325307969.db2.gz ZYZLYARTCDBODV-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1n[nH]cc1CN(C)CC12CCC(CC1)C2 ZINC000639971241 358021696 /nfs/dbraw/zinc/02/16/96/358021696.db2.gz OYNSGFRGOBBLNQ-UHFFFAOYSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@@H]1CCC(F)(F)C1 ZINC000639970469 358022726 /nfs/dbraw/zinc/02/27/26/358022726.db2.gz GLXCLGBFHNUZPF-SNVBAGLBSA-N 0 3 243.301 2.585 20 0 BFADHN FCCCN1CCC(Cc2nccs2)CC1 ZINC000639985063 358026378 /nfs/dbraw/zinc/02/63/78/358026378.db2.gz SKUJQAJADFZJQR-UHFFFAOYSA-N 0 3 242.363 2.757 20 0 BFADHN CCC(CC)CN(C)Cc1c[nH]nc1C ZINC000639972872 358031620 /nfs/dbraw/zinc/03/16/20/358031620.db2.gz YHXOUEPTNCIZHS-UHFFFAOYSA-N 0 3 209.337 2.586 20 0 BFADHN CCN1CCC(Oc2ccccc2F)CC1 ZINC000639979084 358032671 /nfs/dbraw/zinc/03/26/71/358032671.db2.gz SELPPFXGPGOXQP-UHFFFAOYSA-N 0 3 223.291 2.689 20 0 BFADHN FCCC1CCN(CCOC2CCC2)CC1 ZINC000639990601 358038864 /nfs/dbraw/zinc/03/88/64/358038864.db2.gz YWWMGHMWZUAVFP-UHFFFAOYSA-N 0 3 229.339 2.627 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(C)cc1OC ZINC000037269266 358061248 /nfs/dbraw/zinc/06/12/48/358061248.db2.gz KQRVGSLXGGNJMW-LBPRGKRZSA-N 0 3 237.343 2.691 20 0 BFADHN CCN(CCSC)Cc1cccc(C)n1 ZINC000610677072 358065874 /nfs/dbraw/zinc/06/58/74/358065874.db2.gz ZWGIUARRBYFDLY-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN CCOC1CC(NCc2cscc2C)C1 ZINC000169215180 134250080 /nfs/dbraw/zinc/25/00/80/134250080.db2.gz HRLYZAZTESJPFT-UHFFFAOYSA-N 0 3 225.357 2.714 20 0 BFADHN CCCN[C@@H](CO)c1ccc(C(F)(F)F)cc1 ZINC000038123378 358117275 /nfs/dbraw/zinc/11/72/75/358117275.db2.gz SYZFLGRIZQBVFJ-NSHDSACASA-N 0 3 247.260 2.738 20 0 BFADHN CCCN(CCC)C(=O)[C@H]1CCCCN1CC ZINC000170915989 134255524 /nfs/dbraw/zinc/25/55/24/134255524.db2.gz FYEJJKHAHMFOPI-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CC1(C)CO[C@@H](CN2CCC(C)(F)CC2)C1 ZINC000640073099 358172063 /nfs/dbraw/zinc/17/20/63/358172063.db2.gz LKSIACBWNSSSOP-LLVKDONJSA-N 0 3 229.339 2.626 20 0 BFADHN CO[C@H](Cc1ccccc1)CN1CC=C(C)CC1 ZINC000450617273 202214047 /nfs/dbraw/zinc/21/40/47/202214047.db2.gz GDVOTSUVOIPVTM-MRXNPFEDSA-N 0 3 245.366 2.896 20 0 BFADHN CCC[C@@H](CCO)N[C@@H](C)c1cnc(C)s1 ZINC000631669089 358183324 /nfs/dbraw/zinc/18/33/24/358183324.db2.gz PBRSYFYEBJFQBN-ONGXEEELSA-N 0 3 242.388 2.653 20 0 BFADHN CC[C@]1(C)COCCN1CC1=CCCCC1 ZINC000450632871 202218545 /nfs/dbraw/zinc/21/85/45/202218545.db2.gz UDOTWXNVLSFWRK-CQSZACIVSA-N 0 3 223.360 2.988 20 0 BFADHN CCOCCN(C)C[C@H]1CCCCC1(F)F ZINC000450649913 202226394 /nfs/dbraw/zinc/22/63/94/202226394.db2.gz XVBSDDQIHXZJHN-LLVKDONJSA-N 0 3 235.318 2.780 20 0 BFADHN COCCCCN1CCC[C@H](C(F)(F)F)C1 ZINC000172173526 134262689 /nfs/dbraw/zinc/26/26/89/134262689.db2.gz NUHAOYKBJSQOOO-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN Cc1nc(CN[C@]2(C)CC=CCC2)[nH]c1C ZINC000584849409 358209570 /nfs/dbraw/zinc/20/95/70/358209570.db2.gz JFZOZOKNTNLUMG-CYBMUJFWSA-N 0 3 219.332 2.615 20 0 BFADHN CCCNC(=O)CN(CC(C)C)CC(C)(C)C ZINC000134453293 325359417 /nfs/dbraw/zinc/35/94/17/325359417.db2.gz VSAPCWXNEKJIKD-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN Fc1ccccc1C1CC(NCc2cc[nH]n2)C1 ZINC000041015095 358241545 /nfs/dbraw/zinc/24/15/45/358241545.db2.gz PINICZVIMKUWPB-UHFFFAOYSA-N 0 3 245.301 2.585 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1cnc(C)s1 ZINC000090417628 491126550 /nfs/dbraw/zinc/12/65/50/491126550.db2.gz LFEGZNOJYRETRW-WCBMZHEXSA-N 0 3 228.361 2.527 20 0 BFADHN CC[C@@H](NCc1cccnc1N)c1cccs1 ZINC000450715151 202241114 /nfs/dbraw/zinc/24/11/14/202241114.db2.gz HYQAOBMWVFXZAR-LLVKDONJSA-N 0 3 247.367 2.966 20 0 BFADHN Cc1ncc([C@@H](C)NC[C@]2(C)CCCO2)s1 ZINC000090419115 491126699 /nfs/dbraw/zinc/12/66/99/491126699.db2.gz WVBPVJYGQGZJBW-SKDRFNHKSA-N 0 3 240.372 2.671 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CCCSC2)s1 ZINC000090418762 491126703 /nfs/dbraw/zinc/12/67/03/491126703.db2.gz KGRLWPPTBVXQJP-WPRPVWTQSA-N 0 3 242.413 2.998 20 0 BFADHN CCCC[C@@H](NCc1cnns1)C1CCC1 ZINC000450724636 202245856 /nfs/dbraw/zinc/24/58/56/202245856.db2.gz HANHKPOXJAXMOY-GFCCVEGCSA-N 0 3 239.388 2.987 20 0 BFADHN CCC[C@@H](O)CN1CCc2c(C)cc(C)cc2C1 ZINC000450760153 202259116 /nfs/dbraw/zinc/25/91/16/202259116.db2.gz LGERRVUJGIVWSI-OAHLLOKOSA-N 0 3 247.382 2.823 20 0 BFADHN C1=C(CCN2CCO[C@@H](C3CC3)C2)CCCC1 ZINC000450758904 202257837 /nfs/dbraw/zinc/25/78/37/202257837.db2.gz JSWIAXYMAQEXLE-OAHLLOKOSA-N 0 3 235.371 2.988 20 0 BFADHN C[C@H]1CCCN1Cc1cn(C)nc1C(C)(C)C ZINC000180189296 366392901 /nfs/dbraw/zinc/39/29/01/366392901.db2.gz YZIZJOPXDXNJQK-NSHDSACASA-N 0 3 235.375 2.702 20 0 BFADHN CCN(Cc1cn(C)nc1C(C)(C)C)CC1CC1 ZINC000180232968 366398898 /nfs/dbraw/zinc/39/88/98/366398898.db2.gz WEZLQOKFPJKHJH-UHFFFAOYSA-N 0 3 249.402 2.950 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@@H]1CCC1(C)C ZINC000578205094 366389529 /nfs/dbraw/zinc/38/95/29/366389529.db2.gz HFAQBBLUBIPBHF-CMPLNLGQSA-N 0 3 219.332 2.624 20 0 BFADHN CCCn1cc(CN(C)CCC(C)C)cn1 ZINC000130718750 491129275 /nfs/dbraw/zinc/12/92/75/491129275.db2.gz FUNVTYQPVLVIEQ-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN CCC[C@@H](C)CN1CCOCC12CCC2 ZINC000180321165 366418068 /nfs/dbraw/zinc/41/80/68/366418068.db2.gz QYCZLGDBWXQZFT-GFCCVEGCSA-N 0 3 211.349 2.678 20 0 BFADHN CCc1ccc(CN2CC[C@H](O)[C@@H](C)C2)s1 ZINC000229578254 358404288 /nfs/dbraw/zinc/40/42/88/358404288.db2.gz YBRQJGIYOLRQFY-GWCFXTLKSA-N 0 3 239.384 2.513 20 0 BFADHN OCCCCCN[C@H](CF)c1ccc(F)cc1 ZINC000640153793 358434455 /nfs/dbraw/zinc/43/44/55/358434455.db2.gz UOSRBFCSZAYTRY-CYBMUJFWSA-N 0 3 243.297 2.589 20 0 BFADHN CCc1cnc(CN[C@@H](C)C2CC2)s1 ZINC000165392523 358437205 /nfs/dbraw/zinc/43/72/05/358437205.db2.gz HXEBQINLVDQIBS-QMMMGPOBSA-N 0 3 210.346 2.594 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN(C)C[C@@H]2CCOC2)o1 ZINC000047704479 358600609 /nfs/dbraw/zinc/60/06/09/358600609.db2.gz DUESSRCQAAZGBX-SGMGOOAPSA-N 0 3 249.354 2.871 20 0 BFADHN Cc1cc2cc(CN(C)C[C@H](C)O)oc2cc1C ZINC000130762393 358642667 /nfs/dbraw/zinc/64/26/67/358642667.db2.gz BDMWUOKKDNJAOM-LBPRGKRZSA-N 0 3 247.338 2.862 20 0 BFADHN CCCN(CC)Cc1ccccc1C(=O)OC ZINC000130130321 358610283 /nfs/dbraw/zinc/61/02/83/358610283.db2.gz FAGRXRPJTNDODM-UHFFFAOYSA-N 0 3 235.327 2.705 20 0 BFADHN CC[C@H](NC[C@H](C)C1CC1)c1nccn1C ZINC000132131316 491134941 /nfs/dbraw/zinc/13/49/41/491134941.db2.gz DXBVYULIVLSYEQ-JQWIXIFHSA-N 0 3 221.348 2.507 20 0 BFADHN Clc1ccc(CNCCc2ccncc2)o1 ZINC000048261732 358624207 /nfs/dbraw/zinc/62/42/07/358624207.db2.gz NRHJEOYNMHZZEX-UHFFFAOYSA-N 0 3 236.702 2.660 20 0 BFADHN CCN1CCC[C@@H]1c1ccc(OC)c(OC)c1 ZINC000130830784 358649091 /nfs/dbraw/zinc/64/90/91/358649091.db2.gz IPKWTZIPQDZTCL-GFCCVEGCSA-N 0 3 235.327 2.861 20 0 BFADHN CCOC[C@@H](C)NCc1coc2ccccc12 ZINC000130974219 358655435 /nfs/dbraw/zinc/65/54/35/358655435.db2.gz FHBDADVDOUOWNJ-LLVKDONJSA-N 0 3 233.311 2.947 20 0 BFADHN CC(C)=CCC[C@H](C)N(C)[C@@H](C)C[S@](C)=O ZINC000131024274 358657031 /nfs/dbraw/zinc/65/70/31/358657031.db2.gz ZYRPTIUXBASFHH-HEHGZKQESA-N 0 3 245.432 2.820 20 0 BFADHN Clc1ccc2c(c1)[C@@H](N[C@H]1CCOC1)CC2 ZINC000131328450 358671467 /nfs/dbraw/zinc/67/14/67/358671467.db2.gz FJELATVDTWEGAU-AAEUAGOBSA-N 0 3 237.730 2.706 20 0 BFADHN C[C@H](NCCOCC(F)(F)F)c1ccccc1 ZINC000049310776 358681442 /nfs/dbraw/zinc/68/14/42/358681442.db2.gz SXVZQCXUEIFLEG-JTQLQIEISA-N 0 3 247.260 2.916 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+][C@@H](C)C(C)C)[n-]1 ZINC000131629939 358686797 /nfs/dbraw/zinc/68/67/97/358686797.db2.gz BXJKOKQBBCFMEU-VHSXEESVSA-N 0 3 224.352 2.623 20 0 BFADHN CC(C)c1nnc([C@@H](C)N[C@@H](C)C(C)C)[nH]1 ZINC000131629939 358686799 /nfs/dbraw/zinc/68/67/99/358686799.db2.gz BXJKOKQBBCFMEU-VHSXEESVSA-N 0 3 224.352 2.623 20 0 BFADHN C[C@@H](CO)[C@@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC000131707391 358690844 /nfs/dbraw/zinc/69/08/44/358690844.db2.gz PHNOFKDRKMSFEO-AEJSXWLSSA-N 0 3 243.297 2.632 20 0 BFADHN CCc1nc(C)c([C@H](C)N[C@H](C)COC)s1 ZINC000131862504 358697266 /nfs/dbraw/zinc/69/72/66/358697266.db2.gz HWAWLWROLHPVPQ-BDAKNGLRSA-N 0 3 242.388 2.699 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1ccccc1OC ZINC000131857897 358697430 /nfs/dbraw/zinc/69/74/30/358697430.db2.gz XXNMKLDKFXIHKE-NEPJUHHUSA-N 0 3 237.343 2.507 20 0 BFADHN COC[C@H](C)N[C@H]1CC(C)(C)Cc2occc21 ZINC000131902469 358700510 /nfs/dbraw/zinc/70/05/10/358700510.db2.gz YPSXNLOOLFXXPU-JQWIXIFHSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@H](NCCc1ccco1)c1ccc(F)cn1 ZINC000133109221 358745286 /nfs/dbraw/zinc/74/52/86/358745286.db2.gz HOLRTAIMJWDCPK-JTQLQIEISA-N 0 3 234.274 2.707 20 0 BFADHN CCCN1CCN(C[C@@H]2CCC[C@H](C)C2)CC1 ZINC000133365532 358751789 /nfs/dbraw/zinc/75/17/89/358751789.db2.gz AKYNYMBPDPCQEK-LSDHHAIUSA-N 0 3 238.419 2.840 20 0 BFADHN CCCN1CCN(C[C@H]2CCC[C@@H](C)C2)CC1 ZINC000133366147 358751889 /nfs/dbraw/zinc/75/18/89/358751889.db2.gz AKYNYMBPDPCQEK-CABCVRRESA-N 0 3 238.419 2.840 20 0 BFADHN COc1cc(C)nc(CNC2(C)CCCC2)c1 ZINC000214056321 358753215 /nfs/dbraw/zinc/75/32/15/358753215.db2.gz MHVMHXJSAVAHHH-UHFFFAOYSA-N 0 3 234.343 2.821 20 0 BFADHN C[C@@H](O)CN(C)Cc1ccc(C(C)(C)C)cc1 ZINC000051821338 358764593 /nfs/dbraw/zinc/76/45/93/358764593.db2.gz GFHFDDCGSAZCNG-GFCCVEGCSA-N 0 3 235.371 2.797 20 0 BFADHN CC[C@H](NCC1CCCC1)c1nccn1C ZINC000132123164 358708640 /nfs/dbraw/zinc/70/86/40/358708640.db2.gz ANBLLMNMOUROIM-LBPRGKRZSA-N 0 3 221.348 2.651 20 0 BFADHN COC(=O)CCCN(C)Cc1cc(C)cc(C)c1 ZINC000050065276 358711489 /nfs/dbraw/zinc/71/14/89/358711489.db2.gz LCUMYHDWOKHRQB-UHFFFAOYSA-N 0 3 249.354 2.688 20 0 BFADHN C[C@@H](Cc1ccccc1)CN1CCOC[C@H]1C ZINC000132212409 358713148 /nfs/dbraw/zinc/71/31/48/358713148.db2.gz RRFXEEBYGTYDRK-UONOGXRCSA-N 0 3 233.355 2.586 20 0 BFADHN COc1cc(C)nc(CN[C@@H]2CC[C@@H](C)C2)c1 ZINC000132354352 358720090 /nfs/dbraw/zinc/72/00/90/358720090.db2.gz PAZWXZUWBIKDPK-ZYHUDNBSSA-N 0 3 234.343 2.677 20 0 BFADHN COCCN[C@H]1CCCc2c(Cl)cccc21 ZINC000134905951 358810753 /nfs/dbraw/zinc/81/07/53/358810753.db2.gz MGLAZDKPAJFOGQ-ZDUSSCGKSA-N 0 3 239.746 2.953 20 0 BFADHN CC[C@@H](CCO)NCc1cc2ccccc2o1 ZINC000134985763 358813272 /nfs/dbraw/zinc/81/32/72/358813272.db2.gz OGYLOECHKLGMTJ-LBPRGKRZSA-N 0 3 233.311 2.683 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1ccccc1F ZINC000134195373 358782714 /nfs/dbraw/zinc/78/27/14/358782714.db2.gz KLTYNRSKHLLUTH-QWRGUYRKSA-N 0 3 225.307 2.637 20 0 BFADHN C[C@@H](Cc1ccc(O)cc1)NCc1ccoc1 ZINC000134400680 358789229 /nfs/dbraw/zinc/78/92/29/358789229.db2.gz JNJMYULXGUPJRR-NSHDSACASA-N 0 3 231.295 2.706 20 0 BFADHN Cc1nnc(CNC2[C@H](C)CCC[C@H]2C)s1 ZINC000134721555 358803113 /nfs/dbraw/zinc/80/31/13/358803113.db2.gz WVYZQCAQJQFPOW-RKDXNWHRSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1cc(CN(CCO)C2CC2)ccc1Cl ZINC000134733239 358804109 /nfs/dbraw/zinc/80/41/09/358804109.db2.gz ZORUPBNTHBKQDM-UHFFFAOYSA-N 0 3 239.746 2.605 20 0 BFADHN CCN(CC)C(=O)CN[C@@H](C)c1cccc(C)c1 ZINC000134799754 358806385 /nfs/dbraw/zinc/80/63/85/358806385.db2.gz LVPQNWMJJJZJKY-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN OCC1(NCc2cc3ccccc3o2)CCCC1 ZINC000053044790 358807298 /nfs/dbraw/zinc/80/72/98/358807298.db2.gz JLGGVBKMWXFOOY-UHFFFAOYSA-N 0 3 245.322 2.828 20 0 BFADHN CSCCN1CCS[C@H]2CCCC[C@@H]21 ZINC000176928392 134294850 /nfs/dbraw/zinc/29/48/50/134294850.db2.gz VDYLMMGKOODESV-QWRGUYRKSA-N 0 3 231.430 2.709 20 0 BFADHN COc1ccc(F)cc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000578248790 366471076 /nfs/dbraw/zinc/47/10/76/366471076.db2.gz UCNHYLNQWKVBKM-IMRBUKKESA-N 0 3 235.302 2.722 20 0 BFADHN CC(C)CC[C@H]1CCCN1Cc1nccn1C ZINC000135314044 358830656 /nfs/dbraw/zinc/83/06/56/358830656.db2.gz JCEMVFSPQDKQRH-CYBMUJFWSA-N 0 3 235.375 2.821 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1Cc1nnc(C)o1 ZINC000135338712 358832596 /nfs/dbraw/zinc/83/25/96/358832596.db2.gz JKQXDEJJRMDIPO-CMPLNLGQSA-N 0 3 237.347 2.779 20 0 BFADHN C[C@H](NCC1(O)CCC1)c1ccc(F)c(F)c1 ZINC000135461479 358839376 /nfs/dbraw/zinc/83/93/76/358839376.db2.gz JRCCZQQVBBYQFL-VIFPVBQESA-N 0 3 241.281 2.530 20 0 BFADHN CCc1ccc(CN[C@H]2CCCCC[C@H]2O)o1 ZINC000135611816 358846877 /nfs/dbraw/zinc/84/68/77/358846877.db2.gz UVKIBCRROUQGRZ-UONOGXRCSA-N 0 3 237.343 2.625 20 0 BFADHN c1c2ccccc2[nH]c1CNCc1cccnc1 ZINC000058474012 358911757 /nfs/dbraw/zinc/91/17/57/358911757.db2.gz QGQKDJRTPZGIPO-UHFFFAOYSA-N 0 3 237.306 2.853 20 0 BFADHN Cc1nnc(CN2CCC[C@H]2C2CCCC2)o1 ZINC000055927819 358892904 /nfs/dbraw/zinc/89/29/04/358892904.db2.gz XEEJNSUAWRMKOJ-LBPRGKRZSA-N 0 3 235.331 2.533 20 0 BFADHN Cc1noc(CN2CCC[C@H]2C2CCCC2)n1 ZINC000055927495 358893140 /nfs/dbraw/zinc/89/31/40/358893140.db2.gz PTUZJVDRGZQOGS-LBPRGKRZSA-N 0 3 235.331 2.533 20 0 BFADHN C[C@@H](N[C@@H](C)CCC1CC1)c1ncc[nH]1 ZINC000308830176 491138307 /nfs/dbraw/zinc/13/83/07/491138307.db2.gz FYYIXUZIODAIHU-VHSXEESVSA-N 0 3 207.321 2.639 20 0 BFADHN Cc1noc(CN2CCC[C@H]2CC(C)(C)C)n1 ZINC000057863300 358907600 /nfs/dbraw/zinc/90/76/00/358907600.db2.gz NYLJVFRKZVXTIK-NSHDSACASA-N 0 3 237.347 2.779 20 0 BFADHN c1nc(C2CC2)c(CN[C@H]2CCSC2)s1 ZINC000308832776 491138648 /nfs/dbraw/zinc/13/86/48/491138648.db2.gz GVYSSFKZLVHBGI-VIFPVBQESA-N 0 3 240.397 2.616 20 0 BFADHN CC[C@H]1CCN1C[C@H]1CC1(Cl)Cl ZINC000306161163 358966233 /nfs/dbraw/zinc/96/62/33/358966233.db2.gz RBDKBFDXPLHHPX-SFYZADRCSA-N 0 3 208.132 2.665 20 0 BFADHN CN(Cc1ccsc1)C[C@@H](O)C(C)(C)C ZINC000308830283 491138390 /nfs/dbraw/zinc/13/83/90/491138390.db2.gz SZPUCECEGLMLBW-LLVKDONJSA-N 0 3 227.373 2.587 20 0 BFADHN c1cn(-c2ccccc2)nc1CNC1CCC1 ZINC000061641157 358935454 /nfs/dbraw/zinc/93/54/54/358935454.db2.gz KOHBXNMJMHIIGH-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN CC(C)CN1CCN(C)C[C@H]1c1ccccc1 ZINC000245619388 358958749 /nfs/dbraw/zinc/95/87/49/358958749.db2.gz NGLINAIWOIJEAQ-HNNXBMFYSA-N 0 3 232.371 2.631 20 0 BFADHN CC(C)[C@H]1C[C@@H](N[C@@H](C)c2ccccn2)CCO1 ZINC000070345813 359050764 /nfs/dbraw/zinc/05/07/64/359050764.db2.gz AHWXTTMHXOUOES-KCQAQPDRSA-N 0 3 248.370 2.936 20 0 BFADHN Cc1ccsc1[C@H](C)NCCn1cccn1 ZINC000069636844 359031054 /nfs/dbraw/zinc/03/10/54/359031054.db2.gz WCBNOJGCAXMFPH-NSHDSACASA-N 0 3 235.356 2.604 20 0 BFADHN Fc1cccc(F)c1CCNCc1ccco1 ZINC000069749807 359032982 /nfs/dbraw/zinc/03/29/82/359032982.db2.gz UZMVBLORHRHDQU-UHFFFAOYSA-N 0 3 237.249 2.890 20 0 BFADHN CCn1ccnc1CN[C@@H](C)C1CCCC1 ZINC000069804336 359034017 /nfs/dbraw/zinc/03/40/17/359034017.db2.gz JGQFWTTYTKMJQF-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN CC[C@@H](C)NCc1cc2c(cc1OC)C[C@@H](C)O2 ZINC000070981766 359068865 /nfs/dbraw/zinc/06/88/65/359068865.db2.gz VJBFFISNEHTAAA-GHMZBOCLSA-N 0 3 249.354 2.907 20 0 BFADHN C[C@H]1C[C@@H](NCc2nccn2C)CC(C)(C)C1 ZINC000071138736 359072583 /nfs/dbraw/zinc/07/25/83/359072583.db2.gz HGPOHZOCXOLUGK-NWDGAFQWSA-N 0 3 235.375 2.725 20 0 BFADHN CCN1CCC(C(=O)c2ccccc2)CC1 ZINC000073893642 359108634 /nfs/dbraw/zinc/10/86/34/359108634.db2.gz KORFUDVNENNVRW-UHFFFAOYSA-N 0 3 217.312 2.601 20 0 BFADHN C[C@@H](Cc1cccs1)N[C@@H](C)c1nccn1C ZINC000070444208 359054412 /nfs/dbraw/zinc/05/44/12/359054412.db2.gz DJZVVKMGRQDTRI-QWRGUYRKSA-N 0 3 249.383 2.763 20 0 BFADHN OC[C@@H]1CCCCN1Cc1ccc2c(c1)CCC2 ZINC000070502028 359058000 /nfs/dbraw/zinc/05/80/00/359058000.db2.gz ZXVYHIGPENUWOX-INIZCTEOSA-N 0 3 245.366 2.522 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1nccn1C ZINC000070852811 359065190 /nfs/dbraw/zinc/06/51/90/359065190.db2.gz KHJXVFBNPVIBMV-VXGBXAGGSA-N 0 3 223.364 2.725 20 0 BFADHN C[C@H]1CN(CCC2CCCC2)[C@@H](C)CO1 ZINC000247044168 359190040 /nfs/dbraw/zinc/19/00/40/359190040.db2.gz VMVSVUFPKVBINO-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN Fc1ccccc1CN1CC[C@H]2OCCC[C@H]2C1 ZINC000246744125 359160917 /nfs/dbraw/zinc/16/09/17/359160917.db2.gz PGTMTTMEPOMGPM-DZGCQCFKSA-N 0 3 249.329 2.827 20 0 BFADHN Cc1ccn2c(CN3CC[C@H](C)[C@H]3C)cnc2c1 ZINC000246770263 359162204 /nfs/dbraw/zinc/16/22/04/359162204.db2.gz PWGDHNIAQKURAI-QWHCGFSZSA-N 0 3 243.354 2.873 20 0 BFADHN CCn1ccnc1CN1CC[C@H](C)C[C@@H](C)C1 ZINC000246883362 359172293 /nfs/dbraw/zinc/17/22/93/359172293.db2.gz WVZUEBLQRHBNSD-QWHCGFSZSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@H]1CCCN(Cc2cnc3ccccn23)[C@H]1C ZINC000246912526 359174830 /nfs/dbraw/zinc/17/48/30/359174830.db2.gz BNYCGSUMHIYYRX-STQMWFEESA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1nccn1C ZINC000075837538 359226850 /nfs/dbraw/zinc/22/68/50/359226850.db2.gz HBJMFKYZZPHODK-AGIUHOORSA-N 0 3 233.359 2.573 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CC[C@@]2(CCOC2)C1 ZINC000247511319 359227837 /nfs/dbraw/zinc/22/78/37/359227837.db2.gz YKDZNEHVNGRFTM-SWLSCSKDSA-N 0 3 249.329 2.999 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@@]2(CCOC2)C1 ZINC000247511312 359228397 /nfs/dbraw/zinc/22/83/97/359228397.db2.gz YKDZNEHVNGRFTM-IUODEOHRSA-N 0 3 249.329 2.999 20 0 BFADHN CC[C@@H](C)CN(C)[C@H](C)C(=O)Nc1ccccc1 ZINC000247592516 359236495 /nfs/dbraw/zinc/23/64/95/359236495.db2.gz NOQGHZQZWPLGHG-CHWSQXEVSA-N 0 3 248.370 2.992 20 0 BFADHN CC(C)N(C(=O)[C@@H](C)N1CCC[C@@H]1C)C(C)C ZINC000247646510 359238079 /nfs/dbraw/zinc/23/80/79/359238079.db2.gz UMRXAUNBLFHCOA-QWHCGFSZSA-N 0 3 240.391 2.505 20 0 BFADHN COC(=O)c1cccc(CN2CCCC[C@H]2C)c1 ZINC000247824417 359245661 /nfs/dbraw/zinc/24/56/61/359245661.db2.gz IXSRXLGXLBUHSS-GFCCVEGCSA-N 0 3 247.338 2.848 20 0 BFADHN COC1CCN(C/C=C\c2ccccc2)CC1 ZINC000247905804 359248526 /nfs/dbraw/zinc/24/85/26/359248526.db2.gz SEMSWHLQNROVRS-YVMONPNESA-N 0 3 231.339 2.811 20 0 BFADHN CCn1nccc1CN1CC[C@H](C)C[C@@H](C)C1 ZINC000247245745 359203820 /nfs/dbraw/zinc/20/38/20/359203820.db2.gz WZEIEEJBUCIHIW-QWHCGFSZSA-N 0 3 235.375 2.771 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@H](C)c1nccn1C ZINC000247109243 359214937 /nfs/dbraw/zinc/21/49/37/359214937.db2.gz YIQYCIVBLKXTGP-SDDRHHMPSA-N 0 3 223.364 2.895 20 0 BFADHN C[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1nccn1C ZINC000247357163 359215708 /nfs/dbraw/zinc/21/57/08/359215708.db2.gz HBJMFKYZZPHODK-JHJVBQTASA-N 0 3 233.359 2.573 20 0 BFADHN CCS[C@H]1CC[C@H](N(C)Cc2cn[nH]c2)C1 ZINC000611276260 359288229 /nfs/dbraw/zinc/28/82/29/359288229.db2.gz FTFUKWZLGNBJQA-RYUDHWBXSA-N 0 3 239.388 2.516 20 0 BFADHN CC(C)n1ncnc1CNC[C@H]1CCCC12CC2 ZINC000631186594 359317724 /nfs/dbraw/zinc/31/77/24/359317724.db2.gz WMDGMZYBFICMTG-GFCCVEGCSA-N 0 3 248.374 2.529 20 0 BFADHN CCOCCN(Cc1ccccc1)C1CC1 ZINC000248266305 359261736 /nfs/dbraw/zinc/26/17/36/359261736.db2.gz FBYFAOOZQVZNLE-UHFFFAOYSA-N 0 3 219.328 2.688 20 0 BFADHN COc1c(C)cnc(CN2CC[C@H](C)[C@H]2C)c1C ZINC000248341031 359265482 /nfs/dbraw/zinc/26/54/82/359265482.db2.gz IUFUKHTZYLJQCX-GXFFZTMASA-N 0 3 248.370 2.937 20 0 BFADHN CC1(C)CCN(Cc2cccc3c2OCCO3)C1 ZINC000076015027 359270030 /nfs/dbraw/zinc/27/00/30/359270030.db2.gz RLNGQPSXAKNWPI-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N1CC[C@](C)(O)C1 ZINC000248367569 359271286 /nfs/dbraw/zinc/27/12/86/359271286.db2.gz UEMNPPJODXWNAL-KBPBESRZSA-N 0 3 237.318 2.734 20 0 BFADHN CCOC(=O)c1ccc(CNCC(C)(C)C)o1 ZINC000611437821 359371006 /nfs/dbraw/zinc/37/10/06/359371006.db2.gz QMTJGEGJVFKGTK-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN FC(F)(F)CCNCc1cccc2n[nH]cc21 ZINC000623436526 359329656 /nfs/dbraw/zinc/32/96/56/359329656.db2.gz VWXKESBGRUSFPE-UHFFFAOYSA-N 0 3 243.232 2.605 20 0 BFADHN Cc1cc(CN[C@H]2CCC(F)(F)C2)ccn1 ZINC000623451316 359345314 /nfs/dbraw/zinc/34/53/14/359345314.db2.gz SNPYFYQGSNYEAI-NSHDSACASA-N 0 3 226.270 2.667 20 0 BFADHN OCC1(NCc2cscc2Cl)CCCC1 ZINC000308916821 491140211 /nfs/dbraw/zinc/14/02/11/491140211.db2.gz HMYJQNBLNTUNJB-UHFFFAOYSA-N 0 3 245.775 2.796 20 0 BFADHN CCC[C@H](C)CN1CCCCC[C@H]1C(=O)OC ZINC000611606722 359400951 /nfs/dbraw/zinc/40/09/51/359400951.db2.gz NVZJMWPMLSWAQS-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)=CCC[C@H](C)N[C@@H]1CNCCC1(F)F ZINC000423467195 192782757 /nfs/dbraw/zinc/78/27/57/192782757.db2.gz DIAUWOBGJKPKIZ-NWDGAFQWSA-N 0 3 246.345 2.708 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1ncc(C)s1 ZINC000379579593 359552408 /nfs/dbraw/zinc/55/24/08/359552408.db2.gz XBWUTSFXWKPSHN-UWVGGRQHSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1c([C@H](C)NCCCF)cnn1C(C)(C)C ZINC000191383314 359559730 /nfs/dbraw/zinc/55/97/30/359559730.db2.gz PUMIVHOIHQXPQT-JTQLQIEISA-N 0 3 241.354 2.957 20 0 BFADHN CC[C@@H](N[C@H](C)c1nc(C)cs1)C(C)(C)O ZINC000623989696 359578124 /nfs/dbraw/zinc/57/81/24/359578124.db2.gz FDHDVWNPAGVSER-NXEZZACHSA-N 0 3 242.388 2.652 20 0 BFADHN CC(C(=O)Nc1ccccc1)C(F)(F)F ZINC000141346320 359594164 /nfs/dbraw/zinc/59/41/64/359594164.db2.gz AWYDPLFHUNXJRH-ZETCQYMHSA-N 0 3 217.190 2.824 20 0 BFADHN Cc1ncc([C@H](C)NC2CC(F)(F)C2)s1 ZINC000308989308 491141908 /nfs/dbraw/zinc/14/19/08/491141908.db2.gz KRUZZWGCSWBLCH-LURJTMIESA-N 0 3 232.299 2.900 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@@H](C)c1c(C)cccc1C ZINC000423867643 192842222 /nfs/dbraw/zinc/84/22/22/192842222.db2.gz AOQYSTHRUWSJJZ-QWHCGFSZSA-N 0 3 248.370 2.608 20 0 BFADHN CCC(CC)N(Cc1c(C)cnn1C)C1CC1 ZINC000580482820 359627665 /nfs/dbraw/zinc/62/76/65/359627665.db2.gz IQWZYNWBFWVZBM-UHFFFAOYSA-N 0 3 235.375 2.882 20 0 BFADHN Fc1ccc(CNCCc2ccco2)c(F)c1 ZINC000035121499 359649049 /nfs/dbraw/zinc/64/90/49/359649049.db2.gz NAQBSJUVVCCXIG-UHFFFAOYSA-N 0 3 237.249 2.890 20 0 BFADHN C/C=C/CN[C@H](C)c1cnn(C(C)C)c1 ZINC000309039178 491143160 /nfs/dbraw/zinc/14/31/60/491143160.db2.gz KCLSSSQPHWKXEU-MVIFTORASA-N 0 3 207.321 2.691 20 0 BFADHN Cc1ncc(CNC[C@H]2CCCC23CC3)o1 ZINC000628494341 359674548 /nfs/dbraw/zinc/67/45/48/359674548.db2.gz LUSYEZKXIZQYQS-LLVKDONJSA-N 0 3 220.316 2.653 20 0 BFADHN C/C=C\C[C@H](CO)NCc1cscc1Cl ZINC000309047938 491143361 /nfs/dbraw/zinc/14/33/61/491143361.db2.gz PLZZWVLPRJSWGK-MXQLGCADSA-N 0 3 245.775 2.818 20 0 BFADHN CCCCNCc1cc2c(cc1OC)C[C@H](C)O2 ZINC000072658798 359676309 /nfs/dbraw/zinc/67/63/09/359676309.db2.gz UXRLWAAHZMCZDR-NSHDSACASA-N 0 3 249.354 2.908 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2c[nH]nc2C)C1 ZINC000628495175 359696384 /nfs/dbraw/zinc/69/63/84/359696384.db2.gz KFBDPPLIVIMFOK-OOPCZODUSA-N 0 3 233.359 2.896 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cnc(C)n2C)C1 ZINC000628495143 359696582 /nfs/dbraw/zinc/69/65/82/359696582.db2.gz JLXXYPFNNSPMMC-NNTXTVRGSA-N 0 3 247.386 2.907 20 0 BFADHN CCc1cc(CNC2CC(C)(C)C2)on1 ZINC000309063710 491143829 /nfs/dbraw/zinc/14/38/29/491143829.db2.gz YEIIQMPXVBPVII-UHFFFAOYSA-N 0 3 208.305 2.515 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1ccccc1 ZINC000309064283 491143850 /nfs/dbraw/zinc/14/38/50/491143850.db2.gz YKUPABMSZLBAEZ-CYZMBNFOSA-N 0 3 205.301 2.515 20 0 BFADHN C[C@@](O)(CNCc1cscc1Cl)C1CC1 ZINC000309065911 491143900 /nfs/dbraw/zinc/14/39/00/491143900.db2.gz ZIXHFUOAPILLBB-LLVKDONJSA-N 0 3 245.775 2.652 20 0 BFADHN CCC1(CC)CCCN(Cc2cn[nH]n2)CC1 ZINC000450828941 202278818 /nfs/dbraw/zinc/27/88/18/202278818.db2.gz MWMLOQUKXROICV-UHFFFAOYSA-N 0 3 236.363 2.597 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)[C@H](C)[C@@H](C)O1 ZINC000450832764 202280846 /nfs/dbraw/zinc/28/08/46/202280846.db2.gz WNGJLNRYTYYUMR-DDHJBXDOSA-N 0 3 247.329 2.920 20 0 BFADHN CCC[C@@](C)(CO)NCc1cc(C)ccc1F ZINC000083469596 359795942 /nfs/dbraw/zinc/79/59/42/359795942.db2.gz BGSGYEDEQCMYLK-AWEZNQCLSA-N 0 3 239.334 2.775 20 0 BFADHN CCCc1nc(C)c(CSCCN(C)C)o1 ZINC000450864263 202293710 /nfs/dbraw/zinc/29/37/10/202293710.db2.gz HUXAISPQYYLIJO-UHFFFAOYSA-N 0 3 242.388 2.730 20 0 BFADHN CN(C)CCSC[C@@H]1CCCC1(F)F ZINC000450874762 202295490 /nfs/dbraw/zinc/29/54/90/202295490.db2.gz VEYNMTNGOVBOGX-VIFPVBQESA-N 0 3 223.332 2.717 20 0 BFADHN CC1=C(c2ccco2)CN(CC[C@@H](C)O)CC1 ZINC000450934427 202314728 /nfs/dbraw/zinc/31/47/28/202314728.db2.gz KLSYRXJBQXMOSR-GFCCVEGCSA-N 0 3 235.327 2.530 20 0 BFADHN C[C@H](O)CCN1CCC=C(c2ccccc2)C1 ZINC000450935653 202315267 /nfs/dbraw/zinc/31/52/67/202315267.db2.gz ZCTQGSAYZMQIMG-ZDUSSCGKSA-N 0 3 231.339 2.547 20 0 BFADHN CC/C=C\CCN[C@](C)(CO)c1cccc(O)c1 ZINC000451045615 202335619 /nfs/dbraw/zinc/33/56/19/202335619.db2.gz YEPQQLGMGSUYHY-FOSCPCJNSA-N 0 3 249.354 2.546 20 0 BFADHN CC/C=C/CCN[C@](C)(CO)c1cccc(O)c1 ZINC000451045617 202336987 /nfs/dbraw/zinc/33/69/87/202336987.db2.gz YEPQQLGMGSUYHY-MBVDDHJVSA-N 0 3 249.354 2.546 20 0 BFADHN C[C@@]1(NCc2cnccn2)C[C@H]1c1ccccc1 ZINC000578496003 366579291 /nfs/dbraw/zinc/57/92/91/366579291.db2.gz STQTXDWKSMBKKQ-LSDHHAIUSA-N 0 3 239.322 2.512 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@H]2CC2(C)C)no1 ZINC000309104381 491145024 /nfs/dbraw/zinc/14/50/24/491145024.db2.gz HGQOEZCEQXARJI-GXSJLCMTSA-N 0 3 208.305 2.507 20 0 BFADHN CC1(C)CC[C@@H](CN2CCC[C@](C)(F)C2)O1 ZINC000451113391 202354561 /nfs/dbraw/zinc/35/45/61/202354561.db2.gz JRZAZYUFTQFROU-AAEUAGOBSA-N 0 3 229.339 2.768 20 0 BFADHN CC1CC(NCc2ccc(Cl)cn2)C1 ZINC000306425265 359868554 /nfs/dbraw/zinc/86/85/54/359868554.db2.gz GVVBCZSUQIPBSF-UHFFFAOYSA-N 0 3 210.708 2.623 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3ccc(F)cc3)CC2)[C@H](C)O1 ZINC000451124953 202360308 /nfs/dbraw/zinc/36/03/08/202360308.db2.gz HDRJDMKYBXOZHG-SUNKGSAMSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCO[C@H]2C)cc1F ZINC000451185770 202371797 /nfs/dbraw/zinc/37/17/97/202371797.db2.gz BXKRNWFZMCZXCG-UHIISALHSA-N 0 3 237.318 2.962 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCO[C@H]2C)cc1F ZINC000451185769 202373575 /nfs/dbraw/zinc/37/35/75/202373575.db2.gz BXKRNWFZMCZXCG-SUNKGSAMSA-N 0 3 237.318 2.962 20 0 BFADHN COC[C@H](C)N[C@H](C)c1cc(F)cc(F)c1 ZINC000087282602 359904579 /nfs/dbraw/zinc/90/45/79/359904579.db2.gz HUMYLHJVZWXQIB-DTWKUNHWSA-N 0 3 229.270 2.650 20 0 BFADHN CC(C)(C)OCCCCN1CC[C@H](F)C1 ZINC000451195404 202376938 /nfs/dbraw/zinc/37/69/38/202376938.db2.gz LNAWZGKZJPLESD-NSHDSACASA-N 0 3 217.328 2.626 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCO[C@H]2C)ccc1F ZINC000451198074 202378305 /nfs/dbraw/zinc/37/83/05/202378305.db2.gz TYICCUCNVUCYHT-UHIISALHSA-N 0 3 237.318 2.962 20 0 BFADHN C[C@@H]1CCCCC[C@@H]1NCc1ccno1 ZINC000309141648 491145539 /nfs/dbraw/zinc/14/55/39/491145539.db2.gz TZHCWHHLIDWXJF-PWSUYJOCSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H](NC[C@@H]1CCCS1)c1cccc(O)c1 ZINC000087668184 359922941 /nfs/dbraw/zinc/92/29/41/359922941.db2.gz WNVYRJVZBIUTAQ-MFKMUULPSA-N 0 3 237.368 2.938 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccc2c(n1)CCC2 ZINC000578614939 366607115 /nfs/dbraw/zinc/60/71/15/366607115.db2.gz VDEFEEYUAHXCPG-LLVKDONJSA-N 0 3 218.344 2.801 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2cccnc2)C12CCC2 ZINC000087709107 359924612 /nfs/dbraw/zinc/92/46/12/359924612.db2.gz HBANVDYSIXKGOG-UONOGXRCSA-N 0 3 246.354 2.519 20 0 BFADHN Fc1ccc(CNC[C@H]2CC23CC3)c(F)c1 ZINC000578864796 366655315 /nfs/dbraw/zinc/65/53/15/366655315.db2.gz YSUDUMWPLLINLB-SNVBAGLBSA-N 0 3 223.266 2.855 20 0 BFADHN CCC1(CN[C@H](C)c2ncc[nH]2)CCC1 ZINC000309164719 491146037 /nfs/dbraw/zinc/14/60/37/491146037.db2.gz ILOZUIZNZLUIEM-SNVBAGLBSA-N 0 3 207.321 2.641 20 0 BFADHN CC/C=C/CNCc1cccc(-n2cccn2)c1 ZINC000578954357 366678412 /nfs/dbraw/zinc/67/84/12/366678412.db2.gz LRHWBDYDAFAQNP-ONEGZZNKSA-N 0 3 241.338 2.928 20 0 BFADHN COCc1ccc(CNCCC(F)(F)F)cc1 ZINC000088568468 359966941 /nfs/dbraw/zinc/96/69/41/359966941.db2.gz BAZUPHDIBYOFBH-UHFFFAOYSA-N 0 3 247.260 2.875 20 0 BFADHN CCC(CC)(CC)NCc1ncnn1C(C)C ZINC000088592592 359969758 /nfs/dbraw/zinc/96/97/58/359969758.db2.gz GBILGVBUNCSJRE-UHFFFAOYSA-N 0 3 238.379 2.917 20 0 BFADHN CCn1cncc1CN[C@@H]1CCc2ccccc21 ZINC000090169967 360061544 /nfs/dbraw/zinc/06/15/44/360061544.db2.gz ZGVOQDPWJDAOEB-OAHLLOKOSA-N 0 3 241.338 2.680 20 0 BFADHN C[C@H](N[C@@H]1C=CCCC1)c1cncc(F)c1 ZINC000090392789 360070902 /nfs/dbraw/zinc/07/09/02/360070902.db2.gz BSQQFOYNKKPYFF-GXFFZTMASA-N 0 3 220.291 2.980 20 0 BFADHN C[C@H](N[C@H]1C=CCCC1)c1cncc(F)c1 ZINC000090392787 360071299 /nfs/dbraw/zinc/07/12/99/360071299.db2.gz BSQQFOYNKKPYFF-GWCFXTLKSA-N 0 3 220.291 2.980 20 0 BFADHN C[C@@H]1C[C@@H](Nc2nc3ccccc3o2)CCN1C ZINC000090795270 360088801 /nfs/dbraw/zinc/08/88/01/360088801.db2.gz MIEFVQXXQIDVFU-MNOVXSKESA-N 0 3 245.326 2.722 20 0 BFADHN C[C@H]1C[C@H](Nc2ccc(Cl)cn2)CCN1C ZINC000090824896 360090747 /nfs/dbraw/zinc/09/07/47/360090747.db2.gz VFJVPFACHJMRSL-GXSJLCMTSA-N 0 3 239.750 2.630 20 0 BFADHN Cc1ncccc1CN1CC[C@H](OCC(C)C)C1 ZINC000091964672 360138802 /nfs/dbraw/zinc/13/88/02/360138802.db2.gz PIGUDISQCRJJET-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN CC(C)CO[C@H]1CCN(Cc2ccsc2)C1 ZINC000091964733 360138846 /nfs/dbraw/zinc/13/88/46/360138846.db2.gz AFOAITWWBZOWHR-ZDUSSCGKSA-N 0 3 239.384 2.995 20 0 BFADHN CC(C)CO[C@@H]1CCN(Cc2ccsc2)C1 ZINC000091964729 360138867 /nfs/dbraw/zinc/13/88/67/360138867.db2.gz AFOAITWWBZOWHR-CYBMUJFWSA-N 0 3 239.384 2.995 20 0 BFADHN CN(CCC1CC1)Cc1cnn(C(C)(C)C)c1 ZINC000092067240 360149777 /nfs/dbraw/zinc/14/97/77/360149777.db2.gz KWVSAVGHGNFFFZ-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN c1csc(CN2CCC[C@@H](c3ncc[nH]3)C2)c1 ZINC000092073787 360149890 /nfs/dbraw/zinc/14/98/90/360149890.db2.gz FPGSKLVHFDETLC-LLVKDONJSA-N 0 3 247.367 2.851 20 0 BFADHN Cc1ncccc1CN(C)CCC1CC1 ZINC000092067217 360150021 /nfs/dbraw/zinc/15/00/21/360150021.db2.gz ZVRYDBHTEYYZFA-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN C[C@H](CNCc1ccco1)c1ccncc1 ZINC000309356134 491147365 /nfs/dbraw/zinc/14/73/65/491147365.db2.gz NYTXBNGMOLWPJZ-LLVKDONJSA-N 0 3 216.284 2.568 20 0 BFADHN CCCCN1CCc2cc(F)ccc2C1 ZINC000091893071 360134058 /nfs/dbraw/zinc/13/40/58/360134058.db2.gz YPGJKQLTGLKYOA-UHFFFAOYSA-N 0 3 207.292 2.984 20 0 BFADHN CC[C@@H]1CCN(Cc2cc(OC)cc(OC)c2)C1 ZINC000091929665 360136624 /nfs/dbraw/zinc/13/66/24/360136624.db2.gz ZRJSESJXMPNKHB-GFCCVEGCSA-N 0 3 249.354 2.936 20 0 BFADHN CC(C)n1ccc(CN[C@H]2CC[C@H](C)C2)n1 ZINC000122014397 360175487 /nfs/dbraw/zinc/17/54/87/360175487.db2.gz VOEDRSNFWSEVPH-RYUDHWBXSA-N 0 3 221.348 2.742 20 0 BFADHN CSCCN(C)CC1=Cc2ccccc2OC1 ZINC000093509535 360254424 /nfs/dbraw/zinc/25/44/24/360254424.db2.gz BPSLBAKTZWEKJY-UHFFFAOYSA-N 0 3 249.379 2.757 20 0 BFADHN c1c[nH]c(CNCCC2CCCCCC2)n1 ZINC000394562870 360210133 /nfs/dbraw/zinc/21/01/33/360210133.db2.gz GXSDJGKWNFEZET-UHFFFAOYSA-N 0 3 221.348 2.860 20 0 BFADHN COc1ccccc1CCN(C)Cc1ccco1 ZINC000093105053 360218860 /nfs/dbraw/zinc/21/88/60/360218860.db2.gz ZKNODTWMBWCTKC-UHFFFAOYSA-N 0 3 245.322 2.963 20 0 BFADHN CC[C@H](C)[C@H](C)N(Cc1c[nH]cn1)C1CC1 ZINC000093132162 360219938 /nfs/dbraw/zinc/21/99/38/360219938.db2.gz QIDJDSMGIJANLM-QWRGUYRKSA-N 0 3 221.348 2.809 20 0 BFADHN c1ncc(CN2CCC3(CCCC3)C2)s1 ZINC000093336564 360233052 /nfs/dbraw/zinc/23/30/52/360233052.db2.gz VSGMTIVPNBLRSC-UHFFFAOYSA-N 0 3 222.357 2.909 20 0 BFADHN CC(C)(C)n1cc(CN2CCC(C)(C)C2)cn1 ZINC000093339967 360233883 /nfs/dbraw/zinc/23/38/83/360233883.db2.gz AUWUMLUBVJZVMJ-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2c[nH]nc2C)C1 ZINC000093459070 360245961 /nfs/dbraw/zinc/24/59/61/360245961.db2.gz UYOJSMBQKXTESD-ZDUSSCGKSA-N 0 3 221.348 2.730 20 0 BFADHN CCc1nn(C)c(CC)c1CN(C)C1CCC1 ZINC000093475992 360247135 /nfs/dbraw/zinc/24/71/35/360247135.db2.gz NODWUWKDOSGPMR-UHFFFAOYSA-N 0 3 235.375 2.529 20 0 BFADHN CCS[C@H]1CC[C@@H](N(C)Cc2c[nH]cn2)C1 ZINC000093868726 360284485 /nfs/dbraw/zinc/28/44/85/360284485.db2.gz BFMZBRKAOPMYNQ-NEPJUHHUSA-N 0 3 239.388 2.516 20 0 BFADHN CCS[C@H]1CC[C@@H](N(C)Cc2cnc[nH]2)C1 ZINC000093868726 360284489 /nfs/dbraw/zinc/28/44/89/360284489.db2.gz BFMZBRKAOPMYNQ-NEPJUHHUSA-N 0 3 239.388 2.516 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1Cc1c[nH]cn1 ZINC000093845180 360284646 /nfs/dbraw/zinc/28/46/46/360284646.db2.gz SIUONPZMMIAJGN-DGCLKSJQSA-N 0 3 221.348 2.810 20 0 BFADHN C(CN1CCN2CCCC[C@@H]2C1)C1CCCC1 ZINC000093939112 360291060 /nfs/dbraw/zinc/29/10/60/360291060.db2.gz WTUMKFFCATVXMN-OAHLLOKOSA-N 0 3 236.403 2.737 20 0 BFADHN COc1ncc(CNCCC=C(C)C)s1 ZINC000397866257 363720354 /nfs/dbraw/zinc/72/03/54/363720354.db2.gz HHCCNDZFBAQMCR-UHFFFAOYSA-N 0 3 226.345 2.598 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@@]1(C)CCCO1 ZINC000094797008 360317418 /nfs/dbraw/zinc/31/74/18/360317418.db2.gz FQOQTLAXWHZJTE-IUODEOHRSA-N 0 3 249.354 2.915 20 0 BFADHN Cc1cc(C)c(/C=C/CNC2(CO)CC2)c(C)c1 ZINC000631099454 360399919 /nfs/dbraw/zinc/39/99/19/360399919.db2.gz IHZPTKUMVHGCIS-SNAWJCMRSA-N 0 3 245.366 2.740 20 0 BFADHN CC1(C)CCN(Cc2cccnc2)CC1 ZINC000060313491 360441977 /nfs/dbraw/zinc/44/19/77/360441977.db2.gz ACWMHZVKQSBGMQ-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CC1(C)CCN(Cc2ccncc2)CC1 ZINC000060313602 360442059 /nfs/dbraw/zinc/44/20/59/360442059.db2.gz CQLMIOXJKNEGAP-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CN(CCCc1cnn(C)c1)Cc1cccs1 ZINC000054316279 360446277 /nfs/dbraw/zinc/44/62/77/360446277.db2.gz FEFYKFYKVSBPJQ-UHFFFAOYSA-N 0 3 249.383 2.546 20 0 BFADHN C[C@H](NCCC1=CCCCC1)c1ncc[nH]1 ZINC000309410489 491148874 /nfs/dbraw/zinc/14/88/74/491148874.db2.gz IMXVFLLTXSZUTM-NSHDSACASA-N 0 3 219.332 2.951 20 0 BFADHN C[C@H](NCCCOC(C)(C)C)c1nccs1 ZINC000309515930 491149775 /nfs/dbraw/zinc/14/97/75/491149775.db2.gz YQRZRAKBMOWSGS-JTQLQIEISA-N 0 3 242.388 2.999 20 0 BFADHN COc1cc(C)nc(CN[C@H]2CCCC23CC3)c1 ZINC000631194049 360618088 /nfs/dbraw/zinc/61/80/88/360618088.db2.gz VCJBAAHTMZRSFB-AWEZNQCLSA-N 0 3 246.354 2.821 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccc(Cl)cn1)C(C)(C)O ZINC000309553430 491151272 /nfs/dbraw/zinc/15/12/72/491151272.db2.gz IYXPCPXJVPNPPW-DTWKUNHWSA-N 0 3 242.750 2.545 20 0 BFADHN Cc1ccc(CNCCOCC(F)F)c(F)c1 ZINC000631120173 360740964 /nfs/dbraw/zinc/74/09/64/360740964.db2.gz TYIVCFMVVPTXQW-UHFFFAOYSA-N 0 3 247.260 2.505 20 0 BFADHN COc1cccnc1CN[C@@H]1CCC(C)(C)C1 ZINC000449745449 200700161 /nfs/dbraw/zinc/70/01/61/200700161.db2.gz BZXNIXFCHDFAHY-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN COCCN(Cc1ccc(C)s1)C(C)C ZINC000065161839 360995600 /nfs/dbraw/zinc/99/56/00/360995600.db2.gz VLHLQFMARHIODK-UHFFFAOYSA-N 0 3 227.373 2.913 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1nncn1CC ZINC000158449109 200855254 /nfs/dbraw/zinc/85/52/54/200855254.db2.gz VFOGTRNHEOLPML-NEPJUHHUSA-N 0 3 238.379 2.602 20 0 BFADHN CC(C)=CCNCc1ccnn1CC1CCC1 ZINC000631121914 361050256 /nfs/dbraw/zinc/05/02/56/361050256.db2.gz UBWKVZWYLUHLAB-UHFFFAOYSA-N 0 3 233.359 2.739 20 0 BFADHN C[C@H](NCCC(F)(F)F)c1cncs1 ZINC000157154698 361068221 /nfs/dbraw/zinc/06/82/21/361068221.db2.gz SLARLZMUSJRUIZ-LURJTMIESA-N 0 3 224.251 2.746 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1ccsc1 ZINC000157392898 361081029 /nfs/dbraw/zinc/08/10/29/361081029.db2.gz MTRSEUBNSYHFFT-GXSJLCMTSA-N 0 3 213.346 2.560 20 0 BFADHN Cc1occc1CN[C@H]1[C@@H]2CCO[C@@H]2C12CCC2 ZINC000631577513 361106344 /nfs/dbraw/zinc/10/63/44/361106344.db2.gz UYSPIJVGLSTEOY-IHRRRGAJSA-N 0 3 247.338 2.635 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1C1CCC1)c1cc2n(n1)CCC2 ZINC000424326523 200912813 /nfs/dbraw/zinc/91/28/13/200912813.db2.gz MARXXFXDWWOEJM-RIEGTJTDSA-N 0 3 245.370 2.669 20 0 BFADHN CCC[C@@H](N[C@H](C)CCO)c1cc(C)ccn1 ZINC000631581025 361169197 /nfs/dbraw/zinc/16/91/97/361169197.db2.gz KOGOGKGLJLOYKY-CHWSQXEVSA-N 0 3 236.359 2.592 20 0 BFADHN C[C@H](C[C@H]1CCOC1)N[C@@H](C)c1cscn1 ZINC000309744049 491155777 /nfs/dbraw/zinc/15/57/77/491155777.db2.gz IOUBPOUYOSYMBW-OUAUKWLOSA-N 0 3 240.372 2.609 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccoc1)[C@@H](O)C(C)C ZINC000579093420 366707866 /nfs/dbraw/zinc/70/78/66/366707866.db2.gz DIPHUPZSOJGHPJ-DRZSPHRISA-N 0 3 225.332 2.726 20 0 BFADHN Cc1ccc(CN2CC[C@H](OC(F)F)C2)cc1 ZINC000579135369 366721876 /nfs/dbraw/zinc/72/18/76/366721876.db2.gz NMJIHOLSAWKEBA-LBPRGKRZSA-N 0 3 241.281 2.809 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@H](C)[C@H](C)C2)cn1 ZINC000428304574 201007878 /nfs/dbraw/zinc/00/78/78/201007878.db2.gz YIQOIESIZHVBBI-LOWVWBTDSA-N 0 3 233.359 2.824 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCCC[C@@H]2C)o1 ZINC000309774664 491156203 /nfs/dbraw/zinc/15/62/03/491156203.db2.gz LIZAKQSJWYQCAW-JOYOIKCWSA-N 0 3 222.332 2.960 20 0 BFADHN CC(C)c1nc(CN2CCCCC[C@H]2C)n[nH]1 ZINC000428253128 201003317 /nfs/dbraw/zinc/00/33/17/201003317.db2.gz PEHZHPJLQDSGRK-LLVKDONJSA-N 0 3 236.363 2.693 20 0 BFADHN Cc1cc(CN(C(C)C)C2CCC2)ncn1 ZINC000428387379 201012541 /nfs/dbraw/zinc/01/25/41/201012541.db2.gz UBUBYMZCZFEKSL-UHFFFAOYSA-N 0 3 219.332 2.548 20 0 BFADHN CC1(C)C[C@@H]1CNCc1nc2c(s1)CCC2 ZINC000309779550 491156355 /nfs/dbraw/zinc/15/63/55/491156355.db2.gz MOYHGHFOVVDPOX-SECBINFHSA-N 0 3 236.384 2.768 20 0 BFADHN C(CC1CCCCC1)NCc1nnc(C2CC2)[nH]1 ZINC000579197476 366731262 /nfs/dbraw/zinc/73/12/62/366731262.db2.gz FKVAVELZBDIPNW-UHFFFAOYSA-N 0 3 248.374 2.742 20 0 BFADHN C(CC1CCCCC1)[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000579197476 366731268 /nfs/dbraw/zinc/73/12/68/366731268.db2.gz FKVAVELZBDIPNW-UHFFFAOYSA-N 0 3 248.374 2.742 20 0 BFADHN CCN(CCC1CC1)Cc1n[nH]c(C(C)C)n1 ZINC000428416728 201013828 /nfs/dbraw/zinc/01/38/28/201013828.db2.gz BAAZHAWKMLUSID-UHFFFAOYSA-N 0 3 236.363 2.550 20 0 BFADHN CC[C@]1(O)CCN(Cc2ccc([C@@H]3C[C@@H]3C)o2)C1 ZINC000428442231 201016974 /nfs/dbraw/zinc/01/69/74/201016974.db2.gz ZSKRAEPIPDCBSG-LNSITVRQSA-N 0 3 249.354 2.750 20 0 BFADHN OCC[C@H]1CCCN1C/C=C/c1ccc(F)cc1 ZINC000428458081 201019987 /nfs/dbraw/zinc/01/99/87/201019987.db2.gz BWWCWVATDWJRFY-BQTXGFLSSA-N 0 3 249.329 2.686 20 0 BFADHN CC[C@H]1CCCC[C@H]1N(C)Cc1n[nH]c(C)n1 ZINC000428485407 201024549 /nfs/dbraw/zinc/02/45/49/201024549.db2.gz RUAMOLZYZYOTOA-NWDGAFQWSA-N 0 3 236.363 2.514 20 0 BFADHN CCOc1cccc(CN[C@@H]2CC23CCCC3)n1 ZINC000428534625 201025699 /nfs/dbraw/zinc/02/56/99/201025699.db2.gz IXEINLCRCUVXTA-CYBMUJFWSA-N 0 3 246.354 2.903 20 0 BFADHN CCOc1cccc(CN[C@H]2CC23CCCC3)n1 ZINC000428534628 201026562 /nfs/dbraw/zinc/02/65/62/201026562.db2.gz IXEINLCRCUVXTA-ZDUSSCGKSA-N 0 3 246.354 2.903 20 0 BFADHN CCC[C@@H](NC[C@H](C)OC)c1cccnc1 ZINC000188110488 219892493 /nfs/dbraw/zinc/89/24/93/219892493.db2.gz ZQLFREPDASLLDN-WCQYABFASA-N 0 3 222.332 2.547 20 0 BFADHN CC[C@@H](CSC)NCc1ccc(C)cn1 ZINC000162162736 361338688 /nfs/dbraw/zinc/33/86/88/361338688.db2.gz PZNCGBRHIJBJSS-NSHDSACASA-N 0 3 224.373 2.621 20 0 BFADHN CC(C)c1nc(CN(C)[C@@H]2CC[C@@H](C)C2)n[nH]1 ZINC000428985033 201054945 /nfs/dbraw/zinc/05/49/45/201054945.db2.gz UENSOXTVSRBAKY-GHMZBOCLSA-N 0 3 236.363 2.549 20 0 BFADHN CC(C)CCCN1C[C@H](C)OC(C)(C)C1 ZINC000429061775 201063082 /nfs/dbraw/zinc/06/30/82/201063082.db2.gz SPMUALFAMGTPIJ-LBPRGKRZSA-N 0 3 213.365 2.922 20 0 BFADHN CCC1(NCc2ccc(F)cc2F)CC1 ZINC000379728368 361367061 /nfs/dbraw/zinc/36/70/61/361367061.db2.gz BNAQXABMONOPEB-UHFFFAOYSA-N 0 3 211.255 2.997 20 0 BFADHN CO[C@H](CN[C@@H]1CCCc2ncccc21)C(C)C ZINC000631585625 361387660 /nfs/dbraw/zinc/38/76/60/361387660.db2.gz NXEFEAOTVKNCNZ-HUUCEWRRSA-N 0 3 248.370 2.720 20 0 BFADHN CC(C)(O)CCNC(C)(C)c1ccccc1F ZINC000429255820 201084660 /nfs/dbraw/zinc/08/46/60/201084660.db2.gz UNWZLQQXPAUHAA-UHFFFAOYSA-N 0 3 239.334 2.811 20 0 BFADHN Cc1ccccc1[C@@H](C)N[C@@H](CO)CC(F)F ZINC000429455192 201108718 /nfs/dbraw/zinc/10/87/18/201108718.db2.gz PKECHLNPCADLPN-GHMZBOCLSA-N 0 3 243.297 2.662 20 0 BFADHN CC[C@H](N[C@H](CO)CC(F)F)c1ccccc1 ZINC000429470040 201109499 /nfs/dbraw/zinc/10/94/99/201109499.db2.gz RWLQONIEEJPANA-RYUDHWBXSA-N 0 3 243.297 2.743 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](CO)CC(F)F)cc1 ZINC000429463474 201110922 /nfs/dbraw/zinc/11/09/22/201110922.db2.gz TYKPIIIQERXTAU-JQWIXIFHSA-N 0 3 243.297 2.662 20 0 BFADHN Cc1ccc(C)c(CN(C)CCn2ccnc2)c1 ZINC000429309708 201099151 /nfs/dbraw/zinc/09/91/51/201099151.db2.gz NNJDDBPSAXKPJM-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN Cc1cccc2c1CN(C[C@@H]1CCCCO1)CC2 ZINC000429572385 201119490 /nfs/dbraw/zinc/11/94/90/201119490.db2.gz ZXZBUTLIFYWCOG-HNNXBMFYSA-N 0 3 245.366 2.922 20 0 BFADHN COc1ccnc(CN(C)[C@@H]2CC[C@H](C)C2)c1 ZINC000429582740 201120567 /nfs/dbraw/zinc/12/05/67/201120567.db2.gz FNLZPBITYYNVTM-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN CCCCCN(CCC)[C@@H](C)C(=O)OCC ZINC000429721647 201128286 /nfs/dbraw/zinc/12/82/86/201128286.db2.gz RPRMDKTWSVODLF-LBPRGKRZSA-N 0 3 229.364 2.840 20 0 BFADHN Cc1cc(C[C@@H](C)N[C@@H](C)c2ccoc2)[nH]n1 ZINC000181425271 366809499 /nfs/dbraw/zinc/80/94/99/366809499.db2.gz MCWUMCFHJAXGKI-KOLCDFICSA-N 0 3 233.315 2.593 20 0 BFADHN Cc1cc(C[C@@H](C)N[C@@H](C)c2ccoc2)n[nH]1 ZINC000181425271 366809500 /nfs/dbraw/zinc/80/95/00/366809500.db2.gz MCWUMCFHJAXGKI-KOLCDFICSA-N 0 3 233.315 2.593 20 0 BFADHN c1ccc(C[C@@H]2CN(C3CCCC3)CCO2)cc1 ZINC000181532770 366820962 /nfs/dbraw/zinc/82/09/62/366820962.db2.gz ZCDUPFUNNATYNC-MRXNPFEDSA-N 0 3 245.366 2.873 20 0 BFADHN C[C@@H]1C[C@H]1CN1Cc2ccccc2O[C@H](C)C1 ZINC000430151315 201148605 /nfs/dbraw/zinc/14/86/05/201148605.db2.gz RMVVNMREDLBZJP-BZPMIXESSA-N 0 3 231.339 2.926 20 0 BFADHN CCC[C@@H]1C[C@H]1NCc1cnn(CC(C)C)c1 ZINC000430051843 201139892 /nfs/dbraw/zinc/13/98/92/201139892.db2.gz MZDIOINWOAUAKC-ZIAGYGMSSA-N 0 3 235.375 2.817 20 0 BFADHN NC1(c2nc(-c3cccnc3)cs2)CCCC1 ZINC000041164174 181335720 /nfs/dbraw/zinc/33/57/20/181335720.db2.gz GAWWFBBUJLNZEY-UHFFFAOYSA-N 0 3 245.351 2.933 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CC[C@H](C)[C@H](C)C1 ZINC000161846056 201161433 /nfs/dbraw/zinc/16/14/33/201161433.db2.gz KROMGNBHSNIOPT-YNEHKIRRSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@H](F)CN(CCOC)[C@H]1CCCSC1 ZINC000433183958 201164841 /nfs/dbraw/zinc/16/48/41/201164841.db2.gz UMNZJLXBZBBZHO-RYUDHWBXSA-N 0 3 249.395 2.579 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc(OC)c(OC)c1C ZINC000430184432 201152245 /nfs/dbraw/zinc/15/22/45/201152245.db2.gz AKBSXDUUDHQGDB-CYBMUJFWSA-N 0 3 249.354 2.997 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCCc2cccc(CN)c21 ZINC000629561436 361455846 /nfs/dbraw/zinc/45/58/46/361455846.db2.gz VOOCGHXEDZGVMB-RISCZKNCSA-N 0 3 230.355 2.554 20 0 BFADHN CC1(CNCc2ccc(-c3cc[nH]n3)o2)CCC1 ZINC000433332888 201181381 /nfs/dbraw/zinc/18/13/81/201181381.db2.gz ZPKHFFBGNISUAQ-UHFFFAOYSA-N 0 3 245.326 2.950 20 0 BFADHN C[C@@H](NCc1ccc(-c2cc[nH]n2)o1)C1CC1 ZINC000433329230 201182763 /nfs/dbraw/zinc/18/27/63/201182763.db2.gz GAMQYZUSEDOUTO-SECBINFHSA-N 0 3 231.299 2.558 20 0 BFADHN COCCN1CC[C@H](c2cccc(Cl)c2)C1 ZINC000433291945 201176141 /nfs/dbraw/zinc/17/61/41/201176141.db2.gz XPFRLEVSEOVKHQ-LBPRGKRZSA-N 0 3 239.746 2.776 20 0 BFADHN COCCN[C@H](C)c1cc2c(s1)CCC2 ZINC000152123457 201190542 /nfs/dbraw/zinc/19/05/42/201190542.db2.gz MDVKSEMSNCVLPX-SECBINFHSA-N 0 3 225.357 2.534 20 0 BFADHN CCCn1cc(CN[C@@]23C[C@@H]2CCCC3)cn1 ZINC000631225850 361592056 /nfs/dbraw/zinc/59/20/56/361592056.db2.gz KWTXVVIIQAONNE-KBPBESRZSA-N 0 3 233.359 2.715 20 0 BFADHN CC[C@@H](NCc1ncnn1C(C)C)C(C)(C)C ZINC000434488057 201223939 /nfs/dbraw/zinc/22/39/39/201223939.db2.gz ZKQDUZZDQQITGN-LLVKDONJSA-N 0 3 238.379 2.773 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@]23C[C@@H]2CCCC3)n1 ZINC000631225745 361585330 /nfs/dbraw/zinc/58/53/30/361585330.db2.gz KMCCHUKNSJZOER-FZMZJTMJSA-N 0 3 232.327 2.518 20 0 BFADHN Cc1cnccc1-c1ccc2c(c1)CCNC2 ZINC000629697361 361628503 /nfs/dbraw/zinc/62/85/03/361628503.db2.gz GBQYMHAFJKEZQA-UHFFFAOYSA-N 0 3 224.307 2.703 20 0 BFADHN CCCN(CC)Cc1cnc2cc(C)ccn12 ZINC000434983941 201248413 /nfs/dbraw/zinc/24/84/13/201248413.db2.gz XNXLHZTVLUJVGY-UHFFFAOYSA-N 0 3 231.343 2.875 20 0 BFADHN c1coc(-c2cccc([C@H]3CNCCO3)c2)c1 ZINC000629692746 361620133 /nfs/dbraw/zinc/62/01/33/361620133.db2.gz SSMQFNPAYHENPR-CQSZACIVSA-N 0 3 229.279 2.608 20 0 BFADHN Cc1noc(C)c1-c1cc(C)c2c(c1)CCNC2 ZINC000629711924 361648708 /nfs/dbraw/zinc/64/87/08/361648708.db2.gz IPBOMUWGRHHBLX-UHFFFAOYSA-N 0 3 242.322 2.913 20 0 BFADHN CC(C)c1ccc(CNCc2cn[nH]c2)cc1 ZINC000038005677 361635515 /nfs/dbraw/zinc/63/55/15/361635515.db2.gz YACWFJIFDOQFQI-UHFFFAOYSA-N 0 3 229.327 2.823 20 0 BFADHN CC[C@H](NCc1cn[nH]c1)c1ccc(OC)cc1 ZINC000038005721 361636842 /nfs/dbraw/zinc/63/68/42/361636842.db2.gz NXBIOLFBRPBGMD-AWEZNQCLSA-N 0 3 245.326 2.659 20 0 BFADHN CC(C)Cn1nccc1CN[C@@]12C[C@@H]1CCCC2 ZINC000631227601 361665950 /nfs/dbraw/zinc/66/59/50/361665950.db2.gz ROUHJBFPFOEXTN-ZFWWWQNUSA-N 0 3 247.386 2.961 20 0 BFADHN Cc1oncc1-c1ccc2c(c1)[C@H](N)CSC2 ZINC000629713126 361651729 /nfs/dbraw/zinc/65/17/29/361651729.db2.gz GQLOTYGLVIOXBG-CYBMUJFWSA-N 0 3 246.335 2.897 20 0 BFADHN C[C@@H]1NCCO[C@H]1c1ccc(-c2ccoc2)cc1 ZINC000629714649 361656010 /nfs/dbraw/zinc/65/60/10/361656010.db2.gz KSGQXEJVUGELRT-XHDPSFHLSA-N 0 3 243.306 2.996 20 0 BFADHN CN[C@H](CO)c1cccc(-c2ccc(C)cc2)c1 ZINC000629732536 361670670 /nfs/dbraw/zinc/67/06/70/361670670.db2.gz PRFVTQBXTIKBHQ-MRXNPFEDSA-N 0 3 241.334 2.915 20 0 BFADHN Cc1cocc1-c1ccc2c(c1)CCNC2 ZINC000629738306 361674362 /nfs/dbraw/zinc/67/43/62/361674362.db2.gz MDKYIKZELJDNCU-UHFFFAOYSA-N 0 3 213.280 2.901 20 0 BFADHN CCn1cc([C@@H](C)N[C@@H]2CCC(F)(F)C2)cn1 ZINC000310234323 491160895 /nfs/dbraw/zinc/16/08/95/491160895.db2.gz HNKJGDMVNJZUQL-MWLCHTKSSA-N 0 3 243.301 2.741 20 0 BFADHN CN[C@H](CO)c1cccc(-c2ccc(C)s2)c1 ZINC000629757857 361686302 /nfs/dbraw/zinc/68/63/02/361686302.db2.gz JOSJAILOJOJIDS-CYBMUJFWSA-N 0 3 247.363 2.976 20 0 BFADHN Cc1cc(CNC2CC(C(C)(C)C)C2)on1 ZINC000436470046 201327532 /nfs/dbraw/zinc/32/75/32/201327532.db2.gz HDNGUCHRGNLVFR-UHFFFAOYSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@@H](NC[C@@H](OC)C(C)C)c1ccncc1 ZINC000436472606 201329679 /nfs/dbraw/zinc/32/96/79/201329679.db2.gz ZYPMKYJRVYWUQE-ZIAGYGMSSA-N 0 3 236.359 2.793 20 0 BFADHN CC1=CCCN(CCOc2ccc(F)cc2)C1 ZINC000436260290 201317178 /nfs/dbraw/zinc/31/71/78/201317178.db2.gz GURBXQXDKLSPAG-UHFFFAOYSA-N 0 3 235.302 2.857 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C)[C@@H]2C)cn1 ZINC000437707639 201370593 /nfs/dbraw/zinc/37/05/93/201370593.db2.gz FXTQHAIVBNDGMI-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](c1ccco1)N(C)CC[C@H]1CCOC1 ZINC000437582140 201356418 /nfs/dbraw/zinc/35/64/18/201356418.db2.gz YAAGFIFLLJYEEY-NEPJUHHUSA-N 0 3 223.316 2.699 20 0 BFADHN CC(C)CN[C@@H](C)c1cn2ccccc2n1 ZINC000309623495 370697091 /nfs/dbraw/zinc/69/70/91/370697091.db2.gz PPCNWLSTCAEOFB-NSHDSACASA-N 0 3 217.316 2.641 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C[C@@H](C)C2)cn1 ZINC000438097972 201387039 /nfs/dbraw/zinc/38/70/39/201387039.db2.gz RSGKUNIKZABLGO-QWHCGFSZSA-N 0 3 248.370 2.958 20 0 BFADHN CCc1ccc([C@@H](C)NCCC2CC(O)C2)o1 ZINC000432410276 192942432 /nfs/dbraw/zinc/94/24/32/192942432.db2.gz NEAKOIOUVBPADS-VOMCLLRMSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1csc([C@H](C)N[C@H]2CCS[C@@H]2C)n1 ZINC000220674239 541310342 /nfs/dbraw/zinc/31/03/42/541310342.db2.gz GNMMNOMSCZITDL-AEJSXWLSSA-N 0 3 242.413 2.996 20 0 BFADHN CCOc1cccc(CN(C)CC2CCC2)n1 ZINC000127760646 363986925 /nfs/dbraw/zinc/98/69/25/363986925.db2.gz HWCDGLGPYVKYNE-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN c1sc([C@H]2CCCCN2)nc1CC1CC1 ZINC000630381845 364095764 /nfs/dbraw/zinc/09/57/64/364095764.db2.gz YRZRZQGAHGYYOF-LLVKDONJSA-N 0 3 222.357 2.910 20 0 BFADHN COc1ccc(CN[C@@H]2C=CCCC2)cc1OC ZINC000151163741 192950651 /nfs/dbraw/zinc/95/06/51/192950651.db2.gz HQHXZNFGADNFIU-CYBMUJFWSA-N 0 3 247.338 2.902 20 0 BFADHN COC[C@H](C)NCc1ccc2[nH]c(C)c(C)c2c1 ZINC000011759805 370751132 /nfs/dbraw/zinc/75/11/32/370751132.db2.gz BUSTYEURILBMRU-JTQLQIEISA-N 0 3 246.354 2.909 20 0 BFADHN COC[C@H](N[C@@H]1CCCc2cccnc21)C(C)C ZINC000091661817 541344304 /nfs/dbraw/zinc/34/43/04/541344304.db2.gz ZUBXGKODKUZSIW-KGLIPLIRSA-N 0 3 248.370 2.720 20 0 BFADHN C[C@H](NCCCC(C)(C)C)c1nccn1C ZINC000132271535 364236072 /nfs/dbraw/zinc/23/60/72/364236072.db2.gz ZWRQYXBSEFCNLJ-NSHDSACASA-N 0 3 223.364 2.897 20 0 BFADHN CC(C)[C@H](N)c1cn([C@H]2CCC(C)(C)C2)nn1 ZINC000630602685 364319065 /nfs/dbraw/zinc/31/90/65/364319065.db2.gz DGFHFITWRQPPHU-JQWIXIFHSA-N 0 3 236.363 2.685 20 0 BFADHN CC[C@@](C)(N)c1cn([C@@H]2CCC(C)(C)C2)nn1 ZINC000630604151 364327081 /nfs/dbraw/zinc/32/70/81/364327081.db2.gz PRXVKMIJKUPJIZ-ZWNOBZJWSA-N 0 3 236.363 2.613 20 0 BFADHN CC[C@H](C)CN[C@H](C)c1ccc(F)cn1 ZINC000134016970 364383798 /nfs/dbraw/zinc/38/37/98/364383798.db2.gz TWCKBFSTZSPKND-VHSXEESVSA-N 0 3 210.296 2.917 20 0 BFADHN CC[C@@H](C)CN[C@@H](C)c1ccc(F)cn1 ZINC000134016330 364383838 /nfs/dbraw/zinc/38/38/38/364383838.db2.gz TWCKBFSTZSPKND-ZJUUUORDSA-N 0 3 210.296 2.917 20 0 BFADHN Cc1oncc1CN(C)CCc1ccc(F)cc1 ZINC000181655785 366836737 /nfs/dbraw/zinc/83/67/37/366836737.db2.gz OQBFKHVGACFDSA-UHFFFAOYSA-N 0 3 248.301 2.797 20 0 BFADHN Cc1oncc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000181718765 366840996 /nfs/dbraw/zinc/84/09/96/366840996.db2.gz SGJYKCORMSZVDO-YPMHNXCESA-N 0 3 220.316 2.748 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1nnc(C)s1 ZINC000134723031 364413160 /nfs/dbraw/zinc/41/31/60/364413160.db2.gz RLQKJTIJMYZLOU-UWVGGRQHSA-N 0 3 225.361 2.515 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nnc(C)s1)C1CC1 ZINC000135009365 364422000 /nfs/dbraw/zinc/42/20/00/364422000.db2.gz GVJHGRFBFAZVQO-OIBJUYFYSA-N 0 3 225.361 2.686 20 0 BFADHN CC(C)[C@@H](C)CNCc1cn2ccccc2n1 ZINC000077897207 364430032 /nfs/dbraw/zinc/43/00/32/364430032.db2.gz WYRMVGDRVHUKFR-LBPRGKRZSA-N 0 3 231.343 2.716 20 0 BFADHN CCCC(C)(C)NCc1nccn1CC ZINC000136362130 364469646 /nfs/dbraw/zinc/46/96/46/364469646.db2.gz IICZUNNJXIXCIL-UHFFFAOYSA-N 0 3 209.337 2.571 20 0 BFADHN CCOc1ccc([C@H](C)N[C@H]2C[C@H]2OCC)cc1 ZINC000579552626 366866051 /nfs/dbraw/zinc/86/60/51/366866051.db2.gz PGSJXTDFFSVTSI-TUKIKUTGSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@H](CC1CCC1)N[C@H](C)c1ccncn1 ZINC000631738744 364537603 /nfs/dbraw/zinc/53/76/03/364537603.db2.gz SWTKGJPAWXHWIO-GHMZBOCLSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@@H](CC1CCC1)N[C@@H](C)c1ccncn1 ZINC000631738746 364537769 /nfs/dbraw/zinc/53/77/69/364537769.db2.gz SWTKGJPAWXHWIO-QWRGUYRKSA-N 0 3 219.332 2.706 20 0 BFADHN CC[C@@H](O)CN[C@@H](C)c1ccc(F)c(C)c1 ZINC000271788312 364748304 /nfs/dbraw/zinc/74/83/04/364748304.db2.gz MKQMLUJSQOVLCI-CMPLNLGQSA-N 0 3 225.307 2.556 20 0 BFADHN COc1cc(CNC2(C3(C)CC3)CC2)sn1 ZINC000399024339 364727320 /nfs/dbraw/zinc/72/73/20/364727320.db2.gz GTPSOOOEVIUAPA-UHFFFAOYSA-N 0 3 238.356 2.574 20 0 BFADHN CC1(C)CC[C@@H](NCc2ncccc2F)C1 ZINC000309736834 364728960 /nfs/dbraw/zinc/72/89/60/364728960.db2.gz CNLUPYIAIISIKF-SNVBAGLBSA-N 0 3 222.307 2.889 20 0 BFADHN CN(Cc1cn(C)nc1C(F)F)C(C)(C)C ZINC000573321736 364798094 /nfs/dbraw/zinc/79/80/94/364798094.db2.gz ZNIMPVFHPNSHHW-UHFFFAOYSA-N 0 3 231.290 2.588 20 0 BFADHN CCC[C@H](C)[C@@H](CC)NCc1cc[nH]n1 ZINC000167435721 364793099 /nfs/dbraw/zinc/79/30/99/364793099.db2.gz YRKJWOMUIZMHKB-CMPLNLGQSA-N 0 3 209.337 2.714 20 0 BFADHN CCO[C@H]1C[C@H](NC2(CF)CCC2)C12CCC2 ZINC000573565375 364863565 /nfs/dbraw/zinc/86/35/65/364863565.db2.gz HTLMDDZKSVFOOG-RYUDHWBXSA-N 0 3 241.350 2.816 20 0 BFADHN C[C@H](c1ccncc1)N(C)C[C@@H]1CCCC[C@H]1O ZINC000328170216 364814538 /nfs/dbraw/zinc/81/45/38/364814538.db2.gz JUUQMIQOLHDVBZ-VHDGCEQUSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@H](NC[C@@H](O)CC(C)(C)C)c1ccoc1 ZINC000167858392 364817275 /nfs/dbraw/zinc/81/72/75/364817275.db2.gz AZKABVHJRUZPPS-PWSUYJOCSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1cc(CNCCOCC2CCC2)cs1 ZINC000573414003 364826207 /nfs/dbraw/zinc/82/62/07/364826207.db2.gz NRCWYMYUPCZQEJ-UHFFFAOYSA-N 0 3 239.384 2.963 20 0 BFADHN CCn1ccc(CN[C@H](C)C(C)(C)CC)n1 ZINC000573426006 364829804 /nfs/dbraw/zinc/82/98/04/364829804.db2.gz KGFLSASCFAMRIX-LLVKDONJSA-N 0 3 223.364 2.817 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccoc2C)C1(C)C ZINC000321527069 541388234 /nfs/dbraw/zinc/38/82/34/541388234.db2.gz OYGHEYKIIFEQBP-OLZOCXBDSA-N 0 3 237.343 2.881 20 0 BFADHN CCc1cc(CN[C@H](CC)C(C)C)on1 ZINC000168171817 364872811 /nfs/dbraw/zinc/87/28/11/364872811.db2.gz DHPMRJYJILQFSU-GFCCVEGCSA-N 0 3 210.321 2.761 20 0 BFADHN CCc1cc(CN[C@@H](C)C(C)(C)C)on1 ZINC000168173782 364876222 /nfs/dbraw/zinc/87/62/22/364876222.db2.gz SBPNTPAZVPVPDK-VIFPVBQESA-N 0 3 210.321 2.761 20 0 BFADHN CCc1cc(CN[C@H](C(C)C)C2CC2)on1 ZINC000168175638 364876894 /nfs/dbraw/zinc/87/68/94/364876894.db2.gz CYFQDXJSKRULRC-CYBMUJFWSA-N 0 3 222.332 2.761 20 0 BFADHN COC[C@H](C)NCc1ccc2nc(C)ccc2c1 ZINC000097861227 541393883 /nfs/dbraw/zinc/39/38/83/541393883.db2.gz USSLPPRNMZGAAR-LBPRGKRZSA-N 0 3 244.338 2.668 20 0 BFADHN Cc1cccc2nc(CNCC3(C)CCC3)cn21 ZINC000434758467 541390432 /nfs/dbraw/zinc/39/04/32/541390432.db2.gz SWDWRSAEUHBMOI-UHFFFAOYSA-N 0 3 243.354 2.923 20 0 BFADHN CC(C)n1ccc(CN[C@@H]2CCC23CCC3)n1 ZINC000573935179 364951534 /nfs/dbraw/zinc/95/15/34/364951534.db2.gz RLQCFGIOEKSJIG-CYBMUJFWSA-N 0 3 233.359 2.886 20 0 BFADHN CN(CCNc1nccc2ccccc21)C1CC1 ZINC000168682586 364988808 /nfs/dbraw/zinc/98/88/08/364988808.db2.gz FCQGVRSNICRIOV-UHFFFAOYSA-N 0 3 241.338 2.741 20 0 BFADHN C[C@@H]1CCCC[C@H]1CCNCc1cnccn1 ZINC000574044721 364975940 /nfs/dbraw/zinc/97/59/40/364975940.db2.gz LWMQAPFTJFMGMQ-OLZOCXBDSA-N 0 3 233.359 2.783 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCC[C@H]3C[C@H]32)c2nccn21 ZINC000572332666 541446833 /nfs/dbraw/zinc/44/68/33/541446833.db2.gz GLHFEOHTSKQWHI-NZEXEKPDSA-N 0 3 231.343 2.667 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](CC)c1ccsc1 ZINC000574152426 364996809 /nfs/dbraw/zinc/99/68/09/364996809.db2.gz XNSUXMSKFDMFQE-GRYCIOLGSA-N 0 3 225.357 2.966 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC[C@H]3C[C@H]32)o1 ZINC000574364647 365045383 /nfs/dbraw/zinc/04/53/83/365045383.db2.gz YXTOOLYEMARCSN-MVWJERBFSA-N 0 3 220.316 2.515 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2CO[C@H](C)C2)c(C)c1 ZINC000574399981 365053411 /nfs/dbraw/zinc/05/34/11/365053411.db2.gz JFFAGUFUFRUKNZ-FRRDWIJNSA-N 0 3 249.354 2.832 20 0 BFADHN c1nc([C@@H](N[C@@H]2CC23CC3)C2CCCCC2)n[nH]1 ZINC000574444367 365062022 /nfs/dbraw/zinc/06/20/22/365062022.db2.gz PWXLDKHFONUUKP-NEPJUHHUSA-N 0 3 246.358 2.568 20 0 BFADHN c1nnc([C@@H](N[C@@H]2CC23CC3)C2CCCCC2)[nH]1 ZINC000574444367 365062027 /nfs/dbraw/zinc/06/20/27/365062027.db2.gz PWXLDKHFONUUKP-NEPJUHHUSA-N 0 3 246.358 2.568 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)C)c1cc2n(n1)CCC2 ZINC000574455789 365066442 /nfs/dbraw/zinc/06/64/42/365066442.db2.gz GJYDGHJWMHTPKP-GHMZBOCLSA-N 0 3 235.375 2.915 20 0 BFADHN CCc1nocc1CN[C@H]1CCC[C@H](F)C1 ZINC000574321962 365036299 /nfs/dbraw/zinc/03/62/99/365036299.db2.gz MNNWRZDSPANDJV-QWRGUYRKSA-N 0 3 226.295 2.607 20 0 BFADHN Cc1cc(CNC2CCC(C(F)F)CC2)no1 ZINC000442795872 192990486 /nfs/dbraw/zinc/99/04/86/192990486.db2.gz SHGMHKAJVIAZPU-UHFFFAOYSA-N 0 3 244.285 2.897 20 0 BFADHN Cc1cnn(C)c1CN(C)[C@@H](C)C(C)(C)C ZINC000574675178 365118522 /nfs/dbraw/zinc/11/85/22/365118522.db2.gz GWZYTJWJIJCSDY-NSHDSACASA-N 0 3 223.364 2.595 20 0 BFADHN CO[C@@H](C)CN[C@H](c1ccc(F)cn1)C(C)C ZINC000443201170 193014333 /nfs/dbraw/zinc/01/43/33/193014333.db2.gz HTVRESZYERFYJY-GWCFXTLKSA-N 0 3 240.322 2.542 20 0 BFADHN CN(C[C@H]1COc2ccccc2O1)CC(C)(C)C ZINC000171148143 365172884 /nfs/dbraw/zinc/17/28/84/365172884.db2.gz UDHPQZKQXSVGAZ-LBPRGKRZSA-N 0 3 249.354 2.804 20 0 BFADHN Cc1cc(Cl)cc(C)c1CN(C)CCCO ZINC000442969001 193007482 /nfs/dbraw/zinc/00/74/82/193007482.db2.gz XUXGFQLYMJTLNL-UHFFFAOYSA-N 0 3 241.762 2.771 20 0 BFADHN COc1cc([C@H](C)NC2CC(F)(F)C2)ccn1 ZINC000574771278 365143190 /nfs/dbraw/zinc/14/31/90/365143190.db2.gz QXGPNNVLKDCRGN-QMMMGPOBSA-N 0 3 242.269 2.539 20 0 BFADHN CCC[C@@H](C)CN1CCO[C@@](C)(CC)C1 ZINC000443865356 193030294 /nfs/dbraw/zinc/03/02/94/193030294.db2.gz WPXDYEPFAKAIIE-OLZOCXBDSA-N 0 3 213.365 2.924 20 0 BFADHN Cc1ccnc(NCCN2CCCC(C)(C)C2)c1 ZINC000443945353 193032095 /nfs/dbraw/zinc/03/20/95/193032095.db2.gz JBJVCDIQBGUYNF-UHFFFAOYSA-N 0 3 247.386 2.924 20 0 BFADHN CN(Cc1ccc2c(c1)OCO2)[C@H]1C=CCCC1 ZINC000171278613 365187886 /nfs/dbraw/zinc/18/78/86/365187886.db2.gz ITCSQITZOZDCLT-ZDUSSCGKSA-N 0 3 245.322 2.956 20 0 BFADHN C[C@]12CN(Cc3ccno3)C[C@H]1[C@@H]1CC[C@H]2C1 ZINC000575085729 365194547 /nfs/dbraw/zinc/19/45/47/365194547.db2.gz FSRVNRKJIPZNJK-UVLXDEKHSA-N 0 3 232.327 2.543 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN1CCOC[C@@H]1C ZINC000443421587 193021297 /nfs/dbraw/zinc/02/12/97/193021297.db2.gz YOFZRLBRRCIVHL-MELADBBJSA-N 0 3 223.360 2.700 20 0 BFADHN CN(CC1CCC1)C[C@H]1COc2ccccc2O1 ZINC000171884736 365251585 /nfs/dbraw/zinc/25/15/85/365251585.db2.gz RVWOESYWEJCCEW-ZDUSSCGKSA-N 0 3 247.338 2.558 20 0 BFADHN c1cc(CN2CCSCC2)cc2c1CCC2 ZINC000172287603 365304962 /nfs/dbraw/zinc/30/49/62/365304962.db2.gz IORZEAUOQJBZDT-UHFFFAOYSA-N 0 3 233.380 2.724 20 0 BFADHN c1cc(CNC[C@@H]2CCCS2)nc2c1CCC2 ZINC000579564133 366874205 /nfs/dbraw/zinc/87/42/05/366874205.db2.gz RFBDMOLCXGUSDH-ZDUSSCGKSA-N 0 3 248.395 2.556 20 0 BFADHN CC[C@@H](COC)NC1(c2ccccc2F)CC1 ZINC000182240435 366877639 /nfs/dbraw/zinc/87/76/39/366877639.db2.gz HXKCHRFZNTWKEI-NSHDSACASA-N 0 3 237.318 2.829 20 0 BFADHN Cc1ccc(CCN2CCSC[C@H]2C)cc1 ZINC000172594057 365345519 /nfs/dbraw/zinc/34/55/19/365345519.db2.gz QIOHKZYMQJPVPV-CYBMUJFWSA-N 0 3 235.396 2.975 20 0 BFADHN C[C@H](O)[C@H](NC1CC(C)C1)c1ccccc1F ZINC000576045930 365462587 /nfs/dbraw/zinc/46/25/87/365462587.db2.gz WHWLAJMIWQSENB-JHSKNCOYSA-N 0 3 237.318 2.636 20 0 BFADHN C[C@@H]([NH2+]Cc1nnc(C2CC2)[n-]1)C1CCCCC1 ZINC000182694888 366931901 /nfs/dbraw/zinc/93/19/01/366931901.db2.gz ZFGGJZDQPUHPOF-SNVBAGLBSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@@H](NCc1nnc(C2CC2)[nH]1)C1CCCCC1 ZINC000182694888 366931908 /nfs/dbraw/zinc/93/19/08/366931908.db2.gz ZFGGJZDQPUHPOF-SNVBAGLBSA-N 0 3 248.374 2.741 20 0 BFADHN CCO[C@H]1CCCN(Cc2ccc(C)o2)C1 ZINC000155478127 541480210 /nfs/dbraw/zinc/48/02/10/541480210.db2.gz BRAXPSWTJGKCHE-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN C[C@H](NCc1cn2ccccc2n1)C1CCC1 ZINC000159412127 541494247 /nfs/dbraw/zinc/49/42/47/541494247.db2.gz ZAVHDPCAQBVTKU-NSHDSACASA-N 0 3 229.327 2.613 20 0 BFADHN COc1c(C)cccc1CN[C@@H](C)[C@@H](C)OC ZINC000655810583 541482336 /nfs/dbraw/zinc/48/23/36/541482336.db2.gz MQUULMZGEURAOC-NWDGAFQWSA-N 0 3 237.343 2.517 20 0 BFADHN COc1c(C)cccc1CN[C@H](C)[C@H](C)OC ZINC000655810582 541482646 /nfs/dbraw/zinc/48/26/46/541482646.db2.gz MQUULMZGEURAOC-NEPJUHHUSA-N 0 3 237.343 2.517 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1NCc1cccc(N(C)C)n1 ZINC000353632144 541484148 /nfs/dbraw/zinc/48/41/48/541484148.db2.gz NLYQXWDHTFYHFK-KBPBESRZSA-N 0 3 247.386 2.672 20 0 BFADHN CC(C)Cn1cc(CN2CCCCCC2)cn1 ZINC000182945674 366960785 /nfs/dbraw/zinc/96/07/85/366960785.db2.gz OFXYTLFLLCGHEW-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CC(C)Cn1cc(CN(C)C2CCCC2)cn1 ZINC000183004697 366964762 /nfs/dbraw/zinc/96/47/62/366964762.db2.gz ZIDDVUMAGQDUPG-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN COc1cccc(CN(C)C[C@H]2CC=CCC2)n1 ZINC000183028507 366966218 /nfs/dbraw/zinc/96/62/18/366966218.db2.gz UDMKIILYKDORHR-ZDUSSCGKSA-N 0 3 246.354 2.878 20 0 BFADHN CCC[C@@H](NCc1cnccn1)C1CCCC1 ZINC000579683010 366975249 /nfs/dbraw/zinc/97/52/49/366975249.db2.gz PQLWDKGTTGZAJK-CQSZACIVSA-N 0 3 233.359 2.925 20 0 BFADHN Cc1ccnc([C@@H]2CCN(CCOC(C)C)C2)c1 ZINC000579729473 366994694 /nfs/dbraw/zinc/99/46/94/366994694.db2.gz OENCKTLMVWQQEE-CQSZACIVSA-N 0 3 248.370 2.604 20 0 BFADHN Cc1ccnc([C@H]2CCN(CCOC(C)C)C2)c1 ZINC000579729458 366995584 /nfs/dbraw/zinc/99/55/84/366995584.db2.gz OENCKTLMVWQQEE-AWEZNQCLSA-N 0 3 248.370 2.604 20 0 BFADHN CN(Cc1cn(C)nc1C(C)(C)C)CC1CCC1 ZINC000183392064 367019420 /nfs/dbraw/zinc/01/94/20/367019420.db2.gz FVIFZIHAWGMFCG-UHFFFAOYSA-N 0 3 249.402 2.950 20 0 BFADHN C[C@@H](CC1CCCCC1)CN1CCN(C)CC1 ZINC000183207061 367000854 /nfs/dbraw/zinc/00/08/54/367000854.db2.gz QOKYHGQXEDUIBA-AWEZNQCLSA-N 0 3 238.419 2.840 20 0 BFADHN CC(C)Cn1cc(CN2C[C@@H]3CCC[C@@H]3C2)cn1 ZINC000183310889 367014184 /nfs/dbraw/zinc/01/41/84/367014184.db2.gz PEVRIQTUXNBKTR-GASCZTMLSA-N 0 3 247.386 2.771 20 0 BFADHN FC1(CN2C[C@H](C3CC3)[C@@H]2C2CC2)CC1 ZINC000579917412 367080039 /nfs/dbraw/zinc/08/00/39/367080039.db2.gz FTLQVBOOOZLIJM-NEPJUHHUSA-N 0 3 209.308 2.609 20 0 BFADHN CCCC[C@H](CC)CN(C)CC(=O)NC(C)C ZINC000183592059 367031922 /nfs/dbraw/zinc/03/19/22/367031922.db2.gz MXQNRFDIWDQAPI-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN Clc1ccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)o1 ZINC000579980028 367115673 /nfs/dbraw/zinc/11/56/73/367115673.db2.gz HYXLPHQHPGCIOU-DOFRTFSJSA-N 0 3 211.692 2.821 20 0 BFADHN CCCCCN(Cc1n[nH]c(CC)n1)C(C)C ZINC000185179266 367121231 /nfs/dbraw/zinc/12/12/31/367121231.db2.gz DOAGKJPSKUFNKI-UHFFFAOYSA-N 0 3 238.379 2.768 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](c1cccnc1)C1CCC1 ZINC000580749639 367154628 /nfs/dbraw/zinc/15/46/28/367154628.db2.gz SQXMXFUWJRHWOQ-RBSFLKMASA-N 0 3 246.354 2.690 20 0 BFADHN CC(C)CCC[C@H](C)NCc1ccno1 ZINC000380269793 367167588 /nfs/dbraw/zinc/16/75/88/367167588.db2.gz VPZJGHXOOVWKSW-NSHDSACASA-N 0 3 210.321 2.979 20 0 BFADHN CSC1(CN[C@H](C)c2ccoc2)CC1 ZINC000193832418 367218127 /nfs/dbraw/zinc/21/81/27/367218127.db2.gz MJPZHSAZANECQN-SECBINFHSA-N 0 3 211.330 2.826 20 0 BFADHN CSCCN(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC000581170954 367282720 /nfs/dbraw/zinc/28/27/20/367282720.db2.gz FMFNAGPQRLTTNV-UHFFFAOYSA-N 0 3 241.404 2.502 20 0 BFADHN CC[C@H](N[C@H](C)C(C)(C)C)c1ccn(C)n1 ZINC000381347869 367385670 /nfs/dbraw/zinc/38/56/70/367385670.db2.gz ZOSPIYFYHFHDIP-MNOVXSKESA-N 0 3 223.364 2.895 20 0 BFADHN C[C@@H](NCc1nccn1C)[C@H]1CC2CCC1CC2 ZINC000381484260 367416700 /nfs/dbraw/zinc/41/67/00/367416700.db2.gz FZKVVDKHRTVNGW-BLYZHGLHSA-N 0 3 247.386 2.725 20 0 BFADHN CC[C@H](N[C@H]1CCOC1)c1ccsc1 ZINC000382108632 367486788 /nfs/dbraw/zinc/48/67/88/367486788.db2.gz KJDQEICGHREVPL-QWRGUYRKSA-N 0 3 211.330 2.578 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(SC)cc1 ZINC000381647286 367442915 /nfs/dbraw/zinc/44/29/15/367442915.db2.gz BZAZRQWRXCLVEC-STQMWFEESA-N 0 3 237.368 2.676 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(C)c(Cl)c1 ZINC000381783837 367457675 /nfs/dbraw/zinc/45/76/75/367457675.db2.gz YIWZDCTWJXDNGF-OLZOCXBDSA-N 0 3 239.746 2.915 20 0 BFADHN CCC1(NCc2ccnc(C)n2)CCCC1 ZINC000382573968 367542088 /nfs/dbraw/zinc/54/20/88/367542088.db2.gz UEKRYGUDWFPWOL-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN CC[C@@H](C)CNCc1ccc(COC)o1 ZINC000382655672 367550587 /nfs/dbraw/zinc/55/05/87/367550587.db2.gz RVGHLUKSOJMTGH-SNVBAGLBSA-N 0 3 211.305 2.562 20 0 BFADHN C[C@@H](NCc1ncc[nH]1)[C@H]1CC2CCC1CC2 ZINC000382241240 367500843 /nfs/dbraw/zinc/50/08/43/367500843.db2.gz WEJIWQFKDBNMTG-IKWCTNDRSA-N 0 3 233.359 2.714 20 0 BFADHN Cc1cc(CN[C@@H]2CO[C@@H](C)C2)ccc1Cl ZINC000581548053 367576931 /nfs/dbraw/zinc/57/69/31/367576931.db2.gz NYCMCPYRDBUYLL-JQWIXIFHSA-N 0 3 239.746 2.915 20 0 BFADHN CC1(C)CC[C@H]1NCc1nc2ccccc2[nH]1 ZINC000383360996 367616593 /nfs/dbraw/zinc/61/65/93/367616593.db2.gz SUURROCURJDINU-GFCCVEGCSA-N 0 3 229.327 2.841 20 0 BFADHN Oc1cccc(CNC[C@@H]2CCCC2(F)F)c1 ZINC000383707018 367649077 /nfs/dbraw/zinc/64/90/77/367649077.db2.gz IBILXCDPGCGDIE-NSHDSACASA-N 0 3 241.281 2.917 20 0 BFADHN CO[C@H]1CC[C@H](NCc2cccc(C)c2F)C1 ZINC000384568141 367700794 /nfs/dbraw/zinc/70/07/94/367700794.db2.gz UIUDRSFYTLANAM-STQMWFEESA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cccc(CN[C@@]2(C)CCO[C@@H]2C)c1F ZINC000384586193 367703412 /nfs/dbraw/zinc/70/34/12/367703412.db2.gz YITLVTMHKLYWPK-RISCZKNCSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cccc(CNC[C@]2(C)CCCO2)c1F ZINC000384579950 367703651 /nfs/dbraw/zinc/70/36/51/367703651.db2.gz WVGWKSAFJARYQT-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN C/C=C/CNCc1ccc(OC)c(F)c1 ZINC000384732302 367723049 /nfs/dbraw/zinc/72/30/49/367723049.db2.gz JYHOMHOJKDBHTR-ONEGZZNKSA-N 0 3 209.264 2.500 20 0 BFADHN CC/C=C/CNCc1sc(C)nc1C ZINC000384781771 367731788 /nfs/dbraw/zinc/73/17/88/367731788.db2.gz UDKRYHCKTVYCBJ-AATRIKPKSA-N 0 3 210.346 2.816 20 0 BFADHN C/C=C/CNCc1cc2c(cc1OC)C[C@H](C)O2 ZINC000384990421 367770767 /nfs/dbraw/zinc/77/07/67/367770767.db2.gz KOLOOVLAKCAFCM-ZWNMCFTASA-N 0 3 247.338 2.684 20 0 BFADHN CC/C=C\CNCc1ccc(OC)c(OC)c1 ZINC000384903769 367753912 /nfs/dbraw/zinc/75/39/12/367753912.db2.gz ONPQMGIGVPJDEJ-WAYWQWQTSA-N 0 3 235.327 2.760 20 0 BFADHN Cc1cccc(CNC[C@@H]2C[C@H]2C)c1F ZINC000384625328 367708847 /nfs/dbraw/zinc/70/88/47/367708847.db2.gz JEDKORJSXLRESD-PWSUYJOCSA-N 0 3 207.292 2.880 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cccc(F)c2F)[C@@H](C)O1 ZINC000385259519 367813688 /nfs/dbraw/zinc/81/36/88/367813688.db2.gz WWYRTMPVOIEOGC-KBVBSXBZSA-N 0 3 241.281 2.620 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@@H](C)n3ccnc32)[C@H]1C ZINC000385883097 367875027 /nfs/dbraw/zinc/87/50/27/367875027.db2.gz BVEQCCRTIJUYEC-LDMBFOFVSA-N 0 3 219.332 2.523 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@H]2CC(C)C)s1 ZINC000385890503 367877125 /nfs/dbraw/zinc/87/71/25/367877125.db2.gz ZDLILOUMZCGACM-ZYHUDNBSSA-N 0 3 224.373 2.976 20 0 BFADHN COc1ccc(OC)c(CN[C@@H]2C[C@@H](C)[C@@H]2C)c1 ZINC000385636262 367849830 /nfs/dbraw/zinc/84/98/30/367849830.db2.gz LETBAKUNCLTNOV-UHIISALHSA-N 0 3 249.354 2.838 20 0 BFADHN COc1cc(CNC[C@H]2CC[C@H](C)C2)sn1 ZINC000399224591 367905926 /nfs/dbraw/zinc/90/59/26/367905926.db2.gz ZWVISYIEEUKTOX-UWVGGRQHSA-N 0 3 240.372 2.678 20 0 BFADHN C[C@H](N[C@H](CO)C1CCCCC1)c1ccco1 ZINC000386073773 367909600 /nfs/dbraw/zinc/90/96/00/367909600.db2.gz AWYHQHRVQKFRHR-WCQYABFASA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2COCc3ccccc32)[C@H]1C ZINC000386000649 367896225 /nfs/dbraw/zinc/89/62/25/367896225.db2.gz SGDJHJPAUIAZEU-PKIAMQTDSA-N 0 3 231.339 2.892 20 0 BFADHN Cc1ccc(CN[C@@H](CO)C2CCCCC2)o1 ZINC000386287864 367945488 /nfs/dbraw/zinc/94/54/88/367945488.db2.gz SGNNJYCURYCNHT-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN O=C(Nc1ccc2c(c1)CNC2)[C@H]1CC=CCC1 ZINC000386401656 367962851 /nfs/dbraw/zinc/96/28/51/367962851.db2.gz DOLQPSXVDJQYFE-NSHDSACASA-N 0 3 242.322 2.585 20 0 BFADHN c1cc(CN[C@@H]2CCCC[C@@H]2C2CC2)on1 ZINC000566241822 326022091 /nfs/dbraw/zinc/02/20/91/326022091.db2.gz AYDAXQAURLIVSU-CHWSQXEVSA-N 0 3 220.316 2.733 20 0 BFADHN Cc1nnsc1CN1CCCCC[C@@H]1C ZINC000581946481 367972549 /nfs/dbraw/zinc/97/25/49/367972549.db2.gz PCJNVOIXUWZWEH-VIFPVBQESA-N 0 3 225.361 2.611 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H](c2ccccn2)C2CCC2)CO1 ZINC000623638806 368060940 /nfs/dbraw/zinc/06/09/40/368060940.db2.gz SKWAKWDLTBLODS-OSAQELSMSA-N 0 3 246.354 2.690 20 0 BFADHN CC[C@H](NCc1cc2ccccc2o1)[C@@H](C)O ZINC000386868778 368029999 /nfs/dbraw/zinc/02/99/99/368029999.db2.gz KLVBRKNMJHMMPJ-MFKMUULPSA-N 0 3 233.311 2.682 20 0 BFADHN COC(=O)c1cccc(CN2C[C@H](C)C[C@H]2C)c1 ZINC000581973847 368030225 /nfs/dbraw/zinc/03/02/25/368030225.db2.gz FTTBDLKGICOAOS-VXGBXAGGSA-N 0 3 247.338 2.704 20 0 BFADHN CC[C@H](N[C@@H](C)c1cccc(F)c1F)[C@@H](C)O ZINC000386911995 368033863 /nfs/dbraw/zinc/03/38/63/368033863.db2.gz QCVOETRUZYBLLZ-SBMIAAHKSA-N 0 3 243.297 2.775 20 0 BFADHN COc1cc(CN[C@H]2CC23CCCC3)sn1 ZINC000399328471 368158423 /nfs/dbraw/zinc/15/84/23/368158423.db2.gz UCUXKCLHEZKRDL-JTQLQIEISA-N 0 3 238.356 2.574 20 0 BFADHN CCn1cc([C@H](C)N[C@H]2CC23CCCC3)cn1 ZINC000387264105 368138877 /nfs/dbraw/zinc/13/88/77/368138877.db2.gz LJFDHPUMNYGUNC-AAEUAGOBSA-N 0 3 233.359 2.886 20 0 BFADHN Fc1ccccc1CN(C[C@@H]1CCOC1)C1CC1 ZINC000046092012 368436661 /nfs/dbraw/zinc/43/66/61/368436661.db2.gz SINSRXWIQAJXRC-LBPRGKRZSA-N 0 3 249.329 2.827 20 0 BFADHN Cc1ccc(C[C@H](C)NCc2cnccn2)s1 ZINC000080297408 368492536 /nfs/dbraw/zinc/49/25/36/368492536.db2.gz QGLAYKATLNJOOH-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN CCC[C@@](C)(N)C(=O)N(C)C[C@H](C)C(C)(C)C ZINC000399705780 368495349 /nfs/dbraw/zinc/49/53/49/368495349.db2.gz DMGXMLAHJOJEBQ-SMDDNHRTSA-N 0 3 242.407 2.645 20 0 BFADHN C[C@@H]1CCN(CCn2cccn2)[C@H]2CCCC[C@H]12 ZINC000058396144 368510744 /nfs/dbraw/zinc/51/07/44/368510744.db2.gz NTFWMCIMBOWHFD-KFWWJZLASA-N 0 3 247.386 2.784 20 0 BFADHN CCC[C@H](C)NC(=O)[C@@H](C)N(CC)CC(C)C ZINC000153721238 326110021 /nfs/dbraw/zinc/11/00/21/326110021.db2.gz YQRDXXVPPAPDLA-QWHCGFSZSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1scc(CNCc2ccn(C)c2)c1C ZINC000399783172 368581501 /nfs/dbraw/zinc/58/15/01/368581501.db2.gz VMIQGVFKEHSQQL-UHFFFAOYSA-N 0 3 234.368 2.993 20 0 BFADHN Cc1scc(CN[C@H]2C[C@@H](O)C2(C)C)c1C ZINC000399811823 368604640 /nfs/dbraw/zinc/60/46/40/368604640.db2.gz MUDXAEMGIBROSO-NWDGAFQWSA-N 0 3 239.384 2.614 20 0 BFADHN CCN(CC(=O)NC(C)(C)CC)[C@H](C)C(C)C ZINC000065298677 368620605 /nfs/dbraw/zinc/62/06/05/368620605.db2.gz PFBDNYCAEGUQSI-GFCCVEGCSA-N 0 3 242.407 2.658 20 0 BFADHN CN(C)[C@H](CNc1ccccn1)c1cccs1 ZINC000067949281 368706891 /nfs/dbraw/zinc/70/68/91/368706891.db2.gz AKZMLWQIOXWYAQ-LLVKDONJSA-N 0 3 247.367 2.858 20 0 BFADHN CN(C)[C@@H](CNc1ccccn1)c1cccs1 ZINC000067949280 368707979 /nfs/dbraw/zinc/70/79/79/368707979.db2.gz AKZMLWQIOXWYAQ-NSHDSACASA-N 0 3 247.367 2.858 20 0 BFADHN COc1ccc(F)cc1CN(C)CC(C)C ZINC000068334966 368723698 /nfs/dbraw/zinc/72/36/98/368723698.db2.gz GNGIJQOQRXSTIC-UHFFFAOYSA-N 0 3 225.307 2.922 20 0 BFADHN CCc1nnc(CN(CC(C)C)CC(C)C)o1 ZINC000073653250 368812545 /nfs/dbraw/zinc/81/25/45/368812545.db2.gz HNCSMCYTECGORY-UHFFFAOYSA-N 0 3 239.363 2.746 20 0 BFADHN CCC[C@@H](C)N(C)Cc1ccc(C(=O)OC)o1 ZINC000073873109 368819119 /nfs/dbraw/zinc/81/91/19/368819119.db2.gz XASYVLQMCCQPTL-SNVBAGLBSA-N 0 3 239.315 2.687 20 0 BFADHN COCCCCN(C)Cc1ccc(F)cc1F ZINC000074331581 368835334 /nfs/dbraw/zinc/83/53/34/368835334.db2.gz RVXHEVYOWGUOEL-UHFFFAOYSA-N 0 3 243.297 2.823 20 0 BFADHN CCC1CCC(CNCc2ccn(C)n2)CC1 ZINC000112837556 368875723 /nfs/dbraw/zinc/87/57/23/368875723.db2.gz QLXKUPFVBHIULZ-UHFFFAOYSA-N 0 3 235.375 2.726 20 0 BFADHN CC(C)CCCN1CCO[C@@]2(CCSC2)C1 ZINC000567265321 326153458 /nfs/dbraw/zinc/15/34/58/326153458.db2.gz KKYRCXXKWQIGQY-ZDUSSCGKSA-N 0 3 243.416 2.631 20 0 BFADHN Cc1cc(CN[C@H](CO)CCC(C)C)cs1 ZINC000623646999 368969748 /nfs/dbraw/zinc/96/97/48/368969748.db2.gz VTQUHSDHFZRICQ-ZDUSSCGKSA-N 0 3 241.400 2.943 20 0 BFADHN CC(C)CC[C@@H](CO)NCc1ccc(F)cc1 ZINC000623648022 368971915 /nfs/dbraw/zinc/97/19/15/368971915.db2.gz XDZDOZGCJIGGHU-AWEZNQCLSA-N 0 3 239.334 2.712 20 0 BFADHN CCc1cccc(F)c1CN[C@H]1C[C@H](OC)C1 ZINC000623808471 368941577 /nfs/dbraw/zinc/94/15/77/368941577.db2.gz SOHAGZQVUCCGIM-HAQNSBGRSA-N 0 3 237.318 2.655 20 0 BFADHN CC(C)(C)C[C@H]1CCN(Cc2cnccn2)C1 ZINC000114622478 368946015 /nfs/dbraw/zinc/94/60/15/368946015.db2.gz AEWCBRLITHOGHH-GFCCVEGCSA-N 0 3 233.359 2.735 20 0 BFADHN Cc1cc(NC(=O)C2CCC2)ccc1CN(C)C ZINC000458313736 203159746 /nfs/dbraw/zinc/15/97/46/203159746.db2.gz JOBDNISNNAPIPU-UHFFFAOYSA-N 0 3 246.354 2.795 20 0 BFADHN CC(C)[C@@H]1CCCN1CCn1cc(Cl)cn1 ZINC000459312032 203254553 /nfs/dbraw/zinc/25/45/53/203254553.db2.gz WSQWPXVSLXGHSV-LBPRGKRZSA-N 0 3 241.766 2.657 20 0 BFADHN CCCCN(C)Cc1cnc(C2CC2)nc1 ZINC000459309642 203252726 /nfs/dbraw/zinc/25/27/26/203252726.db2.gz YUEBOPMKGUDJMV-UHFFFAOYSA-N 0 3 219.332 2.586 20 0 BFADHN CN(CCn1cc(Cl)cn1)Cc1ccccc1 ZINC000459307470 203253163 /nfs/dbraw/zinc/25/31/63/203253163.db2.gz OBWJOKGZAAYUKJ-UHFFFAOYSA-N 0 3 249.745 2.669 20 0 BFADHN CC(C)[C@H]1CCCN1CCn1cc(Cl)cn1 ZINC000459312029 203256217 /nfs/dbraw/zinc/25/62/17/203256217.db2.gz WSQWPXVSLXGHSV-GFCCVEGCSA-N 0 3 241.766 2.657 20 0 BFADHN CCC[C@H](C)[N@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459320239 203256326 /nfs/dbraw/zinc/25/63/26/203256326.db2.gz XXXLOXRCADZTMY-JTQLQIEISA-N 0 3 249.358 2.680 20 0 BFADHN CCC[C@H](C)[N@@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459320239 203256330 /nfs/dbraw/zinc/25/63/30/203256330.db2.gz XXXLOXRCADZTMY-JTQLQIEISA-N 0 3 249.358 2.680 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnc(C2CC2)nc1 ZINC000459333526 203258964 /nfs/dbraw/zinc/25/89/64/203258964.db2.gz YYIGEXMUZQROQB-CYBMUJFWSA-N 0 3 231.343 2.728 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cnc(C2CC2)nc1 ZINC000459338347 203262377 /nfs/dbraw/zinc/26/23/77/203262377.db2.gz GLAHROIMQIHQCN-FZMZJTMJSA-N 0 3 245.370 2.974 20 0 BFADHN CCN(Cc1cnc(C2CC2)nc1)C1CCC1 ZINC000459341357 203262933 /nfs/dbraw/zinc/26/29/33/203262933.db2.gz JXDGTZSFJGAZJU-UHFFFAOYSA-N 0 3 231.343 2.728 20 0 BFADHN C[C@H]1OCCN(C[C@@H]2C[C@H]2c2ccccc2)[C@@H]1C ZINC000459346950 203264211 /nfs/dbraw/zinc/26/42/11/203264211.db2.gz KVIZEBXEGVGVRF-VDERGJSUSA-N 0 3 245.366 2.899 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(CCn2cc(Cl)cn2)C1 ZINC000459346789 203264310 /nfs/dbraw/zinc/26/43/10/203264310.db2.gz BRSXFVRRIISBTK-GHMZBOCLSA-N 0 3 241.766 2.657 20 0 BFADHN CCC(CC)(CC)NCc1cc(COC)on1 ZINC000459409024 203276463 /nfs/dbraw/zinc/27/64/63/203276463.db2.gz JKUSDYSBCZBLHD-UHFFFAOYSA-N 0 3 240.347 2.879 20 0 BFADHN CC(C)OC1CC(N2CCC(C(F)F)CC2)C1 ZINC000459356249 203268473 /nfs/dbraw/zinc/26/84/73/203268473.db2.gz CGJQFMZZRMBHLI-UHFFFAOYSA-N 0 3 247.329 2.920 20 0 BFADHN CC[C@@H]1COCCN1CC1CCC(F)(F)CC1 ZINC000459378033 203271057 /nfs/dbraw/zinc/27/10/57/203271057.db2.gz PJSZCAXQIFFWQL-GFCCVEGCSA-N 0 3 247.329 2.923 20 0 BFADHN C[C@@H](C[S@](C)=O)N(C)C1CC(C(C)(C)C)C1 ZINC000459490990 203291784 /nfs/dbraw/zinc/29/17/84/203291784.db2.gz VOHALRZMIUYTQH-BTRARHSGSA-N 0 3 245.432 2.510 20 0 BFADHN CCN(Cc1cnc(C2CC2)nc1)CC1CCC1 ZINC000459521988 203294723 /nfs/dbraw/zinc/29/47/23/203294723.db2.gz HPJSNYUZHJQVOV-UHFFFAOYSA-N 0 3 245.370 2.976 20 0 BFADHN Cc1cc(CNCC2C(C)(C)C2(C)C)no1 ZINC000459521985 203294898 /nfs/dbraw/zinc/29/48/98/203294898.db2.gz HPDKTQLWYNVQRV-UHFFFAOYSA-N 0 3 222.332 2.755 20 0 BFADHN Cc1cc(CNC2CC(C(C)(C)C)C2)n(C)n1 ZINC000459518739 203294953 /nfs/dbraw/zinc/29/49/53/203294953.db2.gz GNWSPSLNPAQRFU-UHFFFAOYSA-N 0 3 235.375 2.643 20 0 BFADHN C[C@H]1CCCCN(CCn2cc(Cl)cn2)C1 ZINC000459417371 203278954 /nfs/dbraw/zinc/27/89/54/203278954.db2.gz YVWFZEVCELVHRE-NSHDSACASA-N 0 3 241.766 2.659 20 0 BFADHN Cc1ccc(F)c(CN(C)CCc2cn[nH]c2)c1 ZINC000459427730 203279617 /nfs/dbraw/zinc/27/96/17/203279617.db2.gz OGKXXDNPBCNDSW-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN CCOc1cc(CN[C@H]2C=CCCC2)ccc1O ZINC000459438712 203279656 /nfs/dbraw/zinc/27/96/56/203279656.db2.gz IAKFYNDCEZKVOG-ZDUSSCGKSA-N 0 3 247.338 2.989 20 0 BFADHN C[C@H](CC(C)(C)C)NCc1cn2cccnc2n1 ZINC000459582700 203306015 /nfs/dbraw/zinc/30/60/15/203306015.db2.gz FPXIBNLIPBAIHH-LLVKDONJSA-N 0 3 246.358 2.644 20 0 BFADHN Cc1oc2ccccc2c1CN1CC(CCO)C1 ZINC000459596902 203312492 /nfs/dbraw/zinc/31/24/92/203312492.db2.gz TXMWZFXMTSECHF-UHFFFAOYSA-N 0 3 245.322 2.555 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CNCc1ccn(C)n1 ZINC000401432984 369363877 /nfs/dbraw/zinc/36/38/77/369363877.db2.gz IUTQZUIMTAEYDZ-CHWSQXEVSA-N 0 3 235.375 2.726 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1cnc(C2CC2)nc1 ZINC000459570616 203303001 /nfs/dbraw/zinc/30/30/01/203303001.db2.gz MNWILUHCSLFHRV-KBPBESRZSA-N 0 3 245.370 2.736 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1cccs1 ZINC000401886085 369374498 /nfs/dbraw/zinc/37/44/98/369374498.db2.gz PMIQGGRGNSAZIE-UTLUCORTSA-N 0 3 211.330 2.576 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1ccccc1F ZINC000401886763 369374509 /nfs/dbraw/zinc/37/45/09/369374509.db2.gz QBRXXXVMHNPYHD-MXWKQRLJSA-N 0 3 223.291 2.654 20 0 BFADHN CC1(CO)CN(CCC2CCCCCC2)C1 ZINC000459618810 203318647 /nfs/dbraw/zinc/31/86/47/203318647.db2.gz FYXPVSIQKRHYKJ-UHFFFAOYSA-N 0 3 225.376 2.661 20 0 BFADHN CC[C@H]1CCC[C@H](CN2CC(C)(CO)C2)C1 ZINC000459624468 203320441 /nfs/dbraw/zinc/32/04/41/203320441.db2.gz VERVRNPDBHUORW-STQMWFEESA-N 0 3 225.376 2.517 20 0 BFADHN CC(C)C1CCC(CN2CC(C)(CO)C2)CC1 ZINC000459624383 203320520 /nfs/dbraw/zinc/32/05/20/203320520.db2.gz UMMAFOAWNNVGPP-UHFFFAOYSA-N 0 3 239.403 2.763 20 0 BFADHN COCC1(C)CN(C[C@H](C)Cc2ccccc2)C1 ZINC000459625544 203321847 /nfs/dbraw/zinc/32/18/47/203321847.db2.gz XJNNKVILTMDNKZ-CQSZACIVSA-N 0 3 247.382 2.834 20 0 BFADHN CC(C)O[C@H]1C[C@@H](NCc2ccc(F)cc2)C1 ZINC000459626874 203322181 /nfs/dbraw/zinc/32/21/81/203322181.db2.gz CVJXKGWQXBSTDO-OKILXGFUSA-N 0 3 237.318 2.871 20 0 BFADHN COCC1(C)CN(C[C@H](C)c2ccccc2)C1 ZINC000459626142 203322709 /nfs/dbraw/zinc/32/27/09/203322709.db2.gz YZCJYFBBBPYIKA-ZDUSSCGKSA-N 0 3 233.355 2.758 20 0 BFADHN CC(C)(C)C1CN(Cc2cccc3nccn32)C1 ZINC000459638073 203325627 /nfs/dbraw/zinc/32/56/27/203325627.db2.gz IZHDVJSMVMMVFV-UHFFFAOYSA-N 0 3 243.354 2.812 20 0 BFADHN CCCn1cc(CN2CC(C(C)(C)C)C2)cn1 ZINC000459639889 203329446 /nfs/dbraw/zinc/32/94/46/203329446.db2.gz KTUYIBGYXSIUDJ-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1ncc(CN[C@H](C)CCC(F)(F)F)o1 ZINC000459682337 203335977 /nfs/dbraw/zinc/33/59/77/203335977.db2.gz YMFNIRBJQUKSIU-SSDOTTSWSA-N 0 3 236.237 2.804 20 0 BFADHN CCOC[C@H]1CCCCN1C[C@@H](F)CC ZINC000459707696 203339880 /nfs/dbraw/zinc/33/98/80/203339880.db2.gz JSKVJBAPXMHZLD-NWDGAFQWSA-N 0 3 217.328 2.626 20 0 BFADHN CCn1cc(CN(C)C2CC(C)(C)C2)cn1 ZINC000459731207 203345297 /nfs/dbraw/zinc/34/52/97/203345297.db2.gz KXCVSBARRCGSGI-UHFFFAOYSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1cccc(C)c1F ZINC000459737103 203346881 /nfs/dbraw/zinc/34/68/81/203346881.db2.gz HEOCTRCPCZUDRV-OLZOCXBDSA-N 0 3 237.318 2.791 20 0 BFADHN CC[C@@H]1OCC[C@H]1NC/C=C\c1ccc(F)cc1 ZINC000459750396 203354046 /nfs/dbraw/zinc/35/40/46/203354046.db2.gz ZWSBPENCRGVSMS-OVNWPICBSA-N 0 3 249.329 2.996 20 0 BFADHN C[C@H](NC[C@H]1CCCC[C@H]1C)c1ccn(C)n1 ZINC000459750938 203354688 /nfs/dbraw/zinc/35/46/88/203354688.db2.gz LJVOBYVTULMAEC-FRRDWIJNSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@@H](NC1C[C@H](C)C[C@@H](C)C1)c1ccn(C)n1 ZINC000459748184 203354757 /nfs/dbraw/zinc/35/47/57/203354757.db2.gz JWUMLAHBZFYFOV-IJLUTSLNSA-N 0 3 235.375 2.895 20 0 BFADHN CC(C)n1ncnc1CNC1(C2CCC2)CCC1 ZINC000459751314 203356260 /nfs/dbraw/zinc/35/62/60/203356260.db2.gz IIIFGSTZCQDSIJ-UHFFFAOYSA-N 0 3 248.374 2.671 20 0 BFADHN CCCC[C@@H](C)N[C@H](C)c1ccn(C)n1 ZINC000459753025 203356399 /nfs/dbraw/zinc/35/63/99/203356399.db2.gz NECSHAROILSTMZ-GHMZBOCLSA-N 0 3 209.337 2.649 20 0 BFADHN Cc1cnc(CNC2(C3CCC3)CCC2)n1C ZINC000459751748 203356742 /nfs/dbraw/zinc/35/67/42/203356742.db2.gz QKCFCMIWAQNCJW-UHFFFAOYSA-N 0 3 233.359 2.541 20 0 BFADHN C[C@H](Cc1cccs1)N[C@H](C)c1ccn(C)n1 ZINC000459761658 203359119 /nfs/dbraw/zinc/35/91/19/203359119.db2.gz SVQUZDYDIMJALS-GHMZBOCLSA-N 0 3 249.383 2.763 20 0 BFADHN CCC[C@@H](CC)N[C@H](C)c1ccn(C)n1 ZINC000459765686 203360434 /nfs/dbraw/zinc/36/04/34/203360434.db2.gz VOTUQRQFMQRDJB-GHMZBOCLSA-N 0 3 209.337 2.649 20 0 BFADHN C[C@@H](Cc1cccc(F)c1)N(C)Cc1c[nH]cn1 ZINC000459763657 203361102 /nfs/dbraw/zinc/36/11/02/203361102.db2.gz USRONKSIYPWDHD-NSHDSACASA-N 0 3 247.317 2.612 20 0 BFADHN C[C@@H](Cc1cccc(F)c1)N(C)Cc1cnc[nH]1 ZINC000459763657 203361105 /nfs/dbraw/zinc/36/11/05/203361105.db2.gz USRONKSIYPWDHD-NSHDSACASA-N 0 3 247.317 2.612 20 0 BFADHN Cc1ccc(CNCCCn2ccnc2)c(F)c1 ZINC000631030352 370962472 /nfs/dbraw/zinc/96/24/72/370962472.db2.gz OHHDUBVVXPBJCM-UHFFFAOYSA-N 0 3 247.317 2.511 20 0 BFADHN Cc1cnc([C@@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)cn1 ZINC000459772373 203363150 /nfs/dbraw/zinc/36/31/50/203363150.db2.gz IBBQCLIZQNLLGF-FVCCEPFGSA-N 0 3 231.343 2.624 20 0 BFADHN CCC[C@H](NCc1nccc(C)n1)C1CCC1 ZINC000459770448 203364103 /nfs/dbraw/zinc/36/41/03/203364103.db2.gz CLMUKNBEMYAGKZ-ZDUSSCGKSA-N 0 3 233.359 2.843 20 0 BFADHN CC(C)=CCN(C)C[C@@H](C)C(=O)OC(C)(C)C ZINC000459776167 203364623 /nfs/dbraw/zinc/36/46/23/203364623.db2.gz REWCGGNGUSIQOK-GFCCVEGCSA-N 0 3 241.375 2.862 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1csc(C)c1 ZINC000459746794 203352825 /nfs/dbraw/zinc/35/28/25/203352825.db2.gz VDELQQGZQWUJEI-NEPJUHHUSA-N 0 3 225.357 2.714 20 0 BFADHN C[C@H](NC1C[C@H](C)C[C@@H](C)C1)c1ccn(C)n1 ZINC000459748187 203352904 /nfs/dbraw/zinc/35/29/04/203352904.db2.gz JWUMLAHBZFYFOV-UTUOFQBUSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1ccsc1C ZINC000459749006 203353223 /nfs/dbraw/zinc/35/32/23/203353223.db2.gz XXCPXBXDOYXCMG-NEPJUHHUSA-N 0 3 225.357 2.714 20 0 BFADHN CC(C)C1CC(N[C@H](C)c2ccn(C)n2)C1 ZINC000459750235 203353369 /nfs/dbraw/zinc/35/33/69/203353369.db2.gz LGKJVUKIBNOMGP-VOMCLLRMSA-N 0 3 221.348 2.505 20 0 BFADHN FC(F)(F)CCCCN1C[C@@H]2C[C@H]1CS2 ZINC000459795757 203373922 /nfs/dbraw/zinc/37/39/22/203373922.db2.gz PDEOGBMWRXPXFC-IUCAKERBSA-N 0 3 239.306 2.909 20 0 BFADHN CCC[C@@H](NCc1nccc(OC)n1)C1CCC1 ZINC000459815949 203376739 /nfs/dbraw/zinc/37/67/39/203376739.db2.gz YXOIRAFXYVAUMB-GFCCVEGCSA-N 0 3 249.358 2.544 20 0 BFADHN CC(C)n1ccc(CN[C@H]2CCC[C@@H]3C[C@@H]32)n1 ZINC000459791204 203369148 /nfs/dbraw/zinc/36/91/48/203369148.db2.gz STTHRJLXZQQDPK-XBFCOCLRSA-N 0 3 233.359 2.742 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CNCc1ccno1 ZINC000567899709 326279936 /nfs/dbraw/zinc/27/99/36/326279936.db2.gz PPOIFRAAZZEMTN-YPMHNXCESA-N 0 3 220.316 2.757 20 0 BFADHN CCCN(CC(=O)OC)C[C@H](C)C(C)(C)C ZINC000619843612 369616296 /nfs/dbraw/zinc/61/62/96/369616296.db2.gz MCBFDRLYHISPSI-NSHDSACASA-N 0 3 229.364 2.554 20 0 BFADHN CC[C@@H](C)N(CC(=O)OC)C[C@H](C)C(C)(C)C ZINC000619845935 369618524 /nfs/dbraw/zinc/61/85/24/369618524.db2.gz VAIIOEXLROKBAA-NWDGAFQWSA-N 0 3 243.391 2.942 20 0 BFADHN Cc1nc2ccccc2nc1CN1CCCCC1 ZINC000061744437 369834089 /nfs/dbraw/zinc/83/40/89/369834089.db2.gz JTICOWFZMIPXBL-UHFFFAOYSA-N 0 3 241.338 2.924 20 0 BFADHN CCCN(CCC)Cc1cnn(CCC)c1 ZINC000158051334 326306007 /nfs/dbraw/zinc/30/60/07/326306007.db2.gz RDKQLPOHMOEKBT-UHFFFAOYSA-N 0 3 223.364 2.915 20 0 BFADHN Cc1ncccc1CN[C@@H]1CC[C@H](C)SC1 ZINC000623702995 369843212 /nfs/dbraw/zinc/84/32/12/369843212.db2.gz VVVANBBAMILYDG-GXFFZTMASA-N 0 3 236.384 2.764 20 0 BFADHN CCOc1cccc(CN(C)[C@@H](C)C2CC2)n1 ZINC000158068486 326307140 /nfs/dbraw/zinc/30/71/40/326307140.db2.gz SCYNKCSMNSRUBY-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2CCCN(C)C2)o1 ZINC000252112279 369997709 /nfs/dbraw/zinc/99/77/09/369997709.db2.gz JSKJXDGLOANQDI-DYEKYZERSA-N 0 3 248.370 2.587 20 0 BFADHN CCOCCN(CC)[C@H](C)c1ccncc1 ZINC000075718747 370169077 /nfs/dbraw/zinc/16/90/77/370169077.db2.gz YCAYNMRZGHPYST-GFCCVEGCSA-N 0 3 222.332 2.501 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccc(C)s2)[nH]n1 ZINC000309740287 370252578 /nfs/dbraw/zinc/25/25/78/370252578.db2.gz DDDFWMAMRBYULQ-SNVBAGLBSA-N 0 3 235.356 2.939 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccc(C)s2)n[nH]1 ZINC000309740287 370252584 /nfs/dbraw/zinc/25/25/84/370252584.db2.gz DDDFWMAMRBYULQ-SNVBAGLBSA-N 0 3 235.356 2.939 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccnc(C)c2)C[C@@H]1C ZINC000621300038 370227694 /nfs/dbraw/zinc/22/76/94/370227694.db2.gz ZQCSESGEQVJVIF-SWLSCSKDSA-N 0 3 248.370 2.637 20 0 BFADHN CC[C@H](N[C@@H](C)c1cscn1)[C@@H]1CCOC1 ZINC000309717497 370247708 /nfs/dbraw/zinc/24/77/08/370247708.db2.gz UORHJSILMBRBHT-AXFHLTTASA-N 0 3 240.372 2.609 20 0 BFADHN COC(C)(C)CN(C)Cc1cncc(Cl)c1 ZINC000621262613 370192137 /nfs/dbraw/zinc/19/21/37/370192137.db2.gz IGWDJKBHUNCBBM-UHFFFAOYSA-N 0 3 242.750 2.592 20 0 BFADHN Clc1cncc(CN2C[C@@H]3CCC[C@H]3C2)c1 ZINC000621262861 370194681 /nfs/dbraw/zinc/19/46/81/370194681.db2.gz SRMVYDISTJLLPZ-RYUDHWBXSA-N 0 3 236.746 2.967 20 0 BFADHN COc1ccc(F)c(CN[C@H](C)[C@H]2C[C@@H]2C)c1 ZINC000621376347 370317122 /nfs/dbraw/zinc/31/71/22/370317122.db2.gz ZLQIWXGGLNZMJH-CWSCBRNRSA-N 0 3 237.318 2.968 20 0 BFADHN Cc1ccc(CNCC[C@H]2CC2(F)F)nc1 ZINC000621378088 370319846 /nfs/dbraw/zinc/31/98/46/370319846.db2.gz NAKMVEGLGKPBMB-JTQLQIEISA-N 0 3 226.270 2.525 20 0 BFADHN Cc1ccc(CNCC[C@@H]2CC2(F)F)nc1 ZINC000621378089 370320203 /nfs/dbraw/zinc/32/02/03/370320203.db2.gz NAKMVEGLGKPBMB-SNVBAGLBSA-N 0 3 226.270 2.525 20 0 BFADHN CCCc1ccc(CNCCOCC)s1 ZINC000621329559 370262190 /nfs/dbraw/zinc/26/21/90/370262190.db2.gz HFHVXGRCESLGNM-UHFFFAOYSA-N 0 3 227.373 2.827 20 0 BFADHN CCO[C@@H]1CCCN(Cc2ccc(F)cc2)C1 ZINC000076403570 370280248 /nfs/dbraw/zinc/28/02/48/370280248.db2.gz SSWAQHUNLUKOBB-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN CCO[C@H]1CCCN(Cc2ccccc2)C1 ZINC000076402255 370280523 /nfs/dbraw/zinc/28/05/23/370280523.db2.gz ZSTBDNPZOIXMCC-AWEZNQCLSA-N 0 3 219.328 2.688 20 0 BFADHN CCCC[C@H](CC)CNCc1ccn(C)n1 ZINC000112337673 370285182 /nfs/dbraw/zinc/28/51/82/370285182.db2.gz IAHXKXUHPMZORW-LBPRGKRZSA-N 0 3 223.364 2.726 20 0 BFADHN COCCCN(Cc1ccccc1F)C1CC1 ZINC000076461410 370293389 /nfs/dbraw/zinc/29/33/89/370293389.db2.gz IHEXZEZIJKERMO-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN CC/C=C\CNCc1cncc(OCC)c1 ZINC000621359668 370294107 /nfs/dbraw/zinc/29/41/07/370294107.db2.gz RSTQFMHUNFJWIR-WAYWQWQTSA-N 0 3 220.316 2.536 20 0 BFADHN Cc1nccnc1CN[C@@]1(C)CCCC[C@@H]1C ZINC000621360396 370294761 /nfs/dbraw/zinc/29/47/61/370294761.db2.gz VMAIQQJWNKFXLW-FZMZJTMJSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1nccnc1CN[C@@]1(C)CCCC[C@H]1C ZINC000621360397 370294851 /nfs/dbraw/zinc/29/48/51/370294851.db2.gz VMAIQQJWNKFXLW-RISCZKNCSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1nccnc1CNC1(C2CCC2)CCC1 ZINC000621362476 370297438 /nfs/dbraw/zinc/29/74/38/370297438.db2.gz ZDIORUOYJDHBHD-UHFFFAOYSA-N 0 3 231.343 2.597 20 0 BFADHN CCCc1ccc(CN[C@@H]2CO[C@H](C)C2)s1 ZINC000621367794 370303276 /nfs/dbraw/zinc/30/32/76/370303276.db2.gz GCVWNZVIRJUWAY-MNOVXSKESA-N 0 3 239.384 2.968 20 0 BFADHN CCOc1cncc(CN[C@]23C[C@H]2CCC3)c1 ZINC000621369880 370305409 /nfs/dbraw/zinc/30/54/09/370305409.db2.gz XPKNRJASRPGSED-TZMCWYRMSA-N 0 3 232.327 2.513 20 0 BFADHN CCCc1ccc(CNCCOCCF)s1 ZINC000621371555 370307699 /nfs/dbraw/zinc/30/76/99/370307699.db2.gz GLMUOFZYLVGWMS-UHFFFAOYSA-N 0 3 245.363 2.776 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)[C@@H](CO)C2)cs1 ZINC000621373123 370311017 /nfs/dbraw/zinc/31/10/17/370311017.db2.gz CFPYMKCALIQTHB-ZWNOBZJWSA-N 0 3 239.384 2.507 20 0 BFADHN COc1cccc(CNCC2CC(C)C2)c1F ZINC000621384835 370328960 /nfs/dbraw/zinc/32/89/60/370328960.db2.gz WLGNBUPNCZUHOS-UHFFFAOYSA-N 0 3 237.318 2.970 20 0 BFADHN CC[C@@H](C)COc1ccc2c(c1)OCCNC2 ZINC000310281570 370331483 /nfs/dbraw/zinc/33/14/83/370331483.db2.gz WNKPOQAHPNARTE-LLVKDONJSA-N 0 3 235.327 2.594 20 0 BFADHN CCCNC(=O)CN(CCC)CCC(C)(C)C ZINC000112697090 370345613 /nfs/dbraw/zinc/34/56/13/370345613.db2.gz SVOUAMZIQIOKGY-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CCCCN1CCN(CCC(C)(C)C)CC1 ZINC000077590163 370441046 /nfs/dbraw/zinc/44/10/46/370441046.db2.gz RYQRGNZJIVFDFV-UHFFFAOYSA-N 0 3 226.408 2.840 20 0 BFADHN Cc1nccnc1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000621711368 370457296 /nfs/dbraw/zinc/45/72/96/370457296.db2.gz PZYQVMMUXYTUDD-WCQYABFASA-N 0 3 233.359 2.796 20 0 BFADHN Cc1nccnc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000621713152 370459156 /nfs/dbraw/zinc/45/91/56/370459156.db2.gz AWJSIODXQGKHJM-JSGCOSHPSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1nccnc1CN(CC(C)C)C(C)C ZINC000621712853 370459509 /nfs/dbraw/zinc/45/95/09/370459509.db2.gz RFNLXNWJCRVNMH-UHFFFAOYSA-N 0 3 221.348 2.651 20 0 BFADHN CCN(Cc1nccnc1C)[C@@H](C)C(C)C ZINC000621713209 370459787 /nfs/dbraw/zinc/45/97/87/370459787.db2.gz CGSWISQCEGYUCR-LBPRGKRZSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1nccnc1CN1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000621724326 370474763 /nfs/dbraw/zinc/47/47/63/370474763.db2.gz FSDRYHLQANLMQB-NQBHXWOUSA-N 0 3 233.359 2.651 20 0 BFADHN CCCc1ccc(CN(C)CCCCO)s1 ZINC000621724300 370474790 /nfs/dbraw/zinc/47/47/90/370474790.db2.gz FIDORJHRDHJFSH-UHFFFAOYSA-N 0 3 241.400 2.905 20 0 BFADHN C[C@H](NCC[C@H]1CC1(F)F)c1cscn1 ZINC000621768221 370510283 /nfs/dbraw/zinc/51/02/83/370510283.db2.gz MBGCLZFTHLSLOH-YUMQZZPRSA-N 0 3 232.299 2.839 20 0 BFADHN Cc1cc([C@H](C)NCC[C@@H]2CC2(F)F)no1 ZINC000621768403 370510592 /nfs/dbraw/zinc/51/05/92/370510592.db2.gz OORFNQYNVRBPHT-DTWKUNHWSA-N 0 3 230.258 2.679 20 0 BFADHN C[C@H](NCC[C@@H]1CC1(F)F)c1ccco1 ZINC000621770626 370512592 /nfs/dbraw/zinc/51/25/92/370512592.db2.gz UWAJFKAWXJACCU-DTWKUNHWSA-N 0 3 215.243 2.976 20 0 BFADHN CC[C@H](NCC1CC(C)C1)c1ccn(C)n1 ZINC000621771325 370513486 /nfs/dbraw/zinc/51/34/86/370513486.db2.gz CDXKBCSXWNBMDI-MCIGGMRASA-N 0 3 221.348 2.507 20 0 BFADHN CCCc1ccc(CN(C)[C@H](CC)CO)s1 ZINC000621729060 370481706 /nfs/dbraw/zinc/48/17/06/370481706.db2.gz HMWLDVIBQTUSDM-LLVKDONJSA-N 0 3 241.400 2.903 20 0 BFADHN CCCc1ccc(CN2CC[C@@H](O)[C@H]2C)s1 ZINC000621730950 370484792 /nfs/dbraw/zinc/48/47/92/370484792.db2.gz ZQRADQSRAZFTDR-ZWNOBZJWSA-N 0 3 239.384 2.656 20 0 BFADHN CC(C)CN1CC(C)(c2ccccc2)C1 ZINC000621734049 370490438 /nfs/dbraw/zinc/49/04/38/370490438.db2.gz DJZFKCLTQKVJQP-UHFFFAOYSA-N 0 3 203.329 2.916 20 0 BFADHN C[C@@H](NCC[C@@H]1CC1(F)F)c1ccccn1 ZINC000621734823 370491554 /nfs/dbraw/zinc/49/15/54/370491554.db2.gz XHSCKURSGOFHFQ-NXEZZACHSA-N 0 3 226.270 2.778 20 0 BFADHN COC[C@H](C)CN1CC(C)(c2ccccc2)C1 ZINC000621734915 370492002 /nfs/dbraw/zinc/49/20/02/370492002.db2.gz ZMGZTDIWFCMNAQ-CYBMUJFWSA-N 0 3 233.355 2.542 20 0 BFADHN c1cc(CN2CC(CC3CC3)C2)cs1 ZINC000621740411 370494463 /nfs/dbraw/zinc/49/44/63/370494463.db2.gz PCQCLSDKKFKEBL-UHFFFAOYSA-N 0 3 207.342 2.980 20 0 BFADHN CC1CC(CNCc2ccc3c(n2)CCC3)C1 ZINC000621738943 370495723 /nfs/dbraw/zinc/49/57/23/370495723.db2.gz XXLKTEJMPIHHGU-UHFFFAOYSA-N 0 3 230.355 2.706 20 0 BFADHN c1nc2cc(CN3CC(CC4CC4)C3)ccc2[nH]1 ZINC000621740280 370499235 /nfs/dbraw/zinc/49/92/35/370499235.db2.gz IGYGZARCSVTHBU-UHFFFAOYSA-N 0 3 241.338 2.795 20 0 BFADHN c1nc2ccc(CN3CC(CC4CC4)C3)cc2[nH]1 ZINC000621740280 370499236 /nfs/dbraw/zinc/49/92/36/370499236.db2.gz IGYGZARCSVTHBU-UHFFFAOYSA-N 0 3 241.338 2.795 20 0 BFADHN c1ccc2c(c1)n[nH]c2CN1CC(CC2CC2)C1 ZINC000621740388 370499289 /nfs/dbraw/zinc/49/92/89/370499289.db2.gz NNIIPBQQCFZBMY-UHFFFAOYSA-N 0 3 241.338 2.795 20 0 BFADHN CC[C@@H](O)[C@H](CC)NCc1cccc(F)c1F ZINC000313140774 370569473 /nfs/dbraw/zinc/56/94/73/370569473.db2.gz HVJXITLPZMVAMD-NWDGAFQWSA-N 0 3 243.297 2.604 20 0 BFADHN CC(C)c1cc(CNCC(C)(C)C)on1 ZINC000114509907 370570336 /nfs/dbraw/zinc/57/03/36/370570336.db2.gz CXRBUAQMCZWXGL-UHFFFAOYSA-N 0 3 210.321 2.934 20 0 BFADHN c1ccc2c(c1)CN([C@H]1C=CCCC1)CCO2 ZINC000066495438 370584810 /nfs/dbraw/zinc/58/48/10/370584810.db2.gz WFEFLYDBYHFCFG-AWEZNQCLSA-N 0 3 229.323 2.990 20 0 BFADHN CCOc1ccc(CNCCSC)cc1 ZINC000070184021 371013972 /nfs/dbraw/zinc/01/39/72/371013972.db2.gz TVSFSWMBQHRPIB-UHFFFAOYSA-N 0 3 225.357 2.538 20 0 BFADHN CSCCNCc1ccc(Cl)cc1 ZINC000070183998 371014118 /nfs/dbraw/zinc/01/41/18/371014118.db2.gz GOBBXQJXAHTREP-UHFFFAOYSA-N 0 3 215.749 2.793 20 0 BFADHN Cc1nc(CNC[C@]2(C)C[C@H]3C[C@H]3C2)cs1 ZINC000623739690 371074478 /nfs/dbraw/zinc/07/44/78/371074478.db2.gz DASNVYQLFQFDAO-PTEHBNRSSA-N 0 3 236.384 2.977 20 0 BFADHN Cc1cccc(CN[C@H]2CO[C@@H](C3CC3)C2)c1C ZINC000623752415 371081367 /nfs/dbraw/zinc/08/13/67/371081367.db2.gz FTCMRLLYCNAQMU-HZPDHXFCSA-N 0 3 245.366 2.961 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1CO[C@H](C2CC2)C1 ZINC000623753115 371082134 /nfs/dbraw/zinc/08/21/34/371082134.db2.gz RWUDQXJITAKEBK-GJZGRUSLSA-N 0 3 249.329 2.791 20 0 BFADHN FC(F)(F)C1(CNCc2cn[nH]c2)CCCC1 ZINC000623787730 371094300 /nfs/dbraw/zinc/09/43/00/371094300.db2.gz YUUSNKRMGOKBKD-UHFFFAOYSA-N 0 3 247.264 2.622 20 0 BFADHN CCOCCCCN[C@@H](C)c1nc(C)cs1 ZINC000623866810 371133021 /nfs/dbraw/zinc/13/30/21/371133021.db2.gz GZXBQVZNPFSYKA-NSHDSACASA-N 0 3 242.388 2.919 20 0 BFADHN CCCOc1cccc(CN[C@H]2C[C@H](OC)C2)c1 ZINC000623807477 371102372 /nfs/dbraw/zinc/10/23/72/371102372.db2.gz FNXSVILEOMCMCM-CTYIDZIISA-N 0 3 249.354 2.742 20 0 BFADHN Cc1cccc(C)c1CN1C[C@H](C)OC[C@@H]1C ZINC000154337178 371105340 /nfs/dbraw/zinc/10/53/40/371105340.db2.gz NMKNVCYPKPBLON-KBPBESRZSA-N 0 3 233.355 2.913 20 0 BFADHN C[C@H]1CN(CCOCC2CCC2)C[C@H](C)S1 ZINC000568966848 326383923 /nfs/dbraw/zinc/38/39/23/326383923.db2.gz GKAXYUIYOHYPFS-RYUDHWBXSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1csc([C@H](C)N[C@@H](CCO)C(C)C)n1 ZINC000623899287 371146533 /nfs/dbraw/zinc/14/65/33/371146533.db2.gz DNPWYHDBMANTMR-QWRGUYRKSA-N 0 3 242.388 2.509 20 0 BFADHN C1=CCC(N[C@H]2CCCc3scnc32)C1 ZINC000623906199 371149586 /nfs/dbraw/zinc/14/95/86/371149586.db2.gz RLAMLSXKZIXBIZ-JTQLQIEISA-N 0 3 220.341 2.829 20 0 BFADHN CC[C@@H](O)CCCN[C@@H](C)c1nc(C)cs1 ZINC000623917171 371154152 /nfs/dbraw/zinc/15/41/52/371154152.db2.gz IDSWZMKKKWPGCA-WDEREUQCSA-N 0 3 242.388 2.653 20 0 BFADHN CCC(CC)N(C(=O)[C@H](CC)N(C)C)C1CC1 ZINC000569198536 326394603 /nfs/dbraw/zinc/39/46/03/326394603.db2.gz CDKREBZUTIHWMD-ZDUSSCGKSA-N 0 3 240.391 2.506 20 0 BFADHN CSCCN[C@@H]1CCCc2nc(C)sc21 ZINC000154798863 371173393 /nfs/dbraw/zinc/17/33/93/371173393.db2.gz NHAFUBNZYUJNBX-SECBINFHSA-N 0 3 242.413 2.782 20 0 BFADHN COCC(C)(C)CN[C@@H](C)c1nc(C)cs1 ZINC000623987679 371221257 /nfs/dbraw/zinc/22/12/57/371221257.db2.gz KNDSZZZRYREVHX-JTQLQIEISA-N 0 3 242.388 2.775 20 0 BFADHN Cc1cc(C)c(CN[C@H](C)[C@H]2CCCCO2)cn1 ZINC000623996892 371229917 /nfs/dbraw/zinc/22/99/17/371229917.db2.gz VQQTZGFSJZLPJV-UKRRQHHQSA-N 0 3 248.370 2.746 20 0 BFADHN c1nc2c(s1)CCC[C@@H]2N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000624004809 371241815 /nfs/dbraw/zinc/24/18/15/371241815.db2.gz UJZIXSMFYSBZLF-VLEAKVRGSA-N 0 3 234.368 2.909 20 0 BFADHN Cc1cc(CNCCCc2cccc(F)c2)on1 ZINC000631068464 371287354 /nfs/dbraw/zinc/28/73/54/371287354.db2.gz QRPYVCTVBZLYTG-UHFFFAOYSA-N 0 3 248.301 2.845 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1c[nH]nc1C)OCC ZINC000624049660 371274504 /nfs/dbraw/zinc/27/45/04/371274504.db2.gz NAJGDQBXDCFEPV-PWSUYJOCSA-N 0 3 239.363 2.574 20 0 BFADHN CCC[C@H](CN[C@H](C)c1c[nH]nc1C)OCC ZINC000624049661 371274526 /nfs/dbraw/zinc/27/45/26/371274526.db2.gz NAJGDQBXDCFEPV-ZYHUDNBSSA-N 0 3 239.363 2.574 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1ccccn1)OCC ZINC000624049744 371276253 /nfs/dbraw/zinc/27/62/53/371276253.db2.gz OMEYBOPQAOZYJA-STQMWFEESA-N 0 3 236.359 2.937 20 0 BFADHN CCC(CC)NCc1c(C(F)(F)F)cnn1C ZINC000624051810 371277913 /nfs/dbraw/zinc/27/79/13/371277913.db2.gz NXDXMQOWLMOJAU-UHFFFAOYSA-N 0 3 249.280 2.717 20 0 BFADHN CCOC[C@@H](C)N[C@H](c1ccccn1)C1CCC1 ZINC000624104442 371309636 /nfs/dbraw/zinc/30/96/36/371309636.db2.gz SEGQWVBXMMWGAC-DOMZBBRYSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)CC[C@H](CO)N[C@H](C)c1ccco1 ZINC000624109500 371314873 /nfs/dbraw/zinc/31/48/73/371314873.db2.gz ZPSJPLILJRXBAP-VXGBXAGGSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCC[C@@H]2O)c(F)c1 ZINC000631071546 371324685 /nfs/dbraw/zinc/32/46/85/371324685.db2.gz PNUUPKHLHZGGJF-KGLIPLIRSA-N 0 3 237.318 2.527 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cccc(F)c2)C1 ZINC000156061441 371353664 /nfs/dbraw/zinc/35/36/64/371353664.db2.gz YVHAIJHSNQDYBO-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN Cc1ccc(CNC[C@@]2(C)CCOC2)c(F)c1 ZINC000631072175 371337298 /nfs/dbraw/zinc/33/72/98/371337298.db2.gz UKIBBHVVPIULGJ-CQSZACIVSA-N 0 3 237.318 2.650 20 0 BFADHN CC[C@H](NCC(F)(F)CC)C1CCOCC1 ZINC000624147999 371342886 /nfs/dbraw/zinc/34/28/86/371342886.db2.gz RVUJWBZSFQDQMN-NSHDSACASA-N 0 3 235.318 2.827 20 0 BFADHN CC[C@@H](NCC(F)(F)CC)C1CCOCC1 ZINC000624147998 371343454 /nfs/dbraw/zinc/34/34/54/371343454.db2.gz RVUJWBZSFQDQMN-LLVKDONJSA-N 0 3 235.318 2.827 20 0 BFADHN C/C=C/CN[C@@H]1CCc2cc(F)c(OC)cc21 ZINC000624198418 371387478 /nfs/dbraw/zinc/38/74/78/371387478.db2.gz OGKOVLKIZHUXBH-ITDFMYJTSA-N 0 3 235.302 2.987 20 0 BFADHN C/C=C/CN[C@H](c1cncc(F)c1)C1CC1 ZINC000624198165 371387813 /nfs/dbraw/zinc/38/78/13/371387813.db2.gz HALAAAHPTIEKTK-IBUXWKBASA-N 0 3 220.291 2.838 20 0 BFADHN C/C=C/CN[C@H]1CCc2cc(F)c(OC)cc21 ZINC000624198419 371387847 /nfs/dbraw/zinc/38/78/47/371387847.db2.gz OGKOVLKIZHUXBH-OOPCZODUSA-N 0 3 235.302 2.987 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@]2(C)C[C@H]3C[C@H]3C2)c2nccn21 ZINC000624199925 371391124 /nfs/dbraw/zinc/39/11/24/371391124.db2.gz AUHAEANHIQFHRK-ZHZXCYKASA-N 0 3 245.370 2.915 20 0 BFADHN c1n[nH]cc1CNCc1cc2ccccc2s1 ZINC000624215759 371402461 /nfs/dbraw/zinc/40/24/61/371402461.db2.gz CFHYHWHOJWFXSL-UHFFFAOYSA-N 0 3 243.335 2.914 20 0 BFADHN Cc1ccc([C@@H](NC[C@H]2C[C@@H](O)C2)C2CCC2)o1 ZINC000624217753 371406565 /nfs/dbraw/zinc/40/65/65/371406565.db2.gz HFZQLFMLAKWPQN-LNSITVRQSA-N 0 3 249.354 2.790 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc2c(c1)COC2 ZINC000624197326 371384183 /nfs/dbraw/zinc/38/41/83/371384183.db2.gz JJHKDYUUGSLKPK-PGLGOXFNSA-N 0 3 217.312 2.944 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1ccc[nH]c1=O ZINC000624257697 371443538 /nfs/dbraw/zinc/44/35/38/371443538.db2.gz NGXIFNFXNIOKCE-GFCCVEGCSA-N 0 3 242.322 2.946 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2ccc(F)cc2F)C1 ZINC000624296843 371462216 /nfs/dbraw/zinc/46/22/16/371462216.db2.gz ILQLQRYJVLXUBR-FBIMIBRVSA-N 0 3 241.281 2.793 20 0 BFADHN CO[C@H]1C[C@@H](CN[C@H](C)c2cc(C)c(C)o2)C1 ZINC000624312576 371475349 /nfs/dbraw/zinc/47/53/49/371475349.db2.gz VCUMXCSCHXWXPI-RTXFEEFZSA-N 0 3 237.343 2.972 20 0 BFADHN C[C@H](NC[C@H]1CCCC12CC2)c1cnccn1 ZINC000624313297 371476393 /nfs/dbraw/zinc/47/63/93/371476393.db2.gz JUZPMUIEMJHDPR-NWDGAFQWSA-N 0 3 231.343 2.708 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2CCCC23CC3)nn1C ZINC000624316288 371479648 /nfs/dbraw/zinc/47/96/48/371479648.db2.gz NJVYMHRPDYDLOL-CHWSQXEVSA-N 0 3 247.386 2.959 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CCCC23CC3)nn1C ZINC000624316289 371479900 /nfs/dbraw/zinc/47/99/00/371479900.db2.gz NJVYMHRPDYDLOL-OLZOCXBDSA-N 0 3 247.386 2.959 20 0 BFADHN CCC[C@H](C)NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000624550933 371538567 /nfs/dbraw/zinc/53/85/67/371538567.db2.gz MGSUXAJFIHAPQP-RVMXOQNASA-N 0 3 240.391 2.553 20 0 BFADHN CCCCCC(=O)N(CC)C1CCN(C)CC1 ZINC000627620450 371785338 /nfs/dbraw/zinc/78/53/38/371785338.db2.gz YIDONYJPECXKKI-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CO[C@H](CNCc1ccc(C)cc1F)C1CC1 ZINC000631144031 372208500 /nfs/dbraw/zinc/20/85/00/372208500.db2.gz IRSMFBUOWZTHOM-CQSZACIVSA-N 0 3 237.318 2.649 20 0 BFADHN COCC1(CNCc2ccc(C)cc2F)CC1 ZINC000631170229 372216050 /nfs/dbraw/zinc/21/60/50/372216050.db2.gz YWQFGGHQICIDBS-UHFFFAOYSA-N 0 3 237.318 2.650 20 0 BFADHN CCC(C)(C)CCNCc1ncnn1C(C)C ZINC000631219093 372242085 /nfs/dbraw/zinc/24/20/85/372242085.db2.gz NBAUDRBJZVDKMJ-UHFFFAOYSA-N 0 3 238.379 2.775 20 0 BFADHN CCC(C)(C)CCNCc1cn(C(C)C)nn1 ZINC000631206855 372237301 /nfs/dbraw/zinc/23/73/01/372237301.db2.gz OOKLFTRZKBFFSR-UHFFFAOYSA-N 0 3 238.379 2.775 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H](C)COC(C)C)s1 ZINC000631227701 372249198 /nfs/dbraw/zinc/24/91/98/372249198.db2.gz KQHPSKBOMJKCRI-UWVGGRQHSA-N 0 3 242.388 2.916 20 0 BFADHN Cc1ccc(CN[C@@]23C[C@@H]2CCCC3)nc1 ZINC000631228574 372250506 /nfs/dbraw/zinc/25/05/06/372250506.db2.gz ZSSQUPORWIKYQV-JSGCOSHPSA-N 0 3 216.328 2.812 20 0 BFADHN O[C@H]1CCC[C@@H]1NCc1cc2cc(F)ccc2o1 ZINC000631251873 372262596 /nfs/dbraw/zinc/26/25/96/372262596.db2.gz KAFMFCHGFCGCJL-STQMWFEESA-N 0 3 249.285 2.575 20 0 BFADHN c1ccc(CN[C@H](C2CCC2)[C@@H]2CCCO2)nc1 ZINC000631253045 372262840 /nfs/dbraw/zinc/26/28/40/372262840.db2.gz FHCBJPLTLXYWCS-LSDHHAIUSA-N 0 3 246.354 2.519 20 0 BFADHN CCc1cc(N2CCC(C)(F)CC2)ccn1 ZINC000631514689 372404150 /nfs/dbraw/zinc/40/41/50/372404150.db2.gz PZPMWTHYJUXKNH-UHFFFAOYSA-N 0 3 222.307 2.972 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@H]2CCCc3ncccc32)O1 ZINC000631635602 372473729 /nfs/dbraw/zinc/47/37/29/372473729.db2.gz NVZVPEJURUXHEK-XUJVJEKNSA-N 0 3 246.354 2.616 20 0 BFADHN CCC[C@@H](NCC1(CO)CC1)c1cc(C)ccn1 ZINC000631585695 372443248 /nfs/dbraw/zinc/44/32/48/372443248.db2.gz TYCBGVMIIPQMHR-CYBMUJFWSA-N 0 3 248.370 2.593 20 0 BFADHN C[C@@H](NCCO)c1ccc(C2CCC2)cc1 ZINC000631586677 372443259 /nfs/dbraw/zinc/44/32/59/372443259.db2.gz RQSVOCSSYFIQTD-LLVKDONJSA-N 0 3 219.328 2.597 20 0 BFADHN c1cnc2c(c1)[C@@H](N[C@H]1CCSC1)CCC2 ZINC000631596015 372448406 /nfs/dbraw/zinc/44/84/06/372448406.db2.gz DGGGTMXRGKZGDV-GWCFXTLKSA-N 0 3 234.368 2.554 20 0 BFADHN c1cnc2c(c1)[C@H](N[C@H]1CCSC1)CCC2 ZINC000631596016 372448725 /nfs/dbraw/zinc/44/87/25/372448725.db2.gz DGGGTMXRGKZGDV-GXFFZTMASA-N 0 3 234.368 2.554 20 0 BFADHN CC1(C)C[C@@H]1N[C@H]1CCCc2ncccc21 ZINC000631604582 372455600 /nfs/dbraw/zinc/45/56/00/372455600.db2.gz KEMKOJWNBJTQCY-STQMWFEESA-N 0 3 216.328 2.847 20 0 BFADHN CC(C)(C)OCCN[C@@H]1CCCc2ncccc21 ZINC000631606499 372456787 /nfs/dbraw/zinc/45/67/87/372456787.db2.gz WPRNTPFPZKPDJO-CQSZACIVSA-N 0 3 248.370 2.864 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H]1CCCc2ncccc21 ZINC000631632994 372470639 /nfs/dbraw/zinc/47/06/39/372470639.db2.gz SXADAAFEILRIBI-RRFJBIMHSA-N 0 3 246.354 2.616 20 0 BFADHN CC1(C)OCC[C@@H]1N[C@H]1CCCc2ncccc21 ZINC000631632692 372471304 /nfs/dbraw/zinc/47/13/04/372471304.db2.gz ACUSITBAYZLGNK-KBPBESRZSA-N 0 3 246.354 2.616 20 0 BFADHN C[C@H](CC1CCC1)N[C@H](C)c1cnccn1 ZINC000631738515 372539717 /nfs/dbraw/zinc/53/97/17/372539717.db2.gz OAQKGZSDKRHDJX-GHMZBOCLSA-N 0 3 219.332 2.706 20 0 BFADHN CC(C)(F)CN[C@H]1CCCc2ncccc21 ZINC000631660889 372486314 /nfs/dbraw/zinc/48/63/14/372486314.db2.gz GVWSMVJAPDFGNL-LBPRGKRZSA-N 0 3 222.307 2.797 20 0 BFADHN CCCC[C@H](COC)NCC(C)(C)F ZINC000631660756 372486333 /nfs/dbraw/zinc/48/63/33/372486333.db2.gz YKZIEBWVMUVSLZ-SNVBAGLBSA-N 0 3 205.317 2.529 20 0 BFADHN c1cn2c(n1)[C@H](N[C@H]1CCCC13CC3)CCC2 ZINC000631662356 372488429 /nfs/dbraw/zinc/48/84/29/372488429.db2.gz FXXJQFWMJPVECQ-NEPJUHHUSA-N 0 3 231.343 2.640 20 0 BFADHN CCc1ccc([C@@H](C)NCC[C@H](O)C(F)F)o1 ZINC000631697703 372510471 /nfs/dbraw/zinc/51/04/71/372510471.db2.gz OPCJXPONHGJXMP-SCZZXKLOSA-N 0 3 247.285 2.509 20 0 BFADHN CC[C@H]1CN(C[C@@H](C)c2ccccc2)CCO1 ZINC000172011658 372857473 /nfs/dbraw/zinc/85/74/73/372857473.db2.gz QLFDUFYRCDHGLA-HIFRSBDPSA-N 0 3 233.355 2.901 20 0 BFADHN Cc1noc(C)c1CN1CCC[C@H](C)[C@@H]1C ZINC000172864005 373100275 /nfs/dbraw/zinc/10/02/75/373100275.db2.gz ZPEJWKWWQBRPKK-ONGXEEELSA-N 0 3 222.332 2.912 20 0 BFADHN CN1CCC[C@@H](NCc2cc3ccccc3o2)C1 ZINC000040672438 373591259 /nfs/dbraw/zinc/59/12/59/373591259.db2.gz YQRIOOORTUROHA-CYBMUJFWSA-N 0 3 244.338 2.617 20 0 BFADHN FC1(F)CCNC[C@@H]1NCCC1CCCCC1 ZINC000415010099 205801887 /nfs/dbraw/zinc/80/18/87/205801887.db2.gz XZWCYPMQUBDTBS-LBPRGKRZSA-N 0 3 246.345 2.544 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCC[C@@H](C)C2)o1 ZINC000381905607 374162635 /nfs/dbraw/zinc/16/26/35/374162635.db2.gz WRSRSAXKPXQZRY-SKDRFNHKSA-N 0 3 222.332 2.960 20 0 BFADHN Cc1ccc([C@H](C)NCCCOC(F)F)o1 ZINC000194035840 206000424 /nfs/dbraw/zinc/00/04/24/206000424.db2.gz ZSLIWJRUTXCXPV-VIFPVBQESA-N 0 3 233.258 2.868 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000178135251 134306399 /nfs/dbraw/zinc/30/63/99/134306399.db2.gz RMOIBYZFVJZOPY-WCQYABFASA-N 0 3 239.363 2.540 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1)c1ccc(Cl)s1 ZINC000070966251 374236878 /nfs/dbraw/zinc/23/68/78/374236878.db2.gz HQBFZJKOTMQKQT-HTQZYQBOSA-N 0 3 231.748 2.841 20 0 BFADHN c1[nH]c(CNCC2CCC2)nc1-c1ccccc1 ZINC000194264187 206005224 /nfs/dbraw/zinc/00/52/24/206005224.db2.gz ZZVXZFZJPAYPCD-UHFFFAOYSA-N 0 3 241.338 2.966 20 0 BFADHN Cc1ccccc1CN1C[C@@H]2C[C@H]1CS2 ZINC000459778629 206015447 /nfs/dbraw/zinc/01/54/47/206015447.db2.gz YTGPDLRDVVJTTN-STQMWFEESA-N 0 3 219.353 2.685 20 0 BFADHN CCn1cc([C@H](C)N[C@@H]2C[C@H]2C2CCC2)cn1 ZINC000424328726 206037722 /nfs/dbraw/zinc/03/77/22/206037722.db2.gz OGBWGMZYEJLKCE-LEWSCRJBSA-N 0 3 233.359 2.742 20 0 BFADHN CCCc1nc(C)c(CN(C)CCC)o1 ZINC000428232797 206054237 /nfs/dbraw/zinc/05/42/37/206054237.db2.gz PBEQHZXWWQNBCG-UHFFFAOYSA-N 0 3 210.321 2.777 20 0 BFADHN Cc1cnc([C@H](C)N(C)CC2CCC2)cn1 ZINC000428239286 206057095 /nfs/dbraw/zinc/05/70/95/206057095.db2.gz NRSHAENPUHRRFE-NSHDSACASA-N 0 3 219.332 2.578 20 0 BFADHN CCc1nc(C)c(CN(CC)C2CCC2)o1 ZINC000428239898 206057327 /nfs/dbraw/zinc/05/73/27/206057327.db2.gz ADRVYDCBLNFQAO-UHFFFAOYSA-N 0 3 222.332 2.920 20 0 BFADHN COc1ccnc(CN2CC[C@@H](C(C)C)C2)c1 ZINC000438505089 206075109 /nfs/dbraw/zinc/07/51/09/206075109.db2.gz ANOFEPUUASXZPO-GFCCVEGCSA-N 0 3 234.343 2.568 20 0 BFADHN CC(C)c1ncc(CN(C)CC2CCC2)cn1 ZINC000440474585 206078472 /nfs/dbraw/zinc/07/84/72/206078472.db2.gz CFMVNSZCDIFORC-UHFFFAOYSA-N 0 3 233.359 2.832 20 0 BFADHN CCc1cc(N2CC(C)(C)OC[C@@H]2C)ccn1 ZINC000450477715 206082119 /nfs/dbraw/zinc/08/21/19/206082119.db2.gz CACWLZPQPYXMKQ-NSHDSACASA-N 0 3 234.343 2.648 20 0 BFADHN C(=C/c1ccncc1)\CN1CC[C@@H]1C1CC1 ZINC000449425576 206097270 /nfs/dbraw/zinc/09/72/70/206097270.db2.gz HWLATKUTAWJWRB-VSZDKKFSSA-N 0 3 214.312 2.579 20 0 BFADHN C1=C(CCN2C[C@H]3CC[C@@H](C2)O3)CCCC1 ZINC000450450220 206102384 /nfs/dbraw/zinc/10/23/84/206102384.db2.gz GIUFCGQRUXFTRR-OKILXGFUSA-N 0 3 221.344 2.740 20 0 BFADHN CCOC[C@H]1CCCCN1CCc1ccccn1 ZINC000459697729 206137180 /nfs/dbraw/zinc/13/71/80/206137180.db2.gz BXYSFCHFVLVKTF-OAHLLOKOSA-N 0 3 248.370 2.515 20 0 BFADHN CCCCOCCCNCc1conc1CC ZINC000339177547 168896022 /nfs/dbraw/zinc/89/60/22/168896022.db2.gz UNDABDXMVUXXHX-UHFFFAOYSA-N 0 3 240.347 2.533 20 0 BFADHN OC1CCC(NCc2ccsc2Cl)CC1 ZINC000335617617 183027252 /nfs/dbraw/zinc/02/72/52/183027252.db2.gz XFJUFSYMQYFYQI-UHFFFAOYSA-N 0 3 245.775 2.795 20 0 BFADHN OC1CCN([C@H]2CCCc3ccc(F)cc32)CC1 ZINC000130597156 183031284 /nfs/dbraw/zinc/03/12/84/183031284.db2.gz AKDMQYFETZKECG-HNNXBMFYSA-N 0 3 249.329 2.660 20 0 BFADHN OCC1(NCc2ccc(C3CCC3)cc2)CC1 ZINC000339321673 183037744 /nfs/dbraw/zinc/03/77/44/183037744.db2.gz PFGYHIDOSVYONB-UHFFFAOYSA-N 0 3 231.339 2.569 20 0 BFADHN OCC1(NCc2ccc(Cl)s2)CCCC1 ZINC000053044799 183038002 /nfs/dbraw/zinc/03/80/02/183038002.db2.gz XAUYQETWQXIWFX-UHFFFAOYSA-N 0 3 245.775 2.796 20 0 BFADHN OCC1(NCc2ccc(C3CCC3)cc2)CCC1 ZINC000293386351 183038541 /nfs/dbraw/zinc/03/85/41/183038541.db2.gz WOSDXKHAMRXVMG-UHFFFAOYSA-N 0 3 245.366 2.959 20 0 BFADHN OCCCNC1c2ccccc2-c2ccccc21 ZINC000020187906 183057551 /nfs/dbraw/zinc/05/75/51/183057551.db2.gz NEPZNZLPTYFTIV-UHFFFAOYSA-N 0 3 239.318 2.728 20 0 BFADHN OCCCCN1CCC[C@H]1c1ccc(F)cc1 ZINC000264028829 183050020 /nfs/dbraw/zinc/05/00/20/183050020.db2.gz ZJYQWVLEUQYGAD-AWEZNQCLSA-N 0 3 237.318 2.735 20 0 BFADHN OCCN(Cc1ccccc1)[C@H]1C=CCCC1 ZINC000066494248 183070304 /nfs/dbraw/zinc/07/03/04/183070304.db2.gz GIHMRUSIFSPHQY-HNNXBMFYSA-N 0 3 231.339 2.590 20 0 BFADHN OCC[C@H](N[C@H]1CC[C@H]1C1CCC1)c1ccco1 ZINC000338005921 183097341 /nfs/dbraw/zinc/09/73/41/183097341.db2.gz AVZUVIAOUBKKTJ-IHRRRGAJSA-N 0 3 249.354 2.871 20 0 BFADHN OCC[C@H](NCCCF)c1ccc(Cl)cc1 ZINC000287044711 183096659 /nfs/dbraw/zinc/09/66/59/183096659.db2.gz TXSTWUMARPITPA-LBPRGKRZSA-N 0 3 245.725 2.713 20 0 BFADHN OCC[C@H](N[C@@H]1CCC12CCC2)c1ccco1 ZINC000282654521 183096228 /nfs/dbraw/zinc/09/62/28/183096228.db2.gz IEBOUSHTCKOSSF-WCQYABFASA-N 0 3 235.327 2.625 20 0 BFADHN OCC[C@@H](NCCC1CCCC1)c1ccco1 ZINC000185091054 183090295 /nfs/dbraw/zinc/09/02/95/183090295.db2.gz IWFWGFMBHDEHLA-CYBMUJFWSA-N 0 3 237.343 2.873 20 0 BFADHN OCC[C@H](NC1CCCCC1)c1ccco1 ZINC000186540494 183097031 /nfs/dbraw/zinc/09/70/31/183097031.db2.gz ZYVQVHQRCRJWFU-LBPRGKRZSA-N 0 3 223.316 2.625 20 0 BFADHN OCC[C@@H]1CCCN1Cc1cccc(Cl)c1 ZINC000303742178 183092689 /nfs/dbraw/zinc/09/26/89/183092689.db2.gz OMCVYWHQSBYLDD-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN OCC[C@@H]1CCCCN1Cc1ccc(F)cc1 ZINC000049801094 183093386 /nfs/dbraw/zinc/09/33/86/183093386.db2.gz PIALQCODKUZOGT-AWEZNQCLSA-N 0 3 237.318 2.563 20 0 BFADHN CCCC[C@H](COC)N[C@@H]1CCC[C@@H]1F ZINC000340533831 169004600 /nfs/dbraw/zinc/00/46/00/169004600.db2.gz YFTFHWPQFVASJD-GRYCIOLGSA-N 0 3 217.328 2.672 20 0 BFADHN CCCC[C@H](COC)N[C@H](C)c1cncs1 ZINC000134031166 169004632 /nfs/dbraw/zinc/00/46/32/169004632.db2.gz OYZJAOPORKQICL-GHMZBOCLSA-N 0 3 242.388 2.999 20 0 BFADHN CCCC[C@H](COC)NCc1ccoc1 ZINC000164178250 169004917 /nfs/dbraw/zinc/00/49/17/169004917.db2.gz SDYGFFILTLMONA-GFCCVEGCSA-N 0 3 211.305 2.574 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@@H](C)C1CCCCC1 ZINC000052342576 169007289 /nfs/dbraw/zinc/00/72/89/169007289.db2.gz HNRYOTCBOYOPAK-AAEUAGOBSA-N 0 3 240.391 2.589 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1cc(F)ccc1C ZINC000037619258 169008058 /nfs/dbraw/zinc/00/80/58/169008058.db2.gz SYQWKZKJNCUBPP-NSHDSACASA-N 0 3 238.306 2.590 20 0 BFADHN OCC[C@H]1CCC[C@H]1NCc1ccc(Cl)o1 ZINC000336663533 183099287 /nfs/dbraw/zinc/09/92/87/183099287.db2.gz DBTDNXUJUDVOKB-MWLCHTKSSA-N 0 3 243.734 2.574 20 0 BFADHN OC[C@@H](NCCC1CCCC1)c1ccsc1 ZINC000336692019 183107656 /nfs/dbraw/zinc/10/76/56/183107656.db2.gz XFFAAABJQKSEQZ-CYBMUJFWSA-N 0 3 239.384 2.951 20 0 BFADHN CCCC[C@H](CCC)CNC(=O)[C@@H](N)C(C)C ZINC000119558867 169000967 /nfs/dbraw/zinc/00/09/67/169000967.db2.gz FLVFPYARIXVOJU-STQMWFEESA-N 0 3 242.407 2.692 20 0 BFADHN CCCCc1ccc(NC(=O)C(C)(C)N)cc1 ZINC000040920616 169020415 /nfs/dbraw/zinc/02/04/15/169020415.db2.gz QJIGMOXDCFKGOB-UHFFFAOYSA-N 0 3 234.343 2.705 20 0 BFADHN CCCCc1ccc(NC(=O)CNC(C)C)cc1 ZINC000037386050 169021024 /nfs/dbraw/zinc/02/10/24/169021024.db2.gz RPFLCCUFKFFNOY-UHFFFAOYSA-N 0 3 248.370 2.966 20 0 BFADHN OC[C@H](CNCc1ccsc1Cl)C1CC1 ZINC000336737578 183137362 /nfs/dbraw/zinc/13/73/62/183137362.db2.gz QIAFAFLVUJWXAT-JTQLQIEISA-N 0 3 245.775 2.510 20 0 BFADHN OC[C@H](N[C@H]1CCC12CCC2)c1ccsc1 ZINC000336671849 183141494 /nfs/dbraw/zinc/14/14/94/183141494.db2.gz ILYOJXWXXKTBCW-RYUDHWBXSA-N 0 3 237.368 2.704 20 0 BFADHN OC[C@H](N[C@@H]1CCC12CCC2)c1ccccc1 ZINC000282162699 183141720 /nfs/dbraw/zinc/14/17/20/183141720.db2.gz LTPAVUOEYIDELT-UONOGXRCSA-N 0 3 231.339 2.642 20 0 BFADHN OC[C@H]1C=C[C@@H](N[C@H](c2ccccc2)C2CC2)C1 ZINC000183569789 183146455 /nfs/dbraw/zinc/14/64/55/183146455.db2.gz JLUKPAVSGAZQBA-APHBMKBZSA-N 0 3 243.350 2.664 20 0 BFADHN CCCN(C(=O)[C@@H](CC)N(CC)CC)C1CC1 ZINC000359719882 169045073 /nfs/dbraw/zinc/04/50/73/169045073.db2.gz IDWYVTAVXDZYJD-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN OC[C@H]1c2ccccc2CCN1[C@@H]1C=CCCC1 ZINC000268456228 183157709 /nfs/dbraw/zinc/15/77/09/183157709.db2.gz CLRVRZTZFSQZCS-ZBFHGGJFSA-N 0 3 243.350 2.687 20 0 BFADHN OCc1ccc(CN2CCC[C@@H]3CCC[C@H]32)o1 ZINC000228186395 183163939 /nfs/dbraw/zinc/16/39/39/183163939.db2.gz VRLXUXWVUJQEJP-SMDDNHRTSA-N 0 3 235.327 2.536 20 0 BFADHN OCc1ccc(CNC2CCCCCC2)o1 ZINC000081546898 183165536 /nfs/dbraw/zinc/16/55/36/183165536.db2.gz OEHXNQJZWCQTTH-UHFFFAOYSA-N 0 3 223.316 2.584 20 0 BFADHN O[C@@H](CC1CCCC1)CN1CC2(C1)CCCC2 ZINC000352874679 183172884 /nfs/dbraw/zinc/17/28/84/183172884.db2.gz FUCWTMIRWWFHFD-AWEZNQCLSA-N 0 3 237.387 2.804 20 0 BFADHN O[C@@H](CCNCc1ccsc1)c1ccccc1 ZINC000289466269 183174481 /nfs/dbraw/zinc/17/44/81/183174481.db2.gz OZDVZVQQROMJHW-AWEZNQCLSA-N 0 3 247.363 2.961 20 0 BFADHN O[C@@H](CN1CC(C2CCCC2)C1)c1ccccc1 ZINC000366503898 183176586 /nfs/dbraw/zinc/17/65/86/183176586.db2.gz IVUCANTUSYOBRM-INIZCTEOSA-N 0 3 245.366 2.842 20 0 BFADHN O[C@@H]1CCN(Cc2ccc(C3CCC3)cc2)C1 ZINC000278590804 183198583 /nfs/dbraw/zinc/19/85/83/183198583.db2.gz ZIFBTFJMGCCPNW-OAHLLOKOSA-N 0 3 231.339 2.521 20 0 BFADHN O[C@@H]1CC[C@H](CNCc2ccccc2Cl)C1 ZINC000188852378 183203005 /nfs/dbraw/zinc/20/30/05/183203005.db2.gz BKOHNLCKXPERTM-CMPLNLGQSA-N 0 3 239.746 2.591 20 0 BFADHN O[C@@H]1CCCC[C@H]1NCc1cc2ccccc2o1 ZINC000051993529 183190552 /nfs/dbraw/zinc/19/05/52/183190552.db2.gz CTIIQGIJZCRYJZ-ZIAGYGMSSA-N 0 3 245.322 2.826 20 0 BFADHN O[C@@H]1CCCN([C@@H]2CCc3ccc(F)cc32)CC1 ZINC000293011727 183193640 /nfs/dbraw/zinc/19/36/40/183193640.db2.gz DRXFIIKWVJBSQF-UKRRQHHQSA-N 0 3 249.329 2.660 20 0 BFADHN CCCN(C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000179971598 169080028 /nfs/dbraw/zinc/08/00/28/169080028.db2.gz DIZGMGUZISMBQQ-UHFFFAOYSA-N 0 3 229.327 2.919 20 0 BFADHN CCCN(C)Cc1cnc(-c2ccccc2)[nH]1 ZINC000179971598 169080031 /nfs/dbraw/zinc/08/00/31/169080031.db2.gz DIZGMGUZISMBQQ-UHFFFAOYSA-N 0 3 229.327 2.919 20 0 BFADHN O[C@H](CN1CC[C@H](C2CC2)C1)c1ccccc1F ZINC000363491025 183222426 /nfs/dbraw/zinc/22/24/26/183222426.db2.gz RMDCOMYFTMAVLZ-SWLSCSKDSA-N 0 3 249.329 2.591 20 0 BFADHN CCCN(C)Cc1cccc2c1OCCCO2 ZINC000127519211 169081724 /nfs/dbraw/zinc/08/17/24/169081724.db2.gz IAGNQTXVEIRYJG-UHFFFAOYSA-N 0 3 235.327 2.690 20 0 BFADHN O[C@H](C[C@H]1CCCN1CCCF)c1ccco1 ZINC000151851132 183227916 /nfs/dbraw/zinc/22/79/16/183227916.db2.gz ZUCPVHUJRJVLNM-VXGBXAGGSA-N 0 3 241.306 2.527 20 0 BFADHN CCCN(C)[C@H](CO)c1ccc(Cl)cc1 ZINC000296185877 169088692 /nfs/dbraw/zinc/08/86/92/169088692.db2.gz IPVPOAMQXVBRMO-GFCCVEGCSA-N 0 3 227.735 2.715 20 0 BFADHN CCCN(C/C=C/c1ccc(F)cc1)CCO ZINC000271051461 169090526 /nfs/dbraw/zinc/09/05/26/169090526.db2.gz WEKXUJLLOUETPT-ONEGZZNKSA-N 0 3 237.318 2.543 20 0 BFADHN O[C@H]1CC[C@H](CNCc2cc3ccccc3o2)C1 ZINC000188803440 183242098 /nfs/dbraw/zinc/24/20/98/183242098.db2.gz OLDXDKKGNSTQPD-AAEUAGOBSA-N 0 3 245.322 2.683 20 0 BFADHN O[C@H]1C[C@@H](NCc2ccc(C3CCC3)cc2)C1 ZINC000294723681 183248474 /nfs/dbraw/zinc/24/84/74/183248474.db2.gz BBHNEQCIDNQPNP-GASCZTMLSA-N 0 3 231.339 2.567 20 0 BFADHN Oc1ccc2c(c1)[C@@H](N[C@H]1CCCOC1)CCC2 ZINC000236599493 183281566 /nfs/dbraw/zinc/28/15/66/183281566.db2.gz QBHUOPVUQYFYCK-WFASDCNBSA-N 0 3 247.338 2.538 20 0 BFADHN CCCN(CC)Cc1cc(C(=O)OCC)co1 ZINC000353387612 169128980 /nfs/dbraw/zinc/12/89/80/169128980.db2.gz YCLNGRPGJGRFLH-UHFFFAOYSA-N 0 3 239.315 2.688 20 0 BFADHN CCCN(CC)Cc1ccc(C(=O)OCC)o1 ZINC000271032085 169129546 /nfs/dbraw/zinc/12/95/46/169129546.db2.gz ZKXLVQKSZFOYRC-UHFFFAOYSA-N 0 3 239.315 2.688 20 0 BFADHN CCCN(CCC)C(=O)CN(C)C[C@H](C)CC ZINC000111253197 169141479 /nfs/dbraw/zinc/14/14/79/169141479.db2.gz KWEUBIWHBBWBRO-CYBMUJFWSA-N 0 3 242.407 2.613 20 0 BFADHN CCCN(CCC)C(=O)CN1[C@H](C)CC[C@H]1C ZINC000120144252 169141613 /nfs/dbraw/zinc/14/16/13/169141613.db2.gz GRMJNJBXSYSQDY-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN CCCN(CCC)C(=O)CN1[C@H](C)CC[C@@H]1C ZINC000120143993 169142236 /nfs/dbraw/zinc/14/22/36/169142236.db2.gz GRMJNJBXSYSQDY-BETUJISGSA-N 0 3 240.391 2.508 20 0 BFADHN Oc1cc(F)cc(CN2CCC3(CCC3)C2)c1 ZINC000186230371 183258574 /nfs/dbraw/zinc/25/85/74/183258574.db2.gz LMXJKYKPJXIWFV-UHFFFAOYSA-N 0 3 235.302 2.907 20 0 BFADHN [O-]c1cc(F)cc(C[N@@H+]2CC[C@H]3CCC[C@H]32)c1 ZINC000355181597 183259433 /nfs/dbraw/zinc/25/94/33/183259433.db2.gz XZWOPIGUKHTYQZ-BXUZGUMPSA-N 0 3 235.302 2.906 20 0 BFADHN [O-]c1cc(F)cc(C[N@H+]2CC[C@H]3CCC[C@H]32)c1 ZINC000355181597 183259434 /nfs/dbraw/zinc/25/94/34/183259434.db2.gz XZWOPIGUKHTYQZ-BXUZGUMPSA-N 0 3 235.302 2.906 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]CC2(C3CC3)CC2)c1 ZINC000185606770 183259575 /nfs/dbraw/zinc/25/95/75/183259575.db2.gz JWGWEEYRJDVHFD-UHFFFAOYSA-N 0 3 235.302 2.811 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CCCSC2)c1 ZINC000159625338 183259917 /nfs/dbraw/zinc/25/99/17/183259917.db2.gz LWZPZDBFQYKWTC-NSHDSACASA-N 0 3 241.331 2.517 20 0 BFADHN Oc1ccc2c(c1)[C@H](N[C@@H]1CCCOC1)CCC2 ZINC000236590949 183281432 /nfs/dbraw/zinc/28/14/32/183281432.db2.gz QBHUOPVUQYFYCK-IUODEOHRSA-N 0 3 247.338 2.538 20 0 BFADHN CCCN(CCC1CC1)[C@@H](CC)C(=O)OCC ZINC000361982651 169154509 /nfs/dbraw/zinc/15/45/09/169154509.db2.gz GCLJOTMJCZFURL-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN CCCN(CCN1CCCC1)C[C@@H](F)CC ZINC000352870798 169158729 /nfs/dbraw/zinc/15/87/29/169158729.db2.gz SFIWAPPJTOEFDQ-ZDUSSCGKSA-N 0 3 230.371 2.542 20 0 BFADHN CCCN(CCN1CCCCC1)C[C@@H](F)CC ZINC000353150580 169159822 /nfs/dbraw/zinc/15/98/22/169159822.db2.gz RZACDUZBCGAIBS-AWEZNQCLSA-N 0 3 244.398 2.932 20 0 BFADHN Oc1cccc2c1CCC[C@@H]2N[C@H]1CCCOC1 ZINC000191636203 183293974 /nfs/dbraw/zinc/29/39/74/183293974.db2.gz BOGIOEHQMOZKSP-FZMZJTMJSA-N 0 3 247.338 2.538 20 0 BFADHN Oc1cccc2c1CCC[C@@H]2NC[C@@H]1CCCO1 ZINC000276862107 183294668 /nfs/dbraw/zinc/29/46/68/183294668.db2.gz WJXAQWCHNSYLFQ-FZMZJTMJSA-N 0 3 247.338 2.538 20 0 BFADHN Oc1cccc2c1CCC[C@H]2NC1CC1 ZINC000257920104 183295067 /nfs/dbraw/zinc/29/50/67/183295067.db2.gz YAUWBNSYRMOGEI-GFCCVEGCSA-N 0 3 203.285 2.522 20 0 BFADHN CCCN(Cc1cnc(C)s1)C1CC1 ZINC000171678816 169179767 /nfs/dbraw/zinc/17/97/67/169179767.db2.gz KBFDTVTXWLBNMU-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NCC1CCCCC1 ZINC000370955301 183319575 /nfs/dbraw/zinc/31/95/75/183319575.db2.gz YONPAFOXTIYEOF-CYBMUJFWSA-N 0 3 233.359 2.957 20 0 BFADHN c1c[nH]c([C@H](NC2CC2)C2CCCCC2)n1 ZINC000309306289 183328288 /nfs/dbraw/zinc/32/82/88/183328288.db2.gz AWFXUDLUQQNLLV-GFCCVEGCSA-N 0 3 219.332 2.783 20 0 BFADHN CCCN1CCC[C@@H]1CNCc1ccc(C)o1 ZINC000353129972 169197068 /nfs/dbraw/zinc/19/70/68/169197068.db2.gz VMFAQCCOJOPURS-CYBMUJFWSA-N 0 3 236.359 2.552 20 0 BFADHN CCCN1CCC[C@H]1C(=O)Nc1ccccc1C ZINC000257540590 169200784 /nfs/dbraw/zinc/20/07/84/169200784.db2.gz YZARONMSRBQATI-AWEZNQCLSA-N 0 3 246.354 2.808 20 0 BFADHN CCCN1CCN(CCC)[C@H]2CCCC[C@H]21 ZINC000019365566 169202392 /nfs/dbraw/zinc/20/23/92/169202392.db2.gz QLWLQPCFOSNUKV-OKILXGFUSA-N 0 3 224.392 2.735 20 0 BFADHN c1cc(CN(CC2CC2)CC2CCC2)no1 ZINC000352365128 183337753 /nfs/dbraw/zinc/33/77/53/183337753.db2.gz NLGNSLFMOQTHSB-UHFFFAOYSA-N 0 3 220.316 2.687 20 0 BFADHN c1cc2c(c(CN3CCCC4(CC4)C3)c1)OCO2 ZINC000186614374 183339066 /nfs/dbraw/zinc/33/90/66/183339066.db2.gz CEVHZPUQRAOQLM-UHFFFAOYSA-N 0 3 245.322 2.791 20 0 BFADHN c1cc(CN2CCCC3(CCC3)C2)ccn1 ZINC000366342186 183340937 /nfs/dbraw/zinc/34/09/37/183340937.db2.gz WNWQNNRBVAOKBH-UHFFFAOYSA-N 0 3 216.328 2.848 20 0 BFADHN CCCNC(=O)CN[C@H](CC)c1cccc(C)c1 ZINC000285621267 169228790 /nfs/dbraw/zinc/22/87/90/169228790.db2.gz KTXZXPAWYLSVCJ-CQSZACIVSA-N 0 3 248.370 2.562 20 0 BFADHN CCCN1C[C@@H](C)OC[C@@H]1c1ccccc1 ZINC000076147964 169210019 /nfs/dbraw/zinc/21/00/19/169210019.db2.gz GHHVDFYODNFSSS-TZMCWYRMSA-N 0 3 219.328 2.858 20 0 BFADHN CCCN1Cc2ccccc2OC[C@H]1C ZINC000273054733 169211591 /nfs/dbraw/zinc/21/15/91/169211591.db2.gz ZCAQGXZQYISOMI-LLVKDONJSA-N 0 3 205.301 2.680 20 0 BFADHN c1cc(CN[C@H]2CCCSC2)cs1 ZINC000063152518 183353997 /nfs/dbraw/zinc/35/39/97/183353997.db2.gz CTTBQNKYCQADNY-JTQLQIEISA-N 0 3 213.371 2.733 20 0 BFADHN c1cc(CN[C@H]2CCCc3cn[nH]c32)cs1 ZINC000268843320 183354050 /nfs/dbraw/zinc/35/40/50/183354050.db2.gz OCKQDQYWFRUOGB-NSHDSACASA-N 0 3 233.340 2.638 20 0 BFADHN CCCNC(=O)CCN[C@@H](C)c1ccccc1C ZINC000045071946 169216794 /nfs/dbraw/zinc/21/67/94/169216794.db2.gz KTJYRKLYRLUKPI-ZDUSSCGKSA-N 0 3 248.370 2.562 20 0 BFADHN c1cc2c(o1)CCCN(CC[C@@H]1CCCO1)C2 ZINC000288636490 183371824 /nfs/dbraw/zinc/37/18/24/183371824.db2.gz AFIHULNDNXKUGL-ZDUSSCGKSA-N 0 3 235.327 2.597 20 0 BFADHN c1cc2c(s1)CN(CC[C@H]1CCCO1)CC2 ZINC000276231370 183372964 /nfs/dbraw/zinc/37/29/64/183372964.db2.gz NEIHLDYYMYBEBH-GFCCVEGCSA-N 0 3 237.368 2.675 20 0 BFADHN c1cc2c(o1)CCC[C@H]2NC[C@H]1CCCCO1 ZINC000124008909 183373001 /nfs/dbraw/zinc/37/30/01/183373001.db2.gz UHILAYPGMHGXHP-DGCLKSJQSA-N 0 3 235.327 2.816 20 0 BFADHN c1cc2c(s1)CCN(CC[C@H]1CCCO1)C2 ZINC000188650959 183373046 /nfs/dbraw/zinc/37/30/46/183373046.db2.gz HQSSNWYBALORKY-GFCCVEGCSA-N 0 3 237.368 2.675 20 0 BFADHN c1cc2c(s1)CCN(CCC1CC1)C2 ZINC000336700292 183373595 /nfs/dbraw/zinc/37/35/95/183373595.db2.gz XAMMPCFHSBLHRQ-UHFFFAOYSA-N 0 3 207.342 2.906 20 0 BFADHN c1cc2c(s1)CCC[C@H]2N[C@@H]1CCCOC1 ZINC000071012464 183373703 /nfs/dbraw/zinc/37/37/03/183373703.db2.gz BIJCQHDBBKVFAI-ZYHUDNBSSA-N 0 3 237.368 2.894 20 0 BFADHN c1cc2cc(CNC[C@@H]3CCCO3)ccc2o1 ZINC000285604937 183374120 /nfs/dbraw/zinc/37/41/20/183374120.db2.gz YNQCXRPVZIPUSG-ZDUSSCGKSA-N 0 3 231.295 2.701 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1c(C)cc(C)cc1C ZINC000261712276 169284981 /nfs/dbraw/zinc/28/49/81/169284981.db2.gz GGUZQXLJABAAJM-CYBMUJFWSA-N 0 3 248.370 2.938 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1cccc(C)c1C ZINC000295223764 169285201 /nfs/dbraw/zinc/28/52/01/169285201.db2.gz RFAIFHAKDHYESG-GFCCVEGCSA-N 0 3 234.343 2.630 20 0 BFADHN c1cc(CNC2(c3ccccc3)CC2)c[nH]1 ZINC000086253427 183389325 /nfs/dbraw/zinc/38/93/25/183389325.db2.gz JQULWPZOKBGDLT-UHFFFAOYSA-N 0 3 212.296 2.794 20 0 BFADHN c1cn(CCNC2(c3ccccc3)CCC2)cn1 ZINC000179467450 183389362 /nfs/dbraw/zinc/38/93/62/183389362.db2.gz DMMGRAINQYYTHE-UHFFFAOYSA-N 0 3 241.338 2.552 20 0 BFADHN c1ncc(CNCCCc2ccccc2)s1 ZINC000054770140 183392106 /nfs/dbraw/zinc/39/21/06/183392106.db2.gz YXLUHSYIQADPBF-UHFFFAOYSA-N 0 3 232.352 2.866 20 0 BFADHN CCCNCc1c(F)cccc1N1CCCC1 ZINC000098078506 169265988 /nfs/dbraw/zinc/26/59/88/169265988.db2.gz MZHAPDMQLQSMSR-UHFFFAOYSA-N 0 3 236.334 2.926 20 0 BFADHN CCCNCc1cccc(OC)c1OC(F)F ZINC000035099517 169268241 /nfs/dbraw/zinc/26/82/41/169268241.db2.gz HIAXXBNJGUTLCT-UHFFFAOYSA-N 0 3 245.269 2.796 20 0 BFADHN CCCNCc1nc2ccccc2n1CCC ZINC000038046541 169269575 /nfs/dbraw/zinc/26/95/75/169269575.db2.gz BEJNHUVNQVBAJH-UHFFFAOYSA-N 0 3 231.343 2.946 20 0 BFADHN c1ccc(CN(C2CCC2)C2CCC2)nc1 ZINC000292390351 183397707 /nfs/dbraw/zinc/39/77/07/183397707.db2.gz KJPUHMZRJXYSNJ-UHFFFAOYSA-N 0 3 216.328 2.989 20 0 BFADHN CCCN[C@@H](C)c1cc(OC)ccc1OC ZINC000019506075 169276449 /nfs/dbraw/zinc/27/64/49/169276449.db2.gz ORPZUJJJDGNOTM-JTQLQIEISA-N 0 3 223.316 2.764 20 0 BFADHN CCCOc1ccc([C@@H](C)N[C@@H](C)CO)cc1 ZINC000035602805 169320851 /nfs/dbraw/zinc/32/08/51/169320851.db2.gz ARVHKKHCYFIWFD-NWDGAFQWSA-N 0 3 237.343 2.507 20 0 BFADHN CCCOc1cccc(CN2CC[C@@H](OC)C2)c1 ZINC000353853876 169324742 /nfs/dbraw/zinc/32/47/42/169324742.db2.gz GSVBLSQBGGJUTE-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN CCCOc1cccc(CN(C)CCOC)c1 ZINC000127154543 169325256 /nfs/dbraw/zinc/32/52/56/169325256.db2.gz GEMWWKQTICEJRT-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cncc(OC)c1 ZINC000290201587 169365014 /nfs/dbraw/zinc/36/50/14/169365014.db2.gz GSLXBYDRPQWAKO-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN CCC[C@@H](C)NC1(C(=O)Nc2ccccc2)CC1 ZINC000337356685 169369166 /nfs/dbraw/zinc/36/91/66/169369166.db2.gz CNXFNUXJNXENAZ-GFCCVEGCSA-N 0 3 246.354 2.936 20 0 BFADHN CCC[C@@H](C)NCc1ncc(C)c(OC)c1C ZINC000020040834 169370979 /nfs/dbraw/zinc/37/09/79/169370979.db2.gz IHAGSKRLXQZUIV-LLVKDONJSA-N 0 3 236.359 2.985 20 0 BFADHN CCC[C@@H](C)CN[C@H]1CCCc2c[nH]nc21 ZINC000336740131 169361164 /nfs/dbraw/zinc/36/11/64/169361164.db2.gz PWOCXQGEFDLJHV-PWSUYJOCSA-N 0 3 221.348 2.813 20 0 BFADHN CCC[C@@H](C)N[C@@H](COC)c1ccco1 ZINC000122303214 169371162 /nfs/dbraw/zinc/37/11/62/169371162.db2.gz WSGOQEBAZBZZPF-MNOVXSKESA-N 0 3 211.305 2.745 20 0 BFADHN CCC[C@@H](C)N[C@@H](CO)c1ccsc1 ZINC000336656769 169371820 /nfs/dbraw/zinc/37/18/20/169371820.db2.gz OYGIQQMGKUQPRB-KOLCDFICSA-N 0 3 213.346 2.560 20 0 BFADHN CCC[C@@H](CC)NCc1ncccc1N(C)C ZINC000289789550 169379369 /nfs/dbraw/zinc/37/93/69/169379369.db2.gz NCSFGJXJLHLITQ-GFCCVEGCSA-N 0 3 235.375 2.816 20 0 BFADHN CCC[C@@H](CC)NCc1cnccc1OC ZINC000294077952 169379635 /nfs/dbraw/zinc/37/96/35/169379635.db2.gz IHDKLYRQWBRYDS-GFCCVEGCSA-N 0 3 222.332 2.759 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1cccc(Cl)c1C ZINC000036872695 169391521 /nfs/dbraw/zinc/39/15/21/169391521.db2.gz YSHLKOYYFRODMA-SNVBAGLBSA-N 0 3 240.734 2.714 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1ccc(C)cc1Cl ZINC000036873073 169391346 /nfs/dbraw/zinc/39/13/46/169391346.db2.gz HKSWDPLSFQVAKW-SNVBAGLBSA-N 0 3 240.734 2.714 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1ccc(CC)c(CC)c1 ZINC000236781855 169391966 /nfs/dbraw/zinc/39/19/66/169391966.db2.gz STTOKUPZLGBENX-CQSZACIVSA-N 0 3 248.370 2.877 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@H](CCC)c1ccccc1 ZINC000037030097 169391978 /nfs/dbraw/zinc/39/19/78/169391978.db2.gz ZVKYCXJFEFSADL-ZIAGYGMSSA-N 0 3 248.370 2.771 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@H](C)c1ccc(CC)cc1 ZINC000037016592 169392084 /nfs/dbraw/zinc/39/20/84/169392084.db2.gz GMXREPZBJPKNOF-BXUZGUMPSA-N 0 3 248.370 2.554 20 0 BFADHN C[C@]1(NCc2ccc(Cl)cc2)CCCOC1 ZINC000221340349 134319957 /nfs/dbraw/zinc/31/99/57/134319957.db2.gz PJJCXHFOUDNCRG-ZDUSSCGKSA-N 0 3 239.746 2.999 20 0 BFADHN CCC[C@@H](NCC1=CCCOC1)c1cccnc1 ZINC000286889839 169399427 /nfs/dbraw/zinc/39/94/27/169399427.db2.gz CZNARRHRCFAHBL-OAHLLOKOSA-N 0 3 246.354 2.859 20 0 BFADHN CCC[C@@](C)(N)C(=O)N(C)CC1CCCCC1 ZINC000037818892 169449616 /nfs/dbraw/zinc/44/96/16/169449616.db2.gz UDSXNIOHXBRTRB-CQSZACIVSA-N 0 3 240.391 2.543 20 0 BFADHN CCC[C@@]1(NCc2ccc(C)o2)CCOC1 ZINC000285488867 169463397 /nfs/dbraw/zinc/46/33/97/169463397.db2.gz LYUVWNBMUHWXEX-CYBMUJFWSA-N 0 3 223.316 2.637 20 0 BFADHN CCC[C@H](C)NCC(=O)Nc1ccc(F)cc1 ZINC000020040653 169492892 /nfs/dbraw/zinc/49/28/92/169492892.db2.gz KVGFIJDDPOZVJN-JTQLQIEISA-N 0 3 238.306 2.542 20 0 BFADHN CCC[C@H](C)NCc1cc(OC)ccc1OC ZINC000019903062 169493494 /nfs/dbraw/zinc/49/34/94/169493494.db2.gz ILDJYNKZRJAOIH-NSHDSACASA-N 0 3 237.343 2.982 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1cc(C)ccn1)OC ZINC000294666789 169499676 /nfs/dbraw/zinc/49/96/76/169499676.db2.gz AGWGSQLRQXONCP-QWHCGFSZSA-N 0 3 236.359 2.856 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](O)C(F)F)cc1 ZINC000224442748 134347184 /nfs/dbraw/zinc/34/71/84/134347184.db2.gz QPHVMSJXSFWOLI-CABZTGNLSA-N 0 3 243.297 2.526 20 0 BFADHN CC[C@@H](NC[C@H]1CCSC1)c1nccs1 ZINC000224429894 134347390 /nfs/dbraw/zinc/34/73/90/134347390.db2.gz ADSQGMDENRAPCR-NXEZZACHSA-N 0 3 242.413 2.937 20 0 BFADHN C[C@H](NC[C@@H](O)C(F)F)c1cccc(Cl)c1 ZINC000224459582 134347538 /nfs/dbraw/zinc/34/75/38/134347538.db2.gz OYCBTSXEWZBICF-OIBJUYFYSA-N 0 3 249.688 2.617 20 0 BFADHN CCC[C@](C)(N)C(=O)N(C)[C@H]1CCC[C@H](C)C1 ZINC000042494779 169545578 /nfs/dbraw/zinc/54/55/78/169545578.db2.gz AIKBGMQAZHPTGI-OBJOEFQTSA-N 0 3 240.391 2.541 20 0 BFADHN CCCc1cccc(CN[C@H]2CCOC2)c1 ZINC000336769972 169577674 /nfs/dbraw/zinc/57/76/74/169577674.db2.gz SMIWFXJTEHQBBS-AWEZNQCLSA-N 0 3 219.328 2.518 20 0 BFADHN CO[C@@H]1C[C@H](NCc2ccsc2)C12CCC2 ZINC000308336076 532964039 /nfs/dbraw/zinc/96/40/39/532964039.db2.gz CXBAQJQSHHDOQV-NWDGAFQWSA-N 0 3 237.368 2.795 20 0 BFADHN c1ccc2c(c1)OCC[C@@H]2N[C@H]1CCSC1 ZINC000034996460 383821882 /nfs/dbraw/zinc/82/18/82/383821882.db2.gz TVAYPSJUHJJHTH-JQWIXIFHSA-N 0 3 235.352 2.605 20 0 BFADHN CC(C)=CCN1CC[C@@H](C(C)(C)C)[C@H](O)C1 ZINC000558155794 383823479 /nfs/dbraw/zinc/82/34/79/383823479.db2.gz BOTOGCYPKNGDSB-CHWSQXEVSA-N 0 3 225.376 2.682 20 0 BFADHN CSC[C@@H](C)NCc1cccc(C)c1F ZINC000295760031 383837429 /nfs/dbraw/zinc/83/74/29/383837429.db2.gz QDEHIFNOUVSUOS-SNVBAGLBSA-N 0 3 227.348 2.975 20 0 BFADHN COC[C@@H](NCc1ccc(Cl)cc1)C1CC1 ZINC000087477957 383843746 /nfs/dbraw/zinc/84/37/46/383843746.db2.gz AMKPWMMIWKMACV-CYBMUJFWSA-N 0 3 239.746 2.855 20 0 BFADHN COC[C@@H](NCc1ccccc1Cl)C1CC1 ZINC000087477066 383845100 /nfs/dbraw/zinc/84/51/00/383845100.db2.gz VOCBLLBNIPAJAH-CYBMUJFWSA-N 0 3 239.746 2.855 20 0 BFADHN CC[C@H](CO)N[C@@H](C)c1ccc(Cl)s1 ZINC000035286314 383848232 /nfs/dbraw/zinc/84/82/32/383848232.db2.gz UXMGTHVNTHRAJM-JGVFFNPUSA-N 0 3 233.764 2.823 20 0 BFADHN C[C@H](NCc1cccc(F)c1F)[C@@H]1CCCO1 ZINC000035276383 383848522 /nfs/dbraw/zinc/84/85/22/383848522.db2.gz JKSRSEDHJHDAJI-CABZTGNLSA-N 0 3 241.281 2.622 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccccn2)C12CCC2 ZINC000087708848 383859616 /nfs/dbraw/zinc/85/96/16/383859616.db2.gz DVQVKGSTKTYOGE-KGLIPLIRSA-N 0 3 246.354 2.519 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCc3occc32)[C@H](C)O1 ZINC000295848196 383861331 /nfs/dbraw/zinc/86/13/31/383861331.db2.gz BTDHCBOZPOISRE-URBCHYCLSA-N 0 3 235.327 2.813 20 0 BFADHN c1cc(CN[C@@H]2CCC[C@@H]2c2ccccc2)n[nH]1 ZINC000111413034 383861308 /nfs/dbraw/zinc/86/13/08/383861308.db2.gz NMKCBOVALPYSIT-HUUCEWRRSA-N 0 3 241.338 2.836 20 0 BFADHN C[C@H](NCC1=CCCC1)c1ccncc1 ZINC000401061077 383861801 /nfs/dbraw/zinc/86/18/01/383861801.db2.gz UYTNKYIEMQWEFI-NSHDSACASA-N 0 3 202.301 2.843 20 0 BFADHN Cc1ccc(CNCCc2cccs2)nc1 ZINC000087782125 383864878 /nfs/dbraw/zinc/86/48/78/383864878.db2.gz UWZFIOZAFOCDFF-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN CN(C/C=C/c1ccccc1)CCn1cccn1 ZINC000111695516 383876142 /nfs/dbraw/zinc/87/61/42/383876142.db2.gz FZDFGYFODIZICA-WEVVVXLNSA-N 0 3 241.338 2.528 20 0 BFADHN CC[C@H](CCO)CN[C@@H](C)c1csc(C)n1 ZINC000087362442 383830591 /nfs/dbraw/zinc/83/05/91/383830591.db2.gz JXTWZEJHPGLMKD-GXSJLCMTSA-N 0 3 242.388 2.511 20 0 BFADHN C[C@@H](CCCO)NCc1ccc(F)cc1Cl ZINC000087359923 383831359 /nfs/dbraw/zinc/83/13/59/383831359.db2.gz DDTQAXSDYDGMFX-VIFPVBQESA-N 0 3 245.725 2.730 20 0 BFADHN Cc1n[nH]cc1CN[C@@H](c1ccccc1)C1CC1 ZINC000037075395 383934511 /nfs/dbraw/zinc/93/45/11/383934511.db2.gz CUUQTMFHMICQKR-HNNXBMFYSA-N 0 3 241.338 2.959 20 0 BFADHN CC[C@@H](O)[C@@H](CC)NCc1ccc(F)c(F)c1 ZINC000313126587 383910099 /nfs/dbraw/zinc/91/00/99/383910099.db2.gz YQPMARHVAMOPQC-CHWSQXEVSA-N 0 3 243.297 2.604 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1cccc2ccoc21 ZINC000560119891 383912982 /nfs/dbraw/zinc/91/29/82/383912982.db2.gz GDYBJZXXOJJUAT-CHWSQXEVSA-N 0 3 231.295 2.700 20 0 BFADHN CCc1ccc([C@H](C)NCc2cncn2C)cc1 ZINC000112337904 383915311 /nfs/dbraw/zinc/91/53/11/383915311.db2.gz CCHSSGXCBNZSPV-LBPRGKRZSA-N 0 3 243.354 2.833 20 0 BFADHN COc1c(C)cnc(CN(C)CC(C)C)c1C ZINC000067227356 383916104 /nfs/dbraw/zinc/91/61/04/383916104.db2.gz OFMPNEZUXNKHSC-UHFFFAOYSA-N 0 3 236.359 2.795 20 0 BFADHN Cn1nccc1CN[C@@H]1CCCc2ccccc21 ZINC000036901219 383916152 /nfs/dbraw/zinc/91/61/52/383916152.db2.gz JTONCECBZYUQKA-OAHLLOKOSA-N 0 3 241.338 2.587 20 0 BFADHN Cn1cncc1CN[C@@H]1CCCc2ccccc21 ZINC000112337485 383916645 /nfs/dbraw/zinc/91/66/45/383916645.db2.gz GZEVLNQIDVCYLX-OAHLLOKOSA-N 0 3 241.338 2.587 20 0 BFADHN CCc1ccc([C@@H](C)NCc2cncn2C)cc1 ZINC000112337901 383917233 /nfs/dbraw/zinc/91/72/33/383917233.db2.gz CCHSSGXCBNZSPV-GFCCVEGCSA-N 0 3 243.354 2.833 20 0 BFADHN Cc1n[nH]cc1CN[C@H](C)c1ccccc1 ZINC000036901398 383917475 /nfs/dbraw/zinc/91/74/75/383917475.db2.gz MXIXIGQACZHJQZ-SNVBAGLBSA-N 0 3 215.300 2.569 20 0 BFADHN C[C@@H](Cc1ccoc1)N[C@H](CO)c1ccccc1 ZINC000449142518 383924148 /nfs/dbraw/zinc/92/41/48/383924148.db2.gz OFIZTLUUTDHFPH-SWLSCSKDSA-N 0 3 245.322 2.534 20 0 BFADHN CC[C@H](O)[C@H](CC)NCc1ccccc1Cl ZINC000313142100 383931458 /nfs/dbraw/zinc/93/14/58/383931458.db2.gz IFQNJIWDARKUBA-STQMWFEESA-N 0 3 241.762 2.979 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccccc1Cl ZINC000131899268 383884997 /nfs/dbraw/zinc/88/49/97/383884997.db2.gz DYHCCXDEWZBUBC-UWVGGRQHSA-N 0 3 227.735 2.853 20 0 BFADHN COCC[C@H](C)N1Cc2ccccc2O[C@H](C)C1 ZINC000292347774 383888829 /nfs/dbraw/zinc/88/88/29/383888829.db2.gz HGSWSDKLOKIDDC-QWHCGFSZSA-N 0 3 249.354 2.695 20 0 BFADHN COCC[C@H](C)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000112018356 383889013 /nfs/dbraw/zinc/88/90/13/383889013.db2.gz VNJJWPRMIRTNFR-MDZLAQPJSA-N 0 3 237.343 2.918 20 0 BFADHN CCOCCNCc1ccc2ccccc2c1 ZINC000036228576 383889691 /nfs/dbraw/zinc/88/96/91/383889691.db2.gz JORHUTYBUAVHAE-UHFFFAOYSA-N 0 3 229.323 2.966 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1ncnn1C(C)C ZINC000348183972 383933640 /nfs/dbraw/zinc/93/36/40/383933640.db2.gz NPIBOVYCFJCYHD-RYUDHWBXSA-N 0 3 236.363 2.527 20 0 BFADHN COc1ccc(C)cc1CN1C[C@H](C)[C@H]1C ZINC000559350008 383898628 /nfs/dbraw/zinc/89/86/28/383898628.db2.gz YIMDAHRZZXTUPI-NWDGAFQWSA-N 0 3 219.328 2.844 20 0 BFADHN CC[C@H]1CC[C@H](NCc2ccnc(OC)n2)CC1 ZINC000449338186 383899454 /nfs/dbraw/zinc/89/94/54/383899454.db2.gz FPUSTLGBDSATQZ-HAQNSBGRSA-N 0 3 249.358 2.544 20 0 BFADHN CC1(C)CCN1Cc1cc2cccnc2o1 ZINC000560104342 383900959 /nfs/dbraw/zinc/90/09/59/383900959.db2.gz SABNWJKTNLVBEH-UHFFFAOYSA-N 0 3 216.284 2.812 20 0 BFADHN COC[C@H](NCc1ccc(C)cc1OC)C1CC1 ZINC000119871755 383905551 /nfs/dbraw/zinc/90/55/51/383905551.db2.gz GYEZBYALCYJSCL-AWEZNQCLSA-N 0 3 249.354 2.518 20 0 BFADHN CCc1ccccc1CN1CCN(C)C(C)(C)C1 ZINC000558396701 383978370 /nfs/dbraw/zinc/97/83/70/383978370.db2.gz HTRTZHFJBLWVEW-UHFFFAOYSA-N 0 3 246.398 2.775 20 0 BFADHN CCC(=O)CCCN1CCC[C@H]1c1ccccn1 ZINC000560220458 383980050 /nfs/dbraw/zinc/98/00/50/383980050.db2.gz PBUPCUDBHRVURK-HNNXBMFYSA-N 0 3 246.354 2.978 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc(C3CC3)ccc2F)CO1 ZINC000647214452 383988062 /nfs/dbraw/zinc/98/80/62/383988062.db2.gz ZOKNHJZLRVXMPK-YGRLFVJLSA-N 0 3 249.329 2.970 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(C)cn2)C[C@@H]1C ZINC000449506108 383988261 /nfs/dbraw/zinc/98/82/61/383988261.db2.gz ZXUYTWSJODFUSL-ZFWWWQNUSA-N 0 3 248.370 2.637 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1cccc(CC)c1 ZINC000037621333 383990435 /nfs/dbraw/zinc/99/04/35/383990435.db2.gz KUOCNHIUAZAPHB-CQSZACIVSA-N 0 3 234.343 2.705 20 0 BFADHN CC(C)[C@H]1CCC[C@H]1[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000560152455 383938971 /nfs/dbraw/zinc/93/89/71/383938971.db2.gz DYENEXUEUQVQLX-VXGBXAGGSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)[C@H]1CCC[C@H]1NCc1nnc(C2CC2)[nH]1 ZINC000560152455 383938978 /nfs/dbraw/zinc/93/89/78/383938978.db2.gz DYENEXUEUQVQLX-VXGBXAGGSA-N 0 3 248.374 2.596 20 0 BFADHN CC[C@H](Cc1ccccc1)NCc1nccn1C ZINC000112703441 383944472 /nfs/dbraw/zinc/94/44/72/383944472.db2.gz FQZDWUYIUJHPBR-CQSZACIVSA-N 0 3 243.354 2.531 20 0 BFADHN COCC1(CN(C)Cc2ccco2)CCC1 ZINC000291133181 383947365 /nfs/dbraw/zinc/94/73/65/383947365.db2.gz WSPSJZPMHABQAT-UHFFFAOYSA-N 0 3 223.316 2.528 20 0 BFADHN CCO[C@@H]1CCN(Cc2cccc(O)c2)C[C@H]1C ZINC000449501361 383950859 /nfs/dbraw/zinc/95/08/59/383950859.db2.gz VUPSYRLARDXUAA-IUODEOHRSA-N 0 3 249.354 2.639 20 0 BFADHN CC[C@@H](CN[C@H](C)c1ccc(Cl)cn1)OC ZINC000290289898 383958229 /nfs/dbraw/zinc/95/82/29/383958229.db2.gz XVZUDMBVSHBXJH-KOLCDFICSA-N 0 3 242.750 2.811 20 0 BFADHN C[C@H](NCc1cc(F)ccc1F)[C@H]1CCCO1 ZINC000037233344 383961855 /nfs/dbraw/zinc/96/18/55/383961855.db2.gz FVLYWXHSCCEBCV-TVQRCGJNSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@@H]2C[C@@H](C)n3ccnc32)C1 ZINC000345356364 383962141 /nfs/dbraw/zinc/96/21/41/383962141.db2.gz UVVGUBGWXXUJHH-FVCCEPFGSA-N 0 3 233.359 2.915 20 0 BFADHN C[C@@H](O)CN[C@@H](C)c1ccc(F)cc1Cl ZINC000037257870 383965629 /nfs/dbraw/zinc/96/56/29/383965629.db2.gz KXUCXTQEFUBHLN-SFYZADRCSA-N 0 3 231.698 2.511 20 0 BFADHN CCOCCN[C@H](c1ccc(F)cc1)C1CC1 ZINC000037269345 383966617 /nfs/dbraw/zinc/96/66/17/383966617.db2.gz IMBHPAHKCMCRNL-AWEZNQCLSA-N 0 3 237.318 2.903 20 0 BFADHN CC[C@H](CN[C@@H](C)c1ccc(Cl)nc1)OC ZINC000290310460 383967845 /nfs/dbraw/zinc/96/78/45/383967845.db2.gz ZSWBSNPOADOXHU-GXSJLCMTSA-N 0 3 242.750 2.811 20 0 BFADHN C[C@@H](N[C@H]1CCOC1)c1cccc(Cl)c1 ZINC000070964439 383970736 /nfs/dbraw/zinc/97/07/36/383970736.db2.gz AACJNYDZHBLCEL-SKDRFNHKSA-N 0 3 225.719 2.780 20 0 BFADHN C[C@H](N[C@@H]1CCOC1)c1cccc(Cl)c1 ZINC000070964426 383972031 /nfs/dbraw/zinc/97/20/31/383972031.db2.gz AACJNYDZHBLCEL-JOYOIKCWSA-N 0 3 225.719 2.780 20 0 BFADHN C[C@H](CCO)CNCc1sccc1Cl ZINC000278560529 384018113 /nfs/dbraw/zinc/01/81/13/384018113.db2.gz WBHVQBRRVOHPNJ-MRVPVSSYSA-N 0 3 233.764 2.510 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H](O)C(F)(F)F)cc1 ZINC000068879725 384019400 /nfs/dbraw/zinc/01/94/00/384019400.db2.gz LMRAEEHEGUDBFV-MWLCHTKSSA-N 0 3 247.260 2.569 20 0 BFADHN C[C@@H](CCO)CNCc1sccc1Cl ZINC000278560533 384019708 /nfs/dbraw/zinc/01/97/08/384019708.db2.gz WBHVQBRRVOHPNJ-QMMMGPOBSA-N 0 3 233.764 2.510 20 0 BFADHN c1ccc2c(c1)SCC[C@H]2NC1CC1 ZINC000022212738 384020947 /nfs/dbraw/zinc/02/09/47/384020947.db2.gz CQMIVHCEFJDSMM-LLVKDONJSA-N 0 3 205.326 2.976 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2cnccn2)cc1 ZINC000090015077 384021778 /nfs/dbraw/zinc/02/17/78/384021778.db2.gz RIGZSVZHABUHKM-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN CC(C)(C)CC(C)(C)NCc1ncc[nH]1 ZINC000049715278 384023227 /nfs/dbraw/zinc/02/32/27/384023227.db2.gz VUGQSFQZEHUSDD-UHFFFAOYSA-N 0 3 209.337 2.714 20 0 BFADHN CSCCCCN[C@H](C)c1nccs1 ZINC000091726570 384025769 /nfs/dbraw/zinc/02/57/69/384025769.db2.gz XHKNBKNKHJZXJY-SECBINFHSA-N 0 3 230.402 2.937 20 0 BFADHN CCC[C@@](C)(N)C(=O)N[C@@H](C)c1ccc(C)cc1 ZINC000037764994 384009590 /nfs/dbraw/zinc/00/95/90/384009590.db2.gz FECGPEHOZGUJKF-SWLSCSKDSA-N 0 3 248.370 2.690 20 0 BFADHN CCC[C@H](C)NCc1cc(C(=O)OC)c(C)o1 ZINC000034981261 384010129 /nfs/dbraw/zinc/01/01/29/384010129.db2.gz PLNBDVRMKFMVCD-VIFPVBQESA-N 0 3 239.315 2.653 20 0 BFADHN CCC1CC(N[C@@H](CO)c2ccccc2OC)C1 ZINC000560246608 384014835 /nfs/dbraw/zinc/01/48/35/384014835.db2.gz QDNHTQFYZVZTES-YIZWMMSDSA-N 0 3 249.354 2.507 20 0 BFADHN CCc1nocc1CN[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000647256686 384049547 /nfs/dbraw/zinc/04/95/47/384049547.db2.gz BBXARLHFSOWTFL-JBLDHEPKSA-N 0 3 220.316 2.515 20 0 BFADHN CCc1ccccc1CN[C@@H]1CO[C@H](C)C1 ZINC000401810842 384052479 /nfs/dbraw/zinc/05/24/79/384052479.db2.gz DCKLBBJFUMSIEF-RISCZKNCSA-N 0 3 219.328 2.516 20 0 BFADHN Cc1n[nH]cc1CN[C@H](C)c1ccc(C)cc1 ZINC000037996427 384052544 /nfs/dbraw/zinc/05/25/44/384052544.db2.gz KJFGSMMYYLHNNP-LLVKDONJSA-N 0 3 229.327 2.877 20 0 BFADHN C[C@H](NCc1nc(C2CC2)cs1)C1CC1 ZINC000090459075 384028402 /nfs/dbraw/zinc/02/84/02/384028402.db2.gz GMBZECRSWRRTTK-QMMMGPOBSA-N 0 3 222.357 2.909 20 0 BFADHN CSC1(CNCc2ccc(F)nc2C)CC1 ZINC000278592933 384029261 /nfs/dbraw/zinc/02/92/61/384029261.db2.gz HCSZDOMFMIEHCO-UHFFFAOYSA-N 0 3 240.347 2.514 20 0 BFADHN CCCC[C@@H](C)N(C)CC(=O)NC1CCCC1 ZINC000558474891 384030244 /nfs/dbraw/zinc/03/02/44/384030244.db2.gz DREWCHSYEFEPFQ-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1ccc(CNC[C@H](O)C2CCCCC2)o1 ZINC000069170717 384031223 /nfs/dbraw/zinc/03/12/23/384031223.db2.gz RCIANPYWKIWHPR-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1ncc(CN2C3CCC2CC3)s1 ZINC000518576820 384031364 /nfs/dbraw/zinc/03/13/64/384031364.db2.gz ZCDKTDLFCPZWLX-UHFFFAOYSA-N 0 3 208.330 2.578 20 0 BFADHN Cn1cncc1CN[C@@H]1CCCc2sccc21 ZINC000090167203 384031835 /nfs/dbraw/zinc/03/18/35/384031835.db2.gz AHIYYEKSISKAAC-GFCCVEGCSA-N 0 3 247.367 2.649 20 0 BFADHN C[C@]1(CO)CCCN1C/C=C/c1ccc(F)cc1 ZINC000530464205 384087226 /nfs/dbraw/zinc/08/72/26/384087226.db2.gz JQYGQEIUMKTMPR-TXTHVTMNSA-N 0 3 249.329 2.686 20 0 BFADHN C[C@H](CC1CC1)NCc1nccn1C(F)F ZINC000069664736 384055363 /nfs/dbraw/zinc/05/53/63/384055363.db2.gz RMLRPUWVEBJZIO-MRVPVSSYSA-N 0 3 229.274 2.556 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc(Cl)cc1 ZINC000132058984 384061518 /nfs/dbraw/zinc/06/15/18/384061518.db2.gz OGZAWVREMQJVCI-UWVGGRQHSA-N 0 3 227.735 2.853 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H]1CCc2c1cccc2F ZINC000211902373 384066388 /nfs/dbraw/zinc/06/63/88/384066388.db2.gz GTEUCTBSZPANAW-RBSFLKMASA-N 0 3 249.329 2.970 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1cnn(C(C)C)c1 ZINC000090726863 384071451 /nfs/dbraw/zinc/07/14/51/384071451.db2.gz CWDZRBWLKGXOCL-MNOVXSKESA-N 0 3 241.404 2.866 20 0 BFADHN CC[C@H](NC[C@H](C)C(C)C)c1ccn(C)n1 ZINC000309474504 384091066 /nfs/dbraw/zinc/09/10/66/384091066.db2.gz RKNCSAWNGUTAFA-RYUDHWBXSA-N 0 3 223.364 2.753 20 0 BFADHN c1ccc2c(c1)OC[C@@H]2N[C@H]1CCCSC1 ZINC000070068864 384075364 /nfs/dbraw/zinc/07/53/64/384075364.db2.gz KGAMGKJMUKJYSI-JQWIXIFHSA-N 0 3 235.352 2.605 20 0 BFADHN CC[C@@H](NC[C@H](C)C(C)C)c1ccn(C)n1 ZINC000309474503 384091511 /nfs/dbraw/zinc/09/15/11/384091511.db2.gz RKNCSAWNGUTAFA-NWDGAFQWSA-N 0 3 223.364 2.753 20 0 BFADHN Cc1cc(C)c(CNCc2ccnn2C)cc1C ZINC000070141180 384081460 /nfs/dbraw/zinc/08/14/60/384081460.db2.gz DJZJNOZEJXRFFI-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN Cc1cccc(CCN[C@H](C)c2ccoc2)n1 ZINC000530470825 384100798 /nfs/dbraw/zinc/10/07/98/384100798.db2.gz LMTRMPUSNFXNMU-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1ccc(CN[C@@H]2CCO[C@H](C(C)C)C2)o1 ZINC000070361314 384101170 /nfs/dbraw/zinc/10/11/70/384101170.db2.gz JALZPQJDHWIBMX-OCCSQVGLSA-N 0 3 237.343 2.881 20 0 BFADHN C[C@@H](N[C@@H]1CCOC(C)(C)C1)c1ccccn1 ZINC000070345803 384101184 /nfs/dbraw/zinc/10/11/84/384101184.db2.gz YXMABZHVPMMWCN-VXGBXAGGSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@H]1C)c1cnccn1 ZINC000070477401 384112175 /nfs/dbraw/zinc/11/21/75/384112175.db2.gz FOMBRPWPKLHBSZ-FVCCEPFGSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@H](Cc1cccs1)N[C@@H](C)c1cnccn1 ZINC000070478392 384113319 /nfs/dbraw/zinc/11/33/19/384113319.db2.gz JWXJNMPAGOXEMN-MNOVXSKESA-N 0 3 247.367 2.820 20 0 BFADHN Cc1ccc2c(c1)CN(CC1(C)CC1)CCO2 ZINC000518619047 384092966 /nfs/dbraw/zinc/09/29/66/384092966.db2.gz DRUSDYGZWNBISA-UHFFFAOYSA-N 0 3 231.339 2.990 20 0 BFADHN C[C@@H](N[C@@H]1CCOC(C)(C)C1)c1nccs1 ZINC000070717666 384134593 /nfs/dbraw/zinc/13/45/93/384134593.db2.gz JNEDXAXAOMNQFN-NXEZZACHSA-N 0 3 240.372 2.751 20 0 BFADHN CC(C)CC[C@@H](N[C@H](C)CO)c1ccoc1 ZINC000278925206 384136434 /nfs/dbraw/zinc/13/64/34/384136434.db2.gz KTDVLCRVVDXBDC-DGCLKSJQSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1nc(CN2C[C@@H](C)CC2(C)C)oc1C ZINC000336555033 384125071 /nfs/dbraw/zinc/12/50/71/384125071.db2.gz ISXHLGNKDXDAMF-VIFPVBQESA-N 0 3 222.332 2.912 20 0 BFADHN CC(C)(C)OCCN1CCc2ccccc2CC1 ZINC000116300973 384128056 /nfs/dbraw/zinc/12/80/56/384128056.db2.gz SAMYVDOIFPOHJF-UHFFFAOYSA-N 0 3 247.382 2.902 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1ccc(F)cc1 ZINC000070656243 384130334 /nfs/dbraw/zinc/13/03/34/384130334.db2.gz KOFPOYPSGKNXEU-ZWNOBZJWSA-N 0 3 225.307 2.901 20 0 BFADHN Fc1cc(CN[C@@H]2CCCOC2)ccc1Cl ZINC000071012671 384148895 /nfs/dbraw/zinc/14/88/95/384148895.db2.gz YBEGWTHZIBZNOR-SNVBAGLBSA-N 0 3 243.709 2.748 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1cccc(F)c1 ZINC000071011672 384149065 /nfs/dbraw/zinc/14/90/65/384149065.db2.gz ZCZHAGVLNDKCAV-ZWNOBZJWSA-N 0 3 223.291 2.655 20 0 BFADHN FCCCCN1CCC[C@H]1c1ccccn1 ZINC000560463246 384150378 /nfs/dbraw/zinc/15/03/78/384150378.db2.gz QPKCUNZLZZVZQC-ZDUSSCGKSA-N 0 3 222.307 2.968 20 0 BFADHN CO[C@@H](CC(C)C)CN(C)CCOC(C)(C)C ZINC000642693884 384151671 /nfs/dbraw/zinc/15/16/71/384151671.db2.gz OBFKUEKHKMNZRN-ZDUSSCGKSA-N 0 3 245.407 2.794 20 0 BFADHN COC(=O)c1cc(CNCC(C)(C)C)oc1C ZINC000040492999 384156105 /nfs/dbraw/zinc/15/61/05/384156105.db2.gz MHJRRHVLVYROBV-UHFFFAOYSA-N 0 3 239.315 2.510 20 0 BFADHN CCC[C@H](CCO)CN[C@@H](C)c1nccs1 ZINC000091731161 384140171 /nfs/dbraw/zinc/14/01/71/384140171.db2.gz LVORIFRQZRYCOW-WDEREUQCSA-N 0 3 242.388 2.592 20 0 BFADHN CSc1ccc([C@H](C)N[C@H]2CCOC2)cc1 ZINC000070966290 384143783 /nfs/dbraw/zinc/14/37/83/384143783.db2.gz JCURQDLKAWVKGQ-JQWIXIFHSA-N 0 3 237.368 2.848 20 0 BFADHN CC[C@@H](C)C[NH2+]Cc1ccc([O-])c(F)c1 ZINC000093076266 384161524 /nfs/dbraw/zinc/16/15/24/384161524.db2.gz ZYZTYTBNZXNDGX-SECBINFHSA-N 0 3 211.280 2.667 20 0 BFADHN C[C@H](NCCc1ccc(O)cc1)c1ccccn1 ZINC000040735059 384161936 /nfs/dbraw/zinc/16/19/36/384161936.db2.gz HLADOQFQWKXBFX-LBPRGKRZSA-N 0 3 242.322 2.681 20 0 BFADHN Cc1n[nH]cc1CN(C)CCc1ccc(F)cc1 ZINC000092162179 384165065 /nfs/dbraw/zinc/16/50/65/384165065.db2.gz QHHZZKMHPXQRBM-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN C[C@H](CN1CC[C@@H](F)C1)c1ccc(F)cc1 ZINC000449394318 384165734 /nfs/dbraw/zinc/16/57/34/384165734.db2.gz NQEPKLQCJHFANF-ZWNOBZJWSA-N 0 3 225.282 2.973 20 0 BFADHN Cc1ncc(CNCCC(C)(F)F)s1 ZINC000294090921 384177255 /nfs/dbraw/zinc/17/72/55/384177255.db2.gz BCLPFCWBJALVQT-UHFFFAOYSA-N 0 3 220.288 2.586 20 0 BFADHN CCC(CC)N(Cc1cnccn1)C1CC1 ZINC000518714344 384204349 /nfs/dbraw/zinc/20/43/49/384204349.db2.gz DNVYHMYOSUPPIL-UHFFFAOYSA-N 0 3 219.332 2.630 20 0 BFADHN CSCCN[C@@H](C)c1cccc(F)c1F ZINC000132402476 384187924 /nfs/dbraw/zinc/18/79/24/384187924.db2.gz WMEGBKDRXXULRK-QMMMGPOBSA-N 0 3 231.311 2.978 20 0 BFADHN CSCc1cnc(CNC[C@H]2C[C@H]2C)s1 ZINC000294013101 384189549 /nfs/dbraw/zinc/18/95/49/384189549.db2.gz GWHWVGOMSRVVLH-RKDXNWHRSA-N 0 3 242.413 2.752 20 0 BFADHN CCC(CC)[C@@H](O)CN[C@H](C)c1cccnc1 ZINC000092748487 384191818 /nfs/dbraw/zinc/19/18/18/384191818.db2.gz RFBNHXVEIOVIHI-RISCZKNCSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H](N[C@@H]1CCCSC1)c1ccc(F)cn1 ZINC000092766492 384193111 /nfs/dbraw/zinc/19/31/11/384193111.db2.gz XYYHLXNHICHVMX-MWLCHTKSSA-N 0 3 240.347 2.767 20 0 BFADHN CC(C)C[C@@H](CO)N[C@@H](C)c1ccsc1 ZINC000050344486 384194156 /nfs/dbraw/zinc/19/41/56/384194156.db2.gz KTAANIUUZKSMHA-JQWIXIFHSA-N 0 3 227.373 2.806 20 0 BFADHN C[C@H](NCC(C)(C)C1CCC1)c1cnccn1 ZINC000296593601 384195013 /nfs/dbraw/zinc/19/50/13/384195013.db2.gz VCTBXYQMHUVHPP-NSHDSACASA-N 0 3 233.359 2.954 20 0 BFADHN COC[C@@H](C)CNc1ccnc2ccccc21 ZINC000041674567 384196777 /nfs/dbraw/zinc/19/67/77/384196777.db2.gz UVABIURURBQTEC-NSHDSACASA-N 0 3 230.311 2.929 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)CCC[C@H]2C)o1 ZINC000247523343 384200043 /nfs/dbraw/zinc/20/00/43/384200043.db2.gz NQBMZFBCJWXFAU-WDEREUQCSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1cnc(CN2C[C@H](C)CCC[C@H]2C)o1 ZINC000247523338 384200844 /nfs/dbraw/zinc/20/08/44/384200844.db2.gz NQBMZFBCJWXFAU-GHMZBOCLSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@H](NC(=O)C[C@@H](N)c1ccccc1)C(C)C ZINC000042592789 384231726 /nfs/dbraw/zinc/23/17/26/384231726.db2.gz CGMFADFHEQVPHS-KGLIPLIRSA-N 0 3 248.370 2.627 20 0 BFADHN Cn1cccc1CN[C@H]1CCc2c1cccc2O ZINC000119102093 384232631 /nfs/dbraw/zinc/23/26/31/384232631.db2.gz IOXWSZPFTSFNOZ-AWEZNQCLSA-N 0 3 242.322 2.508 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)[C@H](C)C(C)C)n1 ZINC000132617797 384241147 /nfs/dbraw/zinc/24/11/47/384241147.db2.gz WLUMJQXQPRDJHP-LLVKDONJSA-N 0 3 222.332 2.572 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)[C@H](C)C(C)C)n1 ZINC000132617797 384241155 /nfs/dbraw/zinc/24/11/55/384241155.db2.gz WLUMJQXQPRDJHP-LLVKDONJSA-N 0 3 222.332 2.572 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2ccc(C)o2)C1 ZINC000093419037 384234834 /nfs/dbraw/zinc/23/48/34/384234834.db2.gz XMQKVJMSIPELJI-CYBMUJFWSA-N 0 3 237.343 2.837 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2cccc(O)c2)C1(C)C ZINC000072926368 384237566 /nfs/dbraw/zinc/23/75/66/384237566.db2.gz HRMZVACFVVAHRY-HIFRSBDPSA-N 0 3 249.354 2.685 20 0 BFADHN COCc1ccc(CN2C[C@@H]3CCC[C@@H]3C2)o1 ZINC000093442938 384239140 /nfs/dbraw/zinc/23/91/40/384239140.db2.gz OEBUBMMDBMLJIJ-TXEJJXNPSA-N 0 3 235.327 2.658 20 0 BFADHN COCc1ccc(CN2C[C@H]3CCC[C@@H]3C2)o1 ZINC000093442939 384239631 /nfs/dbraw/zinc/23/96/31/384239631.db2.gz OEBUBMMDBMLJIJ-VXGBXAGGSA-N 0 3 235.327 2.658 20 0 BFADHN Cc1n[nH]cc1CN1CCCc2ccccc2C1 ZINC000093085223 384210159 /nfs/dbraw/zinc/21/01/59/384210159.db2.gz QPQVJKZXTHYBTP-UHFFFAOYSA-N 0 3 241.338 2.667 20 0 BFADHN CC(C)(C)[C@H](CO)NCc1cc(Cl)cs1 ZINC000278811778 384214498 /nfs/dbraw/zinc/21/44/98/384214498.db2.gz XHYSPGIPOSFXBI-JTQLQIEISA-N 0 3 247.791 2.898 20 0 BFADHN CC[C@H](CSC)NCc1cnn(C(C)C)c1 ZINC000132047603 384214733 /nfs/dbraw/zinc/21/47/33/384214733.db2.gz RFOJFFGQJWVQJS-GFCCVEGCSA-N 0 3 241.404 2.695 20 0 BFADHN COCc1ccc(CN2C[C@@H]3CCC[C@H]3C2)o1 ZINC000093442940 384240307 /nfs/dbraw/zinc/24/03/07/384240307.db2.gz OEBUBMMDBMLJIJ-RYUDHWBXSA-N 0 3 235.327 2.658 20 0 BFADHN CO[C@H](C)[C@H](C)NC1(c2ccccc2C)CC1 ZINC000647316200 384217333 /nfs/dbraw/zinc/21/73/33/384217333.db2.gz UNRWGQLLEOPGBL-QWHCGFSZSA-N 0 3 233.355 2.997 20 0 BFADHN CSC1(CNCc2cncc(F)c2)CCC1 ZINC000227945758 134399860 /nfs/dbraw/zinc/39/98/60/134399860.db2.gz FOSYXULMYXBOAX-UHFFFAOYSA-N 0 3 240.347 2.596 20 0 BFADHN C[C@H](CC1CC1)NCc1cn2ccsc2n1 ZINC000132302790 384224150 /nfs/dbraw/zinc/22/41/50/384224150.db2.gz WWRBCEPRMAFADI-SECBINFHSA-N 0 3 235.356 2.674 20 0 BFADHN CCc1nc([C@@H](C)N2CCCC3(CC3)CC2)n[nH]1 ZINC000626115263 384228785 /nfs/dbraw/zinc/22/87/85/384228785.db2.gz MRXVUTSSFAUWBY-LLVKDONJSA-N 0 3 248.374 2.694 20 0 BFADHN Fc1cccnc1CN[C@H]1CC[C@@H]1C1CCC1 ZINC000558699051 384251791 /nfs/dbraw/zinc/25/17/91/384251791.db2.gz VSPHHRCCGZNSFB-YPMHNXCESA-N 0 3 234.318 2.889 20 0 BFADHN CC(C)C1CC(NCc2cnc3n2CCCC3)C1 ZINC000518740794 384253329 /nfs/dbraw/zinc/25/33/29/384253329.db2.gz WRGUDLQXPJDQEZ-UHFFFAOYSA-N 0 3 247.386 2.744 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CN2CCO[C@H](C)C2)C1 ZINC000560603386 384254533 /nfs/dbraw/zinc/25/45/33/384254533.db2.gz GVWKDLLEATYQIS-MRVWCRGKSA-N 0 3 223.360 2.700 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1ccsc1 ZINC000133608703 384254808 /nfs/dbraw/zinc/25/48/08/384254808.db2.gz WGFOOKOOUMUTHV-GXSJLCMTSA-N 0 3 213.346 2.560 20 0 BFADHN CC[C@H](Cc1ccccc1)N(C)Cc1c[nH]cn1 ZINC000093660898 384257492 /nfs/dbraw/zinc/25/74/92/384257492.db2.gz QYCCGGSLCHFHNB-OAHLLOKOSA-N 0 3 243.354 2.863 20 0 BFADHN CC[C@H](Cc1ccccc1)N(C)Cc1cnc[nH]1 ZINC000093660898 384257503 /nfs/dbraw/zinc/25/75/03/384257503.db2.gz QYCCGGSLCHFHNB-OAHLLOKOSA-N 0 3 243.354 2.863 20 0 BFADHN Cc1c(CN[C@@H](C)c2ccc(C)cc2)cnn1C ZINC000093650020 384257548 /nfs/dbraw/zinc/25/75/48/384257548.db2.gz ANWWANQAKXLGSO-LBPRGKRZSA-N 0 3 243.354 2.888 20 0 BFADHN COc1ccc(CN(C)[C@H](C)C(C)(C)C)nc1 ZINC000558720211 384260158 /nfs/dbraw/zinc/26/01/58/384260158.db2.gz XWATUBVQRIBION-LLVKDONJSA-N 0 3 236.359 2.957 20 0 BFADHN CN(Cc1cccc(F)c1)C[C@H]1CCCCO1 ZINC000042977092 384260103 /nfs/dbraw/zinc/26/01/03/384260103.db2.gz MPJKYHQHCGRURG-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN C[C@H]1[C@H](c2ccccc2)CCN1Cc1c[nH]cn1 ZINC000093689547 384261980 /nfs/dbraw/zinc/26/19/80/384261980.db2.gz YZIBMKJEBGKMJW-SWLSCSKDSA-N 0 3 241.338 2.788 20 0 BFADHN C[C@H](CN[C@H]1C[C@H](C)n2ccnc21)CC(F)F ZINC000647319846 384265709 /nfs/dbraw/zinc/26/57/09/384265709.db2.gz NASXUMAQUJEWRH-GUBZILKMSA-N 0 3 243.301 2.770 20 0 BFADHN C[C@H](CN[C@H]1C[C@@H](C)n2ccnc21)CC(F)F ZINC000647319845 384266637 /nfs/dbraw/zinc/26/66/37/384266637.db2.gz NASXUMAQUJEWRH-AEJSXWLSSA-N 0 3 243.301 2.770 20 0 BFADHN CN(CCCOc1ccccc1)C[C@@H]1CCCO1 ZINC000073872448 384268072 /nfs/dbraw/zinc/26/80/72/384268072.db2.gz ZGVNLFHXNZXIRU-HNNXBMFYSA-N 0 3 249.354 2.566 20 0 BFADHN CC[C@H](NCCn1ccnc1)c1ccsc1 ZINC000294178023 384268618 /nfs/dbraw/zinc/26/86/18/384268618.db2.gz DJLAYWRWMXCGGM-LBPRGKRZSA-N 0 3 235.356 2.686 20 0 BFADHN Fc1cc(Cl)ccc1CNC[C@H]1CCOC1 ZINC000133045268 384269053 /nfs/dbraw/zinc/26/90/53/384269053.db2.gz WPUFUDSPCPIHJP-SECBINFHSA-N 0 3 243.709 2.605 20 0 BFADHN CCN(CCc1nccs1)Cc1cccn1C ZINC000093764711 384269083 /nfs/dbraw/zinc/26/90/83/384269083.db2.gz PJZNWSZBUPRBEN-UHFFFAOYSA-N 0 3 249.383 2.546 20 0 BFADHN Fc1cc(Cl)ccc1CNC1CCOCC1 ZINC000133048718 384269443 /nfs/dbraw/zinc/26/94/43/384269443.db2.gz QPZNNFNRGJBRHV-UHFFFAOYSA-N 0 3 243.709 2.748 20 0 BFADHN C[C@@H](NCCc1cn[nH]c1)c1ccccc1Cl ZINC000289527309 384270788 /nfs/dbraw/zinc/27/07/88/384270788.db2.gz GIDZERWUPATFQI-SNVBAGLBSA-N 0 3 249.745 2.956 20 0 BFADHN CCOC[C@@H]1CCN(Cc2ccccc2OC)C1 ZINC000093839274 384271821 /nfs/dbraw/zinc/27/18/21/384271821.db2.gz GBEDCUJCRIMAIK-CYBMUJFWSA-N 0 3 249.354 2.554 20 0 BFADHN CCCC[C@@H](C)N(CCCC)CC(=O)NCC ZINC000559386890 384272950 /nfs/dbraw/zinc/27/29/50/384272950.db2.gz MLVGLMFMSZVCIW-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1ccc(Cl)cc1F ZINC000133116643 384275033 /nfs/dbraw/zinc/27/50/33/384275033.db2.gz NRBKQKARLBAAJA-UFBFGSQYSA-N 0 3 243.709 2.746 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cccc(O)c1)C(C)C ZINC000282432087 384275051 /nfs/dbraw/zinc/27/50/51/384275051.db2.gz TYWYQGJLXPMUFT-SMDDNHRTSA-N 0 3 237.343 2.714 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc3ncccc3c2)CO1 ZINC000335433858 384275441 /nfs/dbraw/zinc/27/54/41/384275441.db2.gz GPWNQUFFRMUYCC-SMDDNHRTSA-N 0 3 242.322 2.502 20 0 BFADHN C[C@@H](NCCOCC1CCCC1)c1ccncc1 ZINC000313300074 384242186 /nfs/dbraw/zinc/24/21/86/384242186.db2.gz NMQRJDRNDKRGLG-CYBMUJFWSA-N 0 3 248.370 2.939 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1ccc(F)cc1 ZINC000133606710 384304118 /nfs/dbraw/zinc/30/41/18/384304118.db2.gz DTWWYUHLTUQNFU-GXFFZTMASA-N 0 3 225.307 2.637 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H](C)C[C@@H]2C)n1 ZINC000133729829 384311847 /nfs/dbraw/zinc/31/18/47/384311847.db2.gz HMQRSXLONVFXJM-PWSUYJOCSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H](C)C[C@@H]2C)n1 ZINC000133729829 384311852 /nfs/dbraw/zinc/31/18/52/384311852.db2.gz HMQRSXLONVFXJM-PWSUYJOCSA-N 0 3 234.343 2.716 20 0 BFADHN C[C@H](CN[C@H](C)c1cc(F)cc(F)c1)N(C)C ZINC000094827124 384316725 /nfs/dbraw/zinc/31/67/25/384316725.db2.gz JLQCLVNBERUDQQ-NXEZZACHSA-N 0 3 242.313 2.566 20 0 BFADHN CCC1(NCc2cnc(C)cn2)CCCC1 ZINC000449422513 384316879 /nfs/dbraw/zinc/31/68/79/384316879.db2.gz DURAWXOFWUXKBP-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN c1csc(CN[C@@H]2CC[C@@H]2C2CCC2)n1 ZINC000308825459 384317416 /nfs/dbraw/zinc/31/74/16/384317416.db2.gz DMNOIJHHXWXLRA-GHMZBOCLSA-N 0 3 222.357 2.811 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1cc(F)cc(F)c1 ZINC000094826113 384317426 /nfs/dbraw/zinc/31/74/26/384317426.db2.gz NWVXDGWSKVTGCK-ZANVPECISA-N 0 3 241.281 2.794 20 0 BFADHN CCn1nc(C)c(CN2CC[C@@H]2C2CC2)c1C ZINC000449423668 384318308 /nfs/dbraw/zinc/31/83/08/384318308.db2.gz BKKAVVJKIGWDOP-CQSZACIVSA-N 0 3 233.359 2.504 20 0 BFADHN C[C@H](NCCc1ccncc1)c1ccc(F)cn1 ZINC000133932338 384320364 /nfs/dbraw/zinc/32/03/64/384320364.db2.gz BSLOYVYTUXNVGC-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN C[C@H](CC1CC1)N[C@@H](C)c1nccs1 ZINC000083143457 384283957 /nfs/dbraw/zinc/28/39/57/384283957.db2.gz IFTYLFJMRUQZGE-BDAKNGLRSA-N 0 3 210.346 2.982 20 0 BFADHN c1nc(C2CCC2)sc1CNC1CC1 ZINC000083123424 384284647 /nfs/dbraw/zinc/28/46/47/384284647.db2.gz CURJWHBVPAEPPD-UHFFFAOYSA-N 0 3 208.330 2.663 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CC[C@H]1C1CC1 ZINC000449424473 384321481 /nfs/dbraw/zinc/32/14/81/384321481.db2.gz DZKHTFFZOXBRCB-HNNXBMFYSA-N 0 3 247.386 2.529 20 0 BFADHN C[C@@H](NC[C@H](O)C1CCCCC1)c1ccccn1 ZINC000158977492 384291415 /nfs/dbraw/zinc/29/14/15/384291415.db2.gz VGWXHHKYWYCUOR-DOMZBBRYSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1cccc(Cl)c1F ZINC000158986277 384294919 /nfs/dbraw/zinc/29/49/19/384294919.db2.gz VRYJVZXJTIMGPS-LDYMZIIASA-N 0 3 243.709 2.746 20 0 BFADHN CCCC[C@@H](C(=O)OC)N(C)CC1CCCC1 ZINC000168801539 384321871 /nfs/dbraw/zinc/32/18/71/384321871.db2.gz OTHBAFJNFXIDRQ-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1cc(C)nc(N[C@H](C)CCn2cccn2)c1 ZINC000159334370 384321923 /nfs/dbraw/zinc/32/19/23/384321923.db2.gz GLEGBWFWCQTCPS-GFCCVEGCSA-N 0 3 244.342 2.786 20 0 BFADHN CC(C)n1cc(CN2C[C@@H](C)C[C@H](C)C2)cn1 ZINC000179513020 384300960 /nfs/dbraw/zinc/30/09/60/384300960.db2.gz JCBSDSAXLCYLOB-STQMWFEESA-N 0 3 235.375 2.942 20 0 BFADHN CC[C@H](CCO)CN[C@@H](C)c1ccccc1F ZINC000094948740 384322066 /nfs/dbraw/zinc/32/20/66/384322066.db2.gz HDZOCTBLNOWTGS-NWDGAFQWSA-N 0 3 239.334 2.885 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1ccc(F)cc1 ZINC000133606488 384302478 /nfs/dbraw/zinc/30/24/78/384302478.db2.gz DTWWYUHLTUQNFU-GWCFXTLKSA-N 0 3 225.307 2.637 20 0 BFADHN Cc1nnsc1CN[C@H]1CCC[C@H](C)C1 ZINC000335571638 384335498 /nfs/dbraw/zinc/33/54/98/384335498.db2.gz QUWOHPNVJILPFT-WPRPVWTQSA-N 0 3 225.361 2.515 20 0 BFADHN c1c(CNC23CCC(CC2)C3)nc2ccccn12 ZINC000368249042 384339470 /nfs/dbraw/zinc/33/94/70/384339470.db2.gz GCTCAYLSPUTIFC-UHFFFAOYSA-N 0 3 241.338 2.757 20 0 BFADHN CCCCOCCCNCc1ccco1 ZINC000013718256 384373613 /nfs/dbraw/zinc/37/36/13/384373613.db2.gz VWZKVVYRHHFYSQ-UHFFFAOYSA-N 0 3 211.305 2.576 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@H]2CCO[C@@H]2C)s1 ZINC000120386897 384349759 /nfs/dbraw/zinc/34/97/59/384349759.db2.gz QWEHHDZIFNUYAC-NGZCFLSTSA-N 0 3 240.372 2.588 20 0 BFADHN Cc1cc(C)nc(N[C@H](C)[C@@H]2CCOC2)c1 ZINC000134351642 384349726 /nfs/dbraw/zinc/34/97/26/384349726.db2.gz ZBXIHDOSFDRULZ-VXGBXAGGSA-N 0 3 220.316 2.535 20 0 BFADHN Cc1nc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)cs1 ZINC000403406348 384361447 /nfs/dbraw/zinc/36/14/47/384361447.db2.gz VEVXSCUKXIFRBO-GRYCIOLGSA-N 0 3 236.384 2.977 20 0 BFADHN CC[C@H](N[C@H](C)CCO)c1ccccc1F ZINC000134583662 384368024 /nfs/dbraw/zinc/36/80/24/384368024.db2.gz ROHYMRSBXGBMCK-MFKMUULPSA-N 0 3 225.307 2.637 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000449426936 384368923 /nfs/dbraw/zinc/36/89/23/384368923.db2.gz QXARAUJDIBPZOX-ZMJPVWNMSA-N 0 3 247.338 2.666 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@@H]1CCc2cc(F)ccc21 ZINC000120649565 384370610 /nfs/dbraw/zinc/37/06/10/384370610.db2.gz MVABFNIJINXADV-QCZZGDTMSA-N 0 3 235.302 2.580 20 0 BFADHN COCC[C@H](C)N[C@@H](C)c1ccccc1F ZINC000045036140 384372459 /nfs/dbraw/zinc/37/24/59/384372459.db2.gz CUOAFYSKGAFKOF-QWRGUYRKSA-N 0 3 225.307 2.901 20 0 BFADHN Cn1cc(CN2CC[C@@H]2C2CC2)c(C(C)(C)C)n1 ZINC000449425101 384324456 /nfs/dbraw/zinc/32/44/56/384324456.db2.gz GVSQELQMIAUBCD-CYBMUJFWSA-N 0 3 247.386 2.702 20 0 BFADHN C[C@]1(NC/C=C/c2ccc(F)cc2)CCOC1 ZINC000120119887 384330189 /nfs/dbraw/zinc/33/01/89/384330189.db2.gz FFIHVMGPISIZLJ-HSWBROFVSA-N 0 3 235.302 2.608 20 0 BFADHN C[C@@]1(NCc2ccc(F)c(Cl)c2)CCOC1 ZINC000120143728 384331760 /nfs/dbraw/zinc/33/17/60/384331760.db2.gz ZWLSJZBAIPLARG-GFCCVEGCSA-N 0 3 243.709 2.748 20 0 BFADHN CC[C@H](COC)N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000449429981 384392276 /nfs/dbraw/zinc/39/22/76/384392276.db2.gz YCGDXYBSXGYWKV-YRGRVCCFSA-N 0 3 249.354 2.913 20 0 BFADHN COc1cc(C)c(CN2CC(C3CC3)C2)c(C)n1 ZINC000643345835 384426382 /nfs/dbraw/zinc/42/63/82/384426382.db2.gz PMQDVJJIRNARCS-UHFFFAOYSA-N 0 3 246.354 2.549 20 0 BFADHN CC(C)c1ccc(N(C)C(=O)C(C)(C)N)cc1 ZINC000077369860 384405251 /nfs/dbraw/zinc/40/52/51/384405251.db2.gz FNRAAGNRDYOSFV-UHFFFAOYSA-N 0 3 234.343 2.510 20 0 BFADHN C[C@]1(O)CCCN(C/C=C\c2ccccc2)C1 ZINC000255113480 384406307 /nfs/dbraw/zinc/40/63/07/384406307.db2.gz MPYIVCAJPCALDW-ZHMISCPASA-N 0 3 231.339 2.547 20 0 BFADHN Cc1oc2ccccc2c1CNC(C)(C)CO ZINC000121246781 384407096 /nfs/dbraw/zinc/40/70/96/384407096.db2.gz IIHJHBKXEPGLBY-UHFFFAOYSA-N 0 3 233.311 2.602 20 0 BFADHN C[C@@H](N[C@H]1[C@@H]2CCO[C@@H]2C12CCC2)c1ccco1 ZINC000134791615 384409324 /nfs/dbraw/zinc/40/93/24/384409324.db2.gz LCUZRMXNMSDHLH-XWUBHJNHSA-N 0 3 247.338 2.888 20 0 BFADHN CCOCCNCc1cccc2c1OC(C)(C)C2 ZINC000078005749 384426717 /nfs/dbraw/zinc/42/67/17/384426717.db2.gz BQPGCJWGLAOTFY-UHFFFAOYSA-N 0 3 249.354 2.526 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1ccccc1Cl ZINC000096033421 384423553 /nfs/dbraw/zinc/42/35/53/384423553.db2.gz XGYCKRPKHRRRHB-SKDRFNHKSA-N 0 3 225.719 2.607 20 0 BFADHN C[C@H](CNCc1nccs1)CC(F)F ZINC000647225820 384375201 /nfs/dbraw/zinc/37/52/01/384375201.db2.gz CVXDJVUNTQFEGX-ZETCQYMHSA-N 0 3 220.288 2.524 20 0 BFADHN Cc1cc(C)cc([C@H](C)N[C@@H]2C=C[C@H](CO)C2)c1 ZINC000134648069 384376344 /nfs/dbraw/zinc/37/63/44/384376344.db2.gz GQEZAQKGZOHPRJ-OFQRWUPVSA-N 0 3 245.366 2.891 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@@H]1CCCOc2ccccc21 ZINC000120775029 384381630 /nfs/dbraw/zinc/38/16/30/384381630.db2.gz ZJSBOBNDHPPIQH-FPMFFAJLSA-N 0 3 247.338 2.667 20 0 BFADHN CC[C@H](NCc1cnc(C(C)C)s1)[C@H](C)O ZINC000449536523 384385851 /nfs/dbraw/zinc/38/58/51/384385851.db2.gz FFMWKWFXAJYHJM-ONGXEEELSA-N 0 3 242.388 2.516 20 0 BFADHN CCC[C@H](O)CNCc1ccc(F)c(Cl)c1 ZINC000160145836 384388426 /nfs/dbraw/zinc/38/84/26/384388426.db2.gz XDKMGTSPWMSXMX-JTQLQIEISA-N 0 3 245.725 2.730 20 0 BFADHN Cc1nc(CN2CCC[C@H]2C2CC2)oc1C ZINC000363386294 384390100 /nfs/dbraw/zinc/39/01/00/384390100.db2.gz MRAUBRQGQQZUIB-LBPRGKRZSA-N 0 3 220.316 2.666 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1cccnc1 ZINC000160898641 384447025 /nfs/dbraw/zinc/44/70/25/384447025.db2.gz RFQJHDQHVYPGPP-KWCYVHTRSA-N 0 3 234.343 2.690 20 0 BFADHN CN(C)c1ccccc1CN1CC(C2CC2)C1 ZINC000643345849 384427544 /nfs/dbraw/zinc/42/75/44/384427544.db2.gz QHRDGCXCYPHGLE-UHFFFAOYSA-N 0 3 230.355 2.594 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1cc2ccccc2o1 ZINC000135305430 384433215 /nfs/dbraw/zinc/43/32/15/384433215.db2.gz CSKAYITXBRPAME-GWCFXTLKSA-N 0 3 231.295 2.700 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1ccccn1 ZINC000160816171 384437662 /nfs/dbraw/zinc/43/76/62/384437662.db2.gz VDTMROXQPOAOEY-IACUBPJLSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ccc(CNCCOCC2CC2)c(C)c1 ZINC000224528457 384438810 /nfs/dbraw/zinc/43/88/10/384438810.db2.gz XLUMRTSONBXIEV-UHFFFAOYSA-N 0 3 233.355 2.820 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1ccccn1 ZINC000160833389 384439142 /nfs/dbraw/zinc/43/91/42/384439142.db2.gz VDTMROXQPOAOEY-MRVWCRGKSA-N 0 3 234.343 2.690 20 0 BFADHN CCOC[C@H](C)NC1(c2ccccc2OC)CC1 ZINC000647329100 384442769 /nfs/dbraw/zinc/44/27/69/384442769.db2.gz GNPNHIRHNOWVLJ-LBPRGKRZSA-N 0 3 249.354 2.699 20 0 BFADHN CCCN(CC1CCCCC1)[C@H](C)C(=O)OC ZINC000525633427 384457394 /nfs/dbraw/zinc/45/73/94/384457394.db2.gz PPTFOJBBACRTSV-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN CSC[C@H]1CCCN1Cc1c(C)noc1C ZINC000135437298 384443636 /nfs/dbraw/zinc/44/36/36/384443636.db2.gz JTOSUKYLALPOIC-LLVKDONJSA-N 0 3 240.372 2.619 20 0 BFADHN CC(C)=CCN1CC[C@H](c2ccncc2)C1 ZINC000568178814 384461018 /nfs/dbraw/zinc/46/10/18/384461018.db2.gz YIOVXTWNMQMAQV-AWEZNQCLSA-N 0 3 216.328 2.837 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cncs1)C(C)(C)C ZINC000122200673 384462988 /nfs/dbraw/zinc/46/29/88/384462988.db2.gz XMEIDXWDKMDDTN-KOLCDFICSA-N 0 3 242.388 2.855 20 0 BFADHN Cn1ccc(CNCC(C)(C)c2cccs2)n1 ZINC000096585162 384468295 /nfs/dbraw/zinc/46/82/95/384468295.db2.gz WPMXSAWUWLHMDY-UHFFFAOYSA-N 0 3 249.383 2.549 20 0 BFADHN C[C@H]1C[C@H](NCc2cc(F)cc(Cl)c2)CO1 ZINC000335662492 384470535 /nfs/dbraw/zinc/47/05/35/384470535.db2.gz FIDMIQAXVYPHBU-UFBFGSQYSA-N 0 3 243.709 2.746 20 0 BFADHN CC(C)(C)OC(=O)[C@@H]1CCCN1CC1CCC1 ZINC000148945031 384471321 /nfs/dbraw/zinc/47/13/21/384471321.db2.gz VETRTJAAJQEWCR-LBPRGKRZSA-N 0 3 239.359 2.593 20 0 BFADHN COC[C@@H](N[C@@H](C)C(C)C)c1ccc(C)o1 ZINC000122293151 384471547 /nfs/dbraw/zinc/47/15/47/384471547.db2.gz FLQBSGCVRPCEDA-NWDGAFQWSA-N 0 3 225.332 2.910 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1ccc2c(n1)CCC2 ZINC000556787405 384480327 /nfs/dbraw/zinc/48/03/27/384480327.db2.gz YRLXLJUCRJACPC-ZFWWWQNUSA-N 0 3 244.382 2.952 20 0 BFADHN CO[C@@H](C)CCN[C@@H](C)c1cc(C)oc1C ZINC000278906457 384458842 /nfs/dbraw/zinc/45/88/42/384458842.db2.gz ZTEZBRADGWJEFF-ONGXEEELSA-N 0 3 225.332 2.972 20 0 BFADHN CO[C@H](CNCc1ccco1)c1ccccc1 ZINC000048557584 384497083 /nfs/dbraw/zinc/49/70/83/384497083.db2.gz CLVYMOGKVSMYCV-CQSZACIVSA-N 0 3 231.295 2.757 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1cccc(OC)c1 ZINC000079712533 384511491 /nfs/dbraw/zinc/51/14/91/384511491.db2.gz AKCKMJBLDFWHDP-AAEUAGOBSA-N 0 3 237.343 2.771 20 0 BFADHN C[C@@H]1CC(O)C[C@@H](C)N1C/C=C\c1ccccc1 ZINC000556812328 384497253 /nfs/dbraw/zinc/49/72/53/384497253.db2.gz URNJDIIOYLWTRL-MVUUYHCISA-N 0 3 245.366 2.934 20 0 BFADHN C[C@H](F)CCN1CCC[C@@H]1c1ccccn1 ZINC000556811482 384497664 /nfs/dbraw/zinc/49/76/64/384497664.db2.gz LQFLJJZLKJCNLA-WCQYABFASA-N 0 3 222.307 2.967 20 0 BFADHN C[C@@H](F)CCN1CCC[C@H]1c1ccccn1 ZINC000556811483 384498544 /nfs/dbraw/zinc/49/85/44/384498544.db2.gz LQFLJJZLKJCNLA-YPMHNXCESA-N 0 3 222.307 2.967 20 0 BFADHN C[C@H](NC[C@@H](CO)c1ccccc1)c1ccco1 ZINC000161522703 384500106 /nfs/dbraw/zinc/50/01/06/384500106.db2.gz SBRRKVQRXBASBV-JSGCOSHPSA-N 0 3 245.322 2.706 20 0 BFADHN C[C@@H](N[C@@H]1/C=C/CCCCC1)c1cnn(C)c1 ZINC000252509203 384500880 /nfs/dbraw/zinc/50/08/80/384500880.db2.gz YFXXUAKCYHIXEP-JDQXGDOCSA-N 0 3 233.359 2.960 20 0 BFADHN CCc1cccnc1[C@@H](C)NCCCCOC ZINC000356845860 384502596 /nfs/dbraw/zinc/50/25/96/384502596.db2.gz IOPUFTQNSINWMT-GFCCVEGCSA-N 0 3 236.359 2.721 20 0 BFADHN CC[C@H]1CC[C@H](C)[N@@H+]1Cc1nc(C)ccc1[O-] ZINC000136819366 384508546 /nfs/dbraw/zinc/50/85/46/384508546.db2.gz UPOVNRBVEUZEHH-RYUDHWBXSA-N 0 3 234.343 2.859 20 0 BFADHN CC[C@H]1CC[C@H](C)[N@H+]1Cc1nc(C)ccc1[O-] ZINC000136819366 384508553 /nfs/dbraw/zinc/50/85/53/384508553.db2.gz UPOVNRBVEUZEHH-RYUDHWBXSA-N 0 3 234.343 2.859 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1cccc(OC)c1 ZINC000079712544 384510413 /nfs/dbraw/zinc/51/04/13/384510413.db2.gz AKCKMJBLDFWHDP-YPMHNXCESA-N 0 3 237.343 2.771 20 0 BFADHN CCOc1cccc(CN[C@@H](CC)COC)c1 ZINC000079712487 384510963 /nfs/dbraw/zinc/51/09/63/384510963.db2.gz ISXUDDKFGDWFGN-ZDUSSCGKSA-N 0 3 237.343 2.600 20 0 BFADHN CC[C@H](Cc1ccccc1)N[C@H](C)CF ZINC000290252892 384486421 /nfs/dbraw/zinc/48/64/21/384486421.db2.gz VVYBDUBQWVOXGF-DGCLKSJQSA-N 0 3 209.308 2.955 20 0 BFADHN CC(C)C[C@@H]1CCCN(Cc2ccc(N)nc2)C1 ZINC000647517425 384488523 /nfs/dbraw/zinc/48/85/23/384488523.db2.gz LCILUYKTIYXYCQ-ZDUSSCGKSA-N 0 3 247.386 2.752 20 0 BFADHN CCC[C@H](N[C@@H]1CCOC1)c1ccsc1 ZINC000309208369 384489278 /nfs/dbraw/zinc/48/92/78/384489278.db2.gz SKTRLVRNMCRMGO-NEPJUHHUSA-N 0 3 225.357 2.968 20 0 BFADHN c1coc(CNC[C@@H]2[C@@H]3Cc4ccccc4[C@H]23)c1 ZINC000136434703 384493905 /nfs/dbraw/zinc/49/39/05/384493905.db2.gz FJDHCSVCBPRMMD-XHSDSOJGSA-N 0 3 239.318 2.955 20 0 BFADHN CC[C@H](N)C(=O)N(Cc1ccccc1)[C@@H](C)CC ZINC000037800269 384535140 /nfs/dbraw/zinc/53/51/40/384535140.db2.gz GIFFPRNDCJTOEU-JSGCOSHPSA-N 0 3 248.370 2.551 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H](CC)COC ZINC000356889307 384535289 /nfs/dbraw/zinc/53/52/89/384535289.db2.gz FQBRMZXEOSNJGA-YPMHNXCESA-N 0 3 236.359 2.720 20 0 BFADHN Cc1nocc1CNCC1(C(C)C)CC1 ZINC000293737037 384535801 /nfs/dbraw/zinc/53/58/01/384535801.db2.gz ZVHTYMLBRWJPFK-UHFFFAOYSA-N 0 3 208.305 2.509 20 0 BFADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1cncn1C ZINC000449550847 384513754 /nfs/dbraw/zinc/51/37/54/384513754.db2.gz DZKVXZHWQQRWMP-ZIAGYGMSSA-N 0 3 235.375 2.533 20 0 BFADHN FC(F)(F)OCCNC/C=C\c1ccccc1 ZINC000353180997 384514108 /nfs/dbraw/zinc/51/41/08/384514108.db2.gz IZOGQQIKTZJNBI-DAXSKMNVSA-N 0 3 245.244 2.826 20 0 BFADHN CN(Cc1cccc2c1OCCCO2)CC1CC1 ZINC000122883223 384517295 /nfs/dbraw/zinc/51/72/95/384517295.db2.gz FDUCUDLIYVNUBU-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN CC(C)[C@H]1CN(Cc2cnn(C)c2)[C@H]1C(C)C ZINC000449553647 384522576 /nfs/dbraw/zinc/52/25/76/384522576.db2.gz MJHOFUDKYWAQBZ-KGLIPLIRSA-N 0 3 235.375 2.533 20 0 BFADHN CN(Cc1cccs1)[C@@H](CO)C(C)(C)C ZINC000093821875 384524130 /nfs/dbraw/zinc/52/41/30/384524130.db2.gz KLXLRCBJGNYVNN-NSHDSACASA-N 0 3 227.373 2.587 20 0 BFADHN C[C@H](NC1Cc2ccccc2C1)c1nccs1 ZINC000080265116 384543664 /nfs/dbraw/zinc/54/36/64/384543664.db2.gz JGMCXBWARVETAV-JTQLQIEISA-N 0 3 244.363 2.961 20 0 BFADHN CCOCCN[C@H]1CCCOc2ccccc21 ZINC000161939103 384548921 /nfs/dbraw/zinc/54/89/21/384548921.db2.gz IEFYRCYCMNFBCL-ZDUSSCGKSA-N 0 3 235.327 2.526 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1cc(C)ccc1OC ZINC000019904991 384555548 /nfs/dbraw/zinc/55/55/48/384555548.db2.gz JJMYXLMHYSBRDD-VXGBXAGGSA-N 0 3 237.343 2.689 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC000019904903 384557011 /nfs/dbraw/zinc/55/70/11/384557011.db2.gz YCDLVTMMNUPDPE-BDAKNGLRSA-N 0 3 229.270 2.650 20 0 BFADHN C[C@@H](NCCc1ccccn1)c1cccc(O)c1 ZINC000019916744 384559953 /nfs/dbraw/zinc/55/99/53/384559953.db2.gz FYZFAJMNWLIXLE-GFCCVEGCSA-N 0 3 242.322 2.681 20 0 BFADHN C[C@H](CCc1ccccc1)NCc1ncc[nH]1 ZINC000049714702 384560374 /nfs/dbraw/zinc/56/03/74/384560374.db2.gz SMMMXLZKRCOLQE-GFCCVEGCSA-N 0 3 229.327 2.521 20 0 BFADHN FC(F)(F)[C@@H]1CCC[C@H](NCc2ncc[nH]2)C1 ZINC000049715412 384560843 /nfs/dbraw/zinc/56/08/43/384560843.db2.gz ZGTPOXOZAIDDIL-BDAKNGLRSA-N 0 3 247.264 2.620 20 0 BFADHN C[C@@H](NCc1cc[nH]c1)c1cccs1 ZINC000085578895 384539672 /nfs/dbraw/zinc/53/96/72/384539672.db2.gz SEWZWIMMGWMCRL-SECBINFHSA-N 0 3 206.314 2.927 20 0 BFADHN C[C@H](c1ccccc1)[C@H](C)NCc1ncc[nH]1 ZINC000080247908 384540012 /nfs/dbraw/zinc/54/00/12/384540012.db2.gz GQLLAVYQPRFIHM-RYUDHWBXSA-N 0 3 229.327 2.692 20 0 BFADHN Cc1ccc(CNCc2cc[nH]c2)s1 ZINC000085579707 384540066 /nfs/dbraw/zinc/54/00/66/384540066.db2.gz JLAIERJJWJGYEN-UHFFFAOYSA-N 0 3 206.314 2.674 20 0 BFADHN C[C@@H](NCc1cc[nH]c1)c1ccccc1 ZINC000085578612 384540121 /nfs/dbraw/zinc/54/01/21/384540121.db2.gz RHUNUIODOTXCFZ-LLVKDONJSA-N 0 3 200.285 2.866 20 0 BFADHN CCOCCN[C@@H]1CC(C)(C)Cc2occc21 ZINC000161911240 384541233 /nfs/dbraw/zinc/54/12/33/384541233.db2.gz MPNHCGVOJCLABQ-GFCCVEGCSA-N 0 3 237.343 2.919 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)[C@H]1CC1(C)C ZINC000309735267 384571865 /nfs/dbraw/zinc/57/18/65/384571865.db2.gz CEGWEWNHVDRCPU-JOYOIKCWSA-N 0 3 222.332 2.816 20 0 BFADHN Cn1nccc1CN1CCC[C@@H]1c1ccccc1 ZINC000123661741 384576231 /nfs/dbraw/zinc/57/62/31/384576231.db2.gz GIATUSHPSOKSFI-OAHLLOKOSA-N 0 3 241.338 2.757 20 0 BFADHN Cc1nnc(CN(C(C)C)C2CCCCC2)o1 ZINC000102768762 384576714 /nfs/dbraw/zinc/57/67/14/384576714.db2.gz VDDVSUMBDFLVQC-UHFFFAOYSA-N 0 3 237.347 2.921 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CC[C@H](C)C[C@H]1C ZINC000309746814 384578151 /nfs/dbraw/zinc/57/81/51/384578151.db2.gz FVBZYDKVJKTVFY-HOSYDEDBSA-N 0 3 221.348 2.632 20 0 BFADHN CC[C@H](CSC)NCc1ccccc1OC ZINC000162171264 384581835 /nfs/dbraw/zinc/58/18/35/384581835.db2.gz AAXMUTAEPZYWLK-GFCCVEGCSA-N 0 3 239.384 2.926 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc(F)cc(F)c2)CS1 ZINC000334851954 384582248 /nfs/dbraw/zinc/58/22/48/384582248.db2.gz DIUISISDNSOPCC-PRHODGIISA-N 0 3 243.322 2.948 20 0 BFADHN Cc1ccc(CN[C@@H](C)C[C@H]2CCCO2)o1 ZINC000309754492 384582616 /nfs/dbraw/zinc/58/26/16/384582616.db2.gz LEMFKORAZGSAPH-CMPLNLGQSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1cncc([C@H](C)NCCc2ccccn2)c1 ZINC000184251361 384585468 /nfs/dbraw/zinc/58/54/68/384585468.db2.gz FUDBSTOUTAGFHL-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1cc(CN2CCC[C@@H](CO)C2)c(C)s1 ZINC000172420947 384586902 /nfs/dbraw/zinc/58/69/02/384586902.db2.gz GKUHMADXVMPOSC-GFCCVEGCSA-N 0 3 239.384 2.569 20 0 BFADHN CCC(CC)[C@@H](O)CN[C@H](C)c1cncs1 ZINC000162201327 384587264 /nfs/dbraw/zinc/58/72/64/384587264.db2.gz QYYORVAPMLCCKD-KOLCDFICSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@@H](NCCCO)c1ccc(F)c(Cl)c1 ZINC000020188459 384588317 /nfs/dbraw/zinc/58/83/17/384588317.db2.gz NPTYFFKGAGGNKV-MRVPVSSYSA-N 0 3 231.698 2.512 20 0 BFADHN C[C@@H]1[C@H](CO)CCN1Cc1cccc(Cl)c1 ZINC000335897409 384588587 /nfs/dbraw/zinc/58/85/87/384588587.db2.gz IGYJOHAXRSJJPS-PWSUYJOCSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1ccc(F)c(F)c1 ZINC000019963751 384563810 /nfs/dbraw/zinc/56/38/10/384563810.db2.gz SXBGRNIWNSDRFE-GXSJLCMTSA-N 0 3 241.281 2.794 20 0 BFADHN CC[C@@H](CSC)N[C@H](C)c1cnn(CC)c1 ZINC000162351369 384605804 /nfs/dbraw/zinc/60/58/04/384605804.db2.gz GTROLYBYIWSJJF-PWSUYJOCSA-N 0 3 241.404 2.695 20 0 BFADHN CC(C)[C@H](C)N[C@H](CCO)c1ccccc1F ZINC000647346904 384607086 /nfs/dbraw/zinc/60/70/86/384607086.db2.gz PKSIOXPWGWCZGP-SMDDNHRTSA-N 0 3 239.334 2.883 20 0 BFADHN COc1cc(CNCc2cccn2C)ccc1C ZINC000172628120 384613364 /nfs/dbraw/zinc/61/33/64/384613364.db2.gz BFIMWIPKBALFPO-UHFFFAOYSA-N 0 3 244.338 2.632 20 0 BFADHN C[C@H](NC1CCCC1)C(=O)Nc1ccccc1 ZINC000020300093 384613841 /nfs/dbraw/zinc/61/38/41/384613841.db2.gz IPSXRMQQPBNCPE-NSHDSACASA-N 0 3 232.327 2.546 20 0 BFADHN COC[C@H](NCCc1ccccc1)c1ccco1 ZINC000184537291 384615595 /nfs/dbraw/zinc/61/55/95/384615595.db2.gz GHISIMNSADLOBV-AWEZNQCLSA-N 0 3 245.322 2.799 20 0 BFADHN CC[C@@H](C)CN(C)[C@@H](C)c1cnccn1 ZINC000184544931 384617604 /nfs/dbraw/zinc/61/76/04/384617604.db2.gz ZDSBKMAPYQXHPX-MNOVXSKESA-N 0 3 207.321 2.516 20 0 BFADHN C[C@@H](CCC1CC1)NCc1nccn1C(F)F ZINC000184567291 384617714 /nfs/dbraw/zinc/61/77/14/384617714.db2.gz UDZVKATZFBUGAU-VIFPVBQESA-N 0 3 243.301 2.947 20 0 BFADHN C[C@@H](NCCN1CCCCC1)c1ccsc1 ZINC000050344763 384618493 /nfs/dbraw/zinc/61/84/93/384618493.db2.gz XTWZAYXMEARKOP-GFCCVEGCSA-N 0 3 238.400 2.885 20 0 BFADHN CCSCCN1CCC[C@H]1c1cccn1C ZINC000172735709 384621995 /nfs/dbraw/zinc/62/19/95/384621995.db2.gz XGFYMIDKGILSMO-ZDUSSCGKSA-N 0 3 238.400 2.915 20 0 BFADHN Cc1nc(C)c(CN(C)[C@H](C)C2CC2)s1 ZINC000172762882 384622185 /nfs/dbraw/zinc/62/21/85/384622185.db2.gz FGKGBLKJRMSOJZ-SECBINFHSA-N 0 3 224.373 2.990 20 0 BFADHN C[C@@H](CCC1CC1)N[C@H](CO)c1ccsc1 ZINC000309805556 384633427 /nfs/dbraw/zinc/63/34/27/384633427.db2.gz XMGWACNJWGTCLE-GXFFZTMASA-N 0 3 239.384 2.950 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1ccc2c(c1)COC2 ZINC000647350421 384624887 /nfs/dbraw/zinc/62/48/87/384624887.db2.gz VBBMNLZEROEPBF-ZETOZRRWSA-N 0 3 247.338 2.545 20 0 BFADHN CCCCN(C(=O)[C@@H](N)C(C)C)c1ccccc1 ZINC000081581353 384625522 /nfs/dbraw/zinc/62/55/22/384625522.db2.gz DHSZSPNLOKRBMN-AWEZNQCLSA-N 0 3 248.370 2.803 20 0 BFADHN C[C@@H](NCCO)c1ccc(C(C)(C)C)cc1 ZINC000020597033 384628629 /nfs/dbraw/zinc/62/86/29/384628629.db2.gz DFLHVMREQXIACF-LLVKDONJSA-N 0 3 221.344 2.627 20 0 BFADHN CSCCCNCc1cc(F)c(F)cc1F ZINC000124292991 384629336 /nfs/dbraw/zinc/62/93/36/384629336.db2.gz FJMLEPCFHOPNPF-UHFFFAOYSA-N 0 3 249.301 2.947 20 0 BFADHN C[C@H](NCCC(C)(C)O)c1ccsc1 ZINC000086235909 384629406 /nfs/dbraw/zinc/62/94/06/384629406.db2.gz XDGQGJLZBBFUKF-VIFPVBQESA-N 0 3 213.346 2.560 20 0 BFADHN C[C@H](O)C[C@H]1CCCCN1Cc1cccc(O)c1 ZINC000123874212 384592332 /nfs/dbraw/zinc/59/23/32/384592332.db2.gz BNAIXRPBEACKCS-GXTWGEPZSA-N 0 3 249.354 2.518 20 0 BFADHN CC[C@@H](CO)N[C@H](C)c1cc2ccccc2o1 ZINC000020195731 384595886 /nfs/dbraw/zinc/59/58/86/384595886.db2.gz GLFDLPOVEMNGSS-PWSUYJOCSA-N 0 3 233.311 2.854 20 0 BFADHN Cc1ccnc([C@H](C)NC2C[C@@H](C)O[C@H](C)C2)c1 ZINC000556887284 384597024 /nfs/dbraw/zinc/59/70/24/384597024.db2.gz SRSSARHLQWRNKF-UPJWGTAASA-N 0 3 248.370 2.997 20 0 BFADHN Cc1cc(CN)cc(NC(=O)[C@]23C[C@H]2CCC3)c1 ZINC000564820672 384598367 /nfs/dbraw/zinc/59/83/67/384598367.db2.gz BBZZWIHMMYZGNO-IUODEOHRSA-N 0 3 244.338 2.582 20 0 BFADHN CC1(C)SC[C@@H]1NC/C=C\c1ccncc1 ZINC000309770462 384599095 /nfs/dbraw/zinc/59/90/95/384599095.db2.gz KIUDWAPHERVLHU-MVZIDQBPSA-N 0 3 234.368 2.578 20 0 BFADHN C[C@H](Cn1cccn1)N[C@@H]1CCCc2occc21 ZINC000123968497 384599700 /nfs/dbraw/zinc/59/97/00/384599700.db2.gz WEYPHNGWXYERHX-DGCLKSJQSA-N 0 3 245.326 2.532 20 0 BFADHN C[C@H](NCc1ccc(F)c(F)c1)[C@@H]1CCCO1 ZINC000020205611 384600053 /nfs/dbraw/zinc/60/00/53/384600053.db2.gz RCESYKMUADHXAT-ZANVPECISA-N 0 3 241.281 2.622 20 0 BFADHN C[C@]1(O)CCCN(Cc2ccccc2Cl)C1 ZINC000123999171 384602057 /nfs/dbraw/zinc/60/20/57/384602057.db2.gz QXMOMYIMSZQLEW-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1cccc(OC)c1 ZINC000044688859 384663379 /nfs/dbraw/zinc/66/33/79/384663379.db2.gz OYFZQUVRDLKHHB-RYUDHWBXSA-N 0 3 237.343 2.771 20 0 BFADHN CC(C)C1(C)CCN(Cc2ccc(N)nc2)CC1 ZINC000647538929 384673010 /nfs/dbraw/zinc/67/30/10/384673010.db2.gz OGAZYIMARBBCFS-UHFFFAOYSA-N 0 3 247.386 2.752 20 0 BFADHN Cc1cnc([C@H](C)NCCCCCCO)s1 ZINC000185011320 384673093 /nfs/dbraw/zinc/67/30/93/384673093.db2.gz QPXDDUJNMGFQDB-NSHDSACASA-N 0 3 242.388 2.655 20 0 BFADHN CCC1(CN[C@@H](C)c2nccs2)CC1 ZINC000090219564 384634388 /nfs/dbraw/zinc/63/43/88/384634388.db2.gz CAHARDMEMVHCPR-VIFPVBQESA-N 0 3 210.346 2.984 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@H]3OCCC[C@H]23)c1F ZINC000449273763 384638774 /nfs/dbraw/zinc/63/87/74/384638774.db2.gz ZTXUCKRPVVORFT-MGPQQGTHSA-N 0 3 249.329 2.791 20 0 BFADHN Clc1csc(CNCCN2CCCC2)c1 ZINC000086332203 384639354 /nfs/dbraw/zinc/63/93/54/384639354.db2.gz HQJQROAOFYTVCA-UHFFFAOYSA-N 0 3 244.791 2.587 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1c(C)nn(C)c1Cl ZINC000162530311 384641159 /nfs/dbraw/zinc/64/11/59/384641159.db2.gz UEDDJDSIAGDVCW-RKDXNWHRSA-N 0 3 243.782 2.906 20 0 BFADHN C(=C\c1ccccc1)\CN1CC[C@@H]2OCC[C@@H]2C1 ZINC000564918485 384641509 /nfs/dbraw/zinc/64/15/09/384641509.db2.gz NZWSSXWIFKASRD-HTEAGGNKSA-N 0 3 243.350 2.811 20 0 BFADHN Cc1ccoc1CNCC1CC(F)(F)C1 ZINC000291677805 384644963 /nfs/dbraw/zinc/64/49/63/384644963.db2.gz HIWFZNUKBJYNOV-UHFFFAOYSA-N 0 3 215.243 2.723 20 0 BFADHN CCN(Cc1cccc(NC(C)=O)c1)C1CC1 ZINC000101544178 384675608 /nfs/dbraw/zinc/67/56/08/384675608.db2.gz RJBLRZVSVVMVIE-UHFFFAOYSA-N 0 3 232.327 2.629 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CCS[C@H]2C)s1 ZINC000647359522 384652854 /nfs/dbraw/zinc/65/28/54/384652854.db2.gz IGMGJYPBZVFYGU-NRPADANISA-N 0 3 242.413 2.996 20 0 BFADHN Fc1ccc(CNC[C@H]2CCC=CO2)c(F)c1 ZINC000051016984 384654961 /nfs/dbraw/zinc/65/49/61/384654961.db2.gz GQUWJARFRGLGCI-GFCCVEGCSA-N 0 3 239.265 2.747 20 0 BFADHN CCC[C@H](NC[C@H]1CCCO1)c1cccnc1 ZINC000184850566 384655696 /nfs/dbraw/zinc/65/56/96/384655696.db2.gz OVJIPSKKITZILP-KGLIPLIRSA-N 0 3 234.343 2.691 20 0 BFADHN COc1ccc(CNC[C@H]2CCC(C)(C)O2)cc1 ZINC000160186242 384656830 /nfs/dbraw/zinc/65/68/30/384656830.db2.gz LCRNBTMQQRJFCR-CQSZACIVSA-N 0 3 249.354 2.742 20 0 BFADHN COC(=O)c1occc1CN[C@@]1(C)CC=CCC1 ZINC000398322368 384657497 /nfs/dbraw/zinc/65/74/97/384657497.db2.gz HNGZWFAQWHRANC-AWEZNQCLSA-N 0 3 249.310 2.655 20 0 BFADHN C[C@H](NCC1=CCCOC1)c1ccccc1 ZINC000124625655 384660409 /nfs/dbraw/zinc/66/04/09/384660409.db2.gz WBJQHHYETXHLDP-LBPRGKRZSA-N 0 3 217.312 2.684 20 0 BFADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1ccncc1 ZINC000160213909 384660406 /nfs/dbraw/zinc/66/04/06/384660406.db2.gz BDDMBKCVBNJTKY-WCQYABFASA-N 0 3 234.343 2.690 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CC[C@H]1C1CC1 ZINC000309933337 384723441 /nfs/dbraw/zinc/72/34/41/384723441.db2.gz YWUDDEYPFRXNML-WCQYABFASA-N 0 3 220.316 2.570 20 0 BFADHN Clc1cccc(CN[C@H]2CC23CC3)c1 ZINC000335285738 384724509 /nfs/dbraw/zinc/72/45/09/384724509.db2.gz ZHIDLXPQIGOJQS-NSHDSACASA-N 0 3 207.704 2.982 20 0 BFADHN CCCc1nc(C)c(CNC2CC(C)C2)o1 ZINC000309926178 384725064 /nfs/dbraw/zinc/72/50/64/384725064.db2.gz VIRSCYSEOWNQND-UHFFFAOYSA-N 0 3 222.332 2.824 20 0 BFADHN CCOCCN[C@@H](CC(C)C)c1ccncc1 ZINC000647284066 384725412 /nfs/dbraw/zinc/72/54/12/384725412.db2.gz ZZZNOMBDLJEAFY-AWEZNQCLSA-N 0 3 236.359 2.795 20 0 BFADHN Cc1nc(CN[C@H]2CSC[C@H]2C)sc1C ZINC000335912961 384676892 /nfs/dbraw/zinc/67/68/92/384676892.db2.gz NOCGCARWNJJWNL-XCBNKYQSSA-N 0 3 242.413 2.601 20 0 BFADHN CCOc1cc(C)ccc1CN[C@@H]1CO[C@H](C)C1 ZINC000647191644 384725876 /nfs/dbraw/zinc/72/58/76/384725876.db2.gz WQZVGJJZRNVKHA-OCCSQVGLSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@H]2CC23CC3)c1 ZINC000335250829 384679209 /nfs/dbraw/zinc/67/92/09/384679209.db2.gz XUBJXDGCYGXAMN-PWSUYJOCSA-N 0 3 202.301 2.593 20 0 BFADHN C[C@@H](NC1CCC1)c1nc2ccccc2n1C ZINC000052207103 384726125 /nfs/dbraw/zinc/72/61/25/384726125.db2.gz DPFKDKLLIPRYEN-SNVBAGLBSA-N 0 3 229.327 2.776 20 0 BFADHN CC[C@@H](C)[C@@H](C)[NH2+]Cc1nc(C)ccc1[O-] ZINC000082424847 384682266 /nfs/dbraw/zinc/68/22/66/384682266.db2.gz JSVGWVZOYSNMTD-MWLCHTKSSA-N 0 3 222.332 2.620 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C2CCCCC2)n1 ZINC000082420325 384683230 /nfs/dbraw/zinc/68/32/30/384683230.db2.gz JNWSGNFSGIDNHS-UHFFFAOYSA-N 0 3 220.316 2.518 20 0 BFADHN Cn1cc(CN[C@@H](c2cccs2)C2CC2)cn1 ZINC000049956836 384686700 /nfs/dbraw/zinc/68/67/00/384686700.db2.gz COGVPLQTQWRPDZ-CYBMUJFWSA-N 0 3 247.367 2.723 20 0 BFADHN Cc1noc(C)c1CN(C)CCC1CC1 ZINC000173516787 384688703 /nfs/dbraw/zinc/68/87/03/384688703.db2.gz QUBKARUCFYGAFC-UHFFFAOYSA-N 0 3 208.305 2.523 20 0 BFADHN CC(C)C[C@H]1OCCC[C@@H]1NCc1ccccn1 ZINC000185195101 384691632 /nfs/dbraw/zinc/69/16/32/384691632.db2.gz FXEJMDBRBROIQF-LSDHHAIUSA-N 0 3 248.370 2.765 20 0 BFADHN c1cn(CCN2CCC[C@@H]2c2ccsc2)cn1 ZINC000162828947 384692980 /nfs/dbraw/zinc/69/29/80/384692980.db2.gz AUCAVUHXFKAEOC-CYBMUJFWSA-N 0 3 247.367 2.782 20 0 BFADHN CC/C=C\CNCc1cc2cccnc2o1 ZINC000449459938 384696890 /nfs/dbraw/zinc/69/68/90/384696890.db2.gz QXZUVDJYGBQEGK-ARJAWSKDSA-N 0 3 216.284 2.884 20 0 BFADHN CC(C)[C@@H](O)CNc1ccnc2ccccc21 ZINC000125153584 384699073 /nfs/dbraw/zinc/69/90/73/384699073.db2.gz ZHDICAFGKKPPLN-AWEZNQCLSA-N 0 3 230.311 2.664 20 0 BFADHN Fc1cc(F)cc(CN[C@H]2CC[C@@H](F)C2)c1 ZINC000335918650 384699820 /nfs/dbraw/zinc/69/98/20/384699820.db2.gz YKLSLIBJHFXGSJ-SKDRFNHKSA-N 0 3 229.245 2.945 20 0 BFADHN Fc1cc(F)cc(CN[C@@H]2CC[C@H](F)C2)c1 ZINC000335918649 384700738 /nfs/dbraw/zinc/70/07/38/384700738.db2.gz YKLSLIBJHFXGSJ-JOYOIKCWSA-N 0 3 229.245 2.945 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1cc(C)c(C)o1 ZINC000309902632 384704734 /nfs/dbraw/zinc/70/47/34/384704734.db2.gz IOZVMNGCEXGSDU-QWRGUYRKSA-N 0 3 225.332 2.972 20 0 BFADHN CCc1ncc(CN[C@H](C)CC2CC2)o1 ZINC000449609134 384705732 /nfs/dbraw/zinc/70/57/32/384705732.db2.gz MFJAIVYLNQBHCW-SECBINFHSA-N 0 3 208.305 2.515 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1cc(C)c(C)o1 ZINC000309902634 384705955 /nfs/dbraw/zinc/70/59/55/384705955.db2.gz IOZVMNGCEXGSDU-WDEREUQCSA-N 0 3 225.332 2.972 20 0 BFADHN CCn1ccnc1CN[C@H](C)Cc1ccsc1 ZINC000051924143 384707293 /nfs/dbraw/zinc/70/72/93/384707293.db2.gz OZKMJGYZDBXOAM-LLVKDONJSA-N 0 3 249.383 2.685 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1ccc2c(c1)OCCO2 ZINC000335277101 384707227 /nfs/dbraw/zinc/70/72/27/384707227.db2.gz HTEIAXPRARPXTJ-YGRLFVJLSA-N 0 3 245.322 2.661 20 0 BFADHN Oc1ccc2c(c1)[C@@H](N[C@H]1CC13CC3)CCC2 ZINC000335278653 384710173 /nfs/dbraw/zinc/71/01/73/384710173.db2.gz LTPCUAKPFGUMLI-KBPBESRZSA-N 0 3 229.323 2.912 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CC12CCCC2 ZINC000309903137 384710638 /nfs/dbraw/zinc/71/06/38/384710638.db2.gz IULFNDOXDFNIFY-GFCCVEGCSA-N 0 3 220.316 2.714 20 0 BFADHN Oc1ccc2c(c1)[C@H](N[C@H]1CC13CC3)CCC2 ZINC000335278654 384711333 /nfs/dbraw/zinc/71/13/33/384711333.db2.gz LTPCUAKPFGUMLI-KGLIPLIRSA-N 0 3 229.323 2.912 20 0 BFADHN Fc1cccc2c1CC[C@@H]2N[C@@H]1CC12CC2 ZINC000335280846 384712199 /nfs/dbraw/zinc/71/21/99/384712199.db2.gz UOQFGTMWRCVSLI-QWHCGFSZSA-N 0 3 217.287 2.955 20 0 BFADHN Cc1nc(C)c([C@@H](C)N[C@@H]2CC23CC3)s1 ZINC000335280761 384712378 /nfs/dbraw/zinc/71/23/78/384712378.db2.gz SSGAGGIONUJSGP-PSASIEDQSA-N 0 3 222.357 2.963 20 0 BFADHN CO[C@@H]1CC[C@@H](N[C@H](C)c2csc(C)n2)C1 ZINC000300372945 384713308 /nfs/dbraw/zinc/71/33/08/384713308.db2.gz XPVATWHKLKHDRZ-FBIMIBRVSA-N 0 3 240.372 2.670 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccco2)C1(C)C ZINC000082784217 384715079 /nfs/dbraw/zinc/71/50/79/384715079.db2.gz JXDZPVACGPOWRR-RYUDHWBXSA-N 0 3 223.316 2.573 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2ccco2)C1(C)C ZINC000082784216 384715628 /nfs/dbraw/zinc/71/56/28/384715628.db2.gz JXDZPVACGPOWRR-NWDGAFQWSA-N 0 3 223.316 2.573 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(F)c(Cl)c2)CO1 ZINC000335426287 384720566 /nfs/dbraw/zinc/72/05/66/384720566.db2.gz SFHYEYWFHYIKFD-WPRPVWTQSA-N 0 3 243.709 2.746 20 0 BFADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1ccccn1 ZINC000086934440 384721465 /nfs/dbraw/zinc/72/14/65/384721465.db2.gz ISAWXBIVOISDLV-OUAUKWLOSA-N 0 3 222.357 2.626 20 0 BFADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1ccncc1 ZINC000086934414 384722073 /nfs/dbraw/zinc/72/20/73/384722073.db2.gz UGFRANYXGISMNT-SCVCMEIPSA-N 0 3 222.357 2.626 20 0 BFADHN C[C@@H](NCCC[C@H](C)O)c1cccnc1Cl ZINC000279003465 384722273 /nfs/dbraw/zinc/72/22/73/384722273.db2.gz GDAVEIWKFWAXKR-VHSXEESVSA-N 0 3 242.750 2.547 20 0 BFADHN CCOc1ccccc1CN(C)C[C@H]1CCOC1 ZINC000052164279 384722441 /nfs/dbraw/zinc/72/24/41/384722441.db2.gz WAJWVWVIYODULP-CYBMUJFWSA-N 0 3 249.354 2.554 20 0 BFADHN CC(C)[C@@H]1CN(Cc2ccncc2)CCS1 ZINC000174339370 384777827 /nfs/dbraw/zinc/77/78/27/384777827.db2.gz QHQOMEGAWKRVSK-ZDUSSCGKSA-N 0 3 236.384 2.655 20 0 BFADHN CC(=O)Nc1cccc([C@H](C)N[C@H]2C[C@@H]2C)c1 ZINC000052272685 384729088 /nfs/dbraw/zinc/72/90/88/384729088.db2.gz XFNQCDABKJQSLV-BHDSKKPTSA-N 0 3 232.327 2.704 20 0 BFADHN CCCn1c2ccccc2nc1CN[C@@H]1C[C@H]1C ZINC000052275106 384729315 /nfs/dbraw/zinc/72/93/15/384729315.db2.gz LTOBYQBKIVTQKW-DGCLKSJQSA-N 0 3 243.354 2.944 20 0 BFADHN C[C@H](O)CCCN[C@@H](C)c1ccc(Cl)cn1 ZINC000279011863 384732206 /nfs/dbraw/zinc/73/22/06/384732206.db2.gz GZPJBLUIFKSVEA-UWVGGRQHSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](O)CCN[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000082992792 384733868 /nfs/dbraw/zinc/73/38/68/384733868.db2.gz ZBRGDRZABONACI-IUCAKERBSA-N 0 3 245.725 2.901 20 0 BFADHN CN(Cc1ccc(F)c(F)c1)C1CCC1 ZINC000173914142 384736083 /nfs/dbraw/zinc/73/60/83/384736083.db2.gz SXOMOFRIEAHVKO-UHFFFAOYSA-N 0 3 211.255 2.949 20 0 BFADHN C[C@@H](NCC(C)(C)C)c1cc2n(n1)CCCC2 ZINC000647284908 384736338 /nfs/dbraw/zinc/73/63/38/384736338.db2.gz RQWCJEGSCGPLTA-LLVKDONJSA-N 0 3 235.375 2.916 20 0 BFADHN C[C@@H](Cc1cccs1)N[C@@H]1CCn2ccnc21 ZINC000294296854 384738632 /nfs/dbraw/zinc/73/86/32/384738632.db2.gz ZMIKJRNEBCDSGF-CMPLNLGQSA-N 0 3 247.367 2.610 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H]1C)c1cc2n(n1)CCCC2 ZINC000647284780 384738771 /nfs/dbraw/zinc/73/87/71/384738771.db2.gz GJMNLRSFUJDQMC-SDDRHHMPSA-N 0 3 233.359 2.526 20 0 BFADHN C[C@@H]1[C@H](CO)CCN1Cc1ccc(Cl)cc1 ZINC000335959286 384740738 /nfs/dbraw/zinc/74/07/38/384740738.db2.gz SQJAWGLTWHNYDM-PWSUYJOCSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H]1C[C@H](NCc2sccc2Cl)CO1 ZINC000335442852 384741004 /nfs/dbraw/zinc/74/10/04/384741004.db2.gz ZNUKUBIFAYVXIV-YUMQZZPRSA-N 0 3 231.748 2.669 20 0 BFADHN Cc1ccc(CN(C)Cc2ccnc(N)c2)cc1 ZINC000052718072 384742221 /nfs/dbraw/zinc/74/22/21/384742221.db2.gz QTSZAPSUNPAMDU-UHFFFAOYSA-N 0 3 241.338 2.604 20 0 BFADHN C(CN1CCN(C2CC2)CC1)C1CCCCC1 ZINC000361508350 384742508 /nfs/dbraw/zinc/74/25/08/384742508.db2.gz LGCQRDBMYJFMMD-UHFFFAOYSA-N 0 3 236.403 2.737 20 0 BFADHN C[C@]1(F)CCN(C[C@@H]2CCC(F)(F)C2)C1 ZINC000336198532 384743620 /nfs/dbraw/zinc/74/36/20/384743620.db2.gz KINAJWLKTGDTLK-ZJUUUORDSA-N 0 3 221.266 2.856 20 0 BFADHN CCSCCCN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000294301988 384743657 /nfs/dbraw/zinc/74/36/57/384743657.db2.gz ZWONIPCEKSBVNR-GHMZBOCLSA-N 0 3 239.388 2.622 20 0 BFADHN C[C@@H](CO)[C@H](C)NCc1c(F)cccc1Cl ZINC000125726045 384746457 /nfs/dbraw/zinc/74/64/57/384746457.db2.gz BTJNNEFOWDNQBV-IUCAKERBSA-N 0 3 245.725 2.586 20 0 BFADHN CCC1(NCc2occc2C)CCOCC1 ZINC000310007468 384779655 /nfs/dbraw/zinc/77/96/55/384779655.db2.gz HHGDJKFAVPYEMN-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN CC(C)N(Cc1ccccn1)C[C@H]1CC[C@H](C)O1 ZINC000530549459 384750362 /nfs/dbraw/zinc/75/03/62/384750362.db2.gz BUZPHMLLBSJIHW-DZGCQCFKSA-N 0 3 248.370 2.860 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1CCSC1 ZINC000309961600 384750618 /nfs/dbraw/zinc/75/06/18/384750618.db2.gz LMAYKIYLSNNMKB-CMPLNLGQSA-N 0 3 236.384 2.800 20 0 BFADHN Cc1noc(C)c1CCN[C@H](C)c1ccncc1 ZINC000174066758 384750749 /nfs/dbraw/zinc/75/07/49/384750749.db2.gz OXOPHOXAXLARJU-SNVBAGLBSA-N 0 3 245.326 2.580 20 0 BFADHN CC[C@@H](CN[C@@H]1CCCc2occc21)OC ZINC000289579099 384754847 /nfs/dbraw/zinc/75/48/47/384754847.db2.gz AJUFYANCRQAUQL-CMPLNLGQSA-N 0 3 223.316 2.672 20 0 BFADHN C[C@H](N[C@@H]1COC(C)(C)C1)c1cccc(O)c1 ZINC000334948144 384759865 /nfs/dbraw/zinc/75/98/65/384759865.db2.gz NVAHASKFRZYSIJ-JQWIXIFHSA-N 0 3 235.327 2.610 20 0 BFADHN Cn1cnc(CN[C@@H](C2CCC2)C2CCCC2)c1 ZINC000647235467 384759922 /nfs/dbraw/zinc/75/99/22/384759922.db2.gz DXHDGVUTHDFSJO-OAHLLOKOSA-N 0 3 247.386 2.869 20 0 BFADHN COc1ccc([C@@H](C)N(C)CCC(C)=O)cc1 ZINC000126019727 384765278 /nfs/dbraw/zinc/76/52/78/384765278.db2.gz DQHZOZBNIABERO-GFCCVEGCSA-N 0 3 235.327 2.667 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1cccc(O)c1)OC ZINC000323043988 384765719 /nfs/dbraw/zinc/76/57/19/384765719.db2.gz PAHZHDYSLJNNNS-SMDDNHRTSA-N 0 3 237.343 2.858 20 0 BFADHN COC[C@@H](N[C@H](C)c1ccccc1OC)C1CC1 ZINC000126017833 384766655 /nfs/dbraw/zinc/76/66/55/384766655.db2.gz OFJBQZBDGVFUCK-BXUZGUMPSA-N 0 3 249.354 2.771 20 0 BFADHN COC[C@H](N[C@@H](C)c1ccc(C)o1)C(C)C ZINC000083397929 384769406 /nfs/dbraw/zinc/76/94/06/384769406.db2.gz VIJJQCHQJIPACF-RYUDHWBXSA-N 0 3 225.332 2.910 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1cc(F)ccc1OC ZINC000053173942 384769362 /nfs/dbraw/zinc/76/93/62/384769362.db2.gz UTBXCOGRRNYHFA-NXEZZACHSA-N 0 3 241.306 2.520 20 0 BFADHN CC[C@H](N[C@H]1CC[C@H](C)C1)c1nccn1C ZINC000310008496 384780540 /nfs/dbraw/zinc/78/05/40/384780540.db2.gz HSGIIZXZONFHAQ-SRVKXCTJSA-N 0 3 221.348 2.649 20 0 BFADHN COc1ccc(C)cc1CNC[C@H]1CC[C@H](C)O1 ZINC000398149782 384817941 /nfs/dbraw/zinc/81/79/41/384817941.db2.gz NAEYRDXFIKWSHL-GXTWGEPZSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1cccc(C2(NCc3cn[nH]c3)CCC2)c1 ZINC000647236883 384818226 /nfs/dbraw/zinc/81/82/26/384818226.db2.gz RGWHFLILCIKOMS-UHFFFAOYSA-N 0 3 241.338 2.887 20 0 BFADHN COC(=O)[C@H]1CCCCN1CC1CCCCC1 ZINC000105980345 384826382 /nfs/dbraw/zinc/82/63/82/384826382.db2.gz YRKQRSVUOCBLHL-CYBMUJFWSA-N 0 3 239.359 2.594 20 0 BFADHN CC[C@@H](C)NC(=O)[C@H](C)N[C@H](C)c1ccccc1 ZINC000104426598 384828814 /nfs/dbraw/zinc/82/88/14/384828814.db2.gz MSSIIAVFAOYPGJ-UPJWGTAASA-N 0 3 248.370 2.640 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1ccc(Cl)nc1 ZINC000126813232 384833260 /nfs/dbraw/zinc/83/32/60/384833260.db2.gz NBCNYELRJCPFCG-MWLCHTKSSA-N 0 3 240.734 2.565 20 0 BFADHN C[C@H](C[C@H](C)O)NCc1ccsc1Cl ZINC000308591560 384833915 /nfs/dbraw/zinc/83/39/15/384833915.db2.gz KPONEPHUKYFEIA-SFYZADRCSA-N 0 3 233.764 2.651 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1ccc(Cl)nc1 ZINC000126812992 384834021 /nfs/dbraw/zinc/83/40/21/384834021.db2.gz NBCNYELRJCPFCG-GXSJLCMTSA-N 0 3 240.734 2.565 20 0 BFADHN CC[C@H](N[C@H]1CC[C@@H](C)C1)c1nccn1C ZINC000310008499 384781898 /nfs/dbraw/zinc/78/18/98/384781898.db2.gz HSGIIZXZONFHAQ-WOPDTQHZSA-N 0 3 221.348 2.649 20 0 BFADHN CCC1(NCc2ncc(COC)s2)CCC1 ZINC000310011212 384783598 /nfs/dbraw/zinc/78/35/98/384783598.db2.gz IQNFUNRXDTVKAJ-UHFFFAOYSA-N 0 3 240.372 2.712 20 0 BFADHN Fc1cccnc1CNC1CCC(F)(F)CC1 ZINC000647195899 384786461 /nfs/dbraw/zinc/78/64/61/384786461.db2.gz IAKAICKTLZZXGV-UHFFFAOYSA-N 0 3 244.260 2.888 20 0 BFADHN CC[C@H](C[C@@H](C)CO)N[C@@H](C)c1cncs1 ZINC000310019994 384786541 /nfs/dbraw/zinc/78/65/41/384786541.db2.gz MRWATMONCNBETO-OUAUKWLOSA-N 0 3 242.388 2.591 20 0 BFADHN CCOc1cc(CN(C)CC)ccc1OC ZINC000126278540 384787296 /nfs/dbraw/zinc/78/72/96/384787296.db2.gz JFJXNDQLSRXSQY-UHFFFAOYSA-N 0 3 223.316 2.546 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1ccc(F)cc1F ZINC000103966265 384791594 /nfs/dbraw/zinc/79/15/94/384791594.db2.gz BZPKBXAMWKRKGW-ONGXEEELSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1ccc(F)cc1F ZINC000103966255 384791798 /nfs/dbraw/zinc/79/17/98/384791798.db2.gz BZPKBXAMWKRKGW-GXSJLCMTSA-N 0 3 241.281 2.794 20 0 BFADHN CO[C@]1(C)C[C@H](N(C)Cc2cccnc2)C1(C)C ZINC000174477860 384797309 /nfs/dbraw/zinc/79/73/09/384797309.db2.gz GUACYBOOHPQWFL-DZGCQCFKSA-N 0 3 248.370 2.717 20 0 BFADHN CCC[C@H](N)c1cn(C[C@@H]2CC[C@@H]3C[C@@H]3C2)nn1 ZINC000403519136 384800603 /nfs/dbraw/zinc/80/06/03/384800603.db2.gz KLBAKXSXWPQURQ-NDBYEHHHSA-N 0 3 248.374 2.514 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)o1 ZINC000398110543 384802377 /nfs/dbraw/zinc/80/23/77/384802377.db2.gz RCGNHOLRKOFIFI-GIPNMCIBSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CCCC(C)(C)C1 ZINC000335084889 384803230 /nfs/dbraw/zinc/80/32/30/384803230.db2.gz NEOLVKLWRXKRLN-LLVKDONJSA-N 0 3 221.348 2.777 20 0 BFADHN CC[C@H](NCc1ncc(C)cn1)C(C)(C)C ZINC000310037427 384803779 /nfs/dbraw/zinc/80/37/79/384803779.db2.gz VCMOZZWZPZLYKK-NSHDSACASA-N 0 3 221.348 2.699 20 0 BFADHN C[C@H](N[C@@H]1C=CCCCCC1)c1cn[nH]c1 ZINC000294394982 384804007 /nfs/dbraw/zinc/80/40/07/384804007.db2.gz CEVPDGDKHXSPLB-WCQYABFASA-N 0 3 219.332 2.949 20 0 BFADHN CCC[C@@H]1CN([C@@H](C)CC(C)C)CCO1 ZINC000557082386 384804814 /nfs/dbraw/zinc/80/48/14/384804814.db2.gz BDVLZIBFBBMFLR-QWHCGFSZSA-N 0 3 213.365 2.922 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1ccc(Cl)nc1 ZINC000126845054 384836826 /nfs/dbraw/zinc/83/68/26/384836826.db2.gz LSIKDUBXHLUGGF-GXSJLCMTSA-N 0 3 240.734 2.565 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2N1CCOCC2(CC2)C1 ZINC000368465829 384810914 /nfs/dbraw/zinc/81/09/14/384810914.db2.gz NNVYHXMMKHAJLC-HNNXBMFYSA-N 0 3 243.350 2.786 20 0 BFADHN CN(Cc1cccs1)C[C@H]1CCCC[C@@H]1O ZINC000053883255 384812424 /nfs/dbraw/zinc/81/24/24/384812424.db2.gz SSFCHADZDBRMMX-YPMHNXCESA-N 0 3 239.384 2.731 20 0 BFADHN Cc1csc(CNC[C@@]2(C)CCCS2)n1 ZINC000087620635 384815160 /nfs/dbraw/zinc/81/51/60/384815160.db2.gz OIJBJGOVPGQITP-LLVKDONJSA-N 0 3 242.413 2.827 20 0 BFADHN COC[C@@H](C)N(C)Cc1cc2ccccc2o1 ZINC000175190354 384879607 /nfs/dbraw/zinc/87/96/07/384879607.db2.gz IRSQIWBAEINDEG-LLVKDONJSA-N 0 3 233.311 2.900 20 0 BFADHN CC[C@@H](C)N(C)Cc1cc(CO)ccc1F ZINC000528413723 384883433 /nfs/dbraw/zinc/88/34/33/384883433.db2.gz FCLBYJIQFDMTBR-SNVBAGLBSA-N 0 3 225.307 2.548 20 0 BFADHN CCn1nccc1CN1CCCC[C@H](C)C1 ZINC000187516498 384883235 /nfs/dbraw/zinc/88/32/35/384883235.db2.gz JQZQJIKNRYTEBY-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN CC[C@H](C)N(C)Cc1cc(CO)ccc1F ZINC000528413714 384883797 /nfs/dbraw/zinc/88/37/97/384883797.db2.gz FCLBYJIQFDMTBR-JTQLQIEISA-N 0 3 225.307 2.548 20 0 BFADHN CCOc1ccccc1CNC[C@H]1CC[C@H](C)O1 ZINC000398192627 384838977 /nfs/dbraw/zinc/83/89/77/384838977.db2.gz BFFGEXABKFIFGG-GXTWGEPZSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C)c1nc2ccccc2n1C ZINC000163675756 384842005 /nfs/dbraw/zinc/84/20/05/384842005.db2.gz NGMWKPMEIFBYEN-WOPDTQHZSA-N 0 3 243.354 2.880 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)NCCCCC(C)(C)C ZINC000236922008 384843173 /nfs/dbraw/zinc/84/31/73/384843173.db2.gz WWIVPUKHPHOQSJ-NEPJUHHUSA-N 0 3 242.407 2.692 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1ccc(C)cn1)OC ZINC000362974476 384844491 /nfs/dbraw/zinc/84/44/91/384844491.db2.gz APCAKARXTVUYSG-OCCSQVGLSA-N 0 3 236.359 2.541 20 0 BFADHN COC[C@@H](N[C@H](C)c1cnccc1C)C(C)C ZINC000557147617 384846325 /nfs/dbraw/zinc/84/63/25/384846325.db2.gz CMFPETIDPGXDHF-TZMCWYRMSA-N 0 3 236.359 2.712 20 0 BFADHN CCC[C@H](C)N1CCN(c2cccc(O)c2)CC1 ZINC000186503604 384846545 /nfs/dbraw/zinc/84/65/45/384846545.db2.gz UVPULFDTHLTVIN-ZDUSSCGKSA-N 0 3 248.370 2.703 20 0 BFADHN CC(C)(C)c1ccccc1NC(=O)C1(N)CCC1 ZINC000147635239 384847881 /nfs/dbraw/zinc/84/78/81/384847881.db2.gz URLNAVZNPAZYIE-UHFFFAOYSA-N 0 3 246.354 2.804 20 0 BFADHN C[C@@H](N[C@@H](CCO)c1ccco1)C1CCCC1 ZINC000186521273 384849679 /nfs/dbraw/zinc/84/96/79/384849679.db2.gz GSJIKPNSJAMDJY-YPMHNXCESA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](C1CC1)N(Cc1ccccn1)C1CC1 ZINC000104948484 384849682 /nfs/dbraw/zinc/84/96/82/384849682.db2.gz ZBCIEJQSZGIOLX-LLVKDONJSA-N 0 3 216.328 2.845 20 0 BFADHN C(=C/c1ccccc1)\CNCC1=CCCOC1 ZINC000127253448 384853087 /nfs/dbraw/zinc/85/30/87/384853087.db2.gz VFWQYJNAEMAVPF-XBXARRHUSA-N 0 3 229.323 2.636 20 0 BFADHN C[C@H]1C[C@H](NC2(c3ccccc3F)CCC2)CO1 ZINC000647237138 384853903 /nfs/dbraw/zinc/85/39/03/384853903.db2.gz DEKAJKZHIZXIHL-RYUDHWBXSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H](N[C@H]1CSC[C@@H]1C)c1ccco1 ZINC000306280959 384855033 /nfs/dbraw/zinc/85/50/33/384855033.db2.gz UYXDWLILCNZXQH-GUBZILKMSA-N 0 3 211.330 2.682 20 0 BFADHN Cc1cc(CN2CCC[C@H](C(C)(C)C)C2)nn1C ZINC000361548256 384861197 /nfs/dbraw/zinc/86/11/97/384861197.db2.gz IZNKQIQVECNKPE-ZDUSSCGKSA-N 0 3 249.402 2.987 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1ccccc1F)OC ZINC000362977470 384868274 /nfs/dbraw/zinc/86/82/74/384868274.db2.gz RITNNFJUKFMGLR-BXUZGUMPSA-N 0 3 239.334 2.976 20 0 BFADHN CC(C)N1CCN(c2cccc(Cl)c2)CC1 ZINC000013724928 384868954 /nfs/dbraw/zinc/86/89/54/384868954.db2.gz FWDNWHLUDLUDBQ-UHFFFAOYSA-N 0 3 238.762 2.870 20 0 BFADHN C[C@H](NC1(C2CC2)CC1)c1cc2n(n1)CCCC2 ZINC000647296902 384949990 /nfs/dbraw/zinc/94/99/90/384949990.db2.gz CNHHDMIBTHXQGO-NSHDSACASA-N 0 3 245.370 2.813 20 0 BFADHN COC[C@@H](C)CN1CCC[C@H](C(F)(F)F)C1 ZINC000189546870 384954019 /nfs/dbraw/zinc/95/40/19/384954019.db2.gz DOLCWMJTBUHJEC-UWVGGRQHSA-N 0 3 239.281 2.543 20 0 BFADHN COCCN[C@H]1CCCOc2cc(C)c(C)cc21 ZINC000152122872 384957367 /nfs/dbraw/zinc/95/73/67/384957367.db2.gz OVMQXLPETOMGMB-AWEZNQCLSA-N 0 3 249.354 2.753 20 0 BFADHN C[C@H](NC1CC(C)C1)c1cc2n(n1)CCCC2 ZINC000647294138 384894194 /nfs/dbraw/zinc/89/41/94/384894194.db2.gz PTCDHALRDKDXNV-CXQJBGSLSA-N 0 3 233.359 2.669 20 0 BFADHN CC[C@H](O)CCNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000127399063 384894626 /nfs/dbraw/zinc/89/46/26/384894626.db2.gz LFCBNJIAHWSNKS-GMXVVIOVSA-N 0 3 237.343 2.654 20 0 BFADHN CC(C)(NCC1=CCCOC1)c1cccc(F)c1 ZINC000127430180 384895434 /nfs/dbraw/zinc/89/54/34/384895434.db2.gz JPSVGEFFPPEPBR-UHFFFAOYSA-N 0 3 249.329 2.997 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ccc(Cl)cn1 ZINC000309914451 384902301 /nfs/dbraw/zinc/90/23/01/384902301.db2.gz ONEVTZTUWJSKSQ-UWVGGRQHSA-N 0 3 242.750 2.811 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@H]2CCCO2)cc1F ZINC000106409870 384916906 /nfs/dbraw/zinc/91/69/06/384916906.db2.gz QYGZNRGVJYFWEX-BXUZGUMPSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H](CCO)CNCc1c(F)cccc1Cl ZINC000085126706 384918320 /nfs/dbraw/zinc/91/83/20/384918320.db2.gz HRUFKAADVUIBOI-VIFPVBQESA-N 0 3 245.725 2.587 20 0 BFADHN Fc1ccc(F)c(CNCCC2CC2)c1 ZINC000083893444 384921007 /nfs/dbraw/zinc/92/10/07/384921007.db2.gz KJBAKJUDKASXTE-UHFFFAOYSA-N 0 3 211.255 2.855 20 0 BFADHN NCc1cccc(NC(=O)CC2CCCC2)c1 ZINC000021957009 384926107 /nfs/dbraw/zinc/92/61/07/384926107.db2.gz NILIRHTUADVDGT-UHFFFAOYSA-N 0 3 232.327 2.664 20 0 BFADHN CC(C)n1ccc(CNC[C@@H]2CC=CCC2)n1 ZINC000085161419 384926227 /nfs/dbraw/zinc/92/62/27/384926227.db2.gz AEINWOGUYBQWHC-CYBMUJFWSA-N 0 3 233.359 2.910 20 0 BFADHN COc1ccc(CN(C)CCCF)cc1C ZINC000127640725 384926854 /nfs/dbraw/zinc/92/68/54/384926854.db2.gz AUNKZGDOLTYQDS-UHFFFAOYSA-N 0 3 225.307 2.795 20 0 BFADHN COC[C@H](C)N(C)Cc1ccc(Cl)c(F)c1 ZINC000127658822 384930656 /nfs/dbraw/zinc/93/06/56/384930656.db2.gz VOUFARJSBRTPRH-VIFPVBQESA-N 0 3 245.725 2.946 20 0 BFADHN FC1(F)CC[C@H](CN2CCOCC3(CC3)C2)C1 ZINC000335579333 384931258 /nfs/dbraw/zinc/93/12/58/384931258.db2.gz AJYZMRWPCIUHHF-NSHDSACASA-N 0 3 245.313 2.534 20 0 BFADHN CS[C@H](C)CNCc1ccsc1 ZINC000128186039 384935269 /nfs/dbraw/zinc/93/52/69/384935269.db2.gz YKGRZTGAGCBVPL-MRVPVSSYSA-N 0 3 201.360 2.589 20 0 BFADHN CCCCCN1CCOC[C@H]1CC(C)C ZINC000531101423 384936789 /nfs/dbraw/zinc/93/67/89/384936789.db2.gz ZXLCAYXTLWBMBJ-CYBMUJFWSA-N 0 3 213.365 2.924 20 0 BFADHN C[C@@H](NCCC(C)(F)F)c1ccco1 ZINC000294134002 384937311 /nfs/dbraw/zinc/93/73/11/384937311.db2.gz FUDHNXQYLJASEL-MRVPVSSYSA-N 0 3 203.232 2.976 20 0 BFADHN C[C@@H](NCCc1cccnc1)c1ccoc1 ZINC000087289899 384939840 /nfs/dbraw/zinc/93/98/40/384939840.db2.gz DQVRNLJZMHPPMU-LLVKDONJSA-N 0 3 216.284 2.568 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2ccc(C)nc2)C1(C)C ZINC000085398463 384942863 /nfs/dbraw/zinc/94/28/63/384942863.db2.gz GDCAIKLZFBFPMH-UONOGXRCSA-N 0 3 248.370 2.683 20 0 BFADHN C[C@H](F)CCNc1ccnc2ccccc21 ZINC000338753311 384945943 /nfs/dbraw/zinc/94/59/43/384945943.db2.gz SZTMDSJNAQORMT-JTQLQIEISA-N 0 3 218.275 2.817 20 0 BFADHN COc1ccnc(CN(C)C2CCCCC2)c1 ZINC000106933427 384946419 /nfs/dbraw/zinc/94/64/19/384946419.db2.gz PPTZMGUSSQEUEE-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CC(C)n1nccc1NC(=O)C(C)C(F)(F)F ZINC000361576640 384964773 /nfs/dbraw/zinc/96/47/73/384964773.db2.gz IVLRAYCFBJNJOL-SSDOTTSWSA-N 0 3 249.236 2.601 20 0 BFADHN CCSCCCNCc1ccc(C)o1 ZINC000128945369 384967592 /nfs/dbraw/zinc/96/75/92/384967592.db2.gz QSJQMKKRFHZJHA-UHFFFAOYSA-N 0 3 213.346 2.821 20 0 BFADHN Cc1nn(C)cc1CN[C@@H](C)c1cccs1 ZINC000127935341 384969171 /nfs/dbraw/zinc/96/91/71/384969171.db2.gz RVADLDFPMARGGI-JTQLQIEISA-N 0 3 235.356 2.641 20 0 BFADHN C[C@@H](O)CCCN[C@@H](C)c1ccc(Cl)nc1 ZINC000279142961 384969973 /nfs/dbraw/zinc/96/99/73/384969973.db2.gz SBOYIPVHGDSAMT-ZJUUUORDSA-N 0 3 242.750 2.547 20 0 BFADHN CCC[C@](C)(N)C(=O)NCCCC1CCCC1 ZINC000226314229 384973197 /nfs/dbraw/zinc/97/31/97/384973197.db2.gz XNKZEPBTMFADGL-AWEZNQCLSA-N 0 3 240.391 2.591 20 0 BFADHN C[C@H](N[C@@H]1COCC1(C)C)c1cccc(F)c1 ZINC000312973556 384974082 /nfs/dbraw/zinc/97/40/82/384974082.db2.gz BIGBONMYCDXGCU-GXFFZTMASA-N 0 3 237.318 2.901 20 0 BFADHN C[C@@H](NCCC(C)(C)C1CC1)c1ncc[nH]1 ZINC000340516125 384976878 /nfs/dbraw/zinc/97/68/78/384976878.db2.gz TXMPZEVEPHXGOV-SNVBAGLBSA-N 0 3 221.348 2.887 20 0 BFADHN C[C@@H](O)CCN[C@@H](C)c1cc2ccccc2o1 ZINC000085983444 384976945 /nfs/dbraw/zinc/97/69/45/384976945.db2.gz VODUXMMKGYIFFI-MNOVXSKESA-N 0 3 233.311 2.854 20 0 BFADHN CCC1(NCc2csc(C)n2)CCC1 ZINC000129077586 384978268 /nfs/dbraw/zinc/97/82/68/384978268.db2.gz KGIGAONNDYAJHB-UHFFFAOYSA-N 0 3 210.346 2.874 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H]2CCCC[C@@H]2O)o1 ZINC000085979370 384978324 /nfs/dbraw/zinc/97/83/24/384978324.db2.gz GAWBTGZUTXMJRY-XQQFMLRXSA-N 0 3 237.343 2.790 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2COCC2(C)C)o1 ZINC000312976888 384978970 /nfs/dbraw/zinc/97/89/70/384978970.db2.gz DJRIFKZEOVTJRA-PWSUYJOCSA-N 0 3 223.316 2.664 20 0 BFADHN Fc1ccc(CNC[C@@H]2CCCS2)c(F)c1 ZINC000087473258 384979979 /nfs/dbraw/zinc/97/99/79/384979979.db2.gz GXWOGJIYWHDKCO-NSHDSACASA-N 0 3 243.322 2.950 20 0 BFADHN Cc1ccoc1CN[C@H]1CS[C@H](C)C1 ZINC000306464214 384982466 /nfs/dbraw/zinc/98/24/66/384982466.db2.gz JBCHZBHKJDKQCE-NXEZZACHSA-N 0 3 211.330 2.572 20 0 BFADHN CN(C/C=C/c1ccccc1)C[C@@H]1CCCO1 ZINC000187823687 384984651 /nfs/dbraw/zinc/98/46/51/384984651.db2.gz ASUGPMLFEJCRRT-BOSPYUDASA-N 0 3 231.339 2.811 20 0 BFADHN CS[C@@H](C)CNCC1=Cc2ccccc2OC1 ZINC000128059297 384984791 /nfs/dbraw/zinc/98/47/91/384984791.db2.gz LSNPSJLIWXHIAK-NSHDSACASA-N 0 3 249.379 2.804 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCC[C@@H]1C ZINC000339387339 384991385 /nfs/dbraw/zinc/99/13/85/384991385.db2.gz HYSPVMSUARCDTR-ONGXEEELSA-N 0 3 208.305 2.509 20 0 BFADHN C[C@H](Cc1ccc(O)cc1)NCc1ccns1 ZINC000404427250 384991576 /nfs/dbraw/zinc/99/15/76/384991576.db2.gz MHJHYQKYGUAUMT-SNVBAGLBSA-N 0 3 248.351 2.570 20 0 BFADHN Cc1nocc1CNC[C@H]1CCC[C@H]1C ZINC000339387338 384992643 /nfs/dbraw/zinc/99/26/43/384992643.db2.gz HYSPVMSUARCDTR-MWLCHTKSSA-N 0 3 208.305 2.509 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCc3c(O)cccc32)CO1 ZINC000647205475 384995296 /nfs/dbraw/zinc/99/52/96/384995296.db2.gz BTCDYSPUBFOOMV-JTNHKYCSSA-N 0 3 247.338 2.537 20 0 BFADHN CN(C)Cc1cccc(NCc2ccns2)c1 ZINC000404452420 384997852 /nfs/dbraw/zinc/99/78/52/384997852.db2.gz HIWBGMIGRFHJCE-UHFFFAOYSA-N 0 3 247.367 2.817 20 0 BFADHN FC1(F)CCC[C@@H]1CNCc1ccns1 ZINC000404455604 384998878 /nfs/dbraw/zinc/99/88/78/384998878.db2.gz PDXSMDLZASPBRD-MRVPVSSYSA-N 0 3 232.299 2.668 20 0 BFADHN C[C@H](N[C@@H](CO)Cc1ccccc1)c1ccoc1 ZINC000094869316 384999403 /nfs/dbraw/zinc/99/94/03/384999403.db2.gz ROFYQQJHFPKVOS-SWLSCSKDSA-N 0 3 245.322 2.534 20 0 BFADHN CCOC1(CNCc2ccns2)CCCC1 ZINC000404456698 385003474 /nfs/dbraw/zinc/00/34/74/385003474.db2.gz PVUWOVYEQYWQRP-UHFFFAOYSA-N 0 3 240.372 2.582 20 0 BFADHN c1csc(CCNCc2ccns2)c1 ZINC000404456591 385003845 /nfs/dbraw/zinc/00/38/45/385003845.db2.gz KJQMKMFWGASEON-UHFFFAOYSA-N 0 3 224.354 2.537 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cc(C)ns1 ZINC000404446694 385005992 /nfs/dbraw/zinc/00/59/92/385005992.db2.gz JZWJSNOCBJZGCC-ZJUUUORDSA-N 0 3 210.346 2.587 20 0 BFADHN CCOc1ccccc1CNCC[C@H](C)F ZINC000339494758 385007463 /nfs/dbraw/zinc/00/74/63/385007463.db2.gz LSHCEVASJSAKRL-NSHDSACASA-N 0 3 225.307 2.923 20 0 BFADHN CC(C)OC1CCN(CCc2ccccn2)CC1 ZINC000530686090 385012272 /nfs/dbraw/zinc/01/22/72/385012272.db2.gz HLYUGFMVRSGPMO-UHFFFAOYSA-N 0 3 248.370 2.514 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1ccno1 ZINC000516650621 385012793 /nfs/dbraw/zinc/01/27/93/385012793.db2.gz DPMHXNPCXHCSOT-LLVKDONJSA-N 0 3 208.305 2.899 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1ccno1 ZINC000516650622 385013014 /nfs/dbraw/zinc/01/30/14/385013014.db2.gz DPMHXNPCXHCSOT-NSHDSACASA-N 0 3 208.305 2.899 20 0 BFADHN CC(C)n1ccc(CNC2(C(C)C)CC2)n1 ZINC000339517523 385013260 /nfs/dbraw/zinc/01/32/60/385013260.db2.gz VMYPUIUZTZOZGY-UHFFFAOYSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccccc1)c1cncs1 ZINC000128205960 385013972 /nfs/dbraw/zinc/01/39/72/385013972.db2.gz WFVMYPZWIHGQLJ-ZYHUDNBSSA-N 0 3 248.351 2.527 20 0 BFADHN CCOC[C@H](C)N[C@H](c1cccnc1)C1CC1 ZINC000358358511 385017984 /nfs/dbraw/zinc/01/79/84/385017984.db2.gz YYQVVYPXHJOAIH-FZMZJTMJSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1cc(C)ns1)OC ZINC000404486651 385019598 /nfs/dbraw/zinc/01/95/98/385019598.db2.gz LKLYAVNEOSOOFC-SKDRFNHKSA-N 0 3 242.388 2.602 20 0 BFADHN Cc1ccc(CNCCc2c(C)noc2C)o1 ZINC000107321010 384961948 /nfs/dbraw/zinc/96/19/48/384961948.db2.gz BHNUEUIYIDHEPO-UHFFFAOYSA-N 0 3 234.299 2.525 20 0 BFADHN Cc1cc(C)cc([C@@H](C)NCc2cnn(C)c2)c1 ZINC000107320147 384963636 /nfs/dbraw/zinc/96/36/36/384963636.db2.gz FHYCMCFLPHWLTB-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccc(CNCCC2CCOCC2)nc1C ZINC000314356956 384964026 /nfs/dbraw/zinc/96/40/26/384964026.db2.gz XBAVATDBZSPXPX-UHFFFAOYSA-N 0 3 248.370 2.605 20 0 BFADHN CC[C@H](CN[C@H](C)c1cc(C)c(C)o1)OC ZINC000310021023 385047686 /nfs/dbraw/zinc/04/76/86/385047686.db2.gz NHCSXNPWSJGTLZ-ZYHUDNBSSA-N 0 3 225.332 2.972 20 0 BFADHN C[C@@H](NCC1(C)CCC1)c1cscn1 ZINC000130537668 385049190 /nfs/dbraw/zinc/04/91/90/385049190.db2.gz DNJSTNZXVJAXGM-SECBINFHSA-N 0 3 210.346 2.984 20 0 BFADHN CC(C)=CCN[C@H](C)c1ccc(NC(N)=O)cc1 ZINC000188442518 385053106 /nfs/dbraw/zinc/05/31/06/385053106.db2.gz ZOMXKNPLMRSNSN-LLVKDONJSA-N 0 3 247.342 2.794 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CC[C@]2(CCOC2)C1 ZINC000176286041 385056004 /nfs/dbraw/zinc/05/60/04/385056004.db2.gz YKDZNEHVNGRFTM-WFASDCNBSA-N 0 3 249.329 2.999 20 0 BFADHN COC[C@H](C)N[C@@H]1CCc2c1cccc2Cl ZINC000164981660 385058260 /nfs/dbraw/zinc/05/82/60/385058260.db2.gz IYCKFFINXCTQDB-TVQRCGJNSA-N 0 3 239.746 2.952 20 0 BFADHN CCN(C)C(=O)[C@H](C)N[C@H](C)c1ccc(C)cc1 ZINC000188478262 385059051 /nfs/dbraw/zinc/05/90/51/385059051.db2.gz ILFJVAAMZNYBHE-OLZOCXBDSA-N 0 3 248.370 2.512 20 0 BFADHN CC(C)[C@@H](O)CN1CCc2sccc2[C@@H]1C ZINC000300933379 385060091 /nfs/dbraw/zinc/06/00/91/385060091.db2.gz WKGJDGAKTZTUEP-JQWIXIFHSA-N 0 3 239.384 2.684 20 0 BFADHN C[C@@H](N[C@@H](C)CC1CC1)c1nnc2ccccn21 ZINC000128716829 385066640 /nfs/dbraw/zinc/06/66/40/385066640.db2.gz KVOSCZQZXDDQPO-WDEREUQCSA-N 0 3 244.342 2.569 20 0 BFADHN CCC(O)(CC)CN[C@H](C)c1cccc(O)c1 ZINC000087015305 385066852 /nfs/dbraw/zinc/06/68/52/385066852.db2.gz XAFSRJUOXRZPNU-LLVKDONJSA-N 0 3 237.343 2.594 20 0 BFADHN C[C@@H](NC[C@@H](O)CC1CCCC1)c1ccccn1 ZINC000188631124 385069590 /nfs/dbraw/zinc/06/95/90/385069590.db2.gz DZTWXVMBIWKWRB-OCCSQVGLSA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@H]1CC[C@H](C)N1CC(=O)NC(C)(C)CC ZINC000357552396 385071351 /nfs/dbraw/zinc/07/13/51/385071351.db2.gz MKRMARCWOIESCX-RYUDHWBXSA-N 0 3 240.391 2.554 20 0 BFADHN CCCCCNC(=O)CN1[C@@H](C)CC[C@@H]1CC ZINC000357551379 385072825 /nfs/dbraw/zinc/07/28/25/385072825.db2.gz IAEUPZAIVMSQCR-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN c1cc(C2CCN(Cc3cnc[nH]3)CC2)cs1 ZINC000188694719 385079723 /nfs/dbraw/zinc/07/97/23/385079723.db2.gz HIFKBGOJDBHVRB-UHFFFAOYSA-N 0 3 247.367 2.851 20 0 BFADHN C[C@H](CC1CC1)N[C@@H]1CCCc2c[nH]nc21 ZINC000377248428 385023764 /nfs/dbraw/zinc/02/37/64/385023764.db2.gz GBXIBPOMLYKYJK-BXKDBHETSA-N 0 3 219.332 2.565 20 0 BFADHN C[C@@H](CO)CN[C@@H](C)c1cc2ccccc2o1 ZINC000086627392 385033062 /nfs/dbraw/zinc/03/30/62/385033062.db2.gz VYVYMOYEMSCKRG-MNOVXSKESA-N 0 3 233.311 2.712 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cscn1 ZINC000130510557 385038863 /nfs/dbraw/zinc/03/88/63/385038863.db2.gz OPNHELZXGGCOIR-ONGXEEELSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@@H](NC[C@H]1CC1(C)C)c1cscn1 ZINC000222051145 385042542 /nfs/dbraw/zinc/04/25/42/385042542.db2.gz FLGOQLAXGVIHOP-RKDXNWHRSA-N 0 3 210.346 2.840 20 0 BFADHN CCCCC[C@@H]1CCCN1CC(=O)N(C)CC ZINC000362950719 385043151 /nfs/dbraw/zinc/04/31/51/385043151.db2.gz HCDQNHMRJZQQFU-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@H](CCC(C)(C)C)N[C@@H](C)c1nncn1C ZINC000336682688 385046151 /nfs/dbraw/zinc/04/61/51/385046151.db2.gz CFENYJUGTLZENN-MNOVXSKESA-N 0 3 238.379 2.681 20 0 BFADHN CCOc1ccccc1CN[C@H]1CC12CC2 ZINC000424181266 385109356 /nfs/dbraw/zinc/10/93/56/385109356.db2.gz BQFQKFHSEOZLJA-ZDUSSCGKSA-N 0 3 217.312 2.727 20 0 BFADHN COc1cc(C)c(CN[C@H]2CC23CC3)cc1OC ZINC000424184922 385111888 /nfs/dbraw/zinc/11/18/88/385111888.db2.gz OFHQKFOAEYBTJZ-AWEZNQCLSA-N 0 3 247.338 2.654 20 0 BFADHN FC(F)Oc1ccc(CN[C@H]2CC23CC3)cc1 ZINC000424183196 385113322 /nfs/dbraw/zinc/11/33/22/385113322.db2.gz ISUOOIDKWSOMTF-NSHDSACASA-N 0 3 239.265 2.930 20 0 BFADHN CCOc1cccc(CN[C@@H]2CC23CC3)c1 ZINC000424184046 385113341 /nfs/dbraw/zinc/11/33/41/385113341.db2.gz KYXGHDIQYBINHX-CYBMUJFWSA-N 0 3 217.312 2.727 20 0 BFADHN Cc1ccsc1CNCCOC(C)C ZINC000053554914 385141577 /nfs/dbraw/zinc/14/15/77/385141577.db2.gz ZNVSASXJHHFFEM-UHFFFAOYSA-N 0 3 213.346 2.571 20 0 BFADHN CCC[C@@](C)(CO)NCc1ccccc1Cl ZINC000304237829 385116461 /nfs/dbraw/zinc/11/64/61/385116461.db2.gz KXYCZZTZCYQIIK-ZDUSSCGKSA-N 0 3 241.762 2.981 20 0 BFADHN COc1cc(CN[C@@H]2CC23CC3)ccc1C ZINC000424188728 385120457 /nfs/dbraw/zinc/12/04/57/385120457.db2.gz YZHMXWLFEQSPQV-CYBMUJFWSA-N 0 3 217.312 2.646 20 0 BFADHN Cc1nc(CN2C[C@@H](C)[C@H](C)C2)c(C)s1 ZINC000336345125 385141910 /nfs/dbraw/zinc/14/19/10/385141910.db2.gz GDWZQZRWCRRGAM-RKDXNWHRSA-N 0 3 224.373 2.848 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](O)C1(C)C)c1cccc(F)c1 ZINC000129220723 385122070 /nfs/dbraw/zinc/12/20/70/385122070.db2.gz ZTDIBVZNMBDYEM-BIMULSAOSA-N 0 3 237.318 2.636 20 0 BFADHN COc1cccnc1CN[C@H]1CCC(C)(C)C1 ZINC000449745450 385122609 /nfs/dbraw/zinc/12/26/09/385122609.db2.gz BZXNIXFCHDFAHY-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN C[C@@H](N[C@H]1CCCSC1)c1ccco1 ZINC000061573069 385123076 /nfs/dbraw/zinc/12/30/76/385123076.db2.gz QXHGIZPUZJRXGX-ZJUUUORDSA-N 0 3 211.330 2.826 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1(C)C)c1cccc(F)c1 ZINC000129221198 385124197 /nfs/dbraw/zinc/12/41/97/385124197.db2.gz ZTDIBVZNMBDYEM-XDTLVQLUSA-N 0 3 237.318 2.636 20 0 BFADHN Fc1ccc(CN[C@H]2CCCSC2)cc1F ZINC000061589255 385124733 /nfs/dbraw/zinc/12/47/33/385124733.db2.gz PNHHSJGRMPPALO-JTQLQIEISA-N 0 3 243.322 2.950 20 0 BFADHN CCC1CC(N[C@@H](COC)c2ccco2)C1 ZINC000310038615 385125027 /nfs/dbraw/zinc/12/50/27/385125027.db2.gz WGOPFQIWBDTVMP-MCIGGMRASA-N 0 3 223.316 2.745 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1CCOC1(C)C ZINC000294704911 385127654 /nfs/dbraw/zinc/12/76/54/385127654.db2.gz DGRVBMMSIWFMCR-CYBMUJFWSA-N 0 3 237.318 2.791 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1ccco1)N1CCCC1 ZINC000151708524 385127841 /nfs/dbraw/zinc/12/78/41/385127841.db2.gz KDKGTUUAGWHXQC-STQMWFEESA-N 0 3 236.359 2.805 20 0 BFADHN CC[C@H](CN[C@H](C)c1ccco1)N1CCCC1 ZINC000151708732 385128287 /nfs/dbraw/zinc/12/82/87/385128287.db2.gz KDKGTUUAGWHXQC-CHWSQXEVSA-N 0 3 236.359 2.805 20 0 BFADHN Cc1ccc([C@@H](O)CNCc2ccccc2)cc1 ZINC000032090502 385132293 /nfs/dbraw/zinc/13/22/93/385132293.db2.gz UWXUVXUTRMRDPM-INIZCTEOSA-N 0 3 241.334 2.818 20 0 BFADHN CCS[C@H]1CCCC[C@@H]1NCc1c[nH]cn1 ZINC000165488905 385134362 /nfs/dbraw/zinc/13/43/62/385134362.db2.gz MIXKDAAGOVWLFK-RYUDHWBXSA-N 0 3 239.388 2.564 20 0 BFADHN CO[C@@](C)(CNCc1cccc(F)c1)C1CC1 ZINC000291020948 385138789 /nfs/dbraw/zinc/13/87/89/385138789.db2.gz GXGLRDOGWVOHPU-AWEZNQCLSA-N 0 3 237.318 2.730 20 0 BFADHN Cc1cnc([C@@H](C)N2CCC(C3CC3)CC2)cn1 ZINC000644718758 385139091 /nfs/dbraw/zinc/13/90/91/385139091.db2.gz DSCMXGYHKPPXNN-GFCCVEGCSA-N 0 3 245.370 2.968 20 0 BFADHN CSc1ccc([C@H](C)N[C@H](C)CO)cc1F ZINC000129328588 385139648 /nfs/dbraw/zinc/13/96/48/385139648.db2.gz TWWJEQOBVVFKLE-BDAKNGLRSA-N 0 3 243.347 2.579 20 0 BFADHN C[C@H](NC[C@H]1CC[C@H](O)C1)c1ccccc1F ZINC000189278918 385140039 /nfs/dbraw/zinc/14/00/39/385140039.db2.gz GETZLGTVDJFPOO-SRVKXCTJSA-N 0 3 237.318 2.637 20 0 BFADHN CCS[C@@H]1CCC[C@H](NCc2ncc[nH]2)C1 ZINC000165239238 385089415 /nfs/dbraw/zinc/08/94/15/385089415.db2.gz FNCIMTROHXMNAN-WDEREUQCSA-N 0 3 239.388 2.564 20 0 BFADHN CCC1(CC)CCN(Cc2cnc(N)s2)C1 ZINC000087258432 385089362 /nfs/dbraw/zinc/08/93/62/385089362.db2.gz GZHVIMZEPIXCBB-UHFFFAOYSA-N 0 3 239.388 2.737 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@H]2CC[C@H](O)C2)o1 ZINC000188824082 385093233 /nfs/dbraw/zinc/09/32/33/385093233.db2.gz PDPDPGSJRAADSX-NRWUCQMLSA-N 0 3 249.354 2.654 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@H](C)N(C3CC3)C2)o1 ZINC000151202426 385094713 /nfs/dbraw/zinc/09/47/13/385094713.db2.gz JRYXSPQOHPACOH-NWDGAFQWSA-N 0 3 248.370 2.557 20 0 BFADHN CC[C@@H](NCC[C@H](C)OC)c1ccc(F)cn1 ZINC000310509371 385097563 /nfs/dbraw/zinc/09/75/63/385097563.db2.gz UFTCLNAUJVPYDA-CMPLNLGQSA-N 0 3 240.322 2.686 20 0 BFADHN Cc1ccc(F)cc1CN[C@H](C)Cn1ccnc1 ZINC000129028228 385100239 /nfs/dbraw/zinc/10/02/39/385100239.db2.gz ATVPECKEOCCVTQ-GFCCVEGCSA-N 0 3 247.317 2.509 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H](C)Cn1ccnc1 ZINC000129028050 385101190 /nfs/dbraw/zinc/10/11/90/385101190.db2.gz ATVPECKEOCCVTQ-LBPRGKRZSA-N 0 3 247.317 2.509 20 0 BFADHN C[C@H](N[C@H]1CCC1(C)C)c1cncs1 ZINC000287238430 385102636 /nfs/dbraw/zinc/10/26/36/385102636.db2.gz YRTIUKHDLKPBDU-WPRPVWTQSA-N 0 3 210.346 2.982 20 0 BFADHN FC(F)(F)c1cccnc1CN[C@H]1CC12CC2 ZINC000643800324 385102904 /nfs/dbraw/zinc/10/29/04/385102904.db2.gz RGCIQDSBQJKOLD-JTQLQIEISA-N 0 3 242.244 2.743 20 0 BFADHN CCOc1cccc(CN[C@@H](C)[C@@H](C)OC)c1 ZINC000129089090 385107305 /nfs/dbraw/zinc/10/73/05/385107305.db2.gz MPPRGMFQJWOXHK-NWDGAFQWSA-N 0 3 237.343 2.598 20 0 BFADHN Cc1ccc2nc(CN3CC[C@H](C)[C@@H]3C)cn2c1 ZINC000177098275 385182140 /nfs/dbraw/zinc/18/21/40/385182140.db2.gz AQCCMZMKQXBYFP-STQMWFEESA-N 0 3 243.354 2.873 20 0 BFADHN c1cncc(CN(CC[C@@H]2CCCO2)C2CC2)c1 ZINC000189770892 385184375 /nfs/dbraw/zinc/18/43/75/385184375.db2.gz ZRIFNBZTGWVIOR-HNNXBMFYSA-N 0 3 246.354 2.615 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2CCCC[C@@H]2O)o1 ZINC000189789816 385184573 /nfs/dbraw/zinc/18/45/73/385184573.db2.gz ZZTOBKKQTSOJCO-SAXRGWBVSA-N 0 3 249.354 2.796 20 0 BFADHN CC[C@H](N[C@H](C)C(=O)N(C)C)c1cccc(C)c1 ZINC000310844232 385186794 /nfs/dbraw/zinc/18/67/94/385186794.db2.gz BTAVQDJBIMDVAN-OCCSQVGLSA-N 0 3 248.370 2.512 20 0 BFADHN C[C@@H](NCC1=CCCOC1)c1cccs1 ZINC000178157975 385190781 /nfs/dbraw/zinc/19/07/81/385190781.db2.gz PTURTGVZVQXXJX-SNVBAGLBSA-N 0 3 223.341 2.745 20 0 BFADHN CCc1ccc(CN[C@H](CO)CC(C)(C)C)o1 ZINC000305217024 385197365 /nfs/dbraw/zinc/19/73/65/385197365.db2.gz RNJJUWVSHUJWRN-NSHDSACASA-N 0 3 239.359 2.729 20 0 BFADHN CO[C@H]1CN(Cc2cccc(F)c2)CC[C@H]1C ZINC000189301166 385143024 /nfs/dbraw/zinc/14/30/24/385143024.db2.gz NVCIXAGIOBBMOK-RISCZKNCSA-N 0 3 237.318 2.683 20 0 BFADHN CO[C@H]1CN(Cc2cccc(F)c2)CC[C@@H]1C ZINC000189301184 385143701 /nfs/dbraw/zinc/14/37/01/385143701.db2.gz NVCIXAGIOBBMOK-FZMZJTMJSA-N 0 3 237.318 2.683 20 0 BFADHN CCc1cnc(CN[C@H]2CCC[C@@H]3C[C@@H]32)s1 ZINC000382381046 385144649 /nfs/dbraw/zinc/14/46/49/385144649.db2.gz JKZZPNPYAGGFAR-USWWRNFRSA-N 0 3 236.384 2.984 20 0 BFADHN CC(=O)Nc1cccc([C@@H](C)N[C@H]2CC23CC3)c1 ZINC000424333013 385148001 /nfs/dbraw/zinc/14/80/01/385148001.db2.gz XFRRLYJITFOAHV-YGRLFVJLSA-N 0 3 244.338 2.848 20 0 BFADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H]2CC23CC3)c1 ZINC000424333008 385149223 /nfs/dbraw/zinc/14/92/23/385149223.db2.gz XFRRLYJITFOAHV-QMTHXVAHSA-N 0 3 244.338 2.848 20 0 BFADHN C[C@H](O)C1CCN(C/C=C\c2ccccc2)CC1 ZINC000310684004 385149544 /nfs/dbraw/zinc/14/95/44/385149544.db2.gz HIHVBUTYGIGRCQ-PODYEWJSSA-N 0 3 245.366 2.793 20 0 BFADHN Cc1nc(CN2CC[C@H]3CCC[C@H]32)cs1 ZINC000336359519 385156145 /nfs/dbraw/zinc/15/61/45/385156145.db2.gz IJCCGLWQBWCOAW-ZYHUDNBSSA-N 0 3 222.357 2.826 20 0 BFADHN CN(CCOCC(F)F)CCc1cccs1 ZINC000189458002 385157797 /nfs/dbraw/zinc/15/77/97/385157797.db2.gz SMIGTFCYAQJAHW-UHFFFAOYSA-N 0 3 249.326 2.504 20 0 BFADHN C[C@H](CCc1ccco1)NCc1ccoc1 ZINC000062103401 385158925 /nfs/dbraw/zinc/15/89/25/385158925.db2.gz IQLHCTZIIDBJMI-LLVKDONJSA-N 0 3 219.284 2.984 20 0 BFADHN CCOC[C@H](C)N[C@H]1CCc2ccc(OC)cc21 ZINC000092836977 385161127 /nfs/dbraw/zinc/16/11/27/385161127.db2.gz IRHJWWWXOPZHJN-NHYWBVRUSA-N 0 3 249.354 2.697 20 0 BFADHN Cc1cccc(CN[C@@H]2COCC2(C)C)c1F ZINC000313016415 385163565 /nfs/dbraw/zinc/16/35/65/385163565.db2.gz BEVVAXSNPQQIKI-GFCCVEGCSA-N 0 3 237.318 2.649 20 0 BFADHN Cc1ccsc1CNCCOCC1CC1 ZINC000053585261 385164486 /nfs/dbraw/zinc/16/44/86/385164486.db2.gz QBMSYFZABDGFDH-UHFFFAOYSA-N 0 3 225.357 2.573 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1CC12CC2 ZINC000424326767 385165410 /nfs/dbraw/zinc/16/54/10/385165410.db2.gz OZBXOTWQMZRARY-CMPLNLGQSA-N 0 3 216.328 2.847 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H]1CC12CC2 ZINC000424326768 385165960 /nfs/dbraw/zinc/16/59/60/385165960.db2.gz OZBXOTWQMZRARY-JQWIXIFHSA-N 0 3 216.328 2.847 20 0 BFADHN COc1cccc([C@@H](C)N[C@@H]2CC23CC3)c1 ZINC000424325039 385166001 /nfs/dbraw/zinc/16/60/01/385166001.db2.gz NFSZYEINBIDMJR-ZWNOBZJWSA-N 0 3 217.312 2.898 20 0 BFADHN C[C@H](NCCCCF)c1cc2n(n1)CCCC2 ZINC000647304582 385166851 /nfs/dbraw/zinc/16/68/51/385166851.db2.gz VTSKLCVTOVPCKK-NSHDSACASA-N 0 3 239.338 2.620 20 0 BFADHN Cc1ccoc1CNC[C@@H]1C[C@H]1C1CC1 ZINC000309169111 385167728 /nfs/dbraw/zinc/16/77/28/385167728.db2.gz KMIPXOZWUQXUAS-RYUDHWBXSA-N 0 3 205.301 2.724 20 0 BFADHN Cc1nc(C)c(CN(C)CC2CCC2)s1 ZINC000119731723 385168133 /nfs/dbraw/zinc/16/81/33/385168133.db2.gz LOKHZMCAPMIPGU-UHFFFAOYSA-N 0 3 224.373 2.992 20 0 BFADHN CC(C)(C)O[C@H]1C[C@@H](NCc2ccccn2)C1 ZINC000647248719 385206442 /nfs/dbraw/zinc/20/64/42/385206442.db2.gz WQMNKXBDZQTVMD-BETUJISGSA-N 0 3 234.343 2.517 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2CCO[C@@H]2C2CC2)c1 ZINC000364172942 385210464 /nfs/dbraw/zinc/21/04/64/385210464.db2.gz ZYMBZFVXWCKSQB-NILFDRSVSA-N 0 3 246.354 2.608 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCSC2)o1 ZINC000130523449 385258209 /nfs/dbraw/zinc/25/82/09/385258209.db2.gz PLEFQXOVWRAOFV-JTQLQIEISA-N 0 3 211.330 2.525 20 0 BFADHN C[C@](CO)(NCc1ccccn1)C1CCCCC1 ZINC000152778667 385212581 /nfs/dbraw/zinc/21/25/81/385212581.db2.gz CWSLHVGPXBEPTB-OAHLLOKOSA-N 0 3 248.370 2.503 20 0 BFADHN CC(C)N(Cc1cnn(C)c1)C1CC(C)(C)C1 ZINC000449779734 385213814 /nfs/dbraw/zinc/21/38/14/385213814.db2.gz DWXKDSJIJRYKAC-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN C[C@](O)(CNCc1ccsc1)c1ccccc1 ZINC000063152809 385215525 /nfs/dbraw/zinc/21/55/25/385215525.db2.gz BUTGGSVXECTYMN-AWEZNQCLSA-N 0 3 247.363 2.745 20 0 BFADHN CCC1(CN[C@@H](C)c2ccccn2)CC1 ZINC000090219544 385218664 /nfs/dbraw/zinc/21/86/64/385218664.db2.gz YSFDQDMVAZIKHK-NSHDSACASA-N 0 3 204.317 2.922 20 0 BFADHN Cc1cc(C)cc([C@@H](C)N[C@H]2CCOC2)c1 ZINC000166127059 385223129 /nfs/dbraw/zinc/22/31/29/385223129.db2.gz BDMQACMCYZGFLU-OCCSQVGLSA-N 0 3 219.328 2.743 20 0 BFADHN Cc1ccc(CN(C)C)cc1NC(=O)C(C)C ZINC000177351665 385224699 /nfs/dbraw/zinc/22/46/99/385224699.db2.gz FUGNWPXXZQAPGE-UHFFFAOYSA-N 0 3 234.343 2.651 20 0 BFADHN Clc1sccc1CNCC[C@@H]1CCOC1 ZINC000308868800 385225366 /nfs/dbraw/zinc/22/53/66/385225366.db2.gz HXLAYWICOIOKKM-SECBINFHSA-N 0 3 245.775 2.918 20 0 BFADHN CC1(C)COC[C@H]1NC/C=C\c1ccccc1 ZINC000313034814 385227030 /nfs/dbraw/zinc/22/70/30/385227030.db2.gz VWYWDARZZXFYNC-DEBLGDTFSA-N 0 3 231.339 2.714 20 0 BFADHN CC1(C)COC[C@@H]1NC/C=C\c1ccccc1 ZINC000313034817 385227135 /nfs/dbraw/zinc/22/71/35/385227135.db2.gz VWYWDARZZXFYNC-PIZKADEWSA-N 0 3 231.339 2.714 20 0 BFADHN CC1(C)COC[C@H]1N[C@@H]1CCc2cc(F)ccc21 ZINC000313036851 385227649 /nfs/dbraw/zinc/22/76/49/385227649.db2.gz NGLXNHCGYLADCB-ZIAGYGMSSA-N 0 3 249.329 2.828 20 0 BFADHN CC[C@H]1CN([C@@H](C)c2ccccn2)CCS1 ZINC000177356678 385228158 /nfs/dbraw/zinc/22/81/58/385228158.db2.gz DBTUPRDXVOFTSA-RYUDHWBXSA-N 0 3 236.384 2.970 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](CC)COC)o1 ZINC000152988215 385228851 /nfs/dbraw/zinc/22/88/51/385228851.db2.gz USBCTTHTFJFOGP-GHMZBOCLSA-N 0 3 225.332 2.918 20 0 BFADHN C[C@@H](O)CC(C)(C)CN[C@@H](C)c1cncs1 ZINC000130229125 385232217 /nfs/dbraw/zinc/23/22/17/385232217.db2.gz FQKPYQNNNBIFMT-ZJUUUORDSA-N 0 3 242.388 2.591 20 0 BFADHN CC[C@@H](NCC[C@@H](C)O)c1ccccc1F ZINC000166348236 385239278 /nfs/dbraw/zinc/23/92/78/385239278.db2.gz MCNPZJKZSKAXPM-ZWNOBZJWSA-N 0 3 225.307 2.637 20 0 BFADHN CC(C)CCOCCNCc1ccsc1 ZINC000045093826 385241957 /nfs/dbraw/zinc/24/19/57/385241957.db2.gz DDOXBHKRMXRHHG-UHFFFAOYSA-N 0 3 227.373 2.900 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1ccc(OC)cc1F ZINC000037196214 385247427 /nfs/dbraw/zinc/24/74/27/385247427.db2.gz BKPRFSHZFIHAJI-ZJUUUORDSA-N 0 3 241.306 2.520 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc(C)c(F)c1 ZINC000166524908 385250533 /nfs/dbraw/zinc/25/05/33/385250533.db2.gz KITGGDFCJPEWDN-QWRGUYRKSA-N 0 3 225.307 2.647 20 0 BFADHN Cc1nnsc1CN[C@H](C)C1CCCC1 ZINC000308885076 385250842 /nfs/dbraw/zinc/25/08/42/385250842.db2.gz FHVGYBRLCOFNOS-MRVPVSSYSA-N 0 3 225.361 2.515 20 0 BFADHN CC[C@H](NCC1(C)OCCCO1)c1ccccc1 ZINC000190656288 385253662 /nfs/dbraw/zinc/25/36/62/385253662.db2.gz UPASURMBYFNISJ-AWEZNQCLSA-N 0 3 249.354 2.880 20 0 BFADHN Cc1cncc(CN2CC[C@@H](C)[C@H](C)C2)c1 ZINC000189982200 385204233 /nfs/dbraw/zinc/20/42/33/385204233.db2.gz FNCGPXXQQXUSEA-CHWSQXEVSA-N 0 3 218.344 2.868 20 0 BFADHN FCCNCc1ccc2ccccc2c1 ZINC000308836889 385204584 /nfs/dbraw/zinc/20/45/84/385204584.db2.gz WVWOTIAKQCUURB-UHFFFAOYSA-N 0 3 203.260 2.899 20 0 BFADHN CC(C)(C)O[C@H]1C[C@@H](NCc2cccnc2)C1 ZINC000647248730 385205462 /nfs/dbraw/zinc/20/54/62/385205462.db2.gz XYCSRBLTEGBGQH-BETUJISGSA-N 0 3 234.343 2.517 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1cc(F)ccc1F ZINC000131031015 385302762 /nfs/dbraw/zinc/30/27/62/385302762.db2.gz FUZOZTCFSNJJBA-ONGXEEELSA-N 0 3 243.297 2.776 20 0 BFADHN CO[C@@H](CNCc1ccc(Cl)o1)C(C)C ZINC000308932644 385303400 /nfs/dbraw/zinc/30/34/00/385303400.db2.gz JYCOTQZVVWECFH-JTQLQIEISA-N 0 3 231.723 2.694 20 0 BFADHN CCC[C@@H](O)CN[C@H]1CCc2c1cccc2F ZINC000131073133 385304791 /nfs/dbraw/zinc/30/47/91/385304791.db2.gz YBGCCYOVQUWSFS-YGRLFVJLSA-N 0 3 237.318 2.564 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1ccccc1OC ZINC000131057066 385305428 /nfs/dbraw/zinc/30/54/28/385305428.db2.gz UPGFNEVMMXXAFT-NWDGAFQWSA-N 0 3 237.343 2.507 20 0 BFADHN Cc1csc(CN2CC[C@H](C)[C@@H]2C)n1 ZINC000131101182 385307210 /nfs/dbraw/zinc/30/72/10/385307210.db2.gz MXKTYSNZYZWCRY-WPRPVWTQSA-N 0 3 210.346 2.682 20 0 BFADHN Cc1ccc(CN2CCC(CO)CC2)cc1C ZINC000050104804 385309125 /nfs/dbraw/zinc/30/91/25/385309125.db2.gz QYBROVMWOSRYRR-UHFFFAOYSA-N 0 3 233.355 2.508 20 0 BFADHN FC(F)(F)CSCCNCc1ccco1 ZINC000308947782 385309844 /nfs/dbraw/zinc/30/98/44/385309844.db2.gz SZYJUJYWNXMLMP-UHFFFAOYSA-N 0 3 239.262 2.665 20 0 BFADHN CCN(C)CCNCc1cccc(Cl)c1F ZINC000131125502 385310552 /nfs/dbraw/zinc/31/05/52/385310552.db2.gz PFKOEOVYFFYISJ-UHFFFAOYSA-N 0 3 244.741 2.520 20 0 BFADHN CC[C@@H](COC)N[C@H]1CCCc2c(O)cccc21 ZINC000191640034 385312724 /nfs/dbraw/zinc/31/27/24/385312724.db2.gz IQBOJAJEYNOOPP-FZMZJTMJSA-N 0 3 249.354 2.784 20 0 BFADHN CSc1ccc([C@@H](C)NC[C@@H](C)O)cc1F ZINC000131158554 385314708 /nfs/dbraw/zinc/31/47/08/385314708.db2.gz BBTVDRGNMJEZEL-RKDXNWHRSA-N 0 3 243.347 2.579 20 0 BFADHN CSc1ccc([C@H](C)NC[C@H](C)O)cc1F ZINC000131157975 385314838 /nfs/dbraw/zinc/31/48/38/385314838.db2.gz BBTVDRGNMJEZEL-IUCAKERBSA-N 0 3 243.347 2.579 20 0 BFADHN COC[C@@H](C)CN[C@H](C)c1cccnc1Cl ZINC000178669900 385315702 /nfs/dbraw/zinc/31/57/02/385315702.db2.gz HISZUASPRXXVRL-VHSXEESVSA-N 0 3 242.750 2.668 20 0 BFADHN CC(C)[C@@H](O)CN[C@@H](C)c1cc(F)cc(F)c1 ZINC000131309699 385324467 /nfs/dbraw/zinc/32/44/67/385324467.db2.gz RRLUKHPZHANDTH-ZANVPECISA-N 0 3 243.297 2.632 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CSC[C@H]2C)o1 ZINC000308968224 385320966 /nfs/dbraw/zinc/32/09/66/385320966.db2.gz NXEYYFUVOLITRL-DVVUODLYSA-N 0 3 225.357 2.990 20 0 BFADHN CCC(CC)[C@H](O)CN[C@H](C)c1ccco1 ZINC000167508663 385321985 /nfs/dbraw/zinc/32/19/85/385321985.db2.gz WTTWASFDISZTSE-ZYHUDNBSSA-N 0 3 225.332 2.727 20 0 BFADHN C=Cn1cc(CN2CCC[C@@H](C(C)C)C2)cn1 ZINC000559952882 385258909 /nfs/dbraw/zinc/25/89/09/385258909.db2.gz GTZCKYQLCHBKPX-CQSZACIVSA-N 0 3 233.359 2.852 20 0 BFADHN COc1ccc(CN[C@H]2CC[C@H]2C)c(F)c1 ZINC000380809894 385259614 /nfs/dbraw/zinc/25/96/14/385259614.db2.gz JNNLDIXSFNOSLT-RNCFNFMXSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1COCC1(C)C ZINC000313049001 385263587 /nfs/dbraw/zinc/26/35/87/385263587.db2.gz ZEVUVUDDMMUNRT-AWEZNQCLSA-N 0 3 249.354 2.518 20 0 BFADHN CCC1(NCc2cccc(OC)c2OC)CC1 ZINC000294858137 385264149 /nfs/dbraw/zinc/26/41/49/385264149.db2.gz SSNIGGADBAUKHK-UHFFFAOYSA-N 0 3 235.327 2.736 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2COCC2(C)C)o1 ZINC000313048902 385265488 /nfs/dbraw/zinc/26/54/88/385265488.db2.gz ZAOWZWWLVQYMNM-OSMZGAPFSA-N 0 3 249.354 2.918 20 0 BFADHN Cc1ccc(F)cc1CNCCOCC(F)F ZINC000190888248 385265509 /nfs/dbraw/zinc/26/55/09/385265509.db2.gz DZBYWMNNXJXZBI-UHFFFAOYSA-N 0 3 247.260 2.505 20 0 BFADHN CCOc1ccccc1CN[C@@H]1C[C@H]1C ZINC000052268814 385268695 /nfs/dbraw/zinc/26/86/95/385268695.db2.gz BCKFYBWTFQBGMB-ZYHUDNBSSA-N 0 3 205.301 2.583 20 0 BFADHN CCc1ccc(CNCCOCC(F)F)cc1 ZINC000190902436 385268855 /nfs/dbraw/zinc/26/88/55/385268855.db2.gz NXOUXZFQLPVLCV-UHFFFAOYSA-N 0 3 243.297 2.620 20 0 BFADHN CCCC[C@H]1CCC[C@@H]1NCc1cc[nH]n1 ZINC000069174885 385270334 /nfs/dbraw/zinc/27/03/34/385270334.db2.gz MAKXSSHPRBUHJY-AAEUAGOBSA-N 0 3 221.348 2.858 20 0 BFADHN Cc1ccc(CN[C@H](C)Cn2ccnc2)cc1F ZINC000110976188 385273145 /nfs/dbraw/zinc/27/31/45/385273145.db2.gz OKGSVSPXKCRAJF-GFCCVEGCSA-N 0 3 247.317 2.509 20 0 BFADHN COCc1ccc(CNCCC2(C)CC2)o1 ZINC000280500576 385322698 /nfs/dbraw/zinc/32/26/98/385322698.db2.gz FZKAVIBZTWIMGX-UHFFFAOYSA-N 0 3 223.316 2.706 20 0 BFADHN c1ncc(CN2CCC(C3CC3)CC2)s1 ZINC000368639750 385275824 /nfs/dbraw/zinc/27/58/24/385275824.db2.gz XMNVUSORBUPBQG-UHFFFAOYSA-N 0 3 222.357 2.765 20 0 BFADHN CN(CC[C@H]1CCCO1)Cc1cccc(F)c1 ZINC000191048076 385277971 /nfs/dbraw/zinc/27/79/71/385277971.db2.gz RSFRIJMQGBDRGD-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN CN(Cc1ccc2ncccc2c1)C1CC1 ZINC000153769030 385279026 /nfs/dbraw/zinc/27/90/26/385279026.db2.gz MNJOQNLAGWLJMV-UHFFFAOYSA-N 0 3 212.296 2.829 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](CC)CCO)o1 ZINC000166862017 385288159 /nfs/dbraw/zinc/28/81/59/385288159.db2.gz VURUXIKYPOELBL-NEPJUHHUSA-N 0 3 239.359 2.901 20 0 BFADHN COC(=O)CCCN1C[C@H](C)[C@H]1c1ccccc1 ZINC000177831465 385290053 /nfs/dbraw/zinc/29/00/53/385290053.db2.gz IEHYUGKSHMSONE-WFASDCNBSA-N 0 3 247.338 2.633 20 0 BFADHN CO[C@@H](C)CN[C@@H]1CCSc2ccccc21 ZINC000191201519 385290850 /nfs/dbraw/zinc/29/08/50/385290850.db2.gz ZXXHUVHODGUFLP-CMPLNLGQSA-N 0 3 237.368 2.848 20 0 BFADHN CO[C@H](C)CNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000191200148 385290966 /nfs/dbraw/zinc/29/09/66/385290966.db2.gz QXHRSUGPDPRDDL-FOGDFJRCSA-N 0 3 223.316 2.528 20 0 BFADHN CO[C@H](C)CN[C@H]1CCc2cc(Cl)ccc21 ZINC000191209508 385292213 /nfs/dbraw/zinc/29/22/13/385292213.db2.gz HWHMBQDYVCQQIY-RNCFNFMXSA-N 0 3 239.746 2.952 20 0 BFADHN CO[C@H](C)CN[C@@H]1CCc2cc(Cl)ccc21 ZINC000191209479 385293084 /nfs/dbraw/zinc/29/30/84/385293084.db2.gz HWHMBQDYVCQQIY-NOZJJQNGSA-N 0 3 239.746 2.952 20 0 BFADHN CO[C@@H](CNCc1ccc(F)c(C)c1)C(C)C ZINC000344743396 385293326 /nfs/dbraw/zinc/29/33/26/385293326.db2.gz RTTAYCFKILQTCU-AWEZNQCLSA-N 0 3 239.334 2.895 20 0 BFADHN C[C@@H](CC(C)(C)O)NCc1ccccc1Cl ZINC000294947761 385323604 /nfs/dbraw/zinc/32/36/04/385323604.db2.gz CSRAQKRFJGFUSC-JTQLQIEISA-N 0 3 241.762 2.979 20 0 BFADHN CC(C)[C@@H](O)CN[C@H](C)c1cc(F)cc(F)c1 ZINC000131310169 385323765 /nfs/dbraw/zinc/32/37/65/385323765.db2.gz RRLUKHPZHANDTH-RNCFNFMXSA-N 0 3 243.297 2.632 20 0 BFADHN CO[C@@](C)(CN[C@@H](C)c1cncs1)C1CC1 ZINC000291204989 385299572 /nfs/dbraw/zinc/29/95/72/385299572.db2.gz MJCZWSDSPCEKBU-CABZTGNLSA-N 0 3 240.372 2.609 20 0 BFADHN CC[C@H](COC)NCc1coc2ccccc12 ZINC000130996089 385300275 /nfs/dbraw/zinc/30/02/75/385300275.db2.gz CLLPFFAAJLNPMA-GFCCVEGCSA-N 0 3 233.311 2.947 20 0 BFADHN C[C@H](NC[C@H]1CCCN1C)c1ccccc1F ZINC000154505099 385333051 /nfs/dbraw/zinc/33/30/51/385333051.db2.gz DZOOASYKRQOUHF-NWDGAFQWSA-N 0 3 236.334 2.571 20 0 BFADHN C[C@@H](NC[C@H]1CCCN1C)c1ccccc1F ZINC000154505210 385333404 /nfs/dbraw/zinc/33/34/04/385333404.db2.gz DZOOASYKRQOUHF-VXGBXAGGSA-N 0 3 236.334 2.571 20 0 BFADHN C[C@H](CO)CCCNCc1ccsc1Cl ZINC000308990796 385333699 /nfs/dbraw/zinc/33/36/99/385333699.db2.gz LCPFODWXPPXPNT-VIFPVBQESA-N 0 3 247.791 2.900 20 0 BFADHN Cc1nnsc1CN[C@@H](C)CCC(C)C ZINC000308987051 385333806 /nfs/dbraw/zinc/33/38/06/385333806.db2.gz MGSCQGAFIZZCJX-VIFPVBQESA-N 0 3 227.377 2.761 20 0 BFADHN Cc1ccc(CN[C@H](C)COC(C)C)s1 ZINC000308990526 385334710 /nfs/dbraw/zinc/33/47/10/385334710.db2.gz KZOLIEWDXSSBAV-SNVBAGLBSA-N 0 3 227.373 2.960 20 0 BFADHN C[C@H](NC[C@@H](O)CC(C)(C)C)c1ccco1 ZINC000167850280 385338334 /nfs/dbraw/zinc/33/83/34/385338334.db2.gz QRUOLSRURGMVTB-QWRGUYRKSA-N 0 3 225.332 2.727 20 0 BFADHN CC1(C)C[C@@H](N[C@H]2CSc3ccccc32)CO1 ZINC000335354048 385342604 /nfs/dbraw/zinc/34/26/04/385342604.db2.gz FEDNRTWPMFKNSS-PWSUYJOCSA-N 0 3 249.379 2.991 20 0 BFADHN c1cc(CN[C@@H](Cc2ccccc2)C2CC2)no1 ZINC000178262005 385347991 /nfs/dbraw/zinc/34/79/91/385347991.db2.gz SEISDUJOFQKKEX-HNNXBMFYSA-N 0 3 242.322 2.786 20 0 BFADHN Cc1ccc(C)c(CNCC2(C)OCCCO2)c1 ZINC000192252376 385349508 /nfs/dbraw/zinc/34/95/08/385349508.db2.gz IYSXEAANCNOZCG-UHFFFAOYSA-N 0 3 249.354 2.546 20 0 BFADHN CCCCN(C)C(=O)[C@H](C)[C@H](N)c1ccccc1 ZINC000131624532 385350096 /nfs/dbraw/zinc/35/00/96/385350096.db2.gz UJWWXDNKNFXWSO-OCCSQVGLSA-N 0 3 248.370 2.581 20 0 BFADHN CC(C)c1ccccc1NC(=O)[C@@H]1NCC1(C)C ZINC000643987480 385355037 /nfs/dbraw/zinc/35/50/37/385355037.db2.gz ZJYQDMKZMUSNKL-ZDUSSCGKSA-N 0 3 246.354 2.747 20 0 BFADHN CC[C@H](COC)NCc1cc(F)cc(Cl)c1 ZINC000168261693 385356644 /nfs/dbraw/zinc/35/66/44/385356644.db2.gz BGNQMJPJURJNTN-GFCCVEGCSA-N 0 3 245.725 2.994 20 0 BFADHN CCN(C)CCNCc1cc(F)cc(Cl)c1 ZINC000168258779 385356844 /nfs/dbraw/zinc/35/68/44/385356844.db2.gz LVHJKABKXFLXHG-UHFFFAOYSA-N 0 3 244.741 2.520 20 0 BFADHN C[C@H]1CN(CCC2CCCC2)C[C@H](C)O1 ZINC000158182637 385359534 /nfs/dbraw/zinc/35/95/34/385359534.db2.gz UIUSIBBOFBVWSK-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@H](N[C@@H]1CCC(C)(C)C1)c1ccon1 ZINC000309022999 385367121 /nfs/dbraw/zinc/36/71/21/385367121.db2.gz YKCQLLCZPOVLAO-VHSXEESVSA-N 0 3 208.305 2.904 20 0 BFADHN CCCCN(C)Cc1ccc([S@](C)=O)cc1 ZINC000178415421 385368423 /nfs/dbraw/zinc/36/84/23/385368423.db2.gz NKONDDBKDZGKPJ-INIZCTEOSA-N 0 3 239.384 2.656 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2C[C@@H]2C)c1 ZINC000309025854 385368433 /nfs/dbraw/zinc/36/84/33/385368433.db2.gz ZXCOOTKGMLSBIW-SRVKXCTJSA-N 0 3 204.317 2.697 20 0 BFADHN C[C@@H](C(=O)Nc1cccc(CN(C)C)c1)C1CC1 ZINC000178423282 385371416 /nfs/dbraw/zinc/37/14/16/385371416.db2.gz AZNCNIIPXQAIAQ-LLVKDONJSA-N 0 3 246.354 2.733 20 0 BFADHN CC(=O)CCN1CCC[C@H](Nc2ccccc2)C1 ZINC000155033695 385371910 /nfs/dbraw/zinc/37/19/10/385371910.db2.gz HNEBQVXTFYXISQ-HNNXBMFYSA-N 0 3 246.354 2.542 20 0 BFADHN CCO[C@@H]1C[C@H](NCCF)C1(CC)CC ZINC000309063504 385390495 /nfs/dbraw/zinc/39/04/95/385390495.db2.gz YASKXCWNORABTA-WDEREUQCSA-N 0 3 217.328 2.529 20 0 BFADHN CC[C@@H](O)CNC1(c2cccc(Cl)c2)CC1 ZINC000179668478 385379097 /nfs/dbraw/zinc/37/90/97/385379097.db2.gz QQVFNTJYGSHBEG-GFCCVEGCSA-N 0 3 239.746 2.690 20 0 BFADHN CCOC[C@@H](C)NCc1ccc(SC)s1 ZINC000309033273 385380305 /nfs/dbraw/zinc/38/03/05/385380305.db2.gz GKHQPZHLXLXEEY-SECBINFHSA-N 0 3 245.413 2.985 20 0 BFADHN COC1(CNCc2csc(C)c2)CCC1 ZINC000309033569 385380604 /nfs/dbraw/zinc/38/06/04/385380604.db2.gz DGOJAMKNHDJILZ-UHFFFAOYSA-N 0 3 225.357 2.715 20 0 BFADHN CC[C@H](O)CN[C@H](C)c1cc2ccccc2o1 ZINC000168677798 385381010 /nfs/dbraw/zinc/38/10/10/385381010.db2.gz IBDUBOKZZRGJSV-PWSUYJOCSA-N 0 3 233.311 2.854 20 0 BFADHN CCc1cccnc1[C@@H](C)NCC1CC1 ZINC000309036275 385382066 /nfs/dbraw/zinc/38/20/66/385382066.db2.gz IGSINKBWJPOIRY-SNVBAGLBSA-N 0 3 204.317 2.705 20 0 BFADHN C[C@H](NCCO[C@H]1CCCCO1)c1ccccc1 ZINC000193082688 385383294 /nfs/dbraw/zinc/38/32/94/385383294.db2.gz XZKHGCRPYVPNEM-ZFWWWQNUSA-N 0 3 249.354 2.880 20 0 BFADHN C[C@@H](CCc1cccc(F)c1)NCCF ZINC000280940888 385384212 /nfs/dbraw/zinc/38/42/12/385384212.db2.gz GGDIJJFLNVASNH-JTQLQIEISA-N 0 3 213.271 2.706 20 0 BFADHN CN(CCC(=O)c1ccccc1)CCC1CC1 ZINC000180153150 385387306 /nfs/dbraw/zinc/38/73/06/385387306.db2.gz OUSZDPCJIIFPMM-UHFFFAOYSA-N 0 3 231.339 2.991 20 0 BFADHN CC1(CN2CC(CC(F)F)C2)CCC1 ZINC000425364434 385324889 /nfs/dbraw/zinc/32/48/89/385324889.db2.gz GMAYEYQBEBXQQL-UHFFFAOYSA-N 0 3 203.276 2.764 20 0 BFADHN C[C@@](O)(CNCc1ccsc1Cl)C1CC1 ZINC000308972761 385327260 /nfs/dbraw/zinc/32/72/60/385327260.db2.gz AGUSMYRWCYZVFE-LLVKDONJSA-N 0 3 245.775 2.652 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H]1CCCc2occc21 ZINC000193337528 385392028 /nfs/dbraw/zinc/39/20/28/385392028.db2.gz ZFBSHSZBKKJWLV-UMNHJUIQSA-N 0 3 223.316 2.670 20 0 BFADHN C[C@H](NCCF)[C@H]1C[C@H]1c1ccccc1 ZINC000309094954 385405711 /nfs/dbraw/zinc/40/57/11/385405711.db2.gz CKEJXOKDFXAPBP-UHTWSYAYSA-N 0 3 207.292 2.738 20 0 BFADHN C=Cn1cc(CN(CC)C2CCCC2)cn1 ZINC000193698777 385408206 /nfs/dbraw/zinc/40/82/06/385408206.db2.gz KBEKKBGTABEGKV-UHFFFAOYSA-N 0 3 219.332 2.748 20 0 BFADHN Fc1ccc(CN[C@H]2CCC[C@H]2F)cc1 ZINC000336442076 385408515 /nfs/dbraw/zinc/40/85/15/385408515.db2.gz FKRXSMGVBGAQGJ-NEPJUHHUSA-N 0 3 211.255 2.806 20 0 BFADHN COc1cc(CN2CCCO[C@@H](C)C2)ccc1C ZINC000193868661 385414172 /nfs/dbraw/zinc/41/41/72/385414172.db2.gz PZESPJQWVCTQPZ-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN c1cncc(CNCc2cccc3cccnc32)c1 ZINC000020141278 385419358 /nfs/dbraw/zinc/41/93/58/385419358.db2.gz AMBLZWJXFNSVKM-UHFFFAOYSA-N 0 3 249.317 2.920 20 0 BFADHN CCN(C)Cc1ccc(OC)c(Cl)c1 ZINC000155894469 385419419 /nfs/dbraw/zinc/41/94/19/385419419.db2.gz YWMSYAMEQSNTBB-UHFFFAOYSA-N 0 3 213.708 2.800 20 0 BFADHN CC/C=C/CNCc1cccc(OC)c1 ZINC000295075901 385420476 /nfs/dbraw/zinc/42/04/76/385420476.db2.gz PSCRKDVIUVCHTP-SNAWJCMRSA-N 0 3 205.301 2.751 20 0 BFADHN CSCCN(C)[C@H](C)c1cccc(O)c1 ZINC000178860320 385421936 /nfs/dbraw/zinc/42/19/36/385421936.db2.gz ZMTOHEYBGKWBQL-SNVBAGLBSA-N 0 3 225.357 2.748 20 0 BFADHN Cc1csc(C(C)(C)NC[C@H]2C[C@H]2C)n1 ZINC000309149415 385439016 /nfs/dbraw/zinc/43/90/16/385439016.db2.gz WAPFFOJNGXCHIT-PSASIEDQSA-N 0 3 224.373 2.932 20 0 BFADHN Cc1csc(C(C)(C)NC[C@@H]2C[C@@H]2C)n1 ZINC000309149418 385440211 /nfs/dbraw/zinc/44/02/11/385440211.db2.gz WAPFFOJNGXCHIT-WPRPVWTQSA-N 0 3 224.373 2.932 20 0 BFADHN CC[C@H](NC[C@@H](C)OC)c1ccccc1OC ZINC000182425819 385443584 /nfs/dbraw/zinc/44/35/84/385443584.db2.gz UUNRFOVRFBODJT-YPMHNXCESA-N 0 3 237.343 2.771 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cccc(F)c2N)C1 ZINC000156336633 385446198 /nfs/dbraw/zinc/44/61/98/385446198.db2.gz UXQGAPPJVSOKSM-GHMZBOCLSA-N 0 3 236.334 2.886 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cccc(F)c2N)C1 ZINC000156336517 385446325 /nfs/dbraw/zinc/44/63/25/385446325.db2.gz UXQGAPPJVSOKSM-QWRGUYRKSA-N 0 3 236.334 2.886 20 0 BFADHN Cc1cc(CN2CC[C@@H](C(C)(C)C)C2)ncn1 ZINC000336111159 385446853 /nfs/dbraw/zinc/44/68/53/385446853.db2.gz FGUGTMLVHYHQFE-GFCCVEGCSA-N 0 3 233.359 2.653 20 0 BFADHN CO[C@H]1CCCN(CCCOc2ccccc2)C1 ZINC000170820178 385449508 /nfs/dbraw/zinc/44/95/08/385449508.db2.gz FOILAKBTSCEUNY-HNNXBMFYSA-N 0 3 249.354 2.566 20 0 BFADHN CO[C@@H]1CCCN(Cc2cccc(C)c2)C1 ZINC000170819922 385450005 /nfs/dbraw/zinc/45/00/05/385450005.db2.gz REPABPIZIXWRFZ-CQSZACIVSA-N 0 3 219.328 2.606 20 0 BFADHN CC(C)(C)C1CCN(Cc2ccnc(N)c2)CC1 ZINC000052722703 385453254 /nfs/dbraw/zinc/45/32/54/385453254.db2.gz NARHHJJJZWWFDI-UHFFFAOYSA-N 0 3 247.386 2.922 20 0 BFADHN CC[C@@H](NCCCF)c1c(C)noc1C ZINC000182497381 385453570 /nfs/dbraw/zinc/45/35/70/385453570.db2.gz FSSVQAVTFPDALM-SNVBAGLBSA-N 0 3 214.284 2.692 20 0 BFADHN Cn1cccc1CNCC1=CCCCC1 ZINC000295091080 385431926 /nfs/dbraw/zinc/43/19/26/385431926.db2.gz JGLJOJXLPDRXNF-UHFFFAOYSA-N 0 3 204.317 2.615 20 0 BFADHN CCN(C[C@H](C)OC)[C@@H](C)c1cccc(O)c1 ZINC000182216869 385433830 /nfs/dbraw/zinc/43/38/30/385433830.db2.gz OGAFMBSYJQKDOS-RYUDHWBXSA-N 0 3 237.343 2.810 20 0 BFADHN CN(Cc1sccc1Cl)C[C@@H]1CCOC1 ZINC000194425570 385434041 /nfs/dbraw/zinc/43/40/41/385434041.db2.gz XRFZGNRMSIJLJX-VIFPVBQESA-N 0 3 245.775 2.870 20 0 BFADHN Cc1ccc(CN2CCCO[C@@H](C)C2)cc1C ZINC000179399465 385465147 /nfs/dbraw/zinc/46/51/47/385465147.db2.gz QHISZTSXRBZAFT-AWEZNQCLSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1ccc(CN2CCCO[C@@H](C)C2)s1 ZINC000179395924 385465208 /nfs/dbraw/zinc/46/52/08/385465208.db2.gz QOLLMNFWNQVRKU-JTQLQIEISA-N 0 3 225.357 2.667 20 0 BFADHN C[C@@]1(O)CCCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC000179726491 385487998 /nfs/dbraw/zinc/48/79/98/385487998.db2.gz MZZYETWYCJTMKL-HUUCEWRRSA-N 0 3 249.329 2.660 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cc(C)ccc1F ZINC000289809855 385472337 /nfs/dbraw/zinc/47/23/37/385472337.db2.gz MWDJPHIMYLOIDP-GHMZBOCLSA-N 0 3 225.307 2.647 20 0 BFADHN CCOc1ccc(CN2CCCO[C@@H](C)C2)cc1 ZINC000171239734 385475522 /nfs/dbraw/zinc/47/55/22/385475522.db2.gz QXRGJHXLLFUZNQ-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN CO[C@H](C)CCN[C@@H](C)c1cc(C)c(C)o1 ZINC000282019317 385477166 /nfs/dbraw/zinc/47/71/66/385477166.db2.gz DXOBYPAIWYAXSL-MNOVXSKESA-N 0 3 225.332 2.972 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cnn2ccccc12 ZINC000179686715 385483372 /nfs/dbraw/zinc/48/33/72/385483372.db2.gz HPCVKKDRKWIWTO-GFCCVEGCSA-N 0 3 231.343 2.812 20 0 BFADHN Fc1cccc(C2(NC[C@H]3CCCCO3)CC2)c1 ZINC000179681662 385483709 /nfs/dbraw/zinc/48/37/09/385483709.db2.gz YNRGSHQVNGLLIJ-CQSZACIVSA-N 0 3 249.329 2.974 20 0 BFADHN Cc1ccc(NC(=O)[C@@H](N)CCC(C)C)cc1 ZINC000257643516 385483895 /nfs/dbraw/zinc/48/38/95/385483895.db2.gz ACSPYDNXXMMVRP-ZDUSSCGKSA-N 0 3 234.343 2.697 20 0 BFADHN CO[C@]1(C)C[C@H](N(C)Cc2ccncc2)C1(C)C ZINC000157090172 385485858 /nfs/dbraw/zinc/48/58/58/385485858.db2.gz JPPQRFAFLPPSIF-DZGCQCFKSA-N 0 3 248.370 2.717 20 0 BFADHN C[C@@]1(O)CCCN(Cc2cc3ccccc3o2)C1 ZINC000179716966 385486286 /nfs/dbraw/zinc/48/62/86/385486286.db2.gz PRZNGHRWAAEBCW-OAHLLOKOSA-N 0 3 245.322 2.780 20 0 BFADHN C[C@]1(O)CCCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC000179726479 385487554 /nfs/dbraw/zinc/48/75/54/385487554.db2.gz MZZYETWYCJTMKL-CABCVRRESA-N 0 3 249.329 2.660 20 0 BFADHN C[C@H]1c2ccccc2CCN1CCn1cccn1 ZINC000170954941 385458735 /nfs/dbraw/zinc/45/87/35/385458735.db2.gz CDWWLILKIMWHHL-ZDUSSCGKSA-N 0 3 241.338 2.502 20 0 BFADHN CN(Cc1ccc(OCC(F)F)cc1)C1CC1 ZINC000179304237 385458837 /nfs/dbraw/zinc/45/88/37/385458837.db2.gz SJDRKBNBUYFUMX-UHFFFAOYSA-N 0 3 241.281 2.925 20 0 BFADHN CCCCCN(Cc1c[nH]cn1)C(C)C ZINC000179319490 385459673 /nfs/dbraw/zinc/45/96/73/385459673.db2.gz LEJCAVAZWHBCHN-UHFFFAOYSA-N 0 3 209.337 2.810 20 0 BFADHN CCCCCN(Cc1cnc[nH]1)C(C)C ZINC000179319490 385459676 /nfs/dbraw/zinc/45/96/76/385459676.db2.gz LEJCAVAZWHBCHN-UHFFFAOYSA-N 0 3 209.337 2.810 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1cc(C)c(C)o1 ZINC000281946199 385461234 /nfs/dbraw/zinc/46/12/34/385461234.db2.gz BUMOSJHQQHRXMN-JBLDHEPKSA-N 0 3 225.332 2.970 20 0 BFADHN CN(Cc1cccc2c1OCO2)C1CCCC1 ZINC000179797239 385492332 /nfs/dbraw/zinc/49/23/32/385492332.db2.gz FRCDXNFEJVEEOP-UHFFFAOYSA-N 0 3 233.311 2.790 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000282049776 385492959 /nfs/dbraw/zinc/49/29/59/385492959.db2.gz GJFJXVGBGHBFEO-JQWIXIFHSA-N 0 3 225.332 2.708 20 0 BFADHN CC[C@H]1CCN(Cc2cccc(F)c2N)C1 ZINC000166354994 385494163 /nfs/dbraw/zinc/49/41/63/385494163.db2.gz GDBLNSLBXXGHOV-JTQLQIEISA-N 0 3 222.307 2.640 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1sc(C)nc1C ZINC000157379875 385498544 /nfs/dbraw/zinc/49/85/44/385498544.db2.gz XACHTAZFIBZJCZ-KCJUWKMLSA-N 0 3 242.388 2.572 20 0 BFADHN CCC[C@H](C)NC(=O)[C@H](C)N(C)C[C@@H](C)CC ZINC000111255100 385498661 /nfs/dbraw/zinc/49/86/61/385498661.db2.gz IIRSLEMMCOOTRY-AVGNSLFASA-N 0 3 242.407 2.658 20 0 BFADHN CCOCCN1CCc2ccccc2[C@H]1C ZINC000171629930 385501076 /nfs/dbraw/zinc/50/10/76/385501076.db2.gz RHDZYVBOAHDGSI-GFCCVEGCSA-N 0 3 219.328 2.642 20 0 BFADHN CCCCN(Cc1cccnc1)C1CC1 ZINC000180267214 385517865 /nfs/dbraw/zinc/51/78/65/385517865.db2.gz ASHWKLIYPOPTBL-UHFFFAOYSA-N 0 3 204.317 2.846 20 0 BFADHN COC[C@H](C)NCc1sccc1Cl ZINC000308211534 385534330 /nfs/dbraw/zinc/53/43/30/385534330.db2.gz LHPMTVPBCASWLV-ZETCQYMHSA-N 0 3 219.737 2.526 20 0 BFADHN CCC[C@H](CNCc1ccncc1Cl)OC ZINC000378833960 385535809 /nfs/dbraw/zinc/53/58/09/385535809.db2.gz UGROZDWKDOIYTD-LLVKDONJSA-N 0 3 242.750 2.640 20 0 BFADHN CCOc1cccc([C@@H](C)NC[C@H](O)CC)c1 ZINC000180677707 385538615 /nfs/dbraw/zinc/53/86/15/385538615.db2.gz RLUPPGHDQJFBOO-DGCLKSJQSA-N 0 3 237.343 2.507 20 0 BFADHN CCN(Cc1ccn(C(C)C)n1)CC(C)C ZINC000172016494 385540897 /nfs/dbraw/zinc/54/08/97/385540897.db2.gz JQSKTSKTXMLDIF-UHFFFAOYSA-N 0 3 223.364 2.942 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cccc(F)c1N ZINC000157978986 385541476 /nfs/dbraw/zinc/54/14/76/385541476.db2.gz FGYYGJNPBCMCDR-VHSXEESVSA-N 0 3 222.307 2.638 20 0 BFADHN Cc1cnc(C(C)(C)NCCCF)s1 ZINC000183973003 385544109 /nfs/dbraw/zinc/54/41/09/385544109.db2.gz ZJZFYLWZTHMITB-UHFFFAOYSA-N 0 3 216.325 2.636 20 0 BFADHN CC(=O)CCN(C)CCc1ccc(Cl)cc1 ZINC000172078656 385547556 /nfs/dbraw/zinc/54/75/56/385547556.db2.gz REWZIPWHDXQBKX-UHFFFAOYSA-N 0 3 239.746 2.793 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cccc(OC)n1 ZINC000171829590 385520372 /nfs/dbraw/zinc/52/03/72/385520372.db2.gz OKKXGAXBSYIRGJ-LLVKDONJSA-N 0 3 222.332 2.568 20 0 BFADHN FCCCCNCc1cc(F)c(F)cc1F ZINC000309300396 385520890 /nfs/dbraw/zinc/52/08/90/385520890.db2.gz YETJYVFUDNNVFS-UHFFFAOYSA-N 0 3 235.224 2.943 20 0 BFADHN C[C@H](N[C@H]1CCO[C@H](C2CC2)C1)c1ccco1 ZINC000157816285 385523913 /nfs/dbraw/zinc/52/39/13/385523913.db2.gz ZHHNHRATZYJZAR-JKOKRWQUSA-N 0 3 235.327 2.888 20 0 BFADHN C[C@@H](N[C@@H]1CCO[C@H](C2CC2)C1)c1ccco1 ZINC000157816141 385525056 /nfs/dbraw/zinc/52/50/56/385525056.db2.gz ZHHNHRATZYJZAR-QKCSRTOESA-N 0 3 235.327 2.888 20 0 BFADHN Cc1nnsc1CN[C@H](C)C1CCCCC1 ZINC000309307574 385525867 /nfs/dbraw/zinc/52/58/67/385525867.db2.gz WCWSJZAEQADZSS-SECBINFHSA-N 0 3 239.388 2.905 20 0 BFADHN Cn1c(CN2CCCC2)cc2ccccc21 ZINC000258196675 385553590 /nfs/dbraw/zinc/55/35/90/385553590.db2.gz ZWSIYRGGPPMIJA-UHFFFAOYSA-N 0 3 214.312 2.774 20 0 BFADHN CC[C@@H](C)N1CCN([C@@H](C)c2cccnc2)CC1 ZINC000181128032 385565105 /nfs/dbraw/zinc/56/51/05/385565105.db2.gz ISUIAKKJLHTYJX-KGLIPLIRSA-N 0 3 247.386 2.559 20 0 BFADHN C[C@@H](NC[C@@H](O)CC(C)(C)C)c1cccnc1 ZINC000181421780 385577860 /nfs/dbraw/zinc/57/78/60/385577860.db2.gz FBUDOBYJYSWFIV-YPMHNXCESA-N 0 3 236.359 2.529 20 0 BFADHN CCN(CC)CCSc1nc(C)cs1 ZINC000181308236 385570056 /nfs/dbraw/zinc/57/00/56/385570056.db2.gz AOBUQYRQHMPFQS-UHFFFAOYSA-N 0 3 230.402 2.885 20 0 BFADHN CC[C@H]1CCCN1Cc1c(C)noc1C ZINC000334642214 385593492 /nfs/dbraw/zinc/59/34/92/385593492.db2.gz IRMWXUIRBTUYSX-NSHDSACASA-N 0 3 208.305 2.666 20 0 BFADHN COC[C@@H](NCCCSC)c1ccccc1 ZINC000181687098 385594397 /nfs/dbraw/zinc/59/43/97/385594397.db2.gz CBTHDPHZZCDURW-CYBMUJFWSA-N 0 3 239.384 2.717 20 0 BFADHN C[C@H](Cc1ccncc1)N[C@@H](C)c1nccs1 ZINC000337316688 385594535 /nfs/dbraw/zinc/59/45/35/385594535.db2.gz KRLTZYDWQBTNRJ-MNOVXSKESA-N 0 3 247.367 2.820 20 0 BFADHN CC(C)COCCNC1(c2ccccc2)CC1 ZINC000337327799 385598994 /nfs/dbraw/zinc/59/89/94/385598994.db2.gz XWSUKWRBXRLKKI-UHFFFAOYSA-N 0 3 233.355 2.938 20 0 BFADHN CC[C@@H](C(=O)Nc1ccccc1)N(CC)CC ZINC000280805767 385606685 /nfs/dbraw/zinc/60/66/85/385606685.db2.gz WJJBXBDFQFYLCE-ZDUSSCGKSA-N 0 3 234.343 2.746 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1cccnc1 ZINC000309426235 385578128 /nfs/dbraw/zinc/57/81/28/385578128.db2.gz FHCMRXMFPZMZQE-JTQLQIEISA-N 0 3 232.249 2.902 20 0 BFADHN CS[C@@H]1CCC[C@@H](NCc2ccccn2)C1 ZINC000117394307 385582702 /nfs/dbraw/zinc/58/27/02/385582702.db2.gz UGXFRWFTOZJSIX-DGCLKSJQSA-N 0 3 236.384 2.845 20 0 BFADHN CCN(C)C(=O)CCN[C@H](C)c1ccccc1C ZINC000181550721 385584432 /nfs/dbraw/zinc/58/44/32/385584432.db2.gz RGUBPGCXHDCNQF-CYBMUJFWSA-N 0 3 248.370 2.514 20 0 BFADHN CCCCN(C(=O)CN(CC)CC)[C@@H](C)CC ZINC000337335698 385606063 /nfs/dbraw/zinc/60/60/63/385606063.db2.gz LQABXWNUYIOZAZ-ZDUSSCGKSA-N 0 3 242.407 2.755 20 0 BFADHN CCS[C@@H]1CCCC[C@H]1NCCF ZINC000336649301 385590805 /nfs/dbraw/zinc/59/08/05/385590805.db2.gz HBRRRZYQYMXLGX-NXEZZACHSA-N 0 3 205.342 2.610 20 0 BFADHN FC(F)O[C@H]1CCC[C@H]1NCc1ccco1 ZINC000337308472 385592386 /nfs/dbraw/zinc/59/23/86/385592386.db2.gz SURLRBGPKFZMHH-ZJUUUORDSA-N 0 3 231.242 2.530 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc(C)s1 ZINC000182124174 385636099 /nfs/dbraw/zinc/63/60/99/385636099.db2.gz WBBCHANVLFOTAI-VIFPVBQESA-N 0 3 213.346 2.523 20 0 BFADHN Cc1sccc1CNCCOCC1CC1 ZINC000293482159 385620186 /nfs/dbraw/zinc/62/01/86/385620186.db2.gz FWZKIILASJOMBA-UHFFFAOYSA-N 0 3 225.357 2.573 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1cccc(F)c1F ZINC000181948225 385621286 /nfs/dbraw/zinc/62/12/86/385621286.db2.gz UXHWBFYTVIOZLD-UWVGGRQHSA-N 0 3 241.281 2.794 20 0 BFADHN CC(C)C1(NCc2cn3cccc(F)c3n2)CC1 ZINC000645200044 385622308 /nfs/dbraw/zinc/62/23/08/385622308.db2.gz DLZSWQJEZUZGAT-UHFFFAOYSA-N 0 3 247.317 2.752 20 0 BFADHN C[C@@H]1[C@H](Nc2ccc(Cl)cn2)CCCN1C ZINC000336679457 385623744 /nfs/dbraw/zinc/62/37/44/385623744.db2.gz AKBPYNIICUKGQR-MWLCHTKSSA-N 0 3 239.750 2.630 20 0 BFADHN COC[C@H](NC1CC(C)C1)c1ccc(C)o1 ZINC000184377307 385636704 /nfs/dbraw/zinc/63/67/04/385636704.db2.gz XHEGRHVKGUGGOY-NHNAUAITSA-N 0 3 223.316 2.664 20 0 BFADHN CCOCCN[C@@H](c1cccnc1)C1CCC1 ZINC000280990942 385625681 /nfs/dbraw/zinc/62/56/81/385625681.db2.gz WXCDMCOPJADMAU-CQSZACIVSA-N 0 3 234.343 2.549 20 0 BFADHN CN(C)Cc1ccc(NC(=O)[C@@H]2CC2(C)C)cc1 ZINC000269704573 385625805 /nfs/dbraw/zinc/62/58/05/385625805.db2.gz NQSLPZUSRWCUNC-ZDUSSCGKSA-N 0 3 246.354 2.733 20 0 BFADHN C[C@@H](CN1CCOCC1)C1CCCCC1 ZINC000336651536 385627209 /nfs/dbraw/zinc/62/72/09/385627209.db2.gz AOBFITGCPLSGCI-LBPRGKRZSA-N 0 3 211.349 2.535 20 0 BFADHN CO[C@H](C)CN(C)Cc1cccc(Cl)c1 ZINC000182039975 385630162 /nfs/dbraw/zinc/63/01/62/385630162.db2.gz RRMRDKQTBSBHTH-SNVBAGLBSA-N 0 3 227.735 2.807 20 0 BFADHN CO[C@H](C)CN(C)[C@@H](C)c1ccccc1F ZINC000182069215 385633123 /nfs/dbraw/zinc/63/31/23/385633123.db2.gz ZCFSJOZBWBMTHA-MNOVXSKESA-N 0 3 225.307 2.853 20 0 BFADHN CC(C)SCCN1CCO[C@](C)(C2CC2)C1 ZINC000595625379 385635663 /nfs/dbraw/zinc/63/56/63/385635663.db2.gz MFERXGMUAZJKCM-ZDUSSCGKSA-N 0 3 243.416 2.629 20 0 BFADHN CO[C@@H](C)CN[C@@H](c1cccnc1)C1CCC1 ZINC000280857672 385611864 /nfs/dbraw/zinc/61/18/64/385611864.db2.gz NAJYGJPFJRSKHJ-SMDDNHRTSA-N 0 3 234.343 2.547 20 0 BFADHN CS[C@@H]1CCC[C@H](NCc2conc2C)C1 ZINC000293470207 385612811 /nfs/dbraw/zinc/61/28/11/385612811.db2.gz FAABCQYZJLVDKQ-NWDGAFQWSA-N 0 3 240.372 2.747 20 0 BFADHN CCc1ccc(CNC[C@@H]2C[C@@H](C)O[C@H]2C)o1 ZINC000414516196 385637437 /nfs/dbraw/zinc/63/74/37/385637437.db2.gz OPKBBELMELFIAL-WOPDTQHZSA-N 0 3 237.343 2.745 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H](CO)CC2CCC2)c1 ZINC000281201538 385643777 /nfs/dbraw/zinc/64/37/77/385643777.db2.gz SCKJFMXLMXLJSD-SWLSCSKDSA-N 0 3 248.370 2.592 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@H]2CCOC2)o1 ZINC000252094261 385643879 /nfs/dbraw/zinc/64/38/79/385643879.db2.gz HONIGGDOMSQNRB-NQBHXWOUSA-N 0 3 235.327 2.529 20 0 BFADHN CO[C@H](C)CN(C)Cc1cc2ccccc2o1 ZINC000182208603 385644182 /nfs/dbraw/zinc/64/41/82/385644182.db2.gz AGTHDBUXNJCRGT-LLVKDONJSA-N 0 3 233.311 2.900 20 0 BFADHN CC[C@H](COC)NC1(c2cccc(F)c2)CC1 ZINC000182219072 385646347 /nfs/dbraw/zinc/64/63/47/385646347.db2.gz IQBUNJTVZDDKQM-CYBMUJFWSA-N 0 3 237.318 2.829 20 0 BFADHN CC(C)C[C@H](C)CSCCN(C)C ZINC000308146644 385648323 /nfs/dbraw/zinc/64/83/23/385648323.db2.gz MBMHXSPIUJSSRE-NSHDSACASA-N 0 3 203.395 2.963 20 0 BFADHN C[C@@]1(F)CCCN(C[C@@H](O)CC2CCCC2)C1 ZINC000451116367 385654864 /nfs/dbraw/zinc/65/48/64/385654864.db2.gz RPZVAXPULKCLQZ-UONOGXRCSA-N 0 3 243.366 2.752 20 0 BFADHN CC1CCC(O)(CN2CCC[C@@](C)(F)C2)CC1 ZINC000451115448 385655034 /nfs/dbraw/zinc/65/50/34/385655034.db2.gz OKKFXYIKYWMCHV-ROKHWSDSSA-N 0 3 243.366 2.752 20 0 BFADHN CC[C@H]1CN([C@H](C)c2ccccn2)CCCO1 ZINC000281308414 385655250 /nfs/dbraw/zinc/65/52/50/385655250.db2.gz HWRIPMFTLPDKJO-OLZOCXBDSA-N 0 3 234.343 2.644 20 0 BFADHN CCOc1ccc([C@H](C)N[C@H]2CCO[C@H]2C)cc1 ZINC000252692044 385655871 /nfs/dbraw/zinc/65/58/71/385655871.db2.gz ZULRPLKTNUVSRT-HUBLWGQQSA-N 0 3 249.354 2.913 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NC1CCCC1)CO2 ZINC000309519969 385656697 /nfs/dbraw/zinc/65/66/97/385656697.db2.gz BDBXHBBFDTUXSP-LBPRGKRZSA-N 0 3 221.275 2.791 20 0 BFADHN CCc1ccc(CN2CCCO[C@@H](CC)C2)o1 ZINC000281324132 385658079 /nfs/dbraw/zinc/65/80/79/385658079.db2.gz RKVTYLBXWRHQOH-ZDUSSCGKSA-N 0 3 237.343 2.843 20 0 BFADHN C[C@H](Cc1cccs1)NCc1cncs1 ZINC000054770769 385660413 /nfs/dbraw/zinc/66/04/13/385660413.db2.gz LOGNHDDYGZOAPO-SECBINFHSA-N 0 3 238.381 2.925 20 0 BFADHN CC(C)[C@@H](O)CN1Cc2ccccc2C2(CC2)C1 ZINC000366595833 385660974 /nfs/dbraw/zinc/66/09/74/385660974.db2.gz IUKUBKNDJDMGGQ-HNNXBMFYSA-N 0 3 245.366 2.551 20 0 BFADHN COCC[C@H](NCC1CCC1)c1ccco1 ZINC000273330546 385662496 /nfs/dbraw/zinc/66/24/96/385662496.db2.gz ZCKHMRPSZCURRV-LBPRGKRZSA-N 0 3 223.316 2.747 20 0 BFADHN CCOCCN[C@@H]1CCCc2cc(F)ccc21 ZINC000182484347 385673051 /nfs/dbraw/zinc/67/30/51/385673051.db2.gz MYTXPQSFKAMORR-CQSZACIVSA-N 0 3 237.318 2.829 20 0 BFADHN CC(C)C[C@@H](C)CN[C@@H](CCO)c1ccco1 ZINC000281478419 385674737 /nfs/dbraw/zinc/67/47/37/385674737.db2.gz WMHSQAUXQLGCQK-OLZOCXBDSA-N 0 3 239.359 2.975 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H](C)[C@H]2CC2(C)C)s1 ZINC000309543835 385679933 /nfs/dbraw/zinc/67/99/33/385679933.db2.gz GLPYFWQUEGPACU-OYNCUSHFSA-N 0 3 239.388 2.932 20 0 BFADHN COC[C@H](NCC[C@H](C)F)c1ccccc1 ZINC000309547862 385682181 /nfs/dbraw/zinc/68/21/81/385682181.db2.gz HIIATSNUGINCEU-AAEUAGOBSA-N 0 3 225.307 2.712 20 0 BFADHN C[C@H](NC[C@H]1C[C@H]1C)c1c(F)cncc1F ZINC000309548285 385682431 /nfs/dbraw/zinc/68/24/31/385682431.db2.gz HJHMWCVFPJFHMQ-HRDYMLBCSA-N 0 3 226.270 2.666 20 0 BFADHN COc1cc(C)nc(CN2CCC[C@@H](C)C2)c1 ZINC000270191577 385682502 /nfs/dbraw/zinc/68/25/02/385682502.db2.gz BBDMRJDJAPRLOU-LLVKDONJSA-N 0 3 234.343 2.631 20 0 BFADHN Cc1nnc(CN[C@H](C)C2CCCC2)n1C1CC1 ZINC000184628414 385684246 /nfs/dbraw/zinc/68/42/46/385684246.db2.gz MMHGCYCZXLCCEO-SNVBAGLBSA-N 0 3 248.374 2.590 20 0 BFADHN CCc1ccc(CN[C@H](C)c2cn[nH]c2)s1 ZINC000229881288 385684505 /nfs/dbraw/zinc/68/45/05/385684505.db2.gz UPMKEKWLKITVJS-SECBINFHSA-N 0 3 235.356 2.884 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(Cl)o2)C[C@H](C)O1 ZINC000648401271 385685529 /nfs/dbraw/zinc/68/55/29/385685529.db2.gz WBYPBYUMHWEXSQ-MYJAWHEDSA-N 0 3 243.734 2.979 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H]1C1CC1)c1ccccn1 ZINC000309553102 385686065 /nfs/dbraw/zinc/68/60/65/385686065.db2.gz IWYVXHODHAJMEQ-SUHUHFCYSA-N 0 3 216.328 2.921 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1sc(C)nc1C ZINC000309550445 385687747 /nfs/dbraw/zinc/68/77/47/385687747.db2.gz JZPQHWNGOQDESM-SECBINFHSA-N 0 3 242.388 2.663 20 0 BFADHN CC[C@H](O)CN(C)Cc1ccccc1SC ZINC000281641099 385688176 /nfs/dbraw/zinc/68/81/76/385688176.db2.gz MKOBYXWELZJWHA-LBPRGKRZSA-N 0 3 239.384 2.611 20 0 BFADHN C[C@H](NCc1ccc2c(c1)CCC2)c1cn[nH]c1 ZINC000270247770 385689327 /nfs/dbraw/zinc/68/93/27/385689327.db2.gz TYYVJTOMAYBCRU-NSHDSACASA-N 0 3 241.338 2.749 20 0 BFADHN C[C@H](N[C@@H]1CCCc2c[nH]nc21)C1CCC1 ZINC000309561024 385691631 /nfs/dbraw/zinc/69/16/31/385691631.db2.gz LALPKWFYDAASOF-JOYOIKCWSA-N 0 3 219.332 2.565 20 0 BFADHN C[C@]12C[C@H]1CCC[C@@H]2NCc1ccc(F)cn1 ZINC000337499896 385692621 /nfs/dbraw/zinc/69/26/21/385692621.db2.gz ZZAWWNMDWOQJEV-SWHYSGLUSA-N 0 3 234.318 2.889 20 0 BFADHN CC[C@H](O)CN[C@H]1CCSc2ccccc21 ZINC000229963670 385694194 /nfs/dbraw/zinc/69/41/94/385694194.db2.gz KZHCXZYFARPVBQ-JQWIXIFHSA-N 0 3 237.368 2.584 20 0 BFADHN Cc1occc1CN[C@@H]1CCCSC1 ZINC000307512034 385696529 /nfs/dbraw/zinc/69/65/29/385696529.db2.gz PICFAOULICAENS-LLVKDONJSA-N 0 3 211.330 2.573 20 0 BFADHN COc1cc(C)nc(CN2CCC(C)CC2)c1 ZINC000270321232 385696917 /nfs/dbraw/zinc/69/69/17/385696917.db2.gz RDBBBKNCYLFZAK-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN COc1cc(C)nc(CN2CCCC2(C)C)c1 ZINC000270374549 385701685 /nfs/dbraw/zinc/70/16/85/385701685.db2.gz ZVDNDBWKIFGBHT-UHFFFAOYSA-N 0 3 234.343 2.773 20 0 BFADHN CC(C)(C)[C@H]1CCC[C@H]1NCc1ncc[nH]1 ZINC000414538178 385701854 /nfs/dbraw/zinc/70/18/54/385701854.db2.gz QIJVFPUVRGKNAW-WDEREUQCSA-N 0 3 221.348 2.714 20 0 BFADHN CSc1ccccc1[C@H](C)NCc1cnc[nH]1 ZINC000281812679 385703929 /nfs/dbraw/zinc/70/39/29/385703929.db2.gz WFGLLJOHDYDQRA-JTQLQIEISA-N 0 3 247.367 2.982 20 0 BFADHN COc1cc(CNC(C)(C)CF)ccc1C ZINC000336689573 385710100 /nfs/dbraw/zinc/71/01/00/385710100.db2.gz KDGTYAPQSZSDEZ-UHFFFAOYSA-N 0 3 225.307 2.841 20 0 BFADHN CCCCCCN[C@H](CCO)c1ccco1 ZINC000185069829 385718521 /nfs/dbraw/zinc/71/85/21/385718521.db2.gz RWBLMVLZTWOTMH-GFCCVEGCSA-N 0 3 225.332 2.873 20 0 BFADHN CCCCN[C@H](COC)c1ccc(CC)o1 ZINC000184778326 385712459 /nfs/dbraw/zinc/71/24/59/385712459.db2.gz NWVQDVCLXNHUCZ-GFCCVEGCSA-N 0 3 225.332 2.919 20 0 BFADHN CCCC[C@@H](NCc1ncc[nH]1)C1CCC1 ZINC000184876335 385713824 /nfs/dbraw/zinc/71/38/24/385713824.db2.gz JNJDBZXZESWXRB-GFCCVEGCSA-N 0 3 221.348 2.858 20 0 BFADHN C[C@H](CO)CCCNCc1ccccc1Cl ZINC000230161399 385714999 /nfs/dbraw/zinc/71/49/99/385714999.db2.gz BKYSDEIXHYBOGA-NSHDSACASA-N 0 3 241.762 2.838 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](CC)c1ccn(C)n1 ZINC000336734335 385666461 /nfs/dbraw/zinc/66/64/61/385666461.db2.gz KURXZRBUTAVCDA-TUAOUCFPSA-N 0 3 223.364 2.895 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000182435382 385668999 /nfs/dbraw/zinc/66/89/99/385668999.db2.gz GERJSSVSPXNWDR-HTQZYQBOSA-N 0 3 247.260 2.789 20 0 BFADHN CCCCCN[C@H](COC)c1ccco1 ZINC000184515881 385670894 /nfs/dbraw/zinc/67/08/94/385670894.db2.gz ALZYXXSGWUTJGS-LLVKDONJSA-N 0 3 211.305 2.747 20 0 BFADHN CC(C)n1cncc1CN[C@H]1CCC1(C)C ZINC000336684526 385671589 /nfs/dbraw/zinc/67/15/89/385671589.db2.gz ZPOGNZSQHWWXQW-LBPRGKRZSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cocn2)C[C@@H]1C ZINC000185265272 385728968 /nfs/dbraw/zinc/72/89/68/385728968.db2.gz PMIGOLKTQNDOPR-OUAUKWLOSA-N 0 3 208.305 2.589 20 0 BFADHN CSCCN[C@@H](c1ncc(C)s1)C1CC1 ZINC000185283042 385729259 /nfs/dbraw/zinc/72/92/59/385729259.db2.gz QNCGXHCXVDIIGF-SNVBAGLBSA-N 0 3 242.413 2.855 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1nnc(-c2ccco2)o1 ZINC000183033183 385730030 /nfs/dbraw/zinc/73/00/30/385730030.db2.gz JXWPIHZRASCGPF-ZJUUUORDSA-N 0 3 249.314 2.854 20 0 BFADHN C[C@H](CC1CCC1)NCc1cc2n(n1)CCCC2 ZINC000631250147 385730106 /nfs/dbraw/zinc/73/01/06/385730106.db2.gz GXBZKQAWXBBHIH-GFCCVEGCSA-N 0 3 247.386 2.888 20 0 BFADHN CCSCCC[NH2+]Cc1cc([O-])cc(F)c1 ZINC000282076111 385730341 /nfs/dbraw/zinc/73/03/41/385730341.db2.gz QVDWGGKHXRYBBU-UHFFFAOYSA-N 0 3 243.347 2.764 20 0 BFADHN CC/C=C/CCN[C@H](COC)c1ccco1 ZINC000185435089 385731768 /nfs/dbraw/zinc/73/17/68/385731768.db2.gz QURLZSKFRIPNHX-ZYOFXKKJSA-N 0 3 223.316 2.913 20 0 BFADHN Cc1cccc(N2CCN(C[C@H]3C[C@H]3C)CC2)c1 ZINC000488293478 385734230 /nfs/dbraw/zinc/73/42/30/385734230.db2.gz LBLZNTNXLMEMOC-HUUCEWRRSA-N 0 3 244.382 2.773 20 0 BFADHN CCN(C[C@H]1CCCO1)[C@H](C)c1ccccn1 ZINC000270727868 385734903 /nfs/dbraw/zinc/73/49/03/385734903.db2.gz MPIBUENVPNXAJP-CHWSQXEVSA-N 0 3 234.343 2.644 20 0 BFADHN CCN(C[C@@H]1CCCO1)[C@@H](C)c1ccccn1 ZINC000270727873 385735638 /nfs/dbraw/zinc/73/56/38/385735638.db2.gz MPIBUENVPNXAJP-STQMWFEESA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H](O)[C@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC000183159753 385736772 /nfs/dbraw/zinc/73/67/72/385736772.db2.gz KHVLBVFBPBDHCB-UTUOFQBUSA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@H](O)CNCc1ccc(-c2ccccc2)o1 ZINC000282233182 385742819 /nfs/dbraw/zinc/74/28/19/385742819.db2.gz DVMRNKZVKHRVSN-ZDUSSCGKSA-N 0 3 245.322 2.807 20 0 BFADHN CCN(C)CCN[C@H](C)c1cccc(F)c1F ZINC000183238423 385745309 /nfs/dbraw/zinc/74/53/09/385745309.db2.gz PBWXDSRNPPPHPG-SNVBAGLBSA-N 0 3 242.313 2.567 20 0 BFADHN CO[C@@H]1CCN(Cc2cccs2)[C@H](C)C1 ZINC000282318948 385752483 /nfs/dbraw/zinc/75/24/83/385752483.db2.gz KYPQAGUZYCOKPM-GHMZBOCLSA-N 0 3 225.357 2.747 20 0 BFADHN CO[C@](C)(CN[C@H](C)c1ccncc1)C1CC1 ZINC000291880690 385754527 /nfs/dbraw/zinc/75/45/27/385754527.db2.gz LMHGIXSZYHGCHC-BXUZGUMPSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@@H](NCCCC(C)C)c1ccn(C)n1 ZINC000309625644 385754651 /nfs/dbraw/zinc/75/46/51/385754651.db2.gz FRENWADMKMVPIZ-GFCCVEGCSA-N 0 3 223.364 2.897 20 0 BFADHN COc1cccc(CN[C@H](C)CCC2CC2)n1 ZINC000186321854 385755058 /nfs/dbraw/zinc/75/50/58/385755058.db2.gz TZJZOVSPLBQTCI-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@H](N[C@H](CO)CC1CCC1)c1cccc(O)c1 ZINC000282378654 385756761 /nfs/dbraw/zinc/75/67/61/385756761.db2.gz QPZAMUXVUCQCOD-FZMZJTMJSA-N 0 3 249.354 2.594 20 0 BFADHN C[C@@H]1C[C@H]1CN(C)C[C@@H]1OCCc2ccccc21 ZINC000488351379 385758792 /nfs/dbraw/zinc/75/87/92/385758792.db2.gz REXQQOWTFPGWBV-INWMFGNUSA-N 0 3 245.366 2.888 20 0 BFADHN CCC(CC)CNCc1nnc(C2CC2)s1 ZINC000414557613 385764103 /nfs/dbraw/zinc/76/41/03/385764103.db2.gz QBJQAZOQYIEPLR-UHFFFAOYSA-N 0 3 239.388 2.941 20 0 BFADHN COCC[C@H](NC[C@@H]1C[C@@H]1C)c1ccco1 ZINC000309652621 385769926 /nfs/dbraw/zinc/76/99/26/385769926.db2.gz OQUWVNYOEYJMRK-SRVKXCTJSA-N 0 3 223.316 2.603 20 0 BFADHN CCc1ccc(NC2CCN(C)CC2)cc1 ZINC000019772322 385771392 /nfs/dbraw/zinc/77/13/92/385771392.db2.gz WRVQONQNDUPEPB-UHFFFAOYSA-N 0 3 218.344 2.755 20 0 BFADHN CCN(CCCSC)Cc1ccncc1 ZINC000271028070 385772025 /nfs/dbraw/zinc/77/20/25/385772025.db2.gz PIQWAFRHSVSJCU-UHFFFAOYSA-N 0 3 224.373 2.657 20 0 BFADHN CCCCN(Cc1cccn1C)C1CC1 ZINC000271032052 385772894 /nfs/dbraw/zinc/77/28/94/385772894.db2.gz DGSAAMGXAHKLFG-UHFFFAOYSA-N 0 3 206.333 2.790 20 0 BFADHN CCc1ccc(CN(CCO)C2CCCC2)o1 ZINC000271025600 385773330 /nfs/dbraw/zinc/77/33/30/385773330.db2.gz WKWUWDZTHTVCCX-UHFFFAOYSA-N 0 3 237.343 2.579 20 0 BFADHN CCN(CCOC)Cc1cnc2ccccc2c1 ZINC000271039652 385775295 /nfs/dbraw/zinc/77/52/95/385775295.db2.gz HSULNDZXDUESCV-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN CCOc1cccc(CN2CCC(OC)CC2)c1 ZINC000271048320 385778561 /nfs/dbraw/zinc/77/85/61/385778561.db2.gz SSINDGYGHRVAJX-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN C=Cn1cc(CN2CC(C)(C)[C@H]2C(C)C)cn1 ZINC000293978061 385779273 /nfs/dbraw/zinc/77/92/73/385779273.db2.gz RBXJHMPYIYXQRG-CYBMUJFWSA-N 0 3 233.359 2.850 20 0 BFADHN CN(Cc1cncs1)C1Cc2ccccc2C1 ZINC000271113898 385787959 /nfs/dbraw/zinc/78/79/59/385787959.db2.gz AXERUGWFJGJOPK-UHFFFAOYSA-N 0 3 244.363 2.742 20 0 BFADHN COC[C@H](C)NCc1ccc2occc2c1 ZINC000309675695 385781784 /nfs/dbraw/zinc/78/17/84/385781784.db2.gz XPZMDEJIRLESQG-JTQLQIEISA-N 0 3 219.284 2.557 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnn2ccccc12 ZINC000271074684 385782694 /nfs/dbraw/zinc/78/26/94/385782694.db2.gz NOBDBENNTUYKKO-LBPRGKRZSA-N 0 3 231.343 2.955 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnc(N(C)C)s1 ZINC000271090258 385784769 /nfs/dbraw/zinc/78/47/69/385784769.db2.gz VTSXXRKFGWVONG-JTQLQIEISA-N 0 3 241.404 2.830 20 0 BFADHN Cc1ccc(CNCCSC(C)C)nc1 ZINC000336690884 385723581 /nfs/dbraw/zinc/72/35/81/385723581.db2.gz MMOXSHSFOBAGPZ-UHFFFAOYSA-N 0 3 224.373 2.621 20 0 BFADHN C[C@@H](F)CCN[C@H](CO)c1ccc(Cl)cc1 ZINC000309597002 385725628 /nfs/dbraw/zinc/72/56/28/385725628.db2.gz WLBBZUKLFYPZRS-BXKDBHETSA-N 0 3 245.725 2.711 20 0 BFADHN COC[C@@H]1CCN(Cc2ccc(F)c(C)c2)C1 ZINC000271186649 385799590 /nfs/dbraw/zinc/79/95/90/385799590.db2.gz NYKXFGLHIHTGEW-CYBMUJFWSA-N 0 3 237.318 2.602 20 0 BFADHN C[C@@H]1[C@@H](NCc2ccc(Cl)o2)CCCN1C ZINC000336740515 385802696 /nfs/dbraw/zinc/80/26/96/385802696.db2.gz MHFUIZNJBZDKET-KOLCDFICSA-N 0 3 242.750 2.505 20 0 BFADHN CSCCN(C)C[C@@H](C)c1nccs1 ZINC000183922149 385804737 /nfs/dbraw/zinc/80/47/37/385804737.db2.gz SCVZUKLFKWLUTE-SECBINFHSA-N 0 3 230.402 2.541 20 0 BFADHN CCN(CCCO)Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000271208913 385806871 /nfs/dbraw/zinc/80/68/71/385806871.db2.gz SZIYSJFYUUNVHA-DGCLKSJQSA-N 0 3 237.343 2.607 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccsc1)c1ccccn1 ZINC000231634813 385808643 /nfs/dbraw/zinc/80/86/43/385808643.db2.gz KYGHVTOJPLMQNB-GXFFZTMASA-N 0 3 248.351 2.527 20 0 BFADHN CC[C@@H](C(=O)N[C@H](C)CC(C)C)N(CC)CC ZINC000337863017 385810034 /nfs/dbraw/zinc/81/00/34/385810034.db2.gz NNIAWKSHSMZCEE-OLZOCXBDSA-N 0 3 242.407 2.658 20 0 BFADHN C[C@H](NC[C@H](O)c1ccsc1)c1ccccn1 ZINC000231634820 385810283 /nfs/dbraw/zinc/81/02/83/385810283.db2.gz KYGHVTOJPLMQNB-GWCFXTLKSA-N 0 3 248.351 2.527 20 0 BFADHN COC[C@@H](NCCCCCF)c1ccco1 ZINC000283935798 385810134 /nfs/dbraw/zinc/81/01/34/385810134.db2.gz CUHUHWNLBSPFQO-LLVKDONJSA-N 0 3 229.295 2.697 20 0 BFADHN CC[C@@H](C(=O)N[C@@H](C)CC(C)C)N(CC)CC ZINC000337863019 385810345 /nfs/dbraw/zinc/81/03/45/385810345.db2.gz NNIAWKSHSMZCEE-STQMWFEESA-N 0 3 242.407 2.658 20 0 BFADHN C[C@@H]1C[C@H](NC2CCCCC2)c2nccn21 ZINC000334468279 385857104 /nfs/dbraw/zinc/85/71/04/385857104.db2.gz FXCSKVJYBSIKLX-PWSUYJOCSA-N 0 3 219.332 2.811 20 0 BFADHN CC(C)[C@@H](O)C1(CN[C@H](C)c2ccccn2)CC1 ZINC000271880922 385857322 /nfs/dbraw/zinc/85/73/22/385857322.db2.gz PIBJANWAGBDVQX-TZMCWYRMSA-N 0 3 248.370 2.529 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1cc(F)cc(F)c1 ZINC000340171736 385820672 /nfs/dbraw/zinc/82/06/72/385820672.db2.gz VGPIIAMCOGVAGP-SECBINFHSA-N 0 3 243.297 2.868 20 0 BFADHN CC/C=C\CCN1C[C@H](C)OC2(CCC2)C1 ZINC000521432319 385822518 /nfs/dbraw/zinc/82/25/18/385822518.db2.gz XSTBXPVVINUXTH-ZFDPJTLLSA-N 0 3 223.360 2.986 20 0 BFADHN CC[C@H](c1ccncc1)N(C)C[C@H]1CCCO1 ZINC000271392378 385824218 /nfs/dbraw/zinc/82/42/18/385824218.db2.gz MCSIDOJZAIVOJL-ZIAGYGMSSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@H](CN1CCc2c(F)cccc2C1)OC ZINC000412978359 385824511 /nfs/dbraw/zinc/82/45/11/385824511.db2.gz PJINZLMVPKEPLQ-LBPRGKRZSA-N 0 3 237.318 2.609 20 0 BFADHN CC[C@H](CN(C)Cc1ccccc1OC)OC ZINC000412977837 385825566 /nfs/dbraw/zinc/82/55/66/385825566.db2.gz NPVASOJASONWDZ-CYBMUJFWSA-N 0 3 237.343 2.552 20 0 BFADHN CC[C@H](CN1CCC[C@H]1c1cc(C)no1)OC ZINC000412978073 385825866 /nfs/dbraw/zinc/82/58/66/385825866.db2.gz OYRWLLMBVONPCW-NEPJUHHUSA-N 0 3 238.331 2.545 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H]1COc2c1ccc(C)c2C ZINC000271423262 385827771 /nfs/dbraw/zinc/82/77/71/385827771.db2.gz CDQOUYGNCMCXPW-SCRDCRAPSA-N 0 3 249.354 2.750 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H](C)[C@@H]1CCCO1 ZINC000271491510 385832232 /nfs/dbraw/zinc/83/22/32/385832232.db2.gz UMQDHBWNXNGUOP-SCRDCRAPSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@H](N[C@@H]1CCCc2cn[nH]c21)c1cncs1 ZINC000271511914 385835311 /nfs/dbraw/zinc/83/53/11/385835311.db2.gz OAEABXBXNNPBPP-WCBMZHEXSA-N 0 3 248.355 2.594 20 0 BFADHN CCOCCN(C)Cc1ccc(Cl)cc1 ZINC000070979941 385841545 /nfs/dbraw/zinc/84/15/45/385841545.db2.gz WCNOTWLJIOOXGH-UHFFFAOYSA-N 0 3 227.735 2.808 20 0 BFADHN CC(C)[C@@H](O)CN(C)Cc1ccc(Cl)cc1 ZINC000283969005 385842609 /nfs/dbraw/zinc/84/26/09/385842609.db2.gz IJZOPTNZMDCDDN-ZDUSSCGKSA-N 0 3 241.762 2.789 20 0 BFADHN COC1(C)CCN([C@@H](C)c2cccnc2)CC1 ZINC000271689576 385846132 /nfs/dbraw/zinc/84/61/32/385846132.db2.gz FCDACYZIANFGCG-LBPRGKRZSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@H](NCc1cn2ccccc2n1)C1(C)CC1 ZINC000340462100 385848340 /nfs/dbraw/zinc/84/83/40/385848340.db2.gz WSSPXQYFYGIKSD-NSHDSACASA-N 0 3 229.327 2.613 20 0 BFADHN CC(C)CCNCc1c(F)ccc([O-])c1F ZINC000294431629 385849801 /nfs/dbraw/zinc/84/98/01/385849801.db2.gz XJRCFQDJVCCGSZ-UHFFFAOYSA-N 0 3 229.270 2.806 20 0 BFADHN CC(C)CCNCc1c(F)ccc(O)c1F ZINC000294431629 385849804 /nfs/dbraw/zinc/84/98/04/385849804.db2.gz XJRCFQDJVCCGSZ-UHFFFAOYSA-N 0 3 229.270 2.806 20 0 BFADHN Cc1nocc1CN(C)[C@H](C)C1(C)CC1 ZINC000294480495 385855610 /nfs/dbraw/zinc/85/56/10/385855610.db2.gz ZZVWSQSGESEGER-SNVBAGLBSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H](NC1CC(C(C)(C)C)C1)c1cnccn1 ZINC000340450515 385792638 /nfs/dbraw/zinc/79/26/38/385792638.db2.gz KJJRIXJZNJTIBC-UNXYVOJBSA-N 0 3 233.359 2.952 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccsc1C ZINC000294049000 385792708 /nfs/dbraw/zinc/79/27/08/385792708.db2.gz WWMFNPKRRKYWPF-SECBINFHSA-N 0 3 213.346 2.523 20 0 BFADHN CCSCCCN[C@H](C)c1cnn(CC)c1 ZINC000282915937 385797035 /nfs/dbraw/zinc/79/70/35/385797035.db2.gz XPXNKFXPCKWUBZ-LLVKDONJSA-N 0 3 241.404 2.697 20 0 BFADHN Cc1sccc1CN(CC(C)(C)O)C1CC1 ZINC000294693940 385883754 /nfs/dbraw/zinc/88/37/54/385883754.db2.gz NQLJPVYZJDRONV-UHFFFAOYSA-N 0 3 239.384 2.792 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CCC[C@@H](CC)C1 ZINC000218201110 385884502 /nfs/dbraw/zinc/88/45/02/385884502.db2.gz LENLAMHMNCXCAJ-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)c1cccc2c1CCN([C@@H]1CCOC1)C2 ZINC000284903914 385889078 /nfs/dbraw/zinc/88/90/78/385889078.db2.gz GTFJUCPYHQYCGT-CQSZACIVSA-N 0 3 245.366 2.957 20 0 BFADHN CC(C)c1cccc2c1CCN([C@H]1CCOC1)C2 ZINC000284903911 385889898 /nfs/dbraw/zinc/88/98/98/385889898.db2.gz GTFJUCPYHQYCGT-AWEZNQCLSA-N 0 3 245.366 2.957 20 0 BFADHN Cc1cc(CN2CCCO[C@@H](C)C2)cs1 ZINC000294746080 385891317 /nfs/dbraw/zinc/89/13/17/385891317.db2.gz HVFRLDXCXLYKBL-JTQLQIEISA-N 0 3 225.357 2.667 20 0 BFADHN Cc1ccoc1CN1CCC2(CCOCC2)CC1 ZINC000284967592 385891575 /nfs/dbraw/zinc/89/15/75/385891575.db2.gz IEWLTSLJHWNZAN-UHFFFAOYSA-N 0 3 249.354 2.981 20 0 BFADHN Cc1ccncc1CN1CCC[C@H]1[C@H]1CCCO1 ZINC000284968771 385891894 /nfs/dbraw/zinc/89/18/94/385891894.db2.gz XOUWAFXZEMUOTN-LSDHHAIUSA-N 0 3 246.354 2.533 20 0 BFADHN Oc1cccc(CN[C@@H]2C[C@H]2c2ccco2)c1 ZINC000414595430 385892650 /nfs/dbraw/zinc/89/26/50/385892650.db2.gz SWCPRTHQCAOWTI-CHWSQXEVSA-N 0 3 229.279 2.631 20 0 BFADHN Cc1sccc1CN1CC[C@@H](O)C(C)(C)C1 ZINC000294762761 385893506 /nfs/dbraw/zinc/89/35/06/385893506.db2.gz SFWOSYGDAFAYCO-GFCCVEGCSA-N 0 3 239.384 2.649 20 0 BFADHN Cc1cnccc1CN(C)CC(C)(C)C ZINC000294774841 385894283 /nfs/dbraw/zinc/89/42/83/385894283.db2.gz JQSTYIVKHOMZJD-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN CCC[C@H](O)CN(C)[C@H](C)c1cccs1 ZINC000294800325 385898040 /nfs/dbraw/zinc/89/80/40/385898040.db2.gz CCKZIZSWNSWPKB-MNOVXSKESA-N 0 3 227.373 2.902 20 0 BFADHN c1coc([C@@H]2C[C@H]2NCc2ccccc2)c1 ZINC000414598012 385899785 /nfs/dbraw/zinc/89/97/85/385899785.db2.gz XFEIHAUMUHUEKC-CHWSQXEVSA-N 0 3 213.280 2.925 20 0 BFADHN CO[C@@H]1[C@H](C)[C@H](NCc2occc2C)C12CCC2 ZINC000272400439 385900295 /nfs/dbraw/zinc/90/02/95/385900295.db2.gz KBEOWIGUHBHKAB-KWCYVHTRSA-N 0 3 249.354 2.881 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H]1C[C@H]1c1ccco1 ZINC000414598811 385901255 /nfs/dbraw/zinc/90/12/55/385901255.db2.gz YUBMEWWCMMBCCK-IJLUTSLNSA-N 0 3 223.316 2.539 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1)c1ccc(Cl)cc1 ZINC000294846722 385903184 /nfs/dbraw/zinc/90/31/84/385903184.db2.gz NBBFPBOIFLVRNH-KPXOXKRLSA-N 0 3 225.719 2.514 20 0 BFADHN Cc1cnc(CNCC[C@@H]2CCCCO2)s1 ZINC000295555111 385905176 /nfs/dbraw/zinc/90/51/76/385905176.db2.gz YPXHKMOHXHOGAR-NSHDSACASA-N 0 3 240.372 2.500 20 0 BFADHN CCCCN(CCO)Cc1ccsc1C ZINC000294878706 385907735 /nfs/dbraw/zinc/90/77/35/385907735.db2.gz KTQODVRAGPKQHL-UHFFFAOYSA-N 0 3 227.373 2.651 20 0 BFADHN COc1c(O)cccc1CNC1(C)CCCC1 ZINC000272525861 385911592 /nfs/dbraw/zinc/91/15/92/385911592.db2.gz YXFSFSCCUGUGGS-UHFFFAOYSA-N 0 3 235.327 2.823 20 0 BFADHN CC(C)C(C)(C)CNCc1ccc(CO)o1 ZINC000218977224 385913051 /nfs/dbraw/zinc/91/30/51/385913051.db2.gz HUGRXGSPXGAAKH-UHFFFAOYSA-N 0 3 225.332 2.544 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@@H](OC)C1CC1 ZINC000340485044 385913480 /nfs/dbraw/zinc/91/34/80/385913480.db2.gz AKMSBDYCVHFSQC-SMDDNHRTSA-N 0 3 248.370 2.720 20 0 BFADHN Cc1ccoc1CN1CCC[C@H]1[C@H]1CCCO1 ZINC000285301682 385913992 /nfs/dbraw/zinc/91/39/92/385913992.db2.gz VXBNIOFMLKMSDG-QWHCGFSZSA-N 0 3 235.327 2.731 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1Cc1ccccc1)c1cn[nH]c1 ZINC000285323435 385914785 /nfs/dbraw/zinc/91/47/85/385914785.db2.gz TXKNZAUDJCBLMQ-NJZAAPMLSA-N 0 3 241.338 2.692 20 0 BFADHN CCOc1ccc(CN(C)[C@H]2C[C@@H](OC)C2)cc1 ZINC000285326152 385915174 /nfs/dbraw/zinc/91/51/74/385915174.db2.gz JGVPVCVKSBTVNC-OTVXOJSOSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1oncc1CN1C[C@H](C)CC[C@@H]1C ZINC000128760141 385919565 /nfs/dbraw/zinc/91/95/65/385919565.db2.gz DIOMADWQWUSMTD-ZJUUUORDSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1ccc2occc2c1 ZINC000285390547 385921267 /nfs/dbraw/zinc/92/12/67/385921267.db2.gz AJWQJZQZAZCOFY-MFKMUULPSA-N 0 3 231.295 2.700 20 0 BFADHN CC(C)CCN1CCOc2cc(O)ccc2C1 ZINC000285402423 385921827 /nfs/dbraw/zinc/92/18/27/385921827.db2.gz FFJLGEKOJZONQP-UHFFFAOYSA-N 0 3 235.327 2.633 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2ccc(F)c(F)c2)C1 ZINC000285434192 385923111 /nfs/dbraw/zinc/92/31/11/385923111.db2.gz RJIHNNYGMLETRI-PHIMTYICSA-N 0 3 241.281 2.574 20 0 BFADHN CCC[C@H](NC[C@@H](OC)C1CC1)c1ccccn1 ZINC000340487196 385925960 /nfs/dbraw/zinc/92/59/60/385925960.db2.gz CJNWXXBUAKYSHU-DZGCQCFKSA-N 0 3 248.370 2.937 20 0 BFADHN Cn1ncc(Cl)c1CNCCC1CCCC1 ZINC000189016308 385926557 /nfs/dbraw/zinc/92/65/57/385926557.db2.gz QMOUMGUMACINOH-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN CS[C@@H]1CCN(Cc2cccs2)C1 ZINC000294963030 385926761 /nfs/dbraw/zinc/92/67/61/385926761.db2.gz FCBFCFBYXMXLDJ-SECBINFHSA-N 0 3 213.371 2.685 20 0 BFADHN CN(CCc1cscn1)Cc1ccccc1 ZINC000284282895 385860349 /nfs/dbraw/zinc/86/03/49/385860349.db2.gz NLWPRWQVFRPXIE-UHFFFAOYSA-N 0 3 232.352 2.818 20 0 BFADHN Cc1ccc(CN2CCC[C@H]2[C@H]2CCCO2)o1 ZINC000284295483 385861557 /nfs/dbraw/zinc/86/15/57/385861557.db2.gz BIFSTNKDMUSLKN-UONOGXRCSA-N 0 3 235.327 2.731 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CCCO2)cs1 ZINC000294517198 385861813 /nfs/dbraw/zinc/86/18/13/385861813.db2.gz DZFZATKRUGNVTC-GFCCVEGCSA-N 0 3 225.357 2.667 20 0 BFADHN Cc1occc1CN[C@@H]1C[C@H]1c1ccco1 ZINC000414583230 385862609 /nfs/dbraw/zinc/86/26/09/385862609.db2.gz LPFOCCFUVFKWDM-VXGBXAGGSA-N 0 3 217.268 2.827 20 0 BFADHN CC(C)CCNCc1cnc(C(C)(C)C)nc1 ZINC000284348028 385865011 /nfs/dbraw/zinc/86/50/11/385865011.db2.gz MBASJABHKNGGFY-UHFFFAOYSA-N 0 3 235.375 2.910 20 0 BFADHN Fc1ccc(CN[C@@H]2CC[C@@H]2C2CCC2)nc1 ZINC000337987338 385868614 /nfs/dbraw/zinc/86/86/14/385868614.db2.gz KMSPLYJYNIRVIV-ZIAGYGMSSA-N 0 3 234.318 2.889 20 0 BFADHN CC(C)C1CCN(Cc2cccnc2N)CC1 ZINC000232541584 385874959 /nfs/dbraw/zinc/87/49/59/385874959.db2.gz NSYHOYLMAHULNJ-UHFFFAOYSA-N 0 3 233.359 2.532 20 0 BFADHN C[C@@H](N[C@@H](CO)CC1CCCC1)c1ccncc1 ZINC000338007166 385876636 /nfs/dbraw/zinc/87/66/36/385876636.db2.gz KJNKNJXVLHNHAY-IUODEOHRSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@H]2CC[C@@H](CO)C2)o1 ZINC000295130702 385975562 /nfs/dbraw/zinc/97/55/62/385975562.db2.gz YAJRMLIWYUWIEL-NRWUCQMLSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@@H](N[C@@H]1COc2ccc(F)cc21)[C@H]1C[C@H]1C ZINC000414124927 385975939 /nfs/dbraw/zinc/97/59/39/385975939.db2.gz CKOBFXJRXPKEDI-MBJVOQIVSA-N 0 3 235.302 2.893 20 0 BFADHN Cc1ccoc1CN[C@]1(C)CCO[C@H]1C1CC1 ZINC000273153828 385976251 /nfs/dbraw/zinc/97/62/51/385976251.db2.gz RVAMXUGXMJUAFP-UONOGXRCSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1ccn(C)n1 ZINC000414131904 385978569 /nfs/dbraw/zinc/97/85/69/385978569.db2.gz YMYIOUPSTBGYIO-VOAKCMCISA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCO[C@H](C)C2)c1 ZINC000285965926 385983120 /nfs/dbraw/zinc/98/31/20/385983120.db2.gz FUENFTRZFGWLJO-FRRDWIJNSA-N 0 3 234.343 2.608 20 0 BFADHN CCc1nocc1CN1CCSCC[C@@H]1C ZINC000334317136 385983392 /nfs/dbraw/zinc/98/33/92/385983392.db2.gz PWJVKODFEJSWBA-JTQLQIEISA-N 0 3 240.372 2.564 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cc(OC)ccn1 ZINC000285987372 385983656 /nfs/dbraw/zinc/98/36/56/385983656.db2.gz FQGIASTVLREDIJ-FZMZJTMJSA-N 0 3 234.343 2.759 20 0 BFADHN CO[C@@H](CN(C)[C@H](C)c1cccc(O)c1)C1CC1 ZINC000425411414 385986675 /nfs/dbraw/zinc/98/66/75/385986675.db2.gz DABABWWCANXVRJ-ABAIWWIYSA-N 0 3 249.354 2.810 20 0 BFADHN COC[C@@H](C)NCc1cc(C2CC2)ccc1F ZINC000295192005 385986659 /nfs/dbraw/zinc/98/66/59/385986659.db2.gz XKVOJTGOQWPLEC-SNVBAGLBSA-N 0 3 237.318 2.828 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@H]2CCCCO2)c1 ZINC000285998382 385986905 /nfs/dbraw/zinc/98/69/05/385986905.db2.gz ILJNTIVJLGYTOM-QWHCGFSZSA-N 0 3 234.343 2.610 20 0 BFADHN Cc1nccc(CN(C)C2CCCCCC2)n1 ZINC000334341902 385988056 /nfs/dbraw/zinc/98/80/56/385988056.db2.gz PBSFVIZBTZFRCH-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@H](C)c2cccnc2)C1 ZINC000414142151 385989406 /nfs/dbraw/zinc/98/94/06/385989406.db2.gz KEZCKKNXMWFTFA-BNOWGMLFSA-N 0 3 234.343 2.688 20 0 BFADHN CSCCCCCN[C@@H](C)c1ccn(C)n1 ZINC000414142434 385990416 /nfs/dbraw/zinc/99/04/16/385990416.db2.gz RUJREBKGGMEARE-NSHDSACASA-N 0 3 241.404 2.604 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ccccn1)C(F)(F)F ZINC000336727622 385990818 /nfs/dbraw/zinc/99/08/18/385990818.db2.gz CBMKAJWBXMUWNS-IUCAKERBSA-N 0 3 232.249 2.931 20 0 BFADHN Cc1ccnc([C@@H](C)NCC2(O)CCCCC2)c1 ZINC000286069256 385991369 /nfs/dbraw/zinc/99/13/69/385991369.db2.gz LUGDQYYJJBIRNH-CYBMUJFWSA-N 0 3 248.370 2.736 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2cccc(O)c2)C1 ZINC000414143460 385993032 /nfs/dbraw/zinc/99/30/32/385993032.db2.gz LOVOJIZSDRPWNV-VHRBIJSZSA-N 0 3 235.327 2.610 20 0 BFADHN Clc1ccc(CN[C@@H]2CCSC2)o1 ZINC000072727444 385937365 /nfs/dbraw/zinc/93/73/65/385937365.db2.gz GDYSSYDWPJRFNT-SSDOTTSWSA-N 0 3 217.721 2.528 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc(F)cc1Cl ZINC000189120445 385937475 /nfs/dbraw/zinc/93/74/75/385937475.db2.gz PQWONVHCCAPZOU-DTWKUNHWSA-N 0 3 245.725 2.992 20 0 BFADHN Clc1ccc(CN[C@H]2CCSC2)o1 ZINC000072727445 385938175 /nfs/dbraw/zinc/93/81/75/385938175.db2.gz GDYSSYDWPJRFNT-ZETCQYMHSA-N 0 3 217.721 2.528 20 0 BFADHN COCc1cccc(CN[C@H]2C[C@@H]2C(F)F)c1 ZINC000342184598 385941886 /nfs/dbraw/zinc/94/18/86/385941886.db2.gz CRDTUYGTCNRNSG-RYUDHWBXSA-N 0 3 241.281 2.576 20 0 BFADHN FCCCCN1CCc2c(F)cccc2C1 ZINC000285571706 385944478 /nfs/dbraw/zinc/94/44/78/385944478.db2.gz JQLCOHMLRDOUPZ-UHFFFAOYSA-N 0 3 225.282 2.934 20 0 BFADHN FC(F)[C@H]1C[C@@H]1NCc1cccc2cccnc21 ZINC000342196062 385945530 /nfs/dbraw/zinc/94/55/30/385945530.db2.gz AHHOJHBPLODOKA-RYUDHWBXSA-N 0 3 248.276 2.978 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CCO[C@H](C)C2)c1 ZINC000219891049 385947120 /nfs/dbraw/zinc/94/71/20/385947120.db2.gz JWLJFKIDMVBIHR-YPMHNXCESA-N 0 3 237.318 2.791 20 0 BFADHN CN(Cc1cnn(CC(F)F)c1)C1CCCC1 ZINC000295026721 385948141 /nfs/dbraw/zinc/94/81/41/385948141.db2.gz XDZWSTITJMBAMK-UHFFFAOYSA-N 0 3 243.301 2.523 20 0 BFADHN CS[C@H]1CCN(Cc2ccsc2C)C1 ZINC000295042912 385951155 /nfs/dbraw/zinc/95/11/55/385951155.db2.gz MSNJMVYSYJGYFY-NSHDSACASA-N 0 3 227.398 2.994 20 0 BFADHN COC[C@@H](NCC1CC(F)(F)C1)c1ccco1 ZINC000295064668 385958321 /nfs/dbraw/zinc/95/83/21/385958321.db2.gz VRUHBXQEMPWSCV-SNVBAGLBSA-N 0 3 245.269 2.602 20 0 BFADHN FCCCCN(Cc1cccnc1)C1CC1 ZINC000285740919 385960988 /nfs/dbraw/zinc/96/09/88/385960988.db2.gz UZOYTPAWWHHIOX-UHFFFAOYSA-N 0 3 222.307 2.796 20 0 BFADHN C[C@@]1(NCc2cccc(F)c2)CCO[C@@H]1C1CC1 ZINC000273079430 385965480 /nfs/dbraw/zinc/96/54/80/385965480.db2.gz QFKZHYZGMKMYCP-HUUCEWRRSA-N 0 3 249.329 2.873 20 0 BFADHN CCCN(CCC)[C@H]1CCN(C(C)(C)C)C1=O ZINC000425404146 385966823 /nfs/dbraw/zinc/96/68/23/385966823.db2.gz JNJPHKLUPNDDMY-LBPRGKRZSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1ccc(OC)cn1 ZINC000338315822 385967851 /nfs/dbraw/zinc/96/78/51/385967851.db2.gz LEVYFDDGFLBZGG-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN CO[C@H]1CCN(Cc2ncccc2C)CC1(C)C ZINC000295127965 385971411 /nfs/dbraw/zinc/97/14/11/385971411.db2.gz YDJWUWXAHVDJHA-AWEZNQCLSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cccc2nc(CN[C@H](C)[C@H]3C[C@H]3C)cn21 ZINC000414124146 385972913 /nfs/dbraw/zinc/97/29/13/385972913.db2.gz PBXFWEUSFULVEC-QKCSRTOESA-N 0 3 243.354 2.777 20 0 BFADHN Cc1ccc(CN[C@]2(C)CCO[C@@H]2C2CC2)o1 ZINC000273157180 385974496 /nfs/dbraw/zinc/97/44/96/385974496.db2.gz SLLMRVKRLYARSC-ZIAGYGMSSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@@H](N[C@H](C)C1CCCC1)c1ccn(C)n1 ZINC000414150960 385998908 /nfs/dbraw/zinc/99/89/08/385998908.db2.gz PLKFMFAHPFXVEM-GHMZBOCLSA-N 0 3 221.348 2.649 20 0 BFADHN COC[C@@H](C)NCc1cccc(C(C)C)c1 ZINC000336721890 386000554 /nfs/dbraw/zinc/00/05/54/386000554.db2.gz AGOPGKMNZQJQPE-GFCCVEGCSA-N 0 3 221.344 2.935 20 0 BFADHN O=C1CCC(CN2CCCc3occc3C2)CC1 ZINC000295269207 386002666 /nfs/dbraw/zinc/00/26/66/386002666.db2.gz ZAXOGKUNGDJCOJ-UHFFFAOYSA-N 0 3 247.338 2.787 20 0 BFADHN CCCc1ncc(CN[C@H](C)[C@H]2C[C@@H]2C)o1 ZINC000414153939 386003361 /nfs/dbraw/zinc/00/33/61/386003361.db2.gz SQEGDDDCJUGQEI-UMNHJUIQSA-N 0 3 222.332 2.761 20 0 BFADHN CC[C@H](F)CN1CCC[C@]2(CCSC2)C1 ZINC000451971669 386003245 /nfs/dbraw/zinc/00/32/45/386003245.db2.gz MCHFFAZNCVXXPI-RYUDHWBXSA-N 0 3 231.380 2.954 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1ccc2occc2c1 ZINC000286181565 386005525 /nfs/dbraw/zinc/00/55/25/386005525.db2.gz XCXVEWYJORLDJL-HSWBROFVSA-N 0 3 245.322 2.850 20 0 BFADHN COc1ncc(CNC2CCCCCC2)s1 ZINC000309391480 386007645 /nfs/dbraw/zinc/00/76/45/386007645.db2.gz SHHWPYYVQDSAKG-UHFFFAOYSA-N 0 3 240.372 2.964 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H](C)[C@@H]1C[C@@H]1C ZINC000414115213 386009503 /nfs/dbraw/zinc/00/95/03/386009503.db2.gz MQFWNIUYJPDTJA-YNEHKIRRSA-N 0 3 235.375 2.673 20 0 BFADHN CC[C@H](N[C@@H]1CCn2ccnc21)[C@H]1CC1(C)C ZINC000414119503 386011852 /nfs/dbraw/zinc/01/18/52/386011852.db2.gz DAUJYRLCCIOVSM-GRYCIOLGSA-N 0 3 233.359 2.742 20 0 BFADHN C/C=C/C[C@H](CO)NCc1cccc(C)c1F ZINC000295339717 386014274 /nfs/dbraw/zinc/01/42/74/386014274.db2.gz HXABPZSLWATPBF-ITDFMYJTSA-N 0 3 237.318 2.551 20 0 BFADHN CO[C@@H](CNCc1ccncc1C)C(C)(C)C ZINC000295339343 386015124 /nfs/dbraw/zinc/01/51/24/386015124.db2.gz CUKGTMNYTRZOQY-ZDUSSCGKSA-N 0 3 236.359 2.541 20 0 BFADHN COC(CN[C@@H](C)c1cccc(C2CC2)c1)OC ZINC000295342306 386015519 /nfs/dbraw/zinc/01/55/19/386015519.db2.gz IARHOJIPYZOSAB-NSHDSACASA-N 0 3 249.354 2.834 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H](C)CC1CC1 ZINC000188005987 386017170 /nfs/dbraw/zinc/01/71/70/386017170.db2.gz HPJQGWYTBXDQBQ-ONGXEEELSA-N 0 3 219.332 2.624 20 0 BFADHN Cc1ccnc([C@H](C)NCCc2ccncc2)c1 ZINC000286292906 386019061 /nfs/dbraw/zinc/01/90/61/386019061.db2.gz WHUVCZWRRSODJI-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN CC[C@H](COC)N[C@@H]1CCCc2ccc(O)cc21 ZINC000234023729 386020553 /nfs/dbraw/zinc/02/05/53/386020553.db2.gz HHZDKYLLFYFZLE-IUODEOHRSA-N 0 3 249.354 2.784 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@@H]2C(C)(C)C)o1 ZINC000414182264 386023000 /nfs/dbraw/zinc/02/30/00/386023000.db2.gz MVYHIBFVKSVXEO-NWDGAFQWSA-N 0 3 222.332 2.897 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H](C)c1cc2n(n1)CCC2 ZINC000414182405 386023200 /nfs/dbraw/zinc/02/32/00/386023200.db2.gz MZLJCYGMIVJDFO-LALPHHSUSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1nocc1CN1CC[C@@H](C)C[C@@H]1C ZINC000295390438 386024226 /nfs/dbraw/zinc/02/42/26/386024226.db2.gz PUFJIYBPNSFEOT-ZJUUUORDSA-N 0 3 208.305 2.603 20 0 BFADHN FC(F)[C@H]1C[C@@H]1Nc1ccnc2ccccc21 ZINC000334433673 386056656 /nfs/dbraw/zinc/05/66/56/386056656.db2.gz XUBJHLXEDFWUEI-CABZTGNLSA-N 0 3 234.249 2.722 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H]1CC(C)C)c1nccn1C ZINC000414184972 386025552 /nfs/dbraw/zinc/02/55/52/386025552.db2.gz PAQAVXHVDHFTKU-FRRDWIJNSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1cc(CN2CCCSCC2)cs1 ZINC000295401379 386025736 /nfs/dbraw/zinc/02/57/36/386025736.db2.gz MGEUOPVHOKYMOF-UHFFFAOYSA-N 0 3 227.398 2.995 20 0 BFADHN CC[C@@H](NCC1(C)OCCO1)c1cccc(C)c1 ZINC000286345219 386026066 /nfs/dbraw/zinc/02/60/66/386026066.db2.gz YZVYTFFCIFDCAX-CQSZACIVSA-N 0 3 249.354 2.799 20 0 BFADHN C[C@H](NC1(C2CCC2)CCC1)c1nccn1C ZINC000414186917 386027678 /nfs/dbraw/zinc/02/76/78/386027678.db2.gz PTIDJZGFJUZXFP-NSHDSACASA-N 0 3 233.359 2.794 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnn(CC(F)F)c1 ZINC000295429923 386029459 /nfs/dbraw/zinc/02/94/59/386029459.db2.gz SYRIVXAALYBWSU-JTQLQIEISA-N 0 3 245.317 2.769 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1cccn1C ZINC000336727800 386030640 /nfs/dbraw/zinc/03/06/40/386030640.db2.gz GSDCGABISMHTQT-VIFPVBQESA-N 0 3 234.265 2.846 20 0 BFADHN Cc1nccc(CN2CCCC[C@H]2C(C)C)n1 ZINC000335072460 386032264 /nfs/dbraw/zinc/03/22/64/386032264.db2.gz PVTOKQWJJXDYDV-AWEZNQCLSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ncccc1CNC[C@H]1CCCC1(F)F ZINC000390894331 386034590 /nfs/dbraw/zinc/03/45/90/386034590.db2.gz QKJQDMOWCFWLEM-GFCCVEGCSA-N 0 3 240.297 2.915 20 0 BFADHN Cc1nocc1CN1CCCC[C@H]1C(C)C ZINC000335072466 386034944 /nfs/dbraw/zinc/03/49/44/386034944.db2.gz QRJKUWWIONFZIV-ZDUSSCGKSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1nocc1CN1CCCC[C@@H]1C(C)C ZINC000335072465 386035196 /nfs/dbraw/zinc/03/51/96/386035196.db2.gz QRJKUWWIONFZIV-CYBMUJFWSA-N 0 3 222.332 2.994 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@@H]3C[C@@]32C)n1 ZINC000414199461 386036037 /nfs/dbraw/zinc/03/60/37/386036037.db2.gz AGPWJSXRYOPVSF-BNOWGMLFSA-N 0 3 233.359 2.571 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC23CCCC3)n1 ZINC000414200146 386038584 /nfs/dbraw/zinc/03/85/84/386038584.db2.gz AWBLPYZHHFNZTR-CYBMUJFWSA-N 0 3 233.359 2.715 20 0 BFADHN Cc1cnn(C)c1CN1CCC[C@H](C(C)C)C1 ZINC000334429056 386039137 /nfs/dbraw/zinc/03/91/37/386039137.db2.gz TVYUXSIEYCASRX-ZDUSSCGKSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1ccc(CNCC2CC(F)(F)C2)o1 ZINC000291759643 386039278 /nfs/dbraw/zinc/03/92/78/386039278.db2.gz RGBUVQIZPZIJSH-UHFFFAOYSA-N 0 3 215.243 2.723 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H](C)c1ccccc1OC ZINC000414203538 386039979 /nfs/dbraw/zinc/03/99/79/386039979.db2.gz GWWFVLVGQIYQCT-YUTCNCBUSA-N 0 3 249.354 2.913 20 0 BFADHN CCC[C@@H](N)c1cn(CCCC(C)(C)C)nn1 ZINC000309995333 386040873 /nfs/dbraw/zinc/04/08/73/386040873.db2.gz VIFSYEFWLKKOSL-LLVKDONJSA-N 0 3 238.379 2.904 20 0 BFADHN CO[C@H](CN1CC[C@H]1c1ccccc1)C1CC1 ZINC000425424126 386043744 /nfs/dbraw/zinc/04/37/44/386043744.db2.gz OQULRFFVHHSWLK-LSDHHAIUSA-N 0 3 231.339 2.858 20 0 BFADHN CO[C@@H](CN1Cc2ccccc2[C@H]1C)C1CC1 ZINC000425423660 386043884 /nfs/dbraw/zinc/04/38/84/386043884.db2.gz OFJFYHMWROXWNF-ABAIWWIYSA-N 0 3 231.339 2.988 20 0 BFADHN CC(C)C1(c2ccccc2)CN(CC(C)(C)O)C1 ZINC000414162673 386045960 /nfs/dbraw/zinc/04/59/60/386045960.db2.gz DROLJMHCYBFFMT-UHFFFAOYSA-N 0 3 247.382 2.667 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)C[C@@H](OC)C1CC1 ZINC000425424819 386046053 /nfs/dbraw/zinc/04/60/53/386046053.db2.gz STJKMKSHODEBAX-LSDHHAIUSA-N 0 3 248.370 2.890 20 0 BFADHN CC[C@H](O)CN1CC(c2ccccc2)(C(C)C)C1 ZINC000414162123 386046513 /nfs/dbraw/zinc/04/65/13/386046513.db2.gz CZYNJIBBZNJCDB-HNNXBMFYSA-N 0 3 247.382 2.667 20 0 BFADHN CO[C@@H](CN1CCc2ccsc2C1)C1CC1 ZINC000425425848 386046567 /nfs/dbraw/zinc/04/65/67/386046567.db2.gz VBMOVEQKJLIFDG-LBPRGKRZSA-N 0 3 237.368 2.531 20 0 BFADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1cn[nH]c1 ZINC000222461385 386047095 /nfs/dbraw/zinc/04/70/95/386047095.db2.gz IJWVKWDSRQMZBE-QMTHXVAHSA-N 0 3 227.311 2.748 20 0 BFADHN CO[C@H](CN1CCc2ccsc2C1)C1CC1 ZINC000425425846 386047195 /nfs/dbraw/zinc/04/71/95/386047195.db2.gz VBMOVEQKJLIFDG-GFCCVEGCSA-N 0 3 237.368 2.531 20 0 BFADHN COC[C@@H](C)N1Cc2ccccc2C(C)(C)C1 ZINC000340233057 386049800 /nfs/dbraw/zinc/04/98/00/386049800.db2.gz XVZYXSXAAFWINO-GFCCVEGCSA-N 0 3 233.355 2.815 20 0 BFADHN CC[C@@H](COC)NCc1ccc2occc2c1 ZINC000286572756 386055140 /nfs/dbraw/zinc/05/51/40/386055140.db2.gz PSOMBKTULAICOO-ZDUSSCGKSA-N 0 3 233.311 2.947 20 0 BFADHN Cc1cc(C)nc(N[C@H]2CSC[C@H]2C)c1 ZINC000295581408 386055237 /nfs/dbraw/zinc/05/52/37/386055237.db2.gz DPHCBCFKYQKZCC-KOLCDFICSA-N 0 3 222.357 2.862 20 0 BFADHN CCOc1ccccc1[C@@H](C)NCCCCO ZINC000233780646 385996607 /nfs/dbraw/zinc/99/66/07/385996607.db2.gz WPLRFVUGGXSULG-GFCCVEGCSA-N 0 3 237.343 2.508 20 0 BFADHN CCOc1ccccc1[C@H](C)NCCCCO ZINC000233779634 385997005 /nfs/dbraw/zinc/99/70/05/385997005.db2.gz WPLRFVUGGXSULG-LBPRGKRZSA-N 0 3 237.343 2.508 20 0 BFADHN CCc1noc(C)c1CN[C@H](C)[C@H]1C[C@H]1C ZINC000414149472 385997029 /nfs/dbraw/zinc/99/70/29/385997029.db2.gz URLTXTBBGMQTER-KKZNHRDASA-N 0 3 222.332 2.680 20 0 BFADHN COC1([C@@H](C)N[C@H](C)c2cccnc2)CCC1 ZINC000414297519 386105817 /nfs/dbraw/zinc/10/58/17/386105817.db2.gz GGDRRGFCTMXWHO-VXGBXAGGSA-N 0 3 234.343 2.690 20 0 BFADHN COC1([C@H](C)N[C@@H](C)c2cnccc2C)CCC1 ZINC000414299731 386108004 /nfs/dbraw/zinc/10/80/04/386108004.db2.gz IFSCAFKVXHIRLL-STQMWFEESA-N 0 3 248.370 2.998 20 0 BFADHN CC(C)Cn1nccc1CN(C)C1CCCC1 ZINC000648000447 386108944 /nfs/dbraw/zinc/10/89/44/386108944.db2.gz KIQWVPIXVVETQG-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN COC1([C@@H](C)N[C@@H](C)c2cnccc2C)CCC1 ZINC000414299729 386109067 /nfs/dbraw/zinc/10/90/67/386109067.db2.gz IFSCAFKVXHIRLL-QWHCGFSZSA-N 0 3 248.370 2.998 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cn(C)nc1C(F)F ZINC000274508152 386111671 /nfs/dbraw/zinc/11/16/71/386111671.db2.gz UJSLKRDFSCMTHA-MRVPVSSYSA-N 0 3 243.301 2.588 20 0 BFADHN Cc1cc(C)c(CNc2nccn2C)c(C)c1 ZINC000414311203 386113528 /nfs/dbraw/zinc/11/35/28/386113528.db2.gz XTMZIMHRKCGVFO-UHFFFAOYSA-N 0 3 229.327 2.957 20 0 BFADHN CCc1ccc(CN2CCC[C@@H]2C)cn1 ZINC000335139202 386115585 /nfs/dbraw/zinc/11/55/85/386115585.db2.gz MMJQQKJLHBILMY-NSHDSACASA-N 0 3 204.317 2.628 20 0 BFADHN c1ccc(C[C@@H]2CN(CC3CCC3)CCO2)cc1 ZINC000345831031 386116533 /nfs/dbraw/zinc/11/65/33/386116533.db2.gz XBGWIMQAVRHOKS-MRXNPFEDSA-N 0 3 245.366 2.730 20 0 BFADHN Cc1cncc([C@H](C)NCc2ccn(C)c2)c1 ZINC000274547027 386118764 /nfs/dbraw/zinc/11/87/64/386118764.db2.gz CSMJIKXPWKOTHK-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN C[C@@H](N[C@H]1CCCc2cn[nH]c21)c1cccnc1 ZINC000334461464 386119490 /nfs/dbraw/zinc/11/94/90/386119490.db2.gz VZYDPPNKMIXOQW-MFKMUULPSA-N 0 3 242.326 2.533 20 0 BFADHN CCn1ccc(CN[C@H]2CCC[C@H]2C2CC2)n1 ZINC000414207034 386058918 /nfs/dbraw/zinc/05/89/18/386058918.db2.gz JIBQWXPGQQOMCS-KBPBESRZSA-N 0 3 233.359 2.571 20 0 BFADHN CC(C)NCc1cccc2c1OC(F)(F)O2 ZINC000414252296 386059116 /nfs/dbraw/zinc/05/91/16/386059116.db2.gz YKDTVEQTFSQRTA-UHFFFAOYSA-N 0 3 229.226 2.506 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H]1CCc2cc(F)ccc21 ZINC000414205674 386059459 /nfs/dbraw/zinc/05/94/59/386059459.db2.gz JCLXFCXFOYHSMV-KFWWJZLASA-N 0 3 249.329 2.970 20 0 BFADHN CCn1ccc(CN[C@@H]2CCCC[C@H]2C2CC2)n1 ZINC000414206195 386060083 /nfs/dbraw/zinc/06/00/83/386060083.db2.gz INCSINXYHKLVMU-LSDHHAIUSA-N 0 3 247.386 2.961 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1ccn(CC)n1 ZINC000414206555 386060462 /nfs/dbraw/zinc/06/04/62/386060462.db2.gz IPSVTUQGVTZJJX-TZMCWYRMSA-N 0 3 235.375 2.961 20 0 BFADHN CCc1cccnc1[C@@H](C)NC1CCC1 ZINC000336771834 386063208 /nfs/dbraw/zinc/06/32/08/386063208.db2.gz XADSZMWIPKVIFU-SNVBAGLBSA-N 0 3 204.317 2.847 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@@H]2C2CC2)n1 ZINC000414207040 386063201 /nfs/dbraw/zinc/06/32/01/386063201.db2.gz JIBQWXPGQQOMCS-ZIAGYGMSSA-N 0 3 233.359 2.571 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1ccnc(C)n1 ZINC000334443508 386064247 /nfs/dbraw/zinc/06/42/47/386064247.db2.gz MIXLLANIGZMZGG-GXFFZTMASA-N 0 3 219.332 2.548 20 0 BFADHN c1ccc2c(c1)C[C@@H]2CN1CCO[C@@H]2CCC[C@H]21 ZINC000286688024 386066273 /nfs/dbraw/zinc/06/62/73/386066273.db2.gz BKNJMBDXYGVLMA-FVQBIDKESA-N 0 3 243.350 2.580 20 0 BFADHN CC[C@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccn(C)n1 ZINC000414213908 386067895 /nfs/dbraw/zinc/06/78/95/386067895.db2.gz SAZXHMZWSWSOOP-MROQNXINSA-N 0 3 233.359 2.649 20 0 BFADHN CC[C@@H](NCc1ccn(CC)n1)C1CCC1 ZINC000414215655 386069937 /nfs/dbraw/zinc/06/99/37/386069937.db2.gz YCANXNZUYNCATF-CYBMUJFWSA-N 0 3 221.348 2.571 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H](C)c1ccc(C)o1 ZINC000414214793 386070275 /nfs/dbraw/zinc/07/02/75/386070275.db2.gz TXJPRAFZFAHRRR-UTUOFQBUSA-N 0 3 223.316 2.806 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H](C)c1ccsc1 ZINC000414217255 386072311 /nfs/dbraw/zinc/07/23/11/386072311.db2.gz XWLQZUZJUIFJTJ-JLLWLGSASA-N 0 3 225.357 2.966 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000414216689 386073160 /nfs/dbraw/zinc/07/31/60/386073160.db2.gz WXRSBIKQMXTPFW-NDBYEHHHSA-N 0 3 231.343 2.624 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2CS[C@@H](C)C2)c1 ZINC000414223971 386075531 /nfs/dbraw/zinc/07/55/31/386075531.db2.gz HAPXOXTXGJTJPL-GVXVVHGQSA-N 0 3 236.384 2.935 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1cnccc1C(C)(C)C ZINC000414225234 386076329 /nfs/dbraw/zinc/07/63/29/386076329.db2.gz INTSKCWRRKXUNM-FZMZJTMJSA-N 0 3 248.370 2.646 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1cccc(C2CC2)c1 ZINC000643292728 386077110 /nfs/dbraw/zinc/07/71/10/386077110.db2.gz ADBPJBVADLNKEX-HUUCEWRRSA-N 0 3 231.339 2.831 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1C[C@H]1C)c1nccs1 ZINC000414229399 386077575 /nfs/dbraw/zinc/07/75/75/386077575.db2.gz ZZQRDIAHXGTMBU-KATARQTJSA-N 0 3 210.346 2.838 20 0 BFADHN COC[C@@H](C)NCc1cnccc1C(C)(C)C ZINC000414230469 386077736 /nfs/dbraw/zinc/07/77/36/386077736.db2.gz OCFFYXOZOKMEQN-LLVKDONJSA-N 0 3 236.359 2.504 20 0 BFADHN COC1([C@H](C)N[C@H](C)c2ccccn2)CCC1 ZINC000414302210 386080760 /nfs/dbraw/zinc/08/07/60/386080760.db2.gz KHCOLCNACQKCGE-NEPJUHHUSA-N 0 3 234.343 2.690 20 0 BFADHN CN(CCCC1CCOCC1)Cc1ccccn1 ZINC000274199216 386082246 /nfs/dbraw/zinc/08/22/46/386082246.db2.gz KJJLIBKSXZYBOL-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2C[C@@H](C)O[C@H]2C)c1 ZINC000414268530 386086366 /nfs/dbraw/zinc/08/63/66/386086366.db2.gz UQLROUPYJJEOTD-RFGFWPKPSA-N 0 3 248.370 2.854 20 0 BFADHN CC1=CC[C@@H](NCc2ccc(F)cn2)CC1 ZINC000334449332 386086842 /nfs/dbraw/zinc/08/68/42/386086842.db2.gz JSVBQOXIVVXYJL-GFCCVEGCSA-N 0 3 220.291 2.809 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ccn(C)n1)CC(F)(F)F ZINC000414272508 386089361 /nfs/dbraw/zinc/08/93/61/386089361.db2.gz RWIPUIPBXSFILZ-BDAKNGLRSA-N 0 3 249.280 2.659 20 0 BFADHN Cc1cncc([C@H](C)NC[C@@H]2CCCCO2)c1 ZINC000274343700 386090653 /nfs/dbraw/zinc/09/06/53/386090653.db2.gz BHJGKNISBFZYCG-JSGCOSHPSA-N 0 3 234.343 2.610 20 0 BFADHN Cc1cncc([C@@H](C)NC[C@H]2CCCCO2)c1 ZINC000274343704 386091151 /nfs/dbraw/zinc/09/11/51/386091151.db2.gz BHJGKNISBFZYCG-TZMCWYRMSA-N 0 3 234.343 2.610 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H](C)O[C@@H]1C)c1ccccn1 ZINC000414282768 386093801 /nfs/dbraw/zinc/09/38/01/386093801.db2.gz OJXPRIZJXZHQEA-YVECIDJPSA-N 0 3 234.343 2.546 20 0 BFADHN C[C@@H](NC[C@H]1C[C@@H](C)O[C@@H]1C)c1ccccn1 ZINC000414282763 386094179 /nfs/dbraw/zinc/09/41/79/386094179.db2.gz OJXPRIZJXZHQEA-FDYHWXHSSA-N 0 3 234.343 2.546 20 0 BFADHN C[C@H](NC[C@H]1CCCSC1)c1ccccn1 ZINC000287142842 386097882 /nfs/dbraw/zinc/09/78/82/386097882.db2.gz SIZLANPEBGGVLW-NWDGAFQWSA-N 0 3 236.384 2.875 20 0 BFADHN Cc1cccc(CN2CCC[C@@H]2[C@@H]2CCCO2)n1 ZINC000334457938 386098167 /nfs/dbraw/zinc/09/81/67/386098167.db2.gz QGCLPCUQFMQAOL-CABCVRRESA-N 0 3 246.354 2.533 20 0 BFADHN CC[C@H](N[C@H](C)c1nccs1)[C@@H](O)C(C)C ZINC000414287356 386098528 /nfs/dbraw/zinc/09/85/28/386098528.db2.gz DRSBMCXIJHTROD-VWYCJHECSA-N 0 3 242.388 2.589 20 0 BFADHN CC[C@H](N[C@@H](C)c1nccs1)[C@@H](O)C(C)C ZINC000414287351 386099468 /nfs/dbraw/zinc/09/94/68/386099468.db2.gz DRSBMCXIJHTROD-DCAQKATOSA-N 0 3 242.388 2.589 20 0 BFADHN CO[C@@H](CN1CCc2cc(F)ccc2C1)C1CC1 ZINC000425452281 386099832 /nfs/dbraw/zinc/09/98/32/386099832.db2.gz CVHKXIXMJVXLFA-HNNXBMFYSA-N 0 3 249.329 2.609 20 0 BFADHN CCCCN(CC)Cc1cncc(F)c1 ZINC000274398233 386099994 /nfs/dbraw/zinc/09/99/94/386099994.db2.gz XGIBJDKOZVUURI-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]2C2CCCCC2)nn1 ZINC000414356502 386144286 /nfs/dbraw/zinc/14/42/86/386144286.db2.gz DXYDAUTYEVSGMS-LSDHHAIUSA-N 0 3 245.370 2.843 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2cc(C)n(C)n2)C1 ZINC000414358943 386145828 /nfs/dbraw/zinc/14/58/28/386145828.db2.gz NFMHXDNSADORGG-QWHCGFSZSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@H]3CCC[C@@H]3C2)nn1 ZINC000414358189 386146508 /nfs/dbraw/zinc/14/65/08/386146508.db2.gz LHDNGOZJFUWSFX-MGPQQGTHSA-N 0 3 245.370 2.843 20 0 BFADHN Cc1sccc1CN1C[C@@H]2C[C@H]1CS2 ZINC000335155660 386150120 /nfs/dbraw/zinc/15/01/20/386150120.db2.gz NIATURVACZICIH-QWRGUYRKSA-N 0 3 225.382 2.746 20 0 BFADHN CC[C@@H](NCc1cnc(C)cn1)[C@H]1CC1(C)C ZINC000397657294 386156501 /nfs/dbraw/zinc/15/65/01/386156501.db2.gz NCMCFNBCIGEZCO-CHWSQXEVSA-N 0 3 233.359 2.699 20 0 BFADHN C[C@@H](CC1CCCC1)N[C@H]1CNCCC1(F)F ZINC000414993966 386161574 /nfs/dbraw/zinc/16/15/74/386161574.db2.gz CUOJGNFNLDNFLF-JQWIXIFHSA-N 0 3 246.345 2.542 20 0 BFADHN C[C@H](CCCO)N[C@H](C)c1cccc(F)c1F ZINC000225000409 386162950 /nfs/dbraw/zinc/16/29/50/386162950.db2.gz YGNUIEZATZPHRF-NXEZZACHSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@]1(CO)CCCN(Cc2ccsc2)C1 ZINC000190226103 386163037 /nfs/dbraw/zinc/16/30/37/386163037.db2.gz BMDFVILBZZGYDL-CYBMUJFWSA-N 0 3 239.384 2.733 20 0 BFADHN CCN(C)Cc1cn(-c2cccc(F)c2)nc1C ZINC000414371313 386165409 /nfs/dbraw/zinc/16/54/09/386165409.db2.gz NFEQOYJFLNPFAY-UHFFFAOYSA-N 0 3 247.317 2.772 20 0 BFADHN Cc1ccncc1CNC[C@@H](C)c1nccs1 ZINC000235460438 386165564 /nfs/dbraw/zinc/16/55/64/386165564.db2.gz OBCZFRHUATWPPT-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN COC(=O)CN(C[C@@H]1CCCC[C@@H]1C)C(C)C ZINC000346140208 386166541 /nfs/dbraw/zinc/16/65/41/386166541.db2.gz XGMAMMDDZCZANO-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN O=C1CCC(CN2CCc3ccsc3C2)CC1 ZINC000296373949 386168696 /nfs/dbraw/zinc/16/86/96/386168696.db2.gz SWIYLTOYDMEVML-UHFFFAOYSA-N 0 3 249.379 2.866 20 0 BFADHN CC[C@H](c1cccc(OC)c1)N(C)CCOC ZINC000275011390 386169711 /nfs/dbraw/zinc/16/97/11/386169711.db2.gz NISMPDCYGFPFAU-CQSZACIVSA-N 0 3 237.343 2.725 20 0 BFADHN CCCCN(C)C(=O)Nc1cccc(CNC)c1 ZINC000415925522 386172288 /nfs/dbraw/zinc/17/22/88/386172288.db2.gz AWXRSHXNZAVCIU-UHFFFAOYSA-N 0 3 249.358 2.670 20 0 BFADHN CO[C@@H]1CCC[C@@H]1CN[C@H](C)c1nccs1 ZINC000336730149 386175508 /nfs/dbraw/zinc/17/55/08/386175508.db2.gz IEUFCYKFISIQSJ-GMTAPVOTSA-N 0 3 240.372 2.609 20 0 BFADHN CN(Cc1ccsc1)[C@@H]1CCSC1 ZINC000130627276 386178348 /nfs/dbraw/zinc/17/83/48/386178348.db2.gz XCHIYXOTGRPHEM-SNVBAGLBSA-N 0 3 213.371 2.685 20 0 BFADHN COC(C)(C)CCN1Cc2ccccc2C1 ZINC000346241191 386182659 /nfs/dbraw/zinc/18/26/59/386182659.db2.gz NPCOJFWLDILSOK-UHFFFAOYSA-N 0 3 219.328 2.817 20 0 BFADHN Fc1cc(CNC(C2CC2)C2CC2)c(F)cn1 ZINC000296574158 386184290 /nfs/dbraw/zinc/18/42/90/386184290.db2.gz WIPWPMSCFJVJJN-UHFFFAOYSA-N 0 3 238.281 2.638 20 0 BFADHN CCCCN(C(=O)[C@H](N)CCC)c1ccccc1 ZINC000225352949 386187311 /nfs/dbraw/zinc/18/73/11/386187311.db2.gz CEKPETFVEQQUHO-CQSZACIVSA-N 0 3 248.370 2.947 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1c1ccco1)c1ccccn1 ZINC000414334397 386126071 /nfs/dbraw/zinc/12/60/71/386126071.db2.gz IIYOYZDHPLRULM-NQBHXWOUSA-N 0 3 228.295 2.881 20 0 BFADHN CC[C@@H](CO)N(C)Cc1csc(C)c1C ZINC000639213636 386126825 /nfs/dbraw/zinc/12/68/25/386126825.db2.gz KBTTUIUOGLCDFR-LBPRGKRZSA-N 0 3 227.373 2.568 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1c1ccco1)c1cccnc1 ZINC000414337139 386129229 /nfs/dbraw/zinc/12/92/29/386129229.db2.gz KMWWUUZZFNLGLG-CYZMBNFOSA-N 0 3 228.295 2.881 20 0 BFADHN C[C@@H](NCCCC1CCOCC1)c1ccccn1 ZINC000274634281 386131401 /nfs/dbraw/zinc/13/14/01/386131401.db2.gz IQZRWTVZBOUMAY-CYBMUJFWSA-N 0 3 248.370 2.939 20 0 BFADHN CCO[C@@H]1C[C@H](N[C@@H]2CCC[C@@H]2F)C12CCC2 ZINC000340527232 386133254 /nfs/dbraw/zinc/13/32/54/386133254.db2.gz RPQWNJOBFCNPDB-QNWHQSFQSA-N 0 3 241.350 2.814 20 0 BFADHN Cc1cccc(O)c1NC(=O)C(C)C(F)(F)F ZINC000334464708 386134509 /nfs/dbraw/zinc/13/45/09/386134509.db2.gz BWKCBHGLOYOCHV-SSDOTTSWSA-N 0 3 247.216 2.838 20 0 BFADHN CCOc1ccc(CNCCOCC2CC2)cc1 ZINC000224533034 386142272 /nfs/dbraw/zinc/14/22/72/386142272.db2.gz VMPJSSMAWJRQDC-UHFFFAOYSA-N 0 3 249.354 2.602 20 0 BFADHN CO[C@@H]1CCCC[C@H]1NCc1ccoc1C ZINC000414356670 386142529 /nfs/dbraw/zinc/14/25/29/386142529.db2.gz GBAFUZLHYHQJKY-CHWSQXEVSA-N 0 3 223.316 2.635 20 0 BFADHN C/C(=C\c1ccccc1)CN1CCN(C)C[C@@H]1C ZINC000334471278 386143725 /nfs/dbraw/zinc/14/37/25/386143725.db2.gz GSSDUQCOGVQUEE-GOFCXVBSSA-N 0 3 244.382 2.726 20 0 BFADHN Cc1cc(CNC2C[C@@H](C)C[C@H](C)C2)nn1C ZINC000414356524 386143988 /nfs/dbraw/zinc/14/39/88/386143988.db2.gz FAIQIICUUKFLII-QWRGUYRKSA-N 0 3 235.375 2.643 20 0 BFADHN Fc1ccc2c(c1)C[C@@H](NCc1ccco1)CO2 ZINC000288438946 386201726 /nfs/dbraw/zinc/20/17/26/386201726.db2.gz CBXUESPLVPEGES-GFCCVEGCSA-N 0 3 247.269 2.512 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cccnc2N)C1 ZINC000296835850 386204899 /nfs/dbraw/zinc/20/48/99/386204899.db2.gz SJULABQXGZVUGF-GFCCVEGCSA-N 0 3 233.359 2.676 20 0 BFADHN CC[C@]1(O)CCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC000336109190 386206298 /nfs/dbraw/zinc/20/62/98/386206298.db2.gz SMQLTOYFXKIVMP-CABCVRRESA-N 0 3 249.329 2.660 20 0 BFADHN COc1nc(C)cc(C)c1CN1CC[C@@H](C)[C@H]1C ZINC000347051525 386206648 /nfs/dbraw/zinc/20/66/48/386206648.db2.gz KRDHKWPDCZKKTJ-ZWNOBZJWSA-N 0 3 248.370 2.937 20 0 BFADHN Nc1c(F)cccc1CN[C@@H]1CCC(F)(F)C1 ZINC000310576968 386207620 /nfs/dbraw/zinc/20/76/20/386207620.db2.gz PXJZXORAUQONNQ-SECBINFHSA-N 0 3 244.260 2.685 20 0 BFADHN COC[C@]1(C)CCN([C@@H](C)c2ccccn2)C1 ZINC000296885773 386210792 /nfs/dbraw/zinc/21/07/92/386210792.db2.gz VFZCPLFUGIVJTI-GXTWGEPZSA-N 0 3 234.343 2.501 20 0 BFADHN CCc1ccc([C@H](C)NCc2n[nH]c(C)n2)cc1 ZINC000292665652 386211253 /nfs/dbraw/zinc/21/12/53/386211253.db2.gz BHQWIGVCDSAJJZ-JTQLQIEISA-N 0 3 244.342 2.526 20 0 BFADHN CCC1(N[C@H](C)c2cncc(F)c2)CC1 ZINC000296945503 386212751 /nfs/dbraw/zinc/21/27/51/386212751.db2.gz XSFAEYSZNYVZLF-SECBINFHSA-N 0 3 208.280 2.814 20 0 BFADHN CCN(Cc1ccc(C)nn1)[C@@H](C)C(C)C ZINC000414380956 386218242 /nfs/dbraw/zinc/21/82/42/386218242.db2.gz LVOYJLPMNSJCCG-LBPRGKRZSA-N 0 3 221.348 2.651 20 0 BFADHN CN(Cc1ccccn1)C1Cc2ccccc2C1 ZINC000264283022 386223713 /nfs/dbraw/zinc/22/37/13/386223713.db2.gz PHWLIJGXNMWKHW-UHFFFAOYSA-N 0 3 238.334 2.681 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cnccc2OC)C1 ZINC000347039832 386225851 /nfs/dbraw/zinc/22/58/51/386225851.db2.gz XCASIZUXIQQZBE-AWEZNQCLSA-N 0 3 234.343 2.712 20 0 BFADHN CCC[C@]1(CO)CCN([C@@H](C)c2cccnc2)C1 ZINC000288690678 386227057 /nfs/dbraw/zinc/22/70/57/386227057.db2.gz DCUPHRIHJMEJBI-ZFWWWQNUSA-N 0 3 248.370 2.627 20 0 BFADHN CC(C)CCCN[C@@H](CO)c1ccc(F)cc1 ZINC000275639775 386227370 /nfs/dbraw/zinc/22/73/70/386227370.db2.gz BGPJBFDLORVDCF-AWEZNQCLSA-N 0 3 239.334 2.885 20 0 BFADHN Cc1cc(C)cc(CN2CC([C@@H]3CCOC3)C2)c1 ZINC000366251896 386227513 /nfs/dbraw/zinc/22/75/13/386227513.db2.gz XENBABZUEFGSLA-OAHLLOKOSA-N 0 3 245.366 2.772 20 0 BFADHN CCOCCN1CC[C@H](c2ccccc2F)C1 ZINC000264360155 386228568 /nfs/dbraw/zinc/22/85/68/386228568.db2.gz FECCAPVMUKLKKG-LBPRGKRZSA-N 0 3 237.318 2.652 20 0 BFADHN CCc1ncc(CN(CC)[C@@H](C)C(C)C)cn1 ZINC000414383029 386229609 /nfs/dbraw/zinc/22/96/09/386229609.db2.gz QFFLPXABBOHIOZ-LBPRGKRZSA-N 0 3 235.375 2.905 20 0 BFADHN FC1(F)CCNC[C@@H]1NCC1CCCCCC1 ZINC000415000664 386230323 /nfs/dbraw/zinc/23/03/23/386230323.db2.gz LJAYEVJBUNDKAR-LBPRGKRZSA-N 0 3 246.345 2.544 20 0 BFADHN C[C@H](NC1CC(C)(F)C1)c1ccccn1 ZINC000335374834 386230619 /nfs/dbraw/zinc/23/06/19/386230619.db2.gz VNELNFXCSWIYLE-BMQDGWLCSA-N 0 3 208.280 2.623 20 0 BFADHN CCc1ccc([C@H](C)NCC[C@@H](C)CCO)o1 ZINC000297163774 386231576 /nfs/dbraw/zinc/23/15/76/386231576.db2.gz CZYDECUTRAYJQL-NEPJUHHUSA-N 0 3 239.359 2.901 20 0 BFADHN c1ccc(C[C@@H]2CCN2CCOC2CCC2)cc1 ZINC000347048156 386231966 /nfs/dbraw/zinc/23/19/66/386231966.db2.gz IADDYMCNQJOFMV-HNNXBMFYSA-N 0 3 245.366 2.873 20 0 BFADHN COc1ccncc1CN(C)[C@H]1CC[C@H](C)C1 ZINC000347115558 386232636 /nfs/dbraw/zinc/23/26/36/386232636.db2.gz AOOGRFLMDCFBTG-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ccc(F)cc1CN1CC([C@@H]2CCOC2)C1 ZINC000366254560 386235243 /nfs/dbraw/zinc/23/52/43/386235243.db2.gz ZFUZAOMHPMWWPQ-GFCCVEGCSA-N 0 3 249.329 2.602 20 0 BFADHN C[C@@H](NC[C@H]1CCC(F)(F)C1)c1ccncn1 ZINC000453205954 386235681 /nfs/dbraw/zinc/23/56/81/386235681.db2.gz DJNYNUYHBSHFMP-ZJUUUORDSA-N 0 3 241.285 2.563 20 0 BFADHN CC[C@H](O)CN(Cc1ccccc1F)C(C)C ZINC000264437196 386236635 /nfs/dbraw/zinc/23/66/35/386236635.db2.gz LSPBNHQLXQNKJY-ZDUSSCGKSA-N 0 3 239.334 2.807 20 0 BFADHN CCc1ncc(CN2CC[C@@H](CC(C)C)C2)cn1 ZINC000414385713 386240352 /nfs/dbraw/zinc/24/03/52/386240352.db2.gz FYPHBWJHUWGCEA-ZDUSSCGKSA-N 0 3 247.386 2.907 20 0 BFADHN CCC[C@](C)(O)CNCc1cc(F)ccc1F ZINC000226251485 386242561 /nfs/dbraw/zinc/24/25/61/386242561.db2.gz XNDOSABDJRRQSV-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN CCc1ncc(CN(C)C(C)(C)CC)cn1 ZINC000414389493 386246903 /nfs/dbraw/zinc/24/69/03/386246903.db2.gz RIEMEBSIDNGQEU-UHFFFAOYSA-N 0 3 221.348 2.659 20 0 BFADHN CC(C)CC[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000275882538 386249513 /nfs/dbraw/zinc/24/95/13/386249513.db2.gz QNTMEVMRWPECAK-CYBMUJFWSA-N 0 3 244.342 2.530 20 0 BFADHN CC(C)CCN[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000275882538 386249518 /nfs/dbraw/zinc/24/95/18/386249518.db2.gz QNTMEVMRWPECAK-CYBMUJFWSA-N 0 3 244.342 2.530 20 0 BFADHN Cc1occc1CN1CCC2(CCC2)C1 ZINC000414390674 386251399 /nfs/dbraw/zinc/25/13/99/386251399.db2.gz AMWMEEYFGFHKEV-UHFFFAOYSA-N 0 3 205.301 2.964 20 0 BFADHN CC[C@H](O)CN(C)C/C(C)=C\c1ccccc1 ZINC000339126561 386251492 /nfs/dbraw/zinc/25/14/92/386251492.db2.gz REAXJEYDJXKUNX-WVUXNXBLSA-N 0 3 233.355 2.793 20 0 BFADHN CCc1ccc(CN(C)CC[C@H]2CCCO2)nc1 ZINC000339115175 386255553 /nfs/dbraw/zinc/25/55/53/386255553.db2.gz BKDKULKAPSSMDT-OAHLLOKOSA-N 0 3 248.370 2.645 20 0 BFADHN Cc1ccc2c(c1)CCN(CCOC1CCC1)C2 ZINC000347189043 386255932 /nfs/dbraw/zinc/25/59/32/386255932.db2.gz GATKDECZHFWAQS-UHFFFAOYSA-N 0 3 245.366 2.922 20 0 BFADHN CCc1ccc(CN2CC[C@H](C)[C@@H](OC)C2)nc1 ZINC000339112887 386256345 /nfs/dbraw/zinc/25/63/45/386256345.db2.gz WMFVROZGXQAQSX-WFASDCNBSA-N 0 3 248.370 2.501 20 0 BFADHN C[C@H](CF)NCCc1cccc(Cl)c1 ZINC000297437266 386257487 /nfs/dbraw/zinc/25/74/87/386257487.db2.gz NUKLROGPEWUXEA-SECBINFHSA-N 0 3 215.699 2.830 20 0 BFADHN Cc1cnc(CNCCC2(C)CC2)s1 ZINC000296691989 386195276 /nfs/dbraw/zinc/19/52/76/386195276.db2.gz HOPBJFWEMVYCAO-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1NC[C@@H]1CCSC1 ZINC000288417562 386197112 /nfs/dbraw/zinc/19/71/12/386197112.db2.gz AUSJKOAXGIDCAQ-MJVIPROJSA-N 0 3 249.379 2.851 20 0 BFADHN CCc1ccc(CN2CCC[C@@H](COC)C2)nc1 ZINC000338994700 386197288 /nfs/dbraw/zinc/19/72/88/386197288.db2.gz LDLANFUWPGWHAN-CQSZACIVSA-N 0 3 248.370 2.502 20 0 BFADHN CCc1ccc(CN2CCC(COC)CC2)nc1 ZINC000338993837 386197962 /nfs/dbraw/zinc/19/79/62/386197962.db2.gz OLFVAAIAADOEKG-UHFFFAOYSA-N 0 3 248.370 2.502 20 0 BFADHN C[C@H](NCCOCC1CC1)c1ccc(F)cc1 ZINC000226370024 386284649 /nfs/dbraw/zinc/28/46/49/386284649.db2.gz JAVIBYCSUPKERT-NSHDSACASA-N 0 3 237.318 2.903 20 0 BFADHN CSCCCN(C)CCc1c(C)noc1C ZINC000336663388 386285017 /nfs/dbraw/zinc/28/50/17/386285017.db2.gz BUAMBEYGSMOZOS-UHFFFAOYSA-N 0 3 242.388 2.519 20 0 BFADHN CN(CCC(C)(C)C)Cc1ccccn1 ZINC000276223020 386286132 /nfs/dbraw/zinc/28/61/32/386286132.db2.gz MJDBKBCKMGSNTH-UHFFFAOYSA-N 0 3 206.333 2.950 20 0 BFADHN COC(C)(C)CCN(C)Cc1cnc(C)s1 ZINC000336664662 386287418 /nfs/dbraw/zinc/28/74/18/386287418.db2.gz GJSGUMTZGKNMOM-UHFFFAOYSA-N 0 3 242.388 2.698 20 0 BFADHN COC(C)(C)CCN(C)Cc1scnc1C ZINC000336667700 386295945 /nfs/dbraw/zinc/29/59/45/386295945.db2.gz MTPJELHNIZPHFK-UHFFFAOYSA-N 0 3 242.388 2.698 20 0 BFADHN CN(CCCc1ccncc1)CCC(F)(F)F ZINC000347304263 386304855 /nfs/dbraw/zinc/30/48/55/386304855.db2.gz SFWDYDHFABRHMJ-UHFFFAOYSA-N 0 3 246.276 2.898 20 0 BFADHN C[C@@]12CCN(Cc3cccnc3)C[C@@H]1C2(F)F ZINC000298188901 386305647 /nfs/dbraw/zinc/30/56/47/386305647.db2.gz BBHCOHWWRUFMDU-NWDGAFQWSA-N 0 3 238.281 2.559 20 0 BFADHN C[C@]12CCN(Cc3cccnc3)C[C@H]1C2(F)F ZINC000298188899 386306181 /nfs/dbraw/zinc/30/61/81/386306181.db2.gz BBHCOHWWRUFMDU-NEPJUHHUSA-N 0 3 238.281 2.559 20 0 BFADHN CCCCN(C(=O)CNC)[C@@H](C)c1ccccc1 ZINC000236739869 386309197 /nfs/dbraw/zinc/30/91/97/386309197.db2.gz NRYUXXZRQAOEEN-ZDUSSCGKSA-N 0 3 248.370 2.596 20 0 BFADHN C[C@@H](N[C@@H]1CNCCC1(F)F)C1CCCCC1 ZINC000415005841 386311119 /nfs/dbraw/zinc/31/11/19/386311119.db2.gz SDALCIIAYXVAII-ZYHUDNBSSA-N 0 3 246.345 2.542 20 0 BFADHN CC(C)(C)C1=CCN(CCc2cn[nH]c2)CC1 ZINC000298235978 386311381 /nfs/dbraw/zinc/31/13/81/386311381.db2.gz BTCXPSWFQZBQEE-UHFFFAOYSA-N 0 3 233.359 2.630 20 0 BFADHN COc1cc(CN2CC[C@@H](C)C[C@H]2C)ccn1 ZINC000425526927 386313076 /nfs/dbraw/zinc/31/30/76/386313076.db2.gz YIHPIFPVIQCCPZ-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](CO)N(C)Cc1ccc(-c2ccccc2)o1 ZINC000289482786 386314339 /nfs/dbraw/zinc/31/43/39/386314339.db2.gz QRDWCMQVSKRLGX-GFCCVEGCSA-N 0 3 245.322 2.759 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1ccc(F)cn1 ZINC000276407619 386317080 /nfs/dbraw/zinc/31/70/80/386317080.db2.gz VJCNKEIKXOJAPD-ZDUSSCGKSA-N 0 3 222.307 2.841 20 0 BFADHN CCN(C(=O)Nc1ccc2c(c1)CNC2)C(C)C ZINC000335262605 386322386 /nfs/dbraw/zinc/32/23/86/386322386.db2.gz IPFGQZGRMUHTNJ-UHFFFAOYSA-N 0 3 247.342 2.552 20 0 BFADHN c1coc(CN2CCC[C@@]3(CCCOC3)C2)c1 ZINC000334483227 386322983 /nfs/dbraw/zinc/32/29/83/386322983.db2.gz XXZCFBSGEIYBAI-CQSZACIVSA-N 0 3 235.327 2.672 20 0 BFADHN CCc1ccc(CN2CCC[C@](C)(OC)C2)nc1 ZINC000339140713 386261859 /nfs/dbraw/zinc/26/18/59/386261859.db2.gz MKWREKQEYMMWLO-HNNXBMFYSA-N 0 3 248.370 2.645 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccc2occc2c1 ZINC000289143823 386265158 /nfs/dbraw/zinc/26/51/58/386265158.db2.gz PQBVQOGEXUHWAC-LLVKDONJSA-N 0 3 233.311 2.900 20 0 BFADHN CCOC[C@H]1CCCN(Cc2ccoc2C)C1 ZINC000414391627 386265341 /nfs/dbraw/zinc/26/53/41/386265341.db2.gz DBXYBOFLDWODKB-ZDUSSCGKSA-N 0 3 237.343 2.837 20 0 BFADHN CSCCN(C)[C@H]1C[C@@H]1c1ccccc1 ZINC000336651247 386265376 /nfs/dbraw/zinc/26/53/76/386265376.db2.gz UVABGKJSPQCJTP-OLZOCXBDSA-N 0 3 221.369 2.837 20 0 BFADHN C[C@H](O)CCCN1Cc2ccccc2[C@H]1C ZINC000336654824 386271394 /nfs/dbraw/zinc/27/13/94/386271394.db2.gz KKBCJYOYTYQFQU-NWDGAFQWSA-N 0 3 219.328 2.724 20 0 BFADHN Cc1ccc(CN2CC[C@@H](O)C(C)(C)C2)cc1C ZINC000276103990 386273719 /nfs/dbraw/zinc/27/37/19/386273719.db2.gz ZIZJIINJCPCBAE-OAHLLOKOSA-N 0 3 247.382 2.896 20 0 BFADHN CO[C@H](C)CN(C)C/C=C/c1ccc(F)cc1 ZINC000289228668 386275510 /nfs/dbraw/zinc/27/55/10/386275510.db2.gz CVNVBRFJSCZDOM-ZYOFXKKJSA-N 0 3 237.318 2.806 20 0 BFADHN CCc1ccc(CN2CCCO[C@@H](CC)C2)nc1 ZINC000339155327 386275884 /nfs/dbraw/zinc/27/58/84/386275884.db2.gz DLZIXJKXQUAGKD-HNNXBMFYSA-N 0 3 248.370 2.645 20 0 BFADHN c1onc(Cc2ccccc2)c1CNC1CC1 ZINC000339175580 386277159 /nfs/dbraw/zinc/27/71/59/386277159.db2.gz WPNIIZABQCXEGH-UHFFFAOYSA-N 0 3 228.295 2.517 20 0 BFADHN Cc1ncc(CNCCC2=CCCCC2)cn1 ZINC000339278569 386339990 /nfs/dbraw/zinc/33/99/90/386339990.db2.gz INCBRZYGMQINSV-UHFFFAOYSA-N 0 3 231.343 2.765 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H](C)C1(C)CC1 ZINC000164836648 386343006 /nfs/dbraw/zinc/34/30/06/386343006.db2.gz UOSXHTJFCBPAEG-GFCCVEGCSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1noc([C@@H]2CCCN2C[C@H]2CC=CCC2)n1 ZINC000289749950 386349203 /nfs/dbraw/zinc/34/92/03/386349203.db2.gz JDMYCMJMQCXVJG-STQMWFEESA-N 0 3 247.342 2.871 20 0 BFADHN COC[C@H]1CCN1C[C@H]1CCCC(F)(F)C1 ZINC000339292824 386349480 /nfs/dbraw/zinc/34/94/80/386349480.db2.gz FMGYOWSNORCSOV-WDEREUQCSA-N 0 3 233.302 2.533 20 0 BFADHN CCCc1ccc(CN2CC[C@@H]2COC)cc1 ZINC000339293172 386349836 /nfs/dbraw/zinc/34/98/36/386349836.db2.gz JPTCAIUPFJJPKH-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN COC(C)(C)CCN(C)[C@H](C)c1cccnc1 ZINC000336700106 386386461 /nfs/dbraw/zinc/38/64/61/386386461.db2.gz ZQECMHRASRLUEH-GFCCVEGCSA-N 0 3 236.359 2.890 20 0 BFADHN COC[C@H]1CCN1C[C@@H]1CCCC(F)(F)C1 ZINC000339292817 386350574 /nfs/dbraw/zinc/35/05/74/386350574.db2.gz FMGYOWSNORCSOV-GHMZBOCLSA-N 0 3 233.302 2.533 20 0 BFADHN Cc1ccc(CN(C)CCC[C@H](C)O)s1 ZINC000336688508 386352434 /nfs/dbraw/zinc/35/24/34/386352434.db2.gz LTJNTXGLCWSCTE-JTQLQIEISA-N 0 3 227.373 2.649 20 0 BFADHN COC[C@H]1CCN1Cc1cc(C)cc(C)c1 ZINC000339282746 386352812 /nfs/dbraw/zinc/35/28/12/386352812.db2.gz IUPKRICMDAKJGS-CQSZACIVSA-N 0 3 219.328 2.524 20 0 BFADHN C[C@@H](CCO)NCc1ccc(-c2ccccc2)o1 ZINC000265643858 386355703 /nfs/dbraw/zinc/35/57/03/386355703.db2.gz JSYSOQPGPVMKSM-LBPRGKRZSA-N 0 3 245.322 2.807 20 0 BFADHN Cc1cnc(CN(CC(C)C)C(C)C)cn1 ZINC000336684727 386357400 /nfs/dbraw/zinc/35/74/00/386357400.db2.gz UOZHNUCCYGYXHF-UHFFFAOYSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1occc1CN1CC[C@@H](OCC(C)C)C1 ZINC000414417327 386360176 /nfs/dbraw/zinc/36/01/76/386360176.db2.gz XIIPTOHMEUXILF-CQSZACIVSA-N 0 3 237.343 2.835 20 0 BFADHN C[C@@H](CCC1CC1)N[C@@H](C)c1ccon1 ZINC000336774725 386364415 /nfs/dbraw/zinc/36/44/15/386364415.db2.gz ZOEZSHIBFILMDT-UWVGGRQHSA-N 0 3 208.305 2.904 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H](C)c1ccccc1 ZINC000289879091 386368481 /nfs/dbraw/zinc/36/84/81/386368481.db2.gz MFRRMZJSFGRMOO-LLVKDONJSA-N 0 3 229.327 2.611 20 0 BFADHN COc1ccc(CCN2CC[C@](C)(F)C2)cc1 ZINC000347370780 386369072 /nfs/dbraw/zinc/36/90/72/386369072.db2.gz JVBICGVMYDWXJF-AWEZNQCLSA-N 0 3 237.318 2.672 20 0 BFADHN CC[C@@H](CO)N[C@@H](C)c1nccc2ccccc21 ZINC000289949238 386373074 /nfs/dbraw/zinc/37/30/74/386373074.db2.gz WNGSAUQDIBGEDI-AAEUAGOBSA-N 0 3 244.338 2.656 20 0 BFADHN C[C@@H](NCc1ncccc1N(C)C)C1CCCC1 ZINC000289949675 386373562 /nfs/dbraw/zinc/37/35/62/386373562.db2.gz WJJCSJKXQVGXCN-GFCCVEGCSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@H](CO)CN[C@@H](C)c1nccc2ccccc21 ZINC000289929961 386374860 /nfs/dbraw/zinc/37/48/60/386374860.db2.gz VQBVQVHNHZCILY-RYUDHWBXSA-N 0 3 244.338 2.514 20 0 BFADHN COC[C@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC000265824171 386374975 /nfs/dbraw/zinc/37/49/75/386374975.db2.gz SKYKSGKYLVPSGV-NEPJUHHUSA-N 0 3 237.318 2.855 20 0 BFADHN COC[C@@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC000265824172 386376289 /nfs/dbraw/zinc/37/62/89/386376289.db2.gz SKYKSGKYLVPSGV-NWDGAFQWSA-N 0 3 237.318 2.855 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cncc(OC)c1 ZINC000290041641 386387416 /nfs/dbraw/zinc/38/74/16/386387416.db2.gz URXSBVVOKMZBCJ-YPMHNXCESA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H](Cc1ccccc1)NCc1n[nH]cc1C ZINC000289996079 386382831 /nfs/dbraw/zinc/38/28/31/386382831.db2.gz SRJVQFSMSXEARY-AWEZNQCLSA-N 0 3 243.354 2.829 20 0 BFADHN C[C@@H](CF)N[C@@H]1Cc2[nH]c3ccccc3c2C1 ZINC000290007817 386383773 /nfs/dbraw/zinc/38/37/73/386383773.db2.gz DLVKJPFZAZUATI-UWVGGRQHSA-N 0 3 232.302 2.583 20 0 BFADHN C[C@@H](CO)N(C)Cc1cc(Cl)cc(Cl)c1 ZINC000289565938 386329629 /nfs/dbraw/zinc/32/96/29/386329629.db2.gz ZGIBSBOZLFYYBA-QMMMGPOBSA-N 0 3 248.153 2.806 20 0 BFADHN CC(C)[C@@H]1CCCCN1Cc1ccno1 ZINC000265366059 386330395 /nfs/dbraw/zinc/33/03/95/386330395.db2.gz VCMFAAOOXGUHPC-LBPRGKRZSA-N 0 3 208.305 2.685 20 0 BFADHN CO[C@@H]1CCCC[C@H]1NCc1ccc(Cl)o1 ZINC000164844227 386335596 /nfs/dbraw/zinc/33/55/96/386335596.db2.gz HGCOCNAXIVZXRX-GHMZBOCLSA-N 0 3 243.734 2.980 20 0 BFADHN Cc1noc(C)c1CN(C)[C@H](C)C(C)C ZINC000298402369 386337392 /nfs/dbraw/zinc/33/73/92/386337392.db2.gz GMRQFDOHHKKUDM-SNVBAGLBSA-N 0 3 210.321 2.768 20 0 BFADHN C[C@@H]1COCCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC000335276546 386337801 /nfs/dbraw/zinc/33/78/01/386337801.db2.gz FKRRFKBBUVMPTI-XHDPSFHLSA-N 0 3 249.329 2.781 20 0 BFADHN C[C@H](NCc1c(F)cccc1F)C1CC1 ZINC000035136675 386436691 /nfs/dbraw/zinc/43/66/91/386436691.db2.gz RAFRMMPQSQAGRA-QMMMGPOBSA-N 0 3 211.255 2.853 20 0 BFADHN CN(C[C@@H]1CCCO1)[C@H]1C[C@@H]1c1ccccc1 ZINC000336718229 386439620 /nfs/dbraw/zinc/43/96/20/386439620.db2.gz WXHPTXXVHFALBQ-ZNMIVQPWSA-N 0 3 231.339 2.653 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](C)CC2)nn1C ZINC000414433487 386440440 /nfs/dbraw/zinc/44/04/40/386440440.db2.gz KQKKULWCYXJURP-WCQYABFASA-N 0 3 235.375 2.787 20 0 BFADHN CCc1ncc(CN(CC)CCC2CC2)cn1 ZINC000414434242 386440996 /nfs/dbraw/zinc/44/09/96/386440996.db2.gz PQDZNBXILQSBLV-UHFFFAOYSA-N 0 3 233.359 2.661 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H](C)CC2)nn1C ZINC000414433486 386441295 /nfs/dbraw/zinc/44/12/95/386441295.db2.gz KQKKULWCYXJURP-DGCLKSJQSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1cc(CNC2[C@H](C)CCC[C@H]2C)nn1C ZINC000347569745 386452659 /nfs/dbraw/zinc/45/26/59/386452659.db2.gz UWJFUBMZSQIXLI-GHMZBOCLSA-N 0 3 235.375 2.643 20 0 BFADHN C[C@H](F)CCNCc1ccc(-c2cc[nH]n2)o1 ZINC000339491858 386446413 /nfs/dbraw/zinc/44/64/13/386446413.db2.gz OQJGDYKLPNISEZ-VIFPVBQESA-N 0 3 237.278 2.507 20 0 BFADHN FC1(F)CCNC[C@H]1NCCC1CCCCC1 ZINC000415010097 386448962 /nfs/dbraw/zinc/44/89/62/386448962.db2.gz XZWCYPMQUBDTBS-GFCCVEGCSA-N 0 3 246.345 2.544 20 0 BFADHN COc1cc([C@H](C)NCCC(F)(F)F)ccn1 ZINC000347556490 386449989 /nfs/dbraw/zinc/44/99/89/386449989.db2.gz ILZTYWGCUQUSQV-QMMMGPOBSA-N 0 3 248.248 2.693 20 0 BFADHN Cc1ccc([C@H](O)CNCc2ccc(C)cc2)o1 ZINC000265984172 386389032 /nfs/dbraw/zinc/38/90/32/386389032.db2.gz MUAUMCQSVAPHQJ-CQSZACIVSA-N 0 3 245.322 2.720 20 0 BFADHN c1ccc(C[C@H]2CCN2C[C@H]2CCCCO2)cc1 ZINC000336704340 386393442 /nfs/dbraw/zinc/39/34/42/386393442.db2.gz IZRIELNWPRWQJB-HZPDHXFCSA-N 0 3 245.366 2.873 20 0 BFADHN Cc1occc1CN[C@@H]1CCO[C@@H](C2CC2)C1 ZINC000414425872 386397565 /nfs/dbraw/zinc/39/75/65/386397565.db2.gz BJASDDXFMLBLSU-ZIAGYGMSSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1cnc(CN(C(C)C)C2CCCC2)cn1 ZINC000336705860 386398799 /nfs/dbraw/zinc/39/87/99/386398799.db2.gz OACYVGMSHBDJKZ-UHFFFAOYSA-N 0 3 233.359 2.938 20 0 BFADHN C[C@@H]1C[C@H](NCc2cn3ccsc3n2)[C@@H]1C ZINC000336776595 386400073 /nfs/dbraw/zinc/40/00/73/386400073.db2.gz GCXRRQQMYSFJHV-KKZNHRDASA-N 0 3 235.356 2.530 20 0 BFADHN Cc1cc(CN(CC2CC2)CC2CC2)on1 ZINC000336700316 386400560 /nfs/dbraw/zinc/40/05/60/386400560.db2.gz KSSDVKOGURSUTN-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN C[C@@H]1C[C@H](NCc2cn3ccsc3n2)[C@H]1C ZINC000336776597 386403424 /nfs/dbraw/zinc/40/34/24/386403424.db2.gz GCXRRQQMYSFJHV-YWVKMMECSA-N 0 3 235.356 2.530 20 0 BFADHN CCN(CC[C@H]1CCCCO1)Cc1ccccn1 ZINC000347441669 386405280 /nfs/dbraw/zinc/40/52/80/386405280.db2.gz PNCOXNONHUUMEL-OAHLLOKOSA-N 0 3 248.370 2.863 20 0 BFADHN CC[C@@H](CN[C@H](C)c1ccc2c(c1)COC2)OC ZINC000290243238 386408998 /nfs/dbraw/zinc/40/89/98/386408998.db2.gz STNRRGPWBHBKAS-ABAIWWIYSA-N 0 3 249.354 2.792 20 0 BFADHN c1ccc2c(c1)OCCC[C@@H]2N[C@@H]1CCCOC1 ZINC000290218677 386409696 /nfs/dbraw/zinc/40/96/96/386409696.db2.gz HWNVGSSVGJMBLB-OCCSQVGLSA-N 0 3 247.338 2.669 20 0 BFADHN Cc1ccc([C@@H](O)CNCc2cccs2)cc1 ZINC000237783916 386410732 /nfs/dbraw/zinc/41/07/32/386410732.db2.gz GPCZRACOVSLSOQ-AWEZNQCLSA-N 0 3 247.363 2.880 20 0 BFADHN Cc1ccc(CNC[C@H](O)c2ccc(C)cc2)o1 ZINC000237782023 386410864 /nfs/dbraw/zinc/41/08/64/386410864.db2.gz OIDQXWRNEAVQMO-HNNXBMFYSA-N 0 3 245.322 2.720 20 0 BFADHN COC[C@@H](C)CN1Cc2ccccc2OC[C@@H]1C ZINC000527355049 386415124 /nfs/dbraw/zinc/41/51/24/386415124.db2.gz HLSVIWWLBBWFIE-STQMWFEESA-N 0 3 249.354 2.552 20 0 BFADHN COCc1cccc(CNC2CC(F)(F)C2)c1 ZINC000277635015 386451907 /nfs/dbraw/zinc/45/19/07/386451907.db2.gz LHQKKUAIPNQGHO-UHFFFAOYSA-N 0 3 241.281 2.720 20 0 BFADHN Cn1cncc1CNC1(c2ccccc2)CCC1 ZINC000237922175 386421506 /nfs/dbraw/zinc/42/15/06/386421506.db2.gz MYZMVUIAKIQMDT-UHFFFAOYSA-N 0 3 241.338 2.589 20 0 BFADHN Cc1cn[nH]c1CN1CCc2ccccc2[C@H]1C ZINC000290346603 386422953 /nfs/dbraw/zinc/42/29/53/386422953.db2.gz FBTRZKBQQPMGTN-GFCCVEGCSA-N 0 3 241.338 2.837 20 0 BFADHN C[C@@H](N[C@@H]1CSC1(C)C)c1cncs1 ZINC000283161613 386424747 /nfs/dbraw/zinc/42/47/47/386424747.db2.gz IQAGNNPPDZYRJV-VXNVDRBHSA-N 0 3 228.386 2.688 20 0 BFADHN CCSc1cccc(CN[C@@H]2CCOC2)c1 ZINC000336777499 386424820 /nfs/dbraw/zinc/42/48/20/386424820.db2.gz IRDGEJLRCRKORK-GFCCVEGCSA-N 0 3 237.368 2.677 20 0 BFADHN Cc1[nH]ncc1CN1Cc2cccc(Cl)c2C1 ZINC000290395767 386429124 /nfs/dbraw/zinc/42/91/24/386429124.db2.gz JBEZAQXQIFPIQA-UHFFFAOYSA-N 0 3 247.729 2.887 20 0 BFADHN CCN(CCSC(C)C)C[C@@H]1CCCO1 ZINC000336713589 386431176 /nfs/dbraw/zinc/43/11/76/386431176.db2.gz VPPJFQSMEKVVGE-LBPRGKRZSA-N 0 3 231.405 2.629 20 0 BFADHN CCN(CC[C@@H](C)O)[C@@H](C)c1cccc(O)c1 ZINC000336715015 386434416 /nfs/dbraw/zinc/43/44/16/386434416.db2.gz SDCJMQQKCQHLIU-NEPJUHHUSA-N 0 3 237.343 2.546 20 0 BFADHN C[C@H](NCCC(C)(C)O)c1cc(F)cc(F)c1 ZINC000274800238 386435075 /nfs/dbraw/zinc/43/50/75/386435075.db2.gz QVOQSDLMAFMVMW-VIFPVBQESA-N 0 3 243.297 2.776 20 0 BFADHN CCCn1cc(CN(CC)CC2CCC2)cn1 ZINC000355606500 386528441 /nfs/dbraw/zinc/52/84/41/386528441.db2.gz LFBYKSKGAMZAIP-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCOc1ccccc1OCCN1C[C@H](C)[C@H]1C ZINC000339568535 386466891 /nfs/dbraw/zinc/46/68/91/386466891.db2.gz XZNSAKMEIVWUOQ-QWHCGFSZSA-N 0 3 249.354 2.804 20 0 BFADHN CCOC[C@@H]1CCC[C@H]1NCc1ccco1 ZINC000336778485 386468885 /nfs/dbraw/zinc/46/88/85/386468885.db2.gz LMBUWUYHDXXQBX-WCQYABFASA-N 0 3 223.316 2.574 20 0 BFADHN CCC(O)(CC)CNCc1cc(C)ccc1F ZINC000227286130 386472791 /nfs/dbraw/zinc/47/27/91/386472791.db2.gz QBXCSYXFUPLDEM-UHFFFAOYSA-N 0 3 239.334 2.775 20 0 BFADHN Cc1nnc([C@H](C)N2CC[C@H](C(C)(C)C)C2)o1 ZINC000335365875 386476159 /nfs/dbraw/zinc/47/61/59/386476159.db2.gz BRJXHEHSVPMYNC-ONGXEEELSA-N 0 3 237.347 2.807 20 0 BFADHN Cc1ccc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)nn1 ZINC000414441924 386479093 /nfs/dbraw/zinc/47/90/93/386479093.db2.gz XATVYVVCJYPUTM-NQBHXWOUSA-N 0 3 233.359 2.651 20 0 BFADHN C[C@@H](NCCC(F)(F)F)c1cccnc1 ZINC000059382864 386479250 /nfs/dbraw/zinc/47/92/50/386479250.db2.gz CFCFANDTDPHMBW-MRVPVSSYSA-N 0 3 218.222 2.685 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@H]2F)cc1 ZINC000339581912 386485684 /nfs/dbraw/zinc/48/56/84/386485684.db2.gz FBYYTSKSZBKIFU-CHWSQXEVSA-N 0 3 207.292 2.975 20 0 BFADHN Cc1occc1CN1CC(C)=C[C@@H](C)C1 ZINC000414443889 386486016 /nfs/dbraw/zinc/48/60/16/386486016.db2.gz JPYHNEBHAVNGJS-SNVBAGLBSA-N 0 3 205.301 2.986 20 0 BFADHN Cn1ccc(CN[C@@H]2CCc3c2cccc3F)c1 ZINC000267043674 386489110 /nfs/dbraw/zinc/48/91/10/386489110.db2.gz DACXQQZRAPKZAQ-OAHLLOKOSA-N 0 3 244.313 2.941 20 0 BFADHN C[C@@H]1C[C@@H]1CC(=O)Nc1ccccc1CN(C)C ZINC000519569794 386489436 /nfs/dbraw/zinc/48/94/36/386489436.db2.gz WLGAZEXDPPVDQE-DGCLKSJQSA-N 0 3 246.354 2.733 20 0 BFADHN CCC1(CNCc2ccco2)CCOCC1 ZINC000266630175 386490066 /nfs/dbraw/zinc/49/00/66/386490066.db2.gz PCDFZAQIMHOSTO-UHFFFAOYSA-N 0 3 223.316 2.576 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H]2C[C@@](C)(O)C2)s1 ZINC000417351540 386490723 /nfs/dbraw/zinc/49/07/23/386490723.db2.gz CYRWSHHAMKVSFV-BREBYQMCSA-N 0 3 239.384 2.875 20 0 BFADHN Cc1ccc(C)c(CNCc2ccn(C)c2)c1 ZINC000267081513 386490997 /nfs/dbraw/zinc/49/09/97/386490997.db2.gz KKXXCIZYGUZNPF-UHFFFAOYSA-N 0 3 228.339 2.932 20 0 BFADHN C[C@@H](N[C@H]1C[C@@](C)(O)C1)c1ccccc1Cl ZINC000417352701 386491122 /nfs/dbraw/zinc/49/11/22/386491122.db2.gz GUYMAGHYFCPSHV-BREBYQMCSA-N 0 3 239.746 2.904 20 0 BFADHN Cc1occc1CN1CC[C@@H](COC(F)F)C1 ZINC000414444605 386492346 /nfs/dbraw/zinc/49/23/46/386492346.db2.gz UXOIUVBPEUMIFH-SNVBAGLBSA-N 0 3 245.269 2.649 20 0 BFADHN CCc1ccccc1CN1C[C@H](C)N(C)[C@@H](C)C1 ZINC000339623799 386493393 /nfs/dbraw/zinc/49/33/93/386493393.db2.gz RJWSUEJVSZHGIZ-KBPBESRZSA-N 0 3 246.398 2.773 20 0 BFADHN COC(=O)c1coc([C@@H](C)N[C@H](C)C2CC2)c1 ZINC000278159316 386494690 /nfs/dbraw/zinc/49/46/90/386494690.db2.gz BFGLMXQOMDCRLV-RKDXNWHRSA-N 0 3 237.299 2.515 20 0 BFADHN CC[C@H]1CCCCN1Cc1snnc1C ZINC000519580871 386495742 /nfs/dbraw/zinc/49/57/42/386495742.db2.gz ZPZNMDUGDWGBEP-JTQLQIEISA-N 0 3 225.361 2.611 20 0 BFADHN CCc1ccc(CN2C[C@H](C)N(C)[C@@H](C)C2)cc1 ZINC000339631180 386498026 /nfs/dbraw/zinc/49/80/26/386498026.db2.gz QHEMWZBNAMZKIR-KBPBESRZSA-N 0 3 246.398 2.773 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCCC23CCC3)n1 ZINC000335440463 386498748 /nfs/dbraw/zinc/49/87/48/386498748.db2.gz SVWMNAYCGHFKTH-UHFFFAOYSA-N 0 3 232.327 2.614 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCCC23CCC3)n1 ZINC000335440463 386498753 /nfs/dbraw/zinc/49/87/53/386498753.db2.gz SVWMNAYCGHFKTH-UHFFFAOYSA-N 0 3 232.327 2.614 20 0 BFADHN C[C@H](NC[C@@H]1CC12CC2)c1ccccn1 ZINC000417332213 386501494 /nfs/dbraw/zinc/50/14/94/386501494.db2.gz CUNJCCKEWIBFLX-QWRGUYRKSA-N 0 3 202.301 2.532 20 0 BFADHN CCc1nocc1CN[C@@H]1CCCC[C@@H]1C ZINC000647971180 386501986 /nfs/dbraw/zinc/50/19/86/386501986.db2.gz XDSGRGDCPKGZHU-GXFFZTMASA-N 0 3 222.332 2.905 20 0 BFADHN CC(C)(NCCO)c1cccc(C(F)(F)F)c1 ZINC000267161274 386503185 /nfs/dbraw/zinc/50/31/85/386503185.db2.gz QIARFOWYYPOGBQ-UHFFFAOYSA-N 0 3 247.260 2.522 20 0 BFADHN Cc1nc([C@H](C)NC[C@@H]2CC23CC3)cs1 ZINC000417338653 386503668 /nfs/dbraw/zinc/50/36/68/386503668.db2.gz MXMAHIUOIHUDAJ-WPRPVWTQSA-N 0 3 222.357 2.902 20 0 BFADHN COC(=O)c1coc([C@@H](C)NC[C@@H]2CC23CC3)c1 ZINC000417334356 386504468 /nfs/dbraw/zinc/50/44/68/386504468.db2.gz HATVHFNXIWXVLY-KOLCDFICSA-N 0 3 249.310 2.517 20 0 BFADHN COC[C@H](C)N[C@@H]1CCCc2sccc21 ZINC000061324402 386504678 /nfs/dbraw/zinc/50/46/78/386504678.db2.gz XWILPJHNMRFRBB-GXSJLCMTSA-N 0 3 225.357 2.750 20 0 BFADHN CN(C)Cc1cccc(NC(=O)C2(C)CCC2)c1 ZINC000267333037 386529773 /nfs/dbraw/zinc/52/97/73/386529773.db2.gz GBSZJCNNMFHWQW-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CO[C@@H]1CCCN([C@@H]2C[C@@H]2c2ccccc2)C1 ZINC000291037835 386505606 /nfs/dbraw/zinc/50/56/06/386505606.db2.gz AOQIDZZFLZYFRS-RBSFLKMASA-N 0 3 231.339 2.653 20 0 BFADHN Cc1ccc(CN2CC[C@@H](c3cccnc3)C2)o1 ZINC000335444043 386506612 /nfs/dbraw/zinc/50/66/12/386506612.db2.gz QEJUPIGDXRVAHU-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN C=Cn1cc(CN2CC[C@@H](C)C[C@H]2C)cn1 ZINC000278274872 386507873 /nfs/dbraw/zinc/50/78/73/386507873.db2.gz SFTSWXPPUPWCNG-VXGBXAGGSA-N 0 3 219.332 2.604 20 0 BFADHN C[C@H](NCc1cccn1C)c1ccsc1 ZINC000061360568 386508347 /nfs/dbraw/zinc/50/83/47/386508347.db2.gz NNJSFWIVTKOFQY-JTQLQIEISA-N 0 3 220.341 2.937 20 0 BFADHN CO[C@](C)(CN[C@H](C)c1cc(C)ccn1)C1CC1 ZINC000291070604 386508537 /nfs/dbraw/zinc/50/85/37/386508537.db2.gz BBSUNDWQBFGNOU-IUODEOHRSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@@H]1CN(Cc2ccncc2F)C(C)(C)C1 ZINC000335445574 386509117 /nfs/dbraw/zinc/50/91/17/386509117.db2.gz SIOBDMKFZYBUFU-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H]1CCCc2ccc(O)cc21 ZINC000291098217 386510787 /nfs/dbraw/zinc/51/07/87/386510787.db2.gz DKYVPLKZBPJFAW-HFAKWTLXSA-N 0 3 249.354 2.783 20 0 BFADHN CCOC1(C)CCN(Cc2ccoc2C)CC1 ZINC000414448441 386512966 /nfs/dbraw/zinc/51/29/66/386512966.db2.gz AACQGFPKRMLJHQ-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN Cc1cnc([C@@H](C)N2CC[C@H](CC3CC3)C2)cn1 ZINC000339672153 386515218 /nfs/dbraw/zinc/51/52/18/386515218.db2.gz GJAWYYUDYAUYNY-TZMCWYRMSA-N 0 3 245.370 2.968 20 0 BFADHN Cc1noc(C)c1CN1CC[C@@H](CC2CC2)C1 ZINC000339675003 386516441 /nfs/dbraw/zinc/51/64/41/386516441.db2.gz QXWKQSIYEVYOND-ZDUSSCGKSA-N 0 3 234.343 2.913 20 0 BFADHN CSC1(CN2C[C@H](C)OC3(CCC3)C2)CC1 ZINC000335449270 386519376 /nfs/dbraw/zinc/51/93/76/386519376.db2.gz KBMYIQORQRQJSN-NSHDSACASA-N 0 3 241.400 2.525 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCSC2)o1 ZINC000278391133 386521123 /nfs/dbraw/zinc/52/11/23/386521123.db2.gz DUUUWJASXSHXSR-LLVKDONJSA-N 0 3 225.357 2.773 20 0 BFADHN COc1cc(CN2CC[C@H](CC3CC3)C2)ccn1 ZINC000339681466 386521084 /nfs/dbraw/zinc/52/10/84/386521084.db2.gz HRRZQPDEUCFAQQ-CQSZACIVSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1occc1CN[C@H](CO)CCC(C)(C)C ZINC000414448818 386524373 /nfs/dbraw/zinc/52/43/73/386524373.db2.gz SVDDPENRNDEHKU-ZDUSSCGKSA-N 0 3 239.359 2.865 20 0 BFADHN CO[C@](C)(CN[C@H](C)c1cncc(C)c1)C1CC1 ZINC000291234605 386524707 /nfs/dbraw/zinc/52/47/07/386524707.db2.gz OHCNRRFQLMSNMK-IUODEOHRSA-N 0 3 248.370 2.856 20 0 BFADHN Cn1cccc1CN1CC[C@H](CC(F)(F)F)C1 ZINC000278428374 386524801 /nfs/dbraw/zinc/52/48/01/386524801.db2.gz STHUGRHEFSYOCP-SNVBAGLBSA-N 0 3 246.276 2.799 20 0 BFADHN C[C@@H](O)CCCNCc1cccc(Cl)c1F ZINC000227961194 386526949 /nfs/dbraw/zinc/52/69/49/386526949.db2.gz SGYLACWDUSLPBU-SECBINFHSA-N 0 3 245.725 2.730 20 0 BFADHN CCOc1ccccc1CN(C)CC1(C)COC1 ZINC000336721062 386454271 /nfs/dbraw/zinc/45/42/71/386454271.db2.gz XGUVORTVPVBISM-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN Cc1nc(CN[C@H]2CCCC[C@@H]2C)co1 ZINC000647971006 386455915 /nfs/dbraw/zinc/45/59/15/386455915.db2.gz PANRZVZRYZQLKO-CABZTGNLSA-N 0 3 208.305 2.651 20 0 BFADHN CCc1ccc(CN(C)CCCn2ccnc2)o1 ZINC000290597572 386456239 /nfs/dbraw/zinc/45/62/39/386456239.db2.gz VSTUXZAMNCCVSF-UHFFFAOYSA-N 0 3 247.342 2.561 20 0 BFADHN Cc1nocc1CNC[C@H]1C[C@H]1c1ccccc1 ZINC000339510814 386456455 /nfs/dbraw/zinc/45/64/55/386456455.db2.gz BQRGSPJKKBKBIG-HIFRSBDPSA-N 0 3 242.322 2.876 20 0 BFADHN Cc1ccc(CNC[C@@H](CCO)CC(C)C)o1 ZINC000266623547 386458786 /nfs/dbraw/zinc/45/87/86/386458786.db2.gz MEASZTCYUMNZJK-ZDUSSCGKSA-N 0 3 239.359 2.722 20 0 BFADHN c1ccc(CN[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)nc1 ZINC000290618747 386459365 /nfs/dbraw/zinc/45/93/65/386459365.db2.gz XBEVYYAWTXQJCX-OWCLPIDISA-N 0 3 236.318 2.510 20 0 BFADHN Cc1cc(CNCC(C(C)C)C(C)C)nn1C ZINC000414437776 386463348 /nfs/dbraw/zinc/46/33/48/386463348.db2.gz QFQQNEPIEUTJEN-UHFFFAOYSA-N 0 3 237.391 2.746 20 0 BFADHN CN(Cc1nc2ccccc2n1C)C(C)(C)C ZINC000335454358 386528385 /nfs/dbraw/zinc/52/83/85/386528385.db2.gz GPGAYPLQIHDEDE-UHFFFAOYSA-N 0 3 231.343 2.804 20 0 BFADHN CN(Cc1ccno1)[C@H]1CCc2ccccc2C1 ZINC000335412274 386576248 /nfs/dbraw/zinc/57/62/48/386576248.db2.gz BUCDXQLYPCOTEK-AWEZNQCLSA-N 0 3 242.322 2.664 20 0 BFADHN CC(C)=CCN[C@@H](C)c1cccc(CO)c1 ZINC000188453763 386582040 /nfs/dbraw/zinc/58/20/40/386582040.db2.gz SRGWVKQBLCMZAQ-LBPRGKRZSA-N 0 3 219.328 2.796 20 0 BFADHN CCCCCNC(=O)CN(CCC)CC(C)C ZINC000519906321 386582058 /nfs/dbraw/zinc/58/20/58/386582058.db2.gz WZMNJUDYILLLGU-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN C[C@H](O)CN(C)[C@@H](C)c1cc2ccccc2o1 ZINC000187721924 386583279 /nfs/dbraw/zinc/58/32/79/386583279.db2.gz PUAXHOPJRUEGHT-QWRGUYRKSA-N 0 3 233.311 2.806 20 0 BFADHN FC1(F)CCCC[C@H](CNCc2ncc[nH]2)C1 ZINC000291813852 386584823 /nfs/dbraw/zinc/58/48/23/386584823.db2.gz YLAQTUNCKAPKAR-JTQLQIEISA-N 0 3 243.301 2.715 20 0 BFADHN CCn1cc([C@H](C)NCC=C(C)C)cn1 ZINC000188455478 386585942 /nfs/dbraw/zinc/58/59/42/386585942.db2.gz KFKJIHDTGXVTQO-NSHDSACASA-N 0 3 207.321 2.520 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)on1 ZINC000335418840 386586359 /nfs/dbraw/zinc/58/63/59/386586359.db2.gz DESVANIYWQTKQU-OLZOCXBDSA-N 0 3 234.343 2.994 20 0 BFADHN Cc1cc(CNC2CC(C(C)(C)C)C2)nn1C ZINC000414459239 386586481 /nfs/dbraw/zinc/58/64/81/386586481.db2.gz ZFBQJBOYWCTGLA-UHFFFAOYSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1occc1CN(C)[C@@H](CO)CC(C)(C)C ZINC000414459928 386588756 /nfs/dbraw/zinc/58/87/56/386588756.db2.gz CBZINRAYEGQCHH-CYBMUJFWSA-N 0 3 239.359 2.817 20 0 BFADHN CCc1ncc(CNCCCC(C)(F)F)cn1 ZINC000414459771 386589683 /nfs/dbraw/zinc/58/96/83/386589683.db2.gz OVYMQXNBJBCZLD-UHFFFAOYSA-N 0 3 243.301 2.564 20 0 BFADHN Cn1ccnc1[C@@H](NCC(C)(C)C)C1CC1 ZINC000187761964 386592566 /nfs/dbraw/zinc/59/25/66/386592566.db2.gz PFNHSEPBXBZVCP-NSHDSACASA-N 0 3 221.348 2.507 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1ccc(C)nn1 ZINC000414460592 386594575 /nfs/dbraw/zinc/59/45/75/386594575.db2.gz CPXAAFXRCNIXBS-CHWSQXEVSA-N 0 3 233.359 2.701 20 0 BFADHN C[C@H](O)CCCN[C@@H](C)c1ccc(F)c(F)c1 ZINC000228034712 386531109 /nfs/dbraw/zinc/53/11/09/386531109.db2.gz MYNXKTYTKIBVFM-UWVGGRQHSA-N 0 3 243.297 2.776 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H]1CCCc2c(O)cccc21 ZINC000291386631 386538340 /nfs/dbraw/zinc/53/83/40/386538340.db2.gz ZSVFANKQBXGMGI-JTNHKYCSSA-N 0 3 249.354 2.783 20 0 BFADHN Cc1occc1CN[C@@H](C)c1cc[nH]c(=O)c1 ZINC000414452294 386540392 /nfs/dbraw/zinc/54/03/92/386540392.db2.gz MJRFNOAMWUEGQO-VIFPVBQESA-N 0 3 232.283 2.539 20 0 BFADHN Cc1cccc(CN2CC(C)(C(N)=O)C2)c1C ZINC000335423313 386597040 /nfs/dbraw/zinc/59/70/40/386597040.db2.gz JWADYQSHEZNOMQ-UHFFFAOYSA-N 0 3 232.327 2.661 20 0 BFADHN COc1cc(CNC[C@@H]2CCCCO2)ccc1C ZINC000278555795 386546386 /nfs/dbraw/zinc/54/63/86/386546386.db2.gz APBZNODOHYMCDB-AWEZNQCLSA-N 0 3 249.354 2.662 20 0 BFADHN CCN(CC)CCSc1ncc(Cl)cn1 ZINC000267527018 386550863 /nfs/dbraw/zinc/55/08/63/386550863.db2.gz IOMFCGCQVHDDKE-UHFFFAOYSA-N 0 3 245.779 2.564 20 0 BFADHN Cc1ccoc1CNCCCNc1ccccn1 ZINC000267559789 386553714 /nfs/dbraw/zinc/55/37/14/386553714.db2.gz ARZDNFQYJHGUBC-UHFFFAOYSA-N 0 3 245.326 2.575 20 0 BFADHN CO[C@H]1CCN(Cc2cc(C)sc2C)C1 ZINC000267613608 386562094 /nfs/dbraw/zinc/56/20/94/386562094.db2.gz BSHXBSORNBDFDM-LBPRGKRZSA-N 0 3 225.357 2.586 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)C[C@H](C)O)o1 ZINC000336780777 386569827 /nfs/dbraw/zinc/56/98/27/386569827.db2.gz SBBGOOYFELZPQX-VWYCJHECSA-N 0 3 225.332 2.652 20 0 BFADHN Cc1cccc([C@@H](C)NCC(=O)NC(C)(C)C)c1 ZINC000097988107 386571980 /nfs/dbraw/zinc/57/19/80/386571980.db2.gz GWYGGQFHSOUQQK-GFCCVEGCSA-N 0 3 248.370 2.560 20 0 BFADHN Cc1cccc([C@H](C)NCC(=O)NC(C)(C)C)c1 ZINC000097988108 386572162 /nfs/dbraw/zinc/57/21/62/386572162.db2.gz GWYGGQFHSOUQQK-LBPRGKRZSA-N 0 3 248.370 2.560 20 0 BFADHN C[C@@H](NC[C@@H]1CCCCN1C1CC1)c1ccoc1 ZINC000519865566 386573240 /nfs/dbraw/zinc/57/32/40/386573240.db2.gz QYOKCYPNFQBTPV-DOMZBBRYSA-N 0 3 248.370 2.947 20 0 BFADHN CC[C@H]1CN(C[C@H](C)CC(C)C)CCO1 ZINC000337133503 386619449 /nfs/dbraw/zinc/61/94/49/386619449.db2.gz MDPLPAKROXBIGI-OLZOCXBDSA-N 0 3 213.365 2.779 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@H]2CCC23CCC3)[nH]1 ZINC000328815315 386622293 /nfs/dbraw/zinc/62/22/93/386622293.db2.gz NOVJTTRWFOYZHL-QWRGUYRKSA-N 0 3 248.374 2.911 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@H]2CCC23CCC3)[n-]1 ZINC000328815315 386622296 /nfs/dbraw/zinc/62/22/96/386622296.db2.gz NOVJTTRWFOYZHL-QWRGUYRKSA-N 0 3 248.374 2.911 20 0 BFADHN CCc1ncc(CN[C@@H]2C[C@]2(CC)C(C)C)cn1 ZINC000414465411 386623357 /nfs/dbraw/zinc/62/33/57/386623357.db2.gz XIMHEBMXIZZKBC-UKRRQHHQSA-N 0 3 247.386 2.953 20 0 BFADHN Cc1cc(CN(CC(C)C)C(C)C)ncn1 ZINC000292161472 386627959 /nfs/dbraw/zinc/62/79/59/386627959.db2.gz KORIDWYMPLSTIR-UHFFFAOYSA-N 0 3 221.348 2.651 20 0 BFADHN CC(C)n1nccc1CN(C)CC1CCC1 ZINC000337178015 386635982 /nfs/dbraw/zinc/63/59/82/386635982.db2.gz KKESKYCWBIVVEF-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnn2ccccc12 ZINC000179324770 386636671 /nfs/dbraw/zinc/63/66/71/386636671.db2.gz ORJODDDYQJFMTF-LLVKDONJSA-N 0 3 217.316 2.565 20 0 BFADHN CC(C)(C)CCCN1CCc2n[nH]cc2C1 ZINC000337176686 386637140 /nfs/dbraw/zinc/63/71/40/386637140.db2.gz JBFKWVHPFRIJTB-UHFFFAOYSA-N 0 3 221.348 2.594 20 0 BFADHN CC[C@@H](C)N(C)Cc1cccc2c1OCO2 ZINC000179323295 386638347 /nfs/dbraw/zinc/63/83/47/386638347.db2.gz OURFHYGRCISEGH-SNVBAGLBSA-N 0 3 221.300 2.646 20 0 BFADHN C[C@@H](NC/C=C/c1ccc(F)cc1)c1cn[nH]c1 ZINC000339792204 386638957 /nfs/dbraw/zinc/63/89/57/386638957.db2.gz NIJWCLNZIYPGOQ-KXMPLOMGSA-N 0 3 245.301 2.913 20 0 BFADHN Cc1c[nH]nc1CN[C@H](C)C1CCCCC1 ZINC000336782389 386640231 /nfs/dbraw/zinc/64/02/31/386640231.db2.gz XLQBJOXMASEYFN-LLVKDONJSA-N 0 3 221.348 2.777 20 0 BFADHN Cc1cc(CN(C)C2(CO)CCC2)c(C)s1 ZINC000292270495 386644084 /nfs/dbraw/zinc/64/40/84/386644084.db2.gz ZOKYKQFLIXSIDC-UHFFFAOYSA-N 0 3 239.384 2.712 20 0 BFADHN CO[C@@H](C)CCN[C@H](C)c1csc(C)n1 ZINC000269390801 386644699 /nfs/dbraw/zinc/64/46/99/386644699.db2.gz CNHYOUUGWJHXMN-DTWKUNHWSA-N 0 3 228.361 2.527 20 0 BFADHN CC(C)C[C@@H](C)CN1CCOC2(CCC2)C1 ZINC000337193121 386645346 /nfs/dbraw/zinc/64/53/46/386645346.db2.gz VCWJAIRWYNSZMV-CYBMUJFWSA-N 0 3 225.376 2.924 20 0 BFADHN CCc1ccc([C@H](C)NCCC[C@H](C)O)o1 ZINC000336782830 386651448 /nfs/dbraw/zinc/65/14/48/386651448.db2.gz YSWXPAZEXPQCBL-QWRGUYRKSA-N 0 3 225.332 2.654 20 0 BFADHN C[C@H](O)CN[C@@H](C)c1cc2cccc(F)c2o1 ZINC000337181977 386651476 /nfs/dbraw/zinc/65/14/76/386651476.db2.gz BCMVOSLHXINMFP-IUCAKERBSA-N 0 3 237.274 2.603 20 0 BFADHN CC(C)(C)CCCN1CCCC[C@@]1(C)C(N)=O ZINC000337203328 386651977 /nfs/dbraw/zinc/65/19/77/386651977.db2.gz HQRDTGXUIXUHQH-AWEZNQCLSA-N 0 3 240.391 2.543 20 0 BFADHN CCCN(CC)Cc1cc(C(=O)OC)oc1C ZINC000520351849 386652582 /nfs/dbraw/zinc/65/25/82/386652582.db2.gz VNUWNBXWZLMWQA-UHFFFAOYSA-N 0 3 239.315 2.607 20 0 BFADHN CCN(Cc1cc(C(=O)OC)oc1C)C(C)C ZINC000520351026 386653142 /nfs/dbraw/zinc/65/31/42/386653142.db2.gz UYRWPYPXTLPYBH-UHFFFAOYSA-N 0 3 239.315 2.605 20 0 BFADHN CCn1cncc1CN([C@H](C)C1CC1)C1CC1 ZINC000417723649 386599401 /nfs/dbraw/zinc/59/94/01/386599401.db2.gz XEBREJGBIBAAMF-LLVKDONJSA-N 0 3 233.359 2.666 20 0 BFADHN CCO[C@H]1CCN(Cc2ccoc2C)C[C@H]1C ZINC000414461356 386600840 /nfs/dbraw/zinc/60/08/40/386600840.db2.gz DMTSCQWWZCRARV-RISCZKNCSA-N 0 3 237.343 2.835 20 0 BFADHN C[C@H](c1ccc(F)c(Cl)c1)N(C)C[C@H](C)O ZINC000245730477 386603384 /nfs/dbraw/zinc/60/33/84/386603384.db2.gz CESSEOWOLYOLQS-DTWKUNHWSA-N 0 3 245.725 2.853 20 0 BFADHN CCC1(C)CN(C[C@@H](O)c2ccc(C)cc2)C1 ZINC000291989481 386608474 /nfs/dbraw/zinc/60/84/74/386608474.db2.gz UNGOGEIZUSAESM-CQSZACIVSA-N 0 3 233.355 2.760 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1cncs1 ZINC000453427494 386610333 /nfs/dbraw/zinc/61/03/33/386610333.db2.gz ZPCNQTRWNRMOJE-GMTAPVOTSA-N 0 3 240.372 2.751 20 0 BFADHN CCC1(CNCc2oc(C)nc2C)CCC1 ZINC000311666912 386610329 /nfs/dbraw/zinc/61/03/29/386610329.db2.gz ICNPFLYPPCXOGN-UHFFFAOYSA-N 0 3 222.332 2.961 20 0 BFADHN C[C@H](NCCCCF)c1nccs1 ZINC000336645634 386614891 /nfs/dbraw/zinc/61/48/91/386614891.db2.gz XZCFOVDBKOTVGA-QMMMGPOBSA-N 0 3 202.298 2.543 20 0 BFADHN CC(C)[C@H](O)CN1CC[C@@H]1c1cccc(F)c1 ZINC000644995572 386659991 /nfs/dbraw/zinc/65/99/91/386659991.db2.gz PVUMJOAKMPMZNR-ZIAGYGMSSA-N 0 3 237.318 2.589 20 0 BFADHN FC1(F)CCN(CCC2CCOCC2)CC1 ZINC000645004260 386663173 /nfs/dbraw/zinc/66/31/73/386663173.db2.gz FHZHFXZYNSQCTO-UHFFFAOYSA-N 0 3 233.302 2.534 20 0 BFADHN CCc1ccc(CN2CC[C@H](O)C[C@@H]2C)cc1 ZINC000292405901 386665555 /nfs/dbraw/zinc/66/55/55/386665555.db2.gz LPNMZWRXDXJZTN-WFASDCNBSA-N 0 3 233.355 2.594 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2C(C)(C)C)ncn1 ZINC000292407534 386665680 /nfs/dbraw/zinc/66/56/80/386665680.db2.gz KEAOINMFYBKFLO-CYBMUJFWSA-N 0 3 233.359 2.796 20 0 BFADHN CCCCCN(CC(N)=O)C[C@@H](C)CC(C)C ZINC000337208525 386669637 /nfs/dbraw/zinc/66/96/37/386669637.db2.gz QVGRJVNMTREAIY-ZDUSSCGKSA-N 0 3 242.407 2.646 20 0 BFADHN CCC[C@H](C)N1CC(=O)N(C(C)C)C(C)(C)C1 ZINC000337211108 386670277 /nfs/dbraw/zinc/67/02/77/386670277.db2.gz DFCMIFKNIBGVKJ-LBPRGKRZSA-N 0 3 240.391 2.506 20 0 BFADHN CC[C@H]1CCN1Cc1cccc(NC(C)=O)c1 ZINC000292431989 386671046 /nfs/dbraw/zinc/67/10/46/386671046.db2.gz NQVDDWBDNQFYBB-AWEZNQCLSA-N 0 3 232.327 2.629 20 0 BFADHN Cc1cnc(CN2CCC[C@H]2CC(C)C)nc1 ZINC000340682065 386671067 /nfs/dbraw/zinc/67/10/67/386671067.db2.gz RJUTUVVUOXDPIX-ZDUSSCGKSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1nc(CNC[C@H]2CCC[C@@H](C)C2)[nH]c1C ZINC000520430054 386673228 /nfs/dbraw/zinc/67/32/28/386673228.db2.gz YLKROIIUFNECOB-MFKMUULPSA-N 0 3 235.375 2.942 20 0 BFADHN C[C@@H]1C[C@H]1CN1CC(C)(CC(F)F)C1 ZINC000648059097 386674494 /nfs/dbraw/zinc/67/44/94/386674494.db2.gz WNXXTHFCTHRJDF-BDAKNGLRSA-N 0 3 203.276 2.620 20 0 BFADHN CC1(CN2CC(C)(CC(F)F)C2)CC1 ZINC000648059105 386675859 /nfs/dbraw/zinc/67/58/59/386675859.db2.gz WUYVJGHUFHSONZ-UHFFFAOYSA-N 0 3 203.276 2.764 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1ccc(F)cc1 ZINC000019904659 386678823 /nfs/dbraw/zinc/67/88/23/386678823.db2.gz NSDCEKYKQGVHAG-ZJUUUORDSA-N 0 3 211.280 2.511 20 0 BFADHN CCn1ccc(CNC[C@H]2C(C)=CCC[C@H]2C)n1 ZINC000414479523 386680590 /nfs/dbraw/zinc/68/05/90/386680590.db2.gz IOMLFXVTRUYAOS-HIFRSBDPSA-N 0 3 247.386 2.985 20 0 BFADHN CC(C)[C@@H](O)CN(C)Cc1cc2ccccc2o1 ZINC000292510471 386681202 /nfs/dbraw/zinc/68/12/02/386681202.db2.gz DBIQXRFVFCOETF-AWEZNQCLSA-N 0 3 247.338 2.882 20 0 BFADHN CCn1cncc1CN(C)C(C1CC1)C1CC1 ZINC000417761058 386681868 /nfs/dbraw/zinc/68/18/68/386681868.db2.gz YRCQEUNJHNDIJV-UHFFFAOYSA-N 0 3 233.359 2.523 20 0 BFADHN C[C@H](NCC1(CCO)CCCC1)c1ccco1 ZINC000268880106 386709364 /nfs/dbraw/zinc/70/93/64/386709364.db2.gz AVSQYQNCODMNFX-LBPRGKRZSA-N 0 3 237.343 2.873 20 0 BFADHN CCn1ccc(CNC[C@H]2CCCC[C@H]2C)n1 ZINC000414487957 386710253 /nfs/dbraw/zinc/71/02/53/386710253.db2.gz WDMWQSIYMKKWTN-CHWSQXEVSA-N 0 3 235.375 2.819 20 0 BFADHN CCn1ccc(CNC[C@H]2CCCC[C@@H]2C)n1 ZINC000414487964 386710587 /nfs/dbraw/zinc/71/05/87/386710587.db2.gz WDMWQSIYMKKWTN-QWHCGFSZSA-N 0 3 235.375 2.819 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)CCC(C)(C)C ZINC000648059741 386684891 /nfs/dbraw/zinc/68/48/91/386684891.db2.gz GVPIDLWZHFYWOX-UHFFFAOYSA-N 0 3 223.364 2.895 20 0 BFADHN CC[C@H](CO)N[C@@H](CC)c1cc(F)ccc1F ZINC000268723176 386686839 /nfs/dbraw/zinc/68/68/39/386686839.db2.gz PVEVVOBTHORTBL-MFKMUULPSA-N 0 3 243.297 2.776 20 0 BFADHN CC(C)[C@](C)(O)CN[C@@H]1CCCc2occc21 ZINC000192857867 386687436 /nfs/dbraw/zinc/68/74/36/386687436.db2.gz MINOPVSCXZGJDH-TZMCWYRMSA-N 0 3 237.343 2.654 20 0 BFADHN c1ccc2c(c1)CN(CCC1CCOCC1)C2 ZINC000292542823 386689574 /nfs/dbraw/zinc/68/95/74/386689574.db2.gz GPRHEZMYHVAFAO-UHFFFAOYSA-N 0 3 231.339 2.819 20 0 BFADHN Cc1ccoc1CN1CCC(OCC2CC2)CC1 ZINC000354111739 386690466 /nfs/dbraw/zinc/69/04/66/386690466.db2.gz UBGYZUVZIOYDPW-UHFFFAOYSA-N 0 3 249.354 2.979 20 0 BFADHN C[C@H](NC/C=C/c1ccccc1)c1ccnn1C ZINC000192859185 386691045 /nfs/dbraw/zinc/69/10/45/386691045.db2.gz SZONAKFHJHYSJR-PPGNKHEKSA-N 0 3 241.338 2.784 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@@H]1CCCc2occc21 ZINC000192859048 386691142 /nfs/dbraw/zinc/69/11/42/386691142.db2.gz AYMHZOVQMFCQNX-CYZMBNFOSA-N 0 3 237.343 2.654 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cc(C)nn2C)C1 ZINC000417770011 386695277 /nfs/dbraw/zinc/69/52/77/386695277.db2.gz DZGGMKWQDDJPJD-AWEZNQCLSA-N 0 3 235.375 2.741 20 0 BFADHN COCc1ccc(CNCC(C)(C)C)o1 ZINC000268807103 386697806 /nfs/dbraw/zinc/69/78/06/386697806.db2.gz SDKFUTUSQHNRJU-UHFFFAOYSA-N 0 3 211.305 2.562 20 0 BFADHN CC(C)(C)CCCCNCc1ncc[nH]1 ZINC000066593873 386700573 /nfs/dbraw/zinc/70/05/73/386700573.db2.gz MGFOYRHEHBFHQV-UHFFFAOYSA-N 0 3 209.337 2.716 20 0 BFADHN Cc1cc(CN2CCC[C@H]3CCC[C@@H]32)ncn1 ZINC000292618769 386700792 /nfs/dbraw/zinc/70/07/92/386700792.db2.gz XSRNCIORZPACQN-OCCSQVGLSA-N 0 3 231.343 2.550 20 0 BFADHN Fc1ccc([C@H]2C[C@H](NCc3ncc[nH]3)C2)cc1 ZINC000292633606 386702528 /nfs/dbraw/zinc/70/25/28/386702528.db2.gz JRFNUWAXSMMHQN-AULYBMBSSA-N 0 3 245.301 2.585 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](C)Cn2ccnc2)o1 ZINC000268829720 386702832 /nfs/dbraw/zinc/70/28/32/386702832.db2.gz QRPVLDHUAPKVQG-VXGBXAGGSA-N 0 3 247.342 2.778 20 0 BFADHN CC[C@H](NCC[C@H](C)O)c1ccc(F)cc1F ZINC000268845199 386705743 /nfs/dbraw/zinc/70/57/43/386705743.db2.gz BESRVWHPIISHLP-ZANVPECISA-N 0 3 243.297 2.776 20 0 BFADHN CCn1cncc1CN1CCC[C@@H]1C(C)(C)C ZINC000417774543 386705906 /nfs/dbraw/zinc/70/59/06/386705906.db2.gz ZIYVOOLFJRDZDK-CYBMUJFWSA-N 0 3 235.375 2.914 20 0 BFADHN CC[C@H]1CCCN1CC(=O)Nc1cccc(C)c1 ZINC000340689600 386706356 /nfs/dbraw/zinc/70/63/56/386706356.db2.gz SMIJZYQDSVGMQM-AWEZNQCLSA-N 0 3 246.354 2.808 20 0 BFADHN CCn1ccc(CNC[C@H](C)CC(C)C)n1 ZINC000414487220 386707054 /nfs/dbraw/zinc/70/70/54/386707054.db2.gz UZCKGNNEWIYLTF-GFCCVEGCSA-N 0 3 223.364 2.675 20 0 BFADHN FCCCN1CC[C@H](c2cccc(F)c2)C1 ZINC000268863859 386707356 /nfs/dbraw/zinc/70/73/56/386707356.db2.gz FBNFZRMBCCECTQ-LBPRGKRZSA-N 0 3 225.282 2.975 20 0 BFADHN COc1cc(CN2[C@H](C)CCC[C@@H]2C)ccn1 ZINC000339868087 386732000 /nfs/dbraw/zinc/73/20/00/386732000.db2.gz SVAUPSHBMCSQJX-TXEJJXNPSA-N 0 3 234.343 2.853 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1ccco1)C1CC1 ZINC000414496205 386737339 /nfs/dbraw/zinc/73/73/39/386737339.db2.gz DBQYOOGDRWKXCV-ZWNOBZJWSA-N 0 3 223.316 2.573 20 0 BFADHN CC[C@H](N[C@H]1CCOC1)c1ccc(F)cc1F ZINC000269015769 386739059 /nfs/dbraw/zinc/73/90/59/386739059.db2.gz ODUAXLZURWOCFV-GWCFXTLKSA-N 0 3 241.281 2.794 20 0 BFADHN CN(Cc1cn[nH]c1)[C@H]1C=CCCCCC1 ZINC000645097624 386714797 /nfs/dbraw/zinc/71/47/97/386714797.db2.gz SWYMVMMKZHVIOK-ZDUSSCGKSA-N 0 3 219.332 2.730 20 0 BFADHN C[C@H](c1ccccc1)[C@H](C)NCc1ccon1 ZINC000268888581 386715127 /nfs/dbraw/zinc/71/51/27/386715127.db2.gz CPLHKAMWJWGFOG-RYUDHWBXSA-N 0 3 230.311 2.956 20 0 BFADHN Cc1nocc1CNCCCc1ccccc1F ZINC000292746512 386716617 /nfs/dbraw/zinc/71/66/17/386716617.db2.gz AVCIDYDNPUBWEA-UHFFFAOYSA-N 0 3 248.301 2.845 20 0 BFADHN Cc1ccc2c(c1)OCCC[C@@H]2NCC[C@@H](C)O ZINC000268895712 386718122 /nfs/dbraw/zinc/71/81/22/386718122.db2.gz PCWVIINGOLOLAY-OCCSQVGLSA-N 0 3 249.354 2.569 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1cocn1 ZINC000191944489 386719105 /nfs/dbraw/zinc/71/91/05/386719105.db2.gz HZJVBSDQVVIQCG-GFCCVEGCSA-N 0 3 208.305 2.829 20 0 BFADHN CC[C@H]1CCCCCN1Cc1cocn1 ZINC000191944493 386720508 /nfs/dbraw/zinc/72/05/08/386720508.db2.gz HZJVBSDQVVIQCG-LBPRGKRZSA-N 0 3 208.305 2.829 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1ccc(F)c(C)c1 ZINC000268918734 386720646 /nfs/dbraw/zinc/72/06/46/386720646.db2.gz VWSAAHLNFPPTFT-YPMHNXCESA-N 0 3 239.334 2.946 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCCC[C@H]2C)ncn1 ZINC000292765064 386720727 /nfs/dbraw/zinc/72/07/27/386720727.db2.gz ILSBTASIDWCGPX-RISCZKNCSA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@H](NCc1snnc1C)C1CCC1 ZINC000325058999 386720875 /nfs/dbraw/zinc/72/08/75/386720875.db2.gz WKIJWMZKBKYBDV-JTQLQIEISA-N 0 3 225.361 2.515 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](C)CC(C)(C)O)o1 ZINC000269220436 386721459 /nfs/dbraw/zinc/72/14/59/386721459.db2.gz CVKOJXIPMUGRTB-KOLCDFICSA-N 0 3 225.332 2.788 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCC[C@@H]1C1CC1 ZINC000414491355 386721560 /nfs/dbraw/zinc/72/15/60/386721560.db2.gz YTBIDGOEXCXXOI-QWHCGFSZSA-N 0 3 233.359 2.634 20 0 BFADHN CN(CCCF)C[C@H]1OCCc2ccccc21 ZINC000268939583 386723548 /nfs/dbraw/zinc/72/35/48/386723548.db2.gz SRSSRGJFYIDPGI-CQSZACIVSA-N 0 3 237.318 2.592 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@H]2C2CC2)nn1C ZINC000414489496 386724282 /nfs/dbraw/zinc/72/42/82/386724282.db2.gz WGPSXIFLXZOSJD-ZFWWWQNUSA-N 0 3 247.386 2.644 20 0 BFADHN Cc1ccn2cc(CN[C@H](C)C(C)C)nc2c1 ZINC000268943148 386724766 /nfs/dbraw/zinc/72/47/66/386724766.db2.gz VVUVTQCTZGJCQD-GFCCVEGCSA-N 0 3 231.343 2.777 20 0 BFADHN COC1(CCN2CCC(F)(F)CC2)CCC1 ZINC000645134828 386740404 /nfs/dbraw/zinc/74/04/04/386740404.db2.gz UBZXVMHGKWRILS-UHFFFAOYSA-N 0 3 233.302 2.677 20 0 BFADHN COCCOc1cccc(CN2[C@H](C)C[C@@H]2C)c1 ZINC000526989321 386727019 /nfs/dbraw/zinc/72/70/19/386727019.db2.gz KQSVWDVQXAFVFU-BETUJISGSA-N 0 3 249.354 2.695 20 0 BFADHN CCCn1nc(C)c(CN2[C@H](C)C[C@@H]2C)c1C ZINC000526989459 386727465 /nfs/dbraw/zinc/72/74/65/386727465.db2.gz FWJHDSFQIFEPSV-PHIMTYICSA-N 0 3 235.375 2.893 20 0 BFADHN Cc1ccoc1CN1CCOC[C@H](C2CCC2)C1 ZINC000292797595 386728344 /nfs/dbraw/zinc/72/83/44/386728344.db2.gz NCHWWPUHJZKVCU-CQSZACIVSA-N 0 3 249.354 2.837 20 0 BFADHN CCn1cncc1CN(C(C)C)C1CCC1 ZINC000417792075 386728399 /nfs/dbraw/zinc/72/83/99/386728399.db2.gz MJRIEKZPLWGPLZ-UHFFFAOYSA-N 0 3 221.348 2.666 20 0 BFADHN Cc1ccc2nc(CN[C@H](C)CC3CC3)cn2c1 ZINC000268958667 386728749 /nfs/dbraw/zinc/72/87/49/386728749.db2.gz ZMRCLDGYYSVSQT-GFCCVEGCSA-N 0 3 243.354 2.921 20 0 BFADHN Cn1ccc(CN2CC[C@@]3(C)[C@@H](C2)C3(F)F)c1 ZINC000292794775 386729220 /nfs/dbraw/zinc/72/92/20/386729220.db2.gz MVESLJDGEVPRJR-NEPJUHHUSA-N 0 3 240.297 2.502 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(C(C)C)nc2C)C1 ZINC000292932491 386751392 /nfs/dbraw/zinc/75/13/92/386751392.db2.gz ZEOAXSQXYCFAPQ-AWEZNQCLSA-N 0 3 248.370 2.734 20 0 BFADHN c1nc(CNC[C@@H]2CCCc3ccccc32)co1 ZINC000192282560 386752341 /nfs/dbraw/zinc/75/23/41/386752341.db2.gz CJYSFCNABYSCBX-ZDUSSCGKSA-N 0 3 242.322 2.884 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)ncn1 ZINC000292926836 386752306 /nfs/dbraw/zinc/75/23/06/386752306.db2.gz CEVHSPKPEBKZIY-KBPBESRZSA-N 0 3 245.370 2.796 20 0 BFADHN CC[C@@H](CNCc1ccsc1Cl)OC ZINC000336663945 386754246 /nfs/dbraw/zinc/75/42/46/386754246.db2.gz UKUVHQMWBSPDGU-VIFPVBQESA-N 0 3 233.764 2.916 20 0 BFADHN C[C@H](CCNCc1ncc[nH]1)CC(C)(C)C ZINC000191118033 386754287 /nfs/dbraw/zinc/75/42/87/386754287.db2.gz LBGLXGIIEQLSOP-LLVKDONJSA-N 0 3 223.364 2.962 20 0 BFADHN CCC[C@H](N)C(=O)N[C@H](C)c1ccc(C)c(C)c1 ZINC000269115314 386754258 /nfs/dbraw/zinc/75/42/58/386754258.db2.gz YVIMJFGTJIZBKN-OCCSQVGLSA-N 0 3 248.370 2.608 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@H]1CCCc2ccc(F)cc21 ZINC000279904454 386755446 /nfs/dbraw/zinc/75/54/46/386755446.db2.gz WFADQPWHXGGJSO-LKTVYLICSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H](C(=O)Nc1ccccc1)N1CC[C@@H](C)[C@@H]1C ZINC000248344701 386756327 /nfs/dbraw/zinc/75/63/27/386756327.db2.gz LXJSFCARDFFBIW-AGIUHOORSA-N 0 3 246.354 2.744 20 0 BFADHN Cc1ccc2cc([C@H](C)N[C@H](C)CO)oc2c1 ZINC000425948195 386757797 /nfs/dbraw/zinc/75/77/97/386757797.db2.gz ATCDNQSFJNZYOM-MNOVXSKESA-N 0 3 233.311 2.773 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@@H]1CCCC[C@H]1F)OC ZINC000639264322 386759125 /nfs/dbraw/zinc/75/91/25/386759125.db2.gz BVVNHQVUEVFERD-UMSGYPCISA-N 0 3 231.355 2.918 20 0 BFADHN CSCCN[C@@H]1CCCc2c(O)cccc21 ZINC000192354756 386759235 /nfs/dbraw/zinc/75/92/35/386759235.db2.gz JFYKYBLNNDSTKC-GFCCVEGCSA-N 0 3 237.368 2.722 20 0 BFADHN Cc1ccc(CNC[C@H]2C[C@@H](O)C2)c(Cl)c1 ZINC000623749144 386759493 /nfs/dbraw/zinc/75/94/93/386759493.db2.gz WWTWPMGSXFWPQQ-KLPPZKSPSA-N 0 3 239.746 2.509 20 0 BFADHN CC(C)C1(NCc2cn(C)nc2C(F)F)CC1 ZINC000292998545 386760131 /nfs/dbraw/zinc/76/01/31/386760131.db2.gz MVKLORWVFYOCQI-UHFFFAOYSA-N 0 3 243.301 2.636 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1CCc2ccccc21 ZINC000352855656 386760729 /nfs/dbraw/zinc/76/07/29/386760729.db2.gz UPAGJFMHAHGFPC-CYBMUJFWSA-N 0 3 241.338 2.538 20 0 BFADHN C[C@H](O)CCCN1CCc2sccc2[C@H]1C ZINC000336664522 386761888 /nfs/dbraw/zinc/76/18/88/386761888.db2.gz PGRSYNCFHULSJP-WDEREUQCSA-N 0 3 239.384 2.828 20 0 BFADHN COc1cc(C)cc(CN[C@@H]2COC(C)(C)C2)c1 ZINC000417955419 386762695 /nfs/dbraw/zinc/76/26/95/386762695.db2.gz RPAPGPLFNWSMIT-ZDUSSCGKSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2ccc3c(c2)OCCO3)C1 ZINC000353746332 386768415 /nfs/dbraw/zinc/76/84/15/386768415.db2.gz KCKNOHAWWSUXQC-NEPJUHHUSA-N 0 3 247.338 2.688 20 0 BFADHN CCn1cncc1CN1CC[C@@H](C)C(C)(C)C1 ZINC000417986361 386790117 /nfs/dbraw/zinc/79/01/17/386790117.db2.gz IGSQUMFNBIQSLD-GFCCVEGCSA-N 0 3 235.375 2.771 20 0 BFADHN c1cncc([C@@H](NC[C@@H]2CCCO2)C2CCC2)c1 ZINC000280255497 386794720 /nfs/dbraw/zinc/79/47/20/386794720.db2.gz JGDIWMVMTMBEQR-GJZGRUSLSA-N 0 3 246.354 2.691 20 0 BFADHN c1cncc([C@@H](NC[C@H]2CCCO2)C2CCC2)c1 ZINC000280255494 386795303 /nfs/dbraw/zinc/79/53/03/386795303.db2.gz JGDIWMVMTMBEQR-CABCVRRESA-N 0 3 246.354 2.691 20 0 BFADHN CC(C)[C@H]1CN([C@H](C)c2ccccn2)CCCO1 ZINC000293042218 386770271 /nfs/dbraw/zinc/77/02/71/386770271.db2.gz HEAMFJMGESTKCH-UKRRQHHQSA-N 0 3 248.370 2.890 20 0 BFADHN CCc1nocc1CNC[C@@H]1Cc2ccccc21 ZINC000645152194 386770608 /nfs/dbraw/zinc/77/06/08/386770608.db2.gz WEYGOKBQDDLXPY-LBPRGKRZSA-N 0 3 242.322 2.667 20 0 BFADHN Cc1ccc(CNCCC2=CCCCC2)nn1 ZINC000396546853 386772943 /nfs/dbraw/zinc/77/29/43/386772943.db2.gz CGTPNPCWKIJEPP-UHFFFAOYSA-N 0 3 231.343 2.765 20 0 BFADHN Cc1ccc(CN[C@H]2CCOC2(C)C)cc1F ZINC000293270831 386796147 /nfs/dbraw/zinc/79/61/47/386796147.db2.gz CIKNRUYIAFRRSJ-ZDUSSCGKSA-N 0 3 237.318 2.791 20 0 BFADHN CCSCCCN[C@H](C)c1cncs1 ZINC000282898255 386774920 /nfs/dbraw/zinc/77/49/20/386774920.db2.gz WOHMTXPWCNWIRK-SECBINFHSA-N 0 3 230.402 2.937 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@H](C)[C@H]2C)sn1 ZINC000639273647 386775662 /nfs/dbraw/zinc/77/56/62/386775662.db2.gz XRQZCBJIWCHGTE-INTQDDNPSA-N 0 3 224.373 2.928 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@H](C)C[C@H]2C)nn1 ZINC000396559920 386775965 /nfs/dbraw/zinc/77/59/65/386775965.db2.gz JPUZEMZYIVOIPQ-MISXGVKJSA-N 0 3 233.359 2.699 20 0 BFADHN CC1(C)OCC[C@@H]1NCc1cc(F)ccc1F ZINC000293232145 386776157 /nfs/dbraw/zinc/77/61/57/386776157.db2.gz AVGKDRRDOVIBLZ-LBPRGKRZSA-N 0 3 241.281 2.622 20 0 BFADHN CN(Cc1cnc(C2CC2)nc1)C(C)(C)C ZINC000648070119 386776286 /nfs/dbraw/zinc/77/62/86/386776286.db2.gz ZPVRPENBIJCPGK-UHFFFAOYSA-N 0 3 219.332 2.584 20 0 BFADHN Cc1ccc(CN[C@@H](C)C2CCCCC2)nn1 ZINC000396579043 386780660 /nfs/dbraw/zinc/78/06/60/386780660.db2.gz RAZZXKRBSUUMIJ-LBPRGKRZSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1ccc(CN[C@H](C)C2CCCCC2)nn1 ZINC000396579040 386781622 /nfs/dbraw/zinc/78/16/22/386781622.db2.gz RAZZXKRBSUUMIJ-GFCCVEGCSA-N 0 3 233.359 2.843 20 0 BFADHN CO[C@H](CN[C@@H]1COC(C)(C)C1)c1ccccc1 ZINC000396579965 386782468 /nfs/dbraw/zinc/78/24/68/386782468.db2.gz HJIILHHNJBXOKL-UONOGXRCSA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cc(C)ncn1 ZINC000293148956 386782831 /nfs/dbraw/zinc/78/28/31/386782831.db2.gz MIUWOMUNJYJQCY-YPMHNXCESA-N 0 3 219.332 2.548 20 0 BFADHN CC/C=C\CNCc1cnn(C(C)C)c1 ZINC000394986136 386783611 /nfs/dbraw/zinc/78/36/11/386783611.db2.gz IDMJZUIYJBAOGZ-WAYWQWQTSA-N 0 3 207.321 2.520 20 0 BFADHN CC(C)[C@H]1CN([C@H](C)c2cccnc2)CCCO1 ZINC000293272265 386796599 /nfs/dbraw/zinc/79/65/99/386796599.db2.gz VALOLDRBMDNPNY-UKRRQHHQSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@H](O)CN1CCC[C@H]1c1ccccc1Cl ZINC000248656573 386786373 /nfs/dbraw/zinc/78/63/73/386786373.db2.gz RSIINOZTMXTIAI-GWCFXTLKSA-N 0 3 239.746 2.858 20 0 BFADHN CCN(CCC(C)=O)CCc1cccs1 ZINC000269379082 386787157 /nfs/dbraw/zinc/78/71/57/386787157.db2.gz CLYQBTITYFIQHJ-UHFFFAOYSA-N 0 3 225.357 2.592 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2ccco2)CC1 ZINC000280173414 386787558 /nfs/dbraw/zinc/78/75/58/386787558.db2.gz GDQOHYKSGZZVIV-ZDUSSCGKSA-N 0 3 223.316 2.671 20 0 BFADHN C[C@@H](NCCF)[C@@H]1C[C@H]1c1ccccc1 ZINC000282959200 386789027 /nfs/dbraw/zinc/78/90/27/386789027.db2.gz CKEJXOKDFXAPBP-WXHSDQCUSA-N 0 3 207.292 2.738 20 0 BFADHN Cc1cnc(CNCCCC2CCCC2)n1C ZINC000341005783 386816989 /nfs/dbraw/zinc/81/69/89/386816989.db2.gz CRHZQGXMYDFIPP-UHFFFAOYSA-N 0 3 235.375 2.789 20 0 BFADHN CSCCCCCCNCc1conc1C ZINC000293339061 386816961 /nfs/dbraw/zinc/81/69/61/386816961.db2.gz SCGGNROXLDPCQQ-UHFFFAOYSA-N 0 3 242.388 2.996 20 0 BFADHN CC[C@H](O)CN[C@@H](C)c1nccc2ccccc21 ZINC000293285410 386797116 /nfs/dbraw/zinc/79/71/16/386797116.db2.gz DBXGWENCSQGPAU-AAEUAGOBSA-N 0 3 244.338 2.656 20 0 BFADHN CC(C)[C@H]1CN([C@@H](C)c2cccnc2)CCCO1 ZINC000293272257 386797813 /nfs/dbraw/zinc/79/78/13/386797813.db2.gz VALOLDRBMDNPNY-DZGCQCFKSA-N 0 3 248.370 2.890 20 0 BFADHN CC[C@H]1CCCN1Cc1ccnn1CC(C)C ZINC000648003807 386803195 /nfs/dbraw/zinc/80/31/95/386803195.db2.gz AEJBNQDGSBXFJW-ZDUSSCGKSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1ccoc1CN1CCCC[C@H]1C[C@H](C)O ZINC000280365292 386807845 /nfs/dbraw/zinc/80/78/45/386807845.db2.gz DDDZJAJRFPMDGW-STQMWFEESA-N 0 3 237.343 2.713 20 0 BFADHN CC/C=C\CNCc1ccc(OC)cc1 ZINC000395038132 386808009 /nfs/dbraw/zinc/80/80/09/386808009.db2.gz MPOOGMQTHDUITH-PLNGDYQASA-N 0 3 205.301 2.751 20 0 BFADHN COC[C@H](NCc1occc1C)C1CCCC1 ZINC000293380799 386809653 /nfs/dbraw/zinc/80/96/53/386809653.db2.gz IJCRUDGTAZVXNU-ZDUSSCGKSA-N 0 3 237.343 2.883 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1cncn1CC ZINC000417998832 386811755 /nfs/dbraw/zinc/81/17/55/386811755.db2.gz VHTNHMZGHGSZQG-VIFPVBQESA-N 0 3 249.280 2.724 20 0 BFADHN COCc1cccc(CN2C[C@@H](C)[C@H]2C)c1 ZINC000293395939 386812079 /nfs/dbraw/zinc/81/20/79/386812079.db2.gz LCHDZRHRDUEBRD-VXGBXAGGSA-N 0 3 219.328 2.673 20 0 BFADHN CCN(CCc1cccs1)Cc1c[nH]cn1 ZINC000280388676 386812101 /nfs/dbraw/zinc/81/21/01/386812101.db2.gz GFGIKDMQGRIZBC-UHFFFAOYSA-N 0 3 235.356 2.536 20 0 BFADHN CCN(CCc1cccs1)Cc1cnc[nH]1 ZINC000280388676 386812105 /nfs/dbraw/zinc/81/21/05/386812105.db2.gz GFGIKDMQGRIZBC-UHFFFAOYSA-N 0 3 235.356 2.536 20 0 BFADHN CC[C@@H](C)N[C@@H](C)c1cc(OC)ncc1F ZINC000336671349 386812290 /nfs/dbraw/zinc/81/22/90/386812290.db2.gz AKTQFKUUUZEUSO-BDAKNGLRSA-N 0 3 226.295 2.678 20 0 BFADHN Cc1ccc2nc(CN[C@@H](C)C3(C)CC3)cn2c1 ZINC000341017051 386812978 /nfs/dbraw/zinc/81/29/78/386812978.db2.gz HEIATUDFMOERAI-LBPRGKRZSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCCC[C@H]2C)n1C ZINC000341040393 386826442 /nfs/dbraw/zinc/82/64/42/386826442.db2.gz FOJTWJLQGDROAV-YPMHNXCESA-N 0 3 235.375 2.644 20 0 BFADHN C[C@H]1CSCCN1C[C@H]1CCC(F)(F)C1 ZINC000334776117 386829106 /nfs/dbraw/zinc/82/91/06/386829106.db2.gz GINIUNBKDBCPCR-UWVGGRQHSA-N 0 3 235.343 2.859 20 0 BFADHN CCCCC(=O)NCCN1[C@H](C)CCC[C@H]1C ZINC000341056972 386830180 /nfs/dbraw/zinc/83/01/80/386830180.db2.gz JDYTVXRQHDFQGR-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2ncc(C)n2C)C1 ZINC000341052622 386831359 /nfs/dbraw/zinc/83/13/59/386831359.db2.gz UACDZCLFZNGDCY-QWHCGFSZSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)n1C ZINC000341056607 386831893 /nfs/dbraw/zinc/83/18/93/386831893.db2.gz ZQWUBJZLHLFZDG-NTZNESFSSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1cnc(CNCCC2=CCCCC2)n1C ZINC000341080048 386833138 /nfs/dbraw/zinc/83/31/38/386833138.db2.gz QJEDHRPNEHOMEF-UHFFFAOYSA-N 0 3 233.359 2.709 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1ncc(C)n1C ZINC000341085450 386834960 /nfs/dbraw/zinc/83/49/60/386834960.db2.gz BEWQPFBZDRKFPT-STQMWFEESA-N 0 3 235.375 2.787 20 0 BFADHN Cc1noc(C2CN(CC3CCCCCC3)C2)n1 ZINC000334781504 386838166 /nfs/dbraw/zinc/83/81/66/386838166.db2.gz CMEBUMCCQLUFGE-UHFFFAOYSA-N 0 3 249.358 2.748 20 0 BFADHN CCC1CCC(NCc2ncc(C)n2C)CC1 ZINC000341121913 386841810 /nfs/dbraw/zinc/84/18/10/386841810.db2.gz KUMKLIKSVAIOJZ-UHFFFAOYSA-N 0 3 235.375 2.787 20 0 BFADHN CC1(C)C[C@@H]1N[C@@H](c1nc[nH]n1)C1CCCCC1 ZINC000330271781 386842749 /nfs/dbraw/zinc/84/27/49/386842749.db2.gz WNCJETVTBOCFDP-NWDGAFQWSA-N 0 3 248.374 2.814 20 0 BFADHN CC1(C)C[C@@H]1N[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000330271781 386842755 /nfs/dbraw/zinc/84/27/55/386842755.db2.gz WNCJETVTBOCFDP-NWDGAFQWSA-N 0 3 248.374 2.814 20 0 BFADHN CC(C)Cn1cc(CN2C[C@@H](C)C[C@@H]2C)cn1 ZINC000341141531 386844564 /nfs/dbraw/zinc/84/45/64/386844564.db2.gz RZIGZTYMJFRJEA-STQMWFEESA-N 0 3 235.375 2.769 20 0 BFADHN Cc1ccncc1[C@H](C)NCCc1ccco1 ZINC000357784754 386845108 /nfs/dbraw/zinc/84/51/08/386845108.db2.gz OCPFXDYOZLIPCC-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cncn1C(C)C ZINC000425331799 386845175 /nfs/dbraw/zinc/84/51/75/386845175.db2.gz YKFWZMXHXMGNHR-LBPRGKRZSA-N 0 3 223.364 2.940 20 0 BFADHN CCC[C@H](O)CN1CCC=C(c2ccccc2)C1 ZINC000450929115 386845300 /nfs/dbraw/zinc/84/53/00/386845300.db2.gz IRLCKQHYZZNGME-INIZCTEOSA-N 0 3 245.366 2.937 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@@]1(C)CCCO1 ZINC000357799283 386846274 /nfs/dbraw/zinc/84/62/74/386846274.db2.gz VETPNXQAOZIXEQ-GXTWGEPZSA-N 0 3 234.343 2.610 20 0 BFADHN Cc1nocc1CNc1cccc(CN(C)C)c1 ZINC000293623728 386848503 /nfs/dbraw/zinc/84/85/03/386848503.db2.gz QPBCAMHBZCKOPV-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN c1nc(CN[C@H]2CCC[C@@H]2C2CC2)cs1 ZINC000336674433 386849618 /nfs/dbraw/zinc/84/96/18/386849618.db2.gz AFBJGRLVHJHOAL-NEPJUHHUSA-N 0 3 222.357 2.811 20 0 BFADHN CS[C@H]1CC[C@@H]1N[C@H](C)c1cccc(O)c1 ZINC000425336105 386850301 /nfs/dbraw/zinc/85/03/01/386850301.db2.gz JRGBRHLGWKTCLE-ICCXJUOJSA-N 0 3 237.368 2.937 20 0 BFADHN COC[C@H]1CCN1Cc1ccc(C)cc1C ZINC000293637276 386851308 /nfs/dbraw/zinc/85/13/08/386851308.db2.gz LXSYSSBSZKYVHQ-CQSZACIVSA-N 0 3 219.328 2.524 20 0 BFADHN CC(C)n1ccc(C[C@@H](C)NCc2ccoc2)n1 ZINC000341178187 386852592 /nfs/dbraw/zinc/85/25/92/386852592.db2.gz DTDGRHVZNTYMIY-GFCCVEGCSA-N 0 3 247.342 2.778 20 0 BFADHN Cc1ccoc1CN1CCCO[C@@H](C(C)C)C1 ZINC000293872966 386892984 /nfs/dbraw/zinc/89/29/84/386892984.db2.gz CRNSGLRCJYBPCM-CYBMUJFWSA-N 0 3 237.343 2.835 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1ccc(Cl)cn1 ZINC000425345029 386855256 /nfs/dbraw/zinc/85/52/56/386855256.db2.gz JOZFHGATYVJSBL-MNOVXSKESA-N 0 3 242.775 2.719 20 0 BFADHN CC[C@H](NCC1CC(F)(F)C1)c1nccn1C ZINC000293661120 386856631 /nfs/dbraw/zinc/85/66/31/386856631.db2.gz LZJIRNQQWVNWAC-JTQLQIEISA-N 0 3 243.301 2.506 20 0 BFADHN Cc1noc(C2CN(C[C@@H]3CCC[C@H](C)C3)C2)n1 ZINC000334792381 386858740 /nfs/dbraw/zinc/85/87/40/386858740.db2.gz MYVIWDODGCZPOL-CMPLNLGQSA-N 0 3 249.358 2.604 20 0 BFADHN CCc1ncc(CN2CCC[C@@H](C)CC2)cn1 ZINC000334828286 386864615 /nfs/dbraw/zinc/86/46/15/386864615.db2.gz ZUNQTSQCLSFANY-GFCCVEGCSA-N 0 3 233.359 2.661 20 0 BFADHN C[C@@H]1CCN(CC(N)=O)CC12CCCCC2 ZINC000335745097 386865035 /nfs/dbraw/zinc/86/50/35/386865035.db2.gz LDNBBRKPNDNXTI-LLVKDONJSA-N 0 3 224.348 2.814 20 0 BFADHN C[C@H](CCC1CC1)N[C@H](C)c1cnccn1 ZINC000336739119 386872185 /nfs/dbraw/zinc/87/21/85/386872185.db2.gz KVLBSHOUSRPLGG-GHMZBOCLSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H](N[C@H]1CC[C@H](F)C1)c1ccc(F)cn1 ZINC000336739247 386876063 /nfs/dbraw/zinc/87/60/63/386876063.db2.gz LBTZNHYHRFFDMV-QXEWZRGKSA-N 0 3 226.270 2.762 20 0 BFADHN COc1ccc(CN2C[C@H]3CCCC[C@@H]3C2)nc1 ZINC000335750204 386877159 /nfs/dbraw/zinc/87/71/59/386877159.db2.gz VMZNVYSWKXJHJC-CHWSQXEVSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](C)[C@H]2C)n(C)n1 ZINC000418009073 386883899 /nfs/dbraw/zinc/88/38/99/386883899.db2.gz HRVPAQIGWUHTPH-NTZNESFSSA-N 0 3 235.375 2.595 20 0 BFADHN Cc1ccc(CN2CCC[C@H]3CCCC[C@H]32)nn1 ZINC000334842835 386887695 /nfs/dbraw/zinc/88/76/95/386887695.db2.gz WSCSLLQWIXHWOI-UKRRQHHQSA-N 0 3 245.370 2.940 20 0 BFADHN CC(C)c1nnc([C@@H](C)N[C@@H]2CCC2(C)C)[nH]1 ZINC000331762899 386895576 /nfs/dbraw/zinc/89/55/76/386895576.db2.gz JONSUSRCBYVGKM-NXEZZACHSA-N 0 3 236.363 2.767 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+][C@@H]2CCC2(C)C)[n-]1 ZINC000331762899 386895578 /nfs/dbraw/zinc/89/55/78/386895578.db2.gz JONSUSRCBYVGKM-NXEZZACHSA-N 0 3 236.363 2.767 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@@H](C)CC2)n1C ZINC000341380460 386897184 /nfs/dbraw/zinc/89/71/84/386897184.db2.gz LLQJNIBNUKLITI-DGCLKSJQSA-N 0 3 235.375 2.787 20 0 BFADHN COc1ccsc1CN1[C@@H](C)C[C@@H]1C ZINC000335760516 386898805 /nfs/dbraw/zinc/89/88/05/386898805.db2.gz UQESJSMPJKPNTK-IUCAKERBSA-N 0 3 211.330 2.739 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1cccc2c[nH]nc21 ZINC000335760499 386898992 /nfs/dbraw/zinc/89/89/92/386898992.db2.gz RNKGALRDMFEPSV-AOOOYVTPSA-N 0 3 215.300 2.546 20 0 BFADHN Cc1nn(C(C)C)cc1CNCCC(F)(F)F ZINC000341388438 386899920 /nfs/dbraw/zinc/89/99/20/386899920.db2.gz CBIBIDMZLKGGHL-UHFFFAOYSA-N 0 3 249.280 2.814 20 0 BFADHN Cc1nccn1CCN1Cc2ccc(C)cc2C1 ZINC000335762637 386902781 /nfs/dbraw/zinc/90/27/81/386902781.db2.gz KOVRAZRKGULSPZ-UHFFFAOYSA-N 0 3 241.338 2.516 20 0 BFADHN CS[C@H]1CCN(Cc2ccoc2C)C1 ZINC000334854248 386904221 /nfs/dbraw/zinc/90/42/21/386904221.db2.gz QTPMFLAWJZVCSO-NSHDSACASA-N 0 3 211.330 2.525 20 0 BFADHN Cc1ccc2nccc(NC[C@@H](C)CO)c2c1 ZINC000302161664 386908981 /nfs/dbraw/zinc/90/89/81/386908981.db2.gz POABVQRXEUCULB-LLVKDONJSA-N 0 3 230.311 2.584 20 0 BFADHN Cc1ccc2nccc(NCC[C@H](C)O)c2c1 ZINC000302156427 386909216 /nfs/dbraw/zinc/90/92/16/386909216.db2.gz MVULGJTWMUSZEW-NSHDSACASA-N 0 3 230.311 2.726 20 0 BFADHN Cc1ccc2nccc(N[C@H](C)CCO)c2c1 ZINC000302159009 386909273 /nfs/dbraw/zinc/90/92/73/386909273.db2.gz OERLPWYJWMDYNU-LLVKDONJSA-N 0 3 230.311 2.726 20 0 BFADHN Cc1ccc2nccc(NC[C@@H](C)CCO)c2c1 ZINC000302162718 386909369 /nfs/dbraw/zinc/90/93/69/386909369.db2.gz QAMBWBCPLHFFRI-LBPRGKRZSA-N 0 3 244.338 2.974 20 0 BFADHN Cc1ccc2nccc(NCC3(CO)CC3)c2c1 ZINC000302173395 386911173 /nfs/dbraw/zinc/91/11/73/386911173.db2.gz VSSZUKAOTMREHG-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN COCC[C@H](C)N[C@H](C)c1ccc(Cl)cn1 ZINC000336762141 386921790 /nfs/dbraw/zinc/92/17/90/386921790.db2.gz LDRQXJFLATXBNT-VHSXEESVSA-N 0 3 242.750 2.811 20 0 BFADHN CCC(CC)C(=O)N[C@@H](CC(C)C)CN(C)C ZINC000358270654 386923395 /nfs/dbraw/zinc/92/33/95/386923395.db2.gz XBNUWXASLANZOL-ZDUSSCGKSA-N 0 3 242.407 2.515 20 0 BFADHN CCN(CC)CCSc1ncncc1Cl ZINC000302562867 386927916 /nfs/dbraw/zinc/92/79/16/386927916.db2.gz NNKURKLDVIZKFO-UHFFFAOYSA-N 0 3 245.779 2.564 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1ccc2ccccc2n1 ZINC000358307516 386930164 /nfs/dbraw/zinc/93/01/64/386930164.db2.gz RFJBHGNDPNOMCF-VXGBXAGGSA-N 0 3 244.338 2.920 20 0 BFADHN CO[C@@H](C)CNc1ccnc2ccc(C)cc21 ZINC000302688341 386932568 /nfs/dbraw/zinc/93/25/68/386932568.db2.gz UNLJHTSAQYBEMM-NSHDSACASA-N 0 3 230.311 2.990 20 0 BFADHN CC(C)Cn1nccc1CN1CC[C@H](C2CC2)C1 ZINC000648011205 386935768 /nfs/dbraw/zinc/93/57/68/386935768.db2.gz JNLBMQCTYBAPAJ-AWEZNQCLSA-N 0 3 247.386 2.771 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1C[C@@H]1C1CCCCC1 ZINC000368093997 386939531 /nfs/dbraw/zinc/93/95/31/386939531.db2.gz VBCCOLSHOKAZGS-CHWSQXEVSA-N 0 3 233.359 2.777 20 0 BFADHN Cc1nccc(CN2CCC(C(C)C)CC2)n1 ZINC000334878366 386940624 /nfs/dbraw/zinc/94/06/24/386940624.db2.gz PWACQQNIEHRNBA-UHFFFAOYSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1ccc([C@H](C)NCCc2ncc(C)cn2)o1 ZINC000358363135 386941694 /nfs/dbraw/zinc/94/16/94/386941694.db2.gz GBUXPHZVYRBQAV-LBPRGKRZSA-N 0 3 245.326 2.580 20 0 BFADHN CC[C@H](N[C@@H](C)[C@@H](C)OC)c1c(C)noc1C ZINC000358360283 386941905 /nfs/dbraw/zinc/94/19/05/386941905.db2.gz BQEBNDIOCKLJJU-XRNSZHNASA-N 0 3 240.347 2.756 20 0 BFADHN CC(C)Cn1nccc1CN1CC[C@@H](C)[C@H]1C ZINC000648012419 386942331 /nfs/dbraw/zinc/94/23/31/386942331.db2.gz DJHFXOJVKDMPHS-CHWSQXEVSA-N 0 3 235.375 2.769 20 0 BFADHN c1coc(CN[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)c1 ZINC000368130402 386943606 /nfs/dbraw/zinc/94/36/06/386943606.db2.gz BODCNGNTLWVWHB-QLFBSQMISA-N 0 3 225.291 2.708 20 0 BFADHN CC[C@H](COC)N[C@H]1c2ccccc2O[C@H]1C ZINC000368143083 386946062 /nfs/dbraw/zinc/94/60/62/386946062.db2.gz POOSZYBNTNGZGA-MISXGVKJSA-N 0 3 235.327 2.523 20 0 BFADHN C[C@@H]1C[C@@H](CO)CN(C/C=C\c2ccccc2)C1 ZINC000398706683 386959395 /nfs/dbraw/zinc/95/93/95/386959395.db2.gz VOUSBDCAVGFHBZ-YOPWKTHZSA-N 0 3 245.366 2.650 20 0 BFADHN C[C@@H]1C[C@H](CO)CN(C/C=C/c2ccccc2)C1 ZINC000398706686 386960698 /nfs/dbraw/zinc/96/06/98/386960698.db2.gz VOUSBDCAVGFHBZ-ZTHSPGPESA-N 0 3 245.366 2.650 20 0 BFADHN Cc1ccc(C)c(NC(=O)[C@H]2C[C@@H](C)CCN2)c1 ZINC000125986839 386964402 /nfs/dbraw/zinc/96/44/02/386964402.db2.gz HPCMCTUNPOGSEG-SMDDNHRTSA-N 0 3 246.354 2.630 20 0 BFADHN Cc1ccc(NC(=O)[C@H]2C[C@H](C)CCN2)cc1C ZINC000126033025 386968447 /nfs/dbraw/zinc/96/84/47/386968447.db2.gz RNMORLSAJVTZIF-QMTHXVAHSA-N 0 3 246.354 2.630 20 0 BFADHN CS[C@H]1CC[C@H]1N[C@@H](C)c1cccnc1 ZINC000425360651 386977509 /nfs/dbraw/zinc/97/75/09/386977509.db2.gz SOWHWIDXXKBAFI-WCQGTBRESA-N 0 3 222.357 2.626 20 0 BFADHN CO[C@@H]1CCC[C@H]1CN[C@H](C)c1ccccn1 ZINC000341888633 386983630 /nfs/dbraw/zinc/98/36/30/386983630.db2.gz LKFXKASHPWUCIB-MBNYWOFBSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)(C)CCN[C@@H](CO)c1cccc(F)c1 ZINC000341919258 386992660 /nfs/dbraw/zinc/99/26/60/386992660.db2.gz HUPYUZKLKHLOPC-ZDUSSCGKSA-N 0 3 239.334 2.885 20 0 BFADHN CC[C@H](CSC)N(C)Cc1cccc(C)n1 ZINC000358742834 387005164 /nfs/dbraw/zinc/00/51/64/387005164.db2.gz ZZCBJULQQUXLRF-CYBMUJFWSA-N 0 3 238.400 2.963 20 0 BFADHN COc1nccc(CN[C@H](C)C2CCCCC2)n1 ZINC000395156749 386949016 /nfs/dbraw/zinc/94/90/16/386949016.db2.gz QZMZKPNKWLHNTB-LLVKDONJSA-N 0 3 249.358 2.544 20 0 BFADHN CC(C)c1nnc(C[NH2+]C2CCC3(CC3)CC2)[n-]1 ZINC000333368510 387030070 /nfs/dbraw/zinc/03/00/70/387030070.db2.gz YZIDOBGCYHTFEL-UHFFFAOYSA-N 0 3 248.374 2.741 20 0 BFADHN CC(C)c1nnc(CNC2CCC3(CC3)CC2)[nH]1 ZINC000333368510 387030071 /nfs/dbraw/zinc/03/00/71/387030071.db2.gz YZIDOBGCYHTFEL-UHFFFAOYSA-N 0 3 248.374 2.741 20 0 BFADHN CCC(CC)CN(CC(=O)OC)C1CCCC1 ZINC000148946419 387032914 /nfs/dbraw/zinc/03/29/14/387032914.db2.gz JGAHMBIRMFJHAS-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN CO[C@H](CN(C)Cc1cccc(F)c1)C1CC1 ZINC000425382830 387034179 /nfs/dbraw/zinc/03/41/79/387034179.db2.gz IRMINWHWSCWCII-CQSZACIVSA-N 0 3 237.318 2.683 20 0 BFADHN CO[C@@H](CN(C)Cc1cccc(F)c1)C1CC1 ZINC000425382829 387034929 /nfs/dbraw/zinc/03/49/29/387034929.db2.gz IRMINWHWSCWCII-AWEZNQCLSA-N 0 3 237.318 2.683 20 0 BFADHN Cc1cc(CCNCc2cc(C)ccc2F)on1 ZINC000353064757 387035338 /nfs/dbraw/zinc/03/53/38/387035338.db2.gz RHEPMFQVPGIKFC-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN CC(C)[C@@H](NC[C@@H](C)O)c1cc(F)ccc1F ZINC000358933778 387036484 /nfs/dbraw/zinc/03/64/84/387036484.db2.gz KCFGNJZUIDNDTG-NOZJJQNGSA-N 0 3 243.297 2.632 20 0 BFADHN Cc1cc(CN2CCC[C@H](C(C)C)C2)n(C)n1 ZINC000334959217 387039354 /nfs/dbraw/zinc/03/93/54/387039354.db2.gz VVAOTLFRWCVYPW-ZDUSSCGKSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@@H]1Cc2ccc(F)cc2[C@@H]1N[C@H]1C[C@@](C)(O)C1 ZINC000417406533 387043115 /nfs/dbraw/zinc/04/31/15/387043115.db2.gz RLNDWBWYLAZXFS-VHIFSBLJSA-N 0 3 249.329 2.562 20 0 BFADHN CCOCCNCc1cc2c(cc(C)cc2C)[nH]1 ZINC000359071463 387052950 /nfs/dbraw/zinc/05/29/50/387052950.db2.gz KTKDOLOGKKFRCB-UHFFFAOYSA-N 0 3 246.354 2.911 20 0 BFADHN CCc1nocc1CN1C[C@H](C)[C@H](C)[C@@H]1C ZINC000418119664 387067424 /nfs/dbraw/zinc/06/74/24/387067424.db2.gz GZENSCDPTSDKBB-DCAQKATOSA-N 0 3 222.332 2.713 20 0 BFADHN C[C@@H](NCCC[C@H](C)O)c1ccc(F)cc1F ZINC000228044863 387061730 /nfs/dbraw/zinc/06/17/30/387061730.db2.gz XLLDVGOOXYIOAI-VHSXEESVSA-N 0 3 243.297 2.776 20 0 BFADHN F[C@H]1CCN(Cc2cccc3[nH]ccc32)C1 ZINC000336222796 387062398 /nfs/dbraw/zinc/06/23/98/387062398.db2.gz LFFOPRZGGCEINB-NSHDSACASA-N 0 3 218.275 2.712 20 0 BFADHN COc1cccc(CN2C[C@H](C)[C@H](C)[C@H]2C)n1 ZINC000418118604 387062518 /nfs/dbraw/zinc/06/25/18/387062518.db2.gz FEWVEOBKCIFESH-SDDRHHMPSA-N 0 3 234.343 2.567 20 0 BFADHN CSCCCCNCc1ccoc1C ZINC000321260829 387063474 /nfs/dbraw/zinc/06/34/74/387063474.db2.gz QLSJQUARQSMBIQ-UHFFFAOYSA-N 0 3 213.346 2.821 20 0 BFADHN CCn1cncc1CN(C)[C@@H]1CC[C@H](C)C1 ZINC000418036459 387064282 /nfs/dbraw/zinc/06/42/82/387064282.db2.gz QHDRKPIVZLXAEZ-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@@H](C)c1ccc(F)cc1F ZINC000228079326 387064941 /nfs/dbraw/zinc/06/49/41/387064941.db2.gz ILWVVBBOQZRAGU-UTLUCORTSA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@H](C)N1CCN(C)C2(CCCCC2)C1 ZINC000514612114 387014088 /nfs/dbraw/zinc/01/40/88/387014088.db2.gz OXTASXHOPZXLBS-ZDUSSCGKSA-N 0 3 224.392 2.735 20 0 BFADHN OCc1ccc(F)c(CN2CCCC23CCC3)c1 ZINC000528477215 387014125 /nfs/dbraw/zinc/01/41/25/387014125.db2.gz LHUPZURVOLRDHY-UHFFFAOYSA-N 0 3 249.329 2.837 20 0 BFADHN CC(C)(C)CCN1CCO[C@H](C2CC2)C1 ZINC000368886410 387017874 /nfs/dbraw/zinc/01/78/74/387017874.db2.gz CISSAYRZLNPEOL-LBPRGKRZSA-N 0 3 211.349 2.533 20 0 BFADHN CC(C)CCCN1CCS[C@@H]2COCC[C@@H]21 ZINC000368889342 387018561 /nfs/dbraw/zinc/01/85/61/387018561.db2.gz QGIQOTWCFMQDIR-QWHCGFSZSA-N 0 3 243.416 2.629 20 0 BFADHN C[C@H](NC/C=C\c1ccccc1)c1cnn(C)c1 ZINC000336787775 387021724 /nfs/dbraw/zinc/02/17/24/387021724.db2.gz MZVIKLPXBMXXMX-XURPKSDJSA-N 0 3 241.338 2.784 20 0 BFADHN CCc1ccccc1CN1C[C@@H](C)N(C)C[C@H]1C ZINC000527325130 387024948 /nfs/dbraw/zinc/02/49/48/387024948.db2.gz KCMQLUFNFZVTRS-ZIAGYGMSSA-N 0 3 246.398 2.773 20 0 BFADHN Cc1ccc(CN2CCSCC2)s1 ZINC000126181739 387025043 /nfs/dbraw/zinc/02/50/43/387025043.db2.gz BMVLNCUNFOIVQH-UHFFFAOYSA-N 0 3 213.371 2.605 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CC[C@@](C)(CC)C1 ZINC000399491794 387027655 /nfs/dbraw/zinc/02/76/55/387027655.db2.gz UZAXFFCXOFWZQF-TZMCWYRMSA-N 0 3 241.375 2.840 20 0 BFADHN CS[C@H]1CC[C@@H]1N[C@@H]1C[C@H]1c1ccco1 ZINC000425370071 387028247 /nfs/dbraw/zinc/02/82/47/387028247.db2.gz NGEJDEHZZAPUPA-KLBPJQLPSA-N 0 3 223.341 2.619 20 0 BFADHN COc1cc(C)c(CN2CC[C@H](C)C2)c(C)n1 ZINC000359218967 387069542 /nfs/dbraw/zinc/06/95/42/387069542.db2.gz DCDMLLCFWZMRBT-JTQLQIEISA-N 0 3 234.343 2.549 20 0 BFADHN CCN(Cc1c(C)cc(OC)nc1C)C1CC1 ZINC000359242240 387072881 /nfs/dbraw/zinc/07/28/81/387072881.db2.gz VRESHTWLMYOOHJ-UHFFFAOYSA-N 0 3 234.343 2.691 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1nccn1C ZINC000246324826 387073127 /nfs/dbraw/zinc/07/31/27/387073127.db2.gz GBMSKSCKLANYGG-LPWJVIDDSA-N 0 3 235.375 2.895 20 0 BFADHN Fc1ccc(CNCc2cc[nH]c2)cc1F ZINC000085579602 387073966 /nfs/dbraw/zinc/07/39/66/387073966.db2.gz CGVVSGFPAZCIRC-UHFFFAOYSA-N 0 3 222.238 2.583 20 0 BFADHN COC[C@@H](C)N1Cc2ccccc2C2(CC2)C1 ZINC000186191857 387074442 /nfs/dbraw/zinc/07/44/42/387074442.db2.gz KGZPHYCLUUPCLR-GFCCVEGCSA-N 0 3 231.339 2.569 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC(C)(C)O2)CCC1(F)F ZINC000334987126 387075349 /nfs/dbraw/zinc/07/53/49/387075349.db2.gz HYVOWSXQGZOPAU-GHMZBOCLSA-N 0 3 247.329 2.921 20 0 BFADHN CCc1nnc(C[NH2+][C@@H](CC)C[C@@H](C)CC)[n-]1 ZINC000392422169 387078965 /nfs/dbraw/zinc/07/89/65/387078965.db2.gz QSZZRKKSRQIAFE-QWRGUYRKSA-N 0 3 238.379 2.672 20 0 BFADHN CCc1nnc(CN[C@@H](CC)C[C@@H](C)CC)[nH]1 ZINC000392422169 387078970 /nfs/dbraw/zinc/07/89/70/387078970.db2.gz QSZZRKKSRQIAFE-QWRGUYRKSA-N 0 3 238.379 2.672 20 0 BFADHN Cc1occc1CN[C@H](C)CN1CCCCC1 ZINC000228142005 387079357 /nfs/dbraw/zinc/07/93/57/387079357.db2.gz GTFRQIXMMYNLGR-GFCCVEGCSA-N 0 3 236.359 2.552 20 0 BFADHN CCC[C@@H](C)N[C@@H](C)c1ccncc1OC ZINC000188773112 387080686 /nfs/dbraw/zinc/08/06/86/387080686.db2.gz NGLFVQKQBLSVFW-MNOVXSKESA-N 0 3 222.332 2.929 20 0 BFADHN CCC(CC)N(CC)Cc1cc(C)nn1C ZINC000418071707 387084695 /nfs/dbraw/zinc/08/46/95/387084695.db2.gz WBBZAWASNNGSKX-UHFFFAOYSA-N 0 3 223.364 2.739 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1CCOCC(F)(F)F ZINC000066532132 387085599 /nfs/dbraw/zinc/08/55/99/387085599.db2.gz XYENDCTWWLWCKA-NXEZZACHSA-N 0 3 239.281 2.828 20 0 BFADHN c1cc(-c2ccc(CNC[C@@H]3CC34CC4)o2)n[nH]1 ZINC000418100464 387130960 /nfs/dbraw/zinc/13/09/60/387130960.db2.gz RBJQPEVOXYFICU-JTQLQIEISA-N 0 3 243.310 2.559 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1ccncc1CC ZINC000359342556 387086385 /nfs/dbraw/zinc/08/63/85/387086385.db2.gz ODQFTZXGWGDYDS-VXGBXAGGSA-N 0 3 236.359 2.720 20 0 BFADHN CC(C)[C@H]1CCCC[C@H]1NCc1ncc[nH]1 ZINC000066593868 387087128 /nfs/dbraw/zinc/08/71/28/387087128.db2.gz KIQLVJGJLPUDRD-VXGBXAGGSA-N 0 3 221.348 2.714 20 0 BFADHN CCC[C@H](O)CNCc1sccc1Cl ZINC000193100649 387087920 /nfs/dbraw/zinc/08/79/20/387087920.db2.gz JOQHBYCKZJCBNV-QMMMGPOBSA-N 0 3 233.764 2.652 20 0 BFADHN CCn1cncc1CN1CC2(CCC2)[C@H]1C(C)C ZINC000418081337 387089225 /nfs/dbraw/zinc/08/92/25/387089225.db2.gz NRLGJNNPWPLNFD-CQSZACIVSA-N 0 3 247.386 2.914 20 0 BFADHN CCN(Cc1cc(C)nn1C)CC(C)(C)C ZINC000418079073 387090210 /nfs/dbraw/zinc/09/02/10/387090210.db2.gz KFYSSYLMTLAWRG-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN CC(C)c1noc(CCCNCc2ccco2)n1 ZINC000066992714 387092367 /nfs/dbraw/zinc/09/23/67/387092367.db2.gz HCVVCHSQBUQAFU-UHFFFAOYSA-N 0 3 249.314 2.508 20 0 BFADHN COC[C@H](CC(C)C)N[C@H](C)c1ccccn1 ZINC000359367750 387092761 /nfs/dbraw/zinc/09/27/61/387092761.db2.gz DYBCTXXLKPFPNA-OLZOCXBDSA-N 0 3 236.359 2.793 20 0 BFADHN CCCC[C@@H](N)C(=O)NCCCC1CCCC1 ZINC000228449969 387093425 /nfs/dbraw/zinc/09/34/25/387093425.db2.gz KUWDFUWMUIIWBP-CYBMUJFWSA-N 0 3 240.391 2.591 20 0 BFADHN CO[C@H](CNCc1cccs1)CC(C)C ZINC000418091200 387097331 /nfs/dbraw/zinc/09/73/31/387097331.db2.gz DNFWSBSWNUKBSF-NSHDSACASA-N 0 3 227.373 2.899 20 0 BFADHN CO[C@H](CNCc1cccnc1C)CC(C)C ZINC000418090847 387098302 /nfs/dbraw/zinc/09/83/02/387098302.db2.gz DDQGIPADKAIQAX-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN CCc1nc(CNC[C@@H]2CC23CC3)cs1 ZINC000418096278 387098789 /nfs/dbraw/zinc/09/87/89/387098789.db2.gz MIKJWIPRNAAEBR-VIFPVBQESA-N 0 3 222.357 2.595 20 0 BFADHN c1ccc2c(c1)COC[C@H]2NC[C@@H]1CCSC1 ZINC000228557374 387102968 /nfs/dbraw/zinc/10/29/68/387102968.db2.gz CVNFJUOBCRCFQN-SMDDNHRTSA-N 0 3 249.379 2.601 20 0 BFADHN Cc1cncc(CN2CCCC[C@H]2C[C@@H](C)O)c1 ZINC000228669813 387104398 /nfs/dbraw/zinc/10/43/98/387104398.db2.gz KKJRRMMFAOCYDE-HIFRSBDPSA-N 0 3 248.370 2.515 20 0 BFADHN C[C@@H](CNc1nc2ccccc2o1)N(C)C1CC1 ZINC000068913436 387107735 /nfs/dbraw/zinc/10/77/35/387107735.db2.gz PWJXQSUXBVQVGQ-JTQLQIEISA-N 0 3 245.326 2.722 20 0 BFADHN Cc1ccc(CN2CCC(C)CC2)c(C)n1 ZINC000186561349 387109451 /nfs/dbraw/zinc/10/94/51/387109451.db2.gz XZLFBAWMHABVNU-UHFFFAOYSA-N 0 3 218.344 2.930 20 0 BFADHN c1cncc(CN2CCSC[C@H]2C2CCC2)c1 ZINC000334948328 387109838 /nfs/dbraw/zinc/10/98/38/387109838.db2.gz CKRVUHPVXYACMF-AWEZNQCLSA-N 0 3 248.395 2.799 20 0 BFADHN Cc1cnc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)cn1 ZINC000334947707 387111000 /nfs/dbraw/zinc/11/10/00/387111000.db2.gz PWBQUIGWASXIQO-KGLIPLIRSA-N 0 3 245.370 2.796 20 0 BFADHN Cc1cnc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)cn1 ZINC000334947706 387111250 /nfs/dbraw/zinc/11/12/50/387111250.db2.gz PWBQUIGWASXIQO-KBPBESRZSA-N 0 3 245.370 2.796 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@@H](C)[C@@H]2C)sc1C ZINC000336766571 387111610 /nfs/dbraw/zinc/11/16/10/387111610.db2.gz SCVBQOTTWUGSKW-VHSKPIJISA-N 0 3 224.373 2.894 20 0 BFADHN CC(C)(C)c1noc([C@@H]2C[C@@H]3CCCC[C@H]3N2)n1 ZINC000250370727 387114197 /nfs/dbraw/zinc/11/41/97/387114197.db2.gz UOSDORKRGSIGGG-AXFHLTTASA-N 0 3 249.358 2.960 20 0 BFADHN CC(C)(C)c1noc([C@@H]2C[C@H]3CCCC[C@H]3N2)n1 ZINC000250370729 387114956 /nfs/dbraw/zinc/11/49/56/387114956.db2.gz UOSDORKRGSIGGG-MXWKQRLJSA-N 0 3 249.358 2.960 20 0 BFADHN Cc1ccc(F)c(CNC[C@@]2(C)CCCO2)c1 ZINC000228780707 387115142 /nfs/dbraw/zinc/11/51/42/387115142.db2.gz FUNKJKUVVSBBKM-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN C[C@H](CF)NC[C@@H]1C[C@@H]1c1ccccc1 ZINC000336766695 387116520 /nfs/dbraw/zinc/11/65/20/387116520.db2.gz SHVADNMSNHUUCT-KGYLQXTDSA-N 0 3 207.292 2.738 20 0 BFADHN C[C@H](NC1CCOCC1)c1ccncc1Cl ZINC000335028306 387122776 /nfs/dbraw/zinc/12/27/76/387122776.db2.gz JYOSIIHHAAMVJE-VIFPVBQESA-N 0 3 240.734 2.565 20 0 BFADHN COC[C@H](C)N1CCc2c(C)cc(C)cc2C1 ZINC000186723682 387129093 /nfs/dbraw/zinc/12/90/93/387129093.db2.gz URVLEVQJBFVFDJ-ZDUSSCGKSA-N 0 3 233.355 2.696 20 0 BFADHN C[C@@H]1CN(Cc2cccc(C3CC3)c2)CC[C@H]1O ZINC000334981273 387067705 /nfs/dbraw/zinc/06/77/05/387067705.db2.gz FDBDARHWHFCKLU-MLGOLLRUSA-N 0 3 245.366 2.767 20 0 BFADHN CC(C)CN(CC[C@@H](C)F)Cc1cnn(C)c1 ZINC000459575317 387067953 /nfs/dbraw/zinc/06/79/53/387067953.db2.gz BYXPKCSAEVVFGJ-GFCCVEGCSA-N 0 3 241.354 2.626 20 0 BFADHN Cc1cnc(CN[C@H]2CCC[C@@H]2C)s1 ZINC000088735634 387144608 /nfs/dbraw/zinc/14/46/08/387144608.db2.gz XSLBDLMVHQPOBF-WPRPVWTQSA-N 0 3 210.346 2.730 20 0 BFADHN CC(C)n1nccc1CN1C[C@@H](C)[C@H](C)[C@@H]1C ZINC000418125830 387152920 /nfs/dbraw/zinc/15/29/20/387152920.db2.gz OMHUIQFUBQPHEU-AGIUHOORSA-N 0 3 235.375 2.940 20 0 BFADHN COCCCCN(C)Cc1ccc(Cl)o1 ZINC000418128455 387156875 /nfs/dbraw/zinc/15/68/75/387156875.db2.gz BOIZLBIJWCUYJE-UHFFFAOYSA-N 0 3 231.723 2.791 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCC1(C)C ZINC000284244517 387163263 /nfs/dbraw/zinc/16/32/63/387163263.db2.gz IGOVBNSMLROABE-LLVKDONJSA-N 0 3 208.305 2.570 20 0 BFADHN C[C@@H](N[C@H]1CCOC1)c1nccc2ccccc21 ZINC000353240799 387163394 /nfs/dbraw/zinc/16/33/94/387163394.db2.gz KIZZDYAPOFMPCG-YPMHNXCESA-N 0 3 242.322 2.674 20 0 BFADHN Cc1ccnc(CN2CC[C@@H](C(C)(C)C)C2)n1 ZINC000336338819 387163764 /nfs/dbraw/zinc/16/37/64/387163764.db2.gz XPFUQBRIMLUKHE-GFCCVEGCSA-N 0 3 233.359 2.653 20 0 BFADHN CC[C@@H](C)N[C@@H](CO)c1cccc(Cl)c1F ZINC000229250771 387165189 /nfs/dbraw/zinc/16/51/89/387165189.db2.gz UWXDLBGNZIXKGR-KCJUWKMLSA-N 0 3 245.725 2.901 20 0 BFADHN CC[C@H](C(=O)N1CCC[C@@H]1CC)N(CC)CC ZINC000359792656 387165335 /nfs/dbraw/zinc/16/53/35/387165335.db2.gz IBWFQWZGPQKJDN-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2cn3ccccc3n2)[C@H]1C ZINC000418142833 387165612 /nfs/dbraw/zinc/16/56/12/387165612.db2.gz ZYDCTOFVPCOLTJ-UPJWGTAASA-N 0 3 243.354 2.811 20 0 BFADHN CC[C@H](CN[C@@H]1CCc2cc(F)ccc21)OC ZINC000290024370 387168041 /nfs/dbraw/zinc/16/80/41/387168041.db2.gz CMVGSJBEHOGFJC-TZMCWYRMSA-N 0 3 237.318 2.828 20 0 BFADHN CC[C@@H](CN[C@H]1CCc2cc(F)ccc21)OC ZINC000290024368 387168097 /nfs/dbraw/zinc/16/80/97/387168097.db2.gz CMVGSJBEHOGFJC-JSGCOSHPSA-N 0 3 237.318 2.828 20 0 BFADHN C[C@@H](F)CCN(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC000352412300 387168293 /nfs/dbraw/zinc/16/82/93/387168293.db2.gz DNKUAORSOACULN-SNVBAGLBSA-N 0 3 241.354 2.887 20 0 BFADHN C[C@H](F)CCN1CC(C)(C)O[C@H]2CCC[C@H]21 ZINC000352409362 387168613 /nfs/dbraw/zinc/16/86/13/387168613.db2.gz YZUYZDNUYBDPET-TUAOUCFPSA-N 0 3 229.339 2.766 20 0 BFADHN Cc1cn[nH]c1CN1Cc2ccccc2C[C@@H]1C ZINC000336345904 387173472 /nfs/dbraw/zinc/17/34/72/387173472.db2.gz DYEKYZQBBSQSQJ-LBPRGKRZSA-N 0 3 241.338 2.665 20 0 BFADHN Cc1cn[nH]c1CN1Cc2ccccc2C[C@H]1C ZINC000336345903 387173610 /nfs/dbraw/zinc/17/36/10/387173610.db2.gz DYEKYZQBBSQSQJ-GFCCVEGCSA-N 0 3 241.338 2.665 20 0 BFADHN CC(C)CCC[C@@H](C)N[C@@H](C)c1ncnn1C ZINC000353308114 387176835 /nfs/dbraw/zinc/17/68/35/387176835.db2.gz UENFOPNSRWJODJ-NEPJUHHUSA-N 0 3 238.379 2.681 20 0 BFADHN Cc1nc(CN2CC[C@H]3CCC[C@H]32)oc1C ZINC000336346100 387177513 /nfs/dbraw/zinc/17/75/13/387177513.db2.gz XXJOQKQDRYLUOL-VXGBXAGGSA-N 0 3 220.316 2.666 20 0 BFADHN OCC1(CNCc2sccc2Cl)CCC1 ZINC000193208241 387178502 /nfs/dbraw/zinc/17/85/02/387178502.db2.gz GSCYMUZKJSPJMR-UHFFFAOYSA-N 0 3 245.775 2.654 20 0 BFADHN Cc1nc(C)c(CNCCC(C)(F)F)s1 ZINC000357727328 387179557 /nfs/dbraw/zinc/17/95/57/387179557.db2.gz GMNCVYOCCMORPG-UHFFFAOYSA-N 0 3 234.315 2.895 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H](C)[C@@H]2C)c(OC)c1 ZINC000336794288 387179664 /nfs/dbraw/zinc/17/96/64/387179664.db2.gz AXZXQQPEZILGOD-COPLHBTASA-N 0 3 249.354 2.838 20 0 BFADHN CC(C)Oc1ccccc1[C@H](C)NCCCO ZINC000537026190 387179734 /nfs/dbraw/zinc/17/97/34/387179734.db2.gz XTRBVAWWWOWOPN-LBPRGKRZSA-N 0 3 237.343 2.507 20 0 BFADHN CSC1(CNCc2ncc(C)s2)CCC1 ZINC000284360245 387185431 /nfs/dbraw/zinc/18/54/31/387185431.db2.gz ZGKFPUOTBWZSRA-UHFFFAOYSA-N 0 3 242.413 2.827 20 0 BFADHN C[C@@]1(NCc2cc3cc(F)ccc3o2)CCOC1 ZINC000120131895 387186377 /nfs/dbraw/zinc/18/63/77/387186377.db2.gz FEQVLIWIMNAXTL-CQSZACIVSA-N 0 3 249.285 2.841 20 0 BFADHN Cc1sccc1CN1CCC[C@]12CCOC2 ZINC000353389175 387191761 /nfs/dbraw/zinc/19/17/61/387191761.db2.gz PLJJAHSZXUQGAF-CYBMUJFWSA-N 0 3 237.368 2.811 20 0 BFADHN Cc1ccnc([C@@H](C)NCCc2cscn2)c1 ZINC000353391276 387192042 /nfs/dbraw/zinc/19/20/42/387192042.db2.gz BVXPVOKVCLRVGG-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN C(C1CC1)N1CCOC2(C1)CCCCCC2 ZINC000119517603 387135681 /nfs/dbraw/zinc/13/56/81/387135681.db2.gz VCBVTXYQWWVPOG-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN C[C@@H](CC1CCCCC1)CN1CC(C)(CO)C1 ZINC000459625195 387137027 /nfs/dbraw/zinc/13/70/27/387137027.db2.gz XKINAZUMNJMWCT-ZDUSSCGKSA-N 0 3 239.403 2.907 20 0 BFADHN CCCCN(CC)C(=O)CN1[C@H](C)CC[C@H]1C ZINC000120162510 387192115 /nfs/dbraw/zinc/19/21/15/387192115.db2.gz CWRYLTGMUMLPJK-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2cccnc2)C1(C)C ZINC000300404188 387204078 /nfs/dbraw/zinc/20/40/78/387204078.db2.gz FHZHKBOYOBKJLX-KGYLQXTDSA-N 0 3 234.343 2.546 20 0 BFADHN CN(C1CC(O)C1)[C@@H]1CCCc2ccc(F)cc21 ZINC000353469194 387209837 /nfs/dbraw/zinc/20/98/37/387209837.db2.gz PMIPWLSOGLSIAU-SSDMNJCBSA-N 0 3 249.329 2.658 20 0 BFADHN c1nnc(SCCCN2CCCCC2)s1 ZINC000187665247 387212332 /nfs/dbraw/zinc/21/23/32/387212332.db2.gz QLTQVKNCRKIEQG-UHFFFAOYSA-N 0 3 243.401 2.506 20 0 BFADHN CO[C@H](C)CN1CCC[C@@H](Oc2ccccc2)C1 ZINC000360016526 387212920 /nfs/dbraw/zinc/21/29/20/387212920.db2.gz NHSZIDGBPKMBAK-UKRRQHHQSA-N 0 3 249.354 2.565 20 0 BFADHN CO[C@H](C)CN1CCC[C@H](Oc2ccccc2)C1 ZINC000360016524 387213860 /nfs/dbraw/zinc/21/38/60/387213860.db2.gz NHSZIDGBPKMBAK-HIFRSBDPSA-N 0 3 249.354 2.565 20 0 BFADHN Cc1ccc(CCCN(C)Cc2ccc[nH]2)cn1 ZINC000353664997 387252951 /nfs/dbraw/zinc/25/29/51/387252951.db2.gz ZWMTWGSUMYCDRW-UHFFFAOYSA-N 0 3 243.354 2.783 20 0 BFADHN Fc1ccc(C2CCN([C@@H]3CCOC3)CC2)cc1 ZINC000371466541 387220283 /nfs/dbraw/zinc/22/02/83/387220283.db2.gz PWAIFMHWLIUWOP-OAHLLOKOSA-N 0 3 249.329 2.794 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCC[C@@]2(C)CO)c1 ZINC000353517175 387220593 /nfs/dbraw/zinc/22/05/93/387220593.db2.gz IQFHNVPCIKTAIF-QEJZJMRPSA-N 0 3 248.370 2.592 20 0 BFADHN CC(C)[C@@H](NCCC(C)(C)C)c1nncn1C ZINC000352468646 387230248 /nfs/dbraw/zinc/23/02/48/387230248.db2.gz UFPSPQBUSIKHFY-LLVKDONJSA-N 0 3 238.379 2.538 20 0 BFADHN CCC[C@H](NC[C@H](CC)OC)c1ccccn1 ZINC000353557679 387230678 /nfs/dbraw/zinc/23/06/78/387230678.db2.gz HSVFOKVDLWZHCM-STQMWFEESA-N 0 3 236.359 2.937 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2C[C@@H]2CC=CCC2)n1 ZINC000336401666 387232365 /nfs/dbraw/zinc/23/23/65/387232365.db2.gz QXUSCDNVLOJTAN-OLZOCXBDSA-N 0 3 231.343 2.903 20 0 BFADHN CC[C@H](CN[C@H](C)c1cnn(C(C)C)c1)OC ZINC000353575290 387233811 /nfs/dbraw/zinc/23/38/11/387233811.db2.gz KOHNZQGKZKYUNR-DGCLKSJQSA-N 0 3 239.363 2.540 20 0 BFADHN COc1ccc(C)cc1CN[C@]1(C)CCO[C@@H]1C ZINC000230150825 387234388 /nfs/dbraw/zinc/23/43/88/387234388.db2.gz QQHDUSGHKONFHT-IUODEOHRSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1ccc(F)cc1CN[C@]1(C)CCO[C@H]1C ZINC000230150342 387234461 /nfs/dbraw/zinc/23/44/61/387234461.db2.gz DWAGQEWVVZXWLD-SMDDNHRTSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H]1OCC[C@]1(C)NCc1ccc(F)c(F)c1 ZINC000230150629 387234796 /nfs/dbraw/zinc/23/47/96/387234796.db2.gz UKRZNPHKWOYPHA-ZANVPECISA-N 0 3 241.281 2.622 20 0 BFADHN Cc1ccc(F)c(CN[C@]2(C)CCO[C@H]2C)c1 ZINC000230152063 387237106 /nfs/dbraw/zinc/23/71/06/387237106.db2.gz FOVZGQXYHVILKB-SMDDNHRTSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H]1OCC[C@]1(C)NCc1cccc(F)c1F ZINC000230152732 387237123 /nfs/dbraw/zinc/23/71/23/387237123.db2.gz IRMVDEDUOUMQLX-ZANVPECISA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H](N[C@@H]1COc2ccccc21)[C@H]1C[C@H]1C ZINC000321475280 387242273 /nfs/dbraw/zinc/24/22/73/387242273.db2.gz IIFXVOQBOPDPKI-RSLMWUCJSA-N 0 3 217.312 2.754 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc(C)ccn1)[C@H]1CCCO1 ZINC000353616943 387242773 /nfs/dbraw/zinc/24/27/73/387242773.db2.gz CDNDLHZHHTWIFE-KCQAQPDRSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@H](N[C@H](C)c1cc(C)ccn1)[C@@H]1CCCO1 ZINC000353616942 387242890 /nfs/dbraw/zinc/24/28/90/387242890.db2.gz CDNDLHZHHTWIFE-IPYPFGDCSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@H](N[C@H](C)c1cc(C)ccn1)[C@H]1CCCO1 ZINC000353616944 387242964 /nfs/dbraw/zinc/24/29/64/387242964.db2.gz CDNDLHZHHTWIFE-VNHYZAJKSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ncccc1N(C)C ZINC000353638595 387244737 /nfs/dbraw/zinc/24/47/37/387244737.db2.gz BBTAMACWZLEWNC-VXGBXAGGSA-N 0 3 235.375 2.672 20 0 BFADHN c1cc(CNC[C@H](c2ccccc2)C2CC2)n[nH]1 ZINC000353630892 387245664 /nfs/dbraw/zinc/24/56/64/387245664.db2.gz JBZWOMYCCBZMPR-OAHLLOKOSA-N 0 3 241.338 2.693 20 0 BFADHN CO[C@H](C)CCNc1cc(C)nc2ccccc21 ZINC000336796769 387246448 /nfs/dbraw/zinc/24/64/48/387246448.db2.gz VQTSOCQTTIHVAQ-GFCCVEGCSA-N 0 3 244.338 2.802 20 0 BFADHN C[C@@H](NCCN1CCCC1(C)C)c1ccco1 ZINC000063074027 387247936 /nfs/dbraw/zinc/24/79/36/387247936.db2.gz DKJGMSJPHZLVSD-GFCCVEGCSA-N 0 3 236.359 2.805 20 0 BFADHN FCCCN1CCC[C@]2(C1)OCc1ccccc12 ZINC000336363460 387194425 /nfs/dbraw/zinc/19/44/25/387194425.db2.gz AZFLBWHJBXHXIG-OAHLLOKOSA-N 0 3 249.329 2.868 20 0 BFADHN COc1cc(CN2CC[C@@H](C)C(C)(C)C2)ccn1 ZINC000354014629 387311688 /nfs/dbraw/zinc/31/16/88/387311688.db2.gz MXSZMNPJYQNIET-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1ccnc([C@@H](C)NC2(C)CCC2)c1 ZINC000286114648 387313458 /nfs/dbraw/zinc/31/34/58/387313458.db2.gz NGMUXLRFJLDZPX-LLVKDONJSA-N 0 3 204.317 2.983 20 0 BFADHN CCCC[C@H](C)[C@H](C)N[C@@H]1CNCCC1(F)F ZINC000423468447 387315275 /nfs/dbraw/zinc/31/52/75/387315275.db2.gz BYMNLVHCSDOQEC-SDDRHHMPSA-N 0 3 248.361 2.788 20 0 BFADHN CC[C@@H](COC)NCc1cnc2ccccc2c1 ZINC000301391939 387256875 /nfs/dbraw/zinc/25/68/75/387256875.db2.gz XOIWEKNHCPBFEL-AWEZNQCLSA-N 0 3 244.338 2.749 20 0 BFADHN Cc1ccnc([C@@H](C)NC[C@@H]2CCC(C)(C)O2)c1 ZINC000353680436 387257934 /nfs/dbraw/zinc/25/79/34/387257934.db2.gz JOGBEELZXYVXLO-OLZOCXBDSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CCO[C@@H]2C2CC2)c1 ZINC000230313838 387258757 /nfs/dbraw/zinc/25/87/57/387258757.db2.gz HOQILWPGJGFNRL-HUUCEWRRSA-N 0 3 249.329 2.791 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc(F)cn2)[C@H]1C ZINC000336432993 387259047 /nfs/dbraw/zinc/25/90/47/387259047.db2.gz INXYOUSZCVCMDW-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN Cc1cc(CN[C@H]2CCO[C@H]2C2CC2)ccc1F ZINC000230315871 387261276 /nfs/dbraw/zinc/26/12/76/387261276.db2.gz OZBDNUDYWAUMCE-GJZGRUSLSA-N 0 3 249.329 2.791 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1cc(C)n(C)n1 ZINC000360329590 387264262 /nfs/dbraw/zinc/26/42/62/387264262.db2.gz NUFLLQLMPVZTEV-JSGCOSHPSA-N 0 3 235.375 2.787 20 0 BFADHN CC[C@@H](CN[C@H](C)c1cccc(F)c1)OC ZINC000290055059 387265797 /nfs/dbraw/zinc/26/57/97/387265797.db2.gz FHFFYJZXPPEIJF-MFKMUULPSA-N 0 3 225.307 2.901 20 0 BFADHN C[C@@H]1C[C@H]1CC(=O)Nc1cccc(CN(C)C)c1 ZINC000352496949 387266061 /nfs/dbraw/zinc/26/60/61/387266061.db2.gz BOZUATSVFORRMN-YPMHNXCESA-N 0 3 246.354 2.733 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)nc1 ZINC000360339525 387266084 /nfs/dbraw/zinc/26/60/84/387266084.db2.gz UMHQUPKYGLXUKO-RWMBFGLXSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)nc1 ZINC000360339345 387266436 /nfs/dbraw/zinc/26/64/36/387266436.db2.gz TVHAPPUGVVEOOI-RAIGVLPGSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1n[nH]c(C)c1CN1CC(C)(C)[C@H]1C(C)C ZINC000353750014 387269376 /nfs/dbraw/zinc/26/93/76/387269376.db2.gz XOVZNDWWYMWITO-CYBMUJFWSA-N 0 3 235.375 2.893 20 0 BFADHN CCc1ccc(CN[C@H](C)c2cnn(C)c2)s1 ZINC000230672069 387270507 /nfs/dbraw/zinc/27/05/07/387270507.db2.gz KZVISPFUTDJPEQ-SNVBAGLBSA-N 0 3 249.383 2.895 20 0 BFADHN Cc1ccc(CN2CCCSCC2)c(C)n1 ZINC000336441024 387271095 /nfs/dbraw/zinc/27/10/95/387271095.db2.gz CDMGQSYANCGIOW-UHFFFAOYSA-N 0 3 236.384 2.637 20 0 BFADHN Cc1occc1CNC[C@H](C)c1nccs1 ZINC000321535327 387272246 /nfs/dbraw/zinc/27/22/46/387272246.db2.gz PTXQNFGKJBASHT-VIFPVBQESA-N 0 3 236.340 2.938 20 0 BFADHN Cc1cnn(C)c1CNCc1ccc(C)cc1C ZINC000285625826 387276915 /nfs/dbraw/zinc/27/69/15/387276915.db2.gz ZTPMMVXZNZEAIJ-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN CCOc1cccc(CN(CC)C2CCC2)n1 ZINC000353823983 387279054 /nfs/dbraw/zinc/27/90/54/387279054.db2.gz MXFBQRYMHUJRNO-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1cc(F)cc(F)c1 ZINC000459748456 387280896 /nfs/dbraw/zinc/28/08/96/387280896.db2.gz XCRSJXQBSUGBOI-OLZOCXBDSA-N 0 3 241.281 2.622 20 0 BFADHN CCS[C@@H]1CCC[C@H]1NCc1cc(C)no1 ZINC000285706121 387281157 /nfs/dbraw/zinc/28/11/57/387281157.db2.gz CYRFOWPOHYLDDH-VXGBXAGGSA-N 0 3 240.372 2.747 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2ccccn2)C1(C)C ZINC000231634954 387281762 /nfs/dbraw/zinc/28/17/62/387281762.db2.gz URLVQMYGCWELOP-WCFLWFBJSA-N 0 3 234.343 2.546 20 0 BFADHN CO[C@@H]1CCN(Cc2c(C)oc3ccccc32)C1 ZINC000353840182 387282403 /nfs/dbraw/zinc/28/24/03/387282403.db2.gz BDMLYIHDXXDMMA-GFCCVEGCSA-N 0 3 245.322 2.962 20 0 BFADHN CO[C@@H]1CCN(C/C=C\c2ccc(F)cc2)C1 ZINC000353841638 387282632 /nfs/dbraw/zinc/28/26/32/387282632.db2.gz FFJITEQPIOHBOH-PYLYLYNFSA-N 0 3 235.302 2.560 20 0 BFADHN CCOC[C@H](N[C@@H](C)c1cccnc1)C(C)C ZINC000189351175 387282763 /nfs/dbraw/zinc/28/27/63/387282763.db2.gz NBOLTSIQZLPWFS-JSGCOSHPSA-N 0 3 236.359 2.793 20 0 BFADHN CCOC[C@H](N[C@H](C)c1ccncc1)C(C)C ZINC000189361582 387284145 /nfs/dbraw/zinc/28/41/45/387284145.db2.gz FAPRPFRIUQRCOW-OCCSQVGLSA-N 0 3 236.359 2.793 20 0 BFADHN Cc1cnc([C@H](C)NCCCCF)s1 ZINC000285780513 387286056 /nfs/dbraw/zinc/28/60/56/387286056.db2.gz XGTIIJSEPNUBFA-VIFPVBQESA-N 0 3 216.325 2.852 20 0 BFADHN C[C@@H](NCc1cocn1)[C@H]1CCCC[C@H]1C ZINC000648456420 387287489 /nfs/dbraw/zinc/28/74/89/387287489.db2.gz LRBGELPDXPPSLU-WZRBSPASSA-N 0 3 222.332 2.979 20 0 BFADHN COc1c(C)cc(CN2CC[C@@H](OC)C2)cc1C ZINC000353882451 387289917 /nfs/dbraw/zinc/28/99/17/387289917.db2.gz QNGQVJSLYYMANY-CQSZACIVSA-N 0 3 249.354 2.533 20 0 BFADHN C[C@@H](N(C)Cc1ccc(Cl)s1)C(C)(C)O ZINC000289279627 387297970 /nfs/dbraw/zinc/29/79/70/387297970.db2.gz FYQFAGNNYLJATQ-MRVPVSSYSA-N 0 3 247.791 2.993 20 0 BFADHN Cc1nnc([C@H](C)N[C@H](C)[C@@H]2C[C@H]2C)s1 ZINC000321741787 387298977 /nfs/dbraw/zinc/29/89/77/387298977.db2.gz ZKSIQWKSNIRRRS-BDNRQGISSA-N 0 3 225.361 2.542 20 0 BFADHN c1ccc2c(c1)OCC[C@H]2CN1CCSCC1 ZINC000372442993 387300481 /nfs/dbraw/zinc/30/04/81/387300481.db2.gz DBDUEWRUMIRMDM-LBPRGKRZSA-N 0 3 249.379 2.602 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1conc1C ZINC000336471608 387302040 /nfs/dbraw/zinc/30/20/40/387302040.db2.gz VRIQJWHBSIIHHM-CABZTGNLSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ccc(F)cc1CN1CCOCC[C@@H]1C ZINC000372464210 387304717 /nfs/dbraw/zinc/30/47/17/387304717.db2.gz PKBACROSBZZGIF-LBPRGKRZSA-N 0 3 237.318 2.745 20 0 BFADHN COCC[C@@H](C)CNCc1cccc(F)c1F ZINC000514921711 387308666 /nfs/dbraw/zinc/30/86/66/387308666.db2.gz LQKKNGBOCRMMKG-SNVBAGLBSA-N 0 3 243.297 2.727 20 0 BFADHN C[C@H](c1ccccc1)[C@H](O)CNCc1ccoc1 ZINC000514931987 387308994 /nfs/dbraw/zinc/30/89/94/387308994.db2.gz MLGJAEAHUFBVCG-IUODEOHRSA-N 0 3 245.322 2.534 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](C)Cc2cnccn2)o1 ZINC000360854837 387358213 /nfs/dbraw/zinc/35/82/13/387358213.db2.gz CVTBXOFMIACKQT-JQWIXIFHSA-N 0 3 245.326 2.660 20 0 BFADHN CCCCCN(C(=O)CN(C)C(C)C)C(C)C ZINC000354484544 387384075 /nfs/dbraw/zinc/38/40/75/387384075.db2.gz YDHBXZFUGVHXSQ-UHFFFAOYSA-N 0 3 242.407 2.754 20 0 BFADHN CCCc1cccc(CN(C)[C@@H]2CCOC2)c1 ZINC000360898259 387361841 /nfs/dbraw/zinc/36/18/41/387361841.db2.gz SUKRGHHMUKEMFA-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN CO[C@@H]1CCCN(CCCc2ccsc2)C1 ZINC000360895972 387363207 /nfs/dbraw/zinc/36/32/07/387363207.db2.gz CAAZJYKZYRLVGL-CYBMUJFWSA-N 0 3 239.384 2.792 20 0 BFADHN FCCCNCc1cc2cc(Cl)cnc2o1 ZINC000648479062 387371733 /nfs/dbraw/zinc/37/17/33/387371733.db2.gz PWBGJHRWWBGFRQ-UHFFFAOYSA-N 0 3 242.681 2.930 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)[C@H](C)C2)s1 ZINC000354441284 387374375 /nfs/dbraw/zinc/37/43/75/387374375.db2.gz GWWGIUMZWFBHMR-GHMZBOCLSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1cn[nH]c1CN[C@H]1CSc2ccccc21 ZINC000336495046 387320191 /nfs/dbraw/zinc/32/01/91/387320191.db2.gz LRSSBIPMXXEBMD-LBPRGKRZSA-N 0 3 245.351 2.655 20 0 BFADHN COC[C@@H]1CCN1Cc1cc(C)c(C)cc1C ZINC000354057190 387322739 /nfs/dbraw/zinc/32/27/39/387322739.db2.gz RPIGHYTUUMEZLV-HNNXBMFYSA-N 0 3 233.355 2.833 20 0 BFADHN CC[C@@H](C(N)=O)N1CCCC2(CCCC2)C1 ZINC000336495931 387323568 /nfs/dbraw/zinc/32/35/68/387323568.db2.gz JXUQKAWZSRHNJZ-NSHDSACASA-N 0 3 224.348 2.956 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@H]1CCC(C)(C)C1 ZINC000336496985 387323620 /nfs/dbraw/zinc/32/36/20/387323620.db2.gz BTGSZXVXXLAOAT-LBPRGKRZSA-N 0 3 221.348 2.729 20 0 BFADHN CCCn1cc(CN2CC[C@](C)(CC)C2)cn1 ZINC000354074168 387328089 /nfs/dbraw/zinc/32/80/89/387328089.db2.gz SZJGVQOIGGAXOH-AWEZNQCLSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1cc(N)cc(CN[C@H](C)c2cccnc2)c1 ZINC000423482493 387329194 /nfs/dbraw/zinc/32/91/94/387329194.db2.gz ROAWUTLBFAPZJB-GFCCVEGCSA-N 0 3 241.338 2.823 20 0 BFADHN COCc1ccc(CN2CC[C@@H](C3CC3)C2)o1 ZINC000354099238 387330484 /nfs/dbraw/zinc/33/04/84/387330484.db2.gz CSBVRUNOKIKHMK-GFCCVEGCSA-N 0 3 235.327 2.658 20 0 BFADHN CCc1ccc(CNCCC2(OC)CCC2)o1 ZINC000292963055 387337088 /nfs/dbraw/zinc/33/70/88/387337088.db2.gz LNTSCJIQRKLVGH-UHFFFAOYSA-N 0 3 237.343 2.891 20 0 BFADHN CC[C@H](NCCSC(C)C)c1cnn(C)c1 ZINC000287216256 387383159 /nfs/dbraw/zinc/38/31/59/387383159.db2.gz XFSFLZJUGMFOLH-LBPRGKRZSA-N 0 3 241.404 2.602 20 0 BFADHN CCc1ccccc1CNCc1c(C)cnn1C ZINC000286675087 387337182 /nfs/dbraw/zinc/33/71/82/387337182.db2.gz VFTTYLWAPIZOGQ-UHFFFAOYSA-N 0 3 243.354 2.581 20 0 BFADHN Cc1ccc(CCCNCc2ccoc2)cn1 ZINC000354159501 387338430 /nfs/dbraw/zinc/33/84/30/387338430.db2.gz UXQLJYJJZYVMDX-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN CCCCN[C@H](C)c1cc(OC)ncc1F ZINC000286819070 387342721 /nfs/dbraw/zinc/34/27/21/387342721.db2.gz CCZONOUHOWNMQQ-SECBINFHSA-N 0 3 226.295 2.680 20 0 BFADHN CO[C@@]1(C)C[C@H](N(C)CC2(F)CC2)C1(C)C ZINC000525978326 387344599 /nfs/dbraw/zinc/34/45/99/387344599.db2.gz LBASQJSTPVWTLH-JQWIXIFHSA-N 0 3 229.339 2.624 20 0 BFADHN CC[C@@H](C)CCC(=O)N(CCN(C)C)C(C)C ZINC000354199847 387345302 /nfs/dbraw/zinc/34/53/02/387345302.db2.gz IREOHKHPMIPYSH-CYBMUJFWSA-N 0 3 242.407 2.611 20 0 BFADHN C[C@H](NCc1cnccn1)[C@@H](C)c1ccccc1 ZINC000515213700 387349988 /nfs/dbraw/zinc/34/99/88/387349988.db2.gz DTENBCURJPAQCB-OLZOCXBDSA-N 0 3 241.338 2.758 20 0 BFADHN C[C@H](Cc1ccccc1)CN1CCOC[C@H]1C ZINC000360822686 387354100 /nfs/dbraw/zinc/35/41/00/387354100.db2.gz RRFXEEBYGTYDRK-ZIAGYGMSSA-N 0 3 233.355 2.586 20 0 BFADHN CC(C)CC[C@H](O)CN1C[C@@H](C)S[C@@H](C)C1 ZINC000572429657 387423701 /nfs/dbraw/zinc/42/37/01/387423701.db2.gz DDRHVAGDCQHJLS-XQQFMLRXSA-N 0 3 245.432 2.609 20 0 BFADHN CCN(C/C=C\c1ccc(F)cc1)[C@H]1CCOC1 ZINC000361250878 387425217 /nfs/dbraw/zinc/42/52/17/387425217.db2.gz LZCMOKGHSWWVEL-JZIMQPMSSA-N 0 3 249.329 2.950 20 0 BFADHN Cc1occc1CN(C)C[C@@H]1CCO[C@@H](C)C1 ZINC000352715860 387461400 /nfs/dbraw/zinc/46/14/00/387461400.db2.gz GQNOJZKUQIGQHD-WCQYABFASA-N 0 3 237.343 2.835 20 0 BFADHN CC[C@@H](C)[C@@](C)(O)CNCc1ccccc1F ZINC000304070313 387432543 /nfs/dbraw/zinc/43/25/43/387432543.db2.gz VFXKPXQAPHJKBT-RISCZKNCSA-N 0 3 239.334 2.712 20 0 BFADHN CCC[C@@H](N)C(=O)N1CCC[C@@H]1CCC(C)C ZINC000423849877 387433843 /nfs/dbraw/zinc/43/38/43/387433843.db2.gz PIGKWANFGADEBW-CHWSQXEVSA-N 0 3 240.391 2.541 20 0 BFADHN CCC[C@H](N)C(=O)N[C@@H](C)c1c(C)cccc1C ZINC000423867645 387438984 /nfs/dbraw/zinc/43/89/84/387438984.db2.gz AOQYSTHRUWSJJZ-STQMWFEESA-N 0 3 248.370 2.608 20 0 BFADHN CN(Cc1cnc[nH]1)Cc1cccc2[nH]ccc21 ZINC000361336737 387441561 /nfs/dbraw/zinc/44/15/61/387441561.db2.gz OKTLWLNJASEYNB-UHFFFAOYSA-N 0 3 240.310 2.523 20 0 BFADHN CCN1CCN(CCCC(C)(C)C)[C@H](C)C1 ZINC000352701981 387441828 /nfs/dbraw/zinc/44/18/28/387441828.db2.gz WYYPMUXUQUEELE-CYBMUJFWSA-N 0 3 226.408 2.839 20 0 BFADHN CO[C@@H](C)CN(Cc1cccc(C)n1)C(C)C ZINC000354894711 387444148 /nfs/dbraw/zinc/44/41/48/387444148.db2.gz WLMPTDQMXIOFLI-ZDUSSCGKSA-N 0 3 236.359 2.635 20 0 BFADHN CC(C)C[C@@H](NCC1(O)CCC1)c1ccccn1 ZINC000361352306 387445594 /nfs/dbraw/zinc/44/55/94/387445594.db2.gz PFZNXYDVIULEQM-CQSZACIVSA-N 0 3 248.370 2.673 20 0 BFADHN CO[C@@H](CNCc1ccsc1C)C1CC1 ZINC000293027207 387448358 /nfs/dbraw/zinc/44/83/58/387448358.db2.gz PLDMCQOOZAKJTG-LBPRGKRZSA-N 0 3 225.357 2.571 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1CC[C@@H](OC)C1 ZINC000361373416 387449400 /nfs/dbraw/zinc/44/94/00/387449400.db2.gz SNGPGBNRQQQJCG-ZIAGYGMSSA-N 0 3 237.318 2.998 20 0 BFADHN CCO[C@@H]1COCC[C@@H]1N[C@H](C)c1ccccc1 ZINC000354959507 387453337 /nfs/dbraw/zinc/45/33/37/387453337.db2.gz LPFPJXRBUYQQCC-VHDGCEQUSA-N 0 3 249.354 2.531 20 0 BFADHN CCOc1cccc(CN2[C@H](C)CC[C@@H]2C)n1 ZINC000354990932 387462291 /nfs/dbraw/zinc/46/22/91/387462291.db2.gz WEZAGLHPQYORSF-TXEJJXNPSA-N 0 3 234.343 2.853 20 0 BFADHN CCO[C@@H]1COCC[C@@H]1N[C@@H](C)c1ccccc1 ZINC000354959504 387454244 /nfs/dbraw/zinc/45/42/44/387454244.db2.gz LPFPJXRBUYQQCC-AEGPPILISA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@@H](C)CN1CC2(CCC2(F)F)C1 ZINC000336617839 387459124 /nfs/dbraw/zinc/45/91/24/387459124.db2.gz RXXGNJHBZDUSFY-SECBINFHSA-N 0 3 203.276 2.764 20 0 BFADHN CO[C@H](CNCc1sccc1Cl)C1CC1 ZINC000293016154 387386211 /nfs/dbraw/zinc/38/62/11/387386211.db2.gz OKZAZXAGPWWWJJ-SNVBAGLBSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@H](CC1CCOCC1)CN1CCC[C@@H]1CF ZINC000354518743 387386571 /nfs/dbraw/zinc/38/65/71/387386571.db2.gz BNDOMVKTDXULSJ-TZMCWYRMSA-N 0 3 243.366 2.873 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H](C)CC[C@H]2C)ncn1 ZINC000648489818 387389162 /nfs/dbraw/zinc/38/91/62/387389162.db2.gz UGPPBFJSMLEHPT-MISXGVKJSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC23CCC3)ncn1 ZINC000648489749 387390108 /nfs/dbraw/zinc/39/01/08/387390108.db2.gz MZJKDWKUGGTJJB-CYBMUJFWSA-N 0 3 231.343 2.597 20 0 BFADHN Cc1c[nH]nc1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000336578541 387401065 /nfs/dbraw/zinc/40/10/65/387401065.db2.gz PGWXXMBKQXLQBG-ZYHUDNBSSA-N 0 3 221.348 2.729 20 0 BFADHN CC[C@H](C)[C@H](C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000423702312 387401228 /nfs/dbraw/zinc/40/12/28/387401228.db2.gz XXZFZFPQKMIEPY-QWRGUYRKSA-N 0 3 246.354 2.911 20 0 BFADHN CC[C@H](C)[C@@H](C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000423702314 387401428 /nfs/dbraw/zinc/40/14/28/387401428.db2.gz XXZFZFPQKMIEPY-WDEREUQCSA-N 0 3 246.354 2.911 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1ccccn1 ZINC000121714863 387401863 /nfs/dbraw/zinc/40/18/63/387401863.db2.gz CEMCDFWPOCPZAI-LLVKDONJSA-N 0 3 224.373 2.655 20 0 BFADHN CCCCC(=O)NC[C@H](N)c1ccccc1CC ZINC000423972081 387460592 /nfs/dbraw/zinc/46/05/92/387460592.db2.gz HRAFOKUAMOCFKK-AWEZNQCLSA-N 0 3 248.370 2.555 20 0 BFADHN Cc1ccc(CN2C[C@H](C)N(C)C[C@@H]2C)c(C)c1 ZINC000361153195 387406181 /nfs/dbraw/zinc/40/61/81/387406181.db2.gz LKZHXPUIHMYGRO-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1ccc(CN2C[C@H](C)C[C@H](C)C2)cn1 ZINC000121780894 387406502 /nfs/dbraw/zinc/40/65/02/387406502.db2.gz XWPSYVMYXXZUHC-TXEJJXNPSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1ccc(CN2C[C@H](C)N(C)C[C@@H]2C)s1 ZINC000361165364 387408034 /nfs/dbraw/zinc/40/80/34/387408034.db2.gz JKINSTXEOXEKNM-QWRGUYRKSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@H](C)[C@H]2C)s1 ZINC000361156819 387408951 /nfs/dbraw/zinc/40/89/51/387408951.db2.gz UFCBNIYZPQFQON-VXGBXAGGSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1ccsc1CN1CCN(C)[C@H](C)[C@@H]1C ZINC000361158017 387409085 /nfs/dbraw/zinc/40/90/85/387409085.db2.gz YTOHBLUNEZWLIJ-NEPJUHHUSA-N 0 3 238.400 2.581 20 0 BFADHN CC[C@H]1CCCN1Cc1ccc(COC)o1 ZINC000352652841 387415253 /nfs/dbraw/zinc/41/52/53/387415253.db2.gz RKPRWRCWNQEBIT-NSHDSACASA-N 0 3 223.316 2.800 20 0 BFADHN Cc1c(CNC(C)(C)c2ccccc2)cnn1C ZINC000268846743 387417101 /nfs/dbraw/zinc/41/71/01/387417101.db2.gz AWQSBYDAQMNRMT-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN C[C@@H](NC[C@H](O)C(C)(C)C)c1ccccc1F ZINC000268877545 387417245 /nfs/dbraw/zinc/41/72/45/387417245.db2.gz KQRXSCHRGBYORT-MFKMUULPSA-N 0 3 239.334 2.883 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CCC[C@@H]2C2CC2)[n-]1 ZINC000515769892 387421095 /nfs/dbraw/zinc/42/10/95/387421095.db2.gz IVPMAYIFAKKTIX-NEPJUHHUSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CCC[C@@H]2C2CC2)[nH]1 ZINC000515769892 387421099 /nfs/dbraw/zinc/42/10/99/387421099.db2.gz IVPMAYIFAKKTIX-NEPJUHHUSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CCC[C@@H]2C2CC2)[n-]1 ZINC000515769895 387421274 /nfs/dbraw/zinc/42/12/74/387421274.db2.gz IVPMAYIFAKKTIX-VXGBXAGGSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCC[C@@H]2C2CC2)[nH]1 ZINC000515769895 387421277 /nfs/dbraw/zinc/42/12/77/387421277.db2.gz IVPMAYIFAKKTIX-VXGBXAGGSA-N 0 3 248.374 2.596 20 0 BFADHN COC1(C)CCN(C/C=C\c2ccncc2)CC1 ZINC000361239668 387421762 /nfs/dbraw/zinc/42/17/62/387421762.db2.gz WXFJUNMNEWHQHZ-ARJAWSKDSA-N 0 3 246.354 2.596 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CCC[C@H]2C2CC2)[n-]1 ZINC000515769894 387421927 /nfs/dbraw/zinc/42/19/27/387421927.db2.gz IVPMAYIFAKKTIX-RYUDHWBXSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CCC[C@H]2C2CC2)[nH]1 ZINC000515769894 387421932 /nfs/dbraw/zinc/42/19/32/387421932.db2.gz IVPMAYIFAKKTIX-RYUDHWBXSA-N 0 3 248.374 2.596 20 0 BFADHN Cc1cc(CN(CC2CC2)CC2CCC2)no1 ZINC000361565546 387494310 /nfs/dbraw/zinc/49/43/10/387494310.db2.gz MZCSYFPQMQMLSC-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCCC2(CO)CC2)o1 ZINC000395879937 387495678 /nfs/dbraw/zinc/49/56/78/387495678.db2.gz AOFCJGMSPIQZJY-YPMHNXCESA-N 0 3 249.354 2.655 20 0 BFADHN CSc1ccc(CN[C@H]2CO[C@H](C)C2)s1 ZINC000516290817 387497284 /nfs/dbraw/zinc/49/72/84/387497284.db2.gz XMZWMBCAIKHNSX-RKDXNWHRSA-N 0 3 243.397 2.737 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@H](C)c1ccncn1 ZINC000395882347 387497999 /nfs/dbraw/zinc/49/79/99/387497999.db2.gz HTWAYPAPAAXZEB-SDDRHHMPSA-N 0 3 221.348 2.952 20 0 BFADHN CO[C@@]1(C)CCCN(C/C=C\c2ccncc2)C1 ZINC000355218919 387499944 /nfs/dbraw/zinc/49/99/44/387499944.db2.gz VHVXAWQNPWHXCB-QTLSWZBMSA-N 0 3 246.354 2.596 20 0 BFADHN COc1ccc(CN2C[C@@H](C)CC[C@@H]2C)cn1 ZINC000085824076 387503068 /nfs/dbraw/zinc/50/30/68/387503068.db2.gz GIRSMHPUVLMZHN-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H]1CN(CC2(C)CC2)CC2(CCCC2)O1 ZINC000648077051 387505812 /nfs/dbraw/zinc/50/58/12/387505812.db2.gz XUNBVLZQENSXRF-LBPRGKRZSA-N 0 3 223.360 2.820 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1COC(C)(C)C1 ZINC000396898890 387510287 /nfs/dbraw/zinc/51/02/87/387510287.db2.gz VIVXOAMXJJCRDH-NWDGAFQWSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1ccc(C(C)(C)NC[C@H]2CC[C@@H](C)O2)cn1 ZINC000355298116 387515237 /nfs/dbraw/zinc/51/52/37/387515237.db2.gz WJQXPJCONIYHPG-TZMCWYRMSA-N 0 3 248.370 2.782 20 0 BFADHN CC1(C)C[C@@H](NCc2cccc(Cl)c2)CO1 ZINC000396925107 387515515 /nfs/dbraw/zinc/51/55/15/387515515.db2.gz LXZRIIWXXNSMCW-GFCCVEGCSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@@H](O)CCCN1Cc2ccccc2C2(CC2)C1 ZINC000355295035 387516070 /nfs/dbraw/zinc/51/60/70/387516070.db2.gz QVSVIUXMPCHKSY-CYBMUJFWSA-N 0 3 245.366 2.695 20 0 BFADHN C[C@@H](N[C@@H](C)CC(C)(C)C)c1ccncn1 ZINC000395965085 387519292 /nfs/dbraw/zinc/51/92/92/387519292.db2.gz KDMMYNRALNKSRH-WDEREUQCSA-N 0 3 221.348 2.952 20 0 BFADHN C[C@H](CNCc1ccco1)CC(F)(F)F ZINC000396934533 387521718 /nfs/dbraw/zinc/52/17/18/387521718.db2.gz WGVPIAFANBGSIO-QMMMGPOBSA-N 0 3 221.222 2.958 20 0 BFADHN C[C@@H](NC[C@@H](C)CC(F)(F)F)c1cnccn1 ZINC000396980341 387524625 /nfs/dbraw/zinc/52/46/25/387524625.db2.gz IXKOKHVZNLLXFR-DTWKUNHWSA-N 0 3 247.264 2.716 20 0 BFADHN C[C@H](CCc1ccco1)N[C@H](C)c1ccncn1 ZINC000396041376 387524691 /nfs/dbraw/zinc/52/46/91/387524691.db2.gz QYFZITXVTNQMGK-VXGBXAGGSA-N 0 3 245.326 2.742 20 0 BFADHN CCN(C(=O)C(C)C(F)(F)F)c1ccncc1 ZINC000361719967 387526642 /nfs/dbraw/zinc/52/66/42/387526642.db2.gz FZUIIZNBVVWOQT-QMMMGPOBSA-N 0 3 246.232 2.633 20 0 BFADHN CN(Cc1coc2ccccc12)CC1(O)CCC1 ZINC000355371880 387528339 /nfs/dbraw/zinc/52/83/39/387528339.db2.gz PJTQHHPEQQERAI-UHFFFAOYSA-N 0 3 245.322 2.780 20 0 BFADHN CC(C)C[C@@H](C)N1CCO[C@@H](C(C)C)C1 ZINC000445456098 387529746 /nfs/dbraw/zinc/52/97/46/387529746.db2.gz NAFMZQNYMYRLBW-CHWSQXEVSA-N 0 3 213.365 2.778 20 0 BFADHN C[C@@H](N[C@@H](C1CC1)C1CCC1)c1cnccn1 ZINC000324825261 387530692 /nfs/dbraw/zinc/53/06/92/387530692.db2.gz TYOOXJVGBAHVJG-QMTHXVAHSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@H](N[C@@H]1CCC(C)(C)C1)c1nccn1C ZINC000122467476 387531083 /nfs/dbraw/zinc/53/10/83/387531083.db2.gz JPHQLRJDCIYLRL-WDEREUQCSA-N 0 3 221.348 2.649 20 0 BFADHN CCSCCN(C)CCOc1ccccc1 ZINC000122457350 387532771 /nfs/dbraw/zinc/53/27/71/387532771.db2.gz BYELHHYKVUFPBP-UHFFFAOYSA-N 0 3 239.384 2.750 20 0 BFADHN CCSCCNCc1cnc(C)cc1C ZINC000397027866 387533329 /nfs/dbraw/zinc/53/33/29/387533329.db2.gz OQCJJGMOSOHYSD-UHFFFAOYSA-N 0 3 224.373 2.541 20 0 BFADHN CC(C)[C@H](NC[C@@H](C)O)c1ccc(F)cc1F ZINC000192786233 387534878 /nfs/dbraw/zinc/53/48/78/387534878.db2.gz SAZSYUDIGARAIH-RNCFNFMXSA-N 0 3 243.297 2.632 20 0 BFADHN C[C@@H](Cc1ccc(O)cc1)N(C)CC1(F)CC1 ZINC000527219891 387535526 /nfs/dbraw/zinc/53/55/26/387535526.db2.gz IFUOCCVUEIWGIW-NSHDSACASA-N 0 3 237.318 2.757 20 0 BFADHN CCCCN(C)C(=O)[C@@H](N)CC1CCCCC1 ZINC000310211732 387536089 /nfs/dbraw/zinc/53/60/89/387536089.db2.gz GUBBSUZNMIUVIN-ZDUSSCGKSA-N 0 3 240.391 2.543 20 0 BFADHN CCC[C@H](NCc1snnc1C)C1CCC1 ZINC000324847850 387538885 /nfs/dbraw/zinc/53/88/85/387538885.db2.gz DLBKOSFOBVBEDZ-NSHDSACASA-N 0 3 239.388 2.905 20 0 BFADHN CC[C@@H](C)[C@H]1CCCCN1Cc1ncccn1 ZINC000361830009 387540518 /nfs/dbraw/zinc/54/05/18/387540518.db2.gz PNICORMNFPGMNB-CHWSQXEVSA-N 0 3 233.359 2.877 20 0 BFADHN Clc1ccsc1CN[C@@H]1CCCOC1 ZINC000278499521 387541201 /nfs/dbraw/zinc/54/12/01/387541201.db2.gz NHQDXRDXOICEDD-MRVPVSSYSA-N 0 3 231.748 2.670 20 0 BFADHN COc1ccccc1[C@@H]1CCN(CC2(F)CC2)C1 ZINC000527219621 387541658 /nfs/dbraw/zinc/54/16/58/387541658.db2.gz IDLMNFJYJHHWHT-GFCCVEGCSA-N 0 3 249.329 2.987 20 0 BFADHN CCC[C@H](CCO)CN[C@@H](C)c1ccco1 ZINC000122045383 387463556 /nfs/dbraw/zinc/46/35/56/387463556.db2.gz VGZNKZBQTQCWNC-NWDGAFQWSA-N 0 3 225.332 2.729 20 0 BFADHN CCOC[C@@H](C)NCc1ccc2occc2c1 ZINC000352732661 387464874 /nfs/dbraw/zinc/46/48/74/387464874.db2.gz OUGBLFXOAGLQLI-LLVKDONJSA-N 0 3 233.311 2.947 20 0 BFADHN CCc1ccc(CN[C@H](C)[C@@H]2CCCCO2)cn1 ZINC000352737760 387464928 /nfs/dbraw/zinc/46/49/28/387464928.db2.gz RBDHFXRZPHIVND-DOMZBBRYSA-N 0 3 248.370 2.691 20 0 BFADHN COC[C@@H](C)NC/C=C/c1ccc(F)c(F)c1 ZINC000352740820 387466628 /nfs/dbraw/zinc/46/66/28/387466628.db2.gz AIZANSRQGVCVFW-HMDXOVGESA-N 0 3 241.281 2.603 20 0 BFADHN CC[C@H](C)CN[C@H](C)c1cc(OC)ncc1F ZINC000421895645 387470059 /nfs/dbraw/zinc/47/00/59/387470059.db2.gz YTXSCSCZWDTJQK-VHSXEESVSA-N 0 3 240.322 2.926 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCO[C@@H](C)C2)o1 ZINC000352745506 387470425 /nfs/dbraw/zinc/47/04/25/387470425.db2.gz VRSMRGGMZZMAFX-STQMWFEESA-N 0 3 237.343 2.835 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@H](OC)C2(C)C)cn1 ZINC000352750638 387472208 /nfs/dbraw/zinc/47/22/08/387472208.db2.gz QWQCTVLMNPBZCB-KGLIPLIRSA-N 0 3 248.370 2.547 20 0 BFADHN COC1(CN(C)Cc2ccc(C)o2)CCCC1 ZINC000361501437 387474359 /nfs/dbraw/zinc/47/43/59/387474359.db2.gz QKKUOZHWJXOBRO-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN CC[C@H](C)CN(C)C1(C(=O)OC)CCCCC1 ZINC000527116081 387483859 /nfs/dbraw/zinc/48/38/59/387483859.db2.gz PDKOLBCSGREANQ-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CCc1nn(C)c(CC)c1CN(C)[C@H]1CC1(C)C ZINC000426541767 387580780 /nfs/dbraw/zinc/58/07/80/387580780.db2.gz WHCJAKSPGKCMRI-AWEZNQCLSA-N 0 3 249.402 2.775 20 0 BFADHN C[C@H]1CN(Cc2ncccc2Cl)C[C@H]1C ZINC000528397812 387585629 /nfs/dbraw/zinc/58/56/29/387585629.db2.gz VKFLDXZABDXZNN-AOOOYVTPSA-N 0 3 224.735 2.823 20 0 BFADHN Cc1nocc1CN[C@H](C)C1CCCC1 ZINC000293641247 387587379 /nfs/dbraw/zinc/58/73/79/387587379.db2.gz RSXPMOWSSHQQAJ-SECBINFHSA-N 0 3 208.305 2.651 20 0 BFADHN FC1(CN(C[C@@H]2CCCO2)C2CCCC2)CC1 ZINC000527511293 387589942 /nfs/dbraw/zinc/58/99/42/387589942.db2.gz OMFBSOZYBDFONG-ZDUSSCGKSA-N 0 3 241.350 2.912 20 0 BFADHN CCO[C@@H]1C[C@H](N(C)CC2(F)CC2)C1(C)C ZINC000527510697 387590829 /nfs/dbraw/zinc/59/08/29/387590829.db2.gz KFHQSRKZAZIUGK-WDEREUQCSA-N 0 3 229.339 2.624 20 0 BFADHN CC/C=C/CNCc1cnc(CC)s1 ZINC000295127539 387590977 /nfs/dbraw/zinc/59/09/77/387590977.db2.gz XNSJNVILOFWKKT-AATRIKPKSA-N 0 3 210.346 2.761 20 0 BFADHN C[C@@H](CNCC1(F)CC1)N1CCc2ccccc21 ZINC000527520725 387593103 /nfs/dbraw/zinc/59/31/03/387593103.db2.gz MAPDPKYDKPLATI-LBPRGKRZSA-N 0 3 248.345 2.529 20 0 BFADHN COCCOc1ccc(CN2[C@H](C)C[C@H]2C)cc1 ZINC000527529557 387598496 /nfs/dbraw/zinc/59/84/96/387598496.db2.gz BKJDCVMBKZNFHR-CHWSQXEVSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1cc(CN2CC=C(C)CC2)ccn1 ZINC000527539736 387608847 /nfs/dbraw/zinc/60/88/47/387608847.db2.gz RWMZAFPKBKTCKV-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN CNCc1cccc(NC(=O)[C@@]23C[C@@H]2CCC3)c1 ZINC000422621538 387609469 /nfs/dbraw/zinc/60/94/69/387609469.db2.gz YHHOQNSZVBRTDW-WFASDCNBSA-N 0 3 244.338 2.535 20 0 BFADHN Cc1cc(CN2CCCO[C@@H](C(C)C)C2)ccn1 ZINC000527540955 387610534 /nfs/dbraw/zinc/61/05/34/387610534.db2.gz ZPPJHFUFQFRROQ-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1ccsc1CN(C)Cc1[nH]ncc1C ZINC000356071070 387614468 /nfs/dbraw/zinc/61/44/68/387614468.db2.gz ZEBSAMHAIKOYJY-UHFFFAOYSA-N 0 3 235.356 2.720 20 0 BFADHN CCC[C@@H]1CN(CC2(SC)CCC2)CCO1 ZINC000356125745 387617635 /nfs/dbraw/zinc/61/76/35/387617635.db2.gz SIJYEUUGOMMSBY-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN C[C@@H](NCCC(F)(F)F)c1cccc(CO)c1 ZINC000305628684 387618835 /nfs/dbraw/zinc/61/88/35/387618835.db2.gz DNILJKCDXSSYFQ-SECBINFHSA-N 0 3 247.260 2.782 20 0 BFADHN COC[C@H](C)NCc1ccc(C(F)F)cc1 ZINC000124585992 387622428 /nfs/dbraw/zinc/62/24/28/387622428.db2.gz PCTMTNDVNBXTAW-VIFPVBQESA-N 0 3 229.270 2.749 20 0 BFADHN Cc1ccc2c(c1)CCN(CCC[C@H](C)O)C2 ZINC000361849269 387545022 /nfs/dbraw/zinc/54/50/22/387545022.db2.gz MQVYCDGAABBVSX-ZDUSSCGKSA-N 0 3 233.355 2.514 20 0 BFADHN CCc1ccc(CNC[C@@](C)(O)[C@H](C)CC)o1 ZINC000305080070 387546127 /nfs/dbraw/zinc/54/61/27/387546127.db2.gz MDNWAODAIOAIQH-BXUZGUMPSA-N 0 3 239.359 2.729 20 0 BFADHN CCN(CCC1CC1)Cc1cncs1 ZINC000355514552 387546377 /nfs/dbraw/zinc/54/63/77/387546377.db2.gz NTVZNPYBXOSVHR-UHFFFAOYSA-N 0 3 210.346 2.765 20 0 BFADHN CC(C)CCNCc1cccc2c1OCCCO2 ZINC000036167725 387552151 /nfs/dbraw/zinc/55/21/51/387552151.db2.gz JLPZKOMMWZGJHY-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN Cc1cncc(CN2CC[C@@H](c3ccco3)C2)c1 ZINC000375544604 387553024 /nfs/dbraw/zinc/55/30/24/387553024.db2.gz GANYNTNYEYLVBB-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN CC1(C)Cc2occc2[C@@H](NCC2(O)CCC2)C1 ZINC000305100740 387554654 /nfs/dbraw/zinc/55/46/54/387554654.db2.gz BDIOXHBJWOYEFP-LBPRGKRZSA-N 0 3 249.354 2.798 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CCC23CCC3)o1 ZINC000426329751 387555952 /nfs/dbraw/zinc/55/59/52/387555952.db2.gz ISFZNMVZQNMQIX-GFCCVEGCSA-N 0 3 234.343 2.968 20 0 BFADHN CC[C@@H](NC(=O)[C@H](N)C(C)C)c1ccc(C)cc1 ZINC000037028266 387558328 /nfs/dbraw/zinc/55/83/28/387558328.db2.gz ICJJZMAWUXYTOL-ZIAGYGMSSA-N 0 3 248.370 2.546 20 0 BFADHN CC[C@@H](N[C@@H](CC)CO)c1ccccc1OC ZINC000037238360 387562773 /nfs/dbraw/zinc/56/27/73/387562773.db2.gz ARRFVYPSHNRGEJ-WCQYABFASA-N 0 3 237.343 2.507 20 0 BFADHN CC(C)[C@@H](O)CCN[C@H](C)c1ccccc1F ZINC000305142081 387563120 /nfs/dbraw/zinc/56/31/20/387563120.db2.gz MOCKUNWJSLMBCX-RISCZKNCSA-N 0 3 239.334 2.883 20 0 BFADHN CCC[C@H](O)CN[C@H]1CCCOc2ccccc21 ZINC000305155663 387563605 /nfs/dbraw/zinc/56/36/05/387563605.db2.gz VPTXXHYZNDUHCJ-JSGCOSHPSA-N 0 3 249.354 2.651 20 0 BFADHN CC[C@H](O)CNCc1cc2cc(C)c(C)cc2o1 ZINC000422086230 387566778 /nfs/dbraw/zinc/56/67/78/387566778.db2.gz OGXCFEGVWMTCBH-ZDUSSCGKSA-N 0 3 247.338 2.910 20 0 BFADHN CC[C@@H](C)CN1CCN(C)CC12CCCCC2 ZINC000122610982 387566875 /nfs/dbraw/zinc/56/68/75/387566875.db2.gz WWPBYHYTYGHKJL-CQSZACIVSA-N 0 3 238.419 2.983 20 0 BFADHN Cc1ccc(CNCCc2ccc(C)cn2)o1 ZINC000399795520 387569850 /nfs/dbraw/zinc/56/98/50/387569850.db2.gz NMMXLROQDKTBQI-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CC(C)CCCNCc1cccc2c1OCO2 ZINC000037991356 387570836 /nfs/dbraw/zinc/57/08/36/387570836.db2.gz HKVXFXIUXWQEJV-UHFFFAOYSA-N 0 3 235.327 2.941 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)C2(C)CCCC2)n1 ZINC000426531953 387579167 /nfs/dbraw/zinc/57/91/67/387579167.db2.gz UKRMKXKJJLYZML-UHFFFAOYSA-N 0 3 234.343 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)C2(C)CCCC2)n1 ZINC000426531953 387579170 /nfs/dbraw/zinc/57/91/70/387579170.db2.gz UKRMKXKJJLYZML-UHFFFAOYSA-N 0 3 234.343 2.860 20 0 BFADHN CC[C@H](F)CN1CCS[C@H](C(C)C)C1 ZINC000440774799 387694602 /nfs/dbraw/zinc/69/46/02/387694602.db2.gz ZBCSSZWQXLLDBO-QWRGUYRKSA-N 0 3 219.369 2.808 20 0 BFADHN CCC1(CC)CCN(Cc2n[nH]cc2C)C1 ZINC000356224127 387630045 /nfs/dbraw/zinc/63/00/45/387630045.db2.gz RJKLNMUDKZHULC-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN C(CN1CCO[C@@H](C2CC2)C1)C1CCCC1 ZINC000362567458 387630641 /nfs/dbraw/zinc/63/06/41/387630641.db2.gz IARKUVNRTQEWKG-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN COC(=O)[C@H]1CCCN1CC1CCCCCC1 ZINC000124819330 387634567 /nfs/dbraw/zinc/63/45/67/387634567.db2.gz GBCQKRFGIVSMHP-CYBMUJFWSA-N 0 3 239.359 2.594 20 0 BFADHN C[C@H]1CC(F)(F)CCN1CCc1cncs1 ZINC000528768258 387635594 /nfs/dbraw/zinc/63/55/94/387635594.db2.gz IQSJSYQXDNGOKV-VIFPVBQESA-N 0 3 246.326 2.805 20 0 BFADHN CC(C)c1ncc2c(n1)CCN(CC1CCC1)C2 ZINC000527618605 387638575 /nfs/dbraw/zinc/63/85/75/387638575.db2.gz GPDXYWPVPMXNPY-UHFFFAOYSA-N 0 3 245.370 2.758 20 0 BFADHN CC[C@@H](C)N1CCc2nc(C(C)C)ncc2C1 ZINC000527623018 387638676 /nfs/dbraw/zinc/63/86/76/387638676.db2.gz JFTLCGIYJHUZTO-LLVKDONJSA-N 0 3 233.359 2.757 20 0 BFADHN CC(C)(C)C[C@@H](CO)NCc1ccc(Cl)o1 ZINC000125115987 387644294 /nfs/dbraw/zinc/64/42/94/387644294.db2.gz CMFIHZCXHCPGMC-VIFPVBQESA-N 0 3 245.750 2.820 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@@H]1NCc1nccn1C ZINC000430730905 387644929 /nfs/dbraw/zinc/64/49/29/387644929.db2.gz KIWOHNROFQDOFP-XQQFMLRXSA-N 0 3 235.375 2.725 20 0 BFADHN c1coc([C@@H]2CCN(CCOC3CCC3)C2)c1 ZINC000376510012 387645983 /nfs/dbraw/zinc/64/59/83/387645983.db2.gz BFCWMVAWSXLJLT-GFCCVEGCSA-N 0 3 235.327 2.638 20 0 BFADHN CC[C@@H](F)CN1CCC(c2cc(C)[nH]n2)CC1 ZINC000440783228 387695230 /nfs/dbraw/zinc/69/52/30/387695230.db2.gz XEBKICGUVJQALD-GFCCVEGCSA-N 0 3 239.338 2.646 20 0 BFADHN CC[C@@H](F)CN1CCC(c2cc(C)n[nH]2)CC1 ZINC000440783228 387695235 /nfs/dbraw/zinc/69/52/35/387695235.db2.gz XEBKICGUVJQALD-GFCCVEGCSA-N 0 3 239.338 2.646 20 0 BFADHN COc1ccncc1CN1CCC2(CCCC2)C1 ZINC000376549606 387650859 /nfs/dbraw/zinc/65/08/59/387650859.db2.gz AGHURMQQKJVRCG-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN Cc1cn[nH]c1CN(C)Cc1cc(C)ccc1C ZINC000356383013 387651519 /nfs/dbraw/zinc/65/15/19/387651519.db2.gz BJVSINNAZLAOLX-UHFFFAOYSA-N 0 3 243.354 2.967 20 0 BFADHN Cc1c[nH]nc1CN1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000356402469 387653952 /nfs/dbraw/zinc/65/39/52/387653952.db2.gz YHOGLQMABRZKLQ-FOGDFJRCSA-N 0 3 221.348 2.585 20 0 BFADHN Cc1cncc(CNCc2ccccc2C)c1 ZINC000123104654 387655103 /nfs/dbraw/zinc/65/51/03/387655103.db2.gz YGVSBNQLRKVOHT-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN CCn1nc(C)c(CN2CC(C)=C[C@@H](C)C2)c1C ZINC000430879471 387656064 /nfs/dbraw/zinc/65/60/64/387656064.db2.gz SWMYGTSMICSWSC-LLVKDONJSA-N 0 3 247.386 2.918 20 0 BFADHN CC1=C[C@H](C)CN(Cc2cccc(O)c2)C1 ZINC000430871365 387656309 /nfs/dbraw/zinc/65/63/09/387656309.db2.gz CZFWATODXZABIV-NSHDSACASA-N 0 3 217.312 2.790 20 0 BFADHN CC1=C[C@H](C)CN(Cc2cnn3ccccc23)C1 ZINC000430868579 387656628 /nfs/dbraw/zinc/65/66/28/387656628.db2.gz OCDVZXVVGBERDI-LBPRGKRZSA-N 0 3 241.338 2.732 20 0 BFADHN CCCCCN(CCC(C)(C)CC)CC(N)=O ZINC000356431125 387658005 /nfs/dbraw/zinc/65/80/05/387658005.db2.gz GNVLSRCOZGJDFS-UHFFFAOYSA-N 0 3 242.407 2.790 20 0 BFADHN Cn1nccc1CN1CCCC2(CCCCC2)C1 ZINC000125708147 387662776 /nfs/dbraw/zinc/66/27/76/387662776.db2.gz OTEKVLHPFSVZBY-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN C[C@@H](NCCOCC1CCC1)c1ccoc1 ZINC000309425875 387666598 /nfs/dbraw/zinc/66/65/98/387666598.db2.gz KHRLJBMBONPEJR-LLVKDONJSA-N 0 3 223.316 2.747 20 0 BFADHN CC[C@H]1CCN(Cc2cc(OC)ccc2OC)C1 ZINC000125847617 387666787 /nfs/dbraw/zinc/66/67/87/387666787.db2.gz JEGQVWBQQMZUHS-LBPRGKRZSA-N 0 3 249.354 2.936 20 0 BFADHN C[C@@H](F)CCNCc1ccncc1Cl ZINC000527714357 387669668 /nfs/dbraw/zinc/66/96/68/387669668.db2.gz YZZHZLIZWSRJNL-MRVPVSSYSA-N 0 3 216.687 2.573 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000527716185 387673038 /nfs/dbraw/zinc/67/30/38/387673038.db2.gz LXVRZRCLVKXBIB-IMIFBBOLSA-N 0 3 247.386 2.988 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]2c2ccco2)ccn1 ZINC000527722710 387676799 /nfs/dbraw/zinc/67/67/99/387676799.db2.gz VBRMIRYQQRALSO-CHWSQXEVSA-N 0 3 228.295 2.629 20 0 BFADHN Cn1ccc(CNCC[C@H]2CCCC2(C)C)n1 ZINC000527729252 387678854 /nfs/dbraw/zinc/67/88/54/387678854.db2.gz MJXOMAVEUZCDBJ-GFCCVEGCSA-N 0 3 235.375 2.726 20 0 BFADHN CC1(C)CCC[C@@H]1CCNCc1ncc[nH]1 ZINC000527728461 387679316 /nfs/dbraw/zinc/67/93/16/387679316.db2.gz KMMVZXCZKMUOJU-LLVKDONJSA-N 0 3 221.348 2.716 20 0 BFADHN CCCCC[C@H]1CCCN1CC(=O)NC(C)C ZINC000362957373 387680341 /nfs/dbraw/zinc/68/03/41/387680341.db2.gz IFRVNHGCVINWID-ZDUSSCGKSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1cc(CNC[C@H](C)c2nccs2)ccn1 ZINC000527691247 387684968 /nfs/dbraw/zinc/68/49/68/387684968.db2.gz JQBUIZFSTWXDFA-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN Cn1ccc(CNCC(C2CCC2)C2CCC2)n1 ZINC000527737484 387685370 /nfs/dbraw/zinc/68/53/70/387685370.db2.gz QIMLJRCMEGBISA-UHFFFAOYSA-N 0 3 247.386 2.726 20 0 BFADHN Cc1noc(C)c1CN1[C@@H](C)CC[C@@H]1C ZINC000123364017 387686517 /nfs/dbraw/zinc/68/65/17/387686517.db2.gz XJFUYOGLEVFLPV-IUCAKERBSA-N 0 3 208.305 2.664 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1ccccc1OC ZINC000044688647 387688118 /nfs/dbraw/zinc/68/81/18/387688118.db2.gz KVBUEIFWTGYCQM-NEPJUHHUSA-N 0 3 237.343 2.771 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ccccc1F ZINC000044688772 387689859 /nfs/dbraw/zinc/68/98/59/387689859.db2.gz WBWXTMTXSMUNBJ-WDEREUQCSA-N 0 3 225.307 2.901 20 0 BFADHN CCOC[C@@H](C)NCc1ccc2ccccc2n1 ZINC000044724382 387691546 /nfs/dbraw/zinc/69/15/46/387691546.db2.gz SCRDPSRPKBBPBW-GFCCVEGCSA-N 0 3 244.338 2.749 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1cc(C)oc1C ZINC000044690605 387692235 /nfs/dbraw/zinc/69/22/35/387692235.db2.gz ZSADHOMFVZOJTP-KOLCDFICSA-N 0 3 225.332 2.972 20 0 BFADHN Cn1c(CNCC2CC=CC2)nc2ccccc21 ZINC000527749961 387693460 /nfs/dbraw/zinc/69/34/60/387693460.db2.gz ADVFMRCWHCHXTL-UHFFFAOYSA-N 0 3 241.338 2.629 20 0 BFADHN C[C@H](N[C@@H](C)C1CC1)c1ncccc1F ZINC000527826656 387745576 /nfs/dbraw/zinc/74/55/76/387745576.db2.gz QEEWOCWNVCKVBE-IUCAKERBSA-N 0 3 208.280 2.670 20 0 BFADHN CCCCN(CCCC)C(=O)[C@@H]1CCCN1C ZINC000356977038 387756357 /nfs/dbraw/zinc/75/63/57/387756357.db2.gz PCHKXDQCXWSHBT-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@H]1C[C@@H](CN(C)Cc2ccco2)CCO1 ZINC000356927367 387748618 /nfs/dbraw/zinc/74/86/18/387748618.db2.gz TWPMWWJPGKOHOO-STQMWFEESA-N 0 3 237.343 2.917 20 0 BFADHN C[C@H](N[C@H]1COc2cc(F)cc(F)c21)C1CC1 ZINC000377176427 387697630 /nfs/dbraw/zinc/69/76/30/387697630.db2.gz ALULACYQRPKRNF-CPCISQLKSA-N 0 3 239.265 2.786 20 0 BFADHN CCc1cccc(CN[C@]23C[C@H]2COC3(C)C)c1 ZINC000527762198 387697781 /nfs/dbraw/zinc/69/77/81/387697781.db2.gz IKNIBHWADNOHMU-GOEBONIOSA-N 0 3 245.366 2.906 20 0 BFADHN Cc1ccc(CN[C@]23C[C@H]2COC3(C)C)c(C)c1 ZINC000527758838 387697856 /nfs/dbraw/zinc/69/78/56/387697856.db2.gz MDKAERSRIHKHJL-GOEBONIOSA-N 0 3 245.366 2.961 20 0 BFADHN CCO[C@@H]1C[C@H](N(C)C[C@H](F)CC)C1(C)C ZINC000440787164 387698370 /nfs/dbraw/zinc/69/83/70/387698370.db2.gz VADWDNNSGQGBLY-GRYCIOLGSA-N 0 3 231.355 2.870 20 0 BFADHN CCO[C@@H]1C[C@@H](N(C)C[C@@H](F)CC)C1(C)C ZINC000440787168 387700042 /nfs/dbraw/zinc/70/00/42/387700042.db2.gz VADWDNNSGQGBLY-QJPTWQEYSA-N 0 3 231.355 2.870 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1cccnc1 ZINC000452013345 387700401 /nfs/dbraw/zinc/70/04/01/387700401.db2.gz GMESGAIVVJYLMU-MRVWCRGKSA-N 0 3 234.343 2.690 20 0 BFADHN CO[C@]1(C)CCCN(C/C=C/Cl)CC1 ZINC000377207926 387702045 /nfs/dbraw/zinc/70/20/45/387702045.db2.gz GSPXIOSFEJPDAS-TZOMUSMUSA-N 0 3 217.740 2.630 20 0 BFADHN Cc1ccc(F)c(CN[C@@]23C[C@@H]2COC3(C)C)c1 ZINC000527767954 387702583 /nfs/dbraw/zinc/70/25/83/387702583.db2.gz YWLGNRPKOLCPLN-DOMZBBRYSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1ccc(CN[C@]23C[C@H]2COC3(C)C)s1 ZINC000527767618 387702605 /nfs/dbraw/zinc/70/26/05/387702605.db2.gz WROSCGHFFUZGHV-GXFFZTMASA-N 0 3 237.368 2.714 20 0 BFADHN Cc1cccc(CN[C@H](C)c2cnccc2N)c1 ZINC000422801529 387702936 /nfs/dbraw/zinc/70/29/36/387702936.db2.gz FRXTWNOIVYSCST-GFCCVEGCSA-N 0 3 241.338 2.653 20 0 BFADHN COC[C@@H](C)N[C@@H]1CCc2ccc(Cl)cc21 ZINC000127145967 387705912 /nfs/dbraw/zinc/70/59/12/387705912.db2.gz ZODBLIUESUXYBH-NOZJJQNGSA-N 0 3 239.746 2.952 20 0 BFADHN CCc1ncc(CNCC[C@@H]2CC=CCC2)cn1 ZINC000527785580 387712735 /nfs/dbraw/zinc/71/27/35/387712735.db2.gz ATBANJHVUDUKJP-CYBMUJFWSA-N 0 3 245.370 2.875 20 0 BFADHN Cn1ccnc1[C@H](NC1CCCCC1)C1CC1 ZINC000127511931 387714271 /nfs/dbraw/zinc/71/42/71/387714271.db2.gz YUHBUSMQDMZZBE-CYBMUJFWSA-N 0 3 233.359 2.794 20 0 BFADHN C[C@@]1(O)C[C@H](NCc2csc3ccccc23)C1 ZINC000418115943 387714483 /nfs/dbraw/zinc/71/44/83/387714483.db2.gz QGPUMTNXJSPAHN-VDISTLRHSA-N 0 3 247.363 2.904 20 0 BFADHN Clc1sccc1CNCC12CC(C1)CO2 ZINC000527791125 387718051 /nfs/dbraw/zinc/71/80/51/387718051.db2.gz QQFBPISQYHHICW-UHFFFAOYSA-N 0 3 243.759 2.670 20 0 BFADHN C[C@H](NCc1ncccn1)[C@H]1CCC[C@@H](C)C1 ZINC000452062370 387719116 /nfs/dbraw/zinc/71/91/16/387719116.db2.gz SHBPZDLUPSBXAK-AGIUHOORSA-N 0 3 233.359 2.781 20 0 BFADHN C[C@@H](NCc1ccc2c(c1)CNC2)c1ccoc1 ZINC000643523421 387729411 /nfs/dbraw/zinc/72/94/11/387729411.db2.gz CGRSSPIQAMGEBL-LLVKDONJSA-N 0 3 242.322 2.734 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)[C@H]1CC12CC2 ZINC000527849684 387755802 /nfs/dbraw/zinc/75/58/02/387755802.db2.gz IAGAJOBVHNAMMU-JOYOIKCWSA-N 0 3 220.316 2.570 20 0 BFADHN CS[C@@H](C)CNCc1ccc(F)c(F)c1 ZINC000127986691 387732538 /nfs/dbraw/zinc/73/25/38/387732538.db2.gz AFDKMRRDPHEFIA-QMMMGPOBSA-N 0 3 231.311 2.806 20 0 BFADHN CCc1ccc([C@@H](C)NCc2cn[nH]c2)cc1 ZINC000038005522 387736415 /nfs/dbraw/zinc/73/64/15/387736415.db2.gz CYPQNAKQKHEQMS-LLVKDONJSA-N 0 3 229.327 2.823 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H](O)C2(C)C)cc1C ZINC000128098802 387737382 /nfs/dbraw/zinc/73/73/82/387737382.db2.gz DEPBRCOTRIZDAF-KGLIPLIRSA-N 0 3 233.355 2.552 20 0 BFADHN Cc1cc(C)cc(CN[C@@H]2C[C@@H](O)C2(C)C)c1 ZINC000128146126 387740354 /nfs/dbraw/zinc/74/03/54/387740354.db2.gz AQGBRJZDJDGZJX-ZIAGYGMSSA-N 0 3 233.355 2.552 20 0 BFADHN C[C@H](O)CNCc1c(Cl)oc2ccccc21 ZINC000128206406 387740412 /nfs/dbraw/zinc/74/04/12/387740412.db2.gz UOVDSOOPRHEGPG-QMMMGPOBSA-N 0 3 239.702 2.557 20 0 BFADHN Cc1cnc(CN[C@H](C)[C@H]2CC23CC3)s1 ZINC000527870400 387740584 /nfs/dbraw/zinc/74/05/84/387740584.db2.gz RZKXHCOHLSKSJQ-NXEZZACHSA-N 0 3 222.357 2.730 20 0 BFADHN CCN(CC)C(=O)CN(C)CCC(C)(C)CC ZINC000441252498 387741906 /nfs/dbraw/zinc/74/19/06/387741906.db2.gz BBMKFNUGLPMDGE-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN CCC[C@H](C)CN(CC(=O)OC)C1CCCC1 ZINC000157113919 387742450 /nfs/dbraw/zinc/74/24/50/387742450.db2.gz MCFBJSCRIRPFKI-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)[C@H]1CC12CC2 ZINC000527906647 387761738 /nfs/dbraw/zinc/76/17/38/387761738.db2.gz QDPQEWZZESBHHI-WRWGMCAJSA-N 0 3 231.343 2.667 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H](C)[C@@H]1CC12CC2 ZINC000527906164 387762415 /nfs/dbraw/zinc/76/24/15/387762415.db2.gz LRMKKRQRPMJOCW-SRVKXCTJSA-N 0 3 233.359 2.742 20 0 BFADHN C/C(Cl)=C/CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000528496993 387762645 /nfs/dbraw/zinc/76/26/45/387762645.db2.gz LSIVZNMRENJZTA-CIYHXPSUSA-N 0 3 229.751 2.772 20 0 BFADHN C[C@H](N[C@@H]1CCCc2c[nH]nc21)[C@H]1CC12CC2 ZINC000527874581 387765982 /nfs/dbraw/zinc/76/59/82/387765982.db2.gz WNILFZYFHJORFD-MVWJERBFSA-N 0 3 231.343 2.565 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](C)[C@@H](C)CO)o1 ZINC000157276136 387775287 /nfs/dbraw/zinc/77/52/87/387775287.db2.gz FGGITNCPNDPSOO-GARJFASQSA-N 0 3 225.332 2.510 20 0 BFADHN COC(=O)C1(CN2Cc3ccccc3[C@@H]2C)CC1 ZINC000450457142 387775436 /nfs/dbraw/zinc/77/54/36/387775436.db2.gz UWLJENTXJBKQFH-NSHDSACASA-N 0 3 245.322 2.516 20 0 BFADHN C[C@@H](NCC[C@H]1CCCOC1)c1nccs1 ZINC000527928112 387792826 /nfs/dbraw/zinc/79/28/26/387792826.db2.gz MUJSBSNIEYNURG-GHMZBOCLSA-N 0 3 240.372 2.610 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN2CC3(C2)CCCO3)C1 ZINC000628427742 387794083 /nfs/dbraw/zinc/79/40/83/387794083.db2.gz XCQQTSICMNPFCP-GXTWGEPZSA-N 0 3 235.371 2.844 20 0 BFADHN Cc1cccc(CCN2CC[C@H](C(F)F)C2)n1 ZINC000645842377 387797650 /nfs/dbraw/zinc/79/76/50/387797650.db2.gz KXZHLUAPLBQHQX-NSHDSACASA-N 0 3 240.297 2.520 20 0 BFADHN CSC1(CNCc2cnc(C)s2)CCC1 ZINC000193643149 387797912 /nfs/dbraw/zinc/79/79/12/387797912.db2.gz OMUUDZRJVUPJJC-UHFFFAOYSA-N 0 3 242.413 2.827 20 0 BFADHN CC[C@]1(C)COCCN1CC1=CCCC1 ZINC000645842472 387799099 /nfs/dbraw/zinc/79/90/99/387799099.db2.gz TZCAIBGBSRWSTF-CYBMUJFWSA-N 0 3 209.333 2.598 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H]1CCCc2occc21 ZINC000527981785 387799979 /nfs/dbraw/zinc/79/99/79/387799979.db2.gz VNEADKCFRYXHBN-BNOWGMLFSA-N 0 3 235.327 2.814 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C ZINC000528005197 387801727 /nfs/dbraw/zinc/80/17/27/387801727.db2.gz SFSGEAVWZQLCOU-MCZMQQNQSA-N 0 3 248.370 2.853 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C)c1cccc(O)c1 ZINC000528002001 387807346 /nfs/dbraw/zinc/80/73/46/387807346.db2.gz KRCSCYYPIXNARZ-SQRMYFJTSA-N 0 3 249.354 2.855 20 0 BFADHN CSC1(CN[C@H](C)c2cncc(C)c2)CC1 ZINC000193827113 387809184 /nfs/dbraw/zinc/80/91/84/387809184.db2.gz OEMMSNFCAMZIGL-LLVKDONJSA-N 0 3 236.384 2.936 20 0 BFADHN c1nc(CN2CC3C[C@@H]4CC2C[C@H](C3)C4)co1 ZINC000432751829 387811227 /nfs/dbraw/zinc/81/12/27/387811227.db2.gz FENZIIRECOEHPY-KCXRBIIJSA-N 0 3 232.327 2.685 20 0 BFADHN CC[C@H]1CCCN(Cc2cnn(CC)c2)CC1 ZINC000128831058 387756801 /nfs/dbraw/zinc/75/68/01/387756801.db2.gz SWZLRWFRJHNVCZ-ZDUSSCGKSA-N 0 3 235.375 2.915 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](c1ccccn1)C1CCC1 ZINC000527966099 387811722 /nfs/dbraw/zinc/81/17/22/387811722.db2.gz KPBMJALIVSOTHZ-LALPHHSUSA-N 0 3 248.370 2.936 20 0 BFADHN CC[C@H](COC)N[C@@H](c1ccccn1)C1CCC1 ZINC000527968100 387811792 /nfs/dbraw/zinc/81/17/92/387811792.db2.gz OEDJLPWPLPXJKR-UKRRQHHQSA-N 0 3 248.370 2.937 20 0 BFADHN C/C(Cl)=C/CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000528497079 387761513 /nfs/dbraw/zinc/76/15/13/387761513.db2.gz LSIVZNMRENJZTA-LIPIYFLUSA-N 0 3 229.751 2.772 20 0 BFADHN Cc1occc1CN(C)C[C@@H]1CCCC[C@@H]1O ZINC000053924083 387823556 /nfs/dbraw/zinc/82/35/56/387823556.db2.gz RZURDQIYIFFMJY-KBPBESRZSA-N 0 3 237.343 2.571 20 0 BFADHN CC(C)CCN1CCN(c2ccccc2O)CC1 ZINC000058337720 387839878 /nfs/dbraw/zinc/83/98/78/387839878.db2.gz PVWBPWOOBXESRC-UHFFFAOYSA-N 0 3 248.370 2.560 20 0 BFADHN CN(Cc1cc(C(F)(F)F)n[nH]1)C1CCCC1 ZINC000442808733 387845828 /nfs/dbraw/zinc/84/58/28/387845828.db2.gz ICJWXIAJMSAWCZ-UHFFFAOYSA-N 0 3 247.264 2.803 20 0 BFADHN CC[C@@H](C)NCc1ccncc1OCC(F)F ZINC000442857520 387850796 /nfs/dbraw/zinc/85/07/96/387850796.db2.gz PSRAHTIVQRGAOG-SECBINFHSA-N 0 3 244.285 2.614 20 0 BFADHN CCN(CC)CC(=O)N[C@@H](C)CCC=C(C)C ZINC000452549007 387854189 /nfs/dbraw/zinc/85/41/89/387854189.db2.gz LPZRGYZLYJXTPX-ZDUSSCGKSA-N 0 3 240.391 2.579 20 0 BFADHN COCCCN1CC=C(c2ccc(F)cc2)CC1 ZINC000193612993 387857787 /nfs/dbraw/zinc/85/77/87/387857787.db2.gz BSWYINPUIIKWHM-UHFFFAOYSA-N 0 3 249.329 2.951 20 0 BFADHN Cc1ccc(CN[C@@H]2CCOC2)cc1Cl ZINC000193736776 387863004 /nfs/dbraw/zinc/86/30/04/387863004.db2.gz JLZMODBUWCLUHC-LLVKDONJSA-N 0 3 225.719 2.527 20 0 BFADHN Cc1nocc1CNC[C@@H]1C[C@H]1C1CCC1 ZINC000424171706 387864264 /nfs/dbraw/zinc/86/42/64/387864264.db2.gz KXTLWNLUKHUOMS-AAEUAGOBSA-N 0 3 220.316 2.509 20 0 BFADHN CC(C)n1cncc1CN[C@@H]1C[C@H]1c1ccco1 ZINC000424172688 387869179 /nfs/dbraw/zinc/86/91/79/387869179.db2.gz NSVADCRKAINWNE-CHWSQXEVSA-N 0 3 245.326 2.703 20 0 BFADHN C[C@@H](NCC[C@H]1CC=CCC1)c1ccncn1 ZINC000527975910 387815177 /nfs/dbraw/zinc/81/51/77/387815177.db2.gz BMKMVWBURRFPMD-OLZOCXBDSA-N 0 3 231.343 2.874 20 0 BFADHN CN(CCC[C@@H]1CCOC1)Cc1ccco1 ZINC000645768779 387890612 /nfs/dbraw/zinc/89/06/12/387890612.db2.gz ZCKHDJOKOUOUAE-GFCCVEGCSA-N 0 3 223.316 2.528 20 0 BFADHN CC(C)n1cncc1CNC[C@H](C)C(F)(F)F ZINC000424179301 387891443 /nfs/dbraw/zinc/89/14/43/387891443.db2.gz SRZMCISXTKQNHO-VIFPVBQESA-N 0 3 249.280 2.752 20 0 BFADHN CC(C)n1cncc1CNC[C@@H](C)C(F)(F)F ZINC000424179299 387891523 /nfs/dbraw/zinc/89/15/23/387891523.db2.gz SRZMCISXTKQNHO-SECBINFHSA-N 0 3 249.280 2.752 20 0 BFADHN CCCC[C@@H](N)C(=O)N1CCC[C@](C)(CC)C1 ZINC000236699247 387899948 /nfs/dbraw/zinc/89/99/48/387899948.db2.gz QQTMJOUQEVYBHT-OCCSQVGLSA-N 0 3 240.391 2.543 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2C[C@H]2C2CCC2)c1 ZINC000424187826 387903283 /nfs/dbraw/zinc/90/32/83/387903283.db2.gz BMJYIQQFWYBBFZ-UONOGXRCSA-N 0 3 235.302 2.810 20 0 BFADHN CCCC[C@H](N)C(=O)N1CCC[C@](C)(CC)C1 ZINC000236763516 387904852 /nfs/dbraw/zinc/90/48/52/387904852.db2.gz QQTMJOUQEVYBHT-JSGCOSHPSA-N 0 3 240.391 2.543 20 0 BFADHN C1=C(CN2CCOc3ccccc3C2)CCC1 ZINC000645780375 387905344 /nfs/dbraw/zinc/90/53/44/387905344.db2.gz DGISBXOGWNDFGI-UHFFFAOYSA-N 0 3 229.323 2.991 20 0 BFADHN CC(C)N(Cc1cc(CO)ccc1F)C1CC1 ZINC000528354548 387909223 /nfs/dbraw/zinc/90/92/23/387909223.db2.gz VSRCMENJZHENGP-UHFFFAOYSA-N 0 3 237.318 2.691 20 0 BFADHN CC(C)CCCN1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000528789283 387911699 /nfs/dbraw/zinc/91/16/99/387911699.db2.gz QBBHEBJQRHKMNU-CYBMUJFWSA-N 0 3 249.358 2.755 20 0 BFADHN C[C@@H](O)CCCN(C)Cc1cc(F)cc(F)c1 ZINC000444697071 387912379 /nfs/dbraw/zinc/91/23/79/387912379.db2.gz PVVHQGDMNVOXBQ-SNVBAGLBSA-N 0 3 243.297 2.558 20 0 BFADHN CC(C)Cn1cc(CN[C@@H]2C[C@H]2C2CCC2)cn1 ZINC000424190151 387913342 /nfs/dbraw/zinc/91/33/42/387913342.db2.gz FOHLSURAPRKUAK-LSDHHAIUSA-N 0 3 247.386 2.817 20 0 BFADHN Cc1occc1CNCCC(C)(F)F ZINC000321001550 387913585 /nfs/dbraw/zinc/91/35/85/387913585.db2.gz SESCBSOTEOGEFL-UHFFFAOYSA-N 0 3 203.232 2.723 20 0 BFADHN CCOCCCN(C)Cc1cc(C)ccc1F ZINC000444735799 387916307 /nfs/dbraw/zinc/91/63/07/387916307.db2.gz OCHGGQLAVQOFMG-UHFFFAOYSA-N 0 3 239.334 2.993 20 0 BFADHN C[C@@H]1C[C@H](NCc2c(F)cccc2F)CCO1 ZINC000219921322 387916910 /nfs/dbraw/zinc/91/69/10/387916910.db2.gz LFWCFOKIHWRFLU-NXEZZACHSA-N 0 3 241.281 2.622 20 0 BFADHN CCCC[C@H](CC)CN1CCOC[C@H]1C ZINC000444781097 387929830 /nfs/dbraw/zinc/92/98/30/387929830.db2.gz ICAUTCGBNLVACI-OLZOCXBDSA-N 0 3 213.365 2.924 20 0 BFADHN CCCC[C@@H](CC)CN1CCOC[C@H]1C ZINC000444781096 387930097 /nfs/dbraw/zinc/93/00/97/387930097.db2.gz ICAUTCGBNLVACI-CHWSQXEVSA-N 0 3 213.365 2.924 20 0 BFADHN CCC[C@@]1(C(=O)OCC)CCCN1CCCF ZINC000444776853 387930266 /nfs/dbraw/zinc/93/02/66/387930266.db2.gz CXQZGQDVCZBQGA-ZDUSSCGKSA-N 0 3 245.338 2.544 20 0 BFADHN CC(C)N(Cc1n[nH]c(C2CC2)n1)CC1CCC1 ZINC000444782058 387930479 /nfs/dbraw/zinc/93/04/79/387930479.db2.gz JDAADEMTYWRQHL-UHFFFAOYSA-N 0 3 248.374 2.693 20 0 BFADHN CC(C)[N@@H+](Cc1nnc(C2CC2)[n-]1)CC1CCC1 ZINC000444782058 387930482 /nfs/dbraw/zinc/93/04/82/387930482.db2.gz JDAADEMTYWRQHL-UHFFFAOYSA-N 0 3 248.374 2.693 20 0 BFADHN CC(C)[N@H+](Cc1nnc(C2CC2)[n-]1)CC1CCC1 ZINC000444782058 387930485 /nfs/dbraw/zinc/93/04/85/387930485.db2.gz JDAADEMTYWRQHL-UHFFFAOYSA-N 0 3 248.374 2.693 20 0 BFADHN C[C@@H](NCCCCCO)c1cc(F)ccc1F ZINC000179535700 387930896 /nfs/dbraw/zinc/93/08/96/387930896.db2.gz ZQMDFLYLYDHLMU-SNVBAGLBSA-N 0 3 243.297 2.778 20 0 BFADHN CC(C)n1nccc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000424196472 387922956 /nfs/dbraw/zinc/92/29/56/387922956.db2.gz MVCYBEQXSYPXPD-UONOGXRCSA-N 0 3 233.359 2.742 20 0 BFADHN CCCc1cccc(CN(C)CC2(CO)CC2)c1 ZINC000438330579 387923395 /nfs/dbraw/zinc/92/33/95/387923395.db2.gz KITABNAOENFXBR-UHFFFAOYSA-N 0 3 247.382 2.843 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@H](C(C)C)C2)n1 ZINC000438344915 387925341 /nfs/dbraw/zinc/92/53/41/387925341.db2.gz JZJIBGJLQRFTPF-ZDUSSCGKSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@H](C(C)C)C2)n1 ZINC000438344915 387925345 /nfs/dbraw/zinc/92/53/45/387925345.db2.gz JZJIBGJLQRFTPF-ZDUSSCGKSA-N 0 3 248.370 2.964 20 0 BFADHN CC1(C)CCC[C@@](O)(CNCc2ccco2)C1 ZINC000438343362 387926881 /nfs/dbraw/zinc/92/68/81/387926881.db2.gz BQUPWUHJBNLLRD-AWEZNQCLSA-N 0 3 237.343 2.701 20 0 BFADHN COc1ccnc(CN2CCC[C@@H](C(C)C)C2)c1 ZINC000444774935 387927026 /nfs/dbraw/zinc/92/70/26/387927026.db2.gz YNFWTTHMQJDAFX-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C(C)C)C2)nn1C ZINC000444773848 387927419 /nfs/dbraw/zinc/92/74/19/387927419.db2.gz TWOPWJBZIQZKER-CYBMUJFWSA-N 0 3 235.375 2.597 20 0 BFADHN CC[C@@H](C)CN1CCc2ncsc2C1 ZINC000438362509 387928233 /nfs/dbraw/zinc/92/82/33/387928233.db2.gz XFUXPOIADQFQPE-SECBINFHSA-N 0 3 210.346 2.547 20 0 BFADHN C[C@@H]1CN(Cc2ccccc2)[C@@H](C)[C@H](C)O1 ZINC000438360977 387928456 /nfs/dbraw/zinc/92/84/56/387928456.db2.gz ACDJIZSLEWWNMA-AGIUHOORSA-N 0 3 219.328 2.684 20 0 BFADHN C[C@@H](NC[C@@H]1C[C@H]1C1CCC1)c1ccn(C)n1 ZINC000424284027 387952390 /nfs/dbraw/zinc/95/23/90/387952390.db2.gz BSTXLVJTHDUMSO-WXHSDQCUSA-N 0 3 233.359 2.507 20 0 BFADHN CCc1cc(CN[C@@H]2CC[C@@H]2C(C)C)on1 ZINC000309636830 387953437 /nfs/dbraw/zinc/95/34/37/387953437.db2.gz JQTJBXZQHCALPL-CHWSQXEVSA-N 0 3 222.332 2.761 20 0 BFADHN CO[C@@H](C)CNCc1ccc(C(F)(F)F)cc1 ZINC000183017301 387938168 /nfs/dbraw/zinc/93/81/68/387938168.db2.gz IMEONQZNYVZRCP-VIFPVBQESA-N 0 3 247.260 2.830 20 0 BFADHN CC[C@@](C)(CN[C@H]1CCc2c1cccc2O)OC ZINC000322614902 387941517 /nfs/dbraw/zinc/94/15/17/387941517.db2.gz LXENQTGKSFGLAY-ZFWWWQNUSA-N 0 3 249.354 2.784 20 0 BFADHN C[C@@H](O)CN[C@@]1(c2ccc(Cl)cc2)C[C@H]1C ZINC000459439225 387941694 /nfs/dbraw/zinc/94/16/94/387941694.db2.gz VVLRVLVMUBNYSQ-BREBYQMCSA-N 0 3 239.746 2.546 20 0 BFADHN C[C@H](CCO)CN[C@H](C)c1cccc(F)c1F ZINC000224997532 387941865 /nfs/dbraw/zinc/94/18/65/387941865.db2.gz SCSGUOCQZLTPJF-NXEZZACHSA-N 0 3 243.297 2.634 20 0 BFADHN CC[C@@](C)(CN[C@@H]1CCc2c1cccc2O)OC ZINC000322614900 387942356 /nfs/dbraw/zinc/94/23/56/387942356.db2.gz LXENQTGKSFGLAY-HIFRSBDPSA-N 0 3 249.354 2.784 20 0 BFADHN Cc1occc1CNCCc1ccco1 ZINC000321127833 387949144 /nfs/dbraw/zinc/94/91/44/387949144.db2.gz WRGHFWOTEKIRBW-UHFFFAOYSA-N 0 3 205.257 2.513 20 0 BFADHN Cc1noc(C)c1CN(CC(C)C)C1CC1 ZINC000044898335 387950850 /nfs/dbraw/zinc/95/08/50/387950850.db2.gz SOQIJIKYVUXRBZ-UHFFFAOYSA-N 0 3 222.332 2.912 20 0 BFADHN Cc1ccc([C@@H](C)NCCCCn2ccnc2)o1 ZINC000045094415 387964983 /nfs/dbraw/zinc/96/49/83/387964983.db2.gz GKAZWSBGHDZTMN-CYBMUJFWSA-N 0 3 247.342 2.916 20 0 BFADHN CCc1ccccc1CN(CCOC)C1CC1 ZINC000445782742 387965766 /nfs/dbraw/zinc/96/57/66/387965766.db2.gz GRJJNJJFZNXEOC-UHFFFAOYSA-N 0 3 233.355 2.860 20 0 BFADHN C[C@@H]1CN(CC2=CCCC2)[C@@H](C)[C@@H](C)O1 ZINC000645881962 387965972 /nfs/dbraw/zinc/96/59/72/387965972.db2.gz ZRWGVPXWALOLGK-GRYCIOLGSA-N 0 3 209.333 2.594 20 0 BFADHN C[C@@H]1CN(CC2=CCCC2)[C@@H](C)[C@H](C)O1 ZINC000645881965 387966361 /nfs/dbraw/zinc/96/63/61/387966361.db2.gz ZRWGVPXWALOLGK-WOPDTQHZSA-N 0 3 209.333 2.594 20 0 BFADHN Cn1ncc2c1CCC[C@H]2N[C@@H]1C[C@H]1C1CCC1 ZINC000424317817 387967986 /nfs/dbraw/zinc/96/79/86/387967986.db2.gz CIFVVLSMVSODQQ-IACUBPJLSA-N 0 3 245.370 2.576 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2C[C@H]2C2CCC2)c(C)n1 ZINC000424317304 387968161 /nfs/dbraw/zinc/96/81/61/387968161.db2.gz BUGGEBNBQRIOEQ-VZJVUDMVSA-N 0 3 245.370 2.933 20 0 BFADHN C[C@H](CO)N(C)Cc1ccc(Cl)c(Cl)c1 ZINC000158866613 387968867 /nfs/dbraw/zinc/96/88/67/387968867.db2.gz YOTULVXOBZZFNY-MRVPVSSYSA-N 0 3 248.153 2.806 20 0 BFADHN CC(C)Oc1ccc([C@H](C)N[C@@H]2CC[C@H]2O)cc1 ZINC000424321285 387969844 /nfs/dbraw/zinc/96/98/44/387969844.db2.gz SFLAAEXTFAETGE-NILFDRSVSA-N 0 3 249.354 2.648 20 0 BFADHN CCC1(CC)CCN(Cc2cnoc2C)C1 ZINC000445806936 387970603 /nfs/dbraw/zinc/97/06/03/387970603.db2.gz CBCBGWULQMMQDH-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1oncc1CN(C)[C@@H](C)C1CCC1 ZINC000445814473 387970907 /nfs/dbraw/zinc/97/09/07/387970907.db2.gz XYYVZERUKXNDMV-VIFPVBQESA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cccc(CN2C[C@H](C)OC(C)(C)C2)c1 ZINC000065425414 387970983 /nfs/dbraw/zinc/97/09/83/387970983.db2.gz UFCRHIPZZSACPA-ZDUSSCGKSA-N 0 3 233.355 2.994 20 0 BFADHN C[C@@H]1C[C@H](NC[C@@H]2C[C@H]2C2CCC2)c2nccn21 ZINC000424296145 387957105 /nfs/dbraw/zinc/95/71/05/387957105.db2.gz QLBDVLHLOVONFZ-SAXRGWBVSA-N 0 3 245.370 2.915 20 0 BFADHN CC[C@@H](c1ccc(F)cc1F)N(C)C[C@H](C)O ZINC000245727720 387978538 /nfs/dbraw/zinc/97/85/38/387978538.db2.gz BTLIFPGDHOOHMF-ZANVPECISA-N 0 3 243.297 2.729 20 0 BFADHN Cc1ccc(CN2CCS[C@@H](C)[C@H]2C)o1 ZINC000245729740 387978780 /nfs/dbraw/zinc/97/87/80/387978780.db2.gz JMOBTGUXPFJEJO-MNOVXSKESA-N 0 3 225.357 2.914 20 0 BFADHN COc1cc(CN2CC[C@@H](C)[C@@H]2C)cc(OC)c1 ZINC000245750987 387980521 /nfs/dbraw/zinc/98/05/21/387980521.db2.gz HDLCWMODANQBHJ-NEPJUHHUSA-N 0 3 249.354 2.934 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H]1C[C@H]1C1CCC1 ZINC000424337742 387980828 /nfs/dbraw/zinc/98/08/28/387980828.db2.gz ZWVHTQCMUTUIBK-KGYLQXTDSA-N 0 3 231.343 2.624 20 0 BFADHN C[C@H]1CCN(Cc2cnn3ccccc23)C[C@H]1C ZINC000245757920 387981290 /nfs/dbraw/zinc/98/12/90/387981290.db2.gz PASMFQVEULXQGF-QWHCGFSZSA-N 0 3 243.354 2.812 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)[C@H](C)C2)cc1O ZINC000245779175 387981579 /nfs/dbraw/zinc/98/15/79/387981579.db2.gz TZICRXVWIWUDCM-VXGBXAGGSA-N 0 3 249.354 2.879 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1C1CCC1)c1cncs1 ZINC000424325479 387973266 /nfs/dbraw/zinc/97/32/66/387973266.db2.gz LBPFZURRWYHXHG-DVVUODLYSA-N 0 3 222.357 2.982 20 0 BFADHN CN(CC1=CCCC1)Cc1cccc(O)c1 ZINC000645811763 387973326 /nfs/dbraw/zinc/97/33/26/387973326.db2.gz JXYQJBXWUOJVSH-UHFFFAOYSA-N 0 3 217.312 2.934 20 0 BFADHN C[C@@H](O)CCN(Cc1cccc(F)c1)C1CC1 ZINC000439005309 387973929 /nfs/dbraw/zinc/97/39/29/387973929.db2.gz VTBVHRJHKDSTHU-LLVKDONJSA-N 0 3 237.318 2.561 20 0 BFADHN COCC[C@H](C)N[C@H]1C[C@@]1(F)c1ccccc1 ZINC000424330761 387975457 /nfs/dbraw/zinc/97/54/57/387975457.db2.gz RCERIXXMSATCRP-FPMFFAJLSA-N 0 3 237.318 2.638 20 0 BFADHN CCn1ccnc1[C@@H](C)NC(C1CC1)C1CC1 ZINC000453066312 387976453 /nfs/dbraw/zinc/97/64/53/387976453.db2.gz AVOXDFSMFZSZPI-SNVBAGLBSA-N 0 3 233.359 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)NC(C1CC1)C1CC1 ZINC000453066311 387976702 /nfs/dbraw/zinc/97/67/02/387976702.db2.gz AVOXDFSMFZSZPI-JTQLQIEISA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H](N[C@@H]1C[C@@]1(F)c1ccccc1)[C@@H]1CCOC1 ZINC000424333111 387977042 /nfs/dbraw/zinc/97/70/42/387977042.db2.gz XLEBLJOZFXLFCI-CTHBEMJXSA-N 0 3 249.329 2.638 20 0 BFADHN C[C@@H]1CN([C@@H]2C=CCCC2)[C@H](C)[C@@H](C)O1 ZINC000446065160 387990457 /nfs/dbraw/zinc/99/04/57/387990457.db2.gz YVXAZLGOHYIYAH-FDYHWXHSSA-N 0 3 209.333 2.593 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@H]1CC1(C)C ZINC000453130388 387992843 /nfs/dbraw/zinc/99/28/43/387992843.db2.gz CFSABJUNPBRAMH-WDEREUQCSA-N 0 3 221.348 2.600 20 0 BFADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)c1ccoc1 ZINC000245918003 387993206 /nfs/dbraw/zinc/99/32/06/387993206.db2.gz XDKXOGCBMNDZER-SCUASFONSA-N 0 3 247.338 2.888 20 0 BFADHN C[C@@H]1CCN(Cc2cn3ccccc3n2)C[C@H]1C ZINC000245913659 387993616 /nfs/dbraw/zinc/99/36/16/387993616.db2.gz MVEQHZCIUUSEOC-CHWSQXEVSA-N 0 3 243.354 2.812 20 0 BFADHN C[C@@H]1CN(CCCc2ccncc2)[C@H](C)[C@H](C)O1 ZINC000446072099 387994171 /nfs/dbraw/zinc/99/41/71/387994171.db2.gz SOVBOJGEQSAFBK-MCIONIFRSA-N 0 3 248.370 2.512 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCC[C@](C)(O)C1 ZINC000245940742 387995082 /nfs/dbraw/zinc/99/50/82/387995082.db2.gz QXKHWNQFQVYAIY-RISCZKNCSA-N 0 3 237.318 2.734 20 0 BFADHN C1=C(CN2CCOC[C@H]2CC2CCC2)CCC1 ZINC000645923023 387982369 /nfs/dbraw/zinc/98/23/69/387982369.db2.gz CLVHAVCXSSKCCM-OAHLLOKOSA-N 0 3 235.371 2.988 20 0 BFADHN Cc1ccc(C[C@@H](C)NCc2nccn2C)s1 ZINC000080227014 387984057 /nfs/dbraw/zinc/98/40/57/387984057.db2.gz IBIMFWKBIJBVQW-SNVBAGLBSA-N 0 3 249.383 2.511 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@@H](C)C(C)C ZINC000453107645 387985113 /nfs/dbraw/zinc/98/51/13/387985113.db2.gz HSRZFEXCCWUURW-VXGBXAGGSA-N 0 3 223.364 2.846 20 0 BFADHN CC[C@H](NCCc1ccccn1)c1nccs1 ZINC000080304236 387987177 /nfs/dbraw/zinc/98/71/77/387987177.db2.gz XYPJAJVYSOGTEI-LBPRGKRZSA-N 0 3 247.367 2.822 20 0 BFADHN CC[C@H](NCCc1cc(C)no1)c1ccncc1 ZINC000453214410 388010964 /nfs/dbraw/zinc/01/09/64/388010964.db2.gz RCBCXSDWMWYVBG-AWEZNQCLSA-N 0 3 245.326 2.661 20 0 BFADHN Cc1ccsc1CCN[C@H](C)c1ccncn1 ZINC000453158700 388000603 /nfs/dbraw/zinc/00/06/03/388000603.db2.gz BUIDOGZHIGPKIM-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](CO)CC(F)F)cs1 ZINC000453214098 388011070 /nfs/dbraw/zinc/01/10/70/388011070.db2.gz OZKCVEYNZLLSBX-WCBMZHEXSA-N 0 3 249.326 2.723 20 0 BFADHN COCCCCN1CCS[C@@H]2CCCC[C@H]21 ZINC000246087588 388008035 /nfs/dbraw/zinc/00/80/35/388008035.db2.gz GMRRNZIRQSRMEZ-CHWSQXEVSA-N 0 3 243.416 2.773 20 0 BFADHN COCC1(N[C@@H]2CCCc3sccc32)CC1 ZINC000309665064 388008108 /nfs/dbraw/zinc/00/81/08/388008108.db2.gz SXCWBOOOOOUZGB-LLVKDONJSA-N 0 3 237.368 2.894 20 0 BFADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1cn[nH]c1 ZINC000453198114 388008606 /nfs/dbraw/zinc/00/86/06/388008606.db2.gz OFMHYXRYMGXQKN-IONNQARKSA-N 0 3 235.253 2.791 20 0 BFADHN CC[C@@H](N[C@@H](CO)CC(F)F)c1ccsc1 ZINC000453206100 388009952 /nfs/dbraw/zinc/00/99/52/388009952.db2.gz HTYJEQOWHQOILW-NXEZZACHSA-N 0 3 249.326 2.805 20 0 BFADHN CC[C@H](C)N(C)C(=O)Nc1cc(C)cc(CN)c1 ZINC000424447164 388011356 /nfs/dbraw/zinc/01/13/56/388011356.db2.gz UMRPRRYACOTVTB-NSHDSACASA-N 0 3 249.358 2.716 20 0 BFADHN C[C@H]1C[C@H](NCCC2(F)CCC2)c2nccn21 ZINC000453212055 388011944 /nfs/dbraw/zinc/01/19/44/388011944.db2.gz BQIJAAFYIZZUSQ-QWRGUYRKSA-N 0 3 237.322 2.761 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@H]1CC[C@@H](C)C1 ZINC000453223301 388014498 /nfs/dbraw/zinc/01/44/98/388014498.db2.gz QXCGGCBCYAURNU-AGIUHOORSA-N 0 3 235.375 2.990 20 0 BFADHN CC[C@@H]1CCC[C@H]1CN[C@H]1CCn2ccnc21 ZINC000453280175 388032650 /nfs/dbraw/zinc/03/26/50/388032650.db2.gz FZOGPVPVWFLQGU-AGIUHOORSA-N 0 3 233.359 2.744 20 0 BFADHN CCOc1ccc(OCCN(C)C2CC2)cc1 ZINC000046601696 388034570 /nfs/dbraw/zinc/03/45/70/388034570.db2.gz WGJDUYJCPMJHSH-UHFFFAOYSA-N 0 3 235.327 2.558 20 0 BFADHN C[C@@H](CCc1ccccc1)CN1CCOC[C@H]1C ZINC000446892338 388044464 /nfs/dbraw/zinc/04/44/64/388044464.db2.gz KPRKHYGGCZKHQQ-LSDHHAIUSA-N 0 3 247.382 2.976 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1cnccc1C)OC ZINC000453297164 388037944 /nfs/dbraw/zinc/03/79/44/388037944.db2.gz HQUUWUCJWIMSCR-TZMCWYRMSA-N 0 3 236.359 2.856 20 0 BFADHN C[C@H](N(C)Cc1cn2cccnc2n1)C(C)(C)C ZINC000533249243 388039376 /nfs/dbraw/zinc/03/93/76/388039376.db2.gz CDXASPFKGDPMKM-NSHDSACASA-N 0 3 246.358 2.596 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H](C)c1nccn1CC ZINC000453309626 388042253 /nfs/dbraw/zinc/04/22/53/388042253.db2.gz QCICBTNTEZTSMX-UTUOFQBUSA-N 0 3 221.348 2.600 20 0 BFADHN CC[C@@H](NC[C@@H]1C[C@H]1CC)c1ccn(C)n1 ZINC000453312613 388042388 /nfs/dbraw/zinc/04/23/88/388042388.db2.gz VNIMHTMMCIZVPT-GRYCIOLGSA-N 0 3 221.348 2.507 20 0 BFADHN C[C@@H]1CN(CC2=CCCC2)C[C@H](C2CC2)O1 ZINC000645895304 388044134 /nfs/dbraw/zinc/04/41/34/388044134.db2.gz DPJTVBISKUNPQO-BXUZGUMPSA-N 0 3 221.344 2.596 20 0 BFADHN CC(C)c1noc([C@@H](C)SCCN(C)C)n1 ZINC000446574319 388027913 /nfs/dbraw/zinc/02/79/13/388027913.db2.gz SKQJLHIDHUIRHK-SECBINFHSA-N 0 3 243.376 2.549 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CCC12CCC2 ZINC000453344803 388054001 /nfs/dbraw/zinc/05/40/01/388054001.db2.gz JFGIDXKAMVESEI-RYUDHWBXSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cc([C@H](C)NCCC2(CO)CC2)oc1C ZINC000453351229 388055800 /nfs/dbraw/zinc/05/58/00/388055800.db2.gz PORAYCOLTXZPDW-NSHDSACASA-N 0 3 237.343 2.710 20 0 BFADHN Cn1ccnc1[C@@H](NC[C@@H]1C[C@H]1C1CC1)C1CC1 ZINC000453355060 388056962 /nfs/dbraw/zinc/05/69/62/388056962.db2.gz HGJMQSOFZQZQJG-IHRRRGAJSA-N 0 3 245.370 2.507 20 0 BFADHN CC1(CNCc2cc(C(F)(F)F)n[nH]2)CCC1 ZINC000440611975 388061776 /nfs/dbraw/zinc/06/17/76/388061776.db2.gz IGFYOHJQTRXPDL-UHFFFAOYSA-N 0 3 247.264 2.708 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@H]1C(C)C)c1ccn(C)n1 ZINC000453377738 388064211 /nfs/dbraw/zinc/06/42/11/388064211.db2.gz YZHBPXQQPQHZFO-AVGNSLFASA-N 0 3 235.375 2.753 20 0 BFADHN Cc1ccc(C)c(NC(=O)[C@@H]2CCCCCN2)c1 ZINC000083896332 388065002 /nfs/dbraw/zinc/06/50/02/388065002.db2.gz GZIWFKKZUVWJTQ-ZDUSSCGKSA-N 0 3 246.354 2.774 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@H]1C1CC1)c1nccn1C ZINC000453381088 388065589 /nfs/dbraw/zinc/06/55/89/388065589.db2.gz XTYSERUFRAVULC-AVGNSLFASA-N 0 3 233.359 2.507 20 0 BFADHN Cc1cc([C@@H](N[C@H]2C[C@@H](O)C2)C2CC2)ccc1F ZINC000453380448 388065980 /nfs/dbraw/zinc/06/59/80/388065980.db2.gz XIWXOIMBVRHELS-GUTXKFCHSA-N 0 3 249.329 2.698 20 0 BFADHN CCC[C@@H](O)CNC1(c2ccccc2C)CC1 ZINC000645980853 388114602 /nfs/dbraw/zinc/11/46/02/388114602.db2.gz QPHRGDCXYGBDHP-CYBMUJFWSA-N 0 3 233.355 2.735 20 0 BFADHN Cc1cc(C)c(CN[C@@H](C)[C@]2(C)CCCO2)cn1 ZINC000453383638 388066301 /nfs/dbraw/zinc/06/63/01/388066301.db2.gz ZENSMSAPEZYZCI-ZFWWWQNUSA-N 0 3 248.370 2.746 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1cncc(C)c1 ZINC000453385471 388067391 /nfs/dbraw/zinc/06/73/91/388067391.db2.gz AYZQKXCXYMVVDU-NWANDNLSSA-N 0 3 248.370 2.998 20 0 BFADHN CCCc1ncc(CN[C@H](C)CCCOC)o1 ZINC000453399335 388072306 /nfs/dbraw/zinc/07/23/06/388072306.db2.gz XLZWWMVJUSYYAW-LLVKDONJSA-N 0 3 240.347 2.532 20 0 BFADHN CC1(C)Cc2occc2[C@@H](N[C@@H]2CCC[C@H]2O)C1 ZINC000453402442 388073571 /nfs/dbraw/zinc/07/35/71/388073571.db2.gz GACRUIIKPGXDQJ-FRRDWIJNSA-N 0 3 249.354 2.796 20 0 BFADHN C[C@@H](NCCC1CC(F)(F)C1)c1nccn1C ZINC000453402607 388073856 /nfs/dbraw/zinc/07/38/56/388073856.db2.gz CKNSQVODKATLLL-SECBINFHSA-N 0 3 243.301 2.506 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2CC[C@@H](C)O2)c1 ZINC000453404583 388074741 /nfs/dbraw/zinc/07/47/41/388074741.db2.gz IGXXZIMSOGUQOB-AGIUHOORSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@H](NC/C=C\c1ccccc1)c1ccnn1C ZINC000447532351 388075930 /nfs/dbraw/zinc/07/59/30/388075930.db2.gz SZONAKFHJHYSJR-XURPKSDJSA-N 0 3 241.338 2.784 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@@H]1CCC[C@H]1O ZINC000453412297 388076675 /nfs/dbraw/zinc/07/66/75/388076675.db2.gz RHLNUQAWEBMBPE-MRVWCRGKSA-N 0 3 249.354 2.649 20 0 BFADHN CC(C)[C@@H](NC[C@H]1CC[C@@H](C)O1)c1cccnc1 ZINC000453410656 388077045 /nfs/dbraw/zinc/07/70/45/388077045.db2.gz QDDMHEHIXWUUNE-BPLDGKMQSA-N 0 3 248.370 2.936 20 0 BFADHN C1=C(CN2CCC3(CCOCC3)CC2)CCC1 ZINC000645899285 388078188 /nfs/dbraw/zinc/07/81/88/388078188.db2.gz ITVOTTGEENHYEL-UHFFFAOYSA-N 0 3 235.371 2.989 20 0 BFADHN CCOC[C@@H]1CCCCN1CCOCC(C)C ZINC000453418396 388079436 /nfs/dbraw/zinc/07/94/36/388079436.db2.gz XEWZUNHSBNHPBH-AWEZNQCLSA-N 0 3 243.391 2.550 20 0 BFADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1ccnn1C ZINC000447609546 388081457 /nfs/dbraw/zinc/08/14/57/388081457.db2.gz YFGFORZLEVDRHP-DTWKUNHWSA-N 0 3 249.280 2.802 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](CO)CC(F)F)c(C)o1 ZINC000447599900 388081587 /nfs/dbraw/zinc/08/15/87/388081587.db2.gz LIYJNYMKXJSGSJ-PSASIEDQSA-N 0 3 247.285 2.563 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H]1CCCc2occc21 ZINC000447598405 388081845 /nfs/dbraw/zinc/08/18/45/388081845.db2.gz IEOUCTGGEHXYSQ-FIFDWTMUSA-N 0 3 235.327 2.574 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@H](C)c1nccn1C ZINC000453449834 388082839 /nfs/dbraw/zinc/08/28/39/388082839.db2.gz QPOOATVCJYZEBK-YRGRVCCFSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2nccn2CC)C1 ZINC000085064353 388083206 /nfs/dbraw/zinc/08/32/06/388083206.db2.gz APQZDQZHTVASRP-OLZOCXBDSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@@H](C)c1ccnn1C ZINC000453458440 388083687 /nfs/dbraw/zinc/08/36/87/388083687.db2.gz WBSUPRRJRUXEIQ-FPMFFAJLSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@H](C)c1ccc(=O)[nH]n1 ZINC000453469144 388083714 /nfs/dbraw/zinc/08/37/14/388083714.db2.gz ZUBUTPFVHSOBHD-SCDSUCTJSA-N 0 3 249.358 2.658 20 0 BFADHN Cc1cnc(CN[C@H](C)CCC(C)C)n1C ZINC000336659396 388086093 /nfs/dbraw/zinc/08/60/93/388086093.db2.gz JFFZQYNZQBPKBM-LLVKDONJSA-N 0 3 223.364 2.643 20 0 BFADHN Cc1cnc(CNCCC2CC(F)(F)C2)s1 ZINC000453575244 388086103 /nfs/dbraw/zinc/08/61/03/388086103.db2.gz DBDIXUCIUHNRIN-UHFFFAOYSA-N 0 3 246.326 2.977 20 0 BFADHN Cc1cccc(NCCN[C@@H](C)c2ccoc2)n1 ZINC000536910864 388086534 /nfs/dbraw/zinc/08/65/34/388086534.db2.gz PWXLOUOLVDVSFS-LBPRGKRZSA-N 0 3 245.326 2.746 20 0 BFADHN Cc1cnc(CNCC(C)(C)C2=CCCC2)n1C ZINC000453585784 388087261 /nfs/dbraw/zinc/08/72/61/388087261.db2.gz UCKGMZQPFLJOFX-UHFFFAOYSA-N 0 3 247.386 2.955 20 0 BFADHN C[C@H](CCN1CCN(C)C[C@@H]1C)c1ccccc1 ZINC000447739494 388090648 /nfs/dbraw/zinc/09/06/48/388090648.db2.gz XOOZTGMXQBVUHL-CABCVRRESA-N 0 3 246.398 2.816 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1c(C)nn(C)c1C ZINC000453603018 388091589 /nfs/dbraw/zinc/09/15/89/388091589.db2.gz AJVQKOFPMHPBBH-GJZGRUSLSA-N 0 3 249.402 2.951 20 0 BFADHN Cn1ccc(CNCc2cccc(Cl)c2)c1 ZINC000085604225 388092086 /nfs/dbraw/zinc/09/20/86/388092086.db2.gz LTIXDGLNXYLFBH-UHFFFAOYSA-N 0 3 234.730 2.968 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1c(C)cnn1C ZINC000453613819 388092694 /nfs/dbraw/zinc/09/26/94/388092694.db2.gz OZSPPLFJEDJCFB-KBPBESRZSA-N 0 3 235.375 2.643 20 0 BFADHN CCn1nccc1CN[C@@H]1C[C@]1(CC)C(C)C ZINC000453617178 388093616 /nfs/dbraw/zinc/09/36/16/388093616.db2.gz SYYXHTZWQDYXEO-ZIAGYGMSSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1cnc(C)nc1 ZINC000453615406 388093762 /nfs/dbraw/zinc/09/37/62/388093762.db2.gz QPVWQUIWNPLBDY-KBPBESRZSA-N 0 3 233.359 2.699 20 0 BFADHN C[C@@H](NCCCO)c1ccc(Cl)c(F)c1 ZINC000212790687 388095300 /nfs/dbraw/zinc/09/53/00/388095300.db2.gz JCWHSQAIXJPGDH-MRVPVSSYSA-N 0 3 231.698 2.512 20 0 BFADHN CC(C)OCCCN1CCO[C@H](C(C)(C)C)C1 ZINC000447825369 388095308 /nfs/dbraw/zinc/09/53/08/388095308.db2.gz DTNHPJREWIKVHO-ZDUSSCGKSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)OCCCN1CCO[C@@H](C(C)(C)C)C1 ZINC000447825368 388095493 /nfs/dbraw/zinc/09/54/93/388095493.db2.gz DTNHPJREWIKVHO-CYBMUJFWSA-N 0 3 243.391 2.548 20 0 BFADHN CS[C@H]1CCC[C@H](NCc2ccns2)C1 ZINC000404471508 388096215 /nfs/dbraw/zinc/09/62/15/388096215.db2.gz LWZVCWBXRPDLAJ-UWVGGRQHSA-N 0 3 242.413 2.907 20 0 BFADHN CC1CC(NCc2nc(-c3ccccc3)c[nH]2)C1 ZINC000447840900 388096552 /nfs/dbraw/zinc/09/65/52/388096552.db2.gz BDSQTEVSVIEDMY-UHFFFAOYSA-N 0 3 241.338 2.965 20 0 BFADHN CC[C@@H](C)CN1CCO[C@H](C(C)(C)C)C1 ZINC000447835033 388096860 /nfs/dbraw/zinc/09/68/60/388096860.db2.gz SLJFXOBNSRXARY-NEPJUHHUSA-N 0 3 213.365 2.779 20 0 BFADHN CN(C)CCSCc1ncc(Cl)s1 ZINC000336660980 388096982 /nfs/dbraw/zinc/09/69/82/388096982.db2.gz VNCCMOUEORDJIY-UHFFFAOYSA-N 0 3 236.793 2.591 20 0 BFADHN Cc1ccc(CN2CCCC[C@@H]2C[C@@H](C)O)nc1 ZINC000447841720 388097086 /nfs/dbraw/zinc/09/70/86/388097086.db2.gz YXVWNYZMVQYELT-UKRRQHHQSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@@H](NCC[C@@H](C)O)c1ccccc1OC ZINC000085981604 388098152 /nfs/dbraw/zinc/09/81/52/388098152.db2.gz BYDWLZDXGPBNEH-DGCLKSJQSA-N 0 3 237.343 2.507 20 0 BFADHN c1[nH]c(CNC2CCC2)nc1-c1ccccc1 ZINC000447850209 388098489 /nfs/dbraw/zinc/09/84/89/388098489.db2.gz UNNFFWZKXWDFAU-UHFFFAOYSA-N 0 3 227.311 2.719 20 0 BFADHN C[C@@H](CO)CNC1(c2ccccc2Cl)CC1 ZINC000645977940 388113360 /nfs/dbraw/zinc/11/33/60/388113360.db2.gz OEOGJLQIPUPTJF-SNVBAGLBSA-N 0 3 239.746 2.547 20 0 BFADHN CC[C@H](N[C@@H](C)c1sccc1OC)[C@@H](C)O ZINC000453318356 388045394 /nfs/dbraw/zinc/04/53/94/388045394.db2.gz BGOSWJJAYQRVCS-AEJSXWLSSA-N 0 3 243.372 2.567 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccc(Cl)cn1)[C@@H](C)O ZINC000453333345 388049606 /nfs/dbraw/zinc/04/96/06/388049606.db2.gz VWKTWTSJRSFTAY-NGZCFLSTSA-N 0 3 242.750 2.545 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H](C)c1cnccc1C ZINC000453335851 388050355 /nfs/dbraw/zinc/05/03/55/388050355.db2.gz YLEHMNRQPHLHSL-MRVWCRGKSA-N 0 3 234.343 2.608 20 0 BFADHN CCn1ccnc1CN[C@H]1CCCC[C@H]1C1CC1 ZINC000453336426 388050568 /nfs/dbraw/zinc/05/05/68/388050568.db2.gz YBLCMGJBCNEQIM-KBPBESRZSA-N 0 3 247.386 2.961 20 0 BFADHN COc1nccnc1CN[C@H]1CCCCC1(C)C ZINC000449191529 388155725 /nfs/dbraw/zinc/15/57/25/388155725.db2.gz KJWBSKCUYFLCDM-LBPRGKRZSA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@H](CSC)N[C@@H](C)c1cnn(CC)c1 ZINC000162351273 388156514 /nfs/dbraw/zinc/15/65/14/388156514.db2.gz GTROLYBYIWSJJF-CMPLNLGQSA-N 0 3 241.404 2.695 20 0 BFADHN CC(C)OCCNCc1cccc2ccoc21 ZINC000449240172 388161273 /nfs/dbraw/zinc/16/12/73/388161273.db2.gz XXPGKHSEOFPOHY-UHFFFAOYSA-N 0 3 233.311 2.947 20 0 BFADHN Cc1cccc(CCNC(C)(C)CF)c1 ZINC000449252296 388162381 /nfs/dbraw/zinc/16/23/81/388162381.db2.gz UXPJQCDALAINFK-UHFFFAOYSA-N 0 3 209.308 2.875 20 0 BFADHN Cc1nc([C@@H](C)N[C@@H](C)C2CC2)cs1 ZINC000036915605 256514980 /nfs/dbraw/zinc/51/49/80/256514980.db2.gz ALEXVPPFDHTSQY-JGVFFNPUSA-N 0 3 210.346 2.901 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2nccn2CC)C1 ZINC000449269556 388164640 /nfs/dbraw/zinc/16/46/40/388164640.db2.gz CTNPGCPSIYORFS-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@@H](CF)N[C@@H]1CS[C@H](C(C)(C)C)C1 ZINC000646215155 388166309 /nfs/dbraw/zinc/16/63/09/388166309.db2.gz GZBPWVABYHPZHS-GUBZILKMSA-N 0 3 219.369 2.854 20 0 BFADHN C[C@H](Cc1ccoc1)NC1CC(F)(F)C1 ZINC000449282219 388167570 /nfs/dbraw/zinc/16/75/70/388167570.db2.gz GXYOYZPZTLIGCO-MRVPVSSYSA-N 0 3 215.243 2.598 20 0 BFADHN CCn1nnc(C)c1CN(C1CCC1)C1CCC1 ZINC000449339020 388176099 /nfs/dbraw/zinc/17/60/99/388176099.db2.gz ILGWSOMNLCDIFS-UHFFFAOYSA-N 0 3 248.374 2.513 20 0 BFADHN COc1cc(C)ccc1CNC[C@@H](OC)C1CC1 ZINC000449343865 388177063 /nfs/dbraw/zinc/17/70/63/388177063.db2.gz NRNYYMSOAQYVEP-OAHLLOKOSA-N 0 3 249.354 2.518 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@H]1CCCc2c(F)cccc21 ZINC000449350172 388178345 /nfs/dbraw/zinc/17/83/45/388178345.db2.gz LGQGRUVUXADWHM-LKTVYLICSA-N 0 3 249.329 2.970 20 0 BFADHN CC(C)N(C[C@@H]1C[C@@H]1c1ccccc1)C1COC1 ZINC000449352649 388180235 /nfs/dbraw/zinc/18/02/35/388180235.db2.gz XJIAOIFMAVMPIY-GOEBONIOSA-N 0 3 245.366 2.899 20 0 BFADHN CN(C)c1ccccc1CNCCC(C)(F)F ZINC000449355482 388181027 /nfs/dbraw/zinc/18/10/27/388181027.db2.gz ZXGSDPMWGGKPNS-UHFFFAOYSA-N 0 3 242.313 2.888 20 0 BFADHN CC(C)C1CC(N[C@H](CCO)c2ccco2)C1 ZINC000488468238 388181535 /nfs/dbraw/zinc/18/15/35/388181535.db2.gz XOIREEUOESWGBD-WXRRBKDZSA-N 0 3 237.343 2.727 20 0 BFADHN COc1c(C)cnc(CN(C)C[C@H]2C[C@@H]2C)c1C ZINC000488520379 388182471 /nfs/dbraw/zinc/18/24/71/388182471.db2.gz QQNPHIOZTCMAEK-GXFFZTMASA-N 0 3 248.370 2.795 20 0 BFADHN COCC[C@H](NC[C@@H]1C[C@@H]1C)c1ccc(C)o1 ZINC000488519561 388182660 /nfs/dbraw/zinc/18/26/60/388182660.db2.gz GSZMFESBPMTIFD-DRZSPHRISA-N 0 3 237.343 2.911 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2cccc(C)n2)C1(C)C ZINC000162484996 388183903 /nfs/dbraw/zinc/18/39/03/388183903.db2.gz DBKCVWNMGDMEID-HIFRSBDPSA-N 0 3 248.370 2.683 20 0 BFADHN COc1cccc2c1CCN(C[C@@H]1C[C@H]1C)C2 ZINC000488537042 388184633 /nfs/dbraw/zinc/18/46/33/388184633.db2.gz PJZXWBCIFYEHIP-YPMHNXCESA-N 0 3 231.339 2.709 20 0 BFADHN c1cc(CNCC[C@H]2CCc3ccccc32)n[nH]1 ZINC000449374004 388184992 /nfs/dbraw/zinc/18/49/92/388184992.db2.gz DOIQSUGUBRNIDU-CYBMUJFWSA-N 0 3 241.338 2.619 20 0 BFADHN Cc1oncc1CN1CC[C@@H](C)C[C@@H](C)C1 ZINC000249270438 388120796 /nfs/dbraw/zinc/12/07/96/388120796.db2.gz KEQHRWAOAHMDGI-GHMZBOCLSA-N 0 3 222.332 2.851 20 0 BFADHN Fc1ccc(C2CC2)cc1CNC1CCOCC1 ZINC000449374352 388186029 /nfs/dbraw/zinc/18/60/29/388186029.db2.gz YQXJSAZBPPOZNC-UHFFFAOYSA-N 0 3 249.329 2.972 20 0 BFADHN CCCN(Cc1ccncc1F)CC1CC1 ZINC000448993107 388125991 /nfs/dbraw/zinc/12/59/91/388125991.db2.gz MFTKKGVRHJSKDG-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN CO[C@@H](C)CNC1(c2ccccc2F)CCC1 ZINC000646024848 388126921 /nfs/dbraw/zinc/12/69/21/388126921.db2.gz RWPWQVJOJATOMR-NSHDSACASA-N 0 3 237.318 2.829 20 0 BFADHN CC[C@H](C)NC(=O)[C@@H](C)N(C)CCC(C)(C)C ZINC000646027301 388127071 /nfs/dbraw/zinc/12/70/71/388127071.db2.gz DBOIIJANXXOQFY-NWDGAFQWSA-N 0 3 242.407 2.658 20 0 BFADHN COCCN(C)Cc1cccc2ccoc21 ZINC000449008607 388127197 /nfs/dbraw/zinc/12/71/97/388127197.db2.gz KJNMYMIOFPJXJI-UHFFFAOYSA-N 0 3 219.284 2.511 20 0 BFADHN CCN(Cc1ccc(C)cc1OC)C1CC1 ZINC000449012243 388128159 /nfs/dbraw/zinc/12/81/59/388128159.db2.gz ZZHSPKLHDLOHHN-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN COc1cncc(CN(C)CCC(C)(C)C)c1 ZINC000646029034 388128184 /nfs/dbraw/zinc/12/81/84/388128184.db2.gz WLUQCELJKZSDSZ-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1ccncc1F ZINC000449012209 388128303 /nfs/dbraw/zinc/12/83/03/388128303.db2.gz ZQJABEPMBWDGKD-SNVBAGLBSA-N 0 3 210.296 2.697 20 0 BFADHN CCN(C)Cc1cn(C)nc1-c1ccc(F)cc1 ZINC000449010886 388128590 /nfs/dbraw/zinc/12/85/90/388128590.db2.gz WEKJLIQBBCKNPL-UHFFFAOYSA-N 0 3 247.317 2.678 20 0 BFADHN CCN(Cc1ccncc1F)CC(C)C ZINC000449012033 388128725 /nfs/dbraw/zinc/12/87/25/388128725.db2.gz XPMBFJKGESLRDL-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN COc1cc(C)ccc1CN(C)C1CC1 ZINC000449012232 388128996 /nfs/dbraw/zinc/12/89/96/388128996.db2.gz ZVXGAEAXFKYEHL-UHFFFAOYSA-N 0 3 205.301 2.598 20 0 BFADHN Cc1cccc(CN2CC[C@H](C)C2)c1F ZINC000449017968 388130543 /nfs/dbraw/zinc/13/05/43/388130543.db2.gz IMBOLGLVAFCTHN-JTQLQIEISA-N 0 3 207.292 2.976 20 0 BFADHN Cc1cc(CN(C[C@@H](C)O)C(C)C)cs1 ZINC000449023033 388131561 /nfs/dbraw/zinc/13/15/61/388131561.db2.gz MTOQXDDVVYQLPI-SNVBAGLBSA-N 0 3 227.373 2.648 20 0 BFADHN COc1cc(F)cc(CN2C[C@H](C)[C@H](C)C2)c1 ZINC000449027516 388131757 /nfs/dbraw/zinc/13/17/57/388131757.db2.gz ISIRGPYDUPCIGY-PHIMTYICSA-N 0 3 237.318 2.922 20 0 BFADHN CSCCN(C)Cc1csc(C)c1 ZINC000449026112 388132051 /nfs/dbraw/zinc/13/20/51/388132051.db2.gz FANRGQHWTLLYJN-UHFFFAOYSA-N 0 3 215.387 2.851 20 0 BFADHN COc1cc(F)cc(CN2C[C@H](C)[C@@H](C)C2)c1 ZINC000449027518 388132084 /nfs/dbraw/zinc/13/20/84/388132084.db2.gz ISIRGPYDUPCIGY-QWRGUYRKSA-N 0 3 237.318 2.922 20 0 BFADHN CC[C@H]1CCCCCN1Cc1ccnc(OC)n1 ZINC000449028718 388132545 /nfs/dbraw/zinc/13/25/45/388132545.db2.gz MNDZEERQMNEVCH-ZDUSSCGKSA-N 0 3 249.358 2.640 20 0 BFADHN Cc1cc(CN2CCN(C)CC2(C)C)cs1 ZINC000449035975 388134223 /nfs/dbraw/zinc/13/42/23/388134223.db2.gz QXUKPRXNPAIIQH-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN Cc1nocc1CN(C)[C@H](C)C(C)(C)C ZINC000646059709 388134953 /nfs/dbraw/zinc/13/49/53/388134953.db2.gz PIPAEVOCSBKVPQ-SNVBAGLBSA-N 0 3 210.321 2.849 20 0 BFADHN CCC(CC)CN[C@H](C)c1nccn1C ZINC000162248959 388134976 /nfs/dbraw/zinc/13/49/76/388134976.db2.gz XDUZPJQKFFZCDK-SNVBAGLBSA-N 0 3 209.337 2.507 20 0 BFADHN Cc1nocc1CN(C)[C@@H](C)C(C)(C)C ZINC000646059708 388135356 /nfs/dbraw/zinc/13/53/56/388135356.db2.gz PIPAEVOCSBKVPQ-JTQLQIEISA-N 0 3 210.321 2.849 20 0 BFADHN OCC[C@@H](NCCCCF)c1ccccc1F ZINC000646070140 388136448 /nfs/dbraw/zinc/13/64/48/388136448.db2.gz LTGOZQWTYRLVPZ-CYBMUJFWSA-N 0 3 243.297 2.589 20 0 BFADHN C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1noc2c1CCCC2 ZINC000646091927 388140579 /nfs/dbraw/zinc/14/05/79/388140579.db2.gz GIKZLOBMMZWGSP-KGYLQXTDSA-N 0 3 246.354 2.832 20 0 BFADHN COCC(C)(C)NCc1cccc2ccoc21 ZINC000449115390 388144908 /nfs/dbraw/zinc/14/49/08/388144908.db2.gz HJZBEXRVIODQPP-UHFFFAOYSA-N 0 3 233.311 2.947 20 0 BFADHN CC[C@H](NCCn1cccn1)c1ccccc1F ZINC000164074068 388147535 /nfs/dbraw/zinc/14/75/35/388147535.db2.gz SYXKATNUHGEESO-AWEZNQCLSA-N 0 3 247.317 2.763 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@@H](CO)c1ccccc1 ZINC000449142416 388147827 /nfs/dbraw/zinc/14/78/27/388147827.db2.gz OFIZTLUUTDHFPH-DOMZBBRYSA-N 0 3 245.322 2.534 20 0 BFADHN CCC[C@@](C)(CO)NCc1cccc(C)c1F ZINC000449143263 388148351 /nfs/dbraw/zinc/14/83/51/388148351.db2.gz PRGGKOHNYNCJBN-AWEZNQCLSA-N 0 3 239.334 2.775 20 0 BFADHN COc1nccnc1CNC1CCC(C)(C)CC1 ZINC000449162573 388151284 /nfs/dbraw/zinc/15/12/84/388151284.db2.gz JMRGWZFQGSVOPW-UHFFFAOYSA-N 0 3 249.358 2.544 20 0 BFADHN c1cc(CN2CCCC[C@@H]2C2CCC2)on1 ZINC000646137354 388153135 /nfs/dbraw/zinc/15/31/35/388153135.db2.gz BFBWGSHHYANJKI-CYBMUJFWSA-N 0 3 220.316 2.829 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1c(C)nnn1CC ZINC000449176681 388153198 /nfs/dbraw/zinc/15/31/98/388153198.db2.gz RAYZZGNZBXPVRS-WDEREUQCSA-N 0 3 238.379 2.521 20 0 BFADHN c1cc(CN2CCCC[C@H]2C2CCC2)no1 ZINC000646139124 388154339 /nfs/dbraw/zinc/15/43/39/388154339.db2.gz JBJGWPYBRYPMNS-ZDUSSCGKSA-N 0 3 220.316 2.829 20 0 BFADHN C[C@@H](Cc1ccoc1)N[C@H]1CCCc2c[nH]nc21 ZINC000449188429 388154989 /nfs/dbraw/zinc/15/49/89/388154989.db2.gz LSKAYXPQHMHNHI-GWCFXTLKSA-N 0 3 245.326 2.601 20 0 BFADHN CC[C@@H](NCc1ccsc1Cl)[C@@H](C)O ZINC000312622835 256526099 /nfs/dbraw/zinc/52/60/99/256526099.db2.gz LAQQYXMJARWUHT-VXNVDRBHSA-N 0 3 233.764 2.651 20 0 BFADHN CSC[C@@](C)(O)CN[C@@H](C)c1ccsc1 ZINC000167983336 388256543 /nfs/dbraw/zinc/25/65/43/388256543.db2.gz XTJPDEVTVQGPRM-ONGXEEELSA-N 0 3 245.413 2.513 20 0 BFADHN CS[C@H](CO)[C@H](C)N[C@H](C)c1cccs1 ZINC000168006528 388256702 /nfs/dbraw/zinc/25/67/02/388256702.db2.gz SWMRGCNJDMEGIP-WCABBAIRSA-N 0 3 245.413 2.511 20 0 BFADHN CC1(C)CN(CC2=CCCC2)[C@H]1[C@@H]1CCCO1 ZINC000645918027 388257292 /nfs/dbraw/zinc/25/72/92/388257292.db2.gz HCTKHTZPSXIGMI-KBPBESRZSA-N 0 3 235.371 2.986 20 0 BFADHN C[C@H](c1ccccn1)N(C)C[C@H]1C[C@H]1C ZINC000488593671 388190217 /nfs/dbraw/zinc/19/02/17/388190217.db2.gz UBXORVOTMADTDH-IJLUTSLNSA-N 0 3 204.317 2.730 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CN1CCOC[C@@H]1C ZINC000449387850 388190777 /nfs/dbraw/zinc/19/07/77/388190777.db2.gz YOFZRLBRRCIVHL-RDBSUJKOSA-N 0 3 223.360 2.700 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CN1CCOC[C@@H]1C ZINC000449387849 388191196 /nfs/dbraw/zinc/19/11/96/388191196.db2.gz YOFZRLBRRCIVHL-HZSPNIEDSA-N 0 3 223.360 2.700 20 0 BFADHN COC[C@@]1(C)CCN(Cc2ccccc2F)C1 ZINC000449397529 388194304 /nfs/dbraw/zinc/19/43/04/388194304.db2.gz VMUKOIRCJQSHAQ-AWEZNQCLSA-N 0 3 237.318 2.684 20 0 BFADHN F[C@@H]1CCN(C[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000449397215 388194311 /nfs/dbraw/zinc/19/43/11/388194311.db2.gz UPIFXYWLEDSYPI-MJBXVCDLSA-N 0 3 219.303 2.834 20 0 BFADHN F[C@@H]1CCN(C[C@@H]2C[C@@H]2c2ccccc2)C1 ZINC000449397212 388194401 /nfs/dbraw/zinc/19/44/01/388194401.db2.gz UPIFXYWLEDSYPI-BFHYXJOUSA-N 0 3 219.303 2.834 20 0 BFADHN F[C@@H]1CCN(C[C@H]2C[C@H]2c2ccccc2)C1 ZINC000449397213 388194922 /nfs/dbraw/zinc/19/49/22/388194922.db2.gz UPIFXYWLEDSYPI-MCIONIFRSA-N 0 3 219.303 2.834 20 0 BFADHN CCCC[C@H](COC)NCc1ccnc(C)c1 ZINC000449403350 388195381 /nfs/dbraw/zinc/19/53/81/388195381.db2.gz PUTDBLGTLAPFSX-CQSZACIVSA-N 0 3 236.359 2.685 20 0 BFADHN CC1(C)COC[C@@H]1NCc1cc2ccccc2o1 ZINC000313037326 256534446 /nfs/dbraw/zinc/53/44/46/256534446.db2.gz YNNYFFBANFOUPB-AWEZNQCLSA-N 0 3 245.322 2.947 20 0 BFADHN CC[C@@H]1CCC[C@H]([NH2+]Cc2nnc(C3CC3)[n-]2)C1 ZINC000449406157 388196211 /nfs/dbraw/zinc/19/62/11/388196211.db2.gz TUEJCHQYEGRMJP-PWSUYJOCSA-N 0 3 248.374 2.741 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC000449406157 388196214 /nfs/dbraw/zinc/19/62/14/388196214.db2.gz TUEJCHQYEGRMJP-PWSUYJOCSA-N 0 3 248.374 2.741 20 0 BFADHN CC(C)C[C@H](C)C[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000449404894 388196635 /nfs/dbraw/zinc/19/66/35/388196635.db2.gz GGRUHFBCGGHRMN-NSHDSACASA-N 0 3 238.379 2.700 20 0 BFADHN CC(C)C[C@H](C)CNCc1nnc(C(C)C)[nH]1 ZINC000449404894 388196636 /nfs/dbraw/zinc/19/66/36/388196636.db2.gz GGRUHFBCGGHRMN-NSHDSACASA-N 0 3 238.379 2.700 20 0 BFADHN CCN(C[C@H]1C[C@@H]1c1ccccc1)C1COC1 ZINC000449421995 388200503 /nfs/dbraw/zinc/20/05/03/388200503.db2.gz BYCWGFHQKUUWHP-UKRRQHHQSA-N 0 3 231.339 2.511 20 0 BFADHN COc1cccc(CN2CC[C@H]2C2CC2)c1 ZINC000449422090 388200573 /nfs/dbraw/zinc/20/05/73/388200573.db2.gz CILISSLUMUPRCV-AWEZNQCLSA-N 0 3 217.312 2.680 20 0 BFADHN COc1ncccc1CN1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000489012228 388201662 /nfs/dbraw/zinc/20/16/62/388201662.db2.gz OIBDGKAULCUPGD-JHJVBQTASA-N 0 3 248.370 2.957 20 0 BFADHN CCn1nc(C)c(CN2CC[C@H]2C2CC2)c1C ZINC000449423667 388201855 /nfs/dbraw/zinc/20/18/55/388201855.db2.gz BKKAVVJKIGWDOP-AWEZNQCLSA-N 0 3 233.359 2.504 20 0 BFADHN COc1ncccc1CN1CCC[C@@H](C(C)C)C1 ZINC000489022374 388202000 /nfs/dbraw/zinc/20/20/00/388202000.db2.gz WELGCUZEGCFATA-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN COc1cc(C)c(CN2CC[C@@H]2C2CC2)c(C)n1 ZINC000449423650 388202235 /nfs/dbraw/zinc/20/22/35/388202235.db2.gz BCYXHSQGSTYBNL-CQSZACIVSA-N 0 3 246.354 2.691 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1cncnc1 ZINC000449442876 388207170 /nfs/dbraw/zinc/20/71/70/388207170.db2.gz NMZNODRYPRKQLH-GFCCVEGCSA-N 0 3 219.332 2.701 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CC2(C)C)c(C)n1 ZINC000489452350 388215201 /nfs/dbraw/zinc/21/52/01/388215201.db2.gz UGPMDYCDZQOXHR-CYBMUJFWSA-N 0 3 218.344 2.929 20 0 BFADHN COc1c(C)cnc(CN(C)[C@H]2CC2(C)C)c1C ZINC000489465707 388215736 /nfs/dbraw/zinc/21/57/36/388215736.db2.gz OEZQDLWWYYYOIX-ZDUSSCGKSA-N 0 3 248.370 2.937 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccco2)C[C@H]1C ZINC000449491467 388217922 /nfs/dbraw/zinc/21/79/22/388217922.db2.gz GYVWNGATALVKNI-DGCLKSJQSA-N 0 3 223.316 2.527 20 0 BFADHN CCc1ccc(CN2CC[C@H](OC)[C@H](C)C2)cn1 ZINC000449505746 388220689 /nfs/dbraw/zinc/22/06/89/388220689.db2.gz RSVCADNMGWEHOF-DOMZBBRYSA-N 0 3 248.370 2.501 20 0 BFADHN C[C@@H](N[C@@H]1COc2ccccc2C1)c1ccco1 ZINC000164330662 388224656 /nfs/dbraw/zinc/22/46/56/388224656.db2.gz YODIOHPCZPRBAH-YPMHNXCESA-N 0 3 243.306 2.934 20 0 BFADHN Cc1nocc1CN1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000449530774 388225135 /nfs/dbraw/zinc/22/51/35/388225135.db2.gz AOWLUDCOVVKXTA-UONOGXRCSA-N 0 3 232.327 2.603 20 0 BFADHN CC[C@@H](C)CN1CCOc2ccc(OC)cc2C1 ZINC000449529748 388225202 /nfs/dbraw/zinc/22/52/02/388225202.db2.gz ZRCRLDILYLIBDB-GFCCVEGCSA-N 0 3 249.354 2.936 20 0 BFADHN COc1ccc2c(c1)CN(CC(C)C)CCO2 ZINC000449527138 388225360 /nfs/dbraw/zinc/22/53/60/388225360.db2.gz ZSTGMRYYMXASDW-UHFFFAOYSA-N 0 3 235.327 2.546 20 0 BFADHN c1cncc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)c1 ZINC000449532204 388226030 /nfs/dbraw/zinc/22/60/30/388226030.db2.gz DBSKSSWKFCFQLD-LSDHHAIUSA-N 0 3 228.339 2.702 20 0 BFADHN c1cc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)ccn1 ZINC000449534663 388226209 /nfs/dbraw/zinc/22/62/09/388226209.db2.gz GWBCSJCVWNGDBB-CABCVRRESA-N 0 3 228.339 2.702 20 0 BFADHN Cc1ccoc1CN1CC[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000449553637 388230260 /nfs/dbraw/zinc/23/02/60/388230260.db2.gz MHUYNKOLEDVRIE-MGPQQGTHSA-N 0 3 249.354 2.571 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@H]2C(C)C)cs1 ZINC000449559337 388231933 /nfs/dbraw/zinc/23/19/33/388231933.db2.gz ZKCJKGDZOROCTO-JQWIXIFHSA-N 0 3 224.373 2.833 20 0 BFADHN Cc1c[nH]nc1CN1C[C@H](C(C)C)[C@@H]1C(C)C ZINC000449559982 388232295 /nfs/dbraw/zinc/23/22/95/388232295.db2.gz ZZNQRNAQXGXOGK-OCCSQVGLSA-N 0 3 235.375 2.831 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC(C)(C)CC ZINC000449561781 388232599 /nfs/dbraw/zinc/23/25/99/388232599.db2.gz FDNKYIVLXCEKAQ-LLVKDONJSA-N 0 3 223.364 2.990 20 0 BFADHN CCC[C@H](C)N[C@@H](C)c1nccn1CC ZINC000449562774 388232795 /nfs/dbraw/zinc/23/27/95/388232795.db2.gz NXZFIALVLUZJRQ-QWRGUYRKSA-N 0 3 209.337 2.742 20 0 BFADHN CCC[C@@H](C)N[C@@H](C)c1nccn1CC ZINC000449562773 388232897 /nfs/dbraw/zinc/23/28/97/388232897.db2.gz NXZFIALVLUZJRQ-MNOVXSKESA-N 0 3 209.337 2.742 20 0 BFADHN CC[C@H](C)CN[C@@H](C)c1nccn1CC ZINC000449561511 388233127 /nfs/dbraw/zinc/23/31/27/388233127.db2.gz COOTUOMAZXAWJX-QWRGUYRKSA-N 0 3 209.337 2.600 20 0 BFADHN CC[C@H]1CN(CC)CCN1Cc1cccs1 ZINC000449565720 388233972 /nfs/dbraw/zinc/23/39/72/388233972.db2.gz KIJJGUZBOABVML-LBPRGKRZSA-N 0 3 238.400 2.664 20 0 BFADHN CCn1ccnc1[C@@H](C)NC1CC(C(C)C)C1 ZINC000449563116 388234224 /nfs/dbraw/zinc/23/42/24/388234224.db2.gz RJMUQYURHBSJHA-PNESKVBLSA-N 0 3 235.375 2.988 20 0 BFADHN CC[C@H]1CN(CC)CCN1Cc1occc1C ZINC000449566407 388234845 /nfs/dbraw/zinc/23/48/45/388234845.db2.gz OMUMKDYSACGBDV-ZDUSSCGKSA-N 0 3 236.359 2.504 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CC[C@@H]1C1CC1 ZINC000449566585 388234905 /nfs/dbraw/zinc/23/49/05/388234905.db2.gz PQLIAOGLZJZZGN-UHTWSYAYSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@H]1C[C@H](C)n2ccnc21 ZINC000449568785 388235087 /nfs/dbraw/zinc/23/50/87/388235087.db2.gz DDZOWGKVQXIMJC-MDZLAQPJSA-N 0 3 245.326 2.703 20 0 BFADHN Cc1ccc(CN(C)[C@@H](CO)CC(C)(C)C)o1 ZINC000449591640 388238930 /nfs/dbraw/zinc/23/89/30/388238930.db2.gz WZTBVCGZZVZHCX-GFCCVEGCSA-N 0 3 239.359 2.817 20 0 BFADHN COCc1nc(CN[C@H]2CCC[C@@H]2C)cs1 ZINC000164519583 388239813 /nfs/dbraw/zinc/23/98/13/388239813.db2.gz RBGNAPAUIQBGTP-ONGXEEELSA-N 0 3 240.372 2.568 20 0 BFADHN CCc1ncc(CN[C@H](C)CC(C)C)o1 ZINC000449608253 388241706 /nfs/dbraw/zinc/24/17/06/388241706.db2.gz GEKLFNKRDFEYOD-SNVBAGLBSA-N 0 3 210.321 2.761 20 0 BFADHN CCc1ncc(CN[C@@H](C)[C@@H]2CC2(C)C)o1 ZINC000449610227 388242302 /nfs/dbraw/zinc/24/23/02/388242302.db2.gz PWHPZKRNROUMGA-ONGXEEELSA-N 0 3 222.332 2.761 20 0 BFADHN CCCc1ncc(CN[C@H](C)C2CC2)o1 ZINC000449612646 388243255 /nfs/dbraw/zinc/24/32/55/388243255.db2.gz NPTSJDMQLPCJLM-SECBINFHSA-N 0 3 208.305 2.515 20 0 BFADHN CCCc1ncc(CN[C@H]2CC[C@H]2CC)o1 ZINC000449615268 388243881 /nfs/dbraw/zinc/24/38/81/388243881.db2.gz YNVCQAPEUNXCTB-PWSUYJOCSA-N 0 3 222.332 2.905 20 0 BFADHN CC(C)[C@H]1CCC[C@H](NCc2cnccn2)C1 ZINC000518482181 256576942 /nfs/dbraw/zinc/57/69/42/256576942.db2.gz BKOXBOOATWYBSM-STQMWFEESA-N 0 3 233.359 2.781 20 0 BFADHN CC(C)=CCN1CCOCC12CCCCC2 ZINC000449623963 388245211 /nfs/dbraw/zinc/24/52/11/388245211.db2.gz LRBWRWDYUAQSFN-UHFFFAOYSA-N 0 3 223.360 2.988 20 0 BFADHN COc1cc(CN[C@@H]2COCC2(C)C)ccc1C ZINC000449624173 388245290 /nfs/dbraw/zinc/24/52/90/388245290.db2.gz MWIBSOAMXDLFMT-CQSZACIVSA-N 0 3 249.354 2.518 20 0 BFADHN CC[C@H](O)[C@H](CC)N[C@@H](C)c1cc(C)ccn1 ZINC000449645397 388247696 /nfs/dbraw/zinc/24/76/96/388247696.db2.gz LSVSLQRDZGEZLV-OBJOEFQTSA-N 0 3 236.359 2.590 20 0 BFADHN COc1ccc2c(c1)CN(CC1CCC1)CCO2 ZINC000451642310 388286936 /nfs/dbraw/zinc/28/69/36/388286936.db2.gz LCVYLLPSKUUPKE-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN CC[C@@H]1CN(CC)CCN1CCSC(C)C ZINC000451742336 388292533 /nfs/dbraw/zinc/29/25/33/388292533.db2.gz RCEKEWDSZGGUQP-CYBMUJFWSA-N 0 3 244.448 2.544 20 0 BFADHN CC1(C)COC[C@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000451809138 388297581 /nfs/dbraw/zinc/29/75/81/388297581.db2.gz LQENZGMELWTIQN-ZIAGYGMSSA-N 0 3 249.329 2.828 20 0 BFADHN CC[C@H](C)N1CCN(CCSC(C)C)CC1 ZINC000451836157 388299519 /nfs/dbraw/zinc/29/95/19/388299519.db2.gz GTBIPQJYLIYALK-ZDUSSCGKSA-N 0 3 244.448 2.544 20 0 BFADHN COCCCN1CC2(CCC2)[C@H]1c1ccco1 ZINC000451834675 388300014 /nfs/dbraw/zinc/30/00/14/388300014.db2.gz JBVBWYPYLZAXHH-CYBMUJFWSA-N 0 3 235.327 2.843 20 0 BFADHN CC/C=C\CCN1CCN([C@H](C)CC)CC1 ZINC000451851201 388301114 /nfs/dbraw/zinc/30/11/14/388301114.db2.gz YXDYTRCGASOGBW-LMVHVUTASA-N 0 3 224.392 2.759 20 0 BFADHN CC(C)[C@@H](O)CN1CC2(CCC2)[C@H]1c1ccco1 ZINC000451857741 388301153 /nfs/dbraw/zinc/30/11/53/388301153.db2.gz QYONGLGQCZSNTM-GXTWGEPZSA-N 0 3 249.354 2.824 20 0 BFADHN CCc1ccc(CN2CC[C@@H](SC)C2)nc1 ZINC000451326240 388263381 /nfs/dbraw/zinc/26/33/81/388263381.db2.gz PZVROVXMNRGFHN-CYBMUJFWSA-N 0 3 236.384 2.581 20 0 BFADHN CCC[C@H](NCc1ncnn1CC)C(C)(C)C ZINC000451442162 388273006 /nfs/dbraw/zinc/27/30/06/388273006.db2.gz UMCSYTIPYSJVPH-NSHDSACASA-N 0 3 238.379 2.602 20 0 BFADHN CCO[C@@H]1C[C@@H](NC[C@@H](F)CC)C12CCC2 ZINC000451485651 388277103 /nfs/dbraw/zinc/27/71/03/388277103.db2.gz YUIDBWLOKWMEKQ-QJPTWQEYSA-N 0 3 229.339 2.672 20 0 BFADHN CCO[C@@H]1C[C@H](NC[C@H](F)CC)C12CCC2 ZINC000451485649 388277400 /nfs/dbraw/zinc/27/74/00/388277400.db2.gz YUIDBWLOKWMEKQ-GRYCIOLGSA-N 0 3 229.339 2.672 20 0 BFADHN CCO[C@@H]1CCN(Cc2cncc(C)c2)C[C@@H]1C ZINC000451542307 388280609 /nfs/dbraw/zinc/28/06/09/388280609.db2.gz NIBQZQFMPGIBCP-DZGCQCFKSA-N 0 3 248.370 2.637 20 0 BFADHN CO[C@H](C)CCNCc1ccc(Cl)cc1F ZINC000275680536 388344877 /nfs/dbraw/zinc/34/48/77/388344877.db2.gz YZGABJINORIWHT-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN Cc1cnc(CNCCc2cccs2)s1 ZINC000294798509 388404395 /nfs/dbraw/zinc/40/43/95/388404395.db2.gz PGNCDADIEGHVJJ-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cc(C)ccc1F ZINC000276075208 388346761 /nfs/dbraw/zinc/34/67/61/388346761.db2.gz MWDJPHIMYLOIDP-QWRGUYRKSA-N 0 3 225.307 2.647 20 0 BFADHN CCS[C@H]1CCCC[C@H]1NCc1ccno1 ZINC000276126770 388346992 /nfs/dbraw/zinc/34/69/92/388346992.db2.gz AHNIZATWQXLNAW-NEPJUHHUSA-N 0 3 240.372 2.829 20 0 BFADHN CO[C@H](C)CNCc1ccc2nc(C)ccc2c1 ZINC000276259270 388348125 /nfs/dbraw/zinc/34/81/25/388348125.db2.gz XUJAZJDGTSKZSP-GFCCVEGCSA-N 0 3 244.338 2.668 20 0 BFADHN COCc1ccc(CNCCC=C(C)C)o1 ZINC000276670320 388350863 /nfs/dbraw/zinc/35/08/63/388350863.db2.gz YFRJCTDEXHKUCE-UHFFFAOYSA-N 0 3 223.316 2.872 20 0 BFADHN CC[C@H](NC[C@H](C)OC)c1cccc(F)c1 ZINC000276898428 388351293 /nfs/dbraw/zinc/35/12/93/388351293.db2.gz IRBLHLBVDFZOLK-GWCFXTLKSA-N 0 3 225.307 2.901 20 0 BFADHN CSC[C@@H](C)NCc1csc(C)c1 ZINC000294949590 388404671 /nfs/dbraw/zinc/40/46/71/388404671.db2.gz CASWDTINHVSZGC-MRVPVSSYSA-N 0 3 215.387 2.898 20 0 BFADHN CC(C)[C@H]1C[C@H](NCc2ccco2)CS1 ZINC000277254520 388353618 /nfs/dbraw/zinc/35/36/18/388353618.db2.gz OIGIUNAJSSVJPW-CMPLNLGQSA-N 0 3 225.357 2.899 20 0 BFADHN Clc1ccsc1CNC[C@@H]1CCCOC1 ZINC000278329960 388354683 /nfs/dbraw/zinc/35/46/83/388354683.db2.gz FSOXTBNRPVFZDI-VIFPVBQESA-N 0 3 245.775 2.918 20 0 BFADHN CC[C@H](C)[C@H](O)CNCc1ccsc1C ZINC000293683451 388358254 /nfs/dbraw/zinc/35/82/54/388358254.db2.gz VWCUBFGOFDZZFG-JOYOIKCWSA-N 0 3 227.373 2.553 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H](O)C2(C)C)c(C)s1 ZINC000163404432 388361845 /nfs/dbraw/zinc/36/18/45/388361845.db2.gz YYMXTBRSCOPPHA-VXGBXAGGSA-N 0 3 239.384 2.614 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@@H](O)C2(C)C)s1 ZINC000163398388 388362129 /nfs/dbraw/zinc/36/21/29/388362129.db2.gz OIGHXOIABZWWTM-VXGBXAGGSA-N 0 3 239.384 2.560 20 0 BFADHN CCOC1CC(N(C)Cc2ccc(CC)o2)C1 ZINC000572682979 388365389 /nfs/dbraw/zinc/36/53/89/388365389.db2.gz ASHLPJSIHZVCDE-UHFFFAOYSA-N 0 3 237.343 2.841 20 0 BFADHN C[C@@H](O)CN(C)[C@H](C)c1ccc(Cl)cc1 ZINC000235251443 388366113 /nfs/dbraw/zinc/36/61/13/388366113.db2.gz HILCEOJHWZOXJQ-NXEZZACHSA-N 0 3 227.735 2.714 20 0 BFADHN Cc1cc(Cl)ccc1CN1CC[C@@H](O)[C@H]1C ZINC000648800741 388373765 /nfs/dbraw/zinc/37/37/65/388373765.db2.gz QDPRSWSFRLTMMW-ZWNOBZJWSA-N 0 3 239.746 2.604 20 0 BFADHN CC[C@@H](NCc1ccc(CO)o1)C(C)(C)C ZINC000164520552 388379829 /nfs/dbraw/zinc/37/98/29/388379829.db2.gz SBPMBGNPHOQPDM-GFCCVEGCSA-N 0 3 225.332 2.686 20 0 BFADHN CCC1CCC(NCc2cocn2)CC1 ZINC000236646611 388381179 /nfs/dbraw/zinc/38/11/79/388381179.db2.gz SSQYPGIMHZDBFD-UHFFFAOYSA-N 0 3 208.305 2.733 20 0 BFADHN CCCC[C@H](N)C(=O)NCC1(CCC)CCC1 ZINC000236789767 388383348 /nfs/dbraw/zinc/38/33/48/388383348.db2.gz WNRXFAUAWPWUIP-LBPRGKRZSA-N 0 3 240.391 2.591 20 0 BFADHN COC1(CCNCc2occc2C)CCC1 ZINC000292912507 388383964 /nfs/dbraw/zinc/38/39/64/388383964.db2.gz HLQMZOHALXIIOA-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H]1CC[C@H](O)CC1 ZINC000507462583 388385177 /nfs/dbraw/zinc/38/51/77/388385177.db2.gz PHHSCDIQGHNQAN-UBHSHLNASA-N 0 3 248.370 2.598 20 0 BFADHN c1ncc(CNCCCC2CCCC2)cn1 ZINC000163785214 388386316 /nfs/dbraw/zinc/38/63/16/388386316.db2.gz JQSUZVWPEOALOV-UHFFFAOYSA-N 0 3 219.332 2.537 20 0 BFADHN CO[C@@H](CNCc1ccc(Cl)s1)C1CC1 ZINC000293232214 388387523 /nfs/dbraw/zinc/38/75/23/388387523.db2.gz ZIARCWHHFOSSQO-JTQLQIEISA-N 0 3 245.775 2.916 20 0 BFADHN Cc1sccc1CNCc1ccn(C)c1 ZINC000293503519 388389861 /nfs/dbraw/zinc/38/98/61/388389861.db2.gz HNXJXLWZCBYSNQ-UHFFFAOYSA-N 0 3 220.341 2.685 20 0 BFADHN CC[C@H](CSC)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000293848678 388391808 /nfs/dbraw/zinc/39/18/08/388391808.db2.gz CLKLBWXJDWHALZ-HBNTYKKESA-N 0 3 239.388 2.620 20 0 BFADHN CC[C@H](CSC)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000293848684 388392288 /nfs/dbraw/zinc/39/22/88/388392288.db2.gz CLKLBWXJDWHALZ-MXWKQRLJSA-N 0 3 239.388 2.620 20 0 BFADHN CC(C)(C)CCCNC(=O)C1(N)CCCCC1 ZINC000313470417 388393037 /nfs/dbraw/zinc/39/30/37/388393037.db2.gz NSWDPDRUWMQHOB-UHFFFAOYSA-N 0 3 240.391 2.591 20 0 BFADHN CSCc1cnc(CNCC2CC2)s1 ZINC000294064017 388394517 /nfs/dbraw/zinc/39/45/17/388394517.db2.gz KBXMIHZPGIWZHY-UHFFFAOYSA-N 0 3 228.386 2.506 20 0 BFADHN Cc1cc(CNC[C@H]2CCC(F)(F)C2)on1 ZINC000300967283 388395396 /nfs/dbraw/zinc/39/53/96/388395396.db2.gz QFCLHAWVYOZORK-VIFPVBQESA-N 0 3 230.258 2.508 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CC=CCC2)c2nccn21 ZINC000294158273 388395552 /nfs/dbraw/zinc/39/55/52/388395552.db2.gz QPJPDPOMGAJSRM-WOPDTQHZSA-N 0 3 217.316 2.587 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CC=CCC2)c2nccn21 ZINC000294158268 388395814 /nfs/dbraw/zinc/39/58/14/388395814.db2.gz QPJPDPOMGAJSRM-UTUOFQBUSA-N 0 3 217.316 2.587 20 0 BFADHN CC[C@@H](NC[C@@H]1CCCO1)c1ccsc1 ZINC000294197409 388396585 /nfs/dbraw/zinc/39/65/85/388396585.db2.gz GWZNZMSJXOMALU-NWDGAFQWSA-N 0 3 225.357 2.968 20 0 BFADHN Cc1ccc(CNCCC(C)(F)F)o1 ZINC000294282852 388397612 /nfs/dbraw/zinc/39/76/12/388397612.db2.gz SVFBSZPGDZEGEZ-UHFFFAOYSA-N 0 3 203.232 2.723 20 0 BFADHN CC(F)(F)CCNCc1cnn(C(C)(C)C)c1 ZINC000294307439 388398978 /nfs/dbraw/zinc/39/89/78/388398978.db2.gz VOTKHRGIJWIPBJ-UHFFFAOYSA-N 0 3 245.317 2.773 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1csc(C)c1 ZINC000294335870 388399114 /nfs/dbraw/zinc/39/91/14/388399114.db2.gz YDWWTWFXXCBKMX-JQWIXIFHSA-N 0 3 227.373 2.868 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1csc(C)c1 ZINC000294335874 388399321 /nfs/dbraw/zinc/39/93/21/388399321.db2.gz YDWWTWFXXCBKMX-PWSUYJOCSA-N 0 3 227.373 2.868 20 0 BFADHN Cc1cc(C)c(CNCc2cncn2C)c(C)c1 ZINC000237819170 388399678 /nfs/dbraw/zinc/39/96/78/388399678.db2.gz VNMAEBPRKWBNMK-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN O[C@@H](CN[C@H]1CCc2c1cccc2F)C1CCC1 ZINC000316188441 388406797 /nfs/dbraw/zinc/40/67/97/388406797.db2.gz NXEQAJUDJQAESX-GJZGRUSLSA-N 0 3 249.329 2.564 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](O)C2CCC2)c(C)o1 ZINC000315708500 388401959 /nfs/dbraw/zinc/40/19/59/388401959.db2.gz HALNZETXRWGWAY-QMTHXVAHSA-N 0 3 237.343 2.708 20 0 BFADHN CCCCC[C@H](C)NCc1ccnc(OC)n1 ZINC000294637690 388402718 /nfs/dbraw/zinc/40/27/18/388402718.db2.gz AUWJCCWNZPMMKE-NSHDSACASA-N 0 3 237.347 2.544 20 0 BFADHN Cc1cc(CN2CCC([C@@H](C)O)CC2)cs1 ZINC000294665238 388402747 /nfs/dbraw/zinc/40/27/47/388402747.db2.gz CIOAPRHWJSXCOX-LLVKDONJSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@@H]1C[C@H](Nc2cccc(CN(C)C)c2)[C@@H](C)O1 ZINC000294730582 388403145 /nfs/dbraw/zinc/40/31/45/388403145.db2.gz GSCLCCQTEPQVJJ-JMSVASOKSA-N 0 3 248.370 2.726 20 0 BFADHN Cc1sccc1CN[C@H]1CCOC1(C)C ZINC000294741692 388403295 /nfs/dbraw/zinc/40/32/95/388403295.db2.gz HFXVLUKLQKUZGG-NSHDSACASA-N 0 3 225.357 2.714 20 0 BFADHN CCc1ncc(CNC[C@@](C)(CC)OC)s1 ZINC000322641045 388441634 /nfs/dbraw/zinc/44/16/34/388441634.db2.gz UHNVUDRZVIGCBG-GFCCVEGCSA-N 0 3 242.388 2.610 20 0 BFADHN Cc1cc(CN)cc(NC(=O)C2CCCCC2)c1 ZINC000322723045 388445258 /nfs/dbraw/zinc/44/52/58/388445258.db2.gz RHNMCVQJQSFFNZ-UHFFFAOYSA-N 0 3 246.354 2.973 20 0 BFADHN C[C@@H]1C[C@H](NCC2CCCC2)c2nccn21 ZINC000334474443 388446404 /nfs/dbraw/zinc/44/64/04/388446404.db2.gz MRXFNZNEZLYLIU-PWSUYJOCSA-N 0 3 219.332 2.669 20 0 BFADHN CC(C)N[C@@H]1c2ccc(F)cc2O[C@@H]1C ZINC000334461776 388446444 /nfs/dbraw/zinc/44/64/44/388446444.db2.gz PKGAYOKHHOKPFA-PELKAZGASA-N 0 3 209.264 2.646 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc(F)cc(F)c2)CS1 ZINC000334851952 388446894 /nfs/dbraw/zinc/44/68/94/388446894.db2.gz DIUISISDNSOPCC-PELKAZGASA-N 0 3 243.322 2.948 20 0 BFADHN Cc1ncc(CN[C@H]2C[C@H](C)C[C@H](C)C2)o1 ZINC000334723090 388447073 /nfs/dbraw/zinc/44/70/73/388447073.db2.gz DLYPIJWMFXEFGV-IAZYJMLFSA-N 0 3 222.332 2.897 20 0 BFADHN CCc1ccc(CNC[C@@](C)(CC)OC)o1 ZINC000322798867 388447308 /nfs/dbraw/zinc/44/73/08/388447308.db2.gz PMEWYSBAJKTYOL-CYBMUJFWSA-N 0 3 225.332 2.747 20 0 BFADHN Cc1ncc(CN[C@H]2CCC[C@@H](C)C2)o1 ZINC000334723066 388447441 /nfs/dbraw/zinc/44/74/41/388447441.db2.gz CNMPVMIHSQYAPQ-KOLCDFICSA-N 0 3 208.305 2.651 20 0 BFADHN CCC1(CN[C@H](C)c2ccc(C)o2)COC1 ZINC000393993508 388448751 /nfs/dbraw/zinc/44/87/51/388448751.db2.gz YTMAIIJUJOQNSI-LLVKDONJSA-N 0 3 223.316 2.665 20 0 BFADHN C[C@@]1(NCc2cn3ccccc3n2)CC=CCC1 ZINC000335242038 388448904 /nfs/dbraw/zinc/44/89/04/388448904.db2.gz TUVONCMASAMCRP-OAHLLOKOSA-N 0 3 241.338 2.923 20 0 BFADHN c1cn2c(n1)[C@H](NCC1CCCCCC1)CC2 ZINC000335408979 388450890 /nfs/dbraw/zinc/45/08/90/388450890.db2.gz IQCKTXFUYMOOND-CYBMUJFWSA-N 0 3 233.359 2.888 20 0 BFADHN C[C@@H]1C[C@H](NCc2coc3ccccc23)CO1 ZINC000335433849 388451103 /nfs/dbraw/zinc/45/11/03/388451103.db2.gz GPBHRZPHCAIRMH-PWSUYJOCSA-N 0 3 231.295 2.700 20 0 BFADHN CC1(C)C[C@H](N[C@@H]2CCOc3ccccc32)CO1 ZINC000335658155 388452492 /nfs/dbraw/zinc/45/24/92/388452492.db2.gz WVSAJWGAPTWBNI-WCQYABFASA-N 0 3 247.338 2.667 20 0 BFADHN CC(C)(CNCC1(F)CC1)Oc1ccccc1 ZINC000525989356 388452813 /nfs/dbraw/zinc/45/28/13/388452813.db2.gz ZSCSHCVZRIMEEK-UHFFFAOYSA-N 0 3 237.318 2.936 20 0 BFADHN C[C@@H](CNCC1(F)CC1)Oc1cccc(F)c1 ZINC000525996504 388452891 /nfs/dbraw/zinc/45/28/91/388452891.db2.gz ROJLOHCIAZCBSX-JTQLQIEISA-N 0 3 241.281 2.685 20 0 BFADHN CC1(C)C[C@@H](NCc2ccc(F)c(F)c2)CO1 ZINC000335658487 388453120 /nfs/dbraw/zinc/45/31/20/388453120.db2.gz YMJKCBVTWMVQFN-SNVBAGLBSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1cc(Cl)cc(CN[C@@H]2CCOC2)c1 ZINC000339317280 388480941 /nfs/dbraw/zinc/48/09/41/388480941.db2.gz MRRXENXIHCHLED-GFCCVEGCSA-N 0 3 225.719 2.527 20 0 BFADHN Cc1ccc(CN[C@H]2CCOC3(CCC3)C2)nc1 ZINC000335811227 388455207 /nfs/dbraw/zinc/45/52/07/388455207.db2.gz YOQYRXKXAHZGSN-ZDUSSCGKSA-N 0 3 246.354 2.581 20 0 BFADHN C[C@@H](N[C@H]1CCCC1(C)C)c1ccncn1 ZINC000335916812 388455287 /nfs/dbraw/zinc/45/52/87/388455287.db2.gz BMGIMHWHGPZCCK-PWSUYJOCSA-N 0 3 219.332 2.706 20 0 BFADHN CC[C@@H](NCC1(CC)CC1)c1ccn(C)n1 ZINC000336673909 388461048 /nfs/dbraw/zinc/46/10/48/388461048.db2.gz BAXUPIHNUYFXMW-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1nocc1CN[C@@H]1CC[C@@H](C)C[C@@H]1C ZINC000339259543 388481201 /nfs/dbraw/zinc/48/12/01/388481201.db2.gz XUPQNCIRVBBYOD-GBIKHYSHSA-N 0 3 222.332 2.897 20 0 BFADHN CCC1CCC(NCc2snnc2C)CC1 ZINC000336687384 388462344 /nfs/dbraw/zinc/46/23/44/388462344.db2.gz VETSVBHQIMCKLP-UHFFFAOYSA-N 0 3 239.388 2.905 20 0 BFADHN CS[C@@H](CN[C@H](C)c1ncc[nH]1)C(C)(C)C ZINC000336696668 388464462 /nfs/dbraw/zinc/46/44/62/388464462.db2.gz LXWUINZECCXYDR-ZJUUUORDSA-N 0 3 241.404 2.838 20 0 BFADHN CC[C@H]1CC[C@H](NCc2n[nH]cc2C)CC1 ZINC000309829071 388465800 /nfs/dbraw/zinc/46/58/00/388465800.db2.gz JEGSXCQVELCGHZ-HAQNSBGRSA-N 0 3 221.348 2.777 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CN2CCOC[C@H]2C)C1 ZINC000515565438 256750528 /nfs/dbraw/zinc/75/05/28/256750528.db2.gz ZUTJLJIIUNLDNC-MRVWCRGKSA-N 0 3 223.360 2.700 20 0 BFADHN O[C@@]1(C(F)F)CCN([C@H]2C=CCCCCC2)C1 ZINC000515595943 388467171 /nfs/dbraw/zinc/46/71/71/388467171.db2.gz SXNUSYCWBFBXLD-AAEUAGOBSA-N 0 3 245.313 2.577 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cnc(C(C)C)s1 ZINC000336723167 388469033 /nfs/dbraw/zinc/46/90/33/388469033.db2.gz DWSWUMYUOLUFLF-VHSXEESVSA-N 0 3 242.388 2.780 20 0 BFADHN CCOC1CCN(c2ccnc(CC)c2)CC1 ZINC000649250826 388470494 /nfs/dbraw/zinc/47/04/94/388470494.db2.gz JWVZWZJJAPENRF-UHFFFAOYSA-N 0 3 234.343 2.649 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCC[C@@H](C)C1 ZINC000339206807 388481549 /nfs/dbraw/zinc/48/15/49/388481549.db2.gz ZGWZNVZVNQSFQF-ZYHUDNBSSA-N 0 3 222.332 2.899 20 0 BFADHN CSC[C@@H](C)N[C@@H](C)c1cncs1 ZINC000133956538 388473442 /nfs/dbraw/zinc/47/34/42/388473442.db2.gz KKLAKPHDODYNAU-SFYZADRCSA-N 0 3 216.375 2.545 20 0 BFADHN CCCCCNC(=O)CN(CC)C[C@@H](C)CC ZINC000177063349 388473926 /nfs/dbraw/zinc/47/39/26/388473926.db2.gz OVSNGBQYPDDVPY-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN COC1(CNCc2cnc(C)cc2C)CCCC1 ZINC000397510166 388475223 /nfs/dbraw/zinc/47/52/23/388475223.db2.gz WWLZVGVSHZVJSI-UHFFFAOYSA-N 0 3 248.370 2.747 20 0 BFADHN C[C@@H](CCCCO)NCc1cccc(F)c1F ZINC000295053496 388407364 /nfs/dbraw/zinc/40/73/64/388407364.db2.gz NPSVXDYYASOASE-JTQLQIEISA-N 0 3 243.297 2.606 20 0 BFADHN Cc1n[nH]cc1CNC[C@@H]1CCCCC1(F)F ZINC000295067066 388407923 /nfs/dbraw/zinc/40/79/23/388407923.db2.gz GZPHWNXGCNMJAD-NSHDSACASA-N 0 3 243.301 2.633 20 0 BFADHN C[C@H]1CN(CCOC(C)(C)C)C[C@H](C)S1 ZINC000524757833 388408279 /nfs/dbraw/zinc/40/82/79/388408279.db2.gz KLKKBSOGXHRRAV-QWRGUYRKSA-N 0 3 231.405 2.627 20 0 BFADHN Cc1ccccc1CCCN1CCSCC1 ZINC000084677036 256763534 /nfs/dbraw/zinc/76/35/34/256763534.db2.gz BMWBSBKSXLOMMA-UHFFFAOYSA-N 0 3 235.396 2.976 20 0 BFADHN c1cc(CNCC2=CCCCC2)ccn1 ZINC000295132112 388409496 /nfs/dbraw/zinc/40/94/96/388409496.db2.gz OPGSCOISTPMZQE-UHFFFAOYSA-N 0 3 202.301 2.672 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1cnc(C2CC2)o1 ZINC000648824631 388414722 /nfs/dbraw/zinc/41/47/22/388414722.db2.gz UZTRDWNFNJINOL-GZMMTYOYSA-N 0 3 238.356 2.536 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1cnc(C2CC2)o1 ZINC000648824633 388415020 /nfs/dbraw/zinc/41/50/20/388415020.db2.gz UZTRDWNFNJINOL-KWQFWETISA-N 0 3 238.356 2.536 20 0 BFADHN COC[C@H](C)CNCc1cccc(C)c1F ZINC000296565699 388425312 /nfs/dbraw/zinc/42/53/12/388425312.db2.gz VPMSBAZKUBCLBD-SNVBAGLBSA-N 0 3 225.307 2.506 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1csc(C)c1 ZINC000296892881 388426277 /nfs/dbraw/zinc/42/62/77/388426277.db2.gz QLKJKJOQETXYOE-VHSXEESVSA-N 0 3 213.346 2.570 20 0 BFADHN CC(C)CCC[C@H](C)NC(=O)[C@@H](N)CC(C)C ZINC000040858511 388427108 /nfs/dbraw/zinc/42/71/08/388427108.db2.gz VZSWECMKELKGKG-STQMWFEESA-N 0 3 242.407 2.691 20 0 BFADHN Cn1ncc2c1CCC[C@@H]2NCC1=CCCCC1 ZINC000297217779 388429078 /nfs/dbraw/zinc/42/90/78/388429078.db2.gz HCZMHBBGDVPQQR-AWEZNQCLSA-N 0 3 245.370 2.888 20 0 BFADHN CCOCCN[C@H]1CCc2c1cccc2Cl ZINC000166628762 388429627 /nfs/dbraw/zinc/42/96/27/388429627.db2.gz FECUEYNAKANOQV-ZDUSSCGKSA-N 0 3 239.746 2.953 20 0 BFADHN CC[C@H](NCc1cc(C)no1)[C@@H]1C[C@@H]1C ZINC000322317087 388431109 /nfs/dbraw/zinc/43/11/09/388431109.db2.gz FKZJXGLLHYQEKX-AXTRIDKLSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1cc(CN)cc(NC(=O)CC2CCCC2)c1 ZINC000322353688 388432406 /nfs/dbraw/zinc/43/24/06/388432406.db2.gz AIMTZGLIAITGEE-UHFFFAOYSA-N 0 3 246.354 2.973 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1cncc(F)c1)OC ZINC000322500188 388436005 /nfs/dbraw/zinc/43/60/05/388436005.db2.gz FVHUEFOZHJZUGD-GXFFZTMASA-N 0 3 240.322 2.686 20 0 BFADHN CC[C@H](NCc1nccn1CC)[C@H]1CC1(C)C ZINC000397574378 388480158 /nfs/dbraw/zinc/48/01/58/388480158.db2.gz CWEHKSWZBPMBAN-NEPJUHHUSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@@](C)(CNCc1csc(C)c1)OC ZINC000322540491 388437822 /nfs/dbraw/zinc/43/78/22/388437822.db2.gz SLVDCZOYLNLDSZ-LBPRGKRZSA-N 0 3 227.373 2.961 20 0 BFADHN CCC[C@@]1(CO)CCN(C/C=C(\C)Cl)C1 ZINC000528506270 388537408 /nfs/dbraw/zinc/53/74/08/388537408.db2.gz SRBMINSXHFJILJ-KBVBDRTLSA-N 0 3 231.767 2.614 20 0 BFADHN CC(C)N(C(=O)[C@H](C)N1[C@H](C)C[C@@H]1C)C(C)C ZINC000548426274 388537423 /nfs/dbraw/zinc/53/74/23/388537423.db2.gz GTOQPTFYOUDREW-XQQFMLRXSA-N 0 3 240.391 2.503 20 0 BFADHN C/C(Cl)=C\CN1CCC[C@]2(CCOC2)C1 ZINC000528517875 388540625 /nfs/dbraw/zinc/54/06/25/388540625.db2.gz IUASFGCVBPIYLJ-JPOQUURFSA-N 0 3 229.751 2.632 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](CO)C2CCCC2)o1 ZINC000353480993 388542088 /nfs/dbraw/zinc/54/20/88/388542088.db2.gz JSIWDKOAYKQUHN-WCQYABFASA-N 0 3 237.343 2.790 20 0 BFADHN Cc1nnc(CCN[C@@H](C)c2ccccc2)s1 ZINC000353577448 388542714 /nfs/dbraw/zinc/54/27/14/388542714.db2.gz BVGKKSWDCJWTLS-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN CC(C)n1nccc1CN[C@H](C)CC(F)(F)F ZINC000354655819 388544570 /nfs/dbraw/zinc/54/45/70/388544570.db2.gz WTVBKGJDIBFFCX-SECBINFHSA-N 0 3 249.280 2.895 20 0 BFADHN CC(=O)CS[C@H]1CCN(Cc2ccccc2)C1 ZINC000517323323 388546911 /nfs/dbraw/zinc/54/69/11/388546911.db2.gz UBRVVLSKXJOPRK-AWEZNQCLSA-N 0 3 249.379 2.583 20 0 BFADHN CC(=O)CS[C@@H]1CCN(Cc2ccccc2)C1 ZINC000517323324 388547010 /nfs/dbraw/zinc/54/70/10/388547010.db2.gz UBRVVLSKXJOPRK-CQSZACIVSA-N 0 3 249.379 2.583 20 0 BFADHN CCC1(C)CN(Cc2ccc3[nH]cnc3c2)C1 ZINC000517314338 388547197 /nfs/dbraw/zinc/54/71/97/388547197.db2.gz FPSWDJLZOAWHKD-UHFFFAOYSA-N 0 3 229.327 2.795 20 0 BFADHN CCC1(C)CN(Cc2ccc3nc[nH]c3c2)C1 ZINC000517314338 388547198 /nfs/dbraw/zinc/54/71/98/388547198.db2.gz FPSWDJLZOAWHKD-UHFFFAOYSA-N 0 3 229.327 2.795 20 0 BFADHN C[C@H](NCc1ccccc1F)[C@H]1CCCOC1 ZINC000355777611 388547435 /nfs/dbraw/zinc/54/74/35/388547435.db2.gz SIPNMCXTSYYRCH-AAEUAGOBSA-N 0 3 237.318 2.730 20 0 BFADHN CO[C@@H](CNCc1cscc1Cl)C1CC1 ZINC000378681181 388482308 /nfs/dbraw/zinc/48/23/08/388482308.db2.gz WJOHZBPSXVUIMB-NSHDSACASA-N 0 3 245.775 2.916 20 0 BFADHN CC[C@H](CO)NCc1cc(C)cc(Cl)c1 ZINC000339339332 388483105 /nfs/dbraw/zinc/48/31/05/388483105.db2.gz GDVXVIVWYSHUER-GFCCVEGCSA-N 0 3 227.735 2.509 20 0 BFADHN OCCN(Cc1ccc2ccccc2c1)C1CC1 ZINC000194268221 388484641 /nfs/dbraw/zinc/48/46/41/388484641.db2.gz WZGUXONOEZEMGH-UHFFFAOYSA-N 0 3 241.334 2.797 20 0 BFADHN CCOc1ccccc1CNCC(C)(C)OC ZINC000135231404 388485508 /nfs/dbraw/zinc/48/55/08/388485508.db2.gz SHHAULMKYRJSRV-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN COc1ccc(F)c(CNC2CC=CC2)c1 ZINC000378718090 388485654 /nfs/dbraw/zinc/48/56/54/388485654.db2.gz DBSNTMYNVPUHJE-UHFFFAOYSA-N 0 3 221.275 2.643 20 0 BFADHN CC[C@H](NCc1cc(C)no1)[C@@H]1CC1(C)C ZINC000397676709 388489674 /nfs/dbraw/zinc/48/96/74/388489674.db2.gz RZIKODKKQULXIG-RYUDHWBXSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ccoc1CN[C@H](C)[C@]1(C)CCCO1 ZINC000340387434 388490114 /nfs/dbraw/zinc/49/01/14/388490114.db2.gz DXRKKEXFLZLEII-YPMHNXCESA-N 0 3 223.316 2.635 20 0 BFADHN COc1ccc([C@@H](C)NCC2=CCOCC2)cc1 ZINC000397690376 388490423 /nfs/dbraw/zinc/49/04/23/388490423.db2.gz AFJWLFAXUCWTPR-GFCCVEGCSA-N 0 3 247.338 2.693 20 0 BFADHN CC[C@H](N[C@H](C)c1csc(C)n1)C(C)(C)O ZINC000340497142 388491884 /nfs/dbraw/zinc/49/18/84/388491884.db2.gz HRBIQFVXURWIHK-KCJUWKMLSA-N 0 3 242.388 2.652 20 0 BFADHN CC[C@H](C)CN(CC)CC(=O)Nc1ccccc1 ZINC000177853778 388492182 /nfs/dbraw/zinc/49/21/82/388492182.db2.gz VLCNHRWXAAKXBY-ZDUSSCGKSA-N 0 3 248.370 2.993 20 0 BFADHN C[C@@H](NCC1=CCOCC1)c1ccsc1 ZINC000397727588 388494548 /nfs/dbraw/zinc/49/45/48/388494548.db2.gz AQTQIAWWYNAYNU-SNVBAGLBSA-N 0 3 223.341 2.745 20 0 BFADHN C[C@@H](NCC1=CCOCC1)c1cccc(F)c1 ZINC000397746703 388495550 /nfs/dbraw/zinc/49/55/50/388495550.db2.gz ZEOINAZAIKLWCZ-LLVKDONJSA-N 0 3 235.302 2.823 20 0 BFADHN CCc1ccc(CNC2(CC)CCOCC2)o1 ZINC000341718938 388496720 /nfs/dbraw/zinc/49/67/20/388496720.db2.gz PTJSHOKKRGCWBE-UHFFFAOYSA-N 0 3 237.343 2.891 20 0 BFADHN C/C(Cl)=C\CN1CC2(CCC2)[C@@H]2COC[C@H]21 ZINC000528521705 388499823 /nfs/dbraw/zinc/49/98/23/388499823.db2.gz QEBUPOMEVOEEOK-IPANGIIDSA-N 0 3 241.762 2.630 20 0 BFADHN CC[C@@]1(C)CN(Cc2ccccc2C)CCO1 ZINC000135599940 388503169 /nfs/dbraw/zinc/50/31/69/388503169.db2.gz WFUOREYDYQVCHB-HNNXBMFYSA-N 0 3 233.355 2.996 20 0 BFADHN C[C@@H](CCO)CCNCc1ccsc1Cl ZINC000397826511 388503558 /nfs/dbraw/zinc/50/35/58/388503558.db2.gz JEJNBXIPBFMDHH-SECBINFHSA-N 0 3 247.791 2.900 20 0 BFADHN Clc1ccsc1CNCC1=CCOCC1 ZINC000397841267 388504422 /nfs/dbraw/zinc/50/44/22/388504422.db2.gz OMGMHRJVCWTIAL-UHFFFAOYSA-N 0 3 243.759 2.838 20 0 BFADHN CC[C@H]1CCC[C@@H](CNCc2ccn(C)n2)C1 ZINC000344339563 388505740 /nfs/dbraw/zinc/50/57/40/388505740.db2.gz YEXILJKQHOJPSI-QWHCGFSZSA-N 0 3 235.375 2.726 20 0 BFADHN CC[C@@H](NCc1cnc(C)o1)C1CCC1 ZINC000397900566 388509648 /nfs/dbraw/zinc/50/96/48/388509648.db2.gz WMGAIMAIMSXFQQ-GFCCVEGCSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1ncc(CN[C@H](C)CCC(C)C)o1 ZINC000397907456 388511356 /nfs/dbraw/zinc/51/13/56/388511356.db2.gz FXKRHLRUWZCJPW-SNVBAGLBSA-N 0 3 210.321 2.897 20 0 BFADHN CCC[C@H](C)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000345349623 388511956 /nfs/dbraw/zinc/51/19/56/388511956.db2.gz HHQUZQCHWHFKKF-AXFHLTTASA-N 0 3 207.321 2.667 20 0 BFADHN C[C@@H]1C[C@H](C)N1CCOc1ccc(F)cc1 ZINC000548098698 388512019 /nfs/dbraw/zinc/51/20/19/388512019.db2.gz YIKPQNZUFDXVPQ-PHIMTYICSA-N 0 3 223.291 2.687 20 0 BFADHN CC(C)CCCN[C@H]1C[C@@H](C)n2ccnc21 ZINC000345345049 388512054 /nfs/dbraw/zinc/51/20/54/388512054.db2.gz BOXKHCRPQMAXKF-NEPJUHHUSA-N 0 3 221.348 2.915 20 0 BFADHN C[C@@H]1C[C@H](NC(C2CC2)C2CC2)c2nccn21 ZINC000345342806 388512107 /nfs/dbraw/zinc/51/21/07/388512107.db2.gz GHXCAPZRVJYTIH-SKDRFNHKSA-N 0 3 231.343 2.667 20 0 BFADHN C/C(Cl)=C/CN1CCOC[C@@H](C2CCC2)C1 ZINC000528512633 388512824 /nfs/dbraw/zinc/51/28/24/388512824.db2.gz MDJSYDHEPYZXSG-PTJCHJMSSA-N 0 3 243.778 2.878 20 0 BFADHN CCc1nc(CN(C(CC)CC)C2CC2)n[nH]1 ZINC000548113929 388513027 /nfs/dbraw/zinc/51/30/27/388513027.db2.gz UUUCHXCSFCSYBT-UHFFFAOYSA-N 0 3 236.363 2.520 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCC2(C)C)c2nccn21 ZINC000345357598 388513882 /nfs/dbraw/zinc/51/38/82/388513882.db2.gz PDGCAAJFPHVOBY-OUAUKWLOSA-N 0 3 219.332 2.667 20 0 BFADHN Cc1nocc1CN[C@@H](C)CC(C)(C)C ZINC000398031650 388515734 /nfs/dbraw/zinc/51/57/34/388515734.db2.gz PZYQIMAAAUFYMU-VIFPVBQESA-N 0 3 210.321 2.897 20 0 BFADHN Cc1ccc(CN2CC[C@@H](OC(C)C)C2)c(C)n1 ZINC000516765985 388515985 /nfs/dbraw/zinc/51/59/85/388515985.db2.gz LAPNWQABHKMPQB-OAHLLOKOSA-N 0 3 248.370 2.698 20 0 BFADHN CCN(Cc1cc(CO)ccc1F)C1CCC1 ZINC000528385309 388519074 /nfs/dbraw/zinc/51/90/74/388519074.db2.gz AQIVMAMZHYSCDJ-UHFFFAOYSA-N 0 3 237.318 2.692 20 0 BFADHN CCc1cc(CN[C@H](CC)[C@H]2C[C@H]2C)on1 ZINC000516854407 388520953 /nfs/dbraw/zinc/52/09/53/388520953.db2.gz CMIMPTKSCGIDHH-JIMOISOXSA-N 0 3 222.332 2.761 20 0 BFADHN C/C(Cl)=C/CN1CCC[C@@H]2COCC[C@@H]21 ZINC000528404289 388520994 /nfs/dbraw/zinc/52/09/94/388520994.db2.gz NXCSHAXIUZGHQK-JUTKWBCOSA-N 0 3 229.751 2.630 20 0 BFADHN C/C(Cl)=C/CN1CCC[C@@H](c2ncc[nH]2)C1 ZINC000528414946 388521389 /nfs/dbraw/zinc/52/13/89/388521389.db2.gz ADCPBBLAMVJIBU-NEOSZVFXSA-N 0 3 239.750 2.732 20 0 BFADHN CCc1cccc(C)c1NC(=O)[C@@H](C)NC1CC1 ZINC000347200159 388522421 /nfs/dbraw/zinc/52/24/21/388522421.db2.gz KXLQXZWOVRPXHB-LLVKDONJSA-N 0 3 246.354 2.636 20 0 BFADHN COc1ccc(OC)c(CNC2CC(C)(C)C2)c1 ZINC000347424076 388523149 /nfs/dbraw/zinc/52/31/49/388523149.db2.gz ZYLLDTXYYQNAMO-UHFFFAOYSA-N 0 3 249.354 2.982 20 0 BFADHN OCc1ccc(F)c(CN2CC[C@H](C3CC3)C2)c1 ZINC000528428670 388523844 /nfs/dbraw/zinc/52/38/44/388523844.db2.gz DJYIUWXQUFCSSO-ZDUSSCGKSA-N 0 3 249.329 2.550 20 0 BFADHN C/C(Cl)=C/CN1CC[C@H](C2CCOCC2)C1 ZINC000528427586 388523968 /nfs/dbraw/zinc/52/39/68/388523968.db2.gz PQSYETIGFBRLEL-JKHNVCNISA-N 0 3 243.778 2.878 20 0 BFADHN C/C(Cl)=C\CN1CC[C@@H](C2CCOCC2)C1 ZINC000528427589 388524218 /nfs/dbraw/zinc/52/42/18/388524218.db2.gz PQSYETIGFBRLEL-PQXKNHFLSA-N 0 3 243.778 2.878 20 0 BFADHN Cc1ccc(CNCCCc2nccs2)nc1 ZINC000347833395 388524824 /nfs/dbraw/zinc/52/48/24/388524824.db2.gz PBXIYNUYLNNWPF-UHFFFAOYSA-N 0 3 247.367 2.569 20 0 BFADHN C/C(Cl)=C/CN[C@]1(C)CCO[C@@H]1C1CC1 ZINC000528442036 388525750 /nfs/dbraw/zinc/52/57/50/388525750.db2.gz PJTLLLKHNAWIAA-WZFVEOJDSA-N 0 3 229.751 2.676 20 0 BFADHN CO[C@@H](CN1CC=CCC1)c1ccc(F)cc1 ZINC000528440749 388526232 /nfs/dbraw/zinc/52/62/32/388526232.db2.gz RUZXHQXENKXMDH-AWEZNQCLSA-N 0 3 235.302 2.775 20 0 BFADHN C/C(Cl)=C\CN1CC[C@H](C(C)(C)C)[C@H](O)C1 ZINC000528437465 388526242 /nfs/dbraw/zinc/52/62/42/388526242.db2.gz HJVFNTTXQWIFRQ-RGEGJOEESA-N 0 3 245.794 2.858 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](CO)C(C)C)c(C)o1 ZINC000348255896 388528065 /nfs/dbraw/zinc/52/80/65/388528065.db2.gz LESKLHRSNFFGGE-WCQYABFASA-N 0 3 239.359 2.812 20 0 BFADHN C[C@@H]1CN(C/C=C\c2ccc(F)cc2)CC[C@@H]1O ZINC000548317850 388528078 /nfs/dbraw/zinc/52/80/78/388528078.db2.gz KVOHWERMEUJZLG-IZIWTHDTSA-N 0 3 249.329 2.542 20 0 BFADHN CC(C)C(CN(C)Cc1cnn(C)c1)C(C)C ZINC000517037409 388534601 /nfs/dbraw/zinc/53/46/01/388534601.db2.gz PEOQKNZIKOPKCI-UHFFFAOYSA-N 0 3 237.391 2.780 20 0 BFADHN CCCCN1CCc2nc(C(C)(C)C)ncc2C1 ZINC000528590579 388550345 /nfs/dbraw/zinc/55/03/45/388550345.db2.gz DXGMCIGHLAKLPN-UHFFFAOYSA-N 0 3 247.386 2.932 20 0 BFADHN CC[C@@H]1CN(C/C=C(\C)Cl)CCCO1 ZINC000528495436 388535820 /nfs/dbraw/zinc/53/58/20/388535820.db2.gz UTIDBDBOSRTHNQ-IGLBNKAOSA-N 0 3 217.740 2.630 20 0 BFADHN C/C(Cl)=C\CN(C(C)C)[C@@H]1CCOC1 ZINC000528506423 388536806 /nfs/dbraw/zinc/53/68/06/388536806.db2.gz CYRVSZMGKZGRER-LLMHMKPQSA-N 0 3 217.740 2.628 20 0 BFADHN C/C(Cl)=C/CN(C(C)C)[C@H]1CCOC1 ZINC000528506417 388536897 /nfs/dbraw/zinc/53/68/97/388536897.db2.gz CYRVSZMGKZGRER-FGUAACIASA-N 0 3 217.740 2.628 20 0 BFADHN CCc1cc(N(C)CCOC(C)(C)C)ccn1 ZINC000649304074 388587250 /nfs/dbraw/zinc/58/72/50/388587250.db2.gz XMJUPKGMUJXTGH-UHFFFAOYSA-N 0 3 236.359 2.895 20 0 BFADHN COc1ccnc(NCc2cc(O)cc(F)c2)c1 ZINC000185611482 388589159 /nfs/dbraw/zinc/58/91/59/388589159.db2.gz WFKPXURNCJQAKT-UHFFFAOYSA-N 0 3 248.257 2.547 20 0 BFADHN Cn1c2ccccc2nc1C(C)(C)NCC1CC1 ZINC000185641349 388589183 /nfs/dbraw/zinc/58/91/83/388589183.db2.gz FYWUKJVYZAQADU-UHFFFAOYSA-N 0 3 243.354 2.808 20 0 BFADHN CCc1nocc1CNCC(C1CC1)C1CC1 ZINC000549764886 388590294 /nfs/dbraw/zinc/59/02/94/388590294.db2.gz WVPLMHFKTLALEM-UHFFFAOYSA-N 0 3 234.343 2.763 20 0 BFADHN CC(F)(F)CCNCc1cccc(F)c1N ZINC000379217688 388597328 /nfs/dbraw/zinc/59/73/28/388597328.db2.gz IDTLYSNPHZSIOE-UHFFFAOYSA-N 0 3 232.249 2.543 20 0 BFADHN COc1cccc(CN2CC[C@H](C)[C@H](C)C2)n1 ZINC000245939521 388611298 /nfs/dbraw/zinc/61/12/98/388611298.db2.gz QPJFWCYYNVRIFS-NWDGAFQWSA-N 0 3 234.343 2.568 20 0 BFADHN C[C@@H]1CN([C@H]2C=CCCCCC2)C[C@H](C)O1 ZINC000550671566 388613334 /nfs/dbraw/zinc/61/33/34/388613334.db2.gz IDOBYXYFQBSVQG-MJBXVCDLSA-N 0 3 223.360 2.985 20 0 BFADHN CC[C@](C)(O)CN[C@H](c1ccccn1)C1CCC1 ZINC000528655228 388555380 /nfs/dbraw/zinc/55/53/80/388555380.db2.gz DFKKKBXUJFFCPH-GJZGRUSLSA-N 0 3 248.370 2.673 20 0 BFADHN CCc1ccc(CNC[C@@H](OC)[C@@H](C)CC)o1 ZINC000362977105 388563108 /nfs/dbraw/zinc/56/31/08/388563108.db2.gz ORUVRAWMCWUGKI-SMDDNHRTSA-N 0 3 239.359 2.993 20 0 BFADHN c1nc(C2CC2)nc2c1CN(CCC1CC1)CC2 ZINC000528734955 388563868 /nfs/dbraw/zinc/56/38/68/388563868.db2.gz TYGCEWFOGOUJLR-UHFFFAOYSA-N 0 3 243.354 2.512 20 0 BFADHN CCn1cc(CNCC(C)(C)C(F)(F)F)cn1 ZINC000548859611 388566060 /nfs/dbraw/zinc/56/60/60/388566060.db2.gz HVGQVQVEDCJMJT-UHFFFAOYSA-N 0 3 249.280 2.581 20 0 BFADHN COc1cc(C)nc(CNC[C@H](C)C(C)C)c1 ZINC000214090853 388566688 /nfs/dbraw/zinc/56/66/88/388566688.db2.gz NJXHWEIIIVQQJM-NSHDSACASA-N 0 3 236.359 2.780 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1N[C@@H]1CCSC1 ZINC000368144442 388568636 /nfs/dbraw/zinc/56/86/36/388568636.db2.gz ZDFWZIPVLOSOFI-OPQQBVKSSA-N 0 3 235.352 2.604 20 0 BFADHN CC1(CN2CCC[C@@H]2c2ccc(=O)[nH]n2)CCC1 ZINC000528790218 388573780 /nfs/dbraw/zinc/57/37/80/388573780.db2.gz VLGHHWQDFCUZCB-GFCCVEGCSA-N 0 3 247.342 2.509 20 0 BFADHN Cc1cncc([C@@H](C)NCCc2cscn2)c1 ZINC000184394078 388576911 /nfs/dbraw/zinc/57/69/11/388576911.db2.gz LKVLCAGGKCPUJJ-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN C[C@H](NCC1CCC1)c1ncccc1F ZINC000528833507 388577580 /nfs/dbraw/zinc/57/75/80/388577580.db2.gz XZAXANDHXKMSNW-VIFPVBQESA-N 0 3 208.280 2.671 20 0 BFADHN CC[C@H](N[C@@H](C)c1cncc(C)c1)[C@@H]1CCCO1 ZINC000184422263 388577826 /nfs/dbraw/zinc/57/78/26/388577826.db2.gz BZNMDCZPZMBXEH-QEJZJMRPSA-N 0 3 248.370 2.998 20 0 BFADHN CC/C=C/CCN1CCc2ccccc2[C@H]1CO ZINC000184672671 388580799 /nfs/dbraw/zinc/58/07/99/388580799.db2.gz WHCBEGBDRYZFRT-QDLOVBKTSA-N 0 3 245.366 2.934 20 0 BFADHN CC[C@@H](NCc1ccc(Cl)s1)[C@H](C)O ZINC000387443008 388581668 /nfs/dbraw/zinc/58/16/68/388581668.db2.gz PTCSVFGSDBHNLN-IONNQARKSA-N 0 3 233.764 2.651 20 0 BFADHN OCC[C@H](NCCc1ccccc1)c1ccco1 ZINC000185094407 388584825 /nfs/dbraw/zinc/58/48/25/388584825.db2.gz CUASKPDXPZBNAV-AWEZNQCLSA-N 0 3 245.322 2.535 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CCO[C@@H](C)C1 ZINC000552119963 388670840 /nfs/dbraw/zinc/67/08/40/388670840.db2.gz KTYUSGSMKCYBHX-AGIUHOORSA-N 0 3 211.349 2.533 20 0 BFADHN Fc1cc(F)cc(CN[C@@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000522013535 388675068 /nfs/dbraw/zinc/67/50/68/388675068.db2.gz HCUXHWPXTGQWHY-CLLJXQQHSA-N 0 3 223.266 2.853 20 0 BFADHN CCc1ccc(CNCCOCC2CCC2)cn1 ZINC000552160110 388675056 /nfs/dbraw/zinc/67/50/56/388675056.db2.gz BVUFITICMBMRQW-UHFFFAOYSA-N 0 3 248.370 2.550 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H]1C)c1cscn1 ZINC000161591101 388678278 /nfs/dbraw/zinc/67/82/78/388678278.db2.gz ICLWUDPZOXEHOT-LPEHRKFASA-N 0 3 210.346 2.982 20 0 BFADHN Cc1noc(C)c1CNCC1(C2CC2)CC1 ZINC000125833868 388679432 /nfs/dbraw/zinc/67/94/32/388679432.db2.gz FRSCLKXTFKYYII-UHFFFAOYSA-N 0 3 220.316 2.571 20 0 BFADHN Cc1ccc(CNCC2(C3CC3)CC2)nc1 ZINC000125846554 388679610 /nfs/dbraw/zinc/67/96/10/388679610.db2.gz MAMUXCFVOZGOIK-UHFFFAOYSA-N 0 3 216.328 2.670 20 0 BFADHN CC[C@H]1CCN(Cc2ncc(C)c(OC)c2C)C1 ZINC000125899977 388681403 /nfs/dbraw/zinc/68/14/03/388681403.db2.gz YJFKRXCWWBZMAY-ZDUSSCGKSA-N 0 3 248.370 2.939 20 0 BFADHN Cn1cnc2cc(CN3CCC3(C)C)ccc21 ZINC000538282244 388682518 /nfs/dbraw/zinc/68/25/18/388682518.db2.gz HOFYBJFLFMHTLH-UHFFFAOYSA-N 0 3 229.327 2.558 20 0 BFADHN COc1ccccc1/C=C/CN[C@@H](C)[C@@H](C)OC ZINC000189103606 388621691 /nfs/dbraw/zinc/62/16/91/388621691.db2.gz BBTVLLYTWCGZON-BPDPDCLSSA-N 0 3 249.354 2.721 20 0 BFADHN C[C@@H](Cc1ccsc1)N(C)C[C@H]1CCCO1 ZINC000246224505 388624585 /nfs/dbraw/zinc/62/45/85/388624585.db2.gz PNXAABBHQHBYFE-WCQYABFASA-N 0 3 239.384 2.790 20 0 BFADHN COC[C@H](C)N[C@@H]1CCc2c1cc(F)cc2F ZINC000154506134 388625202 /nfs/dbraw/zinc/62/52/02/388625202.db2.gz CAKISAOKIPKLLZ-ISVAXAHUSA-N 0 3 241.281 2.577 20 0 BFADHN c1coc(CNC[C@H]2CCCSC2)c1 ZINC000288476987 388628605 /nfs/dbraw/zinc/62/86/05/388628605.db2.gz PKAGETQFDGKYPQ-SNVBAGLBSA-N 0 3 211.330 2.512 20 0 BFADHN CCC[C@@H]1CCCCN1CC(=O)N[C@H](C)CC ZINC000248618535 388686706 /nfs/dbraw/zinc/68/67/06/388686706.db2.gz SKBDJQVITVGFKV-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN COC[C@H](N[C@H](C)c1ccco1)C(C)C ZINC000083398186 388639121 /nfs/dbraw/zinc/63/91/21/388639121.db2.gz JIYZTWDYZGKIEN-MNOVXSKESA-N 0 3 211.305 2.601 20 0 BFADHN CCc1ccc(CNC[C@H]2CCC(C)(C)O2)o1 ZINC000189721722 388641513 /nfs/dbraw/zinc/64/15/13/388641513.db2.gz QQCTZISZSUBYMW-CYBMUJFWSA-N 0 3 237.343 2.889 20 0 BFADHN Cc1cscc1CN[C@@H]1CCOC1(C)C ZINC000328402614 388642544 /nfs/dbraw/zinc/64/25/44/388642544.db2.gz DZBRZIVTXFWTLL-LLVKDONJSA-N 0 3 225.357 2.714 20 0 BFADHN Fc1ccccc1NCCCNCC1(F)CC1 ZINC000530322853 388649552 /nfs/dbraw/zinc/64/95/52/388649552.db2.gz RJEIZBFNWMJXIH-UHFFFAOYSA-N 0 3 240.297 2.719 20 0 BFADHN CCCN(Cc1nnc(-c2ccco2)o1)C(C)C ZINC000551964816 388649764 /nfs/dbraw/zinc/64/97/64/388649764.db2.gz OAELMKNLYNCHME-UHFFFAOYSA-N 0 3 249.314 2.950 20 0 BFADHN c1cc(CNC[C@@H]2Cc3ccccc3O2)cs1 ZINC000037986409 388650356 /nfs/dbraw/zinc/65/03/56/388650356.db2.gz AAXAKHUQQUUAIV-ZDUSSCGKSA-N 0 3 245.347 2.841 20 0 BFADHN Cc1ccc(CNC[C@H]2Cc3ccccc3O2)o1 ZINC000037986421 388651281 /nfs/dbraw/zinc/65/12/81/388651281.db2.gz RVXRMZIDNXLALJ-CQSZACIVSA-N 0 3 243.306 2.681 20 0 BFADHN Cc1ncccc1CN1CCS[C@@H](C)CC1 ZINC000190694859 388655732 /nfs/dbraw/zinc/65/57/32/388655732.db2.gz KDNSHHSIOIZKMY-NSHDSACASA-N 0 3 236.384 2.717 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN2CCCC2)o1 ZINC000123934519 388659241 /nfs/dbraw/zinc/65/92/41/388659241.db2.gz UPIPAJBMAMETNU-CMPLNLGQSA-N 0 3 205.301 2.999 20 0 BFADHN C[C@H]1CCCN(CCOCC(F)(F)F)[C@H]1C ZINC000247122569 388660089 /nfs/dbraw/zinc/66/00/89/388660089.db2.gz YWVTVVPJBFGNSH-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H]1CC(O)C[C@@H](C)N1Cc1cccc(F)c1 ZINC000191268386 388661875 /nfs/dbraw/zinc/66/18/75/388661875.db2.gz ZKUHVYIYRCPKMF-GHMZBOCLSA-N 0 3 237.318 2.559 20 0 BFADHN COC[C@@H](C)CN(C)Cc1ccc(F)cc1F ZINC000084864538 388662421 /nfs/dbraw/zinc/66/24/21/388662421.db2.gz UCBILVRBWRXYMX-JTQLQIEISA-N 0 3 243.297 2.679 20 0 BFADHN Clc1cccc(CNCC2=CCCOC2)c1 ZINC000124617432 388664375 /nfs/dbraw/zinc/66/43/75/388664375.db2.gz DZRQZJWSKZWULB-UHFFFAOYSA-N 0 3 237.730 2.776 20 0 BFADHN Cc1nc(CCN[C@H](C)c2ccoc2)c(C)o1 ZINC000538986078 388743549 /nfs/dbraw/zinc/74/35/49/388743549.db2.gz NRAGHPDIGRAUKS-SECBINFHSA-N 0 3 234.299 2.778 20 0 BFADHN CCCCN(CC(=O)NC)[C@H](C)c1ccccc1 ZINC000128279111 388744586 /nfs/dbraw/zinc/74/45/86/388744586.db2.gz QWCCVTVANYUTCQ-CYBMUJFWSA-N 0 3 248.370 2.596 20 0 BFADHN CN(Cc1cccc2c1OCCO2)C1CCCC1 ZINC000194960714 388746676 /nfs/dbraw/zinc/74/66/76/388746676.db2.gz SZGRJBKRRGKPLS-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN CC[C@@H](NCCc1ccc(C)o1)c1nccn1C ZINC000539307689 388752478 /nfs/dbraw/zinc/75/24/78/388752478.db2.gz VHGMPVMQQBSVKZ-CYBMUJFWSA-N 0 3 247.342 2.605 20 0 BFADHN COc1ncccc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000248740138 388691687 /nfs/dbraw/zinc/69/16/87/388691687.db2.gz QECAEDBKISCAQQ-GXTWGEPZSA-N 0 3 246.354 2.855 20 0 BFADHN CCO[C@H](CN[C@H]1CCO[C@H]1C)c1ccccc1 ZINC000248749483 388691898 /nfs/dbraw/zinc/69/18/98/388691898.db2.gz BRQPORGESATLGU-AEGPPILISA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccccc1OC ZINC000195003142 388692910 /nfs/dbraw/zinc/69/29/10/388692910.db2.gz BQURCLREJYZZTB-LLVKDONJSA-N 0 3 207.317 2.926 20 0 BFADHN COc1ccc(CN[C@@H](C)CC(F)(F)F)cn1 ZINC000126480018 388695994 /nfs/dbraw/zinc/69/59/94/388695994.db2.gz FMAOWYUIQDUINT-QMMMGPOBSA-N 0 3 248.248 2.521 20 0 BFADHN Cc1cc2cc(CNCCCCO)oc2cc1C ZINC000193251478 388696944 /nfs/dbraw/zinc/69/69/44/388696944.db2.gz TYUGWPLXZLUCEL-UHFFFAOYSA-N 0 3 247.338 2.912 20 0 BFADHN COCC1(N[C@H](C)c2ccccc2N(C)C)CC1 ZINC000538549751 388701422 /nfs/dbraw/zinc/70/14/22/388701422.db2.gz JLLOQSSWPHKDHW-GFCCVEGCSA-N 0 3 248.370 2.582 20 0 BFADHN COc1ncccc1CN1[C@H](C)CCC[C@@H]1C ZINC000193342103 388701501 /nfs/dbraw/zinc/70/15/01/388701501.db2.gz WCOFGDLDYLCZFW-TXEJJXNPSA-N 0 3 234.343 2.853 20 0 BFADHN COCC1(N[C@@H](C)c2ccccc2N(C)C)CC1 ZINC000538549752 388701618 /nfs/dbraw/zinc/70/16/18/388701618.db2.gz JLLOQSSWPHKDHW-LBPRGKRZSA-N 0 3 248.370 2.582 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cccnc1OC ZINC000193370263 388702255 /nfs/dbraw/zinc/70/22/55/388702255.db2.gz XCKPWJYHYPHMKM-LBPRGKRZSA-N 0 3 236.359 2.958 20 0 BFADHN COc1ncccc1CN(C)[C@@H](C)C1(C)CC1 ZINC000193381654 388702324 /nfs/dbraw/zinc/70/23/24/388702324.db2.gz HPLJIKLEMCJAKS-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN CCc1nc(CN[C@H](C)C2(C)CC2)cs1 ZINC000126965649 388709607 /nfs/dbraw/zinc/70/96/07/388709607.db2.gz WKSFDJCJEJLJAH-SECBINFHSA-N 0 3 224.373 2.984 20 0 BFADHN CCn1ccnc1CN(C)C(C1CC1)C1CC1 ZINC000195515450 388711553 /nfs/dbraw/zinc/71/15/53/388711553.db2.gz ZACFUKTWHAUGSJ-UHFFFAOYSA-N 0 3 233.359 2.523 20 0 BFADHN Cn1ccnc1CN1CCC[C@@H]1C1CCCC1 ZINC000195515082 388711649 /nfs/dbraw/zinc/71/16/49/388711649.db2.gz KUCCOTVLDCHRDP-CYBMUJFWSA-N 0 3 233.359 2.575 20 0 BFADHN Cc1oncc1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000249284477 388715661 /nfs/dbraw/zinc/71/56/61/388715661.db2.gz OUGDGRHWOGTFDH-ZWNOBZJWSA-N 0 3 222.332 2.994 20 0 BFADHN CCCC(=O)N(CCN(C)C)C1CCCCC1 ZINC000193789779 388716320 /nfs/dbraw/zinc/71/63/20/388716320.db2.gz VUPOXLIUHXGZQU-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@H](c1ccccc1)N(C)CCn1cccn1 ZINC000066411393 388717042 /nfs/dbraw/zinc/71/70/42/388717042.db2.gz NAGHVZAHJPLMPO-CYBMUJFWSA-N 0 3 229.327 2.576 20 0 BFADHN CCn1ccnc1CN[C@H]1CCC[C@H](C)CC1 ZINC000227309847 388756688 /nfs/dbraw/zinc/75/66/88/388756688.db2.gz LARWCJBWZGIFOT-STQMWFEESA-N 0 3 235.375 2.961 20 0 BFADHN CCN(CC(=O)Nc1cccc(C)c1)C(C)C ZINC000194095944 388722842 /nfs/dbraw/zinc/72/28/42/388722842.db2.gz UDFBPFFOIMNPOQ-UHFFFAOYSA-N 0 3 234.343 2.664 20 0 BFADHN CCCN(C)Cc1cc(OC)cc2c1O[C@H](C)C2 ZINC000127519981 388725565 /nfs/dbraw/zinc/72/55/65/388725565.db2.gz JVUOFERNYBXNMX-LLVKDONJSA-N 0 3 249.354 2.860 20 0 BFADHN CCCCN(CCO)Cc1cc(Cl)cs1 ZINC000194301592 388728705 /nfs/dbraw/zinc/72/87/05/388728705.db2.gz LVJYADGVNQZMKF-UHFFFAOYSA-N 0 3 247.791 2.996 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)C[C@H](C)C2)nc1 ZINC000127693463 388729862 /nfs/dbraw/zinc/72/98/62/388729862.db2.gz QUKYCHKQHCLRSH-STQMWFEESA-N 0 3 218.344 2.868 20 0 BFADHN Cc1ccc(CN2C[C@H](C)C[C@H](C)C2)nc1 ZINC000127693240 388730123 /nfs/dbraw/zinc/73/01/23/388730123.db2.gz QUKYCHKQHCLRSH-BETUJISGSA-N 0 3 218.344 2.868 20 0 BFADHN CN(Cc1cnn(C(C)(C)C)c1)CC1CCC1 ZINC000127800269 388731822 /nfs/dbraw/zinc/73/18/22/388731822.db2.gz GPQCYNUOFHNEGF-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN C[C@@H]1[C@H](C)N(C/C=C\c2ccccc2)CCN1C ZINC000540052399 388792927 /nfs/dbraw/zinc/79/29/27/388792927.db2.gz BRPULPJJEJXDSE-FZXWVIKQSA-N 0 3 244.382 2.724 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1ccno1 ZINC000229592891 388797024 /nfs/dbraw/zinc/79/70/24/388797024.db2.gz RZQHTWAKEZLPNE-CMPLNLGQSA-N 0 3 208.305 2.733 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cnoc1C ZINC000128831456 388798080 /nfs/dbraw/zinc/79/80/80/388798080.db2.gz OUXVSMOFQUJBHY-SNVBAGLBSA-N 0 3 210.321 2.851 20 0 BFADHN CCc1ccc(CNC2C[C@@H](C)O[C@H](C)C2)o1 ZINC000128849263 388799813 /nfs/dbraw/zinc/79/98/13/388799813.db2.gz YGYVDFUQYVGYRB-GHMZBOCLSA-N 0 3 237.343 2.888 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](CO)c1ccccc1OC ZINC000554113267 388801887 /nfs/dbraw/zinc/80/18/87/388801887.db2.gz SHUVGHXSRLQRBM-XBFCOCLRSA-N 0 3 249.354 2.507 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1O)c1ccc(F)c(F)c1 ZINC000193205011 388804777 /nfs/dbraw/zinc/80/47/77/388804777.db2.gz JNNPWLWXKSPSMO-HJIKLVIJSA-N 0 3 241.281 2.529 20 0 BFADHN Cc1oncc1CN(CC1CC1)CC1CC1 ZINC000129078306 388814284 /nfs/dbraw/zinc/81/42/84/388814284.db2.gz YGEXOMAEXCQPMN-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H](C)[C@@H](C)OC ZINC000128461333 388761558 /nfs/dbraw/zinc/76/15/58/388761558.db2.gz UHJPPLTUHNKPDV-QJPTWQEYSA-N 0 3 237.343 2.769 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cncs1 ZINC000334352980 135369510 /nfs/dbraw/zinc/36/95/10/135369510.db2.gz IETASDYBVWQMHV-VHSXEESVSA-N 0 3 210.346 2.764 20 0 BFADHN Cc1oncc1CN1CCCC[C@H]1C(C)C ZINC000128667514 388783272 /nfs/dbraw/zinc/78/32/72/388783272.db2.gz LIPCINOHLYLHFG-ZDUSSCGKSA-N 0 3 222.332 2.994 20 0 BFADHN CC1(C)CCN1Cc1ccc2[nH]cnc2c1 ZINC000539835160 388783317 /nfs/dbraw/zinc/78/33/17/388783317.db2.gz VTOVWYVVSADEIR-UHFFFAOYSA-N 0 3 215.300 2.547 20 0 BFADHN CC1(C)CCN1Cc1ccc2nc[nH]c2c1 ZINC000539835160 388783322 /nfs/dbraw/zinc/78/33/22/388783322.db2.gz VTOVWYVVSADEIR-UHFFFAOYSA-N 0 3 215.300 2.547 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cnoc2C)C1 ZINC000128747476 388791142 /nfs/dbraw/zinc/79/11/42/388791142.db2.gz UCMBAHIAKREJDW-CYBMUJFWSA-N 0 3 222.332 2.995 20 0 BFADHN CCOC(=O)[C@H](CC)N1CC[C@@H](C(C)(C)C)C1 ZINC000230141997 388815838 /nfs/dbraw/zinc/81/58/38/388815838.db2.gz UDARWFNYPSDXQX-NEPJUHHUSA-N 0 3 241.375 2.696 20 0 BFADHN CCc1cnc(CNCCCCSC)s1 ZINC000230143332 388815902 /nfs/dbraw/zinc/81/59/02/388815902.db2.gz WRTCRUYYJUWODV-UHFFFAOYSA-N 0 3 244.429 2.938 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1ccc(Cl)cn1 ZINC000554494774 388816143 /nfs/dbraw/zinc/81/61/43/388816143.db2.gz YISWHUAQOPYMEH-VIFPVBQESA-N 0 3 224.735 2.965 20 0 BFADHN CCCN(C)CC(=O)N[C@H](C)CCCC(C)C ZINC000069092360 388824512 /nfs/dbraw/zinc/82/45/12/388824512.db2.gz ZTJKATUNQXJGBW-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN Cn1ccc(CN2CCc3ccccc3CC2)c1 ZINC000093385325 388836035 /nfs/dbraw/zinc/83/60/35/388836035.db2.gz PXMKPELGGUNAGW-UHFFFAOYSA-N 0 3 240.350 2.626 20 0 BFADHN CO[C@@H]1CC[C@H](N[C@@H](C)c2ccc(C)o2)C1 ZINC000231692709 388841393 /nfs/dbraw/zinc/84/13/93/388841393.db2.gz PZWKEQDTWZNYGH-SDDRHHMPSA-N 0 3 223.316 2.806 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1cc[nH]n1 ZINC000093530250 388842143 /nfs/dbraw/zinc/84/21/43/388842143.db2.gz HMKQFKHNUVIYAU-GFCCVEGCSA-N 0 3 207.321 2.564 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CCNCc1ccn(C)n1 ZINC000523691268 388852763 /nfs/dbraw/zinc/85/27/63/388852763.db2.gz MBXNCMHAGSUDNS-CHWSQXEVSA-N 0 3 235.375 2.726 20 0 BFADHN C[C@H]1CCCC[C@H]1CCNCc1ccn(C)n1 ZINC000523691271 388853279 /nfs/dbraw/zinc/85/32/79/388853279.db2.gz MBXNCMHAGSUDNS-STQMWFEESA-N 0 3 235.375 2.726 20 0 BFADHN CC(C)C[C@@H]1COCCN1CC1(C)CC1 ZINC000555595047 388855029 /nfs/dbraw/zinc/85/50/29/388855029.db2.gz DBFQGLSJWQNETH-GFCCVEGCSA-N 0 3 211.349 2.533 20 0 BFADHN COc1cccc2c1CN(C[C@H]1C[C@@H]1C)CC2 ZINC000648769877 388857150 /nfs/dbraw/zinc/85/71/50/388857150.db2.gz HCOOQHVPJNABJT-WCQYABFASA-N 0 3 231.339 2.709 20 0 BFADHN C[C@H]1CCCN(Cc2ccc3c(c2)OCCO3)C1 ZINC000129750786 388869630 /nfs/dbraw/zinc/86/96/30/388869630.db2.gz ZKZGGBDEZIUDNR-LBPRGKRZSA-N 0 3 247.338 2.690 20 0 BFADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1cn[nH]c1 ZINC000648766063 388848379 /nfs/dbraw/zinc/84/83/79/388848379.db2.gz ZMLVQPAIPPLQEW-CHWSQXEVSA-N 0 3 221.348 2.522 20 0 BFADHN CCCCOCCN(C)Cc1cccc(O)c1 ZINC000555454363 388850872 /nfs/dbraw/zinc/85/08/72/388850872.db2.gz COECIKPXIXADQE-UHFFFAOYSA-N 0 3 237.343 2.641 20 0 BFADHN C[C@@H]1CN(CC2(C)CCC2)CC2(CCC2)O1 ZINC000564930166 388899253 /nfs/dbraw/zinc/89/92/53/388899253.db2.gz STDWYDWTGLDSNK-GFCCVEGCSA-N 0 3 223.360 2.820 20 0 BFADHN CCc1cccc(CN2CC(CF)C2)c1 ZINC000565084568 388913309 /nfs/dbraw/zinc/91/33/09/388913309.db2.gz XQLKTGOYPJTRJS-UHFFFAOYSA-N 0 3 207.292 2.650 20 0 BFADHN CSCCN1CC[C@@H](c2cc(C)ccn2)C1 ZINC000565170616 388919723 /nfs/dbraw/zinc/91/97/23/388919723.db2.gz LEEDMFHUAIFOJA-GFCCVEGCSA-N 0 3 236.384 2.542 20 0 BFADHN CCC[C@H](CC)NCc1nc(C)c(C)[nH]1 ZINC000565239732 388930406 /nfs/dbraw/zinc/93/04/06/388930406.db2.gz ZUVVOPXHKUEUNN-NSHDSACASA-N 0 3 209.337 2.695 20 0 BFADHN Cn1ccc(CN[C@@H]2CCC[C@H]2C(C)(C)C)n1 ZINC000565938937 388978799 /nfs/dbraw/zinc/97/87/99/388978799.db2.gz YXDHYAGFNCJMSB-CHWSQXEVSA-N 0 3 235.375 2.725 20 0 BFADHN Clc1ncccc1CNC1CCSCC1 ZINC000264409610 388991587 /nfs/dbraw/zinc/99/15/87/388991587.db2.gz ZGNBIXXWIIQJGT-UHFFFAOYSA-N 0 3 242.775 2.720 20 0 BFADHN CC(C)c1nn(C)c(Cl)c1CNC1CCC1 ZINC000264513653 388992181 /nfs/dbraw/zinc/99/21/81/388992181.db2.gz KPDGDFZZYJTRFD-UHFFFAOYSA-N 0 3 241.766 2.839 20 0 BFADHN CO[C@H](C)CCNCc1cc(C)ccc1F ZINC000265336390 388992903 /nfs/dbraw/zinc/99/29/03/388992903.db2.gz XGKNRZIUJHVXGY-LLVKDONJSA-N 0 3 225.307 2.649 20 0 BFADHN CO[C@H](CNCc1ccccc1F)C(C)C ZINC000266621077 388996952 /nfs/dbraw/zinc/99/69/52/388996952.db2.gz LEMDIVCCZYFDNP-CYBMUJFWSA-N 0 3 225.307 2.586 20 0 BFADHN CCCCCN1CCN(CC(F)F)[C@H](CC)C1 ZINC000153412997 388971717 /nfs/dbraw/zinc/97/17/17/388971717.db2.gz OLMOVTIAEWIQJF-GFCCVEGCSA-N 0 3 248.361 2.838 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccn1)[C@@H]1CCCCO1 ZINC000271928550 389028570 /nfs/dbraw/zinc/02/85/70/389028570.db2.gz GKXVNFHCDWDDAE-SCRDCRAPSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](C)CCCCO)o1 ZINC000272311686 389030600 /nfs/dbraw/zinc/03/06/00/389030600.db2.gz RRJIMFYKASRNOZ-JQWIXIFHSA-N 0 3 225.332 2.790 20 0 BFADHN COCc1ccc(CNCC2(C(C)C)CC2)o1 ZINC000268770297 389004540 /nfs/dbraw/zinc/00/45/40/389004540.db2.gz DQIVFFLXHZGNRS-UHFFFAOYSA-N 0 3 237.343 2.952 20 0 BFADHN CO[C@H](C)CCN[C@@H](C)c1ccc(C)o1 ZINC000268807669 389004659 /nfs/dbraw/zinc/00/46/59/389004659.db2.gz CFGVLKFJJLCYBF-KOLCDFICSA-N 0 3 211.305 2.664 20 0 BFADHN Cc1ccoc1CN[C@H]1CCO[C@@H](C(C)C)C1 ZINC000271603885 389023557 /nfs/dbraw/zinc/02/35/57/389023557.db2.gz YVFSGXFXEJAHGH-QWHCGFSZSA-N 0 3 237.343 2.881 20 0 BFADHN Cc1ccoc1CN[C@H]1CCO[C@H](C(C)C)C1 ZINC000271603888 389023729 /nfs/dbraw/zinc/02/37/29/389023729.db2.gz YVFSGXFXEJAHGH-STQMWFEESA-N 0 3 237.343 2.881 20 0 BFADHN CSCCNC1(c2ccccc2)CC1 ZINC000271865540 389027280 /nfs/dbraw/zinc/02/72/80/389027280.db2.gz UWOHPEUGGZKTMP-UHFFFAOYSA-N 0 3 207.342 2.628 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1ccc(Cl)cn1 ZINC000274909452 389050151 /nfs/dbraw/zinc/05/01/51/389050151.db2.gz MVUBJRRLFURCIN-GXSJLCMTSA-N 0 3 242.750 2.811 20 0 BFADHN Cc1csc(C2(NCCCF)CCC2)n1 ZINC000275195177 389053967 /nfs/dbraw/zinc/05/39/67/389053967.db2.gz PMEYOONXWPTCOW-UHFFFAOYSA-N 0 3 228.336 2.780 20 0 BFADHN COCC[C@H](NCCC(C)C)c1ccco1 ZINC000273209384 389039929 /nfs/dbraw/zinc/03/99/29/389039929.db2.gz BKKLUBHWESKAEJ-LBPRGKRZSA-N 0 3 225.332 2.993 20 0 BFADHN CCSCCN[C@H](CCOC)c1ccco1 ZINC000273302671 389040127 /nfs/dbraw/zinc/04/01/27/389040127.db2.gz RPFVLAMSRABDTJ-LLVKDONJSA-N 0 3 243.372 2.700 20 0 BFADHN CCSCCNCc1ccc(Cl)nc1 ZINC000273825795 389044398 /nfs/dbraw/zinc/04/43/98/389044398.db2.gz YCJLUSIYQVHZTJ-UHFFFAOYSA-N 0 3 230.764 2.578 20 0 BFADHN CCSCCNCc1ccc(F)c(C)c1 ZINC000273817211 389044977 /nfs/dbraw/zinc/04/49/77/389044977.db2.gz XFTYQHQMIWNCNM-UHFFFAOYSA-N 0 3 227.348 2.977 20 0 BFADHN CCC(=O)CCN(C)CCc1cccs1 ZINC000190254909 168200775 /nfs/dbraw/zinc/20/07/75/168200775.db2.gz FPRBAXNBJHUQNY-UHFFFAOYSA-N 0 3 225.357 2.592 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2cc(F)ccc2C)C1 ZINC000510109861 533291494 /nfs/dbraw/zinc/29/14/94/533291494.db2.gz URAZBTSHZDKNLF-OKILXGFUSA-N 0 3 237.318 2.743 20 0 BFADHN C(=C/c1ccncc1)\CN1CC(C2CCC2)C1 ZINC000348679002 533354343 /nfs/dbraw/zinc/35/43/43/533354343.db2.gz YLUHVYRSIUPWEL-NSCUHMNNSA-N 0 3 228.339 2.827 20 0 BFADHN C[C@@H](NCCC[C@@H]1CCOC1)c1ccco1 ZINC000400561905 533389184 /nfs/dbraw/zinc/38/91/84/533389184.db2.gz MBHBJEPLPIJIQR-VXGBXAGGSA-N 0 3 223.316 2.747 20 0 BFADHN C/C(=C\c1ccccc1)CN1C[C@H](O)C[C@@H]1C ZINC000335667792 533411966 /nfs/dbraw/zinc/41/19/66/533411966.db2.gz SFEVYWOYZBWCLW-SIRQDLBWSA-N 0 3 231.339 2.545 20 0 BFADHN Cc1cc(CN2C[C@H](C)CCC[C@H]2C)nn1C ZINC000487371443 533635156 /nfs/dbraw/zinc/63/51/56/533635156.db2.gz VWZJHKHKRWNTFH-VXGBXAGGSA-N 0 3 235.375 2.739 20 0 BFADHN CCC(C)(C)CCN1CCO[C@H](C)[C@H]1C ZINC000361678924 168277078 /nfs/dbraw/zinc/27/70/78/168277078.db2.gz RVDXCDNZMWIOKV-VXGBXAGGSA-N 0 3 213.365 2.922 20 0 BFADHN Fc1ccc(N2CCNCC2)c(Cl)c1Cl ZINC000165169562 132228888 /nfs/dbraw/zinc/22/88/88/132228888.db2.gz UXMPGIBWPGOKKQ-UHFFFAOYSA-N 0 3 249.116 2.542 20 0 BFADHN c1ccc(N2CCN(C3CCCCC3)CC2)nc1 ZINC000127867550 132231688 /nfs/dbraw/zinc/23/16/88/132231688.db2.gz QATSHDHEXHHZTO-UHFFFAOYSA-N 0 3 245.370 2.536 20 0 BFADHN Cc1ccc2nccc(N3CCC[C@@H](O)C3)c2c1 ZINC000302146912 132260946 /nfs/dbraw/zinc/26/09/46/132260946.db2.gz ILBBXJUAMWTAEI-GFCCVEGCSA-N 0 3 242.322 2.504 20 0 BFADHN CC(C)C[C@@H](C)N1CCN(c2ccccn2)CC1 ZINC000355722433 132270790 /nfs/dbraw/zinc/27/07/90/132270790.db2.gz BRKPFWSOCDMAIW-CQSZACIVSA-N 0 3 247.386 2.638 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@H](NCc2ccc(C)cn2)C1(C)C ZINC000345706093 133789545 /nfs/dbraw/zinc/78/95/45/133789545.db2.gz MJERNTLXEIYYMT-FPMFFAJLSA-N 0 3 248.370 2.539 20 0 BFADHN Cc1cccc2c1CN(CCOC1CCC1)CC2 ZINC000347117018 133804645 /nfs/dbraw/zinc/80/46/45/133804645.db2.gz BMNBSRGNFLTRSV-UHFFFAOYSA-N 0 3 245.366 2.922 20 0 BFADHN CC1(C)CN(Cc2ccon2)[C@H]2CCC[C@@H]21 ZINC000335744230 133889456 /nfs/dbraw/zinc/88/94/56/133889456.db2.gz CVELFGSPXJORKH-RYUDHWBXSA-N 0 3 220.316 2.685 20 0 BFADHN Fc1ccc2c(c1)CN(CC[C@H]1CCOC1)CC2 ZINC000335764161 133896459 /nfs/dbraw/zinc/89/64/59/133896459.db2.gz KWLGJWYFUAFOSR-LBPRGKRZSA-N 0 3 249.329 2.610 20 0 BFADHN C[C@H]1CCN(Cc2nc3cccnc3s2)[C@H]1C ZINC000335934102 134011816 /nfs/dbraw/zinc/01/18/16/134011816.db2.gz DOGDXARKVMASML-UWVGGRQHSA-N 0 3 247.367 2.922 20 0 BFADHN Cc1ncc(CN2CC3(CCC3)C[C@@H]2C)cn1 ZINC000335940118 134017163 /nfs/dbraw/zinc/01/71/63/134017163.db2.gz DIUAVYAXZGNUQN-NSHDSACASA-N 0 3 231.343 2.550 20 0 BFADHN CCc1nocc1CN1C[C@H](C)C[C@@H](C)C1 ZINC000335941887 134017653 /nfs/dbraw/zinc/01/76/53/134017653.db2.gz VUELMLJNITWFNW-GHMZBOCLSA-N 0 3 222.332 2.715 20 0 BFADHN Cc1nocc1CN(C)[C@@H]1CCCC[C@H]1C ZINC000335943202 134018786 /nfs/dbraw/zinc/01/87/86/134018786.db2.gz RUZFZBIACZVDAD-ZWNOBZJWSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@H](C3CC3)C2)o1 ZINC000335946202 134020084 /nfs/dbraw/zinc/02/00/84/134020084.db2.gz BLCURHKLPVYGLG-JQWIXIFHSA-N 0 3 220.316 2.776 20 0 BFADHN Cc1cnc([C@@H](C)N2CC[C@@H](C3CC3)C2)o1 ZINC000335946204 134020220 /nfs/dbraw/zinc/02/02/20/134020220.db2.gz BLCURHKLPVYGLG-ZYHUDNBSSA-N 0 3 220.316 2.776 20 0 BFADHN CCN(CCC1CC1)[C@@H](CC(C)C)C(=O)OC ZINC000351987023 134026269 /nfs/dbraw/zinc/02/62/69/134026269.db2.gz PAUMCQIPWFMLFR-ZDUSSCGKSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1nocc1CN1CC(C)(C)[C@@H]2CCC[C@H]21 ZINC000335970484 134029826 /nfs/dbraw/zinc/02/98/26/134029826.db2.gz QTCAYQURIZBVHJ-CHWSQXEVSA-N 0 3 234.343 2.994 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cn2ccccc2n1 ZINC000335978037 134032034 /nfs/dbraw/zinc/03/20/34/134032034.db2.gz IITFDXLSBSFVCP-NWDGAFQWSA-N 0 3 229.327 2.565 20 0 BFADHN COCCN(C)Cc1cc2c(ccc(C)c2C)[nH]1 ZINC000352011377 134034991 /nfs/dbraw/zinc/03/49/91/134034991.db2.gz RBDSFQKVUWRTPS-UHFFFAOYSA-N 0 3 246.354 2.863 20 0 BFADHN C[C@@H]1CCN(Cc2coc3ccccc23)C[C@H]1O ZINC000335996605 134042069 /nfs/dbraw/zinc/04/20/69/134042069.db2.gz KYBXMKFENXTFCF-BXUZGUMPSA-N 0 3 245.322 2.636 20 0 BFADHN CN(Cc1cccc2cc[nH]c21)[C@H]1CCCOC1 ZINC000335997128 134042508 /nfs/dbraw/zinc/04/25/08/134042508.db2.gz WKKMSSUASAYHKU-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN CSC1(CN2CCO[C@H]3CCC[C@@H]32)CCC1 ZINC000336011036 134051119 /nfs/dbraw/zinc/05/11/19/134051119.db2.gz YORAJSFVHDGLMC-RYUDHWBXSA-N 0 3 241.400 2.525 20 0 BFADHN CC[C@H]1COCCN1CC1CCC(C)CC1 ZINC000352091297 134061405 /nfs/dbraw/zinc/06/14/05/134061405.db2.gz ISGRSUCYUZOPON-RUXDESIVSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H](F)CCN(C)CCOc1ccccc1F ZINC000352090948 134061436 /nfs/dbraw/zinc/06/14/36/134061436.db2.gz FZOJMBHUBXCGBC-NSHDSACASA-N 0 3 243.297 2.884 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CC[C@@](O)(C2CC2)C1 ZINC000336096787 134080497 /nfs/dbraw/zinc/08/04/97/134080497.db2.gz QMCHRUBQCBUXJU-NHYWBVRUSA-N 0 3 249.329 2.734 20 0 BFADHN Fc1ccc2cc(CN[C@@H]3CCCOC3)[nH]c2c1 ZINC000336100005 134081193 /nfs/dbraw/zinc/08/11/93/134081193.db2.gz MWWSJEJEZRVGDB-GFCCVEGCSA-N 0 3 248.301 2.576 20 0 BFADHN Cc1cc(CN2C[C@H](C)CCC[C@@H]2C)ncn1 ZINC000336112423 134087061 /nfs/dbraw/zinc/08/70/61/134087061.db2.gz YTKMMGUHTXZZNT-YPMHNXCESA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cccnc1CN1CC(C)=C[C@H](C)C1 ZINC000336113587 134087585 /nfs/dbraw/zinc/08/75/85/134087585.db2.gz VBAHMOOZVPOKED-NSHDSACASA-N 0 3 216.328 2.788 20 0 BFADHN Cc1cccc(C)c1CN(C)[C@@H]1CCCOC1 ZINC000352193991 134098242 /nfs/dbraw/zinc/09/82/42/134098242.db2.gz GXWOTJOZRKFIPO-CQSZACIVSA-N 0 3 233.355 2.914 20 0 BFADHN CCOc1ccc(CN(C)C2CC(OC)C2)cc1 ZINC000352211152 134107994 /nfs/dbraw/zinc/10/79/94/134107994.db2.gz JGVPVCVKSBTVNC-UHFFFAOYSA-N 0 3 249.354 2.695 20 0 BFADHN CCN(C[C@H](C)O)[C@H](C)c1ccc(F)cc1F ZINC000352211861 134107999 /nfs/dbraw/zinc/10/79/99/134107999.db2.gz MDAIJLQXUBKJMB-VHSXEESVSA-N 0 3 243.297 2.729 20 0 BFADHN CCN(Cc1cc2ccccc2o1)C[C@@H](C)O ZINC000352221650 134109420 /nfs/dbraw/zinc/10/94/20/134109420.db2.gz NEZZJRUJAYSLPK-LLVKDONJSA-N 0 3 233.311 2.636 20 0 BFADHN Cc1oncc1CN1CC[C@@H](C)C2(CCC2)C1 ZINC000336181343 134119826 /nfs/dbraw/zinc/11/98/26/134119826.db2.gz TWTZMSQSIMSCBD-LLVKDONJSA-N 0 3 234.343 2.995 20 0 BFADHN CC(C)[C@H]1CCCN([C@@H](C)c2cnccn2)C1 ZINC000336184146 134120424 /nfs/dbraw/zinc/12/04/24/134120424.db2.gz KDAAIPAVTBOIPM-STQMWFEESA-N 0 3 233.359 2.906 20 0 BFADHN CC[C@@H]1CN(CC2CC(F)(F)C2)C[C@H](C)O1 ZINC000336195465 134125963 /nfs/dbraw/zinc/12/59/63/134125963.db2.gz QWIQYMZILPOEAK-GXSJLCMTSA-N 0 3 233.302 2.531 20 0 BFADHN Fc1cnccc1CN1CC[C@@H]2CCC[C@H]21 ZINC000336205284 134131347 /nfs/dbraw/zinc/13/13/47/134131347.db2.gz QIKLSMBWXJRXOE-GXFFZTMASA-N 0 3 220.291 2.595 20 0 BFADHN CC1=CCCN(Cc2cc3cccnc3o2)C1 ZINC000336206711 134132323 /nfs/dbraw/zinc/13/23/23/134132323.db2.gz FRVZTNLEJAVTFT-UHFFFAOYSA-N 0 3 228.295 2.980 20 0 BFADHN c1ccc2c(c1)C=C(CN1CC[C@H]1C1CC1)CO2 ZINC000336216188 134136793 /nfs/dbraw/zinc/13/67/93/134136793.db2.gz HXMURFAGUKIPAZ-HNNXBMFYSA-N 0 3 241.334 2.947 20 0 BFADHN c1cc(O[C@H]2CCN([C@H]3C=CCCC3)C2)ccn1 ZINC000336216455 134137273 /nfs/dbraw/zinc/13/72/73/134137273.db2.gz NFFOEFNPYGFHES-ZFWWWQNUSA-N 0 3 244.338 2.643 20 0 BFADHN C[C@H](NC[C@@H](O)CC(C)(C)C)c1cncs1 ZINC000167846280 134154387 /nfs/dbraw/zinc/15/43/87/134154387.db2.gz NMPNBCOCTPMNPW-UWVGGRQHSA-N 0 3 242.388 2.591 20 0 BFADHN Cc1ccc([C@H](C)NCC[C@@H](O)C(C)C)o1 ZINC000168231957 134160528 /nfs/dbraw/zinc/16/05/28/134160528.db2.gz NRCNEKNPKSZNGE-NWDGAFQWSA-N 0 3 225.332 2.646 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@H](C)C[C@H](C)[C@@H]2C)n1 ZINC000168234161 134160838 /nfs/dbraw/zinc/16/08/38/134160838.db2.gz AINYFWRNNVYJMZ-MDZLAQPJSA-N 0 3 248.370 2.962 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@H](C)C[C@H](C)[C@@H]2C)n1 ZINC000168234161 134160839 /nfs/dbraw/zinc/16/08/39/134160839.db2.gz AINYFWRNNVYJMZ-MDZLAQPJSA-N 0 3 248.370 2.962 20 0 BFADHN CC[C@H](CCO)NCc1cc(F)cc(Cl)c1 ZINC000168276444 134163453 /nfs/dbraw/zinc/16/34/53/134163453.db2.gz NPLDQGCVZSIPLX-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN C[C@H](CSc1ccc(F)cc1)N(C)C ZINC000358853298 134192936 /nfs/dbraw/zinc/19/29/36/134192936.db2.gz LXCZYDIZLHSUFB-SECBINFHSA-N 0 3 213.321 2.868 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1ccn(C)n1 ZINC000168986859 134248932 /nfs/dbraw/zinc/24/89/32/134248932.db2.gz CZJWPYAQSLGSHF-WCQYABFASA-N 0 3 235.375 2.725 20 0 BFADHN c1nc(CNC2(c3ccccc3)CCCC2)c[nH]1 ZINC000172173223 134262754 /nfs/dbraw/zinc/26/27/54/134262754.db2.gz INDYYVYPUJLEPI-UHFFFAOYSA-N 0 3 241.338 2.969 20 0 BFADHN c1ncc(CNC2(c3ccccc3)CCCC2)[nH]1 ZINC000172173223 134262755 /nfs/dbraw/zinc/26/27/55/134262755.db2.gz INDYYVYPUJLEPI-UHFFFAOYSA-N 0 3 241.338 2.969 20 0 BFADHN COc1ccc(OC)c(CN2CCC(C)(C)C2)c1 ZINC000174251217 134279396 /nfs/dbraw/zinc/27/93/96/134279396.db2.gz DMOYMKZLHYMYDJ-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@H](C)[C@@H](C)C2)n1 ZINC000219816449 134292373 /nfs/dbraw/zinc/29/23/73/134292373.db2.gz HFAPVWHYWPSXIC-QWRGUYRKSA-N 0 3 234.343 2.574 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@H](C)[C@@H](C)C2)n1 ZINC000219816449 134292374 /nfs/dbraw/zinc/29/23/74/134292374.db2.gz HFAPVWHYWPSXIC-QWRGUYRKSA-N 0 3 234.343 2.574 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@](C)(O)C(C)C)o1 ZINC000178123980 134306405 /nfs/dbraw/zinc/30/64/05/134306405.db2.gz PUVSXUAVXBNBGG-BXUZGUMPSA-N 0 3 239.359 2.900 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@](C)(O)C(C)C)o1 ZINC000178123997 134306598 /nfs/dbraw/zinc/30/65/98/134306598.db2.gz PUVSXUAVXBNBGG-SMDDNHRTSA-N 0 3 239.359 2.900 20 0 BFADHN C[C@H](N[C@H]1COc2ccccc2C1)c1ccoc1 ZINC000178112342 134306603 /nfs/dbraw/zinc/30/66/03/134306603.db2.gz HMNOPNLYYRSVFU-SMDDNHRTSA-N 0 3 243.306 2.934 20 0 BFADHN CC(C)CCN(C)[C@@H]1CCCc2c1cnn2C ZINC000178491523 134307564 /nfs/dbraw/zinc/30/75/64/134307564.db2.gz ZGLOVRRECGTOND-CYBMUJFWSA-N 0 3 235.375 2.775 20 0 BFADHN C(=C\c1ccccc1)\CNC[C@H]1CCCCO1 ZINC000220553744 134309458 /nfs/dbraw/zinc/30/94/58/134309458.db2.gz UPZDOXLILSZPBZ-WUKHSURWSA-N 0 3 231.339 2.859 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H]2CCCOC2)o1 ZINC000178431312 134310450 /nfs/dbraw/zinc/31/04/50/134310450.db2.gz FZROVYFYTVCONB-VXGBXAGGSA-N 0 3 237.343 2.919 20 0 BFADHN Cc1cc(CN[C@@]2(C)CCCOC2)ccc1F ZINC000221350926 134320552 /nfs/dbraw/zinc/32/05/52/134320552.db2.gz RHUIVYDRDBETPR-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN CCOc1ccc(CN[C@]2(C)CCCOC2)cc1 ZINC000221363395 134321577 /nfs/dbraw/zinc/32/15/77/134321577.db2.gz FEDXKYFQHJIJDR-OAHLLOKOSA-N 0 3 249.354 2.744 20 0 BFADHN CC(C)N(CC(=O)N(C(C)C)C(C)C)C(C)C ZINC000180449973 134327877 /nfs/dbraw/zinc/32/78/77/134327877.db2.gz NHMKNCAIGFQYOG-UHFFFAOYSA-N 0 3 242.407 2.751 20 0 BFADHN C[C@@H](NC[C@@H]1CC1(C)C)c1ccc(F)cn1 ZINC000222043358 134329368 /nfs/dbraw/zinc/32/93/68/134329368.db2.gz NIGZQLBFFRDZDA-ZJUUUORDSA-N 0 3 222.307 2.917 20 0 BFADHN CC(C)[C@@H](NC[C@H](O)C(F)F)c1ccccc1 ZINC000223143096 134337145 /nfs/dbraw/zinc/33/71/45/134337145.db2.gz CZHNLJSEPKFNTL-NWDGAFQWSA-N 0 3 243.297 2.599 20 0 BFADHN CCOCCN(C)Cc1cccc(C)c1C ZINC000181482696 134337265 /nfs/dbraw/zinc/33/72/65/134337265.db2.gz BVXRVOCMXNIHTJ-UHFFFAOYSA-N 0 3 221.344 2.772 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](C)CC(F)(F)F ZINC000223185917 134337382 /nfs/dbraw/zinc/33/73/82/134337382.db2.gz OEGFZEMZWNTQLK-JGVFFNPUSA-N 0 3 249.280 2.720 20 0 BFADHN c1ccc2c(c1)SC[C@H]2N[C@@H]1CCSC1 ZINC000223733688 134338929 /nfs/dbraw/zinc/33/89/29/134338929.db2.gz QWOROPZHSPGZTJ-MWLCHTKSSA-N 0 3 237.393 2.929 20 0 BFADHN COc1ccc(C)cc1CNCC1(C)CC1 ZINC000224176389 134341990 /nfs/dbraw/zinc/34/19/90/134341990.db2.gz XMYFIKVUKMDLJO-UHFFFAOYSA-N 0 3 219.328 2.893 20 0 BFADHN CC1CC(N[C@@H]2COCc3ccccc32)C1 ZINC000226009693 134357877 /nfs/dbraw/zinc/35/78/77/134357877.db2.gz ZYGNCJDAAQGOQT-MMWSSPAHSA-N 0 3 217.312 2.646 20 0 BFADHN c1ccc2c(c1)COC[C@H]2NC1CCSCC1 ZINC000226011165 134358102 /nfs/dbraw/zinc/35/81/02/134358102.db2.gz LGQRVEIPBSQULM-CQSZACIVSA-N 0 3 249.379 2.743 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@@H]1COCc2ccccc21 ZINC000226009493 134358208 /nfs/dbraw/zinc/35/82/08/134358208.db2.gz FNTPBNONZHEEBU-MPKXVKKWSA-N 0 3 217.312 2.504 20 0 BFADHN COc1cccc([C@@H](C)NCCOCC2CC2)c1 ZINC000226320530 134360259 /nfs/dbraw/zinc/36/02/59/134360259.db2.gz CBDKAHUPHPCCDT-GFCCVEGCSA-N 0 3 249.354 2.772 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1C[C@@H]1CCC(C)(C)O1 ZINC000184657826 134367835 /nfs/dbraw/zinc/36/78/35/134367835.db2.gz MBVOEYKGIWGIOJ-WOPDTQHZSA-N 0 3 243.416 2.770 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2CCO[C@@H](C)C2)n1 ZINC000227108215 134372654 /nfs/dbraw/zinc/37/26/54/134372654.db2.gz WWQZTDSHPXTCLO-GARJFASQSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1nccc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)n1 ZINC000227066346 134374379 /nfs/dbraw/zinc/37/43/79/134374379.db2.gz WIRNXMLCYWNLFD-JTNHKYCSSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@H](C)CN1CCNCc2ccccc21 ZINC000062818249 134441624 /nfs/dbraw/zinc/44/16/24/134441624.db2.gz HPFOSSXFKGKCRX-LBPRGKRZSA-N 0 3 218.344 2.642 20 0 BFADHN CCCn1cc(CN[C@H](C)CC(F)(F)F)cn1 ZINC000231751113 134544347 /nfs/dbraw/zinc/54/43/47/134544347.db2.gz DHXOHWXYNRXTCB-SECBINFHSA-N 0 3 249.280 2.724 20 0 BFADHN Cc1cccc(CN[C@@H](C)Cn2cccn2)c1C ZINC000047889680 134615972 /nfs/dbraw/zinc/61/59/72/134615972.db2.gz WASXLCHYPMWXMF-ZDUSSCGKSA-N 0 3 243.354 2.678 20 0 BFADHN CSCCCNCc1ccc(Cl)o1 ZINC000070184308 134911125 /nfs/dbraw/zinc/91/11/25/134911125.db2.gz DGYCBQOJECXZMA-UHFFFAOYSA-N 0 3 219.737 2.776 20 0 BFADHN CO[C@@H](C)CN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000248409408 135006784 /nfs/dbraw/zinc/00/67/84/135006784.db2.gz COWCRTXTTYMAMW-VHSXEESVSA-N 0 3 243.297 2.993 20 0 BFADHN CO[C@]1(C)C[C@H](N(C)Cc2ccccn2)C1(C)C ZINC000076150911 135014392 /nfs/dbraw/zinc/01/43/92/135014392.db2.gz WITKEJGOSCLSOR-DZGCQCFKSA-N 0 3 248.370 2.717 20 0 BFADHN CC(C)C[C@H]1CCN([C@H](C)c2cnccn2)C1 ZINC000248607329 135015887 /nfs/dbraw/zinc/01/58/87/135015887.db2.gz GGKKLTKPISYGGS-CHWSQXEVSA-N 0 3 233.359 2.906 20 0 BFADHN CC[C@H](C)CN(CC)[C@H](C)c1cnccn1 ZINC000248639950 135016859 /nfs/dbraw/zinc/01/68/59/135016859.db2.gz XVTFRHKFTWDKIG-NWDGAFQWSA-N 0 3 221.348 2.906 20 0 BFADHN CC[C@@H]1CCCN([C@H](C)c2cnccn2)C1 ZINC000248632432 135017121 /nfs/dbraw/zinc/01/71/21/135017121.db2.gz SLSBZXXQVHUNQP-VXGBXAGGSA-N 0 3 219.332 2.660 20 0 BFADHN C[C@H](O)CN(Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000248659000 135018342 /nfs/dbraw/zinc/01/83/42/135018342.db2.gz URIWUPUVFIBYQX-SUNKGSAMSA-N 0 3 249.354 2.748 20 0 BFADHN CC[C@@H](O)CNCc1cccc(Cl)c1Cl ZINC000229967220 135019092 /nfs/dbraw/zinc/01/90/92/135019092.db2.gz FGLWFJPUFLGXEF-SECBINFHSA-N 0 3 248.153 2.854 20 0 BFADHN C[C@H]1CCN(Cc2cc(Cl)cs2)[C@H]1CO ZINC000248743072 135020134 /nfs/dbraw/zinc/02/01/34/135020134.db2.gz RTTDIHDCJYRHJG-KWQFWETISA-N 0 3 245.775 2.604 20 0 BFADHN C[C@H](c1cccnc1)N(C)CC[C@H]1CCCO1 ZINC000248822893 135023196 /nfs/dbraw/zinc/02/31/96/135023196.db2.gz JNYHXXGDMKESBF-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@H](NC1CC(C)C1)c1nccs1 ZINC000231788429 135024770 /nfs/dbraw/zinc/02/47/70/135024770.db2.gz OYAPRTDICUENBA-UDNWOFFPSA-N 0 3 210.346 2.982 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H]2CC[C@@H](O)C2)o1 ZINC000248968118 135028491 /nfs/dbraw/zinc/02/84/91/135028491.db2.gz BYKOZSVFCLTCLJ-QJPTWQEYSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@H](c1ccccn1)N1CCS[C@@H](C)CC1 ZINC000249141565 135034480 /nfs/dbraw/zinc/03/44/80/135034480.db2.gz ZMSYGEXQTXWDFX-NWDGAFQWSA-N 0 3 236.384 2.970 20 0 BFADHN COc1ccc(CN2CC[C@H](C)[C@H](OC)C2)cc1 ZINC000249208742 135035657 /nfs/dbraw/zinc/03/56/57/135035657.db2.gz SDQTUCACVSWLCR-SWLSCSKDSA-N 0 3 249.354 2.552 20 0 BFADHN COc1cccc(CN[C@@H]2CC=CCC2)c1OC ZINC000076770704 135048551 /nfs/dbraw/zinc/04/85/51/135048551.db2.gz XIBAYVNJUOIVST-CYBMUJFWSA-N 0 3 247.338 2.902 20 0 BFADHN C[C@@H](CCC(C)(C)C)NCc1nccn1C ZINC000076768652 135048557 /nfs/dbraw/zinc/04/85/57/135048557.db2.gz KPNBYNVSYGHHLW-NSHDSACASA-N 0 3 223.364 2.725 20 0 BFADHN COc1cccc(CN2CC[C@@H](C)[C@H](OC)C2)c1 ZINC000251848857 135078845 /nfs/dbraw/zinc/07/88/45/135078845.db2.gz NIOGZUOCXZMNTK-IUODEOHRSA-N 0 3 249.354 2.552 20 0 BFADHN COc1cccc(CN2CC[C@H](C)[C@H](OC)C2)c1 ZINC000251848860 135079169 /nfs/dbraw/zinc/07/91/69/135079169.db2.gz NIOGZUOCXZMNTK-SWLSCSKDSA-N 0 3 249.354 2.552 20 0 BFADHN CO[C@@H]1CN(Cc2cccc(F)c2)CC[C@@H]1C ZINC000251855620 135079363 /nfs/dbraw/zinc/07/93/63/135079363.db2.gz NVCIXAGIOBBMOK-SMDDNHRTSA-N 0 3 237.318 2.683 20 0 BFADHN CCN1CCC(Nc2ccncc2Cl)CC1 ZINC000086831193 135097719 /nfs/dbraw/zinc/09/77/19/135097719.db2.gz ADRZELSEXJJONC-UHFFFAOYSA-N 0 3 239.750 2.631 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccccc2F)CS1 ZINC000086934296 135102794 /nfs/dbraw/zinc/10/27/94/135102794.db2.gz TWZZJSKRDHDQAF-KOLCDFICSA-N 0 3 225.332 2.809 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(F)c(F)c2)CS1 ZINC000086935629 135103837 /nfs/dbraw/zinc/10/38/37/135103837.db2.gz TXVZHJMFFROQTP-WCBMZHEXSA-N 0 3 243.322 2.948 20 0 BFADHN CN(Cc1ccc(Cl)o1)C[C@H]1CCC[C@H]1O ZINC000252740914 135105608 /nfs/dbraw/zinc/10/56/08/135105608.db2.gz PENKRYGDEIALJS-MWLCHTKSSA-N 0 3 243.734 2.526 20 0 BFADHN C[C@@H](N[C@@H](C)C[C@H](C)O)c1ccc(F)cc1F ZINC000252754577 135107678 /nfs/dbraw/zinc/10/76/78/135107678.db2.gz ILWVVBBOQZRAGU-LPEHRKFASA-N 0 3 243.297 2.775 20 0 BFADHN CN(Cc1cnc(N)s1)[C@H]1CCC(C)(C)C1 ZINC000261562368 135110432 /nfs/dbraw/zinc/11/04/32/135110432.db2.gz BFYAPUFWOMQEHH-VIFPVBQESA-N 0 3 239.388 2.736 20 0 BFADHN O[C@@H]1CCCCC[C@@H]1N[C@H]1CCCc2occc21 ZINC000252852507 135113171 /nfs/dbraw/zinc/11/31/71/135113171.db2.gz GEBVYEABSDIHTB-MELADBBJSA-N 0 3 249.354 2.940 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@]2(C)CCCO2)o1 ZINC000087234030 135114212 /nfs/dbraw/zinc/11/42/12/135114212.db2.gz XUOUAZQHQUQOQA-YPMHNXCESA-N 0 3 223.316 2.808 20 0 BFADHN CC[C@H](CCO)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000252892611 135116818 /nfs/dbraw/zinc/11/68/18/135116818.db2.gz OEIPZWJVEOCKLV-NQBHXWOUSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1cscc1CNCc1cccn1C ZINC000087309486 135122176 /nfs/dbraw/zinc/12/21/76/135122176.db2.gz AUFQAKCJUBIEPM-UHFFFAOYSA-N 0 3 220.341 2.685 20 0 BFADHN CCC(=O)Nc1cc(CN)cc(C(F)(F)F)c1 ZINC000262969495 135124432 /nfs/dbraw/zinc/12/44/32/135124432.db2.gz LKEOLDJMXFOJJW-UHFFFAOYSA-N 0 3 246.232 2.513 20 0 BFADHN C[C@H](CCCO)N[C@H](C)c1ccccc1F ZINC000087358241 135124444 /nfs/dbraw/zinc/12/44/44/135124444.db2.gz COOXSFPSFYVFGY-GHMZBOCLSA-N 0 3 225.307 2.637 20 0 BFADHN COC[C@@H](C)N(C)C/C=C\c1ccc(F)cc1 ZINC000255101494 135128215 /nfs/dbraw/zinc/12/82/15/135128215.db2.gz IGWVTOOOWZPROA-QMAVJUDZSA-N 0 3 237.318 2.806 20 0 BFADHN CO[C@@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC000255380691 135128901 /nfs/dbraw/zinc/12/89/01/135128901.db2.gz LNZXWSGQLHRDKU-HMZQOCAUSA-N 0 3 231.339 2.811 20 0 BFADHN Fc1ccc2cc(CN3CCCC3)[nH]c2c1 ZINC000258170023 135132206 /nfs/dbraw/zinc/13/22/06/135132206.db2.gz YBOJJYUESXLKOG-UHFFFAOYSA-N 0 3 218.275 2.903 20 0 BFADHN N[C@@H](CC1CCCCC1)C(=O)Nc1ccccc1 ZINC000257726354 135140895 /nfs/dbraw/zinc/14/08/95/135140895.db2.gz VNFARSAFDZWINU-AWEZNQCLSA-N 0 3 246.354 2.923 20 0 BFADHN Cc1noc(C)c1CNC[C@H]1CCCCS1 ZINC000087683410 135164347 /nfs/dbraw/zinc/16/43/47/135164347.db2.gz KUKLNOYVSKXEBK-LLVKDONJSA-N 0 3 240.372 2.667 20 0 BFADHN CCSCCCNCc1c(C)noc1C ZINC000163837462 135209042 /nfs/dbraw/zinc/20/90/42/135209042.db2.gz JJBKZNDZXUYZSX-UHFFFAOYSA-N 0 3 228.361 2.524 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@@H](C)C2)no1 ZINC000084342449 135210587 /nfs/dbraw/zinc/21/05/87/135210587.db2.gz DNMMTPCVAQJRBI-ZYHUDNBSSA-N 0 3 222.332 2.899 20 0 BFADHN C[C@@H](O)C[C@H](C)NCc1cccc(Cl)c1F ZINC000163959516 135210939 /nfs/dbraw/zinc/21/09/39/135210939.db2.gz HOFPXLMHUNXYMZ-DTWKUNHWSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@@H](O)C[C@@H](C)NCc1cccc(Cl)c1F ZINC000163959632 135211356 /nfs/dbraw/zinc/21/13/56/135211356.db2.gz HOFPXLMHUNXYMZ-RKDXNWHRSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1cccc(Cl)c1F ZINC000163959745 135211657 /nfs/dbraw/zinc/21/16/57/135211657.db2.gz HOFPXLMHUNXYMZ-IUCAKERBSA-N 0 3 245.725 2.728 20 0 BFADHN CNCc1nc(-c2cccc(F)c2)c(C)s1 ZINC000164097617 135214285 /nfs/dbraw/zinc/21/42/85/135214285.db2.gz QINJQZZEXZDNGN-UHFFFAOYSA-N 0 3 236.315 2.977 20 0 BFADHN C[C@@H](NCc1cnc(N)s1)c1ccccc1 ZINC000261576879 135218527 /nfs/dbraw/zinc/21/85/27/135218527.db2.gz KQNDLFQYWIRCQP-SECBINFHSA-N 0 3 233.340 2.576 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2cnc(N)s2)C1 ZINC000261590297 135231133 /nfs/dbraw/zinc/23/11/33/135231133.db2.gz ULQDUQKRDPOMMS-JTQLQIEISA-N 0 3 239.388 2.593 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2cnc(N)s2)C1 ZINC000261590298 135231242 /nfs/dbraw/zinc/23/12/42/135231242.db2.gz ULQDUQKRDPOMMS-SNVBAGLBSA-N 0 3 239.388 2.593 20 0 BFADHN COCc1nc(CNC2CCCCC2)cs1 ZINC000164410272 135244008 /nfs/dbraw/zinc/24/40/08/135244008.db2.gz XVGHZTKTMDUGLU-UHFFFAOYSA-N 0 3 240.372 2.712 20 0 BFADHN F[C@H]1CC[C@H](NCc2ccc(-c3cc[nH]n3)o2)C1 ZINC000342289220 135256573 /nfs/dbraw/zinc/25/65/73/135256573.db2.gz LVIWVEBDTMPWDO-UWVGGRQHSA-N 0 3 249.289 2.650 20 0 BFADHN COc1ccc2c(c1)C[C@@H](N[C@@H](C)CF)CC2 ZINC000342261848 135259605 /nfs/dbraw/zinc/25/96/05/135259605.db2.gz XUKWJDSANAHXHR-GWCFXTLKSA-N 0 3 237.318 2.500 20 0 BFADHN CCn1nc(C)c(CN[C@H]2CC[C@@H](F)C2)c1C ZINC000342272293 135260528 /nfs/dbraw/zinc/26/05/28/135260528.db2.gz DRLNLQGYSRNGCN-NEPJUHHUSA-N 0 3 239.338 2.500 20 0 BFADHN Cc1noc(C)c1CNC1CC(C(F)(F)F)C1 ZINC000342313383 135263731 /nfs/dbraw/zinc/26/37/31/135263731.db2.gz ZGCUPTVTCVJQSO-UHFFFAOYSA-N 0 3 248.248 2.722 20 0 BFADHN CCCN1CCC[C@@H]1C(=O)Nc1cccc(C)c1 ZINC000342557154 135288557 /nfs/dbraw/zinc/28/85/57/135288557.db2.gz NEQVFKLIYKEHHQ-CQSZACIVSA-N 0 3 246.354 2.808 20 0 BFADHN CCN(Cc1cccc(C)n1)C1CCC1 ZINC000342584809 135292409 /nfs/dbraw/zinc/29/24/09/135292409.db2.gz IHJHXAYUVLFCSU-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN CCN(CCC1CC1)[C@H](C)c1cnccn1 ZINC000342626060 135297337 /nfs/dbraw/zinc/29/73/37/135297337.db2.gz ARSIHDLHXIHHPM-LLVKDONJSA-N 0 3 219.332 2.660 20 0 BFADHN Cc1ccc(CN(C)CC[C@H]2CCCO2)c(C)n1 ZINC000342603453 135301690 /nfs/dbraw/zinc/30/16/90/135301690.db2.gz MMQPYJGJDKWCCB-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CCOc1ncccc1CN[C@H]1CCC1(C)C ZINC000342610296 135302664 /nfs/dbraw/zinc/30/26/64/135302664.db2.gz ATMDDGZQWPXRGZ-LBPRGKRZSA-N 0 3 234.343 2.759 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)N[C@H](C)CCC(C)(C)C ZINC000262712201 135314500 /nfs/dbraw/zinc/31/45/00/135314500.db2.gz ROCQMAGIQLKMHC-QJPTWQEYSA-N 0 3 242.407 2.691 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)CCC[C@H]2C)n1C ZINC000342779322 135317232 /nfs/dbraw/zinc/31/72/32/135317232.db2.gz BWIQKXSFPXLRFY-NWDGAFQWSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cnc(CN2[C@H](C)C[C@H]3CCCC[C@@H]32)n1C ZINC000342780942 135317502 /nfs/dbraw/zinc/31/75/02/135317502.db2.gz DOHIXJFRSMGAGM-BNOWGMLFSA-N 0 3 247.386 2.882 20 0 BFADHN Cc1cnc(CN2[C@H](C)C[C@@H]3CCCC[C@@H]32)n1C ZINC000342780952 135317539 /nfs/dbraw/zinc/31/75/39/135317539.db2.gz DOHIXJFRSMGAGM-XBFCOCLRSA-N 0 3 247.386 2.882 20 0 BFADHN CCN(Cc1ncc(C)n1C)C1CCCCC1 ZINC000342786920 135318729 /nfs/dbraw/zinc/31/87/29/135318729.db2.gz UVRKZNULODWOLI-UHFFFAOYSA-N 0 3 235.375 2.883 20 0 BFADHN CC[C@H]1CCCCCN1Cc1ncc(C)n1C ZINC000342790436 135319028 /nfs/dbraw/zinc/31/90/28/135319028.db2.gz ZVGRLCWODSFBNB-ZDUSSCGKSA-N 0 3 235.375 2.883 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCCC2(C)C)nn1C ZINC000334171034 135319523 /nfs/dbraw/zinc/31/95/23/135319523.db2.gz AAZBKXNJZLTGKY-AAEUAGOBSA-N 0 3 235.375 2.958 20 0 BFADHN Cn1ccnc1[C@H](NCCC(C)(C)C)C1CC1 ZINC000342802824 135322164 /nfs/dbraw/zinc/32/21/64/135322164.db2.gz QUVXHSXFUJBIFI-GFCCVEGCSA-N 0 3 235.375 2.897 20 0 BFADHN c1cc([C@H]2CCCCN2CC2CCC2)n[nH]1 ZINC000334172392 135330964 /nfs/dbraw/zinc/33/09/64/135330964.db2.gz BMSDUMYZRNRWFL-CYBMUJFWSA-N 0 3 219.332 2.737 20 0 BFADHN Cc1[nH]c2ccc(CN[C@H]3CCOC3)cc2c1C ZINC000334273730 135331644 /nfs/dbraw/zinc/33/16/44/135331644.db2.gz ZTGZVHRLOLWNDL-ZDUSSCGKSA-N 0 3 244.338 2.663 20 0 BFADHN Fc1ccc(CN2CCC[C@@H]3COCC[C@@H]32)cc1 ZINC000334303970 135347740 /nfs/dbraw/zinc/34/77/40/135347740.db2.gz DDOIEVJJUBVSQI-HIFRSBDPSA-N 0 3 249.329 2.827 20 0 BFADHN CC[C@@H](COC)NCc1ccc2ccccc2n1 ZINC000079742801 135356452 /nfs/dbraw/zinc/35/64/52/135356452.db2.gz TULUBZCABYSIRW-ZDUSSCGKSA-N 0 3 244.338 2.749 20 0 BFADHN Cn1c2ccccc2nc1CN[C@H]1CC=CCC1 ZINC000080123215 135367410 /nfs/dbraw/zinc/36/74/10/135367410.db2.gz GYERDBKWKSRFFV-LBPRGKRZSA-N 0 3 241.338 2.772 20 0 BFADHN Cc1csc([C@H](C)NCCc2ccncc2)n1 ZINC000080311557 135369100 /nfs/dbraw/zinc/36/91/00/135369100.db2.gz IECIOZDWWZLWHX-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Fc1cccc(CN2CCC[C@H]3COCC[C@H]32)c1 ZINC000334367306 135374434 /nfs/dbraw/zinc/37/44/34/135374434.db2.gz RADDNPJPXUNSRI-DZGCQCFKSA-N 0 3 249.329 2.827 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCCOC2)cc1 ZINC000334370772 135375671 /nfs/dbraw/zinc/37/56/71/135375671.db2.gz PBQGQMWFNSCNOA-AWEZNQCLSA-N 0 3 219.328 2.606 20 0 BFADHN Cn1ccc(CNCc2ccc(F)c(F)c2)c1 ZINC000080794559 135384502 /nfs/dbraw/zinc/38/45/02/135384502.db2.gz BPXRROVEGACSMI-UHFFFAOYSA-N 0 3 236.265 2.593 20 0 BFADHN Cc1cc(C)cc(CN2CCCOCC2)c1 ZINC000334415945 135394851 /nfs/dbraw/zinc/39/48/51/135394851.db2.gz PYTNNGBXCVIWPC-UHFFFAOYSA-N 0 3 219.328 2.526 20 0 BFADHN Cc1csc(CN2CC[C@H](C3CC3)C2)n1 ZINC000334414818 135397156 /nfs/dbraw/zinc/39/71/56/135397156.db2.gz PDPRZBDLQQMUTC-NSHDSACASA-N 0 3 222.357 2.683 20 0 BFADHN C[C@H]1[C@H](CO)CCN1Cc1sccc1Cl ZINC000334352123 135399617 /nfs/dbraw/zinc/39/96/17/135399617.db2.gz XCHRNLSYYZPPOJ-IUCAKERBSA-N 0 3 245.775 2.604 20 0 BFADHN C[C@H]1[C@@H](CO)CCN1Cc1sccc1Cl ZINC000334352121 135399768 /nfs/dbraw/zinc/39/97/68/135399768.db2.gz XCHRNLSYYZPPOJ-DTWKUNHWSA-N 0 3 245.775 2.604 20 0 BFADHN Cc1ncc(CN[C@H]2CCC[C@H](C)C2)o1 ZINC000334723070 135402084 /nfs/dbraw/zinc/40/20/84/135402084.db2.gz CNMPVMIHSQYAPQ-ONGXEEELSA-N 0 3 208.305 2.651 20 0 BFADHN CC(C)[C@@H](CO)CN[C@@H]1CCCc2occc21 ZINC000348286749 135485965 /nfs/dbraw/zinc/48/59/65/135485965.db2.gz ZYYBDEAZGOVKBG-DGCLKSJQSA-N 0 3 237.343 2.511 20 0 BFADHN CCC[C@@H](CCO)CN[C@@H](C)c1ccccn1 ZINC000231634899 135621420 /nfs/dbraw/zinc/62/14/20/135621420.db2.gz BGOVZQKEARLBTB-STQMWFEESA-N 0 3 236.359 2.531 20 0 BFADHN CCCCN(C)Cc1ccccc1C(=O)OC ZINC000096730908 135628070 /nfs/dbraw/zinc/62/80/70/135628070.db2.gz RYGOHCIZGPMMIL-UHFFFAOYSA-N 0 3 235.327 2.705 20 0 BFADHN COc1cc2c(cc1F)CC[C@H]2N[C@H]1CC12CC2 ZINC000335248415 135982597 /nfs/dbraw/zinc/98/25/97/135982597.db2.gz KCNGUKXOFYRVIX-OCCSQVGLSA-N 0 3 247.313 2.964 20 0 BFADHN Clc1ccccc1CN[C@H]1CC12CC2 ZINC000335284144 136001423 /nfs/dbraw/zinc/00/14/23/136001423.db2.gz DFANPCANHDKWKH-NSHDSACASA-N 0 3 207.704 2.982 20 0 BFADHN CCCN(CCC)Cc1ccn(C(F)F)n1 ZINC000347709336 136002811 /nfs/dbraw/zinc/00/28/11/136002811.db2.gz TZZXWYXDHXEVIE-UHFFFAOYSA-N 0 3 231.290 2.900 20 0 BFADHN Cc1nc(C(C)C)oc1CN1CCCC1 ZINC000335298673 136007413 /nfs/dbraw/zinc/00/74/13/136007413.db2.gz MQPBVKGDRBPMOV-UHFFFAOYSA-N 0 3 208.305 2.702 20 0 BFADHN C(N1CCOC2(CCC2)C1)C12CCC(CC1)C2 ZINC000347782027 136009479 /nfs/dbraw/zinc/00/94/79/136009479.db2.gz OZOCQJNRFBLBRT-UHFFFAOYSA-N 0 3 235.371 2.822 20 0 BFADHN Cc1ccnc(NCCNc2cccc(C)n2)c1 ZINC000347748303 136010853 /nfs/dbraw/zinc/01/08/53/136010853.db2.gz ZIMLPUQGVVIMJR-UHFFFAOYSA-N 0 3 242.326 2.617 20 0 BFADHN CCC1(C)CCN(Cc2c(C)cnn2C)CC1 ZINC000347845307 136012027 /nfs/dbraw/zinc/01/20/27/136012027.db2.gz MCJWPBSDXYVXCX-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CSC1(CN(C)CCC(F)(F)F)CC1 ZINC000347851647 136012202 /nfs/dbraw/zinc/01/22/02/136012202.db2.gz PDIBOKVFVXJECU-UHFFFAOYSA-N 0 3 227.295 2.766 20 0 BFADHN CCc1ccc([C@H](COC)NCCC2CC2)o1 ZINC000347850016 136012500 /nfs/dbraw/zinc/01/25/00/136012500.db2.gz SVTBUQNVLJSOIL-ZDUSSCGKSA-N 0 3 237.343 2.919 20 0 BFADHN COc1ccc(CN2CCCCC2)c(C)c1OC ZINC000347903595 136015585 /nfs/dbraw/zinc/01/55/85/136015585.db2.gz AYSLVQZKIAACCV-UHFFFAOYSA-N 0 3 249.354 2.998 20 0 BFADHN CCc1ccc(CN(C)C2CCC2)cn1 ZINC000347870159 136016583 /nfs/dbraw/zinc/01/65/83/136016583.db2.gz QSYDAVZHFGWEGI-UHFFFAOYSA-N 0 3 204.317 2.628 20 0 BFADHN FC(F)n1ccnc1CN[C@@H]1CC[C@H]1C1CC1 ZINC000348058257 136024360 /nfs/dbraw/zinc/02/43/60/136024360.db2.gz DNKOZQZDPJIQGS-VHSXEESVSA-N 0 3 241.285 2.556 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1cccc2c1OCO2 ZINC000348101064 136036312 /nfs/dbraw/zinc/03/63/12/136036312.db2.gz HMTVVGHNFRPIFV-PWSUYJOCSA-N 0 3 233.311 2.694 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1cc(OC)ccc1OC ZINC000348107982 136037037 /nfs/dbraw/zinc/03/70/37/136037037.db2.gz WGEDMJAHHNCIDQ-RISCZKNCSA-N 0 3 249.354 2.982 20 0 BFADHN CC(C)CCCN1CCC[C@H]1c1cc[nH]n1 ZINC000348152085 136039788 /nfs/dbraw/zinc/03/97/88/136039788.db2.gz OQROEJCPEADCKS-ZDUSSCGKSA-N 0 3 221.348 2.983 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@H]2C(F)F)s1 ZINC000348176981 136042584 /nfs/dbraw/zinc/04/25/84/136042584.db2.gz DXRUMZXVLFBUNE-BDAKNGLRSA-N 0 3 217.284 2.800 20 0 BFADHN Cc1noc(CCCN2CCCC3(CCC3)C2)n1 ZINC000348175804 136042718 /nfs/dbraw/zinc/04/27/18/136042718.db2.gz FSXHIFSDJNFSEX-UHFFFAOYSA-N 0 3 249.358 2.577 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H]2C(F)F)s1 ZINC000348176987 136043020 /nfs/dbraw/zinc/04/30/20/136043020.db2.gz DXRUMZXVLFBUNE-DTWKUNHWSA-N 0 3 217.284 2.800 20 0 BFADHN Cc1cc(F)ccc1CN[C@H]1C[C@H]1C(F)F ZINC000348180467 136043257 /nfs/dbraw/zinc/04/32/57/136043257.db2.gz HQIAWGMNQKUVNG-MNOVXSKESA-N 0 3 229.245 2.877 20 0 BFADHN Cc1cccc(OCCSCCN(C)C)c1 ZINC000348181772 136043794 /nfs/dbraw/zinc/04/37/94/136043794.db2.gz PBQFQTKRUHFEHT-UHFFFAOYSA-N 0 3 239.384 2.669 20 0 BFADHN CCc1ccc(CN2CC[C@H](C)[C@H](OC)C2)cn1 ZINC000348211586 136045390 /nfs/dbraw/zinc/04/53/90/136045390.db2.gz FPXJIMIOIGGGFW-SWLSCSKDSA-N 0 3 248.370 2.501 20 0 BFADHN FC(F)[C@@H]1C[C@@H]1N[C@@H]1CCCc2occc21 ZINC000348223853 136047545 /nfs/dbraw/zinc/04/75/45/136047545.db2.gz MNAGBQBCITXGBF-BBBLOLIVSA-N 0 3 227.254 2.900 20 0 BFADHN CC(C)[C@@H](NCC1=CCCOC1)c1cccnc1 ZINC000348207539 136054852 /nfs/dbraw/zinc/05/48/52/136054852.db2.gz PBPDUTNOBWOHTO-OAHLLOKOSA-N 0 3 246.354 2.715 20 0 BFADHN Cc1cnn(C)c1CN(C)C[C@H]1CC=CCC1 ZINC000348262909 136057880 /nfs/dbraw/zinc/05/78/80/136057880.db2.gz RCPVJWVHVZYSAS-ZDUSSCGKSA-N 0 3 233.359 2.517 20 0 BFADHN CC(C)CCCN1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000348234427 136061003 /nfs/dbraw/zinc/06/10/03/136061003.db2.gz KRLYXQOFSIOGIX-OCCSQVGLSA-N 0 3 225.376 2.922 20 0 BFADHN COc1cccc(CN2CCC[C@](C)(OC)C2)c1 ZINC000348237534 136061219 /nfs/dbraw/zinc/06/12/19/136061219.db2.gz NHTIVDWVYKSAIH-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN CSc1ccccc1CN(C)CCCCO ZINC000348309033 136066881 /nfs/dbraw/zinc/06/68/81/136066881.db2.gz SSEDOOKRSSFUPM-UHFFFAOYSA-N 0 3 239.384 2.613 20 0 BFADHN Cn1ccc(CN2CCCC23CCCCC3)n1 ZINC000335348086 136067634 /nfs/dbraw/zinc/06/76/34/136067634.db2.gz PNIYNFPMVIFWSS-UHFFFAOYSA-N 0 3 233.359 2.719 20 0 BFADHN CCCC[C@H]1CCC[C@H]1NCc1nccn1C ZINC000348317823 136068545 /nfs/dbraw/zinc/06/85/45/136068545.db2.gz NNUVQVOIOSLLHS-QWHCGFSZSA-N 0 3 235.375 2.869 20 0 BFADHN CCN(Cc1ccc(F)c(F)c1)[C@@H]1CCOC1 ZINC000348352608 136071979 /nfs/dbraw/zinc/07/19/79/136071979.db2.gz KZLGHVDPGUOZQT-LLVKDONJSA-N 0 3 241.281 2.576 20 0 BFADHN CCc1ccc(CN(C)[C@H]2CC2(C)C)cn1 ZINC000348355069 136072222 /nfs/dbraw/zinc/07/22/22/136072222.db2.gz JTYOIYIQBMOBPU-ZDUSSCGKSA-N 0 3 218.344 2.874 20 0 BFADHN Cc1ccc([C@@H](NCCN(C)C)C2CCC2)o1 ZINC000348407987 136076907 /nfs/dbraw/zinc/07/69/07/136076907.db2.gz NOBRQRQABSKREA-AWEZNQCLSA-N 0 3 236.359 2.580 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CC[C@H](F)C2)c(C)n1 ZINC000348410220 136077620 /nfs/dbraw/zinc/07/76/20/136077620.db2.gz NUQVFKUILOKJFE-ZMLRMANQSA-N 0 3 237.322 2.635 20 0 BFADHN F[C@@H]1CCC[C@H](NCc2cn3ccccc3n2)C1 ZINC000335371830 136083561 /nfs/dbraw/zinc/08/35/61/136083561.db2.gz IVWBCCGADVTCBK-NEPJUHHUSA-N 0 3 247.317 2.705 20 0 BFADHN Cc1nc(CN[C@H]2CCC[C@@H](F)C2)cs1 ZINC000335372427 136084132 /nfs/dbraw/zinc/08/41/32/136084132.db2.gz KWPBZCODSBFPJU-ZJUUUORDSA-N 0 3 228.336 2.822 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@@H](F)C2)o1 ZINC000335372763 136084141 /nfs/dbraw/zinc/08/41/41/136084141.db2.gz PEYKASIJVIZNBY-GHMZBOCLSA-N 0 3 211.280 2.958 20 0 BFADHN Fc1ccc(CN2CC[C@@H]3CCCC[C@@H]32)nc1 ZINC000335388800 136090271 /nfs/dbraw/zinc/09/02/71/136090271.db2.gz LSIMTANSLUFXLC-FZMZJTMJSA-N 0 3 234.318 2.985 20 0 BFADHN CC1(C(N)=O)CN(C[C@@H]2CCCC(F)(F)C2)C1 ZINC000335394702 136092336 /nfs/dbraw/zinc/09/23/36/136092336.db2.gz HAVUGKNGIJFKDF-SECBINFHSA-N 0 3 246.301 2.669 20 0 BFADHN CCC1CCN([C@H](C)c2cnc(C)cn2)CC1 ZINC000335399583 136094007 /nfs/dbraw/zinc/09/40/07/136094007.db2.gz FZSPSDYGJAXUIA-GFCCVEGCSA-N 0 3 233.359 2.968 20 0 BFADHN FCCN[C@H]1CCC[C@H]1OCc1ccccc1 ZINC000348541548 136095689 /nfs/dbraw/zinc/09/56/89/136095689.db2.gz WTCVBIXRMCPEMP-UONOGXRCSA-N 0 3 237.318 2.683 20 0 BFADHN CC(C)[C@@H](O)CN1Cc2ccccc2[C@H]1C ZINC000335557956 136100028 /nfs/dbraw/zinc/10/00/28/136100028.db2.gz IOBRWWMAYDXKDW-RISCZKNCSA-N 0 3 219.328 2.580 20 0 BFADHN C[C@H]1C[C@H](O)CN1Cc1cccc(C2CC2)c1 ZINC000335560503 136105826 /nfs/dbraw/zinc/10/58/26/136105826.db2.gz UICPLTFWKUHBQO-NHYWBVRUSA-N 0 3 231.339 2.519 20 0 BFADHN c1ccc(CN2CCC[C@@]3(CCSC3)C2)nc1 ZINC000335581648 136112662 /nfs/dbraw/zinc/11/26/62/136112662.db2.gz PLQVWXJCIBCKRR-CQSZACIVSA-N 0 3 248.395 2.801 20 0 BFADHN c1c2cccnc2oc1CN[C@@H]1CCCSC1 ZINC000335582594 136113184 /nfs/dbraw/zinc/11/31/84/136113184.db2.gz XUVFAYNPETXMOV-LLVKDONJSA-N 0 3 248.351 2.813 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCCOC2)cc1F ZINC000348699944 136116519 /nfs/dbraw/zinc/11/65/19/136116519.db2.gz AXIAFYMDGAIANU-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN OCCN(Cc1cc(Cl)cs1)CC1CC1 ZINC000348707535 136116777 /nfs/dbraw/zinc/11/67/77/136116777.db2.gz JGBOBTHOFMKXIK-UHFFFAOYSA-N 0 3 245.775 2.606 20 0 BFADHN COc1ccccc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000381559436 397751762 /nfs/dbraw/zinc/75/17/62/397751762.db2.gz QMIWJVOSWZGUOW-IMRBUKKESA-N 0 3 217.312 2.583 20 0 BFADHN CC/C=C/CNCc1cccc(COC)c1 ZINC000295120448 397758362 /nfs/dbraw/zinc/75/83/62/397758362.db2.gz WNJJBOOXUWPNHJ-SNAWJCMRSA-N 0 3 219.328 2.889 20 0 BFADHN Cc1ccc(CN(C)CCCOC(C)C)o1 ZINC000171498217 397827356 /nfs/dbraw/zinc/82/73/56/397827356.db2.gz HTKXHQZZQMPFNA-UHFFFAOYSA-N 0 3 225.332 2.835 20 0 BFADHN CCN(C)Cc1cnc(-c2ccccc2)[nH]1 ZINC000179948938 397854749 /nfs/dbraw/zinc/85/47/49/397854749.db2.gz BULZUBXTLYKQJJ-UHFFFAOYSA-N 0 3 215.300 2.528 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1ccc(Cl)cn1 ZINC000309937041 397872557 /nfs/dbraw/zinc/87/25/57/397872557.db2.gz AHCSXFZPLJXOMN-GXSJLCMTSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCC[C@@H]2CCO)o1 ZINC000188034012 397881295 /nfs/dbraw/zinc/88/12/95/397881295.db2.gz VALFJVOAULMBTM-YNEHKIRRSA-N 0 3 237.343 2.790 20 0 BFADHN Cc1occc1CN1CCSC(C)(C)C1 ZINC000414393700 397927300 /nfs/dbraw/zinc/92/73/00/397927300.db2.gz JCTZTZCIMFNYLM-UHFFFAOYSA-N 0 3 225.357 2.915 20 0 BFADHN CC[C@H](F)CN1CCC[C@](C)(OC)CC1 ZINC000440526556 397929594 /nfs/dbraw/zinc/92/95/94/397929594.db2.gz QLKSWEIDJNZSLK-RYUDHWBXSA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cc2n(n1)CCCC2 ZINC000649575605 397965297 /nfs/dbraw/zinc/96/52/97/397965297.db2.gz VOFVGLFCRUGOLQ-NWDGAFQWSA-N 0 3 235.375 2.744 20 0 BFADHN CCCc1ccc(CN2CC[C@H](C)[C@H](O)C2)cc1 ZINC000357573618 397938609 /nfs/dbraw/zinc/93/86/09/397938609.db2.gz SRPHDTMCDVMLPR-XJKSGUPXSA-N 0 3 247.382 2.842 20 0 BFADHN CC(C)C1(NCc2nc3c(s2)CCC3)CC1 ZINC000382368806 397940438 /nfs/dbraw/zinc/94/04/38/397940438.db2.gz DGMDUKBPIJOFGD-UHFFFAOYSA-N 0 3 236.384 2.910 20 0 BFADHN CC[C@H](NCc1ncc(C)n1C)[C@@H]1CC1(C)C ZINC000418109582 397940827 /nfs/dbraw/zinc/94/08/27/397940827.db2.gz UTAQVZBWWKNARH-RYUDHWBXSA-N 0 3 235.375 2.643 20 0 BFADHN CC(C)[C@H]1CCN(Cc2cc3n(n2)CCCC3)C1 ZINC000649550120 397941627 /nfs/dbraw/zinc/94/16/27/397941627.db2.gz CPEOADBPUMFWFS-ZDUSSCGKSA-N 0 3 247.386 2.697 20 0 BFADHN CC(C)C[C@@H](C)N1CCO[C@H](C2CC2)C1 ZINC000445542403 397943984 /nfs/dbraw/zinc/94/39/84/397943984.db2.gz XGMOYWDPXLLVSM-YPMHNXCESA-N 0 3 211.349 2.532 20 0 BFADHN CC(C)CCOCCN1CCS[C@@H](C)[C@@H]1C ZINC000118534221 397945266 /nfs/dbraw/zinc/94/52/66/397945266.db2.gz UQRWELUPKXHMKL-STQMWFEESA-N 0 3 245.432 2.875 20 0 BFADHN C[C@H](c1ccco1)N(C[C@H]1CCCN1C)C1CC1 ZINC000649563247 397954157 /nfs/dbraw/zinc/95/41/57/397954157.db2.gz IWNBQGGMWDRATN-TZMCWYRMSA-N 0 3 248.370 2.899 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1occc1C)C1CC1 ZINC000414500000 397957270 /nfs/dbraw/zinc/95/72/70/397957270.db2.gz KWOZJSLHUCFYKZ-FZMZJTMJSA-N 0 3 237.343 2.881 20 0 BFADHN C[C@H]1CCCC[C@@H]1NCc1cc2n(n1)CCCC2 ZINC000649583748 397968246 /nfs/dbraw/zinc/96/82/46/397968246.db2.gz YAGIYRAGAJYEOC-WFASDCNBSA-N 0 3 247.386 2.888 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ccc(C)o1 ZINC000044688852 397968293 /nfs/dbraw/zinc/96/82/93/397968293.db2.gz PPNCMSPGAXXLTB-ONGXEEELSA-N 0 3 211.305 2.664 20 0 BFADHN C[C@H](N[C@@H](C)c1ccccc1)c1ccnn1C ZINC000192244674 397892319 /nfs/dbraw/zinc/89/23/19/397892319.db2.gz XYTAFNDZSRYDTF-RYUDHWBXSA-N 0 3 229.327 2.832 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cnc(C)s1)C1CC1 ZINC000382160323 397916126 /nfs/dbraw/zinc/91/61/26/397916126.db2.gz SNLGHAQWYVMCBD-KWQFWETISA-N 0 3 240.372 2.527 20 0 BFADHN Cc1oncc1CN1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000355780757 397920721 /nfs/dbraw/zinc/92/07/21/397920721.db2.gz IIALAORTBFHPQJ-MXWKQRLJSA-N 0 3 222.332 2.849 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cc2n(n1)CCC2 ZINC000649572796 397964617 /nfs/dbraw/zinc/96/46/17/397964617.db2.gz JKKDBQTVXUXVGM-AAEUAGOBSA-N 0 3 233.359 2.592 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@H](C)[C@H]2C)cs1 ZINC000418140488 397990139 /nfs/dbraw/zinc/99/01/39/397990139.db2.gz XAISDPYGPFIFMY-LPEHRKFASA-N 0 3 224.373 2.928 20 0 BFADHN CCN(CC)[C@@H](C)C(=O)Nc1ccc(C)c(C)c1 ZINC000120524596 397996654 /nfs/dbraw/zinc/99/66/54/397996654.db2.gz GVBHDAHMONHHPF-ZDUSSCGKSA-N 0 3 248.370 2.972 20 0 BFADHN CC(C)O[C@H]1CCCN(CCc2ccncc2)C1 ZINC000649697407 398045519 /nfs/dbraw/zinc/04/55/19/398045519.db2.gz HLNAMTCSLXQDAZ-HNNXBMFYSA-N 0 3 248.370 2.514 20 0 BFADHN CCCCN[C@@H](COC)c1ccc(C)o1 ZINC000184639816 398045596 /nfs/dbraw/zinc/04/55/96/398045596.db2.gz NYPNASKGGSPASF-NSHDSACASA-N 0 3 211.305 2.665 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1cc(C)oc1C ZINC000167049763 398004738 /nfs/dbraw/zinc/00/47/38/398004738.db2.gz FVAPUNAAVIZNAF-VHSXEESVSA-N 0 3 211.305 2.582 20 0 BFADHN COC(=O)CN(C[C@H]1CCC[C@@H](C)C1)C(C)C ZINC000336728960 398006843 /nfs/dbraw/zinc/00/68/43/398006843.db2.gz XCRQKHKUTGROAY-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN COC(CN1CCC[C@H]1c1ccc(C)cc1)OC ZINC000372899132 398007137 /nfs/dbraw/zinc/00/71/37/398007137.db2.gz IEAINPAOGZZNLA-AWEZNQCLSA-N 0 3 249.354 2.751 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2cc3n(n2)CCC3)C1 ZINC000649623633 398008223 /nfs/dbraw/zinc/00/82/23/398008223.db2.gz YTTFOOUFMDUBCQ-QWHCGFSZSA-N 0 3 247.386 2.840 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2cc3n(n2)CCCC3)C[C@H]1C ZINC000649632123 398014325 /nfs/dbraw/zinc/01/43/25/398014325.db2.gz XPZYSBMXRNUSQD-JHJVBQTASA-N 0 3 247.386 2.696 20 0 BFADHN CC[C@@H](NCc1cc2n(n1)CCC2)[C@H]1CC1(C)C ZINC000649632135 398014679 /nfs/dbraw/zinc/01/46/79/398014679.db2.gz XXWYFMWONNFKRR-ZIAGYGMSSA-N 0 3 247.386 2.744 20 0 BFADHN Cc1ccc(CNCC2=CCCCC2)cn1 ZINC000379579107 398047160 /nfs/dbraw/zinc/04/71/60/398047160.db2.gz GPUDPYUIKGJSLE-UHFFFAOYSA-N 0 3 216.328 2.980 20 0 BFADHN Cc1nc(C)c(CN(C)[C@@H]2CC2(C)C)s1 ZINC000489430453 398024716 /nfs/dbraw/zinc/02/47/16/398024716.db2.gz QVKVOSXGYGKOHC-LLVKDONJSA-N 0 3 224.373 2.990 20 0 BFADHN COc1ccc(CN2CCC23CCCC3)cn1 ZINC000649656918 398026812 /nfs/dbraw/zinc/02/68/12/398026812.db2.gz FYSAZMIYGUOPDT-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN Cc1ccc([C@H](C)NCCN2CCCCC2)o1 ZINC000042226203 398030851 /nfs/dbraw/zinc/03/08/51/398030851.db2.gz QFSDMBWNKYCOMG-ZDUSSCGKSA-N 0 3 236.359 2.725 20 0 BFADHN CC(C)O[C@@H]1CCCN(CCC(F)(F)F)C1 ZINC000649700572 398049392 /nfs/dbraw/zinc/04/93/92/398049392.db2.gz HJWFBPIKLMMDFM-SNVBAGLBSA-N 0 3 239.281 2.828 20 0 BFADHN C[C@@]1(NCc2ccc3c(c2)CCCC3)CCOC1 ZINC000491305004 398037953 /nfs/dbraw/zinc/03/79/53/398037953.db2.gz AYYNVXJSENOHNS-MRXNPFEDSA-N 0 3 245.366 2.834 20 0 BFADHN COC[C@H](N[C@H](C)c1ccccc1)[C@H]1CCCO1 ZINC000278597303 398040744 /nfs/dbraw/zinc/04/07/44/398040744.db2.gz CTOUMSUAAGTTQQ-VHDGCEQUSA-N 0 3 249.354 2.531 20 0 BFADHN Cc1cncc(CCN2CC[C@@H](C)C(C)(C)C2)n1 ZINC000649585726 397974740 /nfs/dbraw/zinc/97/47/40/397974740.db2.gz DRZROAZZEMCSTD-GFCCVEGCSA-N 0 3 247.386 2.696 20 0 BFADHN CCO[C@H]1CCN(Cc2cccc(C)n2)C[C@H]1C ZINC000451553500 397977215 /nfs/dbraw/zinc/97/72/15/397977215.db2.gz ZPNUCGBVDBNZDW-DOMZBBRYSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@@H]1C[C@@H](C)[C@@H](C)N(Cc2cc3n(n2)CCC3)C1 ZINC000649591631 397978305 /nfs/dbraw/zinc/97/83/05/397978305.db2.gz CRLDBMXCZZORAM-JHJVBQTASA-N 0 3 247.386 2.696 20 0 BFADHN C[C@H]1CCCC[C@@H]1N(C)Cc1cc2n(n1)CCC2 ZINC000649591453 397978618 /nfs/dbraw/zinc/97/86/18/397978618.db2.gz XPHPPPOECIDMJF-WFASDCNBSA-N 0 3 247.386 2.840 20 0 BFADHN COc1ccc(CNC2(C3(C)CC3)CC2)cc1O ZINC000398200401 397980961 /nfs/dbraw/zinc/98/09/61/397980961.db2.gz XQFQLBQZIOWGQP-UHFFFAOYSA-N 0 3 247.338 2.823 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cnc(OC)s1 ZINC000388585494 397986542 /nfs/dbraw/zinc/98/65/42/397986542.db2.gz HSAMHWBGJQESBF-KOLCDFICSA-N 0 3 240.372 2.820 20 0 BFADHN CC[C@@H]1CN(CCC(C)(C)OC)[C@@H](CC)CO1 ZINC000649718935 398061267 /nfs/dbraw/zinc/06/12/67/398061267.db2.gz JHYMDRKESVAVPR-QWHCGFSZSA-N 0 3 243.391 2.691 20 0 BFADHN OC[C@H]1CCCN(C/C=C\c2ccc(F)cc2)C1 ZINC000255599674 398066598 /nfs/dbraw/zinc/06/65/98/398066598.db2.gz WDEYRCMHNKYKRW-VXYRWZGASA-N 0 3 249.329 2.543 20 0 BFADHN COc1ccc(CN[C@@H]2CCC23CCC3)cc1O ZINC000282255864 398066890 /nfs/dbraw/zinc/06/68/90/398066890.db2.gz QQYCZHLIFWKEFI-CQSZACIVSA-N 0 3 247.338 2.823 20 0 BFADHN CC[C@H]1CN(Cc2ccccc2C)[C@H](C)CO1 ZINC000649729928 398068016 /nfs/dbraw/zinc/06/80/16/398068016.db2.gz IBGZEIJMOSWUIB-HIFRSBDPSA-N 0 3 233.355 2.994 20 0 BFADHN Cn1cnc(CN2CCC[C@@H](C3CCC3)CC2)c1 ZINC000640108223 398072374 /nfs/dbraw/zinc/07/23/74/398072374.db2.gz YYNPWXNPGWAGFH-CQSZACIVSA-N 0 3 247.386 2.822 20 0 BFADHN CC[C@@H]1CN(CCC(C)(C)C)[C@H](C)CO1 ZINC000649734027 398075043 /nfs/dbraw/zinc/07/50/43/398075043.db2.gz REZKPYXLXYAQRF-VXGBXAGGSA-N 0 3 213.365 2.922 20 0 BFADHN CCN(Cc1ccc(C)nc1C)[C@H]1CCCOC1 ZINC000649755116 398085023 /nfs/dbraw/zinc/08/50/23/398085023.db2.gz FQTGGCSZBNLKHG-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN CCN(Cc1ccc(C)nc1C)[C@@H]1CCCOC1 ZINC000649755117 398085093 /nfs/dbraw/zinc/08/50/93/398085093.db2.gz FQTGGCSZBNLKHG-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC(C)(C)[C@H]2C2CC2)n1 ZINC000291579048 398095671 /nfs/dbraw/zinc/09/56/71/398095671.db2.gz OTBUJMIZBUFFFG-CQSZACIVSA-N 0 3 246.354 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC(C)(C)[C@H]2C2CC2)n1 ZINC000291579048 398095674 /nfs/dbraw/zinc/09/56/74/398095674.db2.gz OTBUJMIZBUFFFG-CQSZACIVSA-N 0 3 246.354 2.716 20 0 BFADHN CC[C@H](N)c1cn(C[C@H]2CCC[C@H](C)C2)nn1 ZINC000383927753 398052083 /nfs/dbraw/zinc/05/20/83/398052083.db2.gz SBNYRPUGQVSTIE-SRVKXCTJSA-N 0 3 236.363 2.514 20 0 BFADHN CCn1nccc1CN1CCC(C2CC2)CC1 ZINC000644838431 398091817 /nfs/dbraw/zinc/09/18/17/398091817.db2.gz TWRFYHQDVLNZCB-UHFFFAOYSA-N 0 3 233.359 2.525 20 0 BFADHN CC[C@@H](C(=O)N[C@H](C)C(C)(C)C)N(CC)CC ZINC000565063888 398054321 /nfs/dbraw/zinc/05/43/21/398054321.db2.gz YYQFGALJHOCBPV-NEPJUHHUSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1oc2ccccc2c1CNC[C@@H](O)C(C)C ZINC000160795917 398055026 /nfs/dbraw/zinc/05/50/26/398055026.db2.gz ZZGKUBBMSWZXKJ-CQSZACIVSA-N 0 3 247.338 2.848 20 0 BFADHN CC[C@H]1COC(C)(C)CN1CC=C(C)C ZINC000649715166 398056647 /nfs/dbraw/zinc/05/66/47/398056647.db2.gz VBMYLBGYCOMDEQ-LBPRGKRZSA-N 0 3 211.349 2.842 20 0 BFADHN CC[C@H]1COC(C)(C)CN1CCCCCOC ZINC000649716009 398057862 /nfs/dbraw/zinc/05/78/62/398057862.db2.gz QNYRTPQTXOJDNE-ZDUSSCGKSA-N 0 3 243.391 2.693 20 0 BFADHN CC[C@@H]1COC(C)(C)CN1CCCCF ZINC000649717833 398059100 /nfs/dbraw/zinc/05/91/00/398059100.db2.gz NCZAAXJIYCYGLW-LLVKDONJSA-N 0 3 217.328 2.626 20 0 BFADHN CCc1csc(NCCN2CCCCC2)n1 ZINC000061894647 398108941 /nfs/dbraw/zinc/10/89/41/398108941.db2.gz JPFYSBGLIYOUFG-UHFFFAOYSA-N 0 3 239.388 2.603 20 0 BFADHN CCCCOCCN1CC(C)(C)OCC1(C)C ZINC000604607810 398108925 /nfs/dbraw/zinc/10/89/25/398108925.db2.gz VBAHQICCJJJIGV-UHFFFAOYSA-N 0 3 243.391 2.693 20 0 BFADHN COc1ncc(CNC[C@@H](C)C(C)C)s1 ZINC000308891458 398101910 /nfs/dbraw/zinc/10/19/10/398101910.db2.gz YEPGQMAXSLCZNQ-SECBINFHSA-N 0 3 228.361 2.533 20 0 BFADHN COC[C@@H](N[C@H](C)C(C)C)c1ccco1 ZINC000122301770 398102453 /nfs/dbraw/zinc/10/24/53/398102453.db2.gz LCYHYCGHSKXMKR-GHMZBOCLSA-N 0 3 211.305 2.601 20 0 BFADHN C[C@@H](CC(C)(C)O)N[C@@H]1CCCc2cccnc21 ZINC000384707301 398102710 /nfs/dbraw/zinc/10/27/10/398102710.db2.gz CMPQQQKVKSMCFK-WCQYABFASA-N 0 3 248.370 2.598 20 0 BFADHN CCC(CC)CN(CC)CC(=O)N[C@H](C)CC ZINC000051824425 398105836 /nfs/dbraw/zinc/10/58/36/398105836.db2.gz JJTYZELBDBMMSO-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000384559936 398096701 /nfs/dbraw/zinc/09/67/01/398096701.db2.gz HPEVFCTZNSPJBM-YRGRVCCFSA-N 0 3 249.354 2.659 20 0 BFADHN C[C@H]1CC[C@@H](CN2CCC3(CC3(F)F)CC2)O1 ZINC000649773850 398097199 /nfs/dbraw/zinc/09/71/99/398097199.db2.gz ILURGLHLYVFUGQ-QWRGUYRKSA-N 0 3 245.313 2.675 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCCO[C@H](C)C1 ZINC000245405923 398115687 /nfs/dbraw/zinc/11/56/87/398115687.db2.gz VMSSAQBMTJDFMR-VXGBXAGGSA-N 0 3 237.318 2.998 20 0 BFADHN Cn1cccc1[C@@H]1CCCCN1C[C@H]1CCCO1 ZINC000245411771 398115784 /nfs/dbraw/zinc/11/57/84/398115784.db2.gz YKCHPALSMBUPNP-HIFRSBDPSA-N 0 3 248.370 2.731 20 0 BFADHN CCC1(CNCc2snnc2C)CCC1 ZINC000389596993 398116137 /nfs/dbraw/zinc/11/61/37/398116137.db2.gz QIFYJBOZWBAJNN-UHFFFAOYSA-N 0 3 225.361 2.516 20 0 BFADHN COc1ccc(CNC2(C3CCC3)CC2)nc1 ZINC000649810571 398117078 /nfs/dbraw/zinc/11/70/78/398117078.db2.gz QSRUESMLJAYZME-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN CCCCN(Cc1cnn(CC)c1)C(C)C ZINC000649812893 398119069 /nfs/dbraw/zinc/11/90/69/398119069.db2.gz MVAAHYIYFHTBPX-UHFFFAOYSA-N 0 3 223.364 2.914 20 0 BFADHN FC1(CNC2CC(OCc3ccccc3)C2)CC1 ZINC000527284886 398119328 /nfs/dbraw/zinc/11/93/28/398119328.db2.gz RRVYBDVIZLJYBS-UHFFFAOYSA-N 0 3 249.329 2.826 20 0 BFADHN COc1ncc(CNC[C@H]2CC[C@H](C)C2)s1 ZINC000309371479 398119661 /nfs/dbraw/zinc/11/96/61/398119661.db2.gz PLRXQUIKXKFGHB-UWVGGRQHSA-N 0 3 240.372 2.678 20 0 BFADHN COc1ncc(CNC[C@@H]2CC[C@@H](C)C2)s1 ZINC000309371477 398120004 /nfs/dbraw/zinc/12/00/04/398120004.db2.gz PLRXQUIKXKFGHB-NXEZZACHSA-N 0 3 240.372 2.678 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1cncc(F)c1 ZINC000090725020 398111476 /nfs/dbraw/zinc/11/14/76/398111476.db2.gz CBXRDYWQDQREEI-IUCAKERBSA-N 0 3 228.336 2.623 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@H]2C)on1 ZINC000309119417 398115336 /nfs/dbraw/zinc/11/53/36/398115336.db2.gz SJVBYUUCANRUKP-KOLCDFICSA-N 0 3 208.305 2.509 20 0 BFADHN OCCCN(Cc1cccc(C2CC2)c1)C1CC1 ZINC000643285582 398124131 /nfs/dbraw/zinc/12/41/31/398124131.db2.gz VVSXDYKKYLUFIJ-UHFFFAOYSA-N 0 3 245.366 2.911 20 0 BFADHN CCc1nnc(CNC2(CC)CCCC2)s1 ZINC000649825383 398127990 /nfs/dbraw/zinc/12/79/90/398127990.db2.gz RZNZUALRSXAUQL-UHFFFAOYSA-N 0 3 239.388 2.913 20 0 BFADHN CC/C=C/CCN1C[C@@H](C)OCC12CCC2 ZINC000649821895 398123486 /nfs/dbraw/zinc/12/34/86/398123486.db2.gz TVOQKCXWWZMYCA-MUBLQREKSA-N 0 3 223.360 2.986 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCSC2)c1 ZINC000286342952 398133201 /nfs/dbraw/zinc/13/32/01/398133201.db2.gz YXYCZGHBAQREBY-QWRGUYRKSA-N 0 3 222.357 2.546 20 0 BFADHN C[C@H](CCC1CC1)N[C@@H](C)c1ccncn1 ZINC000398135364 322865263 /nfs/dbraw/zinc/86/52/63/322865263.db2.gz XPHRTTYKSBRJHV-MNOVXSKESA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H](NCCc1ccccn1)c1ccoc1 ZINC000087289454 322874634 /nfs/dbraw/zinc/87/46/34/322874634.db2.gz DVJLCZHQRNNKRF-NSHDSACASA-N 0 3 216.284 2.568 20 0 BFADHN C[C@@H](NCCc1ccncc1)c1ccoc1 ZINC000087289903 322876473 /nfs/dbraw/zinc/87/64/73/322876473.db2.gz TUOLBZBPKCZVIC-LLVKDONJSA-N 0 3 216.284 2.568 20 0 BFADHN C/C(=C\c1ccccc1)CN(C)C[C@@H](O)C1CC1 ZINC000685461398 487529540 /nfs/dbraw/zinc/52/95/40/487529540.db2.gz LFQVRKALUFTDTA-QSOAKEGCSA-N 0 3 245.366 2.793 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)C[C@@H](O)C1CC1 ZINC000685460766 487529403 /nfs/dbraw/zinc/52/94/03/487529403.db2.gz FBXOPSRDYLPAOC-MPNWYJEXSA-N 0 3 249.329 2.542 20 0 BFADHN Fc1ccc(CNC[C@H]2CCCS2)c(F)c1 ZINC000087473259 322885405 /nfs/dbraw/zinc/88/54/05/322885405.db2.gz GXWOGJIYWHDKCO-LLVKDONJSA-N 0 3 243.322 2.950 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cccc(O)c2)CS1 ZINC000655704761 487565530 /nfs/dbraw/zinc/56/55/30/487565530.db2.gz KFZDMGFQYKIVRO-ZYHUDNBSSA-N 0 3 237.368 2.766 20 0 BFADHN Cc1ccoc1CN1CCC([C@H]2CCOC2)CC1 ZINC000680332955 487565770 /nfs/dbraw/zinc/56/57/70/487565770.db2.gz FQWXZRARNGOTTJ-AWEZNQCLSA-N 0 3 249.354 2.837 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccc(C)c(C)n2)CCO1 ZINC000313780070 487566651 /nfs/dbraw/zinc/56/66/51/487566651.db2.gz AARCYMBMPTVTAS-DZGCQCFKSA-N 0 3 248.370 2.746 20 0 BFADHN CCc1nn(C)cc1CN1C[C@H](C)CC(C)(C)C1 ZINC000680334484 487566750 /nfs/dbraw/zinc/56/67/50/487566750.db2.gz WPTAKZRWYKSYNV-GFCCVEGCSA-N 0 3 249.402 2.851 20 0 BFADHN COCc1csc(CN(C)C(C)C)c1 ZINC000667472405 487567142 /nfs/dbraw/zinc/56/71/42/487567142.db2.gz GVMOUGNXMLRKEC-UHFFFAOYSA-N 0 3 213.346 2.735 20 0 BFADHN CCN[C@@H](CCO)c1ccc(Cl)c(F)c1 ZINC000238253998 487571418 /nfs/dbraw/zinc/57/14/18/487571418.db2.gz JGDQJBZAUDXBIY-NSHDSACASA-N 0 3 231.698 2.512 20 0 BFADHN C[C@@H](N[C@H]1[C@@H]2CCC[C@@H]21)c1ccccn1 ZINC000308888224 487571766 /nfs/dbraw/zinc/57/17/66/487571766.db2.gz WOXFAWOJHKWONG-DCQANWLSSA-N 0 3 202.301 2.531 20 0 BFADHN CC(C)OCCCCN[C@H](C)c1cncs1 ZINC000134826883 167206248 /nfs/dbraw/zinc/20/62/48/167206248.db2.gz VKQWDRWNVPNZGJ-LLVKDONJSA-N 0 3 242.388 2.999 20 0 BFADHN CN(Cc1ccncc1)C[C@@H]1CC1(C)C ZINC000662344531 487578586 /nfs/dbraw/zinc/57/85/86/487578586.db2.gz BXZSHQPEBXRKQR-LBPRGKRZSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@H]1CN(Cc2noc3c2CCCC3)C[C@H]1C ZINC000674458480 487578908 /nfs/dbraw/zinc/57/89/08/487578908.db2.gz GOVJBGZTZDYRBQ-PHIMTYICSA-N 0 3 234.343 2.641 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2C[C@H]3CC[C@@H]2O3)c(C)o1 ZINC000278884766 487579362 /nfs/dbraw/zinc/57/93/62/487579362.db2.gz YEBQRTHWMKGDRV-IMJCEVDSSA-N 0 3 235.327 2.867 20 0 BFADHN Cc1c[nH]nc1CN(C1CC1)C1CCCC1 ZINC000685833784 487582091 /nfs/dbraw/zinc/58/20/91/487582091.db2.gz CRJVEMNVLKVWGW-UHFFFAOYSA-N 0 3 219.332 2.625 20 0 BFADHN CC(C)OCCN[C@@H]1CCc2cc(F)ccc21 ZINC000168878536 167243591 /nfs/dbraw/zinc/24/35/91/167243591.db2.gz BYVMEHBBXYSZAM-CQSZACIVSA-N 0 3 237.318 2.828 20 0 BFADHN CCOc1ccc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)nc1 ZINC000669575973 487584606 /nfs/dbraw/zinc/58/46/06/487584606.db2.gz IYNLBFZSIWVFQS-XQQFMLRXSA-N 0 3 248.370 2.957 20 0 BFADHN CC(C)OC[C@@H](C)NCc1ccc(F)cc1 ZINC000309588615 167257701 /nfs/dbraw/zinc/25/77/01/167257701.db2.gz TVLQQBVINHEBRM-LLVKDONJSA-N 0 3 225.307 2.729 20 0 BFADHN CC(C)CCN(C)Cc1c(C2CC2)cnn1C ZINC000667499551 487597460 /nfs/dbraw/zinc/59/74/60/487597460.db2.gz NXHNYXVUANSFPX-UHFFFAOYSA-N 0 3 235.375 2.775 20 0 BFADHN Cc1ccc([C@@H](NCC2(CO)CC2)C2CCC2)o1 ZINC000680465951 487601241 /nfs/dbraw/zinc/60/12/41/487601241.db2.gz MIKBZBLNYDTMLP-AWEZNQCLSA-N 0 3 249.354 2.791 20 0 BFADHN CC(C)OCCNCc1nccc2ccccc21 ZINC000680495981 487606261 /nfs/dbraw/zinc/60/62/61/487606261.db2.gz XRPYUDPDNDDZOI-UHFFFAOYSA-N 0 3 244.338 2.749 20 0 BFADHN CC(C)SCCN1CCO[C@@H](C(C)(C)C)C1 ZINC000338350137 167325538 /nfs/dbraw/zinc/32/55/38/167325538.db2.gz RCRYDYPPKFFZQI-GFCCVEGCSA-N 0 3 245.432 2.875 20 0 BFADHN Cc1nc(CN2C[C@@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)co1 ZINC000660756092 487608651 /nfs/dbraw/zinc/60/86/51/487608651.db2.gz QXFKKDKULYXCFR-AYRXBEOTSA-N 0 3 246.354 2.851 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccsc1 ZINC000178319160 487609276 /nfs/dbraw/zinc/60/92/76/487609276.db2.gz SMHQGABZOWIVRZ-HKWIRBFKSA-N 0 3 223.341 2.719 20 0 BFADHN c1nc(CN2CCC[C@H]2CC2CCCC2)c[nH]1 ZINC000653892645 487610143 /nfs/dbraw/zinc/61/01/43/487610143.db2.gz LUMAVQNHAFRUJY-AWEZNQCLSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1)c1ccc(Cl)c(F)c1 ZINC000215033943 409645370 /nfs/dbraw/zinc/64/53/70/409645370.db2.gz CHDRYMCSFKMCQX-PSASIEDQSA-N 0 3 243.709 2.919 20 0 BFADHN C[C@H](CO)C1CCN(Cc2ccsc2)CC1 ZINC000651955922 409650319 /nfs/dbraw/zinc/65/03/19/409650319.db2.gz FPMRPLDASOHDLR-LLVKDONJSA-N 0 3 239.384 2.589 20 0 BFADHN C[C@@H](CO)C1CCN(Cc2ccsc2)CC1 ZINC000651955923 409651615 /nfs/dbraw/zinc/65/16/15/409651615.db2.gz FPMRPLDASOHDLR-NSHDSACASA-N 0 3 239.384 2.589 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CC2(C)C)cn1 ZINC000662342943 409653258 /nfs/dbraw/zinc/65/32/58/409653258.db2.gz GORCRGIJMHKGCC-ZDUSSCGKSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)C[C@H]1CC1(C)C ZINC000662348585 409668983 /nfs/dbraw/zinc/66/89/83/409668983.db2.gz VXSQNBZPEPHWDK-GFCCVEGCSA-N 0 3 235.375 2.515 20 0 BFADHN C[C@H]1CN(CC2CCCC2)C[C@](C)(C(F)F)O1 ZINC000662359004 409671895 /nfs/dbraw/zinc/67/18/95/409671895.db2.gz HDJPILRBOXYEPR-GXFFZTMASA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1CN(CC2CCCC2)C[C@](C)(C(F)F)O1 ZINC000662359008 409673056 /nfs/dbraw/zinc/67/30/56/409673056.db2.gz HDJPILRBOXYEPR-ZWNOBZJWSA-N 0 3 247.329 2.921 20 0 BFADHN CC(C)SCCN[C@H](C)c1ccncc1 ZINC000283148439 167331358 /nfs/dbraw/zinc/33/13/58/167331358.db2.gz OJWMZNJJLBAFLQ-LLVKDONJSA-N 0 3 224.373 2.874 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@H]2C[C@H]2C1)c1ccn(C)n1 ZINC000660781615 409738396 /nfs/dbraw/zinc/73/83/96/409738396.db2.gz VRZAMZZOBZWKRT-QNWHQSFQSA-N 0 3 233.359 2.507 20 0 BFADHN CC[C@H](CN[C@H](C)c1ccn(C)n1)CC(F)F ZINC000660780689 409738705 /nfs/dbraw/zinc/73/87/05/409738705.db2.gz ONXROSNNFVOYHA-ZJUUUORDSA-N 0 3 245.317 2.752 20 0 BFADHN CCc1nc([C@@H](C)N2CC(C)(C)C[C@H]2C)n[nH]1 ZINC000660806284 409773388 /nfs/dbraw/zinc/77/33/88/409773388.db2.gz RVZJILFUIPIVKQ-NXEZZACHSA-N 0 3 236.363 2.549 20 0 BFADHN CC(C)SCCNCc1ccsc1 ZINC000290108061 167332223 /nfs/dbraw/zinc/33/22/23/167332223.db2.gz KWYFOFPIVRMBGH-UHFFFAOYSA-N 0 3 215.387 2.979 20 0 BFADHN Cn1cnc(CN2CCC[C@@H]2CC2CCCC2)c1 ZINC000660925216 409972149 /nfs/dbraw/zinc/97/21/49/409972149.db2.gz AANWZIUTEKITAZ-OAHLLOKOSA-N 0 3 247.386 2.965 20 0 BFADHN COc1ccc(CN2C3CCCC2CCC3)cn1 ZINC000674752629 487612136 /nfs/dbraw/zinc/61/21/36/487612136.db2.gz HYTOLBWYSOPPCM-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN COc1ccc(CN(C)[C@H](C)CC(C)C)cn1 ZINC000674751757 487612143 /nfs/dbraw/zinc/61/21/43/487612143.db2.gz SHEHJVDLHIGCOX-GFCCVEGCSA-N 0 3 236.359 2.957 20 0 BFADHN CC(C)C[C@H]1CCN(Cc2cccnc2)C1 ZINC000074994124 410045938 /nfs/dbraw/zinc/04/59/38/410045938.db2.gz IORWSPMSSODPTP-CYBMUJFWSA-N 0 3 218.344 2.950 20 0 BFADHN C[C@@H](NCC1=CCCC1)c1ccncc1 ZINC000401061076 410090026 /nfs/dbraw/zinc/09/00/26/410090026.db2.gz UYTNKYIEMQWEFI-LLVKDONJSA-N 0 3 202.301 2.843 20 0 BFADHN COc1ccc(CN[C@H](C)COCC2CC2)cc1 ZINC000651981216 410119779 /nfs/dbraw/zinc/11/97/79/410119779.db2.gz CFIJBWNQVMMULI-GFCCVEGCSA-N 0 3 249.354 2.600 20 0 BFADHN Cc1cc(CN[C@H](C)COCC2CC2)cs1 ZINC000651984080 410133894 /nfs/dbraw/zinc/13/38/94/410133894.db2.gz SGKUKCDTJGFBMY-SNVBAGLBSA-N 0 3 239.384 2.961 20 0 BFADHN Cc1nnsc1CN[C@@H](C)CC1CCC1 ZINC000309020762 410197914 /nfs/dbraw/zinc/19/79/14/410197914.db2.gz WTXIPLHFPMTHIW-QMMMGPOBSA-N 0 3 225.361 2.515 20 0 BFADHN Cc1cc(NC(=O)[C@H]2C[C@@H]2C)ccc1CN(C)C ZINC000655430684 410249555 /nfs/dbraw/zinc/24/95/55/410249555.db2.gz GZINFRDIAPHZNG-FZMZJTMJSA-N 0 3 246.354 2.651 20 0 BFADHN CN[C@@H](C)C(=O)N[C@H](CCC(C)C)C(C)(C)C ZINC000655435809 410256828 /nfs/dbraw/zinc/25/68/28/410256828.db2.gz HQWAGXYRAJGGIB-NWDGAFQWSA-N 0 3 242.407 2.561 20 0 BFADHN Nc1ccc(CN2CCC[C@@H](C3CCC3)C2)cn1 ZINC000663499079 410275702 /nfs/dbraw/zinc/27/57/02/410275702.db2.gz ABSVTVGOHNOMKO-CQSZACIVSA-N 0 3 245.370 2.676 20 0 BFADHN CC(C)Oc1ncccc1CNC[C@@H]1C[C@H]1C ZINC000683110507 487615645 /nfs/dbraw/zinc/61/56/45/487615645.db2.gz ROJMYPYFPKEHET-YPMHNXCESA-N 0 3 234.343 2.614 20 0 BFADHN CCc1cc(N2CC[C@@H](C)O[C@@H](C)C2)ccn1 ZINC000664466861 410586325 /nfs/dbraw/zinc/58/63/25/410586325.db2.gz GFAHXAXEEACNIF-NEPJUHHUSA-N 0 3 234.343 2.648 20 0 BFADHN CC(C)(C)N(Cc1ccccc1)CC1OCCO1 ZINC000272220108 166609645 /nfs/dbraw/zinc/60/96/45/166609645.db2.gz HMXKXRVRSTZWCI-UHFFFAOYSA-N 0 3 249.354 2.660 20 0 BFADHN CCC[C@H](CN[C@@H]1CCc2c1cccc2O)OC ZINC000393492574 410704472 /nfs/dbraw/zinc/70/44/72/410704472.db2.gz UKISNQKNQSTHKJ-BXUZGUMPSA-N 0 3 249.354 2.784 20 0 BFADHN CO[C@@H](CN1CC[C@H]1c1ccc(F)cc1)C1CC1 ZINC000661505463 410715150 /nfs/dbraw/zinc/71/51/50/410715150.db2.gz AAXHILFFWFWPPZ-GJZGRUSLSA-N 0 3 249.329 2.998 20 0 BFADHN CC[C@@H](CN1CC[C@H]1c1ccc(F)cc1)OC ZINC000661506191 410716763 /nfs/dbraw/zinc/71/67/63/410716763.db2.gz DSDCTGOJOXAJFC-KBPBESRZSA-N 0 3 237.318 2.998 20 0 BFADHN Fc1ccc([C@H]2CCN2C[C@H]2CCOC2)cc1 ZINC000661507776 410716843 /nfs/dbraw/zinc/71/68/43/410716843.db2.gz BNQYRGSIZFVMDQ-BXUZGUMPSA-N 0 3 235.302 2.609 20 0 BFADHN CC[C@H](CN1CC[C@@H]1c1ccc(F)cc1)OC ZINC000661506201 410716894 /nfs/dbraw/zinc/71/68/94/410716894.db2.gz DSDCTGOJOXAJFC-ZIAGYGMSSA-N 0 3 237.318 2.998 20 0 BFADHN COc1cccc(CN2CC[C@H](C3CCC3)C2)n1 ZINC000665285994 410769097 /nfs/dbraw/zinc/76/90/97/410769097.db2.gz BXHRPEBTUQFDED-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN CC(C)CN(Cc1ccon1)CC(C)C ZINC000157561569 166961911 /nfs/dbraw/zinc/96/19/11/166961911.db2.gz MVYCPMYEBKEDLA-UHFFFAOYSA-N 0 3 210.321 2.789 20 0 BFADHN Cc1cnc(CNC[C@@H](C)C2CC2)s1 ZINC000394736090 410819462 /nfs/dbraw/zinc/81/94/62/410819462.db2.gz SEZCGZKAEAFUNY-MRVPVSSYSA-N 0 3 210.346 2.587 20 0 BFADHN CC(C)CNCc1ccc(Br)o1 ZINC000019882970 167007929 /nfs/dbraw/zinc/00/79/29/167007929.db2.gz IDLTXAAASSNXGD-UHFFFAOYSA-N 0 3 232.121 2.788 20 0 BFADHN CC(C)NCC(=O)Nc1cccc2ccccc21 ZINC000037386001 167097606 /nfs/dbraw/zinc/09/76/06/167097606.db2.gz NNBOMUSJAGWRRI-UHFFFAOYSA-N 0 3 242.322 2.776 20 0 BFADHN CC(C)NCc1ccc(Br)o1 ZINC000019880450 167119617 /nfs/dbraw/zinc/11/96/17/167119617.db2.gz WXJJFGCZPJTVMF-UHFFFAOYSA-N 0 3 218.094 2.540 20 0 BFADHN CC(C)NCc1cccnc1OCC(F)(F)F ZINC000051523375 167120142 /nfs/dbraw/zinc/12/01/42/167120142.db2.gz QQSJZSKOEWNPDX-UHFFFAOYSA-N 0 3 248.248 2.521 20 0 BFADHN CC(C)NCc1nc2ccccc2n1C1CC1 ZINC000037599506 167122773 /nfs/dbraw/zinc/12/27/73/167122773.db2.gz AGONAZYPQVURRL-UHFFFAOYSA-N 0 3 229.327 2.869 20 0 BFADHN CC(C)NCc1ncc(C(C)(C)C)s1 ZINC000279133404 167124175 /nfs/dbraw/zinc/12/41/75/167124175.db2.gz NBPHMNUETHUWIM-UHFFFAOYSA-N 0 3 212.362 2.939 20 0 BFADHN CC(C)N[C@H]1c2ccc(F)cc2O[C@H]1C ZINC000334461778 167142867 /nfs/dbraw/zinc/14/28/67/167142867.db2.gz PKGAYOKHHOKPFA-QPUJVOFHSA-N 0 3 209.264 2.646 20 0 BFADHN c1n[nH]cc1CN1CC[C@H](C2CCCCC2)C1 ZINC000661578402 410908600 /nfs/dbraw/zinc/90/86/00/410908600.db2.gz MFKPIQBNSIPCDD-AWEZNQCLSA-N 0 3 233.359 2.812 20 0 BFADHN CC(C)OCCCCN[C@@H](C)c1cncs1 ZINC000134826862 167207539 /nfs/dbraw/zinc/20/75/39/167207539.db2.gz VKQWDRWNVPNZGJ-NSHDSACASA-N 0 3 242.388 2.999 20 0 BFADHN CC(C)OCCCN(C)[C@H](C)c1ccncc1 ZINC000172662744 167213280 /nfs/dbraw/zinc/21/32/80/167213280.db2.gz YPVQSHFEYZUXOT-CYBMUJFWSA-N 0 3 236.359 2.890 20 0 BFADHN CC(C)OCCCN[C@@H](C)c1ccc(F)cn1 ZINC000092195516 167222314 /nfs/dbraw/zinc/22/23/14/167222314.db2.gz ZVRBMOADKIRJFG-NSHDSACASA-N 0 3 240.322 2.686 20 0 BFADHN CC(C)OCCCN[C@H](C)c1ccccn1 ZINC000020198020 167223091 /nfs/dbraw/zinc/22/30/91/167223091.db2.gz YUWOHDXMMUWLAV-GFCCVEGCSA-N 0 3 222.332 2.547 20 0 BFADHN CC(C)OCCCN[C@H](C)c1cncc(F)c1 ZINC000178193265 167223636 /nfs/dbraw/zinc/22/36/36/167223636.db2.gz FFHKLIYQGCLPGR-LLVKDONJSA-N 0 3 240.322 2.686 20 0 BFADHN CC(C)OCCCNCc1cccc(F)c1F ZINC000070160396 167223667 /nfs/dbraw/zinc/22/36/67/167223667.db2.gz BLPZKSDAKLPLNY-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CC(C)OCCN(C)[C@@H](C)c1cccc(O)c1 ZINC000109784590 167228819 /nfs/dbraw/zinc/22/88/19/167228819.db2.gz JXVSQSUBDGLBCG-LBPRGKRZSA-N 0 3 237.343 2.810 20 0 BFADHN CC(C)OCCN1CCC(c2ccncc2)CC1 ZINC000191619025 167232463 /nfs/dbraw/zinc/23/24/63/167232463.db2.gz YMCHRYFLIPVOSH-UHFFFAOYSA-N 0 3 248.370 2.686 20 0 BFADHN CC(C)OCCN1CC[C@@H](Oc2ccccc2)C1 ZINC000374213517 167235666 /nfs/dbraw/zinc/23/56/66/167235666.db2.gz KWOJXVYDVXKTPT-OAHLLOKOSA-N 0 3 249.354 2.565 20 0 BFADHN CC(C)OCCNC1(c2ccc(F)cc2)CC1 ZINC000341704481 167240768 /nfs/dbraw/zinc/24/07/68/167240768.db2.gz HCHDYBOMJYVDFP-UHFFFAOYSA-N 0 3 237.318 2.829 20 0 BFADHN CC(C)OCCN[C@@H]1CCc2c1cccc2F ZINC000168889828 167243930 /nfs/dbraw/zinc/24/39/30/167243930.db2.gz RIYGGCGNSUCYDX-CQSZACIVSA-N 0 3 237.318 2.828 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H]1CCc2c1cccc2O ZINC000337329161 167258720 /nfs/dbraw/zinc/25/87/20/167258720.db2.gz FNLSQSIJWHNIEI-RISCZKNCSA-N 0 3 249.354 2.783 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H](C)c1ccccn1 ZINC000336744991 167258885 /nfs/dbraw/zinc/25/88/85/167258885.db2.gz QKBAFHPWYFRVEG-VXGBXAGGSA-N 0 3 222.332 2.546 20 0 BFADHN CC(C)OC[C@H](C)N[C@H](c1cccnc1)C1CC1 ZINC000337282203 167268466 /nfs/dbraw/zinc/26/84/66/167268466.db2.gz ICTYRAHHBQMZHZ-WFASDCNBSA-N 0 3 248.370 2.936 20 0 BFADHN CC(C)O[C@H]1C[C@H](NCc2ccoc2)C1(C)C ZINC000185203743 167282234 /nfs/dbraw/zinc/28/22/34/167282234.db2.gz VQYMORSNUROAGN-STQMWFEESA-N 0 3 237.343 2.961 20 0 BFADHN CC(C)O[C@H]1C[C@H](NCc2ccncc2)C1(C)C ZINC000274241075 167283426 /nfs/dbraw/zinc/28/34/26/167283426.db2.gz UBEYNGKGARNLFB-KBPBESRZSA-N 0 3 248.370 2.763 20 0 BFADHN CC(C)Oc1ccc([C@@H](C)N[C@H]2C[C@@H](O)C2)cc1 ZINC000295853843 167298995 /nfs/dbraw/zinc/29/89/95/167298995.db2.gz FCDLSKZHQDUZLU-BNOWGMLFSA-N 0 3 249.354 2.648 20 0 BFADHN CC(C)Oc1cccc(CN[C@@H]2CCO[C@@H]2C)c1 ZINC000135303710 167307032 /nfs/dbraw/zinc/30/70/32/167307032.db2.gz OQKMROKGQZLCKV-IUODEOHRSA-N 0 3 249.354 2.741 20 0 BFADHN CC(C)Oc1ccccc1CN[C@@H]1CCO[C@H]1C ZINC000120379342 167309996 /nfs/dbraw/zinc/30/99/96/167309996.db2.gz UJRDOCGIXYMFRV-GXTWGEPZSA-N 0 3 249.354 2.741 20 0 BFADHN CC(C)Oc1ccccc1CN[C@@H]1C[C@@H]1C ZINC000052273644 167310060 /nfs/dbraw/zinc/31/00/60/167310060.db2.gz HEQNFRCADDCGOT-WCQYABFASA-N 0 3 219.328 2.972 20 0 BFADHN CC(C)Oc1ccccc1CN[C@H]1C[C@H]1C ZINC000052273638 167310382 /nfs/dbraw/zinc/31/03/82/167310382.db2.gz HEQNFRCADDCGOT-YPMHNXCESA-N 0 3 219.328 2.972 20 0 BFADHN CC(C)[C@@H](CCO)N[C@H](C)c1ccccc1F ZINC000123491823 167393925 /nfs/dbraw/zinc/39/39/25/167393925.db2.gz WTNSXZQNMCPQSY-BXUZGUMPSA-N 0 3 239.334 2.883 20 0 BFADHN CC(C)(NCc1nccs1)C1CCC1 ZINC000398198639 410948532 /nfs/dbraw/zinc/94/85/32/410948532.db2.gz DMZYKFVSDWBHGK-UHFFFAOYSA-N 0 3 210.346 2.811 20 0 BFADHN CCCCCN[C@H](CO)c1cccc(F)c1 ZINC000310013220 410996668 /nfs/dbraw/zinc/99/66/68/410996668.db2.gz JOZQFYPQBROTPQ-CYBMUJFWSA-N 0 3 225.307 2.639 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1cnc(C)s1)OC ZINC000651420168 411000615 /nfs/dbraw/zinc/00/06/15/411000615.db2.gz BALLKYGRBAZGEI-SKDRFNHKSA-N 0 3 242.388 2.917 20 0 BFADHN CCC(C)(C)OCCN[C@@H](C)c1c[nH]nc1C ZINC000651498978 411072726 /nfs/dbraw/zinc/07/27/26/411072726.db2.gz SYWKSNKIKITQKK-JTQLQIEISA-N 0 3 239.363 2.574 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H]2CC[C@H](C)O2)c(C)n1 ZINC000651499424 411072843 /nfs/dbraw/zinc/07/28/43/411072843.db2.gz NJGOIFRIEUMUQX-SGMGOOAPSA-N 0 3 248.370 2.917 20 0 BFADHN C[C@H](NC[C@@H]1CC2CCC1CC2)c1cnccn1 ZINC000400008083 411074142 /nfs/dbraw/zinc/07/41/42/411074142.db2.gz BYNSWXFRHWLIFB-ABXWRUBCSA-N 0 3 245.370 2.954 20 0 BFADHN CCC1CN(Cc2cc3ccccc3[nH]c2=O)C1 ZINC000651610231 411129840 /nfs/dbraw/zinc/12/98/40/411129840.db2.gz MVMSIKTYWFUGRK-UHFFFAOYSA-N 0 3 242.322 2.782 20 0 BFADHN CCCN(C)Cc1cc(F)c(OC)cc1OC ZINC000651611561 411130358 /nfs/dbraw/zinc/13/03/58/411130358.db2.gz BCNNHODNDMBFNW-UHFFFAOYSA-N 0 3 241.306 2.685 20 0 BFADHN CN(Cc1cc2cnccc2o1)[C@H]1CCSC1 ZINC000651613014 411130700 /nfs/dbraw/zinc/13/07/00/411130700.db2.gz KLVVDCDFJZMDHW-NSHDSACASA-N 0 3 248.351 2.765 20 0 BFADHN Cc1ccc(CNCc2ccc(CO)cc2)cc1 ZINC000107800365 411139445 /nfs/dbraw/zinc/13/94/45/411139445.db2.gz HGOFHTYYWIQSIZ-UHFFFAOYSA-N 0 3 241.334 2.777 20 0 BFADHN CC[C@@]1(C)CCC[C@@H]1NCc1cc(C)ncn1 ZINC000651744921 411140800 /nfs/dbraw/zinc/14/08/00/411140800.db2.gz UKVDZPXFRMRAMN-KBPBESRZSA-N 0 3 233.359 2.843 20 0 BFADHN c1c2cnccc2oc1CN1CCCSCC1 ZINC000651756610 411141422 /nfs/dbraw/zinc/14/14/22/411141422.db2.gz ATVRJNHWWXASKV-UHFFFAOYSA-N 0 3 248.351 2.767 20 0 BFADHN CCc1cccc(CNCc2ccncc2)c1 ZINC000651780675 411143200 /nfs/dbraw/zinc/14/32/00/411143200.db2.gz PSJJKLWPFMRDRB-UHFFFAOYSA-N 0 3 226.323 2.934 20 0 BFADHN COC[C@@H](CC(C)(C)C)NCc1ccncc1 ZINC000651780702 411143255 /nfs/dbraw/zinc/14/32/55/411143255.db2.gz QTJCEUWWICLOPL-CYBMUJFWSA-N 0 3 236.359 2.622 20 0 BFADHN CC(C)=CCNCc1cc2cnccc2o1 ZINC000651784800 411143558 /nfs/dbraw/zinc/14/35/58/411143558.db2.gz DGTFTGIGRCVXJX-UHFFFAOYSA-N 0 3 216.284 2.884 20 0 BFADHN c1cc2c(c(CNCC3=CCCOC3)c1)CCC2 ZINC000651812017 411144968 /nfs/dbraw/zinc/14/49/68/411144968.db2.gz GUJDHABFCQAXPK-UHFFFAOYSA-N 0 3 243.350 2.612 20 0 BFADHN c1c2cnccc2oc1CN1CC(C2CC2)C1 ZINC000651815476 411145071 /nfs/dbraw/zinc/14/50/71/411145071.db2.gz UDRSXDWLEQSIIB-UHFFFAOYSA-N 0 3 228.295 2.670 20 0 BFADHN CCc1nc([C@H](C)N2CC[C@@](C)(CC)C2)n[nH]1 ZINC000659999540 411163735 /nfs/dbraw/zinc/16/37/35/411163735.db2.gz CFDOQTBKSDBBJP-GXFFZTMASA-N 0 3 236.363 2.550 20 0 BFADHN c1c2cnccc2oc1CN1CC[C@H]1C1CC1 ZINC000651820395 411146001 /nfs/dbraw/zinc/14/60/01/411146001.db2.gz FJJMBHPGQIPXFB-ZDUSSCGKSA-N 0 3 228.295 2.812 20 0 BFADHN Cc1occc1CN1CCC[C@H](OC(C)C)C1 ZINC000651897982 411149479 /nfs/dbraw/zinc/14/94/79/411149479.db2.gz PSJPPPQLXBEYDZ-AWEZNQCLSA-N 0 3 237.343 2.977 20 0 BFADHN CCn1cc(CN[C@@H]2C[C@H]2CC(C)(C)C)cn1 ZINC000651911750 411150244 /nfs/dbraw/zinc/15/02/44/411150244.db2.gz WEEBZUQCJOWMAT-QWHCGFSZSA-N 0 3 235.375 2.817 20 0 BFADHN C[C@@H](NCc1ccc(F)cn1)C(C)(C)C(F)F ZINC000657908696 411164142 /nfs/dbraw/zinc/16/41/42/411164142.db2.gz AFJXOTNLLSGOLF-MRVPVSSYSA-N 0 3 246.276 2.990 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@H](CCF)C2)o1 ZINC000661689989 411151023 /nfs/dbraw/zinc/15/10/23/411151023.db2.gz MREKQNUVDNTIMX-LBPRGKRZSA-N 0 3 240.322 2.863 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cc(C)nc(C)n1 ZINC000659974649 411151748 /nfs/dbraw/zinc/15/17/48/411151748.db2.gz ONPMJPHQRKOPQA-HZMBPMFUSA-N 0 3 233.359 2.714 20 0 BFADHN CO[C@H](CN(C)Cc1cccc(O)c1)C1CCC1 ZINC000659983732 411155383 /nfs/dbraw/zinc/15/53/83/411155383.db2.gz UHLHACRXABXCAX-OAHLLOKOSA-N 0 3 249.354 2.639 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CCc1noc2ccccc21 ZINC000653650498 411156492 /nfs/dbraw/zinc/15/64/92/411156492.db2.gz CHIRKVPXFBFCMH-GHMZBOCLSA-N 0 3 230.311 2.853 20 0 BFADHN Cc1nc(CN2[C@@H](C)CC[C@@H]2C)c(C)o1 ZINC000659999958 411164604 /nfs/dbraw/zinc/16/46/04/411164604.db2.gz JOGLCPXGVFOOPH-IUCAKERBSA-N 0 3 208.305 2.664 20 0 BFADHN CN1CCN([C@H]2CCc3ccccc32)CC1(C)C ZINC000653660092 411158974 /nfs/dbraw/zinc/15/89/74/411158974.db2.gz HGWKKDWMTKMLRW-HNNXBMFYSA-N 0 3 244.382 2.700 20 0 BFADHN c1ccc([C@@H]2CC[C@H](CNC3CSC3)O2)cc1 ZINC000652036802 411086586 /nfs/dbraw/zinc/08/65/86/411086586.db2.gz WIHNUDYNYLUEMB-KGLIPLIRSA-N 0 3 249.379 2.612 20 0 BFADHN CCc1cccc(CN[C@H]2COC(C)(C)C2)c1 ZINC000652033463 411087772 /nfs/dbraw/zinc/08/77/72/411087772.db2.gz UWOOHOUELNSLEM-CQSZACIVSA-N 0 3 233.355 2.906 20 0 BFADHN CCCn1cc(CNC2CC3(CCC3)C2)cn1 ZINC000652041530 411090119 /nfs/dbraw/zinc/09/01/19/411090119.db2.gz GFXIVOOKMJSUIL-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN CN(C)c1ccncc1CNC1CC2(CCC2)C1 ZINC000652042336 411092466 /nfs/dbraw/zinc/09/24/66/411092466.db2.gz ZXRJQRYEJVDFLZ-UHFFFAOYSA-N 0 3 245.370 2.570 20 0 BFADHN CCOc1cccc(CNC2CC3(CCC3)C2)n1 ZINC000652042128 411092495 /nfs/dbraw/zinc/09/24/95/411092495.db2.gz INXUAHTZSMPJNQ-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)CCO)ccc1Cl ZINC000652048456 411098292 /nfs/dbraw/zinc/09/82/92/411098292.db2.gz NCZKRGINOXHCIQ-NSHDSACASA-N 0 3 241.762 2.851 20 0 BFADHN C[C@@H](CCO)N(C)C/C=C/c1ccc(F)cc1 ZINC000652047948 411098601 /nfs/dbraw/zinc/09/86/01/411098601.db2.gz AYMYVYHTAPXNME-PCAWENJQSA-N 0 3 237.318 2.542 20 0 BFADHN FC1(F)C[C@]12CCN(Cc1ccco1)C2 ZINC000652062923 411103153 /nfs/dbraw/zinc/10/31/53/411103153.db2.gz ZWSQPBUPKRACLC-JTQLQIEISA-N 0 3 213.227 2.511 20 0 BFADHN Oc1cccc(CN2CC[C@@]3(CC3(F)F)C2)c1 ZINC000652062668 411104167 /nfs/dbraw/zinc/10/41/67/411104167.db2.gz RTICGKRXRNNWQX-GFCCVEGCSA-N 0 3 239.265 2.623 20 0 BFADHN F[C@H]1CCCN(C[C@H]2CCOc3ccccc32)C1 ZINC000652077296 411109200 /nfs/dbraw/zinc/10/92/00/411109200.db2.gz ZABDQTPKDXUKNN-OLZOCXBDSA-N 0 3 249.329 2.987 20 0 BFADHN F[C@@H]1CCCN(C[C@@H]2CCOc3ccccc32)C1 ZINC000652077297 411109512 /nfs/dbraw/zinc/10/95/12/411109512.db2.gz ZABDQTPKDXUKNN-QWHCGFSZSA-N 0 3 249.329 2.987 20 0 BFADHN C[C@H](N[C@@H]1CCN(C(C)(C)C)C1)c1ccco1 ZINC000658091358 411242416 /nfs/dbraw/zinc/24/24/16/411242416.db2.gz LYLCGMORCUSJCG-NWDGAFQWSA-N 0 3 236.359 2.803 20 0 BFADHN Cc1cc(CN[C@@H]2[C@@H]3CCC[C@@H]3C2(C)C)ncn1 ZINC000657933862 411172306 /nfs/dbraw/zinc/17/23/06/411172306.db2.gz UTJDWXHFLNOKSW-HZSPNIEDSA-N 0 3 245.370 2.699 20 0 BFADHN CCc1ccc(CN[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)nc1 ZINC000528759850 411242594 /nfs/dbraw/zinc/24/25/94/411242594.db2.gz PSRVPUVXLAGTEE-ASHKBJFXSA-N 0 3 248.370 2.546 20 0 BFADHN CCc1ccc(CN[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)nc1 ZINC000528759852 411242635 /nfs/dbraw/zinc/24/26/35/411242635.db2.gz PSRVPUVXLAGTEE-FJJYHAOUSA-N 0 3 248.370 2.546 20 0 BFADHN CC(C)CC[C@H]1CCCN1Cc1cn(C)cn1 ZINC000660012471 411174392 /nfs/dbraw/zinc/17/43/92/411174392.db2.gz KTZDGJXBJHYCTB-CQSZACIVSA-N 0 3 235.375 2.821 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1Cc1cn(C)cn1 ZINC000660015162 411175798 /nfs/dbraw/zinc/17/57/98/411175798.db2.gz ZDOAAGQKGREYOE-JSGCOSHPSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1ccncn1 ZINC000652240812 411178725 /nfs/dbraw/zinc/17/87/25/411178725.db2.gz MLKVFBCTMSUYQR-GMXVVIOVSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@H](NCCC[C@@H]1CCOC1)c1cscn1 ZINC000401946342 411181152 /nfs/dbraw/zinc/18/11/52/411181152.db2.gz WHMQJNDBQSLTQB-WDEREUQCSA-N 0 3 240.372 2.610 20 0 BFADHN c1ncc(CN[C@H]2CCCC23CC3)s1 ZINC000657974413 411183836 /nfs/dbraw/zinc/18/38/36/411183836.db2.gz OEDCTTQMVOYILZ-JTQLQIEISA-N 0 3 208.330 2.565 20 0 BFADHN C[C@H](c1ccccc1F)N1C[C@@H]2COC[C@@]2(C)C1 ZINC000661697492 411185602 /nfs/dbraw/zinc/18/56/02/411185602.db2.gz DINLOYHRGBNNOJ-LALPHHSUSA-N 0 3 249.329 2.855 20 0 BFADHN C[C@H](NC1(C2CCC2)CC1)c1cc2n(n1)CCC2 ZINC000652273334 411185758 /nfs/dbraw/zinc/18/57/58/411185758.db2.gz KHIOSJFAOYHXGQ-NSHDSACASA-N 0 3 245.370 2.813 20 0 BFADHN C[C@@H](COCC1CC1)N[C@@H](C)c1ccoc1 ZINC000652285950 411187793 /nfs/dbraw/zinc/18/77/93/411187793.db2.gz IQDBPFUCRDAMPI-QWRGUYRKSA-N 0 3 223.316 2.745 20 0 BFADHN CC[C@H](N[C@@H](C)COCC1CC1)c1ccncc1 ZINC000652287036 411188359 /nfs/dbraw/zinc/18/83/59/411188359.db2.gz LZGJFPNVZBOQGK-WFASDCNBSA-N 0 3 248.370 2.937 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1ccc(C(F)F)nc1 ZINC000657984217 411189028 /nfs/dbraw/zinc/18/90/28/411189028.db2.gz CFEXEDVOLDMMFF-DTWKUNHWSA-N 0 3 244.285 2.705 20 0 BFADHN CC(C)NCc1cnc(-c2ccccc2)nc1 ZINC000048326638 411189214 /nfs/dbraw/zinc/18/92/14/411189214.db2.gz IFPWBOLPFOQRIV-UHFFFAOYSA-N 0 3 227.311 2.642 20 0 BFADHN CCC(C)(C)N1CCN([C@@H]2C=CCCC2)CC1 ZINC000653809408 411189305 /nfs/dbraw/zinc/18/93/05/411189305.db2.gz WNEKXEWKNKFTPC-CQSZACIVSA-N 0 3 236.403 2.901 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1ccc(C(F)F)nc1 ZINC000657984216 411189506 /nfs/dbraw/zinc/18/95/06/411189506.db2.gz CFEXEDVOLDMMFF-BDAKNGLRSA-N 0 3 244.285 2.705 20 0 BFADHN c1cc2n(n1)CCC[C@H]2N[C@@H]1CC12CCCC2 ZINC000657990612 411191324 /nfs/dbraw/zinc/19/13/24/411191324.db2.gz QLUZGNIYUOSWPE-DGCLKSJQSA-N 0 3 231.343 2.640 20 0 BFADHN C[C@H]1CC[C@@H](NCc2ccoc2)CS1 ZINC000655697609 411244252 /nfs/dbraw/zinc/24/42/52/411244252.db2.gz SBSULMGCTISQAZ-GXSJLCMTSA-N 0 3 211.330 2.653 20 0 BFADHN c1cc2n(n1)CCC[C@H]2N[C@H]1CC12CCCC2 ZINC000657990614 411191567 /nfs/dbraw/zinc/19/15/67/411191567.db2.gz QLUZGNIYUOSWPE-YPMHNXCESA-N 0 3 231.343 2.640 20 0 BFADHN Cc1cscc1CN[C@H]1COC2(CCC2)C1 ZINC000657994814 411192061 /nfs/dbraw/zinc/19/20/61/411192061.db2.gz XLJIWVZWXVDHCL-GFCCVEGCSA-N 0 3 237.368 2.858 20 0 BFADHN CC[C@@H](N[C@H](CO)CCF)c1ccsc1 ZINC000652300506 411192072 /nfs/dbraw/zinc/19/20/72/411192072.db2.gz FYGJQWQNUNCHBU-WDEREUQCSA-N 0 3 231.336 2.509 20 0 BFADHN C[C@@H]1C[C@H](N[C@H](CO)CCF)c2ccccc21 ZINC000652300923 411192442 /nfs/dbraw/zinc/19/24/42/411192442.db2.gz OIIXUEMXTIWGFU-SUNKGSAMSA-N 0 3 237.318 2.545 20 0 BFADHN CCN1CCN(CCCC2CCC2)C[C@@H]1C ZINC000660125774 411244528 /nfs/dbraw/zinc/24/45/28/411244528.db2.gz KJFRQKYKUACWSK-ZDUSSCGKSA-N 0 3 224.392 2.593 20 0 BFADHN CCC[C@@H](N[C@H](CO)CCF)c1ccsc1 ZINC000652302413 411193367 /nfs/dbraw/zinc/19/33/67/411193367.db2.gz XPSZRJPYFAEZJH-NWDGAFQWSA-N 0 3 245.363 2.899 20 0 BFADHN CC[C@H](N[C@H](CO)CCF)c1cccc(F)c1 ZINC000652301627 411193600 /nfs/dbraw/zinc/19/36/00/411193600.db2.gz DCXHMBAIKMVMEO-STQMWFEESA-N 0 3 243.297 2.587 20 0 BFADHN CC[C@@H](CN1CCC=C(c2ccccn2)C1)OC ZINC000653702079 411194078 /nfs/dbraw/zinc/19/40/78/411194078.db2.gz QXFPQRKWVKPGNK-AWEZNQCLSA-N 0 3 246.354 2.596 20 0 BFADHN CCOCCCN1CCC=C(c2ccccn2)C1 ZINC000653700960 411194776 /nfs/dbraw/zinc/19/47/76/411194776.db2.gz ZJRNZHPPRTXMHO-UHFFFAOYSA-N 0 3 246.354 2.597 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](CO)CCF)cc1C ZINC000652305796 411195546 /nfs/dbraw/zinc/19/55/46/411195546.db2.gz JEMDWMZYMMGCCZ-GXTWGEPZSA-N 0 3 239.334 2.675 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](CO)CCF)cc1C ZINC000652305797 411195581 /nfs/dbraw/zinc/19/55/81/411195581.db2.gz JEMDWMZYMMGCCZ-JSGCOSHPSA-N 0 3 239.334 2.675 20 0 BFADHN OCC1(CCN[C@H]2CCCc3occc32)CCC1 ZINC000652312631 411199023 /nfs/dbraw/zinc/19/90/23/411199023.db2.gz WDZHOGBGMGZQOL-ZDUSSCGKSA-N 0 3 249.354 2.799 20 0 BFADHN C[C@H]1C[C@@H](N[C@H]2CC[C@@H](C)C[C@H]2C)c2ncnn21 ZINC000658100756 411245180 /nfs/dbraw/zinc/24/51/80/411245180.db2.gz IZWDXGJENIHAGE-NAWOPXAZSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@H]1C[C@H](NC2CCC(C)(C)CC2)c2ncnn21 ZINC000658100275 411245309 /nfs/dbraw/zinc/24/53/09/411245309.db2.gz GRMVYJMKLIKASE-JQWIXIFHSA-N 0 3 248.374 2.842 20 0 BFADHN CC[C@@H](NCc1cc(C)ccn1)[C@@H]1CCCCO1 ZINC000653874768 411205183 /nfs/dbraw/zinc/20/51/83/411205183.db2.gz KYUSYVRZXYTLNW-CABCVRRESA-N 0 3 248.370 2.827 20 0 BFADHN CC(C)n1cncc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000424190769 411245601 /nfs/dbraw/zinc/24/56/01/411245601.db2.gz GLPGVVJMVPKNHH-UONOGXRCSA-N 0 3 233.359 2.742 20 0 BFADHN CC[C@H](NCc1ccccn1)[C@H]1CCCCO1 ZINC000653876752 411206645 /nfs/dbraw/zinc/20/66/45/411206645.db2.gz GYJNYXSVPUOASG-UONOGXRCSA-N 0 3 234.343 2.519 20 0 BFADHN CC(C)=CCN1C[C@@H](c2cnn(C)c2)C(C)(C)C1 ZINC000653710641 411207379 /nfs/dbraw/zinc/20/73/79/411207379.db2.gz QAEUCUQYYYOKDD-AWEZNQCLSA-N 0 3 247.386 2.812 20 0 BFADHN CCCCCC(=O)N(C)[C@H]1CCN(C)[C@@H](C)C1 ZINC000247488826 411210262 /nfs/dbraw/zinc/21/02/62/411210262.db2.gz UCALHJDSLSSLGH-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCC(C)(C)C2)c2ncnn21 ZINC000658102944 411246046 /nfs/dbraw/zinc/24/60/46/411246046.db2.gz SGSQQXQDVFZVMX-WOPDTQHZSA-N 0 3 248.374 2.842 20 0 BFADHN CC[C@@H](C)NCc1cccnc1N1CCCC1 ZINC000658102043 411246064 /nfs/dbraw/zinc/24/60/64/411246064.db2.gz UUFPPVCNBXUQGC-GFCCVEGCSA-N 0 3 233.359 2.570 20 0 BFADHN Cc1ncc(CN[C@H](C)C(C)(C)C(F)F)o1 ZINC000658036128 411212271 /nfs/dbraw/zinc/21/22/71/411212271.db2.gz UUNYGFSMOPIPNH-SSDOTTSWSA-N 0 3 232.274 2.752 20 0 BFADHN C[C@@H](O)CN(C/C=C/c1ccccc1)C1CC1 ZINC000653895906 411212701 /nfs/dbraw/zinc/21/27/01/411212701.db2.gz HIDZBLBFCHPIKI-OQHXTRMZSA-N 0 3 231.339 2.545 20 0 BFADHN C[C@H](O)CN(Cc1ccc(Cl)s1)C1CC1 ZINC000653896411 411212745 /nfs/dbraw/zinc/21/27/45/411212745.db2.gz RWAJURGVTDZMTR-QMMMGPOBSA-N 0 3 245.775 2.747 20 0 BFADHN Fc1cccnc1N[C@@H]1CCCN2CCCC[C@H]12 ZINC000656228364 411214587 /nfs/dbraw/zinc/21/45/87/411214587.db2.gz WHDAYCRGOYVHCI-CHWSQXEVSA-N 0 3 249.333 2.650 20 0 BFADHN Cc1cc(CN(C[C@H](C)O)C2CC2)c(C)s1 ZINC000653899062 411215015 /nfs/dbraw/zinc/21/50/15/411215015.db2.gz WMBRIBFRHXKJRF-VIFPVBQESA-N 0 3 239.384 2.710 20 0 BFADHN CC[C@H](N[C@@H]1C[C@@H](C)n2ncnc21)[C@@H]1CC1(C)C ZINC000658102803 411246385 /nfs/dbraw/zinc/24/63/85/411246385.db2.gz QOHMVMMXSBPSQV-NOOOWODRSA-N 0 3 248.374 2.698 20 0 BFADHN CCCN(Cc1cn(C)nc1CC)C(C)C ZINC000656270179 411219934 /nfs/dbraw/zinc/21/99/34/411219934.db2.gz XNARUDDFRDWNLV-UHFFFAOYSA-N 0 3 223.364 2.603 20 0 BFADHN CCOc1ccc([C@H](C)N[C@@H]2CCOC2)cc1 ZINC000112759088 411220022 /nfs/dbraw/zinc/22/00/22/411220022.db2.gz IBWRWRJFKIPCRE-WCQYABFASA-N 0 3 235.327 2.525 20 0 BFADHN C[C@@H]1CCCC[C@@H]1N[C@@H]1CCCn2ccnc21 ZINC000655680990 411223047 /nfs/dbraw/zinc/22/30/47/411223047.db2.gz WBZSAJQUZYKMOB-FRRDWIJNSA-N 0 3 233.359 2.886 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@H](C)c1ccn(C)n1 ZINC000658113202 411247082 /nfs/dbraw/zinc/24/70/82/411247082.db2.gz DZSGBWPLHJWRSB-MNOVXSKESA-N 0 3 223.364 2.895 20 0 BFADHN COc1ccc2c(c1)CN(C[C@H]1CCSC1)C2 ZINC000656275421 411225707 /nfs/dbraw/zinc/22/57/07/411225707.db2.gz NQAZGSASKSZRPQ-LLVKDONJSA-N 0 3 249.379 2.764 20 0 BFADHN Cn1ccc(CN2CCC[C@@H](OC3CCC3)C2)c1 ZINC000656271243 411226335 /nfs/dbraw/zinc/22/63/35/411226335.db2.gz FNIDLJYVKBYBSP-OAHLLOKOSA-N 0 3 248.370 2.559 20 0 BFADHN Cn1ccc(CN2CCC[C@H](OC3CCC3)C2)c1 ZINC000656271241 411226734 /nfs/dbraw/zinc/22/67/34/411226734.db2.gz FNIDLJYVKBYBSP-HNNXBMFYSA-N 0 3 248.370 2.559 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NC[C@H](C)c1ccccn1 ZINC000658061357 411226778 /nfs/dbraw/zinc/22/67/78/411226778.db2.gz AVNYJDXFVYZMDV-QWRGUYRKSA-N 0 3 244.342 2.567 20 0 BFADHN C[C@H](CF)NC1CC(c2ccccc2)C1 ZINC000290100459 411228094 /nfs/dbraw/zinc/22/80/94/411228094.db2.gz HRFKAYQITNHSEL-QFWMXSHPSA-N 0 3 207.292 2.880 20 0 BFADHN CN1CCN(C2CCCC2)CC12CCCCC2 ZINC000653723147 411247291 /nfs/dbraw/zinc/24/72/91/411247291.db2.gz MPYCCDJNRBTMPE-UHFFFAOYSA-N 0 3 236.403 2.879 20 0 BFADHN C[C@H](CN[C@H](C)c1ccccn1)c1ccccn1 ZINC000658063690 411229314 /nfs/dbraw/zinc/22/93/14/411229314.db2.gz LAQMXZUFOOFYBV-CHWSQXEVSA-N 0 3 241.338 2.931 20 0 BFADHN C[C@@H](NC[C@H](C)c1ccccn1)c1ccccn1 ZINC000658063692 411229581 /nfs/dbraw/zinc/22/95/81/411229581.db2.gz LAQMXZUFOOFYBV-QWHCGFSZSA-N 0 3 241.338 2.931 20 0 BFADHN CCC[C@@H](C)NCc1cnc(C(F)(F)F)nc1 ZINC000658077503 411232218 /nfs/dbraw/zinc/23/22/18/411232218.db2.gz DEFCYLGGKZAKMI-MRVPVSSYSA-N 0 3 247.264 2.774 20 0 BFADHN CCC[C@H](C)NCc1cnc(C(F)(F)F)nc1 ZINC000658077505 411232439 /nfs/dbraw/zinc/23/24/39/411232439.db2.gz DEFCYLGGKZAKMI-QMMMGPOBSA-N 0 3 247.264 2.774 20 0 BFADHN c1csc(CCN[C@H]2CCCn3ccnc32)c1 ZINC000655690317 411235232 /nfs/dbraw/zinc/23/52/32/411235232.db2.gz LHJKMPAKFIYAKO-LBPRGKRZSA-N 0 3 247.367 2.612 20 0 BFADHN COCC1(C2CC2)CN(Cc2cccs2)C1 ZINC000639380939 411235269 /nfs/dbraw/zinc/23/52/69/411235269.db2.gz YTAABJJNSNEKAQ-UHFFFAOYSA-N 0 3 237.368 2.607 20 0 BFADHN CC(C)n1cncc1CN[C@H]1CCC(F)(F)C1 ZINC000310456446 411238502 /nfs/dbraw/zinc/23/85/02/411238502.db2.gz DARQKFPCYORKTK-JTQLQIEISA-N 0 3 243.301 2.741 20 0 BFADHN COc1cc(C)c(C)cc1N[C@H]1CN(C)C[C@@H]1C ZINC000658113343 411247917 /nfs/dbraw/zinc/24/79/17/411247917.db2.gz IIUSPHPOQLKFCV-JSGCOSHPSA-N 0 3 248.370 2.674 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H](CO)C1CCC1 ZINC000658088488 411239944 /nfs/dbraw/zinc/23/99/44/411239944.db2.gz UOQSMKRPXQGFHI-SMDDNHRTSA-N 0 3 249.354 2.507 20 0 BFADHN CC[C@H](COCC1CC1)N[C@H](C)c1ccncc1 ZINC000658090306 411240434 /nfs/dbraw/zinc/24/04/34/411240434.db2.gz IKBZSBZFTSGTLX-IUODEOHRSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@H](N[C@H]1CCN(C(C)(C)C)C1)c1ccccn1 ZINC000658092299 411241978 /nfs/dbraw/zinc/24/19/78/411241978.db2.gz PJJYCCPJVGNPLQ-STQMWFEESA-N 0 3 247.386 2.605 20 0 BFADHN OC/C=C\CN[C@H](CC1CC1)c1ccccc1 ZINC000658136603 411261791 /nfs/dbraw/zinc/26/17/91/411261791.db2.gz XZNGIRFQTDUJRW-FOSCPCJNSA-N 0 3 231.339 2.666 20 0 BFADHN Cc1ccc([C@@H](NC/C=C/CO)C2CCCC2)o1 ZINC000658138944 411262951 /nfs/dbraw/zinc/26/29/51/411262951.db2.gz NYIRVZPOZXJZGN-RGDDUWESSA-N 0 3 249.354 2.957 20 0 BFADHN Cc1ccc([C@H](NC/C=C/CO)C2CCC2)o1 ZINC000658141356 411264985 /nfs/dbraw/zinc/26/49/85/411264985.db2.gz VAUFRPZUGVYDCZ-BAABZTOOSA-N 0 3 235.327 2.567 20 0 BFADHN C[C@@H](NC/C=C/CO)c1cccc(C2CC2)c1 ZINC000658139831 411265070 /nfs/dbraw/zinc/26/50/70/411265070.db2.gz SYCLXOLYBSWXQZ-QAVQXKDTSA-N 0 3 231.339 2.763 20 0 BFADHN Cc1ccc(C)c2c1CCC[C@H]2NC/C=C\CO ZINC000658140979 411265098 /nfs/dbraw/zinc/26/50/98/411265098.db2.gz UFPKAKFTGSFEDX-ABCZVMIZSA-N 0 3 245.366 2.819 20 0 BFADHN Cc1ccc2c(c1)[C@H](NC/C=C/CO)CCC2 ZINC000658142724 411265810 /nfs/dbraw/zinc/26/58/10/411265810.db2.gz YMHGUQTYKIOXRX-XYBNCVKDSA-N 0 3 231.339 2.511 20 0 BFADHN CCC(CC)CN[C@H]1CCCn2ccnc21 ZINC000655711458 411265913 /nfs/dbraw/zinc/26/59/13/411265913.db2.gz GOCIELOQFNTUMI-LBPRGKRZSA-N 0 3 221.348 2.744 20 0 BFADHN CCC(CC)CN(CC)Cc1cc(OC)no1 ZINC000659840075 411327277 /nfs/dbraw/zinc/32/72/77/411327277.db2.gz ZQPRITUDOLFYBZ-UHFFFAOYSA-N 0 3 240.347 2.941 20 0 BFADHN CO[C@H](C)CN[C@@H]1CCc2c1cc(F)cc2F ZINC000656683361 411327457 /nfs/dbraw/zinc/32/74/57/411327457.db2.gz IEBFWKHWZAJNLS-AMIZOPFISA-N 0 3 241.281 2.577 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)C2(CO)CC2)oc1C ZINC000658156873 411270310 /nfs/dbraw/zinc/27/03/10/411270310.db2.gz WRUUYZPXMSHESZ-ZYHUDNBSSA-N 0 3 237.343 2.708 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H]2CCCc3occc32)C1 ZINC000658162877 411276180 /nfs/dbraw/zinc/27/61/80/411276180.db2.gz WWQZAYDDYPURMW-NQBHXWOUSA-N 0 3 235.327 2.814 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](C)c2ncccc2CC)C1 ZINC000658164958 411276725 /nfs/dbraw/zinc/27/67/25/411276725.db2.gz YPXKQTKNKUHWPT-UBHSHLNASA-N 0 3 248.370 2.862 20 0 BFADHN Cc1ccc([C@H](C)NCCO[C@H]2CC2(F)F)o1 ZINC000658167050 411278296 /nfs/dbraw/zinc/27/82/96/411278296.db2.gz RQSHVSVWBCZHLU-ONGXEEELSA-N 0 3 245.269 2.663 20 0 BFADHN CCC[C@@H](C)NCc1ccc2c(n1)CCC2 ZINC000656469334 411281441 /nfs/dbraw/zinc/28/14/41/411281441.db2.gz ZKZKRGKOBNYKRC-LLVKDONJSA-N 0 3 218.344 2.849 20 0 BFADHN Fc1cnccc1CN1CCC2(CC2)CC1 ZINC000656480721 411281458 /nfs/dbraw/zinc/28/14/58/411281458.db2.gz WCEGIMKFVVFIPQ-UHFFFAOYSA-N 0 3 220.291 2.597 20 0 BFADHN CCCC[C@@H](C)[C@H](C)NCc1cn(CC)nn1 ZINC000658177289 411282430 /nfs/dbraw/zinc/28/24/30/411282430.db2.gz TVNCUDXMJSBGQL-NEPJUHHUSA-N 0 3 238.379 2.602 20 0 BFADHN COc1cc(CN[C@H]2[C@H](C)CCC[C@@H]2C)on1 ZINC000660177936 411285424 /nfs/dbraw/zinc/28/54/24/411285424.db2.gz FYFFMSXGDZZKSU-IWIIMEHWSA-N 0 3 238.331 2.598 20 0 BFADHN CC(C)C(C)(C)NC(=O)Nc1cccc(CN)c1 ZINC000652609999 411286415 /nfs/dbraw/zinc/28/64/15/411286415.db2.gz HRNGSDRIUMFBNM-UHFFFAOYSA-N 0 3 249.358 2.701 20 0 BFADHN CCCC[C@@H]1CCC[C@@H]1NCc1cnccn1 ZINC000656496446 411287350 /nfs/dbraw/zinc/28/73/50/411287350.db2.gz MJDWLXBITUJKEG-OCCSQVGLSA-N 0 3 233.359 2.925 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NCCC(C)(C)F ZINC000658193810 411288231 /nfs/dbraw/zinc/28/82/31/411288231.db2.gz ZKDXKPBJGJALKN-QMMMGPOBSA-N 0 3 213.300 2.507 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NCCC(C)(C)F ZINC000658192990 411288311 /nfs/dbraw/zinc/28/83/11/411288311.db2.gz QEJMPGDOUIDXKL-SECBINFHSA-N 0 3 227.327 2.517 20 0 BFADHN CCC1(CN[C@@H]2CCCn3ccnc32)CCC1 ZINC000655720618 411289027 /nfs/dbraw/zinc/28/90/27/411289027.db2.gz AZLUZZTWBYVRCE-GFCCVEGCSA-N 0 3 233.359 2.888 20 0 BFADHN C[C@H](NCCc1ccoc1)c1cccc(O)c1 ZINC000658197278 411289549 /nfs/dbraw/zinc/28/95/49/411289549.db2.gz BMNQJJURAGHBTJ-NSHDSACASA-N 0 3 231.295 2.879 20 0 BFADHN c1cnc(CN[C@@H]2C[C@H]2C2CCCCC2)cn1 ZINC000656528790 411290565 /nfs/dbraw/zinc/29/05/65/411290565.db2.gz YLAUMVVRCPKWKN-UONOGXRCSA-N 0 3 231.343 2.535 20 0 BFADHN C[C@@H](NCCc1ccoc1)c1cncs1 ZINC000658201785 411291243 /nfs/dbraw/zinc/29/12/43/411291243.db2.gz UGPQFMNQFCCDFP-SECBINFHSA-N 0 3 222.313 2.629 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@@H]1CCC[C@@H]3C[C@@H]31)CCC2 ZINC000658206215 411292732 /nfs/dbraw/zinc/29/27/32/411292732.db2.gz GLGJWLBOMJJYOH-ZOBORPQBSA-N 0 3 245.370 2.744 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]2C[C@H]21)c1ccncn1 ZINC000658205522 411293001 /nfs/dbraw/zinc/29/30/01/411293001.db2.gz HXAOXINMEXMYSA-ZDEQEGDKSA-N 0 3 231.343 2.563 20 0 BFADHN CC[C@H](N[C@]1(C)CC1(C)C)c1ccn(C)n1 ZINC000658306248 411329575 /nfs/dbraw/zinc/32/95/75/411329575.db2.gz WIJUMLBRXSFWCW-GXFFZTMASA-N 0 3 221.348 2.649 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccn(C)n1 ZINC000658207661 411293298 /nfs/dbraw/zinc/29/32/98/411293298.db2.gz VXSSNHAIRKFNAK-RNJOBUHISA-N 0 3 233.359 2.507 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]2C[C@H]21)c1ccn(C)n1 ZINC000658207663 411293596 /nfs/dbraw/zinc/29/35/96/411293596.db2.gz VXSSNHAIRKFNAK-ZDEQEGDKSA-N 0 3 233.359 2.507 20 0 BFADHN CC(C)[C@@H](C)CN[C@@H]1CCCn2ccnc21 ZINC000655723093 411295788 /nfs/dbraw/zinc/29/57/88/411295788.db2.gz GXCGBXLFDSFRDT-NWDGAFQWSA-N 0 3 221.348 2.600 20 0 BFADHN CC(C)C[C@H](C)CNCc1nccs1 ZINC000289056260 411296292 /nfs/dbraw/zinc/29/62/92/411296292.db2.gz BSKIJBKRAYGPFF-JTQLQIEISA-N 0 3 212.362 2.915 20 0 BFADHN C[C@H](NC[C@]1(C)CC1(F)F)c1cncs1 ZINC000658216765 411296600 /nfs/dbraw/zinc/29/66/00/411296600.db2.gz CPKXADAYVDNVEL-CBAPKCEASA-N 0 3 232.299 2.839 20 0 BFADHN CO[C@H](C)CCNCc1cccc(Cl)c1F ZINC000268313219 411298077 /nfs/dbraw/zinc/29/80/77/411298077.db2.gz CGUQWKFWPVZESR-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN C[C@@H](NCC[C@]1(C)CC1(F)F)c1ccn(C)n1 ZINC000658218989 411298496 /nfs/dbraw/zinc/29/84/96/411298496.db2.gz GLZCDCJXKXMQPG-MWLCHTKSSA-N 0 3 243.301 2.506 20 0 BFADHN FC1(CNC[C@H]2CC[C@H](c3ccccc3)O2)CC1 ZINC000567541719 411298574 /nfs/dbraw/zinc/29/85/74/411298574.db2.gz MPABNAZZVMVZAV-ZIAGYGMSSA-N 0 3 249.329 2.998 20 0 BFADHN Cc1cncc([C@H](C)NC[C@H]2CCC[C@@H](C)O2)c1 ZINC000658220199 411299238 /nfs/dbraw/zinc/29/92/38/411299238.db2.gz OZONXXCSMOADBU-VNHYZAJKSA-N 0 3 248.370 2.998 20 0 BFADHN C[C@H](NC[C@]1(C)CC1(F)F)c1ccc(F)cn1 ZINC000658221096 411299283 /nfs/dbraw/zinc/29/92/83/411299283.db2.gz RKVMZMLYVFZQJR-KWQFWETISA-N 0 3 244.260 2.917 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@@H]1CCC[C@@H](C)O1 ZINC000658220599 411300243 /nfs/dbraw/zinc/30/02/43/411300243.db2.gz QKMGHVVFDOFFJT-RDBSUJKOSA-N 0 3 248.370 2.998 20 0 BFADHN CC(C)=CCCN[C@H](CO)c1ccc(F)cc1 ZINC000660236807 411301982 /nfs/dbraw/zinc/30/19/82/411301982.db2.gz RSPYVEMBFXLCPC-CQSZACIVSA-N 0 3 237.318 2.805 20 0 BFADHN C[C@H](NCCCC1CCC1)c1ccn(C)n1 ZINC000658223966 411302983 /nfs/dbraw/zinc/30/29/83/411302983.db2.gz QOECLDLQJZTDTE-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CCCC[C@H](C)NCc1nccc(OC)c1F ZINC000658224345 411303756 /nfs/dbraw/zinc/30/37/56/411303756.db2.gz ACJOTOPTHKJBGD-JTQLQIEISA-N 0 3 240.322 2.898 20 0 BFADHN CC1(C)C[C@H](N[C@H]2CCc3ccc(O)cc32)CO1 ZINC000658235500 411306114 /nfs/dbraw/zinc/30/61/14/411306114.db2.gz DPFJDGJZAVSIDI-FZMZJTMJSA-N 0 3 247.338 2.537 20 0 BFADHN CCOC1CC(N[C@@H]2CCc3ccc(O)cc32)C1 ZINC000658236979 411306191 /nfs/dbraw/zinc/30/61/91/411306191.db2.gz KZIVVZSZHCHGPA-ABIUDSBCSA-N 0 3 247.338 2.537 20 0 BFADHN CC1(C)C[C@@H](N[C@H]2CCc3ccc(O)cc32)CO1 ZINC000658235501 411306240 /nfs/dbraw/zinc/30/62/40/411306240.db2.gz DPFJDGJZAVSIDI-RISCZKNCSA-N 0 3 247.338 2.537 20 0 BFADHN CC[C@@H](N[C@@H](C)c1cc(C)n(C)n1)C1CC1 ZINC000658237384 411306415 /nfs/dbraw/zinc/30/64/15/411306415.db2.gz ZPMQAEMAXNNLFK-CMPLNLGQSA-N 0 3 221.348 2.568 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc(C)n(C)n1)C1CC1 ZINC000658237388 411306423 /nfs/dbraw/zinc/30/64/23/411306423.db2.gz ZPMQAEMAXNNLFK-JQWIXIFHSA-N 0 3 221.348 2.568 20 0 BFADHN C[C@H](N[C@]1(C)CC1(C)C)c1ccccn1 ZINC000658309002 411331040 /nfs/dbraw/zinc/33/10/40/411331040.db2.gz RVQCIIWKDOVACG-GXFFZTMASA-N 0 3 204.317 2.921 20 0 BFADHN CCC(CC)Cn1cc2c(n1)[C@H](N)CCC2 ZINC000656601560 411306925 /nfs/dbraw/zinc/30/69/25/411306925.db2.gz ZIPZERUOSYEABK-GFCCVEGCSA-N 0 3 221.348 2.655 20 0 BFADHN COc1ccccc1CN(C)[C@@H]1CCO[C@H](C)C1 ZINC000659827393 411310446 /nfs/dbraw/zinc/31/04/46/411310446.db2.gz WKLLFQUCFADEFA-TZMCWYRMSA-N 0 3 249.354 2.695 20 0 BFADHN CC1(C)CCC[C@@H]1CN[C@H]1CCn2ccnc21 ZINC000335636808 167907403 /nfs/dbraw/zinc/90/74/03/167907403.db2.gz WAVGRUAFLDMVRE-NEPJUHHUSA-N 0 3 233.359 2.744 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](C)C2)nc(C)n1 ZINC000659829466 411312327 /nfs/dbraw/zinc/31/23/27/411312327.db2.gz QUVFFVNVKJHFKL-PHIMTYICSA-N 0 3 233.359 2.571 20 0 BFADHN CC(C)c1cccc(CN2CCC[C@H](O)C2)c1 ZINC000659829552 411312668 /nfs/dbraw/zinc/31/26/68/411312668.db2.gz SVFIYJQDHAQGRD-HNNXBMFYSA-N 0 3 233.355 2.767 20 0 BFADHN COc1cc(CN2C[C@H](C)C[C@@H](C)C2)sn1 ZINC000659829481 411312904 /nfs/dbraw/zinc/31/29/04/411312904.db2.gz QYTSMBUJPPKYKQ-NXEZZACHSA-N 0 3 240.372 2.630 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](O)C12CCC2)c1cccc(F)c1 ZINC000658250393 411313504 /nfs/dbraw/zinc/31/35/04/411313504.db2.gz BRHGSRJAEAXYPC-ZLKJLUDKSA-N 0 3 249.329 2.780 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C12CCC2)c1cccc(F)c1 ZINC000658250391 411313631 /nfs/dbraw/zinc/31/36/31/411313631.db2.gz BRHGSRJAEAXYPC-LEWSCRJBSA-N 0 3 249.329 2.780 20 0 BFADHN CCN(CCSC)Cc1ccccc1OC ZINC000604503449 411314213 /nfs/dbraw/zinc/31/42/13/411314213.db2.gz UBLRVPYPZOWSPD-UHFFFAOYSA-N 0 3 239.384 2.880 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@@H](O)C23CCC3)c(C)o1 ZINC000658252115 411314408 /nfs/dbraw/zinc/31/44/08/411314408.db2.gz IUKYSJMRLPFHNJ-ZLKJLUDKSA-N 0 3 249.354 2.851 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H](CO)CC2CC2)o1 ZINC000658251546 411314651 /nfs/dbraw/zinc/31/46/51/411314651.db2.gz XMPGNDQGAFQOFK-YPMHNXCESA-N 0 3 237.343 2.647 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](O)C12CCC2)c1cccs1 ZINC000658255429 411316282 /nfs/dbraw/zinc/31/62/82/411316282.db2.gz UDVOZJAHAZCCKU-WCQGTBRESA-N 0 3 237.368 2.702 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2C[C@H](O)C23CCC3)o1 ZINC000658254417 411316379 /nfs/dbraw/zinc/31/63/79/411316379.db2.gz NKUZSEQIVAFAHN-BPNCWPANSA-N 0 3 249.354 2.796 20 0 BFADHN Cc1noc([C@H]2CCCN2C[C@@H]2C[C@H]3C[C@H]3C2)n1 ZINC000660549347 411316682 /nfs/dbraw/zinc/31/66/82/411316682.db2.gz VXCZKJJQTBQNLI-YVECIDJPSA-N 0 3 247.342 2.561 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCO[C@@H](CC)C1 ZINC000659837388 411316654 /nfs/dbraw/zinc/31/66/54/411316654.db2.gz DNBZRRSCSCSYPP-QWRGUYRKSA-N 0 3 235.318 2.779 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NC[C@H]1C(C)(C)C1(F)F ZINC000658280141 411320297 /nfs/dbraw/zinc/32/02/97/411320297.db2.gz GLXRZCBCKSDROS-XVKPBYJWSA-N 0 3 243.301 2.660 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccccn1)C1CCCC1 ZINC000658317742 411332583 /nfs/dbraw/zinc/33/25/83/411332583.db2.gz WLLZPNGETMCOAO-DOMZBBRYSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@H](NC[C@@H]1CCN1C(C)(C)C)c1cccnc1 ZINC000656675639 411321745 /nfs/dbraw/zinc/32/17/45/411321745.db2.gz HOZQVECBOVIXHN-JSGCOSHPSA-N 0 3 247.386 2.605 20 0 BFADHN Nc1cccc(CN2CCCc3occc3C2)c1 ZINC000660563786 411324291 /nfs/dbraw/zinc/32/42/91/411324291.db2.gz PCVMVJJUMUOOKD-UHFFFAOYSA-N 0 3 242.322 2.810 20 0 BFADHN Cc1ncncc1[C@@H](C)NCC1=CCCC1 ZINC000658291157 411324461 /nfs/dbraw/zinc/32/44/61/411324461.db2.gz LTFDIMSBXBLAEV-SNVBAGLBSA-N 0 3 217.316 2.546 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1cc2n(n1)CCCC2 ZINC000655770141 411251512 /nfs/dbraw/zinc/25/15/12/411251512.db2.gz ZRYUHPQRHRFYEO-QWHCGFSZSA-N 0 3 247.386 2.745 20 0 BFADHN CC[C@H](C)CCN[C@@H](C)c1cc(C)n(C)n1 ZINC000658122883 411251773 /nfs/dbraw/zinc/25/17/73/411251773.db2.gz WHKKXDRLCNGPCM-JQWIXIFHSA-N 0 3 223.364 2.815 20 0 BFADHN CC[C@H](C)CCN[C@@H](CC)c1nccn1C ZINC000658122163 411251791 /nfs/dbraw/zinc/25/17/91/411251791.db2.gz RTFKYHDMYTXOED-RYUDHWBXSA-N 0 3 223.364 2.897 20 0 BFADHN Cn1cnc(CN2CCCC23CCCCC3)c1 ZINC000660134352 411251947 /nfs/dbraw/zinc/25/19/47/411251947.db2.gz YIJFMOXMLWQXCZ-UHFFFAOYSA-N 0 3 233.359 2.719 20 0 BFADHN CCn1nc(C)c(CN(C)CC2CCC2)c1C ZINC000127757852 411252925 /nfs/dbraw/zinc/25/29/25/411252925.db2.gz JUIMISCWYZNTEW-UHFFFAOYSA-N 0 3 235.375 2.752 20 0 BFADHN Cc1ccc(CN(C)CC2CCC2)nc1 ZINC000127760092 411253247 /nfs/dbraw/zinc/25/32/47/411253247.db2.gz SHANZHVHYOCMCZ-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CC[C@@H](N[C@H](C(C)C)C1CC1)c1ccn(C)n1 ZINC000658299563 411326616 /nfs/dbraw/zinc/32/66/16/411326616.db2.gz GJXUKVMTELESLY-TZMCWYRMSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H](CF)NCc1ccc2[nH]ccc2c1 ZINC000655773721 411255085 /nfs/dbraw/zinc/25/50/85/411255085.db2.gz FMMFFEFHZCNRDT-VIFPVBQESA-N 0 3 206.264 2.616 20 0 BFADHN Cc1cc(CN[C@H]2CC[C@H](C)SC2)ccn1 ZINC000655772414 411255204 /nfs/dbraw/zinc/25/52/04/411255204.db2.gz HHQRCBNGFLVSMN-AAEUAGOBSA-N 0 3 236.384 2.764 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CN[C@@H]1CCCn2ccnc21 ZINC000655772844 411255654 /nfs/dbraw/zinc/25/56/54/411255654.db2.gz SXITUJDOKCOCOP-RWMBFGLXSA-N 0 3 233.359 2.600 20 0 BFADHN CC(C)(C)[C@@H](N)c1cn(C2CCCCC2)nn1 ZINC000656438543 411255766 /nfs/dbraw/zinc/25/57/66/411255766.db2.gz ZFYXMOROIASEDQ-LBPRGKRZSA-N 0 3 236.363 2.829 20 0 BFADHN CCCOc1ccc([C@@H](C)NC/C=C/CO)cc1 ZINC000658132393 411256597 /nfs/dbraw/zinc/25/65/97/411256597.db2.gz HVIJGCWNWFIIJE-MUBLQREKSA-N 0 3 249.354 2.675 20 0 BFADHN Cc1cc(C)c([C@@H](C)NC/C=C\CO)cc1C ZINC000658132782 411256849 /nfs/dbraw/zinc/25/68/49/411256849.db2.gz NAKDYJDZFPDYGR-GEXIGZQTSA-N 0 3 233.355 2.811 20 0 BFADHN Cc1c2ccccc2oc1[C@@H](C)NC/C=C/CO ZINC000658132527 411256909 /nfs/dbraw/zinc/25/69/09/411256909.db2.gz IFOGXKHVJOWKSW-BTDICHCPSA-N 0 3 245.322 2.940 20 0 BFADHN CCCc1ccc([C@@H](C)NC/C=C/CO)cc1 ZINC000658134017 411257539 /nfs/dbraw/zinc/25/75/39/411257539.db2.gz ORODLSOJJGKWNB-MUBLQREKSA-N 0 3 233.355 2.838 20 0 BFADHN C[C@H](NC/C=C/CO)c1ccc2c(c1)CCCC2 ZINC000658133804 411257933 /nfs/dbraw/zinc/25/79/33/411257933.db2.gz OBKLTNBYLUALLS-IHVVCDCBSA-N 0 3 245.366 2.765 20 0 BFADHN C[C@H](NC/C=C\CO)c1ccc(Cl)c(F)c1 ZINC000658133304 411258305 /nfs/dbraw/zinc/25/83/05/411258305.db2.gz DJTGXNAELHIOCV-XADBCAIWSA-N 0 3 243.709 2.678 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C(C)C)C2CC2)nn1C ZINC000658299272 411326798 /nfs/dbraw/zinc/32/67/98/411326798.db2.gz DVCZBTAEAKXWIY-SMDDNHRTSA-N 0 3 235.375 2.814 20 0 BFADHN CC(C)CCCNCc1cc2n(n1)CCCC2 ZINC000655707996 411258799 /nfs/dbraw/zinc/25/87/99/411258799.db2.gz HBSMRIUIYIGEND-UHFFFAOYSA-N 0 3 235.375 2.745 20 0 BFADHN CC(C)Oc1ccc([C@@H](C)NC/C=C\CO)cc1 ZINC000658134793 411259137 /nfs/dbraw/zinc/25/91/37/411259137.db2.gz RWDFACXQBURAMJ-DSYXLKISSA-N 0 3 249.354 2.673 20 0 BFADHN CN(CCc1cccc(Cl)c1)Cc1cn[nH]c1 ZINC000656441001 411259226 /nfs/dbraw/zinc/25/92/26/411259226.db2.gz BDPXGRPFPKMOST-UHFFFAOYSA-N 0 3 249.745 2.738 20 0 BFADHN CC(C)Oc1ccc([C@H](C)NC/C=C/CO)cc1 ZINC000658134798 411260157 /nfs/dbraw/zinc/26/01/57/411260157.db2.gz RWDFACXQBURAMJ-IHVVCDCBSA-N 0 3 249.354 2.673 20 0 BFADHN CO[C@@H](C)CN[C@@H]1CCc2c1cc(F)cc2F ZINC000656683366 411326914 /nfs/dbraw/zinc/32/69/14/411326914.db2.gz IEBFWKHWZAJNLS-ISVAXAHUSA-N 0 3 241.281 2.577 20 0 BFADHN CCCN(Cc1cc(C)nc(C)n1)CC(C)C ZINC000659858143 411349364 /nfs/dbraw/zinc/34/93/64/411349364.db2.gz CGQBBJODDDJFPB-UHFFFAOYSA-N 0 3 235.375 2.961 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@@H]2COC[C@H]2C1 ZINC000662034111 411349487 /nfs/dbraw/zinc/34/94/87/411349487.db2.gz LSOLDXJKAARHCI-TZMCWYRMSA-N 0 3 249.329 2.602 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1)c1ccc(F)c(Cl)c1 ZINC000658349277 411350226 /nfs/dbraw/zinc/35/02/26/411350226.db2.gz ILRQQGXRARMZIW-HGNGGELXSA-N 0 3 243.709 2.653 20 0 BFADHN CC[C@H](N[C@H]1C[C@H](O)C1)c1cc(F)ccc1F ZINC000658348515 411350427 /nfs/dbraw/zinc/35/04/27/411350427.db2.gz AKTUAVMFVNGAFL-KWBADKCTSA-N 0 3 241.281 2.529 20 0 BFADHN Cn1cnc(CN2CCC[C@@H]2CC(C)(C)C)c1 ZINC000659861204 411351994 /nfs/dbraw/zinc/35/19/94/411351994.db2.gz RDLNMKHKEFBKHN-CYBMUJFWSA-N 0 3 235.375 2.821 20 0 BFADHN CN(CCC(C)(C)C1CC1)Cc1cnn(C)c1 ZINC000653480158 411353113 /nfs/dbraw/zinc/35/31/13/411353113.db2.gz XGAUANSPETVKQS-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](O)C1)c1cc2ccccc2o1 ZINC000658351056 411353967 /nfs/dbraw/zinc/35/39/67/411353967.db2.gz KLUYPZABQWXPMC-YUSALJHKSA-N 0 3 231.295 2.607 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1)c1cccc(Cl)c1 ZINC000658351973 411355067 /nfs/dbraw/zinc/35/50/67/411355067.db2.gz RPGSDZDBCGDEAD-UWJYBYFXSA-N 0 3 225.719 2.514 20 0 BFADHN CCOc1ccc(CNc2nccn2C)c(C)c1 ZINC000656776343 411356264 /nfs/dbraw/zinc/35/62/64/411356264.db2.gz HMTLRBCFCKSZHV-UHFFFAOYSA-N 0 3 245.326 2.739 20 0 BFADHN CC[C@H]1CN(Cc2cccc(N)c2)[C@@H](CC)CO1 ZINC000403737016 411356347 /nfs/dbraw/zinc/35/63/47/411356347.db2.gz QZXZBLUGCCYIIZ-GJZGRUSLSA-N 0 3 248.370 2.658 20 0 BFADHN COc1cccc(CN[C@@H](C)[C@H](C)OC)c1C ZINC000658354314 411356369 /nfs/dbraw/zinc/35/63/69/411356369.db2.gz VAEPQBLDIVBCSL-RYUDHWBXSA-N 0 3 237.343 2.517 20 0 BFADHN CSc1cccc(CN2CCC3(COC3)C2)c1 ZINC000662071403 411356383 /nfs/dbraw/zinc/35/63/83/411356383.db2.gz YYANESOAYXOVCW-UHFFFAOYSA-N 0 3 249.379 2.631 20 0 BFADHN COCC1(C)CN(CC(C)(C)c2ccccc2)C1 ZINC000656780066 411358296 /nfs/dbraw/zinc/35/82/96/411358296.db2.gz GDYVDHHDPLKFQZ-UHFFFAOYSA-N 0 3 247.382 2.933 20 0 BFADHN Cc1cc(CN)cc(NC(=O)[C@@H]2CCC2(C)C)c1 ZINC000658928335 411362722 /nfs/dbraw/zinc/36/27/22/411362722.db2.gz AXNWRDLUMMCWAU-ZDUSSCGKSA-N 0 3 246.354 2.828 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN1CCc2nccnc2C1 ZINC000662118878 411362812 /nfs/dbraw/zinc/36/28/12/411362812.db2.gz GHQPDNRHHZODNI-QWHCGFSZSA-N 0 3 245.370 2.661 20 0 BFADHN Cc1cc(CN2C[C@@H](C)[C@](C)(CO)C2)cs1 ZINC000662144597 411366718 /nfs/dbraw/zinc/36/67/18/411366718.db2.gz TUPSBQNGLAIZNB-MFKMUULPSA-N 0 3 239.384 2.507 20 0 BFADHN CCc1cc(CCCN2CC[C@H]3C[C@H]3C2)on1 ZINC000661966424 411334039 /nfs/dbraw/zinc/33/40/39/411334039.db2.gz HXCMLCZLDQXZCR-RYUDHWBXSA-N 0 3 234.343 2.511 20 0 BFADHN Cc1cc([C@@H](C)NCCCc2ccco2)nn1C ZINC000658325550 411334303 /nfs/dbraw/zinc/33/43/03/411334303.db2.gz OSHRYVZMDWOOKY-GFCCVEGCSA-N 0 3 247.342 2.605 20 0 BFADHN c1ccc2c(c1)onc2CCN1CC[C@H]2C[C@H]2C1 ZINC000661967081 411334488 /nfs/dbraw/zinc/33/44/88/411334488.db2.gz NTMZFUJMHFVICF-RYUDHWBXSA-N 0 3 242.322 2.712 20 0 BFADHN C[C@H](O)CNCc1ccc(-c2cccs2)cc1 ZINC000656706085 411334789 /nfs/dbraw/zinc/33/47/89/411334789.db2.gz YYLKEUQPSZRWJH-NSHDSACASA-N 0 3 247.363 2.886 20 0 BFADHN C[C@@H](O)CNCc1ccc(-c2cccs2)cc1 ZINC000656706084 411335173 /nfs/dbraw/zinc/33/51/73/411335173.db2.gz YYLKEUQPSZRWJH-LLVKDONJSA-N 0 3 247.363 2.886 20 0 BFADHN CC1(CNc2ccc([C@@H]3CNCCO3)cc2)CC1 ZINC000656792317 411371873 /nfs/dbraw/zinc/37/18/73/411371873.db2.gz KAPSKHSXJOZVRD-AWEZNQCLSA-N 0 3 246.354 2.560 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@]1(C)OC)c1cccc(OC)c1 ZINC000658330617 411338226 /nfs/dbraw/zinc/33/82/26/411338226.db2.gz FMNYUPBSYUYHIF-KFWWJZLASA-N 0 3 249.354 2.913 20 0 BFADHN C[C@H](NC[C@H]1CCOC1(C)C)c1ccco1 ZINC000658332021 411339179 /nfs/dbraw/zinc/33/91/79/411339179.db2.gz OMEZTLNQQLDDQY-WDEREUQCSA-N 0 3 223.316 2.745 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1ccsc1 ZINC000658331842 411339272 /nfs/dbraw/zinc/33/92/72/411339272.db2.gz MOEBCJPGWZVXGP-IEBDPFPHSA-N 0 3 211.330 2.576 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](c1ccccc1)C1CC1 ZINC000658331941 411339290 /nfs/dbraw/zinc/33/92/90/411339290.db2.gz NJEPKEZLCZCJGH-KFWWJZLASA-N 0 3 231.339 2.905 20 0 BFADHN C[C@H](NCCSCCCO)c1ccsc1 ZINC000167396403 411340668 /nfs/dbraw/zinc/34/06/68/411340668.db2.gz VTZNZQZKARAXKH-JTQLQIEISA-N 0 3 245.413 2.514 20 0 BFADHN CC1(CNc2ccc([C@H]3CNCCO3)cc2)CC1 ZINC000656792320 411372347 /nfs/dbraw/zinc/37/23/47/411372347.db2.gz KAPSKHSXJOZVRD-CQSZACIVSA-N 0 3 246.354 2.560 20 0 BFADHN C[C@@H](CCC(F)(F)F)N[C@@H](C)c1nccn1C ZINC000658335534 411343080 /nfs/dbraw/zinc/34/30/80/411343080.db2.gz BGMPYZNULDYIDS-IUCAKERBSA-N 0 3 249.280 2.802 20 0 BFADHN CCOc1ccc([C@@H](C)N[C@@H]2C[C@]2(C)OC)cc1 ZINC000658334679 411343192 /nfs/dbraw/zinc/34/31/92/411343192.db2.gz RRKQWKWSNMIPFK-DFBGVHRSSA-N 0 3 249.354 2.913 20 0 BFADHN CCC[C@@H](N[C@@H]1C[C@]1(C)OC)c1cccnc1 ZINC000658331455 411343299 /nfs/dbraw/zinc/34/32/99/411343299.db2.gz JTARHGJSKVFJLI-MCIONIFRSA-N 0 3 234.343 2.690 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1ccccc1 ZINC000658334598 411343527 /nfs/dbraw/zinc/34/35/27/411343527.db2.gz NWNNVFHTLHLCLH-RTXFEEFZSA-N 0 3 205.301 2.515 20 0 BFADHN C[C@H](CCC(F)(F)F)N[C@@H](C)c1nccn1C ZINC000658335532 411343548 /nfs/dbraw/zinc/34/35/48/411343548.db2.gz BGMPYZNULDYIDS-BDAKNGLRSA-N 0 3 249.280 2.802 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1cc(F)cc(F)c1 ZINC000658334899 411343558 /nfs/dbraw/zinc/34/35/58/411343558.db2.gz XBACEUPYNGHZCU-WQHBLYJGSA-N 0 3 241.281 2.793 20 0 BFADHN CO[C@@H](CN(C)Cc1ccoc1)C1CCC1 ZINC000659853310 411344123 /nfs/dbraw/zinc/34/41/23/411344123.db2.gz SRLLHFZNRJDKLM-ZDUSSCGKSA-N 0 3 223.316 2.527 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1Nc1ccnc2ccccc21 ZINC000129005385 168001442 /nfs/dbraw/zinc/00/14/42/168001442.db2.gz XHJMVPIRFNPKGE-KBPBESRZSA-N 0 3 242.322 2.806 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]2C[C@]21C)c1nccn1C ZINC000658336912 411345080 /nfs/dbraw/zinc/34/50/80/411345080.db2.gz AZCRJZVDVPCEGO-CIQGVGRVSA-N 0 3 233.359 2.649 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1N[C@@H]1CCc2c1cccc2F ZINC000129557812 168009017 /nfs/dbraw/zinc/00/90/17/168009017.db2.gz YSNIAAFUCNMWCM-MGPQQGTHSA-N 0 3 249.329 2.562 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NC/C=C/c1ccc(F)cc1 ZINC000189345818 168009191 /nfs/dbraw/zinc/00/91/91/168009191.db2.gz CETHXYBNINMUEB-LSMGEYOZSA-N 0 3 249.329 2.588 20 0 BFADHN CC1(CN(Cc2cccs2)C2CC2)COC1 ZINC000336700622 168023759 /nfs/dbraw/zinc/02/37/59/168023759.db2.gz KTMFZJGTSSNXBP-UHFFFAOYSA-N 0 3 237.368 2.749 20 0 BFADHN CC1(CN2C[C@@]3(CCCO3)[C@H]2C2CC2)CCC1 ZINC000354703184 168026836 /nfs/dbraw/zinc/02/68/36/168026836.db2.gz JRCMXJUUCSOVBK-HIFRSBDPSA-N 0 3 235.371 2.820 20 0 BFADHN CC1(CNCc2cc(Cl)ccc2F)COC1 ZINC000119197986 168042216 /nfs/dbraw/zinc/04/22/16/168042216.db2.gz DKZREBXBCXHRFR-UHFFFAOYSA-N 0 3 243.709 2.605 20 0 BFADHN CC1(CNc2ccnc3ccccc32)COC1 ZINC000112696006 168049121 /nfs/dbraw/zinc/04/91/21/168049121.db2.gz UIGKQJRMZOPOAN-UHFFFAOYSA-N 0 3 228.295 2.683 20 0 BFADHN CC1(F)CC(NCc2ccc3c(n2)CCC3)C1 ZINC000334519070 168053864 /nfs/dbraw/zinc/05/38/64/168053864.db2.gz OHRBHRVRVIFYLX-UHFFFAOYSA-N 0 3 234.318 2.551 20 0 BFADHN CC1(NCc2ccc(F)cc2F)CCOCC1 ZINC000131166233 168062240 /nfs/dbraw/zinc/06/22/40/168062240.db2.gz UMHZTIZLCGCUAT-UHFFFAOYSA-N 0 3 241.281 2.624 20 0 BFADHN CC1=C(C)CN(Cc2ccccn2)CC1 ZINC000280987210 168083686 /nfs/dbraw/zinc/08/36/86/168083686.db2.gz WRXFNFQHZJLZAR-UHFFFAOYSA-N 0 3 202.301 2.624 20 0 BFADHN CC1=C(C)CN(Cc2cccn2C)CC1 ZINC000280902526 168085637 /nfs/dbraw/zinc/08/56/37/168085637.db2.gz PUPWPAPUPZBPJS-UHFFFAOYSA-N 0 3 204.317 2.567 20 0 BFADHN CC1=C(C)CN(C[C@H](O)c2ccc(F)cc2)CC1 ZINC000280808012 168085739 /nfs/dbraw/zinc/08/57/39/168085739.db2.gz SWGIHURTZTZPKN-HNNXBMFYSA-N 0 3 249.329 2.901 20 0 BFADHN CC1=C(c2ccco2)CN(C[C@H](O)C(C)C)CC1 ZINC000368392951 168090108 /nfs/dbraw/zinc/09/01/08/168090108.db2.gz LUFSKFSXBITKQZ-AWEZNQCLSA-N 0 3 249.354 2.776 20 0 BFADHN CSc1cccc(CN(C)[C@@H]2CCOC2)c1 ZINC000659907400 411379098 /nfs/dbraw/zinc/37/90/98/411379098.db2.gz FZHWHODNICPTSS-GFCCVEGCSA-N 0 3 237.368 2.629 20 0 BFADHN CC1=CCCN(Cc2ccc3c(c2)OCCO3)C1 ZINC000280783404 168099514 /nfs/dbraw/zinc/09/95/14/168099514.db2.gz INCFAHPKTIAOQR-UHFFFAOYSA-N 0 3 245.322 2.610 20 0 BFADHN CC1=CCCN(C[C@@H](O)c2ccc(F)cc2)C1 ZINC000280702845 168099524 /nfs/dbraw/zinc/09/95/24/168099524.db2.gz CLEQASIFMQCHFP-CQSZACIVSA-N 0 3 235.302 2.511 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN1CCOCC12CC2 ZINC000338994854 168103655 /nfs/dbraw/zinc/10/36/55/168103655.db2.gz CWKCALUFRPBNDJ-UONOGXRCSA-N 0 3 235.371 2.844 20 0 BFADHN CC1=CCN(CC[C@@H](O)c2ccccc2)CC1 ZINC000285632209 168111602 /nfs/dbraw/zinc/11/16/02/168111602.db2.gz NLVXTFDBQULIGP-OAHLLOKOSA-N 0 3 231.339 2.762 20 0 BFADHN CC1=CCN(CCN[C@@H](C)c2ccco2)CC1 ZINC000358234201 168112069 /nfs/dbraw/zinc/11/20/69/168112069.db2.gz WPWDIFZQOOWDGS-ZDUSSCGKSA-N 0 3 234.343 2.582 20 0 BFADHN CC1=CC[C@@H](NCc2nccs2)CC1 ZINC000294271721 168119783 /nfs/dbraw/zinc/11/97/83/168119783.db2.gz OMJLTNBDFDIGMH-SNVBAGLBSA-N 0 3 208.330 2.732 20 0 BFADHN CC1=CC[C@H](NCc2nccn2C(F)F)CC1 ZINC000293777256 168121503 /nfs/dbraw/zinc/12/15/03/168121503.db2.gz ASZGWHILQKOZQZ-JTQLQIEISA-N 0 3 241.285 2.867 20 0 BFADHN COc1cc(CN(C2CC2)C2CCCC2)on1 ZINC000659911549 411380807 /nfs/dbraw/zinc/38/08/07/411380807.db2.gz ZAFABZVNBUXBII-UHFFFAOYSA-N 0 3 236.315 2.590 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CN(C)Cc2cnn(C)c2)C1 ZINC000290547442 168125559 /nfs/dbraw/zinc/12/55/59/168125559.db2.gz WMMUETLZDHMNOR-OCCSQVGLSA-N 0 3 247.386 2.844 20 0 BFADHN Cc1ccc(CN2CC(C)=C[C@H](C)C2)cn1 ZINC000336547673 168127760 /nfs/dbraw/zinc/12/77/60/168127760.db2.gz AONXYPCNBXVJDF-NSHDSACASA-N 0 3 216.328 2.788 20 0 BFADHN Cc1cnccc1CN1CC(C)=C[C@H](C)C1 ZINC000336228895 168127765 /nfs/dbraw/zinc/12/77/65/168127765.db2.gz KTMJZZOWHYRFSW-NSHDSACASA-N 0 3 216.328 2.788 20 0 BFADHN CC1=C[C@H](C)CN(Cc2cccc(C(N)=O)c2)C1 ZINC000336600140 168128465 /nfs/dbraw/zinc/12/84/65/168128465.db2.gz ICAYIGJJWYADCK-NSHDSACASA-N 0 3 244.338 2.968 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000356124587 168130179 /nfs/dbraw/zinc/13/01/79/168130179.db2.gz JMIJKWPWOYCYSY-PMOUVXMZSA-N 0 3 235.371 2.842 20 0 BFADHN CC1CC(NCc2ccc(Br)o2)C1 ZINC000123333955 168146736 /nfs/dbraw/zinc/14/67/36/168146736.db2.gz WTGUHLZYKQEDDX-UHFFFAOYSA-N 0 3 244.132 2.930 20 0 BFADHN CC1CC(NCc2nccn2-c2ccccc2)C1 ZINC000276639898 168147058 /nfs/dbraw/zinc/14/70/58/168147058.db2.gz QLRJOSNSZOLQBQ-UHFFFAOYSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@@H](NC1CC(C)C1)c1cncc(F)c1 ZINC000130378853 168148324 /nfs/dbraw/zinc/14/83/24/168148324.db2.gz LSJHWMPZJCWTNO-NBBOBHDQSA-N 0 3 208.280 2.670 20 0 BFADHN CC1CCC(CN2CCOC[C@@H]2C2CC2)CC1 ZINC000353742377 168153323 /nfs/dbraw/zinc/15/33/23/168153323.db2.gz UZWNNAWDINCCQS-SSDMNJCBSA-N 0 3 237.387 2.924 20 0 BFADHN CC1CCC(CN[C@@H]2CCn3ccnc32)CC1 ZINC000335954405 168156876 /nfs/dbraw/zinc/15/68/76/168156876.db2.gz PEHZIKLRKGYFGD-WXRRBKDZSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@H](NCC1CCC(C)CC1)c1ncc[nH]1 ZINC000124808245 168157220 /nfs/dbraw/zinc/15/72/20/168157220.db2.gz UNRWROXPZCNLHM-CXQJBGSLSA-N 0 3 221.348 2.887 20 0 BFADHN CC1CCC(N[C@@H](CCO)c2ccco2)CC1 ZINC000186515460 168163842 /nfs/dbraw/zinc/16/38/42/168163842.db2.gz QRUJNQUCOGSDLL-BPCQOVAHSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H](NCC1(O)CCC(C)CC1)c1ccccn1 ZINC000087044202 168166959 /nfs/dbraw/zinc/16/69/59/168166959.db2.gz GSKALTGUNQSSAD-JVWICGRDSA-N 0 3 248.370 2.673 20 0 BFADHN CC1CN(Cc2ccc(OC(F)F)cc2)C1 ZINC000338955526 168190984 /nfs/dbraw/zinc/19/09/84/168190984.db2.gz FGZGADXRMZGROO-UHFFFAOYSA-N 0 3 227.254 2.740 20 0 BFADHN CCC(=O)CCCN(C)Cc1cccc(OC)c1 ZINC000232841445 168198031 /nfs/dbraw/zinc/19/80/31/168198031.db2.gz JTUNZHOKWZJPOL-UHFFFAOYSA-N 0 3 249.354 2.886 20 0 BFADHN CCC(=O)CCCN1CCS[C@@H](C)[C@H]1C ZINC000300063964 168199360 /nfs/dbraw/zinc/19/93/60/168199360.db2.gz BMVGHPSDOGEPQN-MNOVXSKESA-N 0 3 229.389 2.572 20 0 BFADHN CC(C)(C)c1cccc(CN2CC[C@@H](CO)C2)c1 ZINC000659946872 411389782 /nfs/dbraw/zinc/38/97/82/411389782.db2.gz JBUOOGWTHLSFLO-CQSZACIVSA-N 0 3 247.382 2.798 20 0 BFADHN Cc1ccccc1NC(=O)C1(N)CC2(CCC2)C1 ZINC000659252977 411384051 /nfs/dbraw/zinc/38/40/51/411384051.db2.gz YBPJAOXDNAPZMS-UHFFFAOYSA-N 0 3 244.338 2.595 20 0 BFADHN CCC(C)(C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000335664908 168268541 /nfs/dbraw/zinc/26/85/41/168268541.db2.gz YFMXARFFXBGIOR-UHFFFAOYSA-N 0 3 232.327 2.665 20 0 BFADHN CCCC[C@@H](CCC)CNC(=O)CNC(C)C ZINC000659275623 411386406 /nfs/dbraw/zinc/38/64/06/411386406.db2.gz MYVJICYEEJZZAT-CYBMUJFWSA-N 0 3 242.407 2.707 20 0 BFADHN CCC(C)(C)CNCc1cccc(OC)n1 ZINC000236849921 168281369 /nfs/dbraw/zinc/28/13/69/168281369.db2.gz UBNRDRVPRHWJEG-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN CCC(C)(C)CNCc1cc(C(=O)OC)co1 ZINC000232904441 168281764 /nfs/dbraw/zinc/28/17/64/168281764.db2.gz SZFYNGALKRDPAC-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN CC[C@H]1C[C@@H](NCc2cccc(C)c2)CO1 ZINC000657298724 411455146 /nfs/dbraw/zinc/45/51/46/411455146.db2.gz PTCGJYUDHYUJHB-KGLIPLIRSA-N 0 3 219.328 2.652 20 0 BFADHN CCC(C)(C)NCc1nccn1CC(F)(F)F ZINC000236858735 168299571 /nfs/dbraw/zinc/29/95/71/168299571.db2.gz JWXFKEJAWZMJOU-UHFFFAOYSA-N 0 3 249.280 2.724 20 0 BFADHN CC(C)n1ccnc1CNCCc1ccsc1 ZINC000657300353 411455421 /nfs/dbraw/zinc/45/54/21/411455421.db2.gz MWOLGVVDZPBHCX-UHFFFAOYSA-N 0 3 249.383 2.858 20 0 BFADHN CC(C)(C)CCNCc1cn(C2CCC2)nn1 ZINC000657300931 411458232 /nfs/dbraw/zinc/45/82/32/411458232.db2.gz PSUZJXIOIQBJLF-UHFFFAOYSA-N 0 3 236.363 2.529 20 0 BFADHN CCc1nocc1CN1CCC[C@@H](C)[C@H]1C ZINC000659950474 411393017 /nfs/dbraw/zinc/39/30/17/411393017.db2.gz NZLNIXLNXVKSSS-GHMZBOCLSA-N 0 3 222.332 2.857 20 0 BFADHN CN[C@@H](C)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000055421463 411398968 /nfs/dbraw/zinc/39/89/68/411398968.db2.gz RAKWNYGLWLCDFY-JTQLQIEISA-N 0 3 234.343 2.531 20 0 BFADHN COc1cc(CN[C@@H]2CC[C@H](C)C[C@H]2C)on1 ZINC000660306504 411399268 /nfs/dbraw/zinc/39/92/68/411399268.db2.gz QBNNPCWYLKXZQJ-HOSYDEDBSA-N 0 3 238.331 2.598 20 0 BFADHN C[C@H]1CC[C@H](C(=O)Nc2cccc(CN)c2)CC1 ZINC000653043414 411401571 /nfs/dbraw/zinc/40/15/71/411401571.db2.gz VNJGZFNIHPJZBX-AULYBMBSSA-N 0 3 246.354 2.910 20 0 BFADHN CCc1nc([C@H](C)N2CCCC[C@H](C)C2)n[nH]1 ZINC000660309402 411403076 /nfs/dbraw/zinc/40/30/76/411403076.db2.gz GCIQDDBMEJKAQP-QWRGUYRKSA-N 0 3 236.363 2.550 20 0 BFADHN CCc1nnc([C@H](C)[N@@H+]2CCCC[C@H](C)C2)[n-]1 ZINC000660309402 411403078 /nfs/dbraw/zinc/40/30/78/411403078.db2.gz GCIQDDBMEJKAQP-QWRGUYRKSA-N 0 3 236.363 2.550 20 0 BFADHN CCc1nnc([C@H](C)[N@H+]2CCCC[C@H](C)C2)[n-]1 ZINC000660309402 411403082 /nfs/dbraw/zinc/40/30/82/411403082.db2.gz GCIQDDBMEJKAQP-QWRGUYRKSA-N 0 3 236.363 2.550 20 0 BFADHN Cc1ncc(CN[C@H](C)Cc2cccs2)o1 ZINC000657102954 411406708 /nfs/dbraw/zinc/40/67/08/411406708.db2.gz YREVXPVXQGHFBY-SECBINFHSA-N 0 3 236.340 2.765 20 0 BFADHN Cc1c[nH]c(CN[C@@H](C)Cc2ccccc2F)n1 ZINC000657326247 411464566 /nfs/dbraw/zinc/46/45/66/411464566.db2.gz LAACBPBYEIYNJT-JTQLQIEISA-N 0 3 247.317 2.578 20 0 BFADHN CC(C)(CCN1CCN(C2CC2)CC1)C1CC1 ZINC000653509322 411415924 /nfs/dbraw/zinc/41/59/24/411415924.db2.gz BJQNZQQELVUOJO-UHFFFAOYSA-N 0 3 236.403 2.593 20 0 BFADHN CCCN(Cc1nc(C)oc1C)CC1CC1 ZINC000659817092 411462376 /nfs/dbraw/zinc/46/23/76/411462376.db2.gz XQQDUYQYLFIZSR-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3COC[C@@H]32)cs1 ZINC000657224333 411420069 /nfs/dbraw/zinc/42/00/69/411420069.db2.gz YJPOQMHRUHMGSX-OLZOCXBDSA-N 0 3 237.368 2.667 20 0 BFADHN C[C@H](CC1CCC1)N[C@H](C)c1ccn(C)n1 ZINC000657227305 411421413 /nfs/dbraw/zinc/42/14/13/411421413.db2.gz KEBBRIRQDXPVGP-GHMZBOCLSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@@H](N[C@H]1CCCc2cn[nH]c21)c1ccco1 ZINC000271447662 411430678 /nfs/dbraw/zinc/43/06/78/411430678.db2.gz DADASVWLYMIRHN-KOLCDFICSA-N 0 3 231.299 2.731 20 0 BFADHN COc1cc(CN(C)C2CCC(C)CC2)on1 ZINC000659821392 411463215 /nfs/dbraw/zinc/46/32/15/411463215.db2.gz NDWKSQLEQYAZOW-UHFFFAOYSA-N 0 3 238.331 2.694 20 0 BFADHN Cc1ccoc1CNCC[C@@H]1CCO[C@H](C)C1 ZINC000273102687 411436046 /nfs/dbraw/zinc/43/60/46/411436046.db2.gz VZLQYXZEFWXQDI-CHWSQXEVSA-N 0 3 237.343 2.883 20 0 BFADHN Fc1ccc([C@@H](N[C@@H]2CCOC2)C2CC2)cc1 ZINC000131177223 411437062 /nfs/dbraw/zinc/43/70/62/411437062.db2.gz PFCHOTNMJHNTQP-KGLIPLIRSA-N 0 3 235.302 2.655 20 0 BFADHN CCN(Cc1c(C)nn(CC)c1C)CC1CC1 ZINC000125642948 169899454 /nfs/dbraw/zinc/89/94/54/169899454.db2.gz QGJNBUJNYABIRQ-UHFFFAOYSA-N 0 3 235.375 2.752 20 0 BFADHN Cc1ccoc1CN[C@H]1CCS[C@@H]1C ZINC000306356890 411443881 /nfs/dbraw/zinc/44/38/81/411443881.db2.gz UDUBANWDWDOQFX-ZJUUUORDSA-N 0 3 211.330 2.572 20 0 BFADHN Cc1c[nH]c(CN[C@@H](C)CCC(C)C)n1 ZINC000657286124 411444220 /nfs/dbraw/zinc/44/42/20/411444220.db2.gz INAFFJOPGPNFQZ-JTQLQIEISA-N 0 3 209.337 2.632 20 0 BFADHN CC1(C)CC[C@@H](N[C@H]2CCCn3ccnc32)C1 ZINC000655737442 411444410 /nfs/dbraw/zinc/44/44/10/411444410.db2.gz XITDTHXKVIQQDW-NEPJUHHUSA-N 0 3 233.359 2.886 20 0 BFADHN CCCNCc1cccc(OC2CC2)c1F ZINC000657286198 411446059 /nfs/dbraw/zinc/44/60/59/411446059.db2.gz UMLMAKAQUIEQLN-UHFFFAOYSA-N 0 3 223.291 2.867 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@@H]1CCC(F)(F)C1 ZINC000655745675 411447436 /nfs/dbraw/zinc/44/74/36/411447436.db2.gz BSKPOSDTTQTHRP-NXEZZACHSA-N 0 3 241.285 2.623 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H]1CC3CCC1CC3)CCC2 ZINC000655744891 411447540 /nfs/dbraw/zinc/44/75/40/411447540.db2.gz WLYGWOJJNDHLMV-NWINJMCUSA-N 0 3 245.370 2.886 20 0 BFADHN C[C@@H](CF)N[C@@H]1C[C@H](OC(C)(C)C)C1(C)C ZINC000894600426 583490295 /nfs/dbraw/zinc/49/02/95/583490295.db2.gz GXUDWWKFARZPGX-AXFHLTTASA-N 0 3 231.355 2.916 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2ccccc2OC)CO1 ZINC000657288747 411450266 /nfs/dbraw/zinc/45/02/66/411450266.db2.gz FBVVHUIITWEHEH-FRRDWIJNSA-N 0 3 249.354 2.913 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H]2CCc3ccccc32)CO1 ZINC000657297081 411454842 /nfs/dbraw/zinc/45/48/42/411454842.db2.gz LEJKDKVFMBVDFT-GZBFAFLISA-N 0 3 231.339 2.831 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2cc3n(n2)CCC3)C1 ZINC000657328517 411467359 /nfs/dbraw/zinc/46/73/59/411467359.db2.gz YHJQVKWPADWMCF-CHWSQXEVSA-N 0 3 247.386 2.745 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccc(C)c(OC)c2)CO1 ZINC000657332239 411468295 /nfs/dbraw/zinc/46/82/95/411468295.db2.gz DQYPGYDTXHXUAT-KGLIPLIRSA-N 0 3 249.354 2.661 20 0 BFADHN CC[C@H]1C[C@H](NCc2sccc2C)CO1 ZINC000657331480 411468399 /nfs/dbraw/zinc/46/83/99/411468399.db2.gz DODKPBDMHGVEJG-QWRGUYRKSA-N 0 3 225.357 2.714 20 0 BFADHN COc1cc(CN(C)[C@H](C)C(C)(C)C)on1 ZINC000659835799 411469568 /nfs/dbraw/zinc/46/95/68/411469568.db2.gz ILHWCEBLTZBEEN-SECBINFHSA-N 0 3 226.320 2.550 20 0 BFADHN C[C@H](Cc1ccccn1)N[C@H](C)c1cncs1 ZINC000655837566 411532727 /nfs/dbraw/zinc/53/27/27/411532727.db2.gz UQHWVESNVIUALV-GHMZBOCLSA-N 0 3 247.367 2.820 20 0 BFADHN COCC(C)(C)NCc1cc2ccc(C)cc2[nH]1 ZINC000657345511 411470720 /nfs/dbraw/zinc/47/07/20/411470720.db2.gz RTOGBYDURZECAS-UHFFFAOYSA-N 0 3 246.354 2.991 20 0 BFADHN CCC(CC)CN(CC)Cc1cn(C)cn1 ZINC000659838676 411471279 /nfs/dbraw/zinc/47/12/79/411471279.db2.gz KGSNTLISEBNKJW-UHFFFAOYSA-N 0 3 223.364 2.678 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2ccc(OC)cc2)CO1 ZINC000657335857 411472283 /nfs/dbraw/zinc/47/22/83/411472283.db2.gz JTTGSUKBHYPYDC-MRVWCRGKSA-N 0 3 249.354 2.913 20 0 BFADHN COc1cc(CN(CC(C)C)C(C)C)on1 ZINC000659842193 411473128 /nfs/dbraw/zinc/47/31/28/411473128.db2.gz LJVOKVAEFCSMAO-UHFFFAOYSA-N 0 3 226.320 2.550 20 0 BFADHN Cn1cnc(CN(C2CC2)C2CCCCC2)c1 ZINC000659842295 411473779 /nfs/dbraw/zinc/47/37/79/411473779.db2.gz YTWFGIZJDNETGM-UHFFFAOYSA-N 0 3 233.359 2.717 20 0 BFADHN Cc1cccc2[nH]c(CN[C@H]3CCCOC3)cc21 ZINC000657353644 411474135 /nfs/dbraw/zinc/47/41/35/411474135.db2.gz SSUCMPLTZRCYFJ-LBPRGKRZSA-N 0 3 244.338 2.745 20 0 BFADHN Cn1ccnc1CNC1CCC(C2CCC2)CC1 ZINC000657353404 411474145 /nfs/dbraw/zinc/47/41/45/411474145.db2.gz MHQCUKVORSUMGT-UHFFFAOYSA-N 0 3 247.386 2.869 20 0 BFADHN Cc1nocc1CN(C)CCc1cccs1 ZINC000295438000 411477692 /nfs/dbraw/zinc/47/76/92/411477692.db2.gz UGUQWXMDFGMCQI-UHFFFAOYSA-N 0 3 236.340 2.719 20 0 BFADHN C[C@@H](Cc1ccccn1)N[C@@H](C)c1cncs1 ZINC000655837568 411533113 /nfs/dbraw/zinc/53/31/13/411533113.db2.gz UQHWVESNVIUALV-QWRGUYRKSA-N 0 3 247.367 2.820 20 0 BFADHN CCN(Cc1conc1C)[C@@H](C)C(C)C ZINC000295476393 169933300 /nfs/dbraw/zinc/93/33/00/169933300.db2.gz WUPFTVJJAWUZRF-NSHDSACASA-N 0 3 210.321 2.849 20 0 BFADHN CO[C@H](CN(C)Cc1ccoc1)C1CCCC1 ZINC000659854151 411478665 /nfs/dbraw/zinc/47/86/65/411478665.db2.gz XQQDSFKSNZWZCN-CQSZACIVSA-N 0 3 237.343 2.917 20 0 BFADHN c1cc(CNCCCC2CC2)n(CC2CC2)n1 ZINC000657363728 411481075 /nfs/dbraw/zinc/48/10/75/411481075.db2.gz AZBMOBFDIWUKGS-UHFFFAOYSA-N 0 3 233.359 2.573 20 0 BFADHN CCC1(CC)CN(Cc2nc(C)ccc2O)C1 ZINC000297353506 411481937 /nfs/dbraw/zinc/48/19/37/411481937.db2.gz GDRAKYGPSNOEIN-UHFFFAOYSA-N 0 3 234.343 2.718 20 0 BFADHN CC[C@@H](N[C@H]1CO[C@H](CC)C1)c1ccncc1 ZINC000657374585 411482283 /nfs/dbraw/zinc/48/22/83/411482283.db2.gz OSWZDJLJWWFRJQ-MGPQQGTHSA-N 0 3 234.343 2.690 20 0 BFADHN CC[C@@H]1C[C@H](NC2(c3cccc(F)c3)CC2)CO1 ZINC000657371304 411485097 /nfs/dbraw/zinc/48/50/97/411485097.db2.gz ISUYUKCLPIGPQN-UONOGXRCSA-N 0 3 249.329 2.972 20 0 BFADHN Fc1ccc(CN[C@H]2CCSC2)c(F)c1F ZINC000657377402 411485584 /nfs/dbraw/zinc/48/55/84/411485584.db2.gz CYDMFVCHOCLPGU-QMMMGPOBSA-N 0 3 247.285 2.699 20 0 BFADHN Fc1ccc(CNCCC2CC2)c(F)c1F ZINC000657378476 411486890 /nfs/dbraw/zinc/48/68/90/411486890.db2.gz KEWLFKVPTCZZOQ-UHFFFAOYSA-N 0 3 229.245 2.994 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@H]2C2CCCCC2)n1C ZINC000657379659 411486955 /nfs/dbraw/zinc/48/69/55/411486955.db2.gz CMIQMMKEUUTIMW-LSDHHAIUSA-N 0 3 247.386 2.787 20 0 BFADHN CC1CC(NCc2c(C3CCC3)cnn2C)C1 ZINC000657383481 411489409 /nfs/dbraw/zinc/48/94/09/411489409.db2.gz JFWZDGSYYDZFOZ-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN CC(C)(NCC1CC=CC1)c1nccs1 ZINC000660073594 411533665 /nfs/dbraw/zinc/53/36/65/411533665.db2.gz DNCLFFGKNGYPHG-UHFFFAOYSA-N 0 3 222.357 2.934 20 0 BFADHN Cc1cccc(NC(=O)CN(C)[C@H](C)C2CC2)c1 ZINC000121027339 411490973 /nfs/dbraw/zinc/49/09/73/411490973.db2.gz MWAYTKIAHCXNRL-GFCCVEGCSA-N 0 3 246.354 2.664 20 0 BFADHN CCc1oc2ccccc2c1CN[C@@H]1CCOC1 ZINC000131355764 411492893 /nfs/dbraw/zinc/49/28/93/411492893.db2.gz CIKAFBJPXXJPBF-LLVKDONJSA-N 0 3 245.322 2.874 20 0 BFADHN CO[C@@H](CN1Cc2ccccc2C1)C1CCC1 ZINC000659905042 411493049 /nfs/dbraw/zinc/49/30/49/411493049.db2.gz SNNGDLZHYSERSL-HNNXBMFYSA-N 0 3 231.339 2.817 20 0 BFADHN Cc1cc(CCNC/C=C/c2ccccc2)on1 ZINC000353097269 411494744 /nfs/dbraw/zinc/49/47/44/411494744.db2.gz OORDYZWAGQDZFH-VMPITWQZSA-N 0 3 242.322 2.829 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccc(OC)cc2C)CO1 ZINC000657398687 411495121 /nfs/dbraw/zinc/49/51/21/411495121.db2.gz DZNOMYUHJXYIEA-UONOGXRCSA-N 0 3 249.354 2.661 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H]2COc3ccc(C)cc32)CO1 ZINC000657401435 411496051 /nfs/dbraw/zinc/49/60/51/411496051.db2.gz VSTAXBJIVZSKRX-SCRDCRAPSA-N 0 3 247.338 2.586 20 0 BFADHN COCC1(NCc2cc3c(cccc3C)[nH]2)CC1 ZINC000657400841 411496133 /nfs/dbraw/zinc/49/61/33/411496133.db2.gz XHIHSFLJJSMAIZ-UHFFFAOYSA-N 0 3 244.338 2.745 20 0 BFADHN CCn1cc(CN(C)CCCC2CCC2)cn1 ZINC000659928159 411499880 /nfs/dbraw/zinc/49/98/80/411499880.db2.gz IWXMNWNAAMADOH-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CC(C)C[C@H](C)NCc1cn(C2CCC2)nn1 ZINC000657414975 411500108 /nfs/dbraw/zinc/50/01/08/411500108.db2.gz XPMLYZSDRUUEFJ-NSHDSACASA-N 0 3 236.363 2.527 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](c2cccnc2)C2CC2)CO1 ZINC000657419221 411502121 /nfs/dbraw/zinc/50/21/21/411502121.db2.gz JUKBVDALICQPRY-ZNMIVQPWSA-N 0 3 246.354 2.690 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2cnccc2C)CO1 ZINC000657424648 411504895 /nfs/dbraw/zinc/50/48/95/411504895.db2.gz DKHKNAWRPQLGCY-YNEHKIRRSA-N 0 3 234.343 2.608 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2cnccc2C)CO1 ZINC000657424646 411504959 /nfs/dbraw/zinc/50/49/59/411504959.db2.gz DKHKNAWRPQLGCY-JHJVBQTASA-N 0 3 234.343 2.608 20 0 BFADHN Cc1nc(CN2C[C@@H](C)C[C@@H]2C)c(C)o1 ZINC000659949359 411507826 /nfs/dbraw/zinc/50/78/26/411507826.db2.gz UGUQYJXRDQNDJS-IUCAKERBSA-N 0 3 208.305 2.522 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@@H]2C)c(C)o1 ZINC000659949356 411508325 /nfs/dbraw/zinc/50/83/25/411508325.db2.gz UGUQYJXRDQNDJS-BDAKNGLRSA-N 0 3 208.305 2.522 20 0 BFADHN C[C@@H]1CCCN(CCO[C@H]2CC2(F)F)[C@H]1C ZINC000659948893 411508584 /nfs/dbraw/zinc/50/85/84/411508584.db2.gz DNNUVPSVWSDWAX-VWYCJHECSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@@H](O)CN(C)Cc1cccc(C(C)(C)C)c1 ZINC000659952807 411510282 /nfs/dbraw/zinc/51/02/82/411510282.db2.gz JWTTZIRCFHCHSH-GFCCVEGCSA-N 0 3 235.371 2.797 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@]2(C)CCOC2)o1 ZINC000336750323 411511109 /nfs/dbraw/zinc/51/11/09/411511109.db2.gz UPPKUHHBBWQLFA-WCQYABFASA-N 0 3 223.316 2.665 20 0 BFADHN CC[C@H]1C[C@H](NCc2cscc2C)CO1 ZINC000657451236 411511924 /nfs/dbraw/zinc/51/19/24/411511924.db2.gz LKOYMIRHLPWACG-RYUDHWBXSA-N 0 3 225.357 2.714 20 0 BFADHN CC[C@H]1C[C@H](NCc2cccc3cc[nH]c32)CO1 ZINC000657447122 411513511 /nfs/dbraw/zinc/51/35/11/411513511.db2.gz PMBHDOWIJVQWQO-KBPBESRZSA-N 0 3 244.338 2.825 20 0 BFADHN c1cn2c(n1)[C@@H](NC1(C3CCC3)CC1)CCC2 ZINC000655791944 411514414 /nfs/dbraw/zinc/51/44/14/411514414.db2.gz HRGAXUHHBIWADO-LBPRGKRZSA-N 0 3 231.343 2.640 20 0 BFADHN CC(C)=CCCN1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000660443651 411515116 /nfs/dbraw/zinc/51/51/16/411515116.db2.gz JXXHITQJXWKMPG-OCCSQVGLSA-N 0 3 223.360 2.842 20 0 BFADHN CC(C)n1ccnc1CNC[C@H]1CCC[C@H]1C ZINC000657454963 411515666 /nfs/dbraw/zinc/51/56/66/411515666.db2.gz CYJWLARDFOXMBC-CHWSQXEVSA-N 0 3 235.375 2.990 20 0 BFADHN CC1(C)CCN(Cc2cnc3ccccc3n2)C1 ZINC000659977406 411517131 /nfs/dbraw/zinc/51/71/31/411517131.db2.gz XZVHUCUMNUIHMS-UHFFFAOYSA-N 0 3 241.338 2.862 20 0 BFADHN CC[C@@H](CNCc1cc2c(cccc2C)[nH]1)OC ZINC000657471030 411523301 /nfs/dbraw/zinc/52/33/01/411523301.db2.gz YPYUNRMDJKSUME-ZDUSSCGKSA-N 0 3 246.354 2.991 20 0 BFADHN COc1cccc(CNC2(C)CC=CC2)c1OC ZINC000655815023 411523636 /nfs/dbraw/zinc/52/36/36/411523636.db2.gz SVNWJVBHPBLLGW-UHFFFAOYSA-N 0 3 247.338 2.902 20 0 BFADHN C[C@@H](F)CCNCc1c(C2CCC2)cnn1C ZINC000657475092 411523903 /nfs/dbraw/zinc/52/39/03/411523903.db2.gz IZMMRNBUMTXQSY-SNVBAGLBSA-N 0 3 239.338 2.525 20 0 BFADHN CCc1ncc(CNC2(C)CC=CC2)s1 ZINC000655813961 411523924 /nfs/dbraw/zinc/52/39/24/411523924.db2.gz FIUFVZOZZDOGNW-UHFFFAOYSA-N 0 3 222.357 2.904 20 0 BFADHN c1ccc(CN[C@@H](C2CC2)[C@H]2CCCCO2)nc1 ZINC000655811769 411525361 /nfs/dbraw/zinc/52/53/61/411525361.db2.gz WBBWMEZWQCUJMZ-CABCVRRESA-N 0 3 246.354 2.519 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1nc(C)c[nH]1 ZINC000657478819 411525982 /nfs/dbraw/zinc/52/59/82/411525982.db2.gz OUEZVUYQGIOVJH-LLVKDONJSA-N 0 3 221.348 2.943 20 0 BFADHN C[C@H]1OCCN(CCCC2CCC2)[C@@H]1C ZINC000660011058 411527698 /nfs/dbraw/zinc/52/76/98/411527698.db2.gz NGYMDIPKVQTPAJ-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000660011693 411528093 /nfs/dbraw/zinc/52/80/93/411528093.db2.gz QAIHICBRLMVXLI-ITGHMWBKSA-N 0 3 223.360 2.532 20 0 BFADHN CCC1(NCc2nc(C)c[nH]2)CCCC1 ZINC000657479950 411528365 /nfs/dbraw/zinc/52/83/65/411528365.db2.gz ZKOHNHOLGVVTEK-UHFFFAOYSA-N 0 3 207.321 2.531 20 0 BFADHN Cn1ncc(C2CC2)c1CN[C@@H]1C[C@H]1C1CCC1 ZINC000657495709 411535208 /nfs/dbraw/zinc/53/52/08/411535208.db2.gz KFXZUJDXVUJHDM-GXTWGEPZSA-N 0 3 245.370 2.576 20 0 BFADHN COCc1csc(CN[C@H]2CC23CC3)c1 ZINC000657495106 411535228 /nfs/dbraw/zinc/53/52/28/411535228.db2.gz GSMQAXKREWCJPA-NSHDSACASA-N 0 3 223.341 2.537 20 0 BFADHN COCC1(CNCc2ccsc2Cl)CC1 ZINC000655834971 411531363 /nfs/dbraw/zinc/53/13/63/411531363.db2.gz IICCXDBDUAMMOF-UHFFFAOYSA-N 0 3 245.775 2.918 20 0 BFADHN COCC1(CNCc2sccc2Cl)CC1 ZINC000655835781 411532017 /nfs/dbraw/zinc/53/20/17/411532017.db2.gz VSOBLDCYQFOZHH-UHFFFAOYSA-N 0 3 245.775 2.918 20 0 BFADHN COCC1(CNCc2cccc(C)c2F)CC1 ZINC000655835595 411532165 /nfs/dbraw/zinc/53/21/65/411532165.db2.gz QWZWSFPHINKBPJ-UHFFFAOYSA-N 0 3 237.318 2.650 20 0 BFADHN CC[C@@H]1CCCCN1CCO[C@H]1CC1(F)F ZINC000659826973 411465782 /nfs/dbraw/zinc/46/57/82/411465782.db2.gz MHRGNICQGYMQHD-MNOVXSKESA-N 0 3 233.302 2.675 20 0 BFADHN CCN1CCSC[C@H]1c1ccccc1 ZINC000115558904 170005899 /nfs/dbraw/zinc/00/58/99/170005899.db2.gz KAIXQTPBGQPRNV-LBPRGKRZSA-N 0 3 207.342 2.796 20 0 BFADHN CCN1CC[C@@H](N[C@@H](C)c2ccccc2F)C1 ZINC000336888279 170013966 /nfs/dbraw/zinc/01/39/66/170013966.db2.gz FBLUXWQMAUMQJP-NWDGAFQWSA-N 0 3 236.334 2.571 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc3ccncc3s2)CO1 ZINC000657501317 411538670 /nfs/dbraw/zinc/53/86/70/411538670.db2.gz XBCVSJWWPRCHMK-MWLCHTKSSA-N 0 3 248.351 2.563 20 0 BFADHN CCN1CC[C@H](NCc2ccccc2Cl)C1 ZINC000328347696 170020849 /nfs/dbraw/zinc/02/08/49/170020849.db2.gz MLTUOWAQYQALCB-LBPRGKRZSA-N 0 3 238.762 2.524 20 0 BFADHN COc1ccc(CN(C)CCC2CCC2)cn1 ZINC000660118673 411539044 /nfs/dbraw/zinc/53/90/44/411539044.db2.gz SVFFUAAFYBXQEV-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@@H](NCc1cn(C2CCC2)nn1)C(C)(C)C ZINC000657503213 411539182 /nfs/dbraw/zinc/53/91/82/411539182.db2.gz WNRBGWSFWWPLAY-SNVBAGLBSA-N 0 3 236.363 2.527 20 0 BFADHN Cc1occc1CN[C@H]1CCCN2CCCC[C@H]12 ZINC000655858925 411540176 /nfs/dbraw/zinc/54/01/76/411540176.db2.gz BNOODZBWPVDMAI-LSDHHAIUSA-N 0 3 248.370 2.695 20 0 BFADHN Cn1ccc(CNC[C@@H]2CCC3(CCCC3)O2)c1 ZINC000655863315 411540252 /nfs/dbraw/zinc/54/02/52/411540252.db2.gz JUOIKMGNRMJCSR-AWEZNQCLSA-N 0 3 248.370 2.607 20 0 BFADHN Cc1nc(CN2CC[C@H](C)C[C@@H](C)C2)co1 ZINC000660120792 411540259 /nfs/dbraw/zinc/54/02/59/411540259.db2.gz IDAJIHJCSYZMRW-WDEREUQCSA-N 0 3 222.332 2.851 20 0 BFADHN COc1cc(CN2CC[C@@H](C)C[C@@H](C)C2)on1 ZINC000660122838 411540325 /nfs/dbraw/zinc/54/03/25/411540325.db2.gz ZKDAGZLECLWBDG-GHMZBOCLSA-N 0 3 238.331 2.551 20 0 BFADHN COc1cc(CN2CC[C@H](C)C[C@@H](C)C2)on1 ZINC000660122844 411540330 /nfs/dbraw/zinc/54/03/30/411540330.db2.gz ZKDAGZLECLWBDG-WDEREUQCSA-N 0 3 238.331 2.551 20 0 BFADHN Cc1nc(CN2CC[C@@H](C)C[C@H](C)C2)co1 ZINC000660120790 411540475 /nfs/dbraw/zinc/54/04/75/411540475.db2.gz IDAJIHJCSYZMRW-MNOVXSKESA-N 0 3 222.332 2.851 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@H](C)C2)nc(C)n1 ZINC000660120574 411540730 /nfs/dbraw/zinc/54/07/30/411540730.db2.gz DIWWISFVNWNZKP-NEPJUHHUSA-N 0 3 247.386 2.961 20 0 BFADHN CC(C)c1cccc(CN(C)[C@@H](C)CO)c1 ZINC000660124279 411541611 /nfs/dbraw/zinc/54/16/11/411541611.db2.gz HEKHUTBYSYIWEE-LBPRGKRZSA-N 0 3 221.344 2.623 20 0 BFADHN CCC[C@@H]1CN(CCC=C(C)C)CCO1 ZINC000660134074 411543582 /nfs/dbraw/zinc/54/35/82/411543582.db2.gz VPRSUFLVAKUFEH-CYBMUJFWSA-N 0 3 211.349 2.844 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H](C)CC(F)F ZINC000657497891 411537438 /nfs/dbraw/zinc/53/74/38/411537438.db2.gz GALORFANYIFWBK-JTQLQIEISA-N 0 3 245.317 2.845 20 0 BFADHN CCOc1ccc(CN[C@H]2CO[C@H](C)C2)c(C)c1 ZINC000657501404 411537977 /nfs/dbraw/zinc/53/79/77/411537977.db2.gz XSGVNXAGVBOJPU-TZMCWYRMSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H](N[C@@H](CO)C1CCC1)c1ccccc1F ZINC000657559004 411550969 /nfs/dbraw/zinc/55/09/69/411550969.db2.gz JLTKSLKNADGNTN-YGRLFVJLSA-N 0 3 237.318 2.637 20 0 BFADHN CO[C@H](CN[C@H](C)c1cccnc1)C1CCC1 ZINC000660174111 411551805 /nfs/dbraw/zinc/55/18/05/411551805.db2.gz KJDIABKNTWHNKL-BXUZGUMPSA-N 0 3 234.343 2.547 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cccnc1)C1CCC1 ZINC000660174115 411551938 /nfs/dbraw/zinc/55/19/38/411551938.db2.gz KJDIABKNTWHNKL-FZMZJTMJSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@H](COCC1CC1)NCc1cnccc1C ZINC000657563873 411552184 /nfs/dbraw/zinc/55/21/84/411552184.db2.gz XQAPJZLTQMVZDT-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000660195222 411552315 /nfs/dbraw/zinc/55/23/15/411552315.db2.gz PHYIFPQVQNGNFA-KNDHEWATSA-N 0 3 234.343 2.988 20 0 BFADHN CC[C@H](COCC1CC1)NCc1ccoc1C ZINC000657562593 411552747 /nfs/dbraw/zinc/55/27/47/411552747.db2.gz PXTBJKQMCMQBOW-CQSZACIVSA-N 0 3 237.343 2.883 20 0 BFADHN CCNCc1cccc(F)c1Br ZINC000155827091 170128172 /nfs/dbraw/zinc/12/81/72/170128172.db2.gz IIMJDHDEUNYCTJ-UHFFFAOYSA-N 0 3 232.096 2.698 20 0 BFADHN CCNCc1cnc(-c2ccc(C)o2)s1 ZINC000040996997 170129175 /nfs/dbraw/zinc/12/91/75/170129175.db2.gz CDVREWNVVSAHIF-UHFFFAOYSA-N 0 3 222.313 2.821 20 0 BFADHN CCNCc1nc(-c2ccco2)c(C)s1 ZINC000054084033 170130529 /nfs/dbraw/zinc/13/05/29/170130529.db2.gz IBLIGRAYHOWPBH-UHFFFAOYSA-N 0 3 222.313 2.821 20 0 BFADHN CCNCc1nc(Cc2ccccc2)cs1 ZINC000070601614 170131707 /nfs/dbraw/zinc/13/17/07/170131707.db2.gz UTTJDQHYOTZKMZ-UHFFFAOYSA-N 0 3 232.352 2.843 20 0 BFADHN CCN[C@@H](C)c1cc(Br)ncc1F ZINC000273865206 170141129 /nfs/dbraw/zinc/14/11/29/170141129.db2.gz NFKALXBTLANPMQ-LURJTMIESA-N 0 3 247.111 2.654 20 0 BFADHN CCN[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000178504628 170142600 /nfs/dbraw/zinc/14/26/00/170142600.db2.gz NMWWVUGYLRJWDA-QMMMGPOBSA-N 0 3 237.734 2.897 20 0 BFADHN C[C@H]1C[C@H](CNCc2cocn2)C[C@@H](C)C1 ZINC000657590262 411555714 /nfs/dbraw/zinc/55/57/14/411555714.db2.gz YOJRITDIUNNLPH-GDNZZTSVSA-N 0 3 222.332 2.837 20 0 BFADHN Cc1c[nH]c(CNCC2C[C@@H](C)C[C@H](C)C2)n1 ZINC000657589577 411555794 /nfs/dbraw/zinc/55/57/94/411555794.db2.gz MFJUUHLDTJINOP-QWRGUYRKSA-N 0 3 235.375 2.880 20 0 BFADHN CCN[C@H](C)c1cn(C(C)(C)C)nc1C ZINC000166463238 170159913 /nfs/dbraw/zinc/15/99/13/170159913.db2.gz DGGJRQCDOKDQMX-SECBINFHSA-N 0 3 209.337 2.617 20 0 BFADHN CCN[C@H](CC(=O)OC(C)C)c1ccc(C)cc1 ZINC000361086144 170160927 /nfs/dbraw/zinc/16/09/27/170160927.db2.gz MPNSHUHMEXJDSX-CQSZACIVSA-N 0 3 249.354 2.987 20 0 BFADHN CO[C@H](CN1CC2(C1)CC(F)(F)C2)C1CCC1 ZINC000660145939 411545856 /nfs/dbraw/zinc/54/58/56/411545856.db2.gz AYMPPZLBMWMAET-LLVKDONJSA-N 0 3 245.313 2.533 20 0 BFADHN C[C@H]1C[C@H](O)CN1Cc1cccc(C(C)(C)C)c1 ZINC000660148340 411546270 /nfs/dbraw/zinc/54/62/70/411546270.db2.gz KPVXTRYXWLWSRH-WFASDCNBSA-N 0 3 247.382 2.939 20 0 BFADHN CC[C@H](Cc1ccc(F)cc1)NCc1cc[nH]n1 ZINC000657548013 411546359 /nfs/dbraw/zinc/54/63/59/411546359.db2.gz OSYXBTNEMQEHKK-CYBMUJFWSA-N 0 3 247.317 2.660 20 0 BFADHN FC(F)(F)c1ncc(CNC2CCCC2)cn1 ZINC000657549433 411546706 /nfs/dbraw/zinc/54/67/06/411546706.db2.gz CPGSYWKHUQHVPS-UHFFFAOYSA-N 0 3 245.248 2.528 20 0 BFADHN Cc1cc(CN2CC[C@H](C)[C@H](C)C2)nc(C)n1 ZINC000660156439 411548434 /nfs/dbraw/zinc/54/84/34/411548434.db2.gz GCJZUYUTSBENKJ-WDEREUQCSA-N 0 3 233.359 2.571 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H](CO)C2CCC2)o1 ZINC000657557611 411550010 /nfs/dbraw/zinc/55/00/10/411550010.db2.gz WXEAZPODEVQJPB-SWHYSGLUSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H](CO)C2CCC2)o1 ZINC000657557609 411550317 /nfs/dbraw/zinc/55/03/17/411550317.db2.gz WXEAZPODEVQJPB-HONMWMINSA-N 0 3 249.354 2.654 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cc(C)nc(C)n1 ZINC000660316901 411567905 /nfs/dbraw/zinc/56/79/05/411567905.db2.gz KIYBWSIDRBCBSY-HZMBPMFUSA-N 0 3 233.359 2.714 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@H](C)C[C@H]2C)co1 ZINC000660305700 411566184 /nfs/dbraw/zinc/56/61/84/411566184.db2.gz MKNBXWGOZFSRST-OPQQBVKSSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ccccc1CN[C@@H]1COC2(CCC2)C1 ZINC000657629896 411566332 /nfs/dbraw/zinc/56/63/32/411566332.db2.gz OFIHQFALETZOMG-AWEZNQCLSA-N 0 3 231.339 2.796 20 0 BFADHN Cc1ccsc1CN[C@@H]1COC2(CCC2)C1 ZINC000657629762 411566451 /nfs/dbraw/zinc/56/64/51/411566451.db2.gz IDVYYXKPCQTKPQ-NSHDSACASA-N 0 3 237.368 2.858 20 0 BFADHN Cc1ccc(CN[C@H]2COC3(CCC3)C2)cc1 ZINC000657629477 411566603 /nfs/dbraw/zinc/56/66/03/411566603.db2.gz AHSGMADMWACVCE-CQSZACIVSA-N 0 3 231.339 2.796 20 0 BFADHN Cc1ccc(CNCCCOC(C)(C)C)nc1 ZINC000657636812 411567754 /nfs/dbraw/zinc/56/77/54/411567754.db2.gz ABTDYTDXMWCGDO-UHFFFAOYSA-N 0 3 236.359 2.685 20 0 BFADHN CC[C@H](C)CCNCc1cccc2c1OCCO2 ZINC000657586411 411557237 /nfs/dbraw/zinc/55/72/37/411557237.db2.gz IRXPBPXSNOLNNQ-LBPRGKRZSA-N 0 3 249.354 2.984 20 0 BFADHN CC[C@@H](C)CCNCc1cc2n(n1)CCCC2 ZINC000657585524 411557458 /nfs/dbraw/zinc/55/74/58/411557458.db2.gz BZAPTKFIYAZBCO-GFCCVEGCSA-N 0 3 235.375 2.745 20 0 BFADHN OC[C@H](NCCC1CCC1)c1cccc(F)c1 ZINC000660236593 411557537 /nfs/dbraw/zinc/55/75/37/411557537.db2.gz PGLOAMAXFJTURA-AWEZNQCLSA-N 0 3 237.318 2.639 20 0 BFADHN COc1cccc(OC)c1CN[C@H](C)[C@@H]1C[C@@H]1C ZINC000657587247 411558012 /nfs/dbraw/zinc/55/80/12/411558012.db2.gz VAEDZIDAODCQNE-QJPTWQEYSA-N 0 3 249.354 2.838 20 0 BFADHN CC[C@H](C)CCNCc1cc(OC)cc(C)n1 ZINC000657587886 411558054 /nfs/dbraw/zinc/55/80/54/411558054.db2.gz ZMRGPJZXNRTTCA-NSHDSACASA-N 0 3 236.359 2.924 20 0 BFADHN CCOC(=O)[C@@H](C)N1CCC[C@@H](C(C)(C)C)C1 ZINC000361543283 170247158 /nfs/dbraw/zinc/24/71/58/170247158.db2.gz MPJCZBFSPLHDHC-VXGBXAGGSA-N 0 3 241.375 2.696 20 0 BFADHN COC[C@@H](NCC1CC=CC1)c1ccc(C)o1 ZINC000660251041 411560378 /nfs/dbraw/zinc/56/03/78/411560378.db2.gz LBDODNRNTVZKPS-CYBMUJFWSA-N 0 3 235.327 2.831 20 0 BFADHN C[C@@H](NC/C=C\CO)c1ccc(Cl)cc1 ZINC000657595232 411560773 /nfs/dbraw/zinc/56/07/73/411560773.db2.gz YVRCBGFKENXXAZ-MXQLGCADSA-N 0 3 225.719 2.539 20 0 BFADHN OC/C=C/CNCc1ccc(C2CCC2)cc1 ZINC000657595105 411560871 /nfs/dbraw/zinc/56/08/71/411560871.db2.gz XMBRLNNVLYRJEO-OWOJBTEDSA-N 0 3 231.339 2.592 20 0 BFADHN C[C@@H](NCc1ccc(Cl)s1)C1(CO)CC1 ZINC000657602588 411561306 /nfs/dbraw/zinc/56/13/06/411561306.db2.gz KEQZNCMEZXYKQO-MRVPVSSYSA-N 0 3 245.775 2.652 20 0 BFADHN C[C@H](NCc1ccc(Cl)s1)C1(CO)CC1 ZINC000657602589 411561526 /nfs/dbraw/zinc/56/15/26/411561526.db2.gz KEQZNCMEZXYKQO-QMMMGPOBSA-N 0 3 245.775 2.652 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N(C)CC(C)C ZINC000359992931 170270442 /nfs/dbraw/zinc/27/04/42/170270442.db2.gz PFVJXSVSMORPPE-LBPRGKRZSA-N 0 3 229.364 2.552 20 0 BFADHN C[C@@H](N[C@H](C)C1(CO)CC1)c1ccccc1F ZINC000657608823 411561686 /nfs/dbraw/zinc/56/16/86/411561686.db2.gz YRKXGHAFMVWIOC-GHMZBOCLSA-N 0 3 237.318 2.637 20 0 BFADHN CCO[C@H]1C[C@H](NCc2cccc(Cl)c2)C1 ZINC000657609445 411562495 /nfs/dbraw/zinc/56/24/95/411562495.db2.gz CFVRBHMQGQUANQ-JOCQHMNTSA-N 0 3 239.746 2.997 20 0 BFADHN CCOc1ccccc1CN[C@H]1C[C@H](OCC)C1 ZINC000657609196 411562811 /nfs/dbraw/zinc/56/28/11/411562811.db2.gz BJGZAXHETNRJQY-HDJSIYSDSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@H]1c2ccccc2C[C@H]1NCc1cscn1 ZINC000657616420 411563958 /nfs/dbraw/zinc/56/39/58/411563958.db2.gz PBLYVIVBIYWSOY-IINYFYTJSA-N 0 3 244.363 2.961 20 0 BFADHN Cc1ccsc1CNCCO[C@H]1CC1(F)F ZINC000657618920 411565033 /nfs/dbraw/zinc/56/50/33/411565033.db2.gz PAAGTBRWBGMZGD-JTQLQIEISA-N 0 3 247.310 2.570 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C(C)(C)C2)nc(C)n1 ZINC000660279190 411565221 /nfs/dbraw/zinc/56/52/21/411565221.db2.gz YLRMZQNFHAGCLG-NSHDSACASA-N 0 3 247.386 2.961 20 0 BFADHN CCc1ccc(CNCCc2ccoc2)o1 ZINC000657652657 411570493 /nfs/dbraw/zinc/57/04/93/411570493.db2.gz BGYLRRUSZDLPJV-UHFFFAOYSA-N 0 3 219.284 2.767 20 0 BFADHN c1nc(CNC[C@@H]2CCC[C@H]3C[C@H]32)cs1 ZINC000657657276 411571375 /nfs/dbraw/zinc/57/13/75/411571375.db2.gz PHCFHWLEDZVZTL-JBLDHEPKSA-N 0 3 222.357 2.669 20 0 BFADHN CCOC(=O)c1coc(CN(C)[C@H](C)CC)c1 ZINC000353447914 170304787 /nfs/dbraw/zinc/30/47/87/170304787.db2.gz LOHSWOZYYAZUDQ-SNVBAGLBSA-N 0 3 239.315 2.687 20 0 BFADHN CCOC(C)(C)CNCc1cccc(F)c1F ZINC000159298744 170312578 /nfs/dbraw/zinc/31/25/78/170312578.db2.gz UGMXBFXIDXJGMU-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CCc1nnc([C@H](C)N[C@@H]2CCCC2(C)C)[nH]1 ZINC000660366010 411572797 /nfs/dbraw/zinc/57/27/97/411572797.db2.gz BFSBOJMBDYTIPS-VHSXEESVSA-N 0 3 236.363 2.596 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1cncc(F)c1 ZINC000188692528 170313314 /nfs/dbraw/zinc/31/33/14/170313314.db2.gz VIEQRFQVSFAZBR-SNVBAGLBSA-N 0 3 240.322 2.686 20 0 BFADHN CCOC1(C)CCN(Cc2ccc(C)o2)CC1 ZINC000292845911 170319466 /nfs/dbraw/zinc/31/94/66/170319466.db2.gz SRQDQXUKCXZEFG-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN CC(C)n1cncc1CNC[C@@]1(C)CC1(F)F ZINC000657667691 411573263 /nfs/dbraw/zinc/57/32/63/411573263.db2.gz XJUVXLDSDNSFHH-LLVKDONJSA-N 0 3 243.301 2.599 20 0 BFADHN CCOC1CC(CN2Cc3ccccc3C2)C1 ZINC000286294718 170324225 /nfs/dbraw/zinc/32/42/25/170324225.db2.gz GEDJYWQOPMNPDY-UHFFFAOYSA-N 0 3 231.339 2.817 20 0 BFADHN COc1ccccc1CNC[C@]1(C)CC1(F)F ZINC000657668322 411573872 /nfs/dbraw/zinc/57/38/72/411573872.db2.gz FILCDTZOQLQPKB-LBPRGKRZSA-N 0 3 241.281 2.830 20 0 BFADHN CCOC1CC(N[C@H](C)c2cccc(O)c2)C1 ZINC000124789903 170330211 /nfs/dbraw/zinc/33/02/11/170330211.db2.gz LOVOJIZSDRPWNV-PWQPVHBWSA-N 0 3 235.327 2.610 20 0 BFADHN CCOC1CC2(C1)CCN(C/C=C/Cl)C2 ZINC000367750801 170330945 /nfs/dbraw/zinc/33/09/45/170330945.db2.gz CBMYVMWYZQFBIC-HWKANZROSA-N 0 3 229.751 2.630 20 0 BFADHN CC(C)=CCCN1C[C@H](C)O[C@@H](C)[C@H]1C ZINC000660373518 411574243 /nfs/dbraw/zinc/57/42/43/411574243.db2.gz BCPIUWPSXZVPDE-XQQFMLRXSA-N 0 3 211.349 2.840 20 0 BFADHN CCOC1CC2(C1)CCN(Cc1ccc(C)o1)C2 ZINC000289774506 170332345 /nfs/dbraw/zinc/33/23/45/170332345.db2.gz RJHMQKPWRZENIS-UHFFFAOYSA-N 0 3 249.354 2.979 20 0 BFADHN C[C@]1(CNCc2cccc(O)c2)CC1(F)F ZINC000657670288 411574367 /nfs/dbraw/zinc/57/43/67/411574367.db2.gz SNVMFPNFVINAAL-LLVKDONJSA-N 0 3 227.254 2.527 20 0 BFADHN C[C@@]1(CNCc2ccc(F)cc2)CC1(F)F ZINC000657669518 411574781 /nfs/dbraw/zinc/57/47/81/411574781.db2.gz MTYCEYIKGTVNMH-NSHDSACASA-N 0 3 229.245 2.961 20 0 BFADHN c1nc2c(s1)CN(CCC1CCC1)CC2 ZINC000660375877 411574989 /nfs/dbraw/zinc/57/49/89/411574989.db2.gz ZZGUGGKVAYKCGT-UHFFFAOYSA-N 0 3 222.357 2.691 20 0 BFADHN C[C@]1(CCNCc2cscn2)CC1(F)F ZINC000657671346 411575119 /nfs/dbraw/zinc/57/51/19/411575119.db2.gz NUJAFXPZFKIGFF-VIFPVBQESA-N 0 3 232.299 2.668 20 0 BFADHN CCOCC(C)(C)CNCc1occc1C ZINC000288542221 170351076 /nfs/dbraw/zinc/35/10/76/170351076.db2.gz KYCANYFIRTYKSL-UHFFFAOYSA-N 0 3 225.332 2.740 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2cccc(F)c2)O1 ZINC000657662709 411575629 /nfs/dbraw/zinc/57/56/29/411575629.db2.gz FRNULBPPGITHBJ-RISCZKNCSA-N 0 3 237.318 2.873 20 0 BFADHN COc1ccccc1CNC[C@@H]1CCC[C@H](C)O1 ZINC000657662691 411575659 /nfs/dbraw/zinc/57/56/59/411575659.db2.gz FNECFQDGFPDMOG-JSGCOSHPSA-N 0 3 249.354 2.742 20 0 BFADHN CCOCC(C)(C)NCc1ccsc1C ZINC000292926752 170354821 /nfs/dbraw/zinc/35/48/21/170354821.db2.gz IQKFYIQMNIPBBC-UHFFFAOYSA-N 0 3 227.373 2.961 20 0 BFADHN CCOCC(C)(C)NCc1ccc(CC)nc1 ZINC000339451078 170354590 /nfs/dbraw/zinc/35/45/90/170354590.db2.gz PFHGMRFLWWMHDL-UHFFFAOYSA-N 0 3 236.359 2.549 20 0 BFADHN CCOCC(C)(C)NCc1cccc(F)c1F ZINC000293154328 170354792 /nfs/dbraw/zinc/35/47/92/170354792.db2.gz FBLGTWCJAJJVMA-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CCOCC(C)(C)NCc1ccc(F)cc1F ZINC000293318584 170355027 /nfs/dbraw/zinc/35/50/27/170355027.db2.gz QUBYDXYUDCDZHY-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CC(C)=CCCN(C)[C@@H](C)C(=O)NC(C)(C)C ZINC000660322656 411568551 /nfs/dbraw/zinc/56/85/51/411568551.db2.gz BONUMSCBYRFUIH-LBPRGKRZSA-N 0 3 240.391 2.578 20 0 BFADHN CCOCCCCN[C@@H](C)c1ccco1 ZINC000165143670 170369383 /nfs/dbraw/zinc/36/93/83/170369383.db2.gz WWHQTGWGXQIVJE-NSHDSACASA-N 0 3 211.305 2.747 20 0 BFADHN CC(C)n1cc(CNCCC(C)(C)F)cn1 ZINC000657645406 411568958 /nfs/dbraw/zinc/56/89/58/411568958.db2.gz UYWADFFGSDGMLY-UHFFFAOYSA-N 0 3 227.327 2.692 20 0 BFADHN CCOCCCN1CCS[C@@H]2CCCC[C@@H]21 ZINC000336665047 170375363 /nfs/dbraw/zinc/37/53/63/170375363.db2.gz FFKORTRBYBNRLW-QWHCGFSZSA-N 0 3 243.416 2.773 20 0 BFADHN c1coc(CN[C@@H]2C[C@@H](Oc3ccccc3)C2)c1 ZINC000657645811 411569283 /nfs/dbraw/zinc/56/92/83/411569283.db2.gz JJHUNNXJHYSZBP-NNUKFRKNSA-N 0 3 243.306 2.979 20 0 BFADHN CCOCCCNCc1ccsc1Cl ZINC000336648101 170381738 /nfs/dbraw/zinc/38/17/38/170381738.db2.gz GGSJXGQNRIOJGJ-UHFFFAOYSA-N 0 3 233.764 2.918 20 0 BFADHN CCOCCCN[C@H](C)c1cc(C)oc1C ZINC000020438398 170382231 /nfs/dbraw/zinc/38/22/31/170382231.db2.gz FMBXGAJVQVFMAQ-LLVKDONJSA-N 0 3 225.332 2.974 20 0 BFADHN CCN(CCC=C(C)C)C[C@H](O)C(F)(F)F ZINC000660327517 411569656 /nfs/dbraw/zinc/56/96/56/411569656.db2.gz AFVMTBZAMBRFBN-JTQLQIEISA-N 0 3 239.281 2.588 20 0 BFADHN CCOCCCN[C@H]1CCCc2occc21 ZINC000169190866 170383246 /nfs/dbraw/zinc/38/32/46/170383246.db2.gz AXUUGTIIEHLGSV-LBPRGKRZSA-N 0 3 223.316 2.673 20 0 BFADHN Cc1occc1CNCCc1ccoc1 ZINC000657653309 411570345 /nfs/dbraw/zinc/57/03/45/411570345.db2.gz JGKPXJUCXITCTK-UHFFFAOYSA-N 0 3 205.257 2.513 20 0 BFADHN CCOCCN(C)[C@H]1C[C@@H]1c1ccccc1 ZINC000268950694 170391350 /nfs/dbraw/zinc/39/13/50/170391350.db2.gz DBMMZDHMYKXIIE-KGLIPLIRSA-N 0 3 219.328 2.511 20 0 BFADHN CCOCCN(C)[C@@H]1CCc2ccc(F)cc21 ZINC000093312837 170391827 /nfs/dbraw/zinc/39/18/27/170391827.db2.gz LCAPNKFMZLXNAI-CQSZACIVSA-N 0 3 237.318 2.781 20 0 BFADHN CCOCCN(CC)Cc1ccc(OC)cc1 ZINC000052039670 170396609 /nfs/dbraw/zinc/39/66/09/170396609.db2.gz WIOLTKBTECERGE-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccn(C)n1 ZINC000660781614 411628481 /nfs/dbraw/zinc/62/84/81/411628481.db2.gz VRZAMZZOBZWKRT-LOWDOPEQSA-N 0 3 233.359 2.507 20 0 BFADHN FCCOCCNCc1ccc(C(F)F)cc1 ZINC000663341531 411631844 /nfs/dbraw/zinc/63/18/44/411631844.db2.gz QRCPQWRJDKMWAG-UHFFFAOYSA-N 0 3 247.260 2.700 20 0 BFADHN CC(C)c1ccc(CNCCOCCF)cc1 ZINC000663342223 411632026 /nfs/dbraw/zinc/63/20/26/411632026.db2.gz QLGMXYINADQIGX-UHFFFAOYSA-N 0 3 239.334 2.886 20 0 BFADHN FCCOCCNCc1ccc2ccccc2c1 ZINC000663342436 411632042 /nfs/dbraw/zinc/63/20/42/411632042.db2.gz USKYGLNAGRHUPS-UHFFFAOYSA-N 0 3 247.313 2.916 20 0 BFADHN CCOCCN[C@H](C)c1ccc(C)c(C)c1 ZINC000036228823 170415069 /nfs/dbraw/zinc/41/50/69/170415069.db2.gz AISVDHZTMJESOV-CYBMUJFWSA-N 0 3 221.344 2.991 20 0 BFADHN C([C@@H]1C[C@H]2C[C@H]2C1)N1CCO[C@H](C2CCC2)C1 ZINC000660796511 411635933 /nfs/dbraw/zinc/63/59/33/411635933.db2.gz JUSZYVJVNQKRNF-ATGSNQNLSA-N 0 3 235.371 2.533 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)nc(C)n1 ZINC000660826142 411647076 /nfs/dbraw/zinc/64/70/76/411647076.db2.gz ZYUZAWZRIMANMQ-WCQGTBRESA-N 0 3 233.359 2.570 20 0 BFADHN CC(C)=CCCN1CCCc2c(cnn2C)C1 ZINC000660798511 411638331 /nfs/dbraw/zinc/63/83/31/411638331.db2.gz ODSVXTHJHNHBBL-UHFFFAOYSA-N 0 3 233.359 2.525 20 0 BFADHN COc1ccccc1CNC[C@H]1CCC[C@@H](C)O1 ZINC000657662693 411576038 /nfs/dbraw/zinc/57/60/38/411576038.db2.gz FNECFQDGFPDMOG-TZMCWYRMSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1cnccc1CNC[C@@]1(C)CC1(F)F ZINC000657663865 411576289 /nfs/dbraw/zinc/57/62/89/411576289.db2.gz DCTYEKPCFIHIGT-LLVKDONJSA-N 0 3 226.270 2.525 20 0 BFADHN Cc1cnccc1CNC[C@]1(C)CC1(F)F ZINC000657663867 411576402 /nfs/dbraw/zinc/57/64/02/411576402.db2.gz DCTYEKPCFIHIGT-NSHDSACASA-N 0 3 226.270 2.525 20 0 BFADHN Cc1ccncc1CNC[C@@]1(C)CC1(F)F ZINC000657666642 411578153 /nfs/dbraw/zinc/57/81/53/411578153.db2.gz RGXDJIBKXXXHNB-LLVKDONJSA-N 0 3 226.270 2.525 20 0 BFADHN COc1cc(CN2C[C@H](C)C[C@H](C)[C@H]2C)on1 ZINC000660392640 411578209 /nfs/dbraw/zinc/57/82/09/411578209.db2.gz GLSVRCKXODNSQO-OUAUKWLOSA-N 0 3 238.331 2.550 20 0 BFADHN Cn1cccc1CN[C@H]1CCc2ccc(O)cc21 ZINC000657692286 411579285 /nfs/dbraw/zinc/57/92/85/411579285.db2.gz QMPYQZWFAHZISB-HNNXBMFYSA-N 0 3 242.322 2.508 20 0 BFADHN CC[C@H](NCc1ncc(COC)s1)C1CC1 ZINC000657679234 411579757 /nfs/dbraw/zinc/57/97/57/411579757.db2.gz BEYGMWLYBAXPHQ-NSHDSACASA-N 0 3 240.372 2.568 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H]2CCc3ccc(O)cc32)CO1 ZINC000657693134 411579922 /nfs/dbraw/zinc/57/99/22/411579922.db2.gz HBCYIEWKHBMQMV-LNSITVRQSA-N 0 3 247.338 2.537 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@H](O)C23CCC3)cc1C ZINC000657702359 411583213 /nfs/dbraw/zinc/58/32/13/411583213.db2.gz FGNOCFRZDPBBTE-LSDHHAIUSA-N 0 3 245.366 2.697 20 0 BFADHN CCC(CC)CN1CCC[C@H]1c1cc[nH]n1 ZINC000660428996 411583789 /nfs/dbraw/zinc/58/37/89/411583789.db2.gz IRAPKQZIRXSHDG-ZDUSSCGKSA-N 0 3 221.348 2.983 20 0 BFADHN Cc1cccc(CN[C@H]2C[C@H](O)C23CCC3)c1C ZINC000657703818 411584054 /nfs/dbraw/zinc/58/40/54/411584054.db2.gz PYBDGKQKLLWUEV-GJZGRUSLSA-N 0 3 245.366 2.697 20 0 BFADHN C[C@@H]1CN(CCC2CCC2)C[C@@H](C2CC2)O1 ZINC000660445775 411585538 /nfs/dbraw/zinc/58/55/38/411585538.db2.gz NWPXNHLTMDTLMC-RISCZKNCSA-N 0 3 223.360 2.676 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@H](O)C23CCC3)c1F ZINC000657709855 411586173 /nfs/dbraw/zinc/58/61/73/411586173.db2.gz RWQBVTWZTCKBEW-OLZOCXBDSA-N 0 3 249.329 2.527 20 0 BFADHN CC(C)=CCCN(CCO)Cc1ccc(C)o1 ZINC000660453172 411587132 /nfs/dbraw/zinc/58/71/32/411587132.db2.gz INQBXNLTFKVEQX-UHFFFAOYSA-N 0 3 237.343 2.739 20 0 BFADHN CCN(Cc1cc(OC)ns1)CC1CCC1 ZINC000660454255 411587517 /nfs/dbraw/zinc/58/75/17/411587517.db2.gz ZVDBOBKQVVOUAU-UHFFFAOYSA-N 0 3 240.372 2.774 20 0 BFADHN Cn1ncc(C2CCC2)c1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000657734909 411587830 /nfs/dbraw/zinc/58/78/30/411587830.db2.gz VLWLXQFONBZGBJ-JJLQDPRZSA-N 0 3 245.370 2.576 20 0 BFADHN Cc1ccncc1CNC[C@H]1C(C)(C)C1(F)F ZINC000657736974 411588130 /nfs/dbraw/zinc/58/81/30/411588130.db2.gz ALOVKFBPMCCMGL-NSHDSACASA-N 0 3 240.297 2.771 20 0 BFADHN Cc1noc(C)c1CNC[C@H]1C(C)(C)C1(F)F ZINC000657737166 411588362 /nfs/dbraw/zinc/58/83/62/411588362.db2.gz IORHTTCQSRETSB-JTQLQIEISA-N 0 3 244.285 2.672 20 0 BFADHN CC(C)[C@H](NCc1cn(C2CCC2)nn1)C1CC1 ZINC000657757052 411590301 /nfs/dbraw/zinc/59/03/01/411590301.db2.gz LOYVGVXOQGHWAN-AWEZNQCLSA-N 0 3 248.374 2.527 20 0 BFADHN Cn1nc(CN[C@@]2(C)CC2(C)C)c2ccccc21 ZINC000657761000 411590721 /nfs/dbraw/zinc/59/07/21/411590721.db2.gz DHSLSIXWAVUVRT-HNNXBMFYSA-N 0 3 243.354 2.852 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1cccc(O)c1 ZINC000657760672 411590899 /nfs/dbraw/zinc/59/08/99/411590899.db2.gz OQFWQYURXUEXJV-CYBMUJFWSA-N 0 3 205.301 2.670 20 0 BFADHN CCCn1cc(CN[C@]2(C)CC2(C)C)cn1 ZINC000657761465 411591484 /nfs/dbraw/zinc/59/14/84/411591484.db2.gz LFNMXKRWOCJBLU-CYBMUJFWSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1cccn2c(CN[C@]3(C)CC3(C)C)cnc12 ZINC000657761513 411591590 /nfs/dbraw/zinc/59/15/90/411591590.db2.gz MMEITCMSEVRTOA-OAHLLOKOSA-N 0 3 243.354 2.921 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1ccnn1CC1CC1 ZINC000657761492 411591800 /nfs/dbraw/zinc/59/18/00/411591800.db2.gz MBSNKYGAXIDWNU-CQSZACIVSA-N 0 3 233.359 2.571 20 0 BFADHN CCc1ncc(CN[C@@]2(C)CC2(C)C)s1 ZINC000657761856 411591837 /nfs/dbraw/zinc/59/18/37/411591837.db2.gz SVKMLSRMRCXBNY-LBPRGKRZSA-N 0 3 224.373 2.984 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1cn2ccccc2n1 ZINC000657762309 411591843 /nfs/dbraw/zinc/59/18/43/411591843.db2.gz ZZTYBEYCZKKKSC-CQSZACIVSA-N 0 3 229.327 2.613 20 0 BFADHN COc1cc(CNCC2=CCCC2)cc(OC)c1 ZINC000657748294 411592060 /nfs/dbraw/zinc/59/20/60/411592060.db2.gz GIFDNRCACOKGMF-UHFFFAOYSA-N 0 3 247.338 2.904 20 0 BFADHN CC(=O)Nc1ccccc1CNCC1=CCCC1 ZINC000657749432 411592276 /nfs/dbraw/zinc/59/22/76/411592276.db2.gz CMSOQJUOPOJSCA-UHFFFAOYSA-N 0 3 244.338 2.845 20 0 BFADHN COc1ccc(OC)c(CNCC2=CCCC2)c1 ZINC000657748118 411592373 /nfs/dbraw/zinc/59/23/73/411592373.db2.gz DWOQBTUKXOZKKD-UHFFFAOYSA-N 0 3 247.338 2.904 20 0 BFADHN CC(C)(C)c1n[nH]cc1CNCC1=CCCC1 ZINC000657749043 411592447 /nfs/dbraw/zinc/59/24/47/411592447.db2.gz RFAXCFIVIRTUAK-UHFFFAOYSA-N 0 3 233.359 2.907 20 0 BFADHN Cn1cc(CNCC2=CCCC2)c(C(F)F)n1 ZINC000657749711 411592454 /nfs/dbraw/zinc/59/24/54/411592454.db2.gz JXGSNHSPCHGXNX-UHFFFAOYSA-N 0 3 241.285 2.558 20 0 BFADHN Cc1ncsc1CNCC1=CCCC1 ZINC000657749821 411592585 /nfs/dbraw/zinc/59/25/85/411592585.db2.gz OJESXNWXXNGCKR-UHFFFAOYSA-N 0 3 208.330 2.651 20 0 BFADHN CC(C)n1cncc1CNCC1=CCCC1 ZINC000657749896 411592607 /nfs/dbraw/zinc/59/26/07/411592607.db2.gz SIZVHPPJPQLVBV-UHFFFAOYSA-N 0 3 219.332 2.664 20 0 BFADHN Cc1nc2ccccn2c1CNCC1=CCCC1 ZINC000657749465 411592609 /nfs/dbraw/zinc/59/26/09/411592609.db2.gz DWXAVMFAGFRNRQ-UHFFFAOYSA-N 0 3 241.338 2.843 20 0 BFADHN COc1cc(C)nc(CNCC2=CCCC2)c1 ZINC000657749997 411592800 /nfs/dbraw/zinc/59/28/00/411592800.db2.gz WYHLVXSBBPSXTB-UHFFFAOYSA-N 0 3 232.327 2.599 20 0 BFADHN CO[C@@H](CNCc1ccc(C)nc1)C1CCCC1 ZINC000657765316 411593065 /nfs/dbraw/zinc/59/30/65/411593065.db2.gz ATOQGVIKXHFJOO-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN CO[C@H](CNCc1ccc(C)cn1)C1CCCC1 ZINC000657768094 411593370 /nfs/dbraw/zinc/59/33/70/411593370.db2.gz VGQFPOHABZYXSZ-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN CO[C@@H](CNCc1ccncc1C)C1CCCC1 ZINC000657769363 411593865 /nfs/dbraw/zinc/59/38/65/411593865.db2.gz ZVCXLBRITMMNDI-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN CO[C@@H](CNCc1ccc(C)cn1)C1CCCC1 ZINC000657768093 411593951 /nfs/dbraw/zinc/59/39/51/411593951.db2.gz VGQFPOHABZYXSZ-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN CCCOc1ccc(CN[C@@H]2C[C@]2(C)OC)cc1 ZINC000657787387 411596141 /nfs/dbraw/zinc/59/61/41/411596141.db2.gz AFWJENPWMOYVGQ-CABCVRRESA-N 0 3 249.354 2.742 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc(C(F)F)cc1 ZINC000657789212 411596602 /nfs/dbraw/zinc/59/66/02/411596602.db2.gz BYVUUKQPEGJGRZ-YPMHNXCESA-N 0 3 241.281 2.891 20 0 BFADHN CCN1CCN(CCCC2CCC2)[C@H](C)C1 ZINC000660534903 411596671 /nfs/dbraw/zinc/59/66/71/411596671.db2.gz HHTBBLOETDCSOD-CYBMUJFWSA-N 0 3 224.392 2.593 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cc2ccncc2s1 ZINC000657790502 411597581 /nfs/dbraw/zinc/59/75/81/411597581.db2.gz SAHHZOCKCXAMDV-OLZOCXBDSA-N 0 3 248.351 2.563 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cc2c(cccc2C)[nH]1 ZINC000657790420 411597584 /nfs/dbraw/zinc/59/75/84/411597584.db2.gz QFJYNNXDMNGSRQ-CABCVRRESA-N 0 3 244.338 2.743 20 0 BFADHN CCc1nc([C@@H](C)N2CCC3(CCC3)CC2)n[nH]1 ZINC000660545000 411598052 /nfs/dbraw/zinc/59/80/52/411598052.db2.gz QPVUBHXVCHPMQN-LLVKDONJSA-N 0 3 248.374 2.694 20 0 BFADHN CCc1nc([C@H](C)N2CCC3(CCC3)CC2)n[nH]1 ZINC000660545002 411598354 /nfs/dbraw/zinc/59/83/54/411598354.db2.gz QPVUBHXVCHPMQN-NSHDSACASA-N 0 3 248.374 2.694 20 0 BFADHN Cc1cc(CN2CCC3(CCC3)CC2)nc(C)n1 ZINC000660543895 411598425 /nfs/dbraw/zinc/59/84/25/411598425.db2.gz NVYSLIJADYUMEQ-UHFFFAOYSA-N 0 3 245.370 2.860 20 0 BFADHN CC(C)n1ccnc1CNC1CC(C)(C)C1 ZINC000657802165 411599517 /nfs/dbraw/zinc/59/95/17/411599517.db2.gz ROCGAWJTEYUHLE-UHFFFAOYSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@@H]1C[C@H](NCc2cccc(OC)c2C)CO1 ZINC000657804048 411600623 /nfs/dbraw/zinc/60/06/23/411600623.db2.gz VCTVRMAFJSDGJT-UONOGXRCSA-N 0 3 249.354 2.661 20 0 BFADHN CCc1cccc(Cl)c1CN[C@H]1C[C@H](O)C1 ZINC000657809420 411601426 /nfs/dbraw/zinc/60/14/26/411601426.db2.gz JVVGHGMBROXQHQ-XYPYZODXSA-N 0 3 239.746 2.515 20 0 BFADHN CCc1cccc2cc(CN[C@H]3C[C@H](O)C3)oc21 ZINC000657808887 411601436 /nfs/dbraw/zinc/60/14/36/411601436.db2.gz PZQHLKGNUIUBCY-JOCQHMNTSA-N 0 3 245.322 2.608 20 0 BFADHN CC(C)(C)c1ccc(CN[C@H]2C[C@H](O)C2)s1 ZINC000657809619 411601950 /nfs/dbraw/zinc/60/19/50/411601950.db2.gz LWNMVACXLMHPRV-MGCOHNPYSA-N 0 3 239.384 2.659 20 0 BFADHN COc1cc(CN(C2CCC2)C2CCC2)on1 ZINC000660618912 411608301 /nfs/dbraw/zinc/60/83/01/411608301.db2.gz UQJVKPJVGHQERB-UHFFFAOYSA-N 0 3 236.315 2.590 20 0 BFADHN Cc1nc(CN[C@H]2CCCSCC2)cs1 ZINC000657844319 411610353 /nfs/dbraw/zinc/61/03/53/411610353.db2.gz QIRANJJTZUFQHP-JTQLQIEISA-N 0 3 242.413 2.827 20 0 BFADHN Cc1nc(CN[C@H]2CCCC23CC3)cs1 ZINC000657844162 411610561 /nfs/dbraw/zinc/61/05/61/411610561.db2.gz OQKSWIBTTDJSCW-NSHDSACASA-N 0 3 222.357 2.874 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCN(C)C[C@H]1CC ZINC000660645936 411611797 /nfs/dbraw/zinc/61/17/97/411611797.db2.gz YATGIDQNDYUHGL-NWDGAFQWSA-N 0 3 248.361 2.694 20 0 BFADHN Cc1cccc(CN[C@@H]2CCCSCC2)n1 ZINC000657845260 411611837 /nfs/dbraw/zinc/61/18/37/411611837.db2.gz UEWHLYKBMYZVKH-GFCCVEGCSA-N 0 3 236.384 2.765 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1cc2cnccc2o1 ZINC000657875281 411614604 /nfs/dbraw/zinc/61/46/04/411614604.db2.gz IUMFSXYQSMLAHZ-PWSUYJOCSA-N 0 3 246.310 2.657 20 0 BFADHN CC[C@@H](CC(F)F)CN1CC[C@@H](F)C1 ZINC000660693474 411617864 /nfs/dbraw/zinc/61/78/64/411617864.db2.gz XSRIWTKLFZNBFO-DTWKUNHWSA-N 0 3 209.255 2.712 20 0 BFADHN CC[C@H](CC(F)F)CN1CC[C@H](F)C1 ZINC000660693471 411618067 /nfs/dbraw/zinc/61/80/67/411618067.db2.gz XSRIWTKLFZNBFO-BDAKNGLRSA-N 0 3 209.255 2.712 20 0 BFADHN C[C@@H](NCCOCCF)c1ccc(Cl)cc1 ZINC000663310970 411621405 /nfs/dbraw/zinc/62/14/05/411621405.db2.gz OZUHFPUKPYMOOL-SNVBAGLBSA-N 0 3 245.725 2.977 20 0 BFADHN CC[C@@H](C)N(CC)Cc1ccncc1F ZINC000661103525 411709194 /nfs/dbraw/zinc/70/91/94/411709194.db2.gz GTKIBVDAKZQLRP-SNVBAGLBSA-N 0 3 210.296 2.841 20 0 BFADHN CN(Cc1cc(C2CC2)no1)C(C)(C)C ZINC000660903854 411665216 /nfs/dbraw/zinc/66/52/16/411665216.db2.gz PNLNELXWAYNGEQ-UHFFFAOYSA-N 0 3 208.305 2.782 20 0 BFADHN CCCN(Cc1cc(OC)ns1)C(C)C ZINC000660924634 411673997 /nfs/dbraw/zinc/67/39/97/411673997.db2.gz NXUYEAKOOCXPSG-UHFFFAOYSA-N 0 3 228.361 2.772 20 0 BFADHN Cc1cccc(CCCN(C)Cc2cnc[nH]2)c1 ZINC000661088785 411699642 /nfs/dbraw/zinc/69/96/42/411699642.db2.gz OZAIYGWTZDISOP-UHFFFAOYSA-N 0 3 243.354 2.783 20 0 BFADHN C[C@]1(C2CC2)CN(CCCCCF)CCO1 ZINC000661173324 411729308 /nfs/dbraw/zinc/72/93/08/411729308.db2.gz XDCOAADBSFXPKP-CYBMUJFWSA-N 0 3 229.339 2.627 20 0 BFADHN CCOc1cccc(CN[C@@H]2CCSC2)c1 ZINC000037679323 170578681 /nfs/dbraw/zinc/57/86/81/170578681.db2.gz FCMWDPZUUHIMKR-GFCCVEGCSA-N 0 3 237.368 2.680 20 0 BFADHN Cn1cc(CN2CCCC3(CCCC3)CC2)cn1 ZINC000661228414 411735694 /nfs/dbraw/zinc/73/56/94/411735694.db2.gz RGSBQTUIEJSZIB-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN c1cc(CN2CCCC3(CCCC3)CC2)n[nH]1 ZINC000661232142 411736911 /nfs/dbraw/zinc/73/69/11/411736911.db2.gz ZWBBSKJCDIIONU-UHFFFAOYSA-N 0 3 233.359 2.956 20 0 BFADHN OC1(CCN2CC[C@@H]2c2ccc(F)cc2)CCC1 ZINC000661508358 411792193 /nfs/dbraw/zinc/79/21/93/411792193.db2.gz OAJYMBGCPNCUNC-CQSZACIVSA-N 0 3 249.329 2.878 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@@H]1CCOC1 ZINC000131160655 170597543 /nfs/dbraw/zinc/59/75/43/170597543.db2.gz OZOOTZUKODAUSP-VXGBXAGGSA-N 0 3 235.327 2.525 20 0 BFADHN Cc1ccc2c(c1)CN(CCCF)CC2 ZINC000661371448 411756643 /nfs/dbraw/zinc/75/66/43/411756643.db2.gz JQBKEECYBLRDGQ-UHFFFAOYSA-N 0 3 207.292 2.713 20 0 BFADHN C[C@H](O)CCN1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661433512 411773551 /nfs/dbraw/zinc/77/35/51/411773551.db2.gz GWHMHNRIQPLHKE-GXTWGEPZSA-N 0 3 249.354 2.968 20 0 BFADHN CCSCC[C@H](C)NCc1ccccn1 ZINC000127497507 170643876 /nfs/dbraw/zinc/64/38/76/170643876.db2.gz PNJPKZWFFSWNAJ-NSHDSACASA-N 0 3 224.373 2.703 20 0 BFADHN CC(C)=CCN1CC2(CCC2)OC[C@H]1C ZINC000661461331 411780165 /nfs/dbraw/zinc/78/01/65/411780165.db2.gz CESFZCHMZQSRAE-GFCCVEGCSA-N 0 3 209.333 2.596 20 0 BFADHN CC[C@H](O)CN[C@@H](c1ccccc1F)C(C)C ZINC000661484884 411785395 /nfs/dbraw/zinc/78/53/95/411785395.db2.gz NFJAMJXLJXFTLR-SMDDNHRTSA-N 0 3 239.334 2.883 20 0 BFADHN CCS[C@H]1CCC[C@@H](NCc2ncc[nH]2)C1 ZINC000165239560 170652495 /nfs/dbraw/zinc/65/24/95/170652495.db2.gz FNCIMTROHXMNAN-MNOVXSKESA-N 0 3 239.388 2.564 20 0 BFADHN COCCN[C@@H](c1ccccc1F)C(C)C ZINC000661486510 411785947 /nfs/dbraw/zinc/78/59/47/411785947.db2.gz XAHMBAPBOMSDDQ-CYBMUJFWSA-N 0 3 225.307 2.759 20 0 BFADHN C[C@@]12COC[C@]1(C)CN(Cc1cccs1)C2 ZINC000661490565 411786536 /nfs/dbraw/zinc/78/65/36/411786536.db2.gz OGPXPOFYSHZBMQ-BETUJISGSA-N 0 3 237.368 2.607 20 0 BFADHN C[C@@]12COC[C@]1(C)CN(Cc1ccc(F)cc1)C2 ZINC000661489553 411787000 /nfs/dbraw/zinc/78/70/00/411787000.db2.gz HFIBIUZZMGZARE-GASCZTMLSA-N 0 3 249.329 2.684 20 0 BFADHN CC(C)[C@@H](O)CN1CC[C@H]1c1ccc(F)cc1 ZINC000661510948 411791315 /nfs/dbraw/zinc/79/13/15/411791315.db2.gz WAJYICSSISNQIV-KBPBESRZSA-N 0 3 237.318 2.589 20 0 BFADHN c1coc(C2CCN(C[C@H]3CCCO3)CC2)c1 ZINC000661164078 411724845 /nfs/dbraw/zinc/72/48/45/411724845.db2.gz ATUPHPHRSVDZGX-CYBMUJFWSA-N 0 3 235.327 2.638 20 0 BFADHN CC(C)CCN1CCO[C@@](C)(C2CC2)C1 ZINC000661166228 411725731 /nfs/dbraw/zinc/72/57/31/411725731.db2.gz IHMBXKUSLUEUDY-CYBMUJFWSA-N 0 3 211.349 2.533 20 0 BFADHN CC/C=C\CCN1CCO[C@](C)(C2CC2)C1 ZINC000661167374 411727268 /nfs/dbraw/zinc/72/72/68/411727268.db2.gz HKZGVCLUSLJUIG-WSNITJDQSA-N 0 3 223.360 2.844 20 0 BFADHN CCCCCN1CCO[C@@](C)(C2CC2)C1 ZINC000661171429 411727638 /nfs/dbraw/zinc/72/76/38/411727638.db2.gz OCELEZVVRFIFCZ-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CCCc1ccc(CN2CC[C@@]3(O)C[C@H]3C2)cc1 ZINC000661716101 411855113 /nfs/dbraw/zinc/85/51/13/411855113.db2.gz LZJKXQWTRCLZBZ-JKSUJKDBSA-N 0 3 245.366 2.596 20 0 BFADHN COc1ccc2c(c1)CCN(CCC1CC1)C2 ZINC000661741930 411862324 /nfs/dbraw/zinc/86/23/24/411862324.db2.gz ZVVZGYWJDYGWHY-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(F)c2)C[C@H](C)O1 ZINC000661857814 411904173 /nfs/dbraw/zinc/90/41/73/411904173.db2.gz XJWRELDSHDDTBO-NEPJUHHUSA-N 0 3 237.318 2.825 20 0 BFADHN CCC[C@](C)(N)C(=O)N1C[C@@H](CC)CC[C@@H]1C ZINC000383426170 487621139 /nfs/dbraw/zinc/62/11/39/487621139.db2.gz BESCZMQSBPYBIW-OBJOEFQTSA-N 0 3 240.391 2.541 20 0 BFADHN Fc1cccc(CN2CC[C@@H]3C[C@@H]3C2)c1 ZINC000661977895 411932871 /nfs/dbraw/zinc/93/28/71/411932871.db2.gz MNTZWGCKWBGATH-VXGBXAGGSA-N 0 3 205.276 2.668 20 0 BFADHN C[C@@H]1COC2(CCCC2)CN1CCCCF ZINC000661979467 411933999 /nfs/dbraw/zinc/93/39/99/411933999.db2.gz XSVQXTWFHPCJTH-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN CC[C@H](NCc1cc(C2CC2)no1)C1CC1 ZINC000661761841 411873625 /nfs/dbraw/zinc/87/36/25/411873625.db2.gz JGNMNLGMYGTAAR-LBPRGKRZSA-N 0 3 220.316 2.830 20 0 BFADHN C[C@@H](O)[C@H]1CCCN1Cc1cccc(Cl)c1 ZINC000661764928 411876658 /nfs/dbraw/zinc/87/66/58/411876658.db2.gz CHDMQVFDMAWQIQ-ZWNOBZJWSA-N 0 3 239.746 2.685 20 0 BFADHN C[C@H](O)[C@H]1CCCN1Cc1cccc(Cl)c1 ZINC000661764927 411876871 /nfs/dbraw/zinc/87/68/71/411876871.db2.gz CHDMQVFDMAWQIQ-GXFFZTMASA-N 0 3 239.746 2.685 20 0 BFADHN CC[C@@H](CSC)NCc1scnc1C ZINC000162167265 170852889 /nfs/dbraw/zinc/85/28/89/170852889.db2.gz NPNWWOUVVNQAJJ-VIFPVBQESA-N 0 3 230.402 2.683 20 0 BFADHN C[C@H](c1ccccc1F)N1CCC2(COC2)C1 ZINC000662075721 411975629 /nfs/dbraw/zinc/97/56/29/411975629.db2.gz JFICDIZLPLVOSE-LLVKDONJSA-N 0 3 235.302 2.609 20 0 BFADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1ccncc1F ZINC000662129160 411995036 /nfs/dbraw/zinc/99/50/36/411995036.db2.gz XHOYCFXVNSWFPB-VGPLMAKISA-N 0 3 234.318 2.984 20 0 BFADHN Cc1cc(CN(CCC2CC2)CC2CC2)no1 ZINC000662179979 412020426 /nfs/dbraw/zinc/02/04/26/412020426.db2.gz OQBXNBDCOAKMBO-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN CCc1cc(N(C)CC2=CCSC2)ccn1 ZINC000664641243 412001621 /nfs/dbraw/zinc/00/16/21/412001621.db2.gz VNMMGLKCPBYQTN-UHFFFAOYSA-N 0 3 234.368 2.753 20 0 BFADHN CCCCC[C@@H]1CCCCN1CC(=O)NCC ZINC000662157059 412010990 /nfs/dbraw/zinc/01/09/90/412010990.db2.gz SQVLKBTVNQWBLU-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN CCCn1nccc1CN1CCC12CCCC2 ZINC000668281104 487621353 /nfs/dbraw/zinc/62/13/53/487621353.db2.gz MSHXSQUTCSYGCY-UHFFFAOYSA-N 0 3 233.359 2.812 20 0 BFADHN CCC(CC)CCCN(C)CC(=O)NC(C)C ZINC000662039111 411955874 /nfs/dbraw/zinc/95/58/74/411955874.db2.gz OJSLZIFGGIVMEN-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1nc([C@H](C)N2CCC[C@@H](C3CCC3)C2)n[nH]1 ZINC000662043867 411960526 /nfs/dbraw/zinc/96/05/26/411960526.db2.gz MWUBVBKJPIHSGT-GXFFZTMASA-N 0 3 248.374 2.686 20 0 BFADHN Cc1nc([C@H](C)N2CCC[C@H](C3CCC3)C2)n[nH]1 ZINC000662043866 411961183 /nfs/dbraw/zinc/96/11/83/411961183.db2.gz MWUBVBKJPIHSGT-GWCFXTLKSA-N 0 3 248.374 2.686 20 0 BFADHN C[C@H]1CCCC[C@H]1CN1CCCc2n[nH]cc2C1 ZINC000664861588 412035078 /nfs/dbraw/zinc/03/50/78/412035078.db2.gz URVFCXGRZNHJRC-STQMWFEESA-N 0 3 247.386 2.984 20 0 BFADHN COc1cccc(CN(C)CC2=CCSC2)c1 ZINC000662264286 412056384 /nfs/dbraw/zinc/05/63/84/412056384.db2.gz PYRNPGGYULTPBY-UHFFFAOYSA-N 0 3 249.379 2.800 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1conc1C ZINC000339298254 171001167 /nfs/dbraw/zinc/00/11/67/171001167.db2.gz MENIRSBUHWAERS-ZYHUDNBSSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@@H]1CCN(Cc2ccccc2NC(C)=O)C1 ZINC000091930240 171012103 /nfs/dbraw/zinc/01/21/03/171012103.db2.gz SJNCMGGBUYZVQH-CYBMUJFWSA-N 0 3 246.354 2.877 20 0 BFADHN CC[C@@H]1CCN1C[C@@H](O)c1cc2ccccc2o1 ZINC000293712114 171022885 /nfs/dbraw/zinc/02/28/85/171022885.db2.gz XWURKQHWAWJCCV-CHWSQXEVSA-N 0 3 245.322 2.951 20 0 BFADHN CC[C@@H]1CCN1Cc1cccc(NC(C)=O)c1 ZINC000292431992 171023500 /nfs/dbraw/zinc/02/35/00/171023500.db2.gz NQVDDWBDNQFYBB-CQSZACIVSA-N 0 3 232.327 2.629 20 0 BFADHN CC[C@@H]1CCN1Cc1sccc1OC ZINC000292833646 171025337 /nfs/dbraw/zinc/02/53/37/171025337.db2.gz ROCQYFHFMGYWGA-SECBINFHSA-N 0 3 211.330 2.741 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc(OC)c(OC)c1 ZINC000292866898 171025384 /nfs/dbraw/zinc/02/53/84/171025384.db2.gz VVUVYGKUKNGKOF-GFCCVEGCSA-N 0 3 235.327 2.688 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1ccc(OC)cn1 ZINC000272025324 171037384 /nfs/dbraw/zinc/03/73/84/171037384.db2.gz MCPUHKASPGCTGB-DGCLKSJQSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1nccn1CC ZINC000357530267 171038671 /nfs/dbraw/zinc/03/86/71/171038671.db2.gz OSXDIKCQYLJFNO-VXGBXAGGSA-N 0 3 221.348 2.666 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cc(C)no1 ZINC000271561189 171039114 /nfs/dbraw/zinc/03/91/14/171039114.db2.gz PQMVVACFPJOLKE-GHMZBOCLSA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1ccc(F)cn1 ZINC000276193745 171039138 /nfs/dbraw/zinc/03/91/38/171039138.db2.gz IJAPRJXRCSBYBN-ZWNOBZJWSA-N 0 3 222.307 2.984 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cnc(C)nc1 ZINC000336319693 171039345 /nfs/dbraw/zinc/03/93/45/171039345.db2.gz VKNUIWLFLLXTGB-ZWNOBZJWSA-N 0 3 219.332 2.548 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cnccc1OC ZINC000287557289 171053083 /nfs/dbraw/zinc/05/30/83/171053083.db2.gz AZINMTIYSUHHGU-WCQYABFASA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CN(CCSC(C)C)CCS1 ZINC000336688897 171083688 /nfs/dbraw/zinc/08/36/88/171083688.db2.gz NWIRAVNIAMMLNO-LLVKDONJSA-N 0 3 233.446 2.955 20 0 BFADHN CC[C@@H]1CN(Cc2cnccc2C)CCS1 ZINC000269598943 171092475 /nfs/dbraw/zinc/09/24/75/171092475.db2.gz KHBYMAJUXDWBAD-CYBMUJFWSA-N 0 3 236.384 2.717 20 0 BFADHN CC[C@@H]1CN([C@@H](C)c2ccccc2F)C[C@@H]1O ZINC000271005894 171093296 /nfs/dbraw/zinc/09/32/96/171093296.db2.gz ZGEGVDALJVWHMW-WDMOLILDSA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@@H]1CN([C@H](C)c2cccc(F)c2)C[C@@H]1O ZINC000270822771 171097007 /nfs/dbraw/zinc/09/70/07/171097007.db2.gz BLAAIOYELORBPL-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@@H]1COCCN1CCCC(C)(C)C ZINC000337193771 171106399 /nfs/dbraw/zinc/10/63/99/171106399.db2.gz UVVJRVZISZHFMU-GFCCVEGCSA-N 0 3 213.365 2.924 20 0 BFADHN CC[C@@H]1C[C@H](C)C[N@@H+]1Cc1nc(C)ccc1[O-] ZINC000353919845 171126920 /nfs/dbraw/zinc/12/69/20/171126920.db2.gz KIZZLSGAICSKNU-CMPLNLGQSA-N 0 3 234.343 2.716 20 0 BFADHN CC[C@@H]1C[C@H](C)C[N@H+]1Cc1nc(C)ccc1[O-] ZINC000353919845 171126924 /nfs/dbraw/zinc/12/69/24/171126924.db2.gz KIZZLSGAICSKNU-CMPLNLGQSA-N 0 3 234.343 2.716 20 0 BFADHN CC[C@@H]1C[C@H](CN2CC[C@](C)(F)C2)CCO1 ZINC000356976286 171130347 /nfs/dbraw/zinc/13/03/47/171130347.db2.gz CKJRPESLTOATNY-UPJWGTAASA-N 0 3 229.339 2.626 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cccc(OC)n1 ZINC000274816700 171161376 /nfs/dbraw/zinc/16/13/76/171161376.db2.gz AMPOKUBDXFGLSV-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1conc1C ZINC000294703604 171161382 /nfs/dbraw/zinc/16/13/82/171161382.db2.gz OJBWGDBHHKLLRM-BXKDBHETSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@](C)(NCCCCOC)c1nccs1 ZINC000173507998 171174547 /nfs/dbraw/zinc/17/45/47/171174547.db2.gz BCWRIVLTAZEBIR-GFCCVEGCSA-N 0 3 242.388 2.785 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1cccnc1Cl ZINC000167440433 171185535 /nfs/dbraw/zinc/18/55/35/171185535.db2.gz URTCHDMKTIWQKF-BXKDBHETSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cnn(C)c2C)C1 ZINC000353704601 171198768 /nfs/dbraw/zinc/19/87/68/171198768.db2.gz NORUYLWWPACGSE-AWEZNQCLSA-N 0 3 235.375 2.741 20 0 BFADHN CC[C@@]1(CO)CCCN(Cc2cccs2)C1 ZINC000190219556 171211887 /nfs/dbraw/zinc/21/18/87/171211887.db2.gz YKUJVXOOBSMMMG-CYBMUJFWSA-N 0 3 239.384 2.733 20 0 BFADHN CC[C@H](C(=O)N(C)c1ccccc1)N(CC)CC ZINC000265409389 171223259 /nfs/dbraw/zinc/22/32/59/171223259.db2.gz BQVBEXANKNNRQO-CQSZACIVSA-N 0 3 248.370 2.770 20 0 BFADHN CC[C@H](C(=O)N1[C@H](C)CC[C@@H]1C)N(CC)CC ZINC000359888754 171225694 /nfs/dbraw/zinc/22/56/94/171225694.db2.gz GJQBOIPQOORGIQ-FRRDWIJNSA-N 0 3 240.391 2.506 20 0 BFADHN CC[C@H](C)CN(C)Cc1c(C)n[nH]c1C ZINC000271109108 171273815 /nfs/dbraw/zinc/27/38/15/171273815.db2.gz YUAZUINKSSSYDE-VIFPVBQESA-N 0 3 209.337 2.504 20 0 BFADHN CC[C@H](C)CN(C)Cc1cccc(O)c1OC ZINC000272337512 171274731 /nfs/dbraw/zinc/27/47/31/171274731.db2.gz XVSLSKJIQCNQRH-NSHDSACASA-N 0 3 237.343 2.879 20 0 BFADHN CC[C@H](C)CN(C)Cc1cccc(C)n1 ZINC000336642626 171275297 /nfs/dbraw/zinc/27/52/97/171275297.db2.gz AKPXFPCJLQMXLO-NSHDSACASA-N 0 3 206.333 2.868 20 0 BFADHN CC[C@H](C)CN(CC)C(=O)C1(N)CCCCC1 ZINC000093254934 171275715 /nfs/dbraw/zinc/27/57/15/171275715.db2.gz ZISCBUMKFFLYNU-LBPRGKRZSA-N 0 3 240.391 2.543 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cnc(N)s1 ZINC000086766373 171278187 /nfs/dbraw/zinc/27/81/87/171278187.db2.gz CPMXKLBHNVJMJF-VIFPVBQESA-N 0 3 227.377 2.593 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cnccc1OC ZINC000287834136 171278356 /nfs/dbraw/zinc/27/83/56/171278356.db2.gz QIGIVLYXKHUCNJ-LBPRGKRZSA-N 0 3 236.359 2.958 20 0 BFADHN CC[C@H](C)CN(CC)Cc1ccc(CO)o1 ZINC000093844946 171278650 /nfs/dbraw/zinc/27/86/50/171278650.db2.gz XBQYEBOAWDCKPO-NSHDSACASA-N 0 3 225.332 2.640 20 0 BFADHN CC[C@H](C)CN1CCCC[C@H]1c1n[nH]c(C)n1 ZINC000336709997 171279361 /nfs/dbraw/zinc/27/93/61/171279361.db2.gz KKXVWRJDRZGNQW-JQWIXIFHSA-N 0 3 236.363 2.686 20 0 BFADHN CC[C@H](C)CNCc1cn2cc(C)ccc2n1 ZINC000357416358 171285858 /nfs/dbraw/zinc/28/58/58/171285858.db2.gz MPOBKUAQSLUHPL-NSHDSACASA-N 0 3 231.343 2.778 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1conc1C ZINC000293690939 171295457 /nfs/dbraw/zinc/29/54/57/171295457.db2.gz WHJCFYKRDOUSOQ-VHSXEESVSA-N 0 3 210.321 2.897 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1ncc(C)n1C ZINC000336746111 171295766 /nfs/dbraw/zinc/29/57/66/171295766.db2.gz WFJXJUPNSOSSFF-WDEREUQCSA-N 0 3 223.364 2.643 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1conc1C ZINC000293690935 171298349 /nfs/dbraw/zinc/29/83/49/171298349.db2.gz WHJCFYKRDOUSOQ-UWVGGRQHSA-N 0 3 210.321 2.897 20 0 BFADHN CC[C@H](C)N(C)C(=O)[C@@H](C)[C@@H](N)c1ccccc1 ZINC000226823597 171303221 /nfs/dbraw/zinc/30/32/21/171303221.db2.gz JDYSIKVLFLDPJX-SGMGOOAPSA-N 0 3 248.370 2.579 20 0 BFADHN CC[C@H](C)N(C)CC(=O)Nc1cccc(C)c1C ZINC000070979963 171305274 /nfs/dbraw/zinc/30/52/74/171305274.db2.gz DINFURQNIBZODR-LBPRGKRZSA-N 0 3 248.370 2.972 20 0 BFADHN CC[C@H](C)N(C)CC[S@@](=O)c1ccccc1 ZINC000355353630 171308602 /nfs/dbraw/zinc/30/86/02/171308602.db2.gz JJFLCVSOQGWXHG-BLLLJJGKSA-N 0 3 239.384 2.525 20 0 BFADHN CC[C@H](C)N1CCC(c2noc(C3CC3)n2)CC1 ZINC000337320946 171317485 /nfs/dbraw/zinc/31/74/85/171317485.db2.gz PNRXVRVJJHSXQY-JTQLQIEISA-N 0 3 249.358 2.925 20 0 BFADHN CC[C@H](C)N1CCc2c(ccc(OC)c2OC)C1 ZINC000337311757 171320541 /nfs/dbraw/zinc/32/05/41/171320541.db2.gz CWGNCPRYVAYDGZ-NSHDSACASA-N 0 3 249.354 2.860 20 0 BFADHN CC[C@H](C)N1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289718933 171320585 /nfs/dbraw/zinc/32/05/85/171320585.db2.gz CUBCKFOPRHKDPT-GXSJLCMTSA-N 0 3 227.739 2.582 20 0 BFADHN CC[C@H](C)NCc1cc(C)cc(Cl)n1 ZINC000282664895 171342406 /nfs/dbraw/zinc/34/24/06/171342406.db2.gz ITLRFGXTVUJIIU-VIFPVBQESA-N 0 3 212.724 2.932 20 0 BFADHN CC[C@H](C)NCc1cccc(C(F)(F)F)n1 ZINC000296350932 171343007 /nfs/dbraw/zinc/34/30/07/171343007.db2.gz IHGJQMVZRQUWDS-QMMMGPOBSA-N 0 3 232.249 2.989 20 0 BFADHN CC1=CC[C@H](N[C@H](c2nccn2C)C2CC2)CC1 ZINC000556867031 322929798 /nfs/dbraw/zinc/92/97/98/322929798.db2.gz VKNYMBLAJIWHGG-KBPBESRZSA-N 0 3 245.370 2.960 20 0 BFADHN CC[C@H](C)[C@@H](C)NCC(=O)Nc1ccccc1 ZINC000042556104 171364392 /nfs/dbraw/zinc/36/43/92/171364392.db2.gz ZUFOLZQZWGNNEC-NWDGAFQWSA-N 0 3 234.343 2.649 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cc(OC)cc(C)n1 ZINC000214102529 171365467 /nfs/dbraw/zinc/36/54/67/171365467.db2.gz JEEKFEYPVVUHJE-CMPLNLGQSA-N 0 3 236.359 2.923 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ccoc1C(=O)OC ZINC000042554089 171365474 /nfs/dbraw/zinc/36/54/74/171365474.db2.gz NYSFIIRYMBDYLD-VHSXEESVSA-N 0 3 239.315 2.590 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1csc(COC)n1 ZINC000164448547 171365692 /nfs/dbraw/zinc/36/56/92/171365692.db2.gz YAQASZKAOSRYOZ-VHSXEESVSA-N 0 3 242.388 2.814 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](c1nccn1C)C1CC1 ZINC000353864940 171366767 /nfs/dbraw/zinc/36/67/67/171366767.db2.gz HGXONPDJAWCWGM-DMDPSCGWSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@@H](C)c1ccccn1)OC ZINC000294152808 171369054 /nfs/dbraw/zinc/36/90/54/171369054.db2.gz HQKAROFAJOXROS-SGMGOOAPSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)Nc1ccc(Cl)cc1 ZINC000019422497 171371962 /nfs/dbraw/zinc/37/19/62/171371962.db2.gz VJDCEQQQZSYJEV-GZMMTYOYSA-N 0 3 240.734 2.652 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)Nc1ccc(C)cc1C ZINC000019441960 171372699 /nfs/dbraw/zinc/37/26/99/171372699.db2.gz DNWDSYNJIWCUMJ-GXFFZTMASA-N 0 3 234.343 2.615 20 0 BFADHN CC[C@H](C)[C@@](C)(O)CN[C@H](C)c1ccccn1 ZINC000305746489 171382544 /nfs/dbraw/zinc/38/25/44/171382544.db2.gz VXCZHDNLWVBCKF-SCRDCRAPSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@H](C)[C@H](C)[NH2+]Cc1nnc(C(C)(C)C)[n-]1 ZINC000331195140 171389580 /nfs/dbraw/zinc/38/95/80/171389580.db2.gz NEJWFQORSORBQP-UWVGGRQHSA-N 0 3 238.379 2.626 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1nnc(C(C)(C)C)[nH]1 ZINC000331195140 171389581 /nfs/dbraw/zinc/38/95/81/171389581.db2.gz NEJWFQORSORBQP-UWVGGRQHSA-N 0 3 238.379 2.626 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ccoc1C(=O)OC ZINC000042554094 171389721 /nfs/dbraw/zinc/38/97/21/171389721.db2.gz NYSFIIRYMBDYLD-UWVGGRQHSA-N 0 3 239.315 2.590 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cn2ccsc2n1 ZINC000132300637 171389804 /nfs/dbraw/zinc/38/98/04/171389804.db2.gz ZPJULDPYUXRZBW-UWVGGRQHSA-N 0 3 237.372 2.920 20 0 BFADHN CC[C@H](C)[C@H](CNCc1cnccc1C)OC ZINC000294353936 171392388 /nfs/dbraw/zinc/39/23/88/171392388.db2.gz ZLAHBFNMLASYSQ-FZMZJTMJSA-N 0 3 236.359 2.541 20 0 BFADHN CC[C@H](C)[C@H](CN[C@@H](C)c1ccncc1)OC ZINC000294352438 171392790 /nfs/dbraw/zinc/39/27/90/171392790.db2.gz ZJJPXKFTEMALJJ-OBJOEFQTSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)Nc1ccccc1Cl ZINC000011959828 171396613 /nfs/dbraw/zinc/39/66/13/171396613.db2.gz FLBNIVVLSIMFST-KWQFWETISA-N 0 3 240.734 2.652 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1cc(C)ccn1)C1CC1 ZINC000665126215 412104553 /nfs/dbraw/zinc/10/45/53/412104553.db2.gz JVODEEVSYWZCNF-WFASDCNBSA-N 0 3 248.370 2.683 20 0 BFADHN CCOCCN[C@@]1(c2ccccc2)CC1(C)C ZINC000662340541 412110184 /nfs/dbraw/zinc/11/01/84/412110184.db2.gz LVKKSYGTBIKWOZ-OAHLLOKOSA-N 0 3 233.355 2.938 20 0 BFADHN CO[C@@H](C)CN[C@]1(c2ccccc2)CC1(C)C ZINC000662340024 412110306 /nfs/dbraw/zinc/11/03/06/412110306.db2.gz HAAHLTUIDFQVQG-WFASDCNBSA-N 0 3 233.355 2.936 20 0 BFADHN Cc1cncc(CN(C)C[C@H]2CC2(C)C)c1 ZINC000662343708 412111261 /nfs/dbraw/zinc/11/12/61/412111261.db2.gz CPHMUSHIMHDWNJ-CYBMUJFWSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1cncc(CN(C)C[C@@H]2CC2(C)C)c1 ZINC000662343709 412112262 /nfs/dbraw/zinc/11/22/62/412112262.db2.gz CPHMUSHIMHDWNJ-ZDUSSCGKSA-N 0 3 218.344 2.868 20 0 BFADHN CN(Cc1ccccn1)C[C@H]1CC1(C)C ZINC000662346290 412115645 /nfs/dbraw/zinc/11/56/45/412115645.db2.gz RKKMEHWXJCFGQO-LLVKDONJSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@@H](c1cnccn1)N(C)C[C@H]1CC1(C)C ZINC000662345854 412116555 /nfs/dbraw/zinc/11/65/55/412116555.db2.gz MQNLYVZOWULFIU-WDEREUQCSA-N 0 3 219.332 2.516 20 0 BFADHN Cc1cccnc1CN(C)C[C@H]1CC1(C)C ZINC000662346113 412117423 /nfs/dbraw/zinc/11/74/23/412117423.db2.gz RMUQNEFBJNMJIQ-GFCCVEGCSA-N 0 3 218.344 2.868 20 0 BFADHN CCCCc1ccc(NC(=O)[C@H](CC)NC)cc1 ZINC000662349351 412120328 /nfs/dbraw/zinc/12/03/28/412120328.db2.gz WAPCJQFIGLXMBH-AWEZNQCLSA-N 0 3 248.370 2.966 20 0 BFADHN CC(C)CCN1C[C@H](C)O[C@](C)(C(F)F)C1 ZINC000662363739 412123136 /nfs/dbraw/zinc/12/31/36/412123136.db2.gz KPYNPZOZLCHXRK-JQWIXIFHSA-N 0 3 235.318 2.777 20 0 BFADHN CCC[C@H](C)N1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662360005 412124139 /nfs/dbraw/zinc/12/41/39/412124139.db2.gz OPECRFLYDFYLMZ-UMNHJUIQSA-N 0 3 235.318 2.920 20 0 BFADHN CCCCN(C(=O)[C@@H](CC)NC)c1ccccc1 ZINC000662439874 412176893 /nfs/dbraw/zinc/17/68/93/412176893.db2.gz QPOGBTBUJHJDPM-CQSZACIVSA-N 0 3 248.370 2.818 20 0 BFADHN CCCc1ccccc1NC(=O)[C@H](CC)NC ZINC000662464690 412182718 /nfs/dbraw/zinc/18/27/18/412182718.db2.gz BPUDJLVNBFVZDC-LBPRGKRZSA-N 0 3 234.343 2.576 20 0 BFADHN CCc1ccc(CC)c(NC(=O)[C@@H](CC)NC)c1 ZINC000662482332 412191350 /nfs/dbraw/zinc/19/13/50/412191350.db2.gz ARFIWEAMFPJBNE-CYBMUJFWSA-N 0 3 248.370 2.748 20 0 BFADHN CC[C@H](NCc1ccc(C)cc1)c1cnn(C)c1 ZINC000154517817 171530420 /nfs/dbraw/zinc/53/04/20/171530420.db2.gz IPQMHYFMOYNKFY-HNNXBMFYSA-N 0 3 243.354 2.969 20 0 BFADHN CC[C@H](NC)C(=O)Nc1ccc(Cl)cc1C ZINC000662433476 412170392 /nfs/dbraw/zinc/17/03/92/412170392.db2.gz HQYDXDAOIMZBDD-JTQLQIEISA-N 0 3 240.734 2.585 20 0 BFADHN CC[C@H](NCc1cccc(N)c1)c1ccncc1 ZINC000665233043 412171457 /nfs/dbraw/zinc/17/14/57/412171457.db2.gz ZUYLILRZFNDRAK-HNNXBMFYSA-N 0 3 241.338 2.905 20 0 BFADHN CC[C@@H](NCc1cccc(N)c1)c1ccncc1 ZINC000665233044 412172742 /nfs/dbraw/zinc/17/27/42/412172742.db2.gz ZUYLILRZFNDRAK-OAHLLOKOSA-N 0 3 241.338 2.905 20 0 BFADHN Cc1ncc([C@H](C)NCc2cccc(N)c2)s1 ZINC000665234037 412172769 /nfs/dbraw/zinc/17/27/69/412172769.db2.gz VWISEOCARVADHB-VIFPVBQESA-N 0 3 247.367 2.885 20 0 BFADHN CC[C@H]1CN(Cc2ccsc2)CCCO1 ZINC000281360670 171663124 /nfs/dbraw/zinc/66/31/24/171663124.db2.gz UVEMJANOKCYPHS-LBPRGKRZSA-N 0 3 225.357 2.749 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1cccnc1Cl ZINC000167440176 171703704 /nfs/dbraw/zinc/70/37/04/171703704.db2.gz URTCHDMKTIWQKF-CABZTGNLSA-N 0 3 242.750 2.547 20 0 BFADHN CCc1cc(NCCCO)c2ccccc2n1 ZINC000122851058 171766317 /nfs/dbraw/zinc/76/63/17/171766317.db2.gz TYJJFWWRGHXGQL-UHFFFAOYSA-N 0 3 230.311 2.592 20 0 BFADHN CC(C)c1cccc(CNCCOCCF)c1 ZINC000663338070 412411255 /nfs/dbraw/zinc/41/12/55/412411255.db2.gz CNRHDYYXDLQGPZ-UHFFFAOYSA-N 0 3 239.334 2.886 20 0 BFADHN Cc1ccccc1[C@@H](C)NCCOCCF ZINC000663309593 412414628 /nfs/dbraw/zinc/41/46/28/412414628.db2.gz BEOQIWFENXFCBX-GFCCVEGCSA-N 0 3 225.307 2.632 20 0 BFADHN CCc1ccc(CN[C@H](C)[C@H]2CCCCO2)cn1 ZINC000352737762 171811805 /nfs/dbraw/zinc/81/18/05/171811805.db2.gz RBDHFXRZPHIVND-IUODEOHRSA-N 0 3 248.370 2.691 20 0 BFADHN CCc1cccc(F)c1CN(C)CCCOC ZINC000353452001 171856955 /nfs/dbraw/zinc/85/69/55/171856955.db2.gz UJQJGSQCTBASKU-UHFFFAOYSA-N 0 3 239.334 2.856 20 0 BFADHN CCc1cnc(CN[C@H](C)CCCOC)s1 ZINC000178073774 171915541 /nfs/dbraw/zinc/91/55/41/171915541.db2.gz RULMMASXQQNBOM-SNVBAGLBSA-N 0 3 242.388 2.610 20 0 BFADHN CCc1nc([C@H]2CCCCN2)sc1C ZINC000053251885 172007931 /nfs/dbraw/zinc/00/79/31/172007931.db2.gz MPCJWAYMDWRUTQ-SNVBAGLBSA-N 0 3 210.346 2.829 20 0 BFADHN CCc1ncc(CN2CC[C@H](C3CC3)C2)s1 ZINC000354101318 172017162 /nfs/dbraw/zinc/01/71/62/172017162.db2.gz SSNHFCGZPDHMII-NSHDSACASA-N 0 3 236.384 2.937 20 0 BFADHN CCc1ncc(CNC(C)(C)CCOC)s1 ZINC000336778798 172017797 /nfs/dbraw/zinc/01/77/97/172017797.db2.gz MJEDXUWVLKNOAL-UHFFFAOYSA-N 0 3 242.388 2.610 20 0 BFADHN CCc1ncc(CN[C@@H]2CSC[C@H]2C)s1 ZINC000309037609 172019238 /nfs/dbraw/zinc/01/92/38/172019238.db2.gz JCVQMKFBDFQEDT-PSASIEDQSA-N 0 3 242.413 2.547 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CCC=C(C)C1 ZINC000281735149 172037091 /nfs/dbraw/zinc/03/70/91/172037091.db2.gz GIOYOSXJYXCJIS-UHFFFAOYSA-N 0 3 247.386 2.697 20 0 BFADHN CCc1nn(C)c(Cl)c1CN[C@H](C)C1CC1 ZINC000164080825 172038979 /nfs/dbraw/zinc/03/89/79/172038979.db2.gz IIUHSLSCCBYVAA-MRVPVSSYSA-N 0 3 241.766 2.524 20 0 BFADHN CCc1noc(C)c1CN1CCC(C)(C)C1 ZINC000119485808 172076002 /nfs/dbraw/zinc/07/60/02/172076002.db2.gz KVGAASFCIZMQIF-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN CCc1noc(C)c1CN1C[C@@H](C)[C@H](C)C1 ZINC000336555803 172077137 /nfs/dbraw/zinc/07/71/37/172077137.db2.gz YPAFBTKAHXWOLT-NXEZZACHSA-N 0 3 222.332 2.633 20 0 BFADHN CCc1noc(C)c1CN1CC[C@H](CC)C1 ZINC000119941024 172077494 /nfs/dbraw/zinc/07/74/94/172077494.db2.gz LBQNBKQSYWXAPC-NSHDSACASA-N 0 3 222.332 2.777 20 0 BFADHN CCc1noc(C)c1CN[C@H](C)CC1CC1 ZINC000340442952 172078499 /nfs/dbraw/zinc/07/84/99/172078499.db2.gz SQXBCFLETSZNJG-SECBINFHSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CCC[C@H]1C ZINC000309667647 172079499 /nfs/dbraw/zinc/07/94/99/172079499.db2.gz UWLSXZSWBIUPCA-NOZJJQNGSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1nocc1CN(C)CC1CCCC1 ZINC000339604135 172093077 /nfs/dbraw/zinc/09/30/77/172093077.db2.gz XJFUGYHUJJSITF-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN CCc1nocc1CN(C)CCCCCF ZINC000293558942 172093206 /nfs/dbraw/zinc/09/32/06/172093206.db2.gz ZWPVHFOYBWKGIT-UHFFFAOYSA-N 0 3 228.311 2.809 20 0 BFADHN CCc1nocc1CN(CC)CC1CCC1 ZINC000353262675 172095392 /nfs/dbraw/zinc/09/53/92/172095392.db2.gz FLKSFEWECNDAPF-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN CCc1nocc1CN(C)C[Si](C)(C)C ZINC000293446495 172096303 /nfs/dbraw/zinc/09/63/03/172096303.db2.gz PAQOUBADOKQPNN-UHFFFAOYSA-N 0 3 226.396 2.546 20 0 BFADHN CCc1nocc1CNCC1CCCC1 ZINC000339179137 172097139 /nfs/dbraw/zinc/09/71/39/172097139.db2.gz KGGXLAOWZYTIRR-UHFFFAOYSA-N 0 3 208.305 2.517 20 0 BFADHN CCc1nocc1CNC1CCCCC1 ZINC000339163990 172098283 /nfs/dbraw/zinc/09/82/83/172098283.db2.gz HKZLVCRZMVKNQA-UHFFFAOYSA-N 0 3 208.305 2.659 20 0 BFADHN CCc1nocc1CNCC1(C2CC2)CCC1 ZINC000339315947 172098750 /nfs/dbraw/zinc/09/87/50/172098750.db2.gz LLVZKEWGHGJRIJ-UHFFFAOYSA-N 0 3 234.343 2.907 20 0 BFADHN CCc1nocc1CN1CC[C@@H](CC2CC2)C1 ZINC000354524395 172098841 /nfs/dbraw/zinc/09/88/41/172098841.db2.gz GSEOOYDZMIKISC-LBPRGKRZSA-N 0 3 234.343 2.859 20 0 BFADHN CCc1nocc1CNC1CC(C(F)(F)F)C1 ZINC000339403999 172098893 /nfs/dbraw/zinc/09/88/93/172098893.db2.gz LBFKCXXZTLZGLB-UHFFFAOYSA-N 0 3 248.248 2.668 20 0 BFADHN CCc1nocc1CNC[C@H]1CCC[C@@H]1C ZINC000339385939 172099954 /nfs/dbraw/zinc/09/99/54/172099954.db2.gz BNZXMEWWHVSZCB-WDEREUQCSA-N 0 3 222.332 2.763 20 0 BFADHN CCc1nocc1CN[C@H]1CCCC(F)(F)C1 ZINC000339303428 172101694 /nfs/dbraw/zinc/10/16/94/172101694.db2.gz MLOIZCNLUZJQDE-JTQLQIEISA-N 0 3 244.285 2.905 20 0 BFADHN CCn1c2ccccc2nc1CN[C@@H](C)C1CC1 ZINC000038046763 172144356 /nfs/dbraw/zinc/14/43/56/172144356.db2.gz YQQRPDTVQNXQND-NSHDSACASA-N 0 3 243.354 2.944 20 0 BFADHN CCn1cc(CN2CCC(C)(C)CC2)cn1 ZINC000336229852 172161506 /nfs/dbraw/zinc/16/15/06/172161506.db2.gz BUHWNQQOYYWNRV-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1cc(CNCCC2=CCCCC2)cn1 ZINC000051756410 172170815 /nfs/dbraw/zinc/17/08/15/172170815.db2.gz CDGRYELJEXXEBF-UHFFFAOYSA-N 0 3 233.359 2.883 20 0 BFADHN CCn1cc([C@@H](C)NCCC(C)(F)F)cn1 ZINC000294250953 172193000 /nfs/dbraw/zinc/19/30/00/172193000.db2.gz PYWUXCARKRYDFR-SECBINFHSA-N 0 3 231.290 2.599 20 0 BFADHN CCn1cc([C@@H](C)N[C@H]2C=CCCC2)cn1 ZINC000271424487 172195012 /nfs/dbraw/zinc/19/50/12/172195012.db2.gz AZJPSBXJWGOQRT-YPMHNXCESA-N 0 3 219.332 2.662 20 0 BFADHN CCn1ccnc1CNCC1CCC(C)CC1 ZINC000062103952 172224787 /nfs/dbraw/zinc/22/47/87/172224787.db2.gz DZEFYLFWDAMJPV-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN CCn1ccnc1CN[C@H]1CCC[C@@H](C)C1 ZINC000051921452 172224988 /nfs/dbraw/zinc/22/49/88/172224988.db2.gz ZDDIQSQSKMMFSR-NEPJUHHUSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCCC(C)(F)F ZINC000297188252 172228516 /nfs/dbraw/zinc/22/85/16/172228516.db2.gz FEJQGPRWQLXDBZ-SNVBAGLBSA-N 0 3 245.317 2.989 20 0 BFADHN CCn1nc(C)c([C@@H](C)N[C@@H]2CC2(C)C)c1C ZINC000045172916 172248251 /nfs/dbraw/zinc/24/82/51/172248251.db2.gz NQJYKQRDHMMTGF-BXKDBHETSA-N 0 3 235.375 2.969 20 0 BFADHN CCn1nccc1CCN(C)[C@@H](C)c1ccco1 ZINC000276254582 172259494 /nfs/dbraw/zinc/25/94/94/172259494.db2.gz AAEIEFSWOKBWGG-LBPRGKRZSA-N 0 3 247.342 2.732 20 0 BFADHN CCn1nccc1CN1CCC(C)(CC)CC1 ZINC000353120226 172266025 /nfs/dbraw/zinc/26/60/25/172266025.db2.gz AXICKKXVMHYWFR-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCn1nccc1CN1CCC[C@@H]1C(C)(C)C ZINC000353446681 172266636 /nfs/dbraw/zinc/26/66/36/172266636.db2.gz KASJIRLBVLYZJQ-CYBMUJFWSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1nccc1CNC(C)(C)c1ccccc1 ZINC000269890543 172269445 /nfs/dbraw/zinc/26/94/45/172269445.db2.gz VSIINICMJBTUQF-UHFFFAOYSA-N 0 3 243.354 2.928 20 0 BFADHN CCn1nccc1CN[C@@H](C)CCc1ccco1 ZINC000125112798 172272255 /nfs/dbraw/zinc/27/22/55/172272255.db2.gz UASYCZDEOYHELQ-LBPRGKRZSA-N 0 3 247.342 2.607 20 0 BFADHN CCn1ncnc1CN[C@H](C)CCC(C)(C)C ZINC000342586619 172281675 /nfs/dbraw/zinc/28/16/75/172281675.db2.gz AFSHLPTXSHJIPE-LLVKDONJSA-N 0 3 238.379 2.602 20 0 BFADHN CN(C(=O)c1cccc(CN)c1)C1CCCCC1 ZINC000019282045 172340849 /nfs/dbraw/zinc/34/08/49/172340849.db2.gz YKRXNLUIJLCRBU-UHFFFAOYSA-N 0 3 246.354 2.550 20 0 BFADHN CCOc1ccccc1[C@@H](C)NCC1(C)COC1 ZINC000094721575 487624557 /nfs/dbraw/zinc/62/45/57/487624557.db2.gz WNPDEBNCWLQIIC-GFCCVEGCSA-N 0 3 249.354 2.772 20 0 BFADHN C[C@@H](Cc1ccsc1)N1CC(CF)C1 ZINC000556894406 322930431 /nfs/dbraw/zinc/93/04/31/322930431.db2.gz LZYKYBQCQRHGEI-VIFPVBQESA-N 0 3 213.321 2.580 20 0 BFADHN CN1CCCN(Cc2ccsc2Cl)CC1 ZINC000334536663 173016612 /nfs/dbraw/zinc/01/66/12/173016612.db2.gz TVUXUOCLENXINO-UHFFFAOYSA-N 0 3 244.791 2.539 20 0 BFADHN CN1CCC[C@@H](NCc2ccc(Cl)s2)C1 ZINC000040672442 173021643 /nfs/dbraw/zinc/02/16/43/173021643.db2.gz ZIPJVHQHPVSYFE-SECBINFHSA-N 0 3 244.791 2.585 20 0 BFADHN CN1CCC[C@@H]1CNCc1cc2ccccc2o1 ZINC000221150824 173033133 /nfs/dbraw/zinc/03/31/33/173033133.db2.gz PFBZFKPJMJYSDM-CYBMUJFWSA-N 0 3 244.338 2.617 20 0 BFADHN CN1CCC[C@@H]1CNc1nc2ccccc2s1 ZINC000049099982 173033297 /nfs/dbraw/zinc/03/32/97/173033297.db2.gz UIZPZCSZTNKJHW-SNVBAGLBSA-N 0 3 247.367 2.802 20 0 BFADHN CN1CCC[C@H](CNc2nc3ccccc3o2)C1 ZINC000044537793 173042398 /nfs/dbraw/zinc/04/23/98/173042398.db2.gz WTQQLVZHJJLLKG-LLVKDONJSA-N 0 3 245.326 2.582 20 0 BFADHN CCCC[C@@H](CC)CN1CCc2c[nH]nc2C1 ZINC000680634885 487626348 /nfs/dbraw/zinc/62/63/48/487626348.db2.gz VAKSMXZCNFXFHT-GFCCVEGCSA-N 0 3 235.375 2.984 20 0 BFADHN CNC(=O)[C@H](C)N[C@H](C)c1ccc(C(C)C)cc1 ZINC000042213288 173303586 /nfs/dbraw/zinc/30/35/86/173303586.db2.gz NKSWVHDAJMMYDQ-NEPJUHHUSA-N 0 3 248.370 2.595 20 0 BFADHN CNCc1cccc(NC(=O)/C=C/C(C)(C)C)c1 ZINC000237108217 173389575 /nfs/dbraw/zinc/38/95/75/173389575.db2.gz MUGYSHLOGFXYME-CMDGGOBGSA-N 0 3 246.354 2.947 20 0 BFADHN CNCc1cccc(NC(=O)CCC2CC2)c1 ZINC000237205057 173389683 /nfs/dbraw/zinc/38/96/83/173389683.db2.gz JQKCGHOVWHNXIL-UHFFFAOYSA-N 0 3 232.327 2.535 20 0 BFADHN CNCc1nc(-c2ccccc2OC)cs1 ZINC000003710570 173395138 /nfs/dbraw/zinc/39/51/38/173395138.db2.gz HVPILTVDSASRHW-UHFFFAOYSA-N 0 3 234.324 2.538 20 0 BFADHN CNCc1nc(-c2ccc(F)c(C)c2)cs1 ZINC000041269754 173395183 /nfs/dbraw/zinc/39/51/83/173395183.db2.gz KYKACAYXQTYHAS-UHFFFAOYSA-N 0 3 236.315 2.977 20 0 BFADHN COC1(CN[C@@H](C)c2cc(C)ccn2)CCCC1 ZINC000295987123 174003945 /nfs/dbraw/zinc/00/39/45/174003945.db2.gz JXUNMIFSAYLGHI-ZDUSSCGKSA-N 0 3 248.370 3.000 20 0 BFADHN COCC(C)(C)CCCNCc1ccco1 ZINC000309555826 174067894 /nfs/dbraw/zinc/06/78/94/174067894.db2.gz JQGOQPROCGQGFW-UHFFFAOYSA-N 0 3 225.332 2.822 20 0 BFADHN COCC(C)(C)CN[C@H]1COCc2ccccc21 ZINC000311114573 174076715 /nfs/dbraw/zinc/07/67/15/174076715.db2.gz WAUNTISZTRNDGB-AWEZNQCLSA-N 0 3 249.354 2.520 20 0 BFADHN COCC(C)(C)N(C)Cc1ccsc1C ZINC000293905205 174079924 /nfs/dbraw/zinc/07/99/24/174079924.db2.gz LJPRUPOEEUZPKC-UHFFFAOYSA-N 0 3 227.373 2.913 20 0 BFADHN COCC1(C)CCN([C@@H](C)c2cccnc2)CC1 ZINC000358744296 174093026 /nfs/dbraw/zinc/09/30/26/174093026.db2.gz JEKDWEKFCBZTEF-ZDUSSCGKSA-N 0 3 248.370 2.891 20 0 BFADHN COCC1(CN(C)Cc2ccoc2)CCC1 ZINC000361294906 174095828 /nfs/dbraw/zinc/09/58/28/174095828.db2.gz BOQKPZBATJMXKW-UHFFFAOYSA-N 0 3 223.316 2.528 20 0 BFADHN COCC1(NCc2cccc(C)c2F)CCC1 ZINC000295932600 174109047 /nfs/dbraw/zinc/10/90/47/174109047.db2.gz AQXCVOYROCUQBE-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN COCC1=CCN(Cc2ccc(C)cc2)CC1 ZINC000185853941 174117463 /nfs/dbraw/zinc/11/74/63/174117463.db2.gz JCDBPIPKTRNUIL-UHFFFAOYSA-N 0 3 231.339 2.774 20 0 BFADHN COCCC(C)(C)CN[C@@H](C)c1cc(C)on1 ZINC000340502987 174144110 /nfs/dbraw/zinc/14/41/10/174144110.db2.gz MKCIITYTILCGAP-NSHDSACASA-N 0 3 240.347 2.696 20 0 BFADHN COCCC1(CN[C@@H](C)c2cc(C)ccn2)CC1 ZINC000285926424 174156498 /nfs/dbraw/zinc/15/64/98/174156498.db2.gz CSALWFAPKDKKEI-ZDUSSCGKSA-N 0 3 248.370 2.857 20 0 BFADHN COCCC1(NCc2cnc(C)s2)CCC1 ZINC000336724790 174158805 /nfs/dbraw/zinc/15/88/05/174158805.db2.gz BILPHJGRPGAXJL-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN COCCCCCN(C)[C@@H](C)c1ccncc1 ZINC000127613891 174176378 /nfs/dbraw/zinc/17/63/78/174176378.db2.gz UWZZCFMRHBTUBG-ZDUSSCGKSA-N 0 3 236.359 2.891 20 0 BFADHN COCCCCN1CCC=C(c2cccnc2)C1 ZINC000289303896 174189931 /nfs/dbraw/zinc/18/99/31/174189931.db2.gz INWJOPKBLLHLLJ-UHFFFAOYSA-N 0 3 246.354 2.597 20 0 BFADHN COCCCCN1CCC[C@@H]1c1cc(C)no1 ZINC000336685350 174191397 /nfs/dbraw/zinc/19/13/97/174191397.db2.gz FQEWKQOJJPGNEV-GFCCVEGCSA-N 0 3 238.331 2.547 20 0 BFADHN COCCCCNCc1ccc(Cl)cc1F ZINC000162961011 174199535 /nfs/dbraw/zinc/19/95/35/174199535.db2.gz OVJZORVVOURSQR-UHFFFAOYSA-N 0 3 245.725 2.995 20 0 BFADHN COCCCCN[C@@H](C)c1ccc(Cl)cn1 ZINC000274743614 174201519 /nfs/dbraw/zinc/20/15/19/174201519.db2.gz APBKHRQQPZGRDL-JTQLQIEISA-N 0 3 242.750 2.812 20 0 BFADHN COCCCN([C@@H](C)c1ccco1)C1CC1 ZINC000119176713 174222061 /nfs/dbraw/zinc/22/20/61/174222061.db2.gz IXEOHTYFQFFSFD-NSHDSACASA-N 0 3 223.316 2.842 20 0 BFADHN COCCCN1Cc2ccccc2OC(C)(C)C1 ZINC000377588620 174234991 /nfs/dbraw/zinc/23/49/91/174234991.db2.gz UKVWQXFJKNSGSE-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN COCCCNCc1cccc2c1OC(C)(C)C2 ZINC000078005687 174248283 /nfs/dbraw/zinc/24/82/83/174248283.db2.gz VADUXGCAOOLTOW-UHFFFAOYSA-N 0 3 249.354 2.526 20 0 BFADHN COCCCN[C@@H](C)c1ccc(OC)cc1F ZINC000037232416 174248979 /nfs/dbraw/zinc/24/89/79/174248979.db2.gz FMCIMJOPCBNMFI-JTQLQIEISA-N 0 3 241.306 2.521 20 0 BFADHN COCCC[C@@H](C)NCc1cc(F)ccc1F ZINC000177837951 174261115 /nfs/dbraw/zinc/26/11/15/174261115.db2.gz BOJHSRCPVJWQPE-SNVBAGLBSA-N 0 3 243.297 2.870 20 0 BFADHN COCCN(C)Cc1c(C)oc2ccccc21 ZINC000127140330 174280560 /nfs/dbraw/zinc/28/05/60/174280560.db2.gz WGCXIHSCUCWMEO-UHFFFAOYSA-N 0 3 233.311 2.819 20 0 BFADHN COCCN(C)Cc1cc2ccccc2o1 ZINC000175175424 174281404 /nfs/dbraw/zinc/28/14/04/174281404.db2.gz XIQFBKLGRHWBEO-UHFFFAOYSA-N 0 3 219.284 2.511 20 0 BFADHN COCCN(C)Cc1ccc(Cl)cc1C ZINC000136151933 174281578 /nfs/dbraw/zinc/28/15/78/174281578.db2.gz ZHYFKUSFFVKOMP-UHFFFAOYSA-N 0 3 227.735 2.727 20 0 BFADHN COCCN(C)[C@H](C)c1ccccc1C ZINC000298851390 174284096 /nfs/dbraw/zinc/28/40/96/174284096.db2.gz MHDGHKRLEWFKDX-GFCCVEGCSA-N 0 3 207.317 2.634 20 0 BFADHN COCCN(Cc1ccccn1)[C@H]1CC[C@H](C)C1 ZINC000276797142 174299488 /nfs/dbraw/zinc/29/94/88/174299488.db2.gz LTNQCUOJPHPRKP-ZFWWWQNUSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN(Cc1cccs1)CC1CC1 ZINC000349521590 174300727 /nfs/dbraw/zinc/30/07/27/174300727.db2.gz JWRWMSDJOWWKKY-UHFFFAOYSA-N 0 3 225.357 2.607 20 0 BFADHN COCCN1CC=C(c2ccc(F)cc2)CC1 ZINC000193008753 174304118 /nfs/dbraw/zinc/30/41/18/174304118.db2.gz SHSMHRUFCPZWJG-UHFFFAOYSA-N 0 3 235.302 2.561 20 0 BFADHN COCCN1[C@@H](C)Cc2cc(F)ccc2[C@@H]1C ZINC000354833576 174317971 /nfs/dbraw/zinc/31/79/71/174317971.db2.gz OJBLWQAPYCVCBC-QWRGUYRKSA-N 0 3 237.318 2.780 20 0 BFADHN COCCNCc1cscc1C(F)(F)F ZINC000308852462 174337386 /nfs/dbraw/zinc/33/73/86/174337386.db2.gz HNBZEVMBQVFAKN-UHFFFAOYSA-N 0 3 239.262 2.503 20 0 BFADHN COCCN[C@@H](C)c1cc2cccc(OC)c2o1 ZINC000020085638 174337710 /nfs/dbraw/zinc/33/77/10/174337710.db2.gz JPRTWLPYXRRPDV-JTQLQIEISA-N 0 3 249.310 2.738 20 0 BFADHN COCCN[C@@H](c1ccc(F)cc1)C1CC1 ZINC000037186429 174338242 /nfs/dbraw/zinc/33/82/42/174338242.db2.gz CVTGNHBDEGMNBR-CYBMUJFWSA-N 0 3 223.291 2.513 20 0 BFADHN COCCN[C@H](C)c1ccc2ncsc2c1 ZINC000309667621 174339733 /nfs/dbraw/zinc/33/97/33/174339733.db2.gz UVJHCRLXNUGHOY-SECBINFHSA-N 0 3 236.340 2.593 20 0 BFADHN COCCOc1ccccc1CN(C)C1CCC1 ZINC000093475897 174369811 /nfs/dbraw/zinc/36/98/11/174369811.db2.gz GRKIMDXSWPNYOZ-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN COCC[C@@H](C)NCc1cc2ccccc2o1 ZINC000101597559 174384600 /nfs/dbraw/zinc/38/46/00/174384600.db2.gz PNEUFBNHKKFJLB-LLVKDONJSA-N 0 3 233.311 2.947 20 0 BFADHN COCC[C@@H](C)N[C@@H](C)c1ncc(C)s1 ZINC000124712041 174385751 /nfs/dbraw/zinc/38/57/51/174385751.db2.gz GNLVGDVMQQBSBO-SCZZXKLOSA-N 0 3 228.361 2.527 20 0 BFADHN COCC[C@@H]1CCC[C@H]1N[C@H](C)c1ccon1 ZINC000337464976 174399097 /nfs/dbraw/zinc/39/90/97/174399097.db2.gz LRUGRFJSUFALJV-NTZNESFSSA-N 0 3 238.331 2.530 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1cc(C)c(C)o1 ZINC000308968108 174460064 /nfs/dbraw/zinc/46/00/64/174460064.db2.gz XGYMTJXWVINMRR-ZJUUUORDSA-N 0 3 211.305 2.582 20 0 BFADHN COC[C@H](C)CN[C@@H](C)c1ccc(C)o1 ZINC000045655810 174533194 /nfs/dbraw/zinc/53/31/94/174533194.db2.gz LWUHTUKZYKJPJF-KOLCDFICSA-N 0 3 211.305 2.521 20 0 BFADHN COCc1ccc(CN2CCC(C)CC2)o1 ZINC000158844581 174622201 /nfs/dbraw/zinc/62/22/01/174622201.db2.gz VHYPHXIEQFPUEF-UHFFFAOYSA-N 0 3 223.316 2.658 20 0 BFADHN COCc1nc(CN[C@H]2CCC[C@H]2C)cs1 ZINC000164519489 174642187 /nfs/dbraw/zinc/64/21/87/174642187.db2.gz RBGNAPAUIQBGTP-KOLCDFICSA-N 0 3 240.372 2.568 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc(Cl)s1 ZINC000132888914 174713303 /nfs/dbraw/zinc/71/33/03/174713303.db2.gz KIRVTBLDZWMLGR-SFYZADRCSA-N 0 3 233.764 2.915 20 0 BFADHN CO[C@H](C)CNC(C)(C)c1cccc(F)c1 ZINC000182437225 174834062 /nfs/dbraw/zinc/83/40/62/174834062.db2.gz NCXIODLGGIEPQM-SNVBAGLBSA-N 0 3 225.307 2.685 20 0 BFADHN COc1cc(CNC2CC2)ccc1OC(C)C ZINC000020082956 174983009 /nfs/dbraw/zinc/98/30/09/174983009.db2.gz USLDZUDMJAJZEK-UHFFFAOYSA-N 0 3 235.327 2.734 20 0 BFADHN COc1cc(CN[C@@H](C)[C@@H](C)OC)ccc1C ZINC000268796796 174985393 /nfs/dbraw/zinc/98/53/93/174985393.db2.gz DVZCOSOPXOUYGM-NWDGAFQWSA-N 0 3 237.343 2.517 20 0 BFADHN COc1cc([C@H](C)N[C@H](C)C2CC2)c(F)cn1 ZINC000286881147 175016210 /nfs/dbraw/zinc/01/62/10/175016210.db2.gz IGJILTRYKMFPER-BDAKNGLRSA-N 0 3 238.306 2.678 20 0 BFADHN COc1cc2c(cc1OC)CN(CC(C)C)CC2 ZINC000053899185 175024483 /nfs/dbraw/zinc/02/44/83/175024483.db2.gz SRFDGJJSBNUONO-UHFFFAOYSA-N 0 3 249.354 2.718 20 0 BFADHN COc1ccc(C)cc1CN(C)[C@H]1CCCOC1 ZINC000336730247 175075381 /nfs/dbraw/zinc/07/53/81/175075381.db2.gz PVALJWKQLVECNE-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1CC1(C)C ZINC000044370962 175075964 /nfs/dbraw/zinc/07/59/64/175075964.db2.gz FIIOWFVNTXGDMO-CYBMUJFWSA-N 0 3 219.328 2.892 20 0 BFADHN COc1ccc(C)cc1CNCC[C@@H](C)OC ZINC000336653781 175076103 /nfs/dbraw/zinc/07/61/03/175076103.db2.gz GLTWPULKGZDRRX-GFCCVEGCSA-N 0 3 237.343 2.518 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1C[C@H]1C(F)F ZINC000342245845 175076388 /nfs/dbraw/zinc/07/63/88/175076388.db2.gz ZJSXDIBVXXNXLV-GHMZBOCLSA-N 0 3 241.281 2.747 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)NCC1(C)COC1 ZINC000094721817 175078935 /nfs/dbraw/zinc/07/89/35/175078935.db2.gz CKYMQVZADMJTFK-GFCCVEGCSA-N 0 3 249.354 2.691 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@@H]1CCCOC1 ZINC000109543497 175079543 /nfs/dbraw/zinc/07/95/43/175079543.db2.gz FVZWOCIPGMCRJZ-CHWSQXEVSA-N 0 3 249.354 2.833 20 0 BFADHN COc1ccc(CN(C)C[C@H]2CC[C@@H](C)O2)cc1 ZINC000188535376 175095029 /nfs/dbraw/zinc/09/50/29/175095029.db2.gz BINGJCDJAQAMQT-IUODEOHRSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccc(CN(C)C[C@H]2CCC=CO2)cc1 ZINC000193604293 175095944 /nfs/dbraw/zinc/09/59/44/175095944.db2.gz RDZJQWQBLTZHPM-OAHLLOKOSA-N 0 3 247.338 2.820 20 0 BFADHN COc1ccc(CN(C)[C@@H](C)C(C)C)nc1 ZINC000272022779 175096261 /nfs/dbraw/zinc/09/62/61/175096261.db2.gz VLWBDSGOCMCISG-NSHDSACASA-N 0 3 222.332 2.567 20 0 BFADHN COc1ccc(CN2CC(C)(C)[C@@H]2C(C)C)cn1 ZINC000353747104 175098357 /nfs/dbraw/zinc/09/83/57/175098357.db2.gz NNOIBUSFNSXDOZ-AWEZNQCLSA-N 0 3 248.370 2.957 20 0 BFADHN COc1ccc(CN2CCCC[C@H](C)C2)nc1 ZINC000274920761 175098967 /nfs/dbraw/zinc/09/89/67/175098967.db2.gz YYVDEMUKDKNMGZ-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccc(CN2CCC[C@](C)(OC)C2)cc1 ZINC000279326153 175099529 /nfs/dbraw/zinc/09/95/29/175099529.db2.gz OJZZVIVRYPYBHJ-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccc(CN2CCC[C@H](C3CC3)C2)nc1 ZINC000377495521 175100342 /nfs/dbraw/zinc/10/03/42/175100342.db2.gz RRSDKGMQSZGBOC-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C[C@@H]2C)nc1 ZINC000271994437 175101965 /nfs/dbraw/zinc/10/19/65/175101965.db2.gz QMJJVXGOKOAGJY-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc(CNC2(C)CCC2)c(OC)c1 ZINC000159926572 175105117 /nfs/dbraw/zinc/10/51/17/175105117.db2.gz ZJYMGBNUGDEGJP-UHFFFAOYSA-N 0 3 235.327 2.736 20 0 BFADHN COc1ccc(CN[C@@H](C)c2ccccc2)cn1 ZINC000020186125 175109300 /nfs/dbraw/zinc/10/93/00/175109300.db2.gz DXKOCGRDLQKLEC-LBPRGKRZSA-N 0 3 242.322 2.941 20 0 BFADHN COc1ccc(CN[C@H](C)C2CCCCC2)nn1 ZINC000302472767 175110980 /nfs/dbraw/zinc/11/09/80/175110980.db2.gz REPZWSKBDJRCPP-LLVKDONJSA-N 0 3 249.358 2.544 20 0 BFADHN COc1ccc(CN[C@H](C)c2ccncc2)cc1 ZINC000019909058 175111447 /nfs/dbraw/zinc/11/14/47/175111447.db2.gz HXJQTYJGBIORPO-GFCCVEGCSA-N 0 3 242.322 2.941 20 0 BFADHN COc1ccc(F)c(CN[C@@H]2CC[C@H]2C)c1 ZINC000339400380 175125317 /nfs/dbraw/zinc/12/53/17/175125317.db2.gz NOGSDXKNXWGUQH-NOZJJQNGSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)c(CNC[C@@H]2C[C@H]2C)c1 ZINC000294086728 175125356 /nfs/dbraw/zinc/12/53/56/175125356.db2.gz LNFPJGVGTISKGE-ZJUUUORDSA-N 0 3 223.291 2.580 20 0 BFADHN COc1ccc(F)c(CN[C@@H]2CC2(C)C)c1 ZINC000339203914 175125932 /nfs/dbraw/zinc/12/59/32/175125932.db2.gz WJWIXEIZWFUASK-GFCCVEGCSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)c(CN[C@H]2CC[C@H](F)C2)c1 ZINC000339401657 175125938 /nfs/dbraw/zinc/12/59/38/175125938.db2.gz VTORJVRVDFYRCJ-QWRGUYRKSA-N 0 3 241.281 2.815 20 0 BFADHN COc1ccc(F)cc1CN[C@H](C)C(C)C ZINC000237175256 175130319 /nfs/dbraw/zinc/13/03/19/175130319.db2.gz ACCBUGLCNLVFMH-SNVBAGLBSA-N 0 3 225.307 2.968 20 0 BFADHN COc1ccc(F)cc1[C@@H](C)NC(C)C ZINC000037089972 175132299 /nfs/dbraw/zinc/13/22/99/175132299.db2.gz FEISPMBICKOKJM-SECBINFHSA-N 0 3 211.280 2.893 20 0 BFADHN COc1ccc(NC(=O)C(C)C(F)(F)F)cc1 ZINC000361432885 175144136 /nfs/dbraw/zinc/14/41/36/175144136.db2.gz WJGXDCRUKLKNRC-SSDOTTSWSA-N 0 3 247.216 2.832 20 0 BFADHN COc1ccc(NC(=O)C(C)C(F)(F)F)cc1 ZINC000361432886 175146321 /nfs/dbraw/zinc/14/63/21/175146321.db2.gz WJGXDCRUKLKNRC-ZETCQYMHSA-N 0 3 247.216 2.832 20 0 BFADHN COc1ccc(OC)c(CNCC=C(C)C)c1 ZINC000178771460 175165386 /nfs/dbraw/zinc/16/53/86/175165386.db2.gz OWFHVPVRPLGFRN-UHFFFAOYSA-N 0 3 235.327 2.760 20 0 BFADHN COc1ccc(OC)c([C@H](C)NC(C)C)c1 ZINC000019880667 175168778 /nfs/dbraw/zinc/16/87/78/175168778.db2.gz JQCSXAGHFTZAFA-JTQLQIEISA-N 0 3 223.316 2.763 20 0 BFADHN COc1ccc([C@@H](C)NCc2c[nH]nc2C)cc1 ZINC000038011166 175177072 /nfs/dbraw/zinc/17/70/72/175177072.db2.gz CUBAZVZKUUIRRV-SNVBAGLBSA-N 0 3 245.326 2.578 20 0 BFADHN COc1ccc([C@H](C)NC[C@@H](C)OC)cc1F ZINC000182421226 175188141 /nfs/dbraw/zinc/18/81/41/175188141.db2.gz QZFJCYGAPCXZMT-ZJUUUORDSA-N 0 3 241.306 2.520 20 0 BFADHN COc1ccc([C@H](C)NC[C@H](C)OC)c(F)c1 ZINC000191204877 175188543 /nfs/dbraw/zinc/18/85/43/175188543.db2.gz GIRJNGODTOIEBH-UWVGGRQHSA-N 0 3 241.306 2.520 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2CCCOC2)cc1 ZINC000112793377 175189759 /nfs/dbraw/zinc/18/97/59/175189759.db2.gz FAJJHJBPNJBIBW-WCQYABFASA-N 0 3 235.327 2.525 20 0 BFADHN COc1ccc([C@H]2C[C@@H]2[C@@H](C)NCCF)cc1 ZINC000284272443 175198097 /nfs/dbraw/zinc/19/80/97/175198097.db2.gz JLJUBUSBSRTHHV-LERXQTSPSA-N 0 3 237.318 2.746 20 0 BFADHN COc1ccc2c(c1)[C@@H](NCCF)CCC2 ZINC000281108243 175214301 /nfs/dbraw/zinc/21/43/01/175214301.db2.gz RKIUFXIIIDMUCP-ZDUSSCGKSA-N 0 3 223.291 2.632 20 0 BFADHN COc1ccc2c(c1OC)CCN(CC(C)C)C2 ZINC000336700918 175221661 /nfs/dbraw/zinc/22/16/61/175221661.db2.gz FYWMGQSRSHATHY-UHFFFAOYSA-N 0 3 249.354 2.718 20 0 BFADHN COc1cccc(CN(C(C)C)[C@H]2CCOC2)c1 ZINC000291355977 175253553 /nfs/dbraw/zinc/25/35/53/175253553.db2.gz XTKORHMSPNJSCO-AWEZNQCLSA-N 0 3 249.354 2.695 20 0 BFADHN COc1cccc(CN(C)C2CCC2)c1OC ZINC000093475821 175254029 /nfs/dbraw/zinc/25/40/29/175254029.db2.gz ZZQWAOYAAOHOLW-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN COc1cccc(CN(C)[C@H](C)C2(C)CC2)n1 ZINC000177217673 175255207 /nfs/dbraw/zinc/25/52/07/175255207.db2.gz WQCPPUFSXCYBHD-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cccc(CN2CCC=C(C)C2)c1 ZINC000280798854 175257699 /nfs/dbraw/zinc/25/76/99/175257699.db2.gz KLFPOQCGLBWHBY-UHFFFAOYSA-N 0 3 217.312 2.847 20 0 BFADHN COc1cccc(CN2CCCSCC2)c1 ZINC000089980919 175258104 /nfs/dbraw/zinc/25/81/04/175258104.db2.gz LKOMOYOFAIDENT-UHFFFAOYSA-N 0 3 237.368 2.634 20 0 BFADHN COc1cccc(CN2CCC[C@H]2C(C)C)n1 ZINC000264826727 175258288 /nfs/dbraw/zinc/25/82/88/175258288.db2.gz OWAWSXSUXMDSER-ZDUSSCGKSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cccc(CN2CC[C@H](C)C[C@H](C)C2)n1 ZINC000361554369 175259512 /nfs/dbraw/zinc/25/95/12/175259512.db2.gz SSLMJFXTIJDFAX-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN COc1cccc(CN2CC[C@H](OC)C[C@H]2C)c1 ZINC000281446993 175259866 /nfs/dbraw/zinc/25/98/66/175259866.db2.gz ACBJBHZFKRSMFV-DOMZBBRYSA-N 0 3 249.354 2.695 20 0 BFADHN COc1cccc(CN2C[C@@H](C)CC2(C)C)n1 ZINC000266955045 175260253 /nfs/dbraw/zinc/26/02/53/175260253.db2.gz VUDJZPCDRWLNRH-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1cccc(CN[C@H]2CCC[C@H]2F)c1 ZINC000339584832 175268314 /nfs/dbraw/zinc/26/83/14/175268314.db2.gz QKLJKSKMIOSHTG-OLZOCXBDSA-N 0 3 223.291 2.675 20 0 BFADHN COc1cccc(C[C@@H](NCCF)C2CC2)c1 ZINC000273203238 175271931 /nfs/dbraw/zinc/27/19/31/175271931.db2.gz AHFFBXPLPUWSJQ-CQSZACIVSA-N 0 3 237.318 2.575 20 0 BFADHN COc1cccc(OC)c1CN[C@H]1CCC1(C)C ZINC000290148378 175281983 /nfs/dbraw/zinc/28/19/83/175281983.db2.gz OQIBRMXHZHCCJE-AWEZNQCLSA-N 0 3 249.354 2.982 20 0 BFADHN COc1cccc(OC)c1CNCC[C@@H](C)F ZINC000339491832 175281990 /nfs/dbraw/zinc/28/19/90/175281990.db2.gz OFVSDRKJBBSNDA-SNVBAGLBSA-N 0 3 241.306 2.542 20 0 BFADHN COc1cccc([C@@H](C)NCc2cccn2C)c1 ZINC000050595928 175286524 /nfs/dbraw/zinc/28/65/24/175286524.db2.gz JIXRAHPWSVVJSI-GFCCVEGCSA-N 0 3 244.338 2.885 20 0 BFADHN COc1cccc([C@@H](C)N[C@H](C)[C@H](C)OC)c1 ZINC000161258397 175288170 /nfs/dbraw/zinc/28/81/70/175288170.db2.gz GLVNRBDUNWWDAF-UTUOFQBUSA-N 0 3 237.343 2.769 20 0 BFADHN COc1cccc([C@H](C)NCc2cccnc2)c1 ZINC000020140887 175293301 /nfs/dbraw/zinc/29/33/01/175293301.db2.gz XGHIVGYCGTUIDM-LBPRGKRZSA-N 0 3 242.322 2.941 20 0 BFADHN COc1cccc([C@H](C)NC[C@@H]2CCCCO2)c1 ZINC000042812204 175293730 /nfs/dbraw/zinc/29/37/30/175293730.db2.gz VPRNMZUPLXWDLW-WFASDCNBSA-N 0 3 249.354 2.915 20 0 BFADHN COc1cccc2c1OCCC[C@H]2NC1CC1 ZINC000035652551 175304313 /nfs/dbraw/zinc/30/43/13/175304313.db2.gz QTGWNHDAXCSWGJ-GFCCVEGCSA-N 0 3 233.311 2.661 20 0 BFADHN COc1ccccc1CN(C(C)C)[C@H]1CCOC1 ZINC000291236682 175324764 /nfs/dbraw/zinc/32/47/64/175324764.db2.gz KUEXRQGXKLRYHP-AWEZNQCLSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccccc1CN1CCC[C@H](OC)CC1 ZINC000378638933 175328016 /nfs/dbraw/zinc/32/80/16/175328016.db2.gz SDYAZXLNJMPHHK-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccccc1CNC1CC(C)(C)C1 ZINC000309896716 175329295 /nfs/dbraw/zinc/32/92/95/175329295.db2.gz GBKWOQVGMKCOAC-UHFFFAOYSA-N 0 3 219.328 2.973 20 0 BFADHN COc1ccccc1CN[C@@H]1CC[C@@H](F)C1 ZINC000309923865 175330439 /nfs/dbraw/zinc/33/04/39/175330439.db2.gz UIZAOUQQFBHOOG-VXGBXAGGSA-N 0 3 223.291 2.675 20 0 BFADHN COc1ccccc1[C@@H](C)NC1CCC(O)CC1 ZINC000019918645 175344207 /nfs/dbraw/zinc/34/42/07/175344207.db2.gz HUCVZDKQYJDHQZ-PNESKVBLSA-N 0 3 249.354 2.649 20 0 BFADHN COc1ccccc1[C@@H](C)NCC[C@@H]1CCCO1 ZINC000038095409 175345090 /nfs/dbraw/zinc/34/50/90/175345090.db2.gz JZPOYIJJVSYVDQ-OLZOCXBDSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H](C)[C@H](C)OC ZINC000161259213 175345580 /nfs/dbraw/zinc/34/55/80/175345580.db2.gz UHJPPLTUHNKPDV-UTUOFQBUSA-N 0 3 237.343 2.769 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H](C)[C@@H](C)OC ZINC000128461819 175345745 /nfs/dbraw/zinc/34/57/45/175345745.db2.gz UHJPPLTUHNKPDV-IJLUTSLNSA-N 0 3 237.343 2.769 20 0 BFADHN COc1ccccc1[C@H](C)NCC1=CCCOC1 ZINC000269273564 175350544 /nfs/dbraw/zinc/35/05/44/175350544.db2.gz HIRVJYOCRUUNEN-LBPRGKRZSA-N 0 3 247.338 2.693 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@@H](OC)C1CC1 ZINC000293678522 175352007 /nfs/dbraw/zinc/35/20/07/175352007.db2.gz KCXMPYVUQCILOM-XHDPSFHLSA-N 0 3 249.354 2.771 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1C[C@H](O)C1(C)C ZINC000129202464 175352265 /nfs/dbraw/zinc/35/22/65/175352265.db2.gz GAVGTFJJRCIXKF-BPNCWPANSA-N 0 3 249.354 2.505 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@H]1CCCCO1 ZINC000047874147 175352554 /nfs/dbraw/zinc/35/25/54/175352554.db2.gz WYRCDZQVTRCJIT-QWHCGFSZSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccnc(CN(C)[C@H](C)C(C)C)c1 ZINC000264436570 175357632 /nfs/dbraw/zinc/35/76/32/175357632.db2.gz PMENFRXYWKMTFD-LLVKDONJSA-N 0 3 222.332 2.567 20 0 BFADHN COc1ccnc(CN2CCCC[C@@H](C)C2)c1 ZINC000274873359 175357651 /nfs/dbraw/zinc/35/76/51/175357651.db2.gz LHSBXWSZIHQEHI-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccnc(CN(C)[C@H](C)C2(C)CC2)c1 ZINC000156730852 175358140 /nfs/dbraw/zinc/35/81/40/175358140.db2.gz GSFANQLZZWMIDY-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN2CCC[C@@H](C)[C@@H]2C)c1 ZINC000266034671 175358545 /nfs/dbraw/zinc/35/85/45/175358545.db2.gz TZRPHPQNTWWMRR-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN(CC(C)C)C2CC2)c1 ZINC000264443256 175358755 /nfs/dbraw/zinc/35/87/55/175358755.db2.gz RDDODSIXQZHEJA-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN2CC[C@H](C)[C@@H](C)C2)c1 ZINC000122482173 175359929 /nfs/dbraw/zinc/35/99/29/175359929.db2.gz SGWMYRIJBMMKPV-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccnc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)c1 ZINC000355777092 175360473 /nfs/dbraw/zinc/36/04/73/175360473.db2.gz CLLDWLCBUARNFR-UPJWGTAASA-N 0 3 248.370 2.957 20 0 BFADHN COc1ccnc(CN[C@H](C)C2CCCC2)c1 ZINC000273410638 175360852 /nfs/dbraw/zinc/36/08/52/175360852.db2.gz SUKCANXIQXDAGT-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccncc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000287797359 175369966 /nfs/dbraw/zinc/36/99/66/175369966.db2.gz OJMKHEODSFHOCT-OCCSQVGLSA-N 0 3 246.354 2.855 20 0 BFADHN COc1ccncc1CNC1CC(C(C)C)C1 ZINC000340403218 175371548 /nfs/dbraw/zinc/37/15/48/175371548.db2.gz AHUDJCQWIACMCL-UHFFFAOYSA-N 0 3 234.343 2.614 20 0 BFADHN COc1ccncc1CN[C@@H]1CCCC[C@@H]1C ZINC000287795351 175371729 /nfs/dbraw/zinc/37/17/29/175371729.db2.gz OEHKZQUEXQDKHP-WCQYABFASA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccncc1CN[C@H]1CCC[C@@H]1C1CC1 ZINC000340402048 175373453 /nfs/dbraw/zinc/37/34/53/175373453.db2.gz BEGBMZSVMOUKME-KGLIPLIRSA-N 0 3 246.354 2.759 20 0 BFADHN COc1ccsc1CN(C)[C@H](C)C1CC1 ZINC000271075327 175376734 /nfs/dbraw/zinc/37/67/34/175376734.db2.gz GMUNMOLRKZSKLA-SECBINFHSA-N 0 3 225.357 2.987 20 0 BFADHN COc1ccsc1CN(C)CC1CC1 ZINC000271144709 175377121 /nfs/dbraw/zinc/37/71/21/175377121.db2.gz ZSYGALOWBKRJCT-UHFFFAOYSA-N 0 3 211.330 2.599 20 0 BFADHN COc1ccsc1CN1CC[C@@H](SC)C1 ZINC000294942098 175378566 /nfs/dbraw/zinc/37/85/66/175378566.db2.gz CIYDFFLCBUMLQP-SECBINFHSA-N 0 3 243.397 2.694 20 0 BFADHN COc1ccsc1CNCC[C@H](C)F ZINC000339484228 175378826 /nfs/dbraw/zinc/37/88/26/175378826.db2.gz MQFWUPZGPYYWRZ-QMMMGPOBSA-N 0 3 217.309 2.594 20 0 BFADHN COc1ccsc1CN[C@H]1CC[C@H](F)C1 ZINC000308967888 175379593 /nfs/dbraw/zinc/37/95/93/175379593.db2.gz XCMHPDOEJRUTCU-IUCAKERBSA-N 0 3 229.320 2.737 20 0 BFADHN COc1ccsc1[C@H](C)N[C@@H](C)C[C@@H](C)O ZINC000336657506 175379796 /nfs/dbraw/zinc/37/97/96/175379796.db2.gz BBDNBUSBXGNVST-AEJSXWLSSA-N 0 3 243.372 2.567 20 0 BFADHN COc1cncc(CN2CCCC[C@H](C)C2)c1 ZINC000289606220 175385859 /nfs/dbraw/zinc/38/58/59/175385859.db2.gz ALQGDQXOSRRFEW-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cncc(CN2CCC[C@H]3CCC[C@H]32)c1 ZINC000290420793 175386164 /nfs/dbraw/zinc/38/61/64/175386164.db2.gz USJLAYQIBKOSFZ-UKRRQHHQSA-N 0 3 246.354 2.855 20 0 BFADHN COc1nccc(CN[C@H]2CCCC(C)(C)C2)n1 ZINC000297071654 175403162 /nfs/dbraw/zinc/40/31/62/175403162.db2.gz ZONHFZCHBPHHAR-NSHDSACASA-N 0 3 249.358 2.544 20 0 BFADHN CSCCCN[C@H](C)c1cc(C)ccn1 ZINC000309086716 175487786 /nfs/dbraw/zinc/48/77/86/175487786.db2.gz YONJLMVALAMCGF-LLVKDONJSA-N 0 3 224.373 2.794 20 0 BFADHN C[C@@H](CCc1ccco1)NCc1ccccn1 ZINC000020145085 175687388 /nfs/dbraw/zinc/68/73/88/175687388.db2.gz OZJFFGYBXVKBLY-LBPRGKRZSA-N 0 3 230.311 2.786 20 0 BFADHN C[C@@H](NCC1CCCCC1)c1ccon1 ZINC000309021629 175869536 /nfs/dbraw/zinc/86/95/36/175869536.db2.gz XMEURXUSGOHBES-SNVBAGLBSA-N 0 3 208.305 2.906 20 0 BFADHN C[C@@H](NCc1ccc2c(c1)CCC2)c1cn[nH]c1 ZINC000270247769 175898753 /nfs/dbraw/zinc/89/87/53/175898753.db2.gz TYYVJTOMAYBCRU-LLVKDONJSA-N 0 3 241.338 2.749 20 0 BFADHN C[C@@H](O)c1ncc(CN2CCCC2(C)C)s1 ZINC000289264306 176000775 /nfs/dbraw/zinc/00/07/75/176000775.db2.gz DICKJPWLSZAUOP-SECBINFHSA-N 0 3 240.372 2.571 20 0 BFADHN C[C@@H](O)c1ncc(CNC2(C)CCCC2)s1 ZINC000289198765 176001156 /nfs/dbraw/zinc/00/11/56/176001156.db2.gz HNLLNMORPBOMKR-SECBINFHSA-N 0 3 240.372 2.619 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CC[C@@H](C)[C@H](O)C1 ZINC000305768016 176016021 /nfs/dbraw/zinc/01/60/21/176016021.db2.gz UBIUCVZJNVTYLJ-UHIISALHSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCCCF ZINC000285492302 176034006 /nfs/dbraw/zinc/03/40/06/176034006.db2.gz DNSFXTLZINKBDS-NSHDSACASA-N 0 3 210.296 2.824 20 0 BFADHN C[C@@H](c1cccc(Cl)c1)N(C)C1CC(O)C1 ZINC000353484964 176017093 /nfs/dbraw/zinc/01/70/93/176017093.db2.gz LLDXWDZSBVURHL-ALXWSUNGSA-N 0 3 239.746 2.856 20 0 BFADHN C[C@@H](c1ccccc1)N(C)CCn1ccnc1 ZINC000183121400 176021063 /nfs/dbraw/zinc/02/10/63/176021063.db2.gz GUFAQYROXNAASS-ZDUSSCGKSA-N 0 3 229.327 2.576 20 0 BFADHN C[C@@H](NCc1ccno1)[C@@H](C)c1ccccc1 ZINC000273248309 176023051 /nfs/dbraw/zinc/02/30/51/176023051.db2.gz GSASPBQERXSOCX-VXGBXAGGSA-N 0 3 230.311 2.956 20 0 BFADHN C[C@@H](NCc1cocn1)[C@@H](C)c1ccccc1 ZINC000182063135 176023335 /nfs/dbraw/zinc/02/33/35/176023335.db2.gz IIRHZSXMWOTGCQ-VXGBXAGGSA-N 0 3 230.311 2.956 20 0 BFADHN C[C@@H](c1ccccc1Cl)N1CC[C@@](C)(O)C1 ZINC000177977550 176025095 /nfs/dbraw/zinc/02/50/95/176025095.db2.gz QKHNWQJHRKROHS-GXFFZTMASA-N 0 3 239.746 2.858 20 0 BFADHN C[C@@H](c1ccccc1F)N1CC[C@@H](C)[C@H](O)C1 ZINC000305770328 176025283 /nfs/dbraw/zinc/02/52/83/176025283.db2.gz XISYSEGFLOGENB-UHIISALHSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCc1cscn1 ZINC000283646723 176028624 /nfs/dbraw/zinc/02/86/24/176028624.db2.gz CGXVGWTZGLDJNP-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN C[C@@H](c1ccco1)N(C)CCc1ccncc1 ZINC000069070120 176031522 /nfs/dbraw/zinc/03/15/22/176031522.db2.gz OGCWDVCVEMECNA-LBPRGKRZSA-N 0 3 230.311 2.910 20 0 BFADHN C[C@@H](c1ccco1)N(CCCCO)C1CC1 ZINC000268019081 176032273 /nfs/dbraw/zinc/03/22/73/176032273.db2.gz UVSDEHASAKUJQB-NSHDSACASA-N 0 3 223.316 2.578 20 0 BFADHN C[C@@H](c1ccco1)N(C[C@@H]1CCCO1)C1CC1 ZINC000119197582 176032883 /nfs/dbraw/zinc/03/28/83/176032883.db2.gz SWTNPRVDGKSWEW-AAEUAGOBSA-N 0 3 235.327 2.984 20 0 BFADHN C[C@@H](c1ccncc1)N(C)C[C@@H]1CCCCO1 ZINC000173560705 176034478 /nfs/dbraw/zinc/03/44/78/176034478.db2.gz VQTKEWPZEDKYPU-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H](c1nc2ccccc2o1)N(C)CCCCO ZINC000276398789 176039591 /nfs/dbraw/zinc/03/95/91/176039591.db2.gz OFCFXWXDTGOSGZ-NSHDSACASA-N 0 3 248.326 2.593 20 0 BFADHN C[C@@H]1CCCCCN1CC(=O)Nc1ccccc1 ZINC000358399348 176063379 /nfs/dbraw/zinc/06/33/79/176063379.db2.gz NCBWFOLKSNTZLA-CYBMUJFWSA-N 0 3 246.354 2.890 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN(C)Cc1ccnn1C ZINC000355285094 176075550 /nfs/dbraw/zinc/07/55/50/176075550.db2.gz SOVPAZMSRITPOR-CHWSQXEVSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@@H]1CCCC[C@@H]1NCc1nccn1C(F)F ZINC000034938361 176082052 /nfs/dbraw/zinc/08/20/52/176082052.db2.gz SVPHTKLRSITRMB-ZJUUUORDSA-N 0 3 243.301 2.947 20 0 BFADHN C[C@@H]1CCCC[C@H]1CNCc1cocn1 ZINC000183739445 176085632 /nfs/dbraw/zinc/08/56/32/176085632.db2.gz DYXZKVFSOVLANS-MNOVXSKESA-N 0 3 208.305 2.591 20 0 BFADHN C[C@@H]1CCCC[C@H]1N[C@@H](CCO)c1ccco1 ZINC000186520455 176088322 /nfs/dbraw/zinc/08/83/22/176088322.db2.gz XXCLYDYHBPMAQQ-UPJWGTAASA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H]1CCCC[C@]1(C)NCc1ncccn1 ZINC000308711642 176090361 /nfs/dbraw/zinc/09/03/61/176090361.db2.gz OWBMIVRNAFBJDO-YPMHNXCESA-N 0 3 219.332 2.535 20 0 BFADHN C[C@@H]1CCCN1C[C@H](O)c1cccc(Cl)c1 ZINC000174405813 176107921 /nfs/dbraw/zinc/10/79/21/176107921.db2.gz NPCABEQJIXRJGT-MFKMUULPSA-N 0 3 239.746 2.858 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2ncc[nH]2)[C@@H]1C ZINC000336724274 176118885 /nfs/dbraw/zinc/11/88/85/176118885.db2.gz BFRVQBWPCSNMLC-UTUOFQBUSA-N 0 3 221.348 2.572 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2ccc(F)cn2)C1 ZINC000335605303 176123742 /nfs/dbraw/zinc/12/37/42/176123742.db2.gz RAGBKUNKABWMAR-ZYHUDNBSSA-N 0 3 222.307 2.889 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2cocn2)[C@@H]1C ZINC000183158798 176124399 /nfs/dbraw/zinc/12/43/99/176124399.db2.gz NSQDWSBFENMCTL-CKYFFXLPSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCOC[C@@H]2C2CC2)C1 ZINC000357013025 176137073 /nfs/dbraw/zinc/13/70/73/176137073.db2.gz WPLRRPOMOZOINE-VNHYZAJKSA-N 0 3 237.387 2.924 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCOCC23CC3)C1 ZINC000338597500 176138105 /nfs/dbraw/zinc/13/81/05/176138105.db2.gz LIPDRXFSPULDSQ-OLZOCXBDSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2ccc(CO)o2)CC1 ZINC000227309932 176143913 /nfs/dbraw/zinc/14/39/13/176143913.db2.gz WMXFREHTBZHGKY-NEPJUHHUSA-N 0 3 237.343 2.830 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H](C)C1)c1ccon1 ZINC000309017403 176144879 /nfs/dbraw/zinc/14/48/79/176144879.db2.gz VBNDVCRWZLGGJO-MXWKQRLJSA-N 0 3 208.305 2.904 20 0 BFADHN C[C@@H]1CCN(CCCO)[C@@H]1c1cccc(F)c1 ZINC000266937554 176169915 /nfs/dbraw/zinc/16/99/15/176169915.db2.gz OWADQVBKHNPSBM-RISCZKNCSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@@H]1CCN(C[C@H](O)c2ccccc2F)[C@@H]1C ZINC000177888382 176172435 /nfs/dbraw/zinc/17/24/35/176172435.db2.gz UTXKWIWESGXTPH-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1CC(=O)N(C)c1ccccc1 ZINC000120130509 176198504 /nfs/dbraw/zinc/19/85/04/176198504.db2.gz BWLNEECQGSYPSG-CHWSQXEVSA-N 0 3 246.354 2.522 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CC[C@@H]2Cc2ccccc2)O1 ZINC000336784214 176203646 /nfs/dbraw/zinc/20/36/46/176203646.db2.gz MFXUAIMWSCCNDW-BMFZPTHFSA-N 0 3 245.366 2.871 20 0 BFADHN C[C@@H]1CC[C@@H](CNC2(c3ccc(F)cc3)CC2)O1 ZINC000354665755 176206420 /nfs/dbraw/zinc/20/64/20/176206420.db2.gz HUWVZKVMJYCIII-RISCZKNCSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ccn(C(F)F)n2)C1 ZINC000336781811 176213387 /nfs/dbraw/zinc/21/33/87/176213387.db2.gz VTAZUBALCYMIED-RKDXNWHRSA-N 0 3 229.274 2.556 20 0 BFADHN C[C@@H]1CC[C@H](CN(C)Cc2ccccc2)O1 ZINC000190327119 176224068 /nfs/dbraw/zinc/22/40/68/176224068.db2.gz PYMOJWNUCUGCMY-TZMCWYRMSA-N 0 3 219.328 2.686 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC[C@H]2c2ccc[nH]2)O1 ZINC000276447155 176224273 /nfs/dbraw/zinc/22/42/73/176224273.db2.gz JSNJXFFCDDBYRH-BZPMIXESSA-N 0 3 234.343 2.719 20 0 BFADHN C[C@@H]1CC[C@H]([NH2+]Cc2nnc(C3CC3)[n-]2)[C@H](C)C1 ZINC000360331178 176233964 /nfs/dbraw/zinc/23/39/64/176233964.db2.gz YYVSVKHMWJUOOI-FOGDFJRCSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@H](NCc2nnc(C3CC3)[nH]2)[C@H](C)C1 ZINC000360331178 176233965 /nfs/dbraw/zinc/23/39/65/176233965.db2.gz YYVSVKHMWJUOOI-FOGDFJRCSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@H](N[C@H]2CCn3ccnc32)[C@H](C)C1 ZINC000345349537 176234422 /nfs/dbraw/zinc/23/44/22/176234422.db2.gz NAWFQLHMCLNPJO-NDBYEHHHSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1CC[C@H]1[NH2+]Cc1c(F)ccc([O-])c1F ZINC000336383921 176236917 /nfs/dbraw/zinc/23/69/17/176236917.db2.gz WSPAQHRNCGFAIF-GMSGAONNSA-N 0 3 227.254 2.559 20 0 BFADHN C[C@@H]1CN(CCC2CCCCC2)CCO1 ZINC000339583834 176287803 /nfs/dbraw/zinc/28/78/03/176287803.db2.gz JFFYTVMKHKMORB-GFCCVEGCSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@@H]1CN(CCCC(C)(C)C)C[C@@H](C)O1 ZINC000337121978 176288306 /nfs/dbraw/zinc/28/83/06/176288306.db2.gz AJONRNMWCLRWDH-VXGBXAGGSA-N 0 3 213.365 2.922 20 0 BFADHN C[C@@H]1CN(CCCOc2ccc(F)cc2)[C@@H]1C ZINC000339570679 176289674 /nfs/dbraw/zinc/28/96/74/176289674.db2.gz YWBGULAUARMJBZ-VXGBXAGGSA-N 0 3 237.318 2.935 20 0 BFADHN C[C@@H]1CN(CCc2ccc3c(c2)CCO3)[C@@H]1C ZINC000293188549 176294317 /nfs/dbraw/zinc/29/43/17/176294317.db2.gz XBDFYXHZURQMAU-VXGBXAGGSA-N 0 3 231.339 2.504 20 0 BFADHN C[C@@H]1CN(CCn2ccnc2)[C@H]1c1ccccc1 ZINC000245591316 176296728 /nfs/dbraw/zinc/29/67/28/176296728.db2.gz GJQDNNQULIONEE-UKRRQHHQSA-N 0 3 241.338 2.576 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCc3ccccc3C2)CCO1 ZINC000339591740 176300164 /nfs/dbraw/zinc/30/01/64/176300164.db2.gz XFEHWGDIDPBFOV-KGLIPLIRSA-N 0 3 245.366 2.512 20 0 BFADHN C[C@@H]1CN(Cc2ccc(-n3cccn3)cc2)[C@@H]1C ZINC000293062975 176303193 /nfs/dbraw/zinc/30/31/93/176303193.db2.gz SJVZGRVTRHILHI-CHWSQXEVSA-N 0 3 241.338 2.713 20 0 BFADHN C[C@@H]1CN(Cc2cccc3nsnc32)C[C@H]1C ZINC000269014665 176306712 /nfs/dbraw/zinc/30/67/12/176306712.db2.gz NVKZCFYRGQRPDF-NXEZZACHSA-N 0 3 247.367 2.779 20 0 BFADHN C[C@H]1CN(Cc2ccnc(Cl)c2)C[C@H]1C ZINC000076112338 176308582 /nfs/dbraw/zinc/30/85/82/176308582.db2.gz JVZYOCBVCQPKKN-AOOOYVTPSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN[C@H]2CCOC[C@H]2C)o1 ZINC000278030124 176341733 /nfs/dbraw/zinc/34/17/33/176341733.db2.gz WXGLOAYRKORICA-XCCSTKFXSA-N 0 3 249.354 2.918 20 0 BFADHN C[C@@H]1CSC[C@H]1N[C@@H]1CCCc2occc21 ZINC000304407663 176355764 /nfs/dbraw/zinc/35/57/64/176355764.db2.gz IDBNCZZQEINKCN-YUSALJHKSA-N 0 3 237.368 2.998 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cccc(C(N)=O)c2)C1 ZINC000336600940 176362815 /nfs/dbraw/zinc/36/28/15/176362815.db2.gz SPEQTHXHWJTCPK-GHMZBOCLSA-N 0 3 232.327 2.800 20 0 BFADHN C[C@@H]1C[C@@H](C)[C@@H](C)N(Cc2n[nH]c(C3CC3)n2)C1 ZINC000355750915 176366298 /nfs/dbraw/zinc/36/62/98/176366298.db2.gz VLTJVLGGDBFBLC-GMTAPVOTSA-N 0 3 248.374 2.549 20 0 BFADHN C[C@@H]1C[C@@H](NCCc2ccsc2)c2nccn21 ZINC000293892446 176376366 /nfs/dbraw/zinc/37/63/66/176376366.db2.gz GMTVXHZJMIYMBA-ZYHUDNBSSA-N 0 3 247.367 2.783 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cncn2C)c2ccccc21 ZINC000264234017 176377225 /nfs/dbraw/zinc/37/72/25/176377225.db2.gz CKESPGVWFFKGEI-IAQYHMDHSA-N 0 3 241.338 2.758 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cn3ccsc3n2)[C@@H]1C ZINC000336776594 176377688 /nfs/dbraw/zinc/37/76/88/176377688.db2.gz GCXRRQQMYSFJHV-FXPVBKGRSA-N 0 3 235.356 2.530 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(Cl)cn2)[C@@H]1C ZINC000309121302 176377863 /nfs/dbraw/zinc/37/78/63/176377863.db2.gz NYECNFYGFYSZRP-KBVBSXBZSA-N 0 3 224.735 2.869 20 0 BFADHN C[C@@H]1C[C@@H](Nc2cccc(CN(C)C)c2)[C@@H](C)O1 ZINC000294730589 176380422 /nfs/dbraw/zinc/38/04/22/176380422.db2.gz GSCLCCQTEPQVJJ-LALPHHSUSA-N 0 3 248.370 2.726 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1c(F)cc(F)cc1F ZINC000354329663 176396617 /nfs/dbraw/zinc/39/66/17/176396617.db2.gz SWLYIWZHUVFHLC-HTQZYQBOSA-N 0 3 229.245 2.850 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1cccc2c1OCCCO2 ZINC000132102233 176397220 /nfs/dbraw/zinc/39/72/20/176397220.db2.gz AXMKBIBOASCANP-DGCLKSJQSA-N 0 3 247.338 2.594 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@H](CO)C2CC2)o1 ZINC000353146741 176448494 /nfs/dbraw/zinc/44/84/94/176448494.db2.gz FNUDHBVNWCAFCW-SCDSUCTJSA-N 0 3 249.354 2.511 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@H]3CC[C@@H]2O3)o1 ZINC000178319807 487634066 /nfs/dbraw/zinc/63/40/66/487634066.db2.gz NDEIIOLPDKQUNT-WGBDABJCSA-N 0 3 221.300 2.559 20 0 BFADHN Oc1cccc(CN2C[C@@H]3CCCC[C@@H]32)c1 ZINC000668299594 487634752 /nfs/dbraw/zinc/63/47/52/487634752.db2.gz MCTBTRIQHGEQCV-JSGCOSHPSA-N 0 3 217.312 2.767 20 0 BFADHN C[C@H](CO)CCCN[C@@H](C)c1ccccc1F ZINC000222576683 176689482 /nfs/dbraw/zinc/68/94/82/176689482.db2.gz JISVXKMHCYMTTP-RYUDHWBXSA-N 0 3 239.334 2.885 20 0 BFADHN C[C@H](CO)CNCc1c(Cl)cccc1Cl ZINC000088195389 176693445 /nfs/dbraw/zinc/69/34/45/176693445.db2.gz UJKLKFPLIBNYRT-QMMMGPOBSA-N 0 3 248.153 2.711 20 0 BFADHN C[C@@H](NC[C@H](C)CO)c1ccc2ccccc2n1 ZINC000268815920 176693756 /nfs/dbraw/zinc/69/37/56/176693756.db2.gz GBXPFVLKGZDYCO-NWDGAFQWSA-N 0 3 244.338 2.514 20 0 BFADHN C[C@H](NC/C=C\c1ccc(F)cc1)c1cn[nH]c1 ZINC000339792206 176825675 /nfs/dbraw/zinc/82/56/75/176825675.db2.gz NIJWCLNZIYPGOQ-QUCGXOGASA-N 0 3 245.301 2.913 20 0 BFADHN C[C@H](NC1CCSCC1)c1cncs1 ZINC000161587599 176832008 /nfs/dbraw/zinc/83/20/08/176832008.db2.gz UOODURXZVJMBOA-QMMMGPOBSA-N 0 3 228.386 2.689 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1ccc(F)c(F)c1 ZINC000019963749 176860133 /nfs/dbraw/zinc/86/01/33/176860133.db2.gz SXBGRNIWNSDRFE-ONGXEEELSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@H](NCc1ccccc1)c1cc[nH]c(=O)c1 ZINC000339457041 176877281 /nfs/dbraw/zinc/87/72/81/176877281.db2.gz BNDKNGKLLVHBES-NSHDSACASA-N 0 3 228.295 2.638 20 0 BFADHN C[C@H](N[C@H]1CC1(C)C)c1cncc(F)c1 ZINC000225774336 176918931 /nfs/dbraw/zinc/91/89/31/176918931.db2.gz WVJBWFLKRKXODU-KWQFWETISA-N 0 3 208.280 2.670 20 0 BFADHN C[C@H](O)C[C@@H]1CCCN1Cc1ccccc1F ZINC000152539366 176998434 /nfs/dbraw/zinc/99/84/34/176998434.db2.gz SVPMFWPTUDQHLY-AAEUAGOBSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@@H](N[C@@H](C)C[C@H](C)O)c1ccc(Cl)cn1 ZINC000336781594 177001316 /nfs/dbraw/zinc/00/13/16/177001316.db2.gz VBZNFBPFPVLINX-LPEHRKFASA-N 0 3 242.750 2.545 20 0 BFADHN C[C@H](O)[C@@H]1CCCCN1Cc1ccccc1F ZINC000264478206 177008351 /nfs/dbraw/zinc/00/83/51/177008351.db2.gz ZJPTXKQYOFPCII-FZMZJTMJSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@H](O)c1ccc(OCCN2CCCCC2)cc1 ZINC000035626249 177017041 /nfs/dbraw/zinc/01/70/41/177017041.db2.gz XSFWERQHQZRAMT-ZDUSSCGKSA-N 0 3 249.354 2.605 20 0 BFADHN C[C@H](c1ccc(Cl)cc1)N1CC[C@@H](CO)C1 ZINC000224473406 177032648 /nfs/dbraw/zinc/03/26/48/177032648.db2.gz PLQSQBFXHPKFEO-GHMZBOCLSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@H](c1ccc(Cl)cc1)N(CCO)C1CC1 ZINC000177689744 177033244 /nfs/dbraw/zinc/03/32/44/177033244.db2.gz UJNHGQSUHZSZHR-SNVBAGLBSA-N 0 3 239.746 2.858 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1C[C@@H](O)C(C)(C)C1 ZINC000336251253 177034804 /nfs/dbraw/zinc/03/48/04/177034804.db2.gz KDNITRXHROQWJM-ZWNOBZJWSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](c1cccc(Cl)c1)N1CC[C@H](CO)C1 ZINC000082946418 177036068 /nfs/dbraw/zinc/03/60/68/177036068.db2.gz QESCUFHEWUGLRS-MNOVXSKESA-N 0 3 239.746 2.715 20 0 BFADHN C[C@H](c1cccc(F)c1)N1[C@@H]2CC[C@H]1CC(O)C2 ZINC000111313480 177036244 /nfs/dbraw/zinc/03/62/44/177036244.db2.gz KKCTYCWMVUHKMG-FMVWZJFZSA-N 0 3 249.329 2.874 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)CCCF ZINC000137297999 177036705 /nfs/dbraw/zinc/03/67/05/177036705.db2.gz ABJPIVZSTUBVNH-SNVBAGLBSA-N 0 3 211.280 2.745 20 0 BFADHN C[C@H](c1ccccc1F)N(C)CC(C)(C)CO ZINC000062019010 177041092 /nfs/dbraw/zinc/04/10/92/177041092.db2.gz NLHDADARZDYRNC-LLVKDONJSA-N 0 3 239.334 2.837 20 0 BFADHN C[C@H](c1ccccc1F)N(C)C[C@H]1CCOC1 ZINC000249725767 177041273 /nfs/dbraw/zinc/04/12/73/177041273.db2.gz PSBMMEKGLGLKMN-VXGBXAGGSA-N 0 3 237.318 2.855 20 0 BFADHN C[C@H](c1ccccc1F)N(C)CC1(CO)CC1 ZINC000190023163 177041490 /nfs/dbraw/zinc/04/14/90/177041490.db2.gz SKEAZUPSJIAGRY-LLVKDONJSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCc1ccncc1 ZINC000272346585 177044659 /nfs/dbraw/zinc/04/46/59/177044659.db2.gz JJHNJVXUJUYQDL-CYBMUJFWSA-N 0 3 241.338 2.712 20 0 BFADHN C[C@H]1CC(O)C[C@H](C)N1C/C=C/c1ccccc1 ZINC000299422879 177066060 /nfs/dbraw/zinc/06/60/60/177066060.db2.gz URNJDIIOYLWTRL-HXUSNMGPSA-N 0 3 245.366 2.934 20 0 BFADHN C[C@H](C(=O)OC(C)(C)C)N1CCCC[C@H](C)C1 ZINC000360605319 177071508 /nfs/dbraw/zinc/07/15/08/177071508.db2.gz QXZBSLGNANHVFU-NWDGAFQWSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@H]1CCCC[C@@H]1N[C@@H](CCO)c1ccco1 ZINC000186520437 177083409 /nfs/dbraw/zinc/08/34/09/177083409.db2.gz XXCLYDYHBPMAQQ-AVGNSLFASA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H](NCCN1CCC[C@H](C)C1)c1ccco1 ZINC000249738748 177097419 /nfs/dbraw/zinc/09/74/19/177097419.db2.gz HPVYYMFPTUAJBU-QWHCGFSZSA-N 0 3 236.359 2.662 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1cccc2nccn21 ZINC000179942035 177101725 /nfs/dbraw/zinc/10/17/25/177101725.db2.gz YROSABSKFRUNPC-QWHCGFSZSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@H]1CCCN(Cc2ccncc2F)[C@H]1C ZINC000336227408 177101879 /nfs/dbraw/zinc/10/18/79/177101879.db2.gz BUMAHIWTVCCOBK-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1cn2ccccc2n1 ZINC000172859052 177102605 /nfs/dbraw/zinc/10/26/05/177102605.db2.gz QYDSILZKZROXPH-QWHCGFSZSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@H]1CCCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC000336350533 177111009 /nfs/dbraw/zinc/11/10/09/177111009.db2.gz VJTVGUMKJAJEBQ-JTQLQIEISA-N 0 3 231.299 2.654 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1C(=O)c1cccc(CN)c1 ZINC000019398040 177115963 /nfs/dbraw/zinc/11/59/63/177115963.db2.gz MONYLWLZEXOROK-TXEJJXNPSA-N 0 3 246.354 2.548 20 0 BFADHN C[C@H]1CCC[C@H](NCc2ncc(Cl)n2C)C1 ZINC000037255053 177136835 /nfs/dbraw/zinc/13/68/35/177136835.db2.gz ZYFDJXYUDNWXCP-UWVGGRQHSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1nc2cccnc2s1 ZINC000334976311 177142779 /nfs/dbraw/zinc/14/27/79/177142779.db2.gz QYXDGBLWDHMIQZ-VHSXEESVSA-N 0 3 247.367 2.970 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1cn2ccccc2n1 ZINC000112719167 177143002 /nfs/dbraw/zinc/14/30/02/177143002.db2.gz PMELYUXRXFEZSH-WCQYABFASA-N 0 3 229.327 2.613 20 0 BFADHN C[C@H]1CN(CCOCC(F)(F)F)CC[C@@H]1C ZINC000122473224 177160638 /nfs/dbraw/zinc/16/06/38/177160638.db2.gz VZFUDGMAIJOTBZ-UWVGGRQHSA-N 0 3 239.281 2.543 20 0 BFADHN C[C@H]1CCN(CCCCO)[C@H](c2ccco2)C1 ZINC000174511417 177161199 /nfs/dbraw/zinc/16/11/99/177161199.db2.gz YDQVWEBSLWGTRG-STQMWFEESA-N 0 3 237.343 2.825 20 0 BFADHN C[C@H]1CN(CCc2cscn2)CC[C@@H]1C ZINC000296427335 177162213 /nfs/dbraw/zinc/16/22/13/177162213.db2.gz YCAPDGNACQDFFW-QWRGUYRKSA-N 0 3 224.373 2.664 20 0 BFADHN C[C@H]1CN(Cc2cncs2)CC[C@@H]1C ZINC000093480798 177166752 /nfs/dbraw/zinc/16/67/52/177166752.db2.gz YNDIOLICCMGBTD-UWVGGRQHSA-N 0 3 210.346 2.621 20 0 BFADHN C[C@H]1CC[C@@H](CN(C)Cc2cccs2)O1 ZINC000276483878 177189306 /nfs/dbraw/zinc/18/93/06/177189306.db2.gz PLFWPASGFPRESY-QWRGUYRKSA-N 0 3 225.357 2.747 20 0 BFADHN C[C@H]1CC[C@@H](CN(C)CCc2ccccc2)O1 ZINC000188540512 177189581 /nfs/dbraw/zinc/18/95/81/177189581.db2.gz NTSVDUXTGCWAHV-ZFWWWQNUSA-N 0 3 233.355 2.728 20 0 BFADHN C[C@H]1CC[C@@H](N(C)Cc2cccnc2)C1 ZINC000275588807 177191419 /nfs/dbraw/zinc/19/14/19/177191419.db2.gz JQWMZCIEFKWNOI-WCQYABFASA-N 0 3 204.317 2.702 20 0 BFADHN C[C@H]1CC[C@@H](NCc2cn3ccsc3n2)C1 ZINC000121979697 177193502 /nfs/dbraw/zinc/19/35/02/177193502.db2.gz OAGQBDXFOOKBQQ-VHSXEESVSA-N 0 3 235.356 2.674 20 0 BFADHN C[C@H]1CC[C@@H](NCc2ccn(C(F)F)n2)C1 ZINC000336781809 177193560 /nfs/dbraw/zinc/19/35/60/177193560.db2.gz VTAZUBALCYMIED-DTWKUNHWSA-N 0 3 229.274 2.556 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1c(F)cccc1F ZINC000336687256 177194869 /nfs/dbraw/zinc/19/48/69/177194869.db2.gz LIODWDYNMBDZFY-UFBFGSQYSA-N 0 3 211.255 2.853 20 0 BFADHN C[C@H]1CC[C@H](CN(C)Cc2cccs2)O1 ZINC000276483879 177200602 /nfs/dbraw/zinc/20/06/02/177200602.db2.gz PLFWPASGFPRESY-WDEREUQCSA-N 0 3 225.357 2.747 20 0 BFADHN C[C@H]1CC[C@H](CNCc2c(Cl)cnn2C)C1 ZINC000309776209 177202770 /nfs/dbraw/zinc/20/27/70/177202770.db2.gz LTDQOSWQFOTZQO-UWVGGRQHSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@H]1CC[C@H](NCc2ccn(C(F)F)n2)C1 ZINC000336781810 177205110 /nfs/dbraw/zinc/20/51/10/177205110.db2.gz VTAZUBALCYMIED-IUCAKERBSA-N 0 3 229.274 2.556 20 0 BFADHN C[C@@H]1C[C@@H](C)CC[C@@H]1NCc1cocn1 ZINC000183936072 177205614 /nfs/dbraw/zinc/20/56/14/177205614.db2.gz RQPWLNFNPUJOSU-UMNHJUIQSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1CC[C@H](NCc2cn3ccsc3n2)C1 ZINC000121979198 177205699 /nfs/dbraw/zinc/20/56/99/177205699.db2.gz OAGQBDXFOOKBQQ-UWVGGRQHSA-N 0 3 235.356 2.674 20 0 BFADHN C[C@@H]1CN(CC2=CCCCC2)C[C@H](C)N1C ZINC000336268738 177244687 /nfs/dbraw/zinc/24/46/87/177244687.db2.gz IQCVNLUXJYPCHK-BETUJISGSA-N 0 3 222.376 2.511 20 0 BFADHN C[C@H]1CN(CC2CCC(C)(C)CC2)CCO1 ZINC000339588827 177245629 /nfs/dbraw/zinc/24/56/29/177245629.db2.gz DWAGFUJCYHHZOD-LBPRGKRZSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H]1CN(CCCCCF)C[C@H](C2CC2)O1 ZINC000375362407 177247887 /nfs/dbraw/zinc/24/78/87/177247887.db2.gz PMSZDQUPIJRQKS-WCQYABFASA-N 0 3 229.339 2.626 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CCOc1ccc(F)cc1 ZINC000339578341 177252994 /nfs/dbraw/zinc/25/29/94/177252994.db2.gz BEJJOXXUMWRPSW-WDEREUQCSA-N 0 3 223.291 2.545 20 0 BFADHN C[C@H]1CN(CCc2ccc3c(c2)CCO3)[C@H]1C ZINC000293188545 177254985 /nfs/dbraw/zinc/25/49/85/177254985.db2.gz XBDFYXHZURQMAU-RYUDHWBXSA-N 0 3 231.339 2.504 20 0 BFADHN C[C@H]1CN(C[C@@H]2CCC(F)(F)C2)CC(C)(C)O1 ZINC000340293890 177256886 /nfs/dbraw/zinc/25/68/86/177256886.db2.gz YYQHZVJACOSQPI-WDEREUQCSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1[C@@H](C)CN1C[C@@H](O)c1cc2ccccc2o1 ZINC000293533740 177258209 /nfs/dbraw/zinc/25/82/09/177258209.db2.gz XHTKCFKSFJSAIJ-DMDPSCGWSA-N 0 3 245.322 2.806 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1ccc(-n2ccnc2)cc1 ZINC000292880200 177262029 /nfs/dbraw/zinc/26/20/29/177262029.db2.gz CAZXDQRICYPTFZ-QWHCGFSZSA-N 0 3 241.338 2.713 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CCOC[C@@H]1C ZINC000346227844 177292205 /nfs/dbraw/zinc/29/22/05/177292205.db2.gz YAQKJACJCRWTKS-FRRDWIJNSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@H]1COCC[C@@H]1CNCc1ccc(Cl)o1 ZINC000309788032 177294213 /nfs/dbraw/zinc/29/42/13/177294213.db2.gz ONMHKWFLYPYYPJ-VHSXEESVSA-N 0 3 243.734 2.695 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC(F)(F)C2)[C@@H](C)CO1 ZINC000340285644 177301793 /nfs/dbraw/zinc/30/17/93/177301793.db2.gz RYBXFTUSSSLWHQ-AXFHLTTASA-N 0 3 233.302 2.531 20 0 BFADHN C[C@H]1CSCCN1Cc1cccs1 ZINC000179662422 177305593 /nfs/dbraw/zinc/30/55/93/177305593.db2.gz PHQJNNAHFQQLPJ-VIFPVBQESA-N 0 3 213.371 2.685 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1ccc(Cl)nc1 ZINC000286213062 177306043 /nfs/dbraw/zinc/30/60/43/177306043.db2.gz YJGCXKVTVHTBBR-WPRPVWTQSA-N 0 3 242.775 2.576 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1ccncc1Cl ZINC000309141191 177306181 /nfs/dbraw/zinc/30/61/81/177306181.db2.gz TWPGPWVBJHCHCQ-KWQFWETISA-N 0 3 242.775 2.576 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1ccc(F)cc1F ZINC000285773272 177306965 /nfs/dbraw/zinc/30/69/65/177306965.db2.gz DNEXPKXTBLNYIF-QPUJVOFHSA-N 0 3 243.322 2.806 20 0 BFADHN CCOc1ccc(CN2C[C@H](C)[C@H](C)C2)nc1 ZINC000674866236 487637828 /nfs/dbraw/zinc/63/78/28/487637828.db2.gz JGDDYHSOMBXUEY-TXEJJXNPSA-N 0 3 234.343 2.568 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cccc3nccn32)C1 ZINC000183462183 177315017 /nfs/dbraw/zinc/31/50/17/177315017.db2.gz AJBJBYOIRKDUDL-BETUJISGSA-N 0 3 243.354 2.812 20 0 BFADHN C[C@H]1C[C@@H](NCC(C)(C)C)c2nccn21 ZINC000293869476 177331027 /nfs/dbraw/zinc/33/10/27/177331027.db2.gz DWRPSZSPNPURRC-VHSXEESVSA-N 0 3 207.321 2.525 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1cnc(C(C)(C)C)nc1 ZINC000284396043 177345393 /nfs/dbraw/zinc/34/53/93/177345393.db2.gz PLQFXIUESSYKHX-CMPLNLGQSA-N 0 3 233.359 2.520 20 0 BFADHN C[C@H]1C[C@@H]1CNc1cccc(CN(C)C)c1 ZINC000309744195 177345567 /nfs/dbraw/zinc/34/55/67/177345567.db2.gz FDVQZXWTJHETKD-WCQYABFASA-N 0 3 218.344 2.816 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCCC(C)(C)O)o1 ZINC000274718947 177351335 /nfs/dbraw/zinc/35/13/35/177351335.db2.gz DEAKFUFHRDWOKR-JQWIXIFHSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@@H]1C[C@@H]1NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000252200897 177352085 /nfs/dbraw/zinc/35/20/85/177352085.db2.gz WHHLOWZRRVYFKJ-QCMRWSPLSA-N 0 3 205.301 2.901 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1cnccn1 ZINC000226968170 177356979 /nfs/dbraw/zinc/35/69/79/177356979.db2.gz QAXYIADGMVTYIW-XQHKEYJVSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@H]1C[C@H]1CNC(C)(C)c1nccs1 ZINC000308592400 177381382 /nfs/dbraw/zinc/38/13/82/177381382.db2.gz KWIHIIGLVWNRRQ-IUCAKERBSA-N 0 3 210.346 2.624 20 0 BFADHN C[C@H]1C[C@H]1CNc1cccc(CN(C)C)c1 ZINC000309744193 177382907 /nfs/dbraw/zinc/38/29/07/177382907.db2.gz FDVQZXWTJHETKD-AAEUAGOBSA-N 0 3 218.344 2.816 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN[C@H]2CC[C@H](O)CC2)o1 ZINC000252705383 177387075 /nfs/dbraw/zinc/38/70/75/177387075.db2.gz QBYLTUKFEJDOPF-ZJQBRPOHSA-N 0 3 249.354 2.796 20 0 BFADHN CCOc1ccc(CN2C[C@@H](C)[C@H](C)C2)nc1 ZINC000674866237 487638277 /nfs/dbraw/zinc/63/82/77/487638277.db2.gz JGDDYHSOMBXUEY-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN C[C@H]1N([C@H]2C=CCCC2)CCOC1(C)C ZINC000357012037 177391980 /nfs/dbraw/zinc/39/19/80/177391980.db2.gz JEQBTVCESWPRQK-NEPJUHHUSA-N 0 3 209.333 2.594 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCc1ccccn1 ZINC000271522088 487638325 /nfs/dbraw/zinc/63/83/25/487638325.db2.gz VXZHMLISNSPPJE-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1cccnc1CNC/C=C/c1ccncc1 ZINC000487274328 225294629 /nfs/dbraw/zinc/29/46/29/225294629.db2.gz GDJIYOLSAPRGLO-HWKANZROSA-N 0 3 239.322 2.588 20 0 BFADHN COC[C@H](N[C@@H](C)[C@H]1CC1(C)C)c1ccco1 ZINC000487320322 225298298 /nfs/dbraw/zinc/29/82/98/225298298.db2.gz IJCOQSHMLYIJBI-TUAOUCFPSA-N 0 3 237.343 2.991 20 0 BFADHN C[C@H](CNC/C=C\c1ccncc1)C(F)(F)F ZINC000487572023 225315851 /nfs/dbraw/zinc/31/58/51/225315851.db2.gz NKMXDXBNJWYLHE-MXQLGCADSA-N 0 3 244.260 2.883 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCc2c(F)cccc2C1 ZINC000488327390 225373548 /nfs/dbraw/zinc/37/35/48/225373548.db2.gz HJLLAYSDAHGZOH-ZYHUDNBSSA-N 0 3 219.303 2.840 20 0 BFADHN C[C@H]1C[C@H]1CN1CCc2cccc(F)c2C1 ZINC000488328929 225376367 /nfs/dbraw/zinc/37/63/67/225376367.db2.gz ILSIYCVWQJUUGB-JQWIXIFHSA-N 0 3 219.303 2.840 20 0 BFADHN C[C@H]1C[C@@H]1CN(C)Cc1cc(Cl)cn1C ZINC000488361677 225376817 /nfs/dbraw/zinc/37/68/17/225376817.db2.gz XFIBETPMPGZWDW-VHSXEESVSA-N 0 3 226.751 2.766 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCN(c2cccs2)CC1 ZINC000488362550 225388035 /nfs/dbraw/zinc/38/80/35/225388035.db2.gz ZZFFFRCZXPNEQC-NWDGAFQWSA-N 0 3 236.384 2.526 20 0 BFADHN Cc1cccc2[nH]c(CNC[C@@H]3C[C@H]3C)nc21 ZINC000488427407 225395405 /nfs/dbraw/zinc/39/54/05/225395405.db2.gz JYPLZJKWDMEHKD-MNOVXSKESA-N 0 3 229.327 2.617 20 0 BFADHN COc1ncccc1CN1CCCCC[C@H]1C ZINC000487919302 225331722 /nfs/dbraw/zinc/33/17/22/225331722.db2.gz SYYKIAUZUBWBRK-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1cccc(CN2CC(C)(C)OC[C@@H]2C)c1 ZINC000488162482 225351645 /nfs/dbraw/zinc/35/16/45/225351645.db2.gz NQOXYEHROLEESL-ZDUSSCGKSA-N 0 3 233.355 2.994 20 0 BFADHN Cc1cccc(N2CCN(C[C@@H]3C[C@@H]3C)CC2)c1 ZINC000488293477 225362323 /nfs/dbraw/zinc/36/23/23/225362323.db2.gz LBLZNTNXLMEMOC-GJZGRUSLSA-N 0 3 244.382 2.773 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@@H](C)COC(C)C ZINC000669682263 487645119 /nfs/dbraw/zinc/64/51/19/487645119.db2.gz YZIKMTWJKOAOHR-VHSXEESVSA-N 0 3 240.347 2.756 20 0 BFADHN CCn1ccc(CN[C@H](C)Cc2cccs2)n1 ZINC000666195582 487645331 /nfs/dbraw/zinc/64/53/31/487645331.db2.gz YKSWOEPSHWBFEI-LLVKDONJSA-N 0 3 249.383 2.685 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2COc3ccc(F)cc32)[C@H]1C ZINC000494930641 226271759 /nfs/dbraw/zinc/27/17/59/226271759.db2.gz AERGJCXKRGEHNK-PSJXJDHFSA-N 0 3 235.302 2.893 20 0 BFADHN Cc1cccc2nc(CN[C@@H]3C[C@H](C)[C@H]3C)cn21 ZINC000495083106 226276330 /nfs/dbraw/zinc/27/63/30/226276330.db2.gz YBXAIYLEKWOFEF-ZKYQVNSYSA-N 0 3 243.354 2.777 20 0 BFADHN Cc1cc([C@@H](C)NCCc2cncn2C)c(C)o1 ZINC000401796571 226362061 /nfs/dbraw/zinc/36/20/61/226362061.db2.gz ZAVDKHBRBRSXQI-LLVKDONJSA-N 0 3 247.342 2.523 20 0 BFADHN COCCN(Cc1ccc(F)c(C)c1)C1CC1 ZINC000507162814 226366049 /nfs/dbraw/zinc/36/60/49/226366049.db2.gz WHRLVHUZOZGLQX-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN COCCN(Cc1ccc2c(c1)CCC2)C1CC1 ZINC000507154049 226366229 /nfs/dbraw/zinc/36/62/29/226366229.db2.gz GVBVIGXYTMUUSP-UHFFFAOYSA-N 0 3 245.366 2.786 20 0 BFADHN COCCN(Cc1cccc2cc[nH]c21)C1CC1 ZINC000507161125 226366494 /nfs/dbraw/zinc/36/64/94/226366494.db2.gz PRRKFOSHEHQOIX-UHFFFAOYSA-N 0 3 244.338 2.779 20 0 BFADHN CCn1ccc(CN[C@@H](C)CC2CCC2)n1 ZINC000666196667 487651452 /nfs/dbraw/zinc/65/14/52/487651452.db2.gz MIQQQTAVSFFMKF-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN C[C@]1(NCc2ccc(F)cc2)CCO[C@H]1C1CC1 ZINC000273096916 487653432 /nfs/dbraw/zinc/65/34/32/487653432.db2.gz UVCZPEBQLUBNSM-GJZGRUSLSA-N 0 3 249.329 2.873 20 0 BFADHN CCOc1ccc(CN2C[C@@H]3CCC[C@@H]3C2)nc1 ZINC000674912207 487653105 /nfs/dbraw/zinc/65/31/05/487653105.db2.gz SNWUCIURLPGPQO-BETUJISGSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1ccnc(CN2CCSCC[C@H]2C)c1 ZINC000671627821 487656734 /nfs/dbraw/zinc/65/67/34/487656734.db2.gz QGGGFHDDVKZBOT-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H]2CCC[C@@H]2F)C1(C)C ZINC000393437235 487660657 /nfs/dbraw/zinc/66/06/57/487660657.db2.gz LPSKYBOIKBNJHA-USZNOCQGSA-N 0 3 229.339 2.670 20 0 BFADHN CCC1(O)CCC(NCc2ccoc2C)CC1 ZINC000666292295 487661745 /nfs/dbraw/zinc/66/17/45/487661745.db2.gz UVYXQSRPCPOTBE-UHFFFAOYSA-N 0 3 237.343 2.761 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H](C)[C@@H]2CCCCO2)c1 ZINC000274354413 487662028 /nfs/dbraw/zinc/66/20/28/487662028.db2.gz GWHWDERRNQUHJF-YDHLFZDLSA-N 0 3 248.370 2.998 20 0 BFADHN FCCCNCc1ccccc1Cl ZINC000088024359 322937958 /nfs/dbraw/zinc/93/79/58/322937958.db2.gz DURWGMCPKGFTOP-UHFFFAOYSA-N 0 3 201.672 2.789 20 0 BFADHN C[C@H]1CCN(CCCc2ccncc2)C[C@@H]1F ZINC000680887061 487665778 /nfs/dbraw/zinc/66/57/78/487665778.db2.gz BNLVOAMJSSZLAO-JSGCOSHPSA-N 0 3 236.334 2.694 20 0 BFADHN C[C@H]1CCN(CCCc2ccncc2)C[C@H]1F ZINC000680887056 487665940 /nfs/dbraw/zinc/66/59/40/487665940.db2.gz BNLVOAMJSSZLAO-GXTWGEPZSA-N 0 3 236.334 2.694 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1ccc(F)cc1 ZINC000401883732 322938895 /nfs/dbraw/zinc/93/88/95/322938895.db2.gz OTGHLISDKIIHQL-NRUUGDAUSA-N 0 3 223.291 2.654 20 0 BFADHN CN(C)Cc1cccc(NCC2CCC2)c1 ZINC000087584520 487669012 /nfs/dbraw/zinc/66/90/12/487669012.db2.gz JMZMOOYEZYQHHZ-UHFFFAOYSA-N 0 3 218.344 2.960 20 0 BFADHN Cc1cc(CN2[C@H](C)C[C@@H]3CCCC[C@@H]32)nn1C ZINC000487409950 229179267 /nfs/dbraw/zinc/17/92/67/229179267.db2.gz FPBYDSOORRMYTQ-IPYPFGDCSA-N 0 3 247.386 2.882 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2ccco2)C12CCC2 ZINC000308368927 229230909 /nfs/dbraw/zinc/23/09/09/229230909.db2.gz NSICEZAVCPIUAI-CYZMBNFOSA-N 0 3 235.327 2.888 20 0 BFADHN Cc1cccc(CNC(C)(C)CN(C)C)c1F ZINC000308607830 229236281 /nfs/dbraw/zinc/23/62/81/229236281.db2.gz KMAIWMLUIKUUOU-UHFFFAOYSA-N 0 3 238.350 2.564 20 0 BFADHN Cc1nocc1CNC[C@@H](C)CC(F)(F)F ZINC000402778841 229265045 /nfs/dbraw/zinc/26/50/45/229265045.db2.gz OJJKGZDKZOXZPU-ZETCQYMHSA-N 0 3 236.237 2.661 20 0 BFADHN CC[C@H](CN1CCCC1)Nc1ncccc1F ZINC000675150895 487675277 /nfs/dbraw/zinc/67/52/77/487675277.db2.gz CFJSOXUAKCZFDW-LLVKDONJSA-N 0 3 237.322 2.507 20 0 BFADHN CC[C@H](C)N1CCC[C@](OC)(C(F)(F)F)C1 ZINC000669693510 487682898 /nfs/dbraw/zinc/68/28/98/487682898.db2.gz YHMQJDFCNCWICD-VHSXEESVSA-N 0 3 239.281 2.828 20 0 BFADHN CCN(CC)C(=O)CN[C@@H](C)c1ccccc1C ZINC000042224534 487691400 /nfs/dbraw/zinc/69/14/00/487691400.db2.gz BDWWFOSKHMVAMX-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN C[C@H]1CC[C@@]2(CCN(Cc3cccnc3N)C2)C1 ZINC000336275959 487693324 /nfs/dbraw/zinc/69/33/24/487693324.db2.gz BRUMHDXDMNYEFA-SWLSCSKDSA-N 0 3 245.370 2.676 20 0 BFADHN Cc1cc([C@H](C)N[C@H](CO)CC2CC2)oc1C ZINC000669701536 487697602 /nfs/dbraw/zinc/69/76/02/487697602.db2.gz BOOUZQPSJIFFTP-GWCFXTLKSA-N 0 3 237.343 2.708 20 0 BFADHN Cn1ccnc1[C@H](NCC1CC(C)(C)C1)C1CC1 ZINC000527349871 262775446 /nfs/dbraw/zinc/77/54/46/262775446.db2.gz SXXKVOUNHUVZJI-CYBMUJFWSA-N 0 3 247.386 2.897 20 0 BFADHN OCC1(CCNCc2cc3ccccc3o2)CC1 ZINC000395898626 262794549 /nfs/dbraw/zinc/79/45/49/262794549.db2.gz IWXASUAEBUGMGA-UHFFFAOYSA-N 0 3 245.322 2.685 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@H](C)c1ccncn1 ZINC000395965078 262795352 /nfs/dbraw/zinc/79/53/52/262795352.db2.gz KDMMYNRALNKSRH-GHMZBOCLSA-N 0 3 221.348 2.952 20 0 BFADHN CC(C)OCC(C)(C)NCc1cccc(O)c1 ZINC000396501754 262804884 /nfs/dbraw/zinc/80/48/84/262804884.db2.gz WFQVLIPJJQELOF-UHFFFAOYSA-N 0 3 237.343 2.685 20 0 BFADHN C[C@H](CN[C@@H](C)c1ccncn1)CC(F)(F)F ZINC000397048135 262832648 /nfs/dbraw/zinc/83/26/48/262832648.db2.gz QZJBUSMDTQWIET-IUCAKERBSA-N 0 3 247.264 2.716 20 0 BFADHN Cc1cccc(CNC[C@]2(C)CCOC2)c1F ZINC000397185616 262836584 /nfs/dbraw/zinc/83/65/84/262836584.db2.gz LUEWIHKKIBYWCQ-AWEZNQCLSA-N 0 3 237.318 2.650 20 0 BFADHN CC(C)Cc1ccccc1CN[C@H]1CCOC1 ZINC000527732445 262877278 /nfs/dbraw/zinc/87/72/78/262877278.db2.gz GOSCPEMCCGCOKW-HNNXBMFYSA-N 0 3 233.355 2.764 20 0 BFADHN Clc1cccc(CNCC2COC2)c1Cl ZINC000527821755 262887171 /nfs/dbraw/zinc/88/71/71/262887171.db2.gz YSHXTOJXEFBYPL-UHFFFAOYSA-N 0 3 246.137 2.729 20 0 BFADHN C[C@@H](N[C@@H](C)c1ncccc1F)[C@@H]1C[C@H]1C ZINC000527827794 262887848 /nfs/dbraw/zinc/88/78/48/262887848.db2.gz JMOIYSAPSYXWEQ-CHWFTXMASA-N 0 3 222.307 2.916 20 0 BFADHN CCc1nc(C)c(CN[C@H](C)[C@H]2CC23CC3)o1 ZINC000527902302 262893409 /nfs/dbraw/zinc/89/34/09/262893409.db2.gz NYPORTGPVMXIRV-MWLCHTKSSA-N 0 3 234.343 2.824 20 0 BFADHN C[C@H](NCC[C@@H]1CC=CCC1)c1ccn(C)n1 ZINC000527981891 262897843 /nfs/dbraw/zinc/89/78/43/262897843.db2.gz SHHWLLQLEOKCMV-QWHCGFSZSA-N 0 3 233.359 2.817 20 0 BFADHN COc1ccc(F)cc1CN[C@@H]1C[C@H]2C[C@H]2C1 ZINC000397782403 262933428 /nfs/dbraw/zinc/93/34/28/262933428.db2.gz ZOLWCYRMYNVHAY-DDFAGTSDSA-N 0 3 235.302 2.722 20 0 BFADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1cncc(F)c1 ZINC000397841739 262937015 /nfs/dbraw/zinc/93/70/15/262937015.db2.gz QPPNOHDQKCQVAB-VDDIYKPWSA-N 0 3 240.347 2.765 20 0 BFADHN COC[C@@H]1CCCCN1C/C=C(\C)Cl ZINC000528519939 262956699 /nfs/dbraw/zinc/95/66/99/262956699.db2.gz URDXPHDTQJKHMA-RUYJGKKWSA-N 0 3 217.740 2.630 20 0 BFADHN CC[C@@H](C(N)=O)N1CCCc2ccccc2CC1 ZINC000336292516 487699414 /nfs/dbraw/zinc/69/94/14/487699414.db2.gz IFXANCQTBKTHIX-AWEZNQCLSA-N 0 3 246.354 2.791 20 0 BFADHN Fc1cc2c(c(F)c1)CC[C@@H]2NC1CC1 ZINC000120927065 490071412 /nfs/dbraw/zinc/07/14/12/490071412.db2.gz BJDBDMSTUHLOIZ-LBPRGKRZSA-N 0 3 209.239 2.704 20 0 BFADHN C[C@H](NCc1ncc[nH]1)C1CCCCCC1 ZINC000088163150 487707578 /nfs/dbraw/zinc/70/75/78/487707578.db2.gz HNMCNZMXWJCSMK-NSHDSACASA-N 0 3 221.348 2.858 20 0 BFADHN C[C@@H](NCc1ncc[nH]1)C1CCCCCC1 ZINC000088169043 487708638 /nfs/dbraw/zinc/70/86/38/487708638.db2.gz HNMCNZMXWJCSMK-LLVKDONJSA-N 0 3 221.348 2.858 20 0 BFADHN C[C@@H](NCc1cn(C)cn1)[C@H](C)c1ccccc1 ZINC000683899744 487711867 /nfs/dbraw/zinc/71/18/67/487711867.db2.gz JHFIYTAORIYOTA-QWHCGFSZSA-N 0 3 243.354 2.702 20 0 BFADHN CC[C@H](NCC1COC1)c1cc(F)ccc1F ZINC000683899755 487711948 /nfs/dbraw/zinc/71/19/48/487711948.db2.gz JRIIAGPELIEOMK-ZDUSSCGKSA-N 0 3 241.281 2.652 20 0 BFADHN CC1(C)CN(Cc2cn[nH]c2)CC(C)(C)C1 ZINC000681084540 487717248 /nfs/dbraw/zinc/71/72/48/487717248.db2.gz LKNXTRXOTYALEQ-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN COCc1ccc(CN(C)CCC(C)C)o1 ZINC000678137902 487721466 /nfs/dbraw/zinc/72/14/66/487721466.db2.gz XOSAFULNSLFILC-UHFFFAOYSA-N 0 3 225.332 2.904 20 0 BFADHN CN(Cc1c[nH]cn1)C[C@@H]1C[C@@H]1c1ccccc1 ZINC000668421692 487724402 /nfs/dbraw/zinc/72/44/02/487724402.db2.gz BODKDBVCOPIJDX-DZGCQCFKSA-N 0 3 241.338 2.645 20 0 BFADHN CN(Cc1cnc[nH]1)C[C@@H]1C[C@@H]1c1ccccc1 ZINC000668421692 487724408 /nfs/dbraw/zinc/72/44/08/487724408.db2.gz BODKDBVCOPIJDX-DZGCQCFKSA-N 0 3 241.338 2.645 20 0 BFADHN CN(Cc1c[nH]cn1)CC(C)(C)c1ccccc1 ZINC000668421785 487725779 /nfs/dbraw/zinc/72/57/79/487725779.db2.gz FSOKBYWXQLCKFP-UHFFFAOYSA-N 0 3 243.354 2.819 20 0 BFADHN CN(Cc1cnc[nH]1)CC(C)(C)c1ccccc1 ZINC000668421785 487725781 /nfs/dbraw/zinc/72/57/81/487725781.db2.gz FSOKBYWXQLCKFP-UHFFFAOYSA-N 0 3 243.354 2.819 20 0 BFADHN CN(Cc1cnc[nH]1)Cc1cccc2c1CCC2 ZINC000668422180 487727549 /nfs/dbraw/zinc/72/75/49/487727549.db2.gz SHZSUVFASUKTKN-UHFFFAOYSA-N 0 3 241.338 2.530 20 0 BFADHN Fc1ccccc1CCN1CC=CCC1 ZINC000681119579 487732142 /nfs/dbraw/zinc/73/21/42/487732142.db2.gz CVKKVZHEIYJWPC-UHFFFAOYSA-N 0 3 205.276 2.630 20 0 BFADHN CCN(Cc1occc1C)[C@H](C)CCOC ZINC000412026788 487732556 /nfs/dbraw/zinc/73/25/56/487732556.db2.gz MWJFUQRPVXOOAL-GFCCVEGCSA-N 0 3 225.332 2.835 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H](C)c1ccc(C)nc1C ZINC000681141229 487736729 /nfs/dbraw/zinc/73/67/29/487736729.db2.gz HYBBNKNATOIYNM-QAMKJQMISA-N 0 3 248.370 2.676 20 0 BFADHN CC(C)n1ncnc1CN[C@H]1CCC[C@H](C)C1 ZINC000088589176 487738725 /nfs/dbraw/zinc/73/87/25/487738725.db2.gz LOCGJFGKYHZFFR-RYUDHWBXSA-N 0 3 236.363 2.527 20 0 BFADHN CCc1ccc(CN[C@@H]2CO[C@@H](C3CC3)C2)cc1 ZINC000667817538 487744390 /nfs/dbraw/zinc/74/43/90/487744390.db2.gz YYYWYCVCTJGNPA-JKSUJKDBSA-N 0 3 245.366 2.906 20 0 BFADHN CCn1ccc(CNC[C@@H](C)C(C)(C)C)n1 ZINC000666408842 487773632 /nfs/dbraw/zinc/77/36/32/487773632.db2.gz ABHRDJCZWBLWTB-LLVKDONJSA-N 0 3 223.364 2.675 20 0 BFADHN CCC1(NCc2cc3n(n2)CCC3)CCCC1 ZINC000649619846 487775313 /nfs/dbraw/zinc/77/53/13/487775313.db2.gz AFDZHYMKAPLDAV-UHFFFAOYSA-N 0 3 233.359 2.642 20 0 BFADHN FC(F)[C@H]1CCN(C[C@H]2CC2(Cl)Cl)C1 ZINC000761113978 585410673 /nfs/dbraw/zinc/41/06/73/585410673.db2.gz SEDVTUHXYKQNCH-NKWVEPMBSA-N 0 3 244.112 2.767 20 0 BFADHN CCC[C@H](N[C@@H](CC)COC)c1ccccn1 ZINC000675815778 487781212 /nfs/dbraw/zinc/78/12/12/487781212.db2.gz FEFQHLFTGGKRAG-JSGCOSHPSA-N 0 3 236.359 2.937 20 0 BFADHN Cn1ccnc1[C@@H](NCCC1(C)CC1)C1CC1 ZINC000359006289 487783897 /nfs/dbraw/zinc/78/38/97/487783897.db2.gz RRLRVRSZCZHECX-LBPRGKRZSA-N 0 3 233.359 2.651 20 0 BFADHN Cn1ccc(CN2CCC(c3ccco3)CC2)c1 ZINC000668461843 487785122 /nfs/dbraw/zinc/78/51/22/487785122.db2.gz GMEKGWNSEABIGS-UHFFFAOYSA-N 0 3 244.338 2.998 20 0 BFADHN Cc1ccc([C@@H](C)NCCC(C)(C)O)c(C)n1 ZINC000681401019 487792903 /nfs/dbraw/zinc/79/29/03/487792903.db2.gz RLBYKIXRRVQCPV-LLVKDONJSA-N 0 3 236.359 2.510 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccoc2C)CC1 ZINC000666356117 487792925 /nfs/dbraw/zinc/79/29/25/487792925.db2.gz MVZRIKAGBLEIIC-CYBMUJFWSA-N 0 3 223.316 2.589 20 0 BFADHN CC(C)[C@H]1CC[C@H]1N[C@@H](C)c1ccn(C)n1 ZINC000459745133 487793327 /nfs/dbraw/zinc/79/33/27/487793327.db2.gz IERKTKXFVCVDDF-DMDPSCGWSA-N 0 3 221.348 2.505 20 0 BFADHN COCCC1CN(Cc2ccc3occc3c2)C1 ZINC000668471843 487795530 /nfs/dbraw/zinc/79/55/30/487795530.db2.gz NFPXHDSGQDXPKQ-UHFFFAOYSA-N 0 3 245.322 2.901 20 0 BFADHN CCc1cccc(CN2CC(CCOC)C2)c1 ZINC000668471905 487795875 /nfs/dbraw/zinc/79/58/75/487795875.db2.gz POGSHHFFCCMQIG-UHFFFAOYSA-N 0 3 233.355 2.717 20 0 BFADHN COCCC1CN(Cc2coc3ccccc23)C1 ZINC000668473276 487798641 /nfs/dbraw/zinc/79/86/41/487798641.db2.gz PNIYDSIAERSMFB-UHFFFAOYSA-N 0 3 245.322 2.901 20 0 BFADHN CC(C)(C)c1ccncc1CN[C@@H]1CCCOC1 ZINC000414229446 487799562 /nfs/dbraw/zinc/79/95/62/487799562.db2.gz MYKONXQBEVOGNH-CYBMUJFWSA-N 0 3 248.370 2.648 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCC[C@H]2C)CCC1=O ZINC000042863774 487806741 /nfs/dbraw/zinc/80/67/41/487806741.db2.gz SXMHTUZFCKGYIZ-JHJVBQTASA-N 0 3 223.360 2.724 20 0 BFADHN CN(C)Cc1cccc(NCc2nccs2)c1 ZINC000110922522 487808038 /nfs/dbraw/zinc/80/80/38/487808038.db2.gz WFJJSSDAVOIWQH-UHFFFAOYSA-N 0 3 247.367 2.817 20 0 BFADHN C[C@@H](NCc1cc[nH]n1)[C@@H]1C[C@H]1c1cccs1 ZINC000669418942 487809013 /nfs/dbraw/zinc/80/90/13/487809013.db2.gz JEAJDAJFQMXPBT-ADEWGFFLSA-N 0 3 247.367 2.753 20 0 BFADHN C[C@H](NCc1cc[nH]n1)[C@@H]1C[C@H]1c1cccs1 ZINC000669418943 487809293 /nfs/dbraw/zinc/80/92/93/487809293.db2.gz JEAJDAJFQMXPBT-ZMLRMANQSA-N 0 3 247.367 2.753 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1ccc(N(C)C)nc1 ZINC000666455060 487812880 /nfs/dbraw/zinc/81/28/80/487812880.db2.gz ZVZUDKCJTXUIDC-GFCCVEGCSA-N 0 3 247.386 2.768 20 0 BFADHN c1cc2cc(CNC3CSC3)ccc2o1 ZINC000668481646 487814075 /nfs/dbraw/zinc/81/40/75/487814075.db2.gz GEFJJADEAWJZPR-UHFFFAOYSA-N 0 3 219.309 2.638 20 0 BFADHN Oc1ccc(CN[C@@H]2C[C@H]2c2ccco2)cc1 ZINC000666482189 487817486 /nfs/dbraw/zinc/81/74/86/487817486.db2.gz UQXVQQWFDRBDPD-CHWSQXEVSA-N 0 3 229.279 2.631 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@H](C3CCC3)C2)n1 ZINC000666487994 487818811 /nfs/dbraw/zinc/81/88/11/487818811.db2.gz BJONYRQAAFCZFR-ZDUSSCGKSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@H](C3CCC3)C2)n1 ZINC000666487994 487818819 /nfs/dbraw/zinc/81/88/19/487818819.db2.gz BJONYRQAAFCZFR-ZDUSSCGKSA-N 0 3 246.354 2.718 20 0 BFADHN CCn1cc(CN2CC[C@@H](C3CCC3)C2)cn1 ZINC000666488040 487819058 /nfs/dbraw/zinc/81/90/58/487819058.db2.gz DYJSKDMICNKVKW-CQSZACIVSA-N 0 3 233.359 2.525 20 0 BFADHN CCC[C@@H](C)NC(=O)[C@@H](N)CC1CCCCC1 ZINC000302331462 487819970 /nfs/dbraw/zinc/81/99/70/487819970.db2.gz GFUCZFVZAVYRAG-YPMHNXCESA-N 0 3 240.391 2.589 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1)c1cc(F)c(F)c(F)c1 ZINC000089555092 487830416 /nfs/dbraw/zinc/83/04/16/487830416.db2.gz YXFMHXYNUFGBDY-VXNVDRBHSA-N 0 3 245.244 2.543 20 0 BFADHN C[C@@H]1CCN(CC2CC(F)(F)C2)C[C@H]1F ZINC000828739532 587459754 /nfs/dbraw/zinc/45/97/54/587459754.db2.gz XARQKSPIKAYACN-PSASIEDQSA-N 0 3 221.266 2.712 20 0 BFADHN C(c1noc2c1CCCC2)N1CC[C@H]2CCC[C@@H]21 ZINC000681709220 487845434 /nfs/dbraw/zinc/84/54/34/487845434.db2.gz DZHMXENHJQKXAC-RISCZKNCSA-N 0 3 246.354 2.928 20 0 BFADHN CC[C@@H](C(=O)OC)N1CCC[C@@H](C(C)(C)C)C1 ZINC000483199505 529296447 /nfs/dbraw/zinc/29/64/47/529296447.db2.gz ORLUNJIPBKERHL-NEPJUHHUSA-N 0 3 241.375 2.696 20 0 BFADHN OCC[C@@H](NC/C=C\Cl)c1cccs1 ZINC000308535784 631422079 /nfs/dbraw/zinc/42/20/79/631422079.db2.gz DRJIPRDOVNCHCB-JHYPKJRRSA-N 0 3 231.748 2.514 20 0 BFADHN CCOc1ncccc1CN[C@@H]1CCC[C@H]2C[C@H]21 ZINC000558699311 322954012 /nfs/dbraw/zinc/95/40/12/322954012.db2.gz ILRPOJFNQWLYKU-IACUBPJLSA-N 0 3 246.354 2.759 20 0 BFADHN C/C(=C/c1ccccc1)CN1C[C@H](O)C2(CC2)C1 ZINC000681758379 487857264 /nfs/dbraw/zinc/85/72/64/487857264.db2.gz XCGKOJULSOPNIE-CTXBLAANSA-N 0 3 243.350 2.547 20 0 BFADHN C/C(=C/c1ccccc1)CN1C[C@@H](O)C2(CC2)C1 ZINC000681758381 487857378 /nfs/dbraw/zinc/85/73/78/487857378.db2.gz XCGKOJULSOPNIE-UZGISAJGSA-N 0 3 243.350 2.547 20 0 BFADHN CCc1nn(C)cc1CN[C@@H]1C[C@H]1CC(C)C ZINC000395410916 487858153 /nfs/dbraw/zinc/85/81/53/487858153.db2.gz WADAEFACYYTVEL-BXUZGUMPSA-N 0 3 235.375 2.507 20 0 BFADHN Fc1ccc(F)c(CCNCc2ccco2)c1 ZINC000080245858 322958271 /nfs/dbraw/zinc/95/82/71/322958271.db2.gz PAYHSBITGOPLAS-UHFFFAOYSA-N 0 3 237.249 2.890 20 0 BFADHN C[C@@H](CN(C)Cc1cn[nH]c1)C(C)(C)C ZINC000670264426 487866382 /nfs/dbraw/zinc/86/63/82/487866382.db2.gz DEEHRIMPWSXNNN-JTQLQIEISA-N 0 3 209.337 2.524 20 0 BFADHN Cc1ncc(CN2CC3(CCC3)[C@@H]2C(C)C)n1C ZINC000668184291 487867216 /nfs/dbraw/zinc/86/72/16/487867216.db2.gz ACTKEKZAVAZPGB-AWEZNQCLSA-N 0 3 247.386 2.739 20 0 BFADHN CSCC(C)(C)NCc1ccncc1Cl ZINC000934667104 641239129 /nfs/dbraw/zinc/23/91/29/641239129.db2.gz NDVRBYUCJGPIIJ-UHFFFAOYSA-N 0 3 244.791 2.966 20 0 BFADHN CSCC(C)(C)NCc1oc(C)nc1C ZINC000934666677 641240647 /nfs/dbraw/zinc/24/06/47/641240647.db2.gz IPUMLVCWMYLFEA-UHFFFAOYSA-N 0 3 228.361 2.523 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NCC1(C(F)(F)F)CC1 ZINC000671768264 487869645 /nfs/dbraw/zinc/86/96/45/487869645.db2.gz XRZQBJUQSSRNTJ-SSDOTTSWSA-N 0 3 247.264 2.711 20 0 BFADHN COCCN(Cc1cnccc1C)C1CCCC1 ZINC000676557506 487872410 /nfs/dbraw/zinc/87/24/10/487872410.db2.gz VNMVMNAOFRKSSW-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN COCc1csc(CN2CC[C@@H]2C2CC2)c1 ZINC000668208492 487876786 /nfs/dbraw/zinc/87/67/86/487876786.db2.gz BEUXQEUWKFNCGV-CYBMUJFWSA-N 0 3 237.368 2.879 20 0 BFADHN CCCCCN(CC(N)=O)C[C@@H](C)C(C)(C)C ZINC000670268756 487878870 /nfs/dbraw/zinc/87/88/70/487878870.db2.gz QLBMTFXRLNINOH-GFCCVEGCSA-N 0 3 242.407 2.646 20 0 BFADHN c1ccc([C@@H]2CCN2[C@@H]2CCCOC2)cc1 ZINC000676627061 487878549 /nfs/dbraw/zinc/87/85/49/487878549.db2.gz MIIPNWZTOITORL-KGLIPLIRSA-N 0 3 217.312 2.612 20 0 BFADHN C[C@H](CN[C@@H](CCO)c1ccco1)C(C)(C)C ZINC000670269250 487881213 /nfs/dbraw/zinc/88/12/13/487881213.db2.gz INYLMEXSHFAEDQ-NEPJUHHUSA-N 0 3 239.359 2.975 20 0 BFADHN CO[C@]1(C(F)(F)F)CCCN(CC(C)C)C1 ZINC000682041638 487889800 /nfs/dbraw/zinc/88/98/00/487889800.db2.gz QPZNQQPNVVSRKK-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1cc(CN2CCCOC[C@@H]2C)c(C)s1 ZINC000676710429 487897094 /nfs/dbraw/zinc/89/70/94/487897094.db2.gz DJQBABVTFSKMIQ-JTQLQIEISA-N 0 3 239.384 2.976 20 0 BFADHN OC[C@@H]1CCCCCN1Cc1ccccc1F ZINC000157059876 487900984 /nfs/dbraw/zinc/90/09/84/487900984.db2.gz MWSNSCJZUKWANU-ZDUSSCGKSA-N 0 3 237.318 2.563 20 0 BFADHN CN(C)c1ccccc1CN1CC=CCC1 ZINC000679321710 487901128 /nfs/dbraw/zinc/90/11/28/487901128.db2.gz KXTKGUUPCPBRQM-UHFFFAOYSA-N 0 3 216.328 2.515 20 0 BFADHN Cc1ccc(CN2CCCOC[C@H]2C)cc1 ZINC000676712341 487901152 /nfs/dbraw/zinc/90/11/52/487901152.db2.gz KPRYYGWMJXLVFP-CYBMUJFWSA-N 0 3 219.328 2.606 20 0 BFADHN CCc1ccccc1CN1CCCOC[C@@H]1C ZINC000682085903 487905904 /nfs/dbraw/zinc/90/59/04/487905904.db2.gz VVCFBJVNMJVPQX-ZDUSSCGKSA-N 0 3 233.355 2.860 20 0 BFADHN CC[C@@H](N[C@H](CO)CCF)c1ccc(F)cc1 ZINC000652307109 487907400 /nfs/dbraw/zinc/90/74/00/487907400.db2.gz ODRZXWKYWDHBRX-QWHCGFSZSA-N 0 3 243.297 2.587 20 0 BFADHN C[C@H](NCCC1CC1)c1cn2ccccc2n1 ZINC000671117984 487913952 /nfs/dbraw/zinc/91/39/52/487913952.db2.gz ADIQICFFYXMMGB-NSHDSACASA-N 0 3 229.327 2.785 20 0 BFADHN CC(C)O[C@H]1CCCN(Cc2cccc(O)c2)C1 ZINC000404573706 487927442 /nfs/dbraw/zinc/92/74/42/487927442.db2.gz JMYNFCXEWXWIMA-HNNXBMFYSA-N 0 3 249.354 2.782 20 0 BFADHN C[C@@H](c1ccccc1)N1CCc2cn[nH]c2C1 ZINC000676793665 487931057 /nfs/dbraw/zinc/93/10/57/487931057.db2.gz QBYNYSDTWLNJNM-NSHDSACASA-N 0 3 227.311 2.529 20 0 BFADHN Cc1cccc(CN[C@@H]2COC(C)(C)C2)c1F ZINC000396504783 487937286 /nfs/dbraw/zinc/93/72/86/487937286.db2.gz LRJAIZXFNGERIO-LBPRGKRZSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CS[C@@H](C)C2)s1 ZINC000666207450 487940328 /nfs/dbraw/zinc/94/03/28/487940328.db2.gz KFKFTMMPYKDOII-NRPADANISA-N 0 3 242.413 2.996 20 0 BFADHN CCN(Cc1cnc(OC)c(Cl)c1)C(C)C ZINC000676882726 487941280 /nfs/dbraw/zinc/94/12/80/487941280.db2.gz BSTIRAOGAUUETP-UHFFFAOYSA-N 0 3 242.750 2.974 20 0 BFADHN Cc1noc([C@H](C)N2CC[C@H](C)C[C@@H](C)C2)n1 ZINC000676904566 487945348 /nfs/dbraw/zinc/94/53/48/487945348.db2.gz JVELMHWNYVYDQQ-AXFHLTTASA-N 0 3 237.347 2.807 20 0 BFADHN Cc1ccc(CNC[C@@H](C)c2ccncc2)nc1 ZINC000675553194 487945736 /nfs/dbraw/zinc/94/57/36/487945736.db2.gz SHXUFWMYFJMUMS-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1cnc(C)o1 ZINC000666165852 487947960 /nfs/dbraw/zinc/94/79/60/487947960.db2.gz VYEHRKGHPBIQAQ-SECBINFHSA-N 0 3 210.321 2.897 20 0 BFADHN C[C@H]1SCCN(Cc2ccc(O)cc2)[C@H]1C ZINC000682404686 487948134 /nfs/dbraw/zinc/94/81/34/487948134.db2.gz IBQGKAKCLDIEJW-WDEREUQCSA-N 0 3 237.368 2.718 20 0 BFADHN c1ccc2c(c1)CC[C@H]2N[C@@H]1CO[C@H](C2CC2)C1 ZINC000668567837 487947992 /nfs/dbraw/zinc/94/79/92/487947992.db2.gz LXBAFVGPQWRGDD-IMJJTQAJSA-N 0 3 243.350 2.831 20 0 BFADHN C[C@@H](c1ccncc1)N(C)Cc1ccc(O)cc1 ZINC000682407006 487950431 /nfs/dbraw/zinc/95/04/31/487950431.db2.gz LKDKMWSGQWRBEX-LBPRGKRZSA-N 0 3 242.322 2.980 20 0 BFADHN Cc1cccc(CC[C@H](C)NCc2ncc[nH]2)c1 ZINC000080584792 487956243 /nfs/dbraw/zinc/95/62/43/487956243.db2.gz SROSZZUTEZXEMW-ZDUSSCGKSA-N 0 3 243.354 2.829 20 0 BFADHN CCC1(c2ccccc2)CN(C[C@H](C)COC)C1 ZINC000569566760 322970389 /nfs/dbraw/zinc/97/03/89/322970389.db2.gz CUHHWHMIZYQCOY-AWEZNQCLSA-N 0 3 247.382 2.933 20 0 BFADHN CC[C@@H](N)C(=O)Nc1ccccc1CCC(C)C ZINC000569576711 322971182 /nfs/dbraw/zinc/97/11/82/322971182.db2.gz AWHWJAMMFRRIEA-CYBMUJFWSA-N 0 3 248.370 2.951 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CC[C@H]3C[C@H]3C2)o1 ZINC000403673008 322978124 /nfs/dbraw/zinc/97/81/24/322978124.db2.gz OIQRWUMIGXKTJB-FRRDWIJNSA-N 0 3 234.343 2.817 20 0 BFADHN CCc1cc(CN[C@@H]2CC=C(C)CC2)on1 ZINC000560464060 322987618 /nfs/dbraw/zinc/98/76/18/322987618.db2.gz LKSMLQXTIOWZPQ-GFCCVEGCSA-N 0 3 220.316 2.825 20 0 BFADHN c1cnc(CN[C@@H]2CC[C@H]3CCC[C@@H]3C2)cn1 ZINC000560604208 322990142 /nfs/dbraw/zinc/99/01/42/322990142.db2.gz BSWLMMDRLBEHOM-JHJVBQTASA-N 0 3 231.343 2.535 20 0 BFADHN Cc1cc(OC[C@H]2CCCOC2)c(C)c(C)n1 ZINC000566476913 323000634 /nfs/dbraw/zinc/00/06/34/323000634.db2.gz OYRDCRAJUJXDDI-ZDUSSCGKSA-N 0 3 235.327 2.812 20 0 BFADHN Cc1ccc2nc(CN[C@H]3[C@@H]4CCC[C@@H]43)cn2c1 ZINC000566500617 323003716 /nfs/dbraw/zinc/00/37/16/323003716.db2.gz OPBSQBHGTNTRSD-NHAGDIPZSA-N 0 3 241.338 2.531 20 0 BFADHN CC(C)Cn1ccnc1CNC1CC2(CCC2)C1 ZINC000448058528 323004877 /nfs/dbraw/zinc/00/48/77/323004877.db2.gz PEURTTQPUKTISN-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1CCCNc2ccccc21 ZINC000561959360 323009320 /nfs/dbraw/zinc/00/93/20/323009320.db2.gz BQWZAEVSZVTQDX-QLFBSQMISA-N 0 3 246.354 2.700 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCO[C@@](C)(c2ccccc2)C1 ZINC000562330048 323016310 /nfs/dbraw/zinc/01/63/10/323016310.db2.gz SXYBXQJTLNAICR-IIAWOOMASA-N 0 3 245.366 2.890 20 0 BFADHN Cc1cccc2[nH]c(CNCC3(C)CC3)nc21 ZINC000562328892 323016422 /nfs/dbraw/zinc/01/64/22/323016422.db2.gz VSLDJJKUGJSQLN-UHFFFAOYSA-N 0 3 229.327 2.761 20 0 BFADHN Cc1cccc2nc(CNCC3(C)CC3)[nH]c21 ZINC000562328892 323016423 /nfs/dbraw/zinc/01/64/23/323016423.db2.gz VSLDJJKUGJSQLN-UHFFFAOYSA-N 0 3 229.327 2.761 20 0 BFADHN CCCOc1ccc(CN[C@H]2C[C@H]2OCC)cc1 ZINC000562467983 323020879 /nfs/dbraw/zinc/02/08/79/323020879.db2.gz XVVVGSYSCKPGRC-LSDHHAIUSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ccc(CN2C[C@@H](O)C[C@H]2C)cc1Cl ZINC000562488757 323021764 /nfs/dbraw/zinc/02/17/64/323021764.db2.gz SPWZQKBTFSFIDG-PWSUYJOCSA-N 0 3 239.746 2.604 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1ccc2c(c1)COC2 ZINC000562498612 323022066 /nfs/dbraw/zinc/02/20/66/323022066.db2.gz IAQUNOFORMEFPD-WKPIXPDZSA-N 0 3 247.338 2.545 20 0 BFADHN FC1(CNCCc2ccc3[nH]ccc3c2)CC1 ZINC000562513159 323022175 /nfs/dbraw/zinc/02/21/75/323022175.db2.gz YJDBHPASUOHCPA-UHFFFAOYSA-N 0 3 232.302 2.802 20 0 BFADHN Cc1c(CN(C)C[C@H]2CCCC[C@@H]2C)cnn1C ZINC000562508747 323022236 /nfs/dbraw/zinc/02/22/36/323022236.db2.gz NZPLXQRKZVZJCB-GXTWGEPZSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1c(CN(C)C[C@H]2CCCC[C@H]2C)cnn1C ZINC000562508750 323022285 /nfs/dbraw/zinc/02/22/85/323022285.db2.gz NZPLXQRKZVZJCB-TZMCWYRMSA-N 0 3 249.402 2.987 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1c2cc(F)ccc2C[C@@H]1C ZINC000562603636 323025341 /nfs/dbraw/zinc/02/53/41/323025341.db2.gz YHWWVLXDCNQFLE-JVOAXRGISA-N 0 3 249.329 2.826 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCOc3ccccc32)CO1 ZINC000566973219 323029945 /nfs/dbraw/zinc/02/99/45/323029945.db2.gz QJBFBULRYVUCCY-DYEKYZERSA-N 0 3 247.338 2.667 20 0 BFADHN CC(C)(C)OC1CC(NC2(CF)CCC2)C1 ZINC000570451238 323059947 /nfs/dbraw/zinc/05/99/47/323059947.db2.gz BHSYMKIOFMPTJI-UHFFFAOYSA-N 0 3 229.339 2.814 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCCC1CC1 ZINC000570484174 323060841 /nfs/dbraw/zinc/06/08/41/323060841.db2.gz OUQBUOYILPEPNR-LLVKDONJSA-N 0 3 221.348 2.744 20 0 BFADHN CC[C@@H]1CCCCN1C[C@@H](O)CC(F)(F)F ZINC000249510897 323076300 /nfs/dbraw/zinc/07/63/00/323076300.db2.gz ZWHUKWNFLGFGRX-ZJUUUORDSA-N 0 3 239.281 2.564 20 0 BFADHN CC[C@H](O)CNCc1ccc(C(C)(F)F)cc1 ZINC000563000775 323079108 /nfs/dbraw/zinc/07/91/08/323079108.db2.gz NIECDMYNHORQGU-LBPRGKRZSA-N 0 3 243.297 2.659 20 0 BFADHN COCC1(NCc2ccc(Cl)cc2C)CC1 ZINC000309657263 323082612 /nfs/dbraw/zinc/08/26/12/323082612.db2.gz QHMHQRIECZDIIM-UHFFFAOYSA-N 0 3 239.746 2.917 20 0 BFADHN CC/C=C/CCN1CCO[C@]2(CCSC2)C1 ZINC000563066924 323084267 /nfs/dbraw/zinc/08/42/67/323084267.db2.gz CSNZUWMOKBQYTH-ITDFMYJTSA-N 0 3 241.400 2.551 20 0 BFADHN c1ccc([C@H]2CCCN2C[C@H]2CCCCO2)nc1 ZINC000531201431 323085152 /nfs/dbraw/zinc/08/51/52/323085152.db2.gz OQHAXYJFRDIKCO-UKRRQHHQSA-N 0 3 246.354 2.788 20 0 BFADHN C[C@@H]1CCN(Cc2cncn2C)[C@H]2CCCC[C@@H]12 ZINC000531438112 323089565 /nfs/dbraw/zinc/08/95/65/323089565.db2.gz ASANMECKTVEPRU-SNPRPXQTSA-N 0 3 247.386 2.821 20 0 BFADHN C[C@@H]1CCN(Cc2cncn2C)[C@H]2CCCC[C@H]12 ZINC000531438114 323089600 /nfs/dbraw/zinc/08/96/00/323089600.db2.gz ASANMECKTVEPRU-YUELXQCFSA-N 0 3 247.386 2.821 20 0 BFADHN COCCCCN1CCC[C@H]1c1ccccn1 ZINC000531440416 323089835 /nfs/dbraw/zinc/08/98/35/323089835.db2.gz QPDIYOHGUDZNND-AWEZNQCLSA-N 0 3 234.343 2.645 20 0 BFADHN c1c2ccccc2oc1CNCC1=CCOCC1 ZINC000397747953 323091681 /nfs/dbraw/zinc/09/16/81/323091681.db2.gz OBUAHJQLWYEJRG-UHFFFAOYSA-N 0 3 243.306 2.869 20 0 BFADHN COC1(CCNCc2ncc(C)s2)CCC1 ZINC000394739800 323096864 /nfs/dbraw/zinc/09/68/64/323096864.db2.gz ZNFMNTKHUWZANT-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN CCCN(Cc1cnn(CC)c1)C(C)C ZINC000563216051 323103108 /nfs/dbraw/zinc/10/31/08/323103108.db2.gz FPDLCVXSXFBTCQ-UHFFFAOYSA-N 0 3 209.337 2.523 20 0 BFADHN CCCN(C)Cc1cc2c(cc1OC)C[C@@H](C)O2 ZINC000534333662 323116163 /nfs/dbraw/zinc/11/61/63/323116163.db2.gz KZWQJIPPTFBFSY-LLVKDONJSA-N 0 3 249.354 2.860 20 0 BFADHN c1cc(CN2C3CCC2CC3)cc2c1OCC2 ZINC000534331592 323117044 /nfs/dbraw/zinc/11/70/44/323117044.db2.gz HYEBWLOYIOWWCJ-UHFFFAOYSA-N 0 3 229.323 2.748 20 0 BFADHN Cc1ncccc1CN1CCC(OC(C)C)CC1 ZINC000534343163 323117357 /nfs/dbraw/zinc/11/73/57/323117357.db2.gz LMCOXUGJIZYZHP-UHFFFAOYSA-N 0 3 248.370 2.779 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](CC2CC2)C(C)C)n1 ZINC000534337205 323117846 /nfs/dbraw/zinc/11/78/46/323117846.db2.gz KFXVFDCWBMJJLY-UHFFFAOYSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](CC2CC2)C(C)C)n1 ZINC000534337205 323117847 /nfs/dbraw/zinc/11/78/47/323117847.db2.gz KFXVFDCWBMJJLY-UHFFFAOYSA-N 0 3 234.343 2.716 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](F)C1)c1cn2ccccc2n1 ZINC000563419092 323123763 /nfs/dbraw/zinc/12/37/63/323123763.db2.gz RXTJYAPHBXRICQ-TUAOUCFPSA-N 0 3 247.317 2.876 20 0 BFADHN Cc1nc(CN[C@H]2CCC[C@@H](C)C2)[nH]c1C ZINC000563442196 323126708 /nfs/dbraw/zinc/12/67/08/323126708.db2.gz YKBCPKSMURLGLY-SKDRFNHKSA-N 0 3 221.348 2.695 20 0 BFADHN CC[C@@H](CNCc1cnccn1)c1ccccc1 ZINC000567448245 323132670 /nfs/dbraw/zinc/13/26/70/323132670.db2.gz QXWHBFLFLIQBIQ-ZDUSSCGKSA-N 0 3 241.338 2.760 20 0 BFADHN Cc1nsc(C)c1CN1CC[C@H](C)[C@@H]1C ZINC000571294872 323140572 /nfs/dbraw/zinc/14/05/72/323140572.db2.gz HSCMDZPCFNMUSQ-WPRPVWTQSA-N 0 3 224.373 2.990 20 0 BFADHN CCc1cnc(CN2[C@H](C)CCC2(C)C)o1 ZINC000571494216 323145982 /nfs/dbraw/zinc/14/59/82/323145982.db2.gz WXJCRILZEZXBHT-SNVBAGLBSA-N 0 3 222.332 3.000 20 0 BFADHN CC[N@H+](Cc1ccccc1)Cc1ncccc1[O-] ZINC000571565009 323147733 /nfs/dbraw/zinc/14/77/33/323147733.db2.gz CBUHXDLMWFICQL-UHFFFAOYSA-N 0 3 242.322 2.809 20 0 BFADHN CC[N@@H+](Cc1ccccc1)Cc1ncccc1[O-] ZINC000571565009 323147734 /nfs/dbraw/zinc/14/77/34/323147734.db2.gz CBUHXDLMWFICQL-UHFFFAOYSA-N 0 3 242.322 2.809 20 0 BFADHN CCN(CCn1cccn1)Cc1cccc(F)c1 ZINC000049983000 323165729 /nfs/dbraw/zinc/16/57/29/323165729.db2.gz YRUQQMZNXMNACI-UHFFFAOYSA-N 0 3 247.317 2.544 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccncc1)c1ccoc1 ZINC000531993222 323166139 /nfs/dbraw/zinc/16/61/39/323166139.db2.gz IAKFUPTZAARKJE-NWDGAFQWSA-N 0 3 230.311 2.956 20 0 BFADHN COCCN[C@H](C)c1ccc(OC)c(Cl)c1 ZINC000112515211 323170816 /nfs/dbraw/zinc/17/08/16/323170816.db2.gz FBUPISWCMHFNJE-SECBINFHSA-N 0 3 243.734 2.646 20 0 BFADHN COCCN[C@@H](C)c1ccc(OC)c(Cl)c1 ZINC000112515207 323170836 /nfs/dbraw/zinc/17/08/36/323170836.db2.gz FBUPISWCMHFNJE-VIFPVBQESA-N 0 3 243.734 2.646 20 0 BFADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1ccn(C)n1 ZINC000112675677 323171427 /nfs/dbraw/zinc/17/14/27/323171427.db2.gz RJVCSSGRUNNLIS-KBPBESRZSA-N 0 3 235.375 2.725 20 0 BFADHN FC1(CN[C@@H]2CCCC(F)(F)C2)CC1 ZINC000306320867 323172808 /nfs/dbraw/zinc/17/28/08/323172808.db2.gz WNGJFPPXEPJFQK-MRVPVSSYSA-N 0 3 207.239 2.656 20 0 BFADHN CC(C)n1cncc1CN1C[C@@H](C)[C@@H](C)[C@H]1C ZINC000425371085 323173882 /nfs/dbraw/zinc/17/38/82/323173882.db2.gz PNDYXKVGMRKXBB-JHJVBQTASA-N 0 3 235.375 2.940 20 0 BFADHN FC1(CNCCc2ccccc2Cl)CC1 ZINC000308881603 323180633 /nfs/dbraw/zinc/18/06/33/323180633.db2.gz QMKRKAASPNXBTM-UHFFFAOYSA-N 0 3 227.710 2.974 20 0 BFADHN Cc1cccc(CN[C@@]2(C)CCCOC2)c1F ZINC000395103930 323187276 /nfs/dbraw/zinc/18/72/76/323187276.db2.gz OAUNNUKJZWFPRP-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN CCCCCN1CCOCC12CCCC2 ZINC000535678137 323188501 /nfs/dbraw/zinc/18/85/01/323188501.db2.gz YPVPQDCYLSSKNH-UHFFFAOYSA-N 0 3 211.349 2.822 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CSc3ccccc32)[C@H](C)O1 ZINC000395137670 323188721 /nfs/dbraw/zinc/18/87/21/323188721.db2.gz HQOFGZWYALTEPX-RSLMWUCJSA-N 0 3 249.379 2.989 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CSc3ccccc32)[C@@H](C)O1 ZINC000395137698 323188762 /nfs/dbraw/zinc/18/87/62/323188762.db2.gz HQOFGZWYALTEPX-VCDKRKBESA-N 0 3 249.379 2.989 20 0 BFADHN C[C@@H]1CCN(CCn2ccnc2)[C@@H]2CCCC[C@H]12 ZINC000535745384 323190271 /nfs/dbraw/zinc/19/02/71/323190271.db2.gz NJCKZAPBCUTMIC-RBSFLKMASA-N 0 3 247.386 2.784 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2ccccn2)o1 ZINC000535754194 323190440 /nfs/dbraw/zinc/19/04/40/323190440.db2.gz NTXJFVZPTKEZQD-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1cccn2c(CN3C4CCC3CC4)cnc12 ZINC000535782831 323191305 /nfs/dbraw/zinc/19/13/05/323191305.db2.gz XMVGWTUAQJRORY-UHFFFAOYSA-N 0 3 241.338 2.770 20 0 BFADHN CO[C@H](C)CNCc1ccc(C(F)F)cc1 ZINC000223955356 323194656 /nfs/dbraw/zinc/19/46/56/323194656.db2.gz HALNPQMMHKSBSP-SECBINFHSA-N 0 3 229.270 2.749 20 0 BFADHN COc1cc(C)nc(CN2C3CCC2CC3)c1 ZINC000536038537 323195310 /nfs/dbraw/zinc/19/53/10/323195310.db2.gz DYUPDQJOJSYRIK-UHFFFAOYSA-N 0 3 232.327 2.525 20 0 BFADHN CCC[C@@H]1CN(CCC(C)(C)C)CCO1 ZINC000536179333 323196357 /nfs/dbraw/zinc/19/63/57/323196357.db2.gz IAHVEYPEMCIWHC-GFCCVEGCSA-N 0 3 213.365 2.924 20 0 BFADHN c1cc2c(c(CN3C4CCC3CC4)c1)OCO2 ZINC000536633250 323198966 /nfs/dbraw/zinc/19/89/66/323198966.db2.gz LDAYAOVTPQTGEW-UHFFFAOYSA-N 0 3 231.295 2.542 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cccc3c2OCCO3)C1 ZINC000536661654 323199835 /nfs/dbraw/zinc/19/98/35/323199835.db2.gz AYYZNAAXIUSLFO-NEPJUHHUSA-N 0 3 247.338 2.688 20 0 BFADHN Cc1cccnc1CN1C2CCC1CC2 ZINC000536755538 323204561 /nfs/dbraw/zinc/20/45/61/323204561.db2.gz HMIBQBWPIWKMTB-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN COCC1(N[C@@H](C)c2ccc(C)s2)CC1 ZINC000309041098 323205699 /nfs/dbraw/zinc/20/56/99/323205699.db2.gz LHWFQPFWSXWGOE-JTQLQIEISA-N 0 3 225.357 2.886 20 0 BFADHN CC[C@H](COC)NCc1cc(C)sc1C ZINC000070657920 323215632 /nfs/dbraw/zinc/21/56/32/323215632.db2.gz UWDRQFFUWCNFNI-GFCCVEGCSA-N 0 3 227.373 2.880 20 0 BFADHN CN(CCC(=O)OC(C)(C)C)Cc1ccccc1 ZINC000042121773 323242578 /nfs/dbraw/zinc/24/25/78/323242578.db2.gz BQYFORSGNZYYHT-UHFFFAOYSA-N 0 3 249.354 2.850 20 0 BFADHN COc1ccc(OC)c(CNC2(C3CC3)CC2)c1 ZINC000532373385 323255990 /nfs/dbraw/zinc/25/59/90/323255990.db2.gz BQVDRAGNOXVYHR-UHFFFAOYSA-N 0 3 247.338 2.736 20 0 BFADHN C[C@H](NC[C@H]1CCCC[C@@H]1C)c1ccncn1 ZINC000395917167 323263758 /nfs/dbraw/zinc/26/37/58/323263758.db2.gz RQHFLHFYVGBIJG-RWMBFGLXSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]1C)c1ccncn1 ZINC000395913598 323265617 /nfs/dbraw/zinc/26/56/17/323265617.db2.gz QHVICICXMHDXCA-WOPDTQHZSA-N 0 3 219.332 2.563 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](C)[C@H](C)C1)c1ccncn1 ZINC000395929119 323266039 /nfs/dbraw/zinc/26/60/39/323266039.db2.gz WZSCZCKNCALKKF-QNWHQSFQSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1ccncn1 ZINC000395922490 323267583 /nfs/dbraw/zinc/26/75/83/323267583.db2.gz UJNYCPRQAUPMNC-NDBYEHHHSA-N 0 3 233.359 2.952 20 0 BFADHN Cc1ccccc1CCN[C@@H](C)c1ccncn1 ZINC000395924410 323267686 /nfs/dbraw/zinc/26/76/86/323267686.db2.gz PHVJQGJBSJIRCK-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN COc1cccc(CN(C)[C@@H](C)C(C)(C)C)n1 ZINC000532490269 323269239 /nfs/dbraw/zinc/26/92/39/323269239.db2.gz OPKINJRBJVJXAG-NSHDSACASA-N 0 3 236.359 2.957 20 0 BFADHN C[C@H](NCCc1ccc(F)cc1)c1ccncn1 ZINC000396013689 323282526 /nfs/dbraw/zinc/28/25/26/323282526.db2.gz IXIUQNZFXWFQEL-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN CSCCCCCN[C@@H](C)c1ccncn1 ZINC000396026696 323283586 /nfs/dbraw/zinc/28/35/86/323283586.db2.gz LZUDBDJMAZWKBX-NSHDSACASA-N 0 3 239.388 2.661 20 0 BFADHN CC(C)C[C@H]1CCCN(Cc2ccno2)C1 ZINC000532640951 323285620 /nfs/dbraw/zinc/28/56/20/323285620.db2.gz FTDYNJLJWFWZHZ-GFCCVEGCSA-N 0 3 222.332 2.933 20 0 BFADHN CC[C@@H](C)N(C)Cc1cn2ccccc2n1 ZINC000104686154 323285799 /nfs/dbraw/zinc/28/57/99/323285799.db2.gz LTKIMXPNZNMDSP-LLVKDONJSA-N 0 3 217.316 2.565 20 0 BFADHN C[C@H](c1cccnc1)N1C2CCC1CC2 ZINC000532916320 323390306 /nfs/dbraw/zinc/39/03/06/323390306.db2.gz BMNGPIFGHWVAIA-QFWMXSHPSA-N 0 3 202.301 2.769 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](CC)c1ccccc1 ZINC000309657930 323443937 /nfs/dbraw/zinc/44/39/37/323443937.db2.gz QKNVJMDACHXZPC-HZSPNIEDSA-N 0 3 219.328 2.905 20 0 BFADHN CCc1noc(C)c1CN1CCCCC1 ZINC000161945792 323573253 /nfs/dbraw/zinc/57/32/53/323573253.db2.gz FPOIXJKXMGVYPA-UHFFFAOYSA-N 0 3 208.305 2.531 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cnc2ccccn12 ZINC000162024645 323586940 /nfs/dbraw/zinc/58/69/40/323586940.db2.gz KCSGDEBWNIIOQR-VXGBXAGGSA-N 0 3 229.327 2.707 20 0 BFADHN CC(C)CC[C@H](O)CN1C[C@H](C)S[C@@H](C)C1 ZINC000572429654 323627206 /nfs/dbraw/zinc/62/72/06/323627206.db2.gz DDRHVAGDCQHJLS-AVGNSLFASA-N 0 3 245.432 2.609 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1ccc2[nH]ccc2c1 ZINC000527782198 323688936 /nfs/dbraw/zinc/68/89/36/323688936.db2.gz BSJRHPJTOGESKL-CABCVRRESA-N 0 3 244.338 2.825 20 0 BFADHN c1ncc(CNCC[C@H]2CC=CCC2)s1 ZINC000527787883 323694074 /nfs/dbraw/zinc/69/40/74/323694074.db2.gz IXMSBLBVCKGVBK-NSHDSACASA-N 0 3 222.357 2.979 20 0 BFADHN C[C@@H](NCc1cnc(C2CC2)o1)[C@H]1CC12CC2 ZINC000527907207 323716677 /nfs/dbraw/zinc/71/66/77/323716677.db2.gz UKXUGVBTGKIKJC-BXKDBHETSA-N 0 3 232.327 2.830 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)[C@@H]1C)c1ncccc1F ZINC000528037182 323744867 /nfs/dbraw/zinc/74/48/67/323744867.db2.gz DTRGZVAEAIFFNQ-FYLLDIAZSA-N 0 3 222.307 2.916 20 0 BFADHN CCCOc1ccc(CN[C@]2(C)CCOC2)cc1 ZINC000120147344 323979733 /nfs/dbraw/zinc/97/97/33/323979733.db2.gz PMKYJIJMVDNDTF-OAHLLOKOSA-N 0 3 249.354 2.744 20 0 BFADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1ccon1 ZINC000122094566 324027271 /nfs/dbraw/zinc/02/72/71/324027271.db2.gz AODNGICAMNETGT-STQMWFEESA-N 0 3 222.332 2.979 20 0 BFADHN CCCNC(=O)CN(CCC)C[C@@H](C)CCC ZINC000537205633 324036053 /nfs/dbraw/zinc/03/60/53/324036053.db2.gz JDPQWBFTJOMJHI-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN CC[C@]1(C)C[C@H]1C(=O)Nc1ccccc1CNC ZINC000122658472 324052666 /nfs/dbraw/zinc/05/26/66/324052666.db2.gz KVSOGKSNSMIRGT-SWLSCSKDSA-N 0 3 246.354 2.781 20 0 BFADHN COCCCCCN[C@H](C)c1ccoc1 ZINC000122791077 324058289 /nfs/dbraw/zinc/05/82/89/324058289.db2.gz OTHRDSZAJSBLBW-LLVKDONJSA-N 0 3 211.305 2.747 20 0 BFADHN Cc1ncc([C@H](C)NC2(C3CC3)CC2)c(C)n1 ZINC000537448460 324072424 /nfs/dbraw/zinc/07/24/24/324072424.db2.gz AARSRXJYUNTAFJ-JTQLQIEISA-N 0 3 231.343 2.687 20 0 BFADHN COCC1(N[C@@H]2CCCc3cc(F)ccc32)CC1 ZINC000537449221 324072574 /nfs/dbraw/zinc/07/25/74/324072574.db2.gz GXEYXVVSNSKYMR-CQSZACIVSA-N 0 3 249.329 2.972 20 0 BFADHN COCc1cccc(CN2CCC2(C)C)c1 ZINC000537632993 324090225 /nfs/dbraw/zinc/09/02/25/324090225.db2.gz CFQPVQXAVJPVCH-UHFFFAOYSA-N 0 3 219.328 2.817 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCC[C@H](C)C2)nn1C ZINC000487404110 324153938 /nfs/dbraw/zinc/15/39/38/324153938.db2.gz HIRCMIVWMYHAPI-FZMZJTMJSA-N 0 3 235.375 2.739 20 0 BFADHN CCCC[C@@H](CC)CNC(=O)CN(C)C(C)C ZINC000152576179 324188279 /nfs/dbraw/zinc/18/82/79/324188279.db2.gz BCADOSMTDCQKMB-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@H](Nc1cccc(OCCN(C)C)c1)C1CC1 ZINC000037068980 324243563 /nfs/dbraw/zinc/24/35/63/324243563.db2.gz BAGBGKIMUWNOJC-LBPRGKRZSA-N 0 3 248.370 2.837 20 0 BFADHN CN(Cc1ccc2cc[nH]c2c1)C[C@@H]1CCCO1 ZINC000529520829 324322516 /nfs/dbraw/zinc/32/25/16/324322516.db2.gz NFLLTRCCJYFWMM-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN Fc1ccc(CN[C@H]2CC23CCCC3)nc1 ZINC000529554531 324340514 /nfs/dbraw/zinc/34/05/14/324340514.db2.gz MNQHRFCREXDQFN-LBPRGKRZSA-N 0 3 220.291 2.643 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2ccc(F)cn2)C1 ZINC000529560106 324342704 /nfs/dbraw/zinc/34/27/04/324342704.db2.gz YJAPIYLCKHMJLB-MNOVXSKESA-N 0 3 222.307 2.747 20 0 BFADHN Fc1ccc(CNCCC2(F)CCC2)nc1 ZINC000529568509 324345501 /nfs/dbraw/zinc/34/55/01/324345501.db2.gz ABDUMWVZEWRHGM-UHFFFAOYSA-N 0 3 226.270 2.593 20 0 BFADHN C[C@H](N[C@@H](C)C1CC(F)(F)C1)c1ccn(C)n1 ZINC000529605102 324361881 /nfs/dbraw/zinc/36/18/81/324361881.db2.gz OXZAQZVUDSGIFL-IUCAKERBSA-N 0 3 243.301 2.505 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CC[C@H]3C[C@H]3C2)o1 ZINC000529612704 324365005 /nfs/dbraw/zinc/36/50/05/324365005.db2.gz CWCCEGZZNVSWEE-SDDRHHMPSA-N 0 3 220.316 2.570 20 0 BFADHN COC1CC(N[C@H](c2ccccn2)C2CCC2)C1 ZINC000529643937 324370614 /nfs/dbraw/zinc/37/06/14/324370614.db2.gz LJHYZKLTEYMUPV-PIMMBPRGSA-N 0 3 246.354 2.690 20 0 BFADHN CCC(CC)CN[C@H]1Cc2ccccc2NC1=O ZINC000529669908 324376078 /nfs/dbraw/zinc/37/60/78/324376078.db2.gz WSZVRQGSCVCBIK-AWEZNQCLSA-N 0 3 246.354 2.576 20 0 BFADHN Cc1nccnc1[C@H](C)NCC(C1CC1)C1CC1 ZINC000219170646 324597516 /nfs/dbraw/zinc/59/75/16/324597516.db2.gz LIZYQLIEOPKSEZ-NSHDSACASA-N 0 3 245.370 2.872 20 0 BFADHN Cc1ccc(CNCCc2ccc(F)cc2)nc1 ZINC000126926364 324732680 /nfs/dbraw/zinc/73/26/80/324732680.db2.gz GFJRAXSGJOAMNI-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN Cc1cccc2c1CC[C@H]2NCC1=CCCOC1 ZINC000127100960 324745744 /nfs/dbraw/zinc/74/57/44/324745744.db2.gz QBCNJFDFOUTZDL-MRXNPFEDSA-N 0 3 243.350 2.919 20 0 BFADHN Cc1ccc(CN2C[C@H](C)C[C@@H](C)C2)nc1 ZINC000127693696 324782721 /nfs/dbraw/zinc/78/27/21/324782721.db2.gz QUKYCHKQHCLRSH-CHWSQXEVSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1cc(C)n(CCCN[C@@H](C)c2ccoc2)n1 ZINC000128441704 324839423 /nfs/dbraw/zinc/83/94/23/324839423.db2.gz XJLBXFAFJMMCSA-ZDUSSCGKSA-N 0 3 247.342 2.834 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCOC(C)(C)C ZINC000539625746 324846858 /nfs/dbraw/zinc/84/68/58/324846858.db2.gz UQIDYRMIXNESTJ-GFCCVEGCSA-N 0 3 236.359 2.856 20 0 BFADHN Cc1cc(CNCCOCC2CC2)c(C)s1 ZINC000230196306 325009875 /nfs/dbraw/zinc/00/98/75/325009875.db2.gz COSCZXCZXTVFKO-UHFFFAOYSA-N 0 3 239.384 2.881 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@@H](C)c1cnccn1 ZINC000070479473 325012686 /nfs/dbraw/zinc/01/26/86/325012686.db2.gz DPDDGBCTLVXKIC-MNOVXSKESA-N 0 3 247.367 2.820 20 0 BFADHN Cc1cccc(CN[C@@H]2CC[C@@H](C)C2)n1 ZINC000070643940 325020406 /nfs/dbraw/zinc/02/04/06/325020406.db2.gz YNPQNMXWBJRSSM-ZYHUDNBSSA-N 0 3 204.317 2.668 20 0 BFADHN Cc1ncc(C[NH2+][C@@H]2CCCCC2(C)C)c(=O)[n-]1 ZINC000542498851 325031350 /nfs/dbraw/zinc/03/13/50/325031350.db2.gz LABPAJNNXPXPRL-GFCCVEGCSA-N 0 3 249.358 2.549 20 0 BFADHN COC(=O)c1ccc([C@H](C)NC2(C3CC3)CC2)o1 ZINC000542525929 325033832 /nfs/dbraw/zinc/03/38/32/325033832.db2.gz NQKFSLVTYCFWHL-VIFPVBQESA-N 0 3 249.310 2.659 20 0 BFADHN CC(C)CC[C@@H]1CCCN1Cc1ccnn1C ZINC000130307261 325037109 /nfs/dbraw/zinc/03/71/09/325037109.db2.gz IASPOEGAJLLUOU-ZDUSSCGKSA-N 0 3 235.375 2.821 20 0 BFADHN CN(Cc1ccc2c(c1)CCO2)[C@H]1CCSC1 ZINC000130572971 325067974 /nfs/dbraw/zinc/06/79/74/325067974.db2.gz CLQAKNNVNGHRDG-ZDUSSCGKSA-N 0 3 249.379 2.559 20 0 BFADHN COc1ccc(CNCCCC2CC2)c(OC)c1 ZINC000130635279 325075590 /nfs/dbraw/zinc/07/55/90/325075590.db2.gz PIPXIOUZLGWVAG-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN c1nc2cc(CN3CCCSCC3)ccc2[nH]1 ZINC000543620700 325098737 /nfs/dbraw/zinc/09/87/37/325098737.db2.gz IJBLXMRUMDWZEC-UHFFFAOYSA-N 0 3 247.367 2.502 20 0 BFADHN c1nc2ccc(CN3CCCSCC3)cc2[nH]1 ZINC000543620700 325098738 /nfs/dbraw/zinc/09/87/38/325098738.db2.gz IJBLXMRUMDWZEC-UHFFFAOYSA-N 0 3 247.367 2.502 20 0 BFADHN CCc1ccc([C@@H](CO)N[C@H]2C=CCCC2)cc1 ZINC000543809657 325115268 /nfs/dbraw/zinc/11/52/68/325115268.db2.gz JBHDOTUGDDIQSC-JKSUJKDBSA-N 0 3 245.366 2.981 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N(CC)CC(C)C ZINC000543856251 325117851 /nfs/dbraw/zinc/11/78/51/325117851.db2.gz FDCSOUCAHJPJHL-CYBMUJFWSA-N 0 3 243.391 2.942 20 0 BFADHN Cc1ccc(CN2CCN(C(C)C)CC2)s1 ZINC000131134448 325120975 /nfs/dbraw/zinc/12/09/75/325120975.db2.gz GXKUEHXFOVBDOH-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN C[C@@H](N[C@H](CO)CC(C)(C)C)c1ccoc1 ZINC000131135422 325121040 /nfs/dbraw/zinc/12/10/40/325121040.db2.gz SPFVIWKLSJQBJI-PWSUYJOCSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccoc1)[C@H]1CCCO1 ZINC000131509376 325157756 /nfs/dbraw/zinc/15/77/56/325157756.db2.gz QIOCKHUNKOOJQY-WCFLWFBJSA-N 0 3 223.316 2.888 20 0 BFADHN CCCOc1cccc(CN[C@H]2C[C@H]2OCC)c1 ZINC000564283401 325171740 /nfs/dbraw/zinc/17/17/40/325171740.db2.gz GKEJNAKTAFNLAD-LSDHHAIUSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@H](O)[C@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC000545241580 325200431 /nfs/dbraw/zinc/20/04/31/325200431.db2.gz CWIGGPGBZRDYPQ-PCKHISNJSA-N 0 3 245.366 2.793 20 0 BFADHN CN(Cc1cc(F)cc2cccnc21)C1CC1 ZINC000073617390 325206475 /nfs/dbraw/zinc/20/64/75/325206475.db2.gz FZTHSBPACVDNQX-UHFFFAOYSA-N 0 3 230.286 2.968 20 0 BFADHN CC1=CCN(CCN[C@H](C)c2ccoc2)CC1 ZINC000545865065 325241187 /nfs/dbraw/zinc/24/11/87/325241187.db2.gz AVBKCMPMPBVGHF-CYBMUJFWSA-N 0 3 234.343 2.582 20 0 BFADHN Cc1ccc(CC[NH2+]Cc2cc([O-])cc(F)c2)o1 ZINC000546022396 325259094 /nfs/dbraw/zinc/25/90/94/325259094.db2.gz MFENBPVQJUAPJG-UHFFFAOYSA-N 0 3 249.285 2.765 20 0 BFADHN c1coc(CCNCc2cnc(C3CC3)s2)c1 ZINC000133577879 325301625 /nfs/dbraw/zinc/30/16/25/325301625.db2.gz NZMSYJNZCYFCOA-UHFFFAOYSA-N 0 3 248.351 2.946 20 0 BFADHN CCN1CCN([C@@H](C)c2cccc(C)c2)CC1 ZINC000075616012 325303953 /nfs/dbraw/zinc/30/39/53/325303953.db2.gz TVFLJWJYSZDMQK-AWEZNQCLSA-N 0 3 232.371 2.694 20 0 BFADHN COC[C@H](N[C@@H](C)c1ccc(F)cn1)C(C)C ZINC000134068295 325340872 /nfs/dbraw/zinc/34/08/72/325340872.db2.gz UZKVMXNOAMWXPI-GWCFXTLKSA-N 0 3 240.322 2.542 20 0 BFADHN CC/C=C/CCN[C@H](CO)c1ccccc1OC ZINC000547346684 325345035 /nfs/dbraw/zinc/34/50/35/325345035.db2.gz ZTFIFJGHEIVMKF-ISZGNANSSA-N 0 3 249.354 2.675 20 0 BFADHN CC/C=C\CCN[C@@H](CO)c1ccccc1OC ZINC000547346686 325345443 /nfs/dbraw/zinc/34/54/43/325345443.db2.gz ZTFIFJGHEIVMKF-WSNITJDQSA-N 0 3 249.354 2.675 20 0 BFADHN Cn1c2ccccc2nc1CNCCCC1CC1 ZINC000076767941 325355174 /nfs/dbraw/zinc/35/51/74/325355174.db2.gz SLUIKRNNHQHRBH-UHFFFAOYSA-N 0 3 243.354 2.853 20 0 BFADHN Cc1ccc(C)c([C@@H](C)N[C@@H]2C=C[C@H](CO)C2)c1 ZINC000134645331 325370024 /nfs/dbraw/zinc/37/00/24/325370024.db2.gz YBUIHQUCEPVMGR-QLFBSQMISA-N 0 3 245.366 2.891 20 0 BFADHN CCc1ccc(CN(C)C[C@@H]2CCCO2)s1 ZINC000547531451 325370365 /nfs/dbraw/zinc/37/03/65/325370365.db2.gz HGYJCUJNPJTKLC-NSHDSACASA-N 0 3 239.384 2.921 20 0 BFADHN Cc1nnc(CNC2CCCCCC2)s1 ZINC000134663495 325370843 /nfs/dbraw/zinc/37/08/43/325370843.db2.gz NGIYZYGYTKCKDS-UHFFFAOYSA-N 0 3 225.361 2.659 20 0 BFADHN COc1ccc(C)cc1CN1[C@@H](C)C[C@@H]1C ZINC000547768488 325391709 /nfs/dbraw/zinc/39/17/09/325391709.db2.gz QUEJBYAWENTSFI-RYUDHWBXSA-N 0 3 219.328 2.986 20 0 BFADHN C[C@@H]1C[C@H](C)N1CCCC(=O)OC(C)(C)C ZINC000547769060 325394327 /nfs/dbraw/zinc/39/43/27/325394327.db2.gz JRYOZNNAWDVQMI-PHIMTYICSA-N 0 3 227.348 2.591 20 0 BFADHN CCCc1ccc([C@@H](C)N[C@@H](C)CC(N)=O)cc1 ZINC000135727024 325424654 /nfs/dbraw/zinc/42/46/54/325424654.db2.gz QQFNNWQROCOCGY-NWDGAFQWSA-N 0 3 248.370 2.554 20 0 BFADHN CCC(C)(C)C(=O)N[C@@H](CC(C)C)CN(C)C ZINC000137105809 325479778 /nfs/dbraw/zinc/47/97/78/325479778.db2.gz INSXDBGQYOPDMK-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN COC[C@H](N[C@@H](C)c1ccco1)C(C)C ZINC000083398182 325567742 /nfs/dbraw/zinc/56/77/42/325567742.db2.gz JIYZTWDYZGKIEN-QWRGUYRKSA-N 0 3 211.305 2.601 20 0 BFADHN CCc1ccc(CNCCc2ccc(C)o2)cn1 ZINC000552162222 325602772 /nfs/dbraw/zinc/60/27/72/325602772.db2.gz YUHAPDWIONUQKG-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN Cc1cccn2c(CN3CCCCC3)cnc12 ZINC000092107241 325698062 /nfs/dbraw/zinc/69/80/62/325698062.db2.gz WZRZOPFANUWUQF-UHFFFAOYSA-N 0 3 229.327 2.629 20 0 BFADHN C[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccoc1 ZINC000556224796 325785255 /nfs/dbraw/zinc/78/52/55/325785255.db2.gz PRLOSRUCTMVJDA-UWVGGRQHSA-N 0 3 232.283 2.792 20 0 BFADHN CC[C@](C)(CN)c1nc(-c2ccc(F)cc2)no1 ZINC000211489514 487970711 /nfs/dbraw/zinc/97/07/11/487970711.db2.gz BLLWXGXYGSYZJE-CYBMUJFWSA-N 0 3 249.289 2.502 20 0 BFADHN CC(C)(C)n1cc(CN[C@@H]2[C@@H]3CCC[C@@H]32)cn1 ZINC000565242838 325885610 /nfs/dbraw/zinc/88/56/10/325885610.db2.gz IUYWBJKXOZRWKH-CLLJXQQHSA-N 0 3 233.359 2.526 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc3c(c2)CCCC3)CO1 ZINC000566068001 325989789 /nfs/dbraw/zinc/98/97/89/325989789.db2.gz MNGLWMFFKUWHFL-BLLLJJGKSA-N 0 3 245.366 2.832 20 0 BFADHN c1cc(CN[C@H]2CCCC[C@@H]2C2CC2)on1 ZINC000566241823 326021630 /nfs/dbraw/zinc/02/16/30/326021630.db2.gz AYDAXQAURLIVSU-OLZOCXBDSA-N 0 3 220.316 2.733 20 0 BFADHN c1cc(CN[C@H]2CCCC[C@H]2C2CC2)on1 ZINC000566241825 326021793 /nfs/dbraw/zinc/02/17/93/326021793.db2.gz AYDAXQAURLIVSU-STQMWFEESA-N 0 3 220.316 2.733 20 0 BFADHN Cc1nnsc1CN1CCC[C@H](C(C)C)C1 ZINC000566300253 326030798 /nfs/dbraw/zinc/03/07/98/326030798.db2.gz FUFYFYNHNOIBDR-NSHDSACASA-N 0 3 239.388 2.715 20 0 BFADHN Cc1nsc(C)c1CN(C)CC1CC1 ZINC000566416376 326042785 /nfs/dbraw/zinc/04/27/85/326042785.db2.gz HFQSUVCCAARNDK-UHFFFAOYSA-N 0 3 210.346 2.602 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)[nH]c1C ZINC000566749468 326080423 /nfs/dbraw/zinc/08/04/23/326080423.db2.gz SRIRTPFOAUAHKY-GIPNMCIBSA-N 0 3 235.375 2.941 20 0 BFADHN Cc1cnn(C)c1CN1CCC[C@@H](C(C)C)CC1 ZINC000566764266 326080882 /nfs/dbraw/zinc/08/08/82/326080882.db2.gz GRWLRHNTNYWCOO-CQSZACIVSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1cnccc1CCN(C)Cc1ccco1 ZINC000566793726 326083286 /nfs/dbraw/zinc/08/32/86/326083286.db2.gz CBQUXSOTNGWYRO-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN CCCN(CCC)C(=O)CN(CC)C1CCC1 ZINC000566942399 326095700 /nfs/dbraw/zinc/09/57/00/326095700.db2.gz GDNJTNNPHYWKCD-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CCN(CC(C)C)[C@@H](C)C(=O)NCCC(C)C ZINC000153712056 326109485 /nfs/dbraw/zinc/10/94/85/326109485.db2.gz MHIVKUVXVPXAAQ-ZDUSSCGKSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@@H](NC1(C2CC2)CC1)c1ccc(F)cn1 ZINC000309560590 326159172 /nfs/dbraw/zinc/15/91/72/326159172.db2.gz KXPRGVMYXOMHNF-SECBINFHSA-N 0 3 220.291 2.814 20 0 BFADHN CC(C)c1nc(CCNCC2(F)CC2)cs1 ZINC000309583134 326162058 /nfs/dbraw/zinc/16/20/58/326162058.db2.gz QZOCGXMUWIQLRH-UHFFFAOYSA-N 0 3 242.363 2.901 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1cc(C)cc(C)c1 ZINC000309837224 326171117 /nfs/dbraw/zinc/17/11/17/326171117.db2.gz BNTVTMHHBUKZKT-UONOGXRCSA-N 0 3 219.328 2.570 20 0 BFADHN CCC[C@@H]1[C@H](C)CCCN1Cc1cnccn1 ZINC000567448302 326193855 /nfs/dbraw/zinc/19/38/55/326193855.db2.gz XSSYMCIWGRKEEV-TZMCWYRMSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1cncc([C@H](C)NCCOCC2CCC2)c1 ZINC000567535680 326212264 /nfs/dbraw/zinc/21/22/64/326212264.db2.gz BIYSPBUPJKOSGX-ZDUSSCGKSA-N 0 3 248.370 2.857 20 0 BFADHN c1nc2cc(CN3CC[C@@H]3C3CC3)ccc2[nH]1 ZINC000567571079 326219924 /nfs/dbraw/zinc/21/99/24/326219924.db2.gz MMQLPQNGJAOGTK-CQSZACIVSA-N 0 3 227.311 2.547 20 0 BFADHN C=Cn1cc(CN2CCCCC(C)(C)C2)cn1 ZINC000567605656 326227479 /nfs/dbraw/zinc/22/74/79/326227479.db2.gz SQDQFASYLPHSCV-UHFFFAOYSA-N 0 3 233.359 2.996 20 0 BFADHN CN(Cc1cc(C(C)(C)C)n[nH]1)CC1CCC1 ZINC000567607066 326227845 /nfs/dbraw/zinc/22/78/45/326227845.db2.gz BCEWPBVRAOYMLS-UHFFFAOYSA-N 0 3 235.375 2.939 20 0 BFADHN CC(C)CCOCCN1CC[C@@H](OC(C)C)C1 ZINC000567790364 326258457 /nfs/dbraw/zinc/25/84/57/326258457.db2.gz YMEZRZJTWRUMBK-CQSZACIVSA-N 0 3 243.391 2.548 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@@H]2OCC[C@@H]2C1 ZINC000567796204 326259262 /nfs/dbraw/zinc/25/92/62/326259262.db2.gz KEMYPUWDHOHVLV-JMSVASOKSA-N 0 3 249.329 2.998 20 0 BFADHN CCCn1cc(CN2CCCCCC2)cn1 ZINC000157376893 326271636 /nfs/dbraw/zinc/27/16/36/326271636.db2.gz YBTMLZVUQQVIGY-UHFFFAOYSA-N 0 3 221.348 2.669 20 0 BFADHN CC(C)[C@@H](N[C@@H]1CO[C@H](C)C1)c1cccnc1 ZINC000567857834 326272052 /nfs/dbraw/zinc/27/20/52/326272052.db2.gz NYBFDRSGWSXXHU-KWCYVHTRSA-N 0 3 234.343 2.546 20 0 BFADHN CC[C@H]1CCCCN1Cc1cnn(CC)c1 ZINC000157447078 326275831 /nfs/dbraw/zinc/27/58/31/326275831.db2.gz WFISNHJSDOKZLC-ZDUSSCGKSA-N 0 3 221.348 2.668 20 0 BFADHN CC1(C)CCC[C@H](CNCc2ccno2)C1 ZINC000567889178 326277180 /nfs/dbraw/zinc/27/71/80/326277180.db2.gz ZYOPTQMIIJZVTH-NSHDSACASA-N 0 3 222.332 2.981 20 0 BFADHN C[C@@H](CCc1ccsc1)NCc1ccno1 ZINC000567888674 326277218 /nfs/dbraw/zinc/27/72/18/326277218.db2.gz NLSKCXOHOPUYCY-JTQLQIEISA-N 0 3 236.340 2.847 20 0 BFADHN CCOCCN(CC)[C@H](C)c1ccccn1 ZINC000157606750 326286299 /nfs/dbraw/zinc/28/62/99/326286299.db2.gz AQTSMGOCJWNNOP-GFCCVEGCSA-N 0 3 222.332 2.501 20 0 BFADHN C[C@@H]1CCCN(Cc2cnn(C(C)(C)C)c2)C1 ZINC000157717604 326291814 /nfs/dbraw/zinc/29/18/14/326291814.db2.gz TULXYJTVDYNNHL-GFCCVEGCSA-N 0 3 235.375 2.870 20 0 BFADHN Cc1nnsc1CN1CCC[C@@H]1C(C)(C)C ZINC000567989897 326292621 /nfs/dbraw/zinc/29/26/21/326292621.db2.gz UINAJZWJQBGIHG-LLVKDONJSA-N 0 3 239.388 2.857 20 0 BFADHN Cc1ccn2c(CN(C)[C@H](C)C3CC3)cnc2c1 ZINC000158055697 326306166 /nfs/dbraw/zinc/30/61/66/326306166.db2.gz ADKSNGVFHYRQDP-GFCCVEGCSA-N 0 3 243.354 2.873 20 0 BFADHN CCCn1cc(CN(C)[C@H](C)C2CC2)cn1 ZINC000158066986 326307198 /nfs/dbraw/zinc/30/71/98/326307198.db2.gz NOUFPTRYNYNOKE-LLVKDONJSA-N 0 3 221.348 2.523 20 0 BFADHN CCc1nn(C)c(CC)c1CN(C)[C@@H](C)C1CC1 ZINC000158122031 326309389 /nfs/dbraw/zinc/30/93/89/326309389.db2.gz PXRNTTPFHMKYPM-NSHDSACASA-N 0 3 249.402 2.775 20 0 BFADHN CCCCN(C)Cc1c(C)nn(CC)c1C ZINC000158156689 326310377 /nfs/dbraw/zinc/31/03/77/326310377.db2.gz NVMVLWOPICCEIY-UHFFFAOYSA-N 0 3 223.364 2.752 20 0 BFADHN CCC[C@H](NC[C@H]1CCCO1)c1ccccn1 ZINC000158459436 326322958 /nfs/dbraw/zinc/32/29/58/326322958.db2.gz BYYGSASQWJJIDO-OLZOCXBDSA-N 0 3 234.343 2.691 20 0 BFADHN CC(C)[C@H](N[C@H](C)c1cncs1)C(C)(C)O ZINC000276608598 326325334 /nfs/dbraw/zinc/32/53/34/326325334.db2.gz CAUWLAIGXPJXQF-KOLCDFICSA-N 0 3 242.388 2.589 20 0 BFADHN C[C@@H](NC1CCC1)c1cn2ccccc2n1 ZINC000568238307 326332432 /nfs/dbraw/zinc/33/24/32/326332432.db2.gz RVTGRCSUALZTFH-SNVBAGLBSA-N 0 3 215.300 2.537 20 0 BFADHN COc1cc2c(c(CN(C)C(C)C)c1)O[C@@H](C)C2 ZINC000158701454 326337776 /nfs/dbraw/zinc/33/77/76/326337776.db2.gz UGPDTTDNZGVQIX-NSHDSACASA-N 0 3 249.354 2.859 20 0 BFADHN C[C@@H]1C[C@H](NCc2ncccn2)CC(C)(C)C1 ZINC000568275755 326339679 /nfs/dbraw/zinc/33/96/79/326339679.db2.gz XQKVVPPXQCKCCM-NEPJUHHUSA-N 0 3 233.359 2.781 20 0 BFADHN CC(C)N(C)Cc1c[nH]nc1C(C)(C)C ZINC000158729558 326340883 /nfs/dbraw/zinc/34/08/83/326340883.db2.gz WZYOMHCIYUBBFS-UHFFFAOYSA-N 0 3 209.337 2.547 20 0 BFADHN CCCCN1CCO[C@@]2(CCc3ccccc32)C1 ZINC000158755568 326342663 /nfs/dbraw/zinc/34/26/63/326342663.db2.gz UEVTWYXSNKRJEB-INIZCTEOSA-N 0 3 245.366 2.960 20 0 BFADHN CCC[C@H](NC[C@H]1CCCN1C)c1ccccn1 ZINC000158804431 326343477 /nfs/dbraw/zinc/34/34/77/326343477.db2.gz DQLBCSWKUCSONQ-KGLIPLIRSA-N 0 3 247.386 2.607 20 0 BFADHN CCC[C@@H](NC[C@@H]1CCCN1C)c1ccccn1 ZINC000158804289 326343633 /nfs/dbraw/zinc/34/36/33/326343633.db2.gz DQLBCSWKUCSONQ-UONOGXRCSA-N 0 3 247.386 2.607 20 0 BFADHN Cc1ccn2c(CN3CCC(C)CC3)cnc2c1 ZINC000158854330 326344782 /nfs/dbraw/zinc/34/47/82/326344782.db2.gz DMJQATYDXKKUIZ-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CCn1nc(C)c(CN2CCC(C)CC2)c1C ZINC000158854000 326344828 /nfs/dbraw/zinc/34/48/28/326344828.db2.gz GDHXGKDBJICRLD-UHFFFAOYSA-N 0 3 235.375 2.752 20 0 BFADHN C[C@H](NCc1cc[nH]n1)[C@@H]1CCCC[C@H]1C ZINC000568355056 326348382 /nfs/dbraw/zinc/34/83/82/326348382.db2.gz HISRKIVSJGEOGC-NTZNESFSSA-N 0 3 221.348 2.714 20 0 BFADHN CCCCOCCN1CC[C@@H](c2ccncc2)C1 ZINC000568644850 326370341 /nfs/dbraw/zinc/37/03/41/326370341.db2.gz UFSVUWZLVCBCAN-OAHLLOKOSA-N 0 3 248.370 2.688 20 0 BFADHN CCCC[C@@H](C)N(C)CC(=O)N[C@H](C)C(C)C ZINC000568698496 326372785 /nfs/dbraw/zinc/37/27/85/326372785.db2.gz NPYJYZJSYJMEFN-CHWSQXEVSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1nsc(C)c1CN(C)C(C)(C)C ZINC000569116587 326391167 /nfs/dbraw/zinc/39/11/67/326391167.db2.gz KSCYIODLHLVGBD-UHFFFAOYSA-N 0 3 212.362 2.990 20 0 BFADHN CCC(=O)CCCN1CCC(OC(C)C)CC1 ZINC000569315490 326399786 /nfs/dbraw/zinc/39/97/86/326399786.db2.gz OQSLRSHVQAUUFU-UHFFFAOYSA-N 0 3 241.375 2.635 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1ncccc1OC ZINC000573061395 326427583 /nfs/dbraw/zinc/42/75/83/326427583.db2.gz OPBGWXARUPKNSW-NWDGAFQWSA-N 0 3 234.343 2.759 20 0 BFADHN FCC[C@H]1CCCN(Cc2ccco2)C1 ZINC000668593305 487979408 /nfs/dbraw/zinc/97/94/08/487979408.db2.gz UVBJIEFOBXBPBC-LLVKDONJSA-N 0 3 211.280 2.851 20 0 BFADHN Cc1ccc(CN2C[C@@H]3COC[C@@]3(C)C2)cc1F ZINC000668594319 487979771 /nfs/dbraw/zinc/97/97/71/487979771.db2.gz BEXSDZPOOSHPME-UKRRQHHQSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1cccc(CN2C[C@H]3COC[C@@]3(C)C2)c1F ZINC000668597892 487985360 /nfs/dbraw/zinc/98/53/60/487985360.db2.gz VXDCUUWJOMVLIV-DZGCQCFKSA-N 0 3 249.329 2.602 20 0 BFADHN CCN1CCN(C[C@@H](C)c2ccccc2)C[C@H]1C ZINC000677191662 487996259 /nfs/dbraw/zinc/99/62/59/487996259.db2.gz DTPVTBQJVPMDAD-HUUCEWRRSA-N 0 3 246.398 2.816 20 0 BFADHN CCN1CCN(C[C@H](C)c2ccccc2)C[C@H]1C ZINC000677191664 487996331 /nfs/dbraw/zinc/99/63/31/487996331.db2.gz DTPVTBQJVPMDAD-LSDHHAIUSA-N 0 3 246.398 2.816 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)o1 ZINC000172038385 487998330 /nfs/dbraw/zinc/99/83/30/487998330.db2.gz JGEPONYDTMAVDS-YSVLLXOLSA-N 0 3 247.338 2.813 20 0 BFADHN COc1ccc2c(c1)CCN(C[C@@H]1C[C@H]1C)C2 ZINC000668604840 487998542 /nfs/dbraw/zinc/99/85/42/487998542.db2.gz USIFUNXQJSMFCP-RISCZKNCSA-N 0 3 231.339 2.709 20 0 BFADHN CCN1CCN(Cc2ccc(C)cc2)C[C@@H]1C ZINC000677196048 488001624 /nfs/dbraw/zinc/00/16/24/488001624.db2.gz QAWOGQCDIQRKQW-AWEZNQCLSA-N 0 3 232.371 2.521 20 0 BFADHN COc1cc(CN2CCCCC[C@@H]2C)sn1 ZINC000659925068 488002781 /nfs/dbraw/zinc/00/27/81/488002781.db2.gz GKSKOVYHVWGYII-JTQLQIEISA-N 0 3 240.372 2.916 20 0 BFADHN Cc1cnccc1CNCC1=CCCC1 ZINC000401047665 488004279 /nfs/dbraw/zinc/00/42/79/488004279.db2.gz MYDDCCVAKJSLHH-UHFFFAOYSA-N 0 3 202.301 2.590 20 0 BFADHN Cc1ccc(CNCCc2ncc(C)cc2C)o1 ZINC000401118090 488009981 /nfs/dbraw/zinc/00/99/81/488009981.db2.gz MGHBFNHBXSVUPG-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN COC[C@H](CC(C)(C)C)NCc1conc1C ZINC000655842502 488011452 /nfs/dbraw/zinc/01/14/52/488011452.db2.gz KSIHIBVCEDVWBJ-LBPRGKRZSA-N 0 3 240.347 2.524 20 0 BFADHN Cc1ccncc1CN[C@@H](C)Cc1ccccn1 ZINC000655842800 488012570 /nfs/dbraw/zinc/01/25/70/488012570.db2.gz NIKIXELQUJQDME-ZDUSSCGKSA-N 0 3 241.338 2.506 20 0 BFADHN Cc1ncsc1CN[C@@H](C)[C@H]1CC1(F)F ZINC000666445371 488012688 /nfs/dbraw/zinc/01/26/88/488012688.db2.gz SLEMHKFOUKYKKH-POYBYMJQSA-N 0 3 232.299 2.585 20 0 BFADHN CCN(CC)Cc1cc(COC)cs1 ZINC000667469729 488015641 /nfs/dbraw/zinc/01/56/41/488015641.db2.gz BYSQRYANIWGUPI-UHFFFAOYSA-N 0 3 213.346 2.736 20 0 BFADHN CCC[C@H](N)c1cn(C2CCCCC2)nn1 ZINC000229966073 488016587 /nfs/dbraw/zinc/01/65/87/488016587.db2.gz YLWAGENMANHKQJ-NSHDSACASA-N 0 3 222.336 2.583 20 0 BFADHN CC[C@H]1CCCCN1Cc1cnn2c1CCC2 ZINC000667471634 488017136 /nfs/dbraw/zinc/01/71/36/488017136.db2.gz FXOYFVGHLSRKFW-ZDUSSCGKSA-N 0 3 233.359 2.594 20 0 BFADHN CC1CCN(Cc2c(C3CCC3)cnn2C)CC1 ZINC000667473301 488018752 /nfs/dbraw/zinc/01/87/52/488018752.db2.gz FPIDMGWSYXBVFL-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN CCCCN(CC)Cc1c(C2CC2)cnn1C ZINC000667473749 488020432 /nfs/dbraw/zinc/02/04/32/488020432.db2.gz UECXHHVJFGSJID-UHFFFAOYSA-N 0 3 235.375 2.920 20 0 BFADHN CNCc1ccccc1NC(=O)[C@H]1CC=CCC1 ZINC000036280920 488022700 /nfs/dbraw/zinc/02/27/00/488022700.db2.gz ONMRGLJRVMCZLK-LBPRGKRZSA-N 0 3 244.338 2.701 20 0 BFADHN C[C@H](NCc1cocn1)C1CCC(F)(F)CC1 ZINC000682732704 488025550 /nfs/dbraw/zinc/02/55/50/488025550.db2.gz KNYYQCKRTAWVFV-VIFPVBQESA-N 0 3 244.285 2.978 20 0 BFADHN CC1=CCN(CCCOC(C)(C)C)CC1 ZINC000677379783 488026194 /nfs/dbraw/zinc/02/61/94/488026194.db2.gz KQBBLELUILTVOI-UHFFFAOYSA-N 0 3 211.349 2.844 20 0 BFADHN Cc1ccc(CNC2CCOCC2)c(Cl)c1 ZINC000235860503 488026208 /nfs/dbraw/zinc/02/62/08/488026208.db2.gz LKZITIAVLRNRLM-UHFFFAOYSA-N 0 3 239.746 2.917 20 0 BFADHN CCCN(C)Cc1c(C2CCC2)cnn1C ZINC000667496021 488028511 /nfs/dbraw/zinc/02/85/11/488028511.db2.gz FPSCTZLBFDHSFI-UHFFFAOYSA-N 0 3 221.348 2.529 20 0 BFADHN CCN(CCOC)Cc1cc2c(cccc2C)[nH]1 ZINC000667497122 488028204 /nfs/dbraw/zinc/02/82/04/488028204.db2.gz TYFHBFAMTJRPEG-UHFFFAOYSA-N 0 3 246.354 2.945 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1c(C2CC2)cnn1C ZINC000667499789 488029918 /nfs/dbraw/zinc/02/99/18/488029918.db2.gz VKJJRXWTGZOXBS-LLVKDONJSA-N 0 3 235.375 2.774 20 0 BFADHN CCC(CC)N(Cc1cnc2n1CCC2)C1CC1 ZINC000667498994 488030483 /nfs/dbraw/zinc/03/04/83/488030483.db2.gz ZPGIQDOOYOLQTF-UHFFFAOYSA-N 0 3 247.386 2.982 20 0 BFADHN CCCN(Cc1c(C2CC2)cnn1C)C1CC1 ZINC000667500254 488030834 /nfs/dbraw/zinc/03/08/34/488030834.db2.gz JJILSTMEPIZVLW-UHFFFAOYSA-N 0 3 233.359 2.672 20 0 BFADHN CC[C@@H](C)N(C)Cc1c(C2CC2)cnn1C ZINC000667505045 488033372 /nfs/dbraw/zinc/03/33/72/488033372.db2.gz CIIWETKPMHZGTD-SNVBAGLBSA-N 0 3 221.348 2.528 20 0 BFADHN CC[C@@H](C)N(C)Cc1c(C2CCC2)cnn1C ZINC000667505450 488033415 /nfs/dbraw/zinc/03/34/15/488033415.db2.gz PRRGJXCKTVVHEM-LLVKDONJSA-N 0 3 235.375 2.918 20 0 BFADHN Cc1cc(CN[C@H]2CCCC(C)(C)C2)ncn1 ZINC000677425190 488034202 /nfs/dbraw/zinc/03/42/02/488034202.db2.gz JIHJNUYUFBKRKF-LBPRGKRZSA-N 0 3 233.359 2.843 20 0 BFADHN CCCN(Cc1cnc(C)n1C)CC(C)C ZINC000667514800 488034547 /nfs/dbraw/zinc/03/45/47/488034547.db2.gz KSLJJZCNIWJEHX-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN Cc1cc(CNCC2CCCCCC2)ncn1 ZINC000677425105 488034446 /nfs/dbraw/zinc/03/44/46/488034446.db2.gz XSMSWGFMEDJCHG-UHFFFAOYSA-N 0 3 233.359 2.845 20 0 BFADHN CC(C)N(Cc1ccnn1CC1CC1)C1CC1 ZINC000667517055 488037014 /nfs/dbraw/zinc/03/70/14/488037014.db2.gz QJKSDZHSIOZFJD-UHFFFAOYSA-N 0 3 233.359 2.666 20 0 BFADHN C[C@@H](C1CC1)N(Cc1cnc2n1CCC2)C1CC1 ZINC000667518162 488037143 /nfs/dbraw/zinc/03/71/43/488037143.db2.gz QTQLCMXQFZAKEB-NSHDSACASA-N 0 3 245.370 2.592 20 0 BFADHN CC[C@H](C)CN(C)Cc1c(C2CC2)cnn1C ZINC000667529985 488038129 /nfs/dbraw/zinc/03/81/29/488038129.db2.gz JRFULRVNKQMDQL-NSHDSACASA-N 0 3 235.375 2.775 20 0 BFADHN CCc1ccc(CN(C)C[C@@H](O)C2CC2)s1 ZINC000685460674 488038292 /nfs/dbraw/zinc/03/82/92/488038292.db2.gz FQKSZLVHXZRSSH-CYBMUJFWSA-N 0 3 239.384 2.513 20 0 BFADHN CN(Cc1ccc2occc2c1)C[C@H](O)C1CC1 ZINC000685461202 488038301 /nfs/dbraw/zinc/03/83/01/488038301.db2.gz IOEDWVSQZDALHV-AWEZNQCLSA-N 0 3 245.322 2.636 20 0 BFADHN CN(Cc1ccc2occc2c1)C[C@@H](O)C1CC1 ZINC000685461207 488038324 /nfs/dbraw/zinc/03/83/24/488038324.db2.gz IOEDWVSQZDALHV-CQSZACIVSA-N 0 3 245.322 2.636 20 0 BFADHN CC[C@H]1CCCN1Cc1c(C2CC2)cnn1C ZINC000667545130 488041663 /nfs/dbraw/zinc/04/16/63/488041663.db2.gz YCWXWMCKKNRURR-LBPRGKRZSA-N 0 3 233.359 2.672 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCC[C@H]1CCOC1 ZINC000682805609 488042746 /nfs/dbraw/zinc/04/27/46/488042746.db2.gz JGBAOJQAOBXCCG-KBPBESRZSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCC[C@H]1CCOC1 ZINC000682805610 488042770 /nfs/dbraw/zinc/04/27/70/488042770.db2.gz JGBAOJQAOBXCCG-KGLIPLIRSA-N 0 3 248.370 2.891 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H]2C[C@H]3CC[C@@H]2O3)o1 ZINC000268923123 488042304 /nfs/dbraw/zinc/04/23/04/488042304.db2.gz PJELNENGXUGQOA-LHMODEAPSA-N 0 3 235.327 2.813 20 0 BFADHN CCCn1nccc1CN1CCC[C@@H](C)[C@H]1C ZINC000667568185 488048493 /nfs/dbraw/zinc/04/84/93/488048493.db2.gz WJOVCTHDOOXAOD-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN CCOc1ccc(CN(C)[C@H]2CCOC2)c(C)c1 ZINC000667597114 488054613 /nfs/dbraw/zinc/05/46/13/488054613.db2.gz BKRQNFJDBQVKQX-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN c1cc(CN2CCC[C@H]2C2CC2)n(CC2CC2)n1 ZINC000667596951 488056593 /nfs/dbraw/zinc/05/65/93/488056593.db2.gz XOHGESLXLPFIGG-HNNXBMFYSA-N 0 3 245.370 2.668 20 0 BFADHN C[C@H](O)[C@@H](NCc1ccccc1)c1ccccc1 ZINC000036439708 488062232 /nfs/dbraw/zinc/06/22/32/488062232.db2.gz QXHBVBACWVEXFG-XJKSGUPXSA-N 0 3 241.334 2.898 20 0 BFADHN COC[C@@H](C)NCc1cnc(C(C)(C)C)s1 ZINC000059180691 488063181 /nfs/dbraw/zinc/06/31/81/488063181.db2.gz QJONVPFWLBGDNJ-SECBINFHSA-N 0 3 242.388 2.565 20 0 BFADHN CCN(Cc1c(C2CCC2)cnn1C)CC1CC1 ZINC000667630430 488063910 /nfs/dbraw/zinc/06/39/10/488063910.db2.gz DDOCKTPKPWOXFJ-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN Cc1ccc2cc(CN(C)CC(C)(C)O)[nH]c2c1 ZINC000667638953 488065466 /nfs/dbraw/zinc/06/54/66/488065466.db2.gz FNMSWSXBPQMOOF-UHFFFAOYSA-N 0 3 246.354 2.679 20 0 BFADHN c1cc(CN2CCC3(CCC3)C2)n(CC2CC2)n1 ZINC000667644472 488068327 /nfs/dbraw/zinc/06/83/27/488068327.db2.gz KIEXURLMUMLQDJ-UHFFFAOYSA-N 0 3 245.370 2.669 20 0 BFADHN Cc1ccc2cc(CN(C)CC[C@H](C)O)[nH]c2c1 ZINC000667649618 488073039 /nfs/dbraw/zinc/07/30/39/488073039.db2.gz YYEJWYIQPBTBMP-LBPRGKRZSA-N 0 3 246.354 2.679 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1ccnn1CC1CC1 ZINC000667651376 488074101 /nfs/dbraw/zinc/07/41/01/488074101.db2.gz UUAKAOAJPKOCLC-JSGCOSHPSA-N 0 3 247.386 2.914 20 0 BFADHN C[C@H]1CN(Cc2c(C3CCC3)cnn2C)C[C@H]1C ZINC000667650921 488074462 /nfs/dbraw/zinc/07/44/62/488074462.db2.gz IEGNQAHJHXKYND-TXEJJXNPSA-N 0 3 247.386 2.775 20 0 BFADHN CCc1noc(CN2CCCC23CCCCC3)n1 ZINC000677569014 488076717 /nfs/dbraw/zinc/07/67/17/488076717.db2.gz LNKKFNAMPDICEW-UHFFFAOYSA-N 0 3 249.358 2.931 20 0 BFADHN CC(C)N(Cc1cnc2n1CCC2)C1CCC1 ZINC000667664473 488080108 /nfs/dbraw/zinc/08/01/08/488080108.db2.gz LZXXXFPFJGSHSI-UHFFFAOYSA-N 0 3 233.359 2.592 20 0 BFADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H]2C[C@H]2C)c1 ZINC000677600014 488082901 /nfs/dbraw/zinc/08/29/01/488082901.db2.gz RQKDXCIMVOCSKY-BXUZGUMPSA-N 0 3 246.354 2.651 20 0 BFADHN CCCn1nccc1CN1CC[C@@](C)(CC)C1 ZINC000667682687 488084987 /nfs/dbraw/zinc/08/49/87/488084987.db2.gz SXIILNFBSARYBG-CQSZACIVSA-N 0 3 235.375 2.915 20 0 BFADHN CCCn1nccc1CN1CC[C@H](C)[C@@H]1C ZINC000667693616 488089496 /nfs/dbraw/zinc/08/94/96/488089496.db2.gz QHXMDIXYPJIPDL-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@H]1CCN(Cc2c(C3CCC3)cnn2C)[C@H]1C ZINC000667693402 488089554 /nfs/dbraw/zinc/08/95/54/488089554.db2.gz KNCRAKHNPSJHEO-RYUDHWBXSA-N 0 3 247.386 2.918 20 0 BFADHN CC[C@H]1CCN(Cc2cc(C)ccn2)C1 ZINC000683010781 488091095 /nfs/dbraw/zinc/09/10/95/488091095.db2.gz GTXGCEHTTCVNQL-LBPRGKRZSA-N 0 3 204.317 2.622 20 0 BFADHN Fc1ccccc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000661970991 488093511 /nfs/dbraw/zinc/09/35/11/488093511.db2.gz GQNPPAOKKDFGPB-ZYHUDNBSSA-N 0 3 205.276 2.668 20 0 BFADHN CC/C=C/CCN1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000348203975 488096496 /nfs/dbraw/zinc/09/64/96/488096496.db2.gz CZAGKNLFGHFGFJ-ZWYFHCDOSA-N 0 3 223.360 2.842 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1C(=O)Nc1cccc(CN)c1 ZINC000667725304 488102647 /nfs/dbraw/zinc/10/26/47/488102647.db2.gz CNVWDZMSAROPIP-GHMZBOCLSA-N 0 3 247.342 2.550 20 0 BFADHN Cc1cccc(CN2CC[C@@H]3C[C@@H]3C2)c1F ZINC000668678460 488103519 /nfs/dbraw/zinc/10/35/19/488103519.db2.gz KHDFMPJZJFOMDW-DGCLKSJQSA-N 0 3 219.303 2.976 20 0 BFADHN COCC1(N(C)Cc2c(C)cccc2C)CC1 ZINC000677728345 488104833 /nfs/dbraw/zinc/10/48/33/488104833.db2.gz VGTROVJQHKMRER-UHFFFAOYSA-N 0 3 233.355 2.914 20 0 BFADHN C[C@H](c1ccncc1)N1CCSC[C@H](C)C1 ZINC000683101911 488108804 /nfs/dbraw/zinc/10/88/04/488108804.db2.gz PLLCWHGCNQIYAB-VXGBXAGGSA-N 0 3 236.384 2.828 20 0 BFADHN Cc1ncsc1CCN1CC[C@@H](C(F)F)C1 ZINC000677730009 488108838 /nfs/dbraw/zinc/10/88/38/488108838.db2.gz IZHCZLWTQMVTAF-SECBINFHSA-N 0 3 246.326 2.581 20 0 BFADHN Cc1ccccc1CN[C@@H]1CO[C@@H](C2CC2)C1 ZINC000667760156 488109664 /nfs/dbraw/zinc/10/96/64/488109664.db2.gz HAIFZPMLDVFEBF-LSDHHAIUSA-N 0 3 231.339 2.652 20 0 BFADHN CCCCCN1CC2(C1)CC(F)(F)C2 ZINC000677732450 488109498 /nfs/dbraw/zinc/10/94/98/488109498.db2.gz GHJVRNSMKMZLBU-UHFFFAOYSA-N 0 3 203.276 2.908 20 0 BFADHN CCc1nn(C)cc1CN1CCC[C@@H](C2CC2)C1 ZINC000685476533 487536893 /nfs/dbraw/zinc/53/68/93/487536893.db2.gz SMLYIVLBTMDFKD-CYBMUJFWSA-N 0 3 247.386 2.605 20 0 BFADHN C[C@H]1C[C@H]1CN(C)Cc1nc2ccccc2o1 ZINC000683109316 488110149 /nfs/dbraw/zinc/11/01/49/488110149.db2.gz HLJCRADNONICCY-QWRGUYRKSA-N 0 3 230.311 2.916 20 0 BFADHN C[C@@H](c1ccncc1)N1CC[C@@H](C(F)F)C1 ZINC000677734888 488113163 /nfs/dbraw/zinc/11/31/63/488113163.db2.gz XMRWFSNWPLTZML-GXSJLCMTSA-N 0 3 226.270 2.730 20 0 BFADHN Cc1cc(CN[C@H]2CO[C@H](C3CC3)C2)ccc1F ZINC000667770918 488116852 /nfs/dbraw/zinc/11/68/52/488116852.db2.gz LIYCJGLWBFOTEU-HIFRSBDPSA-N 0 3 249.329 2.791 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CN(C)Cc2cn[nH]c2)C1 ZINC000685837472 488118607 /nfs/dbraw/zinc/11/86/07/488118607.db2.gz AKRSCERCCYORTP-YPMHNXCESA-N 0 3 233.359 2.834 20 0 BFADHN CC[C@H](NCc1cc(OC)cc(C)n1)C1CC1 ZINC000323891744 488119008 /nfs/dbraw/zinc/11/90/08/488119008.db2.gz PBCBQAFDHWXOIG-AWEZNQCLSA-N 0 3 234.343 2.677 20 0 BFADHN CC(C)c1ccc(CNC2([C@@H](C)O)CC2)cc1 ZINC000668695639 488124704 /nfs/dbraw/zinc/12/47/04/488124704.db2.gz HOWBPCLFDJOCNB-GFCCVEGCSA-N 0 3 233.355 2.813 20 0 BFADHN CCC[C@@H](C)N[C@@H](C)c1cc(C)n(C)n1 ZINC000389921781 488125045 /nfs/dbraw/zinc/12/50/45/488125045.db2.gz RSPQWXNEBRRERO-KOLCDFICSA-N 0 3 209.337 2.568 20 0 BFADHN C[C@H](O)C1(NCc2ccccc2C2CC2)CC1 ZINC000668697847 488126793 /nfs/dbraw/zinc/12/67/93/488126793.db2.gz NBVVXBDXICFCLX-NSHDSACASA-N 0 3 231.339 2.567 20 0 BFADHN COc1ncccc1CNCC1(C(C)C)CC1 ZINC000234903882 488132487 /nfs/dbraw/zinc/13/24/87/488132487.db2.gz URXLZUQTVGLUIW-UHFFFAOYSA-N 0 3 234.343 2.616 20 0 BFADHN C[C@@H](O)C1(NCc2ccc(C3CCC3)cc2)CC1 ZINC000668700087 488133316 /nfs/dbraw/zinc/13/33/16/488133316.db2.gz AJDYGYKLEOLNMG-GFCCVEGCSA-N 0 3 245.366 2.957 20 0 BFADHN FC1(CN2CCc3ccccc3CC2)CC1 ZINC000525978565 487538321 /nfs/dbraw/zinc/53/83/21/487538321.db2.gz WTOKLKYDQFROCV-UHFFFAOYSA-N 0 3 219.303 2.589 20 0 BFADHN CC(C)(C)CCN[C@H]1CCCn2ccnc21 ZINC000655701155 487543144 /nfs/dbraw/zinc/54/31/44/487543144.db2.gz UWSVLWNKXLGZOP-NSHDSACASA-N 0 3 221.348 2.744 20 0 BFADHN CCC[C@H](C)N(C)Cc1oc(C)nc1C ZINC000685561167 487543721 /nfs/dbraw/zinc/54/37/21/487543721.db2.gz LMILFDCNHDAQQG-VIFPVBQESA-N 0 3 210.321 2.912 20 0 BFADHN Cc1nc(C)c(CN2C[C@@H](C)C[C@@H]2C)o1 ZINC000685565129 487546690 /nfs/dbraw/zinc/54/66/90/487546690.db2.gz SWYGWIIROCMMDV-IUCAKERBSA-N 0 3 208.305 2.522 20 0 BFADHN Cc1nc(C)c(CN2CCCC(C)(C)C2)o1 ZINC000685564351 487547416 /nfs/dbraw/zinc/54/74/16/487547416.db2.gz LYQWGVDNPAIGQY-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN Cc1nc(C)c(CN2[C@@H](C)CC[C@@H]2C)o1 ZINC000685566546 487549223 /nfs/dbraw/zinc/54/92/23/487549223.db2.gz ROQVOPFNPHPOKF-IUCAKERBSA-N 0 3 208.305 2.664 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NC[C@@H]1CCCO1)CC2 ZINC000174978315 487550135 /nfs/dbraw/zinc/55/01/35/487550135.db2.gz MCNOJEKLCOANHJ-JSGCOSHPSA-N 0 3 235.302 2.582 20 0 BFADHN c1cc2c(cccc2CN2CC3(CC3)C2)[nH]1 ZINC000334743837 487554509 /nfs/dbraw/zinc/55/45/09/487554509.db2.gz WOTAGLUEZXJCQQ-UHFFFAOYSA-N 0 3 212.296 2.764 20 0 BFADHN Cc1nc(C)c(CN2CCSCC[C@H]2C)o1 ZINC000685575245 487555191 /nfs/dbraw/zinc/55/51/91/487555191.db2.gz VEDJVGHLMNJPRX-SECBINFHSA-N 0 3 240.372 2.619 20 0 BFADHN Fc1ccccc1[C@H]1CCN([C@H]2CCCOC2)C1 ZINC000674303381 487555950 /nfs/dbraw/zinc/55/59/50/487555950.db2.gz WDSCYAXQSYFGPN-STQMWFEESA-N 0 3 249.329 2.794 20 0 BFADHN Clc1ccc(CCN2CCCOCC2)cc1 ZINC000334653227 487531769 /nfs/dbraw/zinc/53/17/69/487531769.db2.gz DUDHVBDMNXNTHC-UHFFFAOYSA-N 0 3 239.746 2.605 20 0 BFADHN Fc1ccccc1[C@@H]1CCN([C@@H]2CCCOC2)C1 ZINC000674303378 487556821 /nfs/dbraw/zinc/55/68/21/487556821.db2.gz WDSCYAXQSYFGPN-CHWSQXEVSA-N 0 3 249.329 2.794 20 0 BFADHN COCC[C@H](C)N1CCC=C(c2cccnc2)C1 ZINC000296032490 487532753 /nfs/dbraw/zinc/53/27/53/487532753.db2.gz SCRQDLVLTCATCY-ZDUSSCGKSA-N 0 3 246.354 2.596 20 0 BFADHN Cc1nc(C)c(CN2CCC(C)=C(C)C2)o1 ZINC000685580322 487556927 /nfs/dbraw/zinc/55/69/27/487556927.db2.gz NYHABYXAYGTBKI-UHFFFAOYSA-N 0 3 220.316 2.834 20 0 BFADHN CC(C)[C@@H](C)N[C@@H](CO)c1ccc(F)cc1F ZINC000662162681 488141106 /nfs/dbraw/zinc/14/11/06/488141106.db2.gz LYADWUSBEMRILP-RNCFNFMXSA-N 0 3 243.297 2.632 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000668224819 487482948 /nfs/dbraw/zinc/48/29/48/487482948.db2.gz YXTOOLYEMARCSN-ADEWGFFLSA-N 0 3 220.316 2.515 20 0 BFADHN CCOC1CCN([C@@H](C)c2ccccn2)CC1 ZINC000673926968 487480494 /nfs/dbraw/zinc/48/04/94/487480494.db2.gz DFZQCMDJRYJOED-LBPRGKRZSA-N 0 3 234.343 2.644 20 0 BFADHN c1nc(C2CC2)oc1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000668226407 487485227 /nfs/dbraw/zinc/48/52/27/487485227.db2.gz LLROPSWMQMKYLV-WXHSDQCUSA-N 0 3 232.327 2.830 20 0 BFADHN CC[C@H](N[C@H](C)c1nccnc1C)C1CC1 ZINC000323995178 487485611 /nfs/dbraw/zinc/48/56/11/487485611.db2.gz KZVRDBUXOMVYKH-PWSUYJOCSA-N 0 3 219.332 2.624 20 0 BFADHN CC(C)[C@H]1CC[C@@H]1NCc1ccn(C(C)C)n1 ZINC000521921598 487498539 /nfs/dbraw/zinc/49/85/39/487498539.db2.gz JRGSKLSMGISBFG-KGLIPLIRSA-N 0 3 235.375 2.988 20 0 BFADHN C[C@H]1COCCCN1CCCc1ccsc1 ZINC000679929744 487493051 /nfs/dbraw/zinc/49/30/51/487493051.db2.gz VHZWOAVQCSKHTL-LBPRGKRZSA-N 0 3 239.384 2.792 20 0 BFADHN Cc1cc(CNC[C@H](C)C(C)(C)C)on1 ZINC000308792027 487494140 /nfs/dbraw/zinc/49/41/40/487494140.db2.gz IQTQKTUKXHYMBG-VIFPVBQESA-N 0 3 210.321 2.755 20 0 BFADHN CCC[C@]1(CO)CCN([C@@H](C)c2ccncc2)C1 ZINC000685056798 487498832 /nfs/dbraw/zinc/49/88/32/487498832.db2.gz PSGXUMKCDLRCFX-ZFWWWQNUSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1ccc(CNC[C@H](C)c2ccncc2)o1 ZINC000674069571 487508713 /nfs/dbraw/zinc/50/87/13/487508713.db2.gz HEVXKIIJAQVCIX-NSHDSACASA-N 0 3 230.311 2.876 20 0 BFADHN COc1cc(CN(C)CCC(C)C)ccn1 ZINC000677818226 487508838 /nfs/dbraw/zinc/50/88/38/487508838.db2.gz HWEWCUCXHHNDOB-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C[C@H](O)C2(C)C)c(C)n1 ZINC000681743846 487520159 /nfs/dbraw/zinc/52/01/59/487520159.db2.gz VNROGBCKKHZLMJ-UBHSHLNASA-N 0 3 248.370 2.508 20 0 BFADHN Cc1cnc(CN2CCC[C@H]2C2CC2)s1 ZINC000678515843 487520676 /nfs/dbraw/zinc/52/06/76/487520676.db2.gz ADNZGPHJZDKKKZ-NSHDSACASA-N 0 3 222.357 2.826 20 0 BFADHN c1cc(C2CC2)ccc1CN1CCCOCC1 ZINC000334552640 487521651 /nfs/dbraw/zinc/52/16/51/487521651.db2.gz FSMNISIIPNHWSS-UHFFFAOYSA-N 0 3 231.339 2.786 20 0 BFADHN Cc1ccc([O-])c(C[NH+]2CCC3(CCC3)CC2)n1 ZINC000685444003 487524632 /nfs/dbraw/zinc/52/46/32/487524632.db2.gz NSKBOPOUMVACOB-UHFFFAOYSA-N 0 3 246.354 2.862 20 0 BFADHN CCCn1nccc1CN1CC[C@@H](C2CCC2)C1 ZINC000668241536 487534418 /nfs/dbraw/zinc/53/44/18/487534418.db2.gz KWLOTZPYCDZYQL-CQSZACIVSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccccc1F ZINC000178309655 487609673 /nfs/dbraw/zinc/60/96/73/487609673.db2.gz FWENCXXNLRGANS-PJQZNRQZSA-N 0 3 235.302 2.796 20 0 BFADHN Oc1ccc2c(c1)CN(C[C@@H]1C[C@H]3C[C@H]3C1)CC2 ZINC000660077356 487613778 /nfs/dbraw/zinc/61/37/78/487613778.db2.gz OEXLKARHMVWHEL-UBSAEIQESA-N 0 3 243.350 2.796 20 0 BFADHN Cc1occc1CN(C)[C@@H]1CCO[C@H](C)C1 ZINC000335379687 487621547 /nfs/dbraw/zinc/62/15/47/487621547.db2.gz SBYLCUHCCUZBGT-ZWNOBZJWSA-N 0 3 223.316 2.587 20 0 BFADHN Cc1ccoc1CN1C[C@@H]2CCCC[C@@H]21 ZINC000668299727 487635949 /nfs/dbraw/zinc/63/59/49/487635949.db2.gz QNXUGQZXCLEAEF-RYUDHWBXSA-N 0 3 205.301 2.962 20 0 BFADHN c1cc2c(c(CN3C[C@@H]4CCCC[C@@H]43)c1)OCO2 ZINC000668300661 487636183 /nfs/dbraw/zinc/63/61/83/487636183.db2.gz SERLCEPOHWBSCH-AAEUAGOBSA-N 0 3 245.322 2.790 20 0 BFADHN C[C@H](Cc1ccccc1)CN(C)Cc1cnc[nH]1 ZINC000668421834 487726449 /nfs/dbraw/zinc/72/64/49/487726449.db2.gz HQOWTEPRGBDCAK-CYBMUJFWSA-N 0 3 243.354 2.720 20 0 BFADHN C[C@H](Cc1ccccc1)CN(C)Cc1c[nH]cn1 ZINC000668421834 487726441 /nfs/dbraw/zinc/72/64/41/487726441.db2.gz HQOWTEPRGBDCAK-CYBMUJFWSA-N 0 3 243.354 2.720 20 0 BFADHN CC(C)[C@@H](NCCCO)c1cc(F)ccc1F ZINC000358914186 487782620 /nfs/dbraw/zinc/78/26/20/487782620.db2.gz KJSSEMXRXFZYQC-CYBMUJFWSA-N 0 3 243.297 2.634 20 0 BFADHN C[C@H](CF)NC1CC(Oc2ccccc2)C1 ZINC000668174760 487863883 /nfs/dbraw/zinc/86/38/83/487863883.db2.gz XCDRNXLKVXLEDZ-XSRFYTQQSA-N 0 3 223.291 2.544 20 0 BFADHN CCCn1nccc1CNCCCC(C)C ZINC000164835871 487901445 /nfs/dbraw/zinc/90/14/45/487901445.db2.gz GLIOWBRGFWNTJM-UHFFFAOYSA-N 0 3 223.364 2.819 20 0 BFADHN Cc1cccc2[nH]c(CN(C)CC(C)(C)O)cc21 ZINC000667639435 488065122 /nfs/dbraw/zinc/06/51/22/488065122.db2.gz WRZIUOQVHSHHLJ-UHFFFAOYSA-N 0 3 246.354 2.679 20 0 BFADHN C[C@@H]1C[C@H]1CN1CC(c2nc3ccccc3[nH]2)C1 ZINC000683110902 488112848 /nfs/dbraw/zinc/11/28/48/488112848.db2.gz UZWNMSUDPJUBPV-MNOVXSKESA-N 0 3 241.338 2.618 20 0 BFADHN C[C@@H](N[C@H]1CO[C@@H](C2CC2)C1)c1ccccc1 ZINC000667852839 488138056 /nfs/dbraw/zinc/13/80/56/488138056.db2.gz JISJNTBIOFIFJE-KCPJHIHWSA-N 0 3 231.339 2.905 20 0 BFADHN Cc1ccc(CN2CC[C@H]3COC[C@H]3C2)cc1F ZINC000668706159 488145644 /nfs/dbraw/zinc/14/56/44/488145644.db2.gz PWPSNAFYQNIEKF-UONOGXRCSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3COC[C@H]3C2)cc1F ZINC000668706160 488145993 /nfs/dbraw/zinc/14/59/93/488145993.db2.gz PWPSNAFYQNIEKF-ZIAGYGMSSA-N 0 3 249.329 2.602 20 0 BFADHN CCN(Cc1ccc(OC)cc1)[C@H]1CCCOC1 ZINC000677885738 488146612 /nfs/dbraw/zinc/14/66/12/488146612.db2.gz LBYNZLHKPGENLI-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN C[C@@H](c1cccc(F)c1)N(C)C[C@@H](O)C1CC1 ZINC000677891714 488147241 /nfs/dbraw/zinc/14/72/41/488147241.db2.gz DUUJESARKVLPIK-IINYFYTJSA-N 0 3 237.318 2.589 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@H](C)[C@H]1C[C@H]1C ZINC000666087405 488147682 /nfs/dbraw/zinc/14/76/82/488147682.db2.gz PBFVVNDLFXPGBV-RTXFEEFZSA-N 0 3 248.370 2.841 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@H](C)[C@H]1C[C@@H]1C ZINC000666087406 488147884 /nfs/dbraw/zinc/14/78/84/488147884.db2.gz PBFVVNDLFXPGBV-UHTWSYAYSA-N 0 3 248.370 2.841 20 0 BFADHN Cc1cc([C@H](C)NCC2(N(C)C)CC2)c(C)o1 ZINC000397787763 488149146 /nfs/dbraw/zinc/14/91/46/488149146.db2.gz GLNSDZFKUMQATE-NSHDSACASA-N 0 3 236.359 2.641 20 0 BFADHN Cc1occc1CNC1CC(CF)(CF)C1 ZINC000657855963 488151233 /nfs/dbraw/zinc/15/12/33/488151233.db2.gz KKXXXGKRCUOKLE-UHFFFAOYSA-N 0 3 229.270 2.765 20 0 BFADHN C[C@H](NCc1ccccc1)c1ccc[nH]1 ZINC000199672436 488156050 /nfs/dbraw/zinc/15/60/50/488156050.db2.gz HXVIBAVPFSRUJY-NSHDSACASA-N 0 3 200.285 2.866 20 0 BFADHN Cc1cc(CN2[C@H](C)CCC[C@@H]2CO)cs1 ZINC000518995963 488158300 /nfs/dbraw/zinc/15/83/00/488158300.db2.gz PCYSVPCBUYYYMQ-ZWNOBZJWSA-N 0 3 239.384 2.792 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000667923531 488159076 /nfs/dbraw/zinc/15/90/76/488159076.db2.gz PCOKZXCYISBOOW-CORIIIEPSA-N 0 3 246.354 2.608 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1cc2cnccc2o1 ZINC000657878847 488159755 /nfs/dbraw/zinc/15/97/55/488159755.db2.gz ICOHBHJYVSVEAP-YGRLFVJLSA-N 0 3 248.326 2.639 20 0 BFADHN CCCCNC(=O)CN(C)C1CCCCCC1 ZINC000053847157 488160724 /nfs/dbraw/zinc/16/07/24/488160724.db2.gz KFJLNYXAZBQLLV-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN CC1(CNCc2ncccc2C(F)(F)F)CC1 ZINC000677964583 488165634 /nfs/dbraw/zinc/16/56/34/488165634.db2.gz ATHJREJLBAOGEW-UHFFFAOYSA-N 0 3 244.260 2.990 20 0 BFADHN Cc1nccn1CCN1C2CCCC1CCC2 ZINC000677984939 488168914 /nfs/dbraw/zinc/16/89/14/488168914.db2.gz OBCVPPQVODPTOM-UHFFFAOYSA-N 0 3 233.359 2.599 20 0 BFADHN C[C@H](NCC(C1CC1)C1CC1)c1ccn(C)n1 ZINC000666094186 488174635 /nfs/dbraw/zinc/17/46/35/488174635.db2.gz MNWIYUAZMHNNLU-JTQLQIEISA-N 0 3 233.359 2.507 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ccccn1)c1ccncc1 ZINC000678029870 488175721 /nfs/dbraw/zinc/17/57/21/488175721.db2.gz FAYLHKYDDHZVPQ-STQMWFEESA-N 0 3 241.338 2.931 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cnc(C)n1C ZINC000667984659 488176077 /nfs/dbraw/zinc/17/60/77/488176077.db2.gz ZPEYMSUGYGYZSG-ZDUSSCGKSA-N 0 3 235.375 2.883 20 0 BFADHN C[C@H](NCCCF)c1cc2cnccc2o1 ZINC000657925024 488182343 /nfs/dbraw/zinc/18/23/43/488182343.db2.gz LRBVFOSIQDZKPR-VIFPVBQESA-N 0 3 222.263 2.838 20 0 BFADHN c1cc(CN2CC[C@@H]3CCC[C@@H]32)n(CC2CC2)n1 ZINC000668013511 488185559 /nfs/dbraw/zinc/18/55/59/488185559.db2.gz ZVALYULTUDGWJC-ZFWWWQNUSA-N 0 3 245.370 2.668 20 0 BFADHN CC[C@@H]1CCC[C@H]1CN[C@@H](C)c1ncc[nH]1 ZINC000453277566 488187963 /nfs/dbraw/zinc/18/79/63/488187963.db2.gz BAESWDYQUIGJHH-TUAOUCFPSA-N 0 3 221.348 2.887 20 0 BFADHN C[C@@H](NCC(C)(C)C1CCC1)c1ncc[nH]1 ZINC000453306398 488188338 /nfs/dbraw/zinc/18/83/38/488188338.db2.gz JEYQJUDUAFJZMJ-SNVBAGLBSA-N 0 3 221.348 2.887 20 0 BFADHN Cc1cccc2[nH]c(CN(C)CCCCO)cc21 ZINC000668045394 488194792 /nfs/dbraw/zinc/19/47/92/488194792.db2.gz QHPYMRNVZHCOFO-UHFFFAOYSA-N 0 3 246.354 2.681 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)n1C ZINC000668047856 488195173 /nfs/dbraw/zinc/19/51/73/488195173.db2.gz NUKBMQJLBLTZMC-IJLUTSLNSA-N 0 3 235.375 2.595 20 0 BFADHN CCCN(Cc1cn[nH]c1)Cc1ccccc1 ZINC000678121383 488200626 /nfs/dbraw/zinc/20/06/26/488200626.db2.gz IOWMFAFXWHPWOH-UHFFFAOYSA-N 0 3 229.327 2.822 20 0 BFADHN CCN(C)Cc1nccc2ccccc21 ZINC000678134250 488202446 /nfs/dbraw/zinc/20/24/46/488202446.db2.gz SWVUHWHXTRXLQP-UHFFFAOYSA-N 0 3 200.285 2.687 20 0 BFADHN CC[C@@H](C)N(C)Cc1n[nH]c2ccccc21 ZINC000678140588 488205346 /nfs/dbraw/zinc/20/53/46/488205346.db2.gz JHAMYPOXIDLLPN-SNVBAGLBSA-N 0 3 217.316 2.793 20 0 BFADHN CC[C@@H](C)N(C)Cc1[nH]nc2ccccc21 ZINC000678140588 488205348 /nfs/dbraw/zinc/20/53/48/488205348.db2.gz JHAMYPOXIDLLPN-SNVBAGLBSA-N 0 3 217.316 2.793 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H]1COC(C)(C)C1 ZINC000669548837 488205837 /nfs/dbraw/zinc/20/58/37/488205837.db2.gz HZNALGZTTWIDHO-VXGBXAGGSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@@H](c1cccnc1)N1CC[C@H](C(F)F)C1 ZINC000677730175 488206302 /nfs/dbraw/zinc/20/63/02/488206302.db2.gz AHQGAEPQDRQHDU-ONGXEEELSA-N 0 3 226.270 2.730 20 0 BFADHN C[C@@H]1CCN(CCN[C@@H]2CCCc3occc32)C1 ZINC000683691170 488207042 /nfs/dbraw/zinc/20/70/42/488207042.db2.gz VQFNZXDMIWMHMK-TZMCWYRMSA-N 0 3 248.370 2.588 20 0 BFADHN CC(C)N(Cc1n[nH]c2ccccc21)C1CC1 ZINC000678152156 488208328 /nfs/dbraw/zinc/20/83/28/488208328.db2.gz FZKBIXMNBRALGZ-UHFFFAOYSA-N 0 3 229.327 2.936 20 0 BFADHN CC(C)N(Cc1[nH]nc2ccccc21)C1CC1 ZINC000678152156 488208330 /nfs/dbraw/zinc/20/83/30/488208330.db2.gz FZKBIXMNBRALGZ-UHFFFAOYSA-N 0 3 229.327 2.936 20 0 BFADHN COC[C@H]1CCCN1Cc1ccc(C)c(OC)c1 ZINC000683701850 488209829 /nfs/dbraw/zinc/20/98/29/488209829.db2.gz YVDYUHKPVDXKFW-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN COc1ccc(CN(C)CC2(C)CCC2)cn1 ZINC000683708763 488211491 /nfs/dbraw/zinc/21/14/91/488211491.db2.gz MTZZGAOBKCQFNF-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCc1cccc2cc(CN(C)[C@@H](C)CO)oc21 ZINC000668162811 488211891 /nfs/dbraw/zinc/21/18/91/488211891.db2.gz IZENKZCZXPUNQE-NSHDSACASA-N 0 3 247.338 2.808 20 0 BFADHN C[C@@H]1CCN(Cc2cn[nH]c2)[C@H]2CCCC[C@H]12 ZINC000678179535 488214184 /nfs/dbraw/zinc/21/41/84/488214184.db2.gz LVLJYSKVFKHWKE-BNOWGMLFSA-N 0 3 233.359 2.810 20 0 BFADHN COCc1csc(CN2CC(C3CC3)C2)c1 ZINC000668183010 488215676 /nfs/dbraw/zinc/21/56/76/488215676.db2.gz ULLKFNJXAHYZRQ-UHFFFAOYSA-N 0 3 237.368 2.736 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cnc(C)n1C ZINC000668182077 488215726 /nfs/dbraw/zinc/21/57/26/488215726.db2.gz YJWUPIZJACPBTP-NSHDSACASA-N 0 3 223.364 2.739 20 0 BFADHN CC[C@@H]1CCN1Cc1cc(COC)cs1 ZINC000668189358 488217737 /nfs/dbraw/zinc/21/77/37/488217737.db2.gz BOHCZACPXSYSMR-LLVKDONJSA-N 0 3 225.357 2.879 20 0 BFADHN CCN(Cc1cc2c(cccc2C)[nH]1)[C@@H](C)CO ZINC000668195635 488221056 /nfs/dbraw/zinc/22/10/56/488221056.db2.gz PZHBFOCKXUIMQL-LBPRGKRZSA-N 0 3 246.354 2.679 20 0 BFADHN c1cn([C@H]2CCCN(Cc3ccsc3)C2)cn1 ZINC000678235071 488223214 /nfs/dbraw/zinc/22/32/14/488223214.db2.gz CKABEJJAGGNKRD-ZDUSSCGKSA-N 0 3 247.367 2.782 20 0 BFADHN CCOc1cccc(CN2CCC[C@H]2C2CC2)n1 ZINC000678240362 488224355 /nfs/dbraw/zinc/22/43/55/488224355.db2.gz XJMGKGNSFUASHQ-AWEZNQCLSA-N 0 3 246.354 2.855 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cc(OC)ns1 ZINC000399092725 488228098 /nfs/dbraw/zinc/22/80/98/488228098.db2.gz XMQNDDVISOIDLS-IUCAKERBSA-N 0 3 228.361 2.676 20 0 BFADHN CN(Cc1n[nH]c2ccccc21)C1CCC1 ZINC000678269025 488232293 /nfs/dbraw/zinc/23/22/93/488232293.db2.gz GHGJIHITVXHXPL-UHFFFAOYSA-N 0 3 215.300 2.547 20 0 BFADHN CN(Cc1[nH]nc2ccccc21)C1CCC1 ZINC000678269025 488232294 /nfs/dbraw/zinc/23/22/94/488232294.db2.gz GHGJIHITVXHXPL-UHFFFAOYSA-N 0 3 215.300 2.547 20 0 BFADHN C[C@H]1CN(Cc2nn(C)c3ccccc23)C[C@@H]1C ZINC000678270933 488232663 /nfs/dbraw/zinc/23/26/63/488232663.db2.gz MQNXMXLMFIAGGU-RYUDHWBXSA-N 0 3 243.354 2.661 20 0 BFADHN CCN(Cc1cn2cccc(F)c2n1)C1CCC1 ZINC000678273302 488233419 /nfs/dbraw/zinc/23/34/19/488233419.db2.gz MEGQSFPEOBVJGT-UHFFFAOYSA-N 0 3 247.317 2.848 20 0 BFADHN C[C@@H]1COCCCN1C/C=C\c1ccc(F)cc1 ZINC000678273716 488233720 /nfs/dbraw/zinc/23/37/20/488233720.db2.gz LMFGAWQFUCRHOV-QDOCKXBDSA-N 0 3 249.329 2.950 20 0 BFADHN C[C@@H](Cc1ccsc1)N(C)Cc1cn[nH]c1 ZINC000678281505 488236464 /nfs/dbraw/zinc/23/64/64/488236464.db2.gz ZDRNSCKJBWZOHY-JTQLQIEISA-N 0 3 235.356 2.534 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3n[nH]c4ccccc43)C[C@@H]21 ZINC000678280621 488236523 /nfs/dbraw/zinc/23/65/23/488236523.db2.gz WBUKXMGDOSEYSY-TXEJJXNPSA-N 0 3 241.338 2.651 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3[nH]nc4ccccc43)C[C@@H]21 ZINC000678280621 488236524 /nfs/dbraw/zinc/23/65/24/488236524.db2.gz WBUKXMGDOSEYSY-TXEJJXNPSA-N 0 3 241.338 2.651 20 0 BFADHN Cc1nc(C)c(CN(C)[C@H](C)C2(C)CC2)o1 ZINC000683899288 488240877 /nfs/dbraw/zinc/24/08/77/488240877.db2.gz YJCVDHBJLFHOOZ-SNVBAGLBSA-N 0 3 222.332 2.912 20 0 BFADHN c1nc2cc(CN3[C@H]4C=CC[C@@H]3CC4)ccc2[nH]1 ZINC000678341762 488242657 /nfs/dbraw/zinc/24/26/57/488242657.db2.gz DKGOWNBOQIAAGU-QWHCGFSZSA-N 0 3 239.322 2.856 20 0 BFADHN c1nc2ccc(CN3[C@H]4C=CC[C@@H]3CC4)cc2[nH]1 ZINC000678341762 488242659 /nfs/dbraw/zinc/24/26/59/488242659.db2.gz DKGOWNBOQIAAGU-QWHCGFSZSA-N 0 3 239.322 2.856 20 0 BFADHN CCC[C@@H](C)NCc1ccc(-c2cc[nH]n2)o1 ZINC000683925489 488245519 /nfs/dbraw/zinc/24/55/19/488245519.db2.gz IGQHRLRZJZLONA-SNVBAGLBSA-N 0 3 233.315 2.948 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H]2CCCCO2)c(C)n1 ZINC000678415115 488252967 /nfs/dbraw/zinc/25/29/67/488252967.db2.gz YJCZLUOIPJYNRP-TZMCWYRMSA-N 0 3 248.370 2.918 20 0 BFADHN Cc1ccc([C@H](C)NCCOCC2CC2)c(C)n1 ZINC000678423645 488254571 /nfs/dbraw/zinc/25/45/71/488254571.db2.gz TUIMAJRMRPWNLP-LBPRGKRZSA-N 0 3 248.370 2.776 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1ccc(C)nc1C ZINC000678428471 488255258 /nfs/dbraw/zinc/25/52/58/488255258.db2.gz SRXCRWNMXHEGRZ-YPMHNXCESA-N 0 3 236.359 2.510 20 0 BFADHN C[C@@H]1COCCCN1Cc1cc2ccccc2[nH]1 ZINC000684021686 488256311 /nfs/dbraw/zinc/25/63/11/488256311.db2.gz RVNAEVGYFZQLDR-GFCCVEGCSA-N 0 3 244.338 2.779 20 0 BFADHN C[C@@]1(O)CCCN(Cc2cc3ccccc3[nH]2)C1 ZINC000684021967 488256386 /nfs/dbraw/zinc/25/63/86/488256386.db2.gz ZSFJAOPSPIDZJH-OAHLLOKOSA-N 0 3 244.338 2.515 20 0 BFADHN CC(C)N(Cc1cc2ccccc2[nH]1)C[C@@H](C)O ZINC000684022874 488256719 /nfs/dbraw/zinc/25/67/19/488256719.db2.gz OZBUPJGFEGHGLQ-GFCCVEGCSA-N 0 3 246.354 2.759 20 0 BFADHN CCC1(O)CCC(NCc2occc2C)CC1 ZINC000678497691 488262643 /nfs/dbraw/zinc/26/26/43/488262643.db2.gz GUPKBVWWWDBONX-UHFFFAOYSA-N 0 3 237.343 2.761 20 0 BFADHN Cc1c(CN(C)C[C@@H]2CCC[C@H](C)C2)cnn1C ZINC000684107467 488267057 /nfs/dbraw/zinc/26/70/57/488267057.db2.gz HRTIJBIELONANH-GXTWGEPZSA-N 0 3 249.402 2.987 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc2c(c1)CCC(=O)N2 ZINC000678750835 488280194 /nfs/dbraw/zinc/28/01/94/488280194.db2.gz ZFSBSMBGNKTQKY-BYCRGOAPSA-N 0 3 244.338 2.798 20 0 BFADHN COCCCCCN[C@@H](C)c1c(C)noc1C ZINC000678803822 488284080 /nfs/dbraw/zinc/28/40/80/488284080.db2.gz BEPOVMLUGNOAKA-JTQLQIEISA-N 0 3 240.347 2.759 20 0 BFADHN CN(Cc1cn[nH]c1)CC(C)(C)c1ccccc1 ZINC000678830395 488289212 /nfs/dbraw/zinc/28/92/12/488289212.db2.gz LPGYNRASEDCHQJ-UHFFFAOYSA-N 0 3 243.354 2.819 20 0 BFADHN C(c1nc2c(s1)CCC2)N1CC[C@@H](C2CC2)C1 ZINC000678837211 488290460 /nfs/dbraw/zinc/29/04/60/488290460.db2.gz SFIOHTGFSYYRQV-LLVKDONJSA-N 0 3 248.395 2.864 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1nn(C)c2ccccc12 ZINC000678852049 488290948 /nfs/dbraw/zinc/29/09/48/488290948.db2.gz WEVWWDCHKMBHMY-AWEZNQCLSA-N 0 3 243.354 2.804 20 0 BFADHN CN(C)c1cccc(CN2CC=CCC2)c1 ZINC000679321397 488336115 /nfs/dbraw/zinc/33/61/15/488336115.db2.gz AUYFGDKHSGNLKS-UHFFFAOYSA-N 0 3 216.328 2.515 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2cn(C)cn2)[C@@H]1C ZINC000673608168 488297526 /nfs/dbraw/zinc/29/75/26/488297526.db2.gz PEVBHXNYQTZGBZ-UPJWGTAASA-N 0 3 235.375 2.582 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCOC1CCC1 ZINC000679067233 488305644 /nfs/dbraw/zinc/30/56/44/488305644.db2.gz QVUIDZMTQAWCEC-GFCCVEGCSA-N 0 3 234.343 2.610 20 0 BFADHN CC[C@H](N[C@H]1CCC(F)(F)C1)c1cnn(C)c1 ZINC000679072522 488306234 /nfs/dbraw/zinc/30/62/34/488306234.db2.gz XJECIBRNIYOOIK-QWRGUYRKSA-N 0 3 243.301 2.649 20 0 BFADHN CCc1ccc(CN2CC(C(C)C)C2)o1 ZINC000684407188 488307365 /nfs/dbraw/zinc/30/73/65/488307365.db2.gz AEEVDXLDSXQPAI-UHFFFAOYSA-N 0 3 207.317 2.930 20 0 BFADHN CCCn1nc(C)c(CN2CC(C(C)C)C2)c1C ZINC000684407361 488307584 /nfs/dbraw/zinc/30/75/84/488307584.db2.gz DABXSVBMTLAXIG-UHFFFAOYSA-N 0 3 249.402 2.998 20 0 BFADHN Cc1cccc(CCCN(C)Cc2cn[nH]c2)c1 ZINC000679216915 488317677 /nfs/dbraw/zinc/31/76/77/488317677.db2.gz FEAZYWDNCSYHCN-UHFFFAOYSA-N 0 3 243.354 2.783 20 0 BFADHN CC1=CCCN(Cc2cccc(N(C)C)c2)C1 ZINC000684774186 488341541 /nfs/dbraw/zinc/34/15/41/488341541.db2.gz SPAHQBYSWRFFTC-UHFFFAOYSA-N 0 3 230.355 2.905 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@@H]1CCCC(F)(F)C1 ZINC000679355852 488344027 /nfs/dbraw/zinc/34/40/27/488344027.db2.gz YJDPQIMLEQVLKY-PSASIEDQSA-N 0 3 243.301 2.947 20 0 BFADHN CO[C@@H](C)CN(Cc1ccc(C)o1)C1CC1 ZINC000679852143 488369002 /nfs/dbraw/zinc/36/90/02/488369002.db2.gz ZDJDISJUACLMDK-NSHDSACASA-N 0 3 223.316 2.587 20 0 BFADHN CO[C@H](C)CN(C)Cc1cc2ccccc2[nH]1 ZINC000679852233 488369007 /nfs/dbraw/zinc/36/90/07/488369007.db2.gz IGKRBGMMMPALBJ-LLVKDONJSA-N 0 3 232.327 2.635 20 0 BFADHN C[C@H](CO)CN1CC=C(c2ccc(F)cc2)CC1 ZINC000120501234 490062560 /nfs/dbraw/zinc/06/25/60/490062560.db2.gz LTENVCIGKBJRAS-LBPRGKRZSA-N 0 3 249.329 2.543 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1nccn1C ZINC000120668374 490066673 /nfs/dbraw/zinc/06/66/73/490066673.db2.gz JNEAQVUOOSJZQW-CHWSQXEVSA-N 0 3 235.375 2.821 20 0 BFADHN CCc1cccc(OCCN2CC=CCC2)c1 ZINC000679322090 490113140 /nfs/dbraw/zinc/11/31/40/490113140.db2.gz AIOZPMDVALHABS-UHFFFAOYSA-N 0 3 231.339 2.890 20 0 BFADHN C[C@@H](NCC(C)(C)CO)c1cc(F)cc(F)c1 ZINC000122045742 490121037 /nfs/dbraw/zinc/12/10/37/490121037.db2.gz XTFWGFCCFKCTNR-SECBINFHSA-N 0 3 243.297 2.634 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@@H](C)O[C@@H]2C)c(C)c1 ZINC000582765778 490150509 /nfs/dbraw/zinc/15/05/09/490150509.db2.gz PPGHLZKVLSURKP-LALPHHSUSA-N 0 3 249.354 2.659 20 0 BFADHN Cc1n[nH]cc1CN(CCC(C)C)C1CC1 ZINC000129833692 490172773 /nfs/dbraw/zinc/17/27/73/490172773.db2.gz VVWQIBJPKSLDRY-UHFFFAOYSA-N 0 3 221.348 2.729 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCCC2(CCC2)C1 ZINC000355216052 490228276 /nfs/dbraw/zinc/22/82/76/490228276.db2.gz IXNXFEFKEKZPJB-UHFFFAOYSA-N 0 3 233.359 2.793 20 0 BFADHN CC[C@H](O)CCCN[C@H](C)c1cnc(C)s1 ZINC000130214935 490290320 /nfs/dbraw/zinc/29/03/20/490290320.db2.gz CSQXRLHPLPQUPO-KOLCDFICSA-N 0 3 242.388 2.653 20 0 BFADHN CCOC(=O)CCCN(C)Cc1ccc(C)cc1 ZINC000154524942 490291376 /nfs/dbraw/zinc/29/13/76/490291376.db2.gz XTUCESCZLXYXRJ-UHFFFAOYSA-N 0 3 249.354 2.770 20 0 BFADHN CO[C@@H](C)CN[C@H]1CCc2ccc(Cl)cc21 ZINC000336775249 490359214 /nfs/dbraw/zinc/35/92/14/490359214.db2.gz YLBBZSFZCQSBQJ-ZANVPECISA-N 0 3 239.746 2.952 20 0 BFADHN C[C@H](N[C@H]1CCC(C)(C)C1)c1ncc[nH]1 ZINC000124788951 490403352 /nfs/dbraw/zinc/40/33/52/490403352.db2.gz HHIMFOJTFKKKNF-UWVGGRQHSA-N 0 3 207.321 2.639 20 0 BFADHN CCC[C@H](C)NCc1cc(OC)cc(C)n1 ZINC000125954267 490475820 /nfs/dbraw/zinc/47/58/20/490475820.db2.gz DIFQOQFZTFJFMB-JTQLQIEISA-N 0 3 222.332 2.677 20 0 BFADHN C[C@H](N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccccn1 ZINC000668669571 490498359 /nfs/dbraw/zinc/49/83/59/490498359.db2.gz WOXFAWOJHKWONG-KQXIARHKSA-N 0 3 202.301 2.531 20 0 BFADHN COC[C@@H](N[C@H](C)c1c(C)noc1C)C(C)C ZINC000126543554 490504236 /nfs/dbraw/zinc/50/42/36/490504236.db2.gz JGYREHQDTBDHNE-BXKDBHETSA-N 0 3 240.347 2.613 20 0 BFADHN COc1ccc(CNC2CC3(CCC3)C2)cn1 ZINC000448075170 490522291 /nfs/dbraw/zinc/52/22/91/490522291.db2.gz TXKRPQMCLAHQGD-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN Clc1ccc(CNC2CSC2)cc1 ZINC000076644469 490569525 /nfs/dbraw/zinc/56/95/25/490569525.db2.gz HPPAKLUSUSOODM-UHFFFAOYSA-N 0 3 213.733 2.545 20 0 BFADHN Cc1oncc1CN(CCC(C)C)C1CC1 ZINC000128284872 490576075 /nfs/dbraw/zinc/57/60/75/490576075.db2.gz MSUJHRQKUDTQIP-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@H](N[C@@H]1CCS[C@@H]1C)c1ccccn1 ZINC000220508537 490603609 /nfs/dbraw/zinc/60/36/09/490603609.db2.gz UKSFOXQIPXXMMY-HOSYDEDBSA-N 0 3 222.357 2.626 20 0 BFADHN C[C@H](N[C@@H]1CCS[C@@H]1C)c1cccnc1 ZINC000220550230 490610546 /nfs/dbraw/zinc/61/05/46/490610546.db2.gz FLOHXEFYYLMWID-HOSYDEDBSA-N 0 3 222.357 2.626 20 0 BFADHN CCC1(CC)[C@H](NCc2ccccn2)C[C@H]1OC ZINC000131358998 490632600 /nfs/dbraw/zinc/63/26/00/490632600.db2.gz LOHIAVVYWCLWDH-ZIAGYGMSSA-N 0 3 248.370 2.765 20 0 BFADHN Cc1occc1CN[C@@H]1CCS[C@H]1C ZINC000307297998 490659399 /nfs/dbraw/zinc/65/93/99/490659399.db2.gz QCNVPYWRMWKQAC-GXSJLCMTSA-N 0 3 211.330 2.572 20 0 BFADHN C[C@@H]1COC2(CCC2)CN1CC1=CCCC1 ZINC000661463197 490682807 /nfs/dbraw/zinc/68/28/07/490682807.db2.gz OAMDQVMHDFCELT-GFCCVEGCSA-N 0 3 221.344 2.740 20 0 BFADHN CC[C@@H](N[C@H](C)C1CCC1)c1ccn(C)n1 ZINC000309420809 491054716 /nfs/dbraw/zinc/05/47/16/491054716.db2.gz DOTDSLCNZTYMHY-ZYHUDNBSSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1ncc([C@H](C)NC[C@H](C)C[C@H](C)O)s1 ZINC000228090945 491089778 /nfs/dbraw/zinc/08/97/78/491089778.db2.gz UASOQVDUSBCREP-UTLUCORTSA-N 0 3 242.388 2.509 20 0 BFADHN C[C@H](N[C@@H](C)C(C)(C)O)c1ccc(F)c(F)c1 ZINC000087817651 491092577 /nfs/dbraw/zinc/09/25/77/491092577.db2.gz DPJUJVPXTRWAHU-IUCAKERBSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCc3cc(F)ccc32)CO1 ZINC000573322787 491092603 /nfs/dbraw/zinc/09/26/03/491092603.db2.gz BJGFJTKNLKPZFU-YVLXSGLVSA-N 0 3 249.329 2.970 20 0 BFADHN CC1(C)CCC[C@H](NCc2ccon2)C1 ZINC000088052587 491094153 /nfs/dbraw/zinc/09/41/53/491094153.db2.gz OLFRMMJVVZGUQK-JTQLQIEISA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H](O)CCN(C)[C@H](C)c1ccccc1F ZINC000245398324 491104344 /nfs/dbraw/zinc/10/43/44/491104344.db2.gz SEYJBVWFJOCLDJ-GHMZBOCLSA-N 0 3 225.307 2.589 20 0 BFADHN FCC1CCN(Cc2cccc3nccn32)CC1 ZINC000295046547 491115098 /nfs/dbraw/zinc/11/50/98/491115098.db2.gz NCFOOLSINGVBAN-UHFFFAOYSA-N 0 3 247.317 2.516 20 0 BFADHN CN(Cc1cnc2n1CCC2)[C@H]1CCC(C)(C)C1 ZINC000668095323 491116985 /nfs/dbraw/zinc/11/69/85/491116985.db2.gz GLMFSBNCFVFQPI-LBPRGKRZSA-N 0 3 247.386 2.840 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1ccncc1F ZINC000449191044 491123993 /nfs/dbraw/zinc/12/39/93/491123993.db2.gz ORJPCCHPIYZWFZ-GWCFXTLKSA-N 0 3 222.307 2.889 20 0 BFADHN C[C@H](NC1CCC2(CC2)CC1)c1nccn1C ZINC000336253902 491124040 /nfs/dbraw/zinc/12/40/40/491124040.db2.gz VSGFPWBHBVEHIX-NSHDSACASA-N 0 3 233.359 2.794 20 0 BFADHN COCC[C@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000090406801 491126114 /nfs/dbraw/zinc/12/61/14/491126114.db2.gz OEKZGWQHZOCDMC-IUCAKERBSA-N 0 3 228.361 2.527 20 0 BFADHN CCCn1nccc1CN[C@@H]1CC12CCCC2 ZINC000395627089 491126394 /nfs/dbraw/zinc/12/63/94/491126394.db2.gz AEFDCTVSTASIRA-CYBMUJFWSA-N 0 3 233.359 2.715 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2nccs2)C1 ZINC000230403247 491129921 /nfs/dbraw/zinc/12/99/21/491129921.db2.gz OXQKZEQWMAOTMU-NXEZZACHSA-N 0 3 210.346 2.669 20 0 BFADHN C[C@H](CN[C@@H](C)c1ncc[nH]1)c1ccccc1 ZINC000139004203 491130130 /nfs/dbraw/zinc/13/01/30/491130130.db2.gz UUUZTFMNQMHUKP-NEPJUHHUSA-N 0 3 229.327 2.864 20 0 BFADHN C[C@@H](CCc1ccco1)N[C@@H](C)c1ncc[nH]1 ZINC000139091989 491130402 /nfs/dbraw/zinc/13/04/02/491130402.db2.gz AIPSHZYLPMVIMZ-QWRGUYRKSA-N 0 3 233.315 2.675 20 0 BFADHN c1cnc2c(c1)CCC[C@H]2NC[C@@H]1CCCCO1 ZINC000091661382 491131331 /nfs/dbraw/zinc/13/13/31/491131331.db2.gz IPZKXSAGQGCJLP-UONOGXRCSA-N 0 3 246.354 2.618 20 0 BFADHN C=Cn1cc(CN2CCC[C@@H](C3CCC3)C2)cn1 ZINC000668719445 491132421 /nfs/dbraw/zinc/13/24/21/491132421.db2.gz VQIOFEDFMHMHGW-OAHLLOKOSA-N 0 3 245.370 2.996 20 0 BFADHN C[C@@H](NC1CC1)c1ccc(Br)cn1 ZINC000307696092 491134264 /nfs/dbraw/zinc/13/42/64/491134264.db2.gz JXNKEJGBLZPNCA-SSDOTTSWSA-N 0 3 241.132 2.657 20 0 BFADHN Clc1ccc(CCNC2CSC2)s1 ZINC000308195166 491135172 /nfs/dbraw/zinc/13/51/72/491135172.db2.gz RTJWACWUHPFGBY-UHFFFAOYSA-N 0 3 233.789 2.649 20 0 BFADHN C1=CCC(N[C@H]2CCCc3cccnc32)C1 ZINC000132582858 491137039 /nfs/dbraw/zinc/13/70/39/491137039.db2.gz PFMDMVCGFHMHKJ-ZDUSSCGKSA-N 0 3 214.312 2.767 20 0 BFADHN C/C=C\CN[C@H](C)c1cccc(OC)c1 ZINC000308772890 491138015 /nfs/dbraw/zinc/13/80/15/491138015.db2.gz KBQWNENDTJGKAS-DOGVGXBMSA-N 0 3 205.301 2.922 20 0 BFADHN CC(C)[C@H]1CCC[C@H]1NCc1cocn1 ZINC000308991600 491142029 /nfs/dbraw/zinc/14/20/29/491142029.db2.gz LHHZPFYTCKESIE-VXGBXAGGSA-N 0 3 208.305 2.589 20 0 BFADHN CC(C)C1CC(N[C@H](C)c2nccn2C)C1 ZINC000309351835 491147390 /nfs/dbraw/zinc/14/73/90/491147390.db2.gz MXZMGASPXSOLLC-VOMCLLRMSA-N 0 3 221.348 2.505 20 0 BFADHN Cc1oc2ccccc2c1CNC1CSC1 ZINC000309523340 491149945 /nfs/dbraw/zinc/14/99/45/491149945.db2.gz ACBDNXJNVSFRDD-UHFFFAOYSA-N 0 3 233.336 2.946 20 0 BFADHN CC[C@@H](N[C@@H](C)C1CCC1)c1ccn(C)n1 ZINC000310000238 491159689 /nfs/dbraw/zinc/15/96/89/491159689.db2.gz DOTDSLCNZTYMHY-CMPLNLGQSA-N 0 3 221.348 2.649 20 0 BFADHN CN(Cc1ccc2c(c1)CCCC2)C1(CO)CC1 ZINC000668799496 491160512 /nfs/dbraw/zinc/16/05/12/491160512.db2.gz XIQNIKIPJSGFSP-UHFFFAOYSA-N 0 3 245.366 2.522 20 0 BFADHN C[C@H]1CCC[C@@H](Nc2ccnc(CO)c2)C1 ZINC000858344057 582460055 /nfs/dbraw/zinc/46/00/55/582460055.db2.gz JBPMWVMQNOYPNT-WDEREUQCSA-N 0 3 220.316 2.565 20 0 BFADHN C[C@@H](CN1CCO[C@H](C2CC2)C1)c1ccccc1 ZINC000485832943 533862649 /nfs/dbraw/zinc/86/26/49/533862649.db2.gz SDSAQPOCRYGDMU-BBRMVZONSA-N 0 3 245.366 2.901 20 0 BFADHN CCOCCCN[C@H](CC)c1c(C)noc1C ZINC000348140412 535551370 /nfs/dbraw/zinc/55/13/70/535551370.db2.gz KDINYLZAXRUNBC-GFCCVEGCSA-N 0 3 240.347 2.759 20 0 BFADHN CCOCCN(C)[C@H](C)c1ccc(OC)cc1 ZINC000058562847 535571557 /nfs/dbraw/zinc/57/15/57/535571557.db2.gz PQMMVEXDJOUXDS-GFCCVEGCSA-N 0 3 237.343 2.725 20 0 BFADHN COc1ccccc1CN(C)C[C@H]1C[C@@H]1C ZINC000488289262 534059825 /nfs/dbraw/zinc/05/98/25/534059825.db2.gz VCNPIBLMRSZBGC-WCQYABFASA-N 0 3 219.328 2.783 20 0 BFADHN CCn1cc(CN2C[C@@H](C)C[C@H](C)C2)c(C)n1 ZINC000891449379 582688849 /nfs/dbraw/zinc/68/88/49/582688849.db2.gz WDXLLKVAVJUENP-RYUDHWBXSA-N 0 3 235.375 2.689 20 0 BFADHN Cc1cc(F)ncc1CN(C)[C@@H](C)C1CC1 ZINC000891644389 582705054 /nfs/dbraw/zinc/70/50/54/582705054.db2.gz WKYGCCOPNWSKCK-JTQLQIEISA-N 0 3 222.307 2.759 20 0 BFADHN COc1ccc(CN(C)[C@@H](C)C2CC2)o1 ZINC000891643645 582705601 /nfs/dbraw/zinc/70/56/01/582705601.db2.gz MYFNGDTXUVICDE-VIFPVBQESA-N 0 3 209.289 2.519 20 0 BFADHN C[C@@H]1OCC[C@@]1(C)N[C@H]1CCc2ccc(F)cc21 ZINC000494250010 534188539 /nfs/dbraw/zinc/18/85/39/534188539.db2.gz FQPQDYSQFOWMRV-NZVBXONLSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CSC2(C)C)s1 ZINC000336512476 518192485 /nfs/dbraw/zinc/19/24/85/518192485.db2.gz LMNWRNCXCDYTJI-SNVBAGLBSA-N 0 3 242.413 2.744 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)[C@H](C)C2)o1 ZINC000892064330 582782201 /nfs/dbraw/zinc/78/22/01/582782201.db2.gz BYRJKPYAGPIPHJ-GHMZBOCLSA-N 0 3 223.316 2.766 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3C[C@H](C)[C@@H]3C)cn2c1 ZINC000495004033 534503463 /nfs/dbraw/zinc/50/34/63/534503463.db2.gz FBFZCDSQFKMHJI-SGMGOOAPSA-N 0 3 243.354 2.777 20 0 BFADHN C[C@@H](N[C@@H]1CCC(F)(F)C1)c1cncs1 ZINC000393611011 582820314 /nfs/dbraw/zinc/82/03/14/582820314.db2.gz GUMWLHKNBZCGNU-HTQZYQBOSA-N 0 3 232.299 2.982 20 0 BFADHN CC(C)(C)C1CCN(c2ccnc(CO)c2)CC1 ZINC000050347797 534559081 /nfs/dbraw/zinc/55/90/81/534559081.db2.gz SNRGCLHVWYRSEH-UHFFFAOYSA-N 0 3 248.370 2.836 20 0 BFADHN Cc1ncc(CN(C)C[C@@H]2C[C@@H]2C)s1 ZINC000488298537 534733234 /nfs/dbraw/zinc/73/32/34/534733234.db2.gz RCWUXIJHQCCUJJ-WPRPVWTQSA-N 0 3 210.346 2.539 20 0 BFADHN CCCCCNCc1ccc(OCCOC)o1 ZINC000892430819 582885576 /nfs/dbraw/zinc/88/55/76/582885576.db2.gz KVGCQEJCEYLHAS-UHFFFAOYSA-N 0 3 241.331 2.585 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NC1CC(C(C)C)C1 ZINC000488420772 534842463 /nfs/dbraw/zinc/84/24/63/534842463.db2.gz JEPBKENDGNBPOL-QFWMXSHPSA-N 0 3 235.375 2.814 20 0 BFADHN COc1ccc(CN[C@H]2CCC[C@H](C)C2)o1 ZINC000892510291 582901686 /nfs/dbraw/zinc/90/16/86/582901686.db2.gz JGIGHDUIOOLFCK-QWRGUYRKSA-N 0 3 223.316 2.957 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NC/C=C\c1ccc(F)cc1 ZINC000494468693 527887633 /nfs/dbraw/zinc/88/76/33/527887633.db2.gz CETHXYBNINMUEB-KBIGUFJUSA-N 0 3 249.329 2.588 20 0 BFADHN COC[C@@H](C)N1CC=C(c2ccccc2)CC1 ZINC000173512387 536522705 /nfs/dbraw/zinc/52/27/05/536522705.db2.gz PAJHQPRTXBRASY-CYBMUJFWSA-N 0 3 231.339 2.811 20 0 BFADHN CC(C)OCCCN(C)C/C=C/c1ccncc1 ZINC000494998600 527935742 /nfs/dbraw/zinc/93/57/42/527935742.db2.gz RMPDJRWFOXDQHO-GQCTYLIASA-N 0 3 248.370 2.842 20 0 BFADHN CCCN(CC)Cc1c(CC)nn(C)c1CC ZINC000505236320 527999955 /nfs/dbraw/zinc/99/99/55/527999955.db2.gz XLZHIQKWNJLBRS-UHFFFAOYSA-N 0 3 237.391 2.777 20 0 BFADHN CCN(CC)CC(=O)N(C)C1CCCCCC1 ZINC000479313492 528081332 /nfs/dbraw/zinc/08/13/32/528081332.db2.gz NQJNGOJXJMTXCQ-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CCCCCN(C/C=C/c1ccncc1)CCO ZINC000495064309 528163204 /nfs/dbraw/zinc/16/32/04/528163204.db2.gz YGUWPFFYIZTWEW-AATRIKPKSA-N 0 3 248.370 2.579 20 0 BFADHN CCC(=O)CCCN1CCC(C(F)F)CC1 ZINC000307879012 528284920 /nfs/dbraw/zinc/28/49/20/528284920.db2.gz LZKFZJOEUYNGSL-UHFFFAOYSA-N 0 3 233.302 2.723 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cccc2c1OCO2 ZINC000507251262 528406793 /nfs/dbraw/zinc/40/67/93/528406793.db2.gz GPMXOFJVBRBWOY-LLVKDONJSA-N 0 3 235.327 2.892 20 0 BFADHN CCN(CCO)Cc1cc2cc(C)c(C)cc2o1 ZINC000305739541 528553880 /nfs/dbraw/zinc/55/38/80/528553880.db2.gz XPWCEJOKICBWNJ-UHFFFAOYSA-N 0 3 247.338 2.864 20 0 BFADHN CCCc1cccc(CN[C@H]2C[C@H](O)C2(C)C)c1 ZINC000494427362 528638943 /nfs/dbraw/zinc/63/89/43/528638943.db2.gz FIMUHXZEOOLWAE-GJZGRUSLSA-N 0 3 247.382 2.888 20 0 BFADHN CCOc1cccc(CN2C[C@H](C)C[C@H](C)C2)n1 ZINC000505342706 528646055 /nfs/dbraw/zinc/64/60/55/528646055.db2.gz IBNWVTMWENBLOV-BETUJISGSA-N 0 3 248.370 2.958 20 0 BFADHN CCN(Cc1ccccc1N(C)C)C1CC1 ZINC000507227940 528840380 /nfs/dbraw/zinc/84/03/80/528840380.db2.gz JAZRVTJQRKSVCJ-UHFFFAOYSA-N 0 3 218.344 2.737 20 0 BFADHN CCC[C@@H](C)N1CCO[C@H](C(C)(C)C)C1 ZINC000501874416 528890926 /nfs/dbraw/zinc/89/09/26/528890926.db2.gz NYUWPWMORJYNKF-NEPJUHHUSA-N 0 3 213.365 2.922 20 0 BFADHN CC[C@H]1CCCCCN1C(=O)CN(CC)CC ZINC000173256493 529196094 /nfs/dbraw/zinc/19/60/94/529196094.db2.gz QTOMGBAZLFZQCJ-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@@H](N[C@H]1CCOC1)c1ccccc1F ZINC000166508529 529208093 /nfs/dbraw/zinc/20/80/93/529208093.db2.gz JWHQWBHBFNADGQ-GXFFZTMASA-N 0 3 223.291 2.655 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1Cc1ccccc1C ZINC000505642019 529311457 /nfs/dbraw/zinc/31/14/57/529311457.db2.gz VWIBSIDNGWPFLP-HIFRSBDPSA-N 0 3 233.355 2.994 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cccnc1OC ZINC000488171090 529337371 /nfs/dbraw/zinc/33/73/71/529337371.db2.gz XVNNZOHPJOESQW-DGCLKSJQSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H](C)N(CC(=O)OC)C[C@@H](C)CC(C)C ZINC000499791582 529347582 /nfs/dbraw/zinc/34/75/82/529347582.db2.gz NKKWVOACVFGUMC-QWHCGFSZSA-N 0 3 243.391 2.942 20 0 BFADHN CC(C)N(C(=O)[C@H](C)N1CCC[C@H]1C)C(C)C ZINC000076117921 534951272 /nfs/dbraw/zinc/95/12/72/534951272.db2.gz UMRXAUNBLFHCOA-OLZOCXBDSA-N 0 3 240.391 2.505 20 0 BFADHN CC(C)(C)n1cc(CN[C@@H]2CC[C@@H](F)C2)cn1 ZINC000342274918 534956633 /nfs/dbraw/zinc/95/66/33/534956633.db2.gz IALHQUJMCVKECR-VXGBXAGGSA-N 0 3 239.338 2.618 20 0 BFADHN CC(C)CC[C@](C)(O)CNCc1ccco1 ZINC000164340521 534963426 /nfs/dbraw/zinc/96/34/26/534963426.db2.gz VCUCFZAMNMAZMA-ZDUSSCGKSA-N 0 3 225.332 2.556 20 0 BFADHN CCCCCN(CC(N)=O)CC1CCCCC1 ZINC000183028504 535022188 /nfs/dbraw/zinc/02/21/88/535022188.db2.gz LVJMYKHLXWXGEU-UHFFFAOYSA-N 0 3 240.391 2.544 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@H](N)C(C)(C)C ZINC000226072090 535025299 /nfs/dbraw/zinc/02/52/99/535025299.db2.gz QTKVPWLPHCGQBL-RYUDHWBXSA-N 0 3 242.407 2.835 20 0 BFADHN CCC(CC)NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000248660460 535072850 /nfs/dbraw/zinc/07/28/50/535072850.db2.gz ZJSZKNFNAXNJTF-UTUOFQBUSA-N 0 3 240.391 2.553 20 0 BFADHN CC(C)N(Cc1cccnc1)CC1=CCCOC1 ZINC000349287139 535108669 /nfs/dbraw/zinc/10/86/69/535108669.db2.gz UNPHHNQPAHHMCS-UHFFFAOYSA-N 0 3 246.354 2.639 20 0 BFADHN CC(C)(O)C(C)(C)NCc1ccc(F)c(F)c1 ZINC000227346105 535273888 /nfs/dbraw/zinc/27/38/88/535273888.db2.gz VQRAPQROUATVQW-UHFFFAOYSA-N 0 3 243.297 2.604 20 0 BFADHN CCCN(CC(=O)N(C(C)C)C(C)C)C1CC1 ZINC000171682231 535275130 /nfs/dbraw/zinc/27/51/30/535275130.db2.gz JCODQGRUAHQYKF-UHFFFAOYSA-N 0 3 240.391 2.506 20 0 BFADHN CCCC[C@@H](CC)CN1CCO[C@H](COC)C1 ZINC000183624554 535348215 /nfs/dbraw/zinc/34/82/15/535348215.db2.gz SAINQCLXQKPUBP-KGLIPLIRSA-N 0 3 243.391 2.550 20 0 BFADHN CC(C)=CCC[C@H](C)N(C)[C@H](C)C[S@@](C)=O ZINC000252006097 535365501 /nfs/dbraw/zinc/36/55/01/535365501.db2.gz ZYRPTIUXBASFHH-WOSRLPQWSA-N 0 3 245.432 2.820 20 0 BFADHN CCC(C)(C)c1ccc(CN2CC[C@@H](O)C2)cc1 ZINC000351755740 535367447 /nfs/dbraw/zinc/36/74/47/535367447.db2.gz ITKMAHIATVNQKF-OAHLLOKOSA-N 0 3 247.382 2.941 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1c(C)cnn1C ZINC000347851829 535509918 /nfs/dbraw/zinc/50/99/18/535509918.db2.gz ILJVSEAFUWSSQR-LLVKDONJSA-N 0 3 223.364 2.597 20 0 BFADHN CCOCCN(C)[C@H](C)c1cccs1 ZINC000253611733 535572642 /nfs/dbraw/zinc/57/26/42/535572642.db2.gz YCBQZSTZMHOHLD-SNVBAGLBSA-N 0 3 213.346 2.777 20 0 BFADHN CC[C@@H](C)N[C@H]1CCCn2nc(C(C)C)nc21 ZINC000167997001 535607001 /nfs/dbraw/zinc/60/70/01/535607001.db2.gz PLKIOZYHDCYSSU-MNOVXSKESA-N 0 3 236.363 2.625 20 0 BFADHN CCOC(=O)CN(C[C@@H]1CC=CCC1)C(C)C ZINC000179424162 535668042 /nfs/dbraw/zinc/66/80/42/535668042.db2.gz PRHLDKHCXBEBDL-CYBMUJFWSA-N 0 3 239.359 2.616 20 0 BFADHN CC[C@@H](NCCC1(F)CCC1)c1nccn1C ZINC000348334177 535803365 /nfs/dbraw/zinc/80/33/65/535803365.db2.gz OFFKIKDTBUMPII-LLVKDONJSA-N 0 3 239.338 2.743 20 0 BFADHN CC[C@@H](NC[C@@H]1CCSC1)c1nccs1 ZINC000224429802 535804752 /nfs/dbraw/zinc/80/47/52/535804752.db2.gz ADSQGMDENRAPCR-VHSXEESVSA-N 0 3 242.413 2.937 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1ccc(F)c(F)c1 ZINC000087012239 535858885 /nfs/dbraw/zinc/85/88/85/535858885.db2.gz RYOMSTNWNHGRHU-ZANVPECISA-N 0 3 243.297 2.776 20 0 BFADHN CCc1cccc(F)c1CN[C@@H]1CCCOC1 ZINC000342312777 535890985 /nfs/dbraw/zinc/89/09/85/535890985.db2.gz LWYVPVBDIJICAP-GFCCVEGCSA-N 0 3 237.318 2.657 20 0 BFADHN CC[C@H](NCCC1(F)CCC1)c1ccn(C)n1 ZINC000348440067 535898563 /nfs/dbraw/zinc/89/85/63/535898563.db2.gz ZEXFNQPRGBAWCR-NSHDSACASA-N 0 3 239.338 2.743 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](CCO)c1ccco1 ZINC000248978955 535942764 /nfs/dbraw/zinc/94/27/64/535942764.db2.gz IJJCEQQYDGMUDE-SDDRHHMPSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@@](C)(CCO)NCc1ccc(Cl)o1 ZINC000166040891 535977268 /nfs/dbraw/zinc/97/72/68/535977268.db2.gz VNLWFNPQBZZBFE-NSHDSACASA-N 0 3 231.723 2.574 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2conc2C)C1 ZINC000336164319 536038996 /nfs/dbraw/zinc/03/89/96/536038996.db2.gz AGALESAVFAWAPZ-ZDUSSCGKSA-N 0 3 222.332 2.995 20 0 BFADHN CCc1cnc(CNCCCCF)s1 ZINC000308521897 536050233 /nfs/dbraw/zinc/05/02/33/536050233.db2.gz PDHPMSPUVUQDDN-UHFFFAOYSA-N 0 3 216.325 2.545 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1C[C@H](O)[C@@H](C)C1 ZINC000351828040 536059907 /nfs/dbraw/zinc/05/99/07/536059907.db2.gz NTRRJDOABYCPLH-GDLCADMTSA-N 0 3 237.318 2.589 20 0 BFADHN CCn1ccnc1CN[C@H]1C[C@H](C)C[C@H](C)C1 ZINC000250334279 536112095 /nfs/dbraw/zinc/11/20/95/536112095.db2.gz HKEKORWPSMQZHD-ITGUQSILSA-N 0 3 235.375 2.817 20 0 BFADHN COC1(C)CCN([C@H](C)c2ccccn2)CC1 ZINC000335959797 536566688 /nfs/dbraw/zinc/56/66/88/536566688.db2.gz LUQQGRBVYCCHOY-GFCCVEGCSA-N 0 3 234.343 2.644 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1ccccn1 ZINC000160816297 536593718 /nfs/dbraw/zinc/59/37/18/536593718.db2.gz VDTMROXQPOAOEY-FPMFFAJLSA-N 0 3 234.343 2.690 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1CC[C@H](C)[C@H](C)C1 ZINC000351722165 536651172 /nfs/dbraw/zinc/65/11/72/536651172.db2.gz VKJAXXHMAZUNMF-XQQFMLRXSA-N 0 3 241.375 2.552 20 0 BFADHN COCCNC1(c2ccc(F)cc2)CCCC1 ZINC000171693938 536892512 /nfs/dbraw/zinc/89/25/12/536892512.db2.gz NZMLGDWZPFZDTN-UHFFFAOYSA-N 0 3 237.318 2.831 20 0 BFADHN COc1ccccc1OCCN1CCC[C@@H]1C ZINC000076116079 537094514 /nfs/dbraw/zinc/09/45/14/537094514.db2.gz WUOBMUJSSKVPCE-LBPRGKRZSA-N 0 3 235.327 2.558 20 0 BFADHN COc1cccc(CN2[C@H](C)CCC[C@H]2C)n1 ZINC000171860215 537107524 /nfs/dbraw/zinc/10/75/24/537107524.db2.gz SZFSYEPROWLECA-VXGBXAGGSA-N 0 3 234.343 2.853 20 0 BFADHN C[C@]1(NCc2ccc3cnccc3c2)CCOC1 ZINC000235757735 537904278 /nfs/dbraw/zinc/90/42/78/537904278.db2.gz GFDQZVWFLFCMNW-HNNXBMFYSA-N 0 3 242.322 2.503 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ccc(OC)o1 ZINC000892676660 582950006 /nfs/dbraw/zinc/95/00/06/582950006.db2.gz OQJBUDVCGIRYGS-ZJUUUORDSA-N 0 3 211.305 2.812 20 0 BFADHN CCN(CCc1ccccc1)Cc1cncnc1 ZINC000153696543 566267220 /nfs/dbraw/zinc/26/72/20/566267220.db2.gz ISGOITLDCSKRNE-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN COc1ccc(CNCCC2=CCCC2)o1 ZINC000893224091 583074227 /nfs/dbraw/zinc/07/42/27/583074227.db2.gz XFABCBYEFPFQPS-UHFFFAOYSA-N 0 3 221.300 2.878 20 0 BFADHN CC[C@@H]1CCCCN1Cc1[nH]nc(C)c1C ZINC000893870966 583236439 /nfs/dbraw/zinc/23/64/39/583236439.db2.gz IPAYUZNYPFFBDD-GFCCVEGCSA-N 0 3 221.348 2.791 20 0 BFADHN Cc1n[nH]c(CN(CC(C)C)C(C)C)c1C ZINC000893962344 583257805 /nfs/dbraw/zinc/25/78/05/583257805.db2.gz LYIOFYKPMHEUFF-UHFFFAOYSA-N 0 3 223.364 2.893 20 0 BFADHN CCOC1CCN(Cc2cc(C)co2)CC1 ZINC000893954418 583261095 /nfs/dbraw/zinc/26/10/95/583261095.db2.gz LRMJOJVSJBCVPG-UHFFFAOYSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1coc(CN2C[C@H](C)S[C@@H](C)C2)c1 ZINC000893978725 583265799 /nfs/dbraw/zinc/26/57/99/583265799.db2.gz VOZBXQUUDBFSBW-QWRGUYRKSA-N 0 3 225.357 2.914 20 0 BFADHN Cc1n[nH]c(CN2CCC(C)(C)CC2)c1C ZINC000893996304 583267790 /nfs/dbraw/zinc/26/77/90/583267790.db2.gz YWBWAYDSOPRSSU-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1[nH]nc(CN2CCC(C)(C)CC2)c1C ZINC000893996304 583267794 /nfs/dbraw/zinc/26/77/94/583267794.db2.gz YWBWAYDSOPRSSU-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1n[nH]c(CN2CCC[C@H](C)[C@@H]2C)c1C ZINC000893988051 583269775 /nfs/dbraw/zinc/26/97/75/583269775.db2.gz YBCLDGLONMZAQU-CABZTGNLSA-N 0 3 221.348 2.647 20 0 BFADHN CSc1ccc(CN(C)C2CCC2)o1 ZINC000894032471 583277166 /nfs/dbraw/zinc/27/71/66/583277166.db2.gz JVQYSAHAQKYUFO-UHFFFAOYSA-N 0 3 211.330 2.986 20 0 BFADHN Cc1ncoc1CNCC1CCCCC1 ZINC000894146777 583318296 /nfs/dbraw/zinc/31/82/96/583318296.db2.gz WPNPNRUPRBNPAM-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CC(C)c1cccc(CNCC2(C)OCCO2)c1 ZINC000853086725 588719071 /nfs/dbraw/zinc/71/90/71/588719071.db2.gz PDJFWBZAQMRTEU-UHFFFAOYSA-N 0 3 249.354 2.663 20 0 BFADHN CC[C@H]1CN(CCc2cccs2)C[C@H](C)O1 ZINC000111274106 588738458 /nfs/dbraw/zinc/73/84/58/588738458.db2.gz UACMIMMZKSYTHW-RYUDHWBXSA-N 0 3 239.384 2.790 20 0 BFADHN c1nncn1CN1CCC[C@@H](C2CCCCC2)C1 ZINC000853610064 588750469 /nfs/dbraw/zinc/75/04/69/588750469.db2.gz PFUFPJFXYGHABX-CQSZACIVSA-N 0 3 248.374 2.528 20 0 BFADHN Cc1cc(OCCOC(F)F)c(C)c(C)n1 ZINC000853705679 588758072 /nfs/dbraw/zinc/75/80/72/588758072.db2.gz PQEDVTREGUGYSN-UHFFFAOYSA-N 0 3 231.242 2.625 20 0 BFADHN CN(C)Cc1ccc(-c2cscc2CO)cc1 ZINC000854174057 588777933 /nfs/dbraw/zinc/77/79/33/588777933.db2.gz UIOADWXASPVJGH-UHFFFAOYSA-N 0 3 247.363 2.969 20 0 BFADHN CC[C@H](C)N1CCN(c2ccc(C)cc2)CC1 ZINC000113072035 588824437 /nfs/dbraw/zinc/82/44/37/588824437.db2.gz PNEWVRVPFPYOHR-AWEZNQCLSA-N 0 3 232.371 2.916 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2ccnc(F)c2)C1 ZINC000859062708 582480509 /nfs/dbraw/zinc/48/05/09/582480509.db2.gz CNJPOSIIADZXKH-QWRGUYRKSA-N 0 3 222.307 2.699 20 0 BFADHN CCCCCCC(=O)N(CC)[C@@H]1CCN(C)C1 ZINC000855363690 588829501 /nfs/dbraw/zinc/82/95/01/588829501.db2.gz VBUNZZHFTLAADZ-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1csc([C@@H](C)NC[C@](C)(O)C(C)C)n1 ZINC000168062194 582524659 /nfs/dbraw/zinc/52/46/59/582524659.db2.gz YOOUTAFZFUSWSR-PWSUYJOCSA-N 0 3 242.388 2.509 20 0 BFADHN CCCN(CC)Cc1cn2ccnc2s1 ZINC000891398872 582677633 /nfs/dbraw/zinc/67/76/33/582677633.db2.gz FXNRVJYEGBFNQG-UHFFFAOYSA-N 0 3 223.345 2.628 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C)C2)o1 ZINC000891446694 582687624 /nfs/dbraw/zinc/68/76/24/582687624.db2.gz KKCZFRULJXRCRH-SNVBAGLBSA-N 0 3 209.289 2.520 20 0 BFADHN CCCN(CCC)Cc1ccc(OC)o1 ZINC000891448838 582688272 /nfs/dbraw/zinc/68/82/72/582688272.db2.gz FJBYELQBCARRAB-UHFFFAOYSA-N 0 3 211.305 2.910 20 0 BFADHN CC(C)N(C)Cc1nccc2c1CCCC2 ZINC000891450411 582689488 /nfs/dbraw/zinc/68/94/88/582689488.db2.gz HMPWSMTVCOZMSY-UHFFFAOYSA-N 0 3 218.344 2.801 20 0 BFADHN COc1ccc(CN2CCCC[C@H]2C)o1 ZINC000891457505 582690458 /nfs/dbraw/zinc/69/04/58/582690458.db2.gz RLGLNYONSZSQQN-SNVBAGLBSA-N 0 3 209.289 2.663 20 0 BFADHN CCOc1ccc(CN2C3CCC2CC3)o1 ZINC000891554915 582696611 /nfs/dbraw/zinc/69/66/11/582696611.db2.gz DVJRBBNSQJIMRT-UHFFFAOYSA-N 0 3 221.300 2.805 20 0 BFADHN Cc1cc(CN(C)CC(C)C)cnc1F ZINC000891577719 582698200 /nfs/dbraw/zinc/69/82/00/582698200.db2.gz FSHJKAVYZFFFSF-UHFFFAOYSA-N 0 3 210.296 2.617 20 0 BFADHN CCN(CCOC)Cc1cc(O)cc(Cl)c1 ZINC000891583379 582699805 /nfs/dbraw/zinc/69/98/05/582699805.db2.gz FGGKKVVUAPODAW-UHFFFAOYSA-N 0 3 243.734 2.514 20 0 BFADHN COc1ccc(CN(C)[C@@H](C)C(C)C)o1 ZINC000891604350 582701103 /nfs/dbraw/zinc/70/11/03/582701103.db2.gz GQOZFTMRZNMIDN-JTQLQIEISA-N 0 3 211.305 2.765 20 0 BFADHN CCN(Cc1cnn(C2CCC2)c1)C1CC1 ZINC000891609082 582701832 /nfs/dbraw/zinc/70/18/32/582701832.db2.gz KWIMOQSMUYLAPI-UHFFFAOYSA-N 0 3 219.332 2.592 20 0 BFADHN CCn1cc(CN(C(C)C)C2CC2)c(C)n1 ZINC000891662868 582707014 /nfs/dbraw/zinc/70/70/14/582707014.db2.gz SIHRWLSTWFPONK-UHFFFAOYSA-N 0 3 221.348 2.584 20 0 BFADHN CC(C)N(Cc1cccc2[nH]c(=O)oc21)C1CC1 ZINC000891663394 582707923 /nfs/dbraw/zinc/70/79/23/582707923.db2.gz XXLKPGVKKLZKJB-UHFFFAOYSA-N 0 3 246.310 2.906 20 0 BFADHN CC[C@@H]1CCCN(Cc2cn(CC)nc2C)C1 ZINC000891708381 582711990 /nfs/dbraw/zinc/71/19/90/582711990.db2.gz XSCJZMGARALDLS-CYBMUJFWSA-N 0 3 235.375 2.833 20 0 BFADHN COc1ccc(CN2CCCC(C)(C)C2)o1 ZINC000891722382 582714356 /nfs/dbraw/zinc/71/43/56/582714356.db2.gz WUQIXHWSDQWTHF-UHFFFAOYSA-N 0 3 223.316 2.910 20 0 BFADHN CCOc1ccc(CN(C)CC2CC2)o1 ZINC000891736587 582715098 /nfs/dbraw/zinc/71/50/98/582715098.db2.gz OKYBQVQPFQRSLJ-UHFFFAOYSA-N 0 3 209.289 2.520 20 0 BFADHN CC[C@H]1CCCN1Cc1cnc(F)cc1C ZINC000891780688 582720037 /nfs/dbraw/zinc/72/00/37/582720037.db2.gz ZHOBWEXOSKSKPT-LBPRGKRZSA-N 0 3 222.307 2.904 20 0 BFADHN CN(Cc1nccn1C1CC1)C(C1CC1)C1CC1 ZINC000891852588 582730130 /nfs/dbraw/zinc/73/01/30/582730130.db2.gz NNGPYLNRBAZSPK-UHFFFAOYSA-N 0 3 245.370 2.838 20 0 BFADHN Cn1cc(CN2CCC(C)(C)CC2)c(C2CC2)n1 ZINC000891909975 582736312 /nfs/dbraw/zinc/73/63/12/582736312.db2.gz BKGOTJIUTZUWFS-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN COc1ccc(CN2CCCO[C@H](C)C2)c(C)c1 ZINC000891918182 582737828 /nfs/dbraw/zinc/73/78/28/582737828.db2.gz VZOCCBPFQSKFML-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@H]1CCCN1Cc1cccc2c1OCC2 ZINC000892019620 582762474 /nfs/dbraw/zinc/76/24/74/582762474.db2.gz NDJPPPHWFHKDRH-NSHDSACASA-N 0 3 217.312 2.606 20 0 BFADHN CCOc1ccc(CN2CCC[C@H]2C)o1 ZINC000892018979 582762492 /nfs/dbraw/zinc/76/24/92/582762492.db2.gz HLZUNESFEHSKEY-SNVBAGLBSA-N 0 3 209.289 2.663 20 0 BFADHN COc1ccc(CN2CC[C@@H](c3ccco3)C2)o1 ZINC000892018493 582762783 /nfs/dbraw/zinc/76/27/83/582762783.db2.gz GSQRJAIIGUZMGR-LLVKDONJSA-N 0 3 247.294 2.871 20 0 BFADHN Cc1cc(F)ncc1CN1CCC[C@@H]1C ZINC000892020404 582763375 /nfs/dbraw/zinc/76/33/75/582763375.db2.gz WNILWAVIENNSFM-JTQLQIEISA-N 0 3 208.280 2.513 20 0 BFADHN C[C@@H]1CCN(Cc2cn(C)nc2C2CC2)C[C@@H]1C ZINC000892066580 582783378 /nfs/dbraw/zinc/78/33/78/582783378.db2.gz WOURFOGGGHINJZ-NEPJUHHUSA-N 0 3 247.386 2.775 20 0 BFADHN C[C@H]1CN(Cc2cnn(C3CCC3)c2)C[C@H]1C ZINC000892068892 582784581 /nfs/dbraw/zinc/78/45/81/582784581.db2.gz VVRCXFSVRTXTIS-TXEJJXNPSA-N 0 3 233.359 2.696 20 0 BFADHN CCc1[nH]nc(Cl)c1CN1C[C@H](C)[C@@H](C)C1 ZINC000892068911 582784805 /nfs/dbraw/zinc/78/48/05/582784805.db2.gz WCHZALGPOMEBNO-IUCAKERBSA-N 0 3 241.766 2.713 20 0 BFADHN Cc1cc(F)ncc1CN1C[C@@H](C)[C@H](C)C1 ZINC000892068642 582784822 /nfs/dbraw/zinc/78/48/22/582784822.db2.gz RNFVABQXKJFSNM-GHMZBOCLSA-N 0 3 222.307 2.617 20 0 BFADHN CCOc1ccc(CN2C[C@H](C)[C@H](C)C2)o1 ZINC000892068207 582785119 /nfs/dbraw/zinc/78/51/19/582785119.db2.gz HAGXOPOIZYJNPU-PHIMTYICSA-N 0 3 223.316 2.766 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cnn(CC3CCC3)c2)C1 ZINC000892105266 582792205 /nfs/dbraw/zinc/79/22/05/582792205.db2.gz YQROLIARGIMDGE-CHWSQXEVSA-N 0 3 247.386 2.914 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H]2C)cnc1F ZINC000892104908 582792503 /nfs/dbraw/zinc/79/25/03/582792503.db2.gz UDNIMCSJBXJNRX-MWLCHTKSSA-N 0 3 222.307 2.759 20 0 BFADHN CC(=O)C1CCN(Cc2ccccc2C)CC1 ZINC000104422668 582793465 /nfs/dbraw/zinc/79/34/65/582793465.db2.gz KRYDNHBJDUCHRK-UHFFFAOYSA-N 0 3 231.339 2.796 20 0 BFADHN CC(C)(C)OCCNc1cc(N)ncc1Cl ZINC000892132049 582797238 /nfs/dbraw/zinc/79/72/38/582797238.db2.gz WHTGEWZWZNPYNI-UHFFFAOYSA-N 0 3 243.738 2.544 20 0 BFADHN c1cc(CN2CC[C@@H](C3CC3)C2)n(C2CCC2)n1 ZINC000892144327 582799078 /nfs/dbraw/zinc/79/90/78/582799078.db2.gz NBEUMQMBNRTNRP-CYBMUJFWSA-N 0 3 245.370 2.840 20 0 BFADHN CCOc1ccc(CN2CC[C@H](C)[C@@H]2C)o1 ZINC000892215173 582808414 /nfs/dbraw/zinc/80/84/14/582808414.db2.gz SNDPRLPEKNWIDR-QWRGUYRKSA-N 0 3 223.316 2.909 20 0 BFADHN CCOc1ccc(CN2CC[C@H](CC)C2)o1 ZINC000892223816 582809610 /nfs/dbraw/zinc/80/96/10/582809610.db2.gz MTDVQFJSQQOSKF-NSHDSACASA-N 0 3 223.316 2.910 20 0 BFADHN CC[C@@H]1CCN(Cc2cnn(C3CCC3)c2)C1 ZINC000892222856 582809832 /nfs/dbraw/zinc/80/98/32/582809832.db2.gz CDPXAVOXJQUGGW-GFCCVEGCSA-N 0 3 233.359 2.840 20 0 BFADHN COc1ccc(CN(C)CCC2CC2)o1 ZINC000892237145 582810584 /nfs/dbraw/zinc/81/05/84/582810584.db2.gz WLDPJYXUQVVDNH-UHFFFAOYSA-N 0 3 209.289 2.520 20 0 BFADHN C[C@H]1CCN(Cn2cc(-c3ccccc3)cn2)C1 ZINC000725777204 582848711 /nfs/dbraw/zinc/84/87/11/582848711.db2.gz DILVXCDQGOWRLE-ZDUSSCGKSA-N 0 3 241.338 2.849 20 0 BFADHN CCc1onc(C)c1CN1CC[C@@H]1C(C)C ZINC000892404139 582877352 /nfs/dbraw/zinc/87/73/52/582877352.db2.gz YPXSYAHGKGGGSJ-GFCCVEGCSA-N 0 3 222.332 2.776 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cccc2[nH]c(=O)oc21 ZINC000892403946 582877361 /nfs/dbraw/zinc/87/73/61/582877361.db2.gz UUVHKDWWGLLBPJ-GFCCVEGCSA-N 0 3 246.310 2.764 20 0 BFADHN CCCCCNCc1c(C)noc1CC ZINC000892430204 582885816 /nfs/dbraw/zinc/88/58/16/582885816.db2.gz ATEKCTUFTUFEJV-UHFFFAOYSA-N 0 3 210.321 2.825 20 0 BFADHN CCc1onc(C)c1CNCCc1ccccc1 ZINC000892431677 582886234 /nfs/dbraw/zinc/88/62/34/582886234.db2.gz AHQVJCLQWPOPDL-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN CCC[C@H](C)NCc1ccc(OCCOC)o1 ZINC000892441062 582887463 /nfs/dbraw/zinc/88/74/63/582887463.db2.gz IVFJULMAKUQTGP-NSHDSACASA-N 0 3 241.331 2.583 20 0 BFADHN CC[C@@H](CO)NCc1cc(C(C)(C)C)oc1C ZINC000892478729 582895247 /nfs/dbraw/zinc/89/52/47/582895247.db2.gz PHFKXCXEINBEGX-LBPRGKRZSA-N 0 3 239.359 2.746 20 0 BFADHN CCOCCC[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000892479199 582895455 /nfs/dbraw/zinc/89/54/55/582895455.db2.gz ZIWRMRJZTIJORI-UHFFFAOYSA-N 0 3 243.734 2.562 20 0 BFADHN C[C@H]1C[C@@H]1C[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000892571639 582917026 /nfs/dbraw/zinc/91/70/26/582917026.db2.gz PGHPOTPLYPBPFK-WCBMZHEXSA-N 0 3 225.719 2.791 20 0 BFADHN Fc1cncc([C@@H](N[C@H]2C=CCC2)C2CC2)c1 ZINC000850510292 582923735 /nfs/dbraw/zinc/92/37/35/582923735.db2.gz SEIHXFPOUSSOLF-KBPBESRZSA-N 0 3 232.302 2.980 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCC(F)(F)C1 ZINC000393808895 582925814 /nfs/dbraw/zinc/92/58/14/582925814.db2.gz RAGOWUQXQLCTHX-SECBINFHSA-N 0 3 230.258 2.569 20 0 BFADHN C[C@H](CC1CCC1)N[C@H](CO)c1ccsc1 ZINC000393804243 582925950 /nfs/dbraw/zinc/92/59/50/582925950.db2.gz PBZGPARXHSPCJM-ZWNOBZJWSA-N 0 3 239.384 2.950 20 0 BFADHN CCc1ccccc1CNc1nc(C)cc(N)n1 ZINC000892645790 582938005 /nfs/dbraw/zinc/93/80/05/582938005.db2.gz PKPQQCAFXYECMX-UHFFFAOYSA-N 0 3 242.326 2.542 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ccnc(OC)c1F ZINC000892676813 582950117 /nfs/dbraw/zinc/95/01/17/582950117.db2.gz PLWGLKCBOREDAS-VHSXEESVSA-N 0 3 240.322 2.754 20 0 BFADHN COc1ccc(CN[C@H](C)C2(C)CC2)o1 ZINC000892687145 582955393 /nfs/dbraw/zinc/95/53/93/582955393.db2.gz QPHRICGANUFFRD-SECBINFHSA-N 0 3 209.289 2.566 20 0 BFADHN CO[C@@H]1CC[C@H](N2CC=C(C(F)(F)F)CC2)C1 ZINC000851869789 582974487 /nfs/dbraw/zinc/97/44/87/582974487.db2.gz QTNCKIWRPJJWPB-WDEREUQCSA-N 0 3 249.276 2.748 20 0 BFADHN COc1ccc(CNC[C@H]2CC=CCC2)nc1 ZINC000892744839 582975173 /nfs/dbraw/zinc/97/51/73/582975173.db2.gz FYRFKUNGYUCUIU-LBPRGKRZSA-N 0 3 232.327 2.536 20 0 BFADHN CO[C@@H](C)CC[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000892806212 582986796 /nfs/dbraw/zinc/98/67/96/582986796.db2.gz BEASXHZFWPIIJN-VIFPVBQESA-N 0 3 243.734 2.560 20 0 BFADHN CC(C)CC1CCN(c2ccncc2CO)CC1 ZINC000892919398 583009237 /nfs/dbraw/zinc/00/92/37/583009237.db2.gz MJCALKHUFLJYPS-UHFFFAOYSA-N 0 3 248.370 2.836 20 0 BFADHN CC(=O)OC[C@H](C)N[C@H]1CCc2c1cccc2C ZINC000892921592 583009369 /nfs/dbraw/zinc/00/93/69/583009369.db2.gz WVSFABDGNSOQIJ-NHYWBVRUSA-N 0 3 247.338 2.524 20 0 BFADHN COc1nccc(CN[C@H]2CCC[C@H]2C)c1F ZINC000892946356 583015053 /nfs/dbraw/zinc/01/50/53/583015053.db2.gz YYBPSVQXQVFJMW-KOLCDFICSA-N 0 3 238.306 2.508 20 0 BFADHN CCc1onc(C)c1CNCCCC1CC1 ZINC000893001459 583027546 /nfs/dbraw/zinc/02/75/46/583027546.db2.gz MWPZLCAYIPRKPX-UHFFFAOYSA-N 0 3 222.332 2.825 20 0 BFADHN CCc1onc(C)c1CNCCCCSC ZINC000893001107 583028315 /nfs/dbraw/zinc/02/83/15/583028315.db2.gz ZUFRRXRRZVDUNM-UHFFFAOYSA-N 0 3 242.388 2.778 20 0 BFADHN CCc1onc(C)c1CNC[C@H]1CCCS1 ZINC000893112339 583051058 /nfs/dbraw/zinc/05/10/58/583051058.db2.gz YMQMRXIGVVUTAO-SNVBAGLBSA-N 0 3 240.372 2.531 20 0 BFADHN CCOc1ccc(CN[C@H]2CCC(F)(F)C2)o1 ZINC000893153125 583058076 /nfs/dbraw/zinc/05/80/76/583058076.db2.gz LNVQSYBCMFFLCH-VIFPVBQESA-N 0 3 245.269 2.956 20 0 BFADHN CC(C)c1cc(CNC2CCCC2)on1 ZINC000114510784 588874872 /nfs/dbraw/zinc/87/48/72/588874872.db2.gz KTGSGLYQULJXBS-UHFFFAOYSA-N 0 3 208.305 2.830 20 0 BFADHN Cc1cnc(-c2ccc(CN(C)C)cc2)nc1 ZINC000856721297 588875233 /nfs/dbraw/zinc/87/52/33/588875233.db2.gz HTBYUWZXFYCOPE-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN CC(C)Cc1ccc(CN[C@]2(C)CCOC2)cn1 ZINC000893242213 583076685 /nfs/dbraw/zinc/07/66/85/583076685.db2.gz VJRCBSPRLUJWQC-OAHLLOKOSA-N 0 3 248.370 2.549 20 0 BFADHN CCCCCCNCc1cn(C)nc1Cl ZINC000856763847 588876274 /nfs/dbraw/zinc/87/62/74/588876274.db2.gz KIUOHBZRMWFGAT-UHFFFAOYSA-N 0 3 229.755 2.743 20 0 BFADHN COC[C@H](NCc1cc2ccccc2o1)C1CC1 ZINC000397345841 583100306 /nfs/dbraw/zinc/10/03/06/583100306.db2.gz XXFUVPLWUGMZDQ-AWEZNQCLSA-N 0 3 245.322 2.947 20 0 BFADHN CCC(CC)CNCc1cn(C)nc1Cl ZINC000856769378 588876720 /nfs/dbraw/zinc/87/67/20/588876720.db2.gz NGARKESCTFWIRW-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1cn(C)nc1Cl ZINC000856772601 583124045 /nfs/dbraw/zinc/12/40/45/583124045.db2.gz YZOAZGAKRBNKNT-VHSXEESVSA-N 0 3 243.782 2.988 20 0 BFADHN Cn1cc(CN[C@@]2(C)CC=CCC2)c(Cl)n1 ZINC000856790567 588878265 /nfs/dbraw/zinc/87/82/65/588878265.db2.gz FJGXDIQCDNYSQC-LBPRGKRZSA-N 0 3 239.750 2.662 20 0 BFADHN CC1CC(CNCc2cc(C(F)(F)F)n[nH]2)C1 ZINC000856809516 588878526 /nfs/dbraw/zinc/87/85/26/588878526.db2.gz DFSMWRWJDUZXMS-UHFFFAOYSA-N 0 3 247.264 2.564 20 0 BFADHN FC1(F)C[C@H]1CCNCc1cccnc1Cl ZINC000856802515 588878695 /nfs/dbraw/zinc/87/86/95/588878695.db2.gz RINUSPRQRXBBLA-SECBINFHSA-N 0 3 246.688 2.870 20 0 BFADHN CC(C)=CCNc1cc(N)ncc1Cl ZINC000893450305 583145647 /nfs/dbraw/zinc/14/56/47/583145647.db2.gz AGDACCQPEWMTQM-UHFFFAOYSA-N 0 3 211.696 2.695 20 0 BFADHN COc1ccc(CN[C@H](C)CCC2CC2)o1 ZINC000893648273 583186695 /nfs/dbraw/zinc/18/66/95/583186695.db2.gz FFSXXXKREHYSNN-SNVBAGLBSA-N 0 3 223.316 2.957 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1nccn1C1CC1 ZINC000893676815 583195448 /nfs/dbraw/zinc/19/54/48/583195448.db2.gz OEZNURWHPZYVJD-WCQYABFASA-N 0 3 233.359 2.838 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1ccc(OC)o1 ZINC000893675954 583196159 /nfs/dbraw/zinc/19/61/59/583196159.db2.gz IRUSYMMWUDHPSV-JQWIXIFHSA-N 0 3 223.316 2.909 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2cn(C)nc2Cl)[C@H]1C ZINC000857046242 588883986 /nfs/dbraw/zinc/88/39/86/588883986.db2.gz ATEPDQIBWLHDPM-BBBLOLIVSA-N 0 3 241.766 2.550 20 0 BFADHN Cc1cc(NC(=O)C(C)C(F)(F)F)cnc1C ZINC000893815196 583226307 /nfs/dbraw/zinc/22/63/07/583226307.db2.gz PYYXFYZHFWDHDK-SSDOTTSWSA-N 0 3 246.232 2.835 20 0 BFADHN OC[C@@H]1CCCN(C/C=C\c2cccc(F)c2)C1 ZINC000893863697 583234528 /nfs/dbraw/zinc/23/45/28/583234528.db2.gz LCNHYOLBWIVBKQ-FWWGQDLDSA-N 0 3 249.329 2.543 20 0 BFADHN Cc1n[nH]c(CN2C[C@@H](C)C[C@H](C)C2)c1C ZINC000893872679 583237421 /nfs/dbraw/zinc/23/74/21/583237421.db2.gz XWHFJDINWOAABK-UWVGGRQHSA-N 0 3 221.348 2.504 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc3oc(=O)oc3c2)C1 ZINC000893871447 583237610 /nfs/dbraw/zinc/23/76/10/583237610.db2.gz NISNGHHLLMGXIA-SNVBAGLBSA-N 0 3 247.294 2.618 20 0 BFADHN CCCCN(C)Cc1ccc2oc(=O)oc2c1 ZINC000893873048 583239222 /nfs/dbraw/zinc/23/92/22/583239222.db2.gz ZVQXEAYFADYONZ-UHFFFAOYSA-N 0 3 235.283 2.618 20 0 BFADHN CCCN(C/C=C\c1cccc(F)c1)CCO ZINC000893893676 583245203 /nfs/dbraw/zinc/24/52/03/583245203.db2.gz FPHLMTMAKZGUFF-XQRVVYSFSA-N 0 3 237.318 2.543 20 0 BFADHN CCC[C@H](C)N(C)Cc1c(C)ccnc1N ZINC000893945665 583257419 /nfs/dbraw/zinc/25/74/19/583257419.db2.gz IFSOGIRBTJVHAL-NSHDSACASA-N 0 3 221.348 2.593 20 0 BFADHN CC[C@@H]1CCCN(Cc2ocnc2C)C1 ZINC000893962100 583257905 /nfs/dbraw/zinc/25/79/05/583257905.db2.gz LCRDVEMYQNQQEU-LLVKDONJSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1ncoc1CN(C)[C@H](C)C(C)(C)C ZINC000893953321 583261707 /nfs/dbraw/zinc/26/17/07/583261707.db2.gz RVCYBJRQKSRJLW-SNVBAGLBSA-N 0 3 210.321 2.849 20 0 BFADHN CC[C@H]1CCCN1Cc1ccc2oc(=O)oc2c1 ZINC000893974114 583264892 /nfs/dbraw/zinc/26/48/92/583264892.db2.gz PVWRVZWZJHIWAS-NSHDSACASA-N 0 3 247.294 2.760 20 0 BFADHN Cc1coc(CN2CCC(c3ncc[nH]3)CC2)c1 ZINC000893980090 583266333 /nfs/dbraw/zinc/26/63/33/583266333.db2.gz GZCVCUGFPQVINN-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN Cc1ncoc1CN1CCC[C@@H](C)[C@@H]1C ZINC000893986769 583268751 /nfs/dbraw/zinc/26/87/51/583268751.db2.gz MJZXVZJICAAADY-KOLCDFICSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ncoc1CN(C)C(C1CC1)C1CC1 ZINC000893983292 583269211 /nfs/dbraw/zinc/26/92/11/583269211.db2.gz ABXNICVQXOLHQU-UHFFFAOYSA-N 0 3 220.316 2.603 20 0 BFADHN Cc1ccnc(N)c1CN1CCC2(CCCC2)C1 ZINC000894022255 583275026 /nfs/dbraw/zinc/27/50/26/583275026.db2.gz WURQKXDRNONJJH-UHFFFAOYSA-N 0 3 245.370 2.738 20 0 BFADHN CC1(O)CCN(C/C=C\c2cccc(F)c2)CC1 ZINC000894030814 583277345 /nfs/dbraw/zinc/27/73/45/583277345.db2.gz LYOVZUMAQMYDPH-HYXAFXHYSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1coc(CN2CCCC3(CCOCC3)C2)c1 ZINC000894033057 583277613 /nfs/dbraw/zinc/27/76/13/583277613.db2.gz YDCLBYXTIXPVSH-UHFFFAOYSA-N 0 3 249.354 2.981 20 0 BFADHN COc1cc(C)cc(CN(C)C2CCC2)c1OC ZINC000894032263 583277624 /nfs/dbraw/zinc/27/76/24/583277624.db2.gz FYIYYOZMXKDQLM-UHFFFAOYSA-N 0 3 249.354 2.997 20 0 BFADHN C[C@H](O)CCN(C)C/C=C\c1cccc(F)c1 ZINC000894034023 583278552 /nfs/dbraw/zinc/27/85/52/583278552.db2.gz PCAGWJAPAGINOC-RNZFLTOJSA-N 0 3 237.318 2.542 20 0 BFADHN CCN(C/C=C/c1cccc(F)c1)CCCO ZINC000894052417 583289462 /nfs/dbraw/zinc/28/94/62/583289462.db2.gz BDYLAONNNYKCME-QPJJXVBHSA-N 0 3 237.318 2.543 20 0 BFADHN Cc1oc(C(C)(C)C)cc1CNCCCCO ZINC000894081982 583294331 /nfs/dbraw/zinc/29/43/31/583294331.db2.gz MHXBJQRYCUVAIL-UHFFFAOYSA-N 0 3 239.359 2.748 20 0 BFADHN C[C@H]1CCN(Cc2ccc3oc(=O)oc3c2)[C@H]1C ZINC000894086330 583294884 /nfs/dbraw/zinc/29/48/84/583294884.db2.gz VLTLRZUESIZOEE-UWVGGRQHSA-N 0 3 247.294 2.616 20 0 BFADHN Cc1ccnc(N)c1CN(C)[C@@H](C)C1(C)CC1 ZINC000894088637 583298488 /nfs/dbraw/zinc/29/84/88/583298488.db2.gz NARGIQYECBJEIR-NSHDSACASA-N 0 3 233.359 2.593 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1ccc2oc(=O)oc2c1 ZINC000894092989 583299615 /nfs/dbraw/zinc/29/96/15/583299615.db2.gz ASGMHUSVAHJGBI-AOOOYVTPSA-N 0 3 247.294 2.759 20 0 BFADHN CC[C@@H]1CN(C/C=C/c2cccc(F)c2)C[C@@H]1O ZINC000894096498 583299690 /nfs/dbraw/zinc/29/96/90/583299690.db2.gz XGHCVBRBRCIKFS-HNLSUCLVSA-N 0 3 249.329 2.542 20 0 BFADHN CSC1(CNCc2cnc(F)cc2C)CC1 ZINC000894105477 583306257 /nfs/dbraw/zinc/30/62/57/583306257.db2.gz ANYQRKINDYHAEQ-UHFFFAOYSA-N 0 3 240.347 2.514 20 0 BFADHN COc1nccc(CNCCC2(C)CC2)c1F ZINC000894134276 583313490 /nfs/dbraw/zinc/31/34/90/583313490.db2.gz NWPNQCUHCXTFTO-UHFFFAOYSA-N 0 3 238.306 2.509 20 0 BFADHN Cc1ncoc1CN[C@H](C)Cc1cccs1 ZINC000894164678 583322961 /nfs/dbraw/zinc/32/29/61/583322961.db2.gz IZDFOASVFLGQGD-SECBINFHSA-N 0 3 236.340 2.765 20 0 BFADHN CO[C@@](C)(CN1CCC[C@](C)(F)C1)C1CC1 ZINC000877557107 583330271 /nfs/dbraw/zinc/33/02/71/583330271.db2.gz FXZVAGCLZWQKCG-STQMWFEESA-N 0 3 229.339 2.626 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCC[C@@H]2F)CS1 ZINC000877681698 583343875 /nfs/dbraw/zinc/34/38/75/583343875.db2.gz AAXKGIFVAORRHD-VPOLOUISSA-N 0 3 217.353 2.751 20 0 BFADHN Oc1cccc(CN2CC[C@H]3CSC[C@H]3C2)c1 ZINC000877737930 583344858 /nfs/dbraw/zinc/34/48/58/583344858.db2.gz IYYRTWPRFITULK-QWHCGFSZSA-N 0 3 249.379 2.577 20 0 BFADHN FC(F)[C@@H]1CCN(C[C@@H]2C[C@H]3CCC[C@@H]3O2)C1 ZINC000878319839 583369149 /nfs/dbraw/zinc/36/91/49/583369149.db2.gz BURUZKCWEKOSEZ-WYUUTHIRSA-N 0 3 245.313 2.531 20 0 BFADHN CC1=CCCN(C[C@@H]2C[C@]2(C)Br)C1 ZINC000894221328 583382266 /nfs/dbraw/zinc/38/22/66/583382266.db2.gz NKOBCAPSSYBUPN-QWRGUYRKSA-N 0 3 244.176 2.812 20 0 BFADHN CSCCC[N@H+](C)Cc1ccc([O-])c(F)c1 ZINC000894246003 583389609 /nfs/dbraw/zinc/38/96/09/583389609.db2.gz PIWPPHRYKVTCGB-UHFFFAOYSA-N 0 3 243.347 2.716 20 0 BFADHN CSCCC[N@@H+](C)Cc1ccc([O-])c(F)c1 ZINC000894246003 583389612 /nfs/dbraw/zinc/38/96/12/583389612.db2.gz PIWPPHRYKVTCGB-UHFFFAOYSA-N 0 3 243.347 2.716 20 0 BFADHN CCSCCCNCc1cnc(F)cc1C ZINC000894258011 583395937 /nfs/dbraw/zinc/39/59/37/583395937.db2.gz GIQGPAGAYDKTFM-UHFFFAOYSA-N 0 3 242.363 2.762 20 0 BFADHN CS[C@H]1CCC[C@H](NCc2ocnc2C)C1 ZINC000894259826 583398387 /nfs/dbraw/zinc/39/83/87/583398387.db2.gz JVFIENVOIVSIIG-QWRGUYRKSA-N 0 3 240.372 2.747 20 0 BFADHN Cc1ncoc1CN[C@@H]1CC[C@@H](C)C[C@H]1C ZINC000894261040 583399157 /nfs/dbraw/zinc/39/91/57/583399157.db2.gz PLLFXJJBBVXLSK-CKYFFXLPSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ncoc1CN[C@@H](C)C1CCCC1 ZINC000894272797 583403404 /nfs/dbraw/zinc/40/34/04/583403404.db2.gz GHKBCMFZXMVPAC-VIFPVBQESA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(F)ncc1CN[C@H]1CSC1(C)C ZINC000894281935 583409407 /nfs/dbraw/zinc/40/94/07/583409407.db2.gz VZTFGBVEVNPZQA-JTQLQIEISA-N 0 3 240.347 2.513 20 0 BFADHN CO[C@@H]1CC[C@H](C)N(Cc2cccc(F)c2)C1 ZINC000879318254 583422654 /nfs/dbraw/zinc/42/26/54/583422654.db2.gz RQHBVLCVDSGWIB-SMDDNHRTSA-N 0 3 237.318 2.825 20 0 BFADHN CCN(CCSC)c1cc(N)ncc1Cl ZINC000894368201 583439796 /nfs/dbraw/zinc/43/97/96/583439796.db2.gz XWSSDROYVUGKPE-UHFFFAOYSA-N 0 3 245.779 2.507 20 0 BFADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1c[nH]cn1 ZINC000894369759 583440064 /nfs/dbraw/zinc/44/00/64/583440064.db2.gz CMMLDKPSPSCDJE-IAQYHMDHSA-N 0 3 241.338 2.823 20 0 BFADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1cnc[nH]1 ZINC000894369759 583440067 /nfs/dbraw/zinc/44/00/67/583440067.db2.gz CMMLDKPSPSCDJE-IAQYHMDHSA-N 0 3 241.338 2.823 20 0 BFADHN CCc1cccc(CNc2nc(C)cc(N)n2)c1 ZINC000894405202 583450702 /nfs/dbraw/zinc/45/07/02/583450702.db2.gz YCJUWODLGQRETR-UHFFFAOYSA-N 0 3 242.326 2.542 20 0 BFADHN Cc1coc(CN(C)C[C@H]2CCCC[C@H]2O)c1 ZINC000894420531 583455613 /nfs/dbraw/zinc/45/56/13/583455613.db2.gz OHXDLMKGVMBOCI-TZMCWYRMSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1coc(CN2CCC(CCCO)CC2)c1 ZINC000894437558 583460029 /nfs/dbraw/zinc/46/00/29/583460029.db2.gz QQCGRBUKKDTRKX-UHFFFAOYSA-N 0 3 237.343 2.573 20 0 BFADHN Cn1cc(CN[C@@H]2CCC2(C)C)c(C2CC2)n1 ZINC000894445535 583460576 /nfs/dbraw/zinc/46/05/76/583460576.db2.gz OUEAWKSCJDSAAG-GFCCVEGCSA-N 0 3 233.359 2.576 20 0 BFADHN Cc1ccnc(N)c1CN(C)C[C@H]1CC=CCC1 ZINC000894445774 583460814 /nfs/dbraw/zinc/46/08/14/583460814.db2.gz ROTRXYSVZOLMLW-ZDUSSCGKSA-N 0 3 245.370 2.760 20 0 BFADHN Cc1oc(C(C)(C)C)cc1CN(C)[C@@H](C)CO ZINC000894464168 583465259 /nfs/dbraw/zinc/46/52/59/583465259.db2.gz LIRVERHQZCBXRS-JTQLQIEISA-N 0 3 239.359 2.698 20 0 BFADHN OCC[C@@H]1CCCN1C/C=C/c1cccc(F)c1 ZINC000894499096 583472634 /nfs/dbraw/zinc/47/26/34/583472634.db2.gz DRAVQARZBJQBSZ-IJJSQOHJSA-N 0 3 249.329 2.686 20 0 BFADHN C[C@@H]1CN(C/C=C/c2cccc(F)c2)CC[C@H]1O ZINC000894497741 583473226 /nfs/dbraw/zinc/47/32/26/583473226.db2.gz PTBNDIFEXYAAIQ-BYSCNOHMSA-N 0 3 249.329 2.542 20 0 BFADHN CC[C@@]1(O)CCN(C/C=C\c2cccc(F)c2)C1 ZINC000894505164 583474310 /nfs/dbraw/zinc/47/43/10/583474310.db2.gz XIGYJXBHFPUQOO-ZDTYVGOBSA-N 0 3 249.329 2.686 20 0 BFADHN CCC(CC)N(CC)Cc1c2c(nn1C)CCC2 ZINC000894524249 583477592 /nfs/dbraw/zinc/47/75/92/583477592.db2.gz WLKPEZVIJDJZBX-UHFFFAOYSA-N 0 3 249.402 2.919 20 0 BFADHN Cc1ncoc1CN1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000894540423 583480473 /nfs/dbraw/zinc/48/04/73/583480473.db2.gz IIMFNIXUZDTVIR-CKYFFXLPSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1ncoc1CN1C[C@H](C)C[C@H](C)[C@H]1C ZINC000894540425 583481150 /nfs/dbraw/zinc/48/11/50/583481150.db2.gz IIMFNIXUZDTVIR-JFGNBEQYSA-N 0 3 222.332 2.849 20 0 BFADHN CCn1cc(CN2CC(C)(C)[C@@H]2C2CC2)c(C)n1 ZINC000894543971 583481599 /nfs/dbraw/zinc/48/15/99/583481599.db2.gz GGOIQONCTWGWBJ-AWEZNQCLSA-N 0 3 247.386 2.832 20 0 BFADHN Cc1ncoc1CN(CC1CCC1)C(C)C ZINC000894574423 583487079 /nfs/dbraw/zinc/48/70/79/583487079.db2.gz SWPDPCLGWMMGPX-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN Fc1ccc2c(c1)[C@H](NCc1cnc[nH]1)CCC2 ZINC000894613344 583494688 /nfs/dbraw/zinc/49/46/88/583494688.db2.gz AEIFDNVCGUMWMN-CQSZACIVSA-N 0 3 245.301 2.716 20 0 BFADHN C[C@@H]1CN(C[C@@H]2C[C@@]2(C)Br)[C@@H]1C ZINC000894627306 583495536 /nfs/dbraw/zinc/49/55/36/583495536.db2.gz FORARMGXJRVMEK-DOLQZWNJSA-N 0 3 232.165 2.500 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN1C[C@@H](C)[C@@H]1C ZINC000894629218 583497102 /nfs/dbraw/zinc/49/71/02/583497102.db2.gz WGQNKVWQMRQIBO-PWSUYJOCSA-N 0 3 235.375 2.921 20 0 BFADHN Cc1ncoc1CNC[C@H]1CC[C@H](C)C1 ZINC000894630026 583497337 /nfs/dbraw/zinc/49/73/37/583497337.db2.gz WRAWRHABAIKPIC-ONGXEEELSA-N 0 3 208.305 2.509 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2cc(C)co2)CC1 ZINC000894625617 583497458 /nfs/dbraw/zinc/49/74/58/583497458.db2.gz YYIVBRQOIBEDOA-AWEZNQCLSA-N 0 3 237.343 2.979 20 0 BFADHN Cc1ncoc1CNC[C@H]1CCC(F)(F)C1 ZINC000894645523 583499388 /nfs/dbraw/zinc/49/93/88/583499388.db2.gz RUUWBGMTHHAZCM-VIFPVBQESA-N 0 3 230.258 2.508 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCC[C@H]1C ZINC000894651665 583499909 /nfs/dbraw/zinc/49/99/09/583499909.db2.gz HJJFOYCXXWBJQZ-KOLCDFICSA-N 0 3 208.305 2.509 20 0 BFADHN CSCCCN(C)Cc1cc(C)co1 ZINC000894651035 583500614 /nfs/dbraw/zinc/50/06/14/583500614.db2.gz CSZGMYONJIQHFT-UHFFFAOYSA-N 0 3 213.346 2.773 20 0 BFADHN c1cn2cc(CNCC3=CCCCC3)sc2n1 ZINC000894663043 583501190 /nfs/dbraw/zinc/50/11/90/583501190.db2.gz FMJKKSPYWMQWEO-UHFFFAOYSA-N 0 3 247.367 2.986 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCCC(F)(F)C1 ZINC000894665369 583502488 /nfs/dbraw/zinc/50/24/88/583502488.db2.gz MTEXRHITIFCUFG-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN Cc1cnccc1CN1CCC[C@@H](CF)C1 ZINC000880237405 583506261 /nfs/dbraw/zinc/50/62/61/583506261.db2.gz BOJHRUWQJHPYDI-LBPRGKRZSA-N 0 3 222.307 2.572 20 0 BFADHN Cc1ncsc1CN1CCC[C@H](CF)C1 ZINC000880237946 583506877 /nfs/dbraw/zinc/50/68/77/583506877.db2.gz LDXLWBXIRMROLM-SNVBAGLBSA-N 0 3 228.336 2.633 20 0 BFADHN CCOc1ccc(CNC2(CC)CC2)o1 ZINC000894682739 583507092 /nfs/dbraw/zinc/50/70/92/583507092.db2.gz FRXXMKNJZHJITC-UHFFFAOYSA-N 0 3 209.289 2.711 20 0 BFADHN CCC1(NCc2cnc(F)c(C)c2)CC1 ZINC000894684011 583508177 /nfs/dbraw/zinc/50/81/77/583508177.db2.gz QHWSYROLYMGQTF-UHFFFAOYSA-N 0 3 208.280 2.561 20 0 BFADHN O=c1[nH]c2cccc(CN3CC[C@H]3C3CC3)c2o1 ZINC000894690484 583508350 /nfs/dbraw/zinc/50/83/50/583508350.db2.gz QGCZFNSXPJOWAW-LBPRGKRZSA-N 0 3 244.294 2.518 20 0 BFADHN COc1ccc(CN2C[C@H](C3CC3)[C@H]2C2CC2)o1 ZINC000894736877 583514893 /nfs/dbraw/zinc/51/48/93/583514893.db2.gz VWLQKXOGEHHEMH-UKRRQHHQSA-N 0 3 247.338 2.909 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+]C2CC3(C2)CCCCC3)[n-]1 ZINC000894776989 583518943 /nfs/dbraw/zinc/51/89/43/583518943.db2.gz HMCKLZOKVGBVSR-SNVBAGLBSA-N 0 3 248.374 2.877 20 0 BFADHN Cc1ncoc1CNC1C(C)(C)C1(C)C ZINC000894779192 583519546 /nfs/dbraw/zinc/51/95/46/583519546.db2.gz DBUVLYNOWRDMRR-UHFFFAOYSA-N 0 3 208.305 2.507 20 0 BFADHN CCN(Cc1ocnc1C)CC(C)(C)C ZINC000894816449 583522878 /nfs/dbraw/zinc/52/28/78/583522878.db2.gz CYQXQDCFADOAQX-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN c1cc(CN2CC(C3CC3)C2)c2c(c1)CCCN2 ZINC000894812617 583523679 /nfs/dbraw/zinc/52/36/79/583523679.db2.gz HYGZCXXUSVAZHR-UHFFFAOYSA-N 0 3 242.366 2.887 20 0 BFADHN CN(Cc1cnn2c1CCC2)[C@@H]1CCC(C)(C)C1 ZINC000668095268 583526365 /nfs/dbraw/zinc/52/63/65/583526365.db2.gz FIUMCWHEBACGGL-CYBMUJFWSA-N 0 3 247.386 2.840 20 0 BFADHN COCC1(C)CN(C[C@H]2CCc3ccccc32)C1 ZINC000894839186 583529302 /nfs/dbraw/zinc/52/93/02/583529302.db2.gz BYSIZJRTDHJHJW-CQSZACIVSA-N 0 3 245.366 2.685 20 0 BFADHN C[C@@H]1CN(Cc2cc3cnccc3o2)[C@H]1C ZINC000894861240 583534301 /nfs/dbraw/zinc/53/43/01/583534301.db2.gz CIUDHSDNJRSZQO-ZJUUUORDSA-N 0 3 216.284 2.668 20 0 BFADHN COc1cccc(C)c1CN1C[C@@H](C)[C@H]1C ZINC000894860288 583534443 /nfs/dbraw/zinc/53/44/43/583534443.db2.gz JLRJZVKWUWXHKU-VXGBXAGGSA-N 0 3 219.328 2.844 20 0 BFADHN COc1cccc(C)c1CN1C[C@H](C)[C@H]1C ZINC000894860286 583534727 /nfs/dbraw/zinc/53/47/27/583534727.db2.gz JLRJZVKWUWXHKU-NWDGAFQWSA-N 0 3 219.328 2.844 20 0 BFADHN CCOc1ccc(CN[C@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000894864591 583537831 /nfs/dbraw/zinc/53/78/31/583537831.db2.gz AGLBOHNIRDPHBG-WXHSDQCUSA-N 0 3 235.327 2.957 20 0 BFADHN CCCN1CCN([C@@H](C)c2ccccc2)CC1 ZINC000726947729 583538821 /nfs/dbraw/zinc/53/88/21/583538821.db2.gz MKUKSWCTHBJSJP-AWEZNQCLSA-N 0 3 232.371 2.775 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1ocnc1C ZINC000894883442 583545737 /nfs/dbraw/zinc/54/57/37/583545737.db2.gz XLRRXVSGNCXNEH-VXGBXAGGSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1cc(F)ncc1CN[C@@H]1CS[C@@H](C)C1 ZINC000894902672 583552546 /nfs/dbraw/zinc/55/25/46/583552546.db2.gz HALFWLFXWNVEOE-ONGXEEELSA-N 0 3 240.347 2.513 20 0 BFADHN Cc1ncoc1CN1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000894906304 583553472 /nfs/dbraw/zinc/55/34/72/583553472.db2.gz IVOKAFQHLCKRNF-JSGCOSHPSA-N 0 3 232.327 2.603 20 0 BFADHN Cc1ncoc1CN1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000894906306 583553680 /nfs/dbraw/zinc/55/36/80/583553680.db2.gz IVOKAFQHLCKRNF-TZMCWYRMSA-N 0 3 232.327 2.603 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1cn(C)nc1C1CC1 ZINC000894921669 583556334 /nfs/dbraw/zinc/55/63/34/583556334.db2.gz KUPQXQUVCYWJOP-NSHDSACASA-N 0 3 247.386 2.918 20 0 BFADHN C[C@@H]1C[C@H](O)CN(C/C=C\c2cccc(F)c2)C1 ZINC000894949806 583558788 /nfs/dbraw/zinc/55/87/88/583558788.db2.gz ORGWHODQRIFMHZ-FWQWQBSGSA-N 0 3 249.329 2.542 20 0 BFADHN CCC1CN(Cc2cccc3c2NCCC3)C1 ZINC000894969640 583560616 /nfs/dbraw/zinc/56/06/16/583560616.db2.gz OGOJBXZYBXNXPG-UHFFFAOYSA-N 0 3 230.355 2.887 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NCCC1CCCC1 ZINC000857847120 588912737 /nfs/dbraw/zinc/91/27/37/588912737.db2.gz VRPRHQCRZDUKFC-CYBMUJFWSA-N 0 3 233.359 2.957 20 0 BFADHN CCCN(Cc1cc(C)co1)[C@@H]1CCN(C)C1 ZINC000895078874 583582606 /nfs/dbraw/zinc/58/26/06/583582606.db2.gz SFWCPBIJCLMGSJ-CYBMUJFWSA-N 0 3 236.359 2.504 20 0 BFADHN COc1ccc(CNC[C@@H](C)CC(F)F)o1 ZINC000895091465 583586981 /nfs/dbraw/zinc/58/69/81/583586981.db2.gz NMGXEFRXPVOFEH-QMMMGPOBSA-N 0 3 233.258 2.669 20 0 BFADHN CCc1onc(C)c1CNC[C@H](C)CC(F)F ZINC000895092208 583587251 /nfs/dbraw/zinc/58/72/51/583587251.db2.gz XYLBZUOKFULONP-MRVPVSSYSA-N 0 3 246.301 2.926 20 0 BFADHN CN(Cc1cn2ccnc2s1)C(C)(C)C ZINC000895115708 583591858 /nfs/dbraw/zinc/59/18/58/583591858.db2.gz IZSZWJZQCWSGHC-UHFFFAOYSA-N 0 3 223.345 2.626 20 0 BFADHN CCOc1ccc(CN[C@H]2CCS[C@@H]2C)o1 ZINC000895125092 583596904 /nfs/dbraw/zinc/59/69/04/583596904.db2.gz KKXRZOCPVWWKPT-KOLCDFICSA-N 0 3 241.356 2.662 20 0 BFADHN CCN(Cc1cc(C)co1)[C@H]1CCCOC1 ZINC000895136211 583602467 /nfs/dbraw/zinc/60/24/67/583602467.db2.gz CSMHDFKFGHHRIT-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN C[C@@H](CCO)N(C)C/C=C/c1cccc(F)c1 ZINC000895149194 583605593 /nfs/dbraw/zinc/60/55/93/583605593.db2.gz DHANICJCLMUSSN-FUEXJSSKSA-N 0 3 237.318 2.542 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2N[C@@H]1CC12CCCC2 ZINC000857867226 588916021 /nfs/dbraw/zinc/91/60/21/588916021.db2.gz ZQPSULLOOWIWRX-VXGBXAGGSA-N 0 3 231.343 2.709 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CN[C@H]1CCCc2c[nH]nc21 ZINC000857869260 588916583 /nfs/dbraw/zinc/91/65/83/588916583.db2.gz MESJIDGNZLUWPL-AVGNSLFASA-N 0 3 233.359 2.669 20 0 BFADHN CC[C@H](C)CCN[C@H]1CCCc2c[nH]nc21 ZINC000857870177 588916702 /nfs/dbraw/zinc/91/67/02/588916702.db2.gz CYUKGNAMIFEQNC-JQWIXIFHSA-N 0 3 221.348 2.813 20 0 BFADHN COc1c(C)cccc1CN[C@H]1COCC1(C)C ZINC000895188252 583619760 /nfs/dbraw/zinc/61/97/60/583619760.db2.gz PUHPSFUHISPEDF-ZDUSSCGKSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000895272433 583635591 /nfs/dbraw/zinc/63/55/91/583635591.db2.gz UPSOOVKZNNIHOF-SDDRHHMPSA-N 0 3 220.316 2.509 20 0 BFADHN Cc1coc(CN2CC[C@@H](C)O[C@@H](C)C2)c1 ZINC000895279219 583636016 /nfs/dbraw/zinc/63/60/16/583636016.db2.gz HFCPHMXJYHOWSI-NEPJUHHUSA-N 0 3 223.316 2.587 20 0 BFADHN Cc1scc(CNC[C@@H]2CC(C)=NO2)c1C ZINC000895301422 583642065 /nfs/dbraw/zinc/64/20/65/583642065.db2.gz IKXFQPQTJIMKMO-LBPRGKRZSA-N 0 3 238.356 2.619 20 0 BFADHN C[C@@H](CN[C@@H]1CCCc2c[nH]nc21)CC(F)F ZINC000857872695 588918442 /nfs/dbraw/zinc/91/84/42/588918442.db2.gz QAWWPZPVEJFFBX-PSASIEDQSA-N 0 3 243.301 2.668 20 0 BFADHN CC1=NO[C@H](CNCC[C@H](C)c2ccccc2)C1 ZINC000895304405 583646018 /nfs/dbraw/zinc/64/60/18/583646018.db2.gz OAXVQFXUYCGNKN-WFASDCNBSA-N 0 3 246.354 2.935 20 0 BFADHN CC1=NO[C@H](CNCc2ccccc2C2CC2)C1 ZINC000895308547 583646739 /nfs/dbraw/zinc/64/67/39/583646739.db2.gz MXYHIUSZKAEJFS-AWEZNQCLSA-N 0 3 244.338 2.818 20 0 BFADHN CCc1ccc(CNC[C@H]2CC(C)=NO2)cc1 ZINC000895312615 583648867 /nfs/dbraw/zinc/64/88/67/583648867.db2.gz ZZWZZOGYNHACIA-CQSZACIVSA-N 0 3 232.327 2.503 20 0 BFADHN CC[C@@H](C)CCNCc1ccc(OC)o1 ZINC000895333579 583652296 /nfs/dbraw/zinc/65/22/96/583652296.db2.gz JBWWBOIUPHMVDT-SNVBAGLBSA-N 0 3 211.305 2.814 20 0 BFADHN COc1ccc(CNCC[C@]2(C)CC2(F)F)o1 ZINC000895379775 583662009 /nfs/dbraw/zinc/66/20/09/583662009.db2.gz DZJFVMVOESKSHN-LLVKDONJSA-N 0 3 245.269 2.813 20 0 BFADHN Cc1cc(CNC[C@]2(C)CC2(F)F)cnc1F ZINC000895380814 583662151 /nfs/dbraw/zinc/66/21/51/583662151.db2.gz ONGUBDULVSRXFF-NSHDSACASA-N 0 3 244.260 2.664 20 0 BFADHN c1cn2cc(CNCC3=CCCC3)sc2n1 ZINC000895435978 583674461 /nfs/dbraw/zinc/67/44/61/583674461.db2.gz MMQIPMSPJLVUHA-UHFFFAOYSA-N 0 3 233.340 2.596 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCC[C@H]2O)c(C)o1 ZINC000895437573 583674464 /nfs/dbraw/zinc/67/44/64/583674464.db2.gz NQFCCHBBUSGXRB-ZIAGYGMSSA-N 0 3 237.343 2.632 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1cn2ccnc2s1 ZINC000895441681 583675189 /nfs/dbraw/zinc/67/51/89/583675189.db2.gz DJTFMTQGJKQHSB-GFCCVEGCSA-N 0 3 235.356 2.674 20 0 BFADHN Cc1ncoc1CNCCCCC1CC1 ZINC000895457653 583677113 /nfs/dbraw/zinc/67/71/13/583677113.db2.gz HXTXQABXJLKXEH-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN COc1ccc(CNC2CC(C)(C)C2)o1 ZINC000895468089 583678677 /nfs/dbraw/zinc/67/86/77/583678677.db2.gz QCRJFKFIRAZBDU-UHFFFAOYSA-N 0 3 209.289 2.566 20 0 BFADHN CCCSC[C@H](C)NCc1ccnn1CC ZINC000895480305 583680058 /nfs/dbraw/zinc/68/00/58/583680058.db2.gz GHHKSKVQQRWGAJ-NSHDSACASA-N 0 3 241.404 2.524 20 0 BFADHN C1=C[C@@H](CNCc2cc(C3CC3)no2)CC1 ZINC000895527190 583684331 /nfs/dbraw/zinc/68/43/31/583684331.db2.gz INSCPROJTKOLEW-SNVBAGLBSA-N 0 3 218.300 2.608 20 0 BFADHN CCC[C@H](C)CCNCc1ocnc1C ZINC000895533326 583685800 /nfs/dbraw/zinc/68/58/00/583685800.db2.gz WFCPVPQIUWMYLH-JTQLQIEISA-N 0 3 210.321 2.899 20 0 BFADHN CC1(C)C[C@]1(C)CNCc1cc(F)ncc1F ZINC000895533760 583686116 /nfs/dbraw/zinc/68/61/16/583686116.db2.gz BLXCHFRQJIIKDM-CYBMUJFWSA-N 0 3 240.297 2.886 20 0 BFADHN Cc1sccc1CN1CCC(F)CC1 ZINC000895547432 583686801 /nfs/dbraw/zinc/68/68/01/583686801.db2.gz YNTWYVXUEBSNON-UHFFFAOYSA-N 0 3 213.321 2.990 20 0 BFADHN CC1(C)C[C@]1(C)CNCc1ccc(Cl)nn1 ZINC000895535566 583686827 /nfs/dbraw/zinc/68/68/27/583686827.db2.gz WMJTUPGNJRLKMA-GFCCVEGCSA-N 0 3 239.750 2.656 20 0 BFADHN C=C/C=C/CCNCc1cc(C2CC2)no1 ZINC000895542320 583688855 /nfs/dbraw/zinc/68/88/55/583688855.db2.gz XSHSXCXWNVFEGH-ONEGZZNKSA-N 0 3 218.300 2.774 20 0 BFADHN FC[C@@H]1CCCN(Cc2ccc3c(c2)CCO3)C1 ZINC000895545471 583689392 /nfs/dbraw/zinc/68/93/92/583689392.db2.gz DYEXVQFTCPMGNG-ZDUSSCGKSA-N 0 3 249.329 2.803 20 0 BFADHN CCc1ncc(CN2CCC[C@H](CF)C2)s1 ZINC000895546881 583690381 /nfs/dbraw/zinc/69/03/81/583690381.db2.gz WSAIJVRXJXTDDU-SNVBAGLBSA-N 0 3 242.363 2.887 20 0 BFADHN COc1ccccc1CN1CCC(F)CC1 ZINC000895551538 583690635 /nfs/dbraw/zinc/69/06/35/583690635.db2.gz YPQDOXJVOQOLGN-UHFFFAOYSA-N 0 3 223.291 2.629 20 0 BFADHN COc1ccc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)nc1 ZINC000895562155 583691651 /nfs/dbraw/zinc/69/16/51/583691651.db2.gz YVDVWIWCJJQKFB-UPJWGTAASA-N 0 3 246.354 2.616 20 0 BFADHN COc1cc(C)ccc1CN1CC[C@H](CF)C1 ZINC000895572762 583692113 /nfs/dbraw/zinc/69/21/13/583692113.db2.gz LGEIHPPGKJADOG-GFCCVEGCSA-N 0 3 237.318 2.795 20 0 BFADHN FC[C@H]1CCN(Cc2cc3ccccc3[nH]2)C1 ZINC000895572775 583692247 /nfs/dbraw/zinc/69/22/47/583692247.db2.gz LIWAMUWPSJDZTM-LLVKDONJSA-N 0 3 232.302 2.959 20 0 BFADHN FC[C@@H]1CCN(Cc2ccc(C(F)F)nc2)C1 ZINC000895566791 583694077 /nfs/dbraw/zinc/69/40/77/583694077.db2.gz CMQACILEWBFACA-VIFPVBQESA-N 0 3 244.260 2.811 20 0 BFADHN CCCN[C@@H]1CCc2ccc(Cl)nc21 ZINC000857901213 588922246 /nfs/dbraw/zinc/92/22/46/588922246.db2.gz IOIPTGIHKCAYMU-SECBINFHSA-N 0 3 210.708 2.722 20 0 BFADHN FC(F)C1CC(NCc2cc(C3CC3)no2)C1 ZINC000895626309 583697831 /nfs/dbraw/zinc/69/78/31/583697831.db2.gz PXYNYGZDMIMECA-UHFFFAOYSA-N 0 3 242.269 2.685 20 0 BFADHN Cc1ncoc1CN[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC000895629948 583698105 /nfs/dbraw/zinc/69/81/05/583698105.db2.gz FZNCBRKFTPZAMT-SDDRHHMPSA-N 0 3 220.316 2.651 20 0 BFADHN C/C=C\CNCc1cc(C)nc2c1CCCC2 ZINC000895631998 583698915 /nfs/dbraw/zinc/69/89/15/583698915.db2.gz VJOVGFHYOBOFRK-ARJAWSKDSA-N 0 3 230.355 2.935 20 0 BFADHN Clc1ccc2c(n1)[C@H](NC1CCC1)CC2 ZINC000857905281 588922727 /nfs/dbraw/zinc/92/27/27/588922727.db2.gz BQJULGXWOULXAZ-SNVBAGLBSA-N 0 3 222.719 2.864 20 0 BFADHN COc1ccc(CNC[C@@H]2CCCC23CC3)o1 ZINC000895667892 583705641 /nfs/dbraw/zinc/70/56/41/583705641.db2.gz BQFQXQXRMQIKJI-NSHDSACASA-N 0 3 235.327 2.958 20 0 BFADHN COc1ccc(CNCC[C@@H]2CCCS2)o1 ZINC000895770135 583723912 /nfs/dbraw/zinc/72/39/12/583723912.db2.gz KXYSSXHIZJBDFB-NSHDSACASA-N 0 3 241.356 2.664 20 0 BFADHN Cc1ccc2ccnc(NC[C@H]3CCN3C)c2c1 ZINC000895769215 583724340 /nfs/dbraw/zinc/72/43/40/583724340.db2.gz OJYPGNFYKUKPTJ-CYBMUJFWSA-N 0 3 241.338 2.659 20 0 BFADHN CCc1onc(C)c1CN[C@H]1C[C@H](SC)C1 ZINC000895778374 583726457 /nfs/dbraw/zinc/72/64/57/583726457.db2.gz WIKKNTPXEKDJMQ-MGCOHNPYSA-N 0 3 240.372 2.529 20 0 BFADHN COc1ccc(CN[C@@H](C)CC2CCC2)o1 ZINC000895778314 583726492 /nfs/dbraw/zinc/72/64/92/583726492.db2.gz UZAQVJILFAFGJN-JTQLQIEISA-N 0 3 223.316 2.957 20 0 BFADHN CS[C@H]1C[C@H](NCc2cnc(F)cc2C)C1 ZINC000895777837 583726748 /nfs/dbraw/zinc/72/67/48/583726748.db2.gz PIVQDBCHACOXPI-XYPYZODXSA-N 0 3 240.347 2.513 20 0 BFADHN COC(OC)[C@@H](C)N[C@@H](C)CSC(C)(C)C ZINC000895794926 583730234 /nfs/dbraw/zinc/73/02/34/583730234.db2.gz SHFLOZHUARKTSY-VHSXEESVSA-N 0 3 249.420 2.504 20 0 BFADHN C[C@]1(Br)C[C@@H]1CN1CC(C)(C)C1 ZINC000895825777 583734492 /nfs/dbraw/zinc/73/44/92/583734492.db2.gz MPBBRLMQXDGYDR-SCZZXKLOSA-N 0 3 232.165 2.502 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2CN1CCSCC1 ZINC000147761830 583736934 /nfs/dbraw/zinc/73/69/34/583736934.db2.gz YJIOQQYAZTTZQP-CYBMUJFWSA-N 0 3 233.380 2.765 20 0 BFADHN CC(C)N1CC[C@@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858044445 588931129 /nfs/dbraw/zinc/93/11/29/588931129.db2.gz SBOBCWFHQDFUKM-CQSZACIVSA-N 0 3 246.354 2.821 20 0 BFADHN CO[C@H]1CC[C@H](C)N(Cc2occc2C)C1 ZINC000895926610 583747871 /nfs/dbraw/zinc/74/78/71/583747871.db2.gz ISKOURBPTUDXLH-RYUDHWBXSA-N 0 3 223.316 2.587 20 0 BFADHN CO[C@H]1CC[C@@H](C)N(Cc2cc(C)co2)C1 ZINC000895926241 583747943 /nfs/dbraw/zinc/74/79/43/583747943.db2.gz BTSOKUXAGOESFJ-NEPJUHHUSA-N 0 3 223.316 2.587 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN2C[C@@H](O)CC[C@H]2C)o1 ZINC000895926783 583748337 /nfs/dbraw/zinc/74/83/37/583748337.db2.gz FTXNCZQBXPPDKT-OPDFLTKYSA-N 0 3 249.354 2.748 20 0 BFADHN CO[C@@H]1CC[C@H](C)N(Cc2ccc(C)o2)C1 ZINC000895943407 583750530 /nfs/dbraw/zinc/75/05/30/583750530.db2.gz BFHGALNTDOYGER-CMPLNLGQSA-N 0 3 223.316 2.587 20 0 BFADHN CO[C@H]1CC[C@@H](C)N(Cc2ccc(C)o2)C1 ZINC000895943409 583750574 /nfs/dbraw/zinc/75/05/74/583750574.db2.gz BFHGALNTDOYGER-PWSUYJOCSA-N 0 3 223.316 2.587 20 0 BFADHN COCC1CN(Cc2ccc(C(C)C)cc2)C1 ZINC000895970869 583756130 /nfs/dbraw/zinc/75/61/30/583756130.db2.gz IAQBLROVVYVRMU-UHFFFAOYSA-N 0 3 233.355 2.888 20 0 BFADHN COCC1CN(C/C(C)=C/c2ccccc2)C1 ZINC000895971560 583756437 /nfs/dbraw/zinc/75/64/37/583756437.db2.gz SWSOUGLCXFBOJN-MDWZMJQESA-N 0 3 231.339 2.668 20 0 BFADHN COc1ccc(CNC[C@]2(C)CC2(C)C)o1 ZINC000895996832 583759810 /nfs/dbraw/zinc/75/98/10/583759810.db2.gz CIKYXFOWPNWHHE-ZDUSSCGKSA-N 0 3 223.316 2.814 20 0 BFADHN C=C/C=C\CCNCc1ccc(OCC)o1 ZINC000895995556 583761295 /nfs/dbraw/zinc/76/12/95/583761295.db2.gz JBJXFQZNOGIQQH-WAYWQWQTSA-N 0 3 221.300 2.900 20 0 BFADHN C=C/C=C/CCNCc1cn(C)nc1C1CC1 ZINC000895995579 583761610 /nfs/dbraw/zinc/76/16/10/583761610.db2.gz JUTLNMJKTYSFNP-SNAWJCMRSA-N 0 3 231.343 2.519 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@@H]3CCCC[C@H]23)nc1 ZINC000896013241 583762599 /nfs/dbraw/zinc/76/25/99/583762599.db2.gz ZAPHDMHOZKELNS-TUKIKUTGSA-N 0 3 246.354 2.759 20 0 BFADHN C=C/C=C/CCNCc1c(OC)cccc1OC ZINC000883260227 583768570 /nfs/dbraw/zinc/76/85/70/583768570.db2.gz OFERYSQFNOLADJ-AATRIKPKSA-N 0 3 247.338 2.926 20 0 BFADHN CCCN(CC)Cn1nccc1-c1cccnc1 ZINC000727821365 583777819 /nfs/dbraw/zinc/77/78/19/583777819.db2.gz XZYYRRGMRVTGCP-UHFFFAOYSA-N 0 3 244.342 2.635 20 0 BFADHN Cc1cc(F)ncc1CN[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000896397954 583799418 /nfs/dbraw/zinc/79/94/18/583799418.db2.gz HRMLXSZFSMVFOS-FOUMNBMASA-N 0 3 234.318 2.663 20 0 BFADHN CC1(C)COC[C@@H]1N[C@H](c1ccccn1)C1CC1 ZINC000896608148 583817154 /nfs/dbraw/zinc/81/71/54/583817154.db2.gz CLIYWNXVDGEWQK-KBPBESRZSA-N 0 3 246.354 2.547 20 0 BFADHN CC1(C)COC[C@@H]1N[C@@H](c1ccccn1)C1CC1 ZINC000896608150 583817211 /nfs/dbraw/zinc/81/72/11/583817211.db2.gz CLIYWNXVDGEWQK-UONOGXRCSA-N 0 3 246.354 2.547 20 0 BFADHN CCC[C@@H](CN[C@@H](c1ccccn1)C1CC1)OC ZINC000896608132 583817265 /nfs/dbraw/zinc/81/72/65/583817265.db2.gz CGOHVJZXPNPURM-DZGCQCFKSA-N 0 3 248.370 2.937 20 0 BFADHN CO[C@H](CNC(C)(C)/C=C/Cl)C1CC1 ZINC000896748761 583830100 /nfs/dbraw/zinc/83/01/00/583830100.db2.gz ZITHVOMEOFLIQW-VQCYPWCPSA-N 0 3 217.740 2.532 20 0 BFADHN CCC(CC)(CNCc1ccnc(F)c1)OC ZINC000858168764 588936532 /nfs/dbraw/zinc/93/65/32/588936532.db2.gz NWJODTAVSIMEPU-UHFFFAOYSA-N 0 3 240.322 2.516 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1ccnc(F)c1 ZINC000858177123 588937322 /nfs/dbraw/zinc/93/73/22/588937322.db2.gz INNAEZNFJJUZLX-VXGBXAGGSA-N 0 3 222.307 2.745 20 0 BFADHN CC1(C2(NCc3ccnc(F)c3)CC2)CC1 ZINC000858174834 588937452 /nfs/dbraw/zinc/93/74/52/588937452.db2.gz XKORBPFKDPMGOY-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN FC1(C2CC2)CCN(Cc2ccco2)CC1 ZINC000896947048 583863326 /nfs/dbraw/zinc/86/33/26/583863326.db2.gz QELDCOGZDXAXCP-UHFFFAOYSA-N 0 3 223.291 2.994 20 0 BFADHN Cc1ccc(CN2CCC(O)(C3CCC3)CC2)o1 ZINC000897034787 583872353 /nfs/dbraw/zinc/87/23/53/583872353.db2.gz LPZSRFNTTJHDIR-UHFFFAOYSA-N 0 3 249.354 2.715 20 0 BFADHN Cc1cc(N)nc(N[C@@H]2CCCC3(CC3)C2)n1 ZINC000897043345 583873855 /nfs/dbraw/zinc/87/38/55/583873855.db2.gz VDZQKPLCRATPRN-SNVBAGLBSA-N 0 3 232.331 2.502 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CC12CCCCC2 ZINC000858190463 588938348 /nfs/dbraw/zinc/93/83/48/588938348.db2.gz DRALHDOSHZNMJB-GFCCVEGCSA-N 0 3 219.332 2.531 20 0 BFADHN C[C@H]1CSC[C@@H]1N[C@H]1CCCc2cccnc21 ZINC000897072617 583876044 /nfs/dbraw/zinc/87/60/44/583876044.db2.gz WRKBNHMGTPEYKN-DRZSPHRISA-N 0 3 248.395 2.800 20 0 BFADHN CS[C@H]1CC[C@@H]1N[C@H]1CCCc2cccnc21 ZINC000897072441 583877774 /nfs/dbraw/zinc/87/77/74/583877774.db2.gz ODKNMUXLYSYKOG-AVGNSLFASA-N 0 3 248.395 2.943 20 0 BFADHN CO[C@@H]1CC[C@H]1N(C)c1ccnc2ccccc21 ZINC000897149216 583884598 /nfs/dbraw/zinc/88/45/98/583884598.db2.gz QJYMVHQUCFYVTP-HUUCEWRRSA-N 0 3 242.322 2.848 20 0 BFADHN FC1(CN2CCC[C@H](C3CCOCC3)C2)CC1 ZINC000897269672 583907947 /nfs/dbraw/zinc/90/79/47/583907947.db2.gz MHZGOYNLHGGTLX-ZDUSSCGKSA-N 0 3 241.350 2.627 20 0 BFADHN CC1(C)CCC(C)(NCc2ncc[nH]2)CC1 ZINC000858274982 588941770 /nfs/dbraw/zinc/94/17/70/588941770.db2.gz KAUUXTVASZOCFU-UHFFFAOYSA-N 0 3 221.348 2.858 20 0 BFADHN CC1(C)CCCC[C@H]1[NH2+]Cc1cncc([O-])c1 ZINC000897314731 583918080 /nfs/dbraw/zinc/91/80/80/583918080.db2.gz AXJLUUOIIVWXNH-CYBMUJFWSA-N 0 3 234.343 2.846 20 0 BFADHN CC[C@@]1(C)CCC[C@@H]1[NH2+]Cc1cncc([O-])c1 ZINC000897316116 583918248 /nfs/dbraw/zinc/91/82/48/583918248.db2.gz VWLVVCCKDNWYTI-KBPBESRZSA-N 0 3 234.343 2.846 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@@H]([NH2+]Cc2cncc([O-])c2)C1 ZINC000897314979 583918343 /nfs/dbraw/zinc/91/83/43/583918343.db2.gz FOKDJIMSKYTAHK-GYSYKLTISA-N 0 3 234.343 2.702 20 0 BFADHN Cn1ccc(CNC2(C)CCC(C)(C)CC2)n1 ZINC000858276502 588941868 /nfs/dbraw/zinc/94/18/68/588941868.db2.gz QGKUUQSILSXUCQ-UHFFFAOYSA-N 0 3 235.375 2.869 20 0 BFADHN CCCCC[C@H](C)[NH2+]Cc1cncc([O-])c1 ZINC000897315585 583918498 /nfs/dbraw/zinc/91/84/98/583918498.db2.gz OKWAAYKGXHSUJR-NSHDSACASA-N 0 3 222.332 2.846 20 0 BFADHN CC(C)[C@@H]1CCC[C@H]1[NH2+]Cc1cncc([O-])c1 ZINC000897315315 583918710 /nfs/dbraw/zinc/91/87/10/583918710.db2.gz JLZCYVJSMFONGP-UONOGXRCSA-N 0 3 234.343 2.702 20 0 BFADHN C[C@@H]1CC[C@H]([NH2+]Cc2cncc([O-])c2)[C@@H](C)C1 ZINC000897316343 583918863 /nfs/dbraw/zinc/91/88/63/583918863.db2.gz YYLJPTUEPVGHRH-SUNKGSAMSA-N 0 3 234.343 2.702 20 0 BFADHN C[C@H](Cc1ccsc1)[NH2+]Cc1cncc([O-])c1 ZINC000897315543 583919182 /nfs/dbraw/zinc/91/91/82/583919182.db2.gz NKRVOQXVSAYDMQ-SNVBAGLBSA-N 0 3 248.351 2.570 20 0 BFADHN Cn1cnc(CNC2(C)CCC(C)(C)CC2)c1 ZINC000858275787 588942132 /nfs/dbraw/zinc/94/21/32/588942132.db2.gz DSKGCRHHLGJVOT-UHFFFAOYSA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)(NC[C@@H]1CCCO1)c1ccc(F)cc1 ZINC000728928800 583937460 /nfs/dbraw/zinc/93/74/60/583937460.db2.gz DTDNRHJHHRHTAZ-ZDUSSCGKSA-N 0 3 237.318 2.829 20 0 BFADHN C[C@@H]1CCC[C@@H](Nc2ccnc(CO)c2)[C@@H]1C ZINC000858311879 588943901 /nfs/dbraw/zinc/94/39/01/588943901.db2.gz OEDFSUCAPIFZSA-JTNHKYCSSA-N 0 3 234.343 2.811 20 0 BFADHN C[C@H]1CCCC[C@@H]1Nc1ccnc(CO)c1 ZINC000858312005 588944112 /nfs/dbraw/zinc/94/41/12/588944112.db2.gz RXJWUEHOFFASAM-GWCFXTLKSA-N 0 3 220.316 2.565 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1cccc(O)c1F ZINC000872064915 583944780 /nfs/dbraw/zinc/94/47/80/583944780.db2.gz PCUXKQSGZOKGHG-LPEHRKFASA-N 0 3 241.306 2.605 20 0 BFADHN CCOc1ccc(CN[C@]23C[C@H]2CCC3)o1 ZINC000897375248 583945261 /nfs/dbraw/zinc/94/52/61/583945261.db2.gz OKTOHOCFWDUMRI-ZWNOBZJWSA-N 0 3 221.300 2.711 20 0 BFADHN c1cc(CNC[C@@H]2CCN2C2CCCCC2)co1 ZINC000858221545 588939380 /nfs/dbraw/zinc/93/93/80/588939380.db2.gz KBYJCXIORHZDSN-HNNXBMFYSA-N 0 3 248.370 2.776 20 0 BFADHN Cc1cc(CN[C@@]23C[C@@H]2COC3(C)C)c(C)o1 ZINC000858230470 588939814 /nfs/dbraw/zinc/93/98/14/588939814.db2.gz ZAHUDCSCGMHBQM-OCCSQVGLSA-N 0 3 235.327 2.554 20 0 BFADHN COc1ncc(CNCC(C)(C)C2CC2)s1 ZINC000897437091 583978005 /nfs/dbraw/zinc/97/80/05/583978005.db2.gz GGQDTVSLPMAHDJ-UHFFFAOYSA-N 0 3 240.372 2.678 20 0 BFADHN C[C@@H]1C[C@H](C)N(C[C@H](O)c2cccc(F)c2)C1 ZINC000740884258 583978788 /nfs/dbraw/zinc/97/87/88/583978788.db2.gz SRDJHPVYDAVSDY-SUNKGSAMSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1cnc(CNCC(C)(C)C2CC2)s1 ZINC000897438421 583983483 /nfs/dbraw/zinc/98/34/83/583983483.db2.gz VEUALMJHOMOQRG-UHFFFAOYSA-N 0 3 224.373 2.977 20 0 BFADHN CC(C)(CNCc1cn2ccccc2n1)C1CC1 ZINC000897442376 583984529 /nfs/dbraw/zinc/98/45/29/583984529.db2.gz FFUCFIICQXZQPA-UHFFFAOYSA-N 0 3 243.354 2.860 20 0 BFADHN CC(C)(CNCc1nccs1)C1CC1 ZINC000897442953 583984688 /nfs/dbraw/zinc/98/46/88/583984688.db2.gz MWBWTRJEHYNCOW-UHFFFAOYSA-N 0 3 210.346 2.669 20 0 BFADHN C[Si](C)(C)CN1CCO[C@H](c2ccccc2)C1 ZINC000729267139 583987931 /nfs/dbraw/zinc/98/79/31/583987931.db2.gz KKVYAPHOFFFSBG-AWEZNQCLSA-N 0 3 249.430 2.937 20 0 BFADHN Cc1cc(CNC[C@H]2CC[C@@H](C3CC3)O2)c(C)o1 ZINC000897510572 583998890 /nfs/dbraw/zinc/99/88/90/583998890.db2.gz WNTYMIJSMWHIKS-CABCVRRESA-N 0 3 249.354 2.944 20 0 BFADHN Cc1ccc(CNc2ccnc(CO)c2)c(C)c1 ZINC000858352748 588948237 /nfs/dbraw/zinc/94/82/37/588948237.db2.gz FSIQEDXMUGIUJI-UHFFFAOYSA-N 0 3 242.322 2.803 20 0 BFADHN C[C@@H]1CCCC[C@H]1CNc1ccnc(CO)c1 ZINC000858363510 588948551 /nfs/dbraw/zinc/94/85/51/588948551.db2.gz KBPCFUPCUNYQLK-NEPJUHHUSA-N 0 3 234.343 2.812 20 0 BFADHN C[C@H]1CCC[C@@H](CNc2ccnc(CO)c2)C1 ZINC000858361302 588948655 /nfs/dbraw/zinc/94/86/55/588948655.db2.gz MSSFDGAXSVOVSS-NWDGAFQWSA-N 0 3 234.343 2.812 20 0 BFADHN CC(C)CC[C@@H](C)Nc1ccnc(CO)c1 ZINC000858362277 588948688 /nfs/dbraw/zinc/94/86/88/588948688.db2.gz CGPKGTGQHRUPBG-LLVKDONJSA-N 0 3 222.332 2.811 20 0 BFADHN OC1(C2(CNCc3ccc(F)cc3)CC2)CCC1 ZINC000897531076 584007878 /nfs/dbraw/zinc/00/78/78/584007878.db2.gz YBZMKQFKRPFWLL-UHFFFAOYSA-N 0 3 249.329 2.611 20 0 BFADHN CC(C)c1cncc(NC2CCN(C)CC2)c1 ZINC000897707444 584041729 /nfs/dbraw/zinc/04/17/29/584041729.db2.gz OIWMXSKRWIRBBI-UHFFFAOYSA-N 0 3 233.359 2.711 20 0 BFADHN Cc1nocc1CN[C@H]1CCSC(C)(C)C1 ZINC000897793768 584048602 /nfs/dbraw/zinc/04/86/02/584048602.db2.gz PBBZJWJIWXCIJD-NSHDSACASA-N 0 3 240.372 2.747 20 0 BFADHN C[C@H]1C[C@H](Nc2ccnc(CO)c2)C[C@@H](C)C1 ZINC000858420078 588952883 /nfs/dbraw/zinc/95/28/83/588952883.db2.gz ICYSKAZFLMCWAQ-PJXYFTJBSA-N 0 3 234.343 2.811 20 0 BFADHN OCc1cc(N[C@@H]2C[C@H]2C2CCCCC2)ccn1 ZINC000858424203 588953220 /nfs/dbraw/zinc/95/32/20/588953220.db2.gz VTVXKNUORFZGLK-LSDHHAIUSA-N 0 3 246.354 2.955 20 0 BFADHN c1cc2c(c(CN[C@@H]3C[C@H]4CCC[C@H]43)c1)OCO2 ZINC000897953071 584063544 /nfs/dbraw/zinc/06/35/44/584063544.db2.gz MGRMBKJWJOLNCX-RAIGVLPGSA-N 0 3 245.322 2.694 20 0 BFADHN CC1(C)CCC(Nc2ccnc(CO)c2)CC1 ZINC000858421613 588953352 /nfs/dbraw/zinc/95/33/52/588953352.db2.gz CLVVBEIEGHXBKU-UHFFFAOYSA-N 0 3 234.343 2.955 20 0 BFADHN c1c(CN[C@@H]2C[C@H]3CCC[C@@H]32)nc2ccccn12 ZINC000897954239 584064533 /nfs/dbraw/zinc/06/45/33/584064533.db2.gz YVVQQWODLZWHIE-KWCYVHTRSA-N 0 3 241.338 2.613 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCC[C@@H]12)c1ccccn1 ZINC000897954851 584064778 /nfs/dbraw/zinc/06/47/78/584064778.db2.gz LPWRLDMNMOODCD-CIQGVGRVSA-N 0 3 216.328 2.921 20 0 BFADHN c1cc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)n(CC2CC2)n1 ZINC000897957126 584065833 /nfs/dbraw/zinc/06/58/33/584065833.db2.gz BNMTUBMANMLVBG-NWANDNLSSA-N 0 3 245.370 2.571 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2C[C@@H]3CCC[C@@H]23)cc1F ZINC000897958380 584066488 /nfs/dbraw/zinc/06/64/88/584066488.db2.gz JAODGUPXUDBUHL-DMDPSCGWSA-N 0 3 235.302 2.810 20 0 BFADHN C[C@H]1CCCN1CCNc1nc(Cl)cs1 ZINC000858428451 588953931 /nfs/dbraw/zinc/95/39/31/588953931.db2.gz UVMJSOXUZWRSOX-QMMMGPOBSA-N 0 3 245.779 2.693 20 0 BFADHN c1cnc2c(c1)CC[C@@H]2N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897959823 584067573 /nfs/dbraw/zinc/06/75/73/584067573.db2.gz LVYNYJQEZKWFFC-XDQVBPFNSA-N 0 3 228.339 2.847 20 0 BFADHN c1cnc2c(c1)CC[C@@H]2N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897959824 584067624 /nfs/dbraw/zinc/06/76/24/584067624.db2.gz LVYNYJQEZKWFFC-YIYPIFLZSA-N 0 3 228.339 2.847 20 0 BFADHN CC(C)n1ccnc1CN[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897960240 584068194 /nfs/dbraw/zinc/06/81/94/584068194.db2.gz ZWPICWUMEOGBLA-JHJVBQTASA-N 0 3 233.359 2.742 20 0 BFADHN c1nc(C2CC2)ncc1CN[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897960112 584068308 /nfs/dbraw/zinc/06/83/08/584068308.db2.gz ZCPMWZGESCFAPB-MGPQQGTHSA-N 0 3 243.354 2.632 20 0 BFADHN Cc1coc(CN[C@@H](C)Cc2cccnc2)c1 ZINC000897965900 584069168 /nfs/dbraw/zinc/06/91/68/584069168.db2.gz YINOSLTYWIPNJM-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN CCn1cc(CNC[C@H]2CCC=CCCC2)nn1 ZINC000898027918 584076366 /nfs/dbraw/zinc/07/63/66/584076366.db2.gz DFCPINUMRUYDCO-ZDUSSCGKSA-N 0 3 248.374 2.524 20 0 BFADHN CCn1ncnc1CNC[C@H]1CCC=CCCC1 ZINC000898027909 584076974 /nfs/dbraw/zinc/07/69/74/584076974.db2.gz CVNSDEHVZBOPFY-ZDUSSCGKSA-N 0 3 248.374 2.524 20 0 BFADHN Cn1ccc(CNC[C@H]2CCC=CCCC2)n1 ZINC000898031508 584077426 /nfs/dbraw/zinc/07/74/26/584077426.db2.gz DTBCTBCITWDUAK-ZDUSSCGKSA-N 0 3 233.359 2.646 20 0 BFADHN CC1(C)CCN(CCNCc2ccsc2)C1 ZINC000898035515 584078756 /nfs/dbraw/zinc/07/87/56/584078756.db2.gz SUDQKCCLANTGRE-UHFFFAOYSA-N 0 3 238.400 2.570 20 0 BFADHN C[C@H](CCc1ccsc1)NCc1cn(C)cn1 ZINC000898043013 584080298 /nfs/dbraw/zinc/08/02/98/584080298.db2.gz AQQASQCEXDLBID-LLVKDONJSA-N 0 3 249.383 2.593 20 0 BFADHN CCC[C@H](NCc1cn(C)cn1)[C@@H]1CC1(C)C ZINC000898042260 584080349 /nfs/dbraw/zinc/08/03/49/584080349.db2.gz NHTIQVCCKAYMPF-STQMWFEESA-N 0 3 235.375 2.725 20 0 BFADHN CCCC[C@H](C)[C@@H](C)NCc1cn(C)cn1 ZINC000898043400 584080570 /nfs/dbraw/zinc/08/05/70/584080570.db2.gz NXEXQLMOLFUSAV-NWDGAFQWSA-N 0 3 223.364 2.725 20 0 BFADHN CCCC[C@@H](C)[C@H](C)NCc1cnn(CC)n1 ZINC000858445051 588955305 /nfs/dbraw/zinc/95/53/05/588955305.db2.gz YWTVZBGVEXZZIH-NEPJUHHUSA-N 0 3 238.379 2.602 20 0 BFADHN CO[C@@](C)(CN1CC(Cc2ccoc2)C1)C1CC1 ZINC000898160155 584087609 /nfs/dbraw/zinc/08/76/09/584087609.db2.gz SRLLGKSMLKTBEV-HNNXBMFYSA-N 0 3 249.354 2.569 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCC[C@@H](O)C2CC2)o1 ZINC000898205253 584091834 /nfs/dbraw/zinc/09/18/34/584091834.db2.gz CDCOOHVYYMMREG-LERXQTSPSA-N 0 3 249.354 2.654 20 0 BFADHN O[C@@H](CCNCc1cccc(Cl)c1)C1CC1 ZINC000898206605 584092030 /nfs/dbraw/zinc/09/20/30/584092030.db2.gz QYCUGLCECAFCOU-ZDUSSCGKSA-N 0 3 239.746 2.591 20 0 BFADHN C[C@@H]1CCC[C@H](Nc2ccnc(CO)c2)CC1 ZINC000858508431 588961307 /nfs/dbraw/zinc/96/13/07/588961307.db2.gz NOQXLNILZAEAKH-NEPJUHHUSA-N 0 3 234.343 2.955 20 0 BFADHN CC1(C)COC[C@H]1N[C@H](c1ccncc1)C1CC1 ZINC000898321873 584107113 /nfs/dbraw/zinc/10/71/13/584107113.db2.gz XCDFBXXHOYJFFZ-KGLIPLIRSA-N 0 3 246.354 2.547 20 0 BFADHN CSCC(C)(C)NCc1cc(F)ncc1F ZINC000898305478 584109130 /nfs/dbraw/zinc/10/91/30/584109130.db2.gz FNZZLPWOQWCFAM-UHFFFAOYSA-N 0 3 246.326 2.591 20 0 BFADHN Cc1cccc(CN[C@H]2COC[C@H]2C2CC2)c1F ZINC000898379678 584113174 /nfs/dbraw/zinc/11/31/74/584113174.db2.gz HWTOTGDEMYQXND-KBPBESRZSA-N 0 3 249.329 2.649 20 0 BFADHN Cc1sccc1CN[C@@H]1COC[C@H]1C1CC1 ZINC000898380537 584114771 /nfs/dbraw/zinc/11/47/71/584114771.db2.gz PYUXEVXGWDVEHN-QWHCGFSZSA-N 0 3 237.368 2.571 20 0 BFADHN Cc1sccc1CN[C@H]1COC[C@H]1C1CC1 ZINC000898380538 584114981 /nfs/dbraw/zinc/11/49/81/584114981.db2.gz PYUXEVXGWDVEHN-STQMWFEESA-N 0 3 237.368 2.571 20 0 BFADHN COC[C@@H](CC(C)(C)C)NC/C=C/Cl ZINC000898428544 584133143 /nfs/dbraw/zinc/13/31/43/584133143.db2.gz JOYYAPFNGIGQDP-BRAIEQGRSA-N 0 3 219.756 2.780 20 0 BFADHN C[C@@H]1CC[C@H](Nc2ccnc(CO)c2)C[C@@H]1C ZINC000858481393 588959078 /nfs/dbraw/zinc/95/90/78/588959078.db2.gz KAWWPBCATVYBGA-WOPDTQHZSA-N 0 3 234.343 2.811 20 0 BFADHN Cc1c[nH]nc1CNC1(C)C(C)(C)C1(C)C ZINC000898413876 584131729 /nfs/dbraw/zinc/13/17/29/584131729.db2.gz CUSXJAFABXPIFF-UHFFFAOYSA-N 0 3 221.348 2.632 20 0 BFADHN Cc1occc1CN1C[C@@H]2CSC[C@]2(C)C1 ZINC000898420541 584133257 /nfs/dbraw/zinc/13/32/57/584133257.db2.gz WAQIFDARJQOSOR-OLZOCXBDSA-N 0 3 237.368 2.773 20 0 BFADHN CCCSC[C@H](C)NC/C=C\Cl ZINC000898429115 584133462 /nfs/dbraw/zinc/13/34/62/584133462.db2.gz RKXKYLCMMBERAL-WBSSQXGSSA-N 0 3 207.770 2.860 20 0 BFADHN COc1ccccc1C[C@@H](C)NC/C=C\Cl ZINC000898431932 584134815 /nfs/dbraw/zinc/13/48/15/584134815.db2.gz DEJWKVYWQXTTIT-UIJKISKDSA-N 0 3 239.746 2.968 20 0 BFADHN CC(C)[C@@H]1C[C@@H](NC/C=C/Cl)CS1 ZINC000898433975 584135117 /nfs/dbraw/zinc/13/51/17/584135117.db2.gz QKNSMBUARACALD-OKWQPMOJSA-N 0 3 219.781 2.859 20 0 BFADHN C[C@@H](CCCO)N[C@H](c1ccccn1)C1CCC1 ZINC000858484632 588959631 /nfs/dbraw/zinc/95/96/31/588959631.db2.gz WQAFQPCBIGDORO-WFASDCNBSA-N 0 3 248.370 2.673 20 0 BFADHN CSCCCCCNc1ccnc(CO)c1 ZINC000858487943 588960414 /nfs/dbraw/zinc/96/04/14/588960414.db2.gz LSWRUPWAOARLJW-UHFFFAOYSA-N 0 3 240.372 2.519 20 0 BFADHN Cc1cc(-c2ccc(C3(O)CNC3)cc2)cs1 ZINC000899323947 584196770 /nfs/dbraw/zinc/19/67/70/584196770.db2.gz SOXCZZRDXAGFTA-UHFFFAOYSA-N 0 3 245.347 2.514 20 0 BFADHN Cc1c(Cl)cccc1-c1cnn(C)c1CN ZINC000899320300 584197047 /nfs/dbraw/zinc/19/70/47/584197047.db2.gz YENYBIYSNWAWLK-UHFFFAOYSA-N 0 3 235.718 2.508 20 0 BFADHN CC1(C)CCC[C@H]1CNc1ccnc(CO)c1 ZINC000858555546 588965169 /nfs/dbraw/zinc/96/51/69/588965169.db2.gz IKVJZTJUZZOPKU-NSHDSACASA-N 0 3 234.343 2.812 20 0 BFADHN OCc1cc(N[C@@H]2CC3CCC2CC3)ccn1 ZINC000858554733 588965188 /nfs/dbraw/zinc/96/51/88/588965188.db2.gz MNQVRXUDEULOBI-QIMFLAQGSA-N 0 3 232.327 2.565 20 0 BFADHN C[C@H](CNc1ccnc(CO)c1)CC(F)(F)F ZINC000858570471 588967255 /nfs/dbraw/zinc/96/72/55/588967255.db2.gz JVOVXRWWIZMHQA-QMMMGPOBSA-N 0 3 248.248 2.574 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]1C1CC1)c1ccns1 ZINC000858575502 588967954 /nfs/dbraw/zinc/96/79/54/588967954.db2.gz BVVDFTLHEBAFEK-JMJZKYOTSA-N 0 3 222.357 2.982 20 0 BFADHN O=C(Nc1ccccc1)[C@H]1CC[C@H]2CCCCN21 ZINC000899911220 584253039 /nfs/dbraw/zinc/25/30/39/584253039.db2.gz PAQUJACDRTZUGK-ZIAGYGMSSA-N 0 3 244.338 2.642 20 0 BFADHN CC(C)Cc1noc([C@](C)(CN)CC(C)C)n1 ZINC000900000204 584263814 /nfs/dbraw/zinc/26/38/14/584263814.db2.gz WRSYSXQLQAQKFX-ZDUSSCGKSA-N 0 3 239.363 2.531 20 0 BFADHN CC(C)C[C@](C)(CN)c1nc(-c2ccco2)no1 ZINC000900014664 584266256 /nfs/dbraw/zinc/26/62/56/584266256.db2.gz ADTSLAKHCFOIGC-CYBMUJFWSA-N 0 3 249.314 2.592 20 0 BFADHN OCc1cc(NCC[C@@H]2CC=CCC2)ccn1 ZINC000858759501 588975889 /nfs/dbraw/zinc/97/58/89/588975889.db2.gz IOSRQTADUNNGNF-GFCCVEGCSA-N 0 3 232.327 2.732 20 0 BFADHN C/C=C/C[C@@H]1CCCN(c2cc(C)nc(N)n2)C1 ZINC000858863433 588980213 /nfs/dbraw/zinc/98/02/13/588980213.db2.gz JSIDQZLBPRLEJL-AAOUONPWSA-N 0 3 246.358 2.550 20 0 BFADHN CCNCc1cc(-c2ccccc2C)no1 ZINC000901387542 584436698 /nfs/dbraw/zinc/43/66/98/584436698.db2.gz SIDNGSSJRPZYGH-UHFFFAOYSA-N 0 3 216.284 2.760 20 0 BFADHN CCNCc1cc(-c2ccc(OCC)cc2)no1 ZINC000901387866 584436855 /nfs/dbraw/zinc/43/68/55/584436855.db2.gz NEBHHCLZEABSAL-UHFFFAOYSA-N 0 3 246.310 2.850 20 0 BFADHN COC[C@H](SCCN(C)C)c1ccccc1 ZINC000901659261 584476626 /nfs/dbraw/zinc/47/66/26/584476626.db2.gz ILGYRUQFRKEZLZ-ZDUSSCGKSA-N 0 3 239.384 2.669 20 0 BFADHN CCn1cnc(CN[C@H](C)CCC(C)C)c1 ZINC000902026911 584513281 /nfs/dbraw/zinc/51/32/81/584513281.db2.gz BYPKJKAPEZXBIV-GFCCVEGCSA-N 0 3 223.364 2.817 20 0 BFADHN CCn1cnc(CNC[C@H]2CCCC[C@@H]2C)c1 ZINC000902105332 584523738 /nfs/dbraw/zinc/52/37/38/584523738.db2.gz WITTZKUNVKIDBP-QWHCGFSZSA-N 0 3 235.375 2.819 20 0 BFADHN CSCc1ccc(CNc2nccn2C)cc1 ZINC000902116059 584527310 /nfs/dbraw/zinc/52/73/10/584527310.db2.gz MXENIIVJJLROPA-UHFFFAOYSA-N 0 3 247.367 2.895 20 0 BFADHN CNc1ccc(C)cc1CN[C@@H](C)CSC ZINC000902256110 584544186 /nfs/dbraw/zinc/54/41/86/584544186.db2.gz RMNOLTSQHAWFHJ-NSHDSACASA-N 0 3 238.400 2.878 20 0 BFADHN CC[C@H](COC)NCc1cc(C)ccc1NC ZINC000902259331 584544935 /nfs/dbraw/zinc/54/49/35/584544935.db2.gz HMBKOEKJHHULBF-CYBMUJFWSA-N 0 3 236.359 2.551 20 0 BFADHN CCNc1ccccc1CNC[C@@]1(C)CCCO1 ZINC000902300975 584553714 /nfs/dbraw/zinc/55/37/14/584553714.db2.gz AGTYPFRPLXPULO-OAHLLOKOSA-N 0 3 248.370 2.777 20 0 BFADHN CCn1cnc(CN[C@@H]2CCCC2(C)C)c1 ZINC000902302846 584553780 /nfs/dbraw/zinc/55/37/80/584553780.db2.gz XWCYWTVYHZAVKP-GFCCVEGCSA-N 0 3 221.348 2.571 20 0 BFADHN CCNc1ccccc1CNCc1ccn(C)c1 ZINC000902301454 584553907 /nfs/dbraw/zinc/55/39/07/584553907.db2.gz VPESDPZCQGQFGY-UHFFFAOYSA-N 0 3 243.354 2.747 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cn(CC)cn1 ZINC000902310967 584555142 /nfs/dbraw/zinc/55/51/42/584555142.db2.gz HTALFLNNLNZIRM-YPMHNXCESA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cnc(CNCC2(CC)CCCC2)c1 ZINC000902314851 584556159 /nfs/dbraw/zinc/55/61/59/584556159.db2.gz HAIOXNVXFBIZEO-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN CNc1ccc(C)cc1CN[C@]1(C)CCO[C@@H]1C ZINC000902477151 584603577 /nfs/dbraw/zinc/60/35/77/584603577.db2.gz PUIDDHOCIBEYBD-IUODEOHRSA-N 0 3 248.370 2.694 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2csc(N)n2)C1 ZINC000902497639 584619152 /nfs/dbraw/zinc/61/91/52/584619152.db2.gz AFPDCBICTMSGML-YWVKMMECSA-N 0 3 239.388 2.640 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@H](NCc2csc(N)n2)C1 ZINC000902497636 584619584 /nfs/dbraw/zinc/61/95/84/584619584.db2.gz AFPDCBICTMSGML-FXPVBKGRSA-N 0 3 239.388 2.640 20 0 BFADHN CCC[C@H](NCc1csc(N)n1)C1CCC1 ZINC000902499580 584620203 /nfs/dbraw/zinc/62/02/03/584620203.db2.gz JRBIJKGSBYSDFR-NSHDSACASA-N 0 3 239.388 2.784 20 0 BFADHN CC1(C)CCC[C@H](NCc2csc(N)n2)C1 ZINC000902498627 584620216 /nfs/dbraw/zinc/62/02/16/584620216.db2.gz GPJUUWLSNAISJB-VIFPVBQESA-N 0 3 239.388 2.784 20 0 BFADHN CC[C@@H](NCc1csc(N)n1)C1CCCC1 ZINC000902503449 584620669 /nfs/dbraw/zinc/62/06/69/584620669.db2.gz XRPUTZFLAGFWOE-LLVKDONJSA-N 0 3 239.388 2.784 20 0 BFADHN CNc1ccc(C)cc1CNC[C@@H]1CCC=CO1 ZINC000902519449 584630860 /nfs/dbraw/zinc/63/08/60/584630860.db2.gz ZLRXCSCBTGXGPB-AWEZNQCLSA-N 0 3 246.354 2.819 20 0 BFADHN CCn1cnc(CN[C@@H]2CCC[C@H](C)CC2)c1 ZINC000902520764 584631619 /nfs/dbraw/zinc/63/16/19/584631619.db2.gz JQHLUCWFJSBEIB-QWHCGFSZSA-N 0 3 235.375 2.961 20 0 BFADHN CCNc1ccccc1CNCC1=CCCOC1 ZINC000902560121 584634359 /nfs/dbraw/zinc/63/43/59/584634359.db2.gz MZFBQAABEGWHNH-UHFFFAOYSA-N 0 3 246.354 2.555 20 0 BFADHN CCNc1ccccc1CN[C@H]1C[C@@H](OCC)C1 ZINC000902595635 584635638 /nfs/dbraw/zinc/63/56/38/584635638.db2.gz UXZLWJZYOFAPNI-OKILXGFUSA-N 0 3 248.370 2.776 20 0 BFADHN CC[C@H](CNCc1cc(C)ccc1NC)OC ZINC000902572720 584636765 /nfs/dbraw/zinc/63/67/65/584636765.db2.gz XCZJAJJGAJZEDW-CYBMUJFWSA-N 0 3 236.359 2.551 20 0 BFADHN CCNc1ccccc1CNC[C@H](CC)OC ZINC000902572392 584636946 /nfs/dbraw/zinc/63/69/46/584636946.db2.gz SNWLRQQZXPTOSV-ZDUSSCGKSA-N 0 3 236.359 2.633 20 0 BFADHN CNc1ccc(C)cc1CNC[C@@H](OC)C1CC1 ZINC000902574344 584637543 /nfs/dbraw/zinc/63/75/43/584637543.db2.gz ABCJVVBJGCWDRN-OAHLLOKOSA-N 0 3 248.370 2.551 20 0 BFADHN CNc1ccccc1CN[C@H](C)[C@H](OC)C1CC1 ZINC000902583920 584639277 /nfs/dbraw/zinc/63/92/77/584639277.db2.gz ISIRRSRUQWCNRB-ABAIWWIYSA-N 0 3 248.370 2.631 20 0 BFADHN CCn1cnc(CN[C@@]2(C)CCCC[C@@H]2C)c1 ZINC000902584971 584639331 /nfs/dbraw/zinc/63/93/31/584639331.db2.gz AQOXLIRRHCBISE-JSGCOSHPSA-N 0 3 235.375 2.961 20 0 BFADHN CNc1ccccc1CN[C@@H](C)COCC1CC1 ZINC000902616926 584644002 /nfs/dbraw/zinc/64/40/02/584644002.db2.gz YPJXXPHQLPFCEK-LBPRGKRZSA-N 0 3 248.370 2.633 20 0 BFADHN C/C=C/CNCc1cc(C)ccc1NC ZINC000902626376 584646000 /nfs/dbraw/zinc/64/60/00/584646000.db2.gz XDSYWDUTYVJGMX-SNAWJCMRSA-N 0 3 204.317 2.702 20 0 BFADHN CCn1cnc(CN[C@H](C)[C@]23C[C@H]2CCCC3)c1 ZINC000902631975 584646972 /nfs/dbraw/zinc/64/69/72/584646972.db2.gz ZHOQSHIMZGAJNS-NFAWXSAZSA-N 0 3 247.386 2.961 20 0 BFADHN CCNc1ccccc1CN[C@@H]1C[C@H](C)O[C@H]1C ZINC000902631201 584646998 /nfs/dbraw/zinc/64/69/98/584646998.db2.gz VOJMUBRYBYSVSW-SLEUVZQESA-N 0 3 248.370 2.774 20 0 BFADHN CCn1cnc(CNCC(C)(C)C(C)(F)F)c1 ZINC000902634622 584648568 /nfs/dbraw/zinc/64/85/68/584648568.db2.gz OFKOPSZXCMWVIH-UHFFFAOYSA-N 0 3 245.317 2.674 20 0 BFADHN C[C@H](NCC[C@@H](O)C1CC1)c1ccccc1F ZINC000902704437 584659523 /nfs/dbraw/zinc/65/95/23/584659523.db2.gz YWKXBRVQMOLZFW-IINYFYTJSA-N 0 3 237.318 2.637 20 0 BFADHN COCCN[C@@H]1C[C@@H](C)Cc2cc(OC)ccc21 ZINC000902720956 584659830 /nfs/dbraw/zinc/65/98/30/584659830.db2.gz RAYCLBUUJHZHHT-XHDPSFHLSA-N 0 3 249.354 2.555 20 0 BFADHN CCn1cnc(CNC2(C)C(C)(C)C2(C)C)c1 ZINC000902722753 584660535 /nfs/dbraw/zinc/66/05/35/584660535.db2.gz LAEGCIFHQOTGFM-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN Fc1cccc(CNC2C[C@H]3CCC[C@@H]3C2)n1 ZINC000902884799 584689153 /nfs/dbraw/zinc/68/91/53/584689153.db2.gz MQVNHPRQNNELPP-GHMZBOCLSA-N 0 3 234.318 2.889 20 0 BFADHN C[C@@H](NCCC1=CCCCCC1)c1cn(C)nn1 ZINC000902956477 584705699 /nfs/dbraw/zinc/70/56/99/584705699.db2.gz ITSVSGMTKDAXLV-GFCCVEGCSA-N 0 3 248.374 2.746 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CCC[C@H]2C1)c1ccn(C)n1 ZINC000903017154 584713300 /nfs/dbraw/zinc/71/33/00/584713300.db2.gz KYMQINBJFOKGDE-RVMXOQNASA-N 0 3 233.359 2.649 20 0 BFADHN CC(C)=CCC[C@@H](C)N[C@H](C)c1cn(C)nn1 ZINC000903007406 584717726 /nfs/dbraw/zinc/71/77/26/584717726.db2.gz YPKZPPQMUWHBME-VXGBXAGGSA-N 0 3 236.363 2.601 20 0 BFADHN CCc1ncc(CN[C@@H]2C[C@H]3CCC[C@H]3C2)o1 ZINC000903013135 584717869 /nfs/dbraw/zinc/71/78/69/584717869.db2.gz XIGYECBNJQTLEX-ZSBIGDGJSA-N 0 3 234.343 2.905 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](c1nncn1C)C(C)C ZINC000903062810 584722701 /nfs/dbraw/zinc/72/27/01/584722701.db2.gz XISYDFDVNJLESW-SRVKXCTJSA-N 0 3 238.379 2.536 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](c1nncn1C)C(C)C ZINC000903062808 584722945 /nfs/dbraw/zinc/72/29/45/584722945.db2.gz XISYDFDVNJLESW-QJPTWQEYSA-N 0 3 238.379 2.536 20 0 BFADHN F[C@@H]1CCCC[C@@H]1N[C@@H]1CCCc2cn[nH]c21 ZINC000903077829 584724395 /nfs/dbraw/zinc/72/43/95/584724395.db2.gz REPPBZGYTMSSJT-GRYCIOLGSA-N 0 3 237.322 2.657 20 0 BFADHN C[C@@H](CN[C@@H](c1ccccn1)C1CC1)C[C@H](C)O ZINC000903099411 584726592 /nfs/dbraw/zinc/72/65/92/584726592.db2.gz HGHNMUFRBPAALL-TYNCELHUSA-N 0 3 248.370 2.529 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CN[C@@H]1CCCc2c[nH]nc21 ZINC000903093341 584729171 /nfs/dbraw/zinc/72/91/71/584729171.db2.gz IRYRMBZDGHJRLW-RGCYKPLRSA-N 0 3 245.370 2.669 20 0 BFADHN Cc1cc([C@H](C)NC[C@@]23C[C@@H]2CCCC3)nn1C ZINC000903162336 584731543 /nfs/dbraw/zinc/73/15/43/584731543.db2.gz CYMXDANTZLSLFY-KCQAQPDRSA-N 0 3 247.386 2.959 20 0 BFADHN C[C@H](NC[C@]12C[C@H]1CCCC2)c1ncc[nH]1 ZINC000903162358 584731560 /nfs/dbraw/zinc/73/15/60/584731560.db2.gz DHQNOTGPKBCAST-LOWVWBTDSA-N 0 3 219.332 2.641 20 0 BFADHN c1cc2c(c(CN[C@H]3CCSC3)n1)CCCC2 ZINC000903185420 584733692 /nfs/dbraw/zinc/73/36/92/584733692.db2.gz SPKGATIBEXPFHB-LBPRGKRZSA-N 0 3 248.395 2.556 20 0 BFADHN CN(C)c1ccnc(CN[C@@H]2CCC23CCC3)c1 ZINC000903199417 584734947 /nfs/dbraw/zinc/73/49/47/584734947.db2.gz XBNLKGOJNPPWCY-CQSZACIVSA-N 0 3 245.370 2.570 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H](c1ccccn1)C1CC1 ZINC000903224481 584736094 /nfs/dbraw/zinc/73/60/94/584736094.db2.gz WQXBHYSEKPSVQB-BPLDGKMQSA-N 0 3 246.354 2.690 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H](c1ccccn1)C1CC1 ZINC000903224484 584736098 /nfs/dbraw/zinc/73/60/98/584736098.db2.gz WQXBHYSEKPSVQB-YUELXQCFSA-N 0 3 246.354 2.690 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](c1ccccn1)C1CC1 ZINC000903205818 584736339 /nfs/dbraw/zinc/73/63/39/584736339.db2.gz FLFCUPQGJVVLKO-ILXRZTDVSA-N 0 3 246.354 2.690 20 0 BFADHN CC(C)(CN[C@@H]1CCCn2ccnc21)C1CC1 ZINC000903239520 584738292 /nfs/dbraw/zinc/73/82/92/584738292.db2.gz HAHNNWHMTIVMGA-GFCCVEGCSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000903252140 584738775 /nfs/dbraw/zinc/73/87/75/584738775.db2.gz AVKCCWGZKPHXGH-JOLBHGKHSA-N 0 3 231.343 2.523 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1N[C@H]1CCc2cccnc21 ZINC000903252806 584739071 /nfs/dbraw/zinc/73/90/71/584739071.db2.gz HQCGSZRFFWFBIY-QKGWFMCXSA-N 0 3 228.339 2.703 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1ccco1 ZINC000903252725 584739295 /nfs/dbraw/zinc/73/92/95/584739295.db2.gz HKKBMBQIRZDHHX-CSYMLDBXSA-N 0 3 205.301 2.975 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1N[C@H](c1nccn1C)C1CC1 ZINC000903254452 584739572 /nfs/dbraw/zinc/73/95/72/584739572.db2.gz RJZDDGMVLGLZEI-LPUQOGTASA-N 0 3 245.370 2.505 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCO[C@H]2C2CC2)o1 ZINC000903263077 584740684 /nfs/dbraw/zinc/74/06/84/584740684.db2.gz BLNQDXRBUHIYED-QKCSRTOESA-N 0 3 235.327 2.806 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@@H]1CCCC2(CC2)C1 ZINC000903279111 584742540 /nfs/dbraw/zinc/74/25/40/584742540.db2.gz KHQGMVOBYYOSKL-MWLCHTKSSA-N 0 3 235.331 2.751 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)oc1C ZINC000903286738 584745501 /nfs/dbraw/zinc/74/55/01/584745501.db2.gz NHLKYKTWEKUILQ-MHVRPGDESA-N 0 3 247.338 2.723 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@@H]3CCC[C@H]23)nn1C ZINC000903319784 584746540 /nfs/dbraw/zinc/74/65/40/584746540.db2.gz XGWBPURBZWHYTF-ZJQBRPOHSA-N 0 3 233.359 2.568 20 0 BFADHN CN1CC[C@@H]1CN[C@@H]1CC(C)(C)Cc2occc21 ZINC000903322067 584747008 /nfs/dbraw/zinc/74/70/08/584747008.db2.gz KRQLWYWZELGTJX-DGCLKSJQSA-N 0 3 248.370 2.587 20 0 BFADHN c1cnc([C@H](N[C@H]2CCC[C@H]3C[C@H]32)C2CC2)nc1 ZINC000903322314 584747015 /nfs/dbraw/zinc/74/70/15/584747015.db2.gz IADQVXDQJKUOHB-RFQIPJPRSA-N 0 3 243.354 2.706 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCC[C@@H]12)c1ccns1 ZINC000903315321 584748953 /nfs/dbraw/zinc/74/89/53/584748953.db2.gz DTWDXCYXCKPJFI-UKKRHICBSA-N 0 3 222.357 2.982 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2C[C@H]3CCC[C@H]32)nn1 ZINC000903316539 584750042 /nfs/dbraw/zinc/75/00/42/584750042.db2.gz JUEYVRICOILFNL-UMSGYPCISA-N 0 3 248.374 2.698 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H]2C[C@H]3CCC[C@H]32)nn1 ZINC000903318254 584750415 /nfs/dbraw/zinc/75/04/15/584750415.db2.gz PRFRDBASLGQKID-UMSGYPCISA-N 0 3 248.374 2.527 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@@H]3CCC[C@@H]23)c2nccn21 ZINC000903318577 584750991 /nfs/dbraw/zinc/75/09/91/584750991.db2.gz QJNJWIJFEYROBU-MLGHIDQZSA-N 0 3 231.343 2.667 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](c1ncccn1)C1CC1 ZINC000903333129 584751096 /nfs/dbraw/zinc/75/10/96/584751096.db2.gz PROGJLJBESHZLH-GMXVVIOVSA-N 0 3 233.359 2.952 20 0 BFADHN COC[C@H](N[C@@H](c1ccccn1)C1CC1)C(C)C ZINC000903340681 584752146 /nfs/dbraw/zinc/75/21/46/584752146.db2.gz RXOGMEYOXLMZPQ-LSDHHAIUSA-N 0 3 248.370 2.793 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](c1ccccn1)C1CC1 ZINC000903339812 584752274 /nfs/dbraw/zinc/75/22/74/584752274.db2.gz NFWFXGUSTOUHFD-GYSYKLTISA-N 0 3 234.343 2.546 20 0 BFADHN COC[C@@H](N[C@H](c1ccccn1)C1CC1)C(C)C ZINC000903340678 584752391 /nfs/dbraw/zinc/75/23/91/584752391.db2.gz RXOGMEYOXLMZPQ-CABCVRRESA-N 0 3 248.370 2.793 20 0 BFADHN C[C@H](NCC[C@H]1CCC(F)(F)C1)c1ncc[nH]1 ZINC000903341930 584752495 /nfs/dbraw/zinc/75/24/95/584752495.db2.gz VFLSDMOUJFHTKV-VHSXEESVSA-N 0 3 243.301 2.886 20 0 BFADHN C[C@@H](NCC[C@H]1CCC(F)(F)C1)c1ncc[nH]1 ZINC000903341928 584752537 /nfs/dbraw/zinc/75/25/37/584752537.db2.gz VFLSDMOUJFHTKV-NXEZZACHSA-N 0 3 243.301 2.886 20 0 BFADHN C[C@H](NCCN1CCC(C)(C)C1)c1ccoc1 ZINC000903344612 584752581 /nfs/dbraw/zinc/75/25/81/584752581.db2.gz QXHHQUIECHKUDF-LBPRGKRZSA-N 0 3 236.359 2.662 20 0 BFADHN C[C@H](NC[C@@H]1CCC=CCCC1)c1cnn(C)n1 ZINC000903345045 584752958 /nfs/dbraw/zinc/75/29/58/584752958.db2.gz KXKDVWPIKZYFFN-QWHCGFSZSA-N 0 3 248.374 2.602 20 0 BFADHN COc1ccccc1[C@H](C)NC1CC(CCO)C1 ZINC000903328265 584753348 /nfs/dbraw/zinc/75/33/48/584753348.db2.gz IPQJJDKMCIXTMO-HIFPTAJRSA-N 0 3 249.354 2.507 20 0 BFADHN COC(C)(C)[C@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000903329947 584754053 /nfs/dbraw/zinc/75/40/53/584754053.db2.gz GBBWFDZZZSPPLC-IUCAKERBSA-N 0 3 242.388 2.916 20 0 BFADHN CCC[C@H](NC1CC(CCO)C1)c1ccccn1 ZINC000903330258 584754071 /nfs/dbraw/zinc/75/40/71/584754071.db2.gz QVGFMZXJAGXOBA-PIMMBPRGSA-N 0 3 248.370 2.673 20 0 BFADHN CCC[C@H](C)[C@H](CC)NCc1cn(C)cn1 ZINC000903351085 584755530 /nfs/dbraw/zinc/75/55/30/584755530.db2.gz HGLDJIZLBMQSFJ-AAEUAGOBSA-N 0 3 223.364 2.725 20 0 BFADHN C[C@H](NC[C@]12C[C@H]1COC21CCC1)c1ccoc1 ZINC000903360142 584755844 /nfs/dbraw/zinc/75/58/44/584755844.db2.gz VAZWGWCGRJNEHQ-FPMFFAJLSA-N 0 3 247.338 2.889 20 0 BFADHN C[C@@H]1COC[C@@H]1N[C@@H]1CCCc2c(F)cccc21 ZINC000903393457 584756351 /nfs/dbraw/zinc/75/63/51/584756351.db2.gz NNMKVWFVEFEMNH-KMUNFCNLSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2COC[C@H]2C)ccc1F ZINC000903396031 584756958 /nfs/dbraw/zinc/75/69/58/584756958.db2.gz OTBVEIIADLHRPQ-SUNKGSAMSA-N 0 3 237.318 2.820 20 0 BFADHN CCC[C@@H](NCC[C@H](O)C1CC1)c1ccccn1 ZINC000903405957 584758312 /nfs/dbraw/zinc/75/83/12/584758312.db2.gz GNRGQYQXFFJGOA-HIFRSBDPSA-N 0 3 248.370 2.673 20 0 BFADHN CCC[C@H](NCC[C@H](O)C1CC1)c1ccccn1 ZINC000903405959 584758343 /nfs/dbraw/zinc/75/83/43/584758343.db2.gz GNRGQYQXFFJGOA-ZFWWWQNUSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CC=CC[C@H]2C)c2nccn21 ZINC000903414029 584759789 /nfs/dbraw/zinc/75/97/89/584759789.db2.gz UGPKVWPRMNSTCW-FDYHWXHSSA-N 0 3 231.343 2.833 20 0 BFADHN CC(C)[C@@H](NCC[C@H](O)C1CC1)c1ccccn1 ZINC000903412882 584759824 /nfs/dbraw/zinc/75/98/24/584759824.db2.gz YBVMTWWULFSLMS-LSDHHAIUSA-N 0 3 248.370 2.529 20 0 BFADHN COc1ccccc1[C@@H](C)NCC[C@H](O)C1CC1 ZINC000903404154 584761217 /nfs/dbraw/zinc/76/12/17/584761217.db2.gz ISERYIZAJGIVSH-RISCZKNCSA-N 0 3 249.354 2.507 20 0 BFADHN C[C@H](N[C@@H]1COC[C@H]1C1CC1)c1ccsc1 ZINC000903438899 584762494 /nfs/dbraw/zinc/76/24/94/584762494.db2.gz YSFTZWFQKFJPRM-TVYUQYBPSA-N 0 3 237.368 2.824 20 0 BFADHN CC[C@H](N[C@@H]1COC[C@H]1C1CC1)c1ccncc1 ZINC000903440215 584763168 /nfs/dbraw/zinc/76/31/68/584763168.db2.gz LNGLJHFWFFQVAK-SOUVJXGZSA-N 0 3 246.354 2.547 20 0 BFADHN C[C@@H]([NH2+]C/C=C/Cl)c1cc(F)ccc1[O-] ZINC000903443091 584768023 /nfs/dbraw/zinc/76/80/23/584768023.db2.gz BHONDIDEMSSCIP-IBDUZEINSA-N 0 3 229.682 2.934 20 0 BFADHN COC[C@@H](NC/C=C/Cl)C1CCCC1 ZINC000903445744 584770116 /nfs/dbraw/zinc/77/01/16/584770116.db2.gz VCMBCGLFLQALMD-TZOMUSMUSA-N 0 3 217.740 2.534 20 0 BFADHN C[C@H]1CN(Cc2ccnc(F)c2)C(C)(C)C1 ZINC000859154044 589011098 /nfs/dbraw/zinc/01/10/98/589011098.db2.gz UQMMTHDMPHBESH-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN CC(C)CCCN1CCOc2ccc(N)cc2C1 ZINC000903943886 584829769 /nfs/dbraw/zinc/82/97/69/584829769.db2.gz DCRFHBPCWPSXGW-UHFFFAOYSA-N 0 3 248.370 2.899 20 0 BFADHN C[C@@H](CNCc1cc(N)ccn1)c1ccccc1 ZINC000903953142 584831342 /nfs/dbraw/zinc/83/13/42/584831342.db2.gz ASBDHOGRGZHJKP-LBPRGKRZSA-N 0 3 241.338 2.557 20 0 BFADHN Nc1ccnc(CNCCC2CCCCC2)c1 ZINC000903953346 584831656 /nfs/dbraw/zinc/83/16/56/584831656.db2.gz DHUSUZAGZJYOOR-UHFFFAOYSA-N 0 3 233.359 2.724 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1ccnc(F)c1 ZINC000859163497 589012470 /nfs/dbraw/zinc/01/24/70/589012470.db2.gz NGYUJQOOILVLGH-AOOOYVTPSA-N 0 3 208.280 2.594 20 0 BFADHN CCCCC[C@H]1CCCN1Cc1ccc(N)nn1 ZINC000904328556 584866266 /nfs/dbraw/zinc/86/62/66/584866266.db2.gz QVOYGFHCGUIQET-ZDUSSCGKSA-N 0 3 248.374 2.604 20 0 BFADHN CCNCC(=O)Nc1cccc([C@@H](C)CC)c1 ZINC000876992693 584888607 /nfs/dbraw/zinc/88/86/07/584888607.db2.gz HLVMMWIGEAPNGG-NSHDSACASA-N 0 3 234.343 2.748 20 0 BFADHN FC(F)(F)[C@H]1CCN(CC2CC(F)(F)C2)C1 ZINC000828529863 584903594 /nfs/dbraw/zinc/90/35/94/584903594.db2.gz WOEYHRXFPKNGDP-QMMMGPOBSA-N 0 3 243.219 2.916 20 0 BFADHN CCC(=O)CCN1CCC[C@H]1c1ccccn1 ZINC000753737097 584905704 /nfs/dbraw/zinc/90/57/04/584905704.db2.gz CXYVSJCHJSYWSJ-AWEZNQCLSA-N 0 3 232.327 2.588 20 0 BFADHN CC(C)CC1CCN(Cc2cnn(C)c2)CC1 ZINC000760923287 584908815 /nfs/dbraw/zinc/90/88/15/584908815.db2.gz ZSXVMPQYCGRFCI-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN Cc1ccc(C)c(OCCN2CC[C@H]3C[C@H]32)c1 ZINC000761091337 584909570 /nfs/dbraw/zinc/90/95/70/584909570.db2.gz JYZLSSHTLOBTKB-UONOGXRCSA-N 0 3 231.339 2.776 20 0 BFADHN CCOCCN(CC)C[C@@H]1CC1(Cl)Cl ZINC000730893533 584919670 /nfs/dbraw/zinc/91/96/70/584919670.db2.gz WDKYVINDFJDJCY-VIFPVBQESA-N 0 3 240.174 2.539 20 0 BFADHN C[C@@H]1c2cccn2CCN1C[Si](C)(C)C ZINC000731210575 584923502 /nfs/dbraw/zinc/92/35/02/584923502.db2.gz SJIPPSPNOYEYQJ-LLVKDONJSA-N 0 3 222.408 2.742 20 0 BFADHN C[C@H]1CCN(Cn2ccc(-c3ccsc3)n2)C1 ZINC000731660488 584928063 /nfs/dbraw/zinc/92/80/63/584928063.db2.gz ZHIMNMQIYRAPDJ-NSHDSACASA-N 0 3 247.367 2.911 20 0 BFADHN CCCCCCN(C)CC(=O)N(C)CC(C)C ZINC000731871393 584929947 /nfs/dbraw/zinc/92/99/47/584929947.db2.gz JFYGREZJZWLPFZ-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN CCCCN(CCCC)CN1C[C@@H](C)CC1=O ZINC000733293031 584945930 /nfs/dbraw/zinc/94/59/30/584945930.db2.gz YGVHQKBUJYAYEM-ZDUSSCGKSA-N 0 3 240.391 2.715 20 0 BFADHN Cc1nonc1CN1CCC[C@@H]1C1CCCC1 ZINC000734145811 584957261 /nfs/dbraw/zinc/95/72/61/584957261.db2.gz BHVRQHAKCSHWTK-CYBMUJFWSA-N 0 3 235.331 2.533 20 0 BFADHN Cc1nonc1CN1CCC[C@@H]1C1CCCCC1 ZINC000734147588 584957460 /nfs/dbraw/zinc/95/74/60/584957460.db2.gz WGJHLWNAEUCWLF-CQSZACIVSA-N 0 3 249.358 2.923 20 0 BFADHN C[C@H](c1ccccc1)[C@@H](CO)NCc1ccoc1 ZINC000734846041 584968866 /nfs/dbraw/zinc/96/88/66/584968866.db2.gz NJQFPKLOQHLTIC-IUODEOHRSA-N 0 3 245.322 2.534 20 0 BFADHN Cc1ccc(CN(C)C[C@](C)(O)C(F)F)s1 ZINC000885983560 585032773 /nfs/dbraw/zinc/03/27/73/585032773.db2.gz JPCNZMPSAVZMMS-NSHDSACASA-N 0 3 249.326 2.504 20 0 BFADHN CC[C@H]1COCCN1CC[C@@H]1C[C@H]1C1CC1 ZINC000886012151 585034375 /nfs/dbraw/zinc/03/43/75/585034375.db2.gz AQWLRWCBFJALEY-RDBSUJKOSA-N 0 3 223.360 2.533 20 0 BFADHN CCN(CC)CCOC(=O)[C@@]1(C)CC=CCC1 ZINC000838185677 585049178 /nfs/dbraw/zinc/04/91/78/585049178.db2.gz WWXKPXWBDASVOB-AWEZNQCLSA-N 0 3 239.359 2.618 20 0 BFADHN C[C@@H](c1ccco1)N(C[C@H](O)C1CC1)C1CC1 ZINC000838901289 585080544 /nfs/dbraw/zinc/08/05/44/585080544.db2.gz SFANGWABYZFSLM-GWCFXTLKSA-N 0 3 235.327 2.576 20 0 BFADHN COCc1cc(CNC[C@H](C)C(C)(C)C)no1 ZINC000838955115 585080646 /nfs/dbraw/zinc/08/06/46/585080646.db2.gz IBSBMBFCUKXGTM-JTQLQIEISA-N 0 3 240.347 2.593 20 0 BFADHN CCOC(=O)CC(C)(C)CN1CC(C(C)C)C1 ZINC000839065501 585089595 /nfs/dbraw/zinc/08/95/95/585089595.db2.gz OHKUPYDBLAVYAO-UHFFFAOYSA-N 0 3 241.375 2.554 20 0 BFADHN Cc1ccc2oc(CNC[C@H](O)C3CC3)cc2c1 ZINC000740005785 585089884 /nfs/dbraw/zinc/08/98/84/585089884.db2.gz FOZLTRIJUZZHKK-AWEZNQCLSA-N 0 3 245.322 2.602 20 0 BFADHN C1=CO[C@H](CN2CC3(C2)CCCCC3)CC1 ZINC000839132022 585094145 /nfs/dbraw/zinc/09/41/45/585094145.db2.gz INMWMFNNVYAIRA-ZDUSSCGKSA-N 0 3 221.344 2.945 20 0 BFADHN O[C@@H]1CCN(Cc2ccoc2)C[C@H]1C1CCCC1 ZINC000839191193 585097398 /nfs/dbraw/zinc/09/73/98/585097398.db2.gz GBVKVKWOCBJQRC-LSDHHAIUSA-N 0 3 249.354 2.653 20 0 BFADHN O[C@@H]1CCN(C/C=C\Cl)C[C@H]1C1CCCC1 ZINC000839199401 585099082 /nfs/dbraw/zinc/09/90/82/585099082.db2.gz KZMOOQLXAXIHDB-VOYABRKTSA-N 0 3 243.778 2.612 20 0 BFADHN CCCCCCC(=O)N[C@@H]1CCN(C)[C@H](C)C1 ZINC000741724629 585117397 /nfs/dbraw/zinc/11/73/97/585117397.db2.gz LFKJBAGYQQISCG-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN CCCOC(=O)[C@H](C)N(C(CC)CC)C1CC1 ZINC000741776635 585118309 /nfs/dbraw/zinc/11/83/09/585118309.db2.gz CEXACSKJDZKVKF-NSHDSACASA-N 0 3 241.375 2.981 20 0 BFADHN CCCOC(=O)[C@@H](C)N(CCC)CC(C)C ZINC000741781294 585118654 /nfs/dbraw/zinc/11/86/54/585118654.db2.gz NDDQQUYZHNTGIF-GFCCVEGCSA-N 0 3 229.364 2.696 20 0 BFADHN CCCOC(=O)[C@H](C)N(C)[C@@H]1CCCC[C@@H]1C ZINC000741782074 585118709 /nfs/dbraw/zinc/11/87/09/585118709.db2.gz WZHZXIDPULINQY-RWMBFGLXSA-N 0 3 241.375 2.839 20 0 BFADHN CCCOC(=O)[C@@H](C)N1CCC[C@H]1C(C)(C)C ZINC000741785246 585118949 /nfs/dbraw/zinc/11/89/49/585118949.db2.gz PVTFECSKIXRPCB-NEPJUHHUSA-N 0 3 241.375 2.839 20 0 BFADHN CCCCOC(=O)[C@H](C)N(C)C(C)(C)CC ZINC000741787303 585119252 /nfs/dbraw/zinc/11/92/52/585119252.db2.gz JQVCODJQJQZJJU-NSHDSACASA-N 0 3 229.364 2.839 20 0 BFADHN CCCCCC[C@@H](C)C(=O)NC[C@@H](C)N(C)C ZINC000742044696 585121648 /nfs/dbraw/zinc/12/16/48/585121648.db2.gz PJHMBYVWQHUNHQ-CHWSQXEVSA-N 0 3 242.407 2.659 20 0 BFADHN CCCN(CC)C[C@@H](O)c1cc(F)ccc1F ZINC000746107441 585163219 /nfs/dbraw/zinc/16/32/19/585163219.db2.gz GUQSRXAOWCTKAL-CYBMUJFWSA-N 0 3 243.297 2.730 20 0 BFADHN CCC[C@@H](C)CCCN1CCN(C)[C@@H](C)C1 ZINC000859216551 589019854 /nfs/dbraw/zinc/01/98/54/589019854.db2.gz LQKFXDYWKBCETF-KGLIPLIRSA-N 0 3 226.408 2.839 20 0 BFADHN CC(C)CCCCCC(=O)N(C)CCN(C)C ZINC000748407034 585192346 /nfs/dbraw/zinc/19/23/46/585192346.db2.gz PGVTXCOBFIUUEK-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN CCN(C)C(=O)CN(C)C1CCCCCCC1 ZINC000748806215 585196740 /nfs/dbraw/zinc/19/67/40/585196740.db2.gz SYBIBISOWOZFPV-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CCC/C(C)=C/C(=O)N(CCN(C)C)C(C)C ZINC000749027184 585198511 /nfs/dbraw/zinc/19/85/11/585198511.db2.gz XDQSTIJYTXZNPH-ACCUITESSA-N 0 3 240.391 2.531 20 0 BFADHN CC(C)[C@@H]1OCCC[C@@H]1CNCc1ccoc1 ZINC000749294443 585201202 /nfs/dbraw/zinc/20/12/02/585201202.db2.gz IBSGDAXAKIJGSM-KGLIPLIRSA-N 0 3 237.343 2.820 20 0 BFADHN CCCCCCNC(=O)[C@H]1CCCN1CCC ZINC000749565438 585203916 /nfs/dbraw/zinc/20/39/16/585203916.db2.gz QCQFEBCKEWFDIG-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H]1NCc1cn2ccccc2n1 ZINC000749922597 585208271 /nfs/dbraw/zinc/20/82/71/585208271.db2.gz BUUVVFKPZYVNPG-JYAVWHMHSA-N 0 3 243.354 2.859 20 0 BFADHN CCCCCCN(C)CC(=O)N1CCC[C@H]1C ZINC000751991534 585240373 /nfs/dbraw/zinc/24/03/73/585240373.db2.gz UNULPPCXQFGZSX-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN COc1ccc(CN(C)C[C@H]2CCCO2)c(C)c1 ZINC000752854354 585250906 /nfs/dbraw/zinc/25/09/06/585250906.db2.gz IFGSYTRYVGBDAB-OAHLLOKOSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1cccc(F)c1CN[C@H](C)[C@@H]1CCCO1 ZINC000753663763 585265846 /nfs/dbraw/zinc/26/58/46/585265846.db2.gz NCAOOGXPEFWEPL-RISCZKNCSA-N 0 3 237.318 2.791 20 0 BFADHN CCC(=O)CCN1CCC[C@@H]1c1ccc(O)cc1 ZINC000753739751 585267867 /nfs/dbraw/zinc/26/78/67/585267867.db2.gz ZAUOIEBRTCHKJS-OAHLLOKOSA-N 0 3 247.338 2.898 20 0 BFADHN Cc1cc(NCC(C)(C)C2CCCC2)nc(N)n1 ZINC000753816083 585270370 /nfs/dbraw/zinc/27/03/70/585270370.db2.gz PIHYJPXUFVWFSU-UHFFFAOYSA-N 0 3 248.374 2.996 20 0 BFADHN Cc1cc([C@@H]2CCCN2C[C@H]2C[C@H]2C)no1 ZINC000754100124 585278356 /nfs/dbraw/zinc/27/83/56/585278356.db2.gz HWBMVLFVCAEFMC-XWIASGKRSA-N 0 3 220.316 2.776 20 0 BFADHN CCCC[C@@H](NCc1nonc1C)C1CCC1 ZINC000754350327 585282710 /nfs/dbraw/zinc/28/27/10/585282710.db2.gz DLPVWUYCVDKPPM-GFCCVEGCSA-N 0 3 237.347 2.827 20 0 BFADHN CN(C1CCCC1)C(C)(C)C(=O)OCCCF ZINC000754872170 585292075 /nfs/dbraw/zinc/29/20/75/585292075.db2.gz PXJQNUPXHDCYRT-UHFFFAOYSA-N 0 3 245.338 2.542 20 0 BFADHN Cc1ccccc1OCCSCCN(C)C ZINC000755627588 585302457 /nfs/dbraw/zinc/30/24/57/585302457.db2.gz WFWRHCRTSJBZKA-UHFFFAOYSA-N 0 3 239.384 2.669 20 0 BFADHN C[C@@H](NCc1cn2cccc(F)c2n1)C1(C)CC1 ZINC000756012579 585313163 /nfs/dbraw/zinc/31/31/63/585313163.db2.gz IANZLCBLHHIIJG-SNVBAGLBSA-N 0 3 247.317 2.752 20 0 BFADHN CCN(CCCCCCO)Cc1occc1C ZINC000839661835 585334277 /nfs/dbraw/zinc/33/42/77/585334277.db2.gz QVPMHGJILOERNP-UHFFFAOYSA-N 0 3 239.359 2.963 20 0 BFADHN CCN(CC)C[C@@H](O)c1ccc(Cl)c(F)c1 ZINC000759050559 585363968 /nfs/dbraw/zinc/36/39/68/585363968.db2.gz RCNGSJAZNORZQF-GFCCVEGCSA-N 0 3 245.725 2.854 20 0 BFADHN Cc1ccc(C[C@@H](C)N(C)CCF)cc1 ZINC000759343292 585369589 /nfs/dbraw/zinc/36/95/89/585369589.db2.gz NSSGMTKHXZQHKY-GFCCVEGCSA-N 0 3 209.308 2.827 20 0 BFADHN CC[C@@H]1CN(C)c2ccccc2CN1CCF ZINC000759373694 585371530 /nfs/dbraw/zinc/37/15/30/585371530.db2.gz QZEUSRHIAYPGGK-CYBMUJFWSA-N 0 3 236.334 2.687 20 0 BFADHN C/C=C\C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000759744754 585379783 /nfs/dbraw/zinc/37/97/83/585379783.db2.gz JJEVPPWPCBYEMN-WAYWQWQTSA-N 0 3 232.327 2.571 20 0 BFADHN CCCN(C)C[C@H](O)c1ccc(Cl)c(F)c1 ZINC000759842991 585381145 /nfs/dbraw/zinc/38/11/45/585381145.db2.gz FUNFOBVEGODCSN-LBPRGKRZSA-N 0 3 245.725 2.854 20 0 BFADHN C[C@@H](NCc1n[nH]c2ccccc12)c1ccc[nH]1 ZINC000760446777 585392668 /nfs/dbraw/zinc/39/26/68/585392668.db2.gz VXSDJYIZOBAAGZ-SNVBAGLBSA-N 0 3 240.310 2.742 20 0 BFADHN C[C@@H](NCc1[nH]nc2ccccc21)c1ccc[nH]1 ZINC000760446777 585392669 /nfs/dbraw/zinc/39/26/69/585392669.db2.gz VXSDJYIZOBAAGZ-SNVBAGLBSA-N 0 3 240.310 2.742 20 0 BFADHN CSCCCN1CC[C@@H](C(F)(F)F)C1 ZINC000761040954 585403801 /nfs/dbraw/zinc/40/38/01/585403801.db2.gz KBSACTGHMCGJBY-MRVPVSSYSA-N 0 3 227.295 2.624 20 0 BFADHN C[C@H]1CN(Cc2cc3ccccc3o2)CCN1C ZINC000761078203 585406051 /nfs/dbraw/zinc/40/60/51/585406051.db2.gz ALWGJWOJZQUVHE-LBPRGKRZSA-N 0 3 244.338 2.569 20 0 BFADHN CC1(C)CC(CN2CCC[C@@H]2c2ncc[nH]2)C1 ZINC000859222508 589021509 /nfs/dbraw/zinc/02/15/09/589021509.db2.gz LLMMKOLVEJNSFZ-GFCCVEGCSA-N 0 3 233.359 2.983 20 0 BFADHN Cc1ccc2c(c1)CC[C@@H]2NCCOCC1CC1 ZINC000765868894 585493567 /nfs/dbraw/zinc/49/35/67/585493567.db2.gz SYFWAOBQEQMITR-INIZCTEOSA-N 0 3 245.366 2.999 20 0 BFADHN COc1ccc(CN(C)CCCF)cc1F ZINC000766005207 585495860 /nfs/dbraw/zinc/49/58/60/585495860.db2.gz HCIPONNOZHTVQO-UHFFFAOYSA-N 0 3 229.270 2.626 20 0 BFADHN CC(C)[C@@H](N[C@@H]1CCCOC1)c1ccccn1 ZINC000766082933 585497426 /nfs/dbraw/zinc/49/74/26/585497426.db2.gz UFSKJSGUFGSUJD-TZMCWYRMSA-N 0 3 234.343 2.547 20 0 BFADHN CN(CCCF)Cc1cc2ccccc2[nH]1 ZINC000766092976 585497620 /nfs/dbraw/zinc/49/76/20/585497620.db2.gz UAJZSEYDYQQTBW-UHFFFAOYSA-N 0 3 220.291 2.959 20 0 BFADHN C[C@]1(CNCc2ccoc2)CCO[C@H]1C1CC1 ZINC000767195531 585515376 /nfs/dbraw/zinc/51/53/76/585515376.db2.gz KHHUMLAEPCZWTL-UONOGXRCSA-N 0 3 235.327 2.574 20 0 BFADHN Cc1ccccc1CN(C)CCC(=O)C1CC1 ZINC000767346383 585517011 /nfs/dbraw/zinc/51/70/11/585517011.db2.gz JSPVLWOQKMDEGD-UHFFFAOYSA-N 0 3 231.339 2.796 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2cc(C)oc2C)C1(C)C ZINC000767374086 585518463 /nfs/dbraw/zinc/51/84/63/585518463.db2.gz WBXYQAZGEKKNTM-CHWSQXEVSA-N 0 3 237.343 2.800 20 0 BFADHN CN(CCC(=O)C1CC1)CCc1ccccc1F ZINC000767375438 585518528 /nfs/dbraw/zinc/51/85/28/585518528.db2.gz NIPIQTJZCZTTHS-UHFFFAOYSA-N 0 3 249.329 2.669 20 0 BFADHN CN(CCC(=O)C1CC1)CCc1cccs1 ZINC000767409324 585519279 /nfs/dbraw/zinc/51/92/79/585519279.db2.gz KBOBETPYIICOGB-UHFFFAOYSA-N 0 3 237.368 2.592 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)CCC(=O)C1CC1 ZINC000767423748 585519868 /nfs/dbraw/zinc/51/98/68/585519868.db2.gz FEKXRHDKTQSHJQ-LLVKDONJSA-N 0 3 247.338 2.754 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1c1cc(C)nc(N)n1 ZINC000767813345 585526154 /nfs/dbraw/zinc/52/61/54/585526154.db2.gz HHCUWDQFEGNPFM-JQWIXIFHSA-N 0 3 248.374 2.772 20 0 BFADHN CN(CCCF)[C@H]1C[C@@H]1c1ccccc1 ZINC000767906584 585527308 /nfs/dbraw/zinc/52/73/08/585527308.db2.gz UZSCPPRDHBHHIM-OLZOCXBDSA-N 0 3 207.292 2.834 20 0 BFADHN COCC[C@@H]1CCCCN(C/C=C\Cl)C1 ZINC000767939412 585528362 /nfs/dbraw/zinc/52/83/62/585528362.db2.gz BWTNJWOKWLNQAO-KMSCOEIWSA-N 0 3 231.767 2.878 20 0 BFADHN CCCN(C)Cc1cnc(Cl)c(C)c1 ZINC000768246212 585534959 /nfs/dbraw/zinc/53/49/59/585534959.db2.gz VETVXKCMUSTYCG-UHFFFAOYSA-N 0 3 212.724 2.885 20 0 BFADHN CCN(CCOC)Cc1cncc2ccccc21 ZINC000768251322 585534842 /nfs/dbraw/zinc/53/48/42/585534842.db2.gz ASPISSAEUXYPTH-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN C[C@@H](NCCNc1ccccc1)c1ccncc1 ZINC000768407884 585538309 /nfs/dbraw/zinc/53/83/09/585538309.db2.gz SZDAOFNIUJJIQZ-CYBMUJFWSA-N 0 3 241.338 2.844 20 0 BFADHN Cc1cc(CN2CCCOC(C)(C)C2)c(C)o1 ZINC000769462057 585557600 /nfs/dbraw/zinc/55/76/00/585557600.db2.gz FZAFEOYDRHIUQT-UHFFFAOYSA-N 0 3 237.343 2.897 20 0 BFADHN CCOCCCN[C@@H](C)c1c(CC)noc1C ZINC000769861302 585565594 /nfs/dbraw/zinc/56/55/94/585565594.db2.gz QQWWVVXOEZKIOR-JTQLQIEISA-N 0 3 240.347 2.623 20 0 BFADHN CCOCCCN[C@H](C)c1c(CC)noc1C ZINC000769861303 585565720 /nfs/dbraw/zinc/56/57/20/585565720.db2.gz QQWWVVXOEZKIOR-SNVBAGLBSA-N 0 3 240.347 2.623 20 0 BFADHN CCc1noc(C)c1[C@H](C)NCCCCOC ZINC000769871942 585565754 /nfs/dbraw/zinc/56/57/54/585565754.db2.gz DEVDMDLTDICZBF-JTQLQIEISA-N 0 3 240.347 2.623 20 0 BFADHN CCCC(=CC(=O)NC[C@@H](C)N(C)C)CCC ZINC000770644325 585579117 /nfs/dbraw/zinc/57/91/17/585579117.db2.gz OAURRXMQZMLDBG-GFCCVEGCSA-N 0 3 240.391 2.579 20 0 BFADHN CN(C)c1cc(CN2CCCC3(CC3)C2)ccn1 ZINC000770698332 585580230 /nfs/dbraw/zinc/58/02/30/585580230.db2.gz DEUGPLPOYBDUOC-UHFFFAOYSA-N 0 3 245.370 2.524 20 0 BFADHN CCc1cccc(NC(=O)CNC[C@@H](C)CC)c1 ZINC000771835576 585601744 /nfs/dbraw/zinc/60/17/44/585601744.db2.gz SXHUQWJQXQWWIG-LBPRGKRZSA-N 0 3 248.370 2.823 20 0 BFADHN CCN(CC)CCOC(=O)[C@H](C)C(C)(C)C ZINC000772135968 585609195 /nfs/dbraw/zinc/60/91/95/585609195.db2.gz IMQGHQQQNQIFJC-NSHDSACASA-N 0 3 229.364 2.554 20 0 BFADHN CCN(CC)CCOC(=O)CC(C)(C)CC ZINC000772164535 585609544 /nfs/dbraw/zinc/60/95/44/585609544.db2.gz HVXPAJYZJAEWIZ-UHFFFAOYSA-N 0 3 229.364 2.698 20 0 BFADHN CC[C@H](C)[C@@H](C)C(=O)OCCN(CC)CC ZINC000772256572 585611151 /nfs/dbraw/zinc/61/11/51/585611151.db2.gz NNRZXMITATYSTM-NWDGAFQWSA-N 0 3 229.364 2.554 20 0 BFADHN C[C@H](N[C@@H](CO)C1CC1)c1cc2ccccc2o1 ZINC000772989587 585629025 /nfs/dbraw/zinc/62/90/25/585629025.db2.gz WLUTWHXEUYRACZ-GWCFXTLKSA-N 0 3 245.322 2.854 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](CCO)C2CC2)c(C)o1 ZINC000774249727 585654992 /nfs/dbraw/zinc/65/49/92/585654992.db2.gz CLIPVJHPOHDZNW-QMTHXVAHSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)N[C@H](CCO)C2CC2)c(C)o1 ZINC000774249724 585655002 /nfs/dbraw/zinc/65/50/02/585655002.db2.gz CLIPVJHPOHDZNW-IINYFYTJSA-N 0 3 237.343 2.708 20 0 BFADHN CC[C@H](C)C[NH2+]Cc1nnc(-c2ccccc2)[n-]1 ZINC000774815599 585666577 /nfs/dbraw/zinc/66/65/77/585666577.db2.gz STODKCQQWCZESE-NSHDSACASA-N 0 3 244.342 2.607 20 0 BFADHN CN(Cc1ccsc1)C[C@@H]1CCC=CO1 ZINC000774821417 585666895 /nfs/dbraw/zinc/66/68/95/585666895.db2.gz QFBIIEGKGYJIJA-LBPRGKRZSA-N 0 3 223.341 2.873 20 0 BFADHN CC(C)OC1CCN(C[C@H]2CCC=CO2)CC1 ZINC000774853000 585667383 /nfs/dbraw/zinc/66/73/83/585667383.db2.gz MDSSORBUAJBDPO-CQSZACIVSA-N 0 3 239.359 2.569 20 0 BFADHN CC(C)C[C@H]1OCCC[C@H]1NCc1ccoc1 ZINC000775371074 585676680 /nfs/dbraw/zinc/67/66/80/585676680.db2.gz NQZMZUKRZKUDAJ-ZIAGYGMSSA-N 0 3 237.343 2.963 20 0 BFADHN CC(C)C[C@@H]1OCCC[C@H]1NCc1ccoc1 ZINC000775371068 585676744 /nfs/dbraw/zinc/67/67/44/585676744.db2.gz NQZMZUKRZKUDAJ-KGLIPLIRSA-N 0 3 237.343 2.963 20 0 BFADHN Fc1ccccc1C1(NCCN2CCCC2)CC1 ZINC000775448198 585678171 /nfs/dbraw/zinc/67/81/71/585678171.db2.gz CCCOJNXGTCHVMY-UHFFFAOYSA-N 0 3 248.345 2.500 20 0 BFADHN CCCCN(Cc1ccc(OC)cn1)C1CC1 ZINC000775939425 585688287 /nfs/dbraw/zinc/68/82/87/585688287.db2.gz RUEWGLQRLDUQPC-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCC1CCC(N(Cn2cnnc2)C2CC2)CC1 ZINC000776757415 585704354 /nfs/dbraw/zinc/70/43/54/585704354.db2.gz PNGJTJLHDLLFIW-UHFFFAOYSA-N 0 3 248.374 2.669 20 0 BFADHN c1nncn1CN1CCC[C@@H]1CCC1CCCC1 ZINC000776762520 585704679 /nfs/dbraw/zinc/70/46/79/585704679.db2.gz MOGXREKDUNJVFT-CQSZACIVSA-N 0 3 248.374 2.670 20 0 BFADHN Cc1cccc(N2CCN([C@H](C)C3CC3)CC2)c1 ZINC000777509072 585713733 /nfs/dbraw/zinc/71/37/33/585713733.db2.gz SNSSBQPIAOWZDT-CQSZACIVSA-N 0 3 244.382 2.916 20 0 BFADHN Cc1ccccc1[C@@H](O)CN1CC2(C1)CCCC2 ZINC000777660369 585718177 /nfs/dbraw/zinc/71/81/77/585718177.db2.gz QKFLMQVJXLAYIA-HNNXBMFYSA-N 0 3 245.366 2.904 20 0 BFADHN C[C@H]1CCOCCN1Cc1ccc(CF)cc1 ZINC000777726152 585719200 /nfs/dbraw/zinc/71/92/00/585719200.db2.gz LQDRVHDKEPFGRA-LBPRGKRZSA-N 0 3 237.318 2.767 20 0 BFADHN C[C@](O)(CCNCc1ccoc1)c1ccccc1 ZINC000778213478 585727982 /nfs/dbraw/zinc/72/79/82/585727982.db2.gz LEQAJOOZVHVMDG-HNNXBMFYSA-N 0 3 245.322 2.667 20 0 BFADHN Cc1cc(C)cc([C@@H](O)CN2CC=CCC2)c1 ZINC000778968098 585743051 /nfs/dbraw/zinc/74/30/51/585743051.db2.gz XKCCSVHLZUYFRV-HNNXBMFYSA-N 0 3 231.339 2.599 20 0 BFADHN CC[C@H]1CCCN1Cc1ccc(F)nc1 ZINC000779153813 585746138 /nfs/dbraw/zinc/74/61/38/585746138.db2.gz DOSHWTPTKCLIRU-NSHDSACASA-N 0 3 208.280 2.595 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1ccc(F)nc1 ZINC000779170967 585746663 /nfs/dbraw/zinc/74/66/63/585746663.db2.gz FICOLCRKXJKRGY-CMPLNLGQSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CN(CCn2ccnc2)Cc2ccccc21 ZINC000779460465 585755574 /nfs/dbraw/zinc/75/55/74/585755574.db2.gz OYNXZDGUMUSYCK-ZDUSSCGKSA-N 0 3 241.338 2.502 20 0 BFADHN CCCN(CCN(C)C)C(=O)/C(C)=C(/C)CC ZINC000779957748 585770679 /nfs/dbraw/zinc/77/06/79/585770679.db2.gz IIAJRULACIGESD-SEYXRHQNSA-N 0 3 240.391 2.533 20 0 BFADHN CC[C@H]1COCCN1C[C@H]1CCc2ccccc21 ZINC000780288977 585776811 /nfs/dbraw/zinc/77/68/11/585776811.db2.gz BFTPMIRYSXOLCJ-CABCVRRESA-N 0 3 245.366 2.827 20 0 BFADHN C[C@H]1CC[C@H](NCc2cn3cccc(F)c3n2)C1 ZINC000780575934 585781801 /nfs/dbraw/zinc/78/18/01/585781801.db2.gz HDLRWLSTYGLUSK-QWRGUYRKSA-N 0 3 247.317 2.752 20 0 BFADHN CC[C@@H]1C[C@H](CN2CC[C@@H](C)[C@H](F)C2)CCO1 ZINC000780766568 585784658 /nfs/dbraw/zinc/78/46/58/585784658.db2.gz HKMAKYJMSUUWHL-AAVRWANBSA-N 0 3 243.366 2.872 20 0 BFADHN CCCCN(C)CCNC(=O)/C(C)=C(/C)CC ZINC000780942125 585786930 /nfs/dbraw/zinc/78/69/30/585786930.db2.gz ZULZGKPFWYCUCX-SEYXRHQNSA-N 0 3 240.391 2.581 20 0 BFADHN CC(C)N(Cc1ccnc(N(C)C)c1)C1CC1 ZINC000782173524 585809330 /nfs/dbraw/zinc/80/93/30/585809330.db2.gz BOZBHOXZKGPDET-UHFFFAOYSA-N 0 3 233.359 2.520 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2ccnc(N(C)C)c2)C1 ZINC000782175729 585809729 /nfs/dbraw/zinc/80/97/29/585809729.db2.gz RQPLTWICNCBNGW-CHWSQXEVSA-N 0 3 247.386 2.626 20 0 BFADHN C[C@@H](C1CC1)N1CC=C(C(F)(F)F)CC1 ZINC000782183959 585810670 /nfs/dbraw/zinc/81/06/70/585810670.db2.gz BOXIEIRKCSFNGJ-QMMMGPOBSA-N 0 3 219.250 2.979 20 0 BFADHN CO[C@H](C)CN(C)[C@H]1C[C@@H]1c1ccccc1 ZINC000782487234 585818914 /nfs/dbraw/zinc/81/89/14/585818914.db2.gz ZDTUKZSCWPBAHO-BNOWGMLFSA-N 0 3 219.328 2.509 20 0 BFADHN CC(C)c1ccc(CN2C[C@H]3C[C@@]3(CO)C2)cc1 ZINC000782618949 585822924 /nfs/dbraw/zinc/82/29/24/585822924.db2.gz RNCGAFFVFZRCSV-CVEARBPZSA-N 0 3 245.366 2.624 20 0 BFADHN CCCOc1ncccc1CN[C@H]1CC[C@@H]1C ZINC000783227076 585831451 /nfs/dbraw/zinc/83/14/51/585831451.db2.gz SPRKJUZHEVNBAR-AAEUAGOBSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1ccn2cc(CN[C@H]3CC[C@H]3C)nc2c1 ZINC000783237109 585831620 /nfs/dbraw/zinc/83/16/20/585831620.db2.gz QBCXTYFKCQZWHD-YPMHNXCESA-N 0 3 229.327 2.531 20 0 BFADHN C[C@@H]1CC[C@H]1NC1(C(=O)Nc2ccccc2)CC1 ZINC000783292679 585833142 /nfs/dbraw/zinc/83/31/42/585833142.db2.gz BWPQHTOCQSOLOK-DGCLKSJQSA-N 0 3 244.338 2.546 20 0 BFADHN CC(C)n1cc([C@@H](C)NC[C@@H]2CCC=CO2)cn1 ZINC000783305633 585833718 /nfs/dbraw/zinc/83/37/18/585833718.db2.gz SYRYPBBZQOHEPO-OCCSQVGLSA-N 0 3 249.358 2.807 20 0 BFADHN CC(C)n1cc([C@H](C)NC[C@@H]2CCC=CO2)cn1 ZINC000783305631 585833745 /nfs/dbraw/zinc/83/37/45/585833745.db2.gz SYRYPBBZQOHEPO-JSGCOSHPSA-N 0 3 249.358 2.807 20 0 BFADHN CCCOC(=O)[C@@H](C)N1CC[C@H](C(C)(C)C)C1 ZINC000784517145 585862168 /nfs/dbraw/zinc/86/21/68/585862168.db2.gz QZATVHDJGOWWNG-NEPJUHHUSA-N 0 3 241.375 2.696 20 0 BFADHN COCC[C@H](Cc1ccco1)NCc1ccoc1 ZINC000784625787 585865052 /nfs/dbraw/zinc/86/50/52/585865052.db2.gz OPOVCDPQOYTVDE-CYBMUJFWSA-N 0 3 249.310 2.610 20 0 BFADHN CO[C@@H]1CN(Cc2cc(C)oc2C)CC[C@@H]1C ZINC000784667102 585865186 /nfs/dbraw/zinc/86/51/86/585865186.db2.gz DOCRZJUKRROMGK-IINYFYTJSA-N 0 3 237.343 2.753 20 0 BFADHN Cc1nc(F)ccc1CNCc1ccc(O)cc1 ZINC000785447139 585874048 /nfs/dbraw/zinc/87/40/48/585874048.db2.gz XQJQMFVYNCKSJJ-UHFFFAOYSA-N 0 3 246.285 2.525 20 0 BFADHN Cn1c(CNCC2(C)CC2)nc2cc(F)ccc21 ZINC000785471411 585874326 /nfs/dbraw/zinc/87/43/26/585874326.db2.gz CXOFILWPGYJZLK-UHFFFAOYSA-N 0 3 247.317 2.602 20 0 BFADHN Fc1ccc(CCNCc2ccoc2)cc1F ZINC000786820128 585897472 /nfs/dbraw/zinc/89/74/72/585897472.db2.gz VZUBMRMMYCCFEA-UHFFFAOYSA-N 0 3 237.249 2.890 20 0 BFADHN CCCCOC(=O)[C@@H]1CCCN1C1CCCC1 ZINC000786880756 585898234 /nfs/dbraw/zinc/89/82/34/585898234.db2.gz GMPNVBVSSNMMLU-ZDUSSCGKSA-N 0 3 239.359 2.737 20 0 BFADHN O[C@@H](CN[C@H]1CCCc2occc21)c1ccco1 ZINC000788431304 585923188 /nfs/dbraw/zinc/92/31/88/585923188.db2.gz SLZKQRKLAIAHBX-RYUDHWBXSA-N 0 3 247.294 2.573 20 0 BFADHN C[C@H]1COCCN(Cc2cc3ccccc3[nH]2)C1 ZINC000789732259 585949136 /nfs/dbraw/zinc/94/91/36/585949136.db2.gz SEIOEQRAIYINFC-GFCCVEGCSA-N 0 3 244.338 2.636 20 0 BFADHN CC1(C)CCN(Cc2ccoc2)CCS1 ZINC000789764224 585949444 /nfs/dbraw/zinc/94/94/44/585949444.db2.gz VRLIKMYUYMCDMV-UHFFFAOYSA-N 0 3 225.357 2.997 20 0 BFADHN Cc1cc(C)nc(SCCN2CCCC2)c1 ZINC000789970451 585954894 /nfs/dbraw/zinc/95/48/94/585954894.db2.gz OCQACQJJDVJGQX-UHFFFAOYSA-N 0 3 236.384 2.886 20 0 BFADHN C[C@@H]1CCSCCN1Cc1ccoc1 ZINC000792197058 585997480 /nfs/dbraw/zinc/99/74/80/585997480.db2.gz PCPJPGSDNOWTBE-SNVBAGLBSA-N 0 3 211.330 2.607 20 0 BFADHN COCCN(Cc1ccoc1)[C@H]1CC[C@H](C)C1 ZINC000792192357 585997530 /nfs/dbraw/zinc/99/75/30/585997530.db2.gz IREHWSJAKULLHV-JSGCOSHPSA-N 0 3 237.343 2.917 20 0 BFADHN CCN(Cc1ccc(F)nc1)CC1CCC1 ZINC000793353823 586017379 /nfs/dbraw/zinc/01/73/79/586017379.db2.gz VTUQCFABDDFGKX-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN CC[C@@](C)(NCc1n[nH]c(C)n1)c1ccccc1 ZINC000794461556 586040117 /nfs/dbraw/zinc/04/01/17/586040117.db2.gz LGNJKLCILDSPGW-CQSZACIVSA-N 0 3 244.342 2.528 20 0 BFADHN C[C@@H]1CC2(CCC2)CN1Cc1ccnc(F)c1 ZINC000859281891 589027957 /nfs/dbraw/zinc/02/79/57/589027957.db2.gz XTUBZNRYMHZIFT-LLVKDONJSA-N 0 3 234.318 2.985 20 0 BFADHN Fc1cc(CN2CCC[C@H](C3CC3)C2)ccn1 ZINC000859304858 589028761 /nfs/dbraw/zinc/02/87/61/589028761.db2.gz VXMJZFNZKBLKMX-ZDUSSCGKSA-N 0 3 234.318 2.843 20 0 BFADHN Cc1ccoc1CN(C)CCCCCCCO ZINC000795168679 586070267 /nfs/dbraw/zinc/07/02/67/586070267.db2.gz BPYPNDDQYIYXNE-UHFFFAOYSA-N 0 3 239.359 2.963 20 0 BFADHN COCC(C)(C)N(C)Cc1ccc2c(c1)COC2 ZINC000795187449 586070785 /nfs/dbraw/zinc/07/07/85/586070785.db2.gz HVTJGJZIBJGYDY-UHFFFAOYSA-N 0 3 249.354 2.574 20 0 BFADHN CC[C@H]1CCN1C[C@H](O)c1ccccc1C ZINC000795649755 586090221 /nfs/dbraw/zinc/09/02/21/586090221.db2.gz QRHBNATWJYAAOX-JSGCOSHPSA-N 0 3 219.328 2.513 20 0 BFADHN CC[C@@H]1CCN1C[C@H](O)c1ccc(Cl)cc1 ZINC000795647546 586090231 /nfs/dbraw/zinc/09/02/31/586090231.db2.gz FBUHNXBPMBBNSS-OLZOCXBDSA-N 0 3 239.746 2.858 20 0 BFADHN CC[C@](C)(NCc1c[nH]cn1)c1ccccc1 ZINC000796448859 586103186 /nfs/dbraw/zinc/10/31/86/586103186.db2.gz IUTGPIQQYVCPOY-AWEZNQCLSA-N 0 3 229.327 2.825 20 0 BFADHN CC[C@](C)(NCc1cnc[nH]1)c1ccccc1 ZINC000796448859 586103187 /nfs/dbraw/zinc/10/31/87/586103187.db2.gz IUTGPIQQYVCPOY-AWEZNQCLSA-N 0 3 229.327 2.825 20 0 BFADHN c1ncc(CN(CCc2ccccc2)C2CC2)[nH]1 ZINC000796443104 586103345 /nfs/dbraw/zinc/10/33/45/586103345.db2.gz AKFXLFXTAHNGBJ-UHFFFAOYSA-N 0 3 241.338 2.617 20 0 BFADHN FC1(F)CCN(CC[C@@H]2CCCCO2)CC1 ZINC000796548253 586106998 /nfs/dbraw/zinc/10/69/98/586106998.db2.gz CBPCJBPDXLYRLJ-NSHDSACASA-N 0 3 233.302 2.677 20 0 BFADHN CC1=C[C@H](C)CN(C[C@H](O)c2ccc(F)cc2)C1 ZINC000797526909 586128347 /nfs/dbraw/zinc/12/83/47/586128347.db2.gz ZKHFQIAAEUOSLV-NHYWBVRUSA-N 0 3 249.329 2.757 20 0 BFADHN Cc1ccccc1[C@H](O)CN1CCC=C(C)C1 ZINC000797996924 586135639 /nfs/dbraw/zinc/13/56/39/586135639.db2.gz ONMHBQKOAKYOMH-OAHLLOKOSA-N 0 3 231.339 2.680 20 0 BFADHN OC[C@H](CNCc1ccoc1)CC1CCCC1 ZINC000798503475 586144402 /nfs/dbraw/zinc/14/44/02/586144402.db2.gz JHLIDZLTCNZLHX-AWEZNQCLSA-N 0 3 237.343 2.558 20 0 BFADHN Cc1ccc(CN2CC[C@@H](c3ccccn3)C2)o1 ZINC000798965023 586154513 /nfs/dbraw/zinc/15/45/13/586154513.db2.gz WWNRMKJJCQONQF-CYBMUJFWSA-N 0 3 242.322 2.973 20 0 BFADHN CCO[C@H](CN1CCC[C@@](C)(F)C1)C1CC1 ZINC000859320520 589031215 /nfs/dbraw/zinc/03/12/15/589031215.db2.gz YYPWCOPLRPTOQR-CHWSQXEVSA-N 0 3 229.339 2.626 20 0 BFADHN CCCC[C@H](C)C(=O)OCCN1CCCCC1 ZINC000800274988 586208064 /nfs/dbraw/zinc/20/80/64/586208064.db2.gz RTODCUAAAFTNBJ-ZDUSSCGKSA-N 0 3 241.375 2.842 20 0 BFADHN C[C@@H]1CN(CCC(=O)C2CC2)C[C@@H](C)C1(F)F ZINC000859413559 589035602 /nfs/dbraw/zinc/03/56/02/589035602.db2.gz MXENVFCIYWCIBO-NXEZZACHSA-N 0 3 245.313 2.579 20 0 BFADHN CC(C)C(=O)CCN1C[C@@H](C)C(F)(F)[C@H](C)C1 ZINC000859413819 589035682 /nfs/dbraw/zinc/03/56/82/589035682.db2.gz CLIZJMYSNZICGO-GHMZBOCLSA-N 0 3 247.329 2.825 20 0 BFADHN CCC(=O)CCN1C[C@H](C)C(F)(F)[C@@H](C)C1 ZINC000859415349 589035713 /nfs/dbraw/zinc/03/57/13/589035713.db2.gz QTIDCFBZEQVESX-UWVGGRQHSA-N 0 3 233.302 2.579 20 0 BFADHN CCC(=O)CCN1C[C@@H](C)C(F)(F)[C@@H](C)C1 ZINC000859415346 589035946 /nfs/dbraw/zinc/03/59/46/589035946.db2.gz QTIDCFBZEQVESX-AOOOYVTPSA-N 0 3 233.302 2.579 20 0 BFADHN Fc1cccc(CN[C@H]2CC[C@@H]2C2CCC2)n1 ZINC000800974708 586238218 /nfs/dbraw/zinc/23/82/18/586238218.db2.gz LYXRSJGGZVDLFC-OLZOCXBDSA-N 0 3 234.318 2.889 20 0 BFADHN CCc1cc(CN[C@H]2CC[C@H]2C2CCC2)[nH]n1 ZINC000800971485 586238283 /nfs/dbraw/zinc/23/82/83/586238283.db2.gz NIQZLYXUABIECZ-KBPBESRZSA-N 0 3 233.359 2.640 20 0 BFADHN CCc1cc(CN[C@H]2CC[C@@H]2C2CCC2)[nH]n1 ZINC000800971486 586238633 /nfs/dbraw/zinc/23/86/33/586238633.db2.gz NIQZLYXUABIECZ-KGLIPLIRSA-N 0 3 233.359 2.640 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CCC[C@H]2C[C@H]21 ZINC000800987383 586238924 /nfs/dbraw/zinc/23/89/24/586238924.db2.gz WXRSBIKQMXTPFW-RVMXOQNASA-N 0 3 231.343 2.624 20 0 BFADHN CCN1CCN(CCSC(C)(C)C)C[C@H]1C ZINC000801936844 586279894 /nfs/dbraw/zinc/27/98/94/586279894.db2.gz XNQBOJMRISVKBV-GFCCVEGCSA-N 0 3 244.448 2.544 20 0 BFADHN CN1CCN(CCSC(C)(C)C)C(C)(C)C1 ZINC000801940475 586280334 /nfs/dbraw/zinc/28/03/34/586280334.db2.gz NBWLYOLGJAUSRI-UHFFFAOYSA-N 0 3 244.448 2.544 20 0 BFADHN CN(CCSC(C)(C)C)CCN(C)C1CC1 ZINC000801942024 586281532 /nfs/dbraw/zinc/28/15/32/586281532.db2.gz GFJFWHDCUJAKER-UHFFFAOYSA-N 0 3 244.448 2.544 20 0 BFADHN CCN(CN1CCC(C)(C)C1=O)[C@@H](C)C(C)C ZINC000839974395 586309061 /nfs/dbraw/zinc/30/90/61/586309061.db2.gz BNMQTMMGPDUYQI-LBPRGKRZSA-N 0 3 240.391 2.569 20 0 BFADHN CCC[C@H](C)OC(=O)CC[C@@H]1CCCCN1C ZINC000803858621 586377948 /nfs/dbraw/zinc/37/79/48/586377948.db2.gz IBVTXMVGBIQQGY-STQMWFEESA-N 0 3 241.375 2.983 20 0 BFADHN ClC1(Cl)C[C@@H]1CN(C1CC1)C1CC1 ZINC000859620827 589048993 /nfs/dbraw/zinc/04/89/93/589048993.db2.gz JCFPHZNKHJNEOS-SSDOTTSWSA-N 0 3 220.143 2.807 20 0 BFADHN CCOCCN1Cc2ccccc2[C@@H](C)C1 ZINC000807263799 586431027 /nfs/dbraw/zinc/43/10/27/586431027.db2.gz UAXUOPUPTCKNFH-LBPRGKRZSA-N 0 3 219.328 2.642 20 0 BFADHN C[C@@H](CCCC(F)(F)F)NCc1cn(C)cn1 ZINC000808409724 586471493 /nfs/dbraw/zinc/47/14/93/586471493.db2.gz RROBHRQIGCTDPM-VIFPVBQESA-N 0 3 249.280 2.631 20 0 BFADHN C[C@H](CCCC(F)(F)F)NCc1cn(C)cn1 ZINC000808409723 586471819 /nfs/dbraw/zinc/47/18/19/586471819.db2.gz RROBHRQIGCTDPM-SECBINFHSA-N 0 3 249.280 2.631 20 0 BFADHN CC1(C)CC[C@H]1NCc1cn2cccc(F)c2n1 ZINC000808421523 586472151 /nfs/dbraw/zinc/47/21/51/586472151.db2.gz LBLSZKUYSZHOKF-GFCCVEGCSA-N 0 3 247.317 2.752 20 0 BFADHN CC(C)=CCOC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000808520247 586477023 /nfs/dbraw/zinc/47/70/23/586477023.db2.gz NPAYVVNOELMCNT-AVGNSLFASA-N 0 3 239.359 2.757 20 0 BFADHN CC(C)=CCOC(=O)[C@H](C(C)C)N1CCCC1 ZINC000808520512 586477081 /nfs/dbraw/zinc/47/70/81/586477081.db2.gz SCHXUTHUCJIEFN-ZDUSSCGKSA-N 0 3 239.359 2.616 20 0 BFADHN CC[C@H](CSC)N(C)CCC(=O)C(C)C ZINC000808743212 586486922 /nfs/dbraw/zinc/48/69/22/586486922.db2.gz WCZNSQCYORDONS-LLVKDONJSA-N 0 3 231.405 2.675 20 0 BFADHN C[C@H]1C[C@H](C)N1CC[C@H](O)c1ccccc1 ZINC000808754051 586488395 /nfs/dbraw/zinc/48/83/95/586488395.db2.gz HYFYIQQJPOTVRB-OBJOEFQTSA-N 0 3 219.328 2.593 20 0 BFADHN Cc1nonc1CN[C@H](C)C1C(C)(C)C1(C)C ZINC000809744433 586516252 /nfs/dbraw/zinc/51/62/52/586516252.db2.gz KVCMCPGSKYBIOY-SECBINFHSA-N 0 3 237.347 2.538 20 0 BFADHN Cc1cn[nH]c1CN1CCc2c(C)cccc2C1 ZINC000811460895 586559105 /nfs/dbraw/zinc/55/91/05/586559105.db2.gz CECGKEASFBXWAR-UHFFFAOYSA-N 0 3 241.338 2.585 20 0 BFADHN CC1(C)CN(Cc2ccoc2)[C@H]1C1CC1 ZINC000811513960 586560193 /nfs/dbraw/zinc/56/01/93/586560193.db2.gz XSNFVDHXKCKQDF-LBPRGKRZSA-N 0 3 205.301 2.900 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cncc2ccccc21 ZINC000811517337 586560347 /nfs/dbraw/zinc/56/03/47/586560347.db2.gz OUBMBJQWUFWTDP-VXGBXAGGSA-N 0 3 244.338 2.748 20 0 BFADHN CC1(C)CN(Cc2ccoc2)[C@@H]1C1CC1 ZINC000811513959 586560536 /nfs/dbraw/zinc/56/05/36/586560536.db2.gz XSNFVDHXKCKQDF-GFCCVEGCSA-N 0 3 205.301 2.900 20 0 BFADHN CC1(C)[C@H](O)CCN1Cc1cc2ccccc2[nH]1 ZINC000812779016 586583930 /nfs/dbraw/zinc/58/39/30/586583930.db2.gz SNVPMYJVNIQOMZ-CQSZACIVSA-N 0 3 244.338 2.513 20 0 BFADHN CCN(Cc1ccc(CF)cc1)[C@H]1CCOC1 ZINC000812878711 586588093 /nfs/dbraw/zinc/58/80/93/586588093.db2.gz CUFXCAURBNJNRC-AWEZNQCLSA-N 0 3 237.318 2.767 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+]C[C@@H]2C(C)=CCC[C@@H]2C)[n-]1 ZINC000813330972 586600425 /nfs/dbraw/zinc/60/04/25/586600425.db2.gz KLQLQNDVBXHRDP-DMDPSCGWSA-N 0 3 248.374 2.756 20 0 BFADHN Cc1nnc([C@@H](C)NC[C@@H]2C(C)=CCC[C@@H]2C)[nH]1 ZINC000813330972 586600426 /nfs/dbraw/zinc/60/04/26/586600426.db2.gz KLQLQNDVBXHRDP-DMDPSCGWSA-N 0 3 248.374 2.756 20 0 BFADHN Cc1cc(NCC2(C3CCC3)CCC2)nc(N)n1 ZINC000840312600 586613524 /nfs/dbraw/zinc/61/35/24/586613524.db2.gz NPERTCWNCAANLF-UHFFFAOYSA-N 0 3 246.358 2.750 20 0 BFADHN C[C@@H]1CN(Cc2ccc(CF)cc2)[C@H]1C ZINC000815161864 586671367 /nfs/dbraw/zinc/67/13/67/586671367.db2.gz CUBPPPLRZYLDHX-MNOVXSKESA-N 0 3 207.292 2.996 20 0 BFADHN C[C@@H]1CN(C[C@@H](O)c2ccc(Cl)cc2)[C@@H]1C ZINC000815161714 586671775 /nfs/dbraw/zinc/67/17/75/586671775.db2.gz AYZVLCDYUJSEKY-GIPNMCIBSA-N 0 3 239.746 2.714 20 0 BFADHN Cc1c(F)nccc1CN(C)CC(C)C ZINC000862217108 589195129 /nfs/dbraw/zinc/19/51/29/589195129.db2.gz WHXRBCAPXWQSDA-UHFFFAOYSA-N 0 3 210.296 2.617 20 0 BFADHN CC(C)[C@H]1CCN(Cc2cnn(C)c2Cl)C1 ZINC000816520212 586738114 /nfs/dbraw/zinc/73/81/14/586738114.db2.gz IOJVWLDBGDEMNW-JTQLQIEISA-N 0 3 241.766 2.551 20 0 BFADHN CC1=CC[C@@H](NCc2cccc(F)n2)CC1 ZINC000816558013 586740558 /nfs/dbraw/zinc/74/05/58/586740558.db2.gz JSCPUKVNAFUGDE-LLVKDONJSA-N 0 3 220.291 2.809 20 0 BFADHN COc1ccsc1CN1C[C@@H](C)[C@@H]1C ZINC000816649455 586752773 /nfs/dbraw/zinc/75/27/73/586752773.db2.gz ZOYRWSDMHRCBPK-BDAKNGLRSA-N 0 3 211.330 2.597 20 0 BFADHN Cc1cc(CN2C[C@@H](C)[C@@H]2C)ccc1F ZINC000816647008 586749250 /nfs/dbraw/zinc/74/92/50/586749250.db2.gz MGRMKBWDULPVHU-MNOVXSKESA-N 0 3 207.292 2.974 20 0 BFADHN COc1cc(C)c(CN2C[C@H](C)[C@@H]2C)c(C)n1 ZINC000816647626 586750088 /nfs/dbraw/zinc/75/00/88/586750088.db2.gz VVBRSYKJCDTAJJ-JQWIXIFHSA-N 0 3 234.343 2.547 20 0 BFADHN COc1cc(C)c(CN2C[C@@H](C)[C@H]2C)c(C)n1 ZINC000816647628 586750125 /nfs/dbraw/zinc/75/01/25/586750125.db2.gz VVBRSYKJCDTAJJ-ZYHUDNBSSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@@H]1CN(Cc2cc(-n3ccnc3)cs2)[C@@H]1C ZINC000816649080 586751862 /nfs/dbraw/zinc/75/18/62/586751862.db2.gz SXNLPMJKLJIMIX-GHMZBOCLSA-N 0 3 247.367 2.774 20 0 BFADHN C[C@@H]1CN(Cc2c[nH]nc2-c2ccccc2)[C@@H]1C ZINC000816649405 586752267 /nfs/dbraw/zinc/75/22/67/586752267.db2.gz YRPHEARCDBTHRB-VXGBXAGGSA-N 0 3 241.338 2.917 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1Cc1ccc(CF)cc1 ZINC000817288983 586793377 /nfs/dbraw/zinc/79/33/77/586793377.db2.gz QODSJRXJBNUJSZ-RISCZKNCSA-N 0 3 237.318 2.501 20 0 BFADHN CC(C)(C)c1nc(-c2ccc(CN)cc2F)no1 ZINC000817865052 586830660 /nfs/dbraw/zinc/83/06/60/586830660.db2.gz FKTJSXHIQJLSAP-UHFFFAOYSA-N 0 3 249.289 2.632 20 0 BFADHN CCCCCCN1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000859889694 589069353 /nfs/dbraw/zinc/06/93/53/589069353.db2.gz BWYSKDXUBJGCNB-ZDUSSCGKSA-N 0 3 249.358 2.899 20 0 BFADHN CCCC[C@H](CC)NCc1nn(CC)nc1C ZINC000818343355 586887320 /nfs/dbraw/zinc/88/73/20/586887320.db2.gz AGLHMCGOOCJXLC-LBPRGKRZSA-N 0 3 238.379 2.665 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1ccnc(Cl)c1 ZINC000818356740 586888961 /nfs/dbraw/zinc/88/89/61/586888961.db2.gz QBOROCOGGUHVQC-WCBMZHEXSA-N 0 3 222.719 2.938 20 0 BFADHN CCNCC(=O)Nc1ccccc1CCC(C)C ZINC000818562160 586901265 /nfs/dbraw/zinc/90/12/65/586901265.db2.gz SCQRYVIZLYTGMK-UHFFFAOYSA-N 0 3 248.370 2.823 20 0 BFADHN CNCc1ccccc1NC(=O)C=C1CCCC1 ZINC000818560504 586901672 /nfs/dbraw/zinc/90/16/72/586901672.db2.gz XRSPIKQPZOYASM-UHFFFAOYSA-N 0 3 244.338 2.845 20 0 BFADHN CCCCCCn1cc2c(n1)[C@H](N)CCC2 ZINC000818617649 586907612 /nfs/dbraw/zinc/90/76/12/586907612.db2.gz FZHZFPWXHZIHSZ-GFCCVEGCSA-N 0 3 221.348 2.800 20 0 BFADHN Cc1cc(F)ccc1[C@@H](O)CNCc1ccoc1 ZINC000818976227 586919135 /nfs/dbraw/zinc/91/91/35/586919135.db2.gz XPKVEXXNXNKCEI-AWEZNQCLSA-N 0 3 249.285 2.550 20 0 BFADHN CCN(CCF)Cc1ccc(CN(C)C)cc1 ZINC000859996377 589075121 /nfs/dbraw/zinc/07/51/21/589075121.db2.gz FKXIDOFMJQXILK-UHFFFAOYSA-N 0 3 238.350 2.540 20 0 BFADHN C[C@H](NC[C@H](O)CC1CCCC1)c1ccc[nH]1 ZINC000819194435 586937004 /nfs/dbraw/zinc/93/70/04/586937004.db2.gz RHJMKEFPSVTHIY-WCQYABFASA-N 0 3 236.359 2.607 20 0 BFADHN C[C@@H](NC[C@@H](O)CC1CCCC1)c1ccc[nH]1 ZINC000819194436 586937235 /nfs/dbraw/zinc/93/72/35/586937235.db2.gz RHJMKEFPSVTHIY-YPMHNXCESA-N 0 3 236.359 2.607 20 0 BFADHN O[C@@H](CNCc1ccc(C2CC2)cc1F)C1CC1 ZINC000819325548 586952031 /nfs/dbraw/zinc/95/20/31/586952031.db2.gz CUOPDKJMIWMMQW-HNNXBMFYSA-N 0 3 249.329 2.564 20 0 BFADHN CC/C=C\CNC[C@@H](O)c1ccc(C)cc1 ZINC000819344260 586955230 /nfs/dbraw/zinc/95/52/30/586955230.db2.gz HKSQTTIJOCZFRR-ZRUQZJFASA-N 0 3 219.328 2.584 20 0 BFADHN CC/C=C\CNC[C@H](O)c1ccccc1C ZINC000819345149 586955256 /nfs/dbraw/zinc/95/52/56/586955256.db2.gz WYAFCSLENHQQRP-ZBKLQPJUSA-N 0 3 219.328 2.584 20 0 BFADHN CCOC1CC(CN[C@H](C)c2ccns2)C1 ZINC000860062581 589078475 /nfs/dbraw/zinc/07/84/75/589078475.db2.gz YQGCFFFWTXRRMX-KPPDAEKUSA-N 0 3 240.372 2.609 20 0 BFADHN Fc1ccc(CN2CCCC3(CC3)CC2)nc1 ZINC000860072724 589079157 /nfs/dbraw/zinc/07/91/57/589079157.db2.gz FQYNUCGDBISNFF-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN Fc1cc(CN2CCCC3(CC3)CC2)ccn1 ZINC000860074216 589079311 /nfs/dbraw/zinc/07/93/11/589079311.db2.gz XOYWSYYSWJXRDS-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN Cc1cc(N[C@@H]2CCC[C@H](C3CC3)C2)nc(N)n1 ZINC000819961655 587007956 /nfs/dbraw/zinc/00/79/56/587007956.db2.gz VOTBMPUNNQXWKG-NWDGAFQWSA-N 0 3 246.358 2.748 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2CCCC2(C)C)on1 ZINC000820812220 587074145 /nfs/dbraw/zinc/07/41/45/587074145.db2.gz GCGNROVRXXMINQ-GXSJLCMTSA-N 0 3 238.331 2.913 20 0 BFADHN CCCN(Cc1ccccc1)C[C@@H]1CCC(=O)O1 ZINC000821336726 587109109 /nfs/dbraw/zinc/10/91/09/587109109.db2.gz INVVQVDBUFKFAK-AWEZNQCLSA-N 0 3 247.338 2.604 20 0 BFADHN CC/C=C\COC(=O)c1cccc(CN(C)C)c1 ZINC000821621182 587132388 /nfs/dbraw/zinc/13/23/88/587132388.db2.gz JBIIFWZBEMKIQJ-WAYWQWQTSA-N 0 3 247.338 2.871 20 0 BFADHN CN(C)Cc1cccc(C(=O)OC2CCCC2)c1 ZINC000821621017 587132850 /nfs/dbraw/zinc/13/28/50/587132850.db2.gz DBKMVXSQUBEJEW-UHFFFAOYSA-N 0 3 247.338 2.848 20 0 BFADHN C/C=C/C[C@@H]1CCCN(CCOC(F)F)C1 ZINC000860185683 589087806 /nfs/dbraw/zinc/08/78/06/589087806.db2.gz RYJGVBLTRRHPGA-KXMPLOMGSA-N 0 3 233.302 2.904 20 0 BFADHN C[C@@H](NCc1ccnc(Cl)c1F)C1CC1 ZINC000823596326 587232408 /nfs/dbraw/zinc/23/24/08/587232408.db2.gz KLNARTICPGMMMA-SSDOTTSWSA-N 0 3 228.698 2.762 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H](C)O[C@H]2C)c(C)o1 ZINC000823866448 587244721 /nfs/dbraw/zinc/24/47/21/587244721.db2.gz HZDXCJXMATZOET-SUZMYJTESA-N 0 3 223.316 2.552 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1nn(CC)nc1C ZINC000823949594 587246694 /nfs/dbraw/zinc/24/66/94/587246694.db2.gz NSANJFVBAAFDTM-GHMZBOCLSA-N 0 3 238.379 2.521 20 0 BFADHN Cn1nc(C2CC2)cc1CN[C@H]1CCC1(C)C ZINC000824382314 587268469 /nfs/dbraw/zinc/26/84/69/587268469.db2.gz AOINVTQAVRRJOY-ZDUSSCGKSA-N 0 3 233.359 2.576 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@H]1N[C@H]1CCn2ccnc21 ZINC000824525800 587273019 /nfs/dbraw/zinc/27/30/19/587273019.db2.gz DOLAGFHLJGJESW-GRYCIOLGSA-N 0 3 233.359 2.742 20 0 BFADHN CCCC[C@H](CC)N[C@H]1CCn2ccnc21 ZINC000824525992 587273045 /nfs/dbraw/zinc/27/30/45/587273045.db2.gz GOWSDUMJKDUTCW-RYUDHWBXSA-N 0 3 221.348 2.886 20 0 BFADHN c1cc2cc(CN3CC4(CC4)C3)ccc2cn1 ZINC000824533545 587274472 /nfs/dbraw/zinc/27/44/72/587274472.db2.gz HKFYJHRSTYOYTI-UHFFFAOYSA-N 0 3 224.307 2.831 20 0 BFADHN CC/C=C/CNCc1ccc(Cl)nc1 ZINC000824702635 587283285 /nfs/dbraw/zinc/28/32/85/587283285.db2.gz POXMFJUFGWFQGE-ONEGZZNKSA-N 0 3 210.708 2.791 20 0 BFADHN Cc1cc(CN[C@@H](C)CC(C)(C)O)c(C)o1 ZINC000824696487 587283552 /nfs/dbraw/zinc/28/35/52/587283552.db2.gz NHNXHQYXRGVQQO-VIFPVBQESA-N 0 3 225.332 2.536 20 0 BFADHN C[C@]1(CNCc2ccccn2)CC1(Cl)Cl ZINC000824764611 587286301 /nfs/dbraw/zinc/28/63/01/587286301.db2.gz CDJCERIIGSSEFL-SNVBAGLBSA-N 0 3 245.153 2.755 20 0 BFADHN C[C@]1(CNCc2ccoc2)CC1(Cl)Cl ZINC000824765441 587286603 /nfs/dbraw/zinc/28/66/03/587286603.db2.gz LIYYYSWIVCTHIL-SECBINFHSA-N 0 3 234.126 2.953 20 0 BFADHN Cn1ccnc1CN1CCCCCCCC1 ZINC000824982913 587296843 /nfs/dbraw/zinc/29/68/43/587296843.db2.gz NKENIBAZCPMLAY-UHFFFAOYSA-N 0 3 221.348 2.576 20 0 BFADHN CCn1ccnc1[C@H](C)NC1CC(C(F)F)C1 ZINC000825006128 587298281 /nfs/dbraw/zinc/29/82/81/587298281.db2.gz YIWXJAWPGGMXRS-IDKOKCKLSA-N 0 3 243.301 2.597 20 0 BFADHN CCc1nc(CN[C@@H]2CCC[C@H](C)C2)co1 ZINC000825058344 587300808 /nfs/dbraw/zinc/30/08/08/587300808.db2.gz WIBWNCOJBYACIB-WDEREUQCSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nc(CNCC2CCCCC2)co1 ZINC000825057083 587300867 /nfs/dbraw/zinc/30/08/67/587300867.db2.gz MGUKAEWZDPINIZ-UHFFFAOYSA-N 0 3 222.332 2.907 20 0 BFADHN CCc1nc(CN[C@@H]2CCCC[C@H]2C)co1 ZINC000825057834 587301308 /nfs/dbraw/zinc/30/13/08/587301308.db2.gz QHKDMIARIPKOPP-ZYHUDNBSSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nc(CN[C@H](C)C2CCC2)co1 ZINC000825061566 587301569 /nfs/dbraw/zinc/30/15/69/587301569.db2.gz IBZJKESLGJUCGS-SECBINFHSA-N 0 3 208.305 2.515 20 0 BFADHN CC1(C2(NCc3ccc(F)nc3)CC2)CC1 ZINC000825123672 587305318 /nfs/dbraw/zinc/30/53/18/587305318.db2.gz BNVPAZNOFXRCAC-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN COCC1(CNCc2ccoc2)CCCC1 ZINC000825245078 587310797 /nfs/dbraw/zinc/31/07/97/587310797.db2.gz DQFMOUCSIUCFFR-UHFFFAOYSA-N 0 3 223.316 2.576 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2nonc2C)CC1 ZINC000825922433 587345359 /nfs/dbraw/zinc/34/53/59/587345359.db2.gz QWSLQCRCODZATJ-RYUDHWBXSA-N 0 3 237.347 2.827 20 0 BFADHN COCCN(CCF)C[C@@H](C)C(C)(C)C ZINC000827049540 587368837 /nfs/dbraw/zinc/36/88/37/587368837.db2.gz YXDCVBNLERYIME-LLVKDONJSA-N 0 3 219.344 2.587 20 0 BFADHN COCCN(CCF)C[C@H]1CC(C)=C[C@@H](C)C1 ZINC000827053915 587369104 /nfs/dbraw/zinc/36/91/04/587369104.db2.gz IVHFEEQMWYYCNM-TZMCWYRMSA-N 0 3 243.366 2.897 20 0 BFADHN FCC[C@@H]1CCCN(Cc2ccoc2)C1 ZINC000827272347 587374637 /nfs/dbraw/zinc/37/46/37/587374637.db2.gz ZSQQXFFJKYGDAY-NSHDSACASA-N 0 3 211.280 2.851 20 0 BFADHN CC(C)CCC1CCN(CC[S@](C)=O)CC1 ZINC000828047138 587417560 /nfs/dbraw/zinc/41/75/60/587417560.db2.gz GTJPMUXZBFXAEU-INIZCTEOSA-N 0 3 245.432 2.513 20 0 BFADHN CC(C)(C)OC1CC(N2CC[C@@H](C(F)F)C2)C1 ZINC000828550891 587450251 /nfs/dbraw/zinc/45/02/51/587450251.db2.gz RMRVPGUZXXLHBY-KPPDAEKUSA-N 0 3 247.329 2.920 20 0 BFADHN CC(C)=CCC[C@H](C)Nc1cc(C)nc(N)n1 ZINC000828669908 587456623 /nfs/dbraw/zinc/45/66/23/587456623.db2.gz UOQFVPKMNRRQAQ-JTQLQIEISA-N 0 3 234.347 2.914 20 0 BFADHN CCC[C@H](O)CN[C@H](c1ccccn1)C(C)C ZINC000828694048 587457311 /nfs/dbraw/zinc/45/73/11/587457311.db2.gz YZHHOQPAMUHAIC-JSGCOSHPSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@H]1CCN(CC2CC(F)(F)C2)C[C@H]1F ZINC000828739535 587459839 /nfs/dbraw/zinc/45/98/39/587459839.db2.gz XARQKSPIKAYACN-WCBMZHEXSA-N 0 3 221.266 2.712 20 0 BFADHN C[C@@]1(CN2CC=CCC2)CC1(Cl)Cl ZINC000828744967 587459874 /nfs/dbraw/zinc/45/98/74/587459874.db2.gz SJGKFPUGEIDZRU-VIFPVBQESA-N 0 3 220.143 2.832 20 0 BFADHN O[C@@H](CNC1(c2cccc(F)c2)CCC1)C1CC1 ZINC000829706377 587510657 /nfs/dbraw/zinc/51/06/57/587510657.db2.gz DVZPJGDLWONMMX-AWEZNQCLSA-N 0 3 249.329 2.565 20 0 BFADHN Cc1cnc(CN(C)CCC(C)(C)C)cn1 ZINC000829929056 587528607 /nfs/dbraw/zinc/52/86/07/587528607.db2.gz QASGJEWNVWRUAN-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN C[C@]1(F)CCCN(CC2(O)CCCCC2)C1 ZINC000830212203 587540983 /nfs/dbraw/zinc/54/09/83/587540983.db2.gz LOIFZTSFNBBNBQ-LBPRGKRZSA-N 0 3 229.339 2.506 20 0 BFADHN C[C@@]1(F)CCCN(CCCCCCCO)C1 ZINC000830212657 587541407 /nfs/dbraw/zinc/54/14/07/587541407.db2.gz SZWBMBBQVSQKJE-CYBMUJFWSA-N 0 3 231.355 2.753 20 0 BFADHN F[C@@H]1CCN(C[C@@H]2CCc3ccccc32)C1 ZINC000830479153 587554001 /nfs/dbraw/zinc/55/40/01/587554001.db2.gz CPWHWCITKLXKOD-QWHCGFSZSA-N 0 3 219.303 2.760 20 0 BFADHN Cn1cnc(CNC[C@H]2CCCC(C)(C)C2)c1 ZINC000830719666 587565021 /nfs/dbraw/zinc/56/50/21/587565021.db2.gz GFUJZCUFJBJGPF-LBPRGKRZSA-N 0 3 235.375 2.726 20 0 BFADHN Cc1ccc(CN(C)CC2(O)CCCCC2)nc1 ZINC000831054346 587584228 /nfs/dbraw/zinc/58/42/28/587584228.db2.gz WTDIZTQOKGXOLF-UHFFFAOYSA-N 0 3 248.370 2.517 20 0 BFADHN C[C@@H]1[C@H](O)CCCN1Cc1ccc(CF)cc1 ZINC000831255557 587594052 /nfs/dbraw/zinc/59/40/52/587594052.db2.gz QMHOWRPPVLVEGL-BXUZGUMPSA-N 0 3 237.318 2.501 20 0 BFADHN CCCCNC(=O)CN1CCCCCCCC1 ZINC000831920314 587636461 /nfs/dbraw/zinc/63/64/61/587636461.db2.gz FLHUQMBTDVECQO-UHFFFAOYSA-N 0 3 240.391 2.559 20 0 BFADHN C[C@H](c1nncn1C)N1CCCCCCCC1 ZINC000831937750 587637238 /nfs/dbraw/zinc/63/72/38/587637238.db2.gz VSIBVLKOOGFVOG-GFCCVEGCSA-N 0 3 236.363 2.532 20 0 BFADHN CC1(C)COC[C@H]1NCc1ccc(CF)cc1 ZINC000832170892 587647805 /nfs/dbraw/zinc/64/78/05/587647805.db2.gz YMXSKJJQYDRWAK-CYBMUJFWSA-N 0 3 237.318 2.671 20 0 BFADHN CC1(C)COC[C@@H]1NCc1ccc(CF)cc1 ZINC000832170893 587648030 /nfs/dbraw/zinc/64/80/30/587648030.db2.gz YMXSKJJQYDRWAK-ZDUSSCGKSA-N 0 3 237.318 2.671 20 0 BFADHN NCc1cccc(NC(=O)C=C2CCCC2)c1 ZINC000832223766 587651650 /nfs/dbraw/zinc/65/16/50/587651650.db2.gz VFPWUWQWQDMKMC-UHFFFAOYSA-N 0 3 230.311 2.584 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NC/C=C/Cl ZINC000832624206 587670662 /nfs/dbraw/zinc/67/06/62/587670662.db2.gz BXHFKKQSLIRYDT-GBUKMUNPSA-N 0 3 217.740 2.676 20 0 BFADHN CC(C)n1ccnc1SCCN1CCCC1 ZINC000832837725 587693330 /nfs/dbraw/zinc/69/33/30/587693330.db2.gz KAYUGQZVKMEYPC-UHFFFAOYSA-N 0 3 239.388 2.652 20 0 BFADHN Cc1ccc([C@@H](O)CN2CC[C@@H]2C2CC2)cc1 ZINC000834382293 587753769 /nfs/dbraw/zinc/75/37/69/587753769.db2.gz JJLWYRKBNNDOFQ-CABCVRRESA-N 0 3 231.339 2.513 20 0 BFADHN CC[C@H](NC[C@H](O)CC(C)C)c1ccncc1 ZINC000834548272 587759200 /nfs/dbraw/zinc/75/92/00/587759200.db2.gz MMTUAHSRFGERET-KGLIPLIRSA-N 0 3 236.359 2.529 20 0 BFADHN c1cc(CNC2CCc3ccccc3CC2)no1 ZINC000834617144 587761014 /nfs/dbraw/zinc/76/10/14/587761014.db2.gz WOAXDOGNGPTQTQ-UHFFFAOYSA-N 0 3 242.322 2.712 20 0 BFADHN CC[C@@H](NCCOC1CCC1)c1ccncc1 ZINC000834727038 587764900 /nfs/dbraw/zinc/76/49/00/587764900.db2.gz XVNICUOAIXKVML-CQSZACIVSA-N 0 3 234.343 2.691 20 0 BFADHN CC[C@H](NCCOC1CCC1)c1ccncc1 ZINC000834727036 587765043 /nfs/dbraw/zinc/76/50/43/587765043.db2.gz XVNICUOAIXKVML-AWEZNQCLSA-N 0 3 234.343 2.691 20 0 BFADHN CC(C)(C)[C@@H]1CC[C@H]1N[C@H]1CCn2ccnc21 ZINC000834831605 587768263 /nfs/dbraw/zinc/76/82/63/587768263.db2.gz KPSJWHKHHYCMDH-UTUOFQBUSA-N 0 3 233.359 2.742 20 0 BFADHN Cc1cc([C@H](C)NCC2=CCCCC2)n(C)n1 ZINC000834837532 587768448 /nfs/dbraw/zinc/76/84/48/587768448.db2.gz XEXZAORDXOEZLQ-LBPRGKRZSA-N 0 3 233.359 2.880 20 0 BFADHN CCc1nc(CN[C@@H](C2CC2)C2CCC2)co1 ZINC000834900605 587769867 /nfs/dbraw/zinc/76/98/67/587769867.db2.gz VYSUOJUOTDJKRQ-CQSZACIVSA-N 0 3 234.343 2.905 20 0 BFADHN CC(C)CCOC(=O)c1cccc(CN(C)C)c1 ZINC000835078935 587780657 /nfs/dbraw/zinc/78/06/57/587780657.db2.gz GRCVBHCEUJGOBX-UHFFFAOYSA-N 0 3 249.354 2.951 20 0 BFADHN CC[C@@H](C)OC(=O)c1cccc(CN(C)C)c1 ZINC000835096764 587783140 /nfs/dbraw/zinc/78/31/40/587783140.db2.gz FBQSVOTVAYBJPP-LLVKDONJSA-N 0 3 235.327 2.704 20 0 BFADHN CC(C)N1CCCC[C@H]1COC(=O)CC1CC1 ZINC000837418276 587870970 /nfs/dbraw/zinc/87/09/70/587870970.db2.gz GMLQWGKXLACQDP-ZDUSSCGKSA-N 0 3 239.359 2.593 20 0 BFADHN C/C=C(/C)C(=O)OC[C@@H]1CCCCN1C(C)C ZINC000837567815 587882527 /nfs/dbraw/zinc/88/25/27/587882527.db2.gz ZXQOKRVRVRUDBY-XLVZXTRVSA-N 0 3 239.359 2.759 20 0 BFADHN CC[C@H](NCc1cccnc1F)[C@H]1C[C@@H]1C ZINC000840751281 587919662 /nfs/dbraw/zinc/91/96/62/587919662.db2.gz NCABSABRKBONSB-DLOVCJGASA-N 0 3 222.307 2.745 20 0 BFADHN Cc1ccc(CN2CCCCCCC2)nn1 ZINC000840908176 587928839 /nfs/dbraw/zinc/92/88/39/587928839.db2.gz OZYAJUCGMXBLJS-UHFFFAOYSA-N 0 3 219.332 2.551 20 0 BFADHN Cc1nc(F)ccc1CN[C@H]1CS[C@H](C)C1 ZINC000840957452 587931973 /nfs/dbraw/zinc/93/19/73/587931973.db2.gz BRUKLTDUWAPMPM-LDYMZIIASA-N 0 3 240.347 2.513 20 0 BFADHN CC[C@@H](NCc1cc(C)oc1C)[C@H](O)C(C)C ZINC000840967146 587932618 /nfs/dbraw/zinc/93/26/18/587932618.db2.gz LXXCFVYJYWFOHF-ZIAGYGMSSA-N 0 3 239.359 2.782 20 0 BFADHN CCCCCCNC(=O)[C@@H]1CCCCN1CC ZINC000104140333 587938908 /nfs/dbraw/zinc/93/89/08/587938908.db2.gz FXVRRHZRHDLSSQ-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN c1cc(CN2CCSC[C@@H]2C2CCC2)co1 ZINC000841894219 587982459 /nfs/dbraw/zinc/98/24/59/587982459.db2.gz VZOMIUBACJDAHN-CYBMUJFWSA-N 0 3 237.368 2.997 20 0 BFADHN OC[C@@H](CC1CCCCC1)NCc1ccoc1 ZINC000841893741 587982613 /nfs/dbraw/zinc/98/26/13/587982613.db2.gz ORSHPMIMWGSXRY-CQSZACIVSA-N 0 3 237.343 2.701 20 0 BFADHN Cc1ccc(Cl)c(NCC2(N(C)C)CC2)n1 ZINC000842046708 587992498 /nfs/dbraw/zinc/99/24/98/587992498.db2.gz DKZSVLRAWYJTHY-UHFFFAOYSA-N 0 3 239.750 2.550 20 0 BFADHN CN(C)CCSCC[C@@H]1CC1(Cl)Cl ZINC000842567073 588042851 /nfs/dbraw/zinc/04/28/51/588042851.db2.gz UQJMIMOXFLYCJQ-MRVPVSSYSA-N 0 3 242.215 2.865 20 0 BFADHN CC(C)Cn1nccc1CN[C@@H](C)C1(C)CC1 ZINC000842673432 588051097 /nfs/dbraw/zinc/05/10/97/588051097.db2.gz NKLMEIHZTOHVDY-LBPRGKRZSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1nc(F)ccc1CN[C@@H]1CCS[C@@H]1C ZINC000842778236 588062631 /nfs/dbraw/zinc/06/26/31/588062631.db2.gz DUUHFRREFYCQTR-MWLCHTKSSA-N 0 3 240.347 2.513 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1cccnc1Cl ZINC000842778125 588062804 /nfs/dbraw/zinc/06/28/04/588062804.db2.gz HKWGKMCFCQLILK-PSASIEDQSA-N 0 3 242.775 2.719 20 0 BFADHN C[C@@H](NCC1CCC(F)CC1)c1ncc[nH]1 ZINC000842929234 588071865 /nfs/dbraw/zinc/07/18/65/588071865.db2.gz NRQJTUAAXHAKGV-KPPDAEKUSA-N 0 3 225.311 2.589 20 0 BFADHN CC(C)(C)/C=C\C(=O)Nc1cccc(CN)c1 ZINC000843289295 588097615 /nfs/dbraw/zinc/09/76/15/588097615.db2.gz PYADBLGGXKNZIX-FPLPWBNLSA-N 0 3 232.327 2.686 20 0 BFADHN CCCCCCN1CCNC[C@@H]1c1cccnc1 ZINC000843378190 588105679 /nfs/dbraw/zinc/10/56/79/588105679.db2.gz HTAKXPSHXAMBAL-OAHLLOKOSA-N 0 3 247.386 2.608 20 0 BFADHN c1cnc(CN2CCCC[C@@H]2C2CCC2)cn1 ZINC000843437839 588110258 /nfs/dbraw/zinc/11/02/58/588110258.db2.gz XEGOIHDPKBATDQ-CQSZACIVSA-N 0 3 231.343 2.631 20 0 BFADHN Cc1ccc(CN2CCCC[C@H]2C2CCC2)nn1 ZINC000843437503 588110588 /nfs/dbraw/zinc/11/05/88/588110588.db2.gz IJHPHXFUCAPHPN-HNNXBMFYSA-N 0 3 245.370 2.940 20 0 BFADHN c1c(CN2CCCCCCC2)nn2c1CCC2 ZINC000844270325 588178357 /nfs/dbraw/zinc/17/83/57/588178357.db2.gz GQAOCRJQFRWGCW-UHFFFAOYSA-N 0 3 233.359 2.595 20 0 BFADHN CN(C)c1cc(CN2CCC23CCCC3)ccn1 ZINC000844359063 588187312 /nfs/dbraw/zinc/18/73/12/588187312.db2.gz HOBKDWHRDGHJNO-UHFFFAOYSA-N 0 3 245.370 2.666 20 0 BFADHN CC[C@H]1CN(CCc2cccs2)[C@H](C)CO1 ZINC000844389122 588188890 /nfs/dbraw/zinc/18/88/90/588188890.db2.gz CYAVCAMCTDQZMC-NEPJUHHUSA-N 0 3 239.384 2.790 20 0 BFADHN FC1(F)[C@H]2CN(CCCc3ccccc3)C[C@H]21 ZINC000844454725 588195738 /nfs/dbraw/zinc/19/57/38/588195738.db2.gz ULZJIJQUYWOKLD-BETUJISGSA-N 0 3 237.293 2.816 20 0 BFADHN CC(C)(C)C(=O)CCN1CC[C@]2(CC2(F)F)C1 ZINC000844468474 588197546 /nfs/dbraw/zinc/19/75/46/588197546.db2.gz OOJGTJLJHJJLAA-LBPRGKRZSA-N 0 3 245.313 2.723 20 0 BFADHN CCC[C@@H](C)CC(=O)O[C@@H](C)CN1CCCC1 ZINC000845666903 588240441 /nfs/dbraw/zinc/24/04/41/588240441.db2.gz ASNSDGPTQPGYQI-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H](CN1CCCC1)OC(=O)C1CCCCC1 ZINC000845673591 588240564 /nfs/dbraw/zinc/24/05/64/588240564.db2.gz HNFBFEKHWPYNPC-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN CC/C(C)=C/C(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845731636 588242650 /nfs/dbraw/zinc/24/26/50/588242650.db2.gz XSXKVBSWUNLZFD-ZKQHCESOSA-N 0 3 239.359 2.759 20 0 BFADHN CC/C(C)=C\C(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845731635 588242796 /nfs/dbraw/zinc/24/27/96/588242796.db2.gz XSXKVBSWUNLZFD-UCQJPZFISA-N 0 3 239.359 2.759 20 0 BFADHN CCC(CC)C(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845738080 588242902 /nfs/dbraw/zinc/24/29/02/588242902.db2.gz MAHQMNVQEGNIRL-LBPRGKRZSA-N 0 3 241.375 2.839 20 0 BFADHN CC(C)CCC(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845738593 588242982 /nfs/dbraw/zinc/24/29/82/588242982.db2.gz ACYCCORVJXVMMC-LBPRGKRZSA-N 0 3 241.375 2.839 20 0 BFADHN CC[C@H](C)CC(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845734947 588243067 /nfs/dbraw/zinc/24/30/67/588243067.db2.gz ICOPLEXZWGNGER-RYUDHWBXSA-N 0 3 241.375 2.839 20 0 BFADHN C/C=C(/CC)C(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845734952 588243121 /nfs/dbraw/zinc/24/31/21/588243121.db2.gz IHBSOKSWWBXGHL-HUMZTAOYSA-N 0 3 239.359 2.759 20 0 BFADHN CC[C@@]1(C)CCC[C@@H]1NCc1ccon1 ZINC000845942197 588250942 /nfs/dbraw/zinc/25/09/42/588250942.db2.gz MPAUFHPCFOZXNA-RYUDHWBXSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@]1(CCNCc2cscn2)C[C@]1(F)Cl ZINC000846033569 588254272 /nfs/dbraw/zinc/25/42/72/588254272.db2.gz HIFQEDQIJMVWLM-NXEZZACHSA-N 0 3 248.754 2.937 20 0 BFADHN F[C@@H]1CCCN(CCCC2CCOCC2)C1 ZINC000846121324 588258027 /nfs/dbraw/zinc/25/80/27/588258027.db2.gz YHDIEXGWZDTFHM-CYBMUJFWSA-N 0 3 229.339 2.627 20 0 BFADHN c1csc(NC2CCN(C3CCC3)CC2)n1 ZINC000846747984 588284688 /nfs/dbraw/zinc/28/46/88/588284688.db2.gz DIAVCWRFKFZMSP-UHFFFAOYSA-N 0 3 237.372 2.572 20 0 BFADHN CCN(CC(C)C)CC1(Br)CC1 ZINC000846769044 588286865 /nfs/dbraw/zinc/28/68/65/588286865.db2.gz GTIZNQAUMDPCJB-UHFFFAOYSA-N 0 3 234.181 2.892 20 0 BFADHN COc1cccc(-c2ccc(CN(C)C)cc2)n1 ZINC000846780397 588287951 /nfs/dbraw/zinc/28/79/51/588287951.db2.gz VLXGTUSHHHZGPR-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN COc1nscc1-c1ccc(CN(C)C)cc1 ZINC000846779857 588288103 /nfs/dbraw/zinc/28/81/03/588288103.db2.gz QCONCQMVSPWPTM-UHFFFAOYSA-N 0 3 248.351 2.880 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccc3nonc3c2)C1 ZINC000846815488 588290697 /nfs/dbraw/zinc/29/06/97/588290697.db2.gz MLLOLNVMLLKVIL-CQSZACIVSA-N 0 3 245.326 2.845 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@H](C)Cc1ccco1 ZINC000848518943 588390278 /nfs/dbraw/zinc/39/02/78/588390278.db2.gz JVGNLBFVDOWSPR-ZYHUDNBSSA-N 0 3 245.326 2.660 20 0 BFADHN C[C@@H](Cc1ccco1)N[C@H]1CCCn2ccnc21 ZINC000848521273 588391191 /nfs/dbraw/zinc/39/11/91/588391191.db2.gz RUCARCZGWIFLNS-AAEUAGOBSA-N 0 3 245.326 2.532 20 0 BFADHN C[C@H](CN[C@@H]1CCCn2ccnc21)C(C)(C)C ZINC000848530450 588393165 /nfs/dbraw/zinc/39/31/65/588393165.db2.gz HAHSLXMOWKCFLI-VXGBXAGGSA-N 0 3 235.375 2.990 20 0 BFADHN COc1cc([C@H](C)N[C@H](C)c2ccc[nH]2)ccn1 ZINC000848535007 588394069 /nfs/dbraw/zinc/39/40/69/588394069.db2.gz ANFXORKGXOFTAQ-WDEREUQCSA-N 0 3 245.326 2.830 20 0 BFADHN CC(C)C1(CNCc2cnc(Cl)n2C)CC1 ZINC000848540551 588394238 /nfs/dbraw/zinc/39/42/38/588394238.db2.gz PKMRFDNLWOILHO-UHFFFAOYSA-N 0 3 241.766 2.599 20 0 BFADHN CCCC1(CNCc2cnc(Cl)n2C)CC1 ZINC000848540992 588395415 /nfs/dbraw/zinc/39/54/15/588395415.db2.gz XNIMWSOJSWFSGT-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN CN(Cc1cnc(Cl)n1C)C1CCCCC1 ZINC000848876438 588415869 /nfs/dbraw/zinc/41/58/69/588415869.db2.gz JJHXHUFDZSKASA-UHFFFAOYSA-N 0 3 241.766 2.838 20 0 BFADHN CC(C)c1ccccc1CN(CCO)C1CC1 ZINC000848880746 588416314 /nfs/dbraw/zinc/41/63/14/588416314.db2.gz HSTRHZPURGKNNW-UHFFFAOYSA-N 0 3 233.355 2.767 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cnc(Cl)n1C ZINC000848887469 588417192 /nfs/dbraw/zinc/41/71/92/588417192.db2.gz XGGGFHHRQUBMRX-UWVGGRQHSA-N 0 3 241.766 2.694 20 0 BFADHN C[C@H](N(C)Cc1cnc(Cl)n1C)C1(C)CC1 ZINC000848890629 588417735 /nfs/dbraw/zinc/41/77/35/588417735.db2.gz SVGHLVKZRXRBLA-VIFPVBQESA-N 0 3 241.766 2.694 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cnc(Cl)n1C ZINC000848904238 588418511 /nfs/dbraw/zinc/41/85/11/588418511.db2.gz OAHATEMXQRUCHU-MWLCHTKSSA-N 0 3 241.766 2.694 20 0 BFADHN CC(C)c1ccccc1CN(C)CCCO ZINC000848909617 588419584 /nfs/dbraw/zinc/41/95/84/588419584.db2.gz YBCPCGSBCRMVOJ-UHFFFAOYSA-N 0 3 221.344 2.624 20 0 BFADHN Cc1ncc(-c2ccc(OCCN(C)C)cc2)o1 ZINC000848952866 588421953 /nfs/dbraw/zinc/42/19/53/588421953.db2.gz LREXYAHWWPPINL-UHFFFAOYSA-N 0 3 246.310 2.590 20 0 BFADHN Cc1ccc(CNC[C@H]2C[C@H](C)C[C@H](C)C2)nn1 ZINC000850356809 588538495 /nfs/dbraw/zinc/53/84/95/588538495.db2.gz XRACHSSVHMWGHM-IMRBUKKESA-N 0 3 247.386 2.947 20 0 BFADHN CC[C@@H](C)CCNCc1cnc([C@H](C)O)s1 ZINC000850355077 588538583 /nfs/dbraw/zinc/53/85/83/588538583.db2.gz CWXVACLBLZEDOU-ZJUUUORDSA-N 0 3 242.388 2.722 20 0 BFADHN COc1cc(C)ccc1CN[C@H]1C=CCC1 ZINC000850359736 588539653 /nfs/dbraw/zinc/53/96/53/588539653.db2.gz PKALDMLNSPBPTR-ZDUSSCGKSA-N 0 3 217.312 2.812 20 0 BFADHN C1=C[C@@H](NCC2=Cc3ccccc3OC2)CC1 ZINC000850358908 588539819 /nfs/dbraw/zinc/53/98/19/588539819.db2.gz OBHNOPYOANEKBT-CQSZACIVSA-N 0 3 227.307 2.771 20 0 BFADHN C1=C[C@H](NCc2cc3cnccc3o2)CC1 ZINC000850359499 588540147 /nfs/dbraw/zinc/54/01/47/588540147.db2.gz JJLSHPNOBQAYPT-NSHDSACASA-N 0 3 214.268 2.636 20 0 BFADHN O[C@@H]1C[C@H](N[C@H]2CCCSC2)c2ccccc21 ZINC000850376334 588542121 /nfs/dbraw/zinc/54/21/21/588542121.db2.gz XMGCYCFAISLQDJ-LEWSCRJBSA-N 0 3 249.379 2.650 20 0 BFADHN Fc1ccc2c(c1)C[C@@H]1[C@H](NCc3ccoc3)[C@H]21 ZINC000850409422 588544490 /nfs/dbraw/zinc/54/44/90/588544490.db2.gz UBSXPOYLXPQVSF-ZNMIVQPWSA-N 0 3 243.281 2.847 20 0 BFADHN C[C@@H](Cc1ccco1)N[C@H]1CCCn2nccc21 ZINC000850426305 588545443 /nfs/dbraw/zinc/54/54/43/588545443.db2.gz YCVAIOFNYXKMSN-AAEUAGOBSA-N 0 3 245.326 2.532 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1COC2(CCC2)C1 ZINC000850440267 588546403 /nfs/dbraw/zinc/54/64/03/588546403.db2.gz ZIGKDNBFJJNUOE-LBPRGKRZSA-N 0 3 249.329 2.935 20 0 BFADHN Cc1cccc(F)c1CN[C@H]1COC2(CCC2)C1 ZINC000850440266 588546524 /nfs/dbraw/zinc/54/65/24/588546524.db2.gz ZIGKDNBFJJNUOE-GFCCVEGCSA-N 0 3 249.329 2.935 20 0 BFADHN CCc1nc(CN[C@@H]2CCCSCC2)co1 ZINC000850473225 588548204 /nfs/dbraw/zinc/54/82/04/588548204.db2.gz VXGYBOVQAAFIOF-SNVBAGLBSA-N 0 3 240.372 2.612 20 0 BFADHN CON(C)CCN[C@H](C)c1cc2ccccc2o1 ZINC000850479677 588550734 /nfs/dbraw/zinc/55/07/34/588550734.db2.gz BCDQDWAOAKHCLK-LLVKDONJSA-N 0 3 248.326 2.577 20 0 BFADHN CCCCC[C@H](C)N[C@@H]1C[C@H](C)n2ncnc21 ZINC000850498994 588552222 /nfs/dbraw/zinc/55/22/22/588552222.db2.gz HQALMZZRFFLBKL-SDDRHHMPSA-N 0 3 236.363 2.842 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2C=CCC2)s1 ZINC000850508112 588553254 /nfs/dbraw/zinc/55/32/54/588553254.db2.gz HWXMREKRPRUFAB-WPRPVWTQSA-N 0 3 208.330 2.821 20 0 BFADHN CC[C@H](N[C@H]1C=CCC1)c1ccncc1 ZINC000850507575 588553818 /nfs/dbraw/zinc/55/38/18/588553818.db2.gz KACQUHHSQJHJLH-STQMWFEESA-N 0 3 202.301 2.841 20 0 BFADHN COc1ccc([C@@H](C)N[C@@H]2C=CCC2)cc1O ZINC000850510491 588555103 /nfs/dbraw/zinc/55/51/03/588555103.db2.gz XDHMNNMWQZUUSG-ZYHUDNBSSA-N 0 3 233.311 2.770 20 0 BFADHN C[C@H](N[C@H]1C=CCC1)c1ccc([S@](C)=O)cc1 ZINC000850510921 588555280 /nfs/dbraw/zinc/55/52/80/588555280.db2.gz XXRDMBQQTXETPN-BNLOLNQZSA-N 0 3 249.379 2.793 20 0 BFADHN C[C@H](N[C@@H]1C=CCC1)c1ccc([S@](C)=O)cc1 ZINC000850510923 588555486 /nfs/dbraw/zinc/55/54/86/588555486.db2.gz XXRDMBQQTXETPN-PPHDSNJXSA-N 0 3 249.379 2.793 20 0 BFADHN COC[C@H](N[C@@H]1CC[C@@H]1C)c1cccc(OC)c1 ZINC000850513739 588555839 /nfs/dbraw/zinc/55/58/39/588555839.db2.gz ITRHIIRLKOIZSE-GLQYFDAESA-N 0 3 249.354 2.771 20 0 BFADHN CC(C)[C@H](N[C@@H](C)CCO)c1ccccc1F ZINC000850516617 588556104 /nfs/dbraw/zinc/55/61/04/588556104.db2.gz GAPDZPYIJIYROG-FZMZJTMJSA-N 0 3 239.334 2.883 20 0 BFADHN CC[C@@H]1COC[C@@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000850540459 588558571 /nfs/dbraw/zinc/55/85/71/588558571.db2.gz NFQKDOGRSDWZDI-ONERCXAPSA-N 0 3 249.329 2.828 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCc2cc(C)ccc21 ZINC000850571326 588561032 /nfs/dbraw/zinc/56/10/32/588561032.db2.gz PSMXSHCRBHSEOA-ZNMIVQPWSA-N 0 3 231.339 2.749 20 0 BFADHN CN(Cc1cccc(F)n1)C1CCCCC1 ZINC000851718726 588616624 /nfs/dbraw/zinc/61/66/24/588616624.db2.gz PNWOTBOOCKKRQM-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2COC(C)(C)O2)cc1 ZINC000851719040 588616786 /nfs/dbraw/zinc/61/67/86/588616786.db2.gz SVMYUJBYTWRZNU-AWEZNQCLSA-N 0 3 249.354 2.578 20 0 BFADHN CCN(Cc1cc(C2CC2)no1)C(C)C ZINC000851733111 588620011 /nfs/dbraw/zinc/62/00/11/588620011.db2.gz KAGPHHJCSZFVTJ-UHFFFAOYSA-N 0 3 208.305 2.782 20 0 BFADHN FC(F)OCCN1CC[C@@H](c2ccccc2)C1 ZINC000851739310 588620860 /nfs/dbraw/zinc/62/08/60/588620860.db2.gz INMAJROVAAZJIO-GFCCVEGCSA-N 0 3 241.281 2.715 20 0 BFADHN Fc1cccc(CN2CCC[C@@H]2C2CC2)n1 ZINC000851751205 588623005 /nfs/dbraw/zinc/62/30/05/588623005.db2.gz PCMUTXURQYWHOR-GFCCVEGCSA-N 0 3 220.291 2.595 20 0 BFADHN Fc1cccc(CN2CCC[C@H]2C2CC2)n1 ZINC000851751208 588623380 /nfs/dbraw/zinc/62/33/80/588623380.db2.gz PCMUTXURQYWHOR-LBPRGKRZSA-N 0 3 220.291 2.595 20 0 BFADHN C[C@H]1CN(CC=C(Cl)Cl)C[C@@H]1C ZINC000851792062 588624676 /nfs/dbraw/zinc/62/46/76/588624676.db2.gz HGYYSQGXQJBBNP-YUMQZZPRSA-N 0 3 208.132 2.893 20 0 BFADHN CC(C)C1CCN(CCOC(F)F)CC1 ZINC000851783738 588628361 /nfs/dbraw/zinc/62/83/61/588628361.db2.gz DLCCLILTSMJTDB-UHFFFAOYSA-N 0 3 221.291 2.594 20 0 BFADHN FC(F)OCCN1C2CCCC1CCC2 ZINC000851786312 588628775 /nfs/dbraw/zinc/62/87/75/588628775.db2.gz YENUNYRLDDUQGI-UHFFFAOYSA-N 0 3 219.275 2.633 20 0 BFADHN CCC(CC)N(Cc1cnon1)CC(C)C ZINC000851797471 588629850 /nfs/dbraw/zinc/62/98/50/588629850.db2.gz RKQSPQVZIDTHFM-UHFFFAOYSA-N 0 3 225.336 2.716 20 0 BFADHN CC1(C)OC[C@H](CN2CC[C@@H]2c2ccccc2)O1 ZINC000851800145 588630886 /nfs/dbraw/zinc/63/08/86/588630886.db2.gz IPUUTPZJFSSALK-UONOGXRCSA-N 0 3 247.338 2.585 20 0 BFADHN CC[C@]1(C)CCN(Cc2cccc(F)n2)C1 ZINC000851808826 588632345 /nfs/dbraw/zinc/63/23/45/588632345.db2.gz QTKJWXLIMOLDIM-CYBMUJFWSA-N 0 3 222.307 2.843 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1cccc(F)n1 ZINC000851845783 588634746 /nfs/dbraw/zinc/63/47/46/588634746.db2.gz NFVGRHMJAZTULC-SNVBAGLBSA-N 0 3 242.363 2.794 20 0 BFADHN CO[C@@H]1CC[C@@H](N2CC=C(C(F)(F)F)CC2)C1 ZINC000851869786 588637197 /nfs/dbraw/zinc/63/71/97/588637197.db2.gz QTNCKIWRPJJWPB-GHMZBOCLSA-N 0 3 249.276 2.748 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2C[C@@H]2CC[C@@H]3C[C@@H]3C2)n1 ZINC000851886616 588640578 /nfs/dbraw/zinc/64/05/78/588640578.db2.gz JEEQIUONBWXIAS-MQYQWHSLSA-N 0 3 245.370 2.983 20 0 BFADHN Fc1cccc(C2(NCCOC(F)F)CC2)c1 ZINC000851904482 588642199 /nfs/dbraw/zinc/64/21/99/588642199.db2.gz YEANFDRTIBLVEZ-UHFFFAOYSA-N 0 3 245.244 2.644 20 0 BFADHN C[C@H]1CC[C@@]2(CCN(CCOC(F)F)C2)C1 ZINC000851921231 588643868 /nfs/dbraw/zinc/64/38/68/588643868.db2.gz ZERMSAWGJMRBKM-CMPLNLGQSA-N 0 3 233.302 2.738 20 0 BFADHN CCc1cnccc1[C@@H](C)NCCOC(F)F ZINC000851921627 588644053 /nfs/dbraw/zinc/64/40/53/588644053.db2.gz XLYCGONAYHNVBF-SECBINFHSA-N 0 3 244.285 2.534 20 0 BFADHN CO[C@@H]1CC[C@@H](N[C@@H](C)c2ncc(C)s2)C1 ZINC000851933563 588645464 /nfs/dbraw/zinc/64/54/64/588645464.db2.gz ZKGDHJDIWWNHIA-HBNTYKKESA-N 0 3 240.372 2.670 20 0 BFADHN CC[C@@H](Nc1cc(C)nc(N)n1)c1ccccc1 ZINC000110362497 588658721 /nfs/dbraw/zinc/65/87/21/588658721.db2.gz JESAIPGTDCQJLZ-GFCCVEGCSA-N 0 3 242.326 2.930 20 0 BFADHN CCCC[C@H](C)N(C)C[C@@H](O)c1ccccn1 ZINC000852064855 588658810 /nfs/dbraw/zinc/65/88/10/588658810.db2.gz SIHFXODIWXKKLZ-GXTWGEPZSA-N 0 3 236.359 2.626 20 0 BFADHN CO[C@H](CN1CCC[C@](C)(F)C1)C1CCC1 ZINC000852096277 588661716 /nfs/dbraw/zinc/66/17/16/588661716.db2.gz KDSZYEMVPUDQGM-OLZOCXBDSA-N 0 3 229.339 2.626 20 0 BFADHN Cn1cnc(CN2CCCCCCCC2)c1 ZINC000852139874 588666050 /nfs/dbraw/zinc/66/60/50/588666050.db2.gz DYIGDWKSCJYIDI-UHFFFAOYSA-N 0 3 221.348 2.576 20 0 BFADHN CO[C@@H]1CC[C@H](N2CCC[C@@]3(CC3(F)F)C2)C1 ZINC000852213453 588672854 /nfs/dbraw/zinc/67/28/54/588672854.db2.gz LSSSUTUPMILZTH-QJPTWQEYSA-N 0 3 245.313 2.675 20 0 BFADHN CC(C)CC(=O)CCN1C[C@@H]2CCCC[C@@H]21 ZINC000852219333 588673793 /nfs/dbraw/zinc/67/37/93/588673793.db2.gz MQGHTNRVZQBRCW-JSGCOSHPSA-N 0 3 223.360 2.866 20 0 BFADHN C[C@@]1(C2CC2)CN(CC2CC(F)(F)C2)CCO1 ZINC000852326455 588678789 /nfs/dbraw/zinc/67/87/89/588678789.db2.gz RFUQCIMTWSQSMK-LBPRGKRZSA-N 0 3 245.313 2.533 20 0 BFADHN Cc1ccc2c(c1)CN(CCC(=O)C(C)C)CC2 ZINC000852404899 588680931 /nfs/dbraw/zinc/68/09/31/588680931.db2.gz VMGUEZKYTZDBHX-UHFFFAOYSA-N 0 3 245.366 2.968 20 0 BFADHN Cc1cc(CN2CCC(C3CCC3)CC2)n(C)n1 ZINC000852408077 588680984 /nfs/dbraw/zinc/68/09/84/588680984.db2.gz OHVJUUIFULQKGL-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN COCCN(CCF)C[C@H]1CCCC[C@H]1C ZINC000852479877 588683385 /nfs/dbraw/zinc/68/33/85/588683385.db2.gz KAJCSZDXIQSYAD-CHWSQXEVSA-N 0 3 231.355 2.731 20 0 BFADHN COCCN(CCF)C[C@H](C)CC(C)C ZINC000852479677 588683505 /nfs/dbraw/zinc/68/35/05/588683505.db2.gz JDSNNUADWSKEBQ-GFCCVEGCSA-N 0 3 219.344 2.587 20 0 BFADHN CCC(CC)CCCN(C)CC(OC)OC ZINC000852671007 588694890 /nfs/dbraw/zinc/69/48/90/588694890.db2.gz CHXCEQIEDYMIHF-UHFFFAOYSA-N 0 3 231.380 2.754 20 0 BFADHN CCCCC[C@H]1CCCCN1CC1OCCO1 ZINC000852712509 588697127 /nfs/dbraw/zinc/69/71/27/588697127.db2.gz QTZMDXQBXGWILX-ZDUSSCGKSA-N 0 3 241.375 2.794 20 0 BFADHN Cl/C=C\CN1C[C@]23COC[C@@]2(C1)CCCC3 ZINC000852760259 588700704 /nfs/dbraw/zinc/70/07/04/588700704.db2.gz XFUICBAHCNTSRT-FXWHHLEPSA-N 0 3 241.762 2.632 20 0 BFADHN CN(Cc1ccc(F)cn1)C[C@@H]1CC1(C)C ZINC000852771136 588701199 /nfs/dbraw/zinc/70/11/99/588701199.db2.gz CFQLMSWSYZBKAJ-JTQLQIEISA-N 0 3 222.307 2.699 20 0 BFADHN COCC1(NC/C=C/Cl)CCCCC1 ZINC000852771550 588701364 /nfs/dbraw/zinc/70/13/64/588701364.db2.gz SDRCEIBCYPHGTO-VMPITWQZSA-N 0 3 217.740 2.678 20 0 BFADHN CN(Cc1ccnc(N(C)C)c1)C[C@H]1CC1(C)C ZINC000852773534 588701636 /nfs/dbraw/zinc/70/16/36/588701636.db2.gz ZWABTLWKXFITFT-CYBMUJFWSA-N 0 3 247.386 2.626 20 0 BFADHN CC12CC(C1)CN2Cc1ccc2c(c1)COC2 ZINC000852786955 588702550 /nfs/dbraw/zinc/70/25/50/588702550.db2.gz QEKCOHUARNASNY-UHFFFAOYSA-N 0 3 229.323 2.701 20 0 BFADHN CC12CC(C1)CN2Cc1cccc2nsnc21 ZINC000852786633 588702738 /nfs/dbraw/zinc/70/27/38/588702738.db2.gz YWZRTYCLKSBHKN-UHFFFAOYSA-N 0 3 245.351 2.676 20 0 BFADHN CCC/C=C/C(=O)Nc1ccccc1CNC ZINC000852793262 588703091 /nfs/dbraw/zinc/70/30/91/588703091.db2.gz VVODRHRNUNKSML-BJMVGYQFSA-N 0 3 232.327 2.701 20 0 BFADHN CCCCC(=O)OCC12CCCN(CCC1)C2 ZINC000861357387 589161622 /nfs/dbraw/zinc/16/16/22/589161622.db2.gz HOBLOPCGKPJYTK-UHFFFAOYSA-N 0 3 239.359 2.596 20 0 BFADHN Cc1c(CN2CCCC[C@@H]2C)ccnc1F ZINC000862211514 589194445 /nfs/dbraw/zinc/19/44/45/589194445.db2.gz JICLHYWDVLKFRX-JTQLQIEISA-N 0 3 222.307 2.904 20 0 BFADHN Cc1c(CN2CCC(C)(C)C2)ccnc1F ZINC000862244033 589196750 /nfs/dbraw/zinc/19/67/50/589196750.db2.gz IISTVTSFUBHKQM-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN Cc1ncc(CN2C[C@H](C)CC2(C)C)o1 ZINC000862247094 589196999 /nfs/dbraw/zinc/19/69/99/589196999.db2.gz QZSOFIXVEOKJCV-SECBINFHSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1c(CN2C[C@@H](C)[C@H](C)C2)ccnc1F ZINC000862247480 589197111 /nfs/dbraw/zinc/19/71/11/589197111.db2.gz XZYVSSNSNNWNDJ-NXEZZACHSA-N 0 3 222.307 2.617 20 0 BFADHN Cc1c(CN2C[C@H](C)[C@H](C)C2)ccnc1F ZINC000862247479 589197160 /nfs/dbraw/zinc/19/71/60/589197160.db2.gz XZYVSSNSNNWNDJ-AOOOYVTPSA-N 0 3 222.307 2.617 20 0 BFADHN Cc1c(CN2C[C@H](C)C[C@@H]2C)ccnc1F ZINC000862248652 589197647 /nfs/dbraw/zinc/19/76/47/589197647.db2.gz OUBWHNSEGUXATP-ZJUUUORDSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1c(CNC[C@H]2CCCS2)ccnc1F ZINC000862284652 589199717 /nfs/dbraw/zinc/19/97/17/589199717.db2.gz LQRMVMDIICBXJB-LLVKDONJSA-N 0 3 240.347 2.514 20 0 BFADHN Cc1c(CNC[C@@H]2CCCS2)ccnc1F ZINC000862284653 589199727 /nfs/dbraw/zinc/19/97/27/589199727.db2.gz LQRMVMDIICBXJB-NSHDSACASA-N 0 3 240.347 2.514 20 0 BFADHN Cc1c(CN[C@H]2CCCSC2)ccnc1F ZINC000862284040 589199752 /nfs/dbraw/zinc/19/97/52/589199752.db2.gz DROCBBXCJRSUJF-NSHDSACASA-N 0 3 240.347 2.514 20 0 BFADHN C[C@@]1(CNCc2cccc(F)n2)CCCS1 ZINC000862291528 589200199 /nfs/dbraw/zinc/20/01/99/589200199.db2.gz QRRWSUUUTLUJHP-LBPRGKRZSA-N 0 3 240.347 2.596 20 0 BFADHN CSC1(CNCc2ccnc(F)c2C)CC1 ZINC000862351141 589201933 /nfs/dbraw/zinc/20/19/33/589201933.db2.gz HENUXHKKXHRLFD-UHFFFAOYSA-N 0 3 240.347 2.514 20 0 BFADHN CC(C)=CCNCc1ccnc(F)c1C ZINC000862341320 589202091 /nfs/dbraw/zinc/20/20/91/589202091.db2.gz UGLJYWQCJIOJGT-UHFFFAOYSA-N 0 3 208.280 2.585 20 0 BFADHN C[C@]1(CNCc2cccnc2F)CCCS1 ZINC000862351528 589202349 /nfs/dbraw/zinc/20/23/49/589202349.db2.gz PHUYKEPPGAYCHD-GFCCVEGCSA-N 0 3 240.347 2.596 20 0 BFADHN Cc1ccc([C@@H](C)CN2CC3(C2)CCOC3)cc1 ZINC000862380588 589206593 /nfs/dbraw/zinc/20/65/93/589206593.db2.gz KBRBDNRIWPZJQS-AWEZNQCLSA-N 0 3 245.366 2.821 20 0 BFADHN Cc1c(CN[C@H]2CS[C@H](C)C2)ccnc1F ZINC000862394809 589208422 /nfs/dbraw/zinc/20/84/22/589208422.db2.gz KZMACOMCSADHRP-LDYMZIIASA-N 0 3 240.347 2.513 20 0 BFADHN Cc1ncc(CNCC[C@@]2(C)C[C@@]2(F)Cl)o1 ZINC000862401860 589209149 /nfs/dbraw/zinc/20/91/49/589209149.db2.gz VIWULSXAJQUXLG-QWRGUYRKSA-N 0 3 246.713 2.777 20 0 BFADHN Cc1c(CN[C@H]2CCS[C@H]2C)ccnc1F ZINC000862399744 589209316 /nfs/dbraw/zinc/20/93/16/589209316.db2.gz BDXBVVBDUAZYNH-ONGXEEELSA-N 0 3 240.347 2.513 20 0 BFADHN Cc1c(CNC2CC(C)(C)C2)ccnc1F ZINC000862418911 589211924 /nfs/dbraw/zinc/21/19/24/589211924.db2.gz SLSQKHOQAKHZJP-UHFFFAOYSA-N 0 3 222.307 2.807 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1ccns1 ZINC000862540034 589218862 /nfs/dbraw/zinc/21/88/62/589218862.db2.gz XONKZPHVIJBBFC-KHQFGBGNSA-N 0 3 228.386 2.688 20 0 BFADHN FC1(F)CCCN(C[C@@H]2CCC=CO2)CC1 ZINC000862466255 589215735 /nfs/dbraw/zinc/21/57/35/589215735.db2.gz FIRYQXBWCDLKFS-NSHDSACASA-N 0 3 231.286 2.800 20 0 BFADHN Fc1c(Cl)nccc1CNCC1CC=CC1 ZINC000862456310 589215974 /nfs/dbraw/zinc/21/59/74/589215974.db2.gz XCVLYUATQIEREE-UHFFFAOYSA-N 0 3 240.709 2.930 20 0 BFADHN C/C=C/CNCc1ccc2c(c1)COC(C)(C)O2 ZINC000862531487 589218024 /nfs/dbraw/zinc/21/80/24/589218024.db2.gz ZNZRRJQVFINTIM-SNAWJCMRSA-N 0 3 247.338 2.997 20 0 BFADHN CCCCOCCNCc1cc(C)oc1C ZINC000119369714 589275308 /nfs/dbraw/zinc/27/53/08/589275308.db2.gz BGKBDQQUQYHVHH-UHFFFAOYSA-N 0 3 225.332 2.803 20 0 BFADHN CCC[C@@H](C)CCCN1CCNC[C@H]1C(F)F ZINC000863542171 589297145 /nfs/dbraw/zinc/29/71/45/589297145.db2.gz AZMCEEUCJZAVTO-NEPJUHHUSA-N 0 3 248.361 2.742 20 0 BFADHN C/C=C/CNC[C@H](O)c1ccc(Cl)c(F)c1 ZINC000863582578 589301693 /nfs/dbraw/zinc/30/16/93/589301693.db2.gz ODVJHBQJICXOOC-JDGPPOGSSA-N 0 3 243.709 2.678 20 0 BFADHN Cc1nc(N)ccc1-c1ccc(CN(C)C)cc1 ZINC000863691823 589310723 /nfs/dbraw/zinc/31/07/23/589310723.db2.gz LZQGEEDWODBPAO-UHFFFAOYSA-N 0 3 241.338 2.701 20 0 BFADHN Cc1cc(-c2ccc(CN(C)C)cc2)cnc1N ZINC000863691590 589310980 /nfs/dbraw/zinc/31/09/80/589310980.db2.gz HQJIXHVKUUFNJH-UHFFFAOYSA-N 0 3 241.338 2.701 20 0 BFADHN Cc1cc(-c2ccc(N3CCNCC3)cc2)co1 ZINC000863722913 589312914 /nfs/dbraw/zinc/31/29/14/589312914.db2.gz ONODEXKLSOYODE-UHFFFAOYSA-N 0 3 242.322 2.665 20 0 BFADHN Cc1cc(CNCC[C@]2(C)C[C@]2(F)Cl)on1 ZINC000864051214 589334824 /nfs/dbraw/zinc/33/48/24/589334824.db2.gz DCQXFOXFHBYNMP-GHMZBOCLSA-N 0 3 246.713 2.777 20 0 BFADHN CC[C@H](C)NCc1cnc(Cl)c(F)c1 ZINC000865400852 589432478 /nfs/dbraw/zinc/43/24/78/589432478.db2.gz AJAQXVLHFWUTHA-ZETCQYMHSA-N 0 3 216.687 2.762 20 0 BFADHN CCOc1cc(F)cc(CN2CCCC2)c1 ZINC000865406159 589433908 /nfs/dbraw/zinc/43/39/08/589433908.db2.gz KFUBNSCMSCQCLY-UHFFFAOYSA-N 0 3 223.291 2.820 20 0 BFADHN CCC[C@H](CC)NCc1cn(C2CC2)cn1 ZINC000865451061 589436363 /nfs/dbraw/zinc/43/63/63/589436363.db2.gz YBZDOZCVQJEFFJ-NSHDSACASA-N 0 3 221.348 2.886 20 0 BFADHN CC1(NCc2cn(C3CC3)cn2)CCCC1 ZINC000865445769 589436810 /nfs/dbraw/zinc/43/68/10/589436810.db2.gz JCAUCNBOPQQZBM-UHFFFAOYSA-N 0 3 219.332 2.640 20 0 BFADHN CC1(C)C[C@H]1CNCc1cc2c(cc[nH]c2=O)o1 ZINC000865459106 589437213 /nfs/dbraw/zinc/43/72/13/589437213.db2.gz BRYMCEBJCKZEMB-VIFPVBQESA-N 0 3 246.310 2.669 20 0 BFADHN CCC[C@@H](O)CNCc1cccc(Cl)c1C ZINC000865461684 589438085 /nfs/dbraw/zinc/43/80/85/589438085.db2.gz XUGMZXGFSQORRZ-GFCCVEGCSA-N 0 3 241.762 2.899 20 0 BFADHN CC(C)(NCc1cc2c(cc[nH]c2=O)o1)C1CC1 ZINC000865475144 589439461 /nfs/dbraw/zinc/43/94/61/589439461.db2.gz IIVRENYATDPACI-UHFFFAOYSA-N 0 3 246.310 2.812 20 0 BFADHN CC(C)C[C@H](C)NCc1cn(C2CC2)cn1 ZINC000865507553 589442202 /nfs/dbraw/zinc/44/22/02/589442202.db2.gz AFOVXBOWXVTWDW-NSHDSACASA-N 0 3 221.348 2.742 20 0 BFADHN CC1(NCc2cc3c(cc[nH]c3=O)o2)CCC1 ZINC000865510723 589442215 /nfs/dbraw/zinc/44/22/15/589442215.db2.gz VLJNMBFDILIWOP-UHFFFAOYSA-N 0 3 232.283 2.566 20 0 BFADHN c1nc(CNCC23CCC(CC2)C3)cn1C1CC1 ZINC000865513085 589442881 /nfs/dbraw/zinc/44/28/81/589442881.db2.gz VSPMWJJFXHWJBY-UHFFFAOYSA-N 0 3 245.370 2.888 20 0 BFADHN C[C@@H](CCC1CC1)NCc1cn(C2CC2)cn1 ZINC000865531186 589443705 /nfs/dbraw/zinc/44/37/05/589443705.db2.gz UCANDNSMBMFHCO-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cc3c(cc[nH]c3=O)o2)C1 ZINC000865537164 589443990 /nfs/dbraw/zinc/44/39/90/589443990.db2.gz AZYFPNBSOWXKLU-ZJUUUORDSA-N 0 3 246.310 2.812 20 0 BFADHN COc1cncc(CN[C@H]2CCC2(C)C)c1C ZINC000865567916 589447373 /nfs/dbraw/zinc/44/73/73/589447373.db2.gz DJXLPIXURFFBAT-ZDUSSCGKSA-N 0 3 234.343 2.677 20 0 BFADHN c1nc(CNC2CC3(CCC3)C2)cn1C1CC1 ZINC000865618533 589451553 /nfs/dbraw/zinc/45/15/53/589451553.db2.gz YNVXFHMNOJWFQP-UHFFFAOYSA-N 0 3 231.343 2.640 20 0 BFADHN Cc1c(Cl)cccc1CNCCOCCF ZINC000865636589 589453215 /nfs/dbraw/zinc/45/32/15/589453215.db2.gz YUNIOXUMNDAUFI-UHFFFAOYSA-N 0 3 245.725 2.724 20 0 BFADHN CS[C@H]1C[C@H](NCc2ccc(F)nc2C)C1 ZINC000865733335 589459035 /nfs/dbraw/zinc/45/90/35/589459035.db2.gz IKBACMHMQLFKLX-XYPYZODXSA-N 0 3 240.347 2.513 20 0 BFADHN CS[C@H]1C[C@H](NCc2n[nH]c3ccccc32)C1 ZINC000865734345 589459109 /nfs/dbraw/zinc/45/91/09/589459109.db2.gz UGCMGOAQYISPMU-MGCOHNPYSA-N 0 3 247.367 2.547 20 0 BFADHN CC(C)(NCc1ccco1)[C@H]1CCCCO1 ZINC000865746778 589460399 /nfs/dbraw/zinc/46/03/99/589460399.db2.gz PHLFHEJIHLLGGJ-GFCCVEGCSA-N 0 3 223.316 2.717 20 0 BFADHN FC(F)(F)CCSCCNCC1(F)CC1 ZINC000865788015 589461878 /nfs/dbraw/zinc/46/18/78/589461878.db2.gz DOHFVKFTVNEPSC-UHFFFAOYSA-N 0 3 245.285 2.764 20 0 BFADHN CCc1nocc1CNCCCCC1CC1 ZINC000865786265 589461975 /nfs/dbraw/zinc/46/19/75/589461975.db2.gz IBEGAHLKPOJBKH-UHFFFAOYSA-N 0 3 222.332 2.907 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1ccc(C(C)(C)C)o1 ZINC000865815440 589462827 /nfs/dbraw/zinc/46/28/27/589462827.db2.gz YSGLBSNUCRNYRA-ZYHUDNBSSA-N 0 3 237.343 2.844 20 0 BFADHN CCC(CC)CNCc1nn(C)cc1C(F)F ZINC000865809827 589462902 /nfs/dbraw/zinc/46/29/02/589462902.db2.gz FGPWSEAMOLHDGA-UHFFFAOYSA-N 0 3 245.317 2.884 20 0 BFADHN Cc1cc(CN(C)CCc2ccccn2)c(C)o1 ZINC000120777277 589465486 /nfs/dbraw/zinc/46/54/86/589465486.db2.gz WKAWHYHPFGHWFA-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN O[C@H](CCNCc1ccsc1)C1CCCC1 ZINC000865875637 589466841 /nfs/dbraw/zinc/46/68/41/589466841.db2.gz KQHSSWCGGOGJEK-CYBMUJFWSA-N 0 3 239.384 2.779 20 0 BFADHN Cc1occc1CNCC[C@H](O)C1CCCC1 ZINC000865877870 589466899 /nfs/dbraw/zinc/46/68/99/589466899.db2.gz USQULMFXWPGSJH-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN CCc1cc(N2C[C@H](OC)CC[C@@H]2C)ccn1 ZINC000866071577 589474816 /nfs/dbraw/zinc/47/48/16/589474816.db2.gz HWOOUHCFDBCQNJ-SMDDNHRTSA-N 0 3 234.343 2.648 20 0 BFADHN C[C@@H](CCc1cccnc1)NCc1ccco1 ZINC000866213918 589480965 /nfs/dbraw/zinc/48/09/65/589480965.db2.gz COGOEXPTQYFDBC-LBPRGKRZSA-N 0 3 230.311 2.786 20 0 BFADHN CC(C)[C@@H](NCC1=CCOCC1)c1ccccn1 ZINC000866366373 589488339 /nfs/dbraw/zinc/48/83/39/589488339.db2.gz LVORVEQFQYYYIN-OAHLLOKOSA-N 0 3 246.354 2.715 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](c1ccccn1)C(C)C ZINC000866391297 589489586 /nfs/dbraw/zinc/48/95/86/589489586.db2.gz DTFCCQQONSFTIK-RDBSUJKOSA-N 0 3 234.343 2.546 20 0 BFADHN C[C@H]1CCc2ccc(F)cc2[C@H]1N[C@H]1C[C@H](O)C1 ZINC000866392573 589489872 /nfs/dbraw/zinc/48/98/72/589489872.db2.gz DRBYMAIUDYTPCQ-STAGSXQKSA-N 0 3 249.329 2.562 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](c1ccccn1)C(C)C ZINC000866397357 589490296 /nfs/dbraw/zinc/49/02/96/589490296.db2.gz GTDCUFHUXFBTSV-KFWWJZLASA-N 0 3 248.370 2.936 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2ccoc2)C1 ZINC000866486289 589496577 /nfs/dbraw/zinc/49/65/77/589496577.db2.gz CVLLBXYWXOJJHI-FBIMIBRVSA-N 0 3 211.330 2.824 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2cncc(C)c2)C1 ZINC000866487692 589497020 /nfs/dbraw/zinc/49/70/20/589497020.db2.gz FLDWTNNPIRGLET-DRZSPHRISA-N 0 3 236.384 2.935 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1nn(C)cc1C(F)F ZINC000866566819 589500702 /nfs/dbraw/zinc/50/07/02/589500702.db2.gz HPCLCSOXDGMSCZ-SCZZXKLOSA-N 0 3 243.301 2.636 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@@H]2CSC[C@@H]2C1 ZINC000866581543 589501350 /nfs/dbraw/zinc/50/13/50/589501350.db2.gz BCCZIVYTQAIRHW-BNOWGMLFSA-N 0 3 248.395 2.828 20 0 BFADHN C[C@H]1CC[C@H]1NCc1noc2cc(F)ccc12 ZINC000866612375 589504707 /nfs/dbraw/zinc/50/47/07/589504707.db2.gz HMSOSWDRHFWXLW-GZMMTYOYSA-N 0 3 234.274 2.855 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1ccnc(Cl)c1 ZINC000122252945 589573040 /nfs/dbraw/zinc/57/30/40/589573040.db2.gz HSHATEJGAJGBIO-VIFPVBQESA-N 0 3 242.750 2.811 20 0 BFADHN Cn1nc(CNCCC2CCC2)c2ccccc21 ZINC000122990933 589647505 /nfs/dbraw/zinc/64/75/05/589647505.db2.gz ZLHDCQOSDIFRIO-UHFFFAOYSA-N 0 3 243.354 2.853 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1C[C@H](O)c1cccc(F)c1 ZINC000123060152 589658497 /nfs/dbraw/zinc/65/84/97/589658497.db2.gz JNOCSJROAWKKLG-GYSYKLTISA-N 0 3 237.318 2.732 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)c1cscc1C ZINC000870550630 589674327 /nfs/dbraw/zinc/67/43/27/589674327.db2.gz ROTAJEMZABKSFG-SNVBAGLBSA-N 0 3 241.356 2.554 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)CCc1ccccc1 ZINC000870552478 589675048 /nfs/dbraw/zinc/67/50/48/589675048.db2.gz RUBYHDILDKQTGV-AWEZNQCLSA-N 0 3 249.354 2.503 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)[C@H](C)c1ccccc1 ZINC000870553816 589675469 /nfs/dbraw/zinc/67/54/69/589675469.db2.gz SBUFUCJFNBDOPU-TZMCWYRMSA-N 0 3 249.354 2.674 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)c1csc(C)c1 ZINC000870553792 589675607 /nfs/dbraw/zinc/67/56/07/589675607.db2.gz RPCJZWHKOKJCES-NSHDSACASA-N 0 3 241.356 2.554 20 0 BFADHN CC[C@H](NCc1cc(C)oc1C)[C@@H]1CCCO1 ZINC000123510813 589739471 /nfs/dbraw/zinc/73/94/71/589739471.db2.gz GSMLPHWSPNBFLZ-KBPBESRZSA-N 0 3 237.343 2.944 20 0 BFADHN CC(C)C[NH2+][C@H](C)c1cccc([O-])c1F ZINC000872017047 589856243 /nfs/dbraw/zinc/85/62/43/589856243.db2.gz RWYHHVAQDJGSGE-SECBINFHSA-N 0 3 211.280 2.838 20 0 BFADHN CC(C)CN[C@H](C)c1cc(O)ccc1F ZINC000872016006 589856354 /nfs/dbraw/zinc/85/63/54/589856354.db2.gz BKADFGAOWXQBFN-SECBINFHSA-N 0 3 211.280 2.838 20 0 BFADHN C[C@H]([NH2+]C[C@@H]1C[C@@H]1C)c1cccc([O-])c1F ZINC000872027866 589857307 /nfs/dbraw/zinc/85/73/07/589857307.db2.gz MVGQVCZGKCJRTP-GUBZILKMSA-N 0 3 223.291 2.838 20 0 BFADHN COCC[C@@H](C)N[C@@H](C)c1cc(O)ccc1F ZINC000872032300 589857525 /nfs/dbraw/zinc/85/75/25/589857525.db2.gz ZCGPCUGQKHIFOC-ZJUUUORDSA-N 0 3 241.306 2.607 20 0 BFADHN CC[C@@H](NCc1cc[nH]n1)C(C)(C)CC ZINC000872035942 589858144 /nfs/dbraw/zinc/85/81/44/589858144.db2.gz ZYVDDSBJLQGTQT-LLVKDONJSA-N 0 3 209.337 2.714 20 0 BFADHN C[C@H]([NH2+]C1CC=CC1)c1cccc([O-])c1F ZINC000872043530 589860093 /nfs/dbraw/zinc/86/00/93/589860093.db2.gz IZPDAHZTKJAWPO-VIFPVBQESA-N 0 3 221.275 2.901 20 0 BFADHN C[C@@H](NC1CCC1)c1cc(O)ccc1F ZINC000872047080 589860480 /nfs/dbraw/zinc/86/04/80/589860480.db2.gz PFBVIUDMDMANAB-MRVPVSSYSA-N 0 3 209.264 2.734 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1cc(O)ccc1F ZINC000872051424 589860493 /nfs/dbraw/zinc/86/04/93/589860493.db2.gz IDZBNZGONIQGHT-RKDXNWHRSA-N 0 3 243.347 2.933 20 0 BFADHN CS[C@@H](C)C[NH2+][C@H](C)c1cccc([O-])c1F ZINC000872054123 589862217 /nfs/dbraw/zinc/86/22/17/589862217.db2.gz ZQRGISHTJHIOMA-DTWKUNHWSA-N 0 3 243.347 2.933 20 0 BFADHN FCCN[C@@H]1CCCCc2ccccc2C1 ZINC000872069253 589864219 /nfs/dbraw/zinc/86/42/19/589864219.db2.gz NVLVOPYAANQEBN-CQSZACIVSA-N 0 3 221.319 2.883 20 0 BFADHN CC[C@H](CN[C@@H](C)c1cccc(O)c1F)OC ZINC000872072911 589864800 /nfs/dbraw/zinc/86/48/00/589864800.db2.gz LLCBJHJUVRQUEW-VHSXEESVSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@@H](NCCCCF)c1cc(O)ccc1F ZINC000872073928 589865064 /nfs/dbraw/zinc/86/50/64/589865064.db2.gz WYIVXIQXJJIREG-SECBINFHSA-N 0 3 229.270 2.932 20 0 BFADHN C[C@@H](N[C@H]1CC1(C)C)c1cc(O)ccc1F ZINC000872077943 589865308 /nfs/dbraw/zinc/86/53/08/589865308.db2.gz CNVSWASBASIKPX-PELKAZGASA-N 0 3 223.291 2.980 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1cc(O)ccc1F ZINC000872082248 589866453 /nfs/dbraw/zinc/86/64/53/589866453.db2.gz SNXPQOJEAGAULK-MMWGEVLESA-N 0 3 235.302 2.980 20 0 BFADHN C[C@H]([NH2+][C@@H]1C[C@H]2C[C@H]2C1)c1cccc([O-])c1F ZINC000872082480 589866538 /nfs/dbraw/zinc/86/65/38/589866538.db2.gz WRRNOEJVMONBBY-MMWGEVLESA-N 0 3 235.302 2.980 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](C)c1nccnc1C ZINC000872131918 589877561 /nfs/dbraw/zinc/87/75/61/589877561.db2.gz AULWFYQNDMJOCL-UTUOFQBUSA-N 0 3 219.332 2.624 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](C)c1nccnc1C ZINC000872131916 589877785 /nfs/dbraw/zinc/87/77/85/589877785.db2.gz AULWFYQNDMJOCL-QJPTWQEYSA-N 0 3 219.332 2.624 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@@H]1NCc1nonc1C ZINC000872135260 589878209 /nfs/dbraw/zinc/87/82/09/589878209.db2.gz CXGFOZLWTUPZGF-WCQGTBRESA-N 0 3 237.347 2.682 20 0 BFADHN CCC[C@H](NCc1nonc1C)[C@H]1CC1(C)C ZINC000872136689 589879446 /nfs/dbraw/zinc/87/94/46/589879446.db2.gz MSVXRUXXVWLGND-MNOVXSKESA-N 0 3 237.347 2.682 20 0 BFADHN CC(C)(C)c1ccc(CNCC2(O)CCC2)o1 ZINC000872234454 589898481 /nfs/dbraw/zinc/89/84/81/589898481.db2.gz SOICJHCLDLHGSV-UHFFFAOYSA-N 0 3 237.343 2.582 20 0 BFADHN FC(F)[C@@H]1CCN(CCCC2CC2)CCO1 ZINC000872271173 589903764 /nfs/dbraw/zinc/90/37/64/589903764.db2.gz APZODXXBIYONKI-NSHDSACASA-N 0 3 233.302 2.533 20 0 BFADHN CO[C@@H]1CCC[C@@H]1N[C@@H]1CCCc2occc21 ZINC000124021938 589905379 /nfs/dbraw/zinc/90/53/79/589905379.db2.gz OOYJUGPLHBMAEW-MBNYWOFBSA-N 0 3 235.327 2.814 20 0 BFADHN Cc1cc(CNCCC[C@H]2C=CCC2)on1 ZINC000873154076 589987503 /nfs/dbraw/zinc/98/75/03/589987503.db2.gz QNDZTKIRAUYBGX-LBPRGKRZSA-N 0 3 220.316 2.819 20 0 BFADHN CCOC(CN(C)[C@@H](C)C1(C)CC1)OCC ZINC000124610506 590067160 /nfs/dbraw/zinc/06/71/60/590067160.db2.gz NORWTIXGIMBHKX-NSHDSACASA-N 0 3 229.364 2.506 20 0 BFADHN CSCC[C@H](C)NCc1csc(C)n1 ZINC000125049040 590116465 /nfs/dbraw/zinc/11/64/65/590116465.db2.gz IGDDMFQQMPDXMG-QMMMGPOBSA-N 0 3 230.402 2.683 20 0 BFADHN CCN(Cn1cc(Cl)cn1)[C@@H](C)C(C)C ZINC000125341435 590161684 /nfs/dbraw/zinc/16/16/84/590161684.db2.gz SQQSELNCDUACMN-JTQLQIEISA-N 0 3 229.755 2.860 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2ccnc(Cl)c2)C1 ZINC000125699810 590182001 /nfs/dbraw/zinc/18/20/01/590182001.db2.gz XGSHHRIJONYANB-UWVGGRQHSA-N 0 3 224.735 2.965 20 0 BFADHN Cc1nn(C)c(Cl)c1CN1C[C@H](C)C[C@@H]1C ZINC000125823193 590191587 /nfs/dbraw/zinc/19/15/87/590191587.db2.gz HWFMLRBBFBPVHC-BDAKNGLRSA-N 0 3 241.766 2.612 20 0 BFADHN CCC[C@@H](CC)C(=O)Nc1cccc(CN)c1 ZINC000876505077 590204469 /nfs/dbraw/zinc/20/44/69/590204469.db2.gz KEJORZXEEFQYKY-GFCCVEGCSA-N 0 3 234.343 2.910 20 0 BFADHN CCCN(CC)Cc1cc2c(cc[nH]c2=O)o1 ZINC000876511433 590205761 /nfs/dbraw/zinc/20/57/61/590205761.db2.gz ITINNFKXVDOWHJ-UHFFFAOYSA-N 0 3 234.299 2.765 20 0 BFADHN CCc1cccc(NC(=O)[C@H]2C[C@H](C)CCN2)c1 ZINC000126021416 590214926 /nfs/dbraw/zinc/21/49/26/590214926.db2.gz BMZCPEWTTHJLSX-BXUZGUMPSA-N 0 3 246.354 2.576 20 0 BFADHN CCN(Cc1ccnn1CC1CCC1)C(C)C ZINC000876561690 590215185 /nfs/dbraw/zinc/21/51/85/590215185.db2.gz QJQSPSFWLUXZDF-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN CCN(Cc1cc2c(cc[nH]c2=O)o1)C(C)C ZINC000876561739 590216041 /nfs/dbraw/zinc/21/60/41/590216041.db2.gz SCJZGGKDBYKVDW-UHFFFAOYSA-N 0 3 234.299 2.764 20 0 BFADHN CC(C)CN(C)Cc1cc2c(cc[nH]c2=O)o1 ZINC000876564077 590216273 /nfs/dbraw/zinc/21/62/73/590216273.db2.gz OSHKCAPMJBVSKJ-UHFFFAOYSA-N 0 3 234.299 2.621 20 0 BFADHN CC[C@@](C)(CN(C)Cc1c(C)noc1C)OC ZINC000876611568 590221947 /nfs/dbraw/zinc/22/19/47/590221947.db2.gz KCHUXHYIHIKYNC-ZDUSSCGKSA-N 0 3 240.347 2.538 20 0 BFADHN CCN[C@H](C)C(=O)N(C)C1CCCCCCC1 ZINC000876635282 590224440 /nfs/dbraw/zinc/22/44/40/590224440.db2.gz SDJZFZKQUMZTJY-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@@H]1CN(Cc2ccns2)C(C)(C)C1 ZINC000876745148 590235996 /nfs/dbraw/zinc/23/59/96/590235996.db2.gz FMCNKLLRTBTJAB-VIFPVBQESA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@H]1CCN(Cc2cc3c(cc[nH]c3=O)o2)C1 ZINC000876807083 590244787 /nfs/dbraw/zinc/24/47/87/590244787.db2.gz YNHDQTMWAIDWMH-JTQLQIEISA-N 0 3 246.310 2.765 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cc2c(cc[nH]c2=O)o1 ZINC000876807391 590245023 /nfs/dbraw/zinc/24/50/23/590245023.db2.gz CMXZJOFJRNCJLJ-NXEZZACHSA-N 0 3 246.310 2.906 20 0 BFADHN CCC(CC)NCc1cc(OC)cnc1F ZINC000876885935 590253706 /nfs/dbraw/zinc/25/37/06/590253706.db2.gz PONKQGOVYBFWNU-UHFFFAOYSA-N 0 3 226.295 2.508 20 0 BFADHN CC(C)CNCc1nc(Cl)cs1 ZINC000876883946 590253791 /nfs/dbraw/zinc/25/37/91/590253791.db2.gz COQKLRQKOXKUDA-UHFFFAOYSA-N 0 3 204.726 2.542 20 0 BFADHN COc1cnc(F)c(CNC2(C)CCCC2)c1 ZINC000876899262 590255423 /nfs/dbraw/zinc/25/54/23/590255423.db2.gz VKARGLRTTIURRM-UHFFFAOYSA-N 0 3 238.306 2.652 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ccc(Cl)nn1 ZINC000876970075 590262494 /nfs/dbraw/zinc/26/24/94/590262494.db2.gz ILRWHAFZNRVFIN-BDAKNGLRSA-N 0 3 227.739 2.654 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cc(OC)cnc1F ZINC000876970094 590262666 /nfs/dbraw/zinc/26/26/66/590262666.db2.gz IPOLZXSNZOALNF-VHSXEESVSA-N 0 3 240.322 2.754 20 0 BFADHN COc1cnc(F)c(CNC(C)(C)C(C)C)c1 ZINC000877008915 590268904 /nfs/dbraw/zinc/26/89/04/590268904.db2.gz CGJSYCSMUPAQLI-UHFFFAOYSA-N 0 3 240.322 2.754 20 0 BFADHN CCc1cc(CNCCC(C)(C)SC)[nH]n1 ZINC000877033550 590271940 /nfs/dbraw/zinc/27/19/40/590271940.db2.gz FTFRWAKWKHPVOC-UHFFFAOYSA-N 0 3 241.404 2.593 20 0 BFADHN CC1(C)CCC[C@@H]1NCc1ccc(Cl)nn1 ZINC000877078288 590279175 /nfs/dbraw/zinc/27/91/75/590279175.db2.gz KZGQJTJICJDSIZ-JTQLQIEISA-N 0 3 239.750 2.798 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1ccc(Cl)nn1 ZINC000877085030 590280386 /nfs/dbraw/zinc/28/03/86/590280386.db2.gz LHBITARMEPHZGU-GXSJLCMTSA-N 0 3 239.750 2.798 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1ccc(Cl)nn1 ZINC000877085032 590280562 /nfs/dbraw/zinc/28/05/62/590280562.db2.gz LHBITARMEPHZGU-KOLCDFICSA-N 0 3 239.750 2.798 20 0 BFADHN CC(C)C[C@@H](C)NCc1ccc(Cl)nn1 ZINC000877110867 590287524 /nfs/dbraw/zinc/28/75/24/590287524.db2.gz AVTRUHAORFRPKR-SECBINFHSA-N 0 3 227.739 2.654 20 0 BFADHN CC[C@H](C)[C@H](CN[C@H](C)c1ccc[nH]1)OC ZINC000877124609 590289351 /nfs/dbraw/zinc/28/93/51/590289351.db2.gz OXKRZGDAGLCMIX-LOWVWBTDSA-N 0 3 224.348 2.726 20 0 BFADHN Clc1ccc(CN[C@H]2CC23CCCC3)nn1 ZINC000877476719 590336027 /nfs/dbraw/zinc/33/60/27/590336027.db2.gz LPQAWEGPJHZQSK-JTQLQIEISA-N 0 3 237.734 2.552 20 0 BFADHN Clc1csc(CNC[C@H]2CCSC2)n1 ZINC000877485894 590338834 /nfs/dbraw/zinc/33/88/34/590338834.db2.gz HHCXSWCUYSVLIT-SSDOTTSWSA-N 0 3 248.804 2.639 20 0 BFADHN Cc1scc(CN2CC3(CC3)C2)c1C ZINC000877555995 590356789 /nfs/dbraw/zinc/35/67/89/590356789.db2.gz IMBCCZIUEKEWQA-UHFFFAOYSA-N 0 3 207.342 2.961 20 0 BFADHN Cc1cc(CNC[C@@H]2CC2(Cl)Cl)ccn1 ZINC000877558298 590358425 /nfs/dbraw/zinc/35/84/25/590358425.db2.gz CHZOVDUMCGSBEH-JTQLQIEISA-N 0 3 245.153 2.673 20 0 BFADHN CN(C)c1ccc(F)cc1CN1CC[C@H]1C1CC1 ZINC000877560953 590360129 /nfs/dbraw/zinc/36/01/29/590360129.db2.gz ACCXXODFJFHIEE-HNNXBMFYSA-N 0 3 248.345 2.876 20 0 BFADHN CC1(CN2CC(C(F)F)C2)CCCC1 ZINC000877580749 590365469 /nfs/dbraw/zinc/36/54/69/590365469.db2.gz OHSYAOYTAFWUDD-UHFFFAOYSA-N 0 3 203.276 2.764 20 0 BFADHN CSC(C)(C)CCN[C@H](C)c1ccn(C)n1 ZINC000877585356 590367448 /nfs/dbraw/zinc/36/74/48/590367448.db2.gz FDQHEBQKIRLHAI-SNVBAGLBSA-N 0 3 241.404 2.602 20 0 BFADHN C[C@@H]1C[C@@H](NCc2noc3ccccc32)CS1 ZINC000877620850 590375868 /nfs/dbraw/zinc/37/58/68/590375868.db2.gz KJIJUHQQTIPQHQ-NXEZZACHSA-N 0 3 248.351 2.811 20 0 BFADHN Cn1c(CN[C@@H]2C=CCC2)cc2ccccc21 ZINC000877624901 590376773 /nfs/dbraw/zinc/37/67/73/590376773.db2.gz UNODZXCDLNUGBF-CYBMUJFWSA-N 0 3 226.323 2.987 20 0 BFADHN C[C@@H]1C[C@@H](NCCC(C)(C)C2CC2)c2ncnn21 ZINC000877623633 590376850 /nfs/dbraw/zinc/37/68/50/590376850.db2.gz VIUPPUUMHAZLBL-ZYHUDNBSSA-N 0 3 248.374 2.700 20 0 BFADHN CC[C@H](C)CCNCc1ccc(Cl)nn1 ZINC000877624591 590377113 /nfs/dbraw/zinc/37/71/13/590377113.db2.gz RCRIPPOPEJWXQW-VIFPVBQESA-N 0 3 227.739 2.656 20 0 BFADHN c1c2ccncc2sc1CNC1CSC1 ZINC000877634010 590378608 /nfs/dbraw/zinc/37/86/08/590378608.db2.gz JTHVZVDMDDDBDS-UHFFFAOYSA-N 0 3 236.365 2.501 20 0 BFADHN CCOc1ccc(CNC2CSC2)c(C)c1 ZINC000877634359 590379919 /nfs/dbraw/zinc/37/99/19/590379919.db2.gz NJMMEXWYQIFJLR-UHFFFAOYSA-N 0 3 237.368 2.599 20 0 BFADHN C/C(=C/c1ccccc1)CNC1CSC1 ZINC000877635328 590381050 /nfs/dbraw/zinc/38/10/50/590381050.db2.gz MOUJCNJRQNTNMC-XFFZJAGNSA-N 0 3 219.353 2.795 20 0 BFADHN CC1(CN2CCO[C@H](CCF)C2)CCCC1 ZINC000877657669 590385653 /nfs/dbraw/zinc/38/56/53/590385653.db2.gz MXZQNILLWHOIJL-GFCCVEGCSA-N 0 3 229.339 2.627 20 0 BFADHN c1ccc(C2CN(C[C@@H]3CCC=CO3)C2)cc1 ZINC000877666145 590388623 /nfs/dbraw/zinc/38/86/23/590388623.db2.gz XRQXEZHKZICUMH-HNNXBMFYSA-N 0 3 229.323 2.779 20 0 BFADHN CC[C@](C)(CN[C@@H]1CCCC[C@@H]1F)OC ZINC000877681769 590390333 /nfs/dbraw/zinc/39/03/33/590390333.db2.gz CGCJRRAJWLLPQY-QJPTWQEYSA-N 0 3 217.328 2.672 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H]1CCCC[C@@H]1F ZINC000877682434 590391315 /nfs/dbraw/zinc/39/13/15/590391315.db2.gz LXPVRHAURXPXHL-LNFKQOIKSA-N 0 3 217.353 2.751 20 0 BFADHN Cc1c(CN2C[C@@H]3CC=CC[C@@H]3C2)ccnc1F ZINC000877718083 590399527 /nfs/dbraw/zinc/39/95/27/590399527.db2.gz DLMUHJIKNJYKPN-OKILXGFUSA-N 0 3 246.329 2.927 20 0 BFADHN Cc1ccncc1CCCN1CC(C)(C)C1 ZINC000877718094 590399658 /nfs/dbraw/zinc/39/96/58/590399658.db2.gz WZWNQPTXOHWZRC-UHFFFAOYSA-N 0 3 218.344 2.664 20 0 BFADHN c1c(CN2C[C@@H]3CC=CC[C@@H]3C2)onc1C1CC1 ZINC000877718535 590399753 /nfs/dbraw/zinc/39/97/53/590399753.db2.gz LYFYMJHZGZACKI-BETUJISGSA-N 0 3 244.338 2.950 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3CSC[C@H]3C2)o1 ZINC000877737068 590405161 /nfs/dbraw/zinc/40/51/61/590405161.db2.gz CIPXYUXJKTWEDZ-VXGBXAGGSA-N 0 3 237.368 2.773 20 0 BFADHN Cc1cc(CN2CC[C@H]3CSC[C@@H]3C2)ccn1 ZINC000877740149 590406913 /nfs/dbraw/zinc/40/69/13/590406913.db2.gz VLFUKNJUXDLWKE-KBPBESRZSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1sccc1CN1C[C@@H](O)CC(C)(C)C1 ZINC000877753172 590416745 /nfs/dbraw/zinc/41/67/45/590416745.db2.gz WSZBLYWPCGEDRO-LBPRGKRZSA-N 0 3 239.384 2.649 20 0 BFADHN Cc1cn[nH]c1CN1CC([C@H]2CCC[C@H](C)C2)C1 ZINC000877764290 590420494 /nfs/dbraw/zinc/42/04/94/590420494.db2.gz ZFKIUTFDGGOVSU-AAEUAGOBSA-N 0 3 247.386 2.976 20 0 BFADHN Cc1ccoc1CN1CCC[C@@H](C(C)(C)O)C1 ZINC000877797272 590425188 /nfs/dbraw/zinc/42/51/88/590425188.db2.gz SEVBLKUQFTZDGS-GFCCVEGCSA-N 0 3 237.343 2.571 20 0 BFADHN CCN(CC[C@H](O)C(C)C)Cc1cccs1 ZINC000877905066 590444808 /nfs/dbraw/zinc/44/48/08/590444808.db2.gz XGWFGAGUSNLZHQ-ZDUSSCGKSA-N 0 3 241.400 2.977 20 0 BFADHN C=C/C=C\CCN1CCN(C2CCCC2)CC1 ZINC000877952962 590455491 /nfs/dbraw/zinc/45/54/91/590455491.db2.gz CUUUWPPLURLRNA-ARJAWSKDSA-N 0 3 234.387 2.679 20 0 BFADHN CC(C)(C)SCCCN1CCSCC1 ZINC000877967179 590461133 /nfs/dbraw/zinc/46/11/33/590461133.db2.gz IAIIUGRWDCTGTB-UHFFFAOYSA-N 0 3 233.446 2.957 20 0 BFADHN CO[C@H]1C[C@@H](CN(Cc2ccc(C)o2)C2CC2)C1 ZINC000877970364 590461632 /nfs/dbraw/zinc/46/16/32/590461632.db2.gz MDYDQNLJFWMPDN-JNSHFYNHSA-N 0 3 249.354 2.977 20 0 BFADHN Cc1noc(C2CCN(CCCC3CC3)CC2)n1 ZINC000877990728 590467693 /nfs/dbraw/zinc/46/76/93/590467693.db2.gz KACZGOISSFKUBC-UHFFFAOYSA-N 0 3 249.358 2.748 20 0 BFADHN C=C/C=C\CCN1CCC[C@@H](c2nc(C)no2)C1 ZINC000877992538 590468081 /nfs/dbraw/zinc/46/80/81/590468081.db2.gz ZLIXUPDGRCJSMB-DSYXLKISSA-N 0 3 247.342 2.690 20 0 BFADHN CCN(Cc1nocc1C)[C@@H](C)C(C)C ZINC000878001000 590469466 /nfs/dbraw/zinc/46/94/66/590469466.db2.gz PDGSQOQCICDZPK-NSHDSACASA-N 0 3 210.321 2.849 20 0 BFADHN Cc1conc1CN1CC[C@H](c2ccccc2)C1 ZINC000878015218 590472046 /nfs/dbraw/zinc/47/20/46/590472046.db2.gz ZXHYJCIHNISWFA-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN Cc1ccc(CN(C)CC[C@H](O)C(C)C)s1 ZINC000878044654 590479879 /nfs/dbraw/zinc/47/98/79/590479879.db2.gz PIBHUMOZDKCAGB-ZDUSSCGKSA-N 0 3 241.400 2.895 20 0 BFADHN Cc1conc1CN1CCCC[C@@H]1C(C)C ZINC000878113092 590497578 /nfs/dbraw/zinc/49/75/78/590497578.db2.gz SMCXHRGCMGDVQH-CYBMUJFWSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@H]1CSCCN1C[C@H]1CCC(C)(C)CO1 ZINC000878120403 590499337 /nfs/dbraw/zinc/49/93/37/590499337.db2.gz HPTXUAOLNMIFNO-NWDGAFQWSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1conc1CN1C[C@@H](C)CCC[C@H]1C ZINC000878128276 590503208 /nfs/dbraw/zinc/50/32/08/590503208.db2.gz AKCNKCXIFFFSBP-CMPLNLGQSA-N 0 3 222.332 2.994 20 0 BFADHN CO[C@H]1C[C@@H](CN2CC[C@H]2c2cccc(F)c2)C1 ZINC000878166366 590512985 /nfs/dbraw/zinc/51/29/85/590512985.db2.gz SOVIXQKQJIMCOB-GLQYFDAESA-N 0 3 249.329 2.998 20 0 BFADHN CC(C)[C@H](O)CCN1CCC[C@H]1c1ccccn1 ZINC000878177472 590515763 /nfs/dbraw/zinc/51/57/63/590515763.db2.gz WKPUDNQSKCDUMX-LSDHHAIUSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1CC1CC2(CCC2)C1 ZINC000878197197 590522832 /nfs/dbraw/zinc/52/28/32/590522832.db2.gz KTECGSAHVRLQGJ-NEPJUHHUSA-N 0 3 223.360 2.676 20 0 BFADHN CC(C)[C@H](O)CCN1CCC[C@@H]1c1ccc[nH]1 ZINC000878214611 590525118 /nfs/dbraw/zinc/52/51/18/590525118.db2.gz YUCCCLQVKBQWTM-ZIAGYGMSSA-N 0 3 236.359 2.559 20 0 BFADHN C[C@H]1COCCCN1CC[C@@H]1CCCC1(F)F ZINC000878286724 590534666 /nfs/dbraw/zinc/53/46/66/590534666.db2.gz RZTLLTWBETXSCQ-RYUDHWBXSA-N 0 3 247.329 2.923 20 0 BFADHN CC(C)(NCC1=CCCOC1)c1ccc(F)cc1 ZINC000127029159 590535141 /nfs/dbraw/zinc/53/51/41/590535141.db2.gz ZINFWLRLNKUHGG-UHFFFAOYSA-N 0 3 249.329 2.997 20 0 BFADHN C=C/C=C/CCN(C)Cc1ccc(OC)nc1 ZINC000878291009 590535391 /nfs/dbraw/zinc/53/53/91/590535391.db2.gz QIOIUOUVVKYHHN-AATRIKPKSA-N 0 3 232.327 2.654 20 0 BFADHN CN(CCCC1CC1)Cc1cccnc1 ZINC000878298802 590538030 /nfs/dbraw/zinc/53/80/30/590538030.db2.gz LXSLJNNDOJXZSJ-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CC1=CCN(C[C@H]2CCC(C)(C)CO2)CC1 ZINC000878301424 590538932 /nfs/dbraw/zinc/53/89/32/590538932.db2.gz WXIUXWKWYYBEDD-CYBMUJFWSA-N 0 3 223.360 2.844 20 0 BFADHN C[C@H](NC[C@@H]1CC2(CCC2)CO1)c1ccncc1 ZINC000878344438 590553404 /nfs/dbraw/zinc/55/34/04/590553404.db2.gz CRQQKWIEZVGCBE-JSGCOSHPSA-N 0 3 246.354 2.691 20 0 BFADHN C=C/C=C/CCN[C@H](CO)c1ccc(F)cc1 ZINC000878395402 590559570 /nfs/dbraw/zinc/55/95/70/590559570.db2.gz COEJTQWBLWTDOF-RDFMZFSFSA-N 0 3 235.302 2.581 20 0 BFADHN Cc1conc1CN1C[C@H](C)[C@@H]2CCCC[C@@H]21 ZINC000878399047 590559651 /nfs/dbraw/zinc/55/96/51/590559651.db2.gz IAUCHGCDRGOGCZ-JKOKRWQUSA-N 0 3 234.343 2.994 20 0 BFADHN C=C/C=C/CCN[C@@H](COC)c1ccc(C)o1 ZINC000878409038 590562328 /nfs/dbraw/zinc/56/23/28/590562328.db2.gz GMUGTLOKNKHJLD-GFUIURDCSA-N 0 3 235.327 2.997 20 0 BFADHN C[C@H](NC[C@@H]1CC2(CCC2)CO1)c1ccco1 ZINC000878414872 590562635 /nfs/dbraw/zinc/56/26/35/590562635.db2.gz OUAXINGMVFSBAE-RYUDHWBXSA-N 0 3 235.327 2.889 20 0 BFADHN C=C/C=C/CCN[C@@H](C)c1nnc2ccccn21 ZINC000878413715 590562677 /nfs/dbraw/zinc/56/26/77/590562677.db2.gz FTFIGRCHGSXZSB-ITKZLYELSA-N 0 3 242.326 2.512 20 0 BFADHN C=C/C=C/CCN1C[C@H](C)O[C@H](C)[C@@H]1C ZINC000878482447 590576943 /nfs/dbraw/zinc/57/69/43/590576943.db2.gz RFDURORJYGRAPZ-PDSHNHIRSA-N 0 3 209.333 2.616 20 0 BFADHN C=C/C=C/CCN1C[C@H](C)O[C@H](C)[C@H]1C ZINC000878482448 590577372 /nfs/dbraw/zinc/57/73/72/590577372.db2.gz RFDURORJYGRAPZ-UDCITMJMSA-N 0 3 209.333 2.616 20 0 BFADHN C=C/C=C\CCN1CCC[C@H]1c1cc[nH]n1 ZINC000878523552 590586201 /nfs/dbraw/zinc/58/62/01/590586201.db2.gz DTJPHAKRVOVURN-SCOBNMCVSA-N 0 3 217.316 2.679 20 0 BFADHN C=C/C=C/CCN1CCC[C@@H]1c1cc[nH]n1 ZINC000878523550 590586242 /nfs/dbraw/zinc/58/62/42/590586242.db2.gz DTJPHAKRVOVURN-ITDFMYJTSA-N 0 3 217.316 2.679 20 0 BFADHN C[C@@H]1C[C@@H](C)N1C[C@@H]1CCC(C)(C)CO1 ZINC000878534079 590588273 /nfs/dbraw/zinc/58/82/73/590588273.db2.gz WJUDUJJLMZAIQO-UTUOFQBUSA-N 0 3 211.349 2.674 20 0 BFADHN FC1(F)CCN(C[C@@H]2C[C@@H]3CCC[C@H]3O2)CC1 ZINC000878541708 590588984 /nfs/dbraw/zinc/58/89/84/590588984.db2.gz FVKSHGALODGATA-SDDRHHMPSA-N 0 3 245.313 2.675 20 0 BFADHN FC1(F)CCN(C[C@@H]2C[C@H]3CCC[C@H]3O2)CC1 ZINC000878541707 590589407 /nfs/dbraw/zinc/58/94/07/590589407.db2.gz FVKSHGALODGATA-GRYCIOLGSA-N 0 3 245.313 2.675 20 0 BFADHN Oc1ccc2c(c1)OCCN(CCCC1CC1)C2 ZINC000878608878 590595618 /nfs/dbraw/zinc/59/56/18/590595618.db2.gz GYDWMWBYPQOHSM-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN CC1(C)CO[C@H](CN2CCC[C@](C)(F)C2)C1 ZINC000878657575 590604092 /nfs/dbraw/zinc/60/40/92/590604092.db2.gz GNYHPFWCRBDMDZ-AAEUAGOBSA-N 0 3 229.339 2.626 20 0 BFADHN CC1(C)C[C@H](CN2CC[C@H](F)C2)C(C)(C)O1 ZINC000878664090 590605470 /nfs/dbraw/zinc/60/54/70/590605470.db2.gz XNCJUUFKVWTNCS-MNOVXSKESA-N 0 3 229.339 2.624 20 0 BFADHN C=C/C=C/CCN(C)Cc1ccc(C)cn1 ZINC000878669313 590606866 /nfs/dbraw/zinc/60/68/66/590606866.db2.gz YRVGYIYJQULLMD-AATRIKPKSA-N 0 3 216.328 2.954 20 0 BFADHN C=C/C=C/CCN1CCOC[C@@H]1C1CCC1 ZINC000878763095 590619959 /nfs/dbraw/zinc/61/99/59/590619959.db2.gz SDSNBHWPYYRDGY-RDFMZFSFSA-N 0 3 221.344 2.620 20 0 BFADHN CCN(CCSC)C[C@H]1CCC2(CCC2)O1 ZINC000878771505 590620455 /nfs/dbraw/zinc/62/04/55/590620455.db2.gz NORGENOCLUIRCN-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN C[C@@H]1C[C@@H](CN2CCC=C(Cl)C2)CCO1 ZINC000878792093 590623749 /nfs/dbraw/zinc/62/37/49/590623749.db2.gz UYFPSEYNIHSIAN-MNOVXSKESA-N 0 3 229.751 2.630 20 0 BFADHN FC(F)(F)OCCCN1CCC12CCCC2 ZINC000878800842 590624681 /nfs/dbraw/zinc/62/46/81/590624681.db2.gz BXVUPRIPXHSNPG-UHFFFAOYSA-N 0 3 237.265 2.931 20 0 BFADHN CC1(C)CCC[C@H](CN2C[C@H]3[C@@H](C2)C3(F)F)O1 ZINC000878812025 590626036 /nfs/dbraw/zinc/62/60/36/590626036.db2.gz LVJKWSIRSMBJQL-MXWKQRLJSA-N 0 3 245.313 2.531 20 0 BFADHN C[C@H](CN(C)Cc1c[nH]cn1)CC(C)(C)C ZINC000878885217 590640222 /nfs/dbraw/zinc/64/02/22/590640222.db2.gz ZONNYXSMLSMEHE-NSHDSACASA-N 0 3 223.364 2.914 20 0 BFADHN C[C@H](CN(C)Cc1cnc[nH]1)CC(C)(C)C ZINC000878885217 590640225 /nfs/dbraw/zinc/64/02/25/590640225.db2.gz ZONNYXSMLSMEHE-NSHDSACASA-N 0 3 223.364 2.914 20 0 BFADHN CN(CCCSC(C)(C)C)Cc1cnc[nH]1 ZINC000878885198 590640721 /nfs/dbraw/zinc/64/07/21/590640721.db2.gz YXGHRZPQIVZYCO-UHFFFAOYSA-N 0 3 241.404 2.763 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2nocc2C)C1 ZINC000878954692 590662266 /nfs/dbraw/zinc/66/22/66/590662266.db2.gz ZYJZZOQGHSELRW-VXGBXAGGSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@@H](CN1CCc2nccnc2C1)CC(C)(C)C ZINC000878992557 590672664 /nfs/dbraw/zinc/67/26/64/590672664.db2.gz PDAZYVRJSLPJLS-GFCCVEGCSA-N 0 3 247.386 2.907 20 0 BFADHN C=C/C=C\CCN1CCC(c2ccon2)CC1 ZINC000878994431 590672782 /nfs/dbraw/zinc/67/27/82/590672782.db2.gz QETBJWQEZBRHOW-ARJAWSKDSA-N 0 3 232.327 2.986 20 0 BFADHN C=C/C=C/CCN1Cc2c[nH]nc2CC(C)(C)C1 ZINC000879011814 590677159 /nfs/dbraw/zinc/67/71/59/590677159.db2.gz WMYFKMYSFUTCKQ-AATRIKPKSA-N 0 3 245.370 2.926 20 0 BFADHN CO[C@H]1CC[C@H](C)N(Cc2ccccc2F)C1 ZINC000879318473 590739026 /nfs/dbraw/zinc/73/90/26/590739026.db2.gz VDVNJWSRSICHMW-AAEUAGOBSA-N 0 3 237.318 2.825 20 0 BFADHN CO[C@@H]1CC[C@H](C)N(Cc2ccc(F)cc2)C1 ZINC000879320052 590740591 /nfs/dbraw/zinc/74/05/91/590740591.db2.gz YNOWJKCLPHZCPI-SMDDNHRTSA-N 0 3 237.318 2.825 20 0 BFADHN CO[C@H]1CC[C@H](C)N(CCOCCC(C)C)C1 ZINC000879322365 590741973 /nfs/dbraw/zinc/74/19/73/590741973.db2.gz VQXVXOUUUVDAOC-KBPBESRZSA-N 0 3 243.391 2.548 20 0 BFADHN CC1(F)CCN(C[C@@H]2CCC3(CCC3)O2)CC1 ZINC000879384204 590758403 /nfs/dbraw/zinc/75/84/03/590758403.db2.gz WMWKXYDUUFLXKC-LBPRGKRZSA-N 0 3 241.350 2.912 20 0 BFADHN CC(C)(NC/C=C/Cl)[C@H]1CCCCO1 ZINC000879410402 590763783 /nfs/dbraw/zinc/76/37/83/590763783.db2.gz TXDTUJCMXYBRDO-BREXMAIKSA-N 0 3 217.740 2.676 20 0 BFADHN CN(CCC(C)(C)O)Cc1ccc2cc[nH]c2c1 ZINC000879727738 590857388 /nfs/dbraw/zinc/85/73/88/590857388.db2.gz IDPCKHDPHFCSCW-UHFFFAOYSA-N 0 3 246.354 2.761 20 0 BFADHN CC[C@@H](CN1CCC[C@H]1c1cncc(C)c1)OC ZINC000879752146 590862629 /nfs/dbraw/zinc/86/26/29/590862629.db2.gz PYDAPDQOULNDHY-GJZGRUSLSA-N 0 3 248.370 2.952 20 0 BFADHN CSCCN1CC[C@H](c2nc(C)cs2)C1 ZINC000880010683 590908544 /nfs/dbraw/zinc/90/85/44/590908544.db2.gz XDBMAFOEYQBVGC-JTQLQIEISA-N 0 3 242.413 2.604 20 0 BFADHN CCCCCN1CCO[C@H](C(F)(F)F)CC1 ZINC000880046725 590913754 /nfs/dbraw/zinc/91/37/54/590913754.db2.gz BGRQNYUXHDVMOB-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN C=C/C=C/CCN1CCO[C@H](C(F)(F)F)CC1 ZINC000880051408 590914970 /nfs/dbraw/zinc/91/49/70/590914970.db2.gz LTSGRUPWDCSURI-UFFNRZRYSA-N 0 3 249.276 2.772 20 0 BFADHN CCC1(CC)CCCN1Cc1cn(C)cn1 ZINC000880095055 590924335 /nfs/dbraw/zinc/92/43/35/590924335.db2.gz USQRIJJRPTVSOT-UHFFFAOYSA-N 0 3 221.348 2.575 20 0 BFADHN CCC1(CC)CCCN1Cc1ncccn1 ZINC000880094276 590925268 /nfs/dbraw/zinc/92/52/68/590925268.db2.gz ZMSWIUCHPVEACX-UHFFFAOYSA-N 0 3 219.332 2.631 20 0 BFADHN Cc1ccc(CN2CCC[C@H](CF)C2)c(C)n1 ZINC000880236949 590967850 /nfs/dbraw/zinc/96/78/50/590967850.db2.gz MPXUPZPZXFCTRR-CYBMUJFWSA-N 0 3 236.334 2.880 20 0 BFADHN Cc1nsc(C)c1CN1CCC[C@H](CF)C1 ZINC000880237964 590969839 /nfs/dbraw/zinc/96/98/39/590969839.db2.gz LRDSFIJVERDXJM-LLVKDONJSA-N 0 3 242.363 2.941 20 0 BFADHN CCc1ccc(CN2CCC[C@@H](CF)C2)nc1 ZINC000880237706 590969970 /nfs/dbraw/zinc/96/99/70/590969970.db2.gz FOCVZPQKRUOVIF-ZDUSSCGKSA-N 0 3 236.334 2.826 20 0 BFADHN Cc1cc(C)c(CN2CCC(F)CC2)c(C)n1 ZINC000880239032 590971147 /nfs/dbraw/zinc/97/11/47/590971147.db2.gz RWONTSAPIRTSQZ-UHFFFAOYSA-N 0 3 236.334 2.941 20 0 BFADHN CC1(C)CC[C@@H](CN2CCC(F)CC2)OC1 ZINC000880238650 590971161 /nfs/dbraw/zinc/97/11/61/590971161.db2.gz KBBZUFSBJVSVTG-LBPRGKRZSA-N 0 3 229.339 2.626 20 0 BFADHN Cc1cc(CN2CCC(F)CC2)cs1 ZINC000880239817 590973119 /nfs/dbraw/zinc/97/31/19/590973119.db2.gz SCTZXEOMURFMLQ-UHFFFAOYSA-N 0 3 213.321 2.990 20 0 BFADHN CC(C)SCCN1CCC(F)CC1 ZINC000880239990 590973883 /nfs/dbraw/zinc/97/38/83/590973883.db2.gz VUOBBKVAHVJKPL-UHFFFAOYSA-N 0 3 205.342 2.562 20 0 BFADHN FC[C@@H]1CCN(CC[C@@H]2CC2(Cl)Cl)C1 ZINC000880300810 590984431 /nfs/dbraw/zinc/98/44/31/590984431.db2.gz XCQWKTPDYGZMIX-DTWKUNHWSA-N 0 3 240.149 2.862 20 0 BFADHN FC[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC000880291307 590985527 /nfs/dbraw/zinc/98/55/27/590985527.db2.gz LABKXXOHQURCMC-NSHDSACASA-N 0 3 211.255 2.617 20 0 BFADHN C[C@@H]1CCCC[C@@H]1OCCN1CC[C@@H](CF)C1 ZINC000880295998 590990534 /nfs/dbraw/zinc/99/05/34/590990534.db2.gz RHVNICRRGSCDQY-RDBSUJKOSA-N 0 3 243.366 2.873 20 0 BFADHN CO[C@]1(C(F)(F)F)CCN([C@@H]2C=CCCC2)C1 ZINC000880303911 590999125 /nfs/dbraw/zinc/99/91/25/590999125.db2.gz STPJRKYOPDOSCK-GHMZBOCLSA-N 0 3 249.276 2.748 20 0 BFADHN CC(C)=CCN1CC[C@@H](c2nccs2)C1 ZINC000880314880 591002485 /nfs/dbraw/zinc/00/24/85/591002485.db2.gz GUUGTWVPPQSDNS-LLVKDONJSA-N 0 3 222.357 2.899 20 0 BFADHN CC(=O)CN1CCC[C@]1(C)c1ccccc1 ZINC000880371376 591013529 /nfs/dbraw/zinc/01/35/29/591013529.db2.gz GMGQNFYSGBQZTM-CQSZACIVSA-N 0 3 217.312 2.587 20 0 BFADHN CO[C@@H](C)CN1CCc2ccsc2[C@H]1C ZINC000880377381 591015007 /nfs/dbraw/zinc/01/50/07/591015007.db2.gz UHKCYDDYELMBSX-VHSXEESVSA-N 0 3 225.357 2.702 20 0 BFADHN FCCCN[C@@H](Cn1ccnc1)c1ccccc1 ZINC000880568508 591098028 /nfs/dbraw/zinc/09/80/28/591098028.db2.gz ASQKGRQFFUFPBI-AWEZNQCLSA-N 0 3 247.317 2.574 20 0 BFADHN FCCCN[C@H](Cn1ccnc1)c1ccccc1 ZINC000880568509 591098738 /nfs/dbraw/zinc/09/87/38/591098738.db2.gz ASQKGRQFFUFPBI-CQSZACIVSA-N 0 3 247.317 2.574 20 0 BFADHN C[C@H]1CCC[C@H](CN2CCc3ncncc3C2)C1 ZINC000880597140 591106329 /nfs/dbraw/zinc/10/63/29/591106329.db2.gz OWZPOHOVUKTDAI-STQMWFEESA-N 0 3 245.370 2.661 20 0 BFADHN C[C@H]1CCC[C@@H](CN2CCc3ncncc3C2)C1 ZINC000880597139 591107063 /nfs/dbraw/zinc/10/70/63/591107063.db2.gz OWZPOHOVUKTDAI-QWHCGFSZSA-N 0 3 245.370 2.661 20 0 BFADHN C[C@H](N)c1cn(CCC2CCCCCC2)nn1 ZINC000881354514 591195432 /nfs/dbraw/zinc/19/54/32/591195432.db2.gz RLDZAWNFNYJASK-NSHDSACASA-N 0 3 236.363 2.658 20 0 BFADHN COCc1ccc(CNCCC2CCC2)o1 ZINC000129292253 591275994 /nfs/dbraw/zinc/27/59/94/591275994.db2.gz FTJNUTSEDMNRNJ-UHFFFAOYSA-N 0 3 223.316 2.706 20 0 BFADHN CN(C)CCSC[C@H]1CCCC(C)(C)O1 ZINC000882138395 591305031 /nfs/dbraw/zinc/30/50/31/591305031.db2.gz GWVCJYQIYQFOPI-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN OCc1cc(NCC2(CC3CC3)CC2)ccn1 ZINC000882773587 591435233 /nfs/dbraw/zinc/43/52/33/591435233.db2.gz URLDOKFMQMPTGT-UHFFFAOYSA-N 0 3 232.327 2.566 20 0 BFADHN CCc1cc(N2CCC(F)CC2)ccn1 ZINC000882805095 591445225 /nfs/dbraw/zinc/44/52/25/591445225.db2.gz MWKFENIBBBIQEK-UHFFFAOYSA-N 0 3 208.280 2.582 20 0 BFADHN Cc1n[nH]cc1CNCc1c(C)cccc1C ZINC000130399406 591462620 /nfs/dbraw/zinc/46/26/20/591462620.db2.gz ICWMVXLFVKNVPC-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN CN(CCc1ccccc1F)Cc1ccncc1 ZINC000130435903 591467291 /nfs/dbraw/zinc/46/72/91/591467291.db2.gz HTZKWLRKTGSODF-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN OCc1cc(N[C@@H]2C[C@@H]3CCCC[C@H]23)ccn1 ZINC000882907550 591473428 /nfs/dbraw/zinc/47/34/28/591473428.db2.gz GBYUNXUONATRPL-LEWSCRJBSA-N 0 3 232.327 2.565 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@H](C)C2CC2)n1 ZINC000882920614 591474764 /nfs/dbraw/zinc/47/47/64/591474764.db2.gz MVQSSKFXSYSFNO-JTQLQIEISA-N 0 3 221.348 2.669 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H](C)C2(C)CC2)n1 ZINC000882936600 591478063 /nfs/dbraw/zinc/47/80/63/591478063.db2.gz YSPQGWCMDWIGBQ-JTQLQIEISA-N 0 3 221.348 2.811 20 0 BFADHN CC(C)c1ncc(CNC[C@@H]2CCCO2)s1 ZINC000130636189 591490203 /nfs/dbraw/zinc/49/02/03/591490203.db2.gz ISLHTTRHWNLIIL-JTQLQIEISA-N 0 3 240.372 2.535 20 0 BFADHN Cc1cc(OCC[C@H](O)C(C)C)c(C)c(C)n1 ZINC000882992641 591497982 /nfs/dbraw/zinc/49/79/82/591497982.db2.gz ANPDHEPNWRSDMJ-ZDUSSCGKSA-N 0 3 237.343 2.793 20 0 BFADHN CSCC[C@@H](C)NCc1nc(C(C)C)c[nH]1 ZINC000883015629 591514215 /nfs/dbraw/zinc/51/42/15/591514215.db2.gz XIGRGHMKEAECRV-SNVBAGLBSA-N 0 3 241.404 2.764 20 0 BFADHN CC[C@H](NC[C@H](C)O)c1sccc1Cl ZINC000883163607 591557448 /nfs/dbraw/zinc/55/74/48/591557448.db2.gz FTQCLHQBAQCCIW-CBAPKCEASA-N 0 3 233.764 2.823 20 0 BFADHN CC(C)=CCCNCc1nc(C(C)C)c[nH]1 ZINC000883101276 591541169 /nfs/dbraw/zinc/54/11/69/591541169.db2.gz BTXNFYWRYFERFS-UHFFFAOYSA-N 0 3 221.348 2.979 20 0 BFADHN CC(C)c1c[nH]c(CNCCC2(F)CCC2)n1 ZINC000883119702 591543269 /nfs/dbraw/zinc/54/32/69/591543269.db2.gz IBIPJZAMIOEORK-UHFFFAOYSA-N 0 3 239.338 2.905 20 0 BFADHN CC/C=C\CNCc1cccc2c1CCOC2 ZINC000883133031 591546808 /nfs/dbraw/zinc/54/68/08/591546808.db2.gz MVVUGRAHPHGZPF-ARJAWSKDSA-N 0 3 231.339 2.815 20 0 BFADHN Cc1ncc(CCN[C@@H](C)c2ccoc2)s1 ZINC000131099843 591552187 /nfs/dbraw/zinc/55/21/87/591552187.db2.gz VIMINFPVJXWVDG-VIFPVBQESA-N 0 3 236.340 2.938 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@@H](C)CC(F)F)n1 ZINC000883152249 591554934 /nfs/dbraw/zinc/55/49/34/591554934.db2.gz PCZGTWLDKVZIOM-VIFPVBQESA-N 0 3 245.317 2.914 20 0 BFADHN CC[C@@H](NC[C@H](C)O)c1sccc1Cl ZINC000883163608 591557200 /nfs/dbraw/zinc/55/72/00/591557200.db2.gz FTQCLHQBAQCCIW-IONNQARKSA-N 0 3 233.764 2.823 20 0 BFADHN C1=C(CNCc2cccc3c2CCOC3)CCC1 ZINC000883180241 591563989 /nfs/dbraw/zinc/56/39/89/591563989.db2.gz XRAYSUVQZHGYCO-UHFFFAOYSA-N 0 3 243.350 2.959 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@@H]2CCCC3(CC3)C2)[n-]1 ZINC000883209026 591574522 /nfs/dbraw/zinc/57/45/22/591574522.db2.gz UBMAYECUJDEMAW-GHMZBOCLSA-N 0 3 248.374 2.741 20 0 BFADHN CCc1nnc([C@@H](C)N[C@@H]2CCCC3(CC3)C2)[nH]1 ZINC000883209026 591574526 /nfs/dbraw/zinc/57/45/26/591574526.db2.gz UBMAYECUJDEMAW-GHMZBOCLSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCC3(CC3)C2)c2ncnn21 ZINC000883234612 591581435 /nfs/dbraw/zinc/58/14/35/591581435.db2.gz IQIPMEVLGMMJBZ-WOPDTQHZSA-N 0 3 246.358 2.596 20 0 BFADHN CCSc1ccc(CN[C@H]2CCOC2)cc1 ZINC000883236063 591582261 /nfs/dbraw/zinc/58/22/61/591582261.db2.gz QDDATEHFKPOULU-LBPRGKRZSA-N 0 3 237.368 2.677 20 0 BFADHN C1=C[C@H](CNCc2ccc3c(n2)CCC3)CC1 ZINC000883236858 591582691 /nfs/dbraw/zinc/58/26/91/591582691.db2.gz LZHBPZXFYPVSMF-LBPRGKRZSA-N 0 3 228.339 2.626 20 0 BFADHN CCc1nocc1CNCC1(CC2CC2)CC1 ZINC000883248711 591589163 /nfs/dbraw/zinc/58/91/63/591589163.db2.gz CVWPSDVARJQNFX-UHFFFAOYSA-N 0 3 234.343 2.907 20 0 BFADHN CC1(CNCc2ccncc2F)CC(F)(F)C1 ZINC000883250917 591590747 /nfs/dbraw/zinc/59/07/47/591590747.db2.gz VFGQQOSYQVLQBN-UHFFFAOYSA-N 0 3 244.260 2.746 20 0 BFADHN CC1(C)C[C@@]1(C)CNCc1ccnn1CC1CC1 ZINC000883252646 591592356 /nfs/dbraw/zinc/59/23/56/591592356.db2.gz RJLOZCWGJRKDBA-HNNXBMFYSA-N 0 3 247.386 2.819 20 0 BFADHN CC1(C)C[C@]1(C)CNCc1cnc(C2CC2)nc1 ZINC000883252343 591592524 /nfs/dbraw/zinc/59/25/24/591592524.db2.gz DKNLEBWIBBHMBD-OAHLLOKOSA-N 0 3 245.370 2.880 20 0 BFADHN CCC[C@@H](C)CCNCc1ncc(Cl)n1C ZINC000883251546 591592754 /nfs/dbraw/zinc/59/27/54/591592754.db2.gz TYYJCZZNVLYQET-SNVBAGLBSA-N 0 3 243.782 2.989 20 0 BFADHN CCC[C@H](C)CCN[C@@H]1CCn2ccnc21 ZINC000883257660 591595382 /nfs/dbraw/zinc/59/53/82/591595382.db2.gz HOIYVZCUILQLQH-NWDGAFQWSA-N 0 3 221.348 2.744 20 0 BFADHN CCC[C@@H](C)CCN[C@H](C)c1ccc(=O)[nH]n1 ZINC000883258348 591596647 /nfs/dbraw/zinc/59/66/47/591596647.db2.gz XRVZIPCAYDSQEA-GHMZBOCLSA-N 0 3 237.347 2.659 20 0 BFADHN C=C/C=C\CCNCc1ccc2c(n1)CCC2 ZINC000883259955 591597103 /nfs/dbraw/zinc/59/71/03/591597103.db2.gz FZDIYVDWRMERSB-ARJAWSKDSA-N 0 3 228.339 2.792 20 0 BFADHN C[C@H](NCC(C)(C)CC(F)F)c1ncc[nH]1 ZINC000883259200 591597611 /nfs/dbraw/zinc/59/76/11/591597611.db2.gz FTQZNEWHNSBWMV-QMMMGPOBSA-N 0 3 231.290 2.742 20 0 BFADHN C=C/C=C\CCNCc1cncc(OCC)c1 ZINC000883259495 591597853 /nfs/dbraw/zinc/59/78/53/591597853.db2.gz OLEWKGWOIQLFAQ-WAYWQWQTSA-N 0 3 232.327 2.702 20 0 BFADHN C=C/C=C/CCNCc1ccnn1CC(C)C ZINC000883260500 591598532 /nfs/dbraw/zinc/59/85/32/591598532.db2.gz XNKALDBFKQPYHQ-AATRIKPKSA-N 0 3 233.359 2.761 20 0 BFADHN Cn1ccnc1[C@H](NC[C@@]1(C)CC1(C)C)C1CC1 ZINC000883261226 591598922 /nfs/dbraw/zinc/59/89/22/591598922.db2.gz VHMFWCJLSGSJMZ-IUODEOHRSA-N 0 3 247.386 2.897 20 0 BFADHN CC[C@@H](NC[C@@]1(C)CC1(C)C)c1nccn1C ZINC000883260830 591598968 /nfs/dbraw/zinc/59/89/68/591598968.db2.gz IEBRFPLHILOLNL-BXUZGUMPSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@@H](NCC(C)(C)CC(F)F)c1ccn(C)n1 ZINC000883260492 591599153 /nfs/dbraw/zinc/59/91/53/591599153.db2.gz XJHXTLVUYBFXGF-SECBINFHSA-N 0 3 245.317 2.752 20 0 BFADHN C=C/C=C\CCN[C@H](CC)c1nccn1C ZINC000883267377 591600598 /nfs/dbraw/zinc/60/05/98/591600598.db2.gz CGAWWEFRXIUECS-ZHRWSRJISA-N 0 3 219.332 2.593 20 0 BFADHN C[C@H](NCC[C@H]1CCCC1(F)F)c1ncc[nH]1 ZINC000883274850 591605528 /nfs/dbraw/zinc/60/55/28/591605528.db2.gz WZEULXZKVNBHQH-VHSXEESVSA-N 0 3 243.301 2.886 20 0 BFADHN COCC[C@@H](C)NCc1ccc(C)nc1Cl ZINC000883301111 591609267 /nfs/dbraw/zinc/60/92/67/591609267.db2.gz QALAUQUVTKEITR-SECBINFHSA-N 0 3 242.750 2.558 20 0 BFADHN C[C@@H]1CCC[C@@H]1NC1(c2ncccn2)CCC1 ZINC000883313427 591612547 /nfs/dbraw/zinc/61/25/47/591612547.db2.gz CYAZSAXBBMFFAT-NEPJUHHUSA-N 0 3 231.343 2.634 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H]1C[C@@H](COC)C1 ZINC000883320912 591616604 /nfs/dbraw/zinc/61/66/04/591616604.db2.gz YPTYZWOUOOUPIW-SGMGOOAPSA-N 0 3 248.370 2.720 20 0 BFADHN CC[C@@H](NCC[S@@](=O)CC)c1cccs1 ZINC000133471766 591799010 /nfs/dbraw/zinc/79/90/10/591799010.db2.gz TYGPDQYJCODJHU-MEBBXXQBSA-N 0 3 245.413 2.557 20 0 BFADHN c1ccc2nc(CNCCC3CCC3)cnc2c1 ZINC000133969312 591872452 /nfs/dbraw/zinc/87/24/52/591872452.db2.gz XADYNKUFKWQYHQ-UHFFFAOYSA-N 0 3 241.338 2.910 20 0 BFADHN C=C/C=C/CCOC(=O)C1CCN(C2CC2)CC1 ZINC000884496387 591956876 /nfs/dbraw/zinc/95/68/76/591956876.db2.gz VGXCFZLJJPSKMN-ONEGZZNKSA-N 0 3 249.354 2.536 20 0 BFADHN CC(C)OCCN[C@@H](C)c1ccnc(Cl)c1 ZINC000134948720 591962978 /nfs/dbraw/zinc/96/29/78/591962978.db2.gz SFZAIOVOIIENQE-JTQLQIEISA-N 0 3 242.750 2.811 20 0 BFADHN CCC[C@@H](C)NCc1c(C)nn(C)c1Cl ZINC000135882959 592076077 /nfs/dbraw/zinc/07/60/77/592076077.db2.gz NOOFZQMWZBGYJG-MRVPVSSYSA-N 0 3 229.755 2.660 20 0 BFADHN COc1cc(C)cc(CNCC2CC2)c1OC ZINC000885918832 592108905 /nfs/dbraw/zinc/10/89/05/592108905.db2.gz AMCZSHOGTCOVHU-UHFFFAOYSA-N 0 3 235.327 2.512 20 0 BFADHN Cc1coc(CNCCN2CCCC[C@H]2C)c1 ZINC000885923199 592109044 /nfs/dbraw/zinc/10/90/44/592109044.db2.gz SVCXUWGMOJROPA-CYBMUJFWSA-N 0 3 236.359 2.552 20 0 BFADHN C[C@H]1CCN(CCSC(F)(F)F)C1 ZINC000885980023 592120139 /nfs/dbraw/zinc/12/01/39/592120139.db2.gz RHYIUYYGVMCOSN-ZETCQYMHSA-N 0 3 213.268 2.581 20 0 BFADHN Fc1cccc(/C=C\CNCCOC2CCC2)c1 ZINC000886003943 592127406 /nfs/dbraw/zinc/12/74/06/592127406.db2.gz OCPDGIDAKXMBSN-HYXAFXHYSA-N 0 3 249.329 2.998 20 0 BFADHN Cc1coc(CNC[C@H](CCO)CC(C)C)c1 ZINC000886005967 592128183 /nfs/dbraw/zinc/12/81/83/592128183.db2.gz LRHYACDIQPAILL-CYBMUJFWSA-N 0 3 239.359 2.722 20 0 BFADHN Cc1coc(CNC[C@]2(C)CCCC[C@H]2O)c1 ZINC000886008385 592130328 /nfs/dbraw/zinc/13/03/28/592130328.db2.gz LHJSBZADQCTPSB-KGLIPLIRSA-N 0 3 237.343 2.619 20 0 BFADHN FCCCNCc1ccccc1OCC(F)F ZINC000886023596 592134863 /nfs/dbraw/zinc/13/48/63/592134863.db2.gz RGBWPYCJUQRZNS-UHFFFAOYSA-N 0 3 247.260 2.780 20 0 BFADHN C[C@H]1CCCN1CCSC(F)(F)F ZINC000886027965 592135849 /nfs/dbraw/zinc/13/58/49/592135849.db2.gz KRRLACWFYXLVIX-ZETCQYMHSA-N 0 3 213.268 2.724 20 0 BFADHN Cc1coc(CNCCc2cnc(C)s2)c1 ZINC000886039113 592139301 /nfs/dbraw/zinc/13/93/01/592139301.db2.gz HUSJOPWRJKFWCK-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN C[C@@]1(NC/C=C\c2cccc(F)c2)CCOC1 ZINC000886047263 592139805 /nfs/dbraw/zinc/13/98/05/592139805.db2.gz ZDQVJZZWQQEZOQ-PKXJPQMGSA-N 0 3 235.302 2.608 20 0 BFADHN CC[C@@H](NCc1cc(C)co1)[C@@H]1CCCO1 ZINC000886046350 592140094 /nfs/dbraw/zinc/14/00/94/592140094.db2.gz GSQZTNHMDCUSAN-OLZOCXBDSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1coc(CNCC(C)(C)[C@H](O)C(C)C)c1 ZINC000886046862 592140407 /nfs/dbraw/zinc/14/04/07/592140407.db2.gz RBHQCPVWEIEHLO-CYBMUJFWSA-N 0 3 239.359 2.721 20 0 BFADHN Cc1n[nH]c(CNCCCc2cccs2)c1C ZINC000886055246 592141156 /nfs/dbraw/zinc/14/11/56/592141156.db2.gz WDIPVXSIPSOVID-UHFFFAOYSA-N 0 3 249.383 2.810 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NC/C=C\c1cccc(F)c1 ZINC000886073077 592144197 /nfs/dbraw/zinc/14/41/97/592144197.db2.gz PUKLPCJLMRPPLG-PSVOHZHUSA-N 0 3 249.329 2.588 20 0 BFADHN CCOC1CC(CCNCc2cc(C)co2)C1 ZINC000886086278 592145582 /nfs/dbraw/zinc/14/55/82/592145582.db2.gz ICRNABBXWUQYRS-UHFFFAOYSA-N 0 3 237.343 2.883 20 0 BFADHN FC(F)[C@@H]1CCN(C[C@@H]2CC[C@@H](C3CC3)O2)C1 ZINC000886094031 592147126 /nfs/dbraw/zinc/14/71/26/592147126.db2.gz LKVKHXQYNSFPHP-WOPDTQHZSA-N 0 3 245.313 2.531 20 0 BFADHN COC[C@H](NCC[C@@H]1C[C@H]1C1CC1)c1ccco1 ZINC000886125003 592158641 /nfs/dbraw/zinc/15/86/41/592158641.db2.gz GVDHHBJEHWKVRU-RDBSUJKOSA-N 0 3 249.354 2.993 20 0 BFADHN OCC[C@H](NCC[C@@H]1C[C@H]1C1CC1)c1ccco1 ZINC000886138713 592162031 /nfs/dbraw/zinc/16/20/31/592162031.db2.gz RQPOVTRIFYWTII-RDBSUJKOSA-N 0 3 249.354 2.729 20 0 BFADHN CO[C@@H](CNCc1cc(C)co1)CC(C)C ZINC000886147339 592163351 /nfs/dbraw/zinc/16/33/51/592163351.db2.gz PRXPXCGONOQJQW-GFCCVEGCSA-N 0 3 225.332 2.739 20 0 BFADHN COc1cc(C)cc(CN[C@@H]2CC23CC3)c1OC ZINC000886149479 592163453 /nfs/dbraw/zinc/16/34/53/592163453.db2.gz PBUQBZWUBWDIMI-CYBMUJFWSA-N 0 3 247.338 2.654 20 0 BFADHN Cc1coc(CN[C@H]2CCCN3CCCC[C@H]23)c1 ZINC000886155712 592164772 /nfs/dbraw/zinc/16/47/72/592164772.db2.gz MTEMZNMOFLYEDW-LSDHHAIUSA-N 0 3 248.370 2.695 20 0 BFADHN Cc1coc(CN[C@@H]2CCCN3CCCC[C@H]23)c1 ZINC000886155711 592164929 /nfs/dbraw/zinc/16/49/29/592164929.db2.gz MTEMZNMOFLYEDW-HUUCEWRRSA-N 0 3 248.370 2.695 20 0 BFADHN Clc1ccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)nc1 ZINC000886162342 592166556 /nfs/dbraw/zinc/16/65/56/592166556.db2.gz NCWCUJMRLVXJNB-ZSBIGDGJSA-N 0 3 222.719 2.623 20 0 BFADHN C[C@@H]1C[C@@H](C)N1C[C@@H]1CCC2(CCC2)CO1 ZINC000886181379 592170599 /nfs/dbraw/zinc/17/05/99/592170599.db2.gz KMTXGDKIFYZAFP-UPJWGTAASA-N 0 3 223.360 2.818 20 0 BFADHN Cc1coc(CNC[C@@H]2CCC23CCOCC3)c1 ZINC000886179509 592170858 /nfs/dbraw/zinc/17/08/58/592170858.db2.gz WXORYBMFZAFQRX-ZDUSSCGKSA-N 0 3 249.354 2.884 20 0 BFADHN CC1=CCCN(C[C@@H]2CC[C@H](C3CC3)O2)C1 ZINC000886185795 592171773 /nfs/dbraw/zinc/17/17/73/592171773.db2.gz IAWVADADQUGVOG-UONOGXRCSA-N 0 3 221.344 2.596 20 0 BFADHN CC1=CCCN(C[C@@H]2CC[C@@H](C3CC3)O2)C1 ZINC000886185793 592172017 /nfs/dbraw/zinc/17/20/17/592172017.db2.gz IAWVADADQUGVOG-KBPBESRZSA-N 0 3 221.344 2.596 20 0 BFADHN CC1CCC(c2noc(C(C)(C)CN)n2)CC1 ZINC000137523642 592199120 /nfs/dbraw/zinc/19/91/20/592199120.db2.gz QXCPTIPRDKCDAZ-UHFFFAOYSA-N 0 3 237.347 2.600 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNCc1cn(C2CC2)cn1 ZINC000886281399 592199544 /nfs/dbraw/zinc/19/95/44/592199544.db2.gz YIGFPWLCHKSYNC-FIXIBIHLSA-N 0 3 245.370 2.600 20 0 BFADHN Cc1occc1CNC[C@H]1CC2(CCC2)CO1 ZINC000886287089 592200708 /nfs/dbraw/zinc/20/07/08/592200708.db2.gz JSJBANLSPMOSLD-CYBMUJFWSA-N 0 3 235.327 2.637 20 0 BFADHN FC[C@H]1CCN(CCSC(F)(F)F)C1 ZINC000886316621 592206958 /nfs/dbraw/zinc/20/69/58/592206958.db2.gz IBIJIVYYGQVIJH-SSDOTTSWSA-N 0 3 231.258 2.531 20 0 BFADHN Cc1cn[nH]c1CN1CCc2ccsc2[C@H]1C ZINC000886318029 592207657 /nfs/dbraw/zinc/20/76/57/592207657.db2.gz HWQPZPMBTAMBSO-SNVBAGLBSA-N 0 3 247.367 2.899 20 0 BFADHN Cc1ccc2ncc(CN3C[C@@H]4C[C@@H]4C3)cc2c1 ZINC000886347878 592214256 /nfs/dbraw/zinc/21/42/56/592214256.db2.gz BEFFHDADMDOJAM-GASCZTMLSA-N 0 3 238.334 2.995 20 0 BFADHN CC[C@H]1C[C@H](N[C@H]2CCc3c2cccc3F)CO1 ZINC000886361797 592217706 /nfs/dbraw/zinc/21/77/06/592217706.db2.gz WBLLOJPDQNTRBV-PGUXBMHVSA-N 0 3 249.329 2.970 20 0 BFADHN CC[C@H](N[C@H]1CCc2cccnc21)[C@H]1CCCO1 ZINC000886556532 592260015 /nfs/dbraw/zinc/26/00/15/592260015.db2.gz DZUGTUHUCMHMDJ-MELADBBJSA-N 0 3 246.354 2.616 20 0 BFADHN CC[C@H](N[C@H]1CCc2cccnc21)[C@@H]1CCCO1 ZINC000886556531 592260332 /nfs/dbraw/zinc/26/03/32/592260332.db2.gz DZUGTUHUCMHMDJ-IHRRRGAJSA-N 0 3 246.354 2.616 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@@H]1CCc2cccnc21 ZINC000886621807 592277383 /nfs/dbraw/zinc/27/73/83/592277383.db2.gz BSZCEJUXFLUPIA-MGPQQGTHSA-N 0 3 246.354 2.616 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H]2CCc3cccnc32)C1 ZINC000886646302 592288811 /nfs/dbraw/zinc/28/88/11/592288811.db2.gz FJKXCAGHKGEPSK-IJLUTSLNSA-N 0 3 234.368 2.553 20 0 BFADHN c1ccc2c(c1)CC[C@H]2CN1CCSCC1 ZINC000220275352 592296766 /nfs/dbraw/zinc/29/67/66/592296766.db2.gz YJIOQQYAZTTZQP-ZDUSSCGKSA-N 0 3 233.380 2.765 20 0 BFADHN C[C@@H](NC1(C2CC2)CCC1)c1cc2n(n1)CCC2 ZINC000886825443 592348278 /nfs/dbraw/zinc/34/82/78/592348278.db2.gz TYAMDPAULWHYSX-LLVKDONJSA-N 0 3 245.370 2.813 20 0 BFADHN CCC[C@H](C)N1CCc2cc(O)c(OC)cc2C1 ZINC000887106873 592444792 /nfs/dbraw/zinc/44/47/92/592444792.db2.gz QQJNHDBIUDQAOZ-NSHDSACASA-N 0 3 249.354 2.948 20 0 BFADHN CC(C)=CCN1CCN(c2ccncc2)C[C@@H]1C ZINC000887130920 592449218 /nfs/dbraw/zinc/44/92/18/592449218.db2.gz NLSQFBDSSLIFDX-AWEZNQCLSA-N 0 3 245.370 2.558 20 0 BFADHN CN(CCCF)C[C@H]1CCC2(CCC2)CO1 ZINC000887143585 592460111 /nfs/dbraw/zinc/46/01/11/592460111.db2.gz GZDAXPRKTFRANT-GFCCVEGCSA-N 0 3 229.339 2.627 20 0 BFADHN CCN1CCc2cc(OC)c(OC)cc2[C@@H]1C ZINC000140578775 592477147 /nfs/dbraw/zinc/47/71/47/592477147.db2.gz HOCSLMUKKBWEBB-JTQLQIEISA-N 0 3 235.327 2.643 20 0 BFADHN CC(C)C[C@@H](O)CN[C@H](C)c1cccc(F)c1 ZINC000222965697 592513546 /nfs/dbraw/zinc/51/35/46/592513546.db2.gz QALFLKUYEZHIHL-BXUZGUMPSA-N 0 3 239.334 2.883 20 0 BFADHN [O-]c1ccc(C[NH2+]C(C2CC2)C2CC2)cc1F ZINC000223770777 592543385 /nfs/dbraw/zinc/54/33/85/592543385.db2.gz HSSCVMKHDIEHLZ-UHFFFAOYSA-N 0 3 235.302 2.810 20 0 BFADHN CSCC[C@H](C)N1CCC[C@H](F)C1 ZINC001258220607 991146302 /nfs/dbraw/zinc/14/63/02/991146302.db2.gz WQUIVMPHAXCVFO-UWVGGRQHSA-N 0 3 205.342 2.562 20 0 BFADHN Cc1nonc1CN[C@@H]1CCCC12CCCCC2 ZINC000313008312 634441114 /nfs/dbraw/zinc/44/11/14/634441114.db2.gz KUPBZQAAKFNFTD-CYBMUJFWSA-N 0 3 249.358 2.971 20 0 BFADHN CC(C)OCCNCc1cc(O)cc(Cl)c1 ZINC000893566079 635164795 /nfs/dbraw/zinc/16/47/95/635164795.db2.gz YPZKDTZKCLGTEF-UHFFFAOYSA-N 0 3 243.734 2.560 20 0 BFADHN CSCC[C@H](C)N(C)Cc1ccoc1 ZINC000093558913 634562793 /nfs/dbraw/zinc/56/27/93/634562793.db2.gz QPCFQNHGUPFHOM-JTQLQIEISA-N 0 3 213.346 2.853 20 0 BFADHN CCc1sc([C@@H]2CCCCN2)nc1C ZINC000258105701 634566907 /nfs/dbraw/zinc/56/69/07/634566907.db2.gz OHDDRTFZBTVHPN-VIFPVBQESA-N 0 3 210.346 2.829 20 0 BFADHN Cc1ccc(CNC/C=C\Cl)s1 ZINC000307946649 635261197 /nfs/dbraw/zinc/26/11/97/635261197.db2.gz KRQXLHWCFDJSEE-DJWKRKHSSA-N 0 3 201.722 2.899 20 0 BFADHN CSC1(CNCc2cc[nH]n2)CCCCC1 ZINC000235269989 635573772 /nfs/dbraw/zinc/57/37/72/635573772.db2.gz ZUGYTJWQDJAFJV-UHFFFAOYSA-N 0 3 239.388 2.565 20 0 BFADHN Cc1cc(N[C@H](C)C2CCCCC2)nc(N)n1 ZINC000090794911 631216452 /nfs/dbraw/zinc/21/64/52/631216452.db2.gz KIESKKSQANAIRB-SNVBAGLBSA-N 0 3 234.347 2.748 20 0 BFADHN CCS[C@H]1CCCCN(CCF)C1 ZINC000308507326 631321518 /nfs/dbraw/zinc/32/15/18/631321518.db2.gz ZXMFONGXGJEOPP-JTQLQIEISA-N 0 3 205.342 2.564 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc(Cl)nc1 ZINC000308574487 631339393 /nfs/dbraw/zinc/33/93/93/631339393.db2.gz CZBJZAZAMQANSE-CDAZIORVSA-N 0 3 210.708 2.962 20 0 BFADHN C[C@@H](N[C@@H](C)C1(C)CC1)c1cscn1 ZINC000308666017 631351470 /nfs/dbraw/zinc/35/14/70/631351470.db2.gz SNJUVTQNRJIVCI-BDAKNGLRSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@@H]1CCCCN1Cc1ccnn1C1CCC1 ZINC000891457783 631369902 /nfs/dbraw/zinc/36/99/02/631369902.db2.gz ZINSOVVNQVNXBX-GFCCVEGCSA-N 0 3 233.359 2.983 20 0 BFADHN CCOc1ccc(CN(CC)C(C)C)o1 ZINC000891570741 631393553 /nfs/dbraw/zinc/39/35/53/631393553.db2.gz FDUOEEKZPUGUKL-UHFFFAOYSA-N 0 3 211.305 2.909 20 0 BFADHN FCCCNCc1ccnc(Cl)c1Cl ZINC000308526978 631422402 /nfs/dbraw/zinc/42/24/02/631422402.db2.gz XWMQPCHUVOQDRR-UHFFFAOYSA-N 0 3 237.105 2.838 20 0 BFADHN CC(C)=CCN1CCC[C@@H](Cc2nc(C)no2)C1 ZINC000933571002 631424250 /nfs/dbraw/zinc/42/42/50/631424250.db2.gz SDHYSCHETUJTNM-ZDUSSCGKSA-N 0 3 249.358 2.599 20 0 BFADHN C[C@@H](N[C@H](C)C1(C)CC1)c1cscn1 ZINC000308666020 631475973 /nfs/dbraw/zinc/47/59/73/631475973.db2.gz SNJUVTQNRJIVCI-RKDXNWHRSA-N 0 3 210.346 2.982 20 0 BFADHN Clc1ncc(CNC2(C3CC3)CC2)s1 ZINC000308749652 631561651 /nfs/dbraw/zinc/56/16/51/631561651.db2.gz SZEOPUPDJXRCEN-UHFFFAOYSA-N 0 3 228.748 2.829 20 0 BFADHN Cc1ccc(CNc2nc(C)cc(N)n2)c(C)c1 ZINC000891896195 631547608 /nfs/dbraw/zinc/54/76/08/631547608.db2.gz LMLXHJLAXSIYOE-UHFFFAOYSA-N 0 3 242.326 2.596 20 0 BFADHN Cc1ccnc(CN2CCCSC[C@H]2C)c1 ZINC000934299592 631764502 /nfs/dbraw/zinc/76/45/02/631764502.db2.gz WSPWZBHOKLLZCV-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1ccc(CN[C@H]2CCOC23CCCC3)nc1 ZINC000934386635 631816119 /nfs/dbraw/zinc/81/61/19/631816119.db2.gz NXYSQPJGOYEJMR-AWEZNQCLSA-N 0 3 246.354 2.581 20 0 BFADHN C[C@@H](O)[C@@H](C)NCc1cccc(Cl)c1Cl ZINC000309050251 631826030 /nfs/dbraw/zinc/82/60/30/631826030.db2.gz QQWNKXRSKDXTIH-HTQZYQBOSA-N 0 3 248.153 2.852 20 0 BFADHN CCc1ccc(CNc2cc(C)nc(N)n2)s1 ZINC000052185743 632098244 /nfs/dbraw/zinc/09/82/44/632098244.db2.gz TZHJDLOFTPMIDT-UHFFFAOYSA-N 0 3 248.355 2.603 20 0 BFADHN CC[C@@H]1CCCN(c2ccncc2CO)CC1 ZINC000126517782 632122830 /nfs/dbraw/zinc/12/28/30/632122830.db2.gz HTBJRIFBMWTKDP-GFCCVEGCSA-N 0 3 234.343 2.590 20 0 BFADHN Cc1ccc([C@@H](C)Nc2cc(C)nc(N)n2)cc1 ZINC000052471776 632415226 /nfs/dbraw/zinc/41/52/26/632415226.db2.gz UZHRQIDZZPXVOR-LLVKDONJSA-N 0 3 242.326 2.849 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1ccns1)C1CC1 ZINC000926539900 632463280 /nfs/dbraw/zinc/46/32/80/632463280.db2.gz LENWXUOEOLNMBJ-SBMIAAHKSA-N 0 3 240.372 2.607 20 0 BFADHN C[C@H](N[C@H](CO)C1CC1)c1ccccc1Cl ZINC000309532607 632473599 /nfs/dbraw/zinc/47/35/99/632473599.db2.gz DNMRICZZEKDQAV-TVQRCGJNSA-N 0 3 239.746 2.762 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)nn1 ZINC000926575717 632572988 /nfs/dbraw/zinc/57/29/88/632572988.db2.gz IGHAMEDYXLFEDO-RNJOBUHISA-N 0 3 248.374 2.698 20 0 BFADHN CCN(Cc1ccnc(Cl)c1)C1CC1 ZINC000051603232 632613248 /nfs/dbraw/zinc/61/32/48/632613248.db2.gz DKPOUESDRLCOOY-UHFFFAOYSA-N 0 3 210.708 2.719 20 0 BFADHN C/C=C\CN[C@H](c1ccccn1)C(C)C ZINC000309153097 632649948 /nfs/dbraw/zinc/64/99/48/632649948.db2.gz WUEIBVQEFNYAND-ZFDPJTLLSA-N 0 3 204.317 2.944 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000924525760 632671522 /nfs/dbraw/zinc/67/15/22/632671522.db2.gz GPIUORQPVPAMGD-FYLLDIAZSA-N 0 3 237.347 2.853 20 0 BFADHN CC1=C[C@H](C)C[C@H](CNCc2ccon2)C1 ZINC000235384429 632681507 /nfs/dbraw/zinc/68/15/07/632681507.db2.gz FMVZURMCFLHSGD-JQWIXIFHSA-N 0 3 220.316 2.757 20 0 BFADHN CCCCCC[C@@H](C)NC(=O)[C@@H](N)CC1CC1 ZINC000310255760 632711407 /nfs/dbraw/zinc/71/14/07/632711407.db2.gz PFSUPXBBXCVENW-YPMHNXCESA-N 0 3 240.391 2.589 20 0 BFADHN CCCCOCCCNCc1ccc(OC)o1 ZINC000892447707 632724982 /nfs/dbraw/zinc/72/49/82/632724982.db2.gz UGULBQABTQMKQK-UHFFFAOYSA-N 0 3 241.331 2.585 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@@H]2C2CC2)cs1 ZINC000309195374 632759148 /nfs/dbraw/zinc/75/91/48/632759148.db2.gz QIUFOWNAHJIILX-VXGBXAGGSA-N 0 3 222.357 2.730 20 0 BFADHN Cc1nonc1[C@H](C)NC1CCCCCC1 ZINC000924533988 632760478 /nfs/dbraw/zinc/76/04/78/632760478.db2.gz AYUKIIHZEOLBOC-VIFPVBQESA-N 0 3 223.320 2.751 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCO[C@H]2C2CC2)c1 ZINC000375895621 632785968 /nfs/dbraw/zinc/78/59/68/632785968.db2.gz ONVYVPYNAVVUCS-LNSITVRQSA-N 0 3 246.354 2.608 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CNCc2ccon2)C1 ZINC000235705292 632818105 /nfs/dbraw/zinc/81/81/05/632818105.db2.gz FMVZURMCFLHSGD-ZYHUDNBSSA-N 0 3 220.316 2.757 20 0 BFADHN CC[C@@H](C)CCN[C@@H](C)c1cn(C(C)C)nn1 ZINC000926686402 632821170 /nfs/dbraw/zinc/82/11/70/632821170.db2.gz WAOYTFJWDRIMCP-NEPJUHHUSA-N 0 3 238.379 2.946 20 0 BFADHN C[C@H](NC/C=C/CO)c1coc2ccccc12 ZINC000926690094 632826642 /nfs/dbraw/zinc/82/66/42/632826642.db2.gz VIVPXEWSQUNACY-ZWNMCFTASA-N 0 3 231.295 2.632 20 0 BFADHN CC[C@@H](N[C@H](C)c1cn(C(C)C)nn1)C1CC1 ZINC000926707780 632849440 /nfs/dbraw/zinc/84/94/40/632849440.db2.gz SMQTVPJQBSKUAB-ZYHUDNBSSA-N 0 3 236.363 2.698 20 0 BFADHN C[C@@H](NC[C@@H](O)c1cccc(F)c1)c1ccoc1 ZINC000177782472 632880349 /nfs/dbraw/zinc/88/03/49/632880349.db2.gz RRDDDELPFNPLSY-QMTHXVAHSA-N 0 3 249.285 2.803 20 0 BFADHN CC1(C)CCCN(c2ccncc2CO)CC1 ZINC000126522817 635847564 /nfs/dbraw/zinc/84/75/64/635847564.db2.gz NBIBYIGNRDKFPX-UHFFFAOYSA-N 0 3 234.343 2.590 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CC[C@@H](C)C[C@H]1C ZINC000925055518 635877318 /nfs/dbraw/zinc/87/73/18/635877318.db2.gz VHFIYBRUPGZNLG-MWGHHZFTSA-N 0 3 237.347 2.853 20 0 BFADHN COc1cc([C@@H](C)N[C@@H](C)CC2CCC2)on1 ZINC000926789174 633072649 /nfs/dbraw/zinc/07/26/49/633072649.db2.gz ACOMLDQXTRXSOX-VHSXEESVSA-N 0 3 238.331 2.913 20 0 BFADHN CCCC[C@H](CC)Cn1cc([C@H](C)N)nn1 ZINC000167122149 633125938 /nfs/dbraw/zinc/12/59/38/633125938.db2.gz KQTNMAATLUXHKX-QWRGUYRKSA-N 0 3 224.352 2.514 20 0 BFADHN Cc1ccc([C@@H](C)NCCOc2cccnc2)o1 ZINC000169081307 633156677 /nfs/dbraw/zinc/15/66/77/633156677.db2.gz WDAQOQATSWTROM-GFCCVEGCSA-N 0 3 246.310 2.713 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CC[C@H]2C)s1 ZINC000307000279 635979084 /nfs/dbraw/zinc/97/90/84/635979084.db2.gz DJZNOFFXFLZLEF-FKTZTGRPSA-N 0 3 210.346 2.901 20 0 BFADHN Cc1[nH]nc(CN2CC(C(C)(C)C)C2)c1C ZINC000894980638 636010967 /nfs/dbraw/zinc/01/09/67/636010967.db2.gz UIDKDQHILBYKQO-UHFFFAOYSA-N 0 3 221.348 2.504 20 0 BFADHN CCOc1ccc(CNC[C@H]2CCCS2)o1 ZINC000893109974 633838936 /nfs/dbraw/zinc/83/89/36/633838936.db2.gz DHBKBZNSBLXOQZ-LLVKDONJSA-N 0 3 241.356 2.664 20 0 BFADHN CCCC1(CN[C@@H]2C[C@@H](C)n3ncnc32)CCC1 ZINC000925524891 633901467 /nfs/dbraw/zinc/90/14/67/633901467.db2.gz BMRBKJVPKXKWTG-VXGBXAGGSA-N 0 3 248.374 2.844 20 0 BFADHN CCCC1(CN[C@H](C)c2cc(OC)no2)CC1 ZINC000925533947 633928751 /nfs/dbraw/zinc/92/87/51/633928751.db2.gz RABMBTCTHVARHT-SNVBAGLBSA-N 0 3 238.331 2.914 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cc(C)cc(Cl)n1 ZINC000309495984 633930022 /nfs/dbraw/zinc/93/00/22/633930022.db2.gz YJQBZOPAEGUCPA-ZJUUUORDSA-N 0 3 242.750 2.556 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1nonc1C ZINC000310025046 634085590 /nfs/dbraw/zinc/08/55/90/634085590.db2.gz OUTMAXRFRSTOLR-GXSJLCMTSA-N 0 3 225.336 2.682 20 0 BFADHN CC[C@H](NC/C=C(\C)C(=O)OC)c1ccccc1 ZINC000310604194 634172226 /nfs/dbraw/zinc/17/22/26/634172226.db2.gz INKQQOSJDAGJED-WONIAPNHSA-N 0 3 247.338 2.847 20 0 BFADHN Fc1cccc(OCCNCc2ccoc2)c1 ZINC000053567127 634247969 /nfs/dbraw/zinc/24/79/69/634247969.db2.gz CNEOTKLMUYCDLE-UHFFFAOYSA-N 0 3 235.258 2.587 20 0 BFADHN CN(Cc1ccc(F)cc1)C[C@H]1CCC=CO1 ZINC000193617092 634283090 /nfs/dbraw/zinc/28/30/90/634283090.db2.gz UUPLAAKFEGAQMJ-CQSZACIVSA-N 0 3 235.302 2.950 20 0 BFADHN COC(OC)[C@@H](C)NCc1ccccc1C1CC1 ZINC000094519977 635369327 /nfs/dbraw/zinc/36/93/27/635369327.db2.gz HJACEIWHIIMDHS-LLVKDONJSA-N 0 3 249.354 2.661 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CNCc2ccon2)C1 ZINC000235671067 635582984 /nfs/dbraw/zinc/58/29/84/635582984.db2.gz FMVZURMCFLHSGD-PWSUYJOCSA-N 0 3 220.316 2.757 20 0 BFADHN C[C@@H](NCc1ccc(-c2ccccc2)o1)[C@H](C)O ZINC000342189326 636327331 /nfs/dbraw/zinc/32/73/31/636327331.db2.gz INGKYMMVIGNLSP-NEPJUHHUSA-N 0 3 245.322 2.806 20 0 BFADHN COCCN(C/C=C/Cl)C1CCCC1 ZINC000054338915 636425910 /nfs/dbraw/zinc/42/59/10/636425910.db2.gz ZGQGMXCFUNTMQG-QPJJXVBHSA-N 0 3 217.740 2.630 20 0 BFADHN C[C@@H]([NH2+][C@H](C)C1CC1)c1cccc([O-])c1F ZINC000872018210 636554066 /nfs/dbraw/zinc/55/40/66/636554066.db2.gz JPYYKLNJUTTXFG-RKDXNWHRSA-N 0 3 223.291 2.980 20 0 BFADHN Cc1cccc2c1OCC[C@H]2NC1CSC1 ZINC000393767654 636610186 /nfs/dbraw/zinc/61/01/86/636610186.db2.gz CTCYFOUIKVNELI-GFCCVEGCSA-N 0 3 235.352 2.524 20 0 BFADHN c1cnc(CNC2CCCCCCC2)nc1 ZINC000054458896 636709410 /nfs/dbraw/zinc/70/94/10/636709410.db2.gz WVMVTSDXNZCICY-UHFFFAOYSA-N 0 3 219.332 2.679 20 0 BFADHN C[C@@H]([NH2+]CC1CC1)c1cc([O-])cc(F)c1 ZINC000924625423 636939659 /nfs/dbraw/zinc/93/96/59/636939659.db2.gz GQUVUBZGTXGPCV-MRVPVSSYSA-N 0 3 209.264 2.592 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCS[C@@H](C)C2)no1 ZINC000927029189 636998714 /nfs/dbraw/zinc/99/87/14/636998714.db2.gz OTTIXXXIJJMMPB-GARJFASQSA-N 0 3 240.372 2.918 20 0 BFADHN C[C@H](NC1([C@@H]2CCCCO2)CC1)c1cccnc1 ZINC000927161638 637071247 /nfs/dbraw/zinc/07/12/47/637071247.db2.gz QNZHDBGEJCHZKU-JSGCOSHPSA-N 0 3 246.354 2.834 20 0 BFADHN Cc1ccccc1CN(C)c1ccnc(CO)c1 ZINC000042436086 637245443 /nfs/dbraw/zinc/24/54/43/637245443.db2.gz JQSKNFDVOUIYHF-UHFFFAOYSA-N 0 3 242.322 2.519 20 0 BFADHN CC1CCC(N(C)c2ccnc(CO)c2)CC1 ZINC000042488067 637274449 /nfs/dbraw/zinc/27/44/49/637274449.db2.gz PDGJLESBZDLUHQ-UHFFFAOYSA-N 0 3 234.343 2.589 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2COC[C@@H]2C2CC2)o1 ZINC000903437164 637360675 /nfs/dbraw/zinc/36/06/75/637360675.db2.gz LYQDDMURRNMUIP-CYZMBNFOSA-N 0 3 235.327 2.664 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2COC[C@@H]2C2CC2)o1 ZINC000903437695 637363014 /nfs/dbraw/zinc/36/30/14/637363014.db2.gz PXJHZWCEJUBHLJ-GDLCADMTSA-N 0 3 249.354 2.918 20 0 BFADHN Cc1nn(C)c(CN[C@@H]2CC[C@@H](C)C2)c1Cl ZINC000128957441 637510448 /nfs/dbraw/zinc/51/04/48/637510448.db2.gz UECSWEGKUIAKMF-PSASIEDQSA-N 0 3 241.766 2.660 20 0 BFADHN CN(C)Cc1ccc(-c2ccc(=O)[nH]c2)s1 ZINC000904864204 637512367 /nfs/dbraw/zinc/51/23/67/637512367.db2.gz CRNUWRHFDXWESU-UHFFFAOYSA-N 0 3 234.324 2.577 20 0 BFADHN Cc1cc(-c2ccc(CN(C)C)s2)nn1C ZINC000904865131 637512570 /nfs/dbraw/zinc/51/25/70/637512570.db2.gz UZCUJDAHTIBYJJ-UHFFFAOYSA-N 0 3 235.356 2.519 20 0 BFADHN Cc1nsc(-c2ccc(CN(C)C)s2)n1 ZINC000904864844 637513692 /nfs/dbraw/zinc/51/36/92/637513692.db2.gz OAZXRZQBIIYKJW-UHFFFAOYSA-N 0 3 239.369 2.637 20 0 BFADHN CC[C@@H](NCc1cn(C2CC2)nn1)[C@H]1CC1(C)C ZINC000906313620 638140548 /nfs/dbraw/zinc/14/05/48/638140548.db2.gz DBUOQFHARKAZPZ-CHWSQXEVSA-N 0 3 248.374 2.527 20 0 BFADHN Cc1nonc1CN[C@@H](C)C1CCCCCC1 ZINC000150137716 639377008 /nfs/dbraw/zinc/37/70/08/639377008.db2.gz ODFAFQWNSQRFFD-JTQLQIEISA-N 0 3 237.347 2.827 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1c(F)cncc1F ZINC000930226479 639642558 /nfs/dbraw/zinc/64/25/58/639642558.db2.gz LQWSCZKGOVTDFC-RKDXNWHRSA-N 0 3 228.286 2.884 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1c(F)cncc1F ZINC000930226475 639643535 /nfs/dbraw/zinc/64/35/35/639643535.db2.gz LQWSCZKGOVTDFC-DTWKUNHWSA-N 0 3 228.286 2.884 20 0 BFADHN C[C@H]1C[C@H](C)N1CCSc1ccncc1 ZINC000930272608 639676640 /nfs/dbraw/zinc/67/66/40/639676640.db2.gz JLNWWCUMCXDRBP-QWRGUYRKSA-N 0 3 222.357 2.656 20 0 BFADHN CO[C@@H]1CCN(Cc2cc(C)cc(C)n2)[C@H](C)C1 ZINC000930324935 639705219 /nfs/dbraw/zinc/70/52/19/639705219.db2.gz UMSNFFNFKXKRDI-UKRRQHHQSA-N 0 3 248.370 2.698 20 0 BFADHN CO[C@@H]1CCN(Cc2cc(C)cc(C)n2)[C@@H](C)C1 ZINC000930324929 639705879 /nfs/dbraw/zinc/70/58/79/639705879.db2.gz UMSNFFNFKXKRDI-DZGCQCFKSA-N 0 3 248.370 2.698 20 0 BFADHN C[C@@H](NCc1ccc(N)nc1)c1ccsc1 ZINC000930345806 639717371 /nfs/dbraw/zinc/71/73/71/639717371.db2.gz RZKDTLSWCASBMJ-SECBINFHSA-N 0 3 233.340 2.576 20 0 BFADHN CC[C@H](CO)N1CC(C)(C)[C@@H]1c1cccs1 ZINC000930495208 639781731 /nfs/dbraw/zinc/78/17/31/639781731.db2.gz WRRSNTPIMIZVDQ-PWSUYJOCSA-N 0 3 239.384 2.902 20 0 BFADHN C[C@H](c1ncccn1)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000930635669 639834309 /nfs/dbraw/zinc/83/43/09/639834309.db2.gz CXEYOKOSDVKYKG-UPJWGTAASA-N 0 3 231.343 2.660 20 0 BFADHN C[C@@H](N[C@@H](C)c1ncccn1)C1CCCC1 ZINC000930656933 639849215 /nfs/dbraw/zinc/84/92/15/639849215.db2.gz CUPRMWVQTGAHIR-MNOVXSKESA-N 0 3 219.332 2.706 20 0 BFADHN Cc1nc(C)c(CN(C)C2CC(C)(C)C2)[nH]1 ZINC000930700000 639866369 /nfs/dbraw/zinc/86/63/69/639866369.db2.gz BKAWIUWCJLUHGL-UHFFFAOYSA-N 0 3 221.348 2.647 20 0 BFADHN CCCCCNC(=O)[C@H](N)C1CCCCCC1 ZINC000911894711 639871527 /nfs/dbraw/zinc/87/15/27/639871527.db2.gz DMVSGVMLQZPRHH-CYBMUJFWSA-N 0 3 240.391 2.591 20 0 BFADHN CCCCN(C)C(=O)[C@@H](N)C1CCCCCC1 ZINC000911922412 639880722 /nfs/dbraw/zinc/88/07/22/639880722.db2.gz CKONFWXXOUWIOQ-ZDUSSCGKSA-N 0 3 240.391 2.543 20 0 BFADHN CC12CC(C1)CN2C[C@H]1CC[C@H](C(F)(F)F)O1 ZINC000931078594 640060119 /nfs/dbraw/zinc/06/01/19/640060119.db2.gz AHHMCGMXVSRJSJ-WYNUPADASA-N 0 3 249.276 2.581 20 0 BFADHN CCc1onc(C)c1CN1CC2CC1(C)C2 ZINC000931078931 640060621 /nfs/dbraw/zinc/06/06/21/640060621.db2.gz FDTRUGCREJSRSW-UHFFFAOYSA-N 0 3 220.316 2.530 20 0 BFADHN C[C@H](c1ccc(F)nc1)N1CC2CC1(C)C2 ZINC000931081977 640062892 /nfs/dbraw/zinc/06/28/92/640062892.db2.gz WOFSAOWZLDKTBV-TTXORMCVSA-N 0 3 220.291 2.766 20 0 BFADHN Cc1nc(C)c(CN(C)C[C@@H]2CC2(C)C)[nH]1 ZINC000931082615 640063260 /nfs/dbraw/zinc/06/32/60/640063260.db2.gz SLZQYBUWGBETSL-NSHDSACASA-N 0 3 221.348 2.504 20 0 BFADHN Cc1nc(C)c(CN2CCCC3(CC3)CC2)[nH]1 ZINC000931335791 640162104 /nfs/dbraw/zinc/16/21/04/640162104.db2.gz XICRSCQPDSIGAP-UHFFFAOYSA-N 0 3 233.359 2.793 20 0 BFADHN C[C@@H]1CN(CC2CCCC2)Cc2c[nH]nc21 ZINC000931457604 640212835 /nfs/dbraw/zinc/21/28/35/640212835.db2.gz ZXZRVUQBCHYPCG-SNVBAGLBSA-N 0 3 219.332 2.519 20 0 BFADHN CCC[C@@H](C)N1Cc2c[nH]nc2[C@H](C)C1 ZINC000931461828 640220034 /nfs/dbraw/zinc/22/00/34/640220034.db2.gz ZOKRFVXDWYDRCF-NXEZZACHSA-N 0 3 207.321 2.517 20 0 BFADHN CC(C)=CCCN1Cc2c[nH]nc2[C@H](C)C1 ZINC000931464312 640222932 /nfs/dbraw/zinc/22/29/32/640222932.db2.gz AMSONXSGHJLCID-LLVKDONJSA-N 0 3 219.332 2.685 20 0 BFADHN Fc1cccc(CCN2CCC(F)CC2)c1 ZINC000931684760 640316758 /nfs/dbraw/zinc/31/67/58/640316758.db2.gz ARGNUSUBCOIUQQ-UHFFFAOYSA-N 0 3 225.282 2.802 20 0 BFADHN c1nc(CN[C@@H]2CCCc3ccccc32)c[nH]1 ZINC000054763630 640406201 /nfs/dbraw/zinc/40/62/01/640406201.db2.gz LCDBKKOWAPIIRH-CQSZACIVSA-N 0 3 227.311 2.577 20 0 BFADHN c1ncc(CN[C@@H]2CCCc3ccccc32)[nH]1 ZINC000054763630 640406207 /nfs/dbraw/zinc/40/62/07/640406207.db2.gz LCDBKKOWAPIIRH-CQSZACIVSA-N 0 3 227.311 2.577 20 0 BFADHN Cc1ccc([C@H](C)NCc2c[nH]cn2)s1 ZINC000054765217 640407955 /nfs/dbraw/zinc/40/79/55/640407955.db2.gz LIDRETZGAHBPDJ-VIFPVBQESA-N 0 3 221.329 2.630 20 0 BFADHN Cc1ccc([C@H](C)NCc2cnc[nH]2)s1 ZINC000054765217 640407961 /nfs/dbraw/zinc/40/79/61/640407961.db2.gz LIDRETZGAHBPDJ-VIFPVBQESA-N 0 3 221.329 2.630 20 0 BFADHN FC(F)(F)[C@H]1CN(CC2CCC2)CCS1 ZINC000932072250 640421402 /nfs/dbraw/zinc/42/14/02/640421402.db2.gz BXAQWLLNIIITPO-SECBINFHSA-N 0 3 239.306 2.766 20 0 BFADHN Cc1cc(NC[C@@H]2CCC[C@H](C)C2)nc(N)n1 ZINC000054982357 640426958 /nfs/dbraw/zinc/42/69/58/640426958.db2.gz DWHXVJFTKUGXIW-GXSJLCMTSA-N 0 3 234.347 2.605 20 0 BFADHN CCc1nn(C)c(Cl)c1CN[C@@H](C)C1CC1 ZINC000164080716 640439260 /nfs/dbraw/zinc/43/92/60/640439260.db2.gz IIUHSLSCCBYVAA-QMMMGPOBSA-N 0 3 241.766 2.524 20 0 BFADHN CC(C)(C)OC[C@@H]1CCCN1Cc1ccccn1 ZINC000932172932 640448497 /nfs/dbraw/zinc/44/84/97/640448497.db2.gz YWGIMCFVXCJBDT-AWEZNQCLSA-N 0 3 248.370 2.861 20 0 BFADHN COc1ccc(CN2CCO[C@@H](C)CC2)cc1C ZINC000932337200 640479995 /nfs/dbraw/zinc/47/99/95/640479995.db2.gz PZCUERQQQIFHDD-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@H]1CCN(Cc2ccccc2CF)CCO1 ZINC000932337732 640481940 /nfs/dbraw/zinc/48/19/40/640481940.db2.gz SCEDVSBMQDDDBJ-LBPRGKRZSA-N 0 3 237.318 2.767 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCO[C@H](C)CC1 ZINC000932346613 640487237 /nfs/dbraw/zinc/48/72/37/640487237.db2.gz WUFORTCPKSJUMU-NEPJUHHUSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H]1CCN(C/C=C\c2ccccc2)CCO1 ZINC000932348163 640489859 /nfs/dbraw/zinc/48/98/59/640489859.db2.gz CVIRHTSQRNMLMV-BMWLXYDHSA-N 0 3 231.339 2.811 20 0 BFADHN CCOc1ccc(CN2CCO[C@@H](C)CC2)cc1 ZINC000932348726 640491255 /nfs/dbraw/zinc/49/12/55/640491255.db2.gz GVNCLMDVKCUNPH-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN CCC(CC)CN[C@H](c1ncccn1)C1CC1 ZINC000932352794 640497178 /nfs/dbraw/zinc/49/71/78/640497178.db2.gz FCFVSLLNEVTTGU-ZDUSSCGKSA-N 0 3 233.359 2.954 20 0 BFADHN COc1c(C)cnc(CN[C@H]2CC2(C)C)c1C ZINC000045134146 640509633 /nfs/dbraw/zinc/50/96/33/640509633.db2.gz PWGFGOSUERDSEQ-LBPRGKRZSA-N 0 3 234.343 2.595 20 0 BFADHN C/C=C/CN[C@H](c1ccccn1)C1CC1 ZINC000932383310 640511365 /nfs/dbraw/zinc/51/13/65/640511365.db2.gz XPPUQGYQMNNASX-IBUXWKBASA-N 0 3 202.301 2.698 20 0 BFADHN Cc1cccc(Cl)c1CN[C@@H]1COC[C@@H]1C ZINC000932487351 640552698 /nfs/dbraw/zinc/55/26/98/640552698.db2.gz AEPLGWTWNRQUIT-GXFFZTMASA-N 0 3 239.746 2.773 20 0 BFADHN CCC(C)(CC)NC(=O)[C@@H]1CCCN1C(C)C ZINC000932737075 640605080 /nfs/dbraw/zinc/60/50/80/640605080.db2.gz KCWQQMDPFOCCKF-LBPRGKRZSA-N 0 3 240.391 2.554 20 0 BFADHN CC(=O)CN1C[C@H](c2ccccc2)CC1(C)C ZINC000932898305 640642633 /nfs/dbraw/zinc/64/26/33/640642633.db2.gz KQQXXQDZSVIXKG-CQSZACIVSA-N 0 3 231.339 2.844 20 0 BFADHN C1=C[C@@H](N2CCOC[C@@H]2CC2CC2)CCC1 ZINC000933210052 640679163 /nfs/dbraw/zinc/67/91/63/640679163.db2.gz LFORGHBMGGAOLK-KGLIPLIRSA-N 0 3 221.344 2.596 20 0 BFADHN COc1ccc([C@@H](C)NCc2cnc[nH]2)cc1C ZINC000933484389 640722073 /nfs/dbraw/zinc/72/20/73/640722073.db2.gz JHEBUNOMEWRZCY-LLVKDONJSA-N 0 3 245.326 2.578 20 0 BFADHN c1cn(CCCN(Cc2ccoc2)C2CC2)cn1 ZINC000934001898 640804286 /nfs/dbraw/zinc/80/42/86/640804286.db2.gz KLTHFPKWMFXLIR-UHFFFAOYSA-N 0 3 245.326 2.531 20 0 BFADHN c1cc(CN(CCc2cccnc2)C2CC2)co1 ZINC000934006325 640806300 /nfs/dbraw/zinc/80/63/00/640806300.db2.gz ZNAYDHPYLCDEQL-UHFFFAOYSA-N 0 3 242.322 2.882 20 0 BFADHN C[C@@H]1CCC[C@H](c2noc([C@@]3(C)CCCN3)n2)C1 ZINC000227069730 640813904 /nfs/dbraw/zinc/81/39/04/640813904.db2.gz VRNFXSWCUKDODU-UHIISALHSA-N 0 3 249.358 2.962 20 0 BFADHN CSCC[C@H](C)NCc1nc(C)c(C)s1 ZINC000228913411 640904751 /nfs/dbraw/zinc/90/47/51/640904751.db2.gz JLQGFJLEFRFOCA-QMMMGPOBSA-N 0 3 244.429 2.991 20 0 BFADHN CCCCCCNC(=O)CN1CCCCCC1 ZINC000061744882 640991872 /nfs/dbraw/zinc/99/18/72/640991872.db2.gz URQRTRMHIJBVCJ-UHFFFAOYSA-N 0 3 240.391 2.559 20 0 BFADHN Cc1ccccc1[C@H](C)NC1COC(C)(C)OC1 ZINC000230681502 641082642 /nfs/dbraw/zinc/08/26/42/641082642.db2.gz VYXDNUKVRBNGQE-LBPRGKRZSA-N 0 3 249.354 2.797 20 0 BFADHN CO[C@@H]1CC[C@H]1N(C)Cc1ccc(F)c(F)c1 ZINC000934129977 641088860 /nfs/dbraw/zinc/08/88/60/641088860.db2.gz JSFXRMNCNBLYBM-CHWSQXEVSA-N 0 3 241.281 2.574 20 0 BFADHN CCCCC1(NCc2cnc(Cl)cn2)CC1 ZINC000934205163 641101939 /nfs/dbraw/zinc/10/19/39/641101939.db2.gz JWCHSIDYCWSPLL-UHFFFAOYSA-N 0 3 239.750 2.942 20 0 BFADHN Cc1ncc(CN2CCCSC[C@@H]2C)s1 ZINC000934296330 641117532 /nfs/dbraw/zinc/11/75/32/641117532.db2.gz DPCXBOLZFCSINA-VIFPVBQESA-N 0 3 242.413 2.779 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cnc(Cl)cn1 ZINC000231276776 641152220 /nfs/dbraw/zinc/15/22/20/641152220.db2.gz BYFLHQSHUBNCFU-SECBINFHSA-N 0 3 227.739 2.606 20 0 BFADHN C[C@@H](N(C)Cc1cnc(Cl)cn1)C(C)(C)C ZINC000231279765 641150607 /nfs/dbraw/zinc/15/06/07/641150607.db2.gz HIHYMAQBSHQAAB-SECBINFHSA-N 0 3 241.766 2.996 20 0 BFADHN C[C@H](N(C)Cc1cnc(Cl)cn1)C(C)(C)C ZINC000231279774 641151698 /nfs/dbraw/zinc/15/16/98/641151698.db2.gz HIHYMAQBSHQAAB-VIFPVBQESA-N 0 3 241.766 2.996 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cnc(Cl)cn1 ZINC000231276437 641152117 /nfs/dbraw/zinc/15/21/17/641152117.db2.gz PROOEIXIEYGNNY-SECBINFHSA-N 0 3 227.739 2.608 20 0 BFADHN CC(C)SCCN1CCOC[C@@]1(C)C1CC1 ZINC000934477713 641169617 /nfs/dbraw/zinc/16/96/17/641169617.db2.gz GNPLHAYCCVBVDN-ZDUSSCGKSA-N 0 3 243.416 2.629 20 0 BFADHN CCOc1cccc(CNCc2cccn2C)c1 ZINC000050595887 641191092 /nfs/dbraw/zinc/19/10/92/641191092.db2.gz ULYMFIDTOIZRMY-UHFFFAOYSA-N 0 3 244.338 2.714 20 0 BFADHN Cc1nc(CN[C@@H]2CC=CC[C@H]2C)cs1 ZINC000934620817 641218067 /nfs/dbraw/zinc/21/80/67/641218067.db2.gz HVDVKCILABJEIL-BXKDBHETSA-N 0 3 222.357 2.896 20 0 BFADHN CCc1ccc(CNC(C)(C)CSC)nc1 ZINC000934670006 641241503 /nfs/dbraw/zinc/24/15/03/641241503.db2.gz MHTVSQDMSWHIET-UHFFFAOYSA-N 0 3 238.400 2.875 20 0 BFADHN c1cc(CN[C@@H](c2ccncc2)C2CC2)ccn1 ZINC000934690293 641246685 /nfs/dbraw/zinc/24/66/85/641246685.db2.gz BHKUNZVJAUMFBZ-OAHLLOKOSA-N 0 3 239.322 2.718 20 0 BFADHN c1cc(CN[C@H](c2ccncc2)C2CC2)ccn1 ZINC000934690292 641247364 /nfs/dbraw/zinc/24/73/64/641247364.db2.gz BHKUNZVJAUMFBZ-HNNXBMFYSA-N 0 3 239.322 2.718 20 0 BFADHN CCOC(=O)[C@H](CC)N1CCC2(CCCC2)C1 ZINC000174261587 641264530 /nfs/dbraw/zinc/26/45/30/641264530.db2.gz IQZJLKSXSHSQQQ-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN c1nnsc1CNC1(C2CCCCC2)CC1 ZINC000934789389 641272477 /nfs/dbraw/zinc/27/24/77/641272477.db2.gz HFNMWLXRHWGXMG-UHFFFAOYSA-N 0 3 237.372 2.741 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@H]1CC1(C)C ZINC000925391727 641420685 /nfs/dbraw/zinc/42/06/85/641420685.db2.gz AJWCGRNXSHZPRY-MNOVXSKESA-N 0 3 234.343 2.996 20 0 BFADHN CC(C)n1cc([C@H](C)NC[C@@H]2CC2(C)C)nn1 ZINC000925394247 641425152 /nfs/dbraw/zinc/42/51/52/641425152.db2.gz VNJOFJQVEPGNRZ-QWRGUYRKSA-N 0 3 236.363 2.556 20 0 BFADHN CCCCN(CCCC)c1ccnc(CO)c1 ZINC000040865102 641537590 /nfs/dbraw/zinc/53/75/90/641537590.db2.gz LQUVCVBAHUWMFD-UHFFFAOYSA-N 0 3 236.359 2.981 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCN(C)[C@@H](C)C1 ZINC000761071035 641571506 /nfs/dbraw/zinc/57/15/06/641571506.db2.gz BICGQNKJZHRJIV-NWDGAFQWSA-N 0 3 236.334 2.523 20 0 BFADHN Cc1cc(N[C@@H]2CC[C@H](C)[C@H](C)C2)nc(N)n1 ZINC000156284339 641603888 /nfs/dbraw/zinc/60/38/88/641603888.db2.gz JTKGZWSKUXNLLN-IQJOONFLSA-N 0 3 234.347 2.604 20 0 BFADHN CCCCCC[C@@H](C)NCc1nncn1CC ZINC000158489684 641638091 /nfs/dbraw/zinc/63/80/91/641638091.db2.gz YHIOUMNKFMLQNV-GFCCVEGCSA-N 0 3 238.379 2.747 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1cccnc1Cl ZINC000159133640 641668177 /nfs/dbraw/zinc/66/81/77/641668177.db2.gz GQWQEEPKWKVCOW-NXEZZACHSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2COC[C@@H]2C2CC2)c1 ZINC000914433825 641703615 /nfs/dbraw/zinc/70/36/15/641703615.db2.gz XFQZLWHDBUARMH-UKRRQHHQSA-N 0 3 249.329 2.649 20 0 BFADHN CC[C@@H](C)N1CCC(C(=O)C(F)(F)F)CC1 ZINC000187728997 641721479 /nfs/dbraw/zinc/72/14/79/641721479.db2.gz CTOAHSJHOKWBKT-MRVPVSSYSA-N 0 3 237.265 2.628 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CN1CCOCC1 ZINC000628116857 641858270 /nfs/dbraw/zinc/85/82/70/641858270.db2.gz HHDDVOQVKFEGHY-OLZOCXBDSA-N 0 3 211.349 2.535 20 0 BFADHN CCC(C)(C)CNCc1c(Cl)c(C)nn1C ZINC000232902298 642221769 /nfs/dbraw/zinc/22/17/69/642221769.db2.gz GBKUEYXQKANSMQ-UHFFFAOYSA-N 0 3 243.782 2.908 20 0 BFADHN Cc1cc(N2CC[C@H](CC(C)(C)C)C2)nc(N)n1 ZINC000307813656 642317376 /nfs/dbraw/zinc/31/73/76/642317376.db2.gz RGBPSUKNYGZYLJ-LLVKDONJSA-N 0 3 248.374 2.630 20 0 BFADHN C[C@@H](NC[C@](C)(O)c1ccccc1)c1ccoc1 ZINC000160741307 642318135 /nfs/dbraw/zinc/31/81/35/642318135.db2.gz ISNUESBXVXPDPO-DOMZBBRYSA-N 0 3 245.322 2.838 20 0 BFADHN CCCCNCc1c(Cl)n[nH]c1CC ZINC000921472251 642631050 /nfs/dbraw/zinc/63/10/50/642631050.db2.gz AAHUFXUZUWIPQI-UHFFFAOYSA-N 0 3 215.728 2.515 20 0 BFADHN S=c1ncccn1CN1CCC[C@@H]1C1CCC1 ZINC000170842348 642694478 /nfs/dbraw/zinc/69/44/78/642694478.db2.gz PBPXIQMFAKRUOH-GFCCVEGCSA-N 0 3 249.383 2.835 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc2ccccc2n1 ZINC000353222122 642759889 /nfs/dbraw/zinc/75/98/89/642759889.db2.gz UFYNOZBUYHJAKX-LBPRGKRZSA-N 0 3 244.338 2.702 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc2ccccc2n1 ZINC000353222121 642760476 /nfs/dbraw/zinc/76/04/76/642760476.db2.gz UFYNOZBUYHJAKX-GFCCVEGCSA-N 0 3 244.338 2.702 20 0 BFADHN CCN(C)Cc1cn(-c2cccc(C)c2)nc1C ZINC000651611670 642767998 /nfs/dbraw/zinc/76/79/98/642767998.db2.gz GUJJZCHOMHJOPM-UHFFFAOYSA-N 0 3 243.354 2.941 20 0 BFADHN COc1ccc(Cl)cc1CNCCSC ZINC000070184574 642989343 /nfs/dbraw/zinc/98/93/43/642989343.db2.gz ZSNJTMVQOXDXHS-UHFFFAOYSA-N 0 3 245.775 2.801 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccco1)c1ccsc1 ZINC000070797464 643071028 /nfs/dbraw/zinc/07/10/28/643071028.db2.gz YTCMASBQNQQBGI-GXSJLCMTSA-N 0 3 237.324 2.725 20 0 BFADHN CCOC(=O)/C=C/CN[C@@H](CC)c1ccccc1 ZINC000131052313 643189079 /nfs/dbraw/zinc/18/90/79/643189079.db2.gz SUJADZMANFTVLW-ZHZWZMEUSA-N 0 3 247.338 2.847 20 0 BFADHN Cc1ccc([C@H](NCc2c[nH]cn2)C2CC2)cc1 ZINC000112340397 643328111 /nfs/dbraw/zinc/32/81/11/643328111.db2.gz YABQJQSXMMLXBX-HNNXBMFYSA-N 0 3 241.338 2.959 20 0 BFADHN Cc1ccc([C@H](NCc2cnc[nH]2)C2CC2)cc1 ZINC000112340397 643328114 /nfs/dbraw/zinc/32/81/14/643328114.db2.gz YABQJQSXMMLXBX-HNNXBMFYSA-N 0 3 241.338 2.959 20 0 BFADHN Clc1ccc2c(c1)CC[C@H]2NCc1cnc[nH]1 ZINC000112344031 643333355 /nfs/dbraw/zinc/33/33/55/643333355.db2.gz HPJATTHTEPGCPT-CYBMUJFWSA-N 0 3 247.729 2.840 20 0 BFADHN CCCCNCc1nc2c(s1)CCC2 ZINC000093052612 643390153 /nfs/dbraw/zinc/39/01/53/643390153.db2.gz VNYRENYNCVNNNI-UHFFFAOYSA-N 0 3 210.346 2.522 20 0 BFADHN CC1=CCCN(C[C@@H]2CC2(Cl)Cl)C1 ZINC000307552894 643502874 /nfs/dbraw/zinc/50/28/74/643502874.db2.gz QXNSAVBGXBBKIB-VIFPVBQESA-N 0 3 220.143 2.832 20 0 BFADHN COCCOc1ccc(CN2C[C@@H](C)[C@@H]2C)cc1 ZINC000816648466 643557981 /nfs/dbraw/zinc/55/79/81/643557981.db2.gz LADJRAXQLZXNGB-OLZOCXBDSA-N 0 3 249.354 2.552 20 0 BFADHN CO[C@H]1CCC[C@@H]1NCc1ccc(F)cc1F ZINC000049948977 643565737 /nfs/dbraw/zinc/56/57/37/643565737.db2.gz IMTJUVUJLRMSLH-STQMWFEESA-N 0 3 241.281 2.622 20 0 BFADHN CO[C@H]1CCC[C@@H]1NCc1ccccc1Cl ZINC000049948917 643566353 /nfs/dbraw/zinc/56/63/53/643566353.db2.gz AWTXBKJUKWBKMP-STQMWFEESA-N 0 3 239.746 2.997 20 0 BFADHN CO[C@@H]1CCC[C@@H]1NCc1ccc(F)cc1F ZINC000049948976 643566932 /nfs/dbraw/zinc/56/69/32/643566932.db2.gz IMTJUVUJLRMSLH-QWHCGFSZSA-N 0 3 241.281 2.622 20 0 BFADHN CCN(Cc1ccoc1)C[C@H](O)c1ccccc1 ZINC000303359702 643579467 /nfs/dbraw/zinc/57/94/67/643579467.db2.gz BEUUKNHVMBIGAN-HNNXBMFYSA-N 0 3 245.322 2.835 20 0 BFADHN Cc1cc(NCC[C@@H]2CCC[C@@H](C)C2)nc(N)n1 ZINC000083629997 643598971 /nfs/dbraw/zinc/59/89/71/643598971.db2.gz NOTDWXGLDLVLBE-PWSUYJOCSA-N 0 3 248.374 2.996 20 0 BFADHN Cc1cc(N2CCC[C@@H]2C2CCCC2)nc(N)n1 ZINC000048626103 643604116 /nfs/dbraw/zinc/60/41/16/643604116.db2.gz RNHFDGSRWGWQHZ-GFCCVEGCSA-N 0 3 246.358 2.526 20 0 BFADHN Cc1cc(N2CCC(C(C)(C)C)CC2)nc(N)n1 ZINC000083662344 643604711 /nfs/dbraw/zinc/60/47/11/643604711.db2.gz RZVJWQLRNAWMHF-UHFFFAOYSA-N 0 3 248.374 2.630 20 0 BFADHN FC1(F)CC[C@H](NCc2ccoc2)C1 ZINC000307747867 643623587 /nfs/dbraw/zinc/62/35/87/643623587.db2.gz AEKUGYFJUFAAFD-VIFPVBQESA-N 0 3 201.216 2.557 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1ncc(Cl)n1C ZINC000083864950 643626789 /nfs/dbraw/zinc/62/67/89/643626789.db2.gz VLUBAARNNJJPEV-ZJUUUORDSA-N 0 3 243.782 2.988 20 0 BFADHN Cc1nn(C)c(CN[C@H]2CC=CCC2)c1Cl ZINC000084270924 643655826 /nfs/dbraw/zinc/65/58/26/643655826.db2.gz UGDHSGMFEQCOSD-JTQLQIEISA-N 0 3 239.750 2.580 20 0 BFADHN CC(C)(C)C(=O)CCN1CCC(C(F)F)CC1 ZINC000307852333 643686895 /nfs/dbraw/zinc/68/68/95/643686895.db2.gz GNWVCEDNZWPYSB-UHFFFAOYSA-N 0 3 247.329 2.969 20 0 BFADHN CCc1ncc(CN2CCCCCC2)o1 ZINC000929233402 643754419 /nfs/dbraw/zinc/75/44/19/643754419.db2.gz GSBLODHZAQSSQD-UHFFFAOYSA-N 0 3 208.305 2.613 20 0 BFADHN CCc1[nH]nc(Cl)c1CNC1CC(C)C1 ZINC000921810245 643799505 /nfs/dbraw/zinc/79/95/05/643799505.db2.gz OIYDXZGWLNKGOF-UHFFFAOYSA-N 0 3 227.739 2.514 20 0 BFADHN CC(C)=CCNCc1cnn(C2CCC2)c1 ZINC000922046059 643853382 /nfs/dbraw/zinc/85/33/82/643853382.db2.gz GHXSJWCMWUCCRJ-UHFFFAOYSA-N 0 3 219.332 2.664 20 0 BFADHN CC/C=C/CNCc1cccc2[nH]c(=O)oc21 ZINC000922155950 643875640 /nfs/dbraw/zinc/87/56/40/643875640.db2.gz IKXMCZNHNCJGJL-ONEGZZNKSA-N 0 3 232.283 2.589 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccccc1)c1ccco1 ZINC000035188408 643914302 /nfs/dbraw/zinc/91/43/02/643914302.db2.gz QZGTYCZTXUEEPJ-YPMHNXCESA-N 0 3 231.295 2.664 20 0 BFADHN C/C=C\CNCc1cn(C)nc1C1CCCC1 ZINC000922293312 643957723 /nfs/dbraw/zinc/95/77/23/643957723.db2.gz JXINOEKSNYHXCR-ARJAWSKDSA-N 0 3 233.359 2.743 20 0 BFADHN COC(OC)[C@@H](C)NCc1ccc2occc2c1 ZINC000922312549 643966769 /nfs/dbraw/zinc/96/67/69/643966769.db2.gz ATCIYMWPTHMTNJ-SNVBAGLBSA-N 0 3 249.310 2.530 20 0 BFADHN Cc1coc(CN[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)c1 ZINC000922508831 644007217 /nfs/dbraw/zinc/00/72/17/644007217.db2.gz BGSNZIPZJRSXJY-FOUMNBMASA-N 0 3 205.301 2.722 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)c1F ZINC000922511142 644010150 /nfs/dbraw/zinc/01/01/50/644010150.db2.gz SSZREFYTBJCADV-FOUMNBMASA-N 0 3 249.329 2.968 20 0 BFADHN Cc1cc(CN[C@@H]2CCO[C@H]2C2CC2)c(C)o1 ZINC000922519168 644011090 /nfs/dbraw/zinc/01/10/90/644011090.db2.gz WJZABSHMCFTIOK-KGLIPLIRSA-N 0 3 235.327 2.554 20 0 BFADHN Cn1ccc(CNC(C)(C)/C=C\Cl)c1 ZINC000922648518 644053555 /nfs/dbraw/zinc/05/35/55/644053555.db2.gz MMQWPGNERMSRKR-WAYWQWQTSA-N 0 3 212.724 2.646 20 0 BFADHN Cc1ccc(CNC[C@@]2(C)CCCCO2)o1 ZINC000922812391 644099423 /nfs/dbraw/zinc/09/94/23/644099423.db2.gz RNOYQOSQYAXJFH-CYBMUJFWSA-N 0 3 223.316 2.637 20 0 BFADHN C[C@]1(CNCc2ccc(Cl)o2)CCCCO1 ZINC000922817985 644107172 /nfs/dbraw/zinc/10/71/72/644107172.db2.gz SBVPUHIKQJAUJA-GFCCVEGCSA-N 0 3 243.734 2.982 20 0 BFADHN CCC[C@@H](O)CCNCc1cc(F)ccc1F ZINC000922823335 644112069 /nfs/dbraw/zinc/11/20/69/644112069.db2.gz BUDZFGXIXUCCST-GFCCVEGCSA-N 0 3 243.297 2.606 20 0 BFADHN Cc1csc(CN[C@H]2CCS[C@H](C)C2)n1 ZINC000922836928 644113479 /nfs/dbraw/zinc/11/34/79/644113479.db2.gz PLPDFDRBKNHXRV-ZJUUUORDSA-N 0 3 242.413 2.825 20 0 BFADHN S=C1SCCN1CN1CCCCCCC1 ZINC000073879808 644142119 /nfs/dbraw/zinc/14/21/19/644142119.db2.gz FRBKZZWMPCKZMB-UHFFFAOYSA-N 0 3 244.429 2.544 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@H](CO)C2)c(Cl)c1 ZINC000923004544 644230258 /nfs/dbraw/zinc/23/02/58/644230258.db2.gz GISSAJGRMIPUKC-UMSPYCQHSA-N 0 3 239.746 2.509 20 0 BFADHN Oc1cccc(CNC2([C@@H]3CCCCO3)CC2)c1 ZINC000923027253 644237297 /nfs/dbraw/zinc/23/72/97/644237297.db2.gz JCILGGMKZCOGOT-AWEZNQCLSA-N 0 3 247.338 2.584 20 0 BFADHN Cc1ccc(CNC2([C@@H]3CCCCO3)CC2)cn1 ZINC000923031861 644240315 /nfs/dbraw/zinc/24/03/15/644240315.db2.gz YSNRMFUNVAQKKK-AWEZNQCLSA-N 0 3 246.354 2.581 20 0 BFADHN CCCCCCNCc1ccoc1C(=O)OC ZINC000035224264 644430241 /nfs/dbraw/zinc/43/02/41/644430241.db2.gz GWNDWACRBQVPBD-UHFFFAOYSA-N 0 3 239.315 2.736 20 0 BFADHN CCC(C)(C)NCc1ccsc1C(=O)OC ZINC000088484694 644522191 /nfs/dbraw/zinc/52/21/91/644522191.db2.gz MSDCVQHEWLZWCE-UHFFFAOYSA-N 0 3 241.356 2.813 20 0 BFADHN CCCOC(=O)[C@H](C)N(CC)[C@H](C)C(C)C ZINC000246955716 644613410 /nfs/dbraw/zinc/61/34/10/644613410.db2.gz RGWKHYFJYYEHNM-NEPJUHHUSA-N 0 3 229.364 2.695 20 0 BFADHN CC[C@H]1CCCC[C@@H]1Nc1cc(C)nc(N)n1 ZINC000091015664 644611160 /nfs/dbraw/zinc/61/11/60/644611160.db2.gz RDAHQFBUGDDODT-QWRGUYRKSA-N 0 3 234.347 2.748 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(Cl)n2)[C@H]1C ZINC000248371461 644676374 /nfs/dbraw/zinc/67/63/74/644676374.db2.gz YQUUFUYNFZLHFU-ZJUUUORDSA-N 0 3 224.735 2.965 20 0 BFADHN C(CC1CCC1)NCc1nc2c(s1)CCC2 ZINC000093081521 644676815 /nfs/dbraw/zinc/67/68/15/644676815.db2.gz CWFRVOWMDIZPAX-UHFFFAOYSA-N 0 3 236.384 2.912 20 0 BFADHN CC(C)(C)CNCc1nc2c(s1)CCC2 ZINC000093065166 644677158 /nfs/dbraw/zinc/67/71/58/644677158.db2.gz VRCRBUHTKMNQAH-UHFFFAOYSA-N 0 3 224.373 2.768 20 0 BFADHN CCCN(C)Cn1nc(Cl)c2ccccc21 ZINC000093911637 644701460 /nfs/dbraw/zinc/70/14/60/644701460.db2.gz BAIBURSUIXQOCA-UHFFFAOYSA-N 0 3 237.734 2.989 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccccc1)c1ccoc1 ZINC000094867805 644731934 /nfs/dbraw/zinc/73/19/34/644731934.db2.gz AFWBIUFUUJUUOS-RISCZKNCSA-N 0 3 231.295 2.664 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CCCSC1)CO2 ZINC000783517196 644756409 /nfs/dbraw/zinc/75/64/09/644756409.db2.gz GJYBWNWBKHBENF-DGCLKSJQSA-N 0 3 249.379 2.914 20 0 BFADHN C[C@H](CNCc1cscn1)C(C)(C)C ZINC000096610175 644827422 /nfs/dbraw/zinc/82/74/22/644827422.db2.gz QZHLWLMVVJNFTP-SECBINFHSA-N 0 3 212.362 2.915 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)Cc2ccco2)nn1C ZINC000800472842 644834381 /nfs/dbraw/zinc/83/43/81/644834381.db2.gz BYTRAAKJFPKWMF-CMPLNLGQSA-N 0 3 247.342 2.603 20 0 BFADHN C[C@H](N(C)CN1CC(C)(C)CC1=O)C(C)(C)C ZINC000808138538 644874730 /nfs/dbraw/zinc/87/47/30/644874730.db2.gz OGQRQOFDBPKDGQ-NSHDSACASA-N 0 3 240.391 2.569 20 0 BFADHN Cc1cc(CN[C@H]2COC(C)(C)C2)c(C)o1 ZINC000841864612 645235467 /nfs/dbraw/zinc/23/54/67/645235467.db2.gz WICBBDFFBNTXHW-GFCCVEGCSA-N 0 3 223.316 2.554 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1ccns1 ZINC000926548326 645261517 /nfs/dbraw/zinc/26/15/17/645261517.db2.gz DZEGMRJPLRTUGE-HBNTYKKESA-N 0 3 240.372 2.751 20 0 BFADHN CCc1nc(CNC2[C@@H](C)CC[C@@H]2C)co1 ZINC000926536234 645263135 /nfs/dbraw/zinc/26/31/35/645263135.db2.gz LCYCLTRBSUBXQC-UWVGGRQHSA-N 0 3 222.332 2.761 20 0 BFADHN CCc1nc(CN[C@H]2[C@H](C)CC[C@@H]2C)co1 ZINC000926536232 645264397 /nfs/dbraw/zinc/26/43/97/645264397.db2.gz LCYCLTRBSUBXQC-IWIIMEHWSA-N 0 3 222.332 2.761 20 0 BFADHN C[C@H](NCC(C)(C)C1=CCCC1)c1cnn(C)n1 ZINC000926557826 645279103 /nfs/dbraw/zinc/27/91/03/645279103.db2.gz NKLOTXLXBDETNZ-NSHDSACASA-N 0 3 248.374 2.602 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2c(C)noc2C)C1 ZINC000926564538 645284887 /nfs/dbraw/zinc/28/48/87/645284887.db2.gz VKXWELGVMJODRA-KPXOXKRLSA-N 0 3 238.331 2.510 20 0 BFADHN C[C@@H]1C[C@@H](NC2(C3CCC3)CCC2)c2ncnn21 ZINC000926574253 645292547 /nfs/dbraw/zinc/29/25/47/645292547.db2.gz UGMVLJWAALPONQ-ZYHUDNBSSA-N 0 3 246.358 2.596 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@H]1CCC[C@@H]1C1CC1 ZINC000926577525 645295340 /nfs/dbraw/zinc/29/53/40/645295340.db2.gz XEGCYRIUGZRMMM-ZWKOPEQDSA-N 0 3 249.358 2.855 20 0 BFADHN COc1ccnc([C@@H](C)N[C@H]2CC2(C)C)c1 ZINC000926580313 645298574 /nfs/dbraw/zinc/29/85/74/645298574.db2.gz OOZJFYQGHNLLDF-SKDRFNHKSA-N 0 3 220.316 2.539 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1ccnn1CC1CCC1 ZINC000926613698 645313342 /nfs/dbraw/zinc/31/33/42/645313342.db2.gz DQBXZIYMMDULIG-RISCZKNCSA-N 0 3 245.370 2.886 20 0 BFADHN C[C@@H]([NH2+][C@@H]1C[C@H]2C[C@H]2C1)c1cc([O-])cc(F)c1 ZINC000926618124 645318613 /nfs/dbraw/zinc/31/86/13/645318613.db2.gz ZKLQCOGVYXVUHZ-GMTLJSCSSA-N 0 3 235.302 2.980 20 0 BFADHN C[C@H]1COC[C@H]1NC1(c2ccccc2F)CCC1 ZINC000926633106 645325290 /nfs/dbraw/zinc/32/52/90/645325290.db2.gz JLKSKYMOUPGMSF-SMDDNHRTSA-N 0 3 249.329 2.829 20 0 BFADHN Cc1nonc1[C@@H](C)NCC1CCC(F)CC1 ZINC000926640534 645328304 /nfs/dbraw/zinc/32/83/04/645328304.db2.gz YYTFSGXCGBYFGD-MFAVDMRSSA-N 0 3 241.310 2.557 20 0 BFADHN Clc1cnc(CNC2(C3CCC3)CC2)cn1 ZINC000844419152 645330771 /nfs/dbraw/zinc/33/07/71/645330771.db2.gz XDJGYCHZIHXTHR-UHFFFAOYSA-N 0 3 237.734 2.552 20 0 BFADHN C[C@@H](N[C@@H](C)COCC1CC1)c1ccns1 ZINC000926648544 645335024 /nfs/dbraw/zinc/33/50/24/645335024.db2.gz AKHDSIZPMVQKHC-VHSXEESVSA-N 0 3 240.372 2.609 20 0 BFADHN CC[C@H]1COC[C@H]1NCc1cccc(C)c1OC ZINC000926665200 645344911 /nfs/dbraw/zinc/34/49/11/645344911.db2.gz WOZBIMUZCVYHBA-GXTWGEPZSA-N 0 3 249.354 2.518 20 0 BFADHN C[C@@H](N[C@H]1C=CCC1)c1ccnn1C1CCC1 ZINC000926689337 645360721 /nfs/dbraw/zinc/36/07/21/645360721.db2.gz JRUXEQGLJXMMRX-NEPJUHHUSA-N 0 3 231.343 2.977 20 0 BFADHN C[C@H](N[C@@H]1C=CCC1)c1cc(O)cc(F)c1 ZINC000926693004 645362078 /nfs/dbraw/zinc/36/20/78/645362078.db2.gz ZWHQBMMAUHTLKE-JOYOIKCWSA-N 0 3 221.275 2.901 20 0 BFADHN C[C@H]([NH2+][C@@H]1C=CCC1)c1cc([O-])cc(F)c1 ZINC000926693004 645362084 /nfs/dbraw/zinc/36/20/84/645362084.db2.gz ZWHQBMMAUHTLKE-JOYOIKCWSA-N 0 3 221.275 2.901 20 0 BFADHN CC(C)[C@H](N[C@@H](C)c1ncco1)C1CC1 ZINC000926721490 645384278 /nfs/dbraw/zinc/38/42/78/645384278.db2.gz YGSZLEOZXIIRFW-ONGXEEELSA-N 0 3 208.305 2.760 20 0 BFADHN C[C@@H](NCc1ccco1)[C@](C)(O)c1ccccc1 ZINC000846040607 645392197 /nfs/dbraw/zinc/39/21/97/645392197.db2.gz WLNDQWXJMYOGHL-DOMZBBRYSA-N 0 3 245.322 2.665 20 0 BFADHN CCN(Cc1cc(C)oc1C)[C@H]1CCCOC1 ZINC000846053724 645395590 /nfs/dbraw/zinc/39/55/90/645395590.db2.gz XEOQSIHXSGHJGL-AWEZNQCLSA-N 0 3 237.343 2.897 20 0 BFADHN CC(C)CC[C@H](CO)N[C@H](C)c1ccns1 ZINC000926752625 645403929 /nfs/dbraw/zinc/40/39/29/645403929.db2.gz HGMOPLBCHDSWCS-GHMZBOCLSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@@H]1C[C@H](NCC[C@H]2CC=CCC2)c2ncnn21 ZINC000926759372 645407630 /nfs/dbraw/zinc/40/76/30/645407630.db2.gz KGVQXTDKFUTRCA-AGIUHOORSA-N 0 3 246.358 2.620 20 0 BFADHN C/C=C\CN[C@@H](C)c1cccc2c1OCO2 ZINC000926769674 645413687 /nfs/dbraw/zinc/41/36/87/645413687.db2.gz IGERVOIXGVLRNF-XOULXFPDSA-N 0 3 219.284 2.642 20 0 BFADHN C/C=C/CN[C@H](C)c1cccc2c1OCO2 ZINC000926769672 645414602 /nfs/dbraw/zinc/41/46/02/645414602.db2.gz IGERVOIXGVLRNF-HMDXOVGESA-N 0 3 219.284 2.642 20 0 BFADHN C[C@@H](NCC1(CC2CC2)CC1)c1cn(C)cn1 ZINC000926839785 645448355 /nfs/dbraw/zinc/44/83/55/645448355.db2.gz BIIPLUAGAOCLMI-LLVKDONJSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1nonc1CN[C@@H]1CCC[C@H]1C(C)(C)C ZINC000926839548 645449588 /nfs/dbraw/zinc/44/95/88/645449588.db2.gz JYPWMDMLBKYDIU-GHMZBOCLSA-N 0 3 237.347 2.682 20 0 BFADHN CCC[C@@H]1C[C@H]1N[C@H](C)c1cc(OC)ccn1 ZINC000925486437 645452785 /nfs/dbraw/zinc/45/27/85/645452785.db2.gz SHDFUUFIVNGMDN-JTNHKYCSSA-N 0 3 234.343 2.929 20 0 BFADHN Cn1nc(CN[C@H]2CCCC23CC3)cc1C1CC1 ZINC000927107827 645551053 /nfs/dbraw/zinc/55/10/53/645551053.db2.gz LTVAZLVYDBOWQW-AWEZNQCLSA-N 0 3 245.370 2.720 20 0 BFADHN C[C@@H](CC1CCC1)NCc1cc(C2CC2)n(C)n1 ZINC000927113301 645551474 /nfs/dbraw/zinc/55/14/74/645551474.db2.gz YQCASQWVCWUVRU-NSHDSACASA-N 0 3 247.386 2.966 20 0 BFADHN CCC[C@H](C)NCc1cc(C2CC2)n(C)n1 ZINC000927113604 645551567 /nfs/dbraw/zinc/55/15/67/645551567.db2.gz KRWIXWOOMVGFJO-JTQLQIEISA-N 0 3 221.348 2.576 20 0 BFADHN CN(C)Cc1ccc(-c2ccns2)s1 ZINC000929084641 645933912 /nfs/dbraw/zinc/93/39/12/645933912.db2.gz HKCAQNNRWFWWJG-UHFFFAOYSA-N 0 3 224.354 2.933 20 0 BFADHN CC(C)N1CCC[C@H]1C(=O)N(C)c1ccccc1 ZINC000929122120 645956250 /nfs/dbraw/zinc/95/62/50/645956250.db2.gz QDAQSKVJEZLCLB-AWEZNQCLSA-N 0 3 246.354 2.522 20 0 BFADHN C[C@@H](c1ncccn1)N1CCCCCCC1 ZINC000929128909 645961383 /nfs/dbraw/zinc/96/13/83/645961383.db2.gz SECPRCJRKBWALQ-LBPRGKRZSA-N 0 3 219.332 2.804 20 0 BFADHN COC/C(C)=C\CN(C)Cc1ccc(F)cc1 ZINC000929136615 645963926 /nfs/dbraw/zinc/96/39/26/645963926.db2.gz PWBCAXDTDZGJGM-WQLSENKSSA-N 0 3 237.318 2.850 20 0 BFADHN CN(CCc1ccns1)Cc1ccsc1 ZINC000929178957 645987395 /nfs/dbraw/zinc/98/73/95/645987395.db2.gz BXLODSVBZOBFGE-UHFFFAOYSA-N 0 3 238.381 2.879 20 0 BFADHN CCc1ncc(CN2C[C@@H](C)C[C@H](C)C2)o1 ZINC000929214523 646011155 /nfs/dbraw/zinc/01/11/55/646011155.db2.gz MUMZITBIMRDZGY-QWRGUYRKSA-N 0 3 222.332 2.715 20 0 BFADHN CCC[C@@H](C)N[C@H](C)c1cc(OC)no1 ZINC000924527817 646045872 /nfs/dbraw/zinc/04/58/72/646045872.db2.gz KGJRFYBEGCFJKS-RKDXNWHRSA-N 0 3 212.293 2.522 20 0 BFADHN Clc1ccnc2c1CCC[C@@H]2NC1CC1 ZINC000924529089 646050515 /nfs/dbraw/zinc/05/05/15/646050515.db2.gz MJYCGWSSVCSTGK-NSHDSACASA-N 0 3 222.719 2.864 20 0 BFADHN C[C@H](NC1CCCCCCC1)c1cnn(C)n1 ZINC000924536450 646054743 /nfs/dbraw/zinc/05/47/43/646054743.db2.gz YSPWZPMIWWGKBB-NSHDSACASA-N 0 3 236.363 2.579 20 0 BFADHN CC[C@H](C)N[C@@H]1CCCc2oc(C)nc21 ZINC000924536761 646054811 /nfs/dbraw/zinc/05/48/11/646054811.db2.gz AQZPBNZZXQJJME-WCBMZHEXSA-N 0 3 208.305 2.749 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2N[C@@H](C)C(C)C ZINC000924568344 646070183 /nfs/dbraw/zinc/07/01/83/646070183.db2.gz AZFOQUUKNXPAQV-GXSJLCMTSA-N 0 3 222.332 2.995 20 0 BFADHN CN(CCCc1cccnc1)Cc1ccoc1 ZINC000929326881 646081441 /nfs/dbraw/zinc/08/14/41/646081441.db2.gz CIFKBTDLLYJQEJ-UHFFFAOYSA-N 0 3 230.311 2.739 20 0 BFADHN C[C@H](NCc1ccoc1)C1(Br)CC1 ZINC000924748375 646101129 /nfs/dbraw/zinc/10/11/29/646101129.db2.gz REQSWUUQNSTRBE-QMMMGPOBSA-N 0 3 244.132 2.685 20 0 BFADHN CC[C@@H](C[C@](C)(O)CC)NCc1nccs1 ZINC000924728460 646097630 /nfs/dbraw/zinc/09/76/30/646097630.db2.gz IJCMWNONOXCDCV-CMPLNLGQSA-N 0 3 242.388 2.562 20 0 BFADHN c1csc(CN[C@H]2C[C@H]3C=C[C@@H]2CC3)n1 ZINC000924728549 646097888 /nfs/dbraw/zinc/09/78/88/646097888.db2.gz JGTWLWAHXUDODY-AXFHLTTASA-N 0 3 220.341 2.587 20 0 BFADHN CC[C@@H](C[C@@](C)(O)CC)NCc1nccs1 ZINC000924728461 646098788 /nfs/dbraw/zinc/09/87/88/646098788.db2.gz IJCMWNONOXCDCV-JQWIXIFHSA-N 0 3 242.388 2.562 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@@H]1CCCC[C@@H]1C ZINC000924800746 646110851 /nfs/dbraw/zinc/11/08/51/646110851.db2.gz TXACBTIXXPAHTL-NHCYSSNCSA-N 0 3 237.347 2.855 20 0 BFADHN C[C@@H]([NH2+]CC1CCC1)c1cc([O-])cc(F)c1 ZINC000924804011 646112940 /nfs/dbraw/zinc/11/29/40/646112940.db2.gz XZVGQDDDYKFPGY-SECBINFHSA-N 0 3 223.291 2.982 20 0 BFADHN CCN(Cc1cc(C)cc(C)n1)C[C@H]1CCCO1 ZINC000929417512 646114514 /nfs/dbraw/zinc/11/45/14/646114514.db2.gz RAASTLQESSLZEK-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN C[C@H](c1ccc(F)nc1)N1CC[C@@H](C)C1 ZINC000929461115 646126689 /nfs/dbraw/zinc/12/66/89/646126689.db2.gz FFTGUCDYKXFYMG-NXEZZACHSA-N 0 3 208.280 2.624 20 0 BFADHN Cn1ccnc1CN[C@H]1[C@@H]2CCCC[C@@H]2C1(C)C ZINC000924937821 646135115 /nfs/dbraw/zinc/13/51/15/646135115.db2.gz CZACZKIFWHOTGF-DYEKYZERSA-N 0 3 247.386 2.725 20 0 BFADHN C[C@@H]1C[C@H]1N[C@H]1CCc2c1nccc2Cl ZINC000924947987 646137428 /nfs/dbraw/zinc/13/74/28/646137428.db2.gz FHFDMTSXGOLZBB-PPKCKEKNSA-N 0 3 222.719 2.720 20 0 BFADHN CCCN(Cc1cnc(CC)o1)C1CC1 ZINC000929515510 646164769 /nfs/dbraw/zinc/16/47/69/646164769.db2.gz DXDKRHCZILUVJS-UHFFFAOYSA-N 0 3 208.305 2.611 20 0 BFADHN COC/C(C)=C\CN1CCc2c(C)cccc2C1 ZINC000929523898 646173521 /nfs/dbraw/zinc/17/35/21/646173521.db2.gz GZYOBKLEAASIJS-QPEQYQDCSA-N 0 3 245.366 2.946 20 0 BFADHN CSC[C@H](C)N[C@@H](C)c1c(C)noc1C ZINC000925097683 646184211 /nfs/dbraw/zinc/18/42/11/646184211.db2.gz SNEBUBZXAIIUNT-YUMQZZPRSA-N 0 3 228.361 2.694 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2ccns2)C1(C)C ZINC000925238662 646216897 /nfs/dbraw/zinc/21/68/97/646216897.db2.gz XMRFGDDAIWDJAK-JMJZKYOTSA-N 0 3 240.372 2.607 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1ncco1 ZINC000925258954 646222720 /nfs/dbraw/zinc/22/27/20/646222720.db2.gz ZIGHTWNDACHJRD-GMTAPVOTSA-N 0 3 208.305 2.904 20 0 BFADHN CCC1(CN[C@@H](C)c2nonc2C)CCCC1 ZINC000925279455 646229969 /nfs/dbraw/zinc/22/99/69/646229969.db2.gz WXZIRMWPMOEKPZ-JTQLQIEISA-N 0 3 237.347 2.999 20 0 BFADHN CC[C@@H]1CCCN(Cc2nn(C)cc2C)CC1 ZINC000929669137 646232251 /nfs/dbraw/zinc/23/22/51/646232251.db2.gz RZACRYKXNHTDBO-CYBMUJFWSA-N 0 3 235.375 2.741 20 0 BFADHN CCC[C@@H](CC)N[C@H](C)c1nonc1C ZINC000925354963 646252103 /nfs/dbraw/zinc/25/21/03/646252103.db2.gz ZDBVBCVKBUMGER-PSASIEDQSA-N 0 3 211.309 2.607 20 0 BFADHN Cc1cc(CN2CCC(C(C)C)CC2)c(C)nn1 ZINC000929718946 646271350 /nfs/dbraw/zinc/27/13/50/646271350.db2.gz KQMCRPMRGKDCOE-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@H]([NH2+]CCC1CC1)c1cc([O-])cc(F)c1 ZINC000925481548 646295082 /nfs/dbraw/zinc/29/50/82/646295082.db2.gz QRZUAMNSIURLPG-VIFPVBQESA-N 0 3 223.291 2.982 20 0 BFADHN CC[C@H](C)CN(CC)[C@@H](C)c1ncccn1 ZINC000929786734 646315743 /nfs/dbraw/zinc/31/57/43/646315743.db2.gz ZBQHGDDVKJAUDE-RYUDHWBXSA-N 0 3 221.348 2.906 20 0 BFADHN Cc1nnsc1[C@@H](C)N1CC[C@@H](C(C)C)C1 ZINC000929819970 646335929 /nfs/dbraw/zinc/33/59/29/646335929.db2.gz AHUMQIAVIGJHMS-GHMZBOCLSA-N 0 3 239.388 2.885 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1c(C)noc1C ZINC000925602952 646346323 /nfs/dbraw/zinc/34/63/23/646346323.db2.gz ZOYPUPFBXOJCCU-HTQZYQBOSA-N 0 3 228.361 2.694 20 0 BFADHN COc1cc([C@H](C)N[C@H](C)CC(C)C)on1 ZINC000925599610 646347322 /nfs/dbraw/zinc/34/73/22/646347322.db2.gz ARIOXCIOLZANEQ-ZJUUUORDSA-N 0 3 226.320 2.768 20 0 BFADHN CS[C@H](C)C[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000925600066 646352673 /nfs/dbraw/zinc/35/26/73/646352673.db2.gz DHYDSAKNLRWIDK-RKDXNWHRSA-N 0 3 243.347 2.933 20 0 BFADHN CCn1nc(C)c([C@H](C)N[C@H](C)CC(C)C)n1 ZINC000925602502 646355770 /nfs/dbraw/zinc/35/57/70/646355770.db2.gz UQOWIBDOLDVKSS-MNOVXSKESA-N 0 3 238.379 2.692 20 0 BFADHN COc1cc([C@H](C)N[C@H](C)C2CCC2)on1 ZINC000925634769 646378674 /nfs/dbraw/zinc/37/86/74/646378674.db2.gz LDVTZVGDHHBUOY-BDAKNGLRSA-N 0 3 224.304 2.522 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)CCOC1CC1 ZINC000929972559 646381875 /nfs/dbraw/zinc/38/18/75/646381875.db2.gz RHIZCYBDPJHIFS-LLVKDONJSA-N 0 3 235.327 2.564 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCc1ccns1 ZINC000930120179 646443746 /nfs/dbraw/zinc/44/37/46/646443746.db2.gz YGJODCAADNNMGX-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN C[C@H](NCc1cc(N)ccn1)c1cccs1 ZINC000925772587 646472633 /nfs/dbraw/zinc/47/26/33/646472633.db2.gz UTEQZQKAZLGRMM-VIFPVBQESA-N 0 3 233.340 2.576 20 0 BFADHN Cc1ccc([C@@H](C)NCc2ccc(N)nc2)cc1 ZINC000930187244 646484340 /nfs/dbraw/zinc/48/43/40/646484340.db2.gz AVFQJYMUWCVJQR-GFCCVEGCSA-N 0 3 241.338 2.823 20 0 BFADHN Cc1nonc1[C@H](C)N[C@H]1CC2CCC1CC2 ZINC000925899060 646536550 /nfs/dbraw/zinc/53/65/50/646536550.db2.gz IUBLGDDYQGUARI-CJIVVXGISA-N 0 3 235.331 2.607 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@@H]1CC2CCC1CC2 ZINC000925899062 646537028 /nfs/dbraw/zinc/53/70/28/646537028.db2.gz IUBLGDDYQGUARI-OZOYIQBDSA-N 0 3 235.331 2.607 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2CC[C@H](C)C2)on1 ZINC000926232968 646601932 /nfs/dbraw/zinc/60/19/32/646601932.db2.gz QNWOJMZAXAZPDG-LPEHRKFASA-N 0 3 224.304 2.522 20 0 BFADHN CO[C@H](C)[C@H](C)[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000926249531 646604805 /nfs/dbraw/zinc/60/48/05/646604805.db2.gz HCZGSJRCEZYWTK-LPEHRKFASA-N 0 3 241.306 2.605 20 0 BFADHN CCC(O)(CC)CCN[C@@H](C)c1ccns1 ZINC000926253377 646606319 /nfs/dbraw/zinc/60/63/19/646606319.db2.gz CZSHAMHPOCGQFO-JTQLQIEISA-N 0 3 242.388 2.735 20 0 BFADHN COc1cc([C@H](C)NC[C@@H]2CC[C@H](C)C2)on1 ZINC000926339138 646620049 /nfs/dbraw/zinc/62/00/49/646620049.db2.gz KBHPUJJTEJDWJT-GARJFASQSA-N 0 3 238.331 2.770 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1ccns1 ZINC000926358771 646624730 /nfs/dbraw/zinc/62/47/30/646624730.db2.gz FSGRRQBVIRXOQW-DCAQKATOSA-N 0 3 240.372 2.751 20 0 BFADHN COc1cc([C@@H](C)N[C@H]2CCC2(C)C)on1 ZINC000926423485 646635205 /nfs/dbraw/zinc/63/52/05/646635205.db2.gz LXECBXRFFRQOGH-SCZZXKLOSA-N 0 3 224.304 2.522 20 0 BFADHN C[C@@H](NCC(C)(C)C(C)(C)C)c1cnn(C)n1 ZINC000926480409 646655241 /nfs/dbraw/zinc/65/52/41/646655241.db2.gz NHDYXMSPYKXFRL-SNVBAGLBSA-N 0 3 238.379 2.538 20 0 BFADHN C[C@@H](F)CCN[C@H](C)c1cn2c(n1)CCCC2 ZINC000926486405 646658296 /nfs/dbraw/zinc/65/82/96/646658296.db2.gz RBVRWUSMSZRMAW-GHMZBOCLSA-N 0 3 239.338 2.618 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@@H]2CCCC2(C)C)c2ncnn21 ZINC000926491918 646660876 /nfs/dbraw/zinc/66/08/76/646660876.db2.gz VQDJQHGNDIDUGP-GRYCIOLGSA-N 0 3 248.374 2.700 20 0 BFADHN CC[C@@H]1CCC[C@H]1CN[C@H](C)c1nonc1C ZINC000926508870 646666912 /nfs/dbraw/zinc/66/69/12/646666912.db2.gz BXBHRWHUPJXVCO-JLLWLGSASA-N 0 3 237.347 2.855 20 0 BFADHN CCC1(N[C@@H](C)c2cc(OC)ccn2)CC1 ZINC000926510039 646667097 /nfs/dbraw/zinc/66/70/97/646667097.db2.gz XYYMRNGPLYPJRR-JTQLQIEISA-N 0 3 220.316 2.683 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@H]1C[C@@H](C)n2ncnc21 ZINC000926510128 646667590 /nfs/dbraw/zinc/66/75/90/646667590.db2.gz RQVGHWLHESXDEM-LPWJVIDDSA-N 0 3 248.374 2.700 20 0 BFADHN CC[C@H](C)CCNCc1nc(C)cs1 ZINC000394416572 711687341 /nfs/dbraw/zinc/68/73/41/711687341.db2.gz BDOBUHLEDXBRCJ-VIFPVBQESA-N 0 3 212.362 2.977 20 0 BFADHN CCc1nnc(CNC[C@H]2CC[C@@H](C)C2)s1 ZINC000702693603 711871211 /nfs/dbraw/zinc/87/12/11/711871211.db2.gz NHAKBBSYGLWSFF-ZJUUUORDSA-N 0 3 239.388 2.626 20 0 BFADHN Clc1csc(CNC2CSC2)c1 ZINC000702028798 712036884 /nfs/dbraw/zinc/03/68/84/712036884.db2.gz GCAKEFAUSHNSEU-UHFFFAOYSA-N 0 3 219.762 2.607 20 0 BFADHN CCc1nnc(CNC[C@H]2CC[C@H](C)C2)s1 ZINC000702693586 712059970 /nfs/dbraw/zinc/05/99/70/712059970.db2.gz NHAKBBSYGLWSFF-UWVGGRQHSA-N 0 3 239.388 2.626 20 0 BFADHN Cn1ncc(Cl)c1CNC[C@]1(C)CC1(C)C ZINC000706543278 712149263 /nfs/dbraw/zinc/14/92/63/712149263.db2.gz KVKHLUCNXRFBLZ-LBPRGKRZSA-N 0 3 241.766 2.599 20 0 BFADHN Cc1conc1CN[C@H]1[C@H](C)CCC[C@@H]1C ZINC000708235662 712184294 /nfs/dbraw/zinc/18/42/94/712184294.db2.gz RMQWEPIVNBRNTD-IWIIMEHWSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@@H](NC[C@H]1CC(C)(C)CO1)c1ccco1 ZINC000708327182 712187273 /nfs/dbraw/zinc/18/72/73/712187273.db2.gz UNLDJRZXJFYRRZ-GHMZBOCLSA-N 0 3 223.316 2.745 20 0 BFADHN C[C@@H](N[C@@H]1CCCC(F)(F)C1)c1cn(C)cn1 ZINC000709921779 712233449 /nfs/dbraw/zinc/23/34/49/712233449.db2.gz ULPQCZWERYGEDY-NXEZZACHSA-N 0 3 243.301 2.649 20 0 BFADHN CC[C@H](C)CCNCc1c(Cl)c(C)nn1C ZINC000710215952 712248160 /nfs/dbraw/zinc/24/81/60/712248160.db2.gz PIUFBTXPCUCZRT-VIFPVBQESA-N 0 3 243.782 2.908 20 0 BFADHN CCCn1cc(CN[C@@H](C)C[C@H](C)CC)nn1 ZINC000714461220 712490678 /nfs/dbraw/zinc/49/06/78/712490678.db2.gz UFGBLVFPEWWZPP-NEPJUHHUSA-N 0 3 238.379 2.602 20 0 BFADHN CCCn1cc([C@@H](C)NC(CC)CC)nn1 ZINC000715851118 712536498 /nfs/dbraw/zinc/53/64/98/712536498.db2.gz MPPVLYSHMMXREM-SNVBAGLBSA-N 0 3 224.352 2.527 20 0 BFADHN CCCC[C@H](CC)CN[C@@H](C)c1cn(C)nn1 ZINC000715903772 712541098 /nfs/dbraw/zinc/54/10/98/712541098.db2.gz TWFIXOPXQADUOH-RYUDHWBXSA-N 0 3 238.379 2.682 20 0 BFADHN CCCC[C@@H](CCC)N[C@@H](C)c1cn(C)nn1 ZINC000715901378 712541401 /nfs/dbraw/zinc/54/14/01/712541401.db2.gz JVBHCLFBTXENIR-NWDGAFQWSA-N 0 3 238.379 2.825 20 0 BFADHN C[C@@H](NCC1=CCCC1)c1ccns1 ZINC000715933782 712543613 /nfs/dbraw/zinc/54/36/13/712543613.db2.gz UCKLZEVJNKTPFY-SECBINFHSA-N 0 3 208.330 2.904 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1ccns1)OC ZINC000716000407 712551578 /nfs/dbraw/zinc/55/15/78/712551578.db2.gz SWDWDAMVYCHYNQ-VHSXEESVSA-N 0 3 228.361 2.609 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@@H](C)c1ccns1 ZINC000716000325 712551583 /nfs/dbraw/zinc/55/15/83/712551583.db2.gz SEAOVIHHBGDBQG-DTWKUNHWSA-N 0 3 228.361 2.607 20 0 BFADHN C[C@H](NCCCCC1CC1)c1cn(C)cn1 ZINC000716373229 712557192 /nfs/dbraw/zinc/55/71/92/712557192.db2.gz ZQIASNFGNCSWLS-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CC[C@H](C[C@@H](C)O)NCc1c(F)cccc1F ZINC000716856175 712578856 /nfs/dbraw/zinc/57/88/56/712578856.db2.gz ZSBOUFBHJBLATD-NXEZZACHSA-N 0 3 243.297 2.604 20 0 BFADHN C[C@H]1CCC(C)(C)C[C@@H]1NCc1cocn1 ZINC000717437631 712658049 /nfs/dbraw/zinc/65/80/49/712658049.db2.gz JNABOSHRVDUPMT-JQWIXIFHSA-N 0 3 222.332 2.979 20 0 BFADHN CO[C@H](CNCc1cc(C)co1)C1CCCC1 ZINC000718058461 712740143 /nfs/dbraw/zinc/74/01/43/712740143.db2.gz NKNCDOZCHUGULB-CQSZACIVSA-N 0 3 237.343 2.883 20 0 BFADHN Clc1ncc(CNC[C@H]2CCC=CO2)s1 ZINC000718176147 712751427 /nfs/dbraw/zinc/75/14/27/712751427.db2.gz NQUYEMQYNDVLPD-MRVPVSSYSA-N 0 3 244.747 2.579 20 0 BFADHN CCO[C@H]1CCC[C@H](NCc2nccs2)C1 ZINC000721202749 712898426 /nfs/dbraw/zinc/89/84/26/712898426.db2.gz IODLTGZYQOQOJZ-QWRGUYRKSA-N 0 3 240.372 2.580 20 0 BFADHN Cc1ccsc1CNCc1ccc(F)nc1 ZINC000381401824 710633566 /nfs/dbraw/zinc/63/35/66/710633566.db2.gz ANANPZJBYBCWMD-UHFFFAOYSA-N 0 3 236.315 2.880 20 0 BFADHN CCSCCNCc1cc(C#N)ccc1F ZINC000380546078 712901623 /nfs/dbraw/zinc/90/16/23/712901623.db2.gz INHLUIDRRJUXTF-UHFFFAOYSA-N 0 3 238.331 2.540 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1ccn(C2CCCC2)n1 ZINC000383511174 710982790 /nfs/dbraw/zinc/98/27/90/710982790.db2.gz LHDZLJVHWOUJJI-FZMZJTMJSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H]1SCC[C@@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000390513650 711122651 /nfs/dbraw/zinc/12/26/51/711122651.db2.gz CKIXJIOKYBVPQD-KWQFWETISA-N 0 3 241.331 2.515 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)O)c1cccnc1Cl ZINC000390508139 711123002 /nfs/dbraw/zinc/12/30/02/711123002.db2.gz YBMPAKUNWCLPKF-RKDXNWHRSA-N 0 3 242.750 2.545 20 0 BFADHN C[C@H]1SCC[C@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000390513651 711123064 /nfs/dbraw/zinc/12/30/64/711123064.db2.gz CKIXJIOKYBVPQD-LDYMZIIASA-N 0 3 241.331 2.515 20 0 BFADHN CCc1nn(C)c(Cl)c1CN[C@H]1CC[C@@H]1C ZINC000390581553 711126322 /nfs/dbraw/zinc/12/63/22/711126322.db2.gz XASIKKCNFMYFAQ-KWQFWETISA-N 0 3 241.766 2.524 20 0 BFADHN O=c1cc(CN[C@H]2CCCc3occc32)cc[nH]1 ZINC000392804604 711152692 /nfs/dbraw/zinc/15/26/92/711152692.db2.gz TYNLEDNWOKDLIK-LBPRGKRZSA-N 0 3 244.294 2.547 20 0 BFADHN COC(=O)/C(C)=C\CN[C@@H](C)c1cccc(C)c1 ZINC000380804712 710591151 /nfs/dbraw/zinc/59/11/51/710591151.db2.gz ZOSXWBCYSIKRTA-FSBNRTBOSA-N 0 3 247.338 2.765 20 0 BFADHN C[C@H](C[C@@H](O)c1cccs1)NCC1(F)CC1 ZINC000381193595 710608627 /nfs/dbraw/zinc/60/86/27/710608627.db2.gz ZKQOCLJEKLGIHD-NXEZZACHSA-N 0 3 243.347 2.652 20 0 BFADHN CC1CCC(NCc2nc(C#N)cs2)CC1 ZINC000381450403 710638771 /nfs/dbraw/zinc/63/87/71/710638771.db2.gz GSQFVCDSEGWYGN-UHFFFAOYSA-N 0 3 235.356 2.683 20 0 BFADHN CCCC[C@H](C)NCc1nc(C#N)cs1 ZINC000385941571 711021211 /nfs/dbraw/zinc/02/12/11/711021211.db2.gz BDHRVCASVVEMLC-VIFPVBQESA-N 0 3 223.345 2.683 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2C[C@@H](C)CC[C@@H]2C)[n-]1 ZINC000392360112 711145031 /nfs/dbraw/zinc/14/50/31/711145031.db2.gz AIZAJSMVRWKLQR-QFOLPQNPSA-N 0 3 236.363 2.588 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2C[C@H](C)CC[C@@H]2C)[n-]1 ZINC000392360108 711145320 /nfs/dbraw/zinc/14/53/20/711145320.db2.gz AIZAJSMVRWKLQR-FYLLDIAZSA-N 0 3 236.363 2.588 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+][C@H]2CCCCC2(C)C)[n-]1 ZINC000392385730 711145467 /nfs/dbraw/zinc/14/54/67/711145467.db2.gz WZURPFIDZNGFFN-KOLCDFICSA-N 0 3 236.363 2.733 20 0 BFADHN CCCCCC[C@H](C)NCc1nnc(CC)[nH]1 ZINC000392420160 711146623 /nfs/dbraw/zinc/14/66/23/711146623.db2.gz OQVZXHXXJSMBIZ-NSHDSACASA-N 0 3 238.379 2.816 20 0 BFADHN Cc1nnc([C@H](C)N[C@H](C)CCC(C)C)[nH]1 ZINC000392393698 711146632 /nfs/dbraw/zinc/14/66/32/711146632.db2.gz XDTFEANTGRJJIV-ZJUUUORDSA-N 0 3 224.352 2.588 20 0 BFADHN CCC[C@H](NCc1ccon1)[C@H]1CC1(C)C ZINC000397590310 711388060 /nfs/dbraw/zinc/38/80/60/711388060.db2.gz KBNXCWHIPWZAAU-NEPJUHHUSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H](NCCOCC1CCCC1)c1ccccn1 ZINC000313311594 711514551 /nfs/dbraw/zinc/51/45/51/711514551.db2.gz XRIISBJSVJWRKN-CYBMUJFWSA-N 0 3 248.370 2.939 20 0 BFADHN Cc1nc(C)c(CNCC[C@H]2CCCS2)o1 ZINC000723630667 711527062 /nfs/dbraw/zinc/52/70/62/711527062.db2.gz KDEIQAFVHYAWMQ-LLVKDONJSA-N 0 3 240.372 2.667 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1cc(C)cc(C)n1 ZINC000723628796 711527368 /nfs/dbraw/zinc/52/73/68/711527368.db2.gz LYERNACEXPTMLP-GFCCVEGCSA-N 0 3 236.359 2.602 20 0 BFADHN Cc1cc(C)nc(CN[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)c1 ZINC000723659900 711532213 /nfs/dbraw/zinc/53/22/13/711532213.db2.gz OCCGUEKZPGSHEB-XFMPKHEZSA-N 0 3 248.370 2.600 20 0 BFADHN c1cc(CN[C@H](C2CC2)C2CCCC2)no1 ZINC000723875644 711541594 /nfs/dbraw/zinc/54/15/94/711541594.db2.gz BVYGGLWOUKOPDZ-ZDUSSCGKSA-N 0 3 220.316 2.733 20 0 BFADHN C[C@H]1C[C@H](NCC(C)(C)C2CCC2)c2ncnn21 ZINC000926523048 711624056 /nfs/dbraw/zinc/62/40/56/711624056.db2.gz ZAUMSEPENFTUCS-JQWIXIFHSA-N 0 3 248.374 2.700 20 0 BFADHN Cc1coc(CN[C@@H]2CS[C@@H](C)C2)c1 ZINC000718121514 711643892 /nfs/dbraw/zinc/64/38/92/711643892.db2.gz AAKHTBIHNUDFGH-UWVGGRQHSA-N 0 3 211.330 2.572 20 0 BFADHN C[C@H]1C[C@@H](NCCC2CCC2)c2nccn21 ZINC000382236194 711665137 /nfs/dbraw/zinc/66/51/37/711665137.db2.gz FMDOTJLFTRNHDJ-CMPLNLGQSA-N 0 3 219.332 2.669 20 0 BFADHN C[C@@H](CCC1CC1)NCc1cnn(C)c1Cl ZINC000382325203 711666135 /nfs/dbraw/zinc/66/61/35/711666135.db2.gz UXMZGUAMQGXOJS-VIFPVBQESA-N 0 3 241.766 2.742 20 0 BFADHN COc1cc([C@H](C)NC2(CC(C)C)CC2)on1 ZINC000926727491 711672079 /nfs/dbraw/zinc/67/20/79/711672079.db2.gz ZROWZOGACSFBCO-JTQLQIEISA-N 0 3 238.331 2.913 20 0 BFADHN COc1cc([C@@H](C)NC2(CC(C)C)CC2)on1 ZINC000926727497 711672146 /nfs/dbraw/zinc/67/21/46/711672146.db2.gz ZROWZOGACSFBCO-SNVBAGLBSA-N 0 3 238.331 2.913 20 0 BFADHN c1cc(CN[C@@H]2CCCC23CCCC3)no1 ZINC000328074280 711689344 /nfs/dbraw/zinc/68/93/44/711689344.db2.gz FGYJMNWCPFZSSY-GFCCVEGCSA-N 0 3 220.316 2.877 20 0 BFADHN Cc1cccc(CN[C@@H]2CCCc3n[nH]cc32)c1 ZINC000335066879 711720188 /nfs/dbraw/zinc/72/01/88/711720188.db2.gz FGCDZPMZHGCNOO-CQSZACIVSA-N 0 3 241.338 2.885 20 0 BFADHN c1cc2c(c(CN3CCCSCC3)c1)OCC2 ZINC000893420615 711722165 /nfs/dbraw/zinc/72/21/65/711722165.db2.gz JDJRSRDGBUVXKE-UHFFFAOYSA-N 0 3 249.379 2.560 20 0 BFADHN CC(C)C[C@@H](C)N1Cc2c[nH]nc2[C@@H](C)C1 ZINC000931462286 711722569 /nfs/dbraw/zinc/72/25/69/711722569.db2.gz MZVFXJUNZSWMJK-WDEREUQCSA-N 0 3 221.348 2.763 20 0 BFADHN C[C@H]1CN(CC[C@@H]2C[C@H]2C2CC2)Cc2c[nH]nc21 ZINC000931467199 711726804 /nfs/dbraw/zinc/72/68/04/711726804.db2.gz NLXVAMNWRDIFGX-SUHUHFCYSA-N 0 3 245.370 2.765 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H](C2CC2)O1)c1ccoc1 ZINC000722317330 711735041 /nfs/dbraw/zinc/73/50/41/711735041.db2.gz YVCXQGYDHWIHBL-BPNCWPANSA-N 0 3 235.327 2.888 20 0 BFADHN Cc1occc1CNC[C@@H]1CC[C@@H](C2CC2)O1 ZINC000722320094 711735235 /nfs/dbraw/zinc/73/52/35/711735235.db2.gz CUIIIAZBRHZKIG-KBPBESRZSA-N 0 3 235.327 2.635 20 0 BFADHN CCC[C@@H](N[C@H]1COCC1(C)C)c1ccccn1 ZINC000894235229 711765571 /nfs/dbraw/zinc/76/55/71/711765571.db2.gz PYEXADNUQYBUJU-KGLIPLIRSA-N 0 3 248.370 2.937 20 0 BFADHN CO[C@H]1CC[C@H](C)N(Cc2ccc(C)nc2C)C1 ZINC000879324818 711768621 /nfs/dbraw/zinc/76/86/21/711768621.db2.gz KBGUCOVUAWFIFK-WFASDCNBSA-N 0 3 248.370 2.698 20 0 BFADHN C[C@H](NC[C@@H](O)C1CC1)c1ccccc1Cl ZINC000070856122 711897151 /nfs/dbraw/zinc/89/71/51/711897151.db2.gz RQHOZKNYAQKLCN-TVQRCGJNSA-N 0 3 239.746 2.762 20 0 BFADHN C[C@H](NC[C@H](O)C1CC1)c1ccccc1Cl ZINC000070856109 711897245 /nfs/dbraw/zinc/89/72/45/711897245.db2.gz RQHOZKNYAQKLCN-ZANVPECISA-N 0 3 239.746 2.762 20 0 BFADHN Cc1ccc(CNCC[C@H](O)c2ccccc2)o1 ZINC000721311067 711978712 /nfs/dbraw/zinc/97/87/12/711978712.db2.gz GACLZBQDNLSZCG-HNNXBMFYSA-N 0 3 245.322 2.801 20 0 BFADHN C[C@H](NC[C@@]1(C)CC1(C)C)c1nccn1C ZINC000701987292 712035153 /nfs/dbraw/zinc/03/51/53/712035153.db2.gz QIUOFYRHBXEOIZ-GXFFZTMASA-N 0 3 221.348 2.507 20 0 BFADHN CC1(C)Cc2cc(CNC3CSC3)ccc2O1 ZINC000702027999 712036636 /nfs/dbraw/zinc/03/66/36/712036636.db2.gz BYDDHPURLGBIDK-UHFFFAOYSA-N 0 3 249.379 2.605 20 0 BFADHN CCc1nnc(CNC2(C)CCCCC2)s1 ZINC000702696083 712060117 /nfs/dbraw/zinc/06/01/17/712060117.db2.gz QGJBOAVOTGVNRP-UHFFFAOYSA-N 0 3 239.388 2.913 20 0 BFADHN CC(C)CC1(NCc2ccc(F)cn2)CC1 ZINC000703431299 712076725 /nfs/dbraw/zinc/07/67/25/712076725.db2.gz NQBIXCIVEHMPAN-UHFFFAOYSA-N 0 3 222.307 2.889 20 0 BFADHN Cc1nnc(CNCC2C(C)(C)C2(C)C)s1 ZINC000704748678 712114658 /nfs/dbraw/zinc/11/46/58/712114658.db2.gz QYVBCFIMPNRGQK-UHFFFAOYSA-N 0 3 239.388 2.618 20 0 BFADHN C[C@H](NCC[C@H]1CCSC1)c1nccs1 ZINC000704869085 712119531 /nfs/dbraw/zinc/11/95/31/712119531.db2.gz UBHBDHSHVGYALG-UWVGGRQHSA-N 0 3 242.413 2.937 20 0 BFADHN Cc1csc(CNCC[C@@H]2CCSC2)n1 ZINC000704867426 712119758 /nfs/dbraw/zinc/11/97/58/712119758.db2.gz MSHGRWRMIVHLIV-SNVBAGLBSA-N 0 3 242.413 2.684 20 0 BFADHN CSC1CC(NCc2ccc(F)cc2F)C1 ZINC000706927034 712161438 /nfs/dbraw/zinc/16/14/38/712161438.db2.gz HFWKEELUJANTQP-UHFFFAOYSA-N 0 3 243.322 2.948 20 0 BFADHN Nc1ccc(CN2C3CCCC2CCC3)cn1 ZINC000707098368 712164885 /nfs/dbraw/zinc/16/48/85/712164885.db2.gz CODRJKAIYMJOBA-UHFFFAOYSA-N 0 3 231.343 2.571 20 0 BFADHN CC[C@@H](NCc1nocc1C)[C@H]1CC1(C)C ZINC000708290454 712185763 /nfs/dbraw/zinc/18/57/63/712185763.db2.gz UCZVCPDWNUFQSL-GHMZBOCLSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CN[C@H](C)[C@]23C[C@H]2CCCC3)nn1C ZINC000708848981 712200633 /nfs/dbraw/zinc/20/06/33/712200633.db2.gz LGQRRMSNKMKJPY-NFAWXSAZSA-N 0 3 247.386 2.787 20 0 BFADHN Cc1nc(CNC[C@@]2(C)CC2(C)C)[nH]c1C ZINC000709013852 712205791 /nfs/dbraw/zinc/20/57/91/712205791.db2.gz NUUPGWGOIYTKHN-CYBMUJFWSA-N 0 3 221.348 2.552 20 0 BFADHN C[C@H](NCc1cnns1)[C@@]12C[C@@H]1CCCC2 ZINC000709114316 712209283 /nfs/dbraw/zinc/20/92/83/712209283.db2.gz QYWXJBSKYDRZQD-JBLDHEPKSA-N 0 3 237.372 2.597 20 0 BFADHN CC(C)CCCN[C@@H](C)c1cn(C)cn1 ZINC000709990966 712237993 /nfs/dbraw/zinc/23/79/93/712237993.db2.gz AFYSJADEYZLZHA-NSHDSACASA-N 0 3 209.337 2.507 20 0 BFADHN CC(C)C1(CN[C@H](C)c2cn(C)cn2)CC1 ZINC000710016772 712240873 /nfs/dbraw/zinc/24/08/73/712240873.db2.gz QKZNEYVWUYFFLX-LLVKDONJSA-N 0 3 221.348 2.507 20 0 BFADHN C[C@H](NC[C@]12C[C@H]1CCCC2)c1cn(C)cn1 ZINC000710032966 712243153 /nfs/dbraw/zinc/24/31/53/712243153.db2.gz ZLYDUKQLWOMFEH-SCRDCRAPSA-N 0 3 233.359 2.651 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2CCC[C@@H]3C[C@@H]32)cc1F ZINC000710617179 712264027 /nfs/dbraw/zinc/26/40/27/712264027.db2.gz BVNNNKQKTDCSSO-NTZNESFSSA-N 0 3 235.302 2.810 20 0 BFADHN COC1(OC)CC[C@@H]1NCc1c(C)cccc1C ZINC000710992096 712273068 /nfs/dbraw/zinc/27/30/68/712273068.db2.gz AXVVLAVTTSNVGR-AWEZNQCLSA-N 0 3 249.354 2.545 20 0 BFADHN Nc1c(F)cccc1CN1CCC[C@H](CF)C1 ZINC000711431129 712300085 /nfs/dbraw/zinc/30/00/85/712300085.db2.gz QQRZBIMUZJHRMD-SNVBAGLBSA-N 0 3 240.297 2.589 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CCC2(CCC2)O1 ZINC000711456333 712304954 /nfs/dbraw/zinc/30/49/54/712304954.db2.gz NFVVRVNIXAWTPM-LBPRGKRZSA-N 0 3 235.327 2.779 20 0 BFADHN C[C@H](NC[C@@H]1CCC2(CCC2)O1)c1ccccn1 ZINC000711484583 712306364 /nfs/dbraw/zinc/30/63/64/712306364.db2.gz LROFUMTXPNPMSD-STQMWFEESA-N 0 3 246.354 2.834 20 0 BFADHN c1cn(C2CC2)c(CN[C@]23C[C@H]2CCCC3)n1 ZINC000711740921 712321693 /nfs/dbraw/zinc/32/16/93/712321693.db2.gz YLUABNZXHIOHTH-BXUZGUMPSA-N 0 3 231.343 2.640 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1C=CCC1 ZINC000711770230 712327274 /nfs/dbraw/zinc/32/72/74/712327274.db2.gz YJYVJQODZMSVDZ-GFCCVEGCSA-N 0 3 219.332 2.520 20 0 BFADHN CC(F)(F)CCCNCc1nccn1C1CC1 ZINC000711775973 712328017 /nfs/dbraw/zinc/32/80/17/712328017.db2.gz YOQYAELTJXORPT-UHFFFAOYSA-N 0 3 243.301 2.743 20 0 BFADHN c1cnc(CN[C@@H](C2CCC2)C2CCCC2)nc1 ZINC000711893349 712337928 /nfs/dbraw/zinc/33/79/28/712337928.db2.gz LBRIXLACYFBCPB-OAHLLOKOSA-N 0 3 245.370 2.925 20 0 BFADHN CCC(F)(F)CN[C@H](C1CCC1)[C@H]1CCOC1 ZINC000711922583 712339085 /nfs/dbraw/zinc/33/90/85/712339085.db2.gz CDHYFUUXIUBFRW-NWDGAFQWSA-N 0 3 247.329 2.827 20 0 BFADHN CCCCC[C@@H](C)N[C@H]1CCn2ccnc21 ZINC000379004566 712367839 /nfs/dbraw/zinc/36/78/39/712367839.db2.gz DKWJDYMBSAODOF-NEPJUHHUSA-N 0 3 221.348 2.886 20 0 BFADHN CC[C@H](C)CCNCc1cnn(C)c1Cl ZINC000712405987 712429117 /nfs/dbraw/zinc/42/91/17/712429117.db2.gz HUIUYADYDRNNEF-VIFPVBQESA-N 0 3 229.755 2.599 20 0 BFADHN CCN1CC[C@@H]1CNc1ccc2ccccc2n1 ZINC000712593671 712435145 /nfs/dbraw/zinc/43/51/45/712435145.db2.gz DFCGGJBINVMQGW-CYBMUJFWSA-N 0 3 241.338 2.741 20 0 BFADHN C=C/C=C/CCNCc1ncc(CC)s1 ZINC000713499318 712463641 /nfs/dbraw/zinc/46/36/41/712463641.db2.gz JCYOFTDXDMRARP-AATRIKPKSA-N 0 3 222.357 2.927 20 0 BFADHN C=C/C=C\CCNCc1nc(C)c(C)s1 ZINC000713504276 712463845 /nfs/dbraw/zinc/46/38/45/712463845.db2.gz VDTPHTALBYYNAY-WAYWQWQTSA-N 0 3 222.357 2.982 20 0 BFADHN C=C/C=C\CCNCc1c(Cl)c(C)nn1C ZINC000713502585 712464081 /nfs/dbraw/zinc/46/40/81/712464081.db2.gz OBWNXEYLVRFMEV-WAYWQWQTSA-N 0 3 239.750 2.604 20 0 BFADHN C[C@H](NC[C@@H]1CCC(C)(C)CO1)c1ccccn1 ZINC000713596731 712465954 /nfs/dbraw/zinc/46/59/54/712465954.db2.gz NFELLSJQOBISBI-STQMWFEESA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC(C)(C)CO2)nc1 ZINC000713616030 712467322 /nfs/dbraw/zinc/46/73/22/712467322.db2.gz YOXVVODWNMZOTG-AWEZNQCLSA-N 0 3 248.370 2.685 20 0 BFADHN CCCn1cc(CN[C@H]2CCCC3(CC3)C2)nn1 ZINC000714510061 712493661 /nfs/dbraw/zinc/49/36/61/712493661.db2.gz WNKFGNXNGUQXCD-LBPRGKRZSA-N 0 3 248.374 2.501 20 0 BFADHN CC[C@H](NCCOC1CC1)c1ccccc1OC ZINC000714981969 712503140 /nfs/dbraw/zinc/50/31/40/712503140.db2.gz HKYVPNDBHNUKRK-AWEZNQCLSA-N 0 3 249.354 2.915 20 0 BFADHN Fc1ccccc1CNC[C@@H]1CC[C@@H](C2CC2)O1 ZINC000715472606 712520480 /nfs/dbraw/zinc/52/04/80/712520480.db2.gz NJAADDYMQXXWFV-ZFWWWQNUSA-N 0 3 249.329 2.873 20 0 BFADHN COc1ccc(Cl)cc1CN[C@@H]1CC12CC2 ZINC000715356355 712521377 /nfs/dbraw/zinc/52/13/77/712521377.db2.gz QORZQIAWXMAVHA-GFCCVEGCSA-N 0 3 237.730 2.991 20 0 BFADHN C[C@H](Cc1ccccc1Cl)NCc1cc[nH]n1 ZINC000036936927 712521432 /nfs/dbraw/zinc/52/14/32/712521432.db2.gz HHHVPDKFIUILDJ-SNVBAGLBSA-N 0 3 249.745 2.784 20 0 BFADHN CCCCCC[C@H](C)NC(=O)[C@@H](N)CC(C)C ZINC000037041891 712530788 /nfs/dbraw/zinc/53/07/88/712530788.db2.gz IMBYFPKKTZQDCP-STQMWFEESA-N 0 3 242.407 2.835 20 0 BFADHN CCCn1cc([C@H](C)N[C@H](C)C2(C)CC2)nn1 ZINC000715864611 712537059 /nfs/dbraw/zinc/53/70/59/712537059.db2.gz ZKOXKIJIEVGKGY-WDEREUQCSA-N 0 3 236.363 2.527 20 0 BFADHN C[C@@H](NCCNc1ccccc1)c1ccns1 ZINC000715888974 712540279 /nfs/dbraw/zinc/54/02/79/712540279.db2.gz BQIATWFECKVJMF-LLVKDONJSA-N 0 3 247.367 2.906 20 0 BFADHN C[C@@H](NC[C@@H]1CC(C)(C)CO1)c1ccns1 ZINC000715898969 712541150 /nfs/dbraw/zinc/54/11/50/712541150.db2.gz KAVULKFECOMUKU-ZJUUUORDSA-N 0 3 240.372 2.609 20 0 BFADHN CCCn1cc([C@H](C)N[C@H]2CC[C@H](C)C2)nn1 ZINC000715919360 712542192 /nfs/dbraw/zinc/54/21/92/712542192.db2.gz FRZPGZAQVRPDIX-SRVKXCTJSA-N 0 3 236.363 2.527 20 0 BFADHN CCCn1cc([C@H](C)NCCCC2CC2)nn1 ZINC000715917859 712542282 /nfs/dbraw/zinc/54/22/82/712542282.db2.gz BYLDVCCHRKKBNK-NSHDSACASA-N 0 3 236.363 2.529 20 0 BFADHN CCCn1cc([C@H](C)NCC2CCCC2)nn1 ZINC000715922663 712542642 /nfs/dbraw/zinc/54/26/42/712542642.db2.gz OROPBJXAADOPPC-NSHDSACASA-N 0 3 236.363 2.529 20 0 BFADHN CCCn1cc([C@H](C)N[C@H]2CCC[C@H]2C)nn1 ZINC000715924564 712542655 /nfs/dbraw/zinc/54/26/55/712542655.db2.gz WLGNSDSGRUUVDU-WOPDTQHZSA-N 0 3 236.363 2.527 20 0 BFADHN CCCn1cc([C@H](C)N[C@H](CC)C2CC2)nn1 ZINC000715937466 712544322 /nfs/dbraw/zinc/54/43/22/712544322.db2.gz UUGXDAJIQLABCE-CMPLNLGQSA-N 0 3 236.363 2.527 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1ccns1 ZINC000716046197 712548005 /nfs/dbraw/zinc/54/80/05/712548005.db2.gz FSGRRQBVIRXOQW-GMTAPVOTSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1[nH]nc(CN[C@H](C)c2cccc(O)c2)c1C ZINC000716439067 712560374 /nfs/dbraw/zinc/56/03/74/712560374.db2.gz FPOJBYIFLOXIBQ-LLVKDONJSA-N 0 3 245.326 2.583 20 0 BFADHN CCc1ccccc1CNCc1[nH]nc(C)c1C ZINC000716438989 712560502 /nfs/dbraw/zinc/56/05/02/712560502.db2.gz CWTHESMWGNOMHC-UHFFFAOYSA-N 0 3 243.354 2.879 20 0 BFADHN Cc1[nH]nc(CNCc2cccc(Cl)c2)c1C ZINC000716471055 712563242 /nfs/dbraw/zinc/56/32/42/712563242.db2.gz UWKQINSQDPSHCF-UHFFFAOYSA-N 0 3 249.745 2.970 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNCc1nccn1C1CC1 ZINC000716667098 712568935 /nfs/dbraw/zinc/56/89/35/712568935.db2.gz ZWUOYEWQPYBKCH-XWUBHJNHSA-N 0 3 245.370 2.600 20 0 BFADHN CC[C@@H](C[C@H](C)O)NCc1cccc(F)c1F ZINC000716853593 712578522 /nfs/dbraw/zinc/57/85/22/712578522.db2.gz PUDFNODHXMFJEG-ONGXEEELSA-N 0 3 243.297 2.604 20 0 BFADHN CC[C@H](C[C@H](C)O)N[C@H](C)c1nc(C)cs1 ZINC000716895894 712581041 /nfs/dbraw/zinc/58/10/41/712581041.db2.gz UOEUWDJMUXXKIO-HBNTYKKESA-N 0 3 242.388 2.652 20 0 BFADHN C[C@H](NCC[C@@H]1CC[C@@H]2C[C@@H]21)c1nccn1C ZINC000717091104 712630081 /nfs/dbraw/zinc/63/00/81/712630081.db2.gz PQVVYOLYRXKBNC-WUHRBBMRSA-N 0 3 233.359 2.507 20 0 BFADHN C[C@@H]1C[C@H](NCC[C@@H]2CC[C@@H]3C[C@@H]32)c2nccn21 ZINC000717156398 712638008 /nfs/dbraw/zinc/63/80/08/712638008.db2.gz GKBVHZDJVVIAFT-ITGHMWBKSA-N 0 3 245.370 2.915 20 0 BFADHN Cc1ccoc1CNCCNc1ccccc1 ZINC000379987435 712644270 /nfs/dbraw/zinc/64/42/70/712644270.db2.gz RJUXRZFGLUWOKG-UHFFFAOYSA-N 0 3 230.311 2.790 20 0 BFADHN C[C@H](NC[C@H]1CC(C)(C)CO1)c1cncs1 ZINC000717282908 712644825 /nfs/dbraw/zinc/64/48/25/712644825.db2.gz CLJFELVUPWNVPL-VHSXEESVSA-N 0 3 240.372 2.609 20 0 BFADHN c1cnc2c(c1)CCC[C@@H]2NC[C@H]1CCC=CO1 ZINC000380023666 712673008 /nfs/dbraw/zinc/67/30/08/712673008.db2.gz RTYCFTMOUXZZFQ-KGLIPLIRSA-N 0 3 244.338 2.741 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1c(Cl)nc(Cl)n1C ZINC000380072584 712684848 /nfs/dbraw/zinc/68/48/48/712684848.db2.gz DNMYERNSAHMPJJ-RNFRBKRXSA-N 0 3 248.157 2.615 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1nc(C2CC2)cs1 ZINC000380098261 712685972 /nfs/dbraw/zinc/68/59/72/712685972.db2.gz KXKKOVYXOGRXGV-PSASIEDQSA-N 0 3 222.357 2.909 20 0 BFADHN CO[C@H](CN[C@H](C)c1c(C)noc1C)C(C)C ZINC000380105063 712686485 /nfs/dbraw/zinc/68/64/85/712686485.db2.gz JMYXWOKKROFDIW-BXKDBHETSA-N 0 3 240.347 2.613 20 0 BFADHN Cc1coc(CN[C@@H](CO)C2CCCCC2)c1 ZINC000718052466 712739391 /nfs/dbraw/zinc/73/93/91/712739391.db2.gz KKKWKBJXPWCJHO-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN CC(C)(C)n1cc(CNC2CCC=CCC2)nn1 ZINC000718051282 712739672 /nfs/dbraw/zinc/73/96/72/712739672.db2.gz FEYKSSQRKZHMJF-UHFFFAOYSA-N 0 3 248.374 2.622 20 0 BFADHN Cc1coc(CNC[C@H]2CCN2C(C)(C)C)c1 ZINC000718065077 712741412 /nfs/dbraw/zinc/74/14/12/712741412.db2.gz APGQWHGCTNTIMA-GFCCVEGCSA-N 0 3 236.359 2.550 20 0 BFADHN Cc1coc(CNCCOc2ccccc2)c1 ZINC000718122834 712745880 /nfs/dbraw/zinc/74/58/80/712745880.db2.gz VVJCPOHXOFAJFP-UHFFFAOYSA-N 0 3 231.295 2.757 20 0 BFADHN CSC1(CNCc2cc(C)co2)CC1 ZINC000718142375 712748053 /nfs/dbraw/zinc/74/80/53/712748053.db2.gz BIDMDIPGDKBDBY-UHFFFAOYSA-N 0 3 211.330 2.573 20 0 BFADHN Cc1coc(CN[C@H]2CCS[C@H]2C)c1 ZINC000718144827 712748780 /nfs/dbraw/zinc/74/87/80/712748780.db2.gz MZCYWDNUQPBFNI-ONGXEEELSA-N 0 3 211.330 2.572 20 0 BFADHN CC[C@@H]1CCCN1Cc1c(C)nn(C)c1Cl ZINC000037913726 712785505 /nfs/dbraw/zinc/78/55/05/712785505.db2.gz HINFAWUFBFWTQS-SNVBAGLBSA-N 0 3 241.766 2.756 20 0 BFADHN Cc1conc1CN[C@H](C)C1CCCC1 ZINC000720345933 712832502 /nfs/dbraw/zinc/83/25/02/712832502.db2.gz UTFONIUDWLDYKQ-SNVBAGLBSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1nocc1C ZINC000720369154 712836165 /nfs/dbraw/zinc/83/61/65/712836165.db2.gz JAJOKSHJHDDEAG-QWRGUYRKSA-N 0 3 208.305 2.651 20 0 BFADHN Fc1ccccc1CNC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000720864514 712871152 /nfs/dbraw/zinc/87/11/52/712871152.db2.gz JYBHWRVRJVFMOB-CORIIIEPSA-N 0 3 249.329 2.873 20 0 BFADHN CC1(NCc2cccc(O)c2)CC(F)(F)C1 ZINC000720950342 712881981 /nfs/dbraw/zinc/88/19/81/712881981.db2.gz YEEQJLQKMSHVIG-UHFFFAOYSA-N 0 3 227.254 2.670 20 0 BFADHN CC1(CN2CC[C@](C)(F)[C@@H](F)C2)CCOCC1 ZINC001207963065 956896987 /nfs/dbraw/zinc/89/69/87/956896987.db2.gz AXQVKBRAWHLKHZ-AAEUAGOBSA-N 0 3 247.329 2.575 20 0 BFADHN C=Cc1ccc(N2CCN(CC(C)C)CC2)nc1 ZINC001164657651 719335620 /nfs/dbraw/zinc/33/56/20/719335620.db2.gz WTTPGSOYYPEQOM-UHFFFAOYSA-N 0 3 245.370 2.503 20 0 BFADHN CCC[C@H](OC[C@H]1COC(C)(C)N1)C(C)(C)C ZINC001217871030 959692973 /nfs/dbraw/zinc/69/29/73/959692973.db2.gz QBBYUSTWDUCLFT-RYUDHWBXSA-N 0 3 243.391 2.942 20 0 BFADHN CCCCc1nc(CN2CCC[C@H](C)C2)c[nH]1 ZINC001141067078 960855006 /nfs/dbraw/zinc/85/50/06/960855006.db2.gz RCPHACCOUAZPRJ-LBPRGKRZSA-N 0 3 235.375 2.984 20 0 BFADHN Cc1ccc(CN(CCCO)C(C)C)c(F)c1 ZINC001141194833 960919595 /nfs/dbraw/zinc/91/95/95/960919595.db2.gz PAWPTYXBLKVKLL-UHFFFAOYSA-N 0 3 239.334 2.727 20 0 BFADHN CCN1CC[C@@H](Oc2cccc(Cl)c2F)C1 ZINC001225722391 962766459 /nfs/dbraw/zinc/76/64/59/962766459.db2.gz LMIQTRUKFQYDPE-SECBINFHSA-N 0 3 243.709 2.952 20 0 BFADHN CCN1CC[C@H](Oc2ccc(Cl)nc2C)C1 ZINC001228702279 963887398 /nfs/dbraw/zinc/88/73/98/963887398.db2.gz OGZPSSNWXJFPCF-JTQLQIEISA-N 0 3 240.734 2.516 20 0 BFADHN C[C@H](N[C@@H]1C=CCC1)c1cncc(F)c1 ZINC000698206770 965107041 /nfs/dbraw/zinc/10/70/41/965107041.db2.gz SFSVOVDOSOUPPS-JOYOIKCWSA-N 0 3 206.264 2.590 20 0 BFADHN C[C@H]1COC[C@H]1NCc1cc(Cl)ccc1F ZINC000699765858 966078522 /nfs/dbraw/zinc/07/85/22/966078522.db2.gz ZWWXPFDYDXUHGW-QPUJVOFHSA-N 0 3 243.709 2.604 20 0 BFADHN c1cc(CN2CC[C@H]3CCCO[C@H]3C2)cs1 ZINC001204034678 966859923 /nfs/dbraw/zinc/85/99/23/966859923.db2.gz KXJRNFHRQYFHPQ-OLZOCXBDSA-N 0 3 237.368 2.749 20 0 BFADHN CCCO[C@H]1CCN(Cc2ccsc2)C1 ZINC001204039275 966866089 /nfs/dbraw/zinc/86/60/89/966866089.db2.gz UDILYWWUANCMPT-LBPRGKRZSA-N 0 3 225.357 2.749 20 0 BFADHN Cc1cc(CN2CCC[C@H]3C[C@H]32)oc1C ZINC001204538340 967042927 /nfs/dbraw/zinc/04/29/27/967042927.db2.gz APBJOBKSLCLXPB-WCQYABFASA-N 0 3 205.301 2.881 20 0 BFADHN C[C@H]1C[C@@H](CO)N(Cc2sccc2Cl)C1 ZINC001205312329 967508441 /nfs/dbraw/zinc/50/84/41/967508441.db2.gz LSIUOMXBRDVFFC-IUCAKERBSA-N 0 3 245.775 2.604 20 0 BFADHN CC(C)CC[C@H](C)NCc1nc(CO)cs1 ZINC000723188343 968208209 /nfs/dbraw/zinc/20/82/09/968208209.db2.gz PLINAGSXKSGXAG-JTQLQIEISA-N 0 3 242.388 2.550 20 0 BFADHN CCCC[C@@H](O)CN[C@@H](C)c1ccc(C)cn1 ZINC001252111278 968596799 /nfs/dbraw/zinc/59/67/99/968596799.db2.gz RCTSFWCXQHOXQY-QWHCGFSZSA-N 0 3 236.359 2.592 20 0 BFADHN OC[C@@H]1C[C@@H](F)CN(CCC2CCCCC2)C1 ZINC001207254588 968696746 /nfs/dbraw/zinc/69/67/46/968696746.db2.gz JXLAVHXAOYOEQA-ZIAGYGMSSA-N 0 3 243.366 2.609 20 0 BFADHN CCCCC[C@H](O)CN1CCC[C@](C)(F)C1 ZINC001253524544 969200169 /nfs/dbraw/zinc/20/01/69/969200169.db2.gz CKEMDTZDVPIRKY-STQMWFEESA-N 0 3 231.355 2.752 20 0 BFADHN F[C@@H]1CCCCN(C[C@@H]2CCC=CO2)C1 ZINC001208878208 969265759 /nfs/dbraw/zinc/26/57/59/969265759.db2.gz WWBJRDYBNDLDHB-NEPJUHHUSA-N 0 3 213.296 2.503 20 0 BFADHN C[C@H](N)c1ccn(C2CCC(F)(F)CC2)n1 ZINC001254212433 969538837 /nfs/dbraw/zinc/53/88/37/969538837.db2.gz KRGJNNVPAIWXJY-QMMMGPOBSA-N 0 3 229.274 2.653 20 0 BFADHN Cc1cc(C)nc(NCCn2cccc2)c1 ZINC001156300325 969605011 /nfs/dbraw/zinc/60/50/11/969605011.db2.gz SZMQHWMVSJAGLU-UHFFFAOYSA-N 0 3 215.300 2.612 20 0 BFADHN C[C@H](CC(F)(F)F)N1CC[C@]2(CCCO2)C1 ZINC001255645111 970422347 /nfs/dbraw/zinc/42/23/47/970422347.db2.gz ALNSDOWZPBUTJH-NXEZZACHSA-N 0 3 237.265 2.582 20 0 BFADHN CCCCCc1nnc(C2CCN(C)CC2)o1 ZINC001256063929 970631085 /nfs/dbraw/zinc/63/10/85/970631085.db2.gz HWNNGJOJVYTJSV-UHFFFAOYSA-N 0 3 237.347 2.612 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)Nc1ccccc1F ZINC000400900362 970642626 /nfs/dbraw/zinc/64/26/26/970642626.db2.gz MWSFYQXESNPQJE-MWLCHTKSSA-N 0 3 238.306 2.528 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)NC1CCCCCC1 ZINC000400956264 970648385 /nfs/dbraw/zinc/64/83/85/970648385.db2.gz KKJQTZWAJFJXSU-DGCLKSJQSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1cc(C)c(C)c(NCC2CN(C)C2)c1C ZINC001170254135 970666687 /nfs/dbraw/zinc/66/66/87/970666687.db2.gz KFZVJMLCBOGLGF-UHFFFAOYSA-N 0 3 232.371 2.894 20 0 BFADHN CC[C@@H]1CC[C@H](N2CCC(=O)C[C@@H]2C)C1 ZINC001173271949 975146125 /nfs/dbraw/zinc/14/61/25/975146125.db2.gz GUCIMVJWIZDLHE-TUAOUCFPSA-N 0 3 209.333 2.619 20 0 BFADHN CCSC[C@@H](C)N1C[C@H](F)C[C@H]1C ZINC001173301272 975197547 /nfs/dbraw/zinc/19/75/47/975197547.db2.gz QEKQMBCQRGVNAK-OPRDCNLKSA-N 0 3 205.342 2.560 20 0 BFADHN CC[C@@H]1CC[C@@H](N(C)[C@H](C(=O)OC)C(C)C)C1 ZINC001173292046 975269034 /nfs/dbraw/zinc/26/90/34/975269034.db2.gz DETPISKHASNIER-UPJWGTAASA-N 0 3 241.375 2.695 20 0 BFADHN Cc1nc(Cl)ccc1O[C@@H]1CCCN(C)C1 ZINC001228702744 976447159 /nfs/dbraw/zinc/44/71/59/976447159.db2.gz VSWJFAJZVCOHNG-SNVBAGLBSA-N 0 3 240.734 2.516 20 0 BFADHN Cc1ccc(NC2=CCCN(C)C2)cc1 ZINC001175577125 977585131 /nfs/dbraw/zinc/58/51/31/977585131.db2.gz XCNNXLVWJZPSRA-UHFFFAOYSA-N 0 3 202.301 2.626 20 0 BFADHN CCc1ccc(CN2CC([C@@H](C)OC)C2)s1 ZINC001231503283 977616320 /nfs/dbraw/zinc/61/63/20/977616320.db2.gz VQYMSUMVAWTPCG-SNVBAGLBSA-N 0 3 239.384 2.777 20 0 BFADHN CCc1ccc(CN2CC([C@H](C)OC)C2)s1 ZINC001231503282 977619893 /nfs/dbraw/zinc/61/98/93/977619893.db2.gz VQYMSUMVAWTPCG-JTQLQIEISA-N 0 3 239.384 2.777 20 0 BFADHN COCC[C@H]1CCCCN1Cc1ccns1 ZINC001232192965 977918432 /nfs/dbraw/zinc/91/84/32/977918432.db2.gz IIRIJQBNTVZTRM-LLVKDONJSA-N 0 3 240.372 2.534 20 0 BFADHN COCC[C@H]1CCCCN1Cc1cnsc1 ZINC001232227847 977958642 /nfs/dbraw/zinc/95/86/42/977958642.db2.gz UIGVUQTXSBNXLE-GFCCVEGCSA-N 0 3 240.372 2.534 20 0 BFADHN C[C@H]1CC[C@@H]1[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001336813396 977972724 /nfs/dbraw/zinc/97/27/24/977972724.db2.gz QLYMHHYEANLFMR-UFBFGSQYSA-N 0 3 225.719 2.934 20 0 BFADHN C[C@@H]1CCCN1Cc1cccc(CF)n1 ZINC001232534997 978162730 /nfs/dbraw/zinc/16/27/30/978162730.db2.gz JATUNVFOODGUBK-SNVBAGLBSA-N 0 3 208.280 2.535 20 0 BFADHN CC1CCN(Cc2cccc(CF)n2)CC1 ZINC001232532199 978163867 /nfs/dbraw/zinc/16/38/67/978163867.db2.gz JSFKJCBFIKQNQG-UHFFFAOYSA-N 0 3 222.307 2.783 20 0 BFADHN Cc1c(CN(C)C2CC2)ccnc1Cl ZINC001232751794 978260269 /nfs/dbraw/zinc/26/02/69/978260269.db2.gz PVBVFACWNNZGKL-UHFFFAOYSA-N 0 3 210.708 2.638 20 0 BFADHN Cc1cnsc1CN1CC[C@@](C)(CF)C1 ZINC001233318914 978549318 /nfs/dbraw/zinc/54/93/18/978549318.db2.gz UZRUCPWSPMAHGA-NSHDSACASA-N 0 3 228.336 2.633 20 0 BFADHN Cc1cnsc1CN1CCC[C@H](F)CC1 ZINC001233318143 978553273 /nfs/dbraw/zinc/55/32/73/978553273.db2.gz RQVFBNSXMLXVGV-JTQLQIEISA-N 0 3 228.336 2.776 20 0 BFADHN Cc1cnsc1CN1CCCC12CC2 ZINC001233318285 978552807 /nfs/dbraw/zinc/55/28/07/978552807.db2.gz XXFPRCGWXFZKFP-UHFFFAOYSA-N 0 3 208.330 2.580 20 0 BFADHN CN(Cc1cncc(Cl)n1)C[C@@H]1CC1(C)C ZINC001233450128 978607408 /nfs/dbraw/zinc/60/74/08/978607408.db2.gz HKLNBXQJNOOXSJ-VIFPVBQESA-N 0 3 239.750 2.608 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCOC2)sc1C ZINC001233685751 978674892 /nfs/dbraw/zinc/67/48/92/978674892.db2.gz SBDJRSTZLWVFBD-LLVKDONJSA-N 0 3 225.357 2.586 20 0 BFADHN CCN(Cc1cc(F)c(F)c(OC)c1)C1CC1 ZINC001235431762 979045136 /nfs/dbraw/zinc/04/51/36/979045136.db2.gz HLQXIXRHODOFCT-UHFFFAOYSA-N 0 3 241.281 2.958 20 0 BFADHN CCCN(C)Cc1cc(F)c(F)c(OC)c1 ZINC001235432495 979044446 /nfs/dbraw/zinc/04/44/46/979044446.db2.gz LBRHQKPFHVMLNO-UHFFFAOYSA-N 0 3 229.270 2.815 20 0 BFADHN CN[C@@H]1CCN1C[C@H](C)CCC=C(C)C ZINC001236166665 979418471 /nfs/dbraw/zinc/41/84/71/979418471.db2.gz MIDMDSVNZSRRDN-OLZOCXBDSA-N 0 3 210.365 2.620 20 0 BFADHN Cc1cccc(CN2CC[C@@](C)(O)C2)c1Cl ZINC001236857282 979767714 /nfs/dbraw/zinc/76/77/14/979767714.db2.gz RCGJNGVVNWGTLB-CYBMUJFWSA-N 0 3 239.746 2.605 20 0 BFADHN C[N@@H+](Cc1occc1[S-])CC1CCC1 ZINC001237187329 979831369 /nfs/dbraw/zinc/83/13/69/979831369.db2.gz ALGDOEQRTYSQTA-UHFFFAOYSA-N 0 3 211.330 2.800 20 0 BFADHN C[N@H+](Cc1occc1[S-])CC1CCC1 ZINC001237187329 979831372 /nfs/dbraw/zinc/83/13/72/979831372.db2.gz ALGDOEQRTYSQTA-UHFFFAOYSA-N 0 3 211.330 2.800 20 0 BFADHN C[C@H]1CCCC[N@@H+]1Cc1occc1[S-] ZINC001237184624 979837924 /nfs/dbraw/zinc/83/79/24/979837924.db2.gz ZUCNYNKIZABPEQ-VIFPVBQESA-N 0 3 211.330 2.943 20 0 BFADHN C[C@H]1CCCC[N@H+]1Cc1occc1[S-] ZINC001237184624 979837927 /nfs/dbraw/zinc/83/79/27/979837927.db2.gz ZUCNYNKIZABPEQ-VIFPVBQESA-N 0 3 211.330 2.943 20 0 BFADHN CSc1ncc(C)cc1CN1CCCC1 ZINC001238711784 980312207 /nfs/dbraw/zinc/31/22/07/980312207.db2.gz FEIXVHLSQPAYRS-UHFFFAOYSA-N 0 3 222.357 2.708 20 0 BFADHN C[C@@H](NC1(C)CC1)c1ccncc1Cl ZINC001348654306 981413809 /nfs/dbraw/zinc/41/38/09/981413809.db2.gz OGTXLJZDHDXUAV-MRVPVSSYSA-N 0 3 210.708 2.938 20 0 BFADHN Cc1c(F)cccc1-c1ccnc(CN)c1 ZINC001240731621 981794840 /nfs/dbraw/zinc/79/48/40/981794840.db2.gz RHIKLRITUKNOEB-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN CN1CC=C(c2ccc(C(F)F)cn2)CC1 ZINC001241327791 982487372 /nfs/dbraw/zinc/48/73/72/982487372.db2.gz RFAJYZGELSLCRR-UHFFFAOYSA-N 0 3 224.254 2.738 20 0 BFADHN CCC[C@H](C)CCNC(=O)[C@@H](C(C)C)N(C)C ZINC001589661850 982858356 /nfs/dbraw/zinc/85/83/56/982858356.db2.gz MVKJEXLCKIBDSJ-QWHCGFSZSA-N 0 3 242.407 2.515 20 0 BFADHN O=C1CCN(CC2CCCCC2)CC[C@@H]1F ZINC001201390885 983209424 /nfs/dbraw/zinc/20/94/24/983209424.db2.gz QVDRRCMXCYABCS-LBPRGKRZSA-N 0 3 227.323 2.570 20 0 BFADHN CCN1CCSC[C@H]1c1cccc(OC)c1 ZINC001201590146 983353701 /nfs/dbraw/zinc/35/37/01/983353701.db2.gz PAGRWHWTCNDUMS-ZDUSSCGKSA-N 0 3 237.368 2.805 20 0 BFADHN CCCN1CC[C@@H](Oc2cc(F)ccc2F)C1 ZINC001201643631 983398603 /nfs/dbraw/zinc/39/86/03/983398603.db2.gz ZGNARTWZRMVLJF-LLVKDONJSA-N 0 3 241.281 2.828 20 0 BFADHN CCCCN1CCc2ncc(Cl)cc2C1 ZINC001201717251 983424969 /nfs/dbraw/zinc/42/49/69/983424969.db2.gz IJOORDMMCDHXBK-UHFFFAOYSA-N 0 3 224.735 2.893 20 0 BFADHN CCCCCN1CC[C@](C)(F)[C@H](F)C1 ZINC001201725909 983433839 /nfs/dbraw/zinc/43/38/39/983433839.db2.gz VYPXCQUSXACEQP-MNOVXSKESA-N 0 3 205.292 2.949 20 0 BFADHN Cc1csc(CN2[C@@H]3CC[C@H]2CC(=O)C3)c1 ZINC001137152875 983990927 /nfs/dbraw/zinc/99/09/27/983990927.db2.gz GVOZPLGMWUVVFV-PHIMTYICSA-N 0 3 235.352 2.752 20 0 BFADHN CCCN(CCOC)Cc1ccc(Cl)nc1 ZINC001137161758 984006868 /nfs/dbraw/zinc/00/68/68/984006868.db2.gz STHACCOKCXGYJX-UHFFFAOYSA-N 0 3 242.750 2.593 20 0 BFADHN CCN1CCN(Cc2cc(C)cs2)[C@H](C)C1 ZINC001137161718 984007756 /nfs/dbraw/zinc/00/77/56/984007756.db2.gz QVWQEKHCDONRIL-GFCCVEGCSA-N 0 3 238.400 2.583 20 0 BFADHN CCN1CC[C@@H](Oc2cc(F)c(F)c(F)c2)C1 ZINC001225910472 974726153 /nfs/dbraw/zinc/72/61/53/974726153.db2.gz BLTPMZXMQDSEDD-MRVPVSSYSA-N 0 3 245.244 2.577 20 0 BFADHN CC(=O)[C@@H]1CCCCN1[C@H](C)CCOC(C)C ZINC001172457916 974822373 /nfs/dbraw/zinc/82/23/73/974822373.db2.gz WOACIOAIJRZDQA-OCCSQVGLSA-N 0 3 241.375 2.634 20 0 BFADHN CC(C)COCC[C@H](C)N1C[C@@H](C)O[C@@H](C)C1 ZINC001172467895 974829995 /nfs/dbraw/zinc/82/99/95/974829995.db2.gz NKNFITKDTTZQKA-MELADBBJSA-N 0 3 243.391 2.547 20 0 BFADHN CCC[N@H+](Cc1ccc([O-])cn1)[C@@H](C)CC ZINC001144253460 972717066 /nfs/dbraw/zinc/71/70/66/972717066.db2.gz YOVRBCWNFFMDTM-NSHDSACASA-N 0 3 222.332 2.798 20 0 BFADHN CCC[N@@H+](Cc1ccc([O-])cn1)[C@@H](C)CC ZINC001144253460 972717074 /nfs/dbraw/zinc/71/70/74/972717074.db2.gz YOVRBCWNFFMDTM-NSHDSACASA-N 0 3 222.332 2.798 20 0 BFADHN COc1cc(Cl)cc(CN(C)C2CC2)c1 ZINC001144479228 972836671 /nfs/dbraw/zinc/83/66/71/972836671.db2.gz SUPFRZWYXSHVCI-UHFFFAOYSA-N 0 3 225.719 2.943 20 0 BFADHN CC1(N[C@@H]2CCc3ccc(Cl)nc32)CC1 ZINC001328655439 973240949 /nfs/dbraw/zinc/24/09/49/973240949.db2.gz APNXLOKITSYMPQ-SECBINFHSA-N 0 3 222.719 2.864 20 0 BFADHN CC(C)CC[C@@H](C)N1CCCC(=O)CC1 ZINC001256362949 970767507 /nfs/dbraw/zinc/76/75/07/970767507.db2.gz FLLYIBSBRUUNLA-GFCCVEGCSA-N 0 3 211.349 2.866 20 0 BFADHN C[C@H](Cc1ccccn1)N1CCC[C@](C)(F)C1 ZINC001256409629 970814395 /nfs/dbraw/zinc/81/43/95/970814395.db2.gz FDALJLGBOIOCAX-OCCSQVGLSA-N 0 3 236.334 2.837 20 0 BFADHN Cc1ncc(Nc2ccc(C)c3n[nH]cc32)n1C ZINC001215757756 970829502 /nfs/dbraw/zinc/82/95/02/970829502.db2.gz ZOJUEQYHQRVYCJ-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN CSc1ccc(Nc2cnc(C)n2C)c(C)n1 ZINC001215908751 970874015 /nfs/dbraw/zinc/87/40/15/970874015.db2.gz HHKYLPUQPNUQAE-UHFFFAOYSA-N 0 3 248.355 2.897 20 0 BFADHN COc1ccc(Nc2nccn2C)c(C)c1C ZINC001215972511 970885323 /nfs/dbraw/zinc/88/53/23/970885323.db2.gz RDJUSBPZDNJBEQ-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN CCc1cc(Nc2cnc(C)n2C)ccc1CO ZINC001216106191 970944057 /nfs/dbraw/zinc/94/40/57/970944057.db2.gz WYFINCQQSCDSMA-UHFFFAOYSA-N 0 3 245.326 2.527 20 0 BFADHN F[C@@H]1CCN([C@@H]2CCO[C@@H](c3ccccc3)C2)C1 ZINC001256807190 970993814 /nfs/dbraw/zinc/99/38/14/970993814.db2.gz OMLPTMDOHXQRRU-RBSFLKMASA-N 0 3 249.329 2.951 20 0 BFADHN COc1cccc2c(C3=CNCCC3)ccnc12 ZINC001243953194 971052056 /nfs/dbraw/zinc/05/20/56/971052056.db2.gz YDQHCVFEOBXAST-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN C[C@@H]1C[C@@H](N(C)Cc2cncs2)C[C@H](C)O1 ZINC001257104077 971113601 /nfs/dbraw/zinc/11/36/01/971113601.db2.gz VBCFNCCJEHXSEO-JGPRNRPPSA-N 0 3 240.372 2.531 20 0 BFADHN Cc1noc2ccc(Nc3nccn3C)cc12 ZINC001216637333 971144718 /nfs/dbraw/zinc/14/47/18/971144718.db2.gz GYVMPQWEFBCYQQ-UHFFFAOYSA-N 0 3 228.255 2.613 20 0 BFADHN CCn1cc(CNC2CCCCCCC2)nn1 ZINC000404427485 971162159 /nfs/dbraw/zinc/16/21/59/971162159.db2.gz FBNSXGDFRDYLDN-UHFFFAOYSA-N 0 3 236.363 2.501 20 0 BFADHN CCCC[C@@H](CC)CNCc1cn(CC)nn1 ZINC000404444641 971163270 /nfs/dbraw/zinc/16/32/70/971163270.db2.gz JQUHSDVIKFMOKH-GFCCVEGCSA-N 0 3 238.379 2.604 20 0 BFADHN CCCCN(CC(=O)OCC)C(CC)CC ZINC001257304006 971191481 /nfs/dbraw/zinc/19/14/81/971191481.db2.gz XEPLWJDCDHRGLT-UHFFFAOYSA-N 0 3 229.364 2.840 20 0 BFADHN CCC[C@H](C)NCC(=O)c1ccc(C)cc1 ZINC001257308890 971199328 /nfs/dbraw/zinc/19/93/28/971199328.db2.gz LSAHZJLCMHDQAM-LBPRGKRZSA-N 0 3 219.328 2.956 20 0 BFADHN CCC[C@@H](C)NCC(=O)c1cccc(F)c1 ZINC001257312698 971207551 /nfs/dbraw/zinc/20/75/51/971207551.db2.gz GYYANZWNMLTPPK-SNVBAGLBSA-N 0 3 223.291 2.787 20 0 BFADHN CCC[C@H](C)n1nc(CN)c2cc(OC)ccc21 ZINC001257313320 971208830 /nfs/dbraw/zinc/20/88/30/971208830.db2.gz AVSLHMMBOBHILU-JTQLQIEISA-N 0 3 247.342 2.865 20 0 BFADHN CCC[C@@H](C)N[C@H]1CCc2ccccc2C1=O ZINC001257313373 971209801 /nfs/dbraw/zinc/20/98/01/971209801.db2.gz BMJSFPFLNBRREE-RISCZKNCSA-N 0 3 231.339 2.962 20 0 BFADHN CCC[C@@H](C)N1CC(N2CCC(F)(F)CC2)C1 ZINC001257325120 971228092 /nfs/dbraw/zinc/22/80/92/971228092.db2.gz DUBFQHWVAYHJAC-LLVKDONJSA-N 0 3 246.345 2.590 20 0 BFADHN CCCC(CCC)N1CCc2nn(C)cc2C1 ZINC001257340267 971247330 /nfs/dbraw/zinc/24/73/30/971247330.db2.gz URBVCPMEXULDCE-UHFFFAOYSA-N 0 3 235.375 2.747 20 0 BFADHN CCCC(CCC)N(CC)CC(=O)OCC ZINC001257341294 971253004 /nfs/dbraw/zinc/25/30/04/971253004.db2.gz WZWYRSUPFCCRPI-UHFFFAOYSA-N 0 3 229.364 2.840 20 0 BFADHN COc1ccc2c(c1)c(CN)nn2C1CCCC1 ZINC001257348649 971259359 /nfs/dbraw/zinc/25/93/59/971259359.db2.gz GAIKUFTXBVOBDV-UHFFFAOYSA-N 0 3 245.326 2.619 20 0 BFADHN CCCCCC[C@@H](C)N1CC[C@@H](O)[C@@H](F)C1 ZINC001257363186 971278557 /nfs/dbraw/zinc/27/85/57/971278557.db2.gz KJKXWEMSOMTQFM-FRRDWIJNSA-N 0 3 231.355 2.750 20 0 BFADHN CCCCCC[C@@H](CC)n1nncc1CN ZINC001170589656 971297052 /nfs/dbraw/zinc/29/70/52/971297052.db2.gz YTJLPCDMHVVTTH-LLVKDONJSA-N 0 3 224.352 2.658 20 0 BFADHN CCCC[C@@H](CC)N1CC2(C1)CCCO2 ZINC001257420086 971320466 /nfs/dbraw/zinc/32/04/66/971320466.db2.gz IMIIFGDUFMXMDT-GFCCVEGCSA-N 0 3 211.349 2.820 20 0 BFADHN CCCC[C@H](CC)N1CCN(CC(F)F)CC1 ZINC001257425715 971329481 /nfs/dbraw/zinc/32/94/81/971329481.db2.gz XNEAHMGCXCKJPP-LBPRGKRZSA-N 0 3 248.361 2.838 20 0 BFADHN c1cc2c(cn1)CN(C1CCCCC1)CC2 ZINC001257460310 971384677 /nfs/dbraw/zinc/38/46/77/971384677.db2.gz ZFHBCZFNFRNSPX-UHFFFAOYSA-N 0 3 216.328 2.772 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N(C)CC(=O)NCC ZINC001257478238 971401216 /nfs/dbraw/zinc/40/12/16/971401216.db2.gz RAWOBCYPZOGWQC-CHWSQXEVSA-N 0 3 242.407 2.659 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N1CC[C@@H](O)[C@@H](F)C1 ZINC001257479595 971403328 /nfs/dbraw/zinc/40/33/28/971403328.db2.gz ZCGXGKSAINPASQ-XDQVBPFNSA-N 0 3 245.382 2.996 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N(C)C1COC1 ZINC001257482159 971403545 /nfs/dbraw/zinc/40/35/45/971403545.db2.gz OTILCCMQBIPEEW-NWDGAFQWSA-N 0 3 213.365 2.922 20 0 BFADHN CCCC[C@@H](CC)OC[C@@H]1COC(C)(C)N1 ZINC001217838825 971432959 /nfs/dbraw/zinc/43/29/59/971432959.db2.gz DHOFDHDDMIRFKH-VXGBXAGGSA-N 0 3 229.364 2.696 20 0 BFADHN CCCCC[C@@H](C)N1CCN(CCF)CC1 ZINC001257517053 971441875 /nfs/dbraw/zinc/44/18/75/971441875.db2.gz QNXWNSQFUNKSKR-CYBMUJFWSA-N 0 3 230.371 2.542 20 0 BFADHN CCC[C@H](OC[C@H]1COC(C)(C)N1)[C@@H](C)CC ZINC001217867795 971462940 /nfs/dbraw/zinc/46/29/40/971462940.db2.gz USVFHFFHSJKKES-AVGNSLFASA-N 0 3 243.391 2.942 20 0 BFADHN CC(C)CC[C@H](C)OC[C@@H]1COC(C)(C)N1 ZINC001217869260 971465564 /nfs/dbraw/zinc/46/55/64/971465564.db2.gz BMUPNBAEOIOMHY-NWDGAFQWSA-N 0 3 229.364 2.552 20 0 BFADHN CC1(C)N[C@@H](CO[C@@H]2CCC=CCCC2)CO1 ZINC001217871997 971467369 /nfs/dbraw/zinc/46/73/69/971467369.db2.gz PQBZXFSUDUMCOR-QWHCGFSZSA-N 0 3 239.359 2.616 20 0 BFADHN Oc1cccc2c1CCN([C@H]1CCCSC1)C2 ZINC001257667209 971467837 /nfs/dbraw/zinc/46/78/37/971467837.db2.gz ATAJQGOGVDLYBN-LBPRGKRZSA-N 0 3 249.379 2.646 20 0 BFADHN c1ccc2c(c1)CN([C@H]1CCCSC1)CCO2 ZINC001257676553 971476745 /nfs/dbraw/zinc/47/67/45/971476745.db2.gz CZZNPDXQAFMWPD-ZDUSSCGKSA-N 0 3 249.379 2.777 20 0 BFADHN CC(C)=CCC[C@H](C)OC[C@@H]1COC(C)(C)N1 ZINC001217879759 971477274 /nfs/dbraw/zinc/47/72/74/971477274.db2.gz GGZKUBAYLUWHTF-QWHCGFSZSA-N 0 3 241.375 2.862 20 0 BFADHN CC1(C)N[C@H](CO[C@@H]2CCCC(C)(C)C2)CO1 ZINC001217888919 971485177 /nfs/dbraw/zinc/48/51/77/971485177.db2.gz HJSJREFBESTRKW-VXGBXAGGSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@H](C)CC[C@H](C)OC[C@H]1COC(C)(C)N1 ZINC001217891541 971490175 /nfs/dbraw/zinc/49/01/75/971490175.db2.gz KZBANCINFLAVGB-AVGNSLFASA-N 0 3 243.391 2.942 20 0 BFADHN CCCCCC[C@H](CC)OC[C@H]1CNCCO1 ZINC001217893024 971492427 /nfs/dbraw/zinc/49/24/27/971492427.db2.gz VMJHLWDWDLYIHG-UONOGXRCSA-N 0 3 243.391 2.740 20 0 BFADHN CCC1CCC(OC[C@@H]2COC(C)(C)N2)CC1 ZINC001217893244 971492592 /nfs/dbraw/zinc/49/25/92/971492592.db2.gz LTCHHXIDVIETOD-OTTFEQOBSA-N 0 3 241.375 2.696 20 0 BFADHN CCCC/C=C/[C@H](C)OC[C@@H]1COC(C)(C)N1 ZINC001217896110 971498045 /nfs/dbraw/zinc/49/80/45/971498045.db2.gz OQFPNXBEQXVIAZ-AYSSICMYSA-N 0 3 241.375 2.862 20 0 BFADHN C[C@@H]1CCN1[C@@H]1CCc2cc(F)cc(F)c2C1 ZINC001170689794 971550882 /nfs/dbraw/zinc/55/08/82/971550882.db2.gz NAUFGRFJXDBSFS-BXKDBHETSA-N 0 3 237.293 2.916 20 0 BFADHN C[C@H]1CCC[C@@H]1Oc1cccc2c1CNC2 ZINC001218010914 971567756 /nfs/dbraw/zinc/56/77/56/971567756.db2.gz ATOSHMYXHIYIKZ-GWCFXTLKSA-N 0 3 217.312 2.857 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)n1cncc1CN ZINC001258054040 971578907 /nfs/dbraw/zinc/57/89/07/971578907.db2.gz YHTXSBMXCSFDJM-RYUDHWBXSA-N 0 3 223.364 2.975 20 0 BFADHN Cc1ccc(Cl)c(OC2CNC2)c1Cl ZINC001218053012 971589927 /nfs/dbraw/zinc/58/99/27/971589927.db2.gz QMQAXKAANWKAGE-UHFFFAOYSA-N 0 3 232.110 2.652 20 0 BFADHN CC(C)c1cccc(O[C@@H]2CCNC[C@H]2F)c1 ZINC001218064359 971594317 /nfs/dbraw/zinc/59/43/17/971594317.db2.gz JTYZHTBLPGWJPX-ZIAGYGMSSA-N 0 3 237.318 2.889 20 0 BFADHN Cc1ccc(Cl)cc1O[C@@H]1CCNC[C@@H]1F ZINC001218064484 971594458 /nfs/dbraw/zinc/59/44/58/971594458.db2.gz LNEFLOBDMUPTOI-WDEREUQCSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1cc(O[C@H]2CCNC[C@H]2F)cc(C)c1C ZINC001218069766 971595383 /nfs/dbraw/zinc/59/53/83/971595383.db2.gz KSNLJEUIVIODOA-KGLIPLIRSA-N 0 3 237.318 2.691 20 0 BFADHN Cc1c(F)ccc(O[C@H]2CCNC[C@H]2F)c1C ZINC001218068793 971593147 /nfs/dbraw/zinc/59/31/47/971593147.db2.gz FZPSZFFASKABRE-YPMHNXCESA-N 0 3 241.281 2.521 20 0 BFADHN Cc1cccc(Cl)c1O[C@H]1CCNC[C@@H]1F ZINC001218064444 971593617 /nfs/dbraw/zinc/59/36/17/971593617.db2.gz LCQQFHVWDPWRSU-QWRGUYRKSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1cc(O[C@@H]2CCNC[C@@H]2F)ccc1Cl ZINC001218067067 971593749 /nfs/dbraw/zinc/59/37/49/971593749.db2.gz QLQIOJFHDGFSLA-NWDGAFQWSA-N 0 3 243.709 2.727 20 0 BFADHN NCc1ccc(-c2ccc(O)cc2Cl)cn1 ZINC001245083074 971634542 /nfs/dbraw/zinc/63/45/42/971634542.db2.gz HYANIHZAZYASIU-UHFFFAOYSA-N 0 3 234.686 2.566 20 0 BFADHN Cc1cc(CN(C)[C@@H]2C[C@@H]3[C@H](C2)C3(C)C)n(C)n1 ZINC001170758677 971735294 /nfs/dbraw/zinc/73/52/94/971735294.db2.gz UMTFLTSGBWCTRM-DEZZGGKRSA-N 0 3 247.386 2.595 20 0 BFADHN CC1(C)[C@@H]2C[C@H](N3CCc4ncsc4C3)C[C@@H]21 ZINC001170761336 971743224 /nfs/dbraw/zinc/74/32/24/971743224.db2.gz LKUMMTZQXGOXQC-JGPRNRPPSA-N 0 3 248.395 2.936 20 0 BFADHN Cc1cc(F)ccc1O[C@@H]1CCCN(C)C1 ZINC001225347898 974429645 /nfs/dbraw/zinc/42/96/45/974429645.db2.gz MLOPVHAQKDYISQ-GFCCVEGCSA-N 0 3 223.291 2.607 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)N1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001172331109 974596325 /nfs/dbraw/zinc/59/63/25/974596325.db2.gz PBQXTHCLNNJXTH-PEBLQZBPSA-N 0 3 243.366 2.870 20 0 BFADHN C=Cc1ccc(NC(=O)[C@@H](N)CC2CCC2)cc1 ZINC001218841533 971951283 /nfs/dbraw/zinc/95/12/83/971951283.db2.gz QAQQGMHIXCFURO-AWEZNQCLSA-N 0 3 244.338 2.786 20 0 BFADHN CC/C(C)=C(\C)C(=O)N(CCN(C)C)C(C)C ZINC000779991945 971973948 /nfs/dbraw/zinc/97/39/48/971973948.db2.gz LFLZEDXOAOCMQI-OUKQBFOZSA-N 0 3 240.391 2.531 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)N1CCOCC1(C)C ZINC001172339345 974604550 /nfs/dbraw/zinc/60/45/50/974604550.db2.gz FHFUVTCLLAJDRK-CHWSQXEVSA-N 0 3 243.391 2.691 20 0 BFADHN Cc1nc2c(n1[C@@H]1CC[C@@H](C)[C@H](C)C1)CNCC2 ZINC000310983763 971990928 /nfs/dbraw/zinc/99/09/28/971990928.db2.gz KCKMPNDWMVWXED-NQBHXWOUSA-N 0 3 247.386 2.834 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)N1CC[C@@H](F)C1 ZINC001172342817 974610460 /nfs/dbraw/zinc/61/04/60/974610460.db2.gz NXBDWJMEUXDQDK-QJPTWQEYSA-N 0 3 217.328 2.624 20 0 BFADHN CN1CCC=C(Nc2ccc3cc[nH]c3c2)C1 ZINC001159689765 972045283 /nfs/dbraw/zinc/04/52/83/972045283.db2.gz ATXBVBCXZLGMOD-UHFFFAOYSA-N 0 3 227.311 2.799 20 0 BFADHN Clc1cccc2c1C[C@H](N1CCC1)CC2 ZINC001170914290 972087876 /nfs/dbraw/zinc/08/78/76/972087876.db2.gz IQTMOVMHOYQUNC-LLVKDONJSA-N 0 3 221.731 2.903 20 0 BFADHN CSC(C)(C)CNCc1nccn1C(C)C ZINC000692951338 957039312 /nfs/dbraw/zinc/03/93/12/957039312.db2.gz XBAMEMURXJPPAB-UHFFFAOYSA-N 0 3 241.404 2.695 20 0 BFADHN CN1CC=C(Nc2cc(F)cnc2Cl)CC1 ZINC001208794127 957171071 /nfs/dbraw/zinc/17/10/71/957171071.db2.gz OFFSMFYCLWRPOD-UHFFFAOYSA-N 0 3 241.697 2.505 20 0 BFADHN C[C@H]1CCCCN1CCOC(=O)c1ccccc1 ZINC001209040108 957302847 /nfs/dbraw/zinc/30/28/47/957302847.db2.gz HRTMPOFRGYMYRJ-ZDUSSCGKSA-N 0 3 247.338 2.718 20 0 BFADHN Nc1ccncc1-c1cc(Cl)ccc1F ZINC000720735326 972262329 /nfs/dbraw/zinc/26/23/29/972262329.db2.gz UWLRBAHXBIJASF-UHFFFAOYSA-N 0 3 222.650 2.954 20 0 BFADHN Cc1ccn2cc(CN(C)C[C@H]3C[C@H]3C)nc2c1 ZINC001209426401 957446423 /nfs/dbraw/zinc/44/64/23/957446423.db2.gz KRDFGPUGVBQIKS-CHWSQXEVSA-N 0 3 243.354 2.731 20 0 BFADHN C[C@H]1C[C@H]1CN1CCO[C@@H](Cc2ccccc2)C1 ZINC001209430395 957458170 /nfs/dbraw/zinc/45/81/70/957458170.db2.gz LQPQPAGYHRXZKE-BPUTZDHNSA-N 0 3 245.366 2.586 20 0 BFADHN CCCN(C)Cc1ccc(O)cc1Cl ZINC001140081311 957537719 /nfs/dbraw/zinc/53/77/19/957537719.db2.gz LXTUXBFPSIKHNY-UHFFFAOYSA-N 0 3 213.708 2.887 20 0 BFADHN CCCN(CC)[C@@H](C(=O)OC(C)(C)C)C1CC1 ZINC001167237725 957569714 /nfs/dbraw/zinc/56/97/14/957569714.db2.gz QINWRTWOCXKDCN-GFCCVEGCSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H]1CCCN1Cc1cc(Cl)ccc1N ZINC000086549348 957635556 /nfs/dbraw/zinc/63/55/56/957635556.db2.gz IRAGDBMJZXIDAI-SECBINFHSA-N 0 3 224.735 2.907 20 0 BFADHN COc1ccc(C)cc1CNCCOC1CCC1 ZINC000690702447 957739636 /nfs/dbraw/zinc/73/96/36/957739636.db2.gz SGQBTXGREXIEHT-UHFFFAOYSA-N 0 3 249.354 2.662 20 0 BFADHN Cc1cc2cc(NC3CC(=O)C3)ccc2cn1 ZINC001167348000 957849319 /nfs/dbraw/zinc/84/93/19/957849319.db2.gz MWILDYMHTKDFEC-UHFFFAOYSA-N 0 3 226.279 2.687 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cc(C)c(C)o2)C1 ZINC001204535013 957970315 /nfs/dbraw/zinc/97/03/15/957970315.db2.gz PGVVZULSKGMCFL-CYBMUJFWSA-N 0 3 237.343 2.897 20 0 BFADHN Clc1cncc(CN2CC3CC(C3)C2)c1 ZINC001136997748 972319225 /nfs/dbraw/zinc/31/92/25/972319225.db2.gz NAXOIFXZKPHYND-UHFFFAOYSA-N 0 3 222.719 2.577 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1ccon1 ZINC000088052786 958149085 /nfs/dbraw/zinc/14/90/85/958149085.db2.gz QVOXCXQOGVSINI-QWRGUYRKSA-N 0 3 210.321 2.979 20 0 BFADHN CC(C)CN(C)Cc1ncc(C(F)(F)F)[nH]1 ZINC001137010627 972324889 /nfs/dbraw/zinc/32/48/89/972324889.db2.gz YKLLHSJYMWQQHH-UHFFFAOYSA-N 0 3 235.253 2.516 20 0 BFADHN CCOc1cc(C)ccc1Nc1nccn1C ZINC001215873920 958356971 /nfs/dbraw/zinc/35/69/71/958356971.db2.gz IUEFTSGBJFFZMD-UHFFFAOYSA-N 0 3 231.299 2.871 20 0 BFADHN CNc1ncccc1CN1CCC12CCCC2 ZINC000707668419 958656237 /nfs/dbraw/zinc/65/62/37/958656237.db2.gz WSJOFPKWJXAODG-UHFFFAOYSA-N 0 3 231.343 2.642 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@@]23C[C@@H]2CCCC3)n1 ZINC000693528065 958716342 /nfs/dbraw/zinc/71/63/42/958716342.db2.gz RIDNWMBMVHIEAJ-SWLSCSKDSA-N 0 3 246.354 2.766 20 0 BFADHN c1nc(CNC[C@]23C[C@H]2CCCC3)cs1 ZINC000693589555 958897802 /nfs/dbraw/zinc/89/78/02/958897802.db2.gz VDWKHOLZOWLNOK-PWSUYJOCSA-N 0 3 222.357 2.813 20 0 BFADHN Cc1csc(CNC[C@]23C[C@H]2CCC3)n1 ZINC000693601715 958924686 /nfs/dbraw/zinc/92/46/86/958924686.db2.gz SQVXUJKZFLBNOD-PWSUYJOCSA-N 0 3 222.357 2.731 20 0 BFADHN Clc1ncccc1CNC1(C2CC2)CC1 ZINC000393613589 958946947 /nfs/dbraw/zinc/94/69/47/958946947.db2.gz KLKJUCRPBYNLJC-UHFFFAOYSA-N 0 3 222.719 2.767 20 0 BFADHN Cc1cc(CN2CC(C)(C)C2)ccc1O ZINC001140574968 958963654 /nfs/dbraw/zinc/96/36/54/958963654.db2.gz XMGIHHVUBZMNGP-UHFFFAOYSA-N 0 3 205.301 2.542 20 0 BFADHN CCc1nc(CNC[C@]23C[C@H]2CCC3)cs1 ZINC000693623304 959019963 /nfs/dbraw/zinc/01/99/63/959019963.db2.gz VEKOYWDTMHGBIW-MFKMUULPSA-N 0 3 236.384 2.985 20 0 BFADHN Cc1nc(CN2CC[C@H](c3ccccc3)C2)c[nH]1 ZINC000724781007 959450982 /nfs/dbraw/zinc/45/09/82/959450982.db2.gz ZXHTYFCJPOFMHO-AWEZNQCLSA-N 0 3 241.338 2.708 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1ncccn1 ZINC001351317804 959592148 /nfs/dbraw/zinc/59/21/48/959592148.db2.gz ACGCIFWKPAIRDL-LPWJVIDDSA-N 0 3 233.359 2.952 20 0 BFADHN Cc1ccc2c(c1)CN(Cc1cc[nH]c1)CC2 ZINC001140705104 959661659 /nfs/dbraw/zinc/66/16/59/959661659.db2.gz YETYGOOOAZLQSC-UHFFFAOYSA-N 0 3 226.323 2.881 20 0 BFADHN COCOc1ccc(Nc2ccncc2C)cc1 ZINC001211628919 959700767 /nfs/dbraw/zinc/70/07/67/959700767.db2.gz NHZXBIHINQUKMH-UHFFFAOYSA-N 0 3 244.294 2.538 20 0 BFADHN COc1cccc(CNCCC(F)F)c1F ZINC000309332759 959848865 /nfs/dbraw/zinc/84/88/65/959848865.db2.gz JLVIHLSMPNECQX-UHFFFAOYSA-N 0 3 233.233 2.579 20 0 BFADHN Cc1ccc(C)c(O[C@H]2CCNC[C@H]2F)c1C ZINC001218064832 959851904 /nfs/dbraw/zinc/85/19/04/959851904.db2.gz PWKCBJAOWRRZHX-OLZOCXBDSA-N 0 3 237.318 2.691 20 0 BFADHN Cc1c(Cl)cccc1O[C@@H]1CCNC[C@@H]1F ZINC001218063677 959852433 /nfs/dbraw/zinc/85/24/33/959852433.db2.gz CCIDKCKBDLRFAT-CMPLNLGQSA-N 0 3 243.709 2.727 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N1C[C@H](N)[C@@H](F)C1 ZINC001246536378 972475473 /nfs/dbraw/zinc/47/54/73/972475473.db2.gz PASVONVDTPLVSS-NDBYEHHHSA-N 0 3 230.371 2.572 20 0 BFADHN CCC[C@@H]1CCCN1Cc1nc(C)c(C)[nH]1 ZINC000709069247 960274196 /nfs/dbraw/zinc/27/41/96/960274196.db2.gz PNHUKZXKXCRFEC-GFCCVEGCSA-N 0 3 221.348 2.791 20 0 BFADHN Cc1ncsc1CN[C@H](C)C1(Cl)CC1 ZINC000695674577 960342211 /nfs/dbraw/zinc/34/22/11/960342211.db2.gz PVSMJKAADWYOJL-MRVPVSSYSA-N 0 3 230.764 2.701 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@]2(CCCO2)C1 ZINC001140589800 960444385 /nfs/dbraw/zinc/44/43/85/960444385.db2.gz NFEKYLQKBLYYJV-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1ccc(F)cc1CN1CCCC2(COC2)C1 ZINC001140590097 960445038 /nfs/dbraw/zinc/44/50/38/960445038.db2.gz WGPJVIBKVUIYJE-UHFFFAOYSA-N 0 3 249.329 2.747 20 0 BFADHN CCCN(C)Cc1cc(Br)ccn1 ZINC001140760467 960558717 /nfs/dbraw/zinc/55/87/17/960558717.db2.gz FSPZXWPTLFSPGZ-UHFFFAOYSA-N 0 3 243.148 2.686 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@]2(CCCO2)C1 ZINC001140779423 960562906 /nfs/dbraw/zinc/56/29/06/960562906.db2.gz KUAANZNUQLMDNF-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1cc(F)ccc1CN1CCCC2(COC2)C1 ZINC001140779368 960564338 /nfs/dbraw/zinc/56/43/38/960564338.db2.gz ISGROWJTADYRLF-UHFFFAOYSA-N 0 3 249.329 2.747 20 0 BFADHN CN(C)C1(C)CN(Cc2coc3ccccc23)C1 ZINC001140787145 960573170 /nfs/dbraw/zinc/57/31/70/960573170.db2.gz YPMIQPHZEFOVPP-UHFFFAOYSA-N 0 3 244.338 2.569 20 0 BFADHN Cc1cc(F)ccc1CN1CCC[C@]2(CCO2)C1 ZINC001140782110 960574216 /nfs/dbraw/zinc/57/42/16/960574216.db2.gz GYGNTPOVNLKFCR-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN F[C@@H]1CCCCN(Cc2cnc3cc[nH]cc2-3)C1 ZINC001140801917 960589101 /nfs/dbraw/zinc/58/91/01/960589101.db2.gz KXGLZZDZFWXEEC-GFCCVEGCSA-N 0 3 247.317 2.887 20 0 BFADHN F[C@@H]1CCCCN(Cc2c[nH]c3ccncc23)C1 ZINC001140801917 960589114 /nfs/dbraw/zinc/58/91/14/960589114.db2.gz KXGLZZDZFWXEEC-GFCCVEGCSA-N 0 3 247.317 2.887 20 0 BFADHN Cc1c[nH]c(CN2CC[C@@H](OC(C)C)C2)c1C ZINC001140862159 960644260 /nfs/dbraw/zinc/64/42/60/960644260.db2.gz XKXULYOBNNAVNV-CYBMUJFWSA-N 0 3 236.359 2.631 20 0 BFADHN Cc1c[nH]c(CN2CCC[C@@H]3C[C@@H]32)c1C ZINC001140864331 960648848 /nfs/dbraw/zinc/64/88/48/960648848.db2.gz NTLGXFFYDMSLHD-YPMHNXCESA-N 0 3 204.317 2.616 20 0 BFADHN CN(Cc1cnccc1C(F)(F)F)CC1CC1 ZINC001140914575 960689138 /nfs/dbraw/zinc/68/91/38/960689138.db2.gz OQHLWMIJMXAOIX-UHFFFAOYSA-N 0 3 244.260 2.942 20 0 BFADHN COc1ccc(-c2cccc(CN(C)C)n2)cc1 ZINC001140955007 960755681 /nfs/dbraw/zinc/75/56/81/960755681.db2.gz OXLXSRGFXFSFJY-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN Cc1cc(CN(C)[C@H]2CC[C@H](C)CC2)[nH]n1 ZINC001203084156 960770258 /nfs/dbraw/zinc/77/02/58/960770258.db2.gz RWMDSTZHZMSAMN-MJHDQNEOSA-N 0 3 221.348 2.729 20 0 BFADHN Cc1noc([C@H](N[C@H]2CCC[C@@H]2C)C(C)C)n1 ZINC000167944119 960813607 /nfs/dbraw/zinc/81/36/07/960813607.db2.gz AAUPUYRTBIQXJT-ZMLRMANQSA-N 0 3 237.347 2.853 20 0 BFADHN CCCCN(C)Cc1c[nH]nc1C(F)(F)F ZINC001141012018 960817109 /nfs/dbraw/zinc/81/71/09/960817109.db2.gz GCLAFTNYUMXBAC-UHFFFAOYSA-N 0 3 235.253 2.660 20 0 BFADHN CC[C@@H](C)N(C)Cc1c[nH]nc1C(F)(F)F ZINC001141014256 960818828 /nfs/dbraw/zinc/81/88/28/960818828.db2.gz FZRGAUJQYMOJPV-SSDOTTSWSA-N 0 3 235.253 2.659 20 0 BFADHN Cc1ccncc1CN1CC[C@](C)(CF)C1 ZINC001141031577 960821018 /nfs/dbraw/zinc/82/10/18/960821018.db2.gz SAFWQRHOOGDBRS-CYBMUJFWSA-N 0 3 222.307 2.572 20 0 BFADHN CCCOC1CCN(Cc2cnccc2C)CC1 ZINC001141035122 960826784 /nfs/dbraw/zinc/82/67/84/960826784.db2.gz HNCAKEIQTHZXEW-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1ncoc1CN(C)CCc1ccc(C)cc1 ZINC001141053016 960846845 /nfs/dbraw/zinc/84/68/45/960846845.db2.gz XVJCPTPPSWFAQI-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN CC[C@@]12C[C@@H](CO1)N(CCc1cccc(C)c1)C2 ZINC001207536267 960851062 /nfs/dbraw/zinc/85/10/62/960851062.db2.gz FRHISSTYKJXAEQ-HOTGVXAUSA-N 0 3 245.366 2.791 20 0 BFADHN CCCCc1nc(CN(C)CC(C)C)c[nH]1 ZINC001141066559 960851049 /nfs/dbraw/zinc/85/10/49/960851049.db2.gz JMKGIOFGBIVEGG-UHFFFAOYSA-N 0 3 223.364 2.840 20 0 BFADHN COc1c(F)cccc1CN1CC[C@H](C)C1 ZINC001143522496 960907049 /nfs/dbraw/zinc/90/70/49/960907049.db2.gz XOAMLIKTHZMEKG-JTQLQIEISA-N 0 3 223.291 2.676 20 0 BFADHN COc1c(F)cccc1CN1CCC[C@@H]1C ZINC001143525233 961038570 /nfs/dbraw/zinc/03/85/70/961038570.db2.gz PNFLEIURUAAANM-JTQLQIEISA-N 0 3 223.291 2.819 20 0 BFADHN Cc1onc(-c2ccccc2)c1CN(C)C ZINC001141979084 961200069 /nfs/dbraw/zinc/20/00/69/961200069.db2.gz OEVFTMVBNYMKAW-UHFFFAOYSA-N 0 3 216.284 2.712 20 0 BFADHN Cc1ncc(CN2CC[C@H]3CCCC[C@H]3C2)cn1 ZINC001203118147 961252684 /nfs/dbraw/zinc/25/26/84/961252684.db2.gz ZULGVJLORMAASF-CABCVRRESA-N 0 3 245.370 2.797 20 0 BFADHN N=CNc1ccnc(Oc2ccccc2)c1 ZINC001167864602 961336484 /nfs/dbraw/zinc/33/64/84/961336484.db2.gz GLXWMMCKTVYJPC-UHFFFAOYSA-N 0 3 213.240 2.893 20 0 BFADHN CN(Cc1[nH]cnc1C(C)(C)C)CC1CC1 ZINC001142209501 961348879 /nfs/dbraw/zinc/34/88/79/961348879.db2.gz PHTPPBWVWDAVQO-UHFFFAOYSA-N 0 3 221.348 2.549 20 0 BFADHN CCCN(C)Cc1[nH]cnc1C(C)(C)C ZINC001142209466 961350200 /nfs/dbraw/zinc/35/02/00/961350200.db2.gz OOVSJTOPQILKST-UHFFFAOYSA-N 0 3 209.337 2.549 20 0 BFADHN CC(C)(C)c1nc[nH]c1CN1CCC(C)(C)C1 ZINC001142226459 961357674 /nfs/dbraw/zinc/35/76/74/961357674.db2.gz LHDYXMKOZWZMTO-UHFFFAOYSA-N 0 3 235.375 2.939 20 0 BFADHN Cn1cc2c(cccc2CN2CC3(CCC3)C2)n1 ZINC001142448311 961438949 /nfs/dbraw/zinc/43/89/49/961438949.db2.gz CIHLTUFPSXGIAO-UHFFFAOYSA-N 0 3 241.338 2.559 20 0 BFADHN Fc1ccc(F)c(CN[C@H]2C[C@H]3C[C@H]3C2)c1F ZINC001167893638 961505690 /nfs/dbraw/zinc/50/56/90/961505690.db2.gz JTFCIQBNWICMCZ-BRPSZJMVSA-N 0 3 241.256 2.992 20 0 BFADHN COC[C@@H]1CCN1Cc1c(C)cc(OC)cc1C ZINC001142949588 961851464 /nfs/dbraw/zinc/85/14/64/961851464.db2.gz LFNABZAUAXSZHR-ZDUSSCGKSA-N 0 3 249.354 2.533 20 0 BFADHN Cc1cc(CN(C)CCC(C)(C)C)n(C)n1 ZINC000843425612 962003094 /nfs/dbraw/zinc/00/30/94/962003094.db2.gz RNYCIOSTBYDTDF-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN CCc1cc(Nc2cc(N)ccc2F)ccn1 ZINC001211827962 962040257 /nfs/dbraw/zinc/04/02/57/962040257.db2.gz FBFUVWXNKXVWTQ-UHFFFAOYSA-N 0 3 231.274 2.531 20 0 BFADHN Clc1ccc2c(n1)CCN([C@@H]1C[C@H]3C[C@H]3C1)C2 ZINC001167938170 962129476 /nfs/dbraw/zinc/12/94/76/962129476.db2.gz CCQOZJLTNLAEFE-ZSBIGDGJSA-N 0 3 248.757 2.892 20 0 BFADHN C[C@H]([NH2+][C@H]1C[C@H]1C)c1cc([O-])cc(F)c1 ZINC000924947591 962584523 /nfs/dbraw/zinc/58/45/23/962584523.db2.gz DEVOTMZGCIMSCT-LWINAJNOSA-N 0 3 209.264 2.590 20 0 BFADHN CC[C@H]1CCCCN1Cc1ncccc1OC ZINC001206650439 962637814 /nfs/dbraw/zinc/63/78/14/962637814.db2.gz KTWVZOIAQVXAAF-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN CC[C@H]1CCCN(Cc2ncccc2OC)C1 ZINC001206650296 962641701 /nfs/dbraw/zinc/64/17/01/962641701.db2.gz FGOPYJUBZCNAFQ-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1cccnc1CN[C@@H]1C=CCCC1 ZINC000134769497 962839494 /nfs/dbraw/zinc/83/94/94/962839494.db2.gz HSCBJMCCAYZLFM-GFCCVEGCSA-N 0 3 202.301 2.588 20 0 BFADHN CC(C)N1CC[C@@H](Oc2cccc(F)c2F)C1 ZINC001225925627 962915355 /nfs/dbraw/zinc/91/53/55/962915355.db2.gz XWVDREGNVZWLIV-SNVBAGLBSA-N 0 3 241.281 2.826 20 0 BFADHN C[C@@H]1SCC[C@@H]1Nc1cc(N)ncc1Cl ZINC000894364367 962965651 /nfs/dbraw/zinc/96/56/51/962965651.db2.gz RUDDAORELANFMQ-XPUUQOCRSA-N 0 3 243.763 2.623 20 0 BFADHN Cc1cc(F)cc(CN2CCCO[C@@H](C)C2)c1 ZINC001143580340 963154285 /nfs/dbraw/zinc/15/42/85/963154285.db2.gz GBDIESNVLJKZJZ-LBPRGKRZSA-N 0 3 237.318 2.745 20 0 BFADHN CC(C)c1ccc(N[C@H]2CN3CCC2CC3)nc1 ZINC001155668439 963154897 /nfs/dbraw/zinc/15/48/97/963154897.db2.gz YBVVKSQZGDBSOH-AWEZNQCLSA-N 0 3 245.370 2.711 20 0 BFADHN Cc1cc(F)cc(CN2CC[C@]3(CCCO3)C2)c1 ZINC001143580599 963166132 /nfs/dbraw/zinc/16/61/32/963166132.db2.gz OYDPQQVUKCDAJH-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1noc2cc(O[C@H]3CCCN(C)C3)ccc12 ZINC001226539242 963248811 /nfs/dbraw/zinc/24/88/11/963248811.db2.gz YDIIAORPGNXFDO-LBPRGKRZSA-N 0 3 246.310 2.609 20 0 BFADHN CCC(C)(C)CCN1CC(O)(C(C)C)C1 ZINC000696758112 963464882 /nfs/dbraw/zinc/46/48/82/963464882.db2.gz OGWQJRVGBVORRD-UHFFFAOYSA-N 0 3 213.365 2.515 20 0 BFADHN c1cc2c(c(CN3CCCC34CC4)c1)OCO2 ZINC001141948083 963487789 /nfs/dbraw/zinc/48/77/89/963487789.db2.gz MIFHVZMHEOGKKJ-UHFFFAOYSA-N 0 3 231.295 2.544 20 0 BFADHN COc1cccc2c(NC=N)cc(Cl)nc12 ZINC001157073453 963521217 /nfs/dbraw/zinc/52/12/17/963521217.db2.gz MLJKZDHDBRXNAW-UHFFFAOYSA-N 0 3 235.674 2.916 20 0 BFADHN C[C@H]1C[C@H](N[C@H](CF)c2ccccc2)CCO1 ZINC001168084162 963642149 /nfs/dbraw/zinc/64/21/49/963642149.db2.gz PNGPQAGEFXSFKJ-IACUBPJLSA-N 0 3 237.318 2.854 20 0 BFADHN C[C@@H]1C[C@H](N[C@H](CF)c2ccccc2)CCO1 ZINC001168084164 963644165 /nfs/dbraw/zinc/64/41/65/963644165.db2.gz PNGPQAGEFXSFKJ-MRVWCRGKSA-N 0 3 237.318 2.854 20 0 BFADHN Cc1cc(C)nc(NC[C@@H]2CC(C)(C)O2)c1 ZINC001157655734 963692100 /nfs/dbraw/zinc/69/21/00/963692100.db2.gz YSWOKYUFOUGWQY-NSHDSACASA-N 0 3 220.316 2.678 20 0 BFADHN Cc1cc(C)nc(NCCOc2cccnc2)c1 ZINC001157811181 963734651 /nfs/dbraw/zinc/73/46/51/963734651.db2.gz OADQCNWSRXCTML-UHFFFAOYSA-N 0 3 243.310 2.584 20 0 BFADHN Cc1nc(CNC2CCC(C)CC2)c(C)o1 ZINC001168193179 963955808 /nfs/dbraw/zinc/95/58/08/963955808.db2.gz QVNKXXFRYPAPOP-UHFFFAOYSA-N 0 3 222.332 2.960 20 0 BFADHN C[C@@H](N)c1nccn1C1CCC(C)CC1 ZINC001168192776 963956176 /nfs/dbraw/zinc/95/61/76/963956176.db2.gz CTSALSMAIRZBOV-HSOILSAZSA-N 0 3 207.321 2.654 20 0 BFADHN CN(c1cccc(N)n1)[C@H]1CCc2ccccc21 ZINC001158922276 963957481 /nfs/dbraw/zinc/95/74/81/963957481.db2.gz RXISPCLGGSTKON-ZDUSSCGKSA-N 0 3 239.322 2.788 20 0 BFADHN CC1CCC(NCc2ccn(CCF)n2)CC1 ZINC001168204515 963983251 /nfs/dbraw/zinc/98/32/51/963983251.db2.gz VQYLHJWEXDIJTJ-UHFFFAOYSA-N 0 3 239.338 2.521 20 0 BFADHN c1c(N=C2CCCNC2)onc1C1CCCC1 ZINC001159113087 964003567 /nfs/dbraw/zinc/00/35/67/964003567.db2.gz LNHWBSLLWDAHKQ-UHFFFAOYSA-N 0 3 233.315 2.621 20 0 BFADHN c1c(N=C2CCCNC2)onc1-c1ccccc1 ZINC001159124483 964004304 /nfs/dbraw/zinc/00/43/04/964004304.db2.gz ZEUVBXLIUKVXBE-UHFFFAOYSA-N 0 3 241.294 2.631 20 0 BFADHN Cc1cc2c(ncnc2NC2=CNCCC2)s1 ZINC001159113492 964005112 /nfs/dbraw/zinc/00/51/12/964005112.db2.gz STIXSVPYIRNWFO-UHFFFAOYSA-N 0 3 246.339 2.636 20 0 BFADHN COCC1(NCc2cnc3ccccc3c2)CC1 ZINC000697498219 964113386 /nfs/dbraw/zinc/11/33/86/964113386.db2.gz NFHCMSZYGMEVFW-UHFFFAOYSA-N 0 3 242.322 2.503 20 0 BFADHN CCO[C@H](C)COc1ccccc1CN(C)C ZINC001229700408 964165397 /nfs/dbraw/zinc/16/53/97/964165397.db2.gz ALFUTMWUVJJNBC-GFCCVEGCSA-N 0 3 237.343 2.552 20 0 BFADHN CCCN1CCN(c2ccc(C)cc2C)CC1 ZINC000200120130 964578301 /nfs/dbraw/zinc/57/83/01/964578301.db2.gz HWJSINFPWJNUNA-UHFFFAOYSA-N 0 3 232.371 2.835 20 0 BFADHN Cc1nc(NC2CC3(CCC3)C2)cc(N(C)C)n1 ZINC001161443883 964599966 /nfs/dbraw/zinc/59/99/66/964599966.db2.gz QMWLDJJQJNHZTL-UHFFFAOYSA-N 0 3 246.358 2.596 20 0 BFADHN CCC1(Nc2cc(CN(C)C)ccn2)CCC1 ZINC001161561887 964641160 /nfs/dbraw/zinc/64/11/60/964641160.db2.gz VHGIPDUORPAORY-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN CN(C)Cc1ccnc(N[C@H]2CC=CCC2)c1 ZINC001161754905 964738985 /nfs/dbraw/zinc/73/89/85/964738985.db2.gz WQRWRPFQJRBHLB-ZDUSSCGKSA-N 0 3 231.343 2.664 20 0 BFADHN CC(C)(C)N(Cc1c[nH]nn1)Cc1ccccc1 ZINC001203195533 964805255 /nfs/dbraw/zinc/80/52/55/964805255.db2.gz GXIQXCLQUJHCCP-UHFFFAOYSA-N 0 3 244.342 2.605 20 0 BFADHN Cc1cc(Cl)cc(C)c1-n1nccc1CN ZINC001162593187 965062781 /nfs/dbraw/zinc/06/27/81/965062781.db2.gz BDMCFTUZOFVKNA-UHFFFAOYSA-N 0 3 235.718 2.601 20 0 BFADHN C=Cc1ncc(NC(=N)C(C)(C)C)cn1 ZINC001162666711 965102582 /nfs/dbraw/zinc/10/25/82/965102582.db2.gz LTXPJLLEARHOBD-UHFFFAOYSA-N 0 3 204.277 2.555 20 0 BFADHN C[C@H](N[C@@H]1C=CCC1)c1ccc(F)cn1 ZINC000698207351 965107005 /nfs/dbraw/zinc/10/70/05/965107005.db2.gz WKVAIUKSTVQTNG-GXSJLCMTSA-N 0 3 206.264 2.590 20 0 BFADHN Cc1csc(CNCCC(C)(C)F)n1 ZINC000698286577 965182994 /nfs/dbraw/zinc/18/29/94/965182994.db2.gz KQHHVTPVQOHJDL-UHFFFAOYSA-N 0 3 216.325 2.679 20 0 BFADHN Cc1ccc(CNc2c[nH]cc3ccnc2-3)cn1 ZINC001162871797 965198840 /nfs/dbraw/zinc/19/88/40/965198840.db2.gz UEUPPEDAYSHHFX-UHFFFAOYSA-N 0 3 238.294 2.878 20 0 BFADHN Cc1ccc(CNc2cncc3cc[nH]c32)cn1 ZINC001162871797 965198847 /nfs/dbraw/zinc/19/88/47/965198847.db2.gz UEUPPEDAYSHHFX-UHFFFAOYSA-N 0 3 238.294 2.878 20 0 BFADHN Cc1ccc(CN(C)c2cccc(N)n2)cc1 ZINC000168774296 965233362 /nfs/dbraw/zinc/23/33/62/965233362.db2.gz BHZIGEIEHHJHFH-UHFFFAOYSA-N 0 3 227.311 2.609 20 0 BFADHN CC[C@](C)(NCc1nnc(C)s1)C1CC1 ZINC000698374886 965266969 /nfs/dbraw/zinc/26/69/69/965266969.db2.gz FDXLTLOFOIQVGR-NSHDSACASA-N 0 3 225.361 2.515 20 0 BFADHN Cc1ncccc1N[C@@H]1CNCc2ccsc21 ZINC001163227881 965306610 /nfs/dbraw/zinc/30/66/10/965306610.db2.gz DIQKEAGPUQJCSB-GFCCVEGCSA-N 0 3 245.351 2.708 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2NCCOC1CCC1 ZINC000690689999 965312093 /nfs/dbraw/zinc/31/20/93/965312093.db2.gz RCAXLMUSYWPWPP-ZDUSSCGKSA-N 0 3 235.327 2.816 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H](N1CC[C@@H](F)C1)C2 ZINC001168430474 965479308 /nfs/dbraw/zinc/47/93/08/965479308.db2.gz ZETKDGFJSOXGHF-ZIAGYGMSSA-N 0 3 237.293 2.727 20 0 BFADHN C/C(=C\C=C\N)NCc1cc2ccccc2n1C ZINC001163947378 965654630 /nfs/dbraw/zinc/65/46/30/965654630.db2.gz XWARJGOCERSCCJ-BGNLXQKFSA-N 0 3 241.338 2.644 20 0 BFADHN Cc1cc(CN)nn1-c1cccc(C2CCC2)c1 ZINC001163988353 965681805 /nfs/dbraw/zinc/68/18/05/965681805.db2.gz MTXGLHMWBBQSTB-UHFFFAOYSA-N 0 3 241.338 2.907 20 0 BFADHN Cc1nc2ccc(NC[C@@H]3CC(C)(C)O3)cc2[nH]1 ZINC001168453380 965751287 /nfs/dbraw/zinc/75/12/87/965751287.db2.gz VQOWMNKICNLMMB-NSHDSACASA-N 0 3 245.326 2.851 20 0 BFADHN Cc1c2[nH]cnc2ccc1NC[C@H]1CC(C)(C)O1 ZINC001168457632 965761649 /nfs/dbraw/zinc/76/16/49/965761649.db2.gz ZRHPOPGWVRWWSA-SNVBAGLBSA-N 0 3 245.326 2.851 20 0 BFADHN COc1cc(C)c(O[C@H]2CCCN(C)C2)c(C)c1 ZINC001234275170 965777828 /nfs/dbraw/zinc/77/78/28/965777828.db2.gz PVQINQSKKPECBL-ZDUSSCGKSA-N 0 3 249.354 2.785 20 0 BFADHN C[C@H](N)c1ccn([C@@H](C)Cc2cccc(F)c2)n1 ZINC001168468837 965828860 /nfs/dbraw/zinc/82/88/60/965828860.db2.gz OZICOEODQAICAU-QWRGUYRKSA-N 0 3 247.317 2.846 20 0 BFADHN CCC1CCN(c2cccc(N(C)C)n2)CC1 ZINC001164446232 965885520 /nfs/dbraw/zinc/88/55/20/965885520.db2.gz JKVCCUCNNRRBTE-UHFFFAOYSA-N 0 3 233.359 2.774 20 0 BFADHN CCc1cc(CN(C)CC(C)(C)C)on1 ZINC001203225255 965910716 /nfs/dbraw/zinc/91/07/16/965910716.db2.gz MCHKYQLGSIFEKK-UHFFFAOYSA-N 0 3 210.321 2.715 20 0 BFADHN CCc1ccc(CN(CC)CCn2ccnc2)o1 ZINC001204394834 965955093 /nfs/dbraw/zinc/95/50/93/965955093.db2.gz TYBNZXRGYCJNOX-UHFFFAOYSA-N 0 3 247.342 2.561 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@H]2COC[C@H]2C)o1 ZINC000699790722 966097416 /nfs/dbraw/zinc/09/74/16/966097416.db2.gz SUIJKLZDMAAFND-WFFHOREQSA-N 0 3 235.327 2.528 20 0 BFADHN Cc1nc2ccc(Nc3ncccc3O)cc2[nH]1 ZINC001213070290 966472438 /nfs/dbraw/zinc/47/24/38/966472438.db2.gz ITRBVZPRBGWGFP-UHFFFAOYSA-N 0 3 240.266 2.716 20 0 BFADHN CCc1cc(CN2CC[C@H]3CCC[C@@H]3C2)on1 ZINC001203219700 966563976 /nfs/dbraw/zinc/56/39/76/966563976.db2.gz WMAWKZWNEKOITD-VXGBXAGGSA-N 0 3 234.343 2.859 20 0 BFADHN CCc1cc(CN2CC[C@@H]3CCC[C@@H]3C2)on1 ZINC001203219694 966564002 /nfs/dbraw/zinc/56/40/02/966564002.db2.gz WMAWKZWNEKOITD-NWDGAFQWSA-N 0 3 234.343 2.859 20 0 BFADHN CCc1cc(CN2C[C@@H](C)CC23CC3)on1 ZINC001203227381 966569963 /nfs/dbraw/zinc/56/99/63/966569963.db2.gz CWUVKURXSMOBIX-JTQLQIEISA-N 0 3 220.316 2.611 20 0 BFADHN CCc1nc(C)c(CN(CC2CC2)C2CC2)[nH]1 ZINC001203247432 966583783 /nfs/dbraw/zinc/58/37/83/966583783.db2.gz DQTOECKGWTYEQB-UHFFFAOYSA-N 0 3 233.359 2.655 20 0 BFADHN Cn1ccnc1Nc1cn(C)c2ccccc12 ZINC001203415399 966636288 /nfs/dbraw/zinc/63/62/88/966636288.db2.gz WUNASHITTUPKCO-UHFFFAOYSA-N 0 3 226.283 2.655 20 0 BFADHN CCC[C@@H](C)CCNCc1nn(C)cc1C ZINC001203511064 966669340 /nfs/dbraw/zinc/66/93/40/966669340.db2.gz KOLYJYKYDYAQSI-LLVKDONJSA-N 0 3 223.364 2.644 20 0 BFADHN COc1ccoc1CN1CCC2(CCC2)C1 ZINC001237874889 966699253 /nfs/dbraw/zinc/69/92/53/966699253.db2.gz PSVFPKRFCGIHPH-UHFFFAOYSA-N 0 3 221.300 2.664 20 0 BFADHN Fc1cccc(CN2C[C@@H]3C[C@H]2C[C@@H]3F)c1 ZINC001203790712 966780823 /nfs/dbraw/zinc/78/08/23/966780823.db2.gz YUNCZRKXUKTOFP-DRZSPHRISA-N 0 3 223.266 2.758 20 0 BFADHN COc1ccc(CN2CC(C)(C)C2)cc1C ZINC001203894797 966811315 /nfs/dbraw/zinc/81/13/15/966811315.db2.gz XFKJAGDRDNTSHC-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN CC1(C)CCC2(CCN(Cc3ccc[nH]3)CC2)O1 ZINC001204011442 966851250 /nfs/dbraw/zinc/85/12/50/966851250.db2.gz RLLQWGKBEDHYBP-UHFFFAOYSA-N 0 3 248.370 2.938 20 0 BFADHN FC1(F)C[C@H]2CN(Cc3ccccn3)C[C@H]2C1 ZINC001204059259 966873024 /nfs/dbraw/zinc/87/30/24/966873024.db2.gz BYXBQYNECGRGTI-PHIMTYICSA-N 0 3 238.281 2.559 20 0 BFADHN CSc1ccc(CN2CC[C@@H](C(C)=O)C2)cc1 ZINC001204141505 966901334 /nfs/dbraw/zinc/90/13/34/966901334.db2.gz UYEBDWZJLBCJBY-CYBMUJFWSA-N 0 3 249.379 2.819 20 0 BFADHN CC(C)c1ccc(CN2CC(C)(N(C)C)C2)cc1 ZINC001204168186 966909016 /nfs/dbraw/zinc/90/90/16/966909016.db2.gz KACWXJWWRAVMRU-UHFFFAOYSA-N 0 3 246.398 2.946 20 0 BFADHN CCCOc1ccc(CN2CC=CC2)cc1 ZINC001204305972 966965747 /nfs/dbraw/zinc/96/57/47/966965747.db2.gz OPAUXCDBBXWUSY-UHFFFAOYSA-N 0 3 217.312 2.847 20 0 BFADHN CCc1ccc(CN(C)CCc2cccnc2)o1 ZINC001204392356 966987576 /nfs/dbraw/zinc/98/75/76/966987576.db2.gz GWFIYSQGTHXYNO-UHFFFAOYSA-N 0 3 244.338 2.912 20 0 BFADHN Fc1cccc(F)c1-c1ccc2c(n1)CCNC2 ZINC001239571428 967008515 /nfs/dbraw/zinc/00/85/15/967008515.db2.gz LBQAWTQKSJWAHX-UHFFFAOYSA-N 0 3 246.260 2.673 20 0 BFADHN Cc1c(F)cccc1CN1CCCO[C@H](C)C1 ZINC001204506924 967013833 /nfs/dbraw/zinc/01/38/33/967013833.db2.gz OTWCPTFIHWSBFH-LLVKDONJSA-N 0 3 237.318 2.745 20 0 BFADHN COc1cc(CN(C)CC2CC2)cnc1Cl ZINC001249917347 967155074 /nfs/dbraw/zinc/15/50/74/967155074.db2.gz MUCRJJVLTHAYNS-UHFFFAOYSA-N 0 3 240.734 2.585 20 0 BFADHN CCOc1cc(CN2CCC(C)(C)C2)ccn1 ZINC001250009376 967270267 /nfs/dbraw/zinc/27/02/67/967270267.db2.gz IIMQXALRYOYDLQ-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CC/C=C\CCCCCN1CC2(CCN2C)C1 ZINC001276478276 967276570 /nfs/dbraw/zinc/27/65/70/967276570.db2.gz RWJKNCGSIQLOBG-PLNGDYQASA-N 0 3 236.403 2.903 20 0 BFADHN CC(=O)[C@@H]1CCCN1Cc1cccc(C)c1C ZINC001205103765 967350968 /nfs/dbraw/zinc/35/09/68/967350968.db2.gz QTIGXFSKSBNIRY-HNNXBMFYSA-N 0 3 231.339 2.857 20 0 BFADHN CCCN(C)Cc1cc(C)c(F)cc1OC ZINC001250088051 967352662 /nfs/dbraw/zinc/35/26/62/967352662.db2.gz KUIQUFQWCSAMSI-UHFFFAOYSA-N 0 3 225.307 2.985 20 0 BFADHN CN1CCC=C(Cc2ccc(F)cc2F)C1 ZINC001250096809 967367890 /nfs/dbraw/zinc/36/78/90/967367890.db2.gz HXVODXREORSLDI-UHFFFAOYSA-N 0 3 223.266 2.769 20 0 BFADHN CC[C@@H](C)C[C@@H](C)Nc1cccc(CN)n1 ZINC001168690612 967379751 /nfs/dbraw/zinc/37/97/51/967379751.db2.gz ATQJYJRBTLHTJU-GHMZBOCLSA-N 0 3 221.348 2.777 20 0 BFADHN F[C@@H]1CN(Cc2cccc3[nH]ccc32)C[C@@H]2C[C@@H]21 ZINC001205263759 967456335 /nfs/dbraw/zinc/45/63/35/967456335.db2.gz SRKRRDPGTXRULV-FPMFFAJLSA-N 0 3 244.313 2.958 20 0 BFADHN CN(C)CCc1ccc2c(c1)C(=O)CCCC2 ZINC001250162935 967457188 /nfs/dbraw/zinc/45/71/88/967457188.db2.gz VJMJZPMDDVZBHB-UHFFFAOYSA-N 0 3 231.339 2.700 20 0 BFADHN Cc1cccnc1CNC(C)(C)[C@H]1CCCCO1 ZINC001331743091 967496718 /nfs/dbraw/zinc/49/67/18/967496718.db2.gz IJFCEZVVQZQGCY-CQSZACIVSA-N 0 3 248.370 2.827 20 0 BFADHN C=Cc1cccc(CCCN2CCN(C)CC2)c1 ZINC001250230823 967540691 /nfs/dbraw/zinc/54/06/91/967540691.db2.gz DMTUDSMPGUGKNX-UHFFFAOYSA-N 0 3 244.382 2.510 20 0 BFADHN Oc1ccc(F)cc1CCN1CCCCC1 ZINC001250240435 967551867 /nfs/dbraw/zinc/55/18/67/967551867.db2.gz KRCYCYQZCPDOLR-UHFFFAOYSA-N 0 3 223.291 2.560 20 0 BFADHN CCc1cc(Nc2cccnc2C)ccn1 ZINC001205355434 967583138 /nfs/dbraw/zinc/58/31/38/967583138.db2.gz XBFAWWPYAZZUFA-UHFFFAOYSA-N 0 3 213.284 2.513 20 0 BFADHN C[C@H]1CCN1[C@@H]1Cc2cccc(Cl)c2C1 ZINC001168717548 967617176 /nfs/dbraw/zinc/61/71/76/967617176.db2.gz AJKUUDUJANOQPS-GXSJLCMTSA-N 0 3 221.731 2.901 20 0 BFADHN CC1(C)CC(CNCc2ncccc2F)C1 ZINC001205435115 967629193 /nfs/dbraw/zinc/62/91/93/967629193.db2.gz VXULLKXQIKPODE-UHFFFAOYSA-N 0 3 222.307 2.747 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc(F)nc2)[C@H]1C ZINC001205471257 967636526 /nfs/dbraw/zinc/63/65/26/967636526.db2.gz KKUWJWWYAJUMME-CMPLNLGQSA-N 0 3 222.307 2.841 20 0 BFADHN COc1cc(C)cc(CN2CCC[C@H](OC)C2)c1 ZINC001143149854 967640597 /nfs/dbraw/zinc/64/05/97/967640597.db2.gz JCGPPBGVKBIOTE-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN COc1cc(C)cc(CN2CCC(F)CC2)c1 ZINC001143150391 967644141 /nfs/dbraw/zinc/64/41/41/967644141.db2.gz PCBVNQFEUHSWPQ-UHFFFAOYSA-N 0 3 237.318 2.938 20 0 BFADHN CCCN(CCc1ccccn1)Cc1cnoc1 ZINC001205724300 967802783 /nfs/dbraw/zinc/80/27/83/967802783.db2.gz NEFKSWSJMNGRMT-UHFFFAOYSA-N 0 3 245.326 2.524 20 0 BFADHN CC1(C)C[C@@H]1NCc1ccc(Cl)cn1 ZINC000703884948 967882018 /nfs/dbraw/zinc/88/20/18/967882018.db2.gz ALNTXUYGISCMIX-JTQLQIEISA-N 0 3 210.708 2.623 20 0 BFADHN C[C@@]1(CNCc2ccc(Cl)cn2)CC1(F)F ZINC000703887366 967881606 /nfs/dbraw/zinc/88/16/06/967881606.db2.gz VRXFORXAZWHHNW-JTQLQIEISA-N 0 3 246.688 2.870 20 0 BFADHN Cc1cc(CN(C)[C@H]2CC[C@H](C)CC2)n(C)n1 ZINC001205934811 967892341 /nfs/dbraw/zinc/89/23/41/967892341.db2.gz IKOJXQKNXNKAIH-AULYBMBSSA-N 0 3 235.375 2.739 20 0 BFADHN CCOC1CN(C[C@@H]2CCCC[C@H]2CC)C1 ZINC001206174114 968110959 /nfs/dbraw/zinc/11/09/59/968110959.db2.gz IEJCMXBJPQDNJT-OLZOCXBDSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1nc(CO)cs1 ZINC000723184961 968211442 /nfs/dbraw/zinc/21/14/42/968211442.db2.gz FAXWSRMMMHCPRN-ZJUUUORDSA-N 0 3 242.388 2.550 20 0 BFADHN C[C@H]1C[C@@H](O)CN(C/C=C\c2ccc(F)cc2)C1 ZINC001206307355 968216106 /nfs/dbraw/zinc/21/61/06/968216106.db2.gz DEMRMCQPWCNXNY-NCJYXDBRSA-N 0 3 249.329 2.542 20 0 BFADHN COc1ccc(OC)c(CN[C@H]2C=CCC2)c1 ZINC000698324637 968224937 /nfs/dbraw/zinc/22/49/37/968224937.db2.gz BQMFRECHOKSLIL-LBPRGKRZSA-N 0 3 233.311 2.512 20 0 BFADHN CC(C)(F)CNCc1cccn1C1CC1 ZINC001119246796 968296672 /nfs/dbraw/zinc/29/66/72/968296672.db2.gz GZADMRISNIOZMF-UHFFFAOYSA-N 0 3 210.296 2.661 20 0 BFADHN COc1cnccc1CN1CCC(C(C)C)CC1 ZINC001206479983 968327503 /nfs/dbraw/zinc/32/75/03/968327503.db2.gz DCTTXUIDWISLIS-UHFFFAOYSA-N 0 3 248.370 2.958 20 0 BFADHN Cn1cc(CN[C@@H]2C=CCC2)c(C(C)(C)C)n1 ZINC000698326537 968328744 /nfs/dbraw/zinc/32/87/44/968328744.db2.gz JVHBLXFUUYHCLP-GFCCVEGCSA-N 0 3 233.359 2.526 20 0 BFADHN COc1cnccc1CN(C)CC1CCCC1 ZINC001206479942 968329282 /nfs/dbraw/zinc/32/92/82/968329282.db2.gz BHOCMOSRAVGGQV-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@H]1CCCN(Cc2ccncc2OC)C1 ZINC001206480022 968333350 /nfs/dbraw/zinc/33/33/50/968333350.db2.gz GDHNTWXDRREENM-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ncccc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001206561381 968382945 /nfs/dbraw/zinc/38/29/45/968382945.db2.gz REGWLHNCFOIAKD-STQMWFEESA-N 0 3 246.354 2.712 20 0 BFADHN COc1cccnc1CN1CCCCCCC1 ZINC001206643853 968427454 /nfs/dbraw/zinc/42/74/54/968427454.db2.gz BKOSNWWVJQBTJX-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN COc1cccnc1CN1CC[C@@H](C)C[C@H]1C ZINC001206643872 968427664 /nfs/dbraw/zinc/42/76/64/968427664.db2.gz BWYHOCIBDZLKQR-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cc(C)ccc1CN1C[C@@H]2C[C@H]1C[C@@H]2F ZINC001206768846 968461702 /nfs/dbraw/zinc/46/17/02/968461702.db2.gz CRMIIWIWOUPXOR-IHRRRGAJSA-N 0 3 249.329 2.936 20 0 BFADHN Cc1ncsc1CN(C)C1CC(C)C1 ZINC001206863914 968506574 /nfs/dbraw/zinc/50/65/74/968506574.db2.gz YCXGZXUXDVMZBJ-UHFFFAOYSA-N 0 3 210.346 2.682 20 0 BFADHN COc1cncc(CN2CC3CCC(CC3)C2)c1 ZINC001206998926 968533072 /nfs/dbraw/zinc/53/30/72/968533072.db2.gz LIEZVYZLDWNJKM-UHFFFAOYSA-N 0 3 246.354 2.712 20 0 BFADHN CCOCCN(C)C[C@]1(C)CC1(Cl)Cl ZINC000823324725 968544679 /nfs/dbraw/zinc/54/46/79/968544679.db2.gz AZXMRYQOQZEATA-VIFPVBQESA-N 0 3 240.174 2.539 20 0 BFADHN C[C@H]1CCCN(Cc2ncccc2F)CC1 ZINC001207029413 968562771 /nfs/dbraw/zinc/56/27/71/968562771.db2.gz PVJJXSZQTLHUCF-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN Fc1cccnc1CN1CCCCCCC1 ZINC001207034404 968566787 /nfs/dbraw/zinc/56/67/87/968566787.db2.gz QERUQINAKNZDJB-UHFFFAOYSA-N 0 3 222.307 2.987 20 0 BFADHN C[C@@H]1CCCCN(Cc2ncc3ccccn32)C1 ZINC001207049577 968577597 /nfs/dbraw/zinc/57/75/97/968577597.db2.gz YDRIFGIZBIWWPF-CYBMUJFWSA-N 0 3 243.354 2.956 20 0 BFADHN CC(C)C1CCN(Cc2cccc(=O)[nH]2)CC1 ZINC001207073750 968604428 /nfs/dbraw/zinc/60/44/28/968604428.db2.gz KDTFSUAZSLDKEO-UHFFFAOYSA-N 0 3 234.343 2.655 20 0 BFADHN CCc1nccc(CN2CCC[C@H](C)[C@H]2C)n1 ZINC001207086645 968616632 /nfs/dbraw/zinc/61/66/32/968616632.db2.gz RCRSQALGEFXMIC-NWDGAFQWSA-N 0 3 233.359 2.659 20 0 BFADHN CN(C)Cc1cncc(-c2cc(F)ccc2O)c1 ZINC001240697772 968648859 /nfs/dbraw/zinc/64/88/59/968648859.db2.gz CLTWZTGKJHQJKL-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN CC[C@H](NCC(C)(C)O)c1c(F)cccc1F ZINC001252371398 968650776 /nfs/dbraw/zinc/65/07/76/968650776.db2.gz NEVRUOZAKMFTDS-NSHDSACASA-N 0 3 243.297 2.776 20 0 BFADHN C[C@@H](NCC(C)(C)O)c1cccc(F)c1Cl ZINC001252371621 968654879 /nfs/dbraw/zinc/65/48/79/968654879.db2.gz ZHWLYEYAZPUEIX-MRVPVSSYSA-N 0 3 245.725 2.901 20 0 BFADHN Cc1ncccc1CN1CCCCC12CC2 ZINC001207155112 968665394 /nfs/dbraw/zinc/66/53/94/968665394.db2.gz KYKKVLDJYYKIGM-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN CO[C@@H]1CN(CCC2CCCCC2)C[C@@H]1F ZINC001207254309 968696368 /nfs/dbraw/zinc/69/63/68/968696368.db2.gz GPZDWPNILQJFRH-QWHCGFSZSA-N 0 3 229.339 2.626 20 0 BFADHN FCCN1CCN(CCC2CCCCC2)CC1 ZINC001207262106 968702651 /nfs/dbraw/zinc/70/26/51/968702651.db2.gz KNNVOJFOJPZVTF-UHFFFAOYSA-N 0 3 242.382 2.544 20 0 BFADHN Cn1ncc2c1CCN(CCC1CCCCC1)C2 ZINC001207261603 968703617 /nfs/dbraw/zinc/70/36/17/968703617.db2.gz YUPYTLIKKQTTHH-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN CN(Cc1ccno1)CC1CCC(F)(F)CC1 ZINC001207349702 968732321 /nfs/dbraw/zinc/73/23/21/968732321.db2.gz TWMYOUQYQPDPHI-UHFFFAOYSA-N 0 3 244.285 2.932 20 0 BFADHN COc1ccccc1CCN1CC(C)(C)C1 ZINC001207453654 968780638 /nfs/dbraw/zinc/78/06/38/968780638.db2.gz LUJJTQUMSNOLMT-UHFFFAOYSA-N 0 3 219.328 2.580 20 0 BFADHN Cc1cccc(CCN2C[C@@H](F)C[C@H]2C)c1 ZINC001207533250 968814122 /nfs/dbraw/zinc/81/41/22/968814122.db2.gz VTNPIXOBNWIAIU-OCCSQVGLSA-N 0 3 221.319 2.970 20 0 BFADHN CN(Cc1ccnn1C1CCC1)CC1CCC1 ZINC001207723719 968869196 /nfs/dbraw/zinc/86/91/96/968869196.db2.gz NEQXLJXCQQTBAP-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN NCc1ccc(-c2c(F)ccc(F)c2F)cn1 ZINC001241047904 968876554 /nfs/dbraw/zinc/87/65/54/968876554.db2.gz QKLXXPRYTNBILN-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN CC(=O)[C@@H]1CCCN1CC1(c2ccccc2)CC1 ZINC001207841820 968899872 /nfs/dbraw/zinc/89/98/72/968899872.db2.gz ZSWHBKMOVZXRRB-HNNXBMFYSA-N 0 3 243.350 2.772 20 0 BFADHN c1ccc2c(c1)CN(C[C@H]1CCCO1)CCS2 ZINC001207894686 968916582 /nfs/dbraw/zinc/91/65/82/968916582.db2.gz UFNVVYTWAMCTHD-CYBMUJFWSA-N 0 3 249.379 2.773 20 0 BFADHN C[C@H](CN1CCCC12COC2)c1ccccc1 ZINC001207910575 968928655 /nfs/dbraw/zinc/92/86/55/968928655.db2.gz VBLKSHXIGBRAGG-CYBMUJFWSA-N 0 3 231.339 2.655 20 0 BFADHN COC(=O)c1ccc(C)cc1C1=CCN(C)CC1 ZINC001241319136 968973600 /nfs/dbraw/zinc/97/36/00/968973600.db2.gz DAZWLRXCENFSHU-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN COc1ccc(C2=CCN(C)CC2)c2[nH]ccc21 ZINC001241319361 968975503 /nfs/dbraw/zinc/97/55/03/968975503.db2.gz IMCGASSBHDHVIT-UHFFFAOYSA-N 0 3 242.322 2.895 20 0 BFADHN CSc1ccc(C2=CCN(C)CC2)cn1 ZINC001241321802 968975733 /nfs/dbraw/zinc/97/57/33/968975733.db2.gz DUTFEDJHPXGOEZ-UHFFFAOYSA-N 0 3 220.341 2.522 20 0 BFADHN Fc1ccnc(N[C@@H]2CNCc3ccsc32)c1 ZINC001155558864 969006199 /nfs/dbraw/zinc/00/61/99/969006199.db2.gz IHUWQHMRVOABBF-SNVBAGLBSA-N 0 3 249.314 2.539 20 0 BFADHN CCOc1ccc(C2=CCCN(C)C2)c(C)n1 ZINC001241570645 969062724 /nfs/dbraw/zinc/06/27/24/969062724.db2.gz MXTYIVHQPXNTNZ-UHFFFAOYSA-N 0 3 232.327 2.508 20 0 BFADHN COc1cccc(C)c1C1=CCCN(C)C1 ZINC001241572178 969067070 /nfs/dbraw/zinc/06/70/70/969067070.db2.gz SEYYZTIKOPNOKP-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN CN1CCC=C(c2ccc(OCC3CC3)nc2)C1 ZINC001241571350 969067139 /nfs/dbraw/zinc/06/71/39/969067139.db2.gz YVPWTSYOJXUKLM-UHFFFAOYSA-N 0 3 244.338 2.589 20 0 BFADHN COC1(C)CN(C[C@H]2CCC(C)=C[C@@H]2C)C1 ZINC001208159904 969084687 /nfs/dbraw/zinc/08/46/87/969084687.db2.gz HUMMYSBSXRTTTE-QWHCGFSZSA-N 0 3 223.360 2.700 20 0 BFADHN Cc1cscc1-c1cccc(CN)n1 ZINC001241726755 969103521 /nfs/dbraw/zinc/10/35/21/969103521.db2.gz VMJMCXCPXVZUCK-UHFFFAOYSA-N 0 3 204.298 2.577 20 0 BFADHN CC1=C[C@H](C)[C@@H](CN2CCOCC23CC3)CC1 ZINC001208181910 969114046 /nfs/dbraw/zinc/11/40/46/969114046.db2.gz RQJNAURUKPDUCY-UONOGXRCSA-N 0 3 235.371 2.844 20 0 BFADHN OCc1cc(-c2cncc3cc[nH]c32)ccc1F ZINC001241790014 969115800 /nfs/dbraw/zinc/11/58/00/969115800.db2.gz QWCKJHFOUKKHLB-UHFFFAOYSA-N 0 3 242.253 2.861 20 0 BFADHN CN1CCc2ccc(-c3ccc(F)cn3)cc2C1 ZINC001241810269 969122025 /nfs/dbraw/zinc/12/20/25/969122025.db2.gz GMORZXLELFVRCF-UHFFFAOYSA-N 0 3 242.297 2.876 20 0 BFADHN Fc1cccc2c1CC[C@H]2N1CCCOCC1 ZINC001253312571 969131878 /nfs/dbraw/zinc/13/18/78/969131878.db2.gz NXWYGUQTZLHPMI-CQSZACIVSA-N 0 3 235.302 2.535 20 0 BFADHN C[C@@]1(F)CCN(CCC2CC2)C[C@H]1F ZINC001208678641 969194235 /nfs/dbraw/zinc/19/42/35/969194235.db2.gz FPGXFGZGSCTNML-GHMZBOCLSA-N 0 3 203.276 2.559 20 0 BFADHN FC1(F)CSC2(CN(CCC3CC3)C2)C1 ZINC001208688622 969195600 /nfs/dbraw/zinc/19/56/00/969195600.db2.gz RAQYFODUCNZYIV-UHFFFAOYSA-N 0 3 233.327 2.613 20 0 BFADHN CCCCC[C@H](O)CN1CCC[C@@](C)(F)C1 ZINC001253524543 969200318 /nfs/dbraw/zinc/20/03/18/969200318.db2.gz CKEMDTZDVPIRKY-QWHCGFSZSA-N 0 3 231.355 2.752 20 0 BFADHN Cc1cc(NC2=CCN(C)CC2)n(C(C)(C)C)n1 ZINC001208719128 969203371 /nfs/dbraw/zinc/20/33/71/969203371.db2.gz OWNUEGJABVCCDB-UHFFFAOYSA-N 0 3 248.374 2.578 20 0 BFADHN CC/C=C\CCN1CCC[C@@H](c2noc(C)n2)C1 ZINC001208725913 969211683 /nfs/dbraw/zinc/21/16/83/969211683.db2.gz RVRRQYJHQFSUMF-DSYXLKISSA-N 0 3 249.358 2.914 20 0 BFADHN CC/C=C\CCN1CCC[C@H](c2noc(C)n2)C1 ZINC001208725916 969215070 /nfs/dbraw/zinc/21/50/70/969215070.db2.gz RVRRQYJHQFSUMF-ZFDPJTLLSA-N 0 3 249.358 2.914 20 0 BFADHN CCCCC[C@H](O)CN[C@@H]1CCc2cccnc21 ZINC001253553663 969227387 /nfs/dbraw/zinc/22/73/87/969227387.db2.gz KVTFGPIBDKVBRQ-UONOGXRCSA-N 0 3 248.370 2.600 20 0 BFADHN CC(C)CCCN1CC(N2CCC(F)CC2)C1 ZINC001208736111 969228483 /nfs/dbraw/zinc/22/84/83/969228483.db2.gz XSBMVFBQQGMLTI-UHFFFAOYSA-N 0 3 242.382 2.541 20 0 BFADHN CC(C)c1cccc(NC2=CCN(C)CC2)n1 ZINC001208742979 969232634 /nfs/dbraw/zinc/23/26/34/969232634.db2.gz ZIVOXEDNONWBCQ-UHFFFAOYSA-N 0 3 231.343 2.836 20 0 BFADHN CN1CC=C(Nc2ccc3c(c2)CCC3)CC1 ZINC001208839107 969247620 /nfs/dbraw/zinc/24/76/20/969247620.db2.gz WKNUBFLSURRSNG-UHFFFAOYSA-N 0 3 228.339 2.807 20 0 BFADHN CN1CC=C(Nc2ccc3ncsc3c2)CC1 ZINC001208837985 969248156 /nfs/dbraw/zinc/24/81/56/969248156.db2.gz MFMAHFTXHZRQOQ-UHFFFAOYSA-N 0 3 245.351 2.928 20 0 BFADHN CN1CC=C(Nc2cccc3ncccc32)CC1 ZINC001208839058 969250134 /nfs/dbraw/zinc/25/01/34/969250134.db2.gz VCSGHLFNOBJMPN-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN Cc1ccc(N)c(NC2=CCN(C)CC2)c1C ZINC001208843439 969255498 /nfs/dbraw/zinc/25/54/98/969255498.db2.gz CWXASSDRLFVVTR-UHFFFAOYSA-N 0 3 231.343 2.517 20 0 BFADHN Cc1cc(C)c(NC2=CCN(C)CC2)c(C)c1N ZINC001208843393 969255557 /nfs/dbraw/zinc/25/55/57/969255557.db2.gz AVQCASUSFSEFRU-UHFFFAOYSA-N 0 3 245.370 2.825 20 0 BFADHN CN1CC=C(Nc2cccc3ccncc32)CC1 ZINC001208843418 969255934 /nfs/dbraw/zinc/25/59/34/969255934.db2.gz BPRVVIFESANINC-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN COc1cc(NC2=CCN(C)CC2)ccc1C ZINC001208845195 969257843 /nfs/dbraw/zinc/25/78/43/969257843.db2.gz VLCUIFDBPXSLPN-UHFFFAOYSA-N 0 3 232.327 2.635 20 0 BFADHN FC(F)(F)[C@@H]1CCN(C[C@@H]2CCC=CO2)C1 ZINC001208880789 969269738 /nfs/dbraw/zinc/26/97/38/969269738.db2.gz RAOBGZLUIDFUBA-ZJUUUORDSA-N 0 3 235.249 2.563 20 0 BFADHN C[C@H]1CN(CC2(C)CCCCC2)CCO1 ZINC001208894663 969279845 /nfs/dbraw/zinc/27/98/45/969279845.db2.gz APRFDHYTELOUTJ-LBPRGKRZSA-N 0 3 211.349 2.678 20 0 BFADHN CO[C@H]1CN(CC2(C)CCCCC2)C[C@@H]1F ZINC001208898388 969285836 /nfs/dbraw/zinc/28/58/36/969285836.db2.gz QTZQYVNUKKOFSA-RYUDHWBXSA-N 0 3 229.339 2.626 20 0 BFADHN CCC(=O)C(C)(C)CN1CCC[C@](C)(F)C1 ZINC001208918284 969305737 /nfs/dbraw/zinc/30/57/37/969305737.db2.gz MGVJLTHKOJDZEI-ZDUSSCGKSA-N 0 3 229.339 2.816 20 0 BFADHN CCc1ccc(OC)c(NC2=CCN(C)CC2)c1 ZINC001208929550 969309288 /nfs/dbraw/zinc/30/92/88/969309288.db2.gz CDEWAWLGBOQYIS-UHFFFAOYSA-N 0 3 246.354 2.889 20 0 BFADHN Cc1cc(NC2=CCN(C)CC2)cc(F)c1F ZINC001208979257 969317164 /nfs/dbraw/zinc/31/71/64/969317164.db2.gz JHCPCIDMNQKFRU-UHFFFAOYSA-N 0 3 238.281 2.905 20 0 BFADHN CCOC(C)(C)CN1CCc2c(O)cccc2C1 ZINC001209067387 969342672 /nfs/dbraw/zinc/34/26/72/969342672.db2.gz ZGJQLCQOIFZHKZ-UHFFFAOYSA-N 0 3 249.354 2.565 20 0 BFADHN CC1(C)CN(CCc2ccc3c(c2)CCO3)C1 ZINC001209141348 969357561 /nfs/dbraw/zinc/35/75/61/969357561.db2.gz PXHKXEXIFRQSSP-UHFFFAOYSA-N 0 3 231.339 2.506 20 0 BFADHN CC(C)C[C@H](Cc1ccccc1)N(C)C1COC1 ZINC001169081214 969403820 /nfs/dbraw/zinc/40/38/20/969403820.db2.gz WBEWJIKMBLKROF-OAHLLOKOSA-N 0 3 247.382 2.974 20 0 BFADHN C[C@@H]1C[C@H](F)CN1CCc1cccs1 ZINC001209223278 969409100 /nfs/dbraw/zinc/40/91/00/969409100.db2.gz YCNDVHBNOZEFPW-ZJUUUORDSA-N 0 3 213.321 2.723 20 0 BFADHN NCc1cccc(N[C@H]2CCCC(F)(F)C2)n1 ZINC001169218456 969490619 /nfs/dbraw/zinc/49/06/19/969490619.db2.gz KFBFXRRVDTZALS-VIFPVBQESA-N 0 3 241.285 2.530 20 0 BFADHN CCC(C)(C)CCNCc1nn(C)cc1C ZINC000723525308 969502964 /nfs/dbraw/zinc/50/29/64/969502964.db2.gz YLMOICFWVZHVJI-UHFFFAOYSA-N 0 3 223.364 2.644 20 0 BFADHN C=Cc1cccc(-n2ccc([C@H](C)N)n2)c1 ZINC001169230716 969503533 /nfs/dbraw/zinc/50/35/33/969503533.db2.gz AQEMBJWXNTXADF-JTQLQIEISA-N 0 3 213.284 2.535 20 0 BFADHN Cc1ccc(-n2ccc([C@@H](C)N)n2)c2[nH]ccc21 ZINC001169232181 969507115 /nfs/dbraw/zinc/50/71/15/969507115.db2.gz AGSVQMIMZMXSKS-SNVBAGLBSA-N 0 3 240.310 2.682 20 0 BFADHN C[C@@H](N)c1ccn(-c2cc(Cl)ccc2F)n1 ZINC001169231964 969510672 /nfs/dbraw/zinc/51/06/72/969510672.db2.gz XMJYAKOVPVVTSD-SSDOTTSWSA-N 0 3 239.681 2.685 20 0 BFADHN Cc1cc2cc(-n3ccc([C@@H](C)N)n3)ccc2[nH]1 ZINC001169232976 969516362 /nfs/dbraw/zinc/51/63/62/969516362.db2.gz KZKCTOIZZGENIN-SNVBAGLBSA-N 0 3 240.310 2.682 20 0 BFADHN C[C@@H](N)c1ccn(-c2cc(C(C)(C)C)ccn2)n1 ZINC001169234700 969520612 /nfs/dbraw/zinc/52/06/12/969520612.db2.gz KDUWOWWVZNYUKC-SNVBAGLBSA-N 0 3 244.342 2.585 20 0 BFADHN Cc1ccc2[nH]cc(CN3CCCOCC3)c2c1 ZINC001209489887 969526671 /nfs/dbraw/zinc/52/66/71/969526671.db2.gz OEPBBCNNXQWCHI-UHFFFAOYSA-N 0 3 244.338 2.699 20 0 BFADHN C[C@H]1CCCN(Cc2cc3c(cn2)OCCC3)C1 ZINC001209500289 969527835 /nfs/dbraw/zinc/52/78/35/969527835.db2.gz RFIPRPDJAAFVMQ-LBPRGKRZSA-N 0 3 246.354 2.639 20 0 BFADHN COC[C@H]1CCN1Cc1c[nH]c2ccc(C)cc12 ZINC001209499790 969528806 /nfs/dbraw/zinc/52/88/06/969528806.db2.gz LQWLKTXTJWRJJR-CYBMUJFWSA-N 0 3 244.338 2.697 20 0 BFADHN Cc1n[nH]cc1CN1CC[C@H](C)C(F)(F)CC1 ZINC001209555161 969542710 /nfs/dbraw/zinc/54/27/10/969542710.db2.gz VHMRVZGUKGTBPZ-VIFPVBQESA-N 0 3 243.301 2.585 20 0 BFADHN FC1(F)CCC(N2CCOC[C@@H]2C2CC2)CC1 ZINC001254225683 969550369 /nfs/dbraw/zinc/55/03/69/969550369.db2.gz DEEVWSCUMHCBKX-GFCCVEGCSA-N 0 3 245.313 2.675 20 0 BFADHN COCCC1CCN(Cc2ccc(C)[nH]2)CC1 ZINC001209573348 969552617 /nfs/dbraw/zinc/55/26/17/969552617.db2.gz RIPRJXHCVMHNKS-UHFFFAOYSA-N 0 3 236.359 2.572 20 0 BFADHN Cn1cncc1N[C@H](C1CCC1)C(F)(F)F ZINC001169287142 969554517 /nfs/dbraw/zinc/55/45/17/969554517.db2.gz PDYFRDJGCQSSMZ-SECBINFHSA-N 0 3 233.237 2.563 20 0 BFADHN Cc1ccc(CN2CC[C@@]3(CC3(F)F)C2)[nH]1 ZINC001209574138 969556607 /nfs/dbraw/zinc/55/66/07/969556607.db2.gz HCQIFRUKMSMYLW-LLVKDONJSA-N 0 3 226.270 2.554 20 0 BFADHN Fc1ccccc1C1(N[C@@H]2CCCOC2)CC1 ZINC001254365758 969578886 /nfs/dbraw/zinc/57/88/86/969578886.db2.gz FKQFOZBYOSCEGU-LLVKDONJSA-N 0 3 235.302 2.583 20 0 BFADHN Cc1ccc(Cl)c(CN[C@@H]2CCCOC2)c1 ZINC001254369857 969580255 /nfs/dbraw/zinc/58/02/55/969580255.db2.gz LBGGGIYIOPQXIA-GFCCVEGCSA-N 0 3 239.746 2.917 20 0 BFADHN C1CC12CCC(N1CCOCC13CC3)CC2 ZINC001254445304 969633385 /nfs/dbraw/zinc/63/33/85/969633385.db2.gz KIZRGBUVYBCUSG-UHFFFAOYSA-N 0 3 221.344 2.574 20 0 BFADHN Cc1ncc(Nc2ccc(N(C)C)c(C)c2)n1C ZINC001210040753 969774454 /nfs/dbraw/zinc/77/44/54/969774454.db2.gz AXFOLTJGSBPLOM-UHFFFAOYSA-N 0 3 244.342 2.847 20 0 BFADHN CN(C)c1cc(CN2CC3CCC2CC3)ccn1 ZINC001254663046 969775250 /nfs/dbraw/zinc/77/52/50/969775250.db2.gz AJHWXXIEEROVLP-UHFFFAOYSA-N 0 3 245.370 2.522 20 0 BFADHN Cc1ccc(F)c(CNC2CCOCC2)c1F ZINC001255030876 969855004 /nfs/dbraw/zinc/85/50/04/969855004.db2.gz VPEOBPAEEUNLTH-UHFFFAOYSA-N 0 3 241.281 2.542 20 0 BFADHN Cc1ccc(F)c(CNC2CCSCC2)n1 ZINC001255082612 969874666 /nfs/dbraw/zinc/87/46/66/969874666.db2.gz HSRGIBUSPUYPCF-UHFFFAOYSA-N 0 3 240.347 2.514 20 0 BFADHN C[C@H](N)c1nc2ccccc2n1[C@@H]1CCSC1 ZINC001255267227 970015869 /nfs/dbraw/zinc/01/58/69/970015869.db2.gz BSNPKRIIPZETLU-VHSXEESVSA-N 0 3 247.367 2.734 20 0 BFADHN C[C@H](N)c1nc2ccccc2n1[C@H]1CCSC1 ZINC001255267225 970019408 /nfs/dbraw/zinc/01/94/08/970019408.db2.gz BSNPKRIIPZETLU-UWVGGRQHSA-N 0 3 247.367 2.734 20 0 BFADHN CC1(C)CCC(N2CCC(=O)[C@H](F)CC2)CC1 ZINC001255303509 970066519 /nfs/dbraw/zinc/06/65/19/970066519.db2.gz ZIYWDDWTOCLIIE-GFCCVEGCSA-N 0 3 241.350 2.958 20 0 BFADHN CCCC[C@@H](C)NCc1cnc(Cl)cn1 ZINC001255319389 970094340 /nfs/dbraw/zinc/09/43/40/970094340.db2.gz JGJJYGZCJRWBLV-SECBINFHSA-N 0 3 227.739 2.798 20 0 BFADHN CC(C)CCC[C@H](C)N[C@H]1COC[C@@H]1F ZINC001170073802 970166410 /nfs/dbraw/zinc/16/64/10/970166410.db2.gz NTSQFKMLKPGLJK-SRVKXCTJSA-N 0 3 217.328 2.528 20 0 BFADHN CCc1cc(Nc2cnccc2Cl)ccn1 ZINC001211209550 970172298 /nfs/dbraw/zinc/17/22/98/970172298.db2.gz ONVZACSNGFMFBH-UHFFFAOYSA-N 0 3 233.702 2.858 20 0 BFADHN CC(C)CCC[C@H](C)N1CCOC[C@H]1C ZINC001170077295 970179738 /nfs/dbraw/zinc/17/97/38/970179738.db2.gz IGHWZGIPWJKPMX-QWHCGFSZSA-N 0 3 213.365 2.922 20 0 BFADHN C[C@H]1CC[C@@H](NCC(=O)c2ccc(F)cc2)C1 ZINC001170098209 970267241 /nfs/dbraw/zinc/26/72/41/970267241.db2.gz ORYBVMPYIKVHBM-GXFFZTMASA-N 0 3 235.302 2.787 20 0 BFADHN C[C@H]1CC[C@H](NCC(=O)c2ccc(F)cc2)C1 ZINC001170098208 970271891 /nfs/dbraw/zinc/27/18/91/970271891.db2.gz ORYBVMPYIKVHBM-GWCFXTLKSA-N 0 3 235.302 2.787 20 0 BFADHN COc1ccccc1C(=O)CN[C@@H]1CC[C@H](C)C1 ZINC001170099705 970281048 /nfs/dbraw/zinc/28/10/48/970281048.db2.gz DPVZCTWKFTXIHR-NWDGAFQWSA-N 0 3 247.338 2.656 20 0 BFADHN Cc1cccc(C2(N[C@@H]3CC[C@@H](C)C3)COC2)c1 ZINC001170104920 970300297 /nfs/dbraw/zinc/30/02/97/970300297.db2.gz OFNFSZZLOOEZDO-UKRRQHHQSA-N 0 3 245.366 2.999 20 0 BFADHN Cc1ncc(C2CC2)cc1Nc1nccn1C ZINC001213489976 970323919 /nfs/dbraw/zinc/32/39/19/970323919.db2.gz XXPGBJSGVKJCRM-UHFFFAOYSA-N 0 3 228.299 2.745 20 0 BFADHN CC(=O)[C@H]1CCCN(Cc2ccc(C)s2)C1 ZINC001204033634 970327851 /nfs/dbraw/zinc/32/78/51/970327851.db2.gz MQXTWQDLNYQFND-LBPRGKRZSA-N 0 3 237.368 2.858 20 0 BFADHN COC[C@@H](C)NCc1cc(C)ccc1Cl ZINC001255561394 970344117 /nfs/dbraw/zinc/34/41/17/970344117.db2.gz BJWMDTBQDRGLEO-SNVBAGLBSA-N 0 3 227.735 2.773 20 0 BFADHN C[C@H]1CC[C@H](NCC(=O)c2cccc(F)c2)C1 ZINC001170117111 970343063 /nfs/dbraw/zinc/34/30/63/970343063.db2.gz IMUADKLIZFFNGP-GWCFXTLKSA-N 0 3 235.302 2.787 20 0 BFADHN Cc1cnccc1Nc1cncc(C)c1C ZINC001213522574 970343201 /nfs/dbraw/zinc/34/32/01/970343201.db2.gz CTUNUZLCXNRBFR-UHFFFAOYSA-N 0 3 213.284 2.567 20 0 BFADHN COCc1ccc(C)c(Nc2nccn2C)c1 ZINC001213568812 970362618 /nfs/dbraw/zinc/36/26/18/970362618.db2.gz OUWCZQJVGOWRIL-UHFFFAOYSA-N 0 3 231.299 2.619 20 0 BFADHN C[C@@H](CC(F)(F)F)N(C)CCc1ccncc1 ZINC001255659248 970438850 /nfs/dbraw/zinc/43/88/50/970438850.db2.gz XHDWHQDHTZVBBM-JTQLQIEISA-N 0 3 246.276 2.897 20 0 BFADHN CC(=O)CN(C)[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC001255705240 970460189 /nfs/dbraw/zinc/46/01/89/970460189.db2.gz IWCLGEIVKRYHQT-VHSXEESVSA-N 0 3 237.265 2.628 20 0 BFADHN CC(=O)CN(C)[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC001255705238 970463272 /nfs/dbraw/zinc/46/32/72/970463272.db2.gz IWCLGEIVKRYHQT-NXEZZACHSA-N 0 3 237.265 2.628 20 0 BFADHN FC(F)(F)[C@H]1CCC[C@@H](N2C[C@@H]3C[C@H]2CO3)C1 ZINC001255717262 970467242 /nfs/dbraw/zinc/46/72/42/970467242.db2.gz KMPQPEDAMMMIMN-VLEAKVRGSA-N 0 3 249.276 2.581 20 0 BFADHN Cc1ccc(C[C@H](C)N2CC[C@@H](F)C2)cc1 ZINC001255786895 970490772 /nfs/dbraw/zinc/49/07/72/970490772.db2.gz DBVXBMARMBDIKJ-GXTWGEPZSA-N 0 3 221.319 2.970 20 0 BFADHN Cc1cnc2c(c1)CN([C@H]1CC[C@@H](C)C1)CC2 ZINC001170160422 970499810 /nfs/dbraw/zinc/49/98/10/970499810.db2.gz ZBBUNQPWUIIXIL-RISCZKNCSA-N 0 3 230.355 2.937 20 0 BFADHN C[C@H](Cc1ccccc1F)N1CC[C@]12CCOC2 ZINC001255853218 970522819 /nfs/dbraw/zinc/52/28/19/970522819.db2.gz HSNHTWQIYXNBEI-IUODEOHRSA-N 0 3 249.329 2.622 20 0 BFADHN C1N(C2CCCCCC2)CC12CCCCO2 ZINC001255887590 970550025 /nfs/dbraw/zinc/55/00/25/970550025.db2.gz BZKPLZSPQKKVHW-UHFFFAOYSA-N 0 3 223.360 2.964 20 0 BFADHN CC[C@@H]1C[C@H](NCOc2ccccc2)CCO1 ZINC001170178012 970551474 /nfs/dbraw/zinc/55/14/74/970551474.db2.gz MGPWTXHBDCBVKG-CHWSQXEVSA-N 0 3 235.327 2.570 20 0 BFADHN CCOc1ncc(Nc2ccncc2C)cc1C ZINC001214926175 970567801 /nfs/dbraw/zinc/56/78/01/970567801.db2.gz AEFWBUQIDLHMBA-UHFFFAOYSA-N 0 3 243.310 2.658 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)N(C)c1cccc(C)c1 ZINC000400755161 970622503 /nfs/dbraw/zinc/62/25/03/970622503.db2.gz FNCIDUVIGNXGCE-RISCZKNCSA-N 0 3 248.370 2.721 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N(C)c1cccc(C)c1 ZINC000400755156 970624990 /nfs/dbraw/zinc/62/49/90/970624990.db2.gz FNCIDUVIGNXGCE-FZMZJTMJSA-N 0 3 248.370 2.721 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N(CC)c1ccccc1 ZINC000400788225 970627374 /nfs/dbraw/zinc/62/73/74/970627374.db2.gz SEMNRSMOEKAOCB-JSGCOSHPSA-N 0 3 248.370 2.803 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)Nc1ccccc1C ZINC000400762810 970628552 /nfs/dbraw/zinc/62/85/52/970628552.db2.gz JBBBACMKZWDNAY-ZYHUDNBSSA-N 0 3 234.343 2.697 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)Nc1ccc(C)cc1 ZINC000400792174 970629094 /nfs/dbraw/zinc/62/90/94/970629094.db2.gz VCZWJUWWBHWSNK-GWCFXTLKSA-N 0 3 234.343 2.697 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N(C)[C@H](C)C(C)(C)C ZINC000400794730 970629322 /nfs/dbraw/zinc/62/93/22/970629322.db2.gz CZZBOMXBYDMVCH-QJPTWQEYSA-N 0 3 242.407 2.643 20 0 BFADHN CCc1ccccc1NC(=O)[C@H](N)C[C@@H](C)CC ZINC000400784373 970629807 /nfs/dbraw/zinc/62/98/07/970629807.db2.gz QVJOTAVNPGZCLR-WCQYABFASA-N 0 3 248.370 2.951 20 0 BFADHN CN1CC(CNc2cccc(C3CCC3)c2)C1 ZINC001170257912 970641809 /nfs/dbraw/zinc/64/18/09/970641809.db2.gz COGIMGKWKMNOQF-UHFFFAOYSA-N 0 3 230.355 2.928 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N1[C@H](C)CCC[C@H]1C ZINC000400966769 970647858 /nfs/dbraw/zinc/64/78/58/970647858.db2.gz NIBUGABGECOBMU-LOWDOPEQSA-N 0 3 240.391 2.539 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1CO[C@H](C)C1 ZINC000401829471 970735169 /nfs/dbraw/zinc/73/51/69/970735169.db2.gz HWFHXUJWAHKUQZ-IJLUTSLNSA-N 0 3 235.327 2.523 20 0 BFADHN c1cc2ccc(CN3CC4(C3)CCCC4)nc2[nH]1 ZINC001144171399 972643987 /nfs/dbraw/zinc/64/39/87/972643987.db2.gz BAWLFOQVDDJYTP-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN CC1(C)CCN(Cc2n[nH]c3ccc(F)cc32)C1 ZINC001144197644 972672094 /nfs/dbraw/zinc/67/20/94/972672094.db2.gz WNWKEYWGXXRPBZ-UHFFFAOYSA-N 0 3 247.317 2.934 20 0 BFADHN [O-]c1ccc(C[N@@H+]2CCCC3(CCCC3)C2)nc1 ZINC001144255845 972700405 /nfs/dbraw/zinc/70/04/05/972700405.db2.gz RJRQGZFOQLHJND-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN [O-]c1ccc(C[N@H+]2CCCC3(CCCC3)C2)nc1 ZINC001144255845 972700415 /nfs/dbraw/zinc/70/04/15/972700415.db2.gz RJRQGZFOQLHJND-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN [O-]c1ccc(C[NH+]2CCC3(CCC3)CC2)nc1 ZINC001144255903 972710199 /nfs/dbraw/zinc/71/01/99/972710199.db2.gz UBDHCDXUNPLFFR-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN Oc1ccc(CN2CCC3(CCC3)CC2)nc1 ZINC001144255903 972710207 /nfs/dbraw/zinc/71/02/07/972710207.db2.gz UBDHCDXUNPLFFR-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN C[C@H]1CC[C@H]([N@H+](C)Cc2ccc([O-])cn2)CC1 ZINC001144269154 972729170 /nfs/dbraw/zinc/72/91/70/972729170.db2.gz DDMVQDPJDFJJOW-AULYBMBSSA-N 0 3 234.343 2.798 20 0 BFADHN C[C@H]1CC[C@H]([N@@H+](C)Cc2ccc([O-])cn2)CC1 ZINC001144269154 972729182 /nfs/dbraw/zinc/72/91/82/972729182.db2.gz DDMVQDPJDFJJOW-AULYBMBSSA-N 0 3 234.343 2.798 20 0 BFADHN COc1cc(CN2CC[C@H]3C[C@H]3C2)ccc1F ZINC001327935365 972818913 /nfs/dbraw/zinc/81/89/13/972818913.db2.gz XGIPUDGCRFOKTO-RYUDHWBXSA-N 0 3 235.302 2.676 20 0 BFADHN CCCCN(CC)Cc1cnc(OC)c(F)c1 ZINC001144451647 972826049 /nfs/dbraw/zinc/82/60/49/972826049.db2.gz ZXUWDUJIWTTXHT-UHFFFAOYSA-N 0 3 240.322 2.851 20 0 BFADHN Cc1csc(CNC2CC3(CC3(F)F)C2)n1 ZINC001328077863 972868975 /nfs/dbraw/zinc/86/89/75/972868975.db2.gz AETSHMUPCJFQSQ-UHFFFAOYSA-N 0 3 244.310 2.729 20 0 BFADHN C[C@@H]1CCCN(Cc2ncn3ccccc23)C1 ZINC001144611031 972887621 /nfs/dbraw/zinc/88/76/21/972887621.db2.gz IMAJDBFISULERT-GFCCVEGCSA-N 0 3 229.327 2.566 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1Cc1ncn2ccccc12 ZINC001144624945 972889877 /nfs/dbraw/zinc/88/98/77/972889877.db2.gz WQMZNMLTNMYUDE-QWHCGFSZSA-N 0 3 243.354 2.955 20 0 BFADHN c1nc2cc(CN3C[C@@H]4C[C@@H]4C3)ccc2s1 ZINC001144734183 972979827 /nfs/dbraw/zinc/97/98/27/972979827.db2.gz HHVDTRLHXTUYSD-PHIMTYICSA-N 0 3 230.336 2.748 20 0 BFADHN Cc1occc1CN1CCN(C(C)(C)C)CC1 ZINC001328660421 973239771 /nfs/dbraw/zinc/23/97/71/973239771.db2.gz XPTMKJLPTTUZQN-UHFFFAOYSA-N 0 3 236.359 2.504 20 0 BFADHN Cc1cc(CN2CC[C@@H]3CCCC[C@@H]3C2)n(C)n1 ZINC001328715358 973294513 /nfs/dbraw/zinc/29/45/13/973294513.db2.gz WAVBMKYQJOEDTR-UONOGXRCSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1ccc(N2CCCC3(CN(C)C3)C2)cc1 ZINC001276930463 973329565 /nfs/dbraw/zinc/32/95/65/973329565.db2.gz NKYJOQYREFYWRP-UHFFFAOYSA-N 0 3 230.355 2.527 20 0 BFADHN c1cc(CN2CC[C@H]3C[C@H]3C2)n(C2CC2)c1 ZINC001328865562 973379481 /nfs/dbraw/zinc/37/94/81/973379481.db2.gz CBKRDWLBTHAWNM-RYUDHWBXSA-N 0 3 216.328 2.665 20 0 BFADHN Cc1c(F)nccc1CNC/C=C/C1CC1 ZINC001329520632 973810475 /nfs/dbraw/zinc/81/04/75/973810475.db2.gz CDWICGUEDCQIPQ-NSCUHMNNSA-N 0 3 220.291 2.585 20 0 BFADHN C[C@@H](COC(=O)c1ccccc1)N1CCCCC1 ZINC000585667948 973932525 /nfs/dbraw/zinc/93/25/25/973932525.db2.gz YJKGUSRVOUHMAF-ZDUSSCGKSA-N 0 3 247.338 2.718 20 0 BFADHN Fc1cc2c(cc1F)C[C@H](N[C@@H]1C[C@H]1F)CC2 ZINC001171461518 974078087 /nfs/dbraw/zinc/07/80/87/974078087.db2.gz XELOOPWJCJOQNL-OASPWFOLSA-N 0 3 241.256 2.522 20 0 BFADHN FCCN[C@H]1CCc2cc(F)c(F)c(F)c2C1 ZINC001171508842 974090006 /nfs/dbraw/zinc/09/00/06/974090006.db2.gz QWFWBFDCDQDLGV-QMMMGPOBSA-N 0 3 247.235 2.520 20 0 BFADHN CC(C)Oc1ccccc1O[C@H]1CCCN(C)C1 ZINC001225208996 974230723 /nfs/dbraw/zinc/23/07/23/974230723.db2.gz GWVFIZCIKFSTOO-ZDUSSCGKSA-N 0 3 249.354 2.947 20 0 BFADHN Cc1ccc(O[C@@H]2CN3CCC2CC3)c(C)c1 ZINC001225223105 974258741 /nfs/dbraw/zinc/25/87/41/974258741.db2.gz QBUWOTFMJQKKAV-OAHLLOKOSA-N 0 3 231.339 2.776 20 0 BFADHN CN1CCC[C@@H](Oc2cccc(Cl)c2)C1 ZINC001225229882 974272946 /nfs/dbraw/zinc/27/29/46/974272946.db2.gz LBCPGRCSOCHHIT-GFCCVEGCSA-N 0 3 225.719 2.813 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@H]2COC(C)(C)C2)c1 ZINC001172271922 974486189 /nfs/dbraw/zinc/48/61/89/974486189.db2.gz RZUIZUAUROQJNV-VXGBXAGGSA-N 0 3 234.343 2.608 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)n1ccc([C@@H](C)N)n1 ZINC001172325345 974537439 /nfs/dbraw/zinc/53/74/39/974537439.db2.gz UORLLKVLASTRDV-GRYCIOLGSA-N 0 3 239.363 2.669 20 0 BFADHN COc1ccc2c(c1)CN([C@@H]1COC(C)(C)C1)C2 ZINC001172287043 974552380 /nfs/dbraw/zinc/55/23/80/974552380.db2.gz PMVDQWGLVSAAEC-ZDUSSCGKSA-N 0 3 247.338 2.578 20 0 BFADHN CC(=O)c1ccccc1O[C@H]1CCN(C(C)C)C1 ZINC001225572847 974560205 /nfs/dbraw/zinc/56/02/05/974560205.db2.gz RCOBBAIMBIQTRI-ZDUSSCGKSA-N 0 3 247.338 2.751 20 0 BFADHN C[C@@H]1CC[C@@H](N2Cc3cnn(C)c3C2)C[C@H]1C ZINC001172372093 974572161 /nfs/dbraw/zinc/57/21/61/974572161.db2.gz CDYNODNBMMPTND-NQBHXWOUSA-N 0 3 233.359 2.560 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)N1CCOC(C)(C)C1 ZINC001172336550 974599316 /nfs/dbraw/zinc/59/93/16/974599316.db2.gz IHDPLLGYAPLXRF-QWHCGFSZSA-N 0 3 243.391 2.691 20 0 BFADHN Cc1cc(CN)nn1[C@@H]1CC[C@H](C)[C@H](C)C1 ZINC001172360678 974632893 /nfs/dbraw/zinc/63/28/93/974632893.db2.gz QLLVAHWANNRUBF-OPQQBVKSSA-N 0 3 221.348 2.647 20 0 BFADHN C[C@@H]1CC[C@H](N2CCC(=O)[C@@H](F)CC2)C[C@@H]1C ZINC001172365223 974641918 /nfs/dbraw/zinc/64/19/18/974641918.db2.gz JUCFCCKOQMKIHE-VOAKCMCISA-N 0 3 241.350 2.814 20 0 BFADHN C[C@@H]1CC[C@H](Nc2ccc(CN)nc2)C[C@@H]1C ZINC001172368339 974647991 /nfs/dbraw/zinc/64/79/91/974647991.db2.gz OAEKBMFQPZGVPJ-WOPDTQHZSA-N 0 3 233.359 2.777 20 0 BFADHN C[C@@H]1CC[C@@H](NC2(c3cnccn3)CC2)C[C@@H]1C ZINC001172369385 974652619 /nfs/dbraw/zinc/65/26/19/974652619.db2.gz LPTZTLGJJRHGPD-FRRDWIJNSA-N 0 3 245.370 2.880 20 0 BFADHN CC[C@@H](CC(C)C)N1CCc2ncn(C)c2C1 ZINC001172405083 974657315 /nfs/dbraw/zinc/65/73/15/974657315.db2.gz RIGBCBMGYJFVSU-LBPRGKRZSA-N 0 3 235.375 2.603 20 0 BFADHN CCOCC[C@H](C)N[C@@H](C)c1nc(C)cs1 ZINC001172410931 974675103 /nfs/dbraw/zinc/67/51/03/974675103.db2.gz LMZATEVYARWWFX-ONGXEEELSA-N 0 3 242.388 2.917 20 0 BFADHN CN1CC[C@@H](Oc2ccc(Cl)c(F)c2)C1 ZINC001225782588 974683295 /nfs/dbraw/zinc/68/32/95/974683295.db2.gz UUHFMZXOUTUESY-SECBINFHSA-N 0 3 229.682 2.562 20 0 BFADHN CCOCC[C@@H](C)N1CCOc2ccccc2C1 ZINC001172423636 974699917 /nfs/dbraw/zinc/69/99/17/974699917.db2.gz JTYLHJONVCQOMX-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN CCOCC[C@H](C)N1CCOc2ccccc2C1 ZINC001172423638 974700753 /nfs/dbraw/zinc/70/07/53/974700753.db2.gz JTYLHJONVCQOMX-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN CC(C)N1CC[C@H](Oc2cc(F)ccc2F)C1 ZINC001225902202 974722245 /nfs/dbraw/zinc/72/22/45/974722245.db2.gz FNKHWCDWTWBCEZ-NSHDSACASA-N 0 3 241.281 2.826 20 0 BFADHN CC[C@@H](CC(C)C)n1nc(CN)cc1C ZINC001172384436 974727331 /nfs/dbraw/zinc/72/73/31/974727331.db2.gz HTGWOFMFBXFDDY-LBPRGKRZSA-N 0 3 209.337 2.647 20 0 BFADHN Cc1c(Cl)cccc1O[C@H]1CCN(C)C1 ZINC001225920009 974731180 /nfs/dbraw/zinc/73/11/80/974731180.db2.gz HVNNYVZGQCEONP-JTQLQIEISA-N 0 3 225.719 2.731 20 0 BFADHN CC[C@H](CC(C)C)N1CC2(C1)CCCO2 ZINC001172398723 974759048 /nfs/dbraw/zinc/75/90/48/974759048.db2.gz VMEHIWRQPDNJFK-GFCCVEGCSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H]1COCCCN1C/C=C\c1cccc(F)c1 ZINC001331554679 974761986 /nfs/dbraw/zinc/76/19/86/974761986.db2.gz WZACKJKQSBQMLR-ACVFHECNSA-N 0 3 249.329 2.950 20 0 BFADHN CC(C)COCC[C@H](C)N[C@H](C)c1ccno1 ZINC001172469840 974766962 /nfs/dbraw/zinc/76/69/62/974766962.db2.gz VFZBJGPFMUZVDT-NWDGAFQWSA-N 0 3 240.347 2.776 20 0 BFADHN CC(C)OCC[C@H](C)N1CCc2cnccc2C1 ZINC001172440766 974800377 /nfs/dbraw/zinc/80/03/77/974800377.db2.gz NUVAPDOBLQHNHT-ZDUSSCGKSA-N 0 3 248.370 2.643 20 0 BFADHN CC(C)COCC[C@@H](C)n1ccnc1[C@@H](C)N ZINC001172455318 974819257 /nfs/dbraw/zinc/81/92/57/974819257.db2.gz QYDNDGLQRNWDJT-VXGBXAGGSA-N 0 3 239.363 2.527 20 0 BFADHN F[C@H]1COC[C@H]1N[C@H]1CC[C@@H](c2ccccc2)C1 ZINC001172553737 974867817 /nfs/dbraw/zinc/86/78/17/974867817.db2.gz BEJKOVLRJJMLQS-CBBWQLFWSA-N 0 3 249.329 2.649 20 0 BFADHN NC1=CN([C@@H]2CC[C@@H](c3ccccc3)C2)CC=C1 ZINC001172559490 974870284 /nfs/dbraw/zinc/87/02/84/974870284.db2.gz MCVIPJRQONWFJF-GDBMZVCRSA-N 0 3 240.350 2.995 20 0 BFADHN CC1(O)CN([C@H]2CCC[C@@H](c3ccccc3)C2)C1 ZINC001172545810 974877821 /nfs/dbraw/zinc/87/78/21/974877821.db2.gz MMFIFQYAZKKUNJ-CABCVRRESA-N 0 3 245.366 2.779 20 0 BFADHN NCc1cn([C@H]2CC[C@@H](c3ccccc3)C2)cn1 ZINC001172548380 974879892 /nfs/dbraw/zinc/87/98/92/974879892.db2.gz DMNGTOTUNGAFCE-HIFRSBDPSA-N 0 3 241.338 2.851 20 0 BFADHN CCN1CC[C@@H](Oc2nsc3ccccc32)C1 ZINC001226432884 974897474 /nfs/dbraw/zinc/89/74/74/974897474.db2.gz JZXQIVSOVWEDMI-SNVBAGLBSA-N 0 3 248.351 2.769 20 0 BFADHN CC(=O)CN[C@H](C)Cc1c(F)cccc1Cl ZINC001172846756 974960049 /nfs/dbraw/zinc/96/00/49/974960049.db2.gz XBXXJTRAGNLQBI-MRVPVSSYSA-N 0 3 243.709 2.589 20 0 BFADHN C[C@@H](Oc1ccnc(N)c1)C1CCCC1 ZINC001226651719 974996907 /nfs/dbraw/zinc/99/69/07/974996907.db2.gz AQTNRAWQCKELFY-SECBINFHSA-N 0 3 206.289 2.621 20 0 BFADHN C[C@@H]1CCC[C@H](Oc2ccnc(N)c2)[C@H]1C ZINC001226652243 974998109 /nfs/dbraw/zinc/99/81/09/974998109.db2.gz DUCXZCSTVBOALT-SCVCMEIPSA-N 0 3 220.316 2.867 20 0 BFADHN Cc1cnc(F)c([C@H](C)Oc2ccnc(N)c2)c1 ZINC001226653956 975000165 /nfs/dbraw/zinc/00/01/65/975000165.db2.gz UPKWLTLVCNSZCI-VIFPVBQESA-N 0 3 247.273 2.646 20 0 BFADHN Nc1cc(O[C@H]2CCc3ccccc3C2)ccn1 ZINC001226655113 975004219 /nfs/dbraw/zinc/00/42/19/975004219.db2.gz DUPLKOAJFIVYAY-ZDUSSCGKSA-N 0 3 240.306 2.600 20 0 BFADHN CCCC(=O)[C@@H](CCC)Oc1ccnc(N)c1 ZINC001226657425 975005910 /nfs/dbraw/zinc/00/59/10/975005910.db2.gz PPSWGAUHSCAHLB-GFCCVEGCSA-N 0 3 236.315 2.581 20 0 BFADHN Cc1ccc([C@@H](C)Oc2ccnc(N)c2)cn1 ZINC001226657694 975006177 /nfs/dbraw/zinc/00/61/77/975006177.db2.gz QTWOFEAGJMSTPS-SNVBAGLBSA-N 0 3 229.283 2.507 20 0 BFADHN NCc1cnnn1C1CCC2(CCCCC2)CC1 ZINC001173116369 975026420 /nfs/dbraw/zinc/02/64/20/975026420.db2.gz RWLXQRWQMTWMCY-UHFFFAOYSA-N 0 3 248.374 2.802 20 0 BFADHN CC[C@@H]1CC[C@H](NCc2nc(C)oc2C)C1 ZINC001173261703 975113784 /nfs/dbraw/zinc/11/37/84/975113784.db2.gz ULHDEURNWDSUOC-NEPJUHHUSA-N 0 3 222.332 2.960 20 0 BFADHN CC[C@H]1CC[C@@H](NC2(c3cccnn3)CC2)C1 ZINC001173263366 975116494 /nfs/dbraw/zinc/11/64/94/975116494.db2.gz KBJQTUGXVHCODW-NWDGAFQWSA-N 0 3 231.343 2.634 20 0 BFADHN CC[C@@H]1CC[C@@H](N(C)c2nc(CN)ccc2C)C1 ZINC001173262415 975117205 /nfs/dbraw/zinc/11/72/05/975117205.db2.gz ZBYFTPBIDZAOLU-TZMCWYRMSA-N 0 3 247.386 2.864 20 0 BFADHN CC[C@@H]1CC[C@H](NC2(c3cnccn3)CC2)C1 ZINC001173268804 975136895 /nfs/dbraw/zinc/13/68/95/975136895.db2.gz DKSMKXUOCMHGRT-NEPJUHHUSA-N 0 3 231.343 2.634 20 0 BFADHN Cc1ccc(-c2cnccc2N)c(F)c1 ZINC000721198172 975169610 /nfs/dbraw/zinc/16/96/10/975169610.db2.gz RARSFULWORICJF-UHFFFAOYSA-N 0 3 202.232 2.609 20 0 BFADHN CCCN(CC(=O)OCC)[C@@H]1CC[C@H](CC)C1 ZINC001173293009 975169969 /nfs/dbraw/zinc/16/99/69/975169969.db2.gz JGHWWANMPNLTQE-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN CCSC[C@@H](C)N1CCC[C@H](F)C1 ZINC001173306558 975210131 /nfs/dbraw/zinc/21/01/31/975210131.db2.gz OZORIOKOXTUYLD-ZJUUUORDSA-N 0 3 205.342 2.562 20 0 BFADHN CC[C@H]1CC[C@H](N2CC3(C2)CCCCO3)C1 ZINC001173283297 975240697 /nfs/dbraw/zinc/24/06/97/975240697.db2.gz URYGQFKNNQSTSN-STQMWFEESA-N 0 3 223.360 2.820 20 0 BFADHN CC1(C)CN(CCc2ccns2)C1(C)C ZINC001332671124 975244531 /nfs/dbraw/zinc/24/45/31/975244531.db2.gz YDPFRKVPUBKRBX-UHFFFAOYSA-N 0 3 224.373 2.806 20 0 BFADHN CCSC[C@@H](C)n1nc(CN)c2ccccc21 ZINC001173291295 975263611 /nfs/dbraw/zinc/26/36/11/975263611.db2.gz KTTBRLDCEXJPPE-SNVBAGLBSA-N 0 3 249.383 2.809 20 0 BFADHN Cc1csc(SCCN2CCCC2)n1 ZINC001332722286 975272298 /nfs/dbraw/zinc/27/22/98/975272298.db2.gz HSQZIXMGRYLXFS-UHFFFAOYSA-N 0 3 228.386 2.639 20 0 BFADHN Cc1cc(C)nc(OC2CCN(C3CC3)CC2)c1 ZINC001227247120 975325865 /nfs/dbraw/zinc/32/58/65/975325865.db2.gz BTNFPLJCNXEYCG-UHFFFAOYSA-N 0 3 246.354 2.704 20 0 BFADHN Fc1ccccc1CN1CCC2(CC2)C1 ZINC001203346692 975392997 /nfs/dbraw/zinc/39/29/97/975392997.db2.gz UFGYDNKOZGIBBU-UHFFFAOYSA-N 0 3 205.276 2.812 20 0 BFADHN Fc1ccccc1CN1CC[C@]2(CCCO2)C1 ZINC001203346687 975395463 /nfs/dbraw/zinc/39/54/63/975395463.db2.gz UBDILBXWGHIMKR-CQSZACIVSA-N 0 3 235.302 2.581 20 0 BFADHN Cc1cccc([C@H](O)CN2CC3(C2)CCCC3)c1 ZINC001333231954 975581914 /nfs/dbraw/zinc/58/19/14/975581914.db2.gz HWTRMADYSXFLLK-OAHLLOKOSA-N 0 3 245.366 2.904 20 0 BFADHN Cc1ncc(Nc2ccc(C)cc2)n1C ZINC001173801084 975659961 /nfs/dbraw/zinc/65/99/61/975659961.db2.gz OQAWBKPVMAHTGM-UHFFFAOYSA-N 0 3 201.273 2.781 20 0 BFADHN CCC[C@H]1CCCCN1Cc1nn(C)cc1C ZINC001333343604 975686502 /nfs/dbraw/zinc/68/65/02/975686502.db2.gz VYETYZPMHFUWGD-ZDUSSCGKSA-N 0 3 235.375 2.883 20 0 BFADHN CC(=O)Nc1cccc(Nc2ccncc2C)c1 ZINC001173828358 975846891 /nfs/dbraw/zinc/84/68/91/975846891.db2.gz JAEMJMLXSTXRJU-UHFFFAOYSA-N 0 3 241.294 2.514 20 0 BFADHN CN1CCC(Oc2ccc3ccccc3n2)CC1 ZINC001228086012 975896814 /nfs/dbraw/zinc/89/68/14/975896814.db2.gz XOAYVVDQEVQGML-UHFFFAOYSA-N 0 3 242.322 2.708 20 0 BFADHN Nc1cn(-c2ccncc2)c2nccc(Cl)c12 ZINC001173881564 975923437 /nfs/dbraw/zinc/92/34/37/975923437.db2.gz ZCMWWLKXFAHENU-UHFFFAOYSA-N 0 3 244.685 2.656 20 0 BFADHN c1cc2ccncc2c(Nc2ccncc2)c1 ZINC001173883375 975958877 /nfs/dbraw/zinc/95/88/77/975958877.db2.gz HLUKGSQRXJFRFP-UHFFFAOYSA-N 0 3 221.263 2.795 20 0 BFADHN CCCSC[C@@H](C)NCc1cc(CC)n[nH]1 ZINC001333679645 975974811 /nfs/dbraw/zinc/97/48/11/975974811.db2.gz IGDNOYULRGXJEZ-SNVBAGLBSA-N 0 3 241.404 2.593 20 0 BFADHN CN(C)Cc1ccccc1Nc1ccccn1 ZINC001173928089 976036568 /nfs/dbraw/zinc/03/65/68/976036568.db2.gz XLKBNIXQPGWTQF-UHFFFAOYSA-N 0 3 227.311 2.887 20 0 BFADHN Cc1ncc(Nc2cccc(F)c2F)n1C ZINC001173946040 976095862 /nfs/dbraw/zinc/09/58/62/976095862.db2.gz QALXTTOHKBWRKZ-UHFFFAOYSA-N 0 3 223.226 2.750 20 0 BFADHN CC(C)n1ccnc1CN[C@@H]1CCC[C@@H](F)C1 ZINC001333845947 976123328 /nfs/dbraw/zinc/12/33/28/976123328.db2.gz GXOVSECAHSKAJS-VXGBXAGGSA-N 0 3 239.338 2.834 20 0 BFADHN COc1ccc(C)cc1Nc1ccncc1C ZINC001173983203 976124443 /nfs/dbraw/zinc/12/44/43/976124443.db2.gz XNSBVAZFDHNCAF-UHFFFAOYSA-N 0 3 228.295 2.873 20 0 BFADHN COc1cc(F)ccc1Nc1cnc(C)n1C ZINC001174130023 976369706 /nfs/dbraw/zinc/36/97/06/976369706.db2.gz RBCNUYUZUOMHDZ-UHFFFAOYSA-N 0 3 235.262 2.620 20 0 BFADHN COC/C(C)=C/CN1CCc2cccc(C)c2C1 ZINC001334201479 976374442 /nfs/dbraw/zinc/37/44/42/976374442.db2.gz KVGHBGSHUZIWAM-NTUHNPAUSA-N 0 3 245.366 2.946 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@H]1CC[C@@H]1C ZINC001334232618 976382786 /nfs/dbraw/zinc/38/27/86/976382786.db2.gz KKKGJUZKLFYHQQ-XVKPBYJWSA-N 0 3 227.739 2.514 20 0 BFADHN COc1ccc(F)c(N(C)c2cc(N)ccn2)c1 ZINC001174211229 976387590 /nfs/dbraw/zinc/38/75/90/976387590.db2.gz SSNVLWVOOVRHQG-UHFFFAOYSA-N 0 3 247.273 2.579 20 0 BFADHN C[C@H]1COCCN(C/C=C\c2cccc(F)c2)C1 ZINC001334232431 976391293 /nfs/dbraw/zinc/39/12/93/976391293.db2.gz GXZNBENLENRAAK-HSBHDXMMSA-N 0 3 249.329 2.807 20 0 BFADHN O=c1[nH]c2cccc(CNC/C=C\C3CC3)c2o1 ZINC001334232184 976391897 /nfs/dbraw/zinc/39/18/97/976391897.db2.gz ALHWTADJOGYJBR-IHWYPQMZSA-N 0 3 244.294 2.589 20 0 BFADHN Cn1cc(Nc2ccnc(C3CCC3)c2)cn1 ZINC001174331442 976538698 /nfs/dbraw/zinc/53/86/98/976538698.db2.gz SSSOSFPVPJJGKX-UHFFFAOYSA-N 0 3 228.299 2.826 20 0 BFADHN COC/C(C)=C\CN[C@@H](COC)c1ccccc1 ZINC001334645850 976576550 /nfs/dbraw/zinc/57/65/50/976576550.db2.gz ZKHBLYPFYALFNU-CTXBLAANSA-N 0 3 249.354 2.556 20 0 BFADHN Cc1cnccc1Nc1cnccc1Cl ZINC001174536306 976816161 /nfs/dbraw/zinc/81/61/61/976816161.db2.gz SUTOVZGSWJCHDM-UHFFFAOYSA-N 0 3 219.675 2.604 20 0 BFADHN Cc1cc(Nc2ccncc2C)n(C(C)C)n1 ZINC001174536554 976817665 /nfs/dbraw/zinc/81/76/65/976817665.db2.gz YFAIBOUYPFPFMT-UHFFFAOYSA-N 0 3 230.315 2.641 20 0 BFADHN Cc1cnc(Nc2ccncc2C)c(Cl)c1 ZINC001174536209 976817842 /nfs/dbraw/zinc/81/78/42/976817842.db2.gz KVKPHTCQENALPP-UHFFFAOYSA-N 0 3 233.702 2.912 20 0 BFADHN COc1cc(Cl)ncc1Nc1ccncc1C ZINC001174537233 976819686 /nfs/dbraw/zinc/81/96/86/976819686.db2.gz CVXIYWMMEMHDFS-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN COc1cc(Cl)nc(Nc2ccncc2C)c1 ZINC001174537665 976824966 /nfs/dbraw/zinc/82/49/66/976824966.db2.gz OSFGDELZTLKOOL-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN Cc1ccc(Nc2ccncc2C)cc1O ZINC001174539278 976827328 /nfs/dbraw/zinc/82/73/28/976827328.db2.gz GFFHGRUFSMJOSU-UHFFFAOYSA-N 0 3 214.268 2.570 20 0 BFADHN Cc1cnccc1Nc1cccc2c1C(=O)CC2 ZINC001174539752 976828434 /nfs/dbraw/zinc/82/84/34/976828434.db2.gz PPHNIMJVVMZTHV-UHFFFAOYSA-N 0 3 238.290 2.684 20 0 BFADHN Cc1cnccc1N1CCc2cccc(N)c21 ZINC001174538974 976829361 /nfs/dbraw/zinc/82/93/61/976829361.db2.gz XBDSYSAHQHKNBQ-UHFFFAOYSA-N 0 3 225.295 2.666 20 0 BFADHN Cc1ccc(N)c(Nc2ccncc2C)c1C ZINC001174539946 976832231 /nfs/dbraw/zinc/83/22/31/976832231.db2.gz QTQLTFQQWVZABQ-UHFFFAOYSA-N 0 3 227.311 2.755 20 0 BFADHN CC(=O)c1cc(C)cc(C)c1O[C@H](C)CN(C)C ZINC001229358108 976842960 /nfs/dbraw/zinc/84/29/60/976842960.db2.gz MLCWIOJZKURICI-GFCCVEGCSA-N 0 3 249.354 2.835 20 0 BFADHN COc1ccc(CNC2CC3(CC3(F)F)C2)o1 ZINC001335095119 976850730 /nfs/dbraw/zinc/85/07/30/976850730.db2.gz ZKIROIBWYVCIHI-UHFFFAOYSA-N 0 3 243.253 2.566 20 0 BFADHN Cc1cc(Nc2ccc(Cl)cn2)ccn1 ZINC001174652126 976932455 /nfs/dbraw/zinc/93/24/55/976932455.db2.gz CTHFIBVYHPIYGR-UHFFFAOYSA-N 0 3 219.675 2.604 20 0 BFADHN CCc1ncsc1Nc1ccnc(C)c1 ZINC001174655211 976944035 /nfs/dbraw/zinc/94/40/35/976944035.db2.gz XZUIFXOWDVECFI-UHFFFAOYSA-N 0 3 219.313 2.574 20 0 BFADHN C[C@@H]1C[C@@H](Oc2ccccc2CN(C)C)CCO1 ZINC001229701026 976957869 /nfs/dbraw/zinc/95/78/69/976957869.db2.gz HCBZFBUZXHCHLO-OCCSQVGLSA-N 0 3 249.354 2.695 20 0 BFADHN CC(C)Cc1cc(O)ccc1O[C@@H]1CCN(C)C1 ZINC001230209867 977127788 /nfs/dbraw/zinc/12/77/88/977127788.db2.gz BMOXAXBCFNYGLZ-CQSZACIVSA-N 0 3 249.354 2.674 20 0 BFADHN CN1CC[C@H](Oc2c(O)cccc2C(C)(C)C)C1 ZINC001230372758 977199680 /nfs/dbraw/zinc/19/96/80/977199680.db2.gz GVRNPTHSSSEBEU-NSHDSACASA-N 0 3 249.354 2.773 20 0 BFADHN COc1c(C)cc(Nc2nccn2C)cc1C ZINC001174997184 977201571 /nfs/dbraw/zinc/20/15/71/977201571.db2.gz VYLZNBKOLFFUCR-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN CCCCCC(=O)N(C)C[C@H]1CCN1C(C)C ZINC001230781061 977329129 /nfs/dbraw/zinc/32/91/29/977329129.db2.gz HHKACMHRZKMZDZ-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN CCN1CC[C@@H](Oc2ccc3[nH]ccc3c2F)C1 ZINC001230767196 977329377 /nfs/dbraw/zinc/32/93/77/977329377.db2.gz VULBPKLMFDQAMB-SNVBAGLBSA-N 0 3 248.301 2.780 20 0 BFADHN CCCC[C@H](CC)Oc1nncc2n[nH]cc21 ZINC001230895774 977363510 /nfs/dbraw/zinc/36/35/10/977363510.db2.gz KPPUXCDGKROHNE-VIFPVBQESA-N 0 3 234.303 2.701 20 0 BFADHN C[C@@H]1CCC[C@H](C)[C@H]1Oc1nncc2n[nH]cc21 ZINC001230901452 977371771 /nfs/dbraw/zinc/37/17/71/977371771.db2.gz PXQVRUVZNXTCGZ-GDGBQDQQSA-N 0 3 246.314 2.556 20 0 BFADHN CC(C)C[C@H](Oc1nncc2n[nH]cc21)C(C)C ZINC001230901786 977373114 /nfs/dbraw/zinc/37/31/14/977373114.db2.gz UOIRCKJIFXPWDL-LBPRGKRZSA-N 0 3 248.330 2.802 20 0 BFADHN Cc1cccc(F)c1O[C@H]1CCN(C(C)C)C1 ZINC001231034905 977438611 /nfs/dbraw/zinc/43/86/11/977438611.db2.gz QQUNROVZIOASQC-LBPRGKRZSA-N 0 3 237.318 2.996 20 0 BFADHN O=Nc1ccc(O[C@H]2CN3CCC2CC3)cc1 ZINC001231289644 977556783 /nfs/dbraw/zinc/55/67/83/977556783.db2.gz RFIVWCCGEYOKQO-ZDUSSCGKSA-N 0 3 232.283 2.558 20 0 BFADHN COc1ccc(NC2=CCCN(C)C2)c(C)c1 ZINC001175577797 977588694 /nfs/dbraw/zinc/58/86/94/977588694.db2.gz VGRWBWLICNIXBW-UHFFFAOYSA-N 0 3 232.327 2.635 20 0 BFADHN CN1CCC=C(Nc2ccc3ncccc3c2)C1 ZINC001175576901 977590113 /nfs/dbraw/zinc/59/01/13/977590113.db2.gz MNBSXJPMFMYTFH-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN COCCCOc1ccc(CN2CC[C@H]2C)cc1 ZINC001231506438 977620020 /nfs/dbraw/zinc/62/00/20/977620020.db2.gz IQDPEJNZPPFDBN-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN c1[nH]c2cnccc2c1CN1CC2CCC1CC2 ZINC001231521094 977629617 /nfs/dbraw/zinc/62/96/17/977629617.db2.gz HRAOGDAYGXOABE-UHFFFAOYSA-N 0 3 241.338 2.937 20 0 BFADHN CC(C)N(C)Cc1cc(Br)c[nH]1 ZINC001231571946 977637482 /nfs/dbraw/zinc/63/74/82/977637482.db2.gz OTOVFJHJWHPYEU-UHFFFAOYSA-N 0 3 231.137 2.617 20 0 BFADHN COc1cc(F)ccc1CN1C[C@H]2CC[C@@H]1C2 ZINC001231606825 977645796 /nfs/dbraw/zinc/64/57/96/977645796.db2.gz SUUVJPISQHEWGR-GXFFZTMASA-N 0 3 235.302 2.819 20 0 BFADHN CCc1ccc(CN2CCC[C@@H](F)CC2)nc1 ZINC001231637486 977654416 /nfs/dbraw/zinc/65/44/16/977654416.db2.gz HCLMFLVZGCAMDV-CYBMUJFWSA-N 0 3 236.334 2.968 20 0 BFADHN CCc1ccc(CN2CCC[C@@H]3C[C@@H]32)nc1 ZINC001231642913 977657652 /nfs/dbraw/zinc/65/76/52/977657652.db2.gz TXHUTDAYIPJADN-OCCSQVGLSA-N 0 3 216.328 2.628 20 0 BFADHN CCOc1ccc(CN(C)C2CC(C)C2)cn1 ZINC001231649758 977663246 /nfs/dbraw/zinc/66/32/46/977663246.db2.gz SHJDWEAPNZRIQI-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN CCOc1ccc(CN2CCC23CCCC3)cn1 ZINC001231651035 977665376 /nfs/dbraw/zinc/66/53/76/977665376.db2.gz KRVMDHFJCGJNOE-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN COCc1ccc(CN2CCCCC23CC3)o1 ZINC001231693922 977693816 /nfs/dbraw/zinc/69/38/16/977693816.db2.gz WKDUIXCOSJRAIJ-UHFFFAOYSA-N 0 3 235.327 2.945 20 0 BFADHN COCc1ccc(CN(C)C2CC(C)C2)o1 ZINC001231692100 977694642 /nfs/dbraw/zinc/69/46/42/977694642.db2.gz RPGXWPSBEXSHQE-UHFFFAOYSA-N 0 3 223.316 2.656 20 0 BFADHN CCN(CC)Cc1ccnc(C(F)F)c1 ZINC001231886594 977782250 /nfs/dbraw/zinc/78/22/50/977782250.db2.gz DYMGEXVRPSUTRL-UHFFFAOYSA-N 0 3 214.259 2.861 20 0 BFADHN CCOc1ncccc1CN(C)CC1CCC1 ZINC001231764536 977735909 /nfs/dbraw/zinc/73/59/09/977735909.db2.gz GSVYPFSHSQQFDW-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CSc1ccccc1CN1CC[C@H]2OCC[C@H]21 ZINC001231783460 977746286 /nfs/dbraw/zinc/74/62/86/977746286.db2.gz YCPJYGUUHNWJCT-CHWSQXEVSA-N 0 3 249.379 2.772 20 0 BFADHN CC(C)c1ccccc1CN1CCN(C)[C@H](C)C1 ZINC001231877887 977775918 /nfs/dbraw/zinc/77/59/18/977775918.db2.gz ZBFPFAAJLANCSM-CQSZACIVSA-N 0 3 246.398 2.946 20 0 BFADHN Cn1ccc2c1cccc2Nc1nccn1C ZINC001175862518 977790060 /nfs/dbraw/zinc/79/00/60/977790060.db2.gz JMPDMMPOAFNYFM-UHFFFAOYSA-N 0 3 226.283 2.655 20 0 BFADHN Cc1cc(F)ncc1CN(C)C1CC(C)C1 ZINC001231979506 977824435 /nfs/dbraw/zinc/82/44/35/977824435.db2.gz DJMHSKKILIPCSQ-UHFFFAOYSA-N 0 3 222.307 2.759 20 0 BFADHN CCOC1CCN(Cc2cc(C)nc(C)c2)CC1 ZINC001232000382 977831800 /nfs/dbraw/zinc/83/18/00/977831800.db2.gz KIHZIYZESBVICO-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3C[C@@H]32)cc(C)n1 ZINC001232002691 977835911 /nfs/dbraw/zinc/83/59/11/977835911.db2.gz HCZYGIYJUKJYKV-KGLIPLIRSA-N 0 3 216.328 2.683 20 0 BFADHN Cn1ccnc1Nc1ccccc1OC(F)F ZINC001176008133 977859719 /nfs/dbraw/zinc/85/97/19/977859719.db2.gz LFOJEOFKEOBGKV-UHFFFAOYSA-N 0 3 239.225 2.765 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CCC[C@H]3CCCC[C@@H]32)c1 ZINC001232042157 977867246 /nfs/dbraw/zinc/86/72/46/977867246.db2.gz OJXALYHQRCEONG-HIFRSBDPSA-N 0 3 246.354 2.942 20 0 BFADHN [O-]c1cncc(C[N@H+]2CCC[C@H]3CCCC[C@@H]32)c1 ZINC001232042157 977867251 /nfs/dbraw/zinc/86/72/51/977867251.db2.gz OJXALYHQRCEONG-HIFRSBDPSA-N 0 3 246.354 2.942 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CCC[C@@H]3CCC[C@@H]32)c1 ZINC001232045006 977869645 /nfs/dbraw/zinc/86/96/45/977869645.db2.gz PRIYTJCEBHCKHX-JSGCOSHPSA-N 0 3 232.327 2.552 20 0 BFADHN [O-]c1cncc(C[N@H+]2CCC[C@@H]3CCC[C@@H]32)c1 ZINC001232045006 977869651 /nfs/dbraw/zinc/86/96/51/977869651.db2.gz PRIYTJCEBHCKHX-JSGCOSHPSA-N 0 3 232.327 2.552 20 0 BFADHN CN(Cc1cccc(C(F)(F)F)n1)CC1CC1 ZINC001232066338 977877502 /nfs/dbraw/zinc/87/75/02/977877502.db2.gz BOCOUTPNQFCOGC-UHFFFAOYSA-N 0 3 244.260 2.942 20 0 BFADHN Fc1ccc2cc(Nc3ncc[nH]3)cnc2c1 ZINC001176081788 977878073 /nfs/dbraw/zinc/87/80/73/977878073.db2.gz DURCTJYGRDQEMD-UHFFFAOYSA-N 0 3 228.230 2.841 20 0 BFADHN Cc1cc(Cl)c(Nc2ncc[nH]2)cc1O ZINC001176084677 977883913 /nfs/dbraw/zinc/88/39/13/977883913.db2.gz AVLTUIJNJLTYOJ-UHFFFAOYSA-N 0 3 223.663 2.821 20 0 BFADHN CCCN(CCOC)Cc1ccc(C)nc1C ZINC001232081944 977886429 /nfs/dbraw/zinc/88/64/29/977886429.db2.gz ONXVGBFVBJBPQB-UHFFFAOYSA-N 0 3 236.359 2.557 20 0 BFADHN CCCO[C@@H]1CCN(Cc2ccc(C)nc2C)C1 ZINC001232083096 977886483 /nfs/dbraw/zinc/88/64/83/977886483.db2.gz QFXPSQAQWRSBOP-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1ccc(CN2C[C@@H]3C[C@H]2C[C@H]3F)c(C)n1 ZINC001232083920 977886690 /nfs/dbraw/zinc/88/66/90/977886690.db2.gz LTHYFBSRACIPJM-MELADBBJSA-N 0 3 234.318 2.631 20 0 BFADHN C[C@]1(CF)CCN(Cc2cc3ccncc3[nH]2)C1 ZINC001232091593 977889044 /nfs/dbraw/zinc/88/90/44/977889044.db2.gz XIHDBUFBOJDYAV-CQSZACIVSA-N 0 3 247.317 2.744 20 0 BFADHN CCc1ccc(OC)c(Nc2ncc[nH]2)c1 ZINC001176085968 977891111 /nfs/dbraw/zinc/89/11/11/977891111.db2.gz VLZXLAKUUCPSDM-UHFFFAOYSA-N 0 3 217.272 2.724 20 0 BFADHN COc1cc(C(C)=O)c(Nc2ncc[nH]2)cc1F ZINC001176090935 977893598 /nfs/dbraw/zinc/89/35/98/977893598.db2.gz RVZUYLUHOJJCMS-UHFFFAOYSA-N 0 3 249.245 2.504 20 0 BFADHN CCN(C)Cc1ccnc(C(C)(C)C)c1 ZINC001232212000 977938597 /nfs/dbraw/zinc/93/85/97/977938597.db2.gz HIVALXXXUUCXJM-UHFFFAOYSA-N 0 3 206.333 2.831 20 0 BFADHN C[C@@H]1CCCN(Cc2cnsc2)CC1 ZINC001232225946 977947735 /nfs/dbraw/zinc/94/77/35/977947735.db2.gz IWTUUWYRELEQCJ-SNVBAGLBSA-N 0 3 210.346 2.765 20 0 BFADHN C[C@H]1CCCN(Cc2cnsc2)CC1 ZINC001232225945 977949321 /nfs/dbraw/zinc/94/93/21/977949321.db2.gz IWTUUWYRELEQCJ-JTQLQIEISA-N 0 3 210.346 2.765 20 0 BFADHN c1nscc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001232226376 977959494 /nfs/dbraw/zinc/95/94/94/977959494.db2.gz PZRWUSLBPROQIT-RYUDHWBXSA-N 0 3 222.357 2.765 20 0 BFADHN c1nscc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001232230610 977965193 /nfs/dbraw/zinc/96/51/93/977965193.db2.gz POXLHMSVUYEPDD-RYUDHWBXSA-N 0 3 222.357 2.908 20 0 BFADHN COCc1cccc(CN2CCCC[C@H]2C)n1 ZINC001232251244 977979017 /nfs/dbraw/zinc/97/90/17/977979017.db2.gz OAMDBSUWGGFUNO-GFCCVEGCSA-N 0 3 234.343 2.602 20 0 BFADHN COc1cccnc1CNCCCC(F)(F)F ZINC001336825921 977980710 /nfs/dbraw/zinc/98/07/10/977980710.db2.gz MJNHXIWOTUIJOR-UHFFFAOYSA-N 0 3 248.248 2.522 20 0 BFADHN Cc1ncc(NC=CCc2ccccc2)n1C ZINC001176218421 977997806 /nfs/dbraw/zinc/99/78/06/977997806.db2.gz ZYWFOEUIZKDZSU-UXBLZVDNSA-N 0 3 227.311 2.897 20 0 BFADHN CCOc1ncc(CN2CCC3(CC3)C2)cc1C ZINC001232305868 977998828 /nfs/dbraw/zinc/99/88/28/977998828.db2.gz ZXVFAZTZRHQYPK-UHFFFAOYSA-N 0 3 246.354 2.775 20 0 BFADHN CCOc1ncc(CN(CC)C2CC2)cc1C ZINC001232303042 978001609 /nfs/dbraw/zinc/00/16/09/978001609.db2.gz RJPZWAZBXGICSV-UHFFFAOYSA-N 0 3 234.343 2.773 20 0 BFADHN CCOc1ccc(CN2CCC[C@H]3C[C@H]32)c(C)n1 ZINC001232313543 978003421 /nfs/dbraw/zinc/00/34/21/978003421.db2.gz APWNNTURJIPDNR-GXTWGEPZSA-N 0 3 246.354 2.773 20 0 BFADHN COc1ccc(CN2CCC23CCCC3)c(C)n1 ZINC001232333257 978008985 /nfs/dbraw/zinc/00/89/85/978008985.db2.gz PMFFUDKKKLEPDN-UHFFFAOYSA-N 0 3 246.354 2.917 20 0 BFADHN COc1ccc(CN2CCCCC23CC3)c(C)n1 ZINC001232336944 978013673 /nfs/dbraw/zinc/01/36/73/978013673.db2.gz VYFYEHKXWLGJMD-UHFFFAOYSA-N 0 3 246.354 2.917 20 0 BFADHN CSc1cc(C)c(CN2CCCC2)cn1 ZINC001232346715 978029425 /nfs/dbraw/zinc/02/94/25/978029425.db2.gz YNUYUNLLEHOIQF-UHFFFAOYSA-N 0 3 222.357 2.708 20 0 BFADHN COc1cc(C)c(CN2CCCCC23CC3)cn1 ZINC001232353013 978030136 /nfs/dbraw/zinc/03/01/36/978030136.db2.gz FOIOQLCBRJJQLH-UHFFFAOYSA-N 0 3 246.354 2.917 20 0 BFADHN CCOc1ccc(OC)cc1CN(C)CC ZINC001232382266 978046540 /nfs/dbraw/zinc/04/65/40/978046540.db2.gz JCSCTKHKPUJSQO-UHFFFAOYSA-N 0 3 223.316 2.546 20 0 BFADHN CCN(CCOC)Cc1cc(F)c(C)c(F)c1 ZINC001232420213 978057862 /nfs/dbraw/zinc/05/78/62/978057862.db2.gz OBTJLISSUSBIHU-UHFFFAOYSA-N 0 3 243.297 2.742 20 0 BFADHN CC[C@H]1CCCN(Cc2[nH]nc3c2CCC3)C1 ZINC001232429696 978069310 /nfs/dbraw/zinc/06/93/10/978069310.db2.gz YWLFKMHADGDWOQ-NSHDSACASA-N 0 3 233.359 2.520 20 0 BFADHN CC[C@@H]1CCCN(Cc2[nH]nc3c2CCC3)C1 ZINC001232429695 978071288 /nfs/dbraw/zinc/07/12/88/978071288.db2.gz YWLFKMHADGDWOQ-LLVKDONJSA-N 0 3 233.359 2.520 20 0 BFADHN CCN(Cc1cnc(C)[nH]1)Cc1ccccc1 ZINC001232458818 978084979 /nfs/dbraw/zinc/08/49/79/978084979.db2.gz TUUOHFWCTUSKPH-UHFFFAOYSA-N 0 3 229.327 2.740 20 0 BFADHN Cc1nc(CN(C)CCCc2ccccc2)c[nH]1 ZINC001232462810 978100649 /nfs/dbraw/zinc/10/06/49/978100649.db2.gz UEJATUBAKUVBQW-UHFFFAOYSA-N 0 3 243.354 2.783 20 0 BFADHN Cc1nc(CN(C)CCc2ccc(C)cc2)c[nH]1 ZINC001232464239 978107092 /nfs/dbraw/zinc/10/70/92/978107092.db2.gz WFLZWSFQOFVBGN-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN Cc1ncc(CN(C)Cc2ccc(C)c(C)c2)[nH]1 ZINC001232466352 978116083 /nfs/dbraw/zinc/11/60/83/978116083.db2.gz XWJOZNGYJQPPNO-UHFFFAOYSA-N 0 3 243.354 2.967 20 0 BFADHN Cc1cccc(F)c1CN1CCC[C@]2(CCO2)C1 ZINC001232483066 978133770 /nfs/dbraw/zinc/13/37/70/978133770.db2.gz ARURZQYJIGWERB-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1cc(CN2CC[C@@H]2Cc2ccccc2)n[nH]1 ZINC001337042685 978138819 /nfs/dbraw/zinc/13/88/19/978138819.db2.gz RFRDCZQQNKUYRB-OAHLLOKOSA-N 0 3 241.338 2.535 20 0 BFADHN Fc1ccnc(CN2CC[C@@H]3CCC[C@H]3C2)c1 ZINC001232492526 978142252 /nfs/dbraw/zinc/14/22/52/978142252.db2.gz FMXRCAMUGKKRDO-RYUDHWBXSA-N 0 3 234.318 2.843 20 0 BFADHN Cc1cccc(F)c1CN1CC[C@]2(CCCO2)C1 ZINC001232491229 978142535 /nfs/dbraw/zinc/14/25/35/978142535.db2.gz WVMMKTXTGBERBH-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN C[C@@]1(CF)CCN(Cc2cc(F)ccc2N)C1 ZINC001232508178 978151914 /nfs/dbraw/zinc/15/19/14/978151914.db2.gz XZETXKGPYIZVRG-ZDUSSCGKSA-N 0 3 240.297 2.589 20 0 BFADHN C[C@@H]1CCCCN1Cc1cccc(CF)n1 ZINC001232532725 978160437 /nfs/dbraw/zinc/16/04/37/978160437.db2.gz XXNYSEBAGAONGL-LLVKDONJSA-N 0 3 222.307 2.926 20 0 BFADHN Cc1ncc(Nc2cccnc2OC(C)C)n1C ZINC001176731110 978170686 /nfs/dbraw/zinc/17/06/86/978170686.db2.gz HJYQQUWWOHTZBH-UHFFFAOYSA-N 0 3 246.314 2.654 20 0 BFADHN CCN(Cc1cc2ccoc2cn1)C1CC1 ZINC001232550318 978178262 /nfs/dbraw/zinc/17/82/62/978178262.db2.gz SZRKRTRPVINTQU-UHFFFAOYSA-N 0 3 216.284 2.812 20 0 BFADHN CN(C)C1CN(Cc2ccc3ccsc3c2)C1 ZINC001232609930 978190133 /nfs/dbraw/zinc/19/01/33/978190133.db2.gz ACFIEGFZLIJZIX-UHFFFAOYSA-N 0 3 246.379 2.647 20 0 BFADHN COC/C(C)=C/CN[C@@H](C)c1ncccc1C ZINC001176896521 978252507 /nfs/dbraw/zinc/25/25/07/978252507.db2.gz HOLIHDJWNDEKHL-HJTPGIPUSA-N 0 3 234.343 2.633 20 0 BFADHN CN(Cc1cccc2ncsc21)[C@@H]1CCOC1 ZINC001232733960 978255267 /nfs/dbraw/zinc/25/52/67/978255267.db2.gz TWAAABJIVJTRJH-LLVKDONJSA-N 0 3 248.351 2.517 20 0 BFADHN CCCn1cnc(CN(C(C)C)C(C)C)c1 ZINC001232793936 978274218 /nfs/dbraw/zinc/27/42/18/978274218.db2.gz GCKNBBUUEWTOMQ-UHFFFAOYSA-N 0 3 223.364 2.912 20 0 BFADHN CCCn1cnc(CN2CCC[C@H](C)CC2)c1 ZINC001232796962 978282807 /nfs/dbraw/zinc/28/28/07/978282807.db2.gz FVIONOJOLNOWGL-ZDUSSCGKSA-N 0 3 235.375 2.915 20 0 BFADHN COc1cc(O)cc(CN2CCC3(CCC3)C2)c1 ZINC001232805039 978297280 /nfs/dbraw/zinc/29/72/80/978297280.db2.gz RDCIMLUOKCAOKH-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN COc1cc(O)cc(CN2C3CCC2CC3)c1 ZINC001232803942 978300617 /nfs/dbraw/zinc/30/06/17/978300617.db2.gz ROUIBZWGGLNHIQ-UHFFFAOYSA-N 0 3 233.311 2.528 20 0 BFADHN CCOC1CCN(Cc2cc(C)cc(O)c2)CC1 ZINC001232881678 978321587 /nfs/dbraw/zinc/32/15/87/978321587.db2.gz OAGKPENXVPCTDK-UHFFFAOYSA-N 0 3 249.354 2.702 20 0 BFADHN CC(C)N(C)Cc1ccc(OC(F)F)nc1 ZINC001232951995 978353854 /nfs/dbraw/zinc/35/38/54/978353854.db2.gz CVJMGFXWUKTRAB-UHFFFAOYSA-N 0 3 230.258 2.523 20 0 BFADHN c1nc2ccc(CN3CC4CC(C4)C3)cc2o1 ZINC001232943953 978354461 /nfs/dbraw/zinc/35/44/61/978354461.db2.gz KJXYCYIEQBSNRT-UHFFFAOYSA-N 0 3 228.295 2.670 20 0 BFADHN Cc1ncc(F)cc1CN(CC1CC1)C1CC1 ZINC001232993685 978394610 /nfs/dbraw/zinc/39/46/10/978394610.db2.gz QHGGKDZLKUVQRC-UHFFFAOYSA-N 0 3 234.318 2.904 20 0 BFADHN CC[C@H](CNCc1ccn(C)n1)c1ccccc1 ZINC001177582451 978396876 /nfs/dbraw/zinc/39/68/76/978396876.db2.gz YEEUJQAUXQPHMI-CYBMUJFWSA-N 0 3 243.354 2.704 20 0 BFADHN CCCO[C@H]1CCN(Cc2cnc(C)cc2C)C1 ZINC001233007825 978400351 /nfs/dbraw/zinc/40/03/51/978400351.db2.gz SWQSVJJAXMQRLY-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN CO[C@@H](CNCc1ncccc1C)C(C)(C)C ZINC001337566729 978412358 /nfs/dbraw/zinc/41/23/58/978412358.db2.gz LTJBXMCPJNSBMC-ZDUSSCGKSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1cc(C)c(CN2CCC[C@@H]3C[C@@H]32)cn1 ZINC001233012546 978414372 /nfs/dbraw/zinc/41/43/72/978414372.db2.gz OSZXYGYQESWPOE-OCCSQVGLSA-N 0 3 216.328 2.683 20 0 BFADHN CCC[N@H+](CC)Cc1cccc([O-])c1F ZINC001233048425 978434872 /nfs/dbraw/zinc/43/48/72/978434872.db2.gz FJPZMZQMCLHUPS-UHFFFAOYSA-N 0 3 211.280 2.763 20 0 BFADHN CCC[N@@H+](CC)Cc1cccc([O-])c1F ZINC001233048425 978434880 /nfs/dbraw/zinc/43/48/80/978434880.db2.gz FJPZMZQMCLHUPS-UHFFFAOYSA-N 0 3 211.280 2.763 20 0 BFADHN Fc1ccc2c(c1)n[nH]c2CN1CCC2(CC2)C1 ZINC001233118985 978468446 /nfs/dbraw/zinc/46/84/46/978468446.db2.gz GEKOSMNZZWGOCS-UHFFFAOYSA-N 0 3 245.301 2.688 20 0 BFADHN CCCN(CCOC)Cc1cnc(C)c(C)c1 ZINC001233155091 978473697 /nfs/dbraw/zinc/47/36/97/978473697.db2.gz BDFUTODQESOJOV-UHFFFAOYSA-N 0 3 236.359 2.557 20 0 BFADHN Cc1cc(CN2C[C@@H]3C[C@H]2C[C@H]3F)cnc1C ZINC001233162816 978482969 /nfs/dbraw/zinc/48/29/69/978482969.db2.gz GMQUEURRLSJFHI-MELADBBJSA-N 0 3 234.318 2.631 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](CF)C2)cc1O ZINC001233233386 978501538 /nfs/dbraw/zinc/50/15/38/978501538.db2.gz HKDYXIYZMGVJFO-ZDUSSCGKSA-N 0 3 237.318 2.882 20 0 BFADHN CCCO[C@@H]1CCN(Cc2ccc(C)c(O)c2)C1 ZINC001233233943 978506308 /nfs/dbraw/zinc/50/63/08/978506308.db2.gz WLGAOCPFAJSYLP-CQSZACIVSA-N 0 3 249.354 2.702 20 0 BFADHN Cc1ccc(CN2CC[C@H](OC(C)C)C2)cc1O ZINC001233235313 978506997 /nfs/dbraw/zinc/50/69/97/978506997.db2.gz QMCRDAROXBBJTE-AWEZNQCLSA-N 0 3 249.354 2.700 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCCCO2)cc1O ZINC001233233926 978508264 /nfs/dbraw/zinc/50/82/64/978508264.db2.gz VSKYXFOYRQTXJI-CQSZACIVSA-N 0 3 249.354 2.702 20 0 BFADHN c1nn2ccccc2c1CN1CCC2(CC2)CC1 ZINC001233236742 978510290 /nfs/dbraw/zinc/51/02/90/978510290.db2.gz GMQVYVSFOQTVSK-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN C[C@@H](NCc1ccc2c[nH]nc2c1)c1ccc[nH]1 ZINC001178398159 978556768 /nfs/dbraw/zinc/55/67/68/978556768.db2.gz KODINEDXVDRXRG-SNVBAGLBSA-N 0 3 240.310 2.742 20 0 BFADHN Cc1cnsc1CN(CC1CC1)C1CC1 ZINC001233321772 978563944 /nfs/dbraw/zinc/56/39/44/978563944.db2.gz CNZXCIJYQLBJOU-UHFFFAOYSA-N 0 3 222.357 2.826 20 0 BFADHN Cc1ncc(CN(C)CCc2cccc(C)c2)o1 ZINC001233367262 978572653 /nfs/dbraw/zinc/57/26/53/978572653.db2.gz VQFUASYKBKJZKT-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN CCCC1CCN(Cc2cnc(C)o2)CC1 ZINC001233366868 978579949 /nfs/dbraw/zinc/57/99/49/978579949.db2.gz WVGLZVHSPJGDHJ-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)C[C@H]2C)o1 ZINC001233367146 978581533 /nfs/dbraw/zinc/58/15/33/978581533.db2.gz NEPOICRNQROIIS-VHSXEESVSA-N 0 3 208.305 2.603 20 0 BFADHN CC(C)(CNCc1cnsc1)C(F)(F)F ZINC001178549312 978588919 /nfs/dbraw/zinc/58/89/19/978588919.db2.gz GYMMYCCSCLNRKK-UHFFFAOYSA-N 0 3 238.278 2.821 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCOC2)sc1C ZINC001233685752 978674567 /nfs/dbraw/zinc/67/45/67/978674567.db2.gz SBDJRSTZLWVFBD-NSHDSACASA-N 0 3 225.357 2.586 20 0 BFADHN Cc1cc(CN2CC(C)(N(C)C)C2)sc1C ZINC001233692765 978675790 /nfs/dbraw/zinc/67/57/90/978675790.db2.gz PBAORNVXXMDSEN-UHFFFAOYSA-N 0 3 238.400 2.501 20 0 BFADHN C[C@@H](CNCc1nc[nH]n1)CC1CCCCC1 ZINC001179842780 978763215 /nfs/dbraw/zinc/76/32/15/978763215.db2.gz WVTNWQIVSVZNDP-LLVKDONJSA-N 0 3 236.363 2.501 20 0 BFADHN Cc1cnccc1Nc1cnn(C(C)C)c1 ZINC000070047390 978805045 /nfs/dbraw/zinc/80/50/45/978805045.db2.gz LYESSNUUDIKQSR-UHFFFAOYSA-N 0 3 216.288 2.911 20 0 BFADHN CCCCCCC(=O)NC[C@@H]1CCCCN1C ZINC001339265964 978830265 /nfs/dbraw/zinc/83/02/65/978830265.db2.gz SEHMVJVMUBONTM-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN CN1CCc2c(cccc2O[C@@H]2C[C@H]3C[C@H]3C2)C1 ZINC001234421352 978831951 /nfs/dbraw/zinc/83/19/51/978831951.db2.gz DCSBLYREEJBSAW-BTTYYORXSA-N 0 3 243.350 2.852 20 0 BFADHN CC[C@H](C)[C@H]1CCCCN1CC(=O)OC(C)C ZINC001339379221 978843420 /nfs/dbraw/zinc/84/34/20/978843420.db2.gz TYFKOJXDOIZALC-QWHCGFSZSA-N 0 3 241.375 2.839 20 0 BFADHN CCN1CC[C@@H](Oc2cccc3ncsc32)C1 ZINC001234477698 978847466 /nfs/dbraw/zinc/84/74/66/978847466.db2.gz ONULFMXUEXHDBF-SNVBAGLBSA-N 0 3 248.351 2.769 20 0 BFADHN CC[C@H]1CC[C@H](NCc2cscn2)C1 ZINC000070644223 978862164 /nfs/dbraw/zinc/86/21/64/978862164.db2.gz KCGQGPCROXORME-UWVGGRQHSA-N 0 3 210.346 2.811 20 0 BFADHN CN(Cc1cc2cccc(F)c2[nH]1)[C@@H]1CCOC1 ZINC001235248095 979005829 /nfs/dbraw/zinc/00/58/29/979005829.db2.gz WSGAWLMYSZIPQE-GFCCVEGCSA-N 0 3 248.301 2.528 20 0 BFADHN CCc1cccc(CN(CC)C2CC2)n1 ZINC001235248302 979005975 /nfs/dbraw/zinc/00/59/75/979005975.db2.gz ATPGJAYYGBHYDB-UHFFFAOYSA-N 0 3 204.317 2.628 20 0 BFADHN Cc1cc(OC(C)C)ncc1CN(C)C1CC1 ZINC001235419116 979042323 /nfs/dbraw/zinc/04/23/23/979042323.db2.gz XBJUMUYZXLYAHK-UHFFFAOYSA-N 0 3 234.343 2.771 20 0 BFADHN COc1cncc(CN2CCC3(CC3)CC2)c1C ZINC001235459918 979049941 /nfs/dbraw/zinc/04/99/41/979049941.db2.gz DTRISUWXZRMCPX-UHFFFAOYSA-N 0 3 246.354 2.775 20 0 BFADHN Fc1cncc(CN2CC3CC(C3)C2)c1Cl ZINC001235499561 979056910 /nfs/dbraw/zinc/05/69/10/979056910.db2.gz CUSSAZIAONXSQT-UHFFFAOYSA-N 0 3 240.709 2.716 20 0 BFADHN Cc1ncn(C)c1CN1CCC2(CCCC2)CC1 ZINC001235536817 979071945 /nfs/dbraw/zinc/07/19/45/979071945.db2.gz GATKIUYNPKANCL-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN Fc1ccc2nc(CN3CCCC34CC4)[nH]c2c1 ZINC001235681853 979121230 /nfs/dbraw/zinc/12/12/30/979121230.db2.gz FOAWJMHWQBMLKZ-UHFFFAOYSA-N 0 3 245.301 2.830 20 0 BFADHN CC(C)C[C@@H](N)C(=O)Nc1ccc(C(C)C)nc1 ZINC001341005663 979192914 /nfs/dbraw/zinc/19/29/14/979192914.db2.gz QSIZNZIRQAQEIL-GFCCVEGCSA-N 0 3 249.358 2.517 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1ncccn1 ZINC001341360561 979351524 /nfs/dbraw/zinc/35/15/24/979351524.db2.gz PYQFJRGOWNYEOR-UTUOFQBUSA-N 0 3 219.332 2.706 20 0 BFADHN Cc1ccc(-c2cc(N)c(C)cn2)c2c[nH]nc21 ZINC001236485845 979609788 /nfs/dbraw/zinc/60/97/88/979609788.db2.gz YGQSFBYASGBUQB-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN Cc1c(N)ccnc1-c1ccnc(OC(C)C)c1 ZINC001236548676 979628374 /nfs/dbraw/zinc/62/83/74/979628374.db2.gz QKOWXOIMKGTBDR-UHFFFAOYSA-N 0 3 243.310 2.821 20 0 BFADHN Cc1cnc(-c2ccnc(OC(C)C)c2)cc1N ZINC001236553544 979634078 /nfs/dbraw/zinc/63/40/78/979634078.db2.gz VLKJRKFFGHGZGF-UHFFFAOYSA-N 0 3 243.310 2.821 20 0 BFADHN Fc1cccnc1CN(CC1CC1)CC1CC1 ZINC001182968683 979690199 /nfs/dbraw/zinc/69/01/99/979690199.db2.gz DJWKXUXPVYHXIT-UHFFFAOYSA-N 0 3 234.318 2.843 20 0 BFADHN COc1cc2ccccc2cc1CN(C)C ZINC001236681370 979693487 /nfs/dbraw/zinc/69/34/87/979693487.db2.gz KQPOSUABVCMKLE-UHFFFAOYSA-N 0 3 215.296 2.910 20 0 BFADHN c1cc2c(cccc2CN2CCCOCC2)o1 ZINC001236733629 979709405 /nfs/dbraw/zinc/70/94/05/979709405.db2.gz BUDMKNADDSKUQH-UHFFFAOYSA-N 0 3 231.295 2.655 20 0 BFADHN CCN(C)Cc1ccc(O)cc1OC(F)(F)F ZINC001236721652 979714123 /nfs/dbraw/zinc/71/41/23/979714123.db2.gz JIPMTYXGFDGHGK-UHFFFAOYSA-N 0 3 249.232 2.743 20 0 BFADHN COC[C@@H]1CCN1Cc1cccc2occc21 ZINC001236744947 979723444 /nfs/dbraw/zinc/72/34/44/979723444.db2.gz GGSDFAFYNHFQDK-LBPRGKRZSA-N 0 3 231.295 2.654 20 0 BFADHN c1csc(-c2ccccc2CN2CCNC2)c1 ZINC001236843318 979751284 /nfs/dbraw/zinc/75/12/84/979751284.db2.gz HBZBBLQTWADNNC-UHFFFAOYSA-N 0 3 244.363 2.778 20 0 BFADHN CC[C@@H]1CCN(Cc2sc(=O)[nH]c2Cl)C1 ZINC001237156371 979824128 /nfs/dbraw/zinc/82/41/28/979824128.db2.gz VIMARLBQAKRKDZ-SSDOTTSWSA-N 0 3 246.763 2.734 20 0 BFADHN FC1(F)C[C@]12CC[N@H+](Cc1occc1[S-])C2 ZINC001237190301 979843284 /nfs/dbraw/zinc/84/32/84/979843284.db2.gz HQANJLMLFNRUEG-JTQLQIEISA-N 0 3 245.294 2.799 20 0 BFADHN FC1(F)C[C@]12CC[N@@H+](Cc1occc1[S-])C2 ZINC001237190301 979843287 /nfs/dbraw/zinc/84/32/87/979843287.db2.gz HQANJLMLFNRUEG-JTQLQIEISA-N 0 3 245.294 2.799 20 0 BFADHN Fc1cc(CN2CCC[C@H]3C[C@H]32)cnc1Cl ZINC001237406014 979885485 /nfs/dbraw/zinc/88/54/85/979885485.db2.gz CEEVAYRRYGQBGL-GXSJLCMTSA-N 0 3 240.709 2.858 20 0 BFADHN COc1c(C)cccc1CN1CC[C@H]1C ZINC001237501754 979921340 /nfs/dbraw/zinc/92/13/40/979921340.db2.gz VNPYFSCRLJMRNP-LLVKDONJSA-N 0 3 205.301 2.598 20 0 BFADHN COc1c(C)cccc1CN(C)CCSC ZINC001237502831 979922580 /nfs/dbraw/zinc/92/25/80/979922580.db2.gz ADGZRSIZBJVNLT-UHFFFAOYSA-N 0 3 239.384 2.798 20 0 BFADHN CCO[C@@H]1CCN(Cc2cccc(C)c2OC)C1 ZINC001237508730 979927664 /nfs/dbraw/zinc/92/76/64/979927664.db2.gz QSOKKNQNGZZXTL-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1coc(CN2CCC[C@@H]2C(C)C)n1 ZINC001237643474 979992747 /nfs/dbraw/zinc/99/27/47/979992747.db2.gz QFFSYRFUHWJSHH-LLVKDONJSA-N 0 3 208.305 2.603 20 0 BFADHN COC1(CN[C@@H](C)c2ncccc2C)CCC1 ZINC001184289611 980012364 /nfs/dbraw/zinc/01/23/64/980012364.db2.gz BOYMIUHQCYMYOD-LBPRGKRZSA-N 0 3 234.343 2.610 20 0 BFADHN CCc1n[nH]cc1CN1CCC[C@H]2CCC[C@H]21 ZINC001237660217 980021474 /nfs/dbraw/zinc/02/14/74/980021474.db2.gz XJKLRGBJINDHOQ-BXUZGUMPSA-N 0 3 233.359 2.737 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccc(F)c(C)n1 ZINC001237818441 980067936 /nfs/dbraw/zinc/06/79/36/980067936.db2.gz SZONRKJMPGMYNJ-GFCCVEGCSA-N 0 3 222.307 2.904 20 0 BFADHN CC(C)CC1(CNCc2cc[nH]n2)CCC1 ZINC000153619969 980107046 /nfs/dbraw/zinc/10/70/46/980107046.db2.gz LXVOKWBZUMFXGH-UHFFFAOYSA-N 0 3 221.348 2.716 20 0 BFADHN CC[C@@H]1CCCN(Cc2occc2OC)C1 ZINC001237875592 980108701 /nfs/dbraw/zinc/10/87/01/980108701.db2.gz XXIUKUJWPXRLJW-LLVKDONJSA-N 0 3 223.316 2.910 20 0 BFADHN CC[C@@H]1CCCN1Cc1occc1OC ZINC001237872125 980109607 /nfs/dbraw/zinc/10/96/07/980109607.db2.gz QZZCGPNQYYHZJR-SNVBAGLBSA-N 0 3 209.289 2.663 20 0 BFADHN COc1ccc(C(F)(F)F)c(CN(C)C)c1 ZINC001238050075 980131710 /nfs/dbraw/zinc/13/17/10/980131710.db2.gz DFSPMSLEBWBCCN-UHFFFAOYSA-N 0 3 233.233 2.776 20 0 BFADHN Cc1cnc2ccccc2c1N1CC[C@](C)(O)C1 ZINC001343542056 980145459 /nfs/dbraw/zinc/14/54/59/980145459.db2.gz CGPOCNGZEMIPOO-HNNXBMFYSA-N 0 3 242.322 2.504 20 0 BFADHN Cc1ccc(O)cc1CN(C)C[C@H]1CCCCO1 ZINC001238098277 980146815 /nfs/dbraw/zinc/14/68/15/980146815.db2.gz JFXJBKCAZWOGDC-OAHLLOKOSA-N 0 3 249.354 2.702 20 0 BFADHN FC[C@@H]1CCCN(Cc2cccc3cncn32)C1 ZINC001238113421 980161198 /nfs/dbraw/zinc/16/11/98/980161198.db2.gz LBVKTXHABFDUMW-LBPRGKRZSA-N 0 3 247.317 2.516 20 0 BFADHN Cc1ncncc1CN1CCC12CCCCC2 ZINC001238163357 980194215 /nfs/dbraw/zinc/19/42/15/980194215.db2.gz GGQBCLZQSZHJRL-UHFFFAOYSA-N 0 3 231.343 2.694 20 0 BFADHN Cc1ncncc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001238170233 980201590 /nfs/dbraw/zinc/20/15/90/980201590.db2.gz JTZNZDODLBNRCS-DZGCQCFKSA-N 0 3 245.370 2.940 20 0 BFADHN Cc1ncncc1CN1CCC[C@@H]2CCC[C@H]21 ZINC001238176497 980206878 /nfs/dbraw/zinc/20/68/78/980206878.db2.gz YDSBPOCHSUOWGQ-GXTWGEPZSA-N 0 3 231.343 2.550 20 0 BFADHN CCN(Cc1cncc(C2CC2)c1)C1CC1 ZINC001238185987 980217127 /nfs/dbraw/zinc/21/71/27/980217127.db2.gz HNCIHZCYCMZYRM-UHFFFAOYSA-N 0 3 216.328 2.943 20 0 BFADHN Cc1cc(CN(C)C(C)C)ncc1Cl ZINC001238427880 980258737 /nfs/dbraw/zinc/25/87/37/980258737.db2.gz STMWXQXQPPDUBW-UHFFFAOYSA-N 0 3 212.724 2.884 20 0 BFADHN CCOc1ccc(C)c(CN2CC=CC2)c1 ZINC001238704917 980309908 /nfs/dbraw/zinc/30/99/08/980309908.db2.gz MSLBRASJMRQPDC-UHFFFAOYSA-N 0 3 217.312 2.766 20 0 BFADHN CCN(Cc1cnc([C@@H](C)O)s1)C(C)(C)C ZINC001344075447 980337987 /nfs/dbraw/zinc/33/79/87/980337987.db2.gz OOQGSENCCBVSGG-SECBINFHSA-N 0 3 242.388 2.817 20 0 BFADHN CN1CCC=C(Cc2cc(F)c(F)c(F)c2)C1 ZINC001250232580 980342705 /nfs/dbraw/zinc/34/27/05/980342705.db2.gz GUTYLEAGFFAYBQ-UHFFFAOYSA-N 0 3 241.256 2.908 20 0 BFADHN S=C1Cc2ccc(C3=CCC[NH2+]CC3)cc2[N-]1 ZINC001238950514 980390390 /nfs/dbraw/zinc/39/03/90/980390390.db2.gz OLYFKOLCWXBJCF-UHFFFAOYSA-N 0 3 244.363 2.749 20 0 BFADHN COc1cccc(-c2ccnc(CN)c2)c1C ZINC001238983017 980407282 /nfs/dbraw/zinc/40/72/82/980407282.db2.gz JZUHJGGKMUYBDQ-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN CNCC(=O)Nc1cccc2c1[C@@H](C)CC2(C)C ZINC001344812416 980471053 /nfs/dbraw/zinc/47/10/53/980471053.db2.gz ZVCPQALOCCGEKF-JTQLQIEISA-N 0 3 246.354 2.629 20 0 BFADHN CC(C)(O)/C=C/c1ccc(CN2CCC2)cc1 ZINC001239158039 980472569 /nfs/dbraw/zinc/47/25/69/980472569.db2.gz MRZCETXQQHVRKD-CMDGGOBGSA-N 0 3 231.339 2.676 20 0 BFADHN CNCC(=O)Nc1cccc2c1[C@H](C)CC2(C)C ZINC001344812419 980472733 /nfs/dbraw/zinc/47/27/33/980472733.db2.gz ZVCPQALOCCGEKF-SNVBAGLBSA-N 0 3 246.354 2.629 20 0 BFADHN Cc1ccc(-c2cncc3nc[nH]c32)c(C)n1 ZINC001239457411 980584446 /nfs/dbraw/zinc/58/44/46/980584446.db2.gz DHEMWJNCFBTNLE-UHFFFAOYSA-N 0 3 224.267 2.637 20 0 BFADHN CN(CCC(F)(F)F)CC1=CCCC1 ZINC001345761688 980680802 /nfs/dbraw/zinc/68/08/02/980680802.db2.gz DGJXLOGSTFFBKC-UHFFFAOYSA-N 0 3 207.239 2.981 20 0 BFADHN CN(C)Cc1cccc(-c2ccccc2F)n1 ZINC001239553748 980732634 /nfs/dbraw/zinc/73/26/34/980732634.db2.gz ZVYLWDCTMPCKNG-UHFFFAOYSA-N 0 3 230.286 2.949 20 0 BFADHN CCCCCC[C@H](O)CNCc1ccns1 ZINC001346149926 980768867 /nfs/dbraw/zinc/76/88/67/980768867.db2.gz GINAYSIQRVDGDE-NSHDSACASA-N 0 3 242.388 2.564 20 0 BFADHN CC(=O)c1ccc(-c2nccc3c2CCN3)cc1 ZINC001239627687 980815901 /nfs/dbraw/zinc/81/59/01/980815901.db2.gz IKPJEUUTLAEBAL-UHFFFAOYSA-N 0 3 238.290 2.919 20 0 BFADHN CC(=O)c1cccc(-c2nccc3c2CCN3)c1 ZINC001239631989 980819110 /nfs/dbraw/zinc/81/91/10/980819110.db2.gz CWGNQJLPUBQODP-UHFFFAOYSA-N 0 3 238.290 2.919 20 0 BFADHN NCc1cc(-c2ccnc3ccccc32)ccn1 ZINC001239854314 980992253 /nfs/dbraw/zinc/99/22/53/980992253.db2.gz YTFYTTHNTHFXSP-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN COc1nscc1CN1CCC[C@H]1C(C)C ZINC001191202697 980993318 /nfs/dbraw/zinc/99/33/18/980993318.db2.gz IRMGKOBRBSWUSK-NSHDSACASA-N 0 3 240.372 2.772 20 0 BFADHN Cc1ccc(-c2cc(CN)ncn2)c(Cl)c1 ZINC001239860893 981003351 /nfs/dbraw/zinc/00/33/51/981003351.db2.gz CNQHCKABDAJUOQ-UHFFFAOYSA-N 0 3 233.702 2.564 20 0 BFADHN Cc1ncc(CN2CC[C@@H]3CCCC[C@H]3C2)n1C ZINC001347385587 981064059 /nfs/dbraw/zinc/06/40/59/981064059.db2.gz IZYPQDOUOOCQER-KBPBESRZSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1ccc(CN2CC[C@](C)(CF)C2)o1 ZINC001203290832 981129560 /nfs/dbraw/zinc/12/95/60/981129560.db2.gz SZMWYSOIYXIMOT-GFCCVEGCSA-N 0 3 211.280 2.770 20 0 BFADHN Cc1ccc(CN2CCN(C(C)(C)C)CC2)o1 ZINC001203294210 981166065 /nfs/dbraw/zinc/16/60/65/981166065.db2.gz XIXJRYXDXBSYGC-UHFFFAOYSA-N 0 3 236.359 2.504 20 0 BFADHN c1cn2cc(-c3cncc4cc[nH]c43)ccc2n1 ZINC001240219777 981168894 /nfs/dbraw/zinc/16/88/94/981168894.db2.gz VDOVIAFYLMEGRY-UHFFFAOYSA-N 0 3 234.262 2.878 20 0 BFADHN Cc1ccncc1CNCc1cccn1C1CC1 ZINC001347793186 981174844 /nfs/dbraw/zinc/17/48/44/981174844.db2.gz HMDFEHRKKINOID-UHFFFAOYSA-N 0 3 241.338 2.816 20 0 BFADHN Cc1ccc(-c2cnccc2/C=C/N(C)C)cn1 ZINC001240227553 981186057 /nfs/dbraw/zinc/18/60/57/981186057.db2.gz XELZZBLVQQTJQZ-VQHVLOKHSA-N 0 3 239.322 2.984 20 0 BFADHN NCc1ccc(-c2ccc3ncsc3c2)cn1 ZINC001240345228 981309057 /nfs/dbraw/zinc/30/90/57/981309057.db2.gz SPIJEDVBMSANCG-UHFFFAOYSA-N 0 3 241.319 2.817 20 0 BFADHN Cc1cccc([C@@H](NCc2nnc[nH]2)C(C)C)c1 ZINC001348248833 981317192 /nfs/dbraw/zinc/31/71/92/981317192.db2.gz ROZRPMJKCSQBHR-AWEZNQCLSA-N 0 3 244.342 2.600 20 0 BFADHN Cc1c(-c2ccc3c(N)ccnc3c2)cnn1C ZINC001240383528 981345493 /nfs/dbraw/zinc/34/54/93/981345493.db2.gz IBJPXSQGSAGYJN-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN Nc1nc2cc(-c3ccnc4[nH]ccc43)ccc2[nH]1 ZINC001240413394 981363096 /nfs/dbraw/zinc/36/30/96/981363096.db2.gz HBKCFDWLDPLCPK-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN Nc1nc2ccc(-c3ccnc4[nH]ccc43)cc2[nH]1 ZINC001240413394 981363098 /nfs/dbraw/zinc/36/30/98/981363098.db2.gz HBKCFDWLDPLCPK-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN Cc1cc(-c2ccnc(CN)c2)c(C)s1 ZINC001240430838 981383202 /nfs/dbraw/zinc/38/32/02/981383202.db2.gz IYIAWRVTONNAOZ-UHFFFAOYSA-N 0 3 218.325 2.886 20 0 BFADHN Cc1sccc1-c1ccnc(CN)c1 ZINC001240502535 981428541 /nfs/dbraw/zinc/42/85/41/981428541.db2.gz WYYJAEWUWVJMLN-UHFFFAOYSA-N 0 3 204.298 2.577 20 0 BFADHN C[C@H](NC1(C)CC1)c1cc(O)ccc1F ZINC001348815264 981449594 /nfs/dbraw/zinc/44/95/94/981449594.db2.gz RHVLWOIEIVVGNQ-QMMMGPOBSA-N 0 3 209.264 2.734 20 0 BFADHN Nc1ccc(-c2c[nH]cc3ccnc2-3)c(F)c1 ZINC001240526981 981465366 /nfs/dbraw/zinc/46/53/66/981465366.db2.gz QGULEWKFMBFDJY-UHFFFAOYSA-N 0 3 227.242 2.951 20 0 BFADHN Nc1nc2cc(-c3ccc(N)cc3F)ccc2[nH]1 ZINC001240528278 981468875 /nfs/dbraw/zinc/46/88/75/981468875.db2.gz UHZLRSCKUOQZGA-UHFFFAOYSA-N 0 3 242.257 2.533 20 0 BFADHN Nc1nc2ccc(-c3ccc(N)cc3F)cc2[nH]1 ZINC001240528278 981468880 /nfs/dbraw/zinc/46/88/80/981468880.db2.gz UHZLRSCKUOQZGA-UHFFFAOYSA-N 0 3 242.257 2.533 20 0 BFADHN Cc1cnccc1-c1cccc(CN(C)C)n1 ZINC001240608148 981500976 /nfs/dbraw/zinc/50/09/76/981500976.db2.gz VIQYMENLIWENPE-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN Cc1cc(CN(C)CCC(C)(C)C)[nH]n1 ZINC001349410107 981549986 /nfs/dbraw/zinc/54/99/86/981549986.db2.gz MLIYHTOMXDJYCG-UHFFFAOYSA-N 0 3 209.337 2.586 20 0 BFADHN Cc1cc(CN(C)CCC(C)(C)C)n[nH]1 ZINC001349410107 981549998 /nfs/dbraw/zinc/54/99/98/981549998.db2.gz MLIYHTOMXDJYCG-UHFFFAOYSA-N 0 3 209.337 2.586 20 0 BFADHN CC(C)CCC[C@H](C)n1cncc1CN ZINC000085197712 981673750 /nfs/dbraw/zinc/67/37/50/981673750.db2.gz YFMPIWJJLYDUNU-NSHDSACASA-N 0 3 209.337 2.729 20 0 BFADHN Cc1cc(-c2cnccc2/C=C/N(C)C)ccn1 ZINC001240720983 981752841 /nfs/dbraw/zinc/75/28/41/981752841.db2.gz GKAJFYQTMNQLED-RMKNXTFCSA-N 0 3 239.322 2.984 20 0 BFADHN [NH3+]Cc1ccccc1-c1ccc([O-])c(F)c1 ZINC001240786373 981828950 /nfs/dbraw/zinc/82/89/50/981828950.db2.gz JEWCMKQZXNGEDW-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN [O-]c1ccc(-c2ccc3c(c2)C[NH2+]C3)cc1F ZINC001240786958 981832828 /nfs/dbraw/zinc/83/28/28/981832828.db2.gz DKICZPXRRYNZLD-UHFFFAOYSA-N 0 3 229.254 2.802 20 0 BFADHN NCc1cccc(-c2cccc3cccnc32)n1 ZINC001240892572 981940990 /nfs/dbraw/zinc/94/09/90/981940990.db2.gz VBJDFIRYHNRIQR-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN Cc1ccc(F)cc1-c1ccc2c(n1)CNCC2 ZINC001240918665 981972333 /nfs/dbraw/zinc/97/23/33/981972333.db2.gz YVJCAHCOOMNWEO-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN Cc1ccc(-c2cccc(CN(C)C)n2)cc1N ZINC001240961094 982032737 /nfs/dbraw/zinc/03/27/37/982032737.db2.gz ICQMCXLFBNGKNN-UHFFFAOYSA-N 0 3 241.338 2.701 20 0 BFADHN Nc1ccncc1-c1c(F)ccc(F)c1F ZINC001241047411 982150855 /nfs/dbraw/zinc/15/08/55/982150855.db2.gz IHZMJGHENLHZFF-UHFFFAOYSA-N 0 3 224.185 2.578 20 0 BFADHN Cc1ccc(CN2CCN(C(C)C)[C@@H](C)C2)o1 ZINC001203300690 982171194 /nfs/dbraw/zinc/17/11/94/982171194.db2.gz QMXAZUVGRKYTHP-LBPRGKRZSA-N 0 3 236.359 2.503 20 0 BFADHN CCOc1cc(-c2ccc(CN)nc2)ccc1F ZINC001241068769 982187690 /nfs/dbraw/zinc/18/76/90/982187690.db2.gz KPVJALUMJITPRJ-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN CCOc1cncc(CNC/C=C/C2CC2)c1 ZINC001351141857 982219623 /nfs/dbraw/zinc/21/96/23/982219623.db2.gz SXBRQVBQMKREJZ-ONEGZZNKSA-N 0 3 232.327 2.536 20 0 BFADHN Cc1cncc(CN2CCCC3(CCC3)C2)n1 ZINC001203174639 982378949 /nfs/dbraw/zinc/37/89/49/982378949.db2.gz AVVNTVINGNZMPG-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN [O-]c1cc(F)cc(-c2[nH+]ccc3c2CCN3)c1 ZINC001241255750 982391165 /nfs/dbraw/zinc/39/11/65/982391165.db2.gz BWBWVIVEJCUCNN-UHFFFAOYSA-N 0 3 230.242 2.561 20 0 BFADHN CCOc1ccc(-c2ccc(CN)nc2)c(F)c1 ZINC001241275884 982406387 /nfs/dbraw/zinc/40/63/87/982406387.db2.gz HSFCILNAIPRMBR-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN CC1(C)[C@@H](N)c2ccccc2N1C(=O)CC1CC1 ZINC001351971009 982423880 /nfs/dbraw/zinc/42/38/80/982423880.db2.gz FOLAHZRJRSSOOM-AWEZNQCLSA-N 0 3 244.338 2.612 20 0 BFADHN C[C@H]([NH3+])c1ccc(-c2cc([O-])cc(F)c2)cn1 ZINC001241283517 982426570 /nfs/dbraw/zinc/42/65/70/982426570.db2.gz UVNFEGJVZBYZDO-QMMMGPOBSA-N 0 3 232.258 2.613 20 0 BFADHN [O-]c1ccc(F)c(-c2cccc3c2C[NH2+]C3)c1F ZINC001241292863 982431249 /nfs/dbraw/zinc/43/12/49/982431249.db2.gz KXTJXTOXLGFRGU-UHFFFAOYSA-N 0 3 247.244 2.941 20 0 BFADHN [NH3+]CCc1ccc(-c2c(F)ccc([O-])c2F)cc1 ZINC001241294365 982437926 /nfs/dbraw/zinc/43/79/26/982437926.db2.gz SCNVVNBFWWZKBS-UHFFFAOYSA-N 0 3 249.260 2.839 20 0 BFADHN CC(=O)c1ccc(F)c(-c2ccc(CN)nc2)c1 ZINC001241300170 982439505 /nfs/dbraw/zinc/43/95/05/982439505.db2.gz DEKSZQPBZCGKTR-UHFFFAOYSA-N 0 3 244.269 2.549 20 0 BFADHN [NH3+]CCc1cccc(-c2c(F)ccc([O-])c2F)c1 ZINC001241293948 982439894 /nfs/dbraw/zinc/43/98/94/982439894.db2.gz SGRANSHHZJOXJI-UHFFFAOYSA-N 0 3 249.260 2.839 20 0 BFADHN Cc1csc2ncnc(C3=CCN(C)CC3)c12 ZINC001241307434 982443600 /nfs/dbraw/zinc/44/36/00/982443600.db2.gz GIROKTZOJCESAO-UHFFFAOYSA-N 0 3 245.351 2.719 20 0 BFADHN CN1CC=C(c2ccc3c(n2)CCCC3)CC1 ZINC001241308668 982450668 /nfs/dbraw/zinc/45/06/68/982450668.db2.gz MVHCSPJKKFBUCY-UHFFFAOYSA-N 0 3 228.339 2.679 20 0 BFADHN Cc1ccc2nc(C3=CCN(C)CC3)ncc2c1 ZINC001241311146 982458313 /nfs/dbraw/zinc/45/83/13/982458313.db2.gz QSBXSGOPXLIMRA-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1nnc(C2=CCN(C)CC2)c2ccccc12 ZINC001241313155 982462201 /nfs/dbraw/zinc/46/22/01/982462201.db2.gz UULNSIOMVLZJIJ-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN COC(=O)c1cccc(C2=CCN(C)CC2)c1C ZINC001241317601 982471307 /nfs/dbraw/zinc/47/13/07/982471307.db2.gz QFRXSIXBQIHBAV-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN Cc1c2cc[nH]c2ncc1C1=CCN(C)CC1 ZINC001241319184 982477303 /nfs/dbraw/zinc/47/73/03/982477303.db2.gz DWUBIGLZDJYTBJ-UHFFFAOYSA-N 0 3 227.311 2.542 20 0 BFADHN COc1cc2[nH]ccc2c(C2=CCN(C)CC2)c1 ZINC001241327264 982486937 /nfs/dbraw/zinc/48/69/37/982486937.db2.gz KFRQBBLPQXGMBL-UHFFFAOYSA-N 0 3 242.322 2.895 20 0 BFADHN NCc1ccc(-c2cc(Cl)ccc2F)cn1 ZINC001241330898 982491185 /nfs/dbraw/zinc/49/11/85/982491185.db2.gz IANXGGUTRIMZNJ-UHFFFAOYSA-N 0 3 236.677 3.000 20 0 BFADHN Cc1ccc(-c2cccc(CN)n2)c(F)c1 ZINC001241351325 982506752 /nfs/dbraw/zinc/50/67/52/982506752.db2.gz JTAVJFHYBAOTKC-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN Cc1cnc(-c2cnc3cccnc3c2)cc1N ZINC001241382387 982542423 /nfs/dbraw/zinc/54/24/23/982542423.db2.gz ULHJVELIILGBOZ-UHFFFAOYSA-N 0 3 236.278 2.582 20 0 BFADHN NCc1cc(-c2cccc3ccsc32)ncn1 ZINC001241400139 982552690 /nfs/dbraw/zinc/55/26/90/982552690.db2.gz FWJOWYYYXZMJTF-UHFFFAOYSA-N 0 3 241.319 2.817 20 0 BFADHN NCc1cc(-c2ccc3ncccc3c2)ccn1 ZINC001241399705 982553548 /nfs/dbraw/zinc/55/35/48/982553548.db2.gz VEEIGWAGJZQUAM-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN COc1cc(-c2cc(N(C)C)ccn2)ccc1F ZINC001241416933 982565678 /nfs/dbraw/zinc/56/56/78/982565678.db2.gz SRKMXUWDSKAPHL-UHFFFAOYSA-N 0 3 246.285 2.962 20 0 BFADHN CCc1cccc(-c2ccc(CN)nc2)c1 ZINC001241547186 982755205 /nfs/dbraw/zinc/75/52/05/982755205.db2.gz UXVVZVWOUSVUGD-UHFFFAOYSA-N 0 3 212.296 2.770 20 0 BFADHN CCc1cccc(-c2ccnc(CN)c2)c1 ZINC001241546928 982756432 /nfs/dbraw/zinc/75/64/32/982756432.db2.gz LFMLLCGGNDHOOU-UHFFFAOYSA-N 0 3 212.296 2.770 20 0 BFADHN Cc1ccc2ncc(C3=CCCN(C)C3)nc2c1 ZINC001241565602 982804965 /nfs/dbraw/zinc/80/49/65/982804965.db2.gz KXCVDFHARRQNST-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1c[nH]c2nc(C3=CCCN(C)C3)ccc12 ZINC001241565667 982806831 /nfs/dbraw/zinc/80/68/31/982806831.db2.gz NMYWANFRJDHHDN-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CCCCOCCN[C@@H](C)c1ncccc1C ZINC001198640739 982812299 /nfs/dbraw/zinc/81/22/99/982812299.db2.gz GVRASBAHRRQMBB-ZDUSSCGKSA-N 0 3 236.359 2.857 20 0 BFADHN COc1ccc(C2=CCCN(C)C2)cc1C ZINC001241570026 982820516 /nfs/dbraw/zinc/82/05/16/982820516.db2.gz CVIQGLNXYGTBLM-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN COc1ccc(C)c(C2=CCCN(C)C2)c1 ZINC001241570453 982820623 /nfs/dbraw/zinc/82/06/23/982820623.db2.gz HXMOTVOIBQECHT-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN COc1cc(C)ccc1C1=CCCN(C)C1 ZINC001241571143 982822503 /nfs/dbraw/zinc/82/25/03/982822503.db2.gz UJUIQKIQBAAVGP-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN Fc1ccc(CN2C[C@H]3[C@H](CC3(F)F)C2)cc1 ZINC001203913401 982826929 /nfs/dbraw/zinc/82/69/29/982826929.db2.gz YVIMUXNBZFHRLD-PWSUYJOCSA-N 0 3 241.256 2.913 20 0 BFADHN Cc1ccc(CN2CCCC[C@@]23CCOC3)nc1 ZINC001198772106 982833011 /nfs/dbraw/zinc/83/30/11/982833011.db2.gz YPPUSBHCOPUMMR-HNNXBMFYSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1ccc(CN2CCCC[C@]23CCOC3)cn1 ZINC001198770659 982833600 /nfs/dbraw/zinc/83/36/00/982833600.db2.gz STLIERFTULKBPR-OAHLLOKOSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1cccc2c1CC[C@@H]2NCc1ccnn1C ZINC001200313987 982842794 /nfs/dbraw/zinc/84/27/94/982842794.db2.gz LGDJZSWFHZVNBM-HNNXBMFYSA-N 0 3 241.338 2.506 20 0 BFADHN Cc1cnc(-c2cccc3c[nH]nc32)cc1N ZINC001241621895 982858086 /nfs/dbraw/zinc/85/80/86/982858086.db2.gz BNPQFOPAWZGKSM-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Nc1nc2ccc(-c3cccc4c[nH]nc43)cc2[nH]1 ZINC001241623133 982859920 /nfs/dbraw/zinc/85/99/20/982859920.db2.gz BEKJKBQHVPLTNT-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN Nc1nc2cc(-c3cccc4c[nH]nc43)ccc2[nH]1 ZINC001241623133 982859921 /nfs/dbraw/zinc/85/99/21/982859921.db2.gz BEKJKBQHVPLTNT-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN NCc1cccc(-c2ccc3ccncc3c2)n1 ZINC001241672831 982916212 /nfs/dbraw/zinc/91/62/12/982916212.db2.gz RUAXKWOWKUNUGJ-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN c1cc(C2=CCCCC2)nc2c1CCNC2 ZINC001241714628 982974193 /nfs/dbraw/zinc/97/41/93/982974193.db2.gz BVYQGMNGCXHWMF-UHFFFAOYSA-N 0 3 214.312 2.685 20 0 BFADHN COc1cc(-c2ccnc(CN)c2)ccc1Cl ZINC001241735619 983025647 /nfs/dbraw/zinc/02/56/47/983025647.db2.gz BFMCLPIHFRPHJP-UHFFFAOYSA-N 0 3 248.713 2.869 20 0 BFADHN CCCOc1ccc(-c2cccc(CN)n2)cc1 ZINC001241739133 983028019 /nfs/dbraw/zinc/02/80/19/983028019.db2.gz YWVACKFZNMDFIF-UHFFFAOYSA-N 0 3 242.322 2.996 20 0 BFADHN Cc1cc(-c2cc(CN(C)C)ccn2)cc(C)n1 ZINC001241989371 983180039 /nfs/dbraw/zinc/18/00/39/983180039.db2.gz CLZTWFHWQQABDE-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN Cc1cc(C[C@@H](C)NC2=CCSCC2)on1 ZINC001201326046 983184774 /nfs/dbraw/zinc/18/47/74/983184774.db2.gz CAFSIXXSCQDJRX-SECBINFHSA-N 0 3 238.356 2.524 20 0 BFADHN C=Cc1ccccc1-c1ccc(CN)nc1 ZINC001242008670 983198597 /nfs/dbraw/zinc/19/85/97/983198597.db2.gz XRTMYNCEOLXFKS-UHFFFAOYSA-N 0 3 210.280 2.850 20 0 BFADHN c1c[nH]c(-c2ccc(C3=NCCC3)cc2)n1 ZINC001242014388 983211536 /nfs/dbraw/zinc/21/15/36/983211536.db2.gz KEXCITKDONWIAY-UHFFFAOYSA-N 0 3 211.268 2.660 20 0 BFADHN c1cnc([C@@H]2CCCN2C[C@H]2CC=CCC2)nc1 ZINC001201426579 983226334 /nfs/dbraw/zinc/22/63/34/983226334.db2.gz MRSPGTCJAHNCCF-KBPBESRZSA-N 0 3 243.354 2.970 20 0 BFADHN CC(C)=CCC[C@@H](C)CN1C[C@@H]2C[C@H]1CO2 ZINC001201490390 983258518 /nfs/dbraw/zinc/25/85/18/983258518.db2.gz JUCBUFHKHMXATJ-RDBSUJKOSA-N 0 3 223.360 2.842 20 0 BFADHN CC[C@H](C)CN1CCN(C)c2nc(C)ccc2C1 ZINC001201503378 983273774 /nfs/dbraw/zinc/27/37/74/983273774.db2.gz OZLMTIPOCMLZMV-LBPRGKRZSA-N 0 3 247.386 2.688 20 0 BFADHN CC(C)CN1CC2(CCN2Cc2ccccc2)C1 ZINC001201476466 983281841 /nfs/dbraw/zinc/28/18/41/983281841.db2.gz DCAXPJYGAFYZEV-UHFFFAOYSA-N 0 3 244.382 2.603 20 0 BFADHN CCC[C@@H](C)CN1CCN(C)c2ncccc2C1 ZINC001201545165 983301788 /nfs/dbraw/zinc/30/17/88/983301788.db2.gz WKFAQCYWRHHNIQ-CYBMUJFWSA-N 0 3 247.386 2.770 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2cnc(N)cc2C)n1 ZINC001242072141 983306888 /nfs/dbraw/zinc/30/68/88/983306888.db2.gz CVPCHIZZPIPWRU-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN CCCCN(CC(=O)OCC)C[C@H](C)CC ZINC001201517318 983315880 /nfs/dbraw/zinc/31/58/80/983315880.db2.gz QQJIEZLRMSWBDV-GFCCVEGCSA-N 0 3 229.364 2.698 20 0 BFADHN CCCC[C@H](CC)CN1CCc2c(cnn2C)C1 ZINC001201568889 983335524 /nfs/dbraw/zinc/33/55/24/983335524.db2.gz YHZUMAFYYPLWIL-ZDUSSCGKSA-N 0 3 249.402 2.995 20 0 BFADHN CCN1CC2(CC(OCc3ccccc3)C2)C1 ZINC001201586483 983346448 /nfs/dbraw/zinc/34/64/48/983346448.db2.gz AVWORHZCCITCCJ-UHFFFAOYSA-N 0 3 231.339 2.688 20 0 BFADHN Cc1cc(-c2cc(CN(C)C)ccn2)ccc1O ZINC001242107192 983353695 /nfs/dbraw/zinc/35/36/95/983353695.db2.gz COXOGYBLXMQQGQ-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN c1ccc(CCN2CCc3cnccc3C2)cc1 ZINC001201594526 983355303 /nfs/dbraw/zinc/35/53/03/983355303.db2.gz FJFHJXUIZXLXKZ-UHFFFAOYSA-N 0 3 238.334 2.682 20 0 BFADHN CN(C)c1ccccc1-c1nccc2c1CCN2 ZINC001242173225 983390049 /nfs/dbraw/zinc/39/00/49/983390049.db2.gz FFKARWWSHZDYOD-UHFFFAOYSA-N 0 3 239.322 2.783 20 0 BFADHN CSCCCN1CCC(=O)[C@@H]2CCCC[C@H]21 ZINC001201682321 983394785 /nfs/dbraw/zinc/39/47/85/983394785.db2.gz VKEQIBUXWQHKNY-VXGBXAGGSA-N 0 3 241.400 2.573 20 0 BFADHN CCCCN1CCCC(F)(F)[C@@H](F)C1 ZINC001201704205 983416897 /nfs/dbraw/zinc/41/68/97/983416897.db2.gz LXGYIWQPEXNQFZ-VIFPVBQESA-N 0 3 209.255 2.856 20 0 BFADHN CC(C)Oc1ccccc1-c1ccnc(CN)c1 ZINC001242222776 983430009 /nfs/dbraw/zinc/43/00/09/983430009.db2.gz PLRYRUCDUGNDJA-UHFFFAOYSA-N 0 3 242.322 2.995 20 0 BFADHN C[C@@H]1CN([C@@H]2CC[C@H](C)[C@@H](C)C2)CCC1=O ZINC000082846521 983455300 /nfs/dbraw/zinc/45/53/00/983455300.db2.gz LNIAGDLHMPBIBJ-WUHRBBMRSA-N 0 3 223.360 2.722 20 0 BFADHN CCCCCCN1CCN(C)c2ncccc2C1 ZINC001201751475 983455879 /nfs/dbraw/zinc/45/58/79/983455879.db2.gz VQGSVJNAKOFOQB-UHFFFAOYSA-N 0 3 247.386 2.914 20 0 BFADHN CCc1nnc([C@H](C)[NH2+]CC2CCCCC2)[n-]1 ZINC001201803598 983475354 /nfs/dbraw/zinc/47/53/54/983475354.db2.gz XNYNJLLFCCPHCA-JTQLQIEISA-N 0 3 236.363 2.598 20 0 BFADHN CC(C)=CCC[C@@H](C)CCN1C[C@@H](O)[C@@H](F)C1 ZINC001201977749 983512116 /nfs/dbraw/zinc/51/21/16/983512116.db2.gz XYRUDJSZNPPPTG-HZSPNIEDSA-N 0 3 243.366 2.774 20 0 BFADHN CC(C)(C)CCN1CC2(C1)CCCCO2 ZINC001202065548 983547624 /nfs/dbraw/zinc/54/76/24/983547624.db2.gz SLOCVYMZAYCDCP-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN COc1cc2c(cn1)CCN(CCC(C)(C)C)C2 ZINC001202114603 983568029 /nfs/dbraw/zinc/56/80/29/983568029.db2.gz AWSJONOPNTUHAX-UHFFFAOYSA-N 0 3 248.370 2.885 20 0 BFADHN Cc1cccc(-c2cccc(CN(C)C)n2)c1O ZINC001242344835 983598958 /nfs/dbraw/zinc/59/89/58/983598958.db2.gz FTEGOXJCZJFOJT-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN C[C@H]([NH3+])c1ccc(-c2cccc(F)c2[O-])cn1 ZINC001242354233 983634096 /nfs/dbraw/zinc/63/40/96/983634096.db2.gz UVPIFYHHXZXTHR-QMMMGPOBSA-N 0 3 232.258 2.613 20 0 BFADHN FC(F)(F)CCCN1CCc2cnccc2C1 ZINC001202367910 983642316 /nfs/dbraw/zinc/64/23/16/983642316.db2.gz GRBDSWOTIBYBKO-UHFFFAOYSA-N 0 3 244.260 2.782 20 0 BFADHN Nc1ccnc(-c2ccc(OC(F)F)cc2)c1 ZINC001242438727 983746350 /nfs/dbraw/zinc/74/63/50/983746350.db2.gz ONRWOFXJTPVXRH-UHFFFAOYSA-N 0 3 236.221 2.932 20 0 BFADHN CC(C)n1ccc(-c2ccc3nc(N)[nH]c3c2)n1 ZINC001242454540 983754530 /nfs/dbraw/zinc/75/45/30/983754530.db2.gz JOAQSNVQHKAOPJ-UHFFFAOYSA-N 0 3 241.298 2.590 20 0 BFADHN CC(C)n1ccc(-c2ccc3[nH]c(N)nc3c2)n1 ZINC001242454540 983754535 /nfs/dbraw/zinc/75/45/35/983754535.db2.gz JOAQSNVQHKAOPJ-UHFFFAOYSA-N 0 3 241.298 2.590 20 0 BFADHN C[C@H](NCC1(C)CC1)c1cn2c(n1)CCCC2 ZINC000925488549 983778077 /nfs/dbraw/zinc/77/80/77/983778077.db2.gz KOIZMMNUZNEAPT-NSHDSACASA-N 0 3 233.359 2.670 20 0 BFADHN C[C@@H]1CCN1Cc1c[nH]c2c1cccc2F ZINC001137051555 983795806 /nfs/dbraw/zinc/79/58/06/983795806.db2.gz VPHQCCXZYBXXGE-SECBINFHSA-N 0 3 218.275 2.901 20 0 BFADHN CCc1ncccc1-c1nccc(N)c1C ZINC001242521678 983841978 /nfs/dbraw/zinc/84/19/78/983841978.db2.gz WLTWNUPZMDMLPZ-UHFFFAOYSA-N 0 3 213.284 2.597 20 0 BFADHN OCc1ccc(-c2cncc3cc[nH]c32)cc1F ZINC001242534351 983857171 /nfs/dbraw/zinc/85/71/71/983857171.db2.gz XFUXRPWNCJBYHP-UHFFFAOYSA-N 0 3 242.253 2.861 20 0 BFADHN CCC[C@H](O)CNCc1ccc(F)c(C)c1F ZINC001252804570 983896731 /nfs/dbraw/zinc/89/67/31/983896731.db2.gz RFFMGYHICCRZJH-NSHDSACASA-N 0 3 243.297 2.524 20 0 BFADHN CCCn1cc(CNC/C=C/C2CC2)c(C)n1 ZINC001474155317 983898025 /nfs/dbraw/zinc/89/80/25/983898025.db2.gz HFPDIGLRXHCQCR-SNAWJCMRSA-N 0 3 233.359 2.657 20 0 BFADHN CC(C)n1cc(CN2CCCC23CC3)cn1 ZINC001137072838 983905369 /nfs/dbraw/zinc/90/53/69/983905369.db2.gz JMZUNHCAJASZLW-UHFFFAOYSA-N 0 3 219.332 2.592 20 0 BFADHN Cc1nc(-c2c[nH]c(=O)c(F)c2)cc2[nH]ccc21 ZINC001242614152 983984237 /nfs/dbraw/zinc/98/42/37/983984237.db2.gz QTMMDFUUHHIMTN-UHFFFAOYSA-N 0 3 243.241 2.778 20 0 BFADHN Cc1csc(CN2C[C@H](C)N(C)C[C@H]2C)c1 ZINC001137152784 983985567 /nfs/dbraw/zinc/98/55/67/983985567.db2.gz BYXYUIMETRKPIW-NWDGAFQWSA-N 0 3 238.400 2.581 20 0 BFADHN CCCNC(=O)NCCP(C(C)C)C(C)C ZINC001202603429 984110473 /nfs/dbraw/zinc/11/04/73/984110473.db2.gz NCAGIIAYIBAWEY-UHFFFAOYSA-N 0 3 246.335 2.994 20 0 BFADHN F[C@H]1CN(CC2CCC2)CCCC1(F)F ZINC001202705547 984159222 /nfs/dbraw/zinc/15/92/22/984159222.db2.gz HQIHNOSHFQBMHL-JTQLQIEISA-N 0 3 221.266 2.856 20 0 BFADHN NCc1ccc(-c2ccccc2OC2CC2)cn1 ZINC001242792481 984162977 /nfs/dbraw/zinc/16/29/77/984162977.db2.gz ITERTVARGYVNRE-UHFFFAOYSA-N 0 3 240.306 2.749 20 0 BFADHN Clc1ccncc1CN1C[C@H]2CCC[C@@H]2C1 ZINC001137231288 984198448 /nfs/dbraw/zinc/19/84/48/984198448.db2.gz JJBVRKLRCYBBQA-GHMZBOCLSA-N 0 3 236.746 2.967 20 0 BFADHN CCCCC[C@H](O)CN[C@@H]1COc2ccccc21 ZINC001253554239 984206296 /nfs/dbraw/zinc/20/62/96/984206296.db2.gz PHZPIKGQQIFYLE-GXTWGEPZSA-N 0 3 249.354 2.651 20 0 BFADHN CCOc1ccc(F)c(-c2ccc(CN)nc2)c1 ZINC001242936788 984306163 /nfs/dbraw/zinc/30/61/63/984306163.db2.gz ZAQGSACUBPCCMF-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN CN(C)Cc1cccc(-c2cnccc2Cl)n1 ZINC001243044720 984382817 /nfs/dbraw/zinc/38/28/17/984382817.db2.gz XIAUNWZMCLOSME-UHFFFAOYSA-N 0 3 247.729 2.859 20 0 BFADHN CN(C)Cc1cncc(-c2cc(N)ccc2F)c1 ZINC001243285085 984812047 /nfs/dbraw/zinc/81/20/47/984812047.db2.gz BIAXLEOFWCBZHD-UHFFFAOYSA-N 0 3 245.301 2.532 20 0 BFADHN Cc1cc(CN2CC3(CC=CC3)[C@H]2C(C)C)[nH]n1 ZINC001327120679 984856790 /nfs/dbraw/zinc/85/67/90/984856790.db2.gz JKDXXNFCYNGWJH-CQSZACIVSA-N 0 3 245.370 2.895 20 0 BFADHN Cc1cc(CN2CC3(CC=CC3)[C@H]2C(C)C)n[nH]1 ZINC001327120679 984856793 /nfs/dbraw/zinc/85/67/93/984856793.db2.gz JKDXXNFCYNGWJH-CQSZACIVSA-N 0 3 245.370 2.895 20 0 BFADHN Cc1ncc(-c2ccc3nc(N)[nH]c3c2)s1 ZINC001243380293 984862814 /nfs/dbraw/zinc/86/28/14/984862814.db2.gz MYSUJEKTGVVWMW-UHFFFAOYSA-N 0 3 230.296 2.577 20 0 BFADHN Cc1ncc(-c2ccc3[nH]c(N)nc3c2)s1 ZINC001243380293 984862816 /nfs/dbraw/zinc/86/28/16/984862816.db2.gz MYSUJEKTGVVWMW-UHFFFAOYSA-N 0 3 230.296 2.577 20 0 BFADHN Cc1ncc(-c2cncc(CN(C)C)c2)s1 ZINC001243382023 984866775 /nfs/dbraw/zinc/86/67/75/984866775.db2.gz WBIFYXQHTLPTRN-UHFFFAOYSA-N 0 3 233.340 2.575 20 0 BFADHN CCC(CC)NC1(c2ccc(C)cc2)COC1 ZINC001257289236 984868984 /nfs/dbraw/zinc/86/89/84/984868984.db2.gz USPMCCIIHCASEN-UHFFFAOYSA-N 0 3 233.355 2.999 20 0 BFADHN CCC(CC)N1CCc2nc(Cl)ncc2C1 ZINC001257300178 984873584 /nfs/dbraw/zinc/87/35/84/984873584.db2.gz SKKACVPQFXCBHF-UHFFFAOYSA-N 0 3 239.750 2.677 20 0 BFADHN CCC[C@@H](C)NCc1ncc(F)cc1F ZINC001257308384 984881625 /nfs/dbraw/zinc/88/16/25/984881625.db2.gz QBDKVBCWTOVBMG-MRVPVSSYSA-N 0 3 214.259 2.638 20 0 BFADHN CCC[C@H](C)NCc1ncc(F)cc1F ZINC001257308385 984881755 /nfs/dbraw/zinc/88/17/55/984881755.db2.gz QBDKVBCWTOVBMG-QMMMGPOBSA-N 0 3 214.259 2.638 20 0 BFADHN CCC[C@@H](C)NCC(=O)c1cc(F)cc(F)c1 ZINC001257314260 984889032 /nfs/dbraw/zinc/88/90/32/984889032.db2.gz KQINJWOMNCEGKJ-SECBINFHSA-N 0 3 241.281 2.926 20 0 BFADHN C[C@@]1(F)CCN(C2CCCC2)C[C@@H]1F ZINC001257350206 984917394 /nfs/dbraw/zinc/91/73/94/984917394.db2.gz HDGJXIFRZCFZLQ-WDEREUQCSA-N 0 3 203.276 2.701 20 0 BFADHN Cc1nn(C)c(CNCC2CC(C)C2)c1Cl ZINC000691930267 984960088 /nfs/dbraw/zinc/96/00/88/984960088.db2.gz WXVPDEOLWDPYSA-UHFFFAOYSA-N 0 3 241.766 2.518 20 0 BFADHN c1coc(C2CCN(CCOC3CC3)CC2)c1 ZINC000930925208 984970751 /nfs/dbraw/zinc/97/07/51/984970751.db2.gz SUMXVCGAFZPECF-UHFFFAOYSA-N 0 3 235.327 2.638 20 0 BFADHN CCCC[C@H](CC)N1CCC(=O)[C@@H](C)C1 ZINC001257418573 984979107 /nfs/dbraw/zinc/97/91/07/984979107.db2.gz NHYVQBCHISVIPF-RYUDHWBXSA-N 0 3 211.349 2.866 20 0 BFADHN CCCC[C@@H](CC)N1CCC(=O)[C@H](C)C1 ZINC001257418575 984979776 /nfs/dbraw/zinc/97/97/76/984979776.db2.gz NHYVQBCHISVIPF-VXGBXAGGSA-N 0 3 211.349 2.866 20 0 BFADHN CCCC[C@@H](CC)N1CCC(=O)[C@@H](C)C1 ZINC001257418572 984979864 /nfs/dbraw/zinc/97/98/64/984979864.db2.gz NHYVQBCHISVIPF-NWDGAFQWSA-N 0 3 211.349 2.866 20 0 BFADHN CC[C@H](C)NCc1c(F)cc(OC)cc1F ZINC001257430399 984998642 /nfs/dbraw/zinc/99/86/42/984998642.db2.gz NQWRMPOKZKDXKT-QMMMGPOBSA-N 0 3 229.270 2.862 20 0 BFADHN CC[C@@H](C)NCc1c(F)ccc(OC)c1F ZINC001257430572 985001698 /nfs/dbraw/zinc/00/16/98/985001698.db2.gz XSGQNFSPEJNOTE-MRVPVSSYSA-N 0 3 229.270 2.862 20 0 BFADHN CC[C@@H](C)N1CCC[C@H]1c1cc(C)on1 ZINC001257437044 985009798 /nfs/dbraw/zinc/00/97/98/985009798.db2.gz ANXSCBJCQDDJOG-SKDRFNHKSA-N 0 3 208.305 2.918 20 0 BFADHN CC[C@@H](C)N1CCc2cc3c(cc2C1)OCO3 ZINC001257437135 985011495 /nfs/dbraw/zinc/01/14/95/985011495.db2.gz CRTVCGZUESOYKZ-SNVBAGLBSA-N 0 3 233.311 2.572 20 0 BFADHN CC[C@@H](C)N1CCC[C@H]1C(=O)Nc1ccccc1 ZINC001257447144 985041894 /nfs/dbraw/zinc/04/18/94/985041894.db2.gz AAINPSKMCWRBHB-OCCSQVGLSA-N 0 3 246.354 2.888 20 0 BFADHN Nc1ccc(Cl)cc1-c1nccc2c1CCN2 ZINC001243481650 985042130 /nfs/dbraw/zinc/04/21/30/985042130.db2.gz BZWRZJVXZCNAPD-UHFFFAOYSA-N 0 3 245.713 2.952 20 0 BFADHN CC[C@H](C)N1CCC[C@H]1C(=O)Nc1ccccc1 ZINC001257447138 985045088 /nfs/dbraw/zinc/04/50/88/985045088.db2.gz AAINPSKMCWRBHB-JSGCOSHPSA-N 0 3 246.354 2.888 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)n1nncc1CN ZINC001257469790 985090507 /nfs/dbraw/zinc/09/05/07/985090507.db2.gz ZQPHQBFGPFFXHG-GHMZBOCLSA-N 0 3 224.352 2.514 20 0 BFADHN CCCCC[C@@H](C)N1CCC[C@H]1C(=O)OC ZINC001257501385 985124920 /nfs/dbraw/zinc/12/49/20/985124920.db2.gz AIZXUVHALWWRBF-NEPJUHHUSA-N 0 3 227.348 2.593 20 0 BFADHN CCCCC[C@@H](C)N1C[C@H](F)[C@@H](OC)C1 ZINC001257507966 985137909 /nfs/dbraw/zinc/13/79/09/985137909.db2.gz AUMPUOPXQNGLFB-WOPDTQHZSA-N 0 3 217.328 2.624 20 0 BFADHN Cc1c(N)ccnc1-c1cccc(CO)c1Cl ZINC001243705389 985238687 /nfs/dbraw/zinc/23/86/87/985238687.db2.gz KCGOTJWRFAUQDF-UHFFFAOYSA-N 0 3 248.713 2.785 20 0 BFADHN OCCC1(NC/C(Cl)=C\Cl)CCCC1 ZINC000687320710 985287322 /nfs/dbraw/zinc/28/73/22/985287322.db2.gz NSXSFIHVRHSYEH-VQHVLOKHSA-N 0 3 238.158 2.590 20 0 BFADHN Cc1c(F)nccc1-c1nccc2c1CCN2 ZINC001243776970 985313445 /nfs/dbraw/zinc/31/34/45/985313445.db2.gz QVXYPRBQZXNYNI-UHFFFAOYSA-N 0 3 229.258 2.559 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)N1C[C@H]2C[C@@H](C1)O2 ZINC001258074376 985376503 /nfs/dbraw/zinc/37/65/03/985376503.db2.gz JUHWTOIMKDTHHS-XDQVBPFNSA-N 0 3 225.376 2.920 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CCOCC12CC2 ZINC001258096848 985393404 /nfs/dbraw/zinc/39/34/04/985393404.db2.gz VSYKLZHVNFOBMN-CYBMUJFWSA-N 0 3 223.360 2.986 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2COC[C@@H]2C)c(C)o1 ZINC000688006539 985481971 /nfs/dbraw/zinc/48/19/71/985481971.db2.gz OQQFMWIJDDMGLA-GMOODISLSA-N 0 3 223.316 2.582 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1csnc1OC ZINC001459643407 985569788 /nfs/dbraw/zinc/56/97/88/985569788.db2.gz JZWWDIAQJKFDQQ-ONGXEEELSA-N 0 3 240.372 2.772 20 0 BFADHN C[C@@H](Cc1ccco1)N1CC[C@@H](C)[C@H](F)C1 ZINC001258186418 985582338 /nfs/dbraw/zinc/58/23/38/985582338.db2.gz NEOPQOPHXRQELA-NTZNESFSSA-N 0 3 225.307 2.891 20 0 BFADHN C[C@@H](Cc1ccco1)N1CCc2cccnc2C1 ZINC001258195509 985589064 /nfs/dbraw/zinc/58/90/64/985589064.db2.gz RLMQOTQQTKMJTJ-LBPRGKRZSA-N 0 3 242.322 2.664 20 0 BFADHN Cc1cc(F)cc(C)c1-c1cccc(CN)n1 ZINC001243905661 985591405 /nfs/dbraw/zinc/59/14/05/985591405.db2.gz AKASNXFHGLMVHC-UHFFFAOYSA-N 0 3 230.286 2.963 20 0 BFADHN C[C@H](N[C@H]1COC2(CCC2)C1)c1cncs1 ZINC000688267675 985593364 /nfs/dbraw/zinc/59/33/64/985593364.db2.gz OUZQOKRRNGYIHZ-VHSXEESVSA-N 0 3 238.356 2.505 20 0 BFADHN Cc1cc(F)cc(C)c1-c1ccc(CN)nc1 ZINC001243918361 985596142 /nfs/dbraw/zinc/59/61/42/985596142.db2.gz YYHHZGSCRDOWMB-UHFFFAOYSA-N 0 3 230.286 2.963 20 0 BFADHN CCc1ccc(CN[C@H]2COC3(CCC3)C2)o1 ZINC000688267344 985597569 /nfs/dbraw/zinc/59/75/69/985597569.db2.gz LJASACVPJORMSJ-LLVKDONJSA-N 0 3 235.327 2.643 20 0 BFADHN FC(F)c1cc(C2=CNCCC2)ccn1 ZINC001243950078 985640749 /nfs/dbraw/zinc/64/07/49/985640749.db2.gz MMPQPUDKDPVDAK-UHFFFAOYSA-N 0 3 210.227 2.744 20 0 BFADHN CCOC(=O)c1cccc(C2=CNCCC2)c1 ZINC001243950196 985641145 /nfs/dbraw/zinc/64/11/45/985641145.db2.gz OSDBVJYMCJPXCE-UHFFFAOYSA-N 0 3 231.295 2.588 20 0 BFADHN COc1ccc2ncc(C3=CNCCC3)cc2c1 ZINC001243950672 985647112 /nfs/dbraw/zinc/64/71/12/985647112.db2.gz LAPSXFUBGZQBII-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN CCOc1cc(C)c(C2=CNCCC2)cn1 ZINC001243950765 985648532 /nfs/dbraw/zinc/64/85/32/985648532.db2.gz MOJBDFXCTDVYDI-UHFFFAOYSA-N 0 3 218.300 2.513 20 0 BFADHN Cc1c(C2=CNCCC2)cncc1C1CC1 ZINC001243952859 985662413 /nfs/dbraw/zinc/66/24/13/985662413.db2.gz WRKVOGTWRKWZCX-UHFFFAOYSA-N 0 3 214.312 2.992 20 0 BFADHN CCn1nc(C)c(CN2CCC[C@H]3C[C@H]32)c1C ZINC001138645375 985662624 /nfs/dbraw/zinc/66/26/24/985662624.db2.gz HQXAAQLNNWBFEM-GXTWGEPZSA-N 0 3 233.359 2.504 20 0 BFADHN CN(C)c1ccc(F)cc1C1=CNCCC1 ZINC001243954794 985667728 /nfs/dbraw/zinc/66/77/28/985667728.db2.gz YSIIDWAYLDBQHR-UHFFFAOYSA-N 0 3 220.291 2.616 20 0 BFADHN CCOC(=O)c1cccc(C2=CNCCC2)c1C ZINC001243953199 985668130 /nfs/dbraw/zinc/66/81/30/985668130.db2.gz YILOZDDBBJBAHB-UHFFFAOYSA-N 0 3 245.322 2.896 20 0 BFADHN Cc1nc2ccc(-c3ccc(CN)nc3)cc2o1 ZINC001243959032 985673028 /nfs/dbraw/zinc/67/30/28/985673028.db2.gz DUZAVLPOAJXJRF-UHFFFAOYSA-N 0 3 239.278 2.657 20 0 BFADHN COc1ccc(-c2ccnc(CN)c2)c(C)c1C ZINC001243997433 985731419 /nfs/dbraw/zinc/73/14/19/985731419.db2.gz CXYQZRSGGOVOJG-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN CSC(C)(C)C[C@H](C)N1CCO[C@@H](C)C1 ZINC001258336370 985813263 /nfs/dbraw/zinc/81/32/63/985813263.db2.gz YIPOPHWQKJHGTJ-QWRGUYRKSA-N 0 3 231.405 2.627 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CC(C)(C)C[C@H]1C ZINC001460253396 985817481 /nfs/dbraw/zinc/81/74/81/985817481.db2.gz XKCLNIZONZXQTR-NEPJUHHUSA-N 0 3 241.375 2.839 20 0 BFADHN Cc1ccc(CN2C[C@H]3CCCC[C@H]32)cn1 ZINC001460350728 985836751 /nfs/dbraw/zinc/83/67/51/985836751.db2.gz ZEIBPSCATWVCGW-ZIAGYGMSSA-N 0 3 216.328 2.764 20 0 BFADHN COC(=O)CC[C@H](C)N1CCCC[C@@](C)(F)C1 ZINC001258366610 985849893 /nfs/dbraw/zinc/84/98/93/985849893.db2.gz SWAUETBBRQZYEC-WCQYABFASA-N 0 3 245.338 2.542 20 0 BFADHN CCCCC[C@@H](CC)N1CCC[C@H]1C(=O)OC ZINC001258430769 985868636 /nfs/dbraw/zinc/86/86/36/985868636.db2.gz BOTNJSBJKCKSJS-OLZOCXBDSA-N 0 3 241.375 2.983 20 0 BFADHN CCOC(=O)[C@@H]1CCCCN1[C@@H](C)CC1CC1 ZINC001258468121 985922590 /nfs/dbraw/zinc/92/25/90/985922590.db2.gz STFVAFJHRFFIDE-AAEUAGOBSA-N 0 3 239.359 2.593 20 0 BFADHN C[C@H]1C[C@@H](n2ncc3c2CCNC3)CC(C)(C)C1 ZINC001258692324 986013877 /nfs/dbraw/zinc/01/38/77/986013877.db2.gz AKYNBDROOAXUBG-WCQYABFASA-N 0 3 247.386 2.916 20 0 BFADHN Cc1ccc(-c2cc(N)c(C)cn2)c(N)c1 ZINC001244392429 986028128 /nfs/dbraw/zinc/02/81/28/986028128.db2.gz ZCTZNAZBQFEUSV-UHFFFAOYSA-N 0 3 213.284 2.530 20 0 BFADHN Cc1ccc(O)c(-c2cc(CN(C)C)ccn2)c1 ZINC001244403107 986071628 /nfs/dbraw/zinc/07/16/28/986071628.db2.gz ODABCLONCUXAIO-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN CCc1ccc(C)nc1NCc1cc(C)[nH]n1 ZINC001327888510 986079501 /nfs/dbraw/zinc/07/95/01/986079501.db2.gz KEZQPXUWHVSGHT-UHFFFAOYSA-N 0 3 230.315 2.596 20 0 BFADHN CCn1ccc(CNC[C@@H](C)CC(F)(F)F)n1 ZINC001461585253 986084288 /nfs/dbraw/zinc/08/42/88/986084288.db2.gz SEAPOYUAKSEBKB-VIFPVBQESA-N 0 3 249.280 2.581 20 0 BFADHN NCc1cc(-c2ccc(Cl)cc2O)ccn1 ZINC001244471779 986161750 /nfs/dbraw/zinc/16/17/50/986161750.db2.gz IGUVLHOBGRKFCY-UHFFFAOYSA-N 0 3 234.686 2.566 20 0 BFADHN COc1c(C)ccc(F)c1-c1cnccc1N ZINC001244526548 986233788 /nfs/dbraw/zinc/23/37/88/986233788.db2.gz KAORHWBSPOOEPU-UHFFFAOYSA-N 0 3 232.258 2.617 20 0 BFADHN Cc1cc(-c2ccnc(C[NH3+])c2)cc(Cl)c1[O-] ZINC001244546659 986240276 /nfs/dbraw/zinc/24/02/76/986240276.db2.gz GHCMXXCLLFEGRS-UHFFFAOYSA-N 0 3 248.713 2.875 20 0 BFADHN Cc1cnc(-c2cccc(Cl)c2CO)cc1N ZINC001244574692 986258650 /nfs/dbraw/zinc/25/86/50/986258650.db2.gz WDWUHPQXJVNDBH-UHFFFAOYSA-N 0 3 248.713 2.785 20 0 BFADHN CCOc1ncc(-c2nccc(N)c2C)cc1C ZINC001244682270 986306403 /nfs/dbraw/zinc/30/64/03/986306403.db2.gz NECRQEJRPVGWMC-UHFFFAOYSA-N 0 3 243.310 2.741 20 0 BFADHN CCC1CN(Cc2ccc3c(c2)C[C@H](C)O3)C1 ZINC001462308265 986340159 /nfs/dbraw/zinc/34/01/59/986340159.db2.gz DPRMABJKIYDLTC-NSHDSACASA-N 0 3 231.339 2.852 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cn(C2CC2)cn1 ZINC001462352543 986360466 /nfs/dbraw/zinc/36/04/66/986360466.db2.gz AOLUTYLCHPUXDF-GRYCIOLGSA-N 0 3 233.359 2.694 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1ncccc1F ZINC001462356618 986364378 /nfs/dbraw/zinc/36/43/78/986364378.db2.gz QJKLHNRLYASSKN-OUAUKWLOSA-N 0 3 222.307 2.697 20 0 BFADHN CSc1ncc(C)cc1-c1ccc(CN)nc1 ZINC001244881921 986414170 /nfs/dbraw/zinc/41/41/70/986414170.db2.gz ZYTUROLRGHJOSW-UHFFFAOYSA-N 0 3 245.351 2.633 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cccc2nsnc21 ZINC001461993337 986427429 /nfs/dbraw/zinc/42/74/29/986427429.db2.gz GXQWWODQKXJSHG-LBPRGKRZSA-N 0 3 247.367 2.922 20 0 BFADHN CN(Cc1cn2cccc(F)c2n1)CC1CCC1 ZINC001462636237 986465572 /nfs/dbraw/zinc/46/55/72/986465572.db2.gz NTVNJDDOEZGOJQ-UHFFFAOYSA-N 0 3 247.317 2.705 20 0 BFADHN Cc1cccnc1[C@H](C)N[C@H](C)Cc1ccno1 ZINC001462653721 986489121 /nfs/dbraw/zinc/48/91/21/986489121.db2.gz JXMNZTCYUWTOML-NEPJUHHUSA-N 0 3 245.326 2.660 20 0 BFADHN COc1cc(C)c(C)cc1-c1cccc(CN)n1 ZINC001245016756 986595696 /nfs/dbraw/zinc/59/56/96/986595696.db2.gz KDWKTLWARCHJOJ-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN C[C@@H](NC/C=C/C1CC1)c1cncs1 ZINC000378854974 986621324 /nfs/dbraw/zinc/62/13/24/986621324.db2.gz JJOBWPAOWPZCTP-GKQMSVHHSA-N 0 3 208.330 2.760 20 0 BFADHN NCc1ccc(-c2cc(F)ccc2Cl)cn1 ZINC001245131640 986672698 /nfs/dbraw/zinc/67/26/98/986672698.db2.gz DEKVMDHBJBWNBD-UHFFFAOYSA-N 0 3 236.677 3.000 20 0 BFADHN Cc1c(CN2CCC(C)(C)C2)nc2ccccn12 ZINC001137272113 986705024 /nfs/dbraw/zinc/70/50/24/986705024.db2.gz IAONRXLDUQVQHW-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CC(=O)[C@H]1CCN(Cc2cc3ccccc3[nH]2)C1 ZINC001137320745 986762317 /nfs/dbraw/zinc/76/23/17/986762317.db2.gz IMSYEYGOIMTAMX-ZDUSSCGKSA-N 0 3 242.322 2.579 20 0 BFADHN Cc1noc2ccc(-c3cccc(CN)n3)cc12 ZINC001245278967 986777206 /nfs/dbraw/zinc/77/72/06/986777206.db2.gz YYPVECYWOWBYOF-UHFFFAOYSA-N 0 3 239.278 2.657 20 0 BFADHN Cc1noc2ccc(-c3cc(N)ccn3)cc12 ZINC001245279129 986778763 /nfs/dbraw/zinc/77/87/63/986778763.db2.gz OLAZJEDWONZSQO-UHFFFAOYSA-N 0 3 225.251 2.780 20 0 BFADHN CCO[C@H]1CCCN(Cc2[nH]c(C)cc2C)C1 ZINC001137327906 986780128 /nfs/dbraw/zinc/78/01/28/986780128.db2.gz KTZSQSKBLJGRLC-ZDUSSCGKSA-N 0 3 236.359 2.632 20 0 BFADHN Cc1noc2ccc(-c3ccc(CN)nc3)cc12 ZINC001245283508 986783651 /nfs/dbraw/zinc/78/36/51/986783651.db2.gz VPUQYJHFNWUXQW-UHFFFAOYSA-N 0 3 239.278 2.657 20 0 BFADHN CC1CN(Cc2c[nH]c3ccc(F)cc23)C1 ZINC001137333658 986794861 /nfs/dbraw/zinc/79/48/61/986794861.db2.gz OIDHINUMEMAXLW-UHFFFAOYSA-N 0 3 218.275 2.759 20 0 BFADHN Cc1cc(C)c(CN2CCC[C@@H]3C[C@@H]32)[nH]1 ZINC001137332541 986796735 /nfs/dbraw/zinc/79/67/35/986796735.db2.gz YBZVAFJPNOLCHF-YPMHNXCESA-N 0 3 204.317 2.616 20 0 BFADHN F[C@@H]1CCCCN(Cc2c[nH]c3ncccc23)C1 ZINC001137397538 986876225 /nfs/dbraw/zinc/87/62/25/986876225.db2.gz LYFCJDKWIJPYAX-GFCCVEGCSA-N 0 3 247.317 2.887 20 0 BFADHN c1coc(CN(C2CC2)[C@H]2CCSC2)c1 ZINC001255294031 986895432 /nfs/dbraw/zinc/89/54/32/986895432.db2.gz LSUPJGASNQESKW-NSHDSACASA-N 0 3 223.341 2.750 20 0 BFADHN CC1(C)CCC(Nc2ccc(CN)nc2)CC1 ZINC001255300837 986898971 /nfs/dbraw/zinc/89/89/71/986898971.db2.gz DTNRENBJIDVUMR-UHFFFAOYSA-N 0 3 233.359 2.921 20 0 BFADHN CCCC[C@H](C)N1[C@@H](C(=O)OC)[C@@H]2CC[C@H]1C2 ZINC001255332514 986930828 /nfs/dbraw/zinc/93/08/28/986930828.db2.gz APTOIUJVMOOTBH-QNWHQSFQSA-N 0 3 239.359 2.591 20 0 BFADHN Nc1ccncc1-c1cc2ccc(F)cc2[nH]1 ZINC001245587864 986980226 /nfs/dbraw/zinc/98/02/26/986980226.db2.gz GDNVRCZNDWFXFA-UHFFFAOYSA-N 0 3 227.242 2.782 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1cccc(F)c1OC ZINC001255566971 987017856 /nfs/dbraw/zinc/01/78/56/987017856.db2.gz QSRRNAQKLLQPAJ-UWVGGRQHSA-N 0 3 241.306 2.520 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1cccc(F)c1OC ZINC001255566973 987022501 /nfs/dbraw/zinc/02/25/01/987022501.db2.gz QSRRNAQKLLQPAJ-ZJUUUORDSA-N 0 3 241.306 2.520 20 0 BFADHN [NH3+]CCc1cccc(-c2c([O-])cccc2F)c1 ZINC001245654292 987027001 /nfs/dbraw/zinc/02/70/01/987027001.db2.gz BWEMHCXXXWTEGP-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN Cc1c(F)ccc(-c2cnccc2N)c1F ZINC001245692413 987060860 /nfs/dbraw/zinc/06/08/60/987060860.db2.gz SCOXDNUJNCPLKL-UHFFFAOYSA-N 0 3 220.222 2.748 20 0 BFADHN Cc1cnc(-c2cc(C)c(CO)cc2C)cc1N ZINC001245697229 987066584 /nfs/dbraw/zinc/06/65/84/987066584.db2.gz FQKJBURLTBFTJI-UHFFFAOYSA-N 0 3 242.322 2.748 20 0 BFADHN Cc1nc(CNC[C@]2(C)CC2(F)F)sc1C ZINC000689702794 987076754 /nfs/dbraw/zinc/07/67/54/987076754.db2.gz FADYNEUYCRIWSH-JTQLQIEISA-N 0 3 246.326 2.895 20 0 BFADHN CCCCC[C@H](C)NCc1nc(C)c[nH]1 ZINC000693464198 987309746 /nfs/dbraw/zinc/30/97/46/987309746.db2.gz BYGUBPAHNNLDDI-JTQLQIEISA-N 0 3 209.337 2.777 20 0 BFADHN Cc1ccc(C)c([C@H](C)NCC2=NOCC2)c1 ZINC001473349660 987401081 /nfs/dbraw/zinc/40/10/81/987401081.db2.gz JBYMTSLDWSNDBL-LBPRGKRZSA-N 0 3 232.327 2.730 20 0 BFADHN CCC[C@H](NCC1=NOCC1)c1ccccc1 ZINC001473337882 987403378 /nfs/dbraw/zinc/40/33/78/987403378.db2.gz PBWVGXJUJDSDHE-AWEZNQCLSA-N 0 3 232.327 2.894 20 0 BFADHN CCN(CCc1ccccc1)Cc1cc(C)n[nH]1 ZINC001116441098 987573866 /nfs/dbraw/zinc/57/38/66/987573866.db2.gz IHYUMODUSZGCCS-UHFFFAOYSA-N 0 3 243.354 2.783 20 0 BFADHN Cn1c(CNC2(C)CC2)nc2cc(Cl)ccc21 ZINC001116488062 987600857 /nfs/dbraw/zinc/60/08/57/987600857.db2.gz LAFRXCBEKPPOOT-UHFFFAOYSA-N 0 3 249.745 2.869 20 0 BFADHN COc1ccccc1C1(NC[C@H](C)OC)CCC1 ZINC001116534649 987618670 /nfs/dbraw/zinc/61/86/70/987618670.db2.gz UMMLKLOVUCTISH-LBPRGKRZSA-N 0 3 249.354 2.699 20 0 BFADHN CCN(CCC[C@@H](C)O)Cc1ccccc1F ZINC001116738217 987688616 /nfs/dbraw/zinc/68/86/16/987688616.db2.gz ATFPILDNOYZNHZ-GFCCVEGCSA-N 0 3 239.334 2.809 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1cn(C2CC2)cn1 ZINC001474357469 987693319 /nfs/dbraw/zinc/69/33/19/987693319.db2.gz JIYIGFDDUWSWRJ-AWEZNQCLSA-N 0 3 233.359 2.838 20 0 BFADHN C[C@H]1CN(Cc2cccn2C(F)F)C[C@H]1C ZINC001474369122 987698315 /nfs/dbraw/zinc/69/83/15/987698315.db2.gz FRUYETXBVXEORD-AOOOYVTPSA-N 0 3 228.286 2.971 20 0 BFADHN COC1(C)CN(c2c(C)cnc3ccccc32)C1 ZINC001116764142 987701001 /nfs/dbraw/zinc/70/10/01/987701001.db2.gz ALUXHEKXDFDONP-UHFFFAOYSA-N 0 3 242.322 2.768 20 0 BFADHN COC1(C)CN(C[C@@H]2CCC[C@H](C)C2)C1 ZINC001474505327 987741712 /nfs/dbraw/zinc/74/17/12/987741712.db2.gz RTFZSWUJVUSQRC-NWDGAFQWSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1cc(Cl)cc(CN2CC[C@H](O)[C@@H]2C)c1 ZINC001474527440 987749215 /nfs/dbraw/zinc/74/92/15/987749215.db2.gz YCJJTMDFARHCER-GWCFXTLKSA-N 0 3 239.746 2.604 20 0 BFADHN Cc1ccc([C@H](C)CN2CC(O)(C(C)C)C2)cc1 ZINC001474568071 987761614 /nfs/dbraw/zinc/76/16/14/987761614.db2.gz VEJVILMOPQPRKN-CQSZACIVSA-N 0 3 247.382 2.801 20 0 BFADHN Cc1cnc2ccccc2c1N1CCO[C@@H](C)C1 ZINC001117741614 987884299 /nfs/dbraw/zinc/88/42/99/987884299.db2.gz BRDNIOFGHSFFMW-LBPRGKRZSA-N 0 3 242.322 2.768 20 0 BFADHN N[C@@H](C(=O)Nc1ccsc1)C1CCCCC1 ZINC001118201405 988100552 /nfs/dbraw/zinc/10/05/52/988100552.db2.gz KBERQURUXGLWMQ-LLVKDONJSA-N 0 3 238.356 2.594 20 0 BFADHN CCCCCCC(=O)NC[C@H]1CCN1C(C)C ZINC001323354792 988501083 /nfs/dbraw/zinc/50/10/83/988501083.db2.gz WQYCCEOLHNXCEH-CYBMUJFWSA-N 0 3 240.391 2.556 20 0 BFADHN CCCCC[C@@H](CCC)N1CC[C@H]1NC ZINC001246894906 988665559 /nfs/dbraw/zinc/66/55/59/988665559.db2.gz CUPJTQFDVOXYGS-OLZOCXBDSA-N 0 3 212.381 2.987 20 0 BFADHN CCCC1CCC(N2CC[C@H]2NC)CC1 ZINC001246896521 988670347 /nfs/dbraw/zinc/67/03/47/988670347.db2.gz GSCCUXKRAHSSFU-BPCQOVAHSA-N 0 3 210.365 2.597 20 0 BFADHN CCCCCC[C@H](CC)N1CC[C@H]1NC ZINC001246897590 988671664 /nfs/dbraw/zinc/67/16/64/988671664.db2.gz KAHCBGFWYRJTFA-STQMWFEESA-N 0 3 212.381 2.987 20 0 BFADHN CCC[C@H](Cc1ccccc1)N1CC[C@@H]1NC ZINC001246901616 988674215 /nfs/dbraw/zinc/67/42/15/988674215.db2.gz YOHSVVCASPLCLN-HUUCEWRRSA-N 0 3 232.371 2.649 20 0 BFADHN CN[C@H]1CCN1C1CCC2(CCCC2)CC1 ZINC001246903947 988680518 /nfs/dbraw/zinc/68/05/18/988680518.db2.gz RRZDNWSWLOIUFG-CYBMUJFWSA-N 0 3 222.376 2.741 20 0 BFADHN CCN(CC(=O)OC(C)C)[C@@H]1CCCC[C@@H]1C ZINC001324300307 988786577 /nfs/dbraw/zinc/78/65/77/988786577.db2.gz ZDKNITHUBZZYNS-QWHCGFSZSA-N 0 3 241.375 2.839 20 0 BFADHN C1CN(C2CCC3(CCCCC3)CC2)CN1 ZINC001246996238 988827319 /nfs/dbraw/zinc/82/73/19/988827319.db2.gz REOGBBMKEPZVOZ-UHFFFAOYSA-N 0 3 222.376 2.742 20 0 BFADHN Cc1cccc2nc(NC3CCN(C)CC3)oc21 ZINC001324418369 988837617 /nfs/dbraw/zinc/83/76/17/988837617.db2.gz URNMUGWQTIQQMA-UHFFFAOYSA-N 0 3 245.326 2.642 20 0 BFADHN Cc1cc2[nH]c([C@H](C)N)nc2cc1C(F)(F)F ZINC001247015569 988839542 /nfs/dbraw/zinc/83/95/42/988839542.db2.gz UJDXCLMIFXGGER-LURJTMIESA-N 0 3 243.232 2.910 20 0 BFADHN NCc1nc2ccc(-c3ccccc3)cc2[nH]1 ZINC001247012605 988841575 /nfs/dbraw/zinc/84/15/75/988841575.db2.gz OYLVVBNJBHPVEE-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN CCOc1ccc2nc([C@H](C)N)n(C3CC3)c2c1 ZINC001247042935 988846482 /nfs/dbraw/zinc/84/64/82/988846482.db2.gz QJHNLJUYLWXZJJ-VIFPVBQESA-N 0 3 245.326 2.790 20 0 BFADHN C[C@@H](N)c1nc2ccc(F)c(F)c2n1C1CC1 ZINC001247042363 988846561 /nfs/dbraw/zinc/84/65/61/988846561.db2.gz WEIAQGPPRWQXRV-ZCFIWIBFSA-N 0 3 237.253 2.669 20 0 BFADHN CCCOc1ccc2nc([C@H](C)N)n(CC)c2c1 ZINC001247042074 988846957 /nfs/dbraw/zinc/84/69/57/988846957.db2.gz DUNJTNWQLUBNGE-JTQLQIEISA-N 0 3 247.342 2.865 20 0 BFADHN CCCCn1c2cc(F)ccc2nc1[C@@H](C)N ZINC001247040759 988847160 /nfs/dbraw/zinc/84/71/60/988847160.db2.gz FLSCRKYWXCHLQU-SECBINFHSA-N 0 3 235.306 2.995 20 0 BFADHN Cc1noc2ncc(CNC/C=C/C3CC3)cc12 ZINC001119449348 989079543 /nfs/dbraw/zinc/07/95/43/989079543.db2.gz UFONHKDHXMDTAI-NSCUHMNNSA-N 0 3 243.310 2.587 20 0 BFADHN Cc1noc2ccc(CN3CC4CC(C4)C3)cc12 ZINC001248708520 989134002 /nfs/dbraw/zinc/13/40/02/989134002.db2.gz JSLKQTCUXLTJBJ-UHFFFAOYSA-N 0 3 242.322 2.978 20 0 BFADHN Cc1ncc(Nc2ccc(F)c(N)c2C)n1C ZINC001249634708 989332054 /nfs/dbraw/zinc/33/20/54/989332054.db2.gz MJLDWAZFTXMUKM-UHFFFAOYSA-N 0 3 234.278 2.502 20 0 BFADHN [O-]c1ccc(C[NH2+]CCCC(F)F)cc1F ZINC001119977486 989533231 /nfs/dbraw/zinc/53/32/31/989533231.db2.gz QRYDGCXJDRKUST-UHFFFAOYSA-N 0 3 233.233 2.666 20 0 BFADHN CC(C)(C)/C=C/C(=O)Nc1cccc2c1CNC2 ZINC001122221515 990297860 /nfs/dbraw/zinc/29/78/60/990297860.db2.gz BUSUFCIIBCJVKF-BQYQJAHWSA-N 0 3 244.338 2.831 20 0 BFADHN CCCCC1(NC(=O)[C@H](N)C[C@H](C)CC)CC1 ZINC001122294074 990325028 /nfs/dbraw/zinc/32/50/28/990325028.db2.gz PRBWXHKZXDVZFD-VXGBXAGGSA-N 0 3 240.391 2.589 20 0 BFADHN CCCCC1(NC(=O)[C@H](N)C[C@@H](C)CC)CC1 ZINC001122294072 990325795 /nfs/dbraw/zinc/32/57/95/990325795.db2.gz PRBWXHKZXDVZFD-NWDGAFQWSA-N 0 3 240.391 2.589 20 0 BFADHN COCCN(Cc1cccc(C)c1F)C(C)C ZINC001137676417 990838905 /nfs/dbraw/zinc/83/89/05/990838905.db2.gz VZMMVYVAYSFVGH-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN c1cc(N2CCCCC2)oc1CN1CCCC1 ZINC001138170739 990896612 /nfs/dbraw/zinc/89/66/12/990896612.db2.gz COKXRUROANTTOS-UHFFFAOYSA-N 0 3 234.343 2.866 20 0 BFADHN C[C@@H]1CCN(Cc2cc(O)cc(O)c2)[C@H](C)C1 ZINC001138329607 990917757 /nfs/dbraw/zinc/91/77/57/990917757.db2.gz ALVPXCLTXUTHHH-GHMZBOCLSA-N 0 3 235.327 2.718 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1cc(O)cc(O)c1 ZINC001138328340 990918172 /nfs/dbraw/zinc/91/81/72/990918172.db2.gz GJNCPTWOJXZTPZ-WDEREUQCSA-N 0 3 235.327 2.718 20 0 BFADHN CO[C@@H]1CCN(Cc2[nH]c3ccccc3c2C)C1 ZINC001138396878 990934682 /nfs/dbraw/zinc/93/46/82/990934682.db2.gz HTDQLACAWGSYFC-GFCCVEGCSA-N 0 3 244.338 2.697 20 0 BFADHN Cc1c2ccccc2[nH]c1CN1CC(C)C1 ZINC001138396450 990936033 /nfs/dbraw/zinc/93/60/33/990936033.db2.gz AEVLGXDRELWMAA-UHFFFAOYSA-N 0 3 214.312 2.928 20 0 BFADHN COCCN(C)Cc1cc2cc(C)ccc2[nH]1 ZINC001138419339 990942716 /nfs/dbraw/zinc/94/27/16/990942716.db2.gz QXKVNSDVXPFMIT-UHFFFAOYSA-N 0 3 232.327 2.555 20 0 BFADHN CO[C@H]1CCN(Cc2cc3cc(C)ccc3[nH]2)C1 ZINC001138419581 990944756 /nfs/dbraw/zinc/94/47/56/990944756.db2.gz VICOHDFJEQCMOJ-AWEZNQCLSA-N 0 3 244.338 2.697 20 0 BFADHN CCOc1ccc(CN2CCC2)c(C)c1 ZINC001138431408 990947677 /nfs/dbraw/zinc/94/76/77/990947677.db2.gz ZTXVLAIXFPCQRJ-UHFFFAOYSA-N 0 3 205.301 2.599 20 0 BFADHN Cc1cc(CNCC=Cc2ccc(F)cc2)[nH]n1 ZINC001308286126 990960307 /nfs/dbraw/zinc/96/03/07/990960307.db2.gz VUXPZBCIFXQKHC-NSCUHMNNSA-N 0 3 245.301 2.660 20 0 BFADHN Cc1cc(CNCC=Cc2ccc(F)cc2)n[nH]1 ZINC001308286126 990960316 /nfs/dbraw/zinc/96/03/16/990960316.db2.gz VUXPZBCIFXQKHC-NSCUHMNNSA-N 0 3 245.301 2.660 20 0 BFADHN COc1ccc2[nH]cc(CN3CC(C)C3)c2c1 ZINC001138504416 990966363 /nfs/dbraw/zinc/96/63/63/990966363.db2.gz XZHFSGCKSHSHDT-UHFFFAOYSA-N 0 3 230.311 2.628 20 0 BFADHN CCn1cnc(Cl)c1CNC(C)(C)C1CC1 ZINC001308334994 990970524 /nfs/dbraw/zinc/97/05/24/990970524.db2.gz CCASNNSSBZZTMU-UHFFFAOYSA-N 0 3 241.766 2.835 20 0 BFADHN CCCn1cncc1CNc1cc(C)cc(C)n1 ZINC001308339690 990971267 /nfs/dbraw/zinc/97/12/67/990971267.db2.gz ILQQVIHZKNHCLM-UHFFFAOYSA-N 0 3 244.342 2.917 20 0 BFADHN CC(C)=CCC[C@@H](C)n1nc(CN)cc1C ZINC001258079516 990977078 /nfs/dbraw/zinc/97/70/78/990977078.db2.gz QGTDFPOZRZLRMT-LLVKDONJSA-N 0 3 221.348 2.958 20 0 BFADHN C1=CCN(Cc2ccccc2N2CCCC2)C1 ZINC001138610145 990982100 /nfs/dbraw/zinc/98/21/00/990982100.db2.gz KIXSCMAMNCFHBK-UHFFFAOYSA-N 0 3 228.339 2.659 20 0 BFADHN CC(C)=CCC[C@H](C)N1CCOC[C@H]1C ZINC001258085292 990987483 /nfs/dbraw/zinc/98/74/83/990987483.db2.gz HJBHDHOZCWOFOY-QWHCGFSZSA-N 0 3 211.349 2.842 20 0 BFADHN CC(C)=CCC[C@H](C)N1C[C@@H](F)C[C@H]1CO ZINC001258085241 990988811 /nfs/dbraw/zinc/98/88/11/990988811.db2.gz HCWMZQXDONJKJJ-AVGNSLFASA-N 0 3 229.339 2.526 20 0 BFADHN CC(C)=CCC[C@@H](C)N1C[C@H](F)C[C@H]1CO ZINC001258085242 990989725 /nfs/dbraw/zinc/98/97/25/990989725.db2.gz HCWMZQXDONJKJJ-UPJWGTAASA-N 0 3 229.339 2.526 20 0 BFADHN CCSc1ncc(CN2CCC[C@H]3C[C@H]32)cn1 ZINC001138658573 990999402 /nfs/dbraw/zinc/99/94/02/990999402.db2.gz VPUZOJZAQKGMAV-NWDGAFQWSA-N 0 3 249.383 2.573 20 0 BFADHN CC(C)(F)CNCc1cccc2nsnc21 ZINC001308485094 991004580 /nfs/dbraw/zinc/00/45/80/991004580.db2.gz JRFPNEKDWHAKPC-UHFFFAOYSA-N 0 3 239.319 2.529 20 0 BFADHN CNc1ncccc1CN1CCCC2(CCC2)C1 ZINC001138694419 991005858 /nfs/dbraw/zinc/00/58/58/991005858.db2.gz BCULRCWZRNXCOB-UHFFFAOYSA-N 0 3 245.370 2.889 20 0 BFADHN CSc1ncc(CN(C)C2CCCC2)cn1 ZINC001138706019 991010313 /nfs/dbraw/zinc/01/03/13/991010313.db2.gz KKEAFUNZNKIDMX-UHFFFAOYSA-N 0 3 237.372 2.573 20 0 BFADHN CCCCN(CC)Cc1cnc(SC)nc1 ZINC001138700385 991011972 /nfs/dbraw/zinc/01/19/72/991011972.db2.gz HZKKLNHZNZZTNK-UHFFFAOYSA-N 0 3 239.388 2.821 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CCN(CCF)CC1 ZINC001258099049 991012802 /nfs/dbraw/zinc/01/28/02/991012802.db2.gz HPRDZEPNIDKUJE-CQSZACIVSA-N 0 3 242.382 2.708 20 0 BFADHN C[C@@H](O)C(C)(C)CNCc1cscc1Cl ZINC001308540974 991025214 /nfs/dbraw/zinc/02/52/14/991025214.db2.gz CRRBRHOVMGHAKK-MRVPVSSYSA-N 0 3 247.791 2.898 20 0 BFADHN C[C@H](N)c1nccn1[C@H]1C[C@H](C)C[C@H](C)C1 ZINC001258150811 991025448 /nfs/dbraw/zinc/02/54/48/991025448.db2.gz ANIYTYFBDDTUBR-WHOHXGKFSA-N 0 3 221.348 2.900 20 0 BFADHN CC1(C)CCCN1Cc1cccnc1F ZINC001138783567 991037550 /nfs/dbraw/zinc/03/75/50/991037550.db2.gz ULKCJEGPSYEOPA-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN Cc1ccoc1CNC[C@H]1OCc2ccccc21 ZINC001308551309 991037545 /nfs/dbraw/zinc/03/75/45/991037545.db2.gz ALAAUOKPAQQQRY-OAHLLOKOSA-N 0 3 243.306 2.949 20 0 BFADHN C[C@H]1C[C@H](NC2(c3cnccn3)CC2)C[C@@H](C)C1 ZINC001258157273 991041765 /nfs/dbraw/zinc/04/17/65/991041765.db2.gz ALOBTRKVDZICES-ITGUQSILSA-N 0 3 245.370 2.880 20 0 BFADHN Fc1ncccc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC001138783560 991044769 /nfs/dbraw/zinc/04/47/69/991044769.db2.gz UCGDVOYMDFDBPX-TXEJJXNPSA-N 0 3 234.318 2.843 20 0 BFADHN CC(C)CN(Cc1cn(C2CC2)cn1)C1CC1 ZINC001308581526 991049967 /nfs/dbraw/zinc/04/99/67/991049967.db2.gz HXCDWGSTSYOFEM-UHFFFAOYSA-N 0 3 233.359 2.838 20 0 BFADHN C[C@H]1C[C@H](N2Cc3cncnc3C2)C[C@@H](C)C1 ZINC001258165443 991059468 /nfs/dbraw/zinc/05/94/68/991059468.db2.gz ZOLDSFAETQGSPF-PJXYFTJBSA-N 0 3 231.343 2.617 20 0 BFADHN CC(C)OC1CN(C2C[C@@H](C)C[C@H](C)C2)C1 ZINC001258171756 991067963 /nfs/dbraw/zinc/06/79/63/991067963.db2.gz SKNDCJFRSUZOOS-RYUDHWBXSA-N 0 3 225.376 2.920 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)[C@@H](C)C2)nn1C ZINC001138826507 991078678 /nfs/dbraw/zinc/07/86/78/991078678.db2.gz BKCZIYBOJQPISI-NEPJUHHUSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@@H](Cc1ccco1)NCc1cccc(F)n1 ZINC001258180379 991080214 /nfs/dbraw/zinc/08/02/14/991080214.db2.gz MZZWXTRTAJFHLJ-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN C[C@H](Cc1ccco1)N1CCC(F)(F)CC1 ZINC001258185177 991091242 /nfs/dbraw/zinc/09/12/42/991091242.db2.gz LZUOWJUMTQWLLQ-SNVBAGLBSA-N 0 3 229.270 2.942 20 0 BFADHN CC[C@H](C)[C@@H](CN1CCO[C@](C)(CC)C1)OC ZINC001308697870 991095909 /nfs/dbraw/zinc/09/59/09/991095909.db2.gz WGUHMQNNPPNIMH-BFHYXJOUSA-N 0 3 243.391 2.548 20 0 BFADHN CC[C@H](C)[C@@H](CN1CCO[C@@](C)(CC)C1)OC ZINC001308697876 991099158 /nfs/dbraw/zinc/09/91/58/991099158.db2.gz WGUHMQNNPPNIMH-MJBXVCDLSA-N 0 3 243.391 2.548 20 0 BFADHN Fc1cccnc1CN[C@H]1C[C@@H]2CCCC[C@@H]21 ZINC001308736500 991118166 /nfs/dbraw/zinc/11/81/66/991118166.db2.gz NBFIDNXBKANREL-GVXVVHGQSA-N 0 3 234.318 2.889 20 0 BFADHN C[C@H]1C[C@H](NC2CC(C(F)F)C2)c2nccn21 ZINC001308764222 991121591 /nfs/dbraw/zinc/12/15/91/991121591.db2.gz HBHJIOZKOJRBEX-NFTFJBJSSA-N 0 3 241.285 2.522 20 0 BFADHN CCCn1cc(CN2CC3CCC2CC3)cn1 ZINC001139040282 991128848 /nfs/dbraw/zinc/12/88/48/991128848.db2.gz XPULTUNPHKFDMU-UHFFFAOYSA-N 0 3 233.359 2.668 20 0 BFADHN CC[C@H](C)[C@H](C(=O)OC)N(C)CC(C)(C)C ZINC001559518169 991133826 /nfs/dbraw/zinc/13/38/26/991133826.db2.gz MGNGXYZADSHPON-WDEREUQCSA-N 0 3 229.364 2.552 20 0 BFADHN C[C@H]1C[C@H](O)CCN1Cc1cc2ccccc2o1 ZINC001139065132 991135107 /nfs/dbraw/zinc/13/51/07/991135107.db2.gz QYZLIBPNWPYSFB-WCQYABFASA-N 0 3 245.322 2.778 20 0 BFADHN CSCC[C@H](C)N1CC[C@](C)(F)[C@@H](F)C1 ZINC001258216301 991140844 /nfs/dbraw/zinc/14/08/44/991140844.db2.gz WLHBIAKYMUKTAR-DCAQKATOSA-N 0 3 237.359 2.900 20 0 BFADHN CSCC[C@@H](C)N1CCC[C@@H](F)C1 ZINC001258220606 991142779 /nfs/dbraw/zinc/14/27/79/991142779.db2.gz WQUIVMPHAXCVFO-NXEZZACHSA-N 0 3 205.342 2.562 20 0 BFADHN CSCC[C@@H](C)N1CCc2ncsc2C1 ZINC001258221723 991153223 /nfs/dbraw/zinc/15/32/23/991153223.db2.gz FSZHRNGWWONFMV-SECBINFHSA-N 0 3 242.413 2.643 20 0 BFADHN COc1cc(O)ccc1CN1CC2CCC1CC2 ZINC001139142742 991162041 /nfs/dbraw/zinc/16/20/41/991162041.db2.gz UPORVQITJNHAMX-UHFFFAOYSA-N 0 3 247.338 2.775 20 0 BFADHN CC1CN(Cc2ccc3cccnc3c2)C1 ZINC001139163968 991172630 /nfs/dbraw/zinc/17/26/30/991172630.db2.gz BLVHUBXXPXOCOS-UHFFFAOYSA-N 0 3 212.296 2.687 20 0 BFADHN CCOC(=O)CN(CC)C1CCCCCCC1 ZINC001258244318 991187262 /nfs/dbraw/zinc/18/72/62/991187262.db2.gz WZIXSRVLGLIHRU-UHFFFAOYSA-N 0 3 241.375 2.984 20 0 BFADHN C=C/C=C\CCN1CCCCC[C@@H]1C(=O)OC ZINC001559738868 991232339 /nfs/dbraw/zinc/23/23/39/991232339.db2.gz HVLPBJOUXZQMDW-DSYXLKISSA-N 0 3 237.343 2.536 20 0 BFADHN CCN([C@@H](C)C(=O)OC)[C@H]1CCCC(C)(C)C1 ZINC001258298088 991235837 /nfs/dbraw/zinc/23/58/37/991235837.db2.gz KGXHJSSPFFKGFT-RYUDHWBXSA-N 0 3 241.375 2.839 20 0 BFADHN CCOC1CN([C@@H](C)CC(C)(C)SC)C1 ZINC001258334807 991259484 /nfs/dbraw/zinc/25/94/84/991259484.db2.gz HYQUTHMHFOPTLO-JTQLQIEISA-N 0 3 231.405 2.627 20 0 BFADHN CSC(C)(C)C[C@H](C)N1CCCC(=O)CC1 ZINC001258336927 991261487 /nfs/dbraw/zinc/26/14/87/991261487.db2.gz IHJMKSJECCCDGL-NSHDSACASA-N 0 3 243.416 2.962 20 0 BFADHN CSC(C)(C)C[C@@H](C)N(C)C1(C)COC1 ZINC001258340440 991264129 /nfs/dbraw/zinc/26/41/29/991264129.db2.gz FBWJKUVQJHXJDS-SNVBAGLBSA-N 0 3 231.405 2.627 20 0 BFADHN CC(C)C1(O)CN(CC[C@H]2CCC[C@H](C)C2)C1 ZINC001559806452 991270509 /nfs/dbraw/zinc/27/05/09/991270509.db2.gz WNYDVRLBWQAVOP-UONOGXRCSA-N 0 3 239.403 2.906 20 0 BFADHN COc1ccncc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001139606881 991283920 /nfs/dbraw/zinc/28/39/20/991283920.db2.gz QXDCTIANNBJJLD-CHWSQXEVSA-N 0 3 246.354 2.712 20 0 BFADHN CCOc1ccc(C)cc1CN(C)CC ZINC001139602462 991289186 /nfs/dbraw/zinc/28/91/86/991289186.db2.gz MYKMSDICVRXLGZ-UHFFFAOYSA-N 0 3 207.317 2.845 20 0 BFADHN CCc1ccc(CCN(C(C)C)C2COC2)cc1 ZINC001559860261 991295854 /nfs/dbraw/zinc/29/58/54/991295854.db2.gz WXAHRUHCOZEGIY-UHFFFAOYSA-N 0 3 247.382 2.901 20 0 BFADHN CCOc1ccncc1CN(CC)C(C)C ZINC001139627441 991301442 /nfs/dbraw/zinc/30/14/42/991301442.db2.gz RHFPRRSKVISOPD-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CCCN(CC)Cc1cnccc1OCC ZINC001139627039 991301810 /nfs/dbraw/zinc/30/18/10/991301810.db2.gz CXKHYGNAIYDPJF-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN COC(=O)CC[C@H](C)N(C)Cc1ccsc1 ZINC001258375057 991325048 /nfs/dbraw/zinc/32/50/48/991325048.db2.gz OEUJUMAJELHVDP-JTQLQIEISA-N 0 3 241.356 2.522 20 0 BFADHN c1cnc2cc(CN3CCC[C@@H]4C[C@@H]43)cnc2c1 ZINC001139743411 991350458 /nfs/dbraw/zinc/35/04/58/991350458.db2.gz FOWJSGNDEGTHPP-DOMZBBRYSA-N 0 3 239.322 2.614 20 0 BFADHN CCCCC[C@@H](CC)N1CCNC(=O)C1(C)C ZINC001258445177 991387721 /nfs/dbraw/zinc/38/77/21/991387721.db2.gz FGZOBYRSTXIYRG-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@H]1CCCN(Cc2ccnc(F)c2)[C@H]1C ZINC001139834063 991389188 /nfs/dbraw/zinc/38/91/88/991389188.db2.gz YRDPICYNOTYKND-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN Fc1cc(CN2CCCCC23CC3)ccn1 ZINC001139834545 991391702 /nfs/dbraw/zinc/39/17/02/991391702.db2.gz MFYCACROYAKPQN-UHFFFAOYSA-N 0 3 220.291 2.739 20 0 BFADHN CC(C)C[C@@H](C)N1Cc2c[nH]nc2C[C@@H](C)C1 ZINC001560144303 991394624 /nfs/dbraw/zinc/39/46/24/991394624.db2.gz XOHJSTYAEIRWRJ-VXGBXAGGSA-N 0 3 235.375 2.839 20 0 BFADHN COc1ccc(C(=O)CN[C@@H](C)CC2CC2)cc1 ZINC001258448223 991397854 /nfs/dbraw/zinc/39/78/54/991397854.db2.gz QXRUZQPUBFATJX-NSHDSACASA-N 0 3 247.338 2.656 20 0 BFADHN CN(Cc1cc(C2CC2)no1)CC1CCC1 ZINC001560201119 991412163 /nfs/dbraw/zinc/41/21/63/991412163.db2.gz ZFQMLERZXGLFAK-UHFFFAOYSA-N 0 3 220.316 2.784 20 0 BFADHN c1ccc(CN2CCCC[C@H]2[C@H]2CCCO2)nc1 ZINC001560224445 991427243 /nfs/dbraw/zinc/42/72/43/991427243.db2.gz XEPNDXIKDTUAHS-LSDHHAIUSA-N 0 3 246.354 2.615 20 0 BFADHN C[C@H](CC1CC1)N1CCc2ncccc2C1 ZINC001258467266 991428731 /nfs/dbraw/zinc/42/87/31/991428731.db2.gz FXKGHOATJGJDIR-LLVKDONJSA-N 0 3 216.328 2.628 20 0 BFADHN c1cnnc(CN2CCCC3(CCCC3)C2)c1 ZINC001139969225 991433282 /nfs/dbraw/zinc/43/32/82/991433282.db2.gz PHOZHHUXVPRCAC-UHFFFAOYSA-N 0 3 231.343 2.633 20 0 BFADHN c1cnnc(CN2CCC3(CCCC3)CC2)c1 ZINC001139969007 991433892 /nfs/dbraw/zinc/43/38/92/991433892.db2.gz IDJNZWVJKRHAKX-UHFFFAOYSA-N 0 3 231.343 2.633 20 0 BFADHN CC1CC(NCc2c(Cl)nc3ccccn32)C1 ZINC001560369039 991460596 /nfs/dbraw/zinc/46/05/96/991460596.db2.gz LMGJVAGCDGEZTP-UHFFFAOYSA-N 0 3 249.745 2.876 20 0 BFADHN c1cc(CN2CCC[C@@H]3CCCC[C@H]32)cnn1 ZINC001139983781 991463005 /nfs/dbraw/zinc/46/30/05/991463005.db2.gz KGVHOEBGHWNKBA-UONOGXRCSA-N 0 3 231.343 2.631 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1c(Cl)ncn1CC ZINC001560471130 991480674 /nfs/dbraw/zinc/48/06/74/991480674.db2.gz HLHOTYYCMASNHX-ZJUUUORDSA-N 0 3 241.766 2.692 20 0 BFADHN Cc1ccc2oc(CNCc3cnc[nH]3)cc2c1 ZINC001560534367 991496925 /nfs/dbraw/zinc/49/69/25/991496925.db2.gz YGAYUTNURZECGZ-UHFFFAOYSA-N 0 3 241.294 2.754 20 0 BFADHN CC(C)[C@@H]1C[C@H]1NCc1cnc(C2CC2)o1 ZINC001560624808 991523296 /nfs/dbraw/zinc/52/32/96/991523296.db2.gz ASAVMOLAEFQXPM-NWDGAFQWSA-N 0 3 220.316 2.686 20 0 BFADHN C[C@H]1CN(Cc2ccc(O)cc2F)C2(CC2)C1 ZINC001140128715 991527916 /nfs/dbraw/zinc/52/79/16/991527916.db2.gz ZTUXOWAHKYGCJD-SNVBAGLBSA-N 0 3 235.302 2.906 20 0 BFADHN Oc1ccc(CN(CC2CC2)C2CC2)c(F)c1 ZINC001140130013 991533037 /nfs/dbraw/zinc/53/30/37/991533037.db2.gz QTBGCYFFYJCOQQ-UHFFFAOYSA-N 0 3 235.302 2.906 20 0 BFADHN COC(C)(C)CN(C)Cc1cccn1C(F)F ZINC001560671339 991547129 /nfs/dbraw/zinc/54/71/29/991547129.db2.gz WOEQJDQPKQKFSX-UHFFFAOYSA-N 0 3 246.301 2.740 20 0 BFADHN CCN1C[C@@H](C)N(Cc2cc(C)co2)C[C@@H]1C ZINC001560676846 991550956 /nfs/dbraw/zinc/55/09/56/991550956.db2.gz MQKPIHMOIVDJDW-QWHCGFSZSA-N 0 3 236.359 2.503 20 0 BFADHN C[C@@H](Cc1cccnc1)N1CCC(F)(F)CC1 ZINC001258622121 991553970 /nfs/dbraw/zinc/55/39/70/991553970.db2.gz HGPTVNOAQFVEJO-NSHDSACASA-N 0 3 240.297 2.744 20 0 BFADHN Oc1cccc2[nH]cc(CN3CCC(F)CC3)c21 ZINC001140231748 991567084 /nfs/dbraw/zinc/56/70/84/991567084.db2.gz OOXPEZYZQMQIPA-UHFFFAOYSA-N 0 3 248.301 2.807 20 0 BFADHN CN(Cc1cccn1C(F)F)[C@@H]1CCSC1 ZINC001560717661 991572555 /nfs/dbraw/zinc/57/25/55/991572555.db2.gz SVOJOXKIULWOOP-SNVBAGLBSA-N 0 3 246.326 2.821 20 0 BFADHN C[C@@H](Cc1cccnc1)N1CCc2occc2C1 ZINC001258632783 991575795 /nfs/dbraw/zinc/57/57/95/991575795.db2.gz CSVDHJCNAQDRIN-LBPRGKRZSA-N 0 3 242.322 2.664 20 0 BFADHN C[C@@H](Cc1cccnc1)N(C)Cc1cncs1 ZINC001258634008 991576569 /nfs/dbraw/zinc/57/65/69/991576569.db2.gz NKUSTGLKNPESCS-NSHDSACASA-N 0 3 247.367 2.601 20 0 BFADHN C[C@H](Cc1cccnc1)N1CCc2occc2C1 ZINC001258632782 991577192 /nfs/dbraw/zinc/57/71/92/991577192.db2.gz CSVDHJCNAQDRIN-GFCCVEGCSA-N 0 3 242.322 2.664 20 0 BFADHN CCC[C@@H](Cc1ccccc1)n1ccc(CN)n1 ZINC001258648978 991580657 /nfs/dbraw/zinc/58/06/57/991580657.db2.gz ALKQSTWRIDTNCN-HNNXBMFYSA-N 0 3 243.354 2.926 20 0 BFADHN CN(Cc1cccc(F)c1)Cc1ccncc1O ZINC001140274678 991583378 /nfs/dbraw/zinc/58/33/78/991583378.db2.gz DDIOIEWGEWVQTK-UHFFFAOYSA-N 0 3 246.285 2.558 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cn(C2CC2)cn1 ZINC001560776205 991597864 /nfs/dbraw/zinc/59/78/64/991597864.db2.gz DMXSHEVOICAFBY-FZMZJTMJSA-N 0 3 233.359 2.838 20 0 BFADHN CCC[C@H](Cc1ccccc1)N1C[C@H]2C[C@@H](C1)O2 ZINC001258663807 991600917 /nfs/dbraw/zinc/60/09/17/991600917.db2.gz ZMYZHXVQVZGNPO-OAGGEKHMSA-N 0 3 245.366 2.871 20 0 BFADHN CCC[C@@H](Cc1ccccc1)N1C[C@H]2C[C@@H](C1)O2 ZINC001258663806 991602881 /nfs/dbraw/zinc/60/28/81/991602881.db2.gz ZMYZHXVQVZGNPO-HRCADAONSA-N 0 3 245.366 2.871 20 0 BFADHN c1cc(CN2CCC3(CCCC3)CC2)ncn1 ZINC001140342987 991611269 /nfs/dbraw/zinc/61/12/69/991611269.db2.gz GXKLCOIDXPKEEA-UHFFFAOYSA-N 0 3 231.343 2.633 20 0 BFADHN COC1(C)CN(CC2CCC(C)(C)CC2)C1 ZINC001560815203 991611320 /nfs/dbraw/zinc/61/13/20/991611320.db2.gz TXTJUTPANRLEDP-UHFFFAOYSA-N 0 3 225.376 2.924 20 0 BFADHN CC(C)(C)C1CCN(Cc2ccncn2)CC1 ZINC001140346349 991614337 /nfs/dbraw/zinc/61/43/37/991614337.db2.gz QIGVXFYZNJSYQS-UHFFFAOYSA-N 0 3 233.359 2.735 20 0 BFADHN CCC1CN(Cc2ccc3c(c2)CCCO3)C1 ZINC001560873654 991632975 /nfs/dbraw/zinc/63/29/75/991632975.db2.gz WLESCAPWOQZPDE-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN Fc1cccnc1CNCCCC1CCC1 ZINC001560936378 991657894 /nfs/dbraw/zinc/65/78/94/991657894.db2.gz XNYGBXYUFTYGOJ-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN Fc1cccnc1CNC[C@]12C[C@H]1CCCC2 ZINC001561008796 991685265 /nfs/dbraw/zinc/68/52/65/991685265.db2.gz JKNWSHTZUIBUIQ-RISCZKNCSA-N 0 3 234.318 2.891 20 0 BFADHN Fc1cccnc1CNC[C@@]12C[C@@H]1CCCC2 ZINC001561008797 991685916 /nfs/dbraw/zinc/68/59/16/991685916.db2.gz JKNWSHTZUIBUIQ-SMDDNHRTSA-N 0 3 234.318 2.891 20 0 BFADHN C[C@H](Cc1cccc(Cl)c1)n1cncc1CN ZINC001258739306 991689208 /nfs/dbraw/zinc/68/92/08/991689208.db2.gz WBRGLGRQYWNDRL-SNVBAGLBSA-N 0 3 249.745 2.799 20 0 BFADHN CC[C@@H](C)C[C@H](CC)N1CC2(CCO2)C1 ZINC001258798137 991794280 /nfs/dbraw/zinc/79/42/80/991794280.db2.gz RCRBJBDAKBNAJS-NEPJUHHUSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2nccnc2Cl)C1 ZINC001258808384 991809917 /nfs/dbraw/zinc/80/99/17/991809917.db2.gz MLOQDKIUKXZWKJ-ZJUUUORDSA-N 0 3 239.750 2.798 20 0 BFADHN C[C@@H]1CCC[C@@H](N2CCC(=O)[C@H](F)CC2)C1 ZINC001258819194 991836147 /nfs/dbraw/zinc/83/61/47/991836147.db2.gz PCAALUHCYGXUGX-IJLUTSLNSA-N 0 3 227.323 2.568 20 0 BFADHN CCCOC1CN([C@@H]2CCC[C@H](C)C2)C1 ZINC001258823390 991844838 /nfs/dbraw/zinc/84/48/38/991844838.db2.gz LAISARFGRNMHIM-NWDGAFQWSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)CC(CC(C)C)n1cnc(CN)c1 ZINC001258830167 991860500 /nfs/dbraw/zinc/86/05/00/991860500.db2.gz JZEFMNKAUUCHRQ-UHFFFAOYSA-N 0 3 223.364 2.975 20 0 BFADHN CC[C@H](Cc1ccccc1)N1C=C(N)C=CC1 ZINC001258840299 991870574 /nfs/dbraw/zinc/87/05/74/991870574.db2.gz SIZZLDATTOGDLB-OAHLLOKOSA-N 0 3 228.339 2.680 20 0 BFADHN CCn1nccc1CN1CC[C@@H]2CCCC[C@H]2C1 ZINC001319683691 991931751 /nfs/dbraw/zinc/93/17/51/991931751.db2.gz MSAMGUTZKYEXGY-KBPBESRZSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCC[C@@H](C)C2)[nH]n1 ZINC001319687363 991932617 /nfs/dbraw/zinc/93/26/17/991932617.db2.gz GMAVEXQKFMIKIN-ZWNOBZJWSA-N 0 3 221.348 2.729 20 0 BFADHN CCC[C@H]1CCCN(Cc2cc(C)n[nH]2)C1 ZINC001319717889 991944100 /nfs/dbraw/zinc/94/41/00/991944100.db2.gz NIZHICKWVNVFOC-LBPRGKRZSA-N 0 3 221.348 2.730 20 0 BFADHN CCSCC[C@H](C)N(C)Cc1cc(C)[nH]n1 ZINC001319829424 991996077 /nfs/dbraw/zinc/99/60/77/991996077.db2.gz UGEFDOWJWKOFCS-NSHDSACASA-N 0 3 241.404 2.682 20 0 BFADHN CC1CC(NCc2nc3cc(F)ccc3n2C)C1 ZINC001320284638 992156468 /nfs/dbraw/zinc/15/64/68/992156468.db2.gz RGSHYMJDDBBFTF-UHFFFAOYSA-N 0 3 247.317 2.601 20 0 BFADHN COc1cc(CNC/C=C\C2CC2)ccc1F ZINC001261238112 992212490 /nfs/dbraw/zinc/21/24/90/992212490.db2.gz RANBPZIJMZCMMU-IHWYPQMZSA-N 0 3 235.302 2.890 20 0 BFADHN CN(C)c1ncccc1CNCC1=CCCCC1 ZINC001261255580 992228216 /nfs/dbraw/zinc/22/82/16/992228216.db2.gz BHFVXTIYDJIOJR-UHFFFAOYSA-N 0 3 245.370 2.738 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C(C)C)C2)[nH]n1 ZINC001320562381 992248698 /nfs/dbraw/zinc/24/86/98/992248698.db2.gz MCCNGHLXKJHNIY-GFCCVEGCSA-N 0 3 221.348 2.586 20 0 BFADHN CO[C@H](CNCc1ncccc1C)CC(C)C ZINC001261376347 992284779 /nfs/dbraw/zinc/28/47/79/992284779.db2.gz NEKARYXEEYSEGR-ZDUSSCGKSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1coc(CN2CCC(O)(C(C)C)CC2)c1 ZINC001474749379 992327177 /nfs/dbraw/zinc/32/71/77/992327177.db2.gz HZWHXNSJSIUNSZ-UHFFFAOYSA-N 0 3 237.343 2.571 20 0 BFADHN Fc1cc(CN[C@H]2CCC[C@@H](F)C2)c(F)cn1 ZINC001261494083 992335569 /nfs/dbraw/zinc/33/55/69/992335569.db2.gz DWRPUIHJUXDLCW-ZJUUUORDSA-N 0 3 244.260 2.730 20 0 BFADHN Fc1cc(CN[C@H]2CCC[C@H](F)C2)c(F)cn1 ZINC001261494081 992341720 /nfs/dbraw/zinc/34/17/20/992341720.db2.gz DWRPUIHJUXDLCW-UWVGGRQHSA-N 0 3 244.260 2.730 20 0 BFADHN CS[C@@H]1CC[C@@H](N(C)Cc2cnc(C)o2)C1 ZINC001261828499 992527514 /nfs/dbraw/zinc/52/75/14/992527514.db2.gz MHFPHBRMHUZHFE-ZYHUDNBSSA-N 0 3 240.372 2.699 20 0 BFADHN CC(C)(C)[C@@H](N)C(=O)Nc1cscc1Cl ZINC001573455515 992616086 /nfs/dbraw/zinc/61/60/86/992616086.db2.gz HRBAIGQIYFWVSF-QMMMGPOBSA-N 0 3 246.763 2.713 20 0 BFADHN c1cnc2c(c1)CC[C@H]2N[C@@H]1C=CCCC1 ZINC001262107808 992675238 /nfs/dbraw/zinc/67/52/38/992675238.db2.gz ZSAULUXESPHTCL-CHWSQXEVSA-N 0 3 214.312 2.767 20 0 BFADHN CS[C@@H]1CC[C@H](N(C)Cc2ocnc2C)C1 ZINC001262109042 992683087 /nfs/dbraw/zinc/68/30/87/992683087.db2.gz HRJTUJHFBYYUKM-WDEREUQCSA-N 0 3 240.372 2.699 20 0 BFADHN CO[C@H]1CN(Cc2cc(C)cc(C)n2)CC[C@@H]1C ZINC001262270927 992829687 /nfs/dbraw/zinc/82/96/87/992829687.db2.gz KHTJZEBYYRMASY-WFASDCNBSA-N 0 3 248.370 2.555 20 0 BFADHN Cc1cc(CNC/C=C/C2CC2)cnc1F ZINC001262372015 992865101 /nfs/dbraw/zinc/86/51/01/992865101.db2.gz CFQNGIBPVOXOJE-NSCUHMNNSA-N 0 3 220.291 2.585 20 0 BFADHN Cc1cc(CNC/C=C\C2CC2)cnc1F ZINC001262372014 992866180 /nfs/dbraw/zinc/86/61/80/992866180.db2.gz CFQNGIBPVOXOJE-IHWYPQMZSA-N 0 3 220.291 2.585 20 0 BFADHN CC(C)c1cncc(NC(=O)[C@@H](N)C(C)(C)C)c1 ZINC001263243016 993183026 /nfs/dbraw/zinc/18/30/26/993183026.db2.gz FPVNIROIHHATHX-GFCCVEGCSA-N 0 3 249.358 2.517 20 0 BFADHN CCN(Cc1csc(Cl)c1)CC(C)(C)O ZINC000379001202 993208165 /nfs/dbraw/zinc/20/81/65/993208165.db2.gz URYHMRUFKIOFLQ-UHFFFAOYSA-N 0 3 247.791 2.994 20 0 BFADHN FC1(F)CC[C@@H]2CN(Cc3cccnc3)C[C@@H]21 ZINC000585381627 993261830 /nfs/dbraw/zinc/26/18/30/993261830.db2.gz RTVJLPPQWSULRZ-NEPJUHHUSA-N 0 3 238.281 2.559 20 0 BFADHN C[C@@]1(CO)CCN(Cc2cccc(Cl)c2)C1 ZINC000381492607 993399432 /nfs/dbraw/zinc/39/94/32/993399432.db2.gz QWYDUZKMHLZAMR-CYBMUJFWSA-N 0 3 239.746 2.544 20 0 BFADHN Cc1c2[nH]c(CC3CC3)nc2ccc1F ZINC000587958669 993464165 /nfs/dbraw/zinc/46/41/65/993464165.db2.gz FHWRBFOKMOZNLW-UHFFFAOYSA-N 0 3 204.248 2.963 20 0 BFADHN CCCC[C@H](N)C(=O)N1C[C@@H](C)CCC[C@@H]1C ZINC001577400731 993640453 /nfs/dbraw/zinc/64/04/53/993640453.db2.gz GYSQYGRKJSFAER-AVGNSLFASA-N 0 3 240.391 2.541 20 0 BFADHN Cc1nc2c(n1C1C[C@@H](C)C[C@H](C)C1)CNCC2 ZINC000385416049 993659455 /nfs/dbraw/zinc/65/94/55/993659455.db2.gz VIVPRSVVJCNSCZ-QWRGUYRKSA-N 0 3 247.386 2.834 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cccnc2F)C1 ZINC000725377815 994101045 /nfs/dbraw/zinc/10/10/45/994101045.db2.gz AFAKAJDYSUEHQM-PHIMTYICSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cccnc2F)C1 ZINC000725377814 994103421 /nfs/dbraw/zinc/10/34/21/994103421.db2.gz AFAKAJDYSUEHQM-GHMZBOCLSA-N 0 3 222.307 2.699 20 0 BFADHN Cc1cc(C)cc(CCN2CC3(C2)CCOC3)c1 ZINC001333369533 994453891 /nfs/dbraw/zinc/45/38/91/994453891.db2.gz AGDLCWVGDGPGDV-UHFFFAOYSA-N 0 3 245.366 2.568 20 0 BFADHN Cc1ccccc1NC(=O)C1(N(C)C)CCCC1 ZINC001290155080 994529992 /nfs/dbraw/zinc/52/99/92/994529992.db2.gz NCLWJSHXPVRKTC-UHFFFAOYSA-N 0 3 246.354 2.808 20 0 BFADHN CC[C@H](C)[C@H](C(=O)OC)N1CCC(CC)CC1 ZINC001307459600 995369619 /nfs/dbraw/zinc/36/96/19/995369619.db2.gz XCJFIGCBUNVVIT-WCQYABFASA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@@H](C)[C@H](C(=O)OC)N(C)C[C@@H](C)CC ZINC001307472880 995373187 /nfs/dbraw/zinc/37/31/87/995373187.db2.gz NXUCKZCMQSDORQ-QJPTWQEYSA-N 0 3 229.364 2.552 20 0 BFADHN CCC[C@@H]1CCCN1Cc1nocc1C ZINC001308134825 995563061 /nfs/dbraw/zinc/56/30/61/995563061.db2.gz OSNCFYVONVNUEI-LLVKDONJSA-N 0 3 208.305 2.748 20 0 BFADHN Cc1n[nH]cc1CNC/C=C\c1ccccc1 ZINC001308195572 995595660 /nfs/dbraw/zinc/59/56/60/995595660.db2.gz LOTWYJDUQAKACD-YVMONPNESA-N 0 3 227.311 2.521 20 0 BFADHN CCC[C@@H](N[C@H]1C[C@@H](O)C1)c1ccsc1 ZINC000295996074 398136896 /nfs/dbraw/zinc/13/68/96/398136896.db2.gz PZTKSYIRKOJRPO-GRYCIOLGSA-N 0 3 225.357 2.702 20 0 BFADHN CCc1cccnc1[C@@H](C)NCCSC ZINC000309056406 349439025 /nfs/dbraw/zinc/43/90/25/349439025.db2.gz UOHWTAUPUIYSQP-SNVBAGLBSA-N 0 3 224.373 2.658 20 0 BFADHN CCN(Cc1cc(C(=O)OC)co1)CC(C)C ZINC000171109089 346807284 /nfs/dbraw/zinc/80/72/84/346807284.db2.gz JNBVSBRXNFKLGL-UHFFFAOYSA-N 0 3 239.315 2.544 20 0 BFADHN COCC[C@H](C)N(C)Cc1ccccc1F ZINC000268002500 538915899 /nfs/dbraw/zinc/91/58/99/538915899.db2.gz CRCAFAHMIWWACG-NSHDSACASA-N 0 3 225.307 2.683 20 0 BFADHN CC(C)[C@@H](CO)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000092394437 398139184 /nfs/dbraw/zinc/13/91/84/398139184.db2.gz NISHBEMDEYZKQZ-CYZMBNFOSA-N 0 3 237.343 2.510 20 0 BFADHN CCC(CC)(CC)NCc1cnns1 ZINC000336677294 168317036 /nfs/dbraw/zinc/31/70/36/168317036.db2.gz DIQHALKFQFJAIY-UHFFFAOYSA-N 0 3 213.350 2.597 20 0 BFADHN CC(C)[C@H]1C[C@H](NCc2ccoc2)CCO1 ZINC000161530301 349460901 /nfs/dbraw/zinc/46/09/01/349460901.db2.gz YLOVROXLPLUIPU-CHWSQXEVSA-N 0 3 223.316 2.573 20 0 BFADHN CN(Cc1ccccc1F)[C@@H]1CCCC[C@H]1O ZINC000230946429 398142967 /nfs/dbraw/zinc/14/29/67/398142967.db2.gz UPINMPWXKOKCEI-ZIAGYGMSSA-N 0 3 237.318 2.561 20 0 BFADHN CCC(CC)(CNCc1cnc(C)s1)OC ZINC000291812167 168325157 /nfs/dbraw/zinc/32/51/57/168325157.db2.gz YHCWRVRZYKUZHT-UHFFFAOYSA-N 0 3 242.388 2.746 20 0 BFADHN CCC(CC)(CNCc1n[nH]cc1C)SC ZINC000289431854 168325709 /nfs/dbraw/zinc/32/57/09/168325709.db2.gz DUROEGNJOGBYRX-UHFFFAOYSA-N 0 3 241.404 2.730 20 0 BFADHN CCC(CC)(CNCc1cccc(O)c1)OC ZINC000291671603 168326115 /nfs/dbraw/zinc/32/61/15/168326115.db2.gz GNBXZWAMWCSDKC-UHFFFAOYSA-N 0 3 237.343 2.687 20 0 BFADHN COCCCCN[C@H](C)c1ccncc1Cl ZINC000421821618 398147371 /nfs/dbraw/zinc/14/73/71/398147371.db2.gz SIVFBGKBBAJJAF-SNVBAGLBSA-N 0 3 242.750 2.812 20 0 BFADHN CC1CCC(CN2CCC(=O)[C@H](C)C2)CC1 ZINC000063145682 349499502 /nfs/dbraw/zinc/49/95/02/349499502.db2.gz RQBXVUUJMFCXPT-OTTFEQOBSA-N 0 3 223.360 2.724 20 0 BFADHN C[C@H](CCO)N(C)Cc1ccc(Cl)s1 ZINC000649866223 398148750 /nfs/dbraw/zinc/14/87/50/398148750.db2.gz NMCLWZUJTNZPHA-MRVPVSSYSA-N 0 3 233.764 2.604 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1Cc1coc2ccccc12 ZINC000421377898 192322690 /nfs/dbraw/zinc/32/26/90/192322690.db2.gz SINFAZWXUWDZSE-DGCLKSJQSA-N 0 3 245.322 2.778 20 0 BFADHN Cc1cc(CN2C[C@H](C)CC[C@H]2C)on1 ZINC000266543856 178007185 /nfs/dbraw/zinc/00/71/85/178007185.db2.gz IYUIKIMTEIFAPE-MWLCHTKSSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)no1 ZINC000336662309 178007669 /nfs/dbraw/zinc/00/76/69/178007669.db2.gz JRSJHTYXYGDOPQ-SCVCMEIPSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1cc(CN2[C@H](C)CCC[C@H]2C)on1 ZINC000066531711 178008761 /nfs/dbraw/zinc/00/87/61/178008761.db2.gz VAOMSKFBEBFPPD-GHMZBOCLSA-N 0 3 208.305 2.746 20 0 BFADHN Cc1cc(CNC2CCCCCC2)nn1C ZINC000300830174 178018298 /nfs/dbraw/zinc/01/82/98/178018298.db2.gz FEBWZLYOCPJXHN-UHFFFAOYSA-N 0 3 221.348 2.541 20 0 BFADHN Cc1cc(CNC2CCCCCC2)on1 ZINC000044301468 178018796 /nfs/dbraw/zinc/01/87/96/178018796.db2.gz SRGMJMHSGQOLAS-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN C[C@@H](CCO)N(C)Cc1ccc(F)c(Cl)c1 ZINC000649870485 398158004 /nfs/dbraw/zinc/15/80/04/398158004.db2.gz BDFPTRTXGMNVNV-VIFPVBQESA-N 0 3 245.725 2.682 20 0 BFADHN Cc1cc(CNCCNc2ccccn2)cs1 ZINC000295512156 178020867 /nfs/dbraw/zinc/02/08/67/178020867.db2.gz UZUJJYJVEJYSNV-UHFFFAOYSA-N 0 3 247.367 2.653 20 0 BFADHN Cc1cc(CNC[C@@H](C)Cc2ccccc2)on1 ZINC000187364932 178023107 /nfs/dbraw/zinc/02/31/07/178023107.db2.gz LRKACPSQTNUYJZ-LBPRGKRZSA-N 0 3 244.338 2.951 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC(F)(F)C2)no1 ZINC000302484573 178024985 /nfs/dbraw/zinc/02/49/85/178024985.db2.gz RHXOKPGKZVEQGW-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN Cc1cc(CN[C@@H](C)CF)cc2cccnc12 ZINC000342206030 178028823 /nfs/dbraw/zinc/02/88/23/178028823.db2.gz QTZYPHNTEURHHM-NSHDSACASA-N 0 3 232.302 2.991 20 0 BFADHN Cc1cc(CN[C@@H](C)c2sccc2C)n(C)n1 ZINC000284310247 178031924 /nfs/dbraw/zinc/03/19/24/178031924.db2.gz LGOWLQWNZDJJCD-NSHDSACASA-N 0 3 249.383 2.949 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)ncn1 ZINC000272628313 178033093 /nfs/dbraw/zinc/03/30/93/178033093.db2.gz AHJHDWADWOJMBQ-MPKXVKKWSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H](C)O[C@H]2C)ccc1F ZINC000294789551 178035012 /nfs/dbraw/zinc/03/50/12/178035012.db2.gz OJQGNNJNGJLULL-COPLHBTASA-N 0 3 237.318 2.790 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCC2(C)C)nn1C ZINC000334234092 136215612 /nfs/dbraw/zinc/21/56/12/136215612.db2.gz PHWQDWZTQWTXAQ-PWSUYJOCSA-N 0 3 221.348 2.568 20 0 BFADHN CCOC1CC(CN(C)Cc2ccc(C)cn2)C1 ZINC000649904160 398173218 /nfs/dbraw/zinc/17/32/18/398173218.db2.gz NDNAYJDLXNRNNJ-UHFFFAOYSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cc(CN[C@@H]2COCC2(C)C)cc(C)c1O ZINC000313026765 178035834 /nfs/dbraw/zinc/03/58/34/178035834.db2.gz IPEVBJZUSZVFGV-CYBMUJFWSA-N 0 3 249.354 2.524 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2ccc(F)cc2)on1 ZINC000125606159 178036704 /nfs/dbraw/zinc/03/67/04/178036704.db2.gz OSYXEDQDMSVRGM-SNVBAGLBSA-N 0 3 248.301 2.843 20 0 BFADHN CC(C)CCOCCN1CC[C@@]2(CC2(F)F)C1 ZINC000649903362 398173995 /nfs/dbraw/zinc/17/39/95/398173995.db2.gz MDJYPEHNVBVQMF-GFCCVEGCSA-N 0 3 247.329 2.780 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)[C@@H]1C)c1ccccn1 ZINC000379841768 398173963 /nfs/dbraw/zinc/17/39/63/398173963.db2.gz GWZMPIYNXRQUBL-BLFANLJRSA-N 0 3 204.317 2.777 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@H]2CCCF)on1 ZINC000337993686 178039902 /nfs/dbraw/zinc/03/99/02/178039902.db2.gz KUSLQGWUYKDPEF-AAEUAGOBSA-N 0 3 240.322 2.991 20 0 BFADHN COCC[C@H](C)N1CCc2ccc(F)cc2C1 ZINC000269696549 538920881 /nfs/dbraw/zinc/92/08/81/538920881.db2.gz XJWBVFNCBATAAC-NSHDSACASA-N 0 3 237.318 2.609 20 0 BFADHN Cc1cc(CN[C@H]2CCO[C@H]2C2CC2)cs1 ZINC000336668258 178040129 /nfs/dbraw/zinc/04/01/29/178040129.db2.gz QZFJSGCWRDNQON-STQMWFEESA-N 0 3 237.368 2.714 20 0 BFADHN Cc1cc(CN[C@H]2CCCC[C@@H]2C)on1 ZINC000044300974 178040736 /nfs/dbraw/zinc/04/07/36/178040736.db2.gz GILOGGCZDLSGPV-CABZTGNLSA-N 0 3 208.305 2.651 20 0 BFADHN CC(C)(C)n1cc(CN[C@@H]2C[C@H]2C2CCC2)cn1 ZINC000424205032 398175526 /nfs/dbraw/zinc/17/55/26/398175526.db2.gz VZWPTEGFIUCLQK-UONOGXRCSA-N 0 3 247.386 2.916 20 0 BFADHN F[C@]1(c2ccccc2)C[C@@H]1NC[C@@H]1CCCOC1 ZINC000424207060 398176714 /nfs/dbraw/zinc/17/67/14/398176714.db2.gz XEEXAVBXOKFQSI-QEJZJMRPSA-N 0 3 249.329 2.640 20 0 BFADHN C[C@@H](c1ccccn1)N1CC[C@@]2(CC2(F)F)C1 ZINC000649907535 398180911 /nfs/dbraw/zinc/18/09/11/398180911.db2.gz UPYSKWBNBDCEPQ-CMPLNLGQSA-N 0 3 238.281 2.874 20 0 BFADHN Cc1cc(C[C@H](C)N[C@@H](C)c2ccco2)[nH]n1 ZINC000090532997 178057718 /nfs/dbraw/zinc/05/77/18/178057718.db2.gz OCDSNAUILRJYBK-ONGXEEELSA-N 0 3 233.315 2.593 20 0 BFADHN Cc1cc(C[C@H](C)N[C@@H](C)c2ccco2)n[nH]1 ZINC000090532997 178057720 /nfs/dbraw/zinc/05/77/20/178057720.db2.gz OCDSNAUILRJYBK-ONGXEEELSA-N 0 3 233.315 2.593 20 0 BFADHN C[C@H]1C[C@@H]1CN1C[C@@H](C)NCc2ccccc21 ZINC000415095651 398160941 /nfs/dbraw/zinc/16/09/41/398160941.db2.gz CCBUKYQCOPENOJ-OUCADQQQSA-N 0 3 230.355 2.641 20 0 BFADHN C[C@H]1CC[C@H](O)CN1Cc1coc2ccccc12 ZINC000421377896 192323350 /nfs/dbraw/zinc/32/33/50/192323350.db2.gz SINFAZWXUWDZSE-AAEUAGOBSA-N 0 3 245.322 2.778 20 0 BFADHN Cc1cc(Cl)cc(CN2C[C@@H](O)C[C@H]2C)c1 ZINC000353145082 178064385 /nfs/dbraw/zinc/06/43/85/178064385.db2.gz LIZAPXNILPFVKO-MFKMUULPSA-N 0 3 239.746 2.604 20 0 BFADHN Cc1cc(Cl)ccc1CNC[C@H]1CCCO1 ZINC000128711835 178066154 /nfs/dbraw/zinc/06/61/54/178066154.db2.gz UUFGHAUEFKMLCC-CYBMUJFWSA-N 0 3 239.746 2.917 20 0 BFADHN Cc1cc(Cl)ccc1CN1CCC[C@@H]1CO ZINC000136151043 178067166 /nfs/dbraw/zinc/06/71/66/178067166.db2.gz OTXFQAQPUUYOGQ-CYBMUJFWSA-N 0 3 239.746 2.605 20 0 BFADHN CC(F)(F)CCCNCc1nccs1 ZINC000390215411 398164494 /nfs/dbraw/zinc/16/44/94/398164494.db2.gz XAPOQLZKUQWDGM-UHFFFAOYSA-N 0 3 220.288 2.668 20 0 BFADHN Cc1cc(Cl)ccc1CN[C@H]1CCCOC1 ZINC000129494738 178067672 /nfs/dbraw/zinc/06/76/72/178067672.db2.gz BBWSOJKGZNDUSD-ZDUSSCGKSA-N 0 3 239.746 2.917 20 0 BFADHN CC1(C)OCC[C@@H]1N[C@@H]1CCCc2cccnc21 ZINC000385469530 398165564 /nfs/dbraw/zinc/16/55/64/398165564.db2.gz BWPFCBKQABOJMU-OLZOCXBDSA-N 0 3 246.354 2.616 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1c(C)noc1C)OC ZINC000386195137 398199246 /nfs/dbraw/zinc/19/92/46/398199246.db2.gz ZXWRMISIQOBWMS-SKDRFNHKSA-N 0 3 240.347 2.757 20 0 BFADHN C[C@@H](N[C@H]1CSC1(C)C)c1ccncc1 ZINC000283443512 398186939 /nfs/dbraw/zinc/18/69/39/398186939.db2.gz UBHUQRISKRXZEP-KOLCDFICSA-N 0 3 222.357 2.626 20 0 BFADHN Cc1cn[nH]c1CN(C)Cc1ccc(F)cc1C ZINC000356408807 178084949 /nfs/dbraw/zinc/08/49/49/178084949.db2.gz SFGPYEXRYYSTQF-UHFFFAOYSA-N 0 3 247.317 2.798 20 0 BFADHN COc1c(C)cnc(CN2CCC[C@@H]2C)c1C ZINC000072875263 398189321 /nfs/dbraw/zinc/18/93/21/398189321.db2.gz ZICQCHJPRMUVIA-NSHDSACASA-N 0 3 234.343 2.691 20 0 BFADHN Cc1cc(F)ccc1CN1CCC[C@]12CCOC2 ZINC000375325848 178085293 /nfs/dbraw/zinc/08/52/93/178085293.db2.gz HROKWPWIMXONSD-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1cc(F)ccc1CN1CCC2(CCO2)CC1 ZINC000368528619 178085905 /nfs/dbraw/zinc/08/59/05/178085905.db2.gz SESJAUQBJAHEHB-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN CCC[C@@H]1C[C@H]1NCc1ccc(CC)nc1 ZINC000336748149 398197277 /nfs/dbraw/zinc/19/72/77/398197277.db2.gz XWMRKGXZEUMPNB-TZMCWYRMSA-N 0 3 218.344 2.922 20 0 BFADHN CCC[C@H]1C[C@H]1NCc1ccc(CC)nc1 ZINC000336748146 398197712 /nfs/dbraw/zinc/19/77/12/398197712.db2.gz XWMRKGXZEUMPNB-GXTWGEPZSA-N 0 3 218.344 2.922 20 0 BFADHN CN(Cc1cncnc1)C1CCCCCC1 ZINC000271019832 538923773 /nfs/dbraw/zinc/92/37/73/538923773.db2.gz ALWBZOCYJIGNPF-UHFFFAOYSA-N 0 3 219.332 2.631 20 0 BFADHN C[C@H]1CCN(Cc2cccc(F)c2N)[C@H]1C ZINC000380577635 398201204 /nfs/dbraw/zinc/20/12/04/398201204.db2.gz ACAKRSMEFMPJDQ-UWVGGRQHSA-N 0 3 222.307 2.638 20 0 BFADHN CC(C)(C)CCCN[C@@H]1CCn2ccnc21 ZINC000313291546 343982820 /nfs/dbraw/zinc/98/28/20/343982820.db2.gz HGNKOJRHHSVGQV-LLVKDONJSA-N 0 3 221.348 2.744 20 0 BFADHN CS[C@@H]1CCN(Cc2ccc(C#N)cc2C)C1 ZINC000382954323 398205755 /nfs/dbraw/zinc/20/57/55/398205755.db2.gz PLNNWJIRJTVXCT-CQSZACIVSA-N 0 3 246.379 2.804 20 0 BFADHN CC(C)C[C@@H](C)CN1CCOCC12CC2 ZINC000338593099 187327760 /nfs/dbraw/zinc/32/77/60/187327760.db2.gz OBXRSSSCLNOMKY-GFCCVEGCSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1cc(NC(=O)C(C)C(F)(F)F)sn1 ZINC000336486954 178144563 /nfs/dbraw/zinc/14/45/63/178144563.db2.gz HJNRRNNPAVLZSI-RXMQYKEDSA-N 0 3 238.234 2.588 20 0 BFADHN CC[C@H](C)N[C@H](c1cnn(C)c1)c1ccccc1 ZINC000273313753 538927026 /nfs/dbraw/zinc/92/70/26/538927026.db2.gz XJQSQHZECLLEOV-WFASDCNBSA-N 0 3 243.354 2.898 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)CC[C@@H]1C)c1ccn(C)n1 ZINC000414173905 398218994 /nfs/dbraw/zinc/21/89/94/398218994.db2.gz GYVYOJVRSRRKEC-OWTLIXCDSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1cc(NCC(C)(C)CO)c2ccccc2n1 ZINC000124287460 178155965 /nfs/dbraw/zinc/15/59/65/178155965.db2.gz GYVXXIOHOGZMHH-UHFFFAOYSA-N 0 3 244.338 2.974 20 0 BFADHN CCC[C@@H](O)CN[C@H]1CCc2ccc(F)cc21 ZINC000163606291 538929733 /nfs/dbraw/zinc/92/97/33/538929733.db2.gz KBSQPADKHIJBSD-OCCSQVGLSA-N 0 3 237.318 2.564 20 0 BFADHN CCC(CC)CN(C)Cc1cccnc1 ZINC000183659335 168347007 /nfs/dbraw/zinc/34/70/07/168347007.db2.gz ONOAGYLTKNIPNK-UHFFFAOYSA-N 0 3 206.333 2.950 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@@H]3CCC[C@H]3C2)cn1 ZINC000428214429 398222481 /nfs/dbraw/zinc/22/24/81/398222481.db2.gz ZGXLMDCHHDZWLJ-AVGNSLFASA-N 0 3 231.343 2.578 20 0 BFADHN CCOCCCN[C@H](C)c1nc(C)sc1C ZINC000020092332 538930701 /nfs/dbraw/zinc/93/07/01/538930701.db2.gz WLUIXBVVQRSAID-SECBINFHSA-N 0 3 242.388 2.837 20 0 BFADHN Nc1c(F)cccc1CN[C@@H]1CC12CCCC2 ZINC000386985120 398224095 /nfs/dbraw/zinc/22/40/95/398224095.db2.gz JCJIKROAXBTOTR-GFCCVEGCSA-N 0 3 234.318 2.830 20 0 BFADHN CC(C)OC(=O)CCN(C)Cc1cccs1 ZINC000075615835 398224364 /nfs/dbraw/zinc/22/43/64/398224364.db2.gz QGKBOVYGVHQDDT-UHFFFAOYSA-N 0 3 241.356 2.522 20 0 BFADHN FC(F)O[C@H]1CCN(Cc2ccccc2)C1 ZINC000558864397 398229489 /nfs/dbraw/zinc/22/94/89/398229489.db2.gz SMOCCWSVANYEJQ-NSHDSACASA-N 0 3 227.254 2.500 20 0 BFADHN CCCCNCc1cnc2ccccc2n1 ZINC000037197950 361740145 /nfs/dbraw/zinc/74/01/45/361740145.db2.gz PJLJLBKKQQYQLU-UHFFFAOYSA-N 0 3 215.300 2.520 20 0 BFADHN COC[C@@H]1CCN1Cc1cccc(C2CC2)c1 ZINC000421380107 192324459 /nfs/dbraw/zinc/32/44/59/192324459.db2.gz JMJRHKVARWRREY-HNNXBMFYSA-N 0 3 231.339 2.785 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1Cc1ccc2occc2c1 ZINC000421383543 192324946 /nfs/dbraw/zinc/32/49/46/192324946.db2.gz ZGPWOUFLXLYHFP-RISCZKNCSA-N 0 3 245.322 2.778 20 0 BFADHN Cc1ccnc([C@@H](C)NCCCC2(O)CCC2)c1 ZINC000421388613 192327043 /nfs/dbraw/zinc/32/70/43/192327043.db2.gz QEDRJINHSLNOPR-CYBMUJFWSA-N 0 3 248.370 2.736 20 0 BFADHN CCOC(=O)c1coc(CNC[C@H](C)CC)c1 ZINC000421388553 192327089 /nfs/dbraw/zinc/32/70/89/192327089.db2.gz UIVLTJBBYIHGGO-SNVBAGLBSA-N 0 3 239.315 2.592 20 0 BFADHN CCC(CC)CN1CCO[C@H](COC(C)C)C1 ZINC000373488991 168351744 /nfs/dbraw/zinc/35/17/44/168351744.db2.gz HFSWIHWWNDHJAO-AWEZNQCLSA-N 0 3 243.391 2.548 20 0 BFADHN CCC(CC)CN1CCO[C@H](C(C)C)C1 ZINC000353162557 168353040 /nfs/dbraw/zinc/35/30/40/168353040.db2.gz JYOAMAMJKNEKMU-ZDUSSCGKSA-N 0 3 213.365 2.779 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCCN2C)s1 ZINC000421399891 192330174 /nfs/dbraw/zinc/33/01/74/192330174.db2.gz BAKGECZTRKOMSY-LBPRGKRZSA-N 0 3 238.400 2.583 20 0 BFADHN Cc1cc([C@@H](C)NCC2(C)COC2)oc1C ZINC000282105864 178221887 /nfs/dbraw/zinc/22/18/87/178221887.db2.gz IVHTXINGNUKGKU-SNVBAGLBSA-N 0 3 223.316 2.584 20 0 BFADHN Cc1cc([C@@H](C)NCC2(C(C)C)CC2)nn1C ZINC000337394429 178222186 /nfs/dbraw/zinc/22/21/86/178222186.db2.gz BKLJJYABMMPSHO-GFCCVEGCSA-N 0 3 235.375 2.815 20 0 BFADHN Cc1cc([C@@H](C)NC2CCC(O)CC2)oc1C ZINC000337364468 178222307 /nfs/dbraw/zinc/22/23/07/178222307.db2.gz ATOFHYYXFZENEC-QFWMXSHPSA-N 0 3 237.343 2.851 20 0 BFADHN Cc1cc([C@@H](C)NC(C2CC2)C2CC2)nn1C ZINC000337422887 178222648 /nfs/dbraw/zinc/22/26/48/178222648.db2.gz GCCPCAMONPVVMM-SNVBAGLBSA-N 0 3 233.359 2.568 20 0 BFADHN Cc1cc([C@@H](C)NCC2CC(F)(F)C2)no1 ZINC000309556173 178223072 /nfs/dbraw/zinc/22/30/72/178223072.db2.gz JSIMCYGYZQQBNM-MRVPVSSYSA-N 0 3 230.258 2.679 20 0 BFADHN Cc1cc([C@@H](C)NCC2(C3CC3)CC2)nn1C ZINC000337494742 178223367 /nfs/dbraw/zinc/22/33/67/178223367.db2.gz XUNOWAUUYIVHSL-LLVKDONJSA-N 0 3 233.359 2.569 20 0 BFADHN Cc1cc([C@@H](C)NCCCC(F)(F)F)nn1C ZINC000282185947 178224691 /nfs/dbraw/zinc/22/46/91/178224691.db2.gz NCCRZVCMOFJUJC-SECBINFHSA-N 0 3 249.280 2.722 20 0 BFADHN CCOCCN[C@@H]1CCCOc2ccc(C)cc21 ZINC000161927459 349522272 /nfs/dbraw/zinc/52/22/72/349522272.db2.gz BZLBUVLVZULOEF-CQSZACIVSA-N 0 3 249.354 2.835 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2CC2(C)C)no1 ZINC000336763471 178227168 /nfs/dbraw/zinc/22/71/68/178227168.db2.gz PEVUWJKUAYOSEJ-NXEZZACHSA-N 0 3 208.305 2.680 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2CCOC2)oc1C ZINC000282329323 178228582 /nfs/dbraw/zinc/22/85/82/178228582.db2.gz URIKNCYLYGYWMH-ZYHUDNBSSA-N 0 3 223.316 2.584 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCCOC2)ccc1F ZINC000336228118 178230856 /nfs/dbraw/zinc/23/08/56/178230856.db2.gz PSZDYMUPUDKQDA-DGCLKSJQSA-N 0 3 237.318 2.964 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)Cn2ccnc2)c(C)o1 ZINC000037158388 178232245 /nfs/dbraw/zinc/23/22/45/178232245.db2.gz BDEPPFDOOZSTIG-ZYHUDNBSSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)CCC2CC2)nn1C ZINC000337458870 178232383 /nfs/dbraw/zinc/23/23/83/178232383.db2.gz JVGIUWHWYGGYLW-ZYHUDNBSSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1nocc1CN1CC[C@H](C)C[C@H](C)C1 ZINC000334290465 136225154 /nfs/dbraw/zinc/22/51/54/136225154.db2.gz KZNZRUPKIANGPD-QWRGUYRKSA-N 0 3 222.332 2.851 20 0 BFADHN C[C@@H]1CN(CC2CC(C)(C)C2)C[C@@H](C)C1=O ZINC000625555871 343989405 /nfs/dbraw/zinc/98/94/05/343989405.db2.gz XYTZRELOOHVFBU-GHMZBOCLSA-N 0 3 223.360 2.580 20 0 BFADHN Cc1cc([C@@H]2CCCN2CC2CC2)on1 ZINC000336564943 178241542 /nfs/dbraw/zinc/24/15/42/178241542.db2.gz ITHNOZNVTDLCKV-NSHDSACASA-N 0 3 206.289 2.530 20 0 BFADHN Cc1cc([C@H](C)NCC2(C)CCC2)nn1C ZINC000336721742 178245404 /nfs/dbraw/zinc/24/54/04/178245404.db2.gz AMZKSYXWNFTNPO-NSHDSACASA-N 0 3 221.348 2.569 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2cccc3c2OCO3)C1 ZINC000430862015 398233478 /nfs/dbraw/zinc/23/34/78/398233478.db2.gz CLXYLWBHFYROSK-LLVKDONJSA-N 0 3 245.322 2.813 20 0 BFADHN Cc1cc([C@H](C)NCCC2(F)CCC2)nn1C ZINC000340389113 178247040 /nfs/dbraw/zinc/24/70/40/178247040.db2.gz DEBWXXQUPXZTSL-NSHDSACASA-N 0 3 239.338 2.661 20 0 BFADHN Cc1cc([C@H](C)NCC2CCCC2)no1 ZINC000282662519 178247199 /nfs/dbraw/zinc/24/71/99/178247199.db2.gz MBJKBUSXYAOKCR-JTQLQIEISA-N 0 3 208.305 2.824 20 0 BFADHN CN(C)Cc1ccc(-c2noc(C3CC3)n2)cc1 ZINC000267053852 187333000 /nfs/dbraw/zinc/33/30/00/187333000.db2.gz KIKQILSFSSCDMS-UHFFFAOYSA-N 0 3 243.310 2.676 20 0 BFADHN Cc1cc([C@H](C)NCCN2CCCC2)c(C)o1 ZINC000020438842 178247315 /nfs/dbraw/zinc/24/73/15/178247315.db2.gz UHTPVUMZUNHNNY-LBPRGKRZSA-N 0 3 236.359 2.643 20 0 BFADHN Cc1cc([C@H](C)NCCN(C)C(C)C)oc1C ZINC000337414751 178247557 /nfs/dbraw/zinc/24/75/57/178247557.db2.gz YHNGYRHSVINORN-LBPRGKRZSA-N 0 3 238.375 2.887 20 0 BFADHN Cc1cc([C@H](C)NCCc2cnccn2)oc1C ZINC000337499575 178247985 /nfs/dbraw/zinc/24/79/85/178247985.db2.gz ZXRHFNLOLQOIBG-NSHDSACASA-N 0 3 245.326 2.580 20 0 BFADHN Cc1cc([C@H](C)NCCn2ccnc2)c(C)s1 ZINC000041119360 178247997 /nfs/dbraw/zinc/24/79/97/178247997.db2.gz NCWVQDSWPHFTRX-NSHDSACASA-N 0 3 249.383 2.912 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCCO2)oc1C ZINC000282155359 178248177 /nfs/dbraw/zinc/24/81/77/178248177.db2.gz LJWUIHLOXFCOGF-JQWIXIFHSA-N 0 3 223.316 2.726 20 0 BFADHN Cc1cc([C@H](C)NCCn2ccnc2C)oc1C ZINC000282360659 178248970 /nfs/dbraw/zinc/24/89/70/178248970.db2.gz WDFXKFACILFTIZ-NSHDSACASA-N 0 3 247.342 2.752 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCCOC2)oc1C ZINC000282337647 178249500 /nfs/dbraw/zinc/24/95/00/178249500.db2.gz VBPZHGICYAMUDK-AAEUAGOBSA-N 0 3 237.343 2.974 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](C)CCO)oc1C ZINC000282353446 178250079 /nfs/dbraw/zinc/25/00/79/178250079.db2.gz VVWMKNRRJKKJAG-KOLCDFICSA-N 0 3 225.332 2.566 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](O)C(C)(C)C)c(C)o1 ZINC000302062922 178250495 /nfs/dbraw/zinc/25/04/95/178250495.db2.gz YPLOHTBCCWYOFL-GWCFXTLKSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](C)C[C@@H](C)O)c(C)o1 ZINC000278825030 178250535 /nfs/dbraw/zinc/25/05/35/178250535.db2.gz SUGGCVBTLXNUNU-FOGDFJRCSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)NCc2cnc[nH]2)c(C)s1 ZINC000054764851 178250893 /nfs/dbraw/zinc/25/08/93/178250893.db2.gz MQTYXLYTHZRUHC-VIFPVBQESA-N 0 3 235.356 2.939 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](O)C(C)C)c(C)o1 ZINC000229972829 178250943 /nfs/dbraw/zinc/25/09/43/178250943.db2.gz BYUQGXNVVJREEZ-GWCFXTLKSA-N 0 3 225.332 2.564 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CCCO2)ccc1F ZINC000268723017 178250968 /nfs/dbraw/zinc/25/09/68/178250968.db2.gz PMPDMNDPVHHSQZ-WCQYABFASA-N 0 3 237.318 2.964 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC3CCC2CC3)nn1C ZINC000337401399 178254065 /nfs/dbraw/zinc/25/40/65/178254065.db2.gz DCIYMYAOVPCSBD-LFUGVXIPSA-N 0 3 247.386 2.958 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC=CCC2)no1 ZINC000290682580 178254093 /nfs/dbraw/zinc/25/40/93/178254093.db2.gz MBILYQJLTXDLER-WDEREUQCSA-N 0 3 206.289 2.742 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)CC2CC2)nn1C ZINC000336745101 178252488 /nfs/dbraw/zinc/25/24/88/178252488.db2.gz QOILNLXACXTAND-ONGXEEELSA-N 0 3 221.348 2.568 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCOC2)c(C)s1 ZINC000070966963 178254556 /nfs/dbraw/zinc/25/45/56/178254556.db2.gz CSYZZNKAOTYFSV-GXSJLCMTSA-N 0 3 225.357 2.804 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)CCC2CC2)nn1C ZINC000337458869 178255078 /nfs/dbraw/zinc/25/50/78/178255078.db2.gz JVGIUWHWYGGYLW-PWSUYJOCSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)C2CCCC2)nn1C ZINC000337518038 178256183 /nfs/dbraw/zinc/25/61/83/178256183.db2.gz NKLZKEOVHJIOEZ-NEPJUHHUSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC[C@H](C)C2)nn1C ZINC000336727662 178256384 /nfs/dbraw/zinc/25/63/84/178256384.db2.gz CCLQFCHRNYPSRO-ZMLRMANQSA-N 0 3 221.348 2.568 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2COCC2(C)C)c(C)o1 ZINC000313007226 178256422 /nfs/dbraw/zinc/25/64/22/178256422.db2.gz RAENRYLIBLODNM-GXFFZTMASA-N 0 3 237.343 2.972 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCN(C3CC3)C2)oc1C ZINC000337403456 178258304 /nfs/dbraw/zinc/25/83/04/178258304.db2.gz QXEOXQGUBYCRLC-AAEUAGOBSA-N 0 3 248.370 2.784 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)[C@H]2CCOC2)oc1C ZINC000282208270 178258655 /nfs/dbraw/zinc/25/86/55/178258655.db2.gz OHKQTDJVFNLQOT-MDZLAQPJSA-N 0 3 237.343 2.972 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CC[C@@H](CO)C2)c(C)o1 ZINC000296259368 178258827 /nfs/dbraw/zinc/25/88/27/178258827.db2.gz DDONQXROBIDTGG-UHTWSYAYSA-N 0 3 237.343 2.708 20 0 BFADHN CC(C)C[C@H](NC[C@@H](C)N(C)C)c1ccccn1 ZINC000268853850 187333813 /nfs/dbraw/zinc/33/38/13/187333813.db2.gz HXPCXSHWQCHIFH-HIFRSBDPSA-N 0 3 249.402 2.709 20 0 BFADHN CCC(CC)CNCc1ccc(COC)o1 ZINC000127580048 168362087 /nfs/dbraw/zinc/36/20/87/168362087.db2.gz WXJPYTBBQHPKBX-UHFFFAOYSA-N 0 3 225.332 2.952 20 0 BFADHN Cc1oncc1-c1cccc(CN(C)C)c1 ZINC000649436743 398238570 /nfs/dbraw/zinc/23/85/70/398238570.db2.gz QXSHEALOAYVDEX-UHFFFAOYSA-N 0 3 216.284 2.712 20 0 BFADHN CCC(CC)CNCc1nc(C)cs1 ZINC000037988470 168363401 /nfs/dbraw/zinc/36/34/01/168363401.db2.gz LBQSKJPJKFRVPR-UHFFFAOYSA-N 0 3 212.362 2.977 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](C)c1cscn1 ZINC000576480899 365607628 /nfs/dbraw/zinc/60/76/28/365607628.db2.gz PSQPPAMAVGOAQF-OPRDCNLKSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@H]1C[C@H](c2ccccc2)N(Cc2c[nH]cn2)C1 ZINC000285888172 538942529 /nfs/dbraw/zinc/94/25/29/538942529.db2.gz ZATRKNTUHYRPCX-SWLSCSKDSA-N 0 3 241.338 2.993 20 0 BFADHN C[C@H]1C[C@H](c2ccccc2)N(Cc2cnc[nH]2)C1 ZINC000285888172 538942531 /nfs/dbraw/zinc/94/25/31/538942531.db2.gz ZATRKNTUHYRPCX-SWLSCSKDSA-N 0 3 241.338 2.993 20 0 BFADHN C[C@H](NCCc1ccccc1Cl)c1ncc[nH]1 ZINC000285967185 538942556 /nfs/dbraw/zinc/94/25/56/538942556.db2.gz GYOMFNSDZPTQJP-JTQLQIEISA-N 0 3 249.745 2.956 20 0 BFADHN Cc1ncncc1[C@H](C)NC[C@H](C)C(F)(F)F ZINC000576597453 365636586 /nfs/dbraw/zinc/63/65/86/365636586.db2.gz SXDYLJDROPWVAT-YUMQZZPRSA-N 0 3 247.264 2.634 20 0 BFADHN Cc1cc(F)ccc1CCN[C@H](C)c1ncc[nH]1 ZINC000286165884 538943162 /nfs/dbraw/zinc/94/31/62/538943162.db2.gz QDJLMGGTWBXDLI-LLVKDONJSA-N 0 3 247.317 2.751 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1cnc(C)n1C ZINC000576600239 365637742 /nfs/dbraw/zinc/63/77/42/365637742.db2.gz WOVJQSPJQDULLF-VIFPVBQESA-N 0 3 249.280 2.549 20 0 BFADHN Cc1cc2cc(CN3CC[C@H](O)C3)oc2cc1C ZINC000305734597 178294609 /nfs/dbraw/zinc/29/46/09/178294609.db2.gz QMVQDXFBASBYEE-ZDUSSCGKSA-N 0 3 245.322 2.616 20 0 BFADHN Cc1cc2cc([C@@H](C)NCCO)oc2cc1C ZINC000268719999 178295267 /nfs/dbraw/zinc/29/52/67/178295267.db2.gz HXIAUFFUCXHBHU-LLVKDONJSA-N 0 3 233.311 2.693 20 0 BFADHN CCCN(Cc1cnc(N(C)C)s1)C(C)C ZINC000580903101 398250691 /nfs/dbraw/zinc/25/06/91/398250691.db2.gz OZNIJUFQKHYWNV-UHFFFAOYSA-N 0 3 241.404 2.830 20 0 BFADHN Cc1ccc(-c2ncc(CNC3CC3)s2)o1 ZINC000040997196 178337897 /nfs/dbraw/zinc/33/78/97/178337897.db2.gz FQGKYLXKWOCJTD-UHFFFAOYSA-N 0 3 234.324 2.964 20 0 BFADHN CC[C@@H](NCc1cc(F)cc(F)c1)[C@@H](O)CC ZINC000313166473 259310694 /nfs/dbraw/zinc/31/06/94/259310694.db2.gz TULRGQKDVYSSRW-OLZOCXBDSA-N 0 3 243.297 2.604 20 0 BFADHN C[C@H](CN[C@@H]1CCn2ccnc21)c1ccccc1 ZINC000345341233 136232693 /nfs/dbraw/zinc/23/26/93/136232693.db2.gz DFWYYXAINOZWCS-TZMCWYRMSA-N 0 3 241.338 2.721 20 0 BFADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1C[C@H]1C ZINC000177307818 538951717 /nfs/dbraw/zinc/95/17/17/538951717.db2.gz IMLLHWHAGFBNOU-DGCLKSJQSA-N 0 3 246.354 2.651 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@H]1O)c1ccc(Cl)cc1 ZINC000378758394 346826554 /nfs/dbraw/zinc/82/65/54/346826554.db2.gz ZIZLGNWWZIMCPT-GGZOMVNGSA-N 0 3 225.719 2.514 20 0 BFADHN C[C@@H]1c2ccsc2CCN1CCC(C)(C)O ZINC000081646456 398270612 /nfs/dbraw/zinc/27/06/12/398270612.db2.gz IBQLZLFEHSWRDR-SNVBAGLBSA-N 0 3 239.384 2.828 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CCCC2(F)F)o1 ZINC000381741104 398270698 /nfs/dbraw/zinc/27/06/98/398270698.db2.gz UPFOVKIUKJJQPM-JTQLQIEISA-N 0 3 244.285 2.816 20 0 BFADHN CCOC[C@H]1CCN(Cc2ccc(F)cc2)C1 ZINC000081519387 398268439 /nfs/dbraw/zinc/26/84/39/398268439.db2.gz QLUYLUGAYPRSOM-ZDUSSCGKSA-N 0 3 237.318 2.684 20 0 BFADHN CCOC[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC000081519386 398268503 /nfs/dbraw/zinc/26/85/03/398268503.db2.gz QLUYLUGAYPRSOM-CYBMUJFWSA-N 0 3 237.318 2.684 20 0 BFADHN CC(C)Cn1nccc1CN1CCC[C@@H](C)C1 ZINC000647998665 398268780 /nfs/dbraw/zinc/26/87/80/398268780.db2.gz BTQYLOJTZXJHDG-CYBMUJFWSA-N 0 3 235.375 2.771 20 0 BFADHN CCc1nn(C)cc1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000179681558 538955497 /nfs/dbraw/zinc/95/54/97/538955497.db2.gz SSTUJSNVSUKKPV-GXTWGEPZSA-N 0 3 249.402 2.993 20 0 BFADHN CCCC[C@H](COC)N[C@H](C)c1c[nH]nc1C ZINC000180579118 538958652 /nfs/dbraw/zinc/95/86/52/538958652.db2.gz MIBGEJJOMOWACQ-ZYHUDNBSSA-N 0 3 239.363 2.574 20 0 BFADHN Cc1nocc1CN[C@@H](C)Cc1ccsc1 ZINC000378854016 346844649 /nfs/dbraw/zinc/84/46/49/346844649.db2.gz IJGTYNSRPJWVHU-VIFPVBQESA-N 0 3 236.340 2.765 20 0 BFADHN CC(C)CCN(C)Cc1cccc2nccn21 ZINC000179681773 538956085 /nfs/dbraw/zinc/95/60/85/538956085.db2.gz PSCNPLMVWSWADG-UHFFFAOYSA-N 0 3 231.343 2.812 20 0 BFADHN CCc1nn(C)cc1CN1CCC[C@@H](C)[C@@H]1C ZINC000179942188 538956847 /nfs/dbraw/zinc/95/68/47/538956847.db2.gz YSELQEXRLIOPEO-NEPJUHHUSA-N 0 3 235.375 2.603 20 0 BFADHN CCc1cnc(CN[C@H](C)C2CC2)s1 ZINC000165392647 361839415 /nfs/dbraw/zinc/83/94/15/361839415.db2.gz HXEBQINLVDQIBS-MRVPVSSYSA-N 0 3 210.346 2.594 20 0 BFADHN CCNC1(c2c(F)cccc2F)CCC1 ZINC000626178115 346856583 /nfs/dbraw/zinc/85/65/83/346856583.db2.gz GRXWIEZPGFMHLT-UHFFFAOYSA-N 0 3 211.255 2.954 20 0 BFADHN CC[C@@H](CSC)NCc1cc(C2CC2)no1 ZINC000602584192 349610741 /nfs/dbraw/zinc/61/07/41/349610741.db2.gz XWPWISRYOPSALM-JTQLQIEISA-N 0 3 240.372 2.783 20 0 BFADHN CCc1nn(C)cc1CN(C)[C@@H](C)C1(C)CC1 ZINC000180702463 538958900 /nfs/dbraw/zinc/95/89/00/538958900.db2.gz BSZKQOBBTVCSBA-NSHDSACASA-N 0 3 235.375 2.603 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(C)c(Cl)c1 ZINC000381783841 346858139 /nfs/dbraw/zinc/85/81/39/346858139.db2.gz YIWZDCTWJXDNGF-STQMWFEESA-N 0 3 239.746 2.915 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1cnc(C)o1 ZINC000600115360 342672692 /nfs/dbraw/zinc/67/26/92/342672692.db2.gz FYNMHDFANHBAMF-SECBINFHSA-N 0 3 228.361 2.556 20 0 BFADHN CCC[C@@H](CC)NCc1ccncc1F ZINC000449133654 398278114 /nfs/dbraw/zinc/27/81/14/398278114.db2.gz ZHJCDPYAHSGWHY-LLVKDONJSA-N 0 3 210.296 2.889 20 0 BFADHN COC1(CN[C@@H]2CCc3ccc(F)cc32)CCC1 ZINC000182662639 538963734 /nfs/dbraw/zinc/96/37/34/538963734.db2.gz JLFNQAHLVPNELE-CQSZACIVSA-N 0 3 249.329 2.972 20 0 BFADHN COC[C@H](C)N[C@@H]1CSCc2ccccc21 ZINC000037196381 538961988 /nfs/dbraw/zinc/96/19/88/538961988.db2.gz BQEANQJSSKAKEF-GXFFZTMASA-N 0 3 237.368 2.599 20 0 BFADHN CCN(CC)Cc1cc(OC(C)C)ccn1 ZINC000649479883 398277515 /nfs/dbraw/zinc/27/75/15/398277515.db2.gz OACOMISFITVONH-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1ccno1 ZINC000231883310 342742783 /nfs/dbraw/zinc/74/27/83/342742783.db2.gz FKAOSMKLPLMFQH-QWRGUYRKSA-N 0 3 210.321 2.979 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCCOCC2)o1 ZINC000083425311 398281737 /nfs/dbraw/zinc/28/17/37/398281737.db2.gz PFQXXKXFGSTQQC-NEPJUHHUSA-N 0 3 223.316 2.808 20 0 BFADHN CCCN(CC1CCCCC1)C(=O)[C@H](N)CC ZINC000129483811 344002361 /nfs/dbraw/zinc/00/23/61/344002361.db2.gz PUZNNOYJGXXHEH-CYBMUJFWSA-N 0 3 240.391 2.543 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1ccccc1F ZINC000083462487 398282944 /nfs/dbraw/zinc/28/29/44/398282944.db2.gz PSZGALKNKPGEAG-GWCFXTLKSA-N 0 3 225.307 2.637 20 0 BFADHN CCC(CC)NCc1cc(C(=O)OC)c(C)o1 ZINC000034981248 168388196 /nfs/dbraw/zinc/38/81/96/168388196.db2.gz GXWNDAPJXUEGFE-UHFFFAOYSA-N 0 3 239.315 2.653 20 0 BFADHN C[C@H](NC1C[C@H](C)C[C@@H](C)C1)c1cnccn1 ZINC000084973005 398286874 /nfs/dbraw/zinc/28/68/74/398286874.db2.gz LMCXRYJTSVPOEK-UTUOFQBUSA-N 0 3 233.359 2.952 20 0 BFADHN CCC(CC)[NH2+]Cc1ccc([O-])c(F)c1 ZINC000153460432 168389747 /nfs/dbraw/zinc/38/97/47/168389747.db2.gz XOZVAILLQXOZFA-UHFFFAOYSA-N 0 3 211.280 2.810 20 0 BFADHN CCC(CC)NCc1nccn1C(F)F ZINC000036804872 168389751 /nfs/dbraw/zinc/38/97/51/168389751.db2.gz CXHGCLXEUKZNHV-UHFFFAOYSA-N 0 3 217.263 2.556 20 0 BFADHN CCc1cc(CNC2CC3(CCC3)C2)on1 ZINC000309674652 342784153 /nfs/dbraw/zinc/78/41/53/342784153.db2.gz XJPBNLUNZJPHIK-UHFFFAOYSA-N 0 3 220.316 2.659 20 0 BFADHN CCC(CC)NCc1noc2c1CCCC2 ZINC000364169963 168390330 /nfs/dbraw/zinc/39/03/30/168390330.db2.gz VRCFKUJJBGBWRR-UHFFFAOYSA-N 0 3 222.332 2.832 20 0 BFADHN CC(C)c1ccc([C@@H](NCC(N)=O)C(C)C)cc1 ZINC000007803792 342793601 /nfs/dbraw/zinc/79/36/01/342793601.db2.gz LRTVCMOTJNVTPR-HNNXBMFYSA-N 0 3 248.370 2.582 20 0 BFADHN CC[C@H](C)NCc1cccnc1OC(C)C ZINC000042391510 538977907 /nfs/dbraw/zinc/97/79/07/538977907.db2.gz SHEHDKFLGRLGJN-NSHDSACASA-N 0 3 222.332 2.757 20 0 BFADHN Cc1cnc(CNC2CCCCC2)s1 ZINC000022862971 342815717 /nfs/dbraw/zinc/81/57/17/342815717.db2.gz HOYIRSSDJRFVKV-UHFFFAOYSA-N 0 3 210.346 2.874 20 0 BFADHN Fc1cnccc1CNC[C@H]1CCC(F)(F)C1 ZINC000449303354 398288285 /nfs/dbraw/zinc/28/82/85/398288285.db2.gz XYGPSMQZFYSZPT-VIFPVBQESA-N 0 3 244.260 2.746 20 0 BFADHN CCC1(CC)[C@H](NCc2ccco2)C[C@@H]1OC ZINC000131387918 323012859 /nfs/dbraw/zinc/01/28/59/323012859.db2.gz BPTLNUQGZNJRBX-OLZOCXBDSA-N 0 3 237.343 2.963 20 0 BFADHN CO[C@H]1C[C@H](Nc2cc(C)nc3ccccc32)C1 ZINC000624724953 342822564 /nfs/dbraw/zinc/82/25/64/342822564.db2.gz HTPRMHNDGYQGOL-HAQNSBGRSA-N 0 3 242.322 2.554 20 0 BFADHN Cc1nc(CN[C@@H](C)[C@@H]2CC2(C)C)cs1 ZINC000380233607 538987537 /nfs/dbraw/zinc/98/75/37/538987537.db2.gz LYRJMTBFGPTAHF-KWQFWETISA-N 0 3 224.373 2.976 20 0 BFADHN Cc1cccc2c1CC[C@@H]2NC1CSC1 ZINC000380313850 538988405 /nfs/dbraw/zinc/98/84/05/538988405.db2.gz IFHPMFXTELIFIT-ZDUSSCGKSA-N 0 3 219.353 2.687 20 0 BFADHN C[C@H]1COCC[C@H]1NCc1cscc1Cl ZINC000380288946 538988450 /nfs/dbraw/zinc/98/84/50/538988450.db2.gz BDXUSPHJLCCYGL-GZMMTYOYSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@@H]1COCC[C@@H]1NCc1cscc1Cl ZINC000380289831 538988632 /nfs/dbraw/zinc/98/86/32/538988632.db2.gz BDXUSPHJLCCYGL-KCJUWKMLSA-N 0 3 245.775 2.916 20 0 BFADHN Cc1cccc2c1CC[C@H]2NC1CSC1 ZINC000380313846 538988729 /nfs/dbraw/zinc/98/87/29/538988729.db2.gz IFHPMFXTELIFIT-CYBMUJFWSA-N 0 3 219.353 2.687 20 0 BFADHN C[C@H]1COCC[C@@H]1NCc1cscc1Cl ZINC000380289832 538988781 /nfs/dbraw/zinc/98/87/81/538988781.db2.gz BDXUSPHJLCCYGL-KWQFWETISA-N 0 3 245.775 2.916 20 0 BFADHN COC[C@H](C)CN[C@@H](C)c1nc(C)sc1C ZINC000045657040 538992892 /nfs/dbraw/zinc/99/28/92/538992892.db2.gz NATWQJMMRYCUCQ-BDAKNGLRSA-N 0 3 242.388 2.693 20 0 BFADHN C[C@H]1CN(c2ccccc2F)CCN1CC1CC1 ZINC000191619261 538993091 /nfs/dbraw/zinc/99/30/91/538993091.db2.gz PPDDWMPSLQOJCR-LBPRGKRZSA-N 0 3 248.345 2.746 20 0 BFADHN c1ccc([C@@H](NC2CSC2)C2CC2)cc1 ZINC000379877889 538980928 /nfs/dbraw/zinc/98/09/28/538980928.db2.gz BATRBAJVKWVGPC-CYBMUJFWSA-N 0 3 219.353 2.843 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@H]1C[C@@H]1C ZINC000379876706 538981162 /nfs/dbraw/zinc/98/11/62/538981162.db2.gz AMRAQGZOZPWDOV-DKCNOQQISA-N 0 3 208.305 2.598 20 0 BFADHN CCC[C@@H](C)[C@H](CC)NCc1cocn1 ZINC000379923083 538982401 /nfs/dbraw/zinc/98/24/01/538982401.db2.gz JDHHSRDYGASKFD-PWSUYJOCSA-N 0 3 210.321 2.979 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1nc2c(s1)CCC2 ZINC000380079497 538984915 /nfs/dbraw/zinc/98/49/15/538984915.db2.gz GDDHGAHUERTXNN-RKDXNWHRSA-N 0 3 222.357 2.520 20 0 BFADHN Cc1ccc(NC2CSC2)c(CN(C)C)c1 ZINC000381488355 539002009 /nfs/dbraw/zinc/00/20/09/539002009.db2.gz WHQIOWIWYFOPDS-UHFFFAOYSA-N 0 3 236.384 2.584 20 0 BFADHN CCOC1CC(N[C@H](C)c2cnc(C)s2)C1 ZINC000381522486 539003137 /nfs/dbraw/zinc/00/31/37/539003137.db2.gz YZPCUJFAEYJZQZ-MFAVDMRSSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1ncc([C@@H](C)NCCOC2CCC2)s1 ZINC000381724199 539006361 /nfs/dbraw/zinc/00/63/61/539006361.db2.gz MJCARQGXHKAORH-SECBINFHSA-N 0 3 240.372 2.671 20 0 BFADHN CCCCNCc1nc2c(s1)CCCC2 ZINC000049819824 539010041 /nfs/dbraw/zinc/01/00/41/539010041.db2.gz KWMVRQLFDRYHNM-UHFFFAOYSA-N 0 3 224.373 2.912 20 0 BFADHN C[C@H](NCc1cccc(F)c1)[C@H]1CCCCO1 ZINC000383053979 539011367 /nfs/dbraw/zinc/01/13/67/539011367.db2.gz BGEZGWCOAIXNFW-SMDDNHRTSA-N 0 3 237.318 2.873 20 0 BFADHN Clc1cscc1CNCC[C@H]1CCOC1 ZINC000390004472 539019988 /nfs/dbraw/zinc/01/99/88/539019988.db2.gz VUBRJMMYSQDWOF-VIFPVBQESA-N 0 3 245.775 2.918 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC(F)(F)C2)s1 ZINC000390493562 539022759 /nfs/dbraw/zinc/02/27/59/539022759.db2.gz RCQIBOKAMDMJQB-MRVPVSSYSA-N 0 3 246.326 2.983 20 0 BFADHN CC[C@]1(NCc2cccc(Cl)c2)CCOC1 ZINC000390609321 539026706 /nfs/dbraw/zinc/02/67/06/539026706.db2.gz DPFVCLXZEDYDLR-ZDUSSCGKSA-N 0 3 239.746 2.999 20 0 BFADHN CC[C@H](CN[C@@H](C)c1cc(C)oc1C)OC ZINC000290298640 398297360 /nfs/dbraw/zinc/29/73/60/398297360.db2.gz YPFDPMAHKLWELZ-CMPLNLGQSA-N 0 3 225.332 2.972 20 0 BFADHN CCc1ccc(CN[C@H](C)CF)s1 ZINC000388608775 539015188 /nfs/dbraw/zinc/01/51/88/539015188.db2.gz WZNXACKVAMXEGN-MRVPVSSYSA-N 0 3 201.310 2.758 20 0 BFADHN Fc1cccc2c1CC[C@@H]2NC1CSC1 ZINC000389990599 539019461 /nfs/dbraw/zinc/01/94/61/539019461.db2.gz OBDFPAYEKIYZEB-LBPRGKRZSA-N 0 3 223.316 2.518 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)Nc1ccc(C)c(C)c1 ZINC000011959712 342845064 /nfs/dbraw/zinc/84/50/64/342845064.db2.gz HWQCWGQTKYZNHQ-RNCFNFMXSA-N 0 3 234.343 2.615 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)Nc1cccc(Cl)c1 ZINC000011959834 342845357 /nfs/dbraw/zinc/84/53/57/342845357.db2.gz RPYXTSVMNSHRNI-KCJUWKMLSA-N 0 3 240.734 2.652 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)Nc1cccc(C)c1C ZINC000011960448 342845419 /nfs/dbraw/zinc/84/54/19/342845419.db2.gz QVLFBFLNOWKHCO-RNCFNFMXSA-N 0 3 234.343 2.615 20 0 BFADHN CC(C)Oc1ccnc(CN2CC[C@@H](C)C2)c1 ZINC000649504236 398301125 /nfs/dbraw/zinc/30/11/25/398301125.db2.gz QGRWTOGFHJMMDF-GFCCVEGCSA-N 0 3 234.343 2.711 20 0 BFADHN CCCn1nccc1CN[C@@H](CC)CSC ZINC000164870224 342853437 /nfs/dbraw/zinc/85/34/37/342853437.db2.gz GITDNKZGZZYGEA-NSHDSACASA-N 0 3 241.404 2.524 20 0 BFADHN Cc1ccoc1CN1CC[C@H](COC(F)F)C1 ZINC000348874278 136243102 /nfs/dbraw/zinc/24/31/02/136243102.db2.gz HHNHQPITUMHRJV-JTQLQIEISA-N 0 3 245.269 2.649 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@H]2C2CC2)o1 ZINC000449610903 398303562 /nfs/dbraw/zinc/30/35/62/398303562.db2.gz SIFUTDHKYDAQCQ-NWDGAFQWSA-N 0 3 220.316 2.515 20 0 BFADHN CC(F)(F)CCNCc1ccc(O)cc1 ZINC000382081337 398304950 /nfs/dbraw/zinc/30/49/50/398304950.db2.gz INTFZIVAFRXFBR-UHFFFAOYSA-N 0 3 215.243 2.527 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@H]1C[C@H](C)n2ccnc21 ZINC000449575212 398304962 /nfs/dbraw/zinc/30/49/62/398304962.db2.gz XCFBWVLNILESKK-CYDGBPFRSA-N 0 3 233.359 2.913 20 0 BFADHN COc1cccnc1CN[C@H]1CC[C@@H]1C(C)C ZINC000449745076 398307044 /nfs/dbraw/zinc/30/70/44/398307044.db2.gz AVNFNMPAPBZUKG-NEPJUHHUSA-N 0 3 234.343 2.614 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1ccc(C)cc1OC ZINC000037196282 398307075 /nfs/dbraw/zinc/30/70/75/398307075.db2.gz IGGRJSPTLCMKJK-RYUDHWBXSA-N 0 3 237.343 2.689 20 0 BFADHN Cc1ccc(CN[C@H](CO)C(C)C)c(Cl)c1 ZINC000232691010 342869819 /nfs/dbraw/zinc/86/98/19/342869819.db2.gz OUUULIBVQVOEOV-CYBMUJFWSA-N 0 3 241.762 2.755 20 0 BFADHN COc1cccnc1CN[C@@H]1CC[C@H]1C(C)C ZINC000449745077 398307423 /nfs/dbraw/zinc/30/74/23/398307423.db2.gz AVNFNMPAPBZUKG-NWDGAFQWSA-N 0 3 234.343 2.614 20 0 BFADHN COc1cc([C@@H](C)NC2CC(C)(F)C2)ccn1 ZINC000584004372 342900118 /nfs/dbraw/zinc/90/01/18/342900118.db2.gz JKYXRHOXRXIHIV-CBZQXFMTSA-N 0 3 238.306 2.631 20 0 BFADHN Cc1cc(Cl)cc(CN(C)[C@H]2CCOC2)c1 ZINC000351397974 136245528 /nfs/dbraw/zinc/24/55/28/136245528.db2.gz OGGBRNALTKFZFX-ZDUSSCGKSA-N 0 3 239.746 2.869 20 0 BFADHN Cc1cnc(CN(C)[C@@H]2CCCC[C@H]2C)cn1 ZINC000336475656 398319339 /nfs/dbraw/zinc/31/93/39/398319339.db2.gz YSFFLQFRWBODDF-BXUZGUMPSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cc(CN[C@H]2c3ccccc3C[C@@H]2C)n[nH]1 ZINC000600815622 398313151 /nfs/dbraw/zinc/31/31/51/398313151.db2.gz HIJIFLJZRVFBCX-ZUZCIYMTSA-N 0 3 241.338 2.741 20 0 BFADHN Cc1cc(CN[C@H]2c3ccccc3C[C@@H]2C)[nH]n1 ZINC000600815622 398313153 /nfs/dbraw/zinc/31/31/53/398313153.db2.gz HIJIFLJZRVFBCX-ZUZCIYMTSA-N 0 3 241.338 2.741 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CO[C@@H](C)C2)cc1 ZINC000401819980 259327801 /nfs/dbraw/zinc/32/78/01/259327801.db2.gz JLQYLSCCWXMQAA-OBJOEFQTSA-N 0 3 219.328 2.823 20 0 BFADHN Cc1cc(CN2CCCC(C)(C)CC2)on1 ZINC000351514325 136246356 /nfs/dbraw/zinc/24/63/56/136246356.db2.gz OJUGBRGZINRUHN-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN COC1(CNCc2ccc(C)cc2F)CCC1 ZINC000631109160 342900896 /nfs/dbraw/zinc/90/08/96/342900896.db2.gz MGXCQHWDELBJKC-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1nocc1CN1CC[C@H](CC(C)C)C1 ZINC000351569038 136247016 /nfs/dbraw/zinc/24/70/16/136247016.db2.gz QIPXQAOYGSOLPX-GFCCVEGCSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1cccc([C@@H](NC/C=C/CO)C(C)C)c1 ZINC000624011501 346874471 /nfs/dbraw/zinc/87/44/71/346874471.db2.gz BHZZZACRJQUNCX-RGDDUWESSA-N 0 3 233.355 2.830 20 0 BFADHN CC[C@H](O)CNCc1ccc(C)cc1Cl ZINC000234066728 342917145 /nfs/dbraw/zinc/91/71/45/342917145.db2.gz FDBSRLKGJYXKMI-NSHDSACASA-N 0 3 227.735 2.509 20 0 BFADHN CCC[C@H](C)CCCN(CC(N)=O)C(C)(C)C ZINC000625222094 342918470 /nfs/dbraw/zinc/91/84/70/342918470.db2.gz IWFGOQCZYXSABN-LBPRGKRZSA-N 0 3 242.407 2.789 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1Cc1ccccc1C ZINC000505642020 529416309 /nfs/dbraw/zinc/41/63/09/529416309.db2.gz VWIBSIDNGWPFLP-UKRRQHHQSA-N 0 3 233.355 2.994 20 0 BFADHN Cc1cc([C@H](C)NC[C@@](C)(O)C(C)C)c(C)o1 ZINC000160215255 201708399 /nfs/dbraw/zinc/70/83/99/201708399.db2.gz SLWOCTCSESYYFQ-SMDDNHRTSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc(CN[C@H]2COCC2(C)C)ccc1F ZINC000313059363 259330153 /nfs/dbraw/zinc/33/01/53/259330153.db2.gz FGMMUEDXXGEWJW-ZDUSSCGKSA-N 0 3 237.318 2.649 20 0 BFADHN Cc1cc(CN[C@@H]2COCC2(C)C)c(C)s1 ZINC000313055775 259330176 /nfs/dbraw/zinc/33/01/76/259330176.db2.gz IVHOIUWKMLCGFJ-GFCCVEGCSA-N 0 3 239.384 2.880 20 0 BFADHN COC(=O)c1csc(CNC2(C)CCC2)c1 ZINC000602594554 349643181 /nfs/dbraw/zinc/64/31/81/349643181.db2.gz QWPMXQKEXDCJDV-UHFFFAOYSA-N 0 3 239.340 2.567 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3cc(F)ccc32)CO1 ZINC000402061803 259329744 /nfs/dbraw/zinc/32/97/44/259329744.db2.gz UOSORUFNWZZAGG-LQJRIPTKSA-N 0 3 235.302 2.580 20 0 BFADHN CC[C@]1(O)CCN(CCCCC(F)(F)F)C1 ZINC000495190780 529441134 /nfs/dbraw/zinc/44/11/34/529441134.db2.gz IUMBOEDCKCRQOG-JTQLQIEISA-N 0 3 239.281 2.566 20 0 BFADHN CCc1ccc(CN(C)[C@H](CC)CO)s1 ZINC000379008942 346883409 /nfs/dbraw/zinc/88/34/09/346883409.db2.gz JPELATVEQXXWRW-SNVBAGLBSA-N 0 3 227.373 2.513 20 0 BFADHN CO[C@H]1CCCC[C@H]1N[C@H](C)c1cncs1 ZINC000123109855 398337995 /nfs/dbraw/zinc/33/79/95/398337995.db2.gz KGKJDJORZANRGY-MXWKQRLJSA-N 0 3 240.372 2.751 20 0 BFADHN C[C@@H](N[C@@H](C)C1CC1)c1cc2n(n1)CCCC2 ZINC000647280882 398339016 /nfs/dbraw/zinc/33/90/16/398339016.db2.gz JWLQUCVGDCPQLK-WDEREUQCSA-N 0 3 233.359 2.669 20 0 BFADHN CC(C)N(Cc1cccnc1)C[C@H]1CCCCO1 ZINC000162367822 349670696 /nfs/dbraw/zinc/67/06/96/349670696.db2.gz QZCBLWAUNOSMMF-OAHLLOKOSA-N 0 3 248.370 2.861 20 0 BFADHN Cc1ccc(F)c(CNC[C@@](C)(O)C(C)C)c1 ZINC000304201155 192055318 /nfs/dbraw/zinc/05/53/18/192055318.db2.gz AKHHYBPLCHUYKW-CQSZACIVSA-N 0 3 239.334 2.631 20 0 BFADHN C[C@@H](NCCOC(C)(C)C)c1cccnc1 ZINC000112537707 398332392 /nfs/dbraw/zinc/33/23/92/398332392.db2.gz LYIXIDFSZWATQT-LLVKDONJSA-N 0 3 222.332 2.547 20 0 BFADHN CC[C@H]1CCN(Cc2c(C)noc2C)C1 ZINC000125083777 398339188 /nfs/dbraw/zinc/33/91/88/398339188.db2.gz QWFWFHRVKKMYSX-NSHDSACASA-N 0 3 208.305 2.523 20 0 BFADHN CCc1cc(-c2ccccc2)n(CCN(C)C)n1 ZINC000634589848 342937142 /nfs/dbraw/zinc/93/71/42/342937142.db2.gz JWQAFRCRELCYBS-UHFFFAOYSA-N 0 3 243.354 2.674 20 0 BFADHN Cc1nnc(CN[C@@H]2CCCC[C@H]2C)n1C1CC1 ZINC000162335128 349663562 /nfs/dbraw/zinc/66/35/62/349663562.db2.gz RLWVTKYAIUUETO-ZWNOBZJWSA-N 0 3 248.374 2.590 20 0 BFADHN CCCC[C@@H](N)C(=O)N(CC)Cc1ccccc1 ZINC000037619381 342939078 /nfs/dbraw/zinc/93/90/78/342939078.db2.gz RMOJUXDGPYXZGR-CQSZACIVSA-N 0 3 248.370 2.553 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]1C)c1cc2n(n1)CCCC2 ZINC000647303508 398339969 /nfs/dbraw/zinc/33/99/69/398339969.db2.gz BBJGKBNFATZOJF-GMXVVIOVSA-N 0 3 233.359 2.669 20 0 BFADHN Cc1ccsc1CN1CCS[C@H](C)C1 ZINC000131355643 398340840 /nfs/dbraw/zinc/34/08/40/398340840.db2.gz BNOPEIFESBEFJW-SNVBAGLBSA-N 0 3 227.398 2.994 20 0 BFADHN CC[C@H](COC)N[C@H]1CCCOc2ccccc21 ZINC000133661566 398341677 /nfs/dbraw/zinc/34/16/77/398341677.db2.gz FULXCHAKFNIECA-OCCSQVGLSA-N 0 3 249.354 2.915 20 0 BFADHN Cc1ccncc1CNCC1(O)CCCCCC1 ZINC000135463884 398342099 /nfs/dbraw/zinc/34/20/99/398342099.db2.gz LLCLJQIDQXXMLJ-UHFFFAOYSA-N 0 3 248.370 2.565 20 0 BFADHN COc1ccncc1CNC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403470243 398343965 /nfs/dbraw/zinc/34/39/65/398343965.db2.gz ONSMPHRGTYHUPD-FRRDWIJNSA-N 0 3 246.354 2.616 20 0 BFADHN C[C@H](F)CCN1CCc2c(F)cccc2C1 ZINC000351751257 136251395 /nfs/dbraw/zinc/25/13/95/136251395.db2.gz AKECVMHFOUQDLK-JTQLQIEISA-N 0 3 225.282 2.932 20 0 BFADHN Cc1cc(C)cc(NC2CCN(C)CC2)c1 ZINC000019772357 342957040 /nfs/dbraw/zinc/95/70/40/342957040.db2.gz IFNVGEJXTFPNAA-UHFFFAOYSA-N 0 3 218.344 2.810 20 0 BFADHN COC(=O)c1csc(CN(C)C(C)(C)C)c1 ZINC000602699549 349695291 /nfs/dbraw/zinc/69/52/91/349695291.db2.gz XFHBEULTFMHXQO-UHFFFAOYSA-N 0 3 241.356 2.765 20 0 BFADHN C[C@H](NCC[C@H]1CCCOC1)c1cscn1 ZINC000527929116 262895716 /nfs/dbraw/zinc/89/57/16/262895716.db2.gz OIVBMYOMQVHEGM-WDEREUQCSA-N 0 3 240.372 2.610 20 0 BFADHN CC(C)[C@@H]1CN(C[C@@H]2CC=CCC2)CCO1 ZINC000353149870 187207774 /nfs/dbraw/zinc/20/77/74/187207774.db2.gz HSLXOTDVZHGIKT-KGLIPLIRSA-N 0 3 223.360 2.700 20 0 BFADHN CCc1cc(NC(=O)/C=C\CN(C)C)ccc1C ZINC000492939697 529537274 /nfs/dbraw/zinc/53/72/74/529537274.db2.gz FFWQJXPHRDPMMN-SREVYHEPSA-N 0 3 246.354 2.614 20 0 BFADHN CC(C)N1CCN(CCCc2ccccc2)CC1 ZINC000019900090 342961894 /nfs/dbraw/zinc/96/18/94/342961894.db2.gz BBNSSKUJMVZJHW-UHFFFAOYSA-N 0 3 246.398 2.645 20 0 BFADHN Cc1ccnc([C@@H](C)NC[C@H]2CCC(C)(C)O2)c1 ZINC000353680435 187208753 /nfs/dbraw/zinc/20/87/53/187208753.db2.gz JOGBEELZXYVXLO-CHWSQXEVSA-N 0 3 248.370 2.998 20 0 BFADHN C[C@H](CCc1ccco1)NCc1ccco1 ZINC000020144608 342971691 /nfs/dbraw/zinc/97/16/91/342971691.db2.gz BTVYOHTYUCTDLF-LLVKDONJSA-N 0 3 219.284 2.984 20 0 BFADHN CCc1cc(NC2CCN(C)CC2)ccc1F ZINC000512563119 529553615 /nfs/dbraw/zinc/55/36/15/529553615.db2.gz CCZPTIKOEPVGAU-UHFFFAOYSA-N 0 3 236.334 2.894 20 0 BFADHN CC(C)COCCCN[C@@H](C)c1ccccn1 ZINC000020147228 342972071 /nfs/dbraw/zinc/97/20/71/342972071.db2.gz KPCVXWYZEPXQLR-ZDUSSCGKSA-N 0 3 236.359 2.795 20 0 BFADHN CC(C)COCCCNCc1ccccc1F ZINC000020147323 342972152 /nfs/dbraw/zinc/97/21/52/342972152.db2.gz NJGWIIZWPMYDDD-UHFFFAOYSA-N 0 3 239.334 2.978 20 0 BFADHN C=Cn1cc(CN2CC[C@H](CC3CC3)C2)cn1 ZINC000354530645 187210257 /nfs/dbraw/zinc/21/02/57/187210257.db2.gz VPFCWMORHXKAER-CYBMUJFWSA-N 0 3 231.343 2.606 20 0 BFADHN CC(C)N(Cc1cccc(F)c1)[C@H]1CCOC1 ZINC000271227920 187336271 /nfs/dbraw/zinc/33/62/71/187336271.db2.gz AFZLYNLUYQCAAX-AWEZNQCLSA-N 0 3 237.318 2.825 20 0 BFADHN CSC1(CN2CC(c3ccccc3)C2)CC1 ZINC000602728816 349716252 /nfs/dbraw/zinc/71/62/52/349716252.db2.gz PWJAVZBOQRTJHJ-UHFFFAOYSA-N 0 3 233.380 2.981 20 0 BFADHN CCN(C#N)CCN[C@H](C)c1cc(C)cc(C)c1 ZINC000602798257 349738496 /nfs/dbraw/zinc/73/84/96/349738496.db2.gz BHSKTECERGFCED-CQSZACIVSA-N 0 3 245.370 2.757 20 0 BFADHN CCN(C#N)CCN[C@@H](C)c1cccc(C)c1C ZINC000602798349 349739831 /nfs/dbraw/zinc/73/98/31/349739831.db2.gz BRNKVTPMTLTXMI-AWEZNQCLSA-N 0 3 245.370 2.757 20 0 BFADHN CC[C@@H](NCCN(C#N)CC)c1ccccc1 ZINC000602800012 349740948 /nfs/dbraw/zinc/74/09/48/349740948.db2.gz IPMNWDCKXNEGKH-CQSZACIVSA-N 0 3 231.343 2.530 20 0 BFADHN CCc1ccc([C@H](C)NCCN(C#N)CC)cc1 ZINC000602800047 349741165 /nfs/dbraw/zinc/74/11/65/349741165.db2.gz ITSBAPRMMBNMPP-ZDUSSCGKSA-N 0 3 245.370 2.703 20 0 BFADHN CCc1c(C)nn(-c2ccnc(C)c2)c1C ZINC000634618743 343017628 /nfs/dbraw/zinc/01/76/28/343017628.db2.gz BTQVDHRGEQRKNZ-UHFFFAOYSA-N 0 3 215.300 2.755 20 0 BFADHN C[C@@H]1CCN(Cc2cc3ccccc3o2)C[C@@H]1O ZINC000335904248 136260368 /nfs/dbraw/zinc/26/03/68/136260368.db2.gz MJIZFDLINLQPAU-RISCZKNCSA-N 0 3 245.322 2.636 20 0 BFADHN COc1ccc(CN2C[C@@H](C)C[C@H](C)C2)cn1 ZINC000362842560 187219225 /nfs/dbraw/zinc/21/92/25/187219225.db2.gz ZKOUTLZQLXRLPW-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1ccc(F)cc1F ZINC000049948978 343019357 /nfs/dbraw/zinc/01/93/57/343019357.db2.gz IMTJUVUJLRMSLH-CHWSQXEVSA-N 0 3 241.281 2.622 20 0 BFADHN CC(C)CC[C@@H](O)CN1CCSC(C)(C)C1 ZINC000361270955 187217872 /nfs/dbraw/zinc/21/78/72/187217872.db2.gz DXRALFIRTMNJDZ-GFCCVEGCSA-N 0 3 245.432 2.611 20 0 BFADHN CC[C@H](NCCSC)c1nc(C)cs1 ZINC000184347551 529604780 /nfs/dbraw/zinc/60/47/80/529604780.db2.gz BKFTYRWQAHXCIA-VIFPVBQESA-N 0 3 230.402 2.855 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)CCC(C)(C)C ZINC000602862999 349774492 /nfs/dbraw/zinc/77/44/92/349774492.db2.gz JAPJAGKHXCTCGP-UHFFFAOYSA-N 0 3 237.391 2.905 20 0 BFADHN CC[C@H](C(=O)OC(C)(C)C)N1C[C@H](C)[C@H](C)C1 ZINC000483919333 529624800 /nfs/dbraw/zinc/62/48/00/529624800.db2.gz HADXMIGLMPCVGC-GRYCIOLGSA-N 0 3 241.375 2.695 20 0 BFADHN CC(C)OCCN1C[C@@H](C)C(F)(F)[C@@H](C)C1 ZINC000625648494 344025211 /nfs/dbraw/zinc/02/52/11/344025211.db2.gz QKGULJJWPMIFBX-PHIMTYICSA-N 0 3 235.318 2.635 20 0 BFADHN CCc1ccc(CCN2CCO[C@@H](C)[C@H]2C)cc1 ZINC000483477523 529628155 /nfs/dbraw/zinc/62/81/55/529628155.db2.gz RHRHTFAZXQEYNR-KGLIPLIRSA-N 0 3 247.382 2.901 20 0 BFADHN COc1ccc(CN(C)CC[C@@H](C)F)cc1O ZINC000351879017 136261966 /nfs/dbraw/zinc/26/19/66/136261966.db2.gz GDCOPECQLXWVCR-SNVBAGLBSA-N 0 3 241.306 2.581 20 0 BFADHN CC(C)CN1CCCC[C@H]1c1ncc[nH]1 ZINC000177039706 346921162 /nfs/dbraw/zinc/92/11/62/346921162.db2.gz DRFMYRHSFNUNPO-NSHDSACASA-N 0 3 207.321 2.593 20 0 BFADHN Cc1ccc(CNCC[C@H](C)O)c(Cl)c1 ZINC000235545295 343048288 /nfs/dbraw/zinc/04/82/88/343048288.db2.gz OLZHQPVBFUIIKV-JTQLQIEISA-N 0 3 227.735 2.509 20 0 BFADHN Cc1csc(CNCCC(C)(C)C)n1 ZINC000038091208 343059592 /nfs/dbraw/zinc/05/95/92/343059592.db2.gz MVFDXOBLPKCLIZ-UHFFFAOYSA-N 0 3 212.362 2.977 20 0 BFADHN Cc1n[nH]cc1CNCc1cc(C)cc(C)c1 ZINC000043393696 343062598 /nfs/dbraw/zinc/06/25/98/343062598.db2.gz MEPZZFPCJWQJJN-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN Cc1ccc(CNCC2(O)CCC2)c(Cl)c1 ZINC000235863523 343064656 /nfs/dbraw/zinc/06/46/56/343064656.db2.gz GGDRZJCONHQAAZ-UHFFFAOYSA-N 0 3 239.746 2.653 20 0 BFADHN CC(C)n1ccnc1CN1C[C@@H]2CCC[C@H]2C1 ZINC000176255519 365700497 /nfs/dbraw/zinc/70/04/97/365700497.db2.gz VRGRYRJDTMYWAK-STQMWFEESA-N 0 3 233.359 2.696 20 0 BFADHN CCO[C@H](CN(C)Cc1cccc(C)n1)C1CC1 ZINC000625254228 343068314 /nfs/dbraw/zinc/06/83/14/343068314.db2.gz KRVUAQUKJLVSFP-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN CC(C)n1ccc(CN[C@H](C)Cc2ccoc2)n1 ZINC000580034298 343068359 /nfs/dbraw/zinc/06/83/59/343068359.db2.gz XNECWFXNFHPWLL-GFCCVEGCSA-N 0 3 247.342 2.778 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1cnc2ccccc2n1 ZINC000383430864 362023591 /nfs/dbraw/zinc/02/35/91/362023591.db2.gz DEZXXXYEKIJIGQ-ZYHUDNBSSA-N 0 3 227.311 2.518 20 0 BFADHN CO[C@@H](C)CNCc1cscc1Cl ZINC000308265726 488198801 /nfs/dbraw/zinc/19/88/01/488198801.db2.gz PJEDUFUIAQUANO-ZETCQYMHSA-N 0 3 219.737 2.526 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(Cl)c(C)c1 ZINC000309951242 343104356 /nfs/dbraw/zinc/10/43/56/343104356.db2.gz GFPWKLMTKYIHID-QWHCGFSZSA-N 0 3 239.746 2.915 20 0 BFADHN CC(C)[C@H](C)CN[C@H]1C[C@H](C)n2ccnc21 ZINC000345346699 187232136 /nfs/dbraw/zinc/23/21/36/187232136.db2.gz CTPNILGOFLPFQN-WOPDTQHZSA-N 0 3 221.348 2.771 20 0 BFADHN CC(C)(C)[C@H](N)c1cn([C@@H]2C=CCCC2)nn1 ZINC000640945917 362088253 /nfs/dbraw/zinc/08/82/53/362088253.db2.gz RHGPTNHNJPOEHG-ZYHUDNBSSA-N 0 3 234.347 2.605 20 0 BFADHN CC(C)=CCN[C@@H](CCO)c1ccccc1 ZINC000271628543 187236607 /nfs/dbraw/zinc/23/66/07/187236607.db2.gz BDSBMBOLJWITIR-AWEZNQCLSA-N 0 3 219.328 2.666 20 0 BFADHN CC1(C)SC[C@H]1NCc1cc(O)cc(F)c1 ZINC000282632538 187241098 /nfs/dbraw/zinc/24/10/98/187241098.db2.gz GITQCYLUIYYJDM-LLVKDONJSA-N 0 3 241.331 2.515 20 0 BFADHN CC1(C)C[C@@H]1N[C@H]1CCCc2cccnc21 ZINC000085789603 488205050 /nfs/dbraw/zinc/20/50/50/488205050.db2.gz LCNMZPXSLVSVIA-RYUDHWBXSA-N 0 3 216.328 2.847 20 0 BFADHN CCc1ncc(CNC2(C3CC3)CC2)s1 ZINC000379922215 365737175 /nfs/dbraw/zinc/73/71/75/365737175.db2.gz INNGGEQMVIHTFJ-UHFFFAOYSA-N 0 3 222.357 2.738 20 0 BFADHN C[C@H]1CC[C@H](CNCc2ccc(F)cc2F)O1 ZINC000128458640 343175016 /nfs/dbraw/zinc/17/50/16/343175016.db2.gz JGQSGPZJVAFRLE-JOYOIKCWSA-N 0 3 241.281 2.622 20 0 BFADHN CC(C)c1ncc(CNC2CCC2)s1 ZINC000308672463 187246355 /nfs/dbraw/zinc/24/63/55/187246355.db2.gz VITXOFIZEKWTBT-UHFFFAOYSA-N 0 3 210.346 2.909 20 0 BFADHN CC[C@@H](C)CNC(=O)c1ccc(CN(C)C)cc1 ZINC000421583450 192357859 /nfs/dbraw/zinc/35/78/59/192357859.db2.gz YFPASFOUWKBESV-GFCCVEGCSA-N 0 3 248.370 2.524 20 0 BFADHN CCO[C@@H](CN1CC2(C1)CC(F)(F)C2)C1CC1 ZINC000625277495 343217091 /nfs/dbraw/zinc/21/70/91/343217091.db2.gz YIXIBOWRKBJEHJ-NSHDSACASA-N 0 3 245.313 2.533 20 0 BFADHN C[C@@H](NCc1nccn1C(F)F)C(C)(C)C ZINC000132886403 343189185 /nfs/dbraw/zinc/18/91/85/343189185.db2.gz PNIGYUCNHRGMQJ-MRVPVSSYSA-N 0 3 231.290 2.802 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cnc(C)cn1 ZINC000334155525 343225438 /nfs/dbraw/zinc/22/54/38/343225438.db2.gz VOKDFTFRIZXBIP-CYBMUJFWSA-N 0 3 219.332 2.550 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@@H]1CC(C)(C)CO1 ZINC000634686303 343233448 /nfs/dbraw/zinc/23/34/48/343233448.db2.gz HNHGBDWMBHHNIW-STQMWFEESA-N 0 3 248.370 2.856 20 0 BFADHN C[C@@H](NC[C@@H]1CC(C)(C)CO1)c1ccccn1 ZINC000634686810 343236103 /nfs/dbraw/zinc/23/61/03/343236103.db2.gz SEMRBZGIILNMPD-NEPJUHHUSA-N 0 3 234.343 2.547 20 0 BFADHN Clc1ccccc1CNC[C@H]1CCCCO1 ZINC000035151069 343286858 /nfs/dbraw/zinc/28/68/58/343286858.db2.gz VSUZEFRLDJEUTJ-GFCCVEGCSA-N 0 3 239.746 2.999 20 0 BFADHN COc1cc(CN2CCCCC2)cc(OC)c1 ZINC000033646615 343258100 /nfs/dbraw/zinc/25/81/00/343258100.db2.gz JXJGRHLBVLTIMR-UHFFFAOYSA-N 0 3 235.327 2.690 20 0 BFADHN CCC(C)(C)CCn1cc([C@@](C)(N)CC)nn1 ZINC000641049332 362159889 /nfs/dbraw/zinc/15/98/89/362159889.db2.gz JICTZYJCXQTGDJ-ZDUSSCGKSA-N 0 3 238.379 2.688 20 0 BFADHN CCCCCC(=O)NCCN1CCCC[C@@H]1C ZINC000046858926 343277101 /nfs/dbraw/zinc/27/71/01/343277101.db2.gz NOKOORJLMYBMGC-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN CCC[C@H](N)C(=O)Nc1ccc(C)c(Cl)c1 ZINC000036872638 343325980 /nfs/dbraw/zinc/32/59/80/343325980.db2.gz DQYKXTXKQSZPFC-NSHDSACASA-N 0 3 240.734 2.714 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCCCC[C@@H]2O)c1 ZINC000348752698 136296893 /nfs/dbraw/zinc/29/68/93/136296893.db2.gz GYPQVEWXZHHMLS-YDHLFZDLSA-N 0 3 248.370 2.734 20 0 BFADHN C[C@@H](Nc1cccc(CN(C)C)c1)C1CC1 ZINC000036979885 343329264 /nfs/dbraw/zinc/32/92/64/343329264.db2.gz OWCVKKOPZUPWLG-LLVKDONJSA-N 0 3 218.344 2.959 20 0 BFADHN C[C@@H](NC(=O)[C@H](C)N)c1ccc(C(C)(C)C)cc1 ZINC000037007441 343330462 /nfs/dbraw/zinc/33/04/62/343330462.db2.gz IBLLDQOYBPBHKE-WDEREUQCSA-N 0 3 248.370 2.509 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cn2cccn2)c(C)c1 ZINC000035259097 343291277 /nfs/dbraw/zinc/29/12/77/343291277.db2.gz VERDBBBBJYNYSH-AWEZNQCLSA-N 0 3 243.354 2.678 20 0 BFADHN C[C@@H](Cn1cccn1)N[C@@H](C)c1ccc(F)cc1 ZINC000035276496 343292328 /nfs/dbraw/zinc/29/23/28/343292328.db2.gz XDNGEZYNLPRAHW-RYUDHWBXSA-N 0 3 247.317 2.762 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CC23CC3)c1 ZINC000335285768 136293059 /nfs/dbraw/zinc/29/30/59/136293059.db2.gz GDGRQAYVSWICMX-LBPRGKRZSA-N 0 3 205.276 2.776 20 0 BFADHN CCOc1cccc(CN(C)[C@@H]2CCCOC2)c1 ZINC000348718952 136294713 /nfs/dbraw/zinc/29/47/13/136294713.db2.gz YTMTZWJYGIMGNJ-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CCn1cncc1CN(C)[C@@H](C)C(C)(C)C ZINC000584042788 343305125 /nfs/dbraw/zinc/30/51/25/343305125.db2.gz SIDFCFXDKJFMIU-NSHDSACASA-N 0 3 223.364 2.769 20 0 BFADHN CC1(CN2CCC[C@@H](OC(F)F)C2)CCC1 ZINC000683708010 488211436 /nfs/dbraw/zinc/21/14/36/488211436.db2.gz JMFRMYAOQKRHHZ-SNVBAGLBSA-N 0 3 233.302 2.880 20 0 BFADHN Oc1ccc(SCCN2CCCCC2)cc1 ZINC000038078866 343369017 /nfs/dbraw/zinc/36/90/17/343369017.db2.gz GBTHICLNMLPJJH-UHFFFAOYSA-N 0 3 237.368 2.970 20 0 BFADHN F[C@@H]1CCCC[C@H]1NCc1ccc2c[nH]nc2c1 ZINC000335630743 136300404 /nfs/dbraw/zinc/30/04/04/136300404.db2.gz GTSTYFVZHFWEST-CHWSQXEVSA-N 0 3 247.317 2.933 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000395032009 362174164 /nfs/dbraw/zinc/17/41/64/362174164.db2.gz HKPJSSBEMUDAKE-JTNHKYCSSA-N 0 3 237.318 2.790 20 0 BFADHN FC(F)C[C@@H]1COCCN1C[C@@H]1CC=CCC1 ZINC000348786835 136300978 /nfs/dbraw/zinc/30/09/78/136300978.db2.gz WBILRPRKHGCTHA-VXGBXAGGSA-N 0 3 245.313 2.699 20 0 BFADHN CCC(CC)CN1CCOC[C@H]1CC(F)F ZINC000348788144 136301069 /nfs/dbraw/zinc/30/10/69/136301069.db2.gz YZVGSBMRSKLWSW-LLVKDONJSA-N 0 3 235.318 2.779 20 0 BFADHN C[C@@H](Cn1ccnc1)N[C@@H](C)c1cccc(F)c1 ZINC000037158336 343337447 /nfs/dbraw/zinc/33/74/47/343337447.db2.gz XMHFUNZDCNVFBI-RYUDHWBXSA-N 0 3 247.317 2.762 20 0 BFADHN COC[C@H](C)NCc1ccc(OC(C)C)cc1 ZINC000037196125 343338920 /nfs/dbraw/zinc/33/89/20/343338920.db2.gz CFPNIKQMVKNZLO-LBPRGKRZSA-N 0 3 237.343 2.598 20 0 BFADHN C[C@@H](NC[C@H](C)O)c1ccc(F)cc1Cl ZINC000037257872 343341865 /nfs/dbraw/zinc/34/18/65/343341865.db2.gz KXUCXTQEFUBHLN-JGVFFNPUSA-N 0 3 231.698 2.511 20 0 BFADHN CC[C@H](N[C@H](C)c1nnc2ccccn21)C(C)C ZINC000037281398 343342724 /nfs/dbraw/zinc/34/27/24/343342724.db2.gz YQWWPVOPNBOEQM-NEPJUHHUSA-N 0 3 246.358 2.815 20 0 BFADHN COC(CN[C@H](C)c1cccc(Cl)c1)OC ZINC000037473049 343346383 /nfs/dbraw/zinc/34/63/83/343346383.db2.gz PCGJGPJIJNMNFG-SECBINFHSA-N 0 3 243.734 2.610 20 0 BFADHN CC(C)c1cccc(NC(=O)CNCC2CC2)c1 ZINC000037612140 343349123 /nfs/dbraw/zinc/34/91/23/343349123.db2.gz RXGUAWHPGZVJOW-UHFFFAOYSA-N 0 3 246.354 2.748 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@H](C)c1ccc(C)cc1 ZINC000037764995 343354184 /nfs/dbraw/zinc/35/41/84/343354184.db2.gz FECGPEHOZGUJKF-DOMZBBRYSA-N 0 3 248.370 2.690 20 0 BFADHN COc1c(O)cccc1CN[C@@H]1CCC1(C)C ZINC000349027733 136319166 /nfs/dbraw/zinc/31/91/66/136319166.db2.gz HVSIDXVGMBXQGX-GFCCVEGCSA-N 0 3 235.327 2.679 20 0 BFADHN Oc1cccc2c1CCN(C1CCCC1)C2 ZINC000221671418 346935474 /nfs/dbraw/zinc/93/54/74/346935474.db2.gz FJDUJMIJLITKDX-UHFFFAOYSA-N 0 3 217.312 2.693 20 0 BFADHN C[C@@H]1CC[C@H](NCc2noc3c2CCCC3)C1 ZINC000335657491 136307101 /nfs/dbraw/zinc/30/71/01/136307101.db2.gz SAZLUMYNEVLOQI-MNOVXSKESA-N 0 3 234.343 2.832 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCOC[C@H](C)C1 ZINC000335665861 136310829 /nfs/dbraw/zinc/31/08/29/136310829.db2.gz KVDVGHIVRCUNIY-VXGBXAGGSA-N 0 3 237.318 2.855 20 0 BFADHN Fc1ccccc1CN1CCC[C@@H]2COCC[C@@H]21 ZINC000335668980 136311978 /nfs/dbraw/zinc/31/19/78/136311978.db2.gz KNOMQICCJSNKQT-HIFRSBDPSA-N 0 3 249.329 2.827 20 0 BFADHN CC[C@@H](N)c1cn([C@@H](C)c2cccc(C)c2)nn1 ZINC000641074921 362184236 /nfs/dbraw/zinc/18/42/36/362184236.db2.gz NVQQBLNNGAIKEB-WCQYABFASA-N 0 3 244.342 2.606 20 0 BFADHN Cc1cnc(CN2CC[C@@H]3CCCC[C@@H]32)cn1 ZINC000335660877 136315231 /nfs/dbraw/zinc/31/52/31/136315231.db2.gz BMEPEALEXXXZBO-JSGCOSHPSA-N 0 3 231.343 2.550 20 0 BFADHN CCOc1ccccc1C1=CCN(C)CC1 ZINC000039100311 343383640 /nfs/dbraw/zinc/38/36/40/343383640.db2.gz LYOGPFARWTZATI-UHFFFAOYSA-N 0 3 217.312 2.804 20 0 BFADHN CCC[C@H](N)c1cn(CCC2=CCCCC2)nn1 ZINC000641078045 362185998 /nfs/dbraw/zinc/18/59/98/362185998.db2.gz CULOCIBBMQREKN-ZDUSSCGKSA-N 0 3 248.374 2.969 20 0 BFADHN CCCCOCCN[C@@H](C)c1cccnc1 ZINC000040506226 343405463 /nfs/dbraw/zinc/40/54/63/343405463.db2.gz NZPSROLQEPPSHP-LBPRGKRZSA-N 0 3 222.332 2.549 20 0 BFADHN CCCCOCCNCc1ccccc1OC ZINC000040505956 343405588 /nfs/dbraw/zinc/40/55/88/343405588.db2.gz PGHXMCPCIKHDAH-UHFFFAOYSA-N 0 3 237.343 2.602 20 0 BFADHN CCCCOCCN[C@H](C)c1ccncc1 ZINC000040506223 343405882 /nfs/dbraw/zinc/40/58/82/343405882.db2.gz QUFVTHHNQJSKQU-GFCCVEGCSA-N 0 3 222.332 2.549 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1cc2ccccc2[nH]c1=O ZINC000335678816 136319553 /nfs/dbraw/zinc/31/95/53/136319553.db2.gz FEZBXNTXXGNTMN-GHMZBOCLSA-N 0 3 242.322 2.923 20 0 BFADHN C/C=C\C[C@H](CO)N[C@@H](C)c1ccc(CC)o1 ZINC000349378663 136343720 /nfs/dbraw/zinc/34/37/20/136343720.db2.gz LYBVSVUUCLLYMM-XEGQIGPUSA-N 0 3 237.343 2.820 20 0 BFADHN C[C@@H]1CCN(C/C=C/c2ccc(F)cc2)C[C@@H]1O ZINC000349411721 136345030 /nfs/dbraw/zinc/34/50/30/136345030.db2.gz AIILEYAYZWNMHX-RDYIKARRSA-N 0 3 249.329 2.542 20 0 BFADHN CCN(Cc1ccc(Cl)s1)CC(C)(C)O ZINC000082419155 343440739 /nfs/dbraw/zinc/44/07/39/343440739.db2.gz QYHDFMSRIBVMSW-UHFFFAOYSA-N 0 3 247.791 2.994 20 0 BFADHN C[C@H]1[C@@H](C(F)(F)F)CCN1Cc1ccn(C)c1 ZINC000335730658 136348635 /nfs/dbraw/zinc/34/86/35/136348635.db2.gz BTTRYIJCSAQCMB-ONGXEEELSA-N 0 3 246.276 2.798 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cncc(F)c1 ZINC000335737824 136351083 /nfs/dbraw/zinc/35/10/83/136351083.db2.gz WOTIOOQSBBPPCX-ZWNOBZJWSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cncc(F)c1 ZINC000335737822 136351111 /nfs/dbraw/zinc/35/11/11/136351111.db2.gz WOTIOOQSBBPPCX-GXFFZTMASA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cncc(F)c1 ZINC000335737823 136351245 /nfs/dbraw/zinc/35/12/45/136351245.db2.gz WOTIOOQSBBPPCX-MFKMUULPSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1cccc(CNCCC(=O)OC(C)(C)C)c1 ZINC000174434504 259360789 /nfs/dbraw/zinc/36/07/89/259360789.db2.gz OEJDXNGBTHJDJO-UHFFFAOYSA-N 0 3 249.354 2.816 20 0 BFADHN C[C@H]1C[C@H]1CN(Cc1cccnc1)C1CC1 ZINC000349272799 136333344 /nfs/dbraw/zinc/33/33/44/136333344.db2.gz DSAMFHQAOCXKBF-AAEUAGOBSA-N 0 3 216.328 2.702 20 0 BFADHN C[C@@H]1c2ccccc2CN1CC[C@H]1CCOC1 ZINC000335700564 136334002 /nfs/dbraw/zinc/33/40/02/136334002.db2.gz AGIZSEUCPXPFIG-OLZOCXBDSA-N 0 3 231.339 2.990 20 0 BFADHN CC(C)(C)[C@@H](N)c1cn(CCC2CCC2)nn1 ZINC000641092949 362220104 /nfs/dbraw/zinc/22/01/04/362220104.db2.gz YSKLEDVCTPNVRR-LBPRGKRZSA-N 0 3 236.363 2.514 20 0 BFADHN OCc1ccc(CN[C@H]2C[C@@H]2C2CCCCC2)o1 ZINC000525708627 259359652 /nfs/dbraw/zinc/35/96/52/259359652.db2.gz GWGMCFUXZBZKJH-CABCVRRESA-N 0 3 249.354 2.830 20 0 BFADHN Cc1cc2[nH]c(CN(C)[C@@H](C)CO)cc2c(C)c1 ZINC000349294885 136337944 /nfs/dbraw/zinc/33/79/44/136337944.db2.gz ITBQDLPZGBJGDU-LBPRGKRZSA-N 0 3 246.354 2.597 20 0 BFADHN Cc1ccc2[nH]c(CN(C)[C@@H](C)CO)cc2c1C ZINC000349312432 136340871 /nfs/dbraw/zinc/34/08/71/136340871.db2.gz FFRNIBQNNLQARV-NSHDSACASA-N 0 3 246.354 2.597 20 0 BFADHN CC(C)N(CCO)Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000350049469 136353656 /nfs/dbraw/zinc/35/36/56/136353656.db2.gz BXYQMTVIGANKPF-YPMHNXCESA-N 0 3 237.343 2.606 20 0 BFADHN Cc1cnc(CN(C)[C@H]2CCC(C)(C)C2)cn1 ZINC000335850501 136355251 /nfs/dbraw/zinc/35/52/51/136355251.db2.gz RQXNIPBTUNHFDP-ZDUSSCGKSA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@H](NCc1ccn(C(C)C)n1)C(C)C ZINC000082866405 362243077 /nfs/dbraw/zinc/24/30/77/362243077.db2.gz YSMPJVKFLNYKKS-ZDUSSCGKSA-N 0 3 223.364 2.988 20 0 BFADHN CCc1nc(C)c(CN2C[C@@H]3CCC[C@@H]3C2)o1 ZINC000335879572 136357027 /nfs/dbraw/zinc/35/70/27/136357027.db2.gz GPDBYXWADPOFJR-TXEJJXNPSA-N 0 3 234.343 2.777 20 0 BFADHN CC(C)C[C@@H](C)n1cc([C@H](N)C(C)(C)C)nn1 ZINC000641096944 362222964 /nfs/dbraw/zinc/22/29/64/362222964.db2.gz YYAMFOBSOCPPDE-PWSUYJOCSA-N 0 3 238.379 2.931 20 0 BFADHN CC(C)=CCCN[C@@H](C)c1nccnc1C ZINC000276986421 187339979 /nfs/dbraw/zinc/33/99/79/187339979.db2.gz ZZYAMWWUEUXKPW-NSHDSACASA-N 0 3 219.332 2.792 20 0 BFADHN COc1ccc(CN[C@@H]2CCCC2(C)C)nc1 ZINC000276882968 187340109 /nfs/dbraw/zinc/34/01/09/187340109.db2.gz JAEZQBLYZMSJNL-CYBMUJFWSA-N 0 3 234.343 2.759 20 0 BFADHN CC[C@@H](C)[C@H](C)NCC(=O)Nc1ccccc1C ZINC000042556250 343442706 /nfs/dbraw/zinc/44/27/06/343442706.db2.gz LABFNNVIDUMQRX-YPMHNXCESA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H](C)CC[C@@H]2C)no1 ZINC000082859066 362236705 /nfs/dbraw/zinc/23/67/05/362236705.db2.gz OPCMUBQNLVIHBP-OUJBWJOFSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@H](NCc1nccn1C(F)F)C(C)C ZINC000042589098 343444995 /nfs/dbraw/zinc/44/49/95/343444995.db2.gz ILGHBHGAXZZUEP-VIFPVBQESA-N 0 3 231.290 2.802 20 0 BFADHN C[C@@H]1C[C@H](CN2CC3(C2)CC(F)(F)C3)CCO1 ZINC000683785012 488221263 /nfs/dbraw/zinc/22/12/63/488221263.db2.gz BTSRQZPAYQOJAN-GHMZBOCLSA-N 0 3 245.313 2.533 20 0 BFADHN CCc1cnc(CN[C@@H]2CC[C@@H]2CC)s1 ZINC000309169974 343487273 /nfs/dbraw/zinc/48/72/73/343487273.db2.gz KYERMUIMHLDLIK-GXSJLCMTSA-N 0 3 224.373 2.984 20 0 BFADHN Cc1cc(C)cc(CN[C@@H]2CO[C@@H](C)C2)c1 ZINC000402117812 349810158 /nfs/dbraw/zinc/81/01/58/349810158.db2.gz GCUPMHNCEYIEMP-JSGCOSHPSA-N 0 3 219.328 2.570 20 0 BFADHN CCOC[C@@H](C)NCc1cc2ccccc2o1 ZINC000044690080 343512073 /nfs/dbraw/zinc/51/20/73/343512073.db2.gz PTGSFCGPJHKXBA-LLVKDONJSA-N 0 3 233.311 2.947 20 0 BFADHN CCC[C@H](N)C(=O)NCc1ccc(C(C)C)cc1 ZINC000045046819 343522423 /nfs/dbraw/zinc/52/24/23/343522423.db2.gz HSRGDMLDBAZHLQ-AWEZNQCLSA-N 0 3 248.370 2.554 20 0 BFADHN Fc1ccc2nc(CNC3CC3)sc2c1 ZINC000084782412 343545014 /nfs/dbraw/zinc/54/50/14/343545014.db2.gz UEZUYGRHRFHNNS-UHFFFAOYSA-N 0 3 222.288 2.687 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2cnn(C)c2)cc1 ZINC000044494789 343503264 /nfs/dbraw/zinc/50/32/64/343503264.db2.gz YTUCAOLORRVKBY-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN CO[C@]1(C)CCCN(Cc2ccc(C)o2)C1 ZINC000280578719 187341863 /nfs/dbraw/zinc/34/18/63/187341863.db2.gz MINORIIIBCBTJA-CYBMUJFWSA-N 0 3 223.316 2.589 20 0 BFADHN CC[C@H](CSC)N(C)Cc1cc(C)ccn1 ZINC000616769408 343563553 /nfs/dbraw/zinc/56/35/53/343563553.db2.gz RUTVMOIHDFPMDI-CYBMUJFWSA-N 0 3 238.400 2.963 20 0 BFADHN Cc1ccc(CCNCc2ccccn2)cc1 ZINC000083354848 343585768 /nfs/dbraw/zinc/58/57/68/343585768.db2.gz JTNGJEPODJAFGP-UHFFFAOYSA-N 0 3 226.323 2.722 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000570208533 323052901 /nfs/dbraw/zinc/05/29/01/323052901.db2.gz FENDZZGXOZDVOW-WOPDTQHZSA-N 0 3 239.363 2.538 20 0 BFADHN C[C@@H](N(C)Cc1cnc2cnccn12)C(C)(C)C ZINC000556802438 343580782 /nfs/dbraw/zinc/58/07/82/343580782.db2.gz MGOJVGZEIVDPOG-LLVKDONJSA-N 0 3 246.358 2.596 20 0 BFADHN Cc1cccc([C@H](C)NCc2ccc[nH]c2=O)c1 ZINC000624257600 343619748 /nfs/dbraw/zinc/61/97/48/343619748.db2.gz KCUZRCLTZCYSJN-LBPRGKRZSA-N 0 3 242.322 2.946 20 0 BFADHN CCCC[C@@H](C)NCc1ccc(C(=O)OC)o1 ZINC000050887669 343620010 /nfs/dbraw/zinc/62/00/10/343620010.db2.gz USQZDTBJSLFTTF-SNVBAGLBSA-N 0 3 239.315 2.735 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H](C)[C@@H]2C)nc1C ZINC000313812427 259365999 /nfs/dbraw/zinc/36/59/99/259365999.db2.gz IVSLPSFWFHGBMI-COPLHBTASA-N 0 3 218.344 2.833 20 0 BFADHN CCC1(NCc2ccc3c(n2)CCC3)CC1 ZINC000577040899 365785256 /nfs/dbraw/zinc/78/52/56/365785256.db2.gz YRSCTAUOXTWELK-UHFFFAOYSA-N 0 3 216.328 2.603 20 0 BFADHN CN[C@@H](C)C(=O)Nc1ccccc1C(C)(C)C ZINC000052395632 343599869 /nfs/dbraw/zinc/59/98/69/343599869.db2.gz ZNVFOKWTDPQYLY-JTQLQIEISA-N 0 3 234.343 2.531 20 0 BFADHN C[C@@H](CN1CCCCC1)Nc1ccc(F)cn1 ZINC000049502053 343600662 /nfs/dbraw/zinc/60/06/62/343600662.db2.gz UUINQQBWAGJFJD-NSHDSACASA-N 0 3 237.322 2.507 20 0 BFADHN CC[C@@H](N)C(=O)N(CC)[C@H](CC)c1ccccc1 ZINC000580565554 365782501 /nfs/dbraw/zinc/78/25/01/365782501.db2.gz YBKAPKKYZAZQPO-ZIAGYGMSSA-N 0 3 248.370 2.724 20 0 BFADHN COc1cccc(CN(C)CCOC(C)C)c1 ZINC000052893077 343641346 /nfs/dbraw/zinc/64/13/46/343641346.db2.gz CDCIIOTVCLBXKY-UHFFFAOYSA-N 0 3 237.343 2.552 20 0 BFADHN C[C@]1(CNCc2ccc(Cl)o2)CCCO1 ZINC000087234218 343641510 /nfs/dbraw/zinc/64/15/10/343641510.db2.gz AKRJQUAHOKVCGE-LLVKDONJSA-N 0 3 229.707 2.592 20 0 BFADHN C[C@@H]1CCCC[C@@H]1OCCN(C)C1CC1 ZINC000047914914 343644615 /nfs/dbraw/zinc/64/46/15/343644615.db2.gz DRUFJNWSXIUJTL-YPMHNXCESA-N 0 3 211.349 2.676 20 0 BFADHN Cc1ccc(CNCC[C@@H]2CCOC2)c(F)c1 ZINC000631111261 343647933 /nfs/dbraw/zinc/64/79/33/343647933.db2.gz NRLZWJLZOUUAAP-GFCCVEGCSA-N 0 3 237.318 2.650 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2cc(F)cc(F)c2)C1 ZINC000624296767 343674689 /nfs/dbraw/zinc/67/46/89/343674689.db2.gz HMPFKPWZQRFONL-HJIKLVIJSA-N 0 3 241.281 2.793 20 0 BFADHN C/C=C\CN[C@@H](C)c1cccc(C#N)c1 ZINC000379956011 343659377 /nfs/dbraw/zinc/65/93/77/343659377.db2.gz VLEXHJGVPSYNKO-BYCRGOAPSA-N 0 3 200.285 2.785 20 0 BFADHN C/C=C\CN[C@H](C)c1cccc(C#N)c1 ZINC000379956015 343659634 /nfs/dbraw/zinc/65/96/34/343659634.db2.gz VLEXHJGVPSYNKO-DLRQAJBASA-N 0 3 200.285 2.785 20 0 BFADHN CCCC[C@H](C)N(C)Cc1ccnc(C#N)c1 ZINC000379971474 343662244 /nfs/dbraw/zinc/66/22/44/343662244.db2.gz NCQTWBCWGKKEDJ-LBPRGKRZSA-N 0 3 231.343 2.964 20 0 BFADHN CC(C)CCN(C)CC(=O)NCCC(C)(C)C ZINC000077211926 343670823 /nfs/dbraw/zinc/67/08/23/343670823.db2.gz WOORATRZHJUKNC-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN C[C@@H](NC[C@H]1CCCC12CC2)c1ccn(C)n1 ZINC000624316414 343691892 /nfs/dbraw/zinc/69/18/92/343691892.db2.gz PDJNVWJRONPAFQ-VXGBXAGGSA-N 0 3 233.359 2.651 20 0 BFADHN C[C@H](NC[C@@H]1CCCC12CC2)c1ccn(C)n1 ZINC000624316413 343691941 /nfs/dbraw/zinc/69/19/41/343691941.db2.gz PDJNVWJRONPAFQ-RYUDHWBXSA-N 0 3 233.359 2.651 20 0 BFADHN C1=C[C@@H](N2CCSCC2)CCCCC1 ZINC000340279681 187346335 /nfs/dbraw/zinc/34/63/35/187346335.db2.gz QMQFEUUNPMKAKQ-GFCCVEGCSA-N 0 3 211.374 2.924 20 0 BFADHN CCC[C@@H](C)N(C)CC(=O)N(C)c1ccccc1 ZINC000048070725 343708106 /nfs/dbraw/zinc/70/81/06/343708106.db2.gz ZAYSWSCHCUOMKW-CYBMUJFWSA-N 0 3 248.370 2.770 20 0 BFADHN OCCC1CCN(C/C=C\c2ccccc2)CC1 ZINC000199193578 343682373 /nfs/dbraw/zinc/68/23/73/343682373.db2.gz FDUUOSDRECIZQC-DAXSKMNVSA-N 0 3 245.366 2.794 20 0 BFADHN c1csc(CNCC(C2CC2)C2CC2)n1 ZINC000083894293 343683020 /nfs/dbraw/zinc/68/30/20/343683020.db2.gz QROICNAXWJVTOS-UHFFFAOYSA-N 0 3 222.357 2.669 20 0 BFADHN C[C@H]1CCCC[C@H]1CN1CCc2nc[nH]c2C1 ZINC000625434229 343734766 /nfs/dbraw/zinc/73/47/66/343734766.db2.gz KHFPVLKXCRSABZ-RYUDHWBXSA-N 0 3 233.359 2.594 20 0 BFADHN C[C@H]1CCC[C@H](CCN2CCc3nc[nH]c3C2)C1 ZINC000625438853 343740647 /nfs/dbraw/zinc/74/06/47/343740647.db2.gz SPCKRUGWZYTQNN-QWHCGFSZSA-N 0 3 247.386 2.984 20 0 BFADHN C[C@@H](CO)CNCc1cc(Cl)cc(Cl)c1 ZINC000088195538 343710959 /nfs/dbraw/zinc/71/09/59/343710959.db2.gz LCNFELHOERATPG-MRVPVSSYSA-N 0 3 248.153 2.711 20 0 BFADHN CSCCCNCc1ccncc1Cl ZINC000084085047 343722212 /nfs/dbraw/zinc/72/22/12/343722212.db2.gz LIJPXINHGYCRCS-UHFFFAOYSA-N 0 3 230.764 2.578 20 0 BFADHN CC(C)(C)[C@H](O)CN1CCC=C(c2ccco2)C1 ZINC000289165255 187347503 /nfs/dbraw/zinc/34/75/03/187347503.db2.gz DWTGDHZGNBNYSO-CQSZACIVSA-N 0 3 249.354 2.776 20 0 BFADHN CCOc1cncc(CN2CC[C@H](C(C)C)C2)c1 ZINC000621719352 343775581 /nfs/dbraw/zinc/77/55/81/343775581.db2.gz KGJDFXXQSQAORO-AWEZNQCLSA-N 0 3 248.370 2.958 20 0 BFADHN COCC1(NCc2scnc2C)CCCC1 ZINC000584124494 343763081 /nfs/dbraw/zinc/76/30/81/343763081.db2.gz GZTPPOIVGJJXOE-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN CC(C)CN(Cc1ccccn1)C1CC1 ZINC000064809369 343763625 /nfs/dbraw/zinc/76/36/25/343763625.db2.gz HDRRYIFFHXESQE-UHFFFAOYSA-N 0 3 204.317 2.702 20 0 BFADHN C/C(=C\c1ccccc1)CN1CC2(C1)CCOC2 ZINC000293836638 187350455 /nfs/dbraw/zinc/35/04/55/187350455.db2.gz AVAIJQJOZJERDZ-NTEUORMPSA-N 0 3 243.350 2.812 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CO[C@@H](C)C2)cs1 ZINC000402135261 349864058 /nfs/dbraw/zinc/86/40/58/349864058.db2.gz KJDNPRDWSFXKGM-PTOFAABTSA-N 0 3 225.357 2.885 20 0 BFADHN CCC(CC)CN[C@H](CC)c1ccn(C)n1 ZINC000336675539 537821719 /nfs/dbraw/zinc/82/17/19/537821719.db2.gz BKYPZIODPUORPT-GFCCVEGCSA-N 0 3 223.364 2.897 20 0 BFADHN Cc1ncc(CN2CC3(CCC3)[C@@H]2C(C)C)o1 ZINC000628291123 346982888 /nfs/dbraw/zinc/98/28/88/346982888.db2.gz OOTRUNAJCWQRDY-ZDUSSCGKSA-N 0 3 234.343 2.994 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CC[C@@H]1C(C)C ZINC000336691506 537825097 /nfs/dbraw/zinc/82/50/97/537825097.db2.gz WWMWYGMPQPKEOC-YPMHNXCESA-N 0 3 222.332 2.816 20 0 BFADHN CC(C)[C@H](C)N(C)CC(=O)N(C)c1ccccc1 ZINC000298415561 187352882 /nfs/dbraw/zinc/35/28/82/187352882.db2.gz KHASNTXHJLGLQT-ZDUSSCGKSA-N 0 3 248.370 2.626 20 0 BFADHN CC[C@H]1CN(C/C=C(\C)Cl)CCCO1 ZINC000528495439 262952925 /nfs/dbraw/zinc/95/29/25/262952925.db2.gz UTIDBDBOSRTHNQ-UAWPZABVSA-N 0 3 217.740 2.630 20 0 BFADHN CCCc1ccc(CN2C[C@H](O)C[C@@H]2C)s1 ZINC000621724621 343872004 /nfs/dbraw/zinc/87/20/04/343872004.db2.gz ORDQMUAQRSEERK-WDEREUQCSA-N 0 3 239.384 2.656 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1ccc(C)o1 ZINC000393690391 343911430 /nfs/dbraw/zinc/91/14/30/343911430.db2.gz PULQMVRMCVFNPG-QWRGUYRKSA-N 0 3 211.330 2.572 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1ccc(C#N)s1 ZINC000393613780 343900635 /nfs/dbraw/zinc/90/06/35/343900635.db2.gz SRVVHEJYUIGJSB-KCJUWKMLSA-N 0 3 238.381 2.603 20 0 BFADHN CC(C)(C)n1cc(CN[C@H]2CC[C@@H](F)C2)cn1 ZINC000342274915 534954996 /nfs/dbraw/zinc/95/49/96/534954996.db2.gz IALHQUJMCVKECR-NEPJUHHUSA-N 0 3 239.338 2.618 20 0 BFADHN CCN(Cc1cnn2ccccc12)C(C)C ZINC000179952843 347005292 /nfs/dbraw/zinc/00/52/92/347005292.db2.gz JOLUIOWSTALACW-UHFFFAOYSA-N 0 3 217.316 2.565 20 0 BFADHN C[C@@H](N[C@H]1C=CCCC1)c1cccnc1 ZINC000090393076 343930992 /nfs/dbraw/zinc/93/09/92/343930992.db2.gz JFKUYYQNMKDYPW-YPMHNXCESA-N 0 3 202.301 2.841 20 0 BFADHN C[C@H](N[C@@H]1C=CCCC1)c1cccnc1 ZINC000090393077 343931081 /nfs/dbraw/zinc/93/10/81/343931081.db2.gz JFKUYYQNMKDYPW-WCQYABFASA-N 0 3 202.301 2.841 20 0 BFADHN NC(=O)CN1CCCC2(CCCCC2)CC1 ZINC000335884279 344043218 /nfs/dbraw/zinc/04/32/18/344043218.db2.gz JCTBFJOTUSEMCF-UHFFFAOYSA-N 0 3 224.348 2.958 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@@H]1C[C@@H]1C(F)F ZINC000342192202 187356159 /nfs/dbraw/zinc/35/61/59/187356159.db2.gz AQGRXTSUUDPNBE-WDEREUQCSA-N 0 3 243.301 2.516 20 0 BFADHN CCOCCN1CCC(CC(F)(F)F)CC1 ZINC000341998249 187356166 /nfs/dbraw/zinc/35/61/66/187356166.db2.gz XIWYQBIZSSPJBK-UHFFFAOYSA-N 0 3 239.281 2.687 20 0 BFADHN Cc1csc(CN[C@@H]2CCC[C@H]2C)n1 ZINC000079055258 187281182 /nfs/dbraw/zinc/28/11/82/187281182.db2.gz CTNWYJGCJYRDRG-PSASIEDQSA-N 0 3 210.346 2.730 20 0 BFADHN CCOc1ncccc1CN[C@@H]1CC[C@H]1C1CC1 ZINC000348101998 537837324 /nfs/dbraw/zinc/83/73/24/537837324.db2.gz KFDPUCHCORARIB-UONOGXRCSA-N 0 3 246.354 2.759 20 0 BFADHN c1cnn(CCNCc2ccccc2C2CC2)c1 ZINC000094357772 537837792 /nfs/dbraw/zinc/83/77/92/537837792.db2.gz HBEYHSUAPZPORR-UHFFFAOYSA-N 0 3 241.338 2.550 20 0 BFADHN CC1(CN[C@@H](c2ccccn2)C2CCC2)COC1 ZINC000625785907 344074213 /nfs/dbraw/zinc/07/42/13/344074213.db2.gz WOHXUUBORCORFV-CQSZACIVSA-N 0 3 246.354 2.549 20 0 BFADHN Cn1nccc1CN1CC(C)(C)CCC1(C)C ZINC000625722788 344055856 /nfs/dbraw/zinc/05/58/56/344055856.db2.gz DIHJFKJRGQKYJZ-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@@H]1C[C@H](CN2Cc3ccc(O)cc3C2)CCO1 ZINC000625727792 344058953 /nfs/dbraw/zinc/05/89/53/344058953.db2.gz LXDCOWOMIHYJJT-VXGBXAGGSA-N 0 3 247.338 2.523 20 0 BFADHN CCN[C@@H]1Cc2ccccc2O[C@H]1C(F)(F)F ZINC000625744545 344062423 /nfs/dbraw/zinc/06/24/23/344062423.db2.gz RBCJZIXDZDEAQB-MWLCHTKSSA-N 0 3 245.244 2.531 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000395081010 344064815 /nfs/dbraw/zinc/06/48/15/344064815.db2.gz TVBQFBVFQLPHPZ-XOULXFPDSA-N 0 3 220.272 2.822 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000395080999 344065037 /nfs/dbraw/zinc/06/50/37/344065037.db2.gz TVBQFBVFQLPHPZ-FSIBCCDJSA-N 0 3 220.272 2.822 20 0 BFADHN FC1(F)CCCN(CCOCC2CCC2)CC1 ZINC000625771208 344066989 /nfs/dbraw/zinc/06/69/89/344066989.db2.gz CTPOFMMUVKYRAZ-UHFFFAOYSA-N 0 3 247.329 2.924 20 0 BFADHN CC1(C)CCCN1C[C@@H](O)c1ccccc1F ZINC000077382826 344068958 /nfs/dbraw/zinc/06/89/58/344068958.db2.gz KWVZBDLSPWGROD-CYBMUJFWSA-N 0 3 237.318 2.734 20 0 BFADHN CCC(=O)CCCN1CCCC(F)(F)CC1 ZINC000625772113 344069891 /nfs/dbraw/zinc/06/98/91/344069891.db2.gz NBPDVIWBUOBDTJ-UHFFFAOYSA-N 0 3 233.302 2.867 20 0 BFADHN c1cc(CN2CC[C@H]3CC[C@@H](C2)S3)ccn1 ZINC000625775880 344070965 /nfs/dbraw/zinc/07/09/65/344070965.db2.gz XDBQGKCAXOUGRG-OLZOCXBDSA-N 0 3 234.368 2.552 20 0 BFADHN CC(C)N(C)CCNCc1cc2ccccc2o1 ZINC000054111072 187358419 /nfs/dbraw/zinc/35/84/19/187358419.db2.gz UYBTYQQAIVHWSS-UHFFFAOYSA-N 0 3 246.354 2.863 20 0 BFADHN CC[C@H](C)c1cccc(NC(=O)C(C)(C)N)c1 ZINC000625814675 344082321 /nfs/dbraw/zinc/08/23/21/344082321.db2.gz RZFDLHIZZPOPML-JTQLQIEISA-N 0 3 234.343 2.876 20 0 BFADHN CCc1ccc(CN(C2CC2)C2CC2)nc1 ZINC000625842109 344093273 /nfs/dbraw/zinc/09/32/73/344093273.db2.gz WGWQFKSCWOLDJJ-UHFFFAOYSA-N 0 3 216.328 2.771 20 0 BFADHN C/C=C/CN[C@@H](CC)c1ccc(F)cn1 ZINC000384882531 344208701 /nfs/dbraw/zinc/20/87/01/344208701.db2.gz IVLGRYMNVFSBRO-TZNOJPMFSA-N 0 3 208.280 2.838 20 0 BFADHN Cc1ncc(CN2CC[C@H](c3ccccc3)C2)o1 ZINC000628136436 344211362 /nfs/dbraw/zinc/21/13/62/344211362.db2.gz BXRRZAOPNYLWBV-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN C[C@H](CCC(C)(C)C)NCc1cc[nH]n1 ZINC000130681566 344223569 /nfs/dbraw/zinc/22/35/69/344223569.db2.gz NUECDDWJZGTEPK-SNVBAGLBSA-N 0 3 209.337 2.714 20 0 BFADHN C[C@@H](CCC(C)(C)C)NCc1cc[nH]n1 ZINC000130681353 344223900 /nfs/dbraw/zinc/22/39/00/344223900.db2.gz NUECDDWJZGTEPK-JTQLQIEISA-N 0 3 209.337 2.714 20 0 BFADHN CSCC[C@@H](CO)N[C@@H](C)c1cccs1 ZINC000130890347 344226367 /nfs/dbraw/zinc/22/63/67/344226367.db2.gz NOCZXUVQZYBUSK-UWVGGRQHSA-N 0 3 245.413 2.513 20 0 BFADHN CSCC[C@H](CO)N[C@H](C)c1cccs1 ZINC000130890945 344226468 /nfs/dbraw/zinc/22/64/68/344226468.db2.gz NOCZXUVQZYBUSK-NXEZZACHSA-N 0 3 245.413 2.513 20 0 BFADHN OCc1ccc(CNC[C@H]2CC3CCC2CC3)o1 ZINC000400028197 344230754 /nfs/dbraw/zinc/23/07/54/344230754.db2.gz PQSGJMOZOUREDE-WXRRBKDZSA-N 0 3 249.354 2.688 20 0 BFADHN CCN1CCN(CCCc2ccccc2C)CC1 ZINC000084676832 344196219 /nfs/dbraw/zinc/19/62/19/344196219.db2.gz LQIVBSXBIJKYIZ-UHFFFAOYSA-N 0 3 246.398 2.565 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2ccc(CO)o2)C1 ZINC000085063612 344202062 /nfs/dbraw/zinc/20/20/62/344202062.db2.gz QTXVUCUARSKHRW-VXGBXAGGSA-N 0 3 237.343 2.830 20 0 BFADHN C[C@@H]1C[C@H](Nc2ccnc3ccccc32)[C@H](C)O1 ZINC000384870779 344203150 /nfs/dbraw/zinc/20/31/50/344203150.db2.gz IJQZCJRVGNEFGS-ZETOZRRWSA-N 0 3 242.322 2.635 20 0 BFADHN C[C@@H]1C[C@@H](Nc2ccnc3ccccc32)[C@@H](C)O1 ZINC000384870778 344203307 /nfs/dbraw/zinc/20/33/07/344203307.db2.gz IJQZCJRVGNEFGS-UEKVPHQBSA-N 0 3 242.322 2.635 20 0 BFADHN Cc1ccsc1CCNCc1ccco1 ZINC000131911535 344238819 /nfs/dbraw/zinc/23/88/19/344238819.db2.gz OLUFKMZAORBJNW-UHFFFAOYSA-N 0 3 221.325 2.982 20 0 BFADHN CCc1cnc(CNCC2(SC)CC2)s1 ZINC000278560820 344265272 /nfs/dbraw/zinc/26/52/72/344265272.db2.gz CIXZSKCWXQMZAT-UHFFFAOYSA-N 0 3 242.413 2.691 20 0 BFADHN Cc1ncc(CN[C@@H](C)c2ccc(C)cc2)n1C ZINC000573459962 344274089 /nfs/dbraw/zinc/27/40/89/344274089.db2.gz RJVCKGFKJQITIP-LBPRGKRZSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1ccoc1 ZINC000306571871 344278611 /nfs/dbraw/zinc/27/86/11/344278611.db2.gz HHEWWODYPNBTBR-FXPVBKGRSA-N 0 3 211.330 2.824 20 0 BFADHN C/C=C/CN[C@H]1CCc2cc(F)ccc21 ZINC000384984410 344285971 /nfs/dbraw/zinc/28/59/71/344285971.db2.gz ZMJLHMVYPUQUGU-IBUXWKBASA-N 0 3 205.276 2.979 20 0 BFADHN CC[C@H]1CCN(Cc2sccc2OC)C1 ZINC000091929420 344286461 /nfs/dbraw/zinc/28/64/61/344286461.db2.gz SYUROVWPYZQRPM-JTQLQIEISA-N 0 3 225.357 2.989 20 0 BFADHN Cc1cc(C)cc(C2=CCN(CCCO)CC2)c1 ZINC000092427809 344295267 /nfs/dbraw/zinc/29/52/67/344295267.db2.gz AGAGWTZQAPUWBW-UHFFFAOYSA-N 0 3 245.366 2.775 20 0 BFADHN CC[C@@H](NCc1cnn(C)c1C)c1ccccc1 ZINC000093650226 344320661 /nfs/dbraw/zinc/32/06/61/344320661.db2.gz AOVNAGOQECLHIF-OAHLLOKOSA-N 0 3 243.354 2.969 20 0 BFADHN Cn1ncc(Cl)c1CNCCCC(C)(C)C ZINC000131735846 344327238 /nfs/dbraw/zinc/32/72/38/344327238.db2.gz ZSGXUBCWEDICRV-UHFFFAOYSA-N 0 3 243.782 2.989 20 0 BFADHN CC1(C)CCC[C@@H]1NCc1nccn1C(F)F ZINC000094266062 344327463 /nfs/dbraw/zinc/32/74/63/344327463.db2.gz IQWBYQPVPMDJGJ-VIFPVBQESA-N 0 3 243.301 2.947 20 0 BFADHN CC1(C)CCC[C@@H]1NCC(=O)Nc1ccccc1 ZINC000094324968 344328068 /nfs/dbraw/zinc/32/80/68/344328068.db2.gz JACKOTHJRUIFEP-ZDUSSCGKSA-N 0 3 246.354 2.793 20 0 BFADHN Cc1ncc(CN2CCC(C3CC3)CC2)o1 ZINC000628291816 347047902 /nfs/dbraw/zinc/04/79/02/347047902.db2.gz VRYYIZCSCUGJEG-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN CCCC[C@@H](NC(=O)[C@@H](N)CCC)C1CCC1 ZINC000236849788 344407679 /nfs/dbraw/zinc/40/76/79/344407679.db2.gz MTOSOFJWDFVEBK-QWHCGFSZSA-N 0 3 240.391 2.589 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1cnccc1C ZINC000381403374 344502788 /nfs/dbraw/zinc/50/27/88/344502788.db2.gz RSYTYJSACAPAHZ-WCFLWFBJSA-N 0 3 236.384 2.935 20 0 BFADHN CC1(C)CCC[C@@H](CNCc2cc[nH]n2)C1 ZINC000309749775 344452049 /nfs/dbraw/zinc/45/20/49/344452049.db2.gz GKKWBMCSVQLHBB-LLVKDONJSA-N 0 3 221.348 2.716 20 0 BFADHN CC[C@@H](O)CN(C)Cc1cccc(Cl)c1 ZINC000042437315 344452801 /nfs/dbraw/zinc/45/28/01/344452801.db2.gz LIPJYIJJQKVLCF-GFCCVEGCSA-N 0 3 227.735 2.543 20 0 BFADHN CCc1cc(CN[C@H](C)C2CCCC2)on1 ZINC000168175093 344472870 /nfs/dbraw/zinc/47/28/70/344472870.db2.gz JLDYJAXIHGSBHF-SNVBAGLBSA-N 0 3 222.332 2.905 20 0 BFADHN CC[C@@H](O)CN1CC=C(c2ccc(F)cc2)CC1 ZINC000106381331 344474688 /nfs/dbraw/zinc/47/46/88/344474688.db2.gz VYFUAFMCQAZUAA-OAHLLOKOSA-N 0 3 249.329 2.686 20 0 BFADHN c1nc2c(s1)CCC[C@@H]2NCC1CC1 ZINC000623859447 344475999 /nfs/dbraw/zinc/47/59/99/344475999.db2.gz NVEMKIPLGZUIFE-VIFPVBQESA-N 0 3 208.330 2.520 20 0 BFADHN C[C@H]1C[C@H](NCc2cocn2)CC(C)(C)C1 ZINC000381515935 344515308 /nfs/dbraw/zinc/51/53/08/344515308.db2.gz SGLMBYOPQJMIOX-QWRGUYRKSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1ccccc1N(C)C ZINC000577512577 344534203 /nfs/dbraw/zinc/53/42/03/344534203.db2.gz NHYLFBRPPDBZMX-AGIUHOORSA-N 0 3 248.370 2.581 20 0 BFADHN CC[C@@](C)(N)c1cn(C[C@H](C)CC(C)C)nn1 ZINC000395274497 362406374 /nfs/dbraw/zinc/40/63/74/362406374.db2.gz WDLKAAWJGUTIIA-DGCLKSJQSA-N 0 3 238.379 2.544 20 0 BFADHN CSCCCCCN[C@H](C)c1ncc[nH]1 ZINC000287610290 344541137 /nfs/dbraw/zinc/54/11/37/344541137.db2.gz SKUWWGUIHPILNN-SNVBAGLBSA-N 0 3 227.377 2.594 20 0 BFADHN Cc1csc(CN[C@@H]2CC[C@H]2C(C)C)n1 ZINC000336765185 537847905 /nfs/dbraw/zinc/84/79/05/537847905.db2.gz QUULYYRYAVAWAW-WDEREUQCSA-N 0 3 224.373 2.976 20 0 BFADHN CCCCN(CCCC)C(=O)CN(C)C(C)C ZINC000152512230 344590322 /nfs/dbraw/zinc/59/03/22/344590322.db2.gz VFUHCHRNOGRUBL-UHFFFAOYSA-N 0 3 242.407 2.755 20 0 BFADHN CCCCCN(CCCCC)C(=O)[C@H](C)N ZINC000037814456 344591674 /nfs/dbraw/zinc/59/16/74/344591674.db2.gz HXWXYLNFGRIVID-LBPRGKRZSA-N 0 3 228.380 2.543 20 0 BFADHN CCC(C)(C)CCN1CCO[C@H](CCF)C1 ZINC000626002391 344663048 /nfs/dbraw/zinc/66/30/48/344663048.db2.gz MFLYDTSZFBNDJK-GFCCVEGCSA-N 0 3 231.355 2.873 20 0 BFADHN CCCN[C@H](COC)c1ccc(F)cc1 ZINC000049684688 344681440 /nfs/dbraw/zinc/68/14/40/344681440.db2.gz WGMLCNKGIFSMSF-GFCCVEGCSA-N 0 3 211.280 2.513 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCO[C@H](CCF)C2)C1 ZINC000626004534 344666315 /nfs/dbraw/zinc/66/63/15/344666315.db2.gz JRMYIAKWKSNPCW-MGPQQGTHSA-N 0 3 243.366 2.873 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(Cl)cc1C ZINC000309962907 259378869 /nfs/dbraw/zinc/37/88/69/259378869.db2.gz MEIXPMJXTPMYMY-CHWSQXEVSA-N 0 3 239.746 2.915 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CCO[C@@H](CCF)C1 ZINC000626005389 344667410 /nfs/dbraw/zinc/66/74/10/344667410.db2.gz BPFAZXYHKHTLKS-RDBSUJKOSA-N 0 3 243.366 2.873 20 0 BFADHN CCOC1CCN(Cc2cccc(OC)c2)CC1 ZINC000050071885 344634817 /nfs/dbraw/zinc/63/48/17/344634817.db2.gz SIJIPBZLVXVCEN-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1ccncc1Cl ZINC000387756689 347064185 /nfs/dbraw/zinc/06/41/85/347064185.db2.gz AEYSVTPWDVGZMQ-KOLCDFICSA-N 0 3 224.735 2.871 20 0 BFADHN CCN(Cc1cc(OC)ccc1OC)CC1CC1 ZINC000112210652 344637382 /nfs/dbraw/zinc/63/73/82/344637382.db2.gz QEPLDJQQJSRUHX-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN CC[C@H](C)CN1CCc2c(O)cccc2C1 ZINC000626027466 344679481 /nfs/dbraw/zinc/67/94/81/344679481.db2.gz XOXOPYPTPLRQSF-NSHDSACASA-N 0 3 219.328 2.796 20 0 BFADHN CC[C@@H](NCc1cncn1C)c1ccccc1 ZINC000112344472 344642696 /nfs/dbraw/zinc/64/26/96/344642696.db2.gz QQAFLOJFQOFJSY-CQSZACIVSA-N 0 3 229.327 2.661 20 0 BFADHN CCO[C@@H](CN1CCC(F)(F)C[C@@H]1C)C1CC1 ZINC000625981487 344647709 /nfs/dbraw/zinc/64/77/09/344647709.db2.gz VCLAEUCKUVDEPG-JQWIXIFHSA-N 0 3 247.329 2.921 20 0 BFADHN CCOCCCN1CCC(F)(F)C[C@@H]1C ZINC000625979950 344648256 /nfs/dbraw/zinc/64/82/56/344648256.db2.gz NTIIWYWEKNVDSS-JTQLQIEISA-N 0 3 221.291 2.533 20 0 BFADHN C[C@]12CCCC[C@H]1CN2CCO[C@H]1CC1(F)F ZINC000626103122 344739578 /nfs/dbraw/zinc/73/95/78/344739578.db2.gz MWFIEDHBTFYYCP-SRVKXCTJSA-N 0 3 245.313 2.675 20 0 BFADHN COCC1(NCc2ccc3occc3c2)CC1 ZINC000560495605 344743589 /nfs/dbraw/zinc/74/35/89/344743589.db2.gz ABSRIKFREUILCK-UHFFFAOYSA-N 0 3 231.295 2.701 20 0 BFADHN COc1ccc(CN2C[C@@H]3CCCC[C@@]32C)nc1 ZINC000626103647 344743745 /nfs/dbraw/zinc/74/37/45/344743745.db2.gz JSKBRDVJWXWOKX-WFASDCNBSA-N 0 3 246.354 2.855 20 0 BFADHN CSC1(CNCc2ccncc2Cl)CC1 ZINC000235024641 344745828 /nfs/dbraw/zinc/74/58/28/344745828.db2.gz HKCJEPISMHQULD-UHFFFAOYSA-N 0 3 242.775 2.720 20 0 BFADHN CC(C)[C@H]1CC[C@@H]1NCc1cccc2c1OCO2 ZINC000560514320 344752304 /nfs/dbraw/zinc/75/23/04/344752304.db2.gz WZMBISQMODLOHO-OLZOCXBDSA-N 0 3 247.338 2.940 20 0 BFADHN CN(CCOc1cccc(Cl)c1)C1CC1 ZINC000047915450 323076406 /nfs/dbraw/zinc/07/64/06/323076406.db2.gz ZCVTVJVYHMNLPJ-UHFFFAOYSA-N 0 3 225.719 2.813 20 0 BFADHN c1csc(CNCCOC2CCCC2)c1 ZINC000049948531 344704184 /nfs/dbraw/zinc/70/41/84/344704184.db2.gz DSCAWNSRZSVCKZ-UHFFFAOYSA-N 0 3 225.357 2.797 20 0 BFADHN CCN(CCCO)Cc1ccc(Cl)s1 ZINC000049967767 344707661 /nfs/dbraw/zinc/70/76/61/344707661.db2.gz RDLSOOFXJORWCE-UHFFFAOYSA-N 0 3 233.764 2.606 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](C)C2)s1 ZINC000128020975 344712553 /nfs/dbraw/zinc/71/25/53/344712553.db2.gz HVOYHSZJUXWMQV-SECBINFHSA-N 0 3 210.346 2.683 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1nccn1CC ZINC000075021437 344761247 /nfs/dbraw/zinc/76/12/47/344761247.db2.gz WEGKZUFIGLXLRE-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cn2ccccc2n1 ZINC000075021791 344761885 /nfs/dbraw/zinc/76/18/85/344761885.db2.gz OKZSJRNLUADZRZ-JSGCOSHPSA-N 0 3 243.354 2.955 20 0 BFADHN CCc1cnc(CN2CCC[C@@H]2C(C)C)o1 ZINC000075648351 344782862 /nfs/dbraw/zinc/78/28/62/344782862.db2.gz XGGODGIAJDMCBX-GFCCVEGCSA-N 0 3 222.332 2.857 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1ccsc1 ZINC000050344909 344783375 /nfs/dbraw/zinc/78/33/75/344783375.db2.gz GDSXLVCQEOQWKT-NXEZZACHSA-N 0 3 213.346 2.824 20 0 BFADHN CC(C)CCOCCN1C[C@@H](C)S[C@H](C)C1 ZINC000075890896 344786538 /nfs/dbraw/zinc/78/65/38/344786538.db2.gz RZIRBHZVGVFQCE-CHWSQXEVSA-N 0 3 245.432 2.875 20 0 BFADHN CCOC(=O)C[C@H](C)N(C)Cc1ccsc1 ZINC000043622763 187293165 /nfs/dbraw/zinc/29/31/65/187293165.db2.gz NXDACVWCYIXYNT-JTQLQIEISA-N 0 3 241.356 2.522 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1CC[C@@H]1C1CC1 ZINC000348363963 537858090 /nfs/dbraw/zinc/85/80/90/537858090.db2.gz BDMOXODFIRQRAF-UPJWGTAASA-N 0 3 231.343 2.565 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cc(C)no2)C1 ZINC000075999167 344790121 /nfs/dbraw/zinc/79/01/21/344790121.db2.gz ZXURJTDVRJJUPO-CYBMUJFWSA-N 0 3 222.332 2.995 20 0 BFADHN C[C@@H](O)CCN(C)CCc1ccccc1Cl ZINC000075779844 344791671 /nfs/dbraw/zinc/79/16/71/344791671.db2.gz IRAJWPUPONGBSD-LLVKDONJSA-N 0 3 241.762 2.585 20 0 BFADHN CC[C@H](NCc1ncc(C)o1)[C@@H]1CC1(C)C ZINC000397570407 323077290 /nfs/dbraw/zinc/07/72/90/323077290.db2.gz DRTXNFMSXDMUTH-QWRGUYRKSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@@H]1CN(Cc2cccc3c2OCCO3)C[C@H]1C ZINC000076112837 344796331 /nfs/dbraw/zinc/79/63/31/344796331.db2.gz LMEORJGMFCQEKE-VXGBXAGGSA-N 0 3 247.338 2.546 20 0 BFADHN Cc1ccccc1NC(=O)CN1C[C@H](C)[C@@H](C)C1 ZINC000076113589 344796940 /nfs/dbraw/zinc/79/69/40/344796940.db2.gz ACROUIFKPBBWFT-STQMWFEESA-N 0 3 246.354 2.521 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1ccccn1)OC ZINC000318941058 259380728 /nfs/dbraw/zinc/38/07/28/259380728.db2.gz CDUDIKBPZQPCLQ-WCQYABFASA-N 0 3 222.332 2.547 20 0 BFADHN CC(C)(C)OC1CCN(CCCF)CC1 ZINC000151853471 534968925 /nfs/dbraw/zinc/96/89/25/534968925.db2.gz MJPBHEVZMVFZNX-UHFFFAOYSA-N 0 3 217.328 2.626 20 0 BFADHN Cc1ccc(CNCCCc2nccs2)o1 ZINC000076892384 344807615 /nfs/dbraw/zinc/80/76/15/344807615.db2.gz IDQYZTBSWBYBNL-UHFFFAOYSA-N 0 3 236.340 2.767 20 0 BFADHN CSC1(CN[C@@H]2CCCc3cccnc32)CC1 ZINC000235147031 344813494 /nfs/dbraw/zinc/81/34/94/344813494.db2.gz QIJFWWVAKDHDGZ-GFCCVEGCSA-N 0 3 248.395 2.944 20 0 BFADHN CCN(Cc1cnc(C)o1)[C@H](C)C(C)C ZINC000628156694 344849436 /nfs/dbraw/zinc/84/94/36/344849436.db2.gz ZGFAHUVTHFLBCS-SNVBAGLBSA-N 0 3 210.321 2.849 20 0 BFADHN CC[C@@H]1CN(C[C@@]2(C)CCCS2)C[C@H](C)O1 ZINC000628154689 344844534 /nfs/dbraw/zinc/84/45/34/344844534.db2.gz DFVPFRCPIABDAA-YNEHKIRRSA-N 0 3 243.416 2.771 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]2C(C)(C)C)o1 ZINC000628162678 344863848 /nfs/dbraw/zinc/86/38/48/344863848.db2.gz ZLHCUEVVKUBWDS-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1ncc(CN2CC[C@H](c3ccco3)C2)o1 ZINC000628170965 344887726 /nfs/dbraw/zinc/88/77/26/344887726.db2.gz PMWXOVWRIOJMAG-NSHDSACASA-N 0 3 232.283 2.566 20 0 BFADHN Cc1ncc(CN2CC[C@H](CC(C)C)C2)o1 ZINC000628171564 344890754 /nfs/dbraw/zinc/89/07/54/344890754.db2.gz GCZGRJGXOYLWIQ-GFCCVEGCSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1ncc(CN2CC[C@@H](CC(C)C)C2)o1 ZINC000628171565 344890904 /nfs/dbraw/zinc/89/09/04/344890904.db2.gz GCZGRJGXOYLWIQ-LBPRGKRZSA-N 0 3 222.332 2.851 20 0 BFADHN CCOc1cccc(CNC2CC(C)(C)C2)n1 ZINC000567753862 323080449 /nfs/dbraw/zinc/08/04/49/323080449.db2.gz JKKKHDWKGKEEFG-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1c[nH]c(CN2[C@H](C)C[C@@H]3CCCC[C@H]32)n1 ZINC000628174706 344896456 /nfs/dbraw/zinc/89/64/56/344896456.db2.gz TVVKOAOIRBJIQH-FRRDWIJNSA-N 0 3 233.359 2.871 20 0 BFADHN Cc1ncc(CN2CCCCC[C@H]2C)o1 ZINC000628174696 344896528 /nfs/dbraw/zinc/89/65/28/344896528.db2.gz SWOJTCGGFRTNOC-SNVBAGLBSA-N 0 3 208.305 2.748 20 0 BFADHN C[C@@H]1COCCN1CCC1CCCCC1 ZINC000078682649 344896864 /nfs/dbraw/zinc/89/68/64/344896864.db2.gz BWUFJFKCXWGTMN-GFCCVEGCSA-N 0 3 211.349 2.678 20 0 BFADHN Cc1n[nH]cc1CN[C@H]1CCc2ccc(C)cc21 ZINC000113310698 187294018 /nfs/dbraw/zinc/29/40/18/187294018.db2.gz HPJQCZPPACHACW-HNNXBMFYSA-N 0 3 241.338 2.804 20 0 BFADHN CCOCCN(C)Cc1ccc(SC)cc1 ZINC000078681249 344898576 /nfs/dbraw/zinc/89/85/76/344898576.db2.gz KHAAKXHHEYYTAT-UHFFFAOYSA-N 0 3 239.384 2.877 20 0 BFADHN CC[C@@H]([NH2+]Cc1nnc(C2CC2)[n-]1)[C@H]1CC1(C)C ZINC000584040470 537873523 /nfs/dbraw/zinc/87/35/23/537873523.db2.gz BBCMINYRNUPWQU-GHMZBOCLSA-N 0 3 248.374 2.596 20 0 BFADHN CC[C@@H](NCc1nnc(C2CC2)[nH]1)[C@H]1CC1(C)C ZINC000584040470 537873529 /nfs/dbraw/zinc/87/35/29/537873529.db2.gz BBCMINYRNUPWQU-GHMZBOCLSA-N 0 3 248.374 2.596 20 0 BFADHN CC[C@H](O)[C@H](CC)NCc1ccc(F)cc1F ZINC000313092734 323081203 /nfs/dbraw/zinc/08/12/03/323081203.db2.gz BNPXGTGJWZUBAD-STQMWFEESA-N 0 3 243.297 2.604 20 0 BFADHN CCC[C@H]1CCCN(Cc2cnc(C)o2)C1 ZINC000628185385 344934808 /nfs/dbraw/zinc/93/48/08/344934808.db2.gz XFMJDJFAZXAJQU-LBPRGKRZSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1ccc(CNCC[C@@H](C)CCO)c(F)c1 ZINC000631148864 344935347 /nfs/dbraw/zinc/93/53/47/344935347.db2.gz WKUAMZNHFFNNSS-LLVKDONJSA-N 0 3 239.334 2.632 20 0 BFADHN c1nc(C2CC2)oc1CN1CC[C@@H](C2CC2)C1 ZINC000628186405 344936573 /nfs/dbraw/zinc/93/65/73/344936573.db2.gz IUNRZYBZHNIZDG-GFCCVEGCSA-N 0 3 232.327 2.784 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC000628180084 344917374 /nfs/dbraw/zinc/91/73/74/344917374.db2.gz AWJLQFSKMHKFEL-GFCCVEGCSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H]2C(C)C)o1 ZINC000628198805 344963101 /nfs/dbraw/zinc/96/31/01/344963101.db2.gz MDPLOKRVBKAKOG-NSHDSACASA-N 0 3 208.305 2.522 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cnc2ccccc2n1 ZINC000628198749 344963876 /nfs/dbraw/zinc/96/38/76/344963876.db2.gz JYMMPMHYBBIFPF-OAHLLOKOSA-N 0 3 241.338 2.860 20 0 BFADHN C[C@@H](N[C@@H]1CCN(C2CCCC2)C1)c1ccco1 ZINC000153765299 344970266 /nfs/dbraw/zinc/97/02/66/344970266.db2.gz DMLMDVDSJXHOCU-CHWSQXEVSA-N 0 3 248.370 2.947 20 0 BFADHN CC(C)(CCO)NCc1ccc(Cl)c(F)c1 ZINC000127125197 187296244 /nfs/dbraw/zinc/29/62/44/187296244.db2.gz KTFAGZLKISSKCB-UHFFFAOYSA-N 0 3 245.725 2.730 20 0 BFADHN Cc1ccc(CN(C)CC(C)(C)C)cn1 ZINC000153783419 344973577 /nfs/dbraw/zinc/97/35/77/344973577.db2.gz YOXYBYXHDICWMF-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN COc1ccc([C@H](C)N(C)CCC(C)=O)cc1 ZINC000126019461 187297002 /nfs/dbraw/zinc/29/70/02/187297002.db2.gz DQHZOZBNIABERO-LBPRGKRZSA-N 0 3 235.327 2.667 20 0 BFADHN CC(C)[C@H](N)C(=O)Nc1cccc(C(F)F)c1 ZINC000157566014 187297092 /nfs/dbraw/zinc/29/70/92/187297092.db2.gz UGQHTXRXZAZZLS-JTQLQIEISA-N 0 3 242.269 2.546 20 0 BFADHN Cc1nc(CN2CC[C@@H](C)C[C@@H](C)C2)[nH]c1C ZINC000628190079 344942453 /nfs/dbraw/zinc/94/24/53/344942453.db2.gz VMLIMFUTCZARSU-GHMZBOCLSA-N 0 3 235.375 2.895 20 0 BFADHN CCN1CCC(Nc2cccc(Cl)n2)CC1 ZINC000122913619 344979760 /nfs/dbraw/zinc/97/97/60/344979760.db2.gz HOHHJAFQZVSXKM-UHFFFAOYSA-N 0 3 239.750 2.631 20 0 BFADHN C/C=C/CN[C@@H](C)c1cccc(OC)c1 ZINC000234884451 537881071 /nfs/dbraw/zinc/88/10/71/537881071.db2.gz KBQWNENDTJGKAS-ZWNMCFTASA-N 0 3 205.301 2.922 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C2CCCCCC2)n1 ZINC000103340595 178794660 /nfs/dbraw/zinc/79/46/60/178794660.db2.gz ORTDORZQAAZRSD-UHFFFAOYSA-N 0 3 234.343 2.908 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1ccc(C)cc1F ZINC000631113915 344982308 /nfs/dbraw/zinc/98/23/08/344982308.db2.gz NHCCZYCVCJYMQI-OOPCZODUSA-N 0 3 237.318 2.551 20 0 BFADHN Cc1ccnc(NC(=O)C(C)C(F)(F)F)c1 ZINC000079588594 344996378 /nfs/dbraw/zinc/99/63/78/344996378.db2.gz RDTXHSXXKPUHOR-ZETCQYMHSA-N 0 3 232.205 2.527 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1cccnc1Cl ZINC000123396491 344998640 /nfs/dbraw/zinc/99/86/40/344998640.db2.gz SFVWLRSYNLXJIP-VHSXEESVSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1ccc(CN2CCN(C)C(C)(C)C2)cc1 ZINC000574766535 345004465 /nfs/dbraw/zinc/00/44/65/345004465.db2.gz LUQLAEIPNYYMRF-UHFFFAOYSA-N 0 3 232.371 2.521 20 0 BFADHN Cc1cccc(C)c1CN(C)C[C@@H]1CCCO1 ZINC000154637962 345045756 /nfs/dbraw/zinc/04/57/56/345045756.db2.gz KCKUQHRZBHFGSZ-AWEZNQCLSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1cccc(C)c1CN(C)C[C@H]1CCCO1 ZINC000154638090 345045765 /nfs/dbraw/zinc/04/57/65/345045765.db2.gz KCKUQHRZBHFGSZ-CQSZACIVSA-N 0 3 233.355 2.914 20 0 BFADHN CC[C@H](C)CN1CCN(C[C@@H](C)CC)CC1 ZINC000154126821 345015863 /nfs/dbraw/zinc/01/58/63/345015863.db2.gz SIEBOPLEQYAKJK-KBPBESRZSA-N 0 3 226.408 2.696 20 0 BFADHN CC[C@@H](C)CN1CCN(C[C@H](C)CC)CC1 ZINC000154126915 345015885 /nfs/dbraw/zinc/01/58/85/345015885.db2.gz SIEBOPLEQYAKJK-ZIAGYGMSSA-N 0 3 226.408 2.696 20 0 BFADHN Cc1nn(C)c(C)c1CN(CC(C)C)C1CC1 ZINC000154825390 345053152 /nfs/dbraw/zinc/05/31/52/345053152.db2.gz WOPULRMONDWHMC-UHFFFAOYSA-N 0 3 235.375 2.657 20 0 BFADHN Cc1ncc([C@H](C)NCCc2ccco2)c(C)n1 ZINC000155959168 345116766 /nfs/dbraw/zinc/11/67/66/345116766.db2.gz GZVADCQKRSOMEW-JTQLQIEISA-N 0 3 245.326 2.580 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1ccon1 ZINC000634982177 345162527 /nfs/dbraw/zinc/16/25/27/345162527.db2.gz DYLFNLIKSJLNFQ-GHMZBOCLSA-N 0 3 208.305 2.591 20 0 BFADHN CC[C@@H](O)CCNc1ccnc2ccccc21 ZINC000127239759 345167002 /nfs/dbraw/zinc/16/70/02/345167002.db2.gz VLMBUNRSAMQUHW-LLVKDONJSA-N 0 3 230.311 2.808 20 0 BFADHN C[C@H](NCc1nccn1C)[C@@H](C)c1ccccc1 ZINC000080247850 345127468 /nfs/dbraw/zinc/12/74/68/345127468.db2.gz PSZNTUBOTHTLJN-OLZOCXBDSA-N 0 3 243.354 2.702 20 0 BFADHN CCOC[C@H](C)N[C@@H]1CSc2ccccc21 ZINC000156251639 345142019 /nfs/dbraw/zinc/14/20/19/345142019.db2.gz MBKCIIPWJVGPQM-CMPLNLGQSA-N 0 3 237.368 2.848 20 0 BFADHN C[C@H]1OCCN(CCCc2ccccc2)[C@H]1C ZINC000156311745 345148918 /nfs/dbraw/zinc/14/89/18/345148918.db2.gz DLPXPWKOGZUQEZ-UONOGXRCSA-N 0 3 233.355 2.728 20 0 BFADHN FC1(F)CC(NCc2ccc3nccnc3c2)C1 ZINC000634978557 345155505 /nfs/dbraw/zinc/15/55/05/345155505.db2.gz GOTLHZYRGHLVCT-UHFFFAOYSA-N 0 3 249.264 2.517 20 0 BFADHN OC[C@H](NC1CC2(CCC2)C1)c1ccccc1 ZINC000448056420 345158256 /nfs/dbraw/zinc/15/82/56/345158256.db2.gz MZBBCZZPQJYAKD-AWEZNQCLSA-N 0 3 231.339 2.642 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@H](N)CCC ZINC000225538802 345159832 /nfs/dbraw/zinc/15/98/32/345159832.db2.gz XJCSRUUHCIMHCD-VXGBXAGGSA-N 0 3 228.380 2.589 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2ccon2)[C@H]1C ZINC000634979950 345160624 /nfs/dbraw/zinc/16/06/24/345160624.db2.gz SANVAMFFMGTUAX-GRYCIOLGSA-N 0 3 222.332 2.837 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1cc(F)ccc1F ZINC000128146325 345227415 /nfs/dbraw/zinc/22/74/15/345227415.db2.gz YOBDXGQNIAZQAA-GXSJLCMTSA-N 0 3 243.297 2.776 20 0 BFADHN c1cnc(CN[C@H]2CCCC[C@H]2C2CC2)nc1 ZINC000580786144 345228852 /nfs/dbraw/zinc/22/88/52/345228852.db2.gz ZLVPZRGZUVLERJ-STQMWFEESA-N 0 3 231.343 2.535 20 0 BFADHN CC[C@@H](O)CN(C)Cc1ccc(Cl)c(F)c1 ZINC000127713594 345216831 /nfs/dbraw/zinc/21/68/31/345216831.db2.gz WLAKFYWUTCLQEQ-SNVBAGLBSA-N 0 3 245.725 2.682 20 0 BFADHN COc1cc(CN2CCCC3(CC3)CC2)ccn1 ZINC000626114372 345316280 /nfs/dbraw/zinc/31/62/80/345316280.db2.gz DGWDNQRMCPVDIM-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cncn2C)s1 ZINC000082394499 178844793 /nfs/dbraw/zinc/84/47/93/178844793.db2.gz XJOMIDGWKBAPJC-SNVBAGLBSA-N 0 3 235.356 2.641 20 0 BFADHN CCSCCN[C@@H](C)c1cnn(C(C)C)c1 ZINC000381304695 345278346 /nfs/dbraw/zinc/27/83/46/345278346.db2.gz JNBSTTVQFGXCGE-NSHDSACASA-N 0 3 241.404 2.868 20 0 BFADHN CCc1cnccc1[C@@H](C)N[C@@H](COC)C1CC1 ZINC000359349931 345281332 /nfs/dbraw/zinc/28/13/32/345281332.db2.gz WPZXKJAGXUZLIB-ABAIWWIYSA-N 0 3 248.370 2.720 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@]2(C)CCOC2)o1 ZINC000336750322 178841266 /nfs/dbraw/zinc/84/12/66/178841266.db2.gz UPPKUHHBBWQLFA-DGCLKSJQSA-N 0 3 223.316 2.665 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnc3ccccn23)C1 ZINC000158461280 345335333 /nfs/dbraw/zinc/33/53/33/345335333.db2.gz IOGPADYZZVBDSR-CYBMUJFWSA-N 0 3 243.354 2.956 20 0 BFADHN C[C@H](O)C[C@@H]1CCCN1Cc1cccc(F)c1 ZINC000159180685 345415396 /nfs/dbraw/zinc/41/53/96/345415396.db2.gz GEQCZSNFHRUCTE-FZMZJTMJSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@H](O)C[C@H]1CCCN1Cc1cccc(F)c1 ZINC000159180968 345415438 /nfs/dbraw/zinc/41/54/38/345415438.db2.gz GEQCZSNFHRUCTE-SMDDNHRTSA-N 0 3 237.318 2.561 20 0 BFADHN CCSCCCNCc1ncc(CC)s1 ZINC000134766408 345421243 /nfs/dbraw/zinc/42/12/43/345421243.db2.gz CQYZZNSALOQZFL-UHFFFAOYSA-N 0 3 244.429 2.938 20 0 BFADHN CSCCCC[NH2+]Cc1cc([O-])cc(F)c1 ZINC000159622086 345449395 /nfs/dbraw/zinc/44/93/95/345449395.db2.gz WOQHOLVIDVIUJZ-UHFFFAOYSA-N 0 3 243.347 2.764 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1O)c1ccc(Cl)c(F)c1 ZINC000378718779 345495286 /nfs/dbraw/zinc/49/52/86/345495286.db2.gz DOYDBNVDMMCBGP-UPZJHPNMSA-N 0 3 243.709 2.653 20 0 BFADHN COC(=O)c1ccc(CN2CCC23CCC3)cc1 ZINC000081545298 345477359 /nfs/dbraw/zinc/47/73/59/345477359.db2.gz SAPXVTAHGCUELO-UHFFFAOYSA-N 0 3 245.322 2.602 20 0 BFADHN c1cc2c(cc1CN1CCC13CCC3)OCCO2 ZINC000081515610 345482631 /nfs/dbraw/zinc/48/26/31/345482631.db2.gz ZLDSOFPZPJPEHY-UHFFFAOYSA-N 0 3 245.322 2.586 20 0 BFADHN Cc1nc(CN2C[C@@H](C)C[C@@H]2C)sc1C ZINC000088601499 345542853 /nfs/dbraw/zinc/54/28/53/345542853.db2.gz JNAYTZBHMHCLPT-IUCAKERBSA-N 0 3 224.373 2.990 20 0 BFADHN C[C@H]1OCC[C@H]1N[C@@H]1CCCc2ccc(F)cc21 ZINC000089213805 345549171 /nfs/dbraw/zinc/54/91/71/345549171.db2.gz WFADQPWHXGGJSO-VCTAVGKDSA-N 0 3 249.329 2.970 20 0 BFADHN CC(C)C[C@@H](C)N[C@H](CO)c1ccsc1 ZINC000308928725 187307192 /nfs/dbraw/zinc/30/71/92/187307192.db2.gz DSEFTGWWOFPHRF-ZYHUDNBSSA-N 0 3 227.373 2.806 20 0 BFADHN Cc1nnc([C@@H](C)N[C@H]2CCC[C@H]3C[C@H]32)s1 ZINC000378772978 345561506 /nfs/dbraw/zinc/56/15/06/345561506.db2.gz GMJHCODRRKHMOE-DQDDRIPDSA-N 0 3 237.372 2.686 20 0 BFADHN C[C@H](N[C@H](CO)CC(C)(C)C)c1ccncc1 ZINC000090588430 345573637 /nfs/dbraw/zinc/57/36/37/345573637.db2.gz XGKURVGLSCXYIM-AAEUAGOBSA-N 0 3 236.359 2.529 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccc(C)c(F)c2)C1 ZINC000091772862 345604547 /nfs/dbraw/zinc/60/45/47/345604547.db2.gz VPYQWEFQFPLZNG-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN CCCCN(C)CCNC(=O)CC(C)=C(C)C ZINC000635550567 345610784 /nfs/dbraw/zinc/61/07/84/345610784.db2.gz HHBRDOOBFQLMAH-UHFFFAOYSA-N 0 3 240.391 2.581 20 0 BFADHN COCc1ccc(CN2[C@H](C)CC[C@H]2C)o1 ZINC000091937359 345612776 /nfs/dbraw/zinc/61/27/76/345612776.db2.gz FMOWWMSVKKWMRW-GHMZBOCLSA-N 0 3 223.316 2.799 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cnc(N(C)C)s1 ZINC000091937718 345612894 /nfs/dbraw/zinc/61/28/94/345612894.db2.gz JOJJLMOCMOEQPH-NXEZZACHSA-N 0 3 239.388 2.582 20 0 BFADHN CC[C@H]1CCN(Cc2nc3c(s2)CCC3)C1 ZINC000678841399 488290373 /nfs/dbraw/zinc/29/03/73/488290373.db2.gz UIXAVWZKXNBERD-JTQLQIEISA-N 0 3 236.384 2.864 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C2)ccc1F ZINC000092471389 345623546 /nfs/dbraw/zinc/62/35/46/345623546.db2.gz PUICIHBTAWMFCO-SNVBAGLBSA-N 0 3 207.292 2.976 20 0 BFADHN COc1ccsc1CN1CC[C@@H](C)C1 ZINC000092471299 345623564 /nfs/dbraw/zinc/62/35/64/345623564.db2.gz MHKAORGFMRYJNA-SECBINFHSA-N 0 3 211.330 2.599 20 0 BFADHN CC(C)[C@H](NCc1cccnc1)c1cccnc1 ZINC000091261906 345590626 /nfs/dbraw/zinc/59/06/26/345590626.db2.gz YEERQNKLIRTAHN-HNNXBMFYSA-N 0 3 241.338 2.964 20 0 BFADHN COCc1ccc(CN2C[C@@H]3[C@H](C2)C3(C)C)o1 ZINC000093660986 345663473 /nfs/dbraw/zinc/66/34/73/345663473.db2.gz XBXKULRJPQZMRO-BETUJISGSA-N 0 3 235.327 2.514 20 0 BFADHN CSC[C@@H]1CCCN1Cc1scnc1C ZINC000093761510 345664295 /nfs/dbraw/zinc/66/42/95/345664295.db2.gz UAVMHBGXVSCFCT-JTQLQIEISA-N 0 3 242.413 2.779 20 0 BFADHN COC[C@H](C)N[C@H]1CCCc2ccc(F)cc21 ZINC000092856777 345646076 /nfs/dbraw/zinc/64/60/76/345646076.db2.gz SMHPVIHQNKRRQC-HZMBPMFUSA-N 0 3 237.318 2.828 20 0 BFADHN CO[C@H](C)CCNCc1ccc(SC)o1 ZINC000641713011 362625287 /nfs/dbraw/zinc/62/52/87/362625287.db2.gz CWOGSAJZJPHXAI-SECBINFHSA-N 0 3 229.345 2.516 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCCC[C@H]2O)o1 ZINC000186791838 178896645 /nfs/dbraw/zinc/89/66/45/178896645.db2.gz OYBLGNNMXAHINS-QJPTWQEYSA-N 0 3 223.316 2.542 20 0 BFADHN CSc1ccc(CNC[C@H]2CCCCO2)o1 ZINC000641710576 362627869 /nfs/dbraw/zinc/62/78/69/362627869.db2.gz YIGJXJXYYQUIEV-SNVBAGLBSA-N 0 3 241.356 2.660 20 0 BFADHN C[C@@H](CN(C)Cc1ccnn1C)c1ccccc1 ZINC000093009022 345654376 /nfs/dbraw/zinc/65/43/76/345654376.db2.gz NVKNUEYJMWJUFN-ZDUSSCGKSA-N 0 3 243.354 2.656 20 0 BFADHN c1nc(CN2CCC3(CCCC3)CC2)c[nH]1 ZINC000093085351 345656948 /nfs/dbraw/zinc/65/69/48/345656948.db2.gz UNDRFESJPULRJV-UHFFFAOYSA-N 0 3 219.332 2.566 20 0 BFADHN c1ncc(CN2CCC3(CCCC3)CC2)[nH]1 ZINC000093085351 345656951 /nfs/dbraw/zinc/65/69/51/345656951.db2.gz UNDRFESJPULRJV-UHFFFAOYSA-N 0 3 219.332 2.566 20 0 BFADHN Cc1nc(CN2CCC[C@H]2C(C)C)oc1C ZINC000140617635 345696973 /nfs/dbraw/zinc/69/69/73/345696973.db2.gz AXODDPOCNMBTGH-LBPRGKRZSA-N 0 3 222.332 2.912 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1ccco1)OC ZINC000319113757 259384588 /nfs/dbraw/zinc/38/45/88/259384588.db2.gz ZVPABFGCISAUBR-PWSUYJOCSA-N 0 3 211.305 2.745 20 0 BFADHN CCC(CC)(CO)NCc1ccc(SC)o1 ZINC000641727026 362646374 /nfs/dbraw/zinc/64/63/74/362646374.db2.gz HXVUNROQWPZONT-UHFFFAOYSA-N 0 3 243.372 2.642 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2ccc(CO)o2)C1 ZINC000093459002 345672111 /nfs/dbraw/zinc/67/21/11/345672111.db2.gz WOSXFYLIDDDXPD-AWEZNQCLSA-N 0 3 237.343 2.784 20 0 BFADHN CCc1ccccc1CNCc1ccncc1N ZINC000641727792 362647541 /nfs/dbraw/zinc/64/75/41/362647541.db2.gz YPZLIAAYQRIYOB-UHFFFAOYSA-N 0 3 241.338 2.516 20 0 BFADHN COCc1ccc(CN2C[C@@H](C)[C@H](C)C2)o1 ZINC000093503345 345674631 /nfs/dbraw/zinc/67/46/31/345674631.db2.gz BEFAJLWFVIAFJD-GHMZBOCLSA-N 0 3 223.316 2.514 20 0 BFADHN CCOCCNC(C)(C)c1ccccc1 ZINC000147305091 345710902 /nfs/dbraw/zinc/71/09/02/345710902.db2.gz JIFRDZPITQQXMX-UHFFFAOYSA-N 0 3 207.317 2.548 20 0 BFADHN CN(C)Cc1ccc(-c2ccc(N)cc2)cc1 ZINC000033427941 345795557 /nfs/dbraw/zinc/79/55/57/345795557.db2.gz AFAJXZIWODVPHQ-UHFFFAOYSA-N 0 3 226.323 2.997 20 0 BFADHN c1ccc2c(c1)nccc2NC[C@@H]1CC12CC2 ZINC000418982440 192091315 /nfs/dbraw/zinc/09/13/15/192091315.db2.gz AUBGJFFDUKYYQG-NSHDSACASA-N 0 3 224.307 2.869 20 0 BFADHN CC1(C)C[C@H]1CNCc1cnc(C2CC2)o1 ZINC000628214411 345897010 /nfs/dbraw/zinc/89/70/10/345897010.db2.gz WNYVNYNZGDOJOK-JTQLQIEISA-N 0 3 220.316 2.688 20 0 BFADHN CC(C)(NCc1cnc(C2CC2)o1)C1CC1 ZINC000628217429 345931211 /nfs/dbraw/zinc/93/12/11/345931211.db2.gz WQYSHKMWSHRXIN-UHFFFAOYSA-N 0 3 220.316 2.830 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@H](C)c1ccc(CC)o1 ZINC000186491648 187311536 /nfs/dbraw/zinc/31/15/36/187311536.db2.gz LYBVSVUUCLLYMM-XCOQAZTISA-N 0 3 237.343 2.820 20 0 BFADHN COC1(CNCc2cccnc2)CCCCC1 ZINC000187448865 187311737 /nfs/dbraw/zinc/31/17/37/187311737.db2.gz LFRUKDXVZUCLLD-UHFFFAOYSA-N 0 3 234.343 2.521 20 0 BFADHN COc1ccc(CN2CC[C@H](C)[C@@H](C)C2)cc1O ZINC000093480860 187360709 /nfs/dbraw/zinc/36/07/09/187360709.db2.gz TZICRXVWIWUDCM-RYUDHWBXSA-N 0 3 249.354 2.879 20 0 BFADHN Cc1ncsc1CCN[C@@H](C)c1ccoc1 ZINC000381450567 345923992 /nfs/dbraw/zinc/92/39/92/345923992.db2.gz HFWDHUHBKLVUQH-VIFPVBQESA-N 0 3 236.340 2.938 20 0 BFADHN Cc1ncsc1CCN[C@H](C)c1ccoc1 ZINC000381450566 345924137 /nfs/dbraw/zinc/92/41/37/345924137.db2.gz HFWDHUHBKLVUQH-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN CCc1nc(CNC[C@H](C)C2CC2)cs1 ZINC000136209164 345961192 /nfs/dbraw/zinc/96/11/92/345961192.db2.gz XYPDHHMPQLVZQU-VIFPVBQESA-N 0 3 224.373 2.841 20 0 BFADHN Cc1ncc(CNCCc2cc(C)cc(C)c2)o1 ZINC000628218190 345948398 /nfs/dbraw/zinc/94/83/98/345948398.db2.gz XDJRLPUHZULWBB-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN CC(C)(C)OC(=O)CCN1CC2CCC1CC2 ZINC000310532102 187312430 /nfs/dbraw/zinc/31/24/30/187312430.db2.gz QDGOVZYCRFGYFD-UHFFFAOYSA-N 0 3 239.359 2.593 20 0 BFADHN Cc1cc(C)c(CSCCN(C)C)c(C)n1 ZINC000601078676 346019898 /nfs/dbraw/zinc/01/98/98/346019898.db2.gz OPGLEVXKFXSDCZ-UHFFFAOYSA-N 0 3 238.400 2.802 20 0 BFADHN Cc1ccc([C@@H](C)NCC2(C)OCCCO2)cc1 ZINC000190673265 187313251 /nfs/dbraw/zinc/31/32/51/187313251.db2.gz ILPSKQHBOGCNQL-CYBMUJFWSA-N 0 3 249.354 2.799 20 0 BFADHN CCCCOCCN1CC[C@@H](c2ccco2)C1 ZINC000601066009 346011268 /nfs/dbraw/zinc/01/12/68/346011268.db2.gz DCPHDQOQTVBWRF-CYBMUJFWSA-N 0 3 237.343 2.886 20 0 BFADHN CCOC[C@H](C)NCc1cnc(C2CC2)s1 ZINC000381475001 346026242 /nfs/dbraw/zinc/02/62/42/346026242.db2.gz QEGXWIGEYWPBFZ-VIFPVBQESA-N 0 3 240.372 2.535 20 0 BFADHN COCC1(N[C@H](C)c2cc(C)oc2C)CC1 ZINC000381477653 346029310 /nfs/dbraw/zinc/02/93/10/346029310.db2.gz RKBGVKNSFMDATE-SNVBAGLBSA-N 0 3 223.316 2.726 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@@H]1CCCCN1 ZINC000226065474 535024620 /nfs/dbraw/zinc/02/46/20/535024620.db2.gz WUOXKYNPQZSRMK-STQMWFEESA-N 0 3 240.391 2.604 20 0 BFADHN COCC[C@H](C)N1CCC[C@H](C(F)(F)F)C1 ZINC000439325706 537947957 /nfs/dbraw/zinc/94/79/57/537947957.db2.gz SJMQDTPJZZQHMS-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN COCC[C@@H](C)N1CCC[C@H](C(F)(F)F)C1 ZINC000439325714 537948025 /nfs/dbraw/zinc/94/80/25/537948025.db2.gz SJMQDTPJZZQHMS-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CC1(C)Cc2occc2[C@@H](NCCCCO)C1 ZINC000194226956 187315966 /nfs/dbraw/zinc/31/59/66/187315966.db2.gz HCCBKGVHLYEYQI-LBPRGKRZSA-N 0 3 237.343 2.655 20 0 BFADHN CCO[C@@H](CCNCc1cnc(C)o1)C(C)C ZINC000628227886 346175976 /nfs/dbraw/zinc/17/59/76/346175976.db2.gz WNKTVOQREYXHLK-ZDUSSCGKSA-N 0 3 240.347 2.524 20 0 BFADHN Cc1ncc(CNCCc2c(C)cccc2C)o1 ZINC000628229739 346178904 /nfs/dbraw/zinc/17/89/04/346178904.db2.gz GUQVWYOMTPVBKI-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN CC[C@@]1(C)CN(C[C@]2(C)CCCS2)CCO1 ZINC000628245073 346210068 /nfs/dbraw/zinc/21/00/68/346210068.db2.gz HQTIFMDHGISFOP-STQMWFEESA-N 0 3 243.416 2.773 20 0 BFADHN C[C@H]1CCN(Cc2cccc3n[nH]cc32)C[C@H]1F ZINC000628234462 346187743 /nfs/dbraw/zinc/18/77/43/346187743.db2.gz BIMGRERPWVIVAE-GXFFZTMASA-N 0 3 247.317 2.743 20 0 BFADHN Cc1ccc([C@@H](C)CN2CCOC[C@H]2C)cc1 ZINC000628253056 346227585 /nfs/dbraw/zinc/22/75/85/346227585.db2.gz RORAZTZFLHKAIY-UONOGXRCSA-N 0 3 233.355 2.819 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)[nH]c1C ZINC000628249394 346219063 /nfs/dbraw/zinc/21/90/63/346219063.db2.gz BYQUJSSBWSMHFP-BREBYQMCSA-N 0 3 235.375 2.893 20 0 BFADHN Cc1cccc(CN2CC[C@@H](c3ccco3)C2)n1 ZINC000605576762 346221060 /nfs/dbraw/zinc/22/10/60/346221060.db2.gz ZWWTXOIUFXCJHJ-CYBMUJFWSA-N 0 3 242.322 2.973 20 0 BFADHN CC(C)=CCCNCc1cnc(C2CC2)o1 ZINC000628251491 346222037 /nfs/dbraw/zinc/22/20/37/346222037.db2.gz ITCWEUITUUPJRO-UHFFFAOYSA-N 0 3 220.316 2.998 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1CC12CCCC2 ZINC000628252406 346224126 /nfs/dbraw/zinc/22/41/26/346224126.db2.gz UEZXSTBBRQONEL-GFCCVEGCSA-N 0 3 232.327 2.974 20 0 BFADHN CN(Cc1ccc(Cl)nn1)C1CCCC1 ZINC000167391734 346244455 /nfs/dbraw/zinc/24/44/55/346244455.db2.gz UILDWXLLKSZFFD-UHFFFAOYSA-N 0 3 225.723 2.504 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCC[C@@H]2C)o1 ZINC000628260442 346260168 /nfs/dbraw/zinc/26/01/68/346260168.db2.gz HKEDKBMJXNOCBP-ONGXEEELSA-N 0 3 208.305 2.509 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CC[C@H](C)C2)o1 ZINC000628256135 346253632 /nfs/dbraw/zinc/25/36/32/346253632.db2.gz KSEGFALVQQDVKA-JOYOIKCWSA-N 0 3 222.332 2.817 20 0 BFADHN Cc1c[nH]c(CN(CC2CC2)CC2CCC2)n1 ZINC000628256717 346258120 /nfs/dbraw/zinc/25/81/20/346258120.db2.gz JDQJWWBVDLBGOP-UHFFFAOYSA-N 0 3 233.359 2.730 20 0 BFADHN CSc1ccc(CN[C@H]2CCCOCC2)o1 ZINC000641746431 362672830 /nfs/dbraw/zinc/67/28/30/362672830.db2.gz XRTYMQOAKJWZFA-JTQLQIEISA-N 0 3 241.356 2.660 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc2[nH]ccc2c1 ZINC000628276087 346284257 /nfs/dbraw/zinc/28/42/57/346284257.db2.gz QSAAKWGVKZTXSJ-NSHDSACASA-N 0 3 232.327 2.635 20 0 BFADHN CCN(Cc1ccc(CO)o1)CC(C)(C)C ZINC000291077061 346262367 /nfs/dbraw/zinc/26/23/67/346262367.db2.gz MSXPBMULOGALAZ-UHFFFAOYSA-N 0 3 225.332 2.640 20 0 BFADHN C/C=C\C[C@@H]1CCCN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC000626164663 346271709 /nfs/dbraw/zinc/27/17/09/346271709.db2.gz MYJZZKBFKUDKMW-YBLMTZRHSA-N 0 3 248.374 2.852 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cn(C)cn2)C1 ZINC000626163391 346274784 /nfs/dbraw/zinc/27/47/84/346274784.db2.gz JTVUXGNHZAYKCW-ITDFMYJTSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2ccnn2C)C1 ZINC000626163271 346274808 /nfs/dbraw/zinc/27/48/08/346274808.db2.gz HWZGDFHZZCKMQL-DHCBQETCSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cn(C)cn2)C1 ZINC000626163390 346274852 /nfs/dbraw/zinc/27/48/52/346274852.db2.gz JTVUXGNHZAYKCW-DHCBQETCSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2ccnc(N)c2)C1 ZINC000626163585 346276008 /nfs/dbraw/zinc/27/60/08/346276008.db2.gz MUKOICXEFSZGJY-ZRMMWKCHSA-N 0 3 245.370 2.672 20 0 BFADHN CCN(CC)[C@H](C)C(=O)Nc1cccc(C)c1C ZINC000076653028 537962173 /nfs/dbraw/zinc/96/21/73/537962173.db2.gz KRBYBYYZRREAIC-CYBMUJFWSA-N 0 3 248.370 2.972 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc(SC)o1 ZINC000641751226 362671368 /nfs/dbraw/zinc/67/13/68/362671368.db2.gz TUMDCDYHFQOMNK-IUCAKERBSA-N 0 3 229.345 2.515 20 0 BFADHN COCC[C@H](C)N1CCOc2ccc(C)cc2C1 ZINC000285598971 537962478 /nfs/dbraw/zinc/96/24/78/537962478.db2.gz PKINCSPMTAWJJW-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cnc(C)o1 ZINC000628281245 346292825 /nfs/dbraw/zinc/29/28/25/346292825.db2.gz JHWWOAPMLLFVLQ-KOLCDFICSA-N 0 3 208.305 2.746 20 0 BFADHN CCn1nccc1CN(C)[C@H](C)C(C)(C)C ZINC000534349854 323117536 /nfs/dbraw/zinc/11/75/36/323117536.db2.gz FWPVITPBNVDNMG-LLVKDONJSA-N 0 3 223.364 2.769 20 0 BFADHN CCC(CC)N(CC)Cc1nc(C)c[nH]1 ZINC000628283485 346293623 /nfs/dbraw/zinc/29/36/23/346293623.db2.gz YBBHUAQNSLQWSF-UHFFFAOYSA-N 0 3 209.337 2.729 20 0 BFADHN CSc1ccc(CN[C@]2(C)CCO[C@H]2C)o1 ZINC000641748034 362675834 /nfs/dbraw/zinc/67/58/34/362675834.db2.gz SPURSCSASUQZLY-JOYOIKCWSA-N 0 3 241.356 2.659 20 0 BFADHN Cc1nocc1CNCCc1c(C)cccc1C ZINC000293143879 179033318 /nfs/dbraw/zinc/03/33/18/179033318.db2.gz DDZPRLUVBZCBGZ-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN COCC(C)(C)CNCc1ccc(SC)o1 ZINC000641757119 362681367 /nfs/dbraw/zinc/68/13/67/362681367.db2.gz UCBWBDCBMVNYPF-UHFFFAOYSA-N 0 3 243.372 2.764 20 0 BFADHN Cc1cccc(C(C)(C)NCc2ccnn2C)c1 ZINC000080203607 179028143 /nfs/dbraw/zinc/02/81/43/179028143.db2.gz RNLOTLHOWCZCJW-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN CCN(Cc1ccnc(Cl)c1)C[C@H](C)OC ZINC000291234047 346326609 /nfs/dbraw/zinc/32/66/09/346326609.db2.gz MODAHYBAZCCTRH-JTQLQIEISA-N 0 3 242.750 2.592 20 0 BFADHN Cc1cccc(C)c1CNCC1(C)OCCCO1 ZINC000277379631 179036992 /nfs/dbraw/zinc/03/69/92/179036992.db2.gz IIQRHHIGTHDOSE-UHFFFAOYSA-N 0 3 249.354 2.546 20 0 BFADHN CC[C@H](C)NCc1nccc2c1CCCC2 ZINC000669378562 537967868 /nfs/dbraw/zinc/96/78/68/537967868.db2.gz HAIZKMUPFNWVPJ-NSHDSACASA-N 0 3 218.344 2.849 20 0 BFADHN CSc1ccc(CN[C@H]2CC[C@@H]2C)o1 ZINC000641755121 362683915 /nfs/dbraw/zinc/68/39/15/362683915.db2.gz VRHVATMJBJLGOI-WPRPVWTQSA-N 0 3 211.330 2.890 20 0 BFADHN CC(C)(C)C[C@@H]1C[C@H]1NCc1ccncc1N ZINC000641768489 362707655 /nfs/dbraw/zinc/70/76/55/362707655.db2.gz ANJSBSIECSMQDQ-WCQYABFASA-N 0 3 233.359 2.578 20 0 BFADHN CSc1ccc(CN[C@H]2[C@@H]3CCC[C@@H]32)o1 ZINC000641771661 362709753 /nfs/dbraw/zinc/70/97/53/362709753.db2.gz AFINTHHLHGMPEA-IAZYJMLFSA-N 0 3 223.341 2.890 20 0 BFADHN CC(C)N1CCCC[C@@H]1CN1CCC(F)(F)C1 ZINC000336271146 187366987 /nfs/dbraw/zinc/36/69/87/187366987.db2.gz WAUACFWEHQBAGG-GFCCVEGCSA-N 0 3 246.345 2.590 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1ccn(C(C)C)n1 ZINC000381579520 346382736 /nfs/dbraw/zinc/38/27/36/346382736.db2.gz DXCCCIOSSGRJAY-WCQYABFASA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cccc(CN(C)[C@@H]2CCCOC2)c1 ZINC000336376342 179070408 /nfs/dbraw/zinc/07/04/08/179070408.db2.gz JZTRDXJOOSHKRW-CQSZACIVSA-N 0 3 219.328 2.606 20 0 BFADHN CCN1CCCC[C@H]1CN[C@@H](C)c1ccccn1 ZINC000159097390 346406964 /nfs/dbraw/zinc/40/69/64/346406964.db2.gz CIEWOTAESZTYLU-KBPBESRZSA-N 0 3 247.386 2.607 20 0 BFADHN Cc1cccc(CN2CCC(CF)CC2)n1 ZINC000336254615 179073755 /nfs/dbraw/zinc/07/37/55/179073755.db2.gz BNWLMWBZBNKGTG-UHFFFAOYSA-N 0 3 222.307 2.572 20 0 BFADHN Cc1cccc(CN(C)C[C@H]2CCO[C@H](C)C2)n1 ZINC000352626527 179067557 /nfs/dbraw/zinc/06/75/57/179067557.db2.gz DTMNZFGPRMCZTR-KGLIPLIRSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cccc(CN2CCSC[C@H](C)C2)n1 ZINC000336259077 179079979 /nfs/dbraw/zinc/07/99/79/179079979.db2.gz LOFJLNXCYDLHFD-LLVKDONJSA-N 0 3 236.384 2.575 20 0 BFADHN COC[C@H](C)N[C@H]1CCCc2c(OC)cccc21 ZINC000641788766 362724019 /nfs/dbraw/zinc/72/40/19/362724019.db2.gz STDGDAGVLMZKPF-FZMZJTMJSA-N 0 3 249.354 2.697 20 0 BFADHN Cc1cccc(CN2CCN(C)[C@@H](C)[C@H]2C)c1C ZINC000361153789 179075763 /nfs/dbraw/zinc/07/57/63/179075763.db2.gz NLUAPVUYROWQMO-LSDHHAIUSA-N 0 3 246.398 2.828 20 0 BFADHN CC(C)N(Cc1cnc(C2CC2)nc1)CC1CC1 ZINC000575014536 346427043 /nfs/dbraw/zinc/42/70/43/346427043.db2.gz YUPWTILKXBNVBL-UHFFFAOYSA-N 0 3 245.370 2.974 20 0 BFADHN c1ccc2c(CNC3CCOCC3)nsc2c1 ZINC000641791402 362731831 /nfs/dbraw/zinc/73/18/31/362731831.db2.gz DKXSOZXZOAKFEB-UHFFFAOYSA-N 0 3 248.351 2.565 20 0 BFADHN Cc1cccc(CN[C@@H](C)c2cn[nH]c2)c1 ZINC000229883302 179097618 /nfs/dbraw/zinc/09/76/18/179097618.db2.gz FMLDHBONWNICRN-NSHDSACASA-N 0 3 215.300 2.569 20 0 BFADHN Cc1cccc(CNCC2=CCCOC2)c1F ZINC000296077820 179089282 /nfs/dbraw/zinc/08/92/82/179089282.db2.gz QITJBVVPDIMZSW-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN Cc1cccc(CNCCC2(O)CCC2)c1F ZINC000296931143 179090094 /nfs/dbraw/zinc/09/00/94/179090094.db2.gz SHEBFWWZRAXXNZ-UHFFFAOYSA-N 0 3 237.318 2.529 20 0 BFADHN OC1CCC2(CC1)CCN(CC1(F)CC1)CC2 ZINC000574957205 346458891 /nfs/dbraw/zinc/45/88/91/346458891.db2.gz XKTGTHRVZJZPQB-UHFFFAOYSA-N 0 3 241.350 2.506 20 0 BFADHN C[C@@H](NCCOC(C)(C)C)c1ccncc1 ZINC000161094114 346475142 /nfs/dbraw/zinc/47/51/42/346475142.db2.gz HKSXUSTVZJDKCP-LLVKDONJSA-N 0 3 222.332 2.547 20 0 BFADHN CCc1noc(C)c1CN1CCCCCC1 ZINC000161953174 346504023 /nfs/dbraw/zinc/50/40/23/346504023.db2.gz YLCYFAMEMACMHY-UHFFFAOYSA-N 0 3 222.332 2.921 20 0 BFADHN C[C@@H](N[C@H](CCO)C(C)(C)C)c1ccoc1 ZINC000186400561 346505324 /nfs/dbraw/zinc/50/53/24/346505324.db2.gz OBDBCPHKDJQTNL-ZYHUDNBSSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1cccc(CN[C@@H](C)c2cn[nH]c2)c1C ZINC000229882312 179098438 /nfs/dbraw/zinc/09/84/38/179098438.db2.gz GJFPHJAMONWVTR-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1cccc(CN[C@H](C)COC(C)C)c1 ZINC000309315922 179099248 /nfs/dbraw/zinc/09/92/48/179099248.db2.gz DAEXSGGZTMGMAS-CYBMUJFWSA-N 0 3 221.344 2.898 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1CCOCC1CCC1 ZINC000419204866 192104212 /nfs/dbraw/zinc/10/42/12/192104212.db2.gz JRCRBAPCOZPZNF-NEPJUHHUSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1cccc(CN[C@@H]2CSC2(C)C)c1 ZINC000309173571 179099355 /nfs/dbraw/zinc/09/93/55/179099355.db2.gz LYHACLAOPQCNLZ-GFCCVEGCSA-N 0 3 221.369 2.979 20 0 BFADHN Cc1cccc(Cl)c1CN(CCO)C(C)C ZINC000295551737 179107714 /nfs/dbraw/zinc/10/77/14/179107714.db2.gz PKSOWYJDIOMUFP-UHFFFAOYSA-N 0 3 241.762 2.851 20 0 BFADHN CCC[C@H](C)[C@@H](CO)NCc1ccc(Cl)o1 ZINC000580083255 346515049 /nfs/dbraw/zinc/51/50/49/346515049.db2.gz LUWLBJUVEBKJKW-GXSJLCMTSA-N 0 3 245.750 2.820 20 0 BFADHN Cc1cccc(CN[C@H](CO)CC(C)C)c1F ZINC000296565722 179101419 /nfs/dbraw/zinc/10/14/19/179101419.db2.gz VPXJCVGKGFTTFJ-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN CC(C)C1(C)CCN(Cc2cncn2C)CC1 ZINC000186195088 346497431 /nfs/dbraw/zinc/49/74/31/346497431.db2.gz OIBCXXABNPNTME-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN Cc1nn(C)cc1CN1CC[C@@]2(CC[C@H](C)C2)C1 ZINC000336560542 187369254 /nfs/dbraw/zinc/36/92/54/187369254.db2.gz IJYORGNPNLSXGW-SWLSCSKDSA-N 0 3 247.386 2.741 20 0 BFADHN CC1(C)C[C@H](NCc2cncs2)C(C)(C)O1 ZINC000163107667 346549146 /nfs/dbraw/zinc/54/91/46/346549146.db2.gz GOAXXXKGYHHJMC-JTQLQIEISA-N 0 3 240.372 2.579 20 0 BFADHN C[C@H](Cc1ccc(F)cc1)NCc1ccccn1 ZINC000057993540 346598196 /nfs/dbraw/zinc/59/81/96/346598196.db2.gz XJCHCBZOCVACPV-GFCCVEGCSA-N 0 3 244.313 2.942 20 0 BFADHN CCN1CCCC[C@H]1CNc1ncc(C)s1 ZINC000302079666 346600740 /nfs/dbraw/zinc/60/07/40/346600740.db2.gz BLEAEWYYJNPCGF-NSHDSACASA-N 0 3 239.388 2.738 20 0 BFADHN Cc1cnc(CN[C@H](C)C2CCC2)s1 ZINC000177959535 346654182 /nfs/dbraw/zinc/65/41/82/346654182.db2.gz KOLTXEKBVWVKDN-SECBINFHSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1cccc(NC(=O)[C@@H](C(C)C)N(C)C)c1C ZINC000106407781 179137118 /nfs/dbraw/zinc/13/71/18/179137118.db2.gz WVMJIOCJGOIVKH-CQSZACIVSA-N 0 3 248.370 2.828 20 0 BFADHN CCc1cnc(CN[C@@H](C)CCCOC)s1 ZINC000178073764 346657716 /nfs/dbraw/zinc/65/77/16/346657716.db2.gz RULMMASXQQNBOM-JTQLQIEISA-N 0 3 242.388 2.610 20 0 BFADHN CCN(Cc1ccoc1)CC1(SC)CC1 ZINC000589162824 346670510 /nfs/dbraw/zinc/67/05/10/346670510.db2.gz WQNPCEFHHSMPSS-UHFFFAOYSA-N 0 3 225.357 2.997 20 0 BFADHN CC[C@H](NC[C@@H](C)COC)c1nc(C)cs1 ZINC000187880071 346641880 /nfs/dbraw/zinc/64/18/80/346641880.db2.gz CJRBLNKQBLDKBM-KOLCDFICSA-N 0 3 242.388 2.775 20 0 BFADHN CC(C)OC(=O)C(C)(C)N(C)C1CCCC1 ZINC000352804900 187369970 /nfs/dbraw/zinc/36/99/70/187369970.db2.gz IQYXETHXDPEQHR-UHFFFAOYSA-N 0 3 227.348 2.591 20 0 BFADHN Cc1cccc(NC(=O)[C@H](C)N2CC[C@@H](C)C2)c1 ZINC000338256847 179140661 /nfs/dbraw/zinc/14/06/61/179140661.db2.gz BKISXJJWXTZMPV-OLZOCXBDSA-N 0 3 246.354 2.664 20 0 BFADHN Cc1nnsc1CN(C)[C@H]1CCCC[C@H]1C ZINC000580648212 365843562 /nfs/dbraw/zinc/84/35/62/365843562.db2.gz DVRRHHGGTUDLMN-KOLCDFICSA-N 0 3 239.388 2.857 20 0 BFADHN Cc1n[nH]c(C)c1CNC[C@H]1CCCC1(F)F ZINC000390547386 259393158 /nfs/dbraw/zinc/39/31/58/259393158.db2.gz IYTXDFNIXZKLDD-SNVBAGLBSA-N 0 3 243.301 2.552 20 0 BFADHN CC[C@@H]1CCC[C@@H](N[C@H]2CCn3ccnc32)C1 ZINC000345345464 365858851 /nfs/dbraw/zinc/85/88/51/365858851.db2.gz JCXCAMTXHCBPSX-UPJWGTAASA-N 0 3 233.359 2.886 20 0 BFADHN CC(C)CC[C@H](O)CN1CC(CC2CC2)C1 ZINC000621991979 370649327 /nfs/dbraw/zinc/64/93/27/370649327.db2.gz IXCGCRFMTXURDF-AWEZNQCLSA-N 0 3 225.376 2.515 20 0 BFADHN CC(C)=CCN[C@@H](C)c1cncc(F)c1 ZINC000181894055 346773333 /nfs/dbraw/zinc/77/33/33/346773333.db2.gz NCVVOAQRKPEYMW-JTQLQIEISA-N 0 3 208.280 2.838 20 0 BFADHN Cc1nc(CNCC23CCC(CC2)C3)[nH]c1C ZINC000580660588 365857383 /nfs/dbraw/zinc/85/73/83/365857383.db2.gz RLQFKHNWJAOHQB-UHFFFAOYSA-N 0 3 233.359 2.696 20 0 BFADHN COCC1(CN[C@H](C)c2nc(C)cs2)CC1 ZINC000624009254 346800991 /nfs/dbraw/zinc/80/09/91/346800991.db2.gz SDARIMXQXLDOIC-SNVBAGLBSA-N 0 3 240.372 2.529 20 0 BFADHN COCC1(CN[C@@H](C)c2nc(C)cs2)CC1 ZINC000624009253 346801259 /nfs/dbraw/zinc/80/12/59/346801259.db2.gz SDARIMXQXLDOIC-JTQLQIEISA-N 0 3 240.372 2.529 20 0 BFADHN Cc1cccc(OCCNCc2cccn2C)c1 ZINC000049537571 179167846 /nfs/dbraw/zinc/16/78/46/179167846.db2.gz XWTMZKKOZHZZRV-UHFFFAOYSA-N 0 3 244.338 2.502 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cc(OC)ccc1OC ZINC000387898795 347125128 /nfs/dbraw/zinc/12/51/28/347125128.db2.gz ZQALBZHNSXYEIP-NEPJUHHUSA-N 0 3 249.354 2.840 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1ccncc1C ZINC000582048260 347131803 /nfs/dbraw/zinc/13/18/03/347131803.db2.gz VIXNHEYGPKTQKT-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1cccc([C@@H](C)NC[C@H](O)C(F)(F)F)c1 ZINC000160224894 179182389 /nfs/dbraw/zinc/18/23/89/179182389.db2.gz LOUDCWVVXVAFIQ-KOLCDFICSA-N 0 3 247.260 2.569 20 0 BFADHN Cc1cccc([C@@H](C)NC[C@@H](O)C(F)F)c1C ZINC000361964620 179183337 /nfs/dbraw/zinc/18/33/37/179183337.db2.gz BESZGNYJQPKZQP-ZYHUDNBSSA-N 0 3 243.297 2.580 20 0 BFADHN C[C@@H](N[C@H]1COC(C)(C)C1)c1cccc(C#N)c1 ZINC000396511236 347182123 /nfs/dbraw/zinc/18/21/23/347182123.db2.gz DQVZIUPPKNCKAD-BXUZGUMPSA-N 0 3 244.338 2.776 20 0 BFADHN CC[C@@](O)(CN1CCCCCC1)C(F)(F)F ZINC000669380210 537987667 /nfs/dbraw/zinc/98/76/67/537987667.db2.gz VJCDUZRBOVWGPR-SNVBAGLBSA-N 0 3 239.281 2.566 20 0 BFADHN Cc1cccc([C@H](C)N[C@@H]2C=C[C@H](CO)C2)c1C ZINC000134627164 179196757 /nfs/dbraw/zinc/19/67/57/179196757.db2.gz LUKHRJXCYBOZLQ-SOUVJXGZSA-N 0 3 245.366 2.891 20 0 BFADHN CC[C@@H](N[C@H](C)CC(C)C)c1ccn(C)n1 ZINC000310000204 347216019 /nfs/dbraw/zinc/21/60/19/347216019.db2.gz DNZCDEYMFFXMND-VXGBXAGGSA-N 0 3 223.364 2.895 20 0 BFADHN Cc1c(CN[C@@H](C)c2cccc(C)c2)cnn1C ZINC000135104114 179196885 /nfs/dbraw/zinc/19/68/85/179196885.db2.gz IAXFUIIRSTUYHB-LBPRGKRZSA-N 0 3 243.354 2.888 20 0 BFADHN CC1(C)CCC[C@H]1N[C@H](CCO)c1ccco1 ZINC000186517842 347235412 /nfs/dbraw/zinc/23/54/12/347235412.db2.gz OLDPOAHQJSEXLR-DGCLKSJQSA-N 0 3 237.343 2.871 20 0 BFADHN CC[C@@H](O)CN1CCC(c2ccsc2)CC1 ZINC000186926524 347243662 /nfs/dbraw/zinc/24/36/62/347243662.db2.gz ZVKHRFRHUDBKSD-CYBMUJFWSA-N 0 3 239.384 2.698 20 0 BFADHN Cc1cccc([C@H](C)N[C@@H](C)C(=O)NC(C)C)c1 ZINC000160259021 179196671 /nfs/dbraw/zinc/19/66/71/179196671.db2.gz SDOMNJICROZKRN-STQMWFEESA-N 0 3 248.370 2.559 20 0 BFADHN CCOCCN(C)Cc1ccccc1CC ZINC000189315029 347301289 /nfs/dbraw/zinc/30/12/89/347301289.db2.gz IMGCWNHVCBQBJF-UHFFFAOYSA-N 0 3 221.344 2.717 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@H](C)c1cccc(O)c1)C1CC1 ZINC000388293330 347296823 /nfs/dbraw/zinc/29/68/23/347296823.db2.gz XTKFCHVUXFGPHV-HFAKWTLXSA-N 0 3 249.354 2.856 20 0 BFADHN CO[C@@H]([C@@H](C)NCc1ccsc1)C1CC1 ZINC000388255468 347270935 /nfs/dbraw/zinc/27/09/35/347270935.db2.gz BFCUELJLVNCPNE-SKDRFNHKSA-N 0 3 225.357 2.651 20 0 BFADHN Cc1cccc([C@H](NC(=O)[C@@H](C)N)C(C)(C)C)c1 ZINC000119306773 179202344 /nfs/dbraw/zinc/20/23/44/179202344.db2.gz UEJJRFGYKKIEBT-YPMHNXCESA-N 0 3 248.370 2.546 20 0 BFADHN CO[C@H]([C@H](C)NCc1ccccc1F)C1CC1 ZINC000388261902 347275833 /nfs/dbraw/zinc/27/58/33/347275833.db2.gz BLQXLMOFJRPKBY-IINYFYTJSA-N 0 3 237.318 2.729 20 0 BFADHN CO[C@@H]([C@H](C)NCc1ccccc1F)C1CC1 ZINC000388261900 347275862 /nfs/dbraw/zinc/27/58/62/347275862.db2.gz BLQXLMOFJRPKBY-HZMBPMFUSA-N 0 3 237.318 2.729 20 0 BFADHN CC[C@@H](N[C@@H](C)C(=O)N(C)CC)c1ccccc1 ZINC000188492130 347281773 /nfs/dbraw/zinc/28/17/73/347281773.db2.gz VEJHXRMGQWWELS-GXTWGEPZSA-N 0 3 248.370 2.594 20 0 BFADHN CO[C@H]([C@@H](C)NCc1cccc(F)c1)C1CC1 ZINC000388352994 347349763 /nfs/dbraw/zinc/34/97/63/347349763.db2.gz PDFUKTRTQXUXQT-QMTHXVAHSA-N 0 3 237.318 2.729 20 0 BFADHN CN(C)[C@H](CNCc1ccccc1)c1ccco1 ZINC000020122365 347408426 /nfs/dbraw/zinc/40/84/26/347408426.db2.gz VJHSHCDXTIMRLI-CQSZACIVSA-N 0 3 244.338 2.672 20 0 BFADHN CC(C)CN(Cc1cocn1)CC(C)C ZINC000191919558 347363157 /nfs/dbraw/zinc/36/31/57/347363157.db2.gz BXAGKHSZWRTPMN-UHFFFAOYSA-N 0 3 210.321 2.789 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1cccnc1)OC ZINC000319470903 259395260 /nfs/dbraw/zinc/39/52/60/259395260.db2.gz QOVRZLXIFJCDAK-DGCLKSJQSA-N 0 3 222.332 2.547 20 0 BFADHN CCc1cnc(CN2[C@@H](C)CCC2(C)C)o1 ZINC000571494215 323145961 /nfs/dbraw/zinc/14/59/61/323145961.db2.gz WXJCRILZEZXBHT-JTQLQIEISA-N 0 3 222.332 3.000 20 0 BFADHN Cc1cccc2ncc(CN(C)CCC3CC3)n21 ZINC000355218573 179231235 /nfs/dbraw/zinc/23/12/35/179231235.db2.gz XPTKXZSYDQSLIH-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CSCCCN[C@@H]1CCCc2cccnc21 ZINC000085789640 347445261 /nfs/dbraw/zinc/44/52/61/347445261.db2.gz HMALTNCWGQQJDB-GFCCVEGCSA-N 0 3 236.384 2.802 20 0 BFADHN Cc1cccc2nc(CN[C@@H]3CCC[C@@H]3C)cn21 ZINC000268060581 179229728 /nfs/dbraw/zinc/22/97/28/179229728.db2.gz YKMWHBCYQWUYMY-SMDDNHRTSA-N 0 3 243.354 2.921 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC1(F)F)c1ccnn1C ZINC000390611625 259395900 /nfs/dbraw/zinc/39/59/00/259395900.db2.gz WYPLZAVOFGBPMC-ZJUUUORDSA-N 0 3 243.301 2.506 20 0 BFADHN CCCN(CCCCO)Cc1ccccc1F ZINC000590598394 347479299 /nfs/dbraw/zinc/47/92/99/347479299.db2.gz MYSWXWGBBBQXBU-UHFFFAOYSA-N 0 3 239.334 2.810 20 0 BFADHN CC[C@@H](C)N(CC)C(=O)[C@H](CC)N(CC)CC ZINC000616980729 347562481 /nfs/dbraw/zinc/56/24/81/347562481.db2.gz LXTWNZWEFUBGPV-OLZOCXBDSA-N 0 3 242.407 2.754 20 0 BFADHN Cc1ccccc1CC(C)(C)NCc1cc[nH]n1 ZINC000105492152 179260784 /nfs/dbraw/zinc/26/07/84/179260784.db2.gz DWYQHWQWHOKRFR-UHFFFAOYSA-N 0 3 243.354 2.829 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1ccoc1C ZINC000591257629 347634727 /nfs/dbraw/zinc/63/47/27/347634727.db2.gz SVNHWFDRESZCQY-MNOVXSKESA-N 0 3 211.330 2.572 20 0 BFADHN Fc1ccc(C2(NC[C@@H]3CCCO3)CCC2)cc1 ZINC000591375300 347649944 /nfs/dbraw/zinc/64/99/44/347649944.db2.gz GKEGOSJMOICGCH-AWEZNQCLSA-N 0 3 249.329 2.974 20 0 BFADHN Cc1cc(C)cc(CNCc2ccc(=O)[nH]c2)c1 ZINC000392672059 347651625 /nfs/dbraw/zinc/65/16/25/347651625.db2.gz IOKCBCNPEMPLDA-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN Cc1ccc(CNCc2ccc(=O)[nH]c2)c(C)c1 ZINC000392672906 347651785 /nfs/dbraw/zinc/65/17/85/347651785.db2.gz IYRVFGZOXMBVAY-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN Cc1nccn1CCN(C)Cc1ccccc1C ZINC000270812930 179269362 /nfs/dbraw/zinc/26/93/62/179269362.db2.gz HWCYHHOIGVSXQO-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN Cc1ccccc1CN(C)C[C@@H]1CCCO1 ZINC000061760131 179270076 /nfs/dbraw/zinc/27/00/76/179270076.db2.gz ZHUJKFKCJLXKTA-AWEZNQCLSA-N 0 3 219.328 2.606 20 0 BFADHN CCOC[C@H](C)NCc1ccc2cccnc2c1 ZINC000591708055 347687882 /nfs/dbraw/zinc/68/78/82/347687882.db2.gz HUERMXUCWJFMPA-LBPRGKRZSA-N 0 3 244.338 2.749 20 0 BFADHN CCCN1CCCO[C@H](c2ccccc2)C1 ZINC000591815641 347700631 /nfs/dbraw/zinc/70/06/31/347700631.db2.gz UUELSOAESFUGSD-AWEZNQCLSA-N 0 3 219.328 2.860 20 0 BFADHN CS[C@H]1CC[C@H]1N[C@@H](C)c1ccccn1 ZINC000591835365 347703062 /nfs/dbraw/zinc/70/30/62/347703062.db2.gz MKQFCLPHRUADSY-WCQGTBRESA-N 0 3 222.357 2.626 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cnccn1 ZINC000577265956 365907951 /nfs/dbraw/zinc/90/79/51/365907951.db2.gz LYFXKIJGSLCDAS-ZDUSSCGKSA-N 0 3 219.332 2.631 20 0 BFADHN CC[C@@H](CN(C)Cc1cccc(F)c1)OC ZINC000419188478 192108261 /nfs/dbraw/zinc/10/82/61/192108261.db2.gz GWFDXMAZLPEWLJ-ZDUSSCGKSA-N 0 3 225.307 2.683 20 0 BFADHN Fc1ccc2c(c1)CC[C@H]2NCc1cc[nH]c1 ZINC000176833604 365910059 /nfs/dbraw/zinc/91/00/59/365910059.db2.gz PWOGTGZMLJXQLA-CQSZACIVSA-N 0 3 230.286 2.931 20 0 BFADHN Cc1ccccc1CNCc1ccc(O)cc1 ZINC000032015365 179283398 /nfs/dbraw/zinc/28/33/98/179283398.db2.gz BOIHNBFNUBTBPC-UHFFFAOYSA-N 0 3 227.307 2.990 20 0 BFADHN Cc1ccccc1CN[C@H](C)c1cn[nH]c1 ZINC000229883815 179284186 /nfs/dbraw/zinc/28/41/86/179284186.db2.gz YLIYWTLAHBOCBP-LLVKDONJSA-N 0 3 215.300 2.569 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NCc1ccccc1C ZINC000020349373 179284862 /nfs/dbraw/zinc/28/48/62/179284862.db2.gz KFLVYLYLVAZIBG-GFCCVEGCSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccccc1CN[C@@H](C)c1cnn(C)c1 ZINC000044498959 179281950 /nfs/dbraw/zinc/28/19/50/179281950.db2.gz QSXPMHLUODSIMX-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN CCN(CCSC)Cc1cccc(O)c1 ZINC000592528796 347795525 /nfs/dbraw/zinc/79/55/25/347795525.db2.gz HDMHDGRVHOVPJL-UHFFFAOYSA-N 0 3 225.357 2.577 20 0 BFADHN CCN(CCSC)Cc1ccc(COC)o1 ZINC000592530012 347796404 /nfs/dbraw/zinc/79/64/04/347796404.db2.gz SNOSASNUBJYPRH-UHFFFAOYSA-N 0 3 243.372 2.611 20 0 BFADHN CCN(CCSC)Cc1n[nH]c2ccccc21 ZINC000592530082 347796421 /nfs/dbraw/zinc/79/64/21/347796421.db2.gz WBSUWKGKFXZPEV-UHFFFAOYSA-N 0 3 249.383 2.748 20 0 BFADHN CCN(CCSC)Cc1[nH]nc2ccccc21 ZINC000592530082 347796422 /nfs/dbraw/zinc/79/64/22/347796422.db2.gz WBSUWKGKFXZPEV-UHFFFAOYSA-N 0 3 249.383 2.748 20 0 BFADHN Cc1cc(CN[C@H]2CCc3ccc(F)cc32)n[nH]1 ZINC000592462460 347784576 /nfs/dbraw/zinc/78/45/76/347784576.db2.gz MBLJNMUPTADYQT-AWEZNQCLSA-N 0 3 245.301 2.634 20 0 BFADHN CCCCCN(C(=O)[C@H](C)NC)[C@@H](C)CCC ZINC000577296083 365920236 /nfs/dbraw/zinc/92/02/36/365920236.db2.gz WPUQSIBFKZLYAB-STQMWFEESA-N 0 3 242.407 2.802 20 0 BFADHN CC(C)(C)[C@@H]1CN(CCC2CC2)CCO1 ZINC000577338351 365928035 /nfs/dbraw/zinc/92/80/35/365928035.db2.gz RXILSRZMKQSQLS-LBPRGKRZSA-N 0 3 211.349 2.533 20 0 BFADHN CC(C)(C)OC(=O)CCN1CCC12CCCC2 ZINC000593040028 347843196 /nfs/dbraw/zinc/84/31/96/347843196.db2.gz VPOPERTWBQGARH-UHFFFAOYSA-N 0 3 239.359 2.737 20 0 BFADHN CCSCCN1C[C@H](CC)OC[C@@H]1CC ZINC000593059418 347849110 /nfs/dbraw/zinc/84/91/10/347849110.db2.gz QVVOMMYPEAHIEF-RYUDHWBXSA-N 0 3 231.405 2.629 20 0 BFADHN CCN1CCCC[C@H]1CC(=O)OC(C)(C)C ZINC000593089978 347853059 /nfs/dbraw/zinc/85/30/59/347853059.db2.gz CKTUGJAYVLXVOT-NSHDSACASA-N 0 3 227.348 2.593 20 0 BFADHN Cc1ccccc1NC(=O)CCN1C[C@H](C)[C@H]1C ZINC000293514452 179297786 /nfs/dbraw/zinc/29/77/86/179297786.db2.gz VKVUTYDYCYCJOU-QWHCGFSZSA-N 0 3 246.354 2.664 20 0 BFADHN CCc1ccc(CN2CCC[C@H](OC)C2)s1 ZINC000535086180 323166319 /nfs/dbraw/zinc/16/63/19/323166319.db2.gz QUQYHJXLEQDMOW-NSHDSACASA-N 0 3 239.384 2.921 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CC[C@@H]2C2CCC2)[nH]1 ZINC000577348947 365930965 /nfs/dbraw/zinc/93/09/65/365930965.db2.gz NUUNWUMNKLIUNO-NEPJUHHUSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CC[C@@H]2C2CCC2)[n-]1 ZINC000577348947 365930974 /nfs/dbraw/zinc/93/09/74/365930974.db2.gz NUUNWUMNKLIUNO-NEPJUHHUSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CC[C@H]2C2CCC2)[nH]1 ZINC000577348953 365931165 /nfs/dbraw/zinc/93/11/65/365931165.db2.gz NUUNWUMNKLIUNO-RYUDHWBXSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CC[C@H]2C2CCC2)[n-]1 ZINC000577348953 365931173 /nfs/dbraw/zinc/93/11/73/365931173.db2.gz NUUNWUMNKLIUNO-RYUDHWBXSA-N 0 3 248.374 2.596 20 0 BFADHN Cc1ncc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)o1 ZINC000628300198 347881399 /nfs/dbraw/zinc/88/13/99/347881399.db2.gz UQZXCDIMOLLSNN-KGLIPLIRSA-N 0 3 232.327 2.603 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1cc(Cl)cs1 ZINC000308611412 347909915 /nfs/dbraw/zinc/90/99/15/347909915.db2.gz QUYKEPJPTWJCRL-UWVGGRQHSA-N 0 3 231.748 2.669 20 0 BFADHN CSCCCNCc1cc2cnccc2o1 ZINC000593603635 347919746 /nfs/dbraw/zinc/91/97/46/347919746.db2.gz UYBJVHNBJXCUIZ-UHFFFAOYSA-N 0 3 236.340 2.671 20 0 BFADHN C[C@H](C[S@](C)=O)N(C)CCC(C)(C)C1CC1 ZINC000593978019 347986068 /nfs/dbraw/zinc/98/60/68/347986068.db2.gz XPMNFAVUFNKQSH-BZNIZROVSA-N 0 3 245.432 2.512 20 0 BFADHN Cc1ccccc1[C@H](C)NCCn1cccn1 ZINC000042538892 179337136 /nfs/dbraw/zinc/33/71/36/179337136.db2.gz IAEHHOUSYIRNDZ-ZDUSSCGKSA-N 0 3 229.327 2.542 20 0 BFADHN Cc1ccccc1[C@H](C)N[C@@H](C)C(=O)NC(C)C ZINC000042208397 179339005 /nfs/dbraw/zinc/33/90/05/179339005.db2.gz WMGQYUAFWDRSOV-STQMWFEESA-N 0 3 248.370 2.559 20 0 BFADHN Cc1cccc([C@H](C)N[C@@H]2CO[C@@H](C)C2)c1 ZINC000401820033 348020196 /nfs/dbraw/zinc/02/01/96/348020196.db2.gz JOVDHDAOUDCXOW-OBJOEFQTSA-N 0 3 219.328 2.823 20 0 BFADHN CCCCCNCc1cc(C(=O)OC)oc1C ZINC000594601732 348080306 /nfs/dbraw/zinc/08/03/06/348080306.db2.gz STRAOROTENYQRZ-UHFFFAOYSA-N 0 3 239.315 2.654 20 0 BFADHN COC(=O)c1cc(CNCC(C)(C)C)c(C)o1 ZINC000594614681 348083448 /nfs/dbraw/zinc/08/34/48/348083448.db2.gz LTKJZAJNMWRRTO-UHFFFAOYSA-N 0 3 239.315 2.510 20 0 BFADHN Cc1cccn2c(CN3CCC[C@@H]3C)cnc12 ZINC000124357160 179355290 /nfs/dbraw/zinc/35/52/90/179355290.db2.gz VZZWTJQOJAXDHJ-LBPRGKRZSA-N 0 3 229.327 2.627 20 0 BFADHN Cc1occc1CN[C@H](C)CSCCF ZINC000595007548 348158472 /nfs/dbraw/zinc/15/84/72/348158472.db2.gz LZIKSMHSROTBBC-SECBINFHSA-N 0 3 231.336 2.769 20 0 BFADHN Cc1occc1CN[C@@H](C)CSCCF ZINC000595007549 348158788 /nfs/dbraw/zinc/15/87/88/348158788.db2.gz LZIKSMHSROTBBC-VIFPVBQESA-N 0 3 231.336 2.769 20 0 BFADHN C[C@H](CSCCF)N[C@H](C)c1ccccn1 ZINC000595009525 348159131 /nfs/dbraw/zinc/15/91/31/348159131.db2.gz XYSCPDCZKPVGDA-GHMZBOCLSA-N 0 3 242.363 2.823 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H](C)C1CCC1 ZINC000449561192 201968007 /nfs/dbraw/zinc/96/80/07/201968007.db2.gz BKOLHIPMTIOUBQ-WDEREUQCSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cccnc1CN1CCC(CF)CC1 ZINC000295057163 179372686 /nfs/dbraw/zinc/37/26/86/179372686.db2.gz NYELZGKCBUNYSR-UHFFFAOYSA-N 0 3 222.307 2.572 20 0 BFADHN Cc1ncc(CN[C@H](C)CSCCF)s1 ZINC000595013767 348185305 /nfs/dbraw/zinc/18/53/05/348185305.db2.gz SAOFHFSNMYNOLY-MRVPVSSYSA-N 0 3 248.392 2.632 20 0 BFADHN Cc1cccnc1CN(C)C1CCCC1 ZINC000180419295 179370008 /nfs/dbraw/zinc/37/00/08/179370008.db2.gz ZNUSUMNGJICZRR-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN CCC[C@]1(C)CCCN(Cc2cnccn2)C1 ZINC000563793430 323178025 /nfs/dbraw/zinc/17/80/25/323178025.db2.gz YOCKTAYQIYWKQR-CQSZACIVSA-N 0 3 233.359 2.879 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1ccccc1 ZINC000401855118 348204159 /nfs/dbraw/zinc/20/41/59/348204159.db2.gz FLPWDGMAYLBGRY-MDZLAQPJSA-N 0 3 205.301 2.515 20 0 BFADHN Cc1cccnc1CN(C)CCC1CC1 ZINC000093203943 179371367 /nfs/dbraw/zinc/37/13/67/179371367.db2.gz IKKOVARKJYDUSB-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CC[C@H](CN(C)C[C@@H](C)c1nccs1)OC ZINC000419254018 192116105 /nfs/dbraw/zinc/11/61/05/192116105.db2.gz UTZOZDKFJKHFIE-GHMZBOCLSA-N 0 3 242.388 2.603 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1ccc(F)cc1F ZINC000308844741 323179710 /nfs/dbraw/zinc/17/97/10/323179710.db2.gz ZSFXLCPKUCEXEW-PELKAZGASA-N 0 3 211.255 2.853 20 0 BFADHN COC(=O)[C@]1(C)CCCN1CCCC1CCC1 ZINC000595444984 348251342 /nfs/dbraw/zinc/25/13/42/348251342.db2.gz IGBWYWSDGVIOHX-AWEZNQCLSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1cccnc1CN(CCO)C1CCCCC1 ZINC000093204002 179374117 /nfs/dbraw/zinc/37/41/17/179374117.db2.gz BDRVVYMAJXSNCN-UHFFFAOYSA-N 0 3 248.370 2.517 20 0 BFADHN Cc1cccnc1CN1CCCC[C@H]1C ZINC000093158425 179374364 /nfs/dbraw/zinc/37/43/64/179374364.db2.gz DGMHLTLSDDNDJC-GFCCVEGCSA-N 0 3 204.317 2.764 20 0 BFADHN CN(Cc1ccc(C#N)cc1)[C@H]1COC(C)(C)C1 ZINC000396566669 348254754 /nfs/dbraw/zinc/25/47/54/348254754.db2.gz BGJOSAXERWBMMI-CQSZACIVSA-N 0 3 244.338 2.558 20 0 BFADHN Cc1cccnc1CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000284943977 179375905 /nfs/dbraw/zinc/37/59/05/179375905.db2.gz WSLMYBCUPCLTJR-GJZGRUSLSA-N 0 3 246.354 2.533 20 0 BFADHN Cc1cccnc1CN1CCS[C@H](C)[C@@H]1C ZINC000093174877 179376940 /nfs/dbraw/zinc/37/69/40/179376940.db2.gz PLRPIJDIKYRWTM-NWDGAFQWSA-N 0 3 236.384 2.716 20 0 BFADHN Cc1cccnc1CNC1CC(C)(C)C1 ZINC000335777284 179382486 /nfs/dbraw/zinc/38/24/86/179382486.db2.gz UOCGUJXIGKJTNH-UHFFFAOYSA-N 0 3 204.317 2.668 20 0 BFADHN CC[C@@H](CN[C@H](c1cccnc1)C1CC1)OC ZINC000419253334 192115528 /nfs/dbraw/zinc/11/55/28/192115528.db2.gz UDOPPIQHUZSIHF-KBPBESRZSA-N 0 3 234.343 2.547 20 0 BFADHN CCN1CCC[C@H]1Cc1cc(F)ccc1F ZINC000419298933 192118617 /nfs/dbraw/zinc/11/86/17/192118617.db2.gz JBWMRBJXDNMBCA-LBPRGKRZSA-N 0 3 225.282 2.992 20 0 BFADHN CC[C@@H](CN(C)C[C@@H](C)c1nccs1)OC ZINC000419254019 192116197 /nfs/dbraw/zinc/11/61/97/192116197.db2.gz UTZOZDKFJKHFIE-MNOVXSKESA-N 0 3 242.388 2.603 20 0 BFADHN CC[C@@H](N[C@H](C)C(=O)N(C)C)c1ccc(C)cc1 ZINC000035138546 358454151 /nfs/dbraw/zinc/45/41/51/358454151.db2.gz HQRIVFUQYMFSOC-TZMCWYRMSA-N 0 3 248.370 2.512 20 0 BFADHN CO[C@H](CC(C)C)CN1C[C@H](C)O[C@H](C)[C@H]1C ZINC000419261319 192116687 /nfs/dbraw/zinc/11/66/87/192116687.db2.gz TTWXNHBBXZHURQ-REWJHTLYSA-N 0 3 243.391 2.545 20 0 BFADHN CCC[C@H](N[C@H](C)C(=O)N(C)C)c1ccccc1 ZINC000035139291 358453974 /nfs/dbraw/zinc/45/39/74/358453974.db2.gz VQOFXDBBIBWNND-OCCSQVGLSA-N 0 3 248.370 2.594 20 0 BFADHN CSCCN1CCC(c2ccco2)CC1 ZINC000595615833 348288939 /nfs/dbraw/zinc/28/89/39/348288939.db2.gz NMIVKJXRDXAGLD-UHFFFAOYSA-N 0 3 225.357 2.822 20 0 BFADHN CO[C@@H](CC(C)C)CN1CCC[C@@](C)(F)C1 ZINC000419290158 192117921 /nfs/dbraw/zinc/11/79/21/192117921.db2.gz RFLIDQXEGVBYNY-QWHCGFSZSA-N 0 3 231.355 2.872 20 0 BFADHN C[C@H](NCc1nccs1)C1CC(F)(F)C1 ZINC000529529262 323182561 /nfs/dbraw/zinc/18/25/61/323182561.db2.gz AFUGJOZUPFUNPV-ZETCQYMHSA-N 0 3 232.299 2.667 20 0 BFADHN CC[C@@H](NCc1nccc(C)n1)[C@@H]1CC1(C)C ZINC000419315491 192121387 /nfs/dbraw/zinc/12/13/87/192121387.db2.gz ONHWLODNOOBMDC-NWDGAFQWSA-N 0 3 233.359 2.699 20 0 BFADHN CCc1noc([C@H](C)N2C[C@H](C)[C@H](C)[C@H]2C)n1 ZINC000419337234 192125345 /nfs/dbraw/zinc/12/53/45/192125345.db2.gz BAIXUEKOUDYFQZ-MMWGEVLESA-N 0 3 237.347 2.669 20 0 BFADHN COc1ncccc1CN1C[C@H](C)[C@H](C)[C@@H]1C ZINC000419351333 192125382 /nfs/dbraw/zinc/12/53/82/192125382.db2.gz IXPOBJTZNQRZOO-SRVKXCTJSA-N 0 3 234.343 2.567 20 0 BFADHN COC(=O)c1ccc([C@H](C)N2CC3CC2(C)C3)o1 ZINC000595915131 348399824 /nfs/dbraw/zinc/39/98/24/348399824.db2.gz JSUHHCNYRFECBR-IPWFMCSPSA-N 0 3 249.310 2.612 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2ccc(F)cn2)[C@H]1C ZINC000419351660 192125543 /nfs/dbraw/zinc/12/55/43/192125543.db2.gz JJMOSRMDGOEYPO-MXWKQRLJSA-N 0 3 222.307 2.697 20 0 BFADHN COCCCCN(C)Cc1ccc(C(C)=O)cc1 ZINC000419390423 192130486 /nfs/dbraw/zinc/13/04/86/192130486.db2.gz QCCJCYPEZBDKHU-UHFFFAOYSA-N 0 3 249.354 2.748 20 0 BFADHN Cc1oncc1CN(C)[C@@H](C)C(C)(C)C ZINC000526082618 323188011 /nfs/dbraw/zinc/18/80/11/323188011.db2.gz FRODGTQXIYQXLB-JTQLQIEISA-N 0 3 210.321 2.849 20 0 BFADHN C[C@H](NCc1csc(C2CC2)n1)C1CC1 ZINC000113314771 323186982 /nfs/dbraw/zinc/18/69/82/323186982.db2.gz YOJDBNCGBJUFCO-QMMMGPOBSA-N 0 3 222.357 2.909 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CCC(C)(C)O1 ZINC000309386107 179524336 /nfs/dbraw/zinc/52/43/36/179524336.db2.gz BFGMGSBKUXLUCQ-NSHDSACASA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@@H](N[C@@H]1CC12CCCC2)c1ccn(C)n1 ZINC000429443628 192144824 /nfs/dbraw/zinc/14/48/24/192144824.db2.gz NKCFDYCIRZKRCO-DGCLKSJQSA-N 0 3 233.359 2.794 20 0 BFADHN Cc1ccoc1CNC[C@]1(O)CCCC1(C)C ZINC000293002731 179526025 /nfs/dbraw/zinc/52/60/25/179526025.db2.gz OXTUYHFZAMRPTQ-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCOC1CCCC1 ZINC000357777413 179497340 /nfs/dbraw/zinc/49/73/40/179497340.db2.gz JFQQNRBVQXJGLU-CYBMUJFWSA-N 0 3 248.370 3.000 20 0 BFADHN c1coc(CNC[C@H]2Cc3ccccc32)c1 ZINC000037472874 348618505 /nfs/dbraw/zinc/61/85/05/348618505.db2.gz PDNOBQYWAWTQMR-GFCCVEGCSA-N 0 3 213.280 2.709 20 0 BFADHN CCCCN(CC)[C@H](CCC)C(=O)OCC ZINC000148161204 192158295 /nfs/dbraw/zinc/15/82/95/192158295.db2.gz VFNIMDOUSYJKGL-GFCCVEGCSA-N 0 3 229.364 2.840 20 0 BFADHN CCc1ccc(CN[C@@H]2CO[C@H](C)C2)cc1 ZINC000401924779 348738747 /nfs/dbraw/zinc/73/87/47/348738747.db2.gz LCWQWEIMLJEUMJ-RISCZKNCSA-N 0 3 219.328 2.516 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H]1CCc2ccc(O)cc21 ZINC000597214554 348689655 /nfs/dbraw/zinc/68/96/55/348689655.db2.gz WQLGPWQDIPZYFC-HZSPNIEDSA-N 0 3 249.379 2.863 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@H](C)[C@@H]2C)o1 ZINC000357018885 179651124 /nfs/dbraw/zinc/65/11/24/179651124.db2.gz KQVQBPVGSFQCIQ-LSJOCFKGSA-N 0 3 208.305 2.774 20 0 BFADHN CSC1(CN[C@@H](C)c2nc(C)cs2)CC1 ZINC000308840134 348772127 /nfs/dbraw/zinc/77/21/27/348772127.db2.gz YGPIORDASSGKAT-VIFPVBQESA-N 0 3 242.413 2.998 20 0 BFADHN CCc1nc(CNC2CCCC2)cs1 ZINC000054918096 348785412 /nfs/dbraw/zinc/78/54/12/348785412.db2.gz VLOKMFBBMSMWSV-UHFFFAOYSA-N 0 3 210.346 2.738 20 0 BFADHN Cc1cncc(CN2CCCC[C@@H]2CC(N)=O)c1 ZINC000331285778 179667866 /nfs/dbraw/zinc/66/78/66/179667866.db2.gz XYHHPYZDNWUJDB-CYBMUJFWSA-N 0 3 247.342 2.670 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)[C@H](C)C2)no1 ZINC000082837193 348820633 /nfs/dbraw/zinc/82/06/33/348820633.db2.gz MFRBGBPAUZWUNN-CKYFFXLPSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@H](NCC1(CO)CCCCC1)c1ccco1 ZINC000123153996 490200452 /nfs/dbraw/zinc/20/04/52/490200452.db2.gz VYNTWJNQRSFHDT-LBPRGKRZSA-N 0 3 237.343 2.873 20 0 BFADHN COc1ccc(CN[C@H](C)[C@@H]2CC2(F)F)cc1 ZINC000666444947 490213146 /nfs/dbraw/zinc/21/31/46/490213146.db2.gz MMZNOIYKYZZMPA-SKDRFNHKSA-N 0 3 241.281 2.829 20 0 BFADHN CC(C)(CNCc1cc[nH]c1)C(F)(F)F ZINC000308883853 349023064 /nfs/dbraw/zinc/02/30/64/349023064.db2.gz VCJJYYZZXUDOLG-UHFFFAOYSA-N 0 3 220.238 2.693 20 0 BFADHN CS[C@H](C)CN[C@H]1CCCc2scnc21 ZINC000599041080 349080963 /nfs/dbraw/zinc/08/09/63/349080963.db2.gz JXSKEOSUDAQQOI-BDAKNGLRSA-N 0 3 242.413 2.862 20 0 BFADHN C/C=C\CN[C@@H](C)c1cc(C(=O)OC)cs1 ZINC000599081376 349096018 /nfs/dbraw/zinc/09/60/18/349096018.db2.gz JHHPBUFSFUYRLQ-WBSSQXGSSA-N 0 3 239.340 2.761 20 0 BFADHN CCC(C)(C)OCCNCc1ccccc1 ZINC000053568700 349065774 /nfs/dbraw/zinc/06/57/74/349065774.db2.gz SLAHMUWNJDIUMV-UHFFFAOYSA-N 0 3 221.344 2.981 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1ccncc1Cl ZINC000421805663 192384319 /nfs/dbraw/zinc/38/43/19/192384319.db2.gz XNDNDJNYAAHTPI-UWVGGRQHSA-N 0 3 240.734 2.565 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1ccncc1Cl ZINC000421805665 192384776 /nfs/dbraw/zinc/38/47/76/192384776.db2.gz XNDNDJNYAAHTPI-ZJUUUORDSA-N 0 3 240.734 2.565 20 0 BFADHN CC[C@H](N[C@H](C)c1ccncc1Cl)[C@@H](C)O ZINC000421863856 192396180 /nfs/dbraw/zinc/39/61/80/192396180.db2.gz XWHOLYATDQERTC-LNLATYFQSA-N 0 3 242.750 2.545 20 0 BFADHN CC[C@@H](C)CN[C@@H](C)c1cc(C(=O)OC)co1 ZINC000421875815 192398714 /nfs/dbraw/zinc/39/87/14/192398714.db2.gz JHWXQUNVEFHEAI-ZJUUUORDSA-N 0 3 239.315 2.763 20 0 BFADHN CC[C@H](CN[C@@H](C)c1ccncc1Cl)OC ZINC000421852529 192389926 /nfs/dbraw/zinc/38/99/26/192389926.db2.gz ILMXHLFATHHDDZ-VHSXEESVSA-N 0 3 242.750 2.811 20 0 BFADHN C[C@@H](O)CCCN[C@H](C)c1ccncc1Cl ZINC000421854169 192390001 /nfs/dbraw/zinc/39/00/01/192390001.db2.gz KZLCZYURTXOOHW-NXEZZACHSA-N 0 3 242.750 2.547 20 0 BFADHN CCC[C@H](C)CCCN(C)[C@@H](C)C[S@](C)=O ZINC000599362460 349160028 /nfs/dbraw/zinc/16/00/28/349160028.db2.gz NSSYRHLYFKLOMZ-XEZPLFJOSA-N 0 3 247.448 2.902 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1ccncc1Cl ZINC000421834838 192391511 /nfs/dbraw/zinc/39/15/11/192391511.db2.gz PBYMBBVJDDUYFH-BXKDBHETSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@@H](N[C@@H](C)CCCO)c1ccncc1Cl ZINC000421845463 192393484 /nfs/dbraw/zinc/39/34/84/192393484.db2.gz QIHYZJDLKCTTIE-VHSXEESVSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@H](C)CN[C@H](C)c1cc2n(n1)CCC2 ZINC000421885991 192394382 /nfs/dbraw/zinc/39/43/82/192394382.db2.gz RTJMWMDIPGMWEQ-WDEREUQCSA-N 0 3 221.348 2.526 20 0 BFADHN CCc1ncc(CN[C@H](C)C2CCCC2)o1 ZINC000449607463 201983358 /nfs/dbraw/zinc/98/33/58/201983358.db2.gz BDKRIXPUPMTDDA-SNVBAGLBSA-N 0 3 222.332 2.905 20 0 BFADHN CCSCCN1C[C@H](C)C(F)(F)[C@@H](C)C1 ZINC000599514185 349204332 /nfs/dbraw/zinc/20/43/32/349204332.db2.gz VEAHHTQCMQLVPT-UWVGGRQHSA-N 0 3 237.359 2.963 20 0 BFADHN CCSCC[C@H](C)NCc1cc(C)no1 ZINC000309031030 349317349 /nfs/dbraw/zinc/31/73/49/349317349.db2.gz BPERACMDXDQVFA-VIFPVBQESA-N 0 3 228.361 2.604 20 0 BFADHN CCSCC[C@@H](C)NCc1cc(C)no1 ZINC000309031029 349317520 /nfs/dbraw/zinc/31/75/20/349317520.db2.gz BPERACMDXDQVFA-SECBINFHSA-N 0 3 228.361 2.604 20 0 BFADHN CCC(CC)(CO)CN[C@@H](C)c1cccnc1 ZINC000161334026 349424958 /nfs/dbraw/zinc/42/49/58/349424958.db2.gz AIEJJUKKWPOMGM-LBPRGKRZSA-N 0 3 236.359 2.531 20 0 BFADHN CC(C)[C@@H]1C[C@H](NCc2ccco2)CCO1 ZINC000069855704 191002835 /nfs/dbraw/zinc/00/28/35/191002835.db2.gz DWOKAAOECXAZEI-YPMHNXCESA-N 0 3 223.316 2.573 20 0 BFADHN CCOCCCN[C@@H](CC)c1ccc(F)cn1 ZINC000161309236 349419831 /nfs/dbraw/zinc/41/98/31/349419831.db2.gz IRMKWFGRRIHGTB-LBPRGKRZSA-N 0 3 240.322 2.688 20 0 BFADHN CC[C@@H](C)CN1CCOC[C@H]1Cc1ccccc1 ZINC000409445322 191013799 /nfs/dbraw/zinc/01/37/99/191013799.db2.gz JCEAXRITMMHOMT-GDBMZVCRSA-N 0 3 247.382 2.976 20 0 BFADHN Cc1csc(CN[C@@H]2CC[C@H](C)C2)n1 ZINC000070643515 191003972 /nfs/dbraw/zinc/00/39/72/191003972.db2.gz XGHWIPVGIAZGGL-WCBMZHEXSA-N 0 3 210.346 2.730 20 0 BFADHN CCOc1ccccc1[C@@H](C)NC1CC1 ZINC000022151078 350082076 /nfs/dbraw/zinc/08/20/76/350082076.db2.gz CAFISFSXLRGHCJ-SNVBAGLBSA-N 0 3 205.301 2.898 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@H](C)C2)c(Cl)c1 ZINC000402212185 350064607 /nfs/dbraw/zinc/06/46/07/350064607.db2.gz KGRSPRZQEDXPTR-ZYHUDNBSSA-N 0 3 239.746 2.915 20 0 BFADHN Cc1nc([C@@H](C)N2C[C@@H](C)CC(C)(C)C2)n[nH]1 ZINC000336283293 180034513 /nfs/dbraw/zinc/03/45/13/180034513.db2.gz SVLDGABGAPAOIB-VHSXEESVSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nc([C@@H](C)NCC[C@H](C)CCO)cs1 ZINC000297450020 180035688 /nfs/dbraw/zinc/03/56/88/180035688.db2.gz WXNKMRIJCKLTQF-VHSXEESVSA-N 0 3 242.388 2.511 20 0 BFADHN C[C@@H](Cc1ccccc1F)N[C@@H](C)c1cn[nH]c1 ZINC000219206475 350117693 /nfs/dbraw/zinc/11/76/93/350117693.db2.gz OTHFFKHCDSXAMA-QWRGUYRKSA-N 0 3 247.317 2.831 20 0 BFADHN Cc1nc([C@H](C)NC[C@H]2C[C@@H]2C)cs1 ZINC000158854979 180048818 /nfs/dbraw/zinc/04/88/18/180048818.db2.gz ZFVPUPRARVMBMT-OYNCUSHFSA-N 0 3 210.346 2.758 20 0 BFADHN Cc1nc([C@H](C)NCC2CC2)c(C)s1 ZINC000020086325 180049750 /nfs/dbraw/zinc/04/97/50/180049750.db2.gz YTEVSNRTOVBLKI-ZETCQYMHSA-N 0 3 210.346 2.821 20 0 BFADHN Cc1nc([C@H](C)N[C@H](C)CCCCO)cs1 ZINC000272424033 180050487 /nfs/dbraw/zinc/05/04/87/180050487.db2.gz MEIUHWBNAOGUJN-ZJUUUORDSA-N 0 3 242.388 2.653 20 0 BFADHN Cc1nc([C@H](C)N[C@H](C)[C@H]2CCOC2)cs1 ZINC000252710722 180051593 /nfs/dbraw/zinc/05/15/93/180051593.db2.gz HNCSMFRUHQOWCW-YWVKMMECSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1nc([C@H]2CCCN(CCC(C)C)C2)no1 ZINC000360107289 180055615 /nfs/dbraw/zinc/05/56/15/180055615.db2.gz HZRNXGXPUIZSST-LBPRGKRZSA-N 0 3 237.347 2.604 20 0 BFADHN Cc1nc2ccc(NCC(C)C)cc2[nH]1 ZINC000037139720 180084097 /nfs/dbraw/zinc/08/40/97/180084097.db2.gz ILYXNXSUIMRCOG-UHFFFAOYSA-N 0 3 203.289 2.939 20 0 BFADHN CC(C)C[C@H](C)CN1CCN(CC2CC2)CC1 ZINC000410324171 191027943 /nfs/dbraw/zinc/02/79/43/191027943.db2.gz ASDBPCGFMULABW-AWEZNQCLSA-N 0 3 238.419 2.696 20 0 BFADHN CC(C)COCCCN[C@H](C)c1cncs1 ZINC000132260405 191029552 /nfs/dbraw/zinc/02/95/52/191029552.db2.gz QZSWOSHMKRVIJX-LLVKDONJSA-N 0 3 242.388 2.856 20 0 BFADHN CC(C)C1(CN[C@H](C)c2nccn2C)CC1 ZINC000132303871 191030141 /nfs/dbraw/zinc/03/01/41/191030141.db2.gz CVFFVAILRQEHNS-LLVKDONJSA-N 0 3 221.348 2.507 20 0 BFADHN c1coc(CN[C@@H]2CCOC3(CCCC3)C2)c1 ZINC000094366788 191045967 /nfs/dbraw/zinc/04/59/67/191045967.db2.gz HAZOONGKZMCBGZ-GFCCVEGCSA-N 0 3 235.327 2.861 20 0 BFADHN Cc1cnc([C@@H](C)N(C)CC2CCCC2)cn1 ZINC000428419454 191052081 /nfs/dbraw/zinc/05/20/81/191052081.db2.gz GPSSBWXCFSXNKW-GFCCVEGCSA-N 0 3 233.359 2.968 20 0 BFADHN Cc1ncc(CN(C)CC2CCC2)s1 ZINC000111299127 180138514 /nfs/dbraw/zinc/13/85/14/180138514.db2.gz XXHILXXAVLPHRL-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN Cc1ncc(CN(C)C2CCCCCC2)cn1 ZINC000336513736 180138635 /nfs/dbraw/zinc/13/86/35/180138635.db2.gz HPMXZBVSHDBNDK-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN Cc1ncc(CN2CCC(C)(C3CC3)CC2)cn1 ZINC000336525843 180139385 /nfs/dbraw/zinc/13/93/85/180139385.db2.gz NQOYDGIUSCMMHJ-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1ncc(CN2CCC3(CCC3)C2)s1 ZINC000123277669 180142769 /nfs/dbraw/zinc/14/27/69/180142769.db2.gz ATPBLJLEBSBTAG-UHFFFAOYSA-N 0 3 222.357 2.828 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]2C2CC2)s1 ZINC000363385868 180142898 /nfs/dbraw/zinc/14/28/98/180142898.db2.gz GMOKSEUNSTVCSD-GFCCVEGCSA-N 0 3 222.357 2.826 20 0 BFADHN C[C@@H](NCCC1CCCC1)c1ccncn1 ZINC000396006542 323281819 /nfs/dbraw/zinc/28/18/19/323281819.db2.gz ZJNDNQDDCPMRIW-LLVKDONJSA-N 0 3 219.332 2.708 20 0 BFADHN CSCCCCCN[C@H](C)c1ccncn1 ZINC000396026693 323283518 /nfs/dbraw/zinc/28/35/18/323283518.db2.gz LZUDBDJMAZWKBX-LLVKDONJSA-N 0 3 239.388 2.661 20 0 BFADHN Cc1ncc(CN[C@@H](C)Cc2cccs2)cn1 ZINC000339281096 180154279 /nfs/dbraw/zinc/15/42/79/180154279.db2.gz JWIAAKKLUOYMOE-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN CC(C)(C)c1ncc(CNC2CC2)s1 ZINC000040996787 191063000 /nfs/dbraw/zinc/06/30/00/191063000.db2.gz OCULAESAENZOAC-UHFFFAOYSA-N 0 3 210.346 2.693 20 0 BFADHN Cc1ncc(CN[C@H]2CC23CCCC3)s1 ZINC000335600002 180157779 /nfs/dbraw/zinc/15/77/79/180157779.db2.gz NZYZMLRMZBDJJU-NSHDSACASA-N 0 3 222.357 2.874 20 0 BFADHN Cc1ncc(CN2C[C@H]3CCCC[C@H]32)s1 ZINC000377722795 180144944 /nfs/dbraw/zinc/14/49/44/180144944.db2.gz ONESVBXRDGNIJF-ZYHUDNBSSA-N 0 3 222.357 2.826 20 0 BFADHN Cc1ncc(CN2CC[C@@H]3CCC[C@H]32)s1 ZINC000336359533 180145230 /nfs/dbraw/zinc/14/52/30/180145230.db2.gz LIDRTGVFWAJOSL-CMPLNLGQSA-N 0 3 222.357 2.826 20 0 BFADHN Cc1ncc(CN2CC[C@@H]3CCC[C@@H]32)s1 ZINC000336359535 180145884 /nfs/dbraw/zinc/14/58/84/180145884.db2.gz LIDRTGVFWAJOSL-JQWIXIFHSA-N 0 3 222.357 2.826 20 0 BFADHN Cc1ncc(CN2C[C@@H]3CCC[C@@H]3C2)s1 ZINC000153205046 180146521 /nfs/dbraw/zinc/14/65/21/180146521.db2.gz XKXDXTDVFYAMEU-PHIMTYICSA-N 0 3 222.357 2.683 20 0 BFADHN Cc1ncc(CNC2(C(C)C)CC2)s1 ZINC000292896940 180150148 /nfs/dbraw/zinc/15/01/48/180150148.db2.gz DKONZDOWBOOBKE-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN CC(C)Oc1ccccc1CN[C@@H]1CO[C@H](C)C1 ZINC000402348478 350191295 /nfs/dbraw/zinc/19/12/95/350191295.db2.gz ROHZJEZOZVQAMK-OCCSQVGLSA-N 0 3 249.354 2.741 20 0 BFADHN Cc1ccc([C@@H](C)NCC2(O)CCCC2)o1 ZINC000083463968 350216089 /nfs/dbraw/zinc/21/60/89/350216089.db2.gz IZJQIELSAIZTOI-LLVKDONJSA-N 0 3 223.316 2.544 20 0 BFADHN CC[C@@H](O)[C@@H]1CCCCN1Cc1ccnc(C)c1 ZINC000621258264 350206094 /nfs/dbraw/zinc/20/60/94/350206094.db2.gz DHHDZLZENNYELD-LSDHHAIUSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@H](N[C@H]1CCCOCC1)c1nccs1 ZINC000083425829 350207317 /nfs/dbraw/zinc/20/73/17/350207317.db2.gz JSMAUCHNBSFQII-QWRGUYRKSA-N 0 3 240.372 2.753 20 0 BFADHN C[C@H]1CN(Cc2cncc(Cl)c2)C[C@H]1C ZINC000621260523 350213423 /nfs/dbraw/zinc/21/34/23/350213423.db2.gz HFWJFKRNNKNGJJ-AOOOYVTPSA-N 0 3 224.735 2.823 20 0 BFADHN Cc1ncccc1CNCCSC(C)C ZINC000336711078 180221541 /nfs/dbraw/zinc/22/15/41/180221541.db2.gz UHCAFRBOUZVDJM-UHFFFAOYSA-N 0 3 224.373 2.621 20 0 BFADHN CC[C@H](NCC[C@H]1CCCO1)c1nccs1 ZINC000083526815 350234504 /nfs/dbraw/zinc/23/45/04/350234504.db2.gz CHVFNNCUHHCIQD-MNOVXSKESA-N 0 3 240.372 2.753 20 0 BFADHN Cc1csc([C@H](C)NCC[C@@H]2CCCO2)n1 ZINC000083526822 350234569 /nfs/dbraw/zinc/23/45/69/350234569.db2.gz PMSTZUPMEHZKIT-QWRGUYRKSA-N 0 3 240.372 2.671 20 0 BFADHN Cc1nccnc1CCN[C@H](C)c1ccsc1 ZINC000295115146 180274882 /nfs/dbraw/zinc/27/48/82/180274882.db2.gz XUIHILDJKKFOPA-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCCC(F)(F)F ZINC000219172817 180279258 /nfs/dbraw/zinc/27/92/58/180279258.db2.gz PUZTWIIWIGOYGR-MRVPVSSYSA-N 0 3 247.264 2.778 20 0 BFADHN Cc1nccnc1[C@@H](C)NC[C@@H]1CC=CCC1 ZINC000187853910 180281791 /nfs/dbraw/zinc/28/17/91/180281791.db2.gz JKZRHEKRQHNZMM-CHWSQXEVSA-N 0 3 231.343 2.792 20 0 BFADHN Cc1nccnc1[C@H](C)NCCc1cccs1 ZINC000187694007 180282068 /nfs/dbraw/zinc/28/20/68/180282068.db2.gz SDZRUEFIEGPHLU-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CC[C@H](C)C1 ZINC000300333299 180283023 /nfs/dbraw/zinc/28/30/23/180283023.db2.gz JOSJRGWHSUBWBZ-DLOVCJGASA-N 0 3 219.332 2.624 20 0 BFADHN CC(C)[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)C1CC1 ZINC000324389425 350295394 /nfs/dbraw/zinc/29/53/94/350295394.db2.gz MVMNMDVDCRDUQQ-RTXFEEFZSA-N 0 3 233.359 2.913 20 0 BFADHN Cc1ncsc1CN(C(C)C)C1CC1 ZINC000121352231 180313570 /nfs/dbraw/zinc/31/35/70/180313570.db2.gz QYFGCWSAGDHPOH-UHFFFAOYSA-N 0 3 210.346 2.824 20 0 BFADHN Cc1ncsc1CN(C)CCC1CC1 ZINC000266550911 180313850 /nfs/dbraw/zinc/31/38/50/180313850.db2.gz UJVCHVWQPAVSFL-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN COc1cc(C)c(CN(C)[C@@H]2CC2(C)C)c(C)n1 ZINC000426570496 191097341 /nfs/dbraw/zinc/09/73/41/191097341.db2.gz MDGKEAOTCZIGAO-CYBMUJFWSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ncsc1CN1CCS[C@@H](C)CC1 ZINC000277060800 180316143 /nfs/dbraw/zinc/31/61/43/180316143.db2.gz QEKBTWFGOYXAEH-VIFPVBQESA-N 0 3 242.413 2.779 20 0 BFADHN Cc1cc([C@@H](C)NCCCC2CC2)nn1C ZINC000309385525 350366365 /nfs/dbraw/zinc/36/63/65/350366365.db2.gz DZYUZYOOACZYIO-LLVKDONJSA-N 0 3 221.348 2.569 20 0 BFADHN Cc1ncsc1CN1CCC(C)(C)C1 ZINC000093339942 180317066 /nfs/dbraw/zinc/31/70/66/180317066.db2.gz WYNGPUMOZPZMEE-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN Cc1ncsc1CCN[C@H](C)c1cccnc1 ZINC000050284808 180310808 /nfs/dbraw/zinc/31/08/08/180310808.db2.gz LGMYGFNFWAEUCE-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN C/C(=C\c1ccccc1)CN(C)[C@H]1CCOC1 ZINC000132120790 191100694 /nfs/dbraw/zinc/10/06/94/191100694.db2.gz QQTVGBYKBSLLCD-VOMSXAGXSA-N 0 3 231.339 2.811 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ncccc1CC ZINC000427875894 191098576 /nfs/dbraw/zinc/09/85/76/191098576.db2.gz KVZWQRIJIGVSQV-RYUDHWBXSA-N 0 3 236.359 2.720 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1ccnc(C)c1)OC ZINC000623536816 362955805 /nfs/dbraw/zinc/95/58/05/362955805.db2.gz CPSFCWYMJAZBCD-BXUZGUMPSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1ncsc1CN1CCSCC[C@@H]1C ZINC000191817231 180317291 /nfs/dbraw/zinc/31/72/91/180317291.db2.gz NELJVFVCVBPHLF-VIFPVBQESA-N 0 3 242.413 2.779 20 0 BFADHN Cc1ncsc1CN1C[C@H](C)C[C@@H](C)C1 ZINC000158033484 180317782 /nfs/dbraw/zinc/31/77/82/180317782.db2.gz NQMZORTVNGUFGG-NXEZZACHSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1ncsc1CNCC1(C(F)F)CC1 ZINC000336664819 180320341 /nfs/dbraw/zinc/32/03/41/180320341.db2.gz CYXBSTONUHLDEK-UHFFFAOYSA-N 0 3 232.299 2.586 20 0 BFADHN Cc1ncsc1CN[C@@H]1CC12CCCC2 ZINC000309185191 180322297 /nfs/dbraw/zinc/32/22/97/180322297.db2.gz OPKSKXZOVDWGDZ-LLVKDONJSA-N 0 3 222.357 2.874 20 0 BFADHN Cc1ncsc1CN[C@H]1CC12CCCC2 ZINC000309185195 180323298 /nfs/dbraw/zinc/32/32/98/180323298.db2.gz OPKSKXZOVDWGDZ-NSHDSACASA-N 0 3 222.357 2.874 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccc(C)o2)CCO1 ZINC000069890923 350437883 /nfs/dbraw/zinc/43/78/83/350437883.db2.gz HICFAFCWYODSHF-NEPJUHHUSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1csc([C@@H](NC(C)C)C2CC2)n1 ZINC000041061635 350409216 /nfs/dbraw/zinc/40/92/16/350409216.db2.gz CQMMRPBTWQWINL-JTQLQIEISA-N 0 3 210.346 2.901 20 0 BFADHN Cc1nc(CN[C@@H]2CS[C@H](C)C2)sc1C ZINC000397856569 350412632 /nfs/dbraw/zinc/41/26/32/350412632.db2.gz WUKAELDMDJKMOL-XCBNKYQSSA-N 0 3 242.413 2.744 20 0 BFADHN CC(C)C[C@H](C)NCc1cn2ccsc2n1 ZINC000132301524 191102406 /nfs/dbraw/zinc/10/24/06/191102406.db2.gz JRKXIYZBBCLWCK-JTQLQIEISA-N 0 3 237.372 2.920 20 0 BFADHN Cc1ccc(CN[C@H](C)c2cnn(C)c2C)s1 ZINC000035274534 358456370 /nfs/dbraw/zinc/45/63/70/358456370.db2.gz CNCDIUQHIQQPJF-SNVBAGLBSA-N 0 3 249.383 2.949 20 0 BFADHN CCN(Cc1ncc(C)cn1)[C@H](C)C(C)C ZINC000336667814 350427954 /nfs/dbraw/zinc/42/79/54/350427954.db2.gz GYBZECPLNJWOKF-GFCCVEGCSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCCC(C)(C)C1 ZINC000112702626 180339056 /nfs/dbraw/zinc/33/90/56/180339056.db2.gz RGMXATSUWFIEBG-UHFFFAOYSA-N 0 3 235.375 2.659 20 0 BFADHN Cc1nn(C)cc1CN1CC=C(C(C)(C)C)CC1 ZINC000271233924 180372442 /nfs/dbraw/zinc/37/24/42/180372442.db2.gz AMYHITVTTNJKIC-UHFFFAOYSA-N 0 3 247.386 2.907 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1cc(C)n(C)n1 ZINC000336719355 350474386 /nfs/dbraw/zinc/47/43/86/350474386.db2.gz ZJNLAVKONOGOQZ-CQSZACIVSA-N 0 3 235.375 2.883 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1cccc(C)c1F ZINC000384699370 350476758 /nfs/dbraw/zinc/47/67/58/350476758.db2.gz YVGFPXBWZBWVGF-CHWSQXEVSA-N 0 3 237.318 2.791 20 0 BFADHN COc1ccc2c(c1)[C@@H](NC1CC(C)C1)CCO2 ZINC000163398309 350517570 /nfs/dbraw/zinc/51/75/70/350517570.db2.gz YAQHMTPXERVSPO-MGULZYLOSA-N 0 3 247.338 2.907 20 0 BFADHN Cc1nc2c(s1)[C@H](N[C@@H]1CC13CC3)CCC2 ZINC000335276649 538094213 /nfs/dbraw/zinc/09/42/13/538094213.db2.gz HHKOFBMNBDGTNR-GHMZBOCLSA-N 0 3 234.368 2.971 20 0 BFADHN C[C@H](O)C[C@@H]1CCCCN1CCC(F)(F)F ZINC000163087224 350503234 /nfs/dbraw/zinc/50/32/34/350503234.db2.gz KGXGKMIJSWFALR-UWVGGRQHSA-N 0 3 239.281 2.564 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H](C)C1CCC1 ZINC000219204586 490559914 /nfs/dbraw/zinc/55/99/14/490559914.db2.gz TTYLJZQULORWOM-GXSJLCMTSA-N 0 3 219.332 2.624 20 0 BFADHN Cc1ncc(CNCC[C@@H]2CCCCO2)s1 ZINC000134672208 191107969 /nfs/dbraw/zinc/10/79/69/191107969.db2.gz YMHKZXGGYGQMPS-NSHDSACASA-N 0 3 240.372 2.500 20 0 BFADHN Cc1csc([C@H](C)N[C@@H](C)CC(C)(C)O)n1 ZINC000384808933 350543839 /nfs/dbraw/zinc/54/38/39/350543839.db2.gz CEZYCGBGORIJBF-WPRPVWTQSA-N 0 3 242.388 2.652 20 0 BFADHN Clc1ccc(CNC2CCCC2)nc1 ZINC000070624941 350554478 /nfs/dbraw/zinc/55/44/78/350554478.db2.gz XNEUYOHSWYJQKQ-UHFFFAOYSA-N 0 3 210.708 2.767 20 0 BFADHN CO[C@H](c1ccccc1)[C@H](C)N[C@H]1CO[C@@H](C)C1 ZINC000577513100 365975952 /nfs/dbraw/zinc/97/59/52/365975952.db2.gz VUSARDLXCRNBFP-VIRABCJISA-N 0 3 249.354 2.530 20 0 BFADHN Cc1cc(CNCC(C)(C)OCC2CC2)ccn1 ZINC000623549857 362969854 /nfs/dbraw/zinc/96/98/54/362969854.db2.gz RNPBOJAOJHNUQV-UHFFFAOYSA-N 0 3 248.370 2.685 20 0 BFADHN CO[C@H](CNCc1ccnc(C)c1)CC(C)C ZINC000623551705 362972464 /nfs/dbraw/zinc/97/24/64/362972464.db2.gz DMGLLDSNKWEPLI-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN CC1(C)CCN(C[C@H](O)c2ccccc2F)C1 ZINC000231700348 350633372 /nfs/dbraw/zinc/63/33/72/350633372.db2.gz VXPBNFHLLWAMSS-ZDUSSCGKSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@@H]1C[C@@H]1NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000216412584 350704886 /nfs/dbraw/zinc/70/48/86/350704886.db2.gz WHHLOWZRRVYFKJ-SPFNVWMYSA-N 0 3 205.301 2.901 20 0 BFADHN CCCC[C@H](CC)CN1CC[C@@](F)(CO)C1 ZINC000338630595 350698703 /nfs/dbraw/zinc/69/87/03/350698703.db2.gz KTIWQPXMDFBFNK-STQMWFEESA-N 0 3 231.355 2.609 20 0 BFADHN Cc1ncc(CNC[C@H]2CCCC(F)(F)C2)o1 ZINC000397980744 362976299 /nfs/dbraw/zinc/97/62/99/362976299.db2.gz MJMYAXPZXDNBNH-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN Cc1nc(CNCCCC2CC2)cs1 ZINC000124967521 350725353 /nfs/dbraw/zinc/72/53/53/350725353.db2.gz TZINBFASITUQKT-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN CCN(Cc1cnc(C)nc1)C1CCCCC1 ZINC000339071688 350730700 /nfs/dbraw/zinc/73/07/00/350730700.db2.gz KQVABZAVJVDFSU-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN CCOc1ccc2c(c1)[C@H](NC(C)C)CCO2 ZINC000035654066 358458088 /nfs/dbraw/zinc/45/80/88/358458088.db2.gz TYVGGDHVDFRVGK-CYBMUJFWSA-N 0 3 235.327 2.907 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCC(F)(F)C1 ZINC000293320857 180507974 /nfs/dbraw/zinc/50/79/74/180507974.db2.gz QXORMAGTNYQDNO-SECBINFHSA-N 0 3 230.258 2.508 20 0 BFADHN CCN(Cc1cc2ccccc2o1)[C@@H](C)CO ZINC000339627430 350769568 /nfs/dbraw/zinc/76/95/68/350769568.db2.gz NXKYBSXNYAMCQH-NSHDSACASA-N 0 3 233.311 2.636 20 0 BFADHN Cc1nocc1CN[C@H]1C[C@H]1Cc1ccccc1 ZINC000293660019 180510222 /nfs/dbraw/zinc/51/02/22/180510222.db2.gz TXNXIOIHEQDODE-HIFRSBDPSA-N 0 3 242.322 2.704 20 0 BFADHN c1n[nH]cc1[C@@H]1CCCN1Cc1ccccc1 ZINC000616607851 350801908 /nfs/dbraw/zinc/80/19/08/350801908.db2.gz RXPVOEDUQHHDSM-AWEZNQCLSA-N 0 3 227.311 2.747 20 0 BFADHN C[C@@H]1C[C@H](NCc2cnc(C3CC3)o2)CS1 ZINC000628311124 350810176 /nfs/dbraw/zinc/81/01/76/350810176.db2.gz ZLGZTOBNDUSNJL-SCZZXKLOSA-N 0 3 238.356 2.536 20 0 BFADHN Cc1ncc(CN2CC(C)(C)C[C@@H]2C)o1 ZINC000628312233 350813024 /nfs/dbraw/zinc/81/30/24/350813024.db2.gz UJEKJAQERHNWJP-VIFPVBQESA-N 0 3 208.305 2.603 20 0 BFADHN Cc1nocc1CNC[C@H]1CCC[C@@H]1C ZINC000339387336 180507602 /nfs/dbraw/zinc/50/76/02/180507602.db2.gz HYSPVMSUARCDTR-GXSJLCMTSA-N 0 3 208.305 2.509 20 0 BFADHN COCCCN(Cc1ccc(C)o1)C1CC1 ZINC000051967673 350831967 /nfs/dbraw/zinc/83/19/67/350831967.db2.gz XCKVYKDPKNTJSA-UHFFFAOYSA-N 0 3 223.316 2.589 20 0 BFADHN CCN1CCC[C@H]1CN[C@H](C)c1ccc(C)o1 ZINC000248534402 350862417 /nfs/dbraw/zinc/86/24/17/350862417.db2.gz VMPVVXDHJRASRX-OLZOCXBDSA-N 0 3 236.359 2.723 20 0 BFADHN CC(C)CN1CCOC[C@H]1CC1CCC1 ZINC000339770597 350828512 /nfs/dbraw/zinc/82/85/12/350828512.db2.gz DLNPLGYOYVSFER-CYBMUJFWSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1ncccc1CNC[C@@H]1CCCC1(F)F ZINC000390894333 259417111 /nfs/dbraw/zinc/41/71/11/259417111.db2.gz QKJQDMOWCFWLEM-LBPRGKRZSA-N 0 3 240.297 2.915 20 0 BFADHN CCCN(C)Cc1ccccc1OCC ZINC000052164287 350940419 /nfs/dbraw/zinc/94/04/19/350940419.db2.gz FVWHAEHEGTVJSN-UHFFFAOYSA-N 0 3 207.317 2.927 20 0 BFADHN CCOCCN(CC)Cc1ccc(F)c(F)c1 ZINC000052131572 350936796 /nfs/dbraw/zinc/93/67/96/350936796.db2.gz JAMOKKOUUWTTBV-UHFFFAOYSA-N 0 3 243.297 2.823 20 0 BFADHN Cc1cc(C)cc(CN(C)C[C@H]2CCCO2)c1 ZINC000052102560 350937279 /nfs/dbraw/zinc/93/72/79/350937279.db2.gz NVBUXFVPILICQA-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1ccc(C)c(CN(C)C[C@H]2CCCO2)c1 ZINC000052102597 350937377 /nfs/dbraw/zinc/93/73/77/350937377.db2.gz ZJDFQFNPNVNSPW-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN C/C(=C/c1ccccc1)CN1CC[C@@](C)(O)C1 ZINC000255040241 350959880 /nfs/dbraw/zinc/95/98/80/350959880.db2.gz DRYVXYAIATVCJW-VSKPTYQZSA-N 0 3 231.339 2.547 20 0 BFADHN Cc1ncc(CN[C@H]2CC23CCCCC3)cn1 ZINC000623567669 362996051 /nfs/dbraw/zinc/99/60/51/362996051.db2.gz ADZCTNITBDGMSK-ZDUSSCGKSA-N 0 3 231.343 2.597 20 0 BFADHN Cc1ncc(CN[C@@H]2CC23CCCCC3)cn1 ZINC000623567668 362996446 /nfs/dbraw/zinc/99/64/46/362996446.db2.gz ADZCTNITBDGMSK-CYBMUJFWSA-N 0 3 231.343 2.597 20 0 BFADHN c1nc2n(c1CN[C@H]1CC13CCCCC3)CCC2 ZINC000623568944 362996509 /nfs/dbraw/zinc/99/65/09/362996509.db2.gz PCHKGBWETRXONU-ZDUSSCGKSA-N 0 3 245.370 2.642 20 0 BFADHN Cc1cc(CNC[C@]2(C)CC2(F)F)ccn1 ZINC000623570537 362999884 /nfs/dbraw/zinc/99/98/84/362999884.db2.gz WYPQWTVOARYZRW-NSHDSACASA-N 0 3 226.270 2.525 20 0 BFADHN C[C@]1(CNCc2ccncc2Cl)CC1(F)F ZINC000623569936 363000099 /nfs/dbraw/zinc/00/00/99/363000099.db2.gz ATMJPDWWSPDJBH-SNVBAGLBSA-N 0 3 246.688 2.870 20 0 BFADHN Cc1cccn2cc(CN3C[C@H](C)C[C@H]3C)nc12 ZINC000607154979 351031378 /nfs/dbraw/zinc/03/13/78/351031378.db2.gz KFXPYJONNVGKEA-DGCLKSJQSA-N 0 3 243.354 2.873 20 0 BFADHN Cc1nocc1CN[C@@H](C)[C@H]1CC1(C)C ZINC000398051981 362994205 /nfs/dbraw/zinc/99/42/05/362994205.db2.gz GCQBBLZAYCKTTA-GXSJLCMTSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1nocc1CN[C@H](C)[C@@H]1CC1(C)C ZINC000398051983 362994539 /nfs/dbraw/zinc/99/45/39/362994539.db2.gz GCQBBLZAYCKTTA-KOLCDFICSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1nocc1CN[C@H](C)[C@H]1CC1(C)C ZINC000398051984 362994706 /nfs/dbraw/zinc/99/47/06/362994706.db2.gz GCQBBLZAYCKTTA-MWLCHTKSSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1cc(CNC[C@@H]2C(C)(C)C2(F)F)ccn1 ZINC000623573103 363003647 /nfs/dbraw/zinc/00/36/47/363003647.db2.gz XXTXNEZIWVMIMS-LLVKDONJSA-N 0 3 240.297 2.771 20 0 BFADHN CCc1cnc(CN[C@H]2CSC[C@H]2C)s1 ZINC000336716039 351113799 /nfs/dbraw/zinc/11/37/99/351113799.db2.gz WXCFOYDUABOJSU-SCZZXKLOSA-N 0 3 242.413 2.547 20 0 BFADHN FC1(F)C[C@H]1CCNCc1ccncc1Cl ZINC000623575868 363005891 /nfs/dbraw/zinc/00/58/91/363005891.db2.gz TTYVUUFRBYMFBU-SECBINFHSA-N 0 3 246.688 2.870 20 0 BFADHN CCN1CCN([C@H](C)c2ccc(F)cc2)CC1 ZINC000052804355 351071913 /nfs/dbraw/zinc/07/19/13/351071913.db2.gz ZYTUVTWPEMKGJE-GFCCVEGCSA-N 0 3 236.334 2.524 20 0 BFADHN C[C@@H](CNCc1cnc(C2CC2)o1)CC(F)F ZINC000628321695 351183709 /nfs/dbraw/zinc/18/37/09/351183709.db2.gz XMSYREUBRYHRPH-MRVPVSSYSA-N 0 3 244.285 2.933 20 0 BFADHN CC[C@@H](O)CN(Cc1ccccc1F)C(C)C ZINC000264437194 351237245 /nfs/dbraw/zinc/23/72/45/351237245.db2.gz LSPBNHQLXQNKJY-CYBMUJFWSA-N 0 3 239.334 2.807 20 0 BFADHN CC(C)(F)CCNCc1cnc(C2CC2)o1 ZINC000628339668 351283807 /nfs/dbraw/zinc/28/38/07/351283807.db2.gz SVRAJEASNWWZRO-UHFFFAOYSA-N 0 3 226.295 2.780 20 0 BFADHN Cc1ncc(CN2CCC[C@H](CCF)C2)o1 ZINC000628340088 351283971 /nfs/dbraw/zinc/28/39/71/351283971.db2.gz FFWIREQEJBAODI-LLVKDONJSA-N 0 3 226.295 2.555 20 0 BFADHN FC1(F)C[C@H]1CCNCc1cnc(C2CC2)o1 ZINC000628361908 351334529 /nfs/dbraw/zinc/33/45/29/351334529.db2.gz XQCITPMDNWPUSZ-SECBINFHSA-N 0 3 242.269 2.687 20 0 BFADHN CC(C)=CCN(Cc1ccccc1)C[C@@H](C)O ZINC000607359673 351335481 /nfs/dbraw/zinc/33/54/81/351335481.db2.gz DNNUDANDFCFGSH-CQSZACIVSA-N 0 3 233.355 2.836 20 0 BFADHN Cn1nc2c(c1CN[C@@]1(C)CC1(C)C)CCCC2 ZINC000631176315 351317843 /nfs/dbraw/zinc/31/78/43/351317843.db2.gz AAYDXZBBGCQXAZ-HNNXBMFYSA-N 0 3 247.386 2.577 20 0 BFADHN CCC(C)(C)N(C)Cc1nnc(-c2ccco2)o1 ZINC000072633235 351328191 /nfs/dbraw/zinc/32/81/91/351328191.db2.gz VDBGIPMGGPUTQA-UHFFFAOYSA-N 0 3 249.314 2.950 20 0 BFADHN Cc1cc(CNCCCc2ccccc2F)on1 ZINC000631126573 351372167 /nfs/dbraw/zinc/37/21/67/351372167.db2.gz SOAWSNKDWIPMTL-UHFFFAOYSA-N 0 3 248.301 2.845 20 0 BFADHN CCN(Cc1scnc1C)CC(C)C ZINC000271076662 351399891 /nfs/dbraw/zinc/39/98/91/351399891.db2.gz ONIUWSAWFQBUSO-UHFFFAOYSA-N 0 3 212.362 2.929 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@@H](C)C2)on1 ZINC000380062822 351338500 /nfs/dbraw/zinc/33/85/00/351338500.db2.gz HGKLOMPGTGATJI-MWLCHTKSSA-N 0 3 208.305 2.509 20 0 BFADHN CC(C)COCCN1CCS[C@H](C(C)C)C1 ZINC000336666051 191134519 /nfs/dbraw/zinc/13/45/19/191134519.db2.gz KJOJDNPJPUFWRY-ZDUSSCGKSA-N 0 3 245.432 2.732 20 0 BFADHN CCN(Cc1ccc(Cl)cc1)[C@@H]1CCOC1 ZINC000271234431 351410077 /nfs/dbraw/zinc/41/00/77/351410077.db2.gz BXAWQWOAAMAONK-CYBMUJFWSA-N 0 3 239.746 2.951 20 0 BFADHN CCC[C@@H](CNCc1ccoc1C)OCC ZINC000623599850 363038627 /nfs/dbraw/zinc/03/86/27/363038627.db2.gz SXDVRLHROQPMML-ZDUSSCGKSA-N 0 3 225.332 2.883 20 0 BFADHN Clc1sccc1CNC[C@@H]1CCCO1 ZINC000308747679 180604235 /nfs/dbraw/zinc/60/42/35/180604235.db2.gz SEUNVVWLRJASDD-VIFPVBQESA-N 0 3 231.748 2.670 20 0 BFADHN Clc1cnccc1CNCC1CC=CC1 ZINC000623612682 363051542 /nfs/dbraw/zinc/05/15/42/363051542.db2.gz FXTUYKURYPTCJF-UHFFFAOYSA-N 0 3 222.719 2.791 20 0 BFADHN Cc1ccc(F)c(CNCCC[C@H](C)CO)c1 ZINC000230159166 191138630 /nfs/dbraw/zinc/13/86/30/191138630.db2.gz SADNDXJVCZVUHX-LBPRGKRZSA-N 0 3 239.334 2.632 20 0 BFADHN CCc1nc(CN[C@H]2CC[C@@H]2CC)cs1 ZINC000336731577 351622791 /nfs/dbraw/zinc/62/27/91/351622791.db2.gz KUXBDRNUMIMEQF-ONGXEEELSA-N 0 3 224.373 2.984 20 0 BFADHN Cc1nsc(C)c1CN1C[C@H](C)C[C@@H]1C ZINC000577646475 366030617 /nfs/dbraw/zinc/03/06/17/366030617.db2.gz LXDCMEKJQXOBRT-BDAKNGLRSA-N 0 3 224.373 2.990 20 0 BFADHN C[C@@H]1CCCCN1Cc1c2c(nn1C)CCCC2 ZINC000637837001 351690304 /nfs/dbraw/zinc/69/03/04/351690304.db2.gz XRJAEYYOVVQECG-GFCCVEGCSA-N 0 3 247.386 2.673 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@H](C)c1cnc(C)s1 ZINC000252725628 538100930 /nfs/dbraw/zinc/10/09/30/538100930.db2.gz WRLZLAVNQJLTQF-NGZCFLSTSA-N 0 3 242.388 2.509 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@H]1NCc1ncccn1 ZINC000577748239 366052316 /nfs/dbraw/zinc/05/23/16/366052316.db2.gz QZXYIWWPIOBRRU-JHJVBQTASA-N 0 3 233.359 2.781 20 0 BFADHN CCCN(Cc1cc(C(=O)OC)co1)C(C)C ZINC000577766294 366057759 /nfs/dbraw/zinc/05/77/59/366057759.db2.gz YXWIBJIOTVSHAV-UHFFFAOYSA-N 0 3 239.315 2.687 20 0 BFADHN CC[C@H]1CCCCN1C(=O)[C@@H](N)CCC(C)C ZINC000637869209 351729834 /nfs/dbraw/zinc/72/98/34/351729834.db2.gz UFQVSAQPZSHINV-STQMWFEESA-N 0 3 240.391 2.541 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1cccc2c1CCCC2 ZINC000637875893 351740409 /nfs/dbraw/zinc/74/04/09/351740409.db2.gz XWQLTPRQYGRNLL-NSHDSACASA-N 0 3 246.354 2.502 20 0 BFADHN C[C@H](C(=O)Nc1ccccc1CN(C)C)C1CC1 ZINC000577809712 366065399 /nfs/dbraw/zinc/06/53/99/366065399.db2.gz WUASNLBSCXMAIB-NSHDSACASA-N 0 3 246.354 2.733 20 0 BFADHN Cc1cc(CN(C)C2CCCC2)sn1 ZINC000637879024 351745579 /nfs/dbraw/zinc/74/55/79/351745579.db2.gz QZRQNTVCVPAMAL-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN CCCN(Cc1cc(C)ns1)C1CC1 ZINC000637906457 351776081 /nfs/dbraw/zinc/77/60/81/351776081.db2.gz VMVVAYQJSFWHPC-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN CCN(Cc1cc(C)ns1)CC(C)C ZINC000637909457 351779529 /nfs/dbraw/zinc/77/95/29/351779529.db2.gz FAFJXMAVSMJIEW-UHFFFAOYSA-N 0 3 212.362 2.929 20 0 BFADHN CCN(Cc1c2c(nn1C)CCCC2)CC(C)C ZINC000637911439 351785236 /nfs/dbraw/zinc/78/52/36/351785236.db2.gz WQARALPXGYXTMH-UHFFFAOYSA-N 0 3 249.402 2.777 20 0 BFADHN CCC[C@@H](C)N(C)[C@H](c1nccn1C)C1CC1 ZINC000637912366 351785677 /nfs/dbraw/zinc/78/56/77/351785677.db2.gz ULNBILBZWAYPCL-YPMHNXCESA-N 0 3 235.375 2.992 20 0 BFADHN CC[C@H](C)N(C)Cc1ccnn1CC1CCC1 ZINC000637910227 351786780 /nfs/dbraw/zinc/78/67/80/351786780.db2.gz ATYSJUCZUHMBQU-LBPRGKRZSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1cc(C)c(/C=C/CN2CC[C@H](O)C2)cc1C ZINC000637920571 351795196 /nfs/dbraw/zinc/79/51/96/351795196.db2.gz UQTJJSKXLBYLOY-APHBUQMISA-N 0 3 245.366 2.692 20 0 BFADHN COc1cncc(CN(C)[C@H](C)C2CC2)c1C ZINC000637921376 351796043 /nfs/dbraw/zinc/79/60/43/351796043.db2.gz XJUCMEPFRPOQPU-LLVKDONJSA-N 0 3 234.343 2.629 20 0 BFADHN CC(C)CN([C@H](c1nccn1C)C1CC1)C1CC1 ZINC000637926846 351802011 /nfs/dbraw/zinc/80/20/11/351802011.db2.gz GREJYPSZCURXEM-AWEZNQCLSA-N 0 3 247.386 2.992 20 0 BFADHN COc1cccc(OC)c1CN1C2CCC1CC2 ZINC000637884846 351754042 /nfs/dbraw/zinc/75/40/42/351754042.db2.gz HJHKPICVQHMLNF-UHFFFAOYSA-N 0 3 247.338 2.831 20 0 BFADHN CCCN(C)Cc1ccc(C(F)F)nc1 ZINC000637891327 351763190 /nfs/dbraw/zinc/76/31/90/351763190.db2.gz HESLFVNMWQIHLQ-UHFFFAOYSA-N 0 3 214.259 2.861 20 0 BFADHN Cc1scc(CN(C)C[C@H]2CCCO2)c1C ZINC000637893697 351765196 /nfs/dbraw/zinc/76/51/96/351765196.db2.gz KCQOUHKSDYVYRJ-CYBMUJFWSA-N 0 3 239.384 2.976 20 0 BFADHN CC(C)[C@H](C)N(C)[C@@H](c1nccn1C)C1CC1 ZINC000637901840 351771075 /nfs/dbraw/zinc/77/10/75/351771075.db2.gz HUMYAAXHDXTIQS-WCQYABFASA-N 0 3 235.375 2.848 20 0 BFADHN CCCN(Cc1cncc(OC)c1C)C1CC1 ZINC000637903513 351772867 /nfs/dbraw/zinc/77/28/67/351772867.db2.gz CCEOKDFXVMSTDW-UHFFFAOYSA-N 0 3 234.343 2.773 20 0 BFADHN CC1(C)CN([C@H]2CCc3ccc(F)cc32)C[C@@H]1O ZINC000279708077 351821251 /nfs/dbraw/zinc/82/12/51/351821251.db2.gz HRWQZRZXVJNZNW-KBPBESRZSA-N 0 3 249.329 2.516 20 0 BFADHN CC[C@](C)(CN1CCc2ccc(O)cc2C1)OC ZINC000637946910 351822620 /nfs/dbraw/zinc/82/26/20/351822620.db2.gz NMAHHLCLBAFQTO-OAHLLOKOSA-N 0 3 249.354 2.565 20 0 BFADHN Cc1scc(CN2CCCOCC2)c1C ZINC000637952964 351828981 /nfs/dbraw/zinc/82/89/81/351828981.db2.gz YCFKBNTYRJGRSQ-UHFFFAOYSA-N 0 3 225.357 2.587 20 0 BFADHN CCN[C@H](C)C(=O)N1CC[C@H](C)c2ccccc21 ZINC000637933439 351810558 /nfs/dbraw/zinc/81/05/58/351810558.db2.gz MRACQGOUSDKZAG-NWDGAFQWSA-N 0 3 246.354 2.525 20 0 BFADHN CC[C@H](C)[C@@H](CN(C)Cc1ccoc1)OC ZINC000637964988 351839096 /nfs/dbraw/zinc/83/90/96/351839096.db2.gz FAALYTQNYJVGTE-WCQYABFASA-N 0 3 225.332 2.773 20 0 BFADHN C[C@H]1CCN(Cc2ccnn2CC2CCC2)C1 ZINC000637971215 351843549 /nfs/dbraw/zinc/84/35/49/351843549.db2.gz RIEBJYRFQFGMEF-LBPRGKRZSA-N 0 3 233.359 2.525 20 0 BFADHN Cc1occc1CN(C)CCC[C@H]1CCO1 ZINC000637971442 351844087 /nfs/dbraw/zinc/84/40/87/351844087.db2.gz VLPXQKFJWHVAGW-ZDUSSCGKSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1occc1CN(C)CCC[C@@H]1CCO1 ZINC000637971440 351844204 /nfs/dbraw/zinc/84/42/04/351844204.db2.gz VLPXQKFJWHVAGW-CYBMUJFWSA-N 0 3 223.316 2.589 20 0 BFADHN CO[C@@](C)(CN1Cc2ccccc2C1)C1CC1 ZINC000638036777 351919261 /nfs/dbraw/zinc/91/92/61/351919261.db2.gz MVWFVGVGBSTQJH-HNNXBMFYSA-N 0 3 231.339 2.817 20 0 BFADHN COc1cncc(CN2CCC(C)(C)C2)c1C ZINC000638070276 351941706 /nfs/dbraw/zinc/94/17/06/351941706.db2.gz XUPYCMVDOKEZQZ-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN CCN(CCC[C@H]1CCO1)Cc1ccoc1 ZINC000638016780 351894440 /nfs/dbraw/zinc/89/44/40/351894440.db2.gz YMCQNCSUSJCBKP-ZDUSSCGKSA-N 0 3 223.316 2.671 20 0 BFADHN COc1ncc(CN2CCC[C@@H]2C2CC2)s1 ZINC000638028102 351907498 /nfs/dbraw/zinc/90/74/98/351907498.db2.gz FXMOCQXXCJBQRC-LLVKDONJSA-N 0 3 238.356 2.526 20 0 BFADHN CCN(CC1CC1)[C@@H](C)c1cnc(C)nc1C ZINC000638075136 351946871 /nfs/dbraw/zinc/94/68/71/351946871.db2.gz GLMYKZPHQGVTLI-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN Cc1ccc(CN2CC[C@]3(CCOC3)C2)c(F)c1 ZINC000638145865 352008279 /nfs/dbraw/zinc/00/82/79/352008279.db2.gz MAJUQRZAPGWLTE-HNNXBMFYSA-N 0 3 249.329 2.747 20 0 BFADHN COc1ncc(CN2CCCCC[C@H]2C)s1 ZINC000638077540 351951098 /nfs/dbraw/zinc/95/10/98/351951098.db2.gz UHJURPHVCGPGIW-SNVBAGLBSA-N 0 3 240.372 2.916 20 0 BFADHN CC(C)C1(CN2CCN(C3CCC3)CC2)CC1 ZINC000638078256 351951839 /nfs/dbraw/zinc/95/18/39/351951839.db2.gz HBBRBRIIZKOIIU-UHFFFAOYSA-N 0 3 236.403 2.593 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCOC2)c(F)c1 ZINC000638150073 352011311 /nfs/dbraw/zinc/01/13/11/352011311.db2.gz TXLYRKPINZSBFA-GFCCVEGCSA-N 0 3 237.318 2.602 20 0 BFADHN COc1cncc(CN2C[C@H]3CCC[C@@H]3C2)c1C ZINC000638087099 351964624 /nfs/dbraw/zinc/96/46/24/351964624.db2.gz MZJLEZFSLNHEKC-CHWSQXEVSA-N 0 3 246.354 2.631 20 0 BFADHN CC[C@](C)(CN1CCc2ccsc2C1)OC ZINC000638087846 351964762 /nfs/dbraw/zinc/96/47/62/351964762.db2.gz GVIDAHMUBBSYTD-CYBMUJFWSA-N 0 3 239.384 2.921 20 0 BFADHN COc1cncc(CN2CCC3(CCC3)C2)c1C ZINC000638089555 351966187 /nfs/dbraw/zinc/96/61/87/351966187.db2.gz WGCLNZRLFZIFTI-UHFFFAOYSA-N 0 3 246.354 2.775 20 0 BFADHN Cc1scc(CN2CCC(C)(O)CC2)c1C ZINC000638091748 351970225 /nfs/dbraw/zinc/97/02/25/351970225.db2.gz XZLXCWQZMPTZEO-UHFFFAOYSA-N 0 3 239.384 2.712 20 0 BFADHN Cc1cc(CN2CC[C@H](C)[C@H](C)C2)sn1 ZINC000638094027 351973826 /nfs/dbraw/zinc/97/38/26/351973826.db2.gz XKZIBSFRJBGDOM-VHSXEESVSA-N 0 3 224.373 2.929 20 0 BFADHN CCN(Cc1cccc(F)c1N)C1CCC1 ZINC000638102408 351981829 /nfs/dbraw/zinc/98/18/29/351981829.db2.gz UNDQZOWTJHXRJJ-UHFFFAOYSA-N 0 3 222.307 2.782 20 0 BFADHN C[C@@H]1C[C@H](C)N([C@@H](c2nccn2C)C2CC2)C1 ZINC000638108836 351985653 /nfs/dbraw/zinc/98/56/53/351985653.db2.gz BERIDZFLEPKNLJ-NTZNESFSSA-N 0 3 233.359 2.602 20 0 BFADHN Cc1cc(CN2C[C@@H](C)C[C@H]2C)sn1 ZINC000638110717 351987121 /nfs/dbraw/zinc/98/71/21/351987121.db2.gz OXAMJZHIFOQUJY-WCBMZHEXSA-N 0 3 210.346 2.682 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1c2c(nn1C)CCCC2 ZINC000638111568 351989147 /nfs/dbraw/zinc/98/91/47/351989147.db2.gz VFXUCQPWYNPRHA-NWDGAFQWSA-N 0 3 247.386 2.529 20 0 BFADHN Cc1ncc([C@H](C)N2C[C@H](C)C[C@@H]2C)c(C)n1 ZINC000638112354 351989590 /nfs/dbraw/zinc/98/95/90/351989590.db2.gz YFGXPQJKKOBXOF-SCVCMEIPSA-N 0 3 233.359 2.885 20 0 BFADHN CC1(C)CC[C@@H]1N[C@H](CO)c1ccc(F)cc1 ZINC000284417105 351997363 /nfs/dbraw/zinc/99/73/63/351997363.db2.gz QNERMHIFTKAXCV-OLZOCXBDSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccns2)C1 ZINC000638134464 352002494 /nfs/dbraw/zinc/00/24/94/352002494.db2.gz NFAWXXXIVKEABZ-LLVKDONJSA-N 0 3 210.346 2.765 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CC[C@@H](C2CC2)C1 ZINC000638134629 352002978 /nfs/dbraw/zinc/00/29/78/352002978.db2.gz PAILVDFVRKVLEX-KGLIPLIRSA-N 0 3 245.370 2.603 20 0 BFADHN Cc1cc(CN(C)CCC2CC2)sn1 ZINC000638183631 352050766 /nfs/dbraw/zinc/05/07/66/352050766.db2.gz VOLXOTBZVZLSKA-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN Cc1ncc([C@H](C)N2CC[C@H](C)[C@H]2C)c(C)n1 ZINC000638161913 352026392 /nfs/dbraw/zinc/02/63/92/352026392.db2.gz BDWAGSLUZBYASN-WCQGTBRESA-N 0 3 233.359 2.885 20 0 BFADHN CC[C@H]1CCN(Cc2c3c(nn2C)CCCC3)C1 ZINC000638168943 352032923 /nfs/dbraw/zinc/03/29/23/352032923.db2.gz AUFIJLKMGJVSAH-LBPRGKRZSA-N 0 3 247.386 2.531 20 0 BFADHN COc1cncc(CN2[C@@H](C)CC[C@@H]2C)c1C ZINC000638170188 352034779 /nfs/dbraw/zinc/03/47/79/352034779.db2.gz DRNNMQMIWALWAT-QWRGUYRKSA-N 0 3 234.343 2.771 20 0 BFADHN CC[C@H]1CCN(Cc2ccnn2CC2CCC2)C1 ZINC000638170552 352035062 /nfs/dbraw/zinc/03/50/62/352035062.db2.gz KPLNZRLXLONKQQ-ZDUSSCGKSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cccc(F)c1N ZINC000638173397 352037297 /nfs/dbraw/zinc/03/72/97/352037297.db2.gz WZIOAEGOXVXXTB-AOOOYVTPSA-N 0 3 222.307 2.781 20 0 BFADHN CC[C@H](C)NCc1cc(OC)c(O)cc1Cl ZINC000638290406 352126401 /nfs/dbraw/zinc/12/64/01/352126401.db2.gz SFGNUMBGPKDQNO-QMMMGPOBSA-N 0 3 243.734 2.942 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1ccc2nccnc2c1 ZINC000638276647 352113930 /nfs/dbraw/zinc/11/39/30/352113930.db2.gz UTBKCAGBZYFIJF-HNNXBMFYSA-N 0 3 241.338 2.860 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1nc2ccccc2o1 ZINC000638362265 352174481 /nfs/dbraw/zinc/17/44/81/352174481.db2.gz DAUVUNFURIPJAO-VHSXEESVSA-N 0 3 216.284 2.573 20 0 BFADHN CCN[C@@H](C)C(=O)NCC[C@@H](C)CC(C)(C)C ZINC000638322045 352144601 /nfs/dbraw/zinc/14/46/01/352144601.db2.gz TYBXORJJZVVUER-NEPJUHHUSA-N 0 3 242.407 2.563 20 0 BFADHN CCN(Cc1ccncc1)C[C@H]1CCC=CO1 ZINC000289736691 352196601 /nfs/dbraw/zinc/19/66/01/352196601.db2.gz IEYJCYGHEHWTQO-CQSZACIVSA-N 0 3 232.327 2.596 20 0 BFADHN CC[C@@H](CC(C)C)C(=O)NC(C)(C)CN(C)C ZINC000411094921 191158806 /nfs/dbraw/zinc/15/88/06/191158806.db2.gz GXGMJHMGGKORAN-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN CC[C@H](CC(C)C)C(=O)NC(C)(C)CN(C)C ZINC000411094909 191158960 /nfs/dbraw/zinc/15/89/60/191158960.db2.gz GXGMJHMGGKORAN-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@@H](c1ccco1)N(C)CCC1(O)CCC1 ZINC000411116571 191160961 /nfs/dbraw/zinc/16/09/61/191160961.db2.gz YLWWTUGNNMDLSQ-NSHDSACASA-N 0 3 223.316 2.578 20 0 BFADHN CC(C)(C)CNCc1cc(C2CC2)no1 ZINC000638420925 352230091 /nfs/dbraw/zinc/23/00/91/352230091.db2.gz QQRIBDUOZLKOIC-UHFFFAOYSA-N 0 3 208.305 2.688 20 0 BFADHN C[C@H](NC[C@](C)(O)C(F)(F)F)c1ccccc1 ZINC000218946063 363132668 /nfs/dbraw/zinc/13/26/68/363132668.db2.gz IZUWLJSYSMKFDK-ONGXEEELSA-N 0 3 247.260 2.651 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccn1)[C@H]1CC1(F)F ZINC000638424658 352231996 /nfs/dbraw/zinc/23/19/96/352231996.db2.gz DPOFKNWXYRGYML-KXUCPTDWSA-N 0 3 226.270 2.776 20 0 BFADHN C[C@H](c1ccco1)N(C)CCC1(O)CCC1 ZINC000411116570 191162422 /nfs/dbraw/zinc/16/24/22/191162422.db2.gz YLWWTUGNNMDLSQ-LLVKDONJSA-N 0 3 223.316 2.578 20 0 BFADHN CCN(Cc1ccc(C)s1)C[C@H](C)OC ZINC000291095582 352260386 /nfs/dbraw/zinc/26/03/86/352260386.db2.gz QHPWHBCKHGMBSO-JTQLQIEISA-N 0 3 227.373 2.913 20 0 BFADHN CCN(Cc1cc(OC)ccn1)CC(C)(C)C ZINC000291148816 352262942 /nfs/dbraw/zinc/26/29/42/352262942.db2.gz DNRFEOPRQXEYDK-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN Cn1ccc(CNC(C)(C)CC(C)(C)C)n1 ZINC000112340382 180697958 /nfs/dbraw/zinc/69/79/58/180697958.db2.gz RKGWOACPVBTGQU-UHFFFAOYSA-N 0 3 223.364 2.725 20 0 BFADHN CCCCCNC(=O)[C@H](C)N(CCC)CCC ZINC000411164136 191166510 /nfs/dbraw/zinc/16/65/10/191166510.db2.gz GVQOURAZJIBBPZ-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN CCCN(Cc1cn[nH]n1)CC1CCCCC1 ZINC000411186145 191167900 /nfs/dbraw/zinc/16/79/00/191167900.db2.gz JCSCGEACNVIJGM-UHFFFAOYSA-N 0 3 236.363 2.597 20 0 BFADHN C[C@@H]1CN([C@H]2C[C@H]2c2cccc(F)c2)CCCO1 ZINC000411220234 191173181 /nfs/dbraw/zinc/17/31/81/191173181.db2.gz RTTXOKVXSCXNOM-UGFHNGPFSA-N 0 3 249.329 2.792 20 0 BFADHN CCC[C@H](CC)NCc1ncnn1C(C)(C)C ZINC000337386598 187671009 /nfs/dbraw/zinc/67/10/09/187671009.db2.gz DLXGRGOFXWARDK-NSHDSACASA-N 0 3 238.379 2.701 20 0 BFADHN Cc1nnc([C@H](C)N2CC[C@@H](C)C[C@H](C)C2)[nH]1 ZINC000411396149 191181160 /nfs/dbraw/zinc/18/11/60/191181160.db2.gz JCUPJYXCQRHNHS-VWYCJHECSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@H](C)[N@@H+]2CC[C@@H](C)C[C@H](C)C2)[n-]1 ZINC000411396149 191181162 /nfs/dbraw/zinc/18/11/62/191181162.db2.gz JCUPJYXCQRHNHS-VWYCJHECSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@H](C)[N@H+]2CC[C@@H](C)C[C@H](C)C2)[n-]1 ZINC000411396149 191181165 /nfs/dbraw/zinc/18/11/65/191181165.db2.gz JCUPJYXCQRHNHS-VWYCJHECSA-N 0 3 236.363 2.542 20 0 BFADHN C[C@@H]1CCCN(C/C=C/c2ccccc2)[C@H]1CO ZINC000411399206 191181305 /nfs/dbraw/zinc/18/13/05/191181305.db2.gz QPMFSENKFNHVGT-DGNXDGCUSA-N 0 3 245.366 2.793 20 0 BFADHN Cc1nnc([C@H](C)N2CC[C@H](C)C[C@H](C)C2)[nH]1 ZINC000411396147 191181469 /nfs/dbraw/zinc/18/14/69/191181469.db2.gz JCUPJYXCQRHNHS-DCAQKATOSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@H](C)[N@@H+]2CC[C@H](C)C[C@H](C)C2)[n-]1 ZINC000411396147 191181471 /nfs/dbraw/zinc/18/14/71/191181471.db2.gz JCUPJYXCQRHNHS-DCAQKATOSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@H](C)[N@H+]2CC[C@H](C)C[C@H](C)C2)[n-]1 ZINC000411396147 191181474 /nfs/dbraw/zinc/18/14/74/191181474.db2.gz JCUPJYXCQRHNHS-DCAQKATOSA-N 0 3 236.363 2.542 20 0 BFADHN CCN(Cc1cc(Cl)cs1)[C@@H](C)CO ZINC000293714572 352359584 /nfs/dbraw/zinc/35/95/84/352359584.db2.gz SRYKDTPRLFVRGG-QMMMGPOBSA-N 0 3 233.764 2.604 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(F)c(OC)c1 ZINC000152999208 191183456 /nfs/dbraw/zinc/18/34/56/191183456.db2.gz PQXVUMGDKAZLGS-JTQLQIEISA-N 0 3 241.306 2.521 20 0 BFADHN C[C@@H]1CCC[C@H](CO)N1C/C=C/c1ccccc1 ZINC000411402862 191183871 /nfs/dbraw/zinc/18/38/71/191183871.db2.gz VCLQEJJTVMYKEW-YHOLHYGNSA-N 0 3 245.366 2.935 20 0 BFADHN CCN(Cc1ccc(C(C)=O)cc1)C1CC1 ZINC000296263823 352441144 /nfs/dbraw/zinc/44/11/44/352441144.db2.gz GLNQVNUTIIIILH-UHFFFAOYSA-N 0 3 217.312 2.874 20 0 BFADHN OC1(CCN2CCC=C(c3ccco3)C2)CCC1 ZINC000411723717 191206636 /nfs/dbraw/zinc/20/66/36/191206636.db2.gz SXLOLSDKVDXOFJ-UHFFFAOYSA-N 0 3 247.338 2.674 20 0 BFADHN Cc1ccc([C@@H](C)NCCc2cncs2)o1 ZINC000411537806 191192825 /nfs/dbraw/zinc/19/28/25/191192825.db2.gz SOEOPFASSRIKFI-SNVBAGLBSA-N 0 3 236.340 2.938 20 0 BFADHN CC(C)=CCN[C@H](c1cccnc1)C(C)(C)CO ZINC000293558115 187698132 /nfs/dbraw/zinc/69/81/32/187698132.db2.gz FUFRUHFSZDYCHI-CQSZACIVSA-N 0 3 248.370 2.697 20 0 BFADHN CCCCCNC(=O)CN1[C@@H](C)CCC1(C)C ZINC000411801920 191213137 /nfs/dbraw/zinc/21/31/37/191213137.db2.gz CWVMHGOSGUFWSX-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H](C)[C@H]1CC1(F)F ZINC000638638955 352482435 /nfs/dbraw/zinc/48/24/35/352482435.db2.gz LVTFBOUWWQLJJP-MHYGZLNHSA-N 0 3 244.285 2.986 20 0 BFADHN COC[C@H](NCc1ccc(F)c(F)c1)C(C)C ZINC000086683102 352497510 /nfs/dbraw/zinc/49/75/10/352497510.db2.gz HQCXGEATBHSWGC-ZDUSSCGKSA-N 0 3 243.297 2.725 20 0 BFADHN CC[C@H](COC)NCc1c(C)cccc1OC ZINC000638671089 352512946 /nfs/dbraw/zinc/51/29/46/352512946.db2.gz VDFDNGBLENBSET-GFCCVEGCSA-N 0 3 237.343 2.518 20 0 BFADHN CC(C)CN(C)C[C@H](O)c1ccc(F)cc1F ZINC000299332458 352528371 /nfs/dbraw/zinc/52/83/71/352528371.db2.gz KWFQRLVGWRSDSV-ZDUSSCGKSA-N 0 3 243.297 2.586 20 0 BFADHN CC(C)CN(C)[C@H](C)C(=O)N(C(C)C)C(C)C ZINC000340677354 352607262 /nfs/dbraw/zinc/60/72/62/352607262.db2.gz WEBMKALCEUURDO-CYBMUJFWSA-N 0 3 242.407 2.608 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2ccncc2)sn1 ZINC000638718017 352564872 /nfs/dbraw/zinc/56/48/72/352564872.db2.gz KCTLJABMJORPRW-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN CCC1(N[C@@H](c2nccn2C)C2CC2)CCC1 ZINC000638723795 352574740 /nfs/dbraw/zinc/57/47/40/352574740.db2.gz ZFMCUHFUUKKRQW-GFCCVEGCSA-N 0 3 233.359 2.794 20 0 BFADHN Cc1ccc(CN(C)C2CCC2)c(C)n1 ZINC000335789704 133907971 /nfs/dbraw/zinc/90/79/71/133907971.db2.gz VDEJPHQXIGFAEQ-UHFFFAOYSA-N 0 3 204.317 2.683 20 0 BFADHN CC[C@@H](C)CN1CCO[C@H](C2CCC2)C1 ZINC000411878203 191225474 /nfs/dbraw/zinc/22/54/74/191225474.db2.gz SFEJTAKWMAOHOF-YPMHNXCESA-N 0 3 211.349 2.533 20 0 BFADHN CCCCCN1CCO[C@H](C2CCC2)C1 ZINC000411875688 191226037 /nfs/dbraw/zinc/22/60/37/191226037.db2.gz OYFCNMBHNOGWHI-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN C(C1CCCC1)N1CCO[C@H](C2CCC2)C1 ZINC000411872207 191226721 /nfs/dbraw/zinc/22/67/21/191226721.db2.gz JFAQOXLYXMPPCF-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN CCC(C)(C)CCN1CCCc2c(cnn2C)C1 ZINC000411851586 191220211 /nfs/dbraw/zinc/22/02/11/191220211.db2.gz XSBWTTZBUWGBCI-UHFFFAOYSA-N 0 3 249.402 2.995 20 0 BFADHN CC(C)C[C@H](C)N1CCO[C@H](C2CCC2)C1 ZINC000411858595 191222217 /nfs/dbraw/zinc/22/22/17/191222217.db2.gz YACLBRKCMRCTIG-JSGCOSHPSA-N 0 3 225.376 2.922 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1cncc(C)c1)C1CC1 ZINC000411956704 191233875 /nfs/dbraw/zinc/23/38/75/191233875.db2.gz AFZQOMOSVAUQKC-WFASDCNBSA-N 0 3 248.370 2.683 20 0 BFADHN COC(=O)CCCN[C@@H](C)c1cc(C)oc1C ZINC000037417190 358472484 /nfs/dbraw/zinc/47/24/84/358472484.db2.gz SLWKFEYLMFFTOS-JTQLQIEISA-N 0 3 239.315 2.500 20 0 BFADHN COC1([C@H](C)NCc2ccccc2F)CCC1 ZINC000412020538 191238229 /nfs/dbraw/zinc/23/82/29/191238229.db2.gz LIADZNLPYIWOIM-NSHDSACASA-N 0 3 237.318 2.873 20 0 BFADHN CCc1noc(CC)c1CNC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000638767841 352708206 /nfs/dbraw/zinc/70/82/06/352708206.db2.gz QZUVIUFTWSRHBV-ZSBIGDGJSA-N 0 3 248.370 2.935 20 0 BFADHN CCN(Cc1occc1C)C[C@@](C)(O)CC ZINC000412027997 191239425 /nfs/dbraw/zinc/23/94/25/191239425.db2.gz REKWAZLWNYDJFY-ZDUSSCGKSA-N 0 3 225.332 2.571 20 0 BFADHN CCN(Cc1nc2cccnc2s1)C(C)C ZINC000341591916 352714070 /nfs/dbraw/zinc/71/40/70/352714070.db2.gz XQCQWCCGMQUIBE-UHFFFAOYSA-N 0 3 235.356 2.922 20 0 BFADHN CCN(CCn1ccnc1C)Cc1occc1C ZINC000412027362 191240512 /nfs/dbraw/zinc/24/05/12/191240512.db2.gz PBMMJHBGIQUVQE-UHFFFAOYSA-N 0 3 247.342 2.615 20 0 BFADHN CCN(Cc1nc2cccnc2s1)CC1CC1 ZINC000341623304 352715506 /nfs/dbraw/zinc/71/55/06/352715506.db2.gz SFMSWJHXJJRCMH-UHFFFAOYSA-N 0 3 247.367 2.923 20 0 BFADHN CCN(Cc1occc1C)CC1(O)CCCC1 ZINC000412031438 191242324 /nfs/dbraw/zinc/24/23/24/191242324.db2.gz XYRCHMRYAIUGRI-UHFFFAOYSA-N 0 3 237.343 2.715 20 0 BFADHN Fc1cccnc1CNC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000638778287 352727945 /nfs/dbraw/zinc/72/79/45/352727945.db2.gz DKJZEULXUOZRDO-GRYCIOLGSA-N 0 3 234.318 2.747 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CO[C@@H](C)C2)s1 ZINC000401857950 352731376 /nfs/dbraw/zinc/73/13/76/352731376.db2.gz ZTYKZAZXUCZOCE-LSJOCFKGSA-N 0 3 225.357 2.885 20 0 BFADHN C[C@H]1CC[C@@]2(CCN(Cc3ccc(CO)o3)C2)C1 ZINC000334182500 352770531 /nfs/dbraw/zinc/77/05/31/352770531.db2.gz FYCVIIWLJLKPJO-SWLSCSKDSA-N 0 3 249.354 2.784 20 0 BFADHN COc1cccc(CN2CC(C)(C)C[C@@H]2C)n1 ZINC000412038076 191248388 /nfs/dbraw/zinc/24/83/88/191248388.db2.gz IIOQMBAJIMGSEK-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@H](CN1CCc2n[nH]cc2C1)c1ccccc1 ZINC000334217279 352817698 /nfs/dbraw/zinc/81/76/98/352817698.db2.gz SBWYJVGAUSEORR-LBPRGKRZSA-N 0 3 241.338 2.572 20 0 BFADHN Cc1ccc(CN2CCC23CCC3)nc1 ZINC000334218786 352820285 /nfs/dbraw/zinc/82/02/85/352820285.db2.gz UATOECIKBNXQOL-UHFFFAOYSA-N 0 3 202.301 2.518 20 0 BFADHN Cc1cc(CN2CC[C@]3(CC[C@H](C)C3)C2)on1 ZINC000334219092 352821046 /nfs/dbraw/zinc/82/10/46/352821046.db2.gz FLDQGUHXVBSHNM-FZMZJTMJSA-N 0 3 234.343 2.995 20 0 BFADHN Fc1cccc2c1CN(C[C@H]1CCCOC1)CC2 ZINC000334195101 352787738 /nfs/dbraw/zinc/78/77/38/352787738.db2.gz KGDNQOADXWJIGK-GFCCVEGCSA-N 0 3 249.329 2.610 20 0 BFADHN COc1ccncc1CN1CC(C)(C)C[C@H]1C ZINC000412044660 191251785 /nfs/dbraw/zinc/25/17/85/191251785.db2.gz PAVSXWNWCNPRMI-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1nocc1CN1C[C@H](C)CC(C)(C)C1 ZINC000334205073 352799427 /nfs/dbraw/zinc/79/94/27/352799427.db2.gz TWSIHMBIAIYSIH-SNVBAGLBSA-N 0 3 222.332 2.851 20 0 BFADHN C[C@@H]1[C@@H](CO)CCCN1C/C=C\c1ccccc1 ZINC000412085621 191254856 /nfs/dbraw/zinc/25/48/56/191254856.db2.gz OIFKCEGSDCGIJB-WWWALOEZSA-N 0 3 245.366 2.793 20 0 BFADHN CCC[C@@H](NCCC1(O)CCC1)c1ccccn1 ZINC000342814137 352862963 /nfs/dbraw/zinc/86/29/63/352862963.db2.gz WBVFIHNPQANKBX-CYBMUJFWSA-N 0 3 248.370 2.818 20 0 BFADHN COc1cc(CN2CCCCC[C@H]2C)ccn1 ZINC000342856592 352877892 /nfs/dbraw/zinc/87/78/92/352877892.db2.gz FIQJYNBADQSYQD-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1ccn2c(CN3CC[C@@H]3C(C)C)cnc2c1 ZINC000342859099 352878173 /nfs/dbraw/zinc/87/81/73/352878173.db2.gz DTCCJFWIOCIACQ-CQSZACIVSA-N 0 3 243.354 2.873 20 0 BFADHN COc1cc(CN(C)[C@H](C)C2(C)CC2)ccn1 ZINC000342858785 352878557 /nfs/dbraw/zinc/87/85/57/352878557.db2.gz CLIBOHQNRSRZJK-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ccc(F)c(CN2CCC[C@@]23CCOC3)c1 ZINC000342857645 352877204 /nfs/dbraw/zinc/87/72/04/352877204.db2.gz DMFOZQUHRVWDRW-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN Fc1ccccc1[C@@H]1CCN(C[C@@H]2CCCO2)C1 ZINC000334272219 352909256 /nfs/dbraw/zinc/90/92/56/352909256.db2.gz IHUVUKZAYVZJAM-OLZOCXBDSA-N 0 3 249.329 2.794 20 0 BFADHN Cc1ccc(CN2CCCO[C@@H](C(C)C)C2)cn1 ZINC000334278913 352912582 /nfs/dbraw/zinc/91/25/82/352912582.db2.gz PPUIWBRBWRQXDY-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1ccc(CN2CCCO[C@H](C(C)C)C2)cn1 ZINC000334278912 352912886 /nfs/dbraw/zinc/91/28/86/352912886.db2.gz PPUIWBRBWRQXDY-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN CCC[C@@H](C)CN1CCN(C2CCC2)CC1 ZINC000342917366 352917623 /nfs/dbraw/zinc/91/76/23/352917623.db2.gz NEERRZMLQKPYBW-CYBMUJFWSA-N 0 3 224.392 2.593 20 0 BFADHN Cc1ccc(CN2CCC[C@H]2[C@@H]2CCCO2)cn1 ZINC000334282139 352922657 /nfs/dbraw/zinc/92/26/57/352922657.db2.gz TUGFOUWWKKOLTP-GJZGRUSLSA-N 0 3 246.354 2.533 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]3CCCC[C@H]32)cn1 ZINC000334289284 352928492 /nfs/dbraw/zinc/92/84/92/352928492.db2.gz JVTOETLDYLRWCQ-LSDHHAIUSA-N 0 3 245.370 2.940 20 0 BFADHN CCCn1cc(CN2CC[C@@H]2C(C)C)cn1 ZINC000342863054 352880519 /nfs/dbraw/zinc/88/05/19/352880519.db2.gz VVBFEUCMTHDYSF-CYBMUJFWSA-N 0 3 221.348 2.523 20 0 BFADHN COc1cc(CN([C@@H](C)C2CC2)C2CC2)ccn1 ZINC000342865237 352881462 /nfs/dbraw/zinc/88/14/62/352881462.db2.gz SYAIHYXBCAZUPL-NSHDSACASA-N 0 3 246.354 2.853 20 0 BFADHN CC(=O)Nc1ccccc1CN1CC[C@H]1C(C)C ZINC000342867401 352882673 /nfs/dbraw/zinc/88/26/73/352882673.db2.gz QULPUYNWUYUPIW-HNNXBMFYSA-N 0 3 246.354 2.875 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCCC[C@H]2C)on1 ZINC000334252360 352888635 /nfs/dbraw/zinc/88/86/35/352888635.db2.gz BPNKIEPHRUKCMY-MFKMUULPSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(Cl)cn2)CS1 ZINC000638836904 353021921 /nfs/dbraw/zinc/02/19/21/353021921.db2.gz LXWUSVCOENELPR-KWQFWETISA-N 0 3 242.775 2.719 20 0 BFADHN c1cnc2cccc(CN3CC=CCC3)c2c1 ZINC000638913981 353072814 /nfs/dbraw/zinc/07/28/14/353072814.db2.gz HWGXTMXZVNWCTL-UHFFFAOYSA-N 0 3 224.307 2.997 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC1CC(C)C1 ZINC000231788309 538107426 /nfs/dbraw/zinc/10/74/26/538107426.db2.gz XSKCQXPPQUSLOF-KAIZJQOQSA-N 0 3 208.305 2.741 20 0 BFADHN CC(C)C[C@H]1OCCC[C@H]1NCc1ccncc1 ZINC000185195322 188000288 /nfs/dbraw/zinc/00/02/88/188000288.db2.gz KVIPTTVMEAKPSN-HUUCEWRRSA-N 0 3 248.370 2.765 20 0 BFADHN CC(C)C[C@H](CO)N(C)Cc1cccc(F)c1 ZINC000185317462 188000487 /nfs/dbraw/zinc/00/04/87/188000487.db2.gz JRIYODQYLBLYMK-CQSZACIVSA-N 0 3 239.334 2.665 20 0 BFADHN CCCc1cccc(CN2CCC(C)(O)CC2)c1 ZINC000186995868 188001276 /nfs/dbraw/zinc/00/12/76/188001276.db2.gz CVHMFGQSTZXYNQ-UHFFFAOYSA-N 0 3 247.382 2.986 20 0 BFADHN C/C(=C/c1ccccc1)CNCc1cnn(C)c1 ZINC000102424139 187977837 /nfs/dbraw/zinc/97/78/37/187977837.db2.gz JLAQAWRKGLUCET-JYRVWZFOSA-N 0 3 241.338 2.613 20 0 BFADHN Cc1ccc(CN2CCC[C@]3(CCCOC3)C2)o1 ZINC000334414400 353097503 /nfs/dbraw/zinc/09/75/03/353097503.db2.gz MMHNSUVSWGOOTK-HNNXBMFYSA-N 0 3 249.354 2.981 20 0 BFADHN CC(C)Cn1cc(CN2C[C@@H](C)C[C@H]2C)cn1 ZINC000341141529 188043485 /nfs/dbraw/zinc/04/34/85/188043485.db2.gz RZIGZTYMJFRJEA-QWHCGFSZSA-N 0 3 235.375 2.769 20 0 BFADHN CC(C)C[C@H](C)CN1CCOC[C@H]1C1CC1 ZINC000337170419 188013782 /nfs/dbraw/zinc/01/37/82/188013782.db2.gz CTXCAIWBYKYORW-JSGCOSHPSA-N 0 3 225.376 2.779 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C[C@H](C)C2)nc1 ZINC000338275374 188016583 /nfs/dbraw/zinc/01/65/83/188016583.db2.gz LPUTYDJKUQZRCQ-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN C/C(=C\c1ccccc1)CNCc1c[nH]nc1C ZINC000263903407 188020051 /nfs/dbraw/zinc/02/00/51/188020051.db2.gz XRQMOOHOQJWFSU-XYOKQWHBSA-N 0 3 241.338 2.911 20 0 BFADHN Cc1cc(C)cc([C@@H](O)CNCc2ccco2)c1 ZINC000266435695 188022238 /nfs/dbraw/zinc/02/22/38/188022238.db2.gz LQKYOSYFNHSAEK-HNNXBMFYSA-N 0 3 245.322 2.720 20 0 BFADHN Cc1ccc(C(C)(C)NCC2=CCCOC2)cn1 ZINC000353185725 188028501 /nfs/dbraw/zinc/02/85/01/188028501.db2.gz ORLZOPVYMDAKOU-UHFFFAOYSA-N 0 3 246.354 2.561 20 0 BFADHN CC(C)N(C)c1ccc(CN2CC[C@@H](C)C2)cn1 ZINC000276789763 188030006 /nfs/dbraw/zinc/03/00/06/188030006.db2.gz SMZFJCMRBILWES-CYBMUJFWSA-N 0 3 247.386 2.768 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cc(F)ccc1C ZINC000278409039 188030234 /nfs/dbraw/zinc/03/02/34/188030234.db2.gz RMLYMAMBOKUBAZ-NSHDSACASA-N 0 3 225.307 2.601 20 0 BFADHN Fc1ccccc1[C@@H]1CCN(Cc2cnc[nH]2)C1 ZINC000280439304 188031749 /nfs/dbraw/zinc/03/17/49/188031749.db2.gz JYRDRSDLICAADH-LLVKDONJSA-N 0 3 245.301 2.538 20 0 BFADHN CC(C)(NCC1=CCCOC1)c1ccccc1F ZINC000291820100 188036626 /nfs/dbraw/zinc/03/66/26/188036626.db2.gz ZCWXKUQAZYRXTK-UHFFFAOYSA-N 0 3 249.329 2.997 20 0 BFADHN Cc1ncc(CNCCCC2CCCC2)cn1 ZINC000340423740 188037372 /nfs/dbraw/zinc/03/73/72/188037372.db2.gz NLKYLCUCKLWSKD-UHFFFAOYSA-N 0 3 233.359 2.845 20 0 BFADHN C=Cn1cc(CN(C)[C@H](C)CCSC)cn1 ZINC000193984861 188005099 /nfs/dbraw/zinc/00/50/99/188005099.db2.gz HZUPIRHCERWDAI-LLVKDONJSA-N 0 3 239.388 2.557 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1ccc(Cl)cc1 ZINC000185830008 188050641 /nfs/dbraw/zinc/05/06/41/188050641.db2.gz YFYFPRWSDFUCGX-IBUXWKBASA-N 0 3 239.746 2.757 20 0 BFADHN C(=C/c1ccncc1)\CN1CCCCC1 ZINC000336312714 188055193 /nfs/dbraw/zinc/05/51/93/188055193.db2.gz ZSXLZHJTLJIHNB-SNAWJCMRSA-N 0 3 202.301 2.581 20 0 BFADHN CC(C)[C@H](NCCC(C)(C)C)c1nncn1C ZINC000352468647 188055757 /nfs/dbraw/zinc/05/57/57/188055757.db2.gz UFPSPQBUSIKHFY-NSHDSACASA-N 0 3 238.379 2.538 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1cccc(CC)c1 ZINC000037619277 358475625 /nfs/dbraw/zinc/47/56/25/358475625.db2.gz CNRKEZHJBOMTJW-CYBMUJFWSA-N 0 3 234.343 2.705 20 0 BFADHN CCC[C@H](N)C(=O)NC1CCC(CCC)CC1 ZINC000049547611 353167985 /nfs/dbraw/zinc/16/79/85/353167985.db2.gz KUTTYFUWOIGKPA-BPCQOVAHSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)CCC(C)C)n1 ZINC000132712802 538110781 /nfs/dbraw/zinc/11/07/81/538110781.db2.gz DFCZOSFOMSECDP-UHFFFAOYSA-N 0 3 222.332 2.574 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)CCC(C)C)n1 ZINC000132712802 538110782 /nfs/dbraw/zinc/11/07/82/538110782.db2.gz DFCZOSFOMSECDP-UHFFFAOYSA-N 0 3 222.332 2.574 20 0 BFADHN Cc1ccoc1CNCCc1cccc(C)n1 ZINC000280626509 538110993 /nfs/dbraw/zinc/11/09/93/538110993.db2.gz QBTHFTUGYLWZAC-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CCCCN(C)C(=O)c1ccc(CN(C)C)cc1 ZINC000078808905 535210617 /nfs/dbraw/zinc/21/06/17/535210617.db2.gz WNPWBFUONVQEDW-UHFFFAOYSA-N 0 3 248.370 2.620 20 0 BFADHN CCCCO[C@@H]1C[C@@H](NCCF)C1(C)C ZINC000336765738 353261965 /nfs/dbraw/zinc/26/19/65/353261965.db2.gz RDGLYEYJDQFRNB-GHMZBOCLSA-N 0 3 217.328 2.529 20 0 BFADHN COC1CC(N(C)c2ccnc3ccccc32)C1 ZINC000343262401 353268188 /nfs/dbraw/zinc/26/81/88/353268188.db2.gz HIJINLKGMFQQFG-UHFFFAOYSA-N 0 3 242.322 2.848 20 0 BFADHN CC(C)C1CC(NCc2ncccc2N(C)C)C1 ZINC000353606282 188235041 /nfs/dbraw/zinc/23/50/41/188235041.db2.gz DITHYWRLWQJBDZ-UHFFFAOYSA-N 0 3 247.386 2.672 20 0 BFADHN CCCc1cccc(CN2CC[C@H]2COC)c1 ZINC000354056800 188235785 /nfs/dbraw/zinc/23/57/85/188235785.db2.gz NCJWORLLJLFBGA-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN CC(C)[C@@H]1C[C@H](N[C@H]2CCC[C@@H]2F)CS1 ZINC000354652764 188236714 /nfs/dbraw/zinc/23/67/14/188236714.db2.gz KBKCCZLAHYSBJM-BJDJZHNGSA-N 0 3 231.380 2.997 20 0 BFADHN c1ccc(CCCCN2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000359851512 188241764 /nfs/dbraw/zinc/24/17/64/188241764.db2.gz CCYDFPJADNVEBV-IYBDPMFKSA-N 0 3 245.366 2.873 20 0 BFADHN C/C(=C/c1ccccc1)CN(C)Cc1cnc[nH]1 ZINC000361335451 188244090 /nfs/dbraw/zinc/24/40/90/188244090.db2.gz KKKWNLSMEUYVRQ-JYRVWZFOSA-N 0 3 241.338 2.945 20 0 BFADHN CC(C)C[C@H](NCC1(O)CCC1)c1ccccn1 ZINC000361352305 188244645 /nfs/dbraw/zinc/24/46/45/188244645.db2.gz PFZNXYDVIULEQM-AWEZNQCLSA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@@H](NCc1csc(COC)n1)C1CC1 ZINC000289990143 353291975 /nfs/dbraw/zinc/29/19/75/353291975.db2.gz YKKJJLZGCPMPGJ-LLVKDONJSA-N 0 3 240.372 2.568 20 0 BFADHN COc1ncccc1CN[C@@H](C)CCC1CC1 ZINC000186236903 188253672 /nfs/dbraw/zinc/25/36/72/188253672.db2.gz WLPKMAHSPRZNME-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN CC(C)N(Cc1cc(F)cc(F)c1)C[C@H](C)O ZINC000093143315 324036798 /nfs/dbraw/zinc/03/67/98/324036798.db2.gz LHHLPXJZCJBASI-JTQLQIEISA-N 0 3 243.297 2.556 20 0 BFADHN CC[C@@H](O)CNCc1cccc(C(F)(F)F)c1 ZINC000037688433 188255646 /nfs/dbraw/zinc/25/56/46/188255646.db2.gz FGBPPSUTIUZDNH-LLVKDONJSA-N 0 3 247.260 2.566 20 0 BFADHN CCc1cnc(CN[C@@H]2CC[C@@H](F)C2)s1 ZINC000336770060 353295751 /nfs/dbraw/zinc/29/57/51/353295751.db2.gz VIFFKRUNZHMQDF-RKDXNWHRSA-N 0 3 228.336 2.686 20 0 BFADHN COc1ccccc1CNC[C@@](C)(OC)C1CC1 ZINC000291076757 188268349 /nfs/dbraw/zinc/26/83/49/188268349.db2.gz SZEJWNPGJRAWHL-OAHLLOKOSA-N 0 3 249.354 2.600 20 0 BFADHN CC(C)(CO)CCN[C@H]1CCCc2occc21 ZINC000296506228 188269277 /nfs/dbraw/zinc/26/92/77/188269277.db2.gz PHEGJKLFBHRPCM-LBPRGKRZSA-N 0 3 237.343 2.655 20 0 BFADHN CCc1ccccc1NC(=O)C1(N)CCCCC1 ZINC000019519826 188281954 /nfs/dbraw/zinc/28/19/54/188281954.db2.gz UDESQPADVVAYBE-UHFFFAOYSA-N 0 3 246.354 2.849 20 0 BFADHN CC(C)C[C@H](CO)NCc1cc2ccccc2o1 ZINC000040774813 188292923 /nfs/dbraw/zinc/29/29/23/188292923.db2.gz QEAUAAIZKPMQKN-CYBMUJFWSA-N 0 3 247.338 2.929 20 0 BFADHN C[C@@H](NC[C@H]1CCCCO1)c1cccc(O)c1 ZINC000042812562 188294598 /nfs/dbraw/zinc/29/45/98/188294598.db2.gz BQUQFSPQODQGPM-BXUZGUMPSA-N 0 3 235.327 2.612 20 0 BFADHN CCn1nc(CNC(C)C)c2ccccc21 ZINC000070631190 188304809 /nfs/dbraw/zinc/30/48/09/188304809.db2.gz VUAVPFLHPMCDDI-UHFFFAOYSA-N 0 3 217.316 2.554 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1ccns1 ZINC000639046351 353337006 /nfs/dbraw/zinc/33/70/06/353337006.db2.gz CINBJIUHJKZPIK-SSDOTTSWSA-N 0 3 238.278 2.964 20 0 BFADHN CC[C@](C)(CN(C)[C@@H](C)c1ccncc1)OC ZINC000639040282 353316064 /nfs/dbraw/zinc/31/60/64/353316064.db2.gz GVXGAZUWSAMYDM-GXTWGEPZSA-N 0 3 236.359 2.890 20 0 BFADHN CC[C@@H](C)[C@H](CN(C)Cc1ccncc1)OC ZINC000639040345 353316080 /nfs/dbraw/zinc/31/60/80/353316080.db2.gz IHTCBJBOODZHAC-OCCSQVGLSA-N 0 3 236.359 2.575 20 0 BFADHN CO[C@@H](CN(C)Cc1ccncc1)C1CCCC1 ZINC000639040860 353318367 /nfs/dbraw/zinc/31/83/67/353318367.db2.gz NYQBFBOXJSNZMU-HNNXBMFYSA-N 0 3 248.370 2.719 20 0 BFADHN CCC[C@@H](CN(C)[C@@H](C)c1ccncc1)OC ZINC000639040749 353318767 /nfs/dbraw/zinc/31/87/67/353318767.db2.gz NGKRXRGVTVPCOE-JSGCOSHPSA-N 0 3 236.359 2.890 20 0 BFADHN Cc1ccc(CNCC2(C3CC3)CC2)o1 ZINC000125857672 188314618 /nfs/dbraw/zinc/31/46/18/188314618.db2.gz WMLBPURECMKNCO-UHFFFAOYSA-N 0 3 205.301 2.868 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@H]1CC1(C)C ZINC000309761110 188324064 /nfs/dbraw/zinc/32/40/64/188324064.db2.gz MOMDPZHJZDPHDV-LBPRGKRZSA-N 0 3 221.348 2.661 20 0 BFADHN CC(C)(NCCOCC(F)F)c1cccs1 ZINC000336678732 188340445 /nfs/dbraw/zinc/34/04/45/188340445.db2.gz QOMRLAPDQIJMPT-UHFFFAOYSA-N 0 3 249.326 2.855 20 0 BFADHN CC(C)c1ncc(CN[C@@]2(C)CCOC2)s1 ZINC000120197329 188328720 /nfs/dbraw/zinc/32/87/20/188328720.db2.gz NEQDCPRGHRBVOT-LBPRGKRZSA-N 0 3 240.372 2.535 20 0 BFADHN CC(C)N(C)Cc1cc(Br)cn1C ZINC000151637046 188332598 /nfs/dbraw/zinc/33/25/98/188332598.db2.gz AZANXJOBQBBCOG-UHFFFAOYSA-N 0 3 245.164 2.628 20 0 BFADHN CC(C)[C@H](C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000171921295 188338793 /nfs/dbraw/zinc/33/87/93/188338793.db2.gz ULQBQNJMEBWPKF-LBPRGKRZSA-N 0 3 248.370 2.979 20 0 BFADHN Cc1scc(CN2CC[C@@H](O)[C@H](C)C2)c1C ZINC000639073642 353391176 /nfs/dbraw/zinc/39/11/76/353391176.db2.gz GVUJFZBNBZRERX-NOZJJQNGSA-N 0 3 239.384 2.568 20 0 BFADHN CC(C)[C@@](C)(CO)NCc1sccc1Cl ZINC000309067683 188342504 /nfs/dbraw/zinc/34/25/04/188342504.db2.gz AYTVGXXYTVMVHU-LLVKDONJSA-N 0 3 247.791 2.898 20 0 BFADHN CC(C)=CCNCc1ccc(N2CCCC2)nc1 ZINC000178771652 188343284 /nfs/dbraw/zinc/34/32/84/188343284.db2.gz MIZJXRCPEUQURG-UHFFFAOYSA-N 0 3 245.370 2.738 20 0 BFADHN Cc1ccc([C@@H](C)NCC2(C)OCCO2)c(C)c1 ZINC000179565779 188344273 /nfs/dbraw/zinc/34/42/73/188344273.db2.gz JOYRKQMJIBSJHZ-CYBMUJFWSA-N 0 3 249.354 2.717 20 0 BFADHN CSc1ccc(CN[C@]2(C)CCOC2)s1 ZINC000336735850 188344668 /nfs/dbraw/zinc/34/46/68/188344668.db2.gz OSONRYHNWCMPHG-LLVKDONJSA-N 0 3 243.397 2.739 20 0 BFADHN CC(C)C1(CN2CCO[C@@H](C3CC3)C2)CC1 ZINC000639059821 353373079 /nfs/dbraw/zinc/37/30/79/353373079.db2.gz CEMMCTDNIHNIEW-CYBMUJFWSA-N 0 3 223.360 2.533 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1ccccc1Cl ZINC000309972896 188347636 /nfs/dbraw/zinc/34/76/36/188347636.db2.gz QLYJFZQCKYPORG-DLGQBQFBSA-N 0 3 239.746 2.757 20 0 BFADHN C=Cn1cc(CN(CCC)CCC)cn1 ZINC000193592442 188351460 /nfs/dbraw/zinc/35/14/60/188351460.db2.gz KVYVGCUETIOUEV-UHFFFAOYSA-N 0 3 207.321 2.606 20 0 BFADHN C=Cn1cc(CN2CCC[C@@](C)(CC)C2)cn1 ZINC000193971185 188351773 /nfs/dbraw/zinc/35/17/73/188351773.db2.gz UEEAMLREGUZXAY-CQSZACIVSA-N 0 3 233.359 2.996 20 0 BFADHN CC1(C)Cc2occc2[C@H](NCCCCO)C1 ZINC000194226944 188352331 /nfs/dbraw/zinc/35/23/31/188352331.db2.gz HCCBKGVHLYEYQI-GFCCVEGCSA-N 0 3 237.343 2.655 20 0 BFADHN C[C@@H]1C[C@H](c2cccc(F)c2)N(CCCO)C1 ZINC000266581813 188372605 /nfs/dbraw/zinc/37/26/05/188372605.db2.gz IFBFHWDYPXZOQC-BXUZGUMPSA-N 0 3 237.318 2.591 20 0 BFADHN CC(C)(O)CCN1CC[C@H]1c1ccc(F)cc1 ZINC000661509670 538116840 /nfs/dbraw/zinc/11/68/40/538116840.db2.gz RJEJRMOVVWVRNB-ZDUSSCGKSA-N 0 3 237.318 2.734 20 0 BFADHN CC(=O)CCN(CCc1ccc(F)cc1)C1CC1 ZINC000269477022 188375332 /nfs/dbraw/zinc/37/53/32/188375332.db2.gz VUPCZNDXXZLSKE-UHFFFAOYSA-N 0 3 249.329 2.812 20 0 BFADHN Cc1ccc(F)c(CN[C@@H](C)Cn2ccnc2)c1 ZINC000227283663 188358943 /nfs/dbraw/zinc/35/89/43/188358943.db2.gz NAGUJHRHACELTJ-LBPRGKRZSA-N 0 3 247.317 2.509 20 0 BFADHN COc1ccc(CN(C)[C@H]2CCCOC2)cc1C ZINC000336733605 188359240 /nfs/dbraw/zinc/35/92/40/188359240.db2.gz UOARDRVZJHONEO-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CCc1ncc(CN(C)[C@H](C)CC)s1 ZINC000337260418 188362092 /nfs/dbraw/zinc/36/20/92/188362092.db2.gz XCLMOOIRHJCFSJ-SECBINFHSA-N 0 3 212.362 2.936 20 0 BFADHN C[C@H]1CC(CCNCc2ccco2)C[C@H](C)O1 ZINC000338480088 188365953 /nfs/dbraw/zinc/36/59/53/188365953.db2.gz BZXVREVGYZXWJM-RYUDHWBXSA-N 0 3 237.343 2.963 20 0 BFADHN Cc1ccnc(CN(CC(C)C)CC(C)C)n1 ZINC000339656931 188368512 /nfs/dbraw/zinc/36/85/12/188368512.db2.gz IVOQISDBDSRNMX-UHFFFAOYSA-N 0 3 235.375 2.899 20 0 BFADHN C1=C[C@@H](N2CCOC[C@@H]2CC2CCC2)CCC1 ZINC000339772491 188370025 /nfs/dbraw/zinc/37/00/25/188370025.db2.gz OMTNPZJMOONWGC-CABCVRRESA-N 0 3 235.371 2.986 20 0 BFADHN CC(C)(NC[C@H]1CCCO1)c1cccc(F)c1 ZINC000271812424 188376743 /nfs/dbraw/zinc/37/67/43/188376743.db2.gz RCLCTNJXAAARHY-CYBMUJFWSA-N 0 3 237.318 2.829 20 0 BFADHN COc1ccc(CN2C[C@@H](C)CC2(C)C)nc1 ZINC000271924040 188376963 /nfs/dbraw/zinc/37/69/63/188376963.db2.gz KVTRDRLALILRAP-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN CC(C)=CCNCc1ccc2c(c1)ncn2C ZINC000276608132 188380262 /nfs/dbraw/zinc/38/02/62/188380262.db2.gz HWGHIAQZEYXQLC-UHFFFAOYSA-N 0 3 229.327 2.629 20 0 BFADHN C=Cn1cc(CN2CC[C@@H](C)C[C@@H]2C)cn1 ZINC000278274868 188381531 /nfs/dbraw/zinc/38/15/31/188381531.db2.gz SFTSWXPPUPWCNG-NEPJUHHUSA-N 0 3 219.332 2.604 20 0 BFADHN Cc1nc(F)ccc1CN1CC[C@H](C)[C@H]1C ZINC000278431193 188382743 /nfs/dbraw/zinc/38/27/43/188382743.db2.gz KAESPGRDSRFFKL-GXSJLCMTSA-N 0 3 222.307 2.759 20 0 BFADHN c1ccc(C2(NC[C@@H]3CCC=CO3)CC2)cc1 ZINC000278907648 188382980 /nfs/dbraw/zinc/38/29/80/188382980.db2.gz RYEKUNYKVJUVHE-AWEZNQCLSA-N 0 3 229.323 2.958 20 0 BFADHN CC(C)[C@@H]1CC[C@H](NCc2nccn2C)C[C@@H]1C ZINC000340088822 188385057 /nfs/dbraw/zinc/38/50/57/188385057.db2.gz YVPKVBATXSXXPT-IHRRRGAJSA-N 0 3 249.402 2.971 20 0 BFADHN Cc1ccnc([C@@H](C)NCCN2CCCCC2)c1 ZINC000285458818 188386659 /nfs/dbraw/zinc/38/66/59/188386659.db2.gz ADNXGGXERIBLCE-CQSZACIVSA-N 0 3 247.386 2.527 20 0 BFADHN c1cc([C@H]2CCCN2C[C@H]2CCC=CO2)ccn1 ZINC000289725140 188389090 /nfs/dbraw/zinc/38/90/90/188389090.db2.gz HLVBRQWLYMANMA-HUUCEWRRSA-N 0 3 244.338 2.911 20 0 BFADHN CC(C)=CCC[C@H](C)N[C@H](C)c1ccc(=O)[nH]n1 ZINC000296526835 188395058 /nfs/dbraw/zinc/39/50/58/188395058.db2.gz QFZHYUOWQWNNTP-NWDGAFQWSA-N 0 3 249.358 2.555 20 0 BFADHN CC[C@](C)(CN(C)Cc1ccc(C)cn1)OC ZINC000639096541 353486824 /nfs/dbraw/zinc/48/68/24/353486824.db2.gz GVFCJBXDMKVYIM-CQSZACIVSA-N 0 3 236.359 2.637 20 0 BFADHN CC(C)Cn1cc(CN[C@H]2CCC2(C)C)cn1 ZINC000342193977 188398828 /nfs/dbraw/zinc/39/88/28/188398828.db2.gz DHLXJLDXPWCCMR-ZDUSSCGKSA-N 0 3 235.375 2.817 20 0 BFADHN COc1cncc(CN2CC[C@@H]3CCC[C@@H]32)c1C ZINC000639094184 353471392 /nfs/dbraw/zinc/47/13/92/353471392.db2.gz PLLBFMBDTVLHAT-JSGCOSHPSA-N 0 3 246.354 2.773 20 0 BFADHN CC[C@@H](C)[C@H](O)CNCc1csc(C)c1 ZINC000295175485 188393020 /nfs/dbraw/zinc/39/30/20/188393020.db2.gz MACQYYVPYIOTSW-BXKDBHETSA-N 0 3 227.373 2.553 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1cccc(C)c1F ZINC000295339740 188393531 /nfs/dbraw/zinc/39/35/31/188393531.db2.gz HXABPZSLWATPBF-OOPCZODUSA-N 0 3 237.318 2.551 20 0 BFADHN Cc1nocc1CN(C)C1Cc2ccccc2C1 ZINC000295358876 188393924 /nfs/dbraw/zinc/39/39/24/188393924.db2.gz NQKRHBKNNKNUTN-UHFFFAOYSA-N 0 3 242.322 2.582 20 0 BFADHN Fc1ccc(CNCCc2cccnc2)cc1F ZINC000037502600 180968817 /nfs/dbraw/zinc/96/88/17/180968817.db2.gz OGJFMFPBZBJNJD-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN CCn1ccc(CN(C)C[C@@](C)(CC)OC)c1 ZINC000639099448 353505372 /nfs/dbraw/zinc/50/53/72/353505372.db2.gz LBSGJOWZVFJZMH-CQSZACIVSA-N 0 3 238.375 2.755 20 0 BFADHN C[C@H]1CCCC[C@@]1(C)NCc1ccon1 ZINC000334514620 353585243 /nfs/dbraw/zinc/58/52/43/353585243.db2.gz AROINDPFWCCRHF-CMPLNLGQSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@H]1CCCC[C@]1(C)NCc1ccon1 ZINC000334514621 353585361 /nfs/dbraw/zinc/58/53/61/353585361.db2.gz AROINDPFWCCRHF-JQWIXIFHSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H](N[C@H](C)[C@H]1CCCO1)c1cccc(O)c1 ZINC000639103649 353587478 /nfs/dbraw/zinc/58/74/78/353587478.db2.gz LHNQVCQGXFVRHU-JTNHKYCSSA-N 0 3 235.327 2.610 20 0 BFADHN CC1(C)C[C@H]1N[C@@H]1CCCc2cccnc21 ZINC000085789600 491053083 /nfs/dbraw/zinc/05/30/83/491053083.db2.gz LCNMZPXSLVSVIA-VXGBXAGGSA-N 0 3 216.328 2.847 20 0 BFADHN C[C@@H](NC1Cc2ccccc2C1)c1cncs1 ZINC000231035182 353553615 /nfs/dbraw/zinc/55/36/15/353553615.db2.gz YMWRQCUSLKSANJ-SNVBAGLBSA-N 0 3 244.363 2.961 20 0 BFADHN C[C@@H]1SCCN(CCOC(C)(C)C)[C@H]1C ZINC000118417492 353566738 /nfs/dbraw/zinc/56/67/38/353566738.db2.gz NJKHFXIKAFCHNW-QWRGUYRKSA-N 0 3 231.405 2.627 20 0 BFADHN C[C@@H]1c2ccccc2CN1CCCn1ccnc1 ZINC000343313840 353624202 /nfs/dbraw/zinc/62/42/02/353624202.db2.gz KOBLKIZBGJJGIR-CYBMUJFWSA-N 0 3 241.338 2.850 20 0 BFADHN c1cc(CN2CC(C3CCCC3)C2)ccn1 ZINC000334516998 353624207 /nfs/dbraw/zinc/62/42/07/353624207.db2.gz UHOLIYPJXWSRKG-UHFFFAOYSA-N 0 3 216.328 2.704 20 0 BFADHN c1cn(CCCN2CC[C@@H]2c2ccccc2)cn1 ZINC000343313467 353629281 /nfs/dbraw/zinc/62/92/81/353629281.db2.gz IKNDKWUUJKIBQH-OAHLLOKOSA-N 0 3 241.338 2.720 20 0 BFADHN Cc1cnn(C)c1CN(C)[C@H]1CCCC[C@H]1C ZINC000334515525 353610730 /nfs/dbraw/zinc/61/07/30/353610730.db2.gz YUNDEUSILBVBLW-YPMHNXCESA-N 0 3 235.375 2.739 20 0 BFADHN CO[C@H](C)[C@@H](C)Nc1ccnc2ccc(C)cc21 ZINC000577908891 366100891 /nfs/dbraw/zinc/10/08/91/366100891.db2.gz QBXLBMBQLYQWOJ-VXGBXAGGSA-N 0 3 244.338 2.800 20 0 BFADHN CCN(CC)Cc1cc(F)cc2c1OCOC2 ZINC000053402415 363246477 /nfs/dbraw/zinc/24/64/77/363246477.db2.gz PYHAEGVLNOXBME-UHFFFAOYSA-N 0 3 239.290 2.534 20 0 BFADHN Fc1cccc2c1CCN(CC1CCOCC1)C2 ZINC000270260400 181004791 /nfs/dbraw/zinc/00/47/91/181004791.db2.gz ZAAQRWLNEXJLNT-UHFFFAOYSA-N 0 3 249.329 2.610 20 0 BFADHN Fc1cccc([C@H]2C[C@H](NCc3ncc[nH]3)C2)c1 ZINC000280740532 181003604 /nfs/dbraw/zinc/00/36/04/181003604.db2.gz WTVOQYHQZOEIEM-AULYBMBSSA-N 0 3 245.301 2.585 20 0 BFADHN Fc1ccccc1CNCCc1ccncc1 ZINC000037486074 181013017 /nfs/dbraw/zinc/01/30/17/181013017.db2.gz XQANJYZMKIDPQE-UHFFFAOYSA-N 0 3 230.286 2.553 20 0 BFADHN Fc1ccccc1CNCCC1CCOCC1 ZINC000310430316 181013449 /nfs/dbraw/zinc/01/34/49/181013449.db2.gz RLJJDANUBYRNMS-UHFFFAOYSA-N 0 3 237.318 2.732 20 0 BFADHN C/C(Cl)=C/CN1CCC(n2ccnc2)CC1 ZINC000528392324 324218771 /nfs/dbraw/zinc/21/87/71/324218771.db2.gz BZGVWYAKJQXBKV-FUQNDXKWSA-N 0 3 239.750 2.663 20 0 BFADHN Fc1cccc2c1CC[C@H]2N[C@H]1CCCOC1 ZINC000211888478 181007255 /nfs/dbraw/zinc/00/72/55/181007255.db2.gz DIUIFARUEWLXEZ-IINYFYTJSA-N 0 3 235.302 2.582 20 0 BFADHN C[C@@H](NCC1(C(F)F)CC1)c1ccoc1 ZINC000308973618 353772514 /nfs/dbraw/zinc/77/25/14/353772514.db2.gz FYEJCZLDSQKAFY-MRVPVSSYSA-N 0 3 215.243 2.976 20 0 BFADHN CCCC1(CN[C@@H]2CCn3ccnc32)CCC1 ZINC000391841123 353776756 /nfs/dbraw/zinc/77/67/56/353776756.db2.gz DPPSSCUUGOLUPR-GFCCVEGCSA-N 0 3 233.359 2.888 20 0 BFADHN CC1CN(Cc2cc3ccccc3n2C)C1 ZINC000639122538 353777637 /nfs/dbraw/zinc/77/76/37/353777637.db2.gz BWOGIRIDSBYSEE-UHFFFAOYSA-N 0 3 214.312 2.630 20 0 BFADHN COC[C@@H]1CCCN(C/C=C(\C)Cl)CC1 ZINC000528519997 324216027 /nfs/dbraw/zinc/21/60/27/324216027.db2.gz UVVPYSSVBDIFJL-JXDHDYMSSA-N 0 3 231.767 2.878 20 0 BFADHN Cc1cnc(CN(C)[C@@H]2CCCC[C@@H]2C)n1C ZINC000343330561 353742215 /nfs/dbraw/zinc/74/22/15/353742215.db2.gz BAAFJENRXFBXFA-WCQYABFASA-N 0 3 235.375 2.739 20 0 BFADHN C[C@@H](NCCCNc1ccccn1)c1ccoc1 ZINC000178343989 366116167 /nfs/dbraw/zinc/11/61/67/366116167.db2.gz IUXBFNQYCVHVKV-GFCCVEGCSA-N 0 3 245.326 2.827 20 0 BFADHN CO[C@@](C)(CN(C)Cc1cnccc1C)C1CC1 ZINC000639125370 353817307 /nfs/dbraw/zinc/81/73/07/353817307.db2.gz SQAUJKRATLDRFJ-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN Fc1cncc(CN2CCC[C@@H]3CCC[C@H]32)c1 ZINC000274397090 181019136 /nfs/dbraw/zinc/01/91/36/181019136.db2.gz XCGVLGMIUCYAQI-GXTWGEPZSA-N 0 3 234.318 2.985 20 0 BFADHN Fc1cncc(CN2CCC[C@H]3CCC[C@H]32)c1 ZINC000274397098 181019434 /nfs/dbraw/zinc/01/94/34/181019434.db2.gz XCGVLGMIUCYAQI-TZMCWYRMSA-N 0 3 234.318 2.985 20 0 BFADHN CCN(CC1CCC1)[C@H](c1nccn1C)C1CC1 ZINC000639127762 353839576 /nfs/dbraw/zinc/83/95/76/353839576.db2.gz RLLHIQFKDDBDQQ-AWEZNQCLSA-N 0 3 247.386 2.993 20 0 BFADHN COC(=O)c1coc([C@H](C)N[C@H]2CC[C@H]2C)c1 ZINC000353832360 353864701 /nfs/dbraw/zinc/86/47/01/353864701.db2.gz XJWKGWMVTPMUSZ-YWVKMMECSA-N 0 3 237.299 2.515 20 0 BFADHN CC1=CCN(CCCC(=O)OC(C)(C)C)CC1 ZINC000343413239 353879735 /nfs/dbraw/zinc/87/97/35/353879735.db2.gz FUJXOACBMWAMRV-UHFFFAOYSA-N 0 3 239.359 2.760 20 0 BFADHN C[C@H](N[C@H]1CCC12CCCC2)c1ccncn1 ZINC000334521968 353887696 /nfs/dbraw/zinc/88/76/96/353887696.db2.gz ICGPJZRIOGIUOH-AAEUAGOBSA-N 0 3 231.343 2.850 20 0 BFADHN CC1=CCCN([C@@H](C)c2cnc(C)nc2C)C1 ZINC000639133210 353842677 /nfs/dbraw/zinc/84/26/77/353842677.db2.gz JMYRBNFEEDEFMR-LBPRGKRZSA-N 0 3 231.343 2.806 20 0 BFADHN CCCC[C@@H](C)[C@H](C)NCc1nncn1CC ZINC000343503485 353915854 /nfs/dbraw/zinc/91/58/54/353915854.db2.gz FCNHNQLVCGEEGG-NEPJUHHUSA-N 0 3 238.379 2.602 20 0 BFADHN CCCC[C@H](C)[C@@H](C)N[C@H](C)c1nncn1C ZINC000343505468 353921724 /nfs/dbraw/zinc/92/17/24/353921724.db2.gz FBQZVFSNXMQFHV-QJPTWQEYSA-N 0 3 238.379 2.681 20 0 BFADHN CC[C@H](NC[C@H]1CCC[C@H]1C)c1ccn(C)n1 ZINC000343510989 353923762 /nfs/dbraw/zinc/92/37/62/353923762.db2.gz LNAHICKZCWIXSY-UPJWGTAASA-N 0 3 235.375 2.897 20 0 BFADHN C[C@H]1[C@@H](Nc2nccc3occc32)CCCN1C ZINC000343603145 353931438 /nfs/dbraw/zinc/93/14/38/353931438.db2.gz RGRHHQDNLCFTRD-JQWIXIFHSA-N 0 3 245.326 2.722 20 0 BFADHN CN1CCN(CC2CCC2)[C@@H](c2ccccc2)C1 ZINC000343638476 353950151 /nfs/dbraw/zinc/95/01/51/353950151.db2.gz CLOFYUHPARSVOI-MRXNPFEDSA-N 0 3 244.382 2.775 20 0 BFADHN Cc1nn(C(C)C)cc1CN(C)CC1CC1 ZINC000343573194 353937714 /nfs/dbraw/zinc/93/77/14/353937714.db2.gz NAWBEIJGHFKYBZ-UHFFFAOYSA-N 0 3 221.348 2.614 20 0 BFADHN Cc1ccn2c(CN[C@@H]3CC3(C)C)cnc2c1 ZINC000343640480 353951231 /nfs/dbraw/zinc/95/12/31/353951231.db2.gz NJMDIFRCSCKKRL-GFCCVEGCSA-N 0 3 229.327 2.531 20 0 BFADHN CC1=C[C@H](C)CN(CCc2cncs2)C1 ZINC000334547579 353985353 /nfs/dbraw/zinc/98/53/53/353985353.db2.gz GAVRGZSNZCPATB-JTQLQIEISA-N 0 3 222.357 2.584 20 0 BFADHN CCCN(CC)[C@H](C)C(=O)NC1CCCCC1 ZINC000343644486 353952111 /nfs/dbraw/zinc/95/21/11/353952111.db2.gz IGQOJSMDXUUVIR-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN COc1ccnc(CN(C(C)C)C(C)C)c1 ZINC000343716753 353956921 /nfs/dbraw/zinc/95/69/21/353956921.db2.gz JIZKNRIBCMXWAU-UHFFFAOYSA-N 0 3 222.332 2.709 20 0 BFADHN COc1cncc(CN2CCCC3(CCC3)C2)c1 ZINC000343721143 353958918 /nfs/dbraw/zinc/95/89/18/353958918.db2.gz CHDAWYNGOAIAJB-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CC[C@@H]1CCCN(Cc2cc(C)no2)CC1 ZINC000343919806 354042100 /nfs/dbraw/zinc/04/21/00/354042100.db2.gz HZSXXTAPBINNMI-GFCCVEGCSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1cc(CN[C@H]2CC[C@H](C)CC2)no1 ZINC000334557631 354005340 /nfs/dbraw/zinc/00/53/40/354005340.db2.gz NMUCITNOFVEIAE-HOMQSWHASA-N 0 3 208.305 2.651 20 0 BFADHN c1nc(C2CC2)ncc1CN1C[C@H]2CCC[C@@H]2C1 ZINC000334558567 354006674 /nfs/dbraw/zinc/00/66/74/354006674.db2.gz CZKHZSMHXLMUHU-ZIAGYGMSSA-N 0 3 243.354 2.586 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2cncc(C)c2)C1(C)C ZINC000343856394 354023114 /nfs/dbraw/zinc/02/31/14/354023114.db2.gz JDUNUNZQSYIOQE-IACUBPJLSA-N 0 3 248.370 2.854 20 0 BFADHN CC(C)=CCN1CCC(Oc2ccccn2)CC1 ZINC000343886010 354032899 /nfs/dbraw/zinc/03/28/99/354032899.db2.gz WVBXUQPUFUHMCX-UHFFFAOYSA-N 0 3 246.354 2.891 20 0 BFADHN Cc1nc([C@@H](C)N2CC[C@@H](C)C3(CCC3)C2)n[nH]1 ZINC000334565757 354086075 /nfs/dbraw/zinc/08/60/75/354086075.db2.gz RPOGTUCDPVTLLH-GHMZBOCLSA-N 0 3 248.374 2.686 20 0 BFADHN C[C@H]1CC[C@H]1NCc1nc2ccccc2o1 ZINC000639167112 354046436 /nfs/dbraw/zinc/04/64/36/354046436.db2.gz ZGCGAVQCQMWMGE-VHSXEESVSA-N 0 3 216.284 2.716 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1nc2ccccc2o1 ZINC000639167109 354046649 /nfs/dbraw/zinc/04/66/49/354046649.db2.gz ZGCGAVQCQMWMGE-UWVGGRQHSA-N 0 3 216.284 2.716 20 0 BFADHN C[C@@H]1CN(Cc2ccccn2)CC(C)(C)C1 ZINC000343986180 354060806 /nfs/dbraw/zinc/06/08/06/354060806.db2.gz DYECXPYKYIBROL-LBPRGKRZSA-N 0 3 218.344 2.950 20 0 BFADHN COc1ccnc(CN2C[C@@H](C)CC(C)(C)C2)c1 ZINC000344072307 354132635 /nfs/dbraw/zinc/13/26/35/354132635.db2.gz SJYMOAIDTKGVPF-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN c1cnc(CN2CCCC23CCCCC3)nc1 ZINC000334572630 354101491 /nfs/dbraw/zinc/10/14/91/354101491.db2.gz FIZHOAIOEUTQLF-UHFFFAOYSA-N 0 3 231.343 2.775 20 0 BFADHN CCC(C)(C)CNCc1ncc(Cl)n1C ZINC000230652211 363316284 /nfs/dbraw/zinc/31/62/84/363316284.db2.gz HYVVANKBROJGLH-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN C[C@@H]1CCCCN(Cc2cnc(C3CC3)nc2)C1 ZINC000334561440 354115885 /nfs/dbraw/zinc/11/58/85/354115885.db2.gz KYFBAPIEJGRCOL-GFCCVEGCSA-N 0 3 245.370 2.976 20 0 BFADHN C[C@@H]1c2ccccc2CN1CCc1cn[nH]c1 ZINC000334562027 354117448 /nfs/dbraw/zinc/11/74/48/354117448.db2.gz TZTKECUSFOQKBV-LLVKDONJSA-N 0 3 227.311 2.529 20 0 BFADHN CCc1cc(N2CCC[C@H]2[C@@H]2CCCO2)ccn1 ZINC000334577754 354121252 /nfs/dbraw/zinc/12/12/52/354121252.db2.gz HCMKWMWFYAPLJO-GJZGRUSLSA-N 0 3 246.354 2.792 20 0 BFADHN CC[C@H](NCc1nccnc1OC)C1CCCC1 ZINC000449671620 202001481 /nfs/dbraw/zinc/00/14/81/202001481.db2.gz SMTZSPCZLPKWHN-LBPRGKRZSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1cnc(C2CC2)o1 ZINC000449694962 202003633 /nfs/dbraw/zinc/00/36/33/202003633.db2.gz CZUCPXQEZPIMOQ-SKDRFNHKSA-N 0 3 220.316 2.830 20 0 BFADHN CCSCCN(C)CCc1ccccc1O ZINC000344157353 354157563 /nfs/dbraw/zinc/15/75/63/354157563.db2.gz XPQMMYPSVSHQGF-UHFFFAOYSA-N 0 3 239.384 2.620 20 0 BFADHN CC(C)(C)CNCc1cnc(C2CC2)o1 ZINC000449702284 202005239 /nfs/dbraw/zinc/00/52/39/202005239.db2.gz YJIYDINOWIQUNM-UHFFFAOYSA-N 0 3 208.305 2.688 20 0 BFADHN C=Cn1cc(CN2C[C@H](CC)CC[C@@H]2C)cn1 ZINC000449722145 202009207 /nfs/dbraw/zinc/00/92/07/202009207.db2.gz PJWJUAOATGZICN-QWHCGFSZSA-N 0 3 233.359 2.994 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNC[C@@H]2CCC[C@H]2O)o1 ZINC000449737725 202012667 /nfs/dbraw/zinc/01/26/67/202012667.db2.gz TWKCVJLYDZDXDD-AUZPSNTRSA-N 0 3 249.354 2.654 20 0 BFADHN COc1cccnc1CN[C@H](C)[C@@H]1CC1(C)C ZINC000449746811 202018316 /nfs/dbraw/zinc/01/83/16/202018316.db2.gz HAHDLLAUVJZQMK-MNOVXSKESA-N 0 3 234.343 2.614 20 0 BFADHN COCC1(CN[C@H](C)c2ccccn2)CCCC1 ZINC000449740973 202015503 /nfs/dbraw/zinc/01/55/03/202015503.db2.gz IJQZYLYOJPCXAP-CYBMUJFWSA-N 0 3 248.370 2.939 20 0 BFADHN CC[C@@H](NCc1ncccc1OC)C1CCC1 ZINC000449746941 202018538 /nfs/dbraw/zinc/01/85/38/202018538.db2.gz HMRCXADAGHOEEU-GFCCVEGCSA-N 0 3 234.343 2.759 20 0 BFADHN COc1cccnc1CN[C@H](C)C1CCCC1 ZINC000449747139 202018748 /nfs/dbraw/zinc/01/87/48/202018748.db2.gz IHYLIRKBIBTGBA-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN CCN(C[C@@H](OC)C1CC1)[C@@H](C)c1cccnc1 ZINC000639178381 354253764 /nfs/dbraw/zinc/25/37/64/354253764.db2.gz KXBOSHGRVJVERI-SWLSCSKDSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@H]1CCCC[C@H]1CCNCc1nccn1C ZINC000344381823 354257084 /nfs/dbraw/zinc/25/70/84/354257084.db2.gz MVCMNSHJSGKFPW-STQMWFEESA-N 0 3 235.375 2.726 20 0 BFADHN CC[C@H]1CCC[C@@H]([NH2+]Cc2cnc(C)[n-]c2=O)C1 ZINC000344330419 354227477 /nfs/dbraw/zinc/22/74/77/354227477.db2.gz UYYSZDDKFFVNBX-WCQYABFASA-N 0 3 249.358 2.549 20 0 BFADHN CCn1cncc1CN1CCC[C@H](C)CC1 ZINC000334596641 354230134 /nfs/dbraw/zinc/23/01/34/354230134.db2.gz FWVFVWCQDBDFJP-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN Cc1occc1CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000334599250 354234413 /nfs/dbraw/zinc/23/44/13/354234413.db2.gz SKDBVRZMOMDYKZ-ZIAGYGMSSA-N 0 3 235.327 2.731 20 0 BFADHN FC1(CCNCc2ccns2)CCC1 ZINC000639181141 354312584 /nfs/dbraw/zinc/31/25/84/354312584.db2.gz OFUGWWHUXMRIMA-UHFFFAOYSA-N 0 3 214.309 2.515 20 0 BFADHN CCC[C@H](C)N(C)C(=O)CN1[C@H](C)CC[C@H]1C ZINC000344571305 354305937 /nfs/dbraw/zinc/30/59/37/354305937.db2.gz HFASGJXXBHVVGP-YNEHKIRRSA-N 0 3 240.391 2.506 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cnc(OC)s1 ZINC000639179295 354270930 /nfs/dbraw/zinc/27/09/30/354270930.db2.gz DKHQZBXSSHHFPD-NXEZZACHSA-N 0 3 240.372 2.915 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1ccns1 ZINC000639179697 354273485 /nfs/dbraw/zinc/27/34/85/354273485.db2.gz HKVOBZDJFGZTOE-NXEZZACHSA-N 0 3 210.346 2.906 20 0 BFADHN Cc1csc(CN[C@@H](C)Cc2ccncc2)n1 ZINC000344766313 354357292 /nfs/dbraw/zinc/35/72/92/354357292.db2.gz XJRYICYKWPUTDR-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN Cc1ccc(CNC2(CCO)CCCCC2)nc1 ZINC000344605505 354324071 /nfs/dbraw/zinc/32/40/71/354324071.db2.gz CMKJKXNMDYYLIP-UHFFFAOYSA-N 0 3 248.370 2.565 20 0 BFADHN COC1CCC(CNCc2ccc(C)o2)CC1 ZINC000344602724 354324289 /nfs/dbraw/zinc/32/42/89/354324289.db2.gz MOTMTAZCVGCIGN-UHFFFAOYSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1ccoc1CNC1(CCO)CCCCC1 ZINC000344608522 354324864 /nfs/dbraw/zinc/32/48/64/354324864.db2.gz YNHKRERPBQONQY-UHFFFAOYSA-N 0 3 237.343 2.763 20 0 BFADHN Cc1ncc(CNCC2(CC(C)C)CC2)cn1 ZINC000344627912 354329795 /nfs/dbraw/zinc/32/97/95/354329795.db2.gz GZSKIDXIVFSDPU-UHFFFAOYSA-N 0 3 233.359 2.701 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2ccon2)C1 ZINC000086452698 491061844 /nfs/dbraw/zinc/06/18/44/491061844.db2.gz BVFMCNJMEBNYTH-GHMZBOCLSA-N 0 3 208.305 2.733 20 0 BFADHN COC[C@H](N[C@H]1c2ccccc2O[C@@H]1C)C1CC1 ZINC000639185955 354381174 /nfs/dbraw/zinc/38/11/74/354381174.db2.gz GOHFXGNQKWTLMB-RIEGTJTDSA-N 0 3 247.338 2.523 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1NCCC[C@H]1CCO1 ZINC000639185980 354381525 /nfs/dbraw/zinc/38/15/25/354381525.db2.gz HAWZXIFAVNCBAL-SLEUVZQESA-N 0 3 247.338 2.667 20 0 BFADHN COc1cccnc1CN[C@@H]1CCCC1(C)C ZINC000449748790 202019345 /nfs/dbraw/zinc/01/93/45/202019345.db2.gz NISJYUUAXKAMQN-CYBMUJFWSA-N 0 3 234.343 2.759 20 0 BFADHN OC1([C@@H]2CCCCN2Cc2ccc(F)cc2)CC1 ZINC000334640074 354394720 /nfs/dbraw/zinc/39/47/20/354394720.db2.gz VBJBNBWNCTULPX-AWEZNQCLSA-N 0 3 249.329 2.705 20 0 BFADHN CC1CCN(CCN2CCC[C@@](C)(F)C2)CC1 ZINC000334623264 354361914 /nfs/dbraw/zinc/36/19/14/354361914.db2.gz SDKNEBRAHJEZHY-CQSZACIVSA-N 0 3 242.382 2.542 20 0 BFADHN CCCCN1CCN(C)CC12CCCCC2 ZINC000334629065 354371152 /nfs/dbraw/zinc/37/11/52/354371152.db2.gz QXQQCUUAGVDSHI-UHFFFAOYSA-N 0 3 224.392 2.737 20 0 BFADHN C[C@H](c1ccccn1)N1CCC[C@@]2(CCOC2)C1 ZINC000334687484 354433552 /nfs/dbraw/zinc/43/35/52/354433552.db2.gz DCOKGGKTABYNAD-UKRRQHHQSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@H](c1ccccn1)N1CCC[C@]2(CCOC2)C1 ZINC000334687481 354433814 /nfs/dbraw/zinc/43/38/14/354433814.db2.gz DCOKGGKTABYNAD-HIFRSBDPSA-N 0 3 246.354 2.645 20 0 BFADHN c1cc(C2CC2)ccc1CN1CC2(C1)CCOC2 ZINC000334642004 354397962 /nfs/dbraw/zinc/39/79/62/354397962.db2.gz ALQYKJSVQNIFBN-UHFFFAOYSA-N 0 3 243.350 2.786 20 0 BFADHN Cc1nc([C@H](C)N2CCC(C)(C3CC3)CC2)n[nH]1 ZINC000334642213 354398765 /nfs/dbraw/zinc/39/87/65/354398765.db2.gz DAIOJDDKLGJVBL-JTQLQIEISA-N 0 3 248.374 2.686 20 0 BFADHN CC1(C)CCCCN(Cc2ccc(CO)o2)C1 ZINC000334670117 354410680 /nfs/dbraw/zinc/41/06/80/354410680.db2.gz XJBVWEJOMBKDCL-UHFFFAOYSA-N 0 3 237.343 2.784 20 0 BFADHN Cc1ncc(CN[C@@H]2CC=C(C)CC2)o1 ZINC000334727213 354527235 /nfs/dbraw/zinc/52/72/35/354527235.db2.gz XSJLSJJRMDSDAB-LLVKDONJSA-N 0 3 206.289 2.571 20 0 BFADHN CC[C@@](C)(CN1CCCc2occc2C1)OC ZINC000639191957 354536061 /nfs/dbraw/zinc/53/60/61/354536061.db2.gz JJIYIBPJXWLTJD-AWEZNQCLSA-N 0 3 237.343 2.843 20 0 BFADHN C[C@H]1C[C@H](CN2Cc3ccccc3C2)CCO1 ZINC000334736658 354537453 /nfs/dbraw/zinc/53/74/53/354537453.db2.gz FCGLYYNWBOEYHL-QWHCGFSZSA-N 0 3 231.339 2.817 20 0 BFADHN C[C@@H]1C[C@@H](CN2Cc3ccccc3C2)CCO1 ZINC000334736657 354537493 /nfs/dbraw/zinc/53/74/93/354537493.db2.gz FCGLYYNWBOEYHL-OLZOCXBDSA-N 0 3 231.339 2.817 20 0 BFADHN CC(C(=O)Nc1cccc(F)c1)C(F)(F)F ZINC000334699951 354492130 /nfs/dbraw/zinc/49/21/30/354492130.db2.gz VWQCJEGTLMAUNL-LURJTMIESA-N 0 3 235.180 2.963 20 0 BFADHN O[C@@]1(C(F)F)CCN([C@@H]2/C=C/CCCCC2)C1 ZINC000334703605 354497124 /nfs/dbraw/zinc/49/71/24/354497124.db2.gz SXNUSYCWBFBXLD-YJLKIPLNSA-N 0 3 245.313 2.577 20 0 BFADHN CC[C@@H]1CCCN1Cc1ncc(C)s1 ZINC000334722717 354522201 /nfs/dbraw/zinc/52/22/01/354522201.db2.gz IBJQCBDRJIRGMU-SNVBAGLBSA-N 0 3 210.346 2.826 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccccn2)C1 ZINC000334815734 354573591 /nfs/dbraw/zinc/57/35/91/354573591.db2.gz BBSWWRFIYPFDJZ-ZDUSSCGKSA-N 0 3 204.317 2.704 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1ccncc1F ZINC000334755326 354577012 /nfs/dbraw/zinc/57/70/12/354577012.db2.gz DJPQXDHZDFLZPR-CMPLNLGQSA-N 0 3 222.307 2.841 20 0 BFADHN COc1ccnc(CN2CCC[C@H]3CCC[C@@H]32)c1 ZINC000334755180 354577044 /nfs/dbraw/zinc/57/70/44/354577044.db2.gz ZGDBJAOPDMRGNW-DOMZBBRYSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1cc(CN2CCOC[C@@H](C)C2)cs1 ZINC000334764154 354584894 /nfs/dbraw/zinc/58/48/94/354584894.db2.gz SNQGOKXUHCIYRK-JTQLQIEISA-N 0 3 225.357 2.525 20 0 BFADHN CC[C@H](C(=O)N1CCCC[C@@H]1C)N(CC)CC ZINC000345565896 354694912 /nfs/dbraw/zinc/69/49/12/354694912.db2.gz YXKAPQVGNINENT-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN CC(C)n1cncc1CN1CC[C@@H]2CCC[C@H]21 ZINC000335115162 354704020 /nfs/dbraw/zinc/70/40/20/354704020.db2.gz RIXPUGDLQRPQGD-GXTWGEPZSA-N 0 3 233.359 2.838 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2occc2C)C1(C)C ZINC000345696561 354734169 /nfs/dbraw/zinc/73/41/69/354734169.db2.gz CZBFHGFEKKONOQ-CYZMBNFOSA-N 0 3 237.343 2.737 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@H](NCc2occc2C)C1(C)C ZINC000345696564 354734300 /nfs/dbraw/zinc/73/43/00/354734300.db2.gz CZBFHGFEKKONOQ-WCFLWFBJSA-N 0 3 237.343 2.737 20 0 BFADHN Cc1cc2[nH]c(CN[C@H]3CCOC3)cc2c(C)c1 ZINC000345446012 354670518 /nfs/dbraw/zinc/67/05/18/354670518.db2.gz VIZCPNADRYMBFP-LBPRGKRZSA-N 0 3 244.338 2.663 20 0 BFADHN CC1(CN(Cc2ccccc2F)C2CC2)COC1 ZINC000345891972 354800540 /nfs/dbraw/zinc/80/05/40/354800540.db2.gz YPGNVHYXYNQKBK-UHFFFAOYSA-N 0 3 249.329 2.827 20 0 BFADHN CCC(=O)CCCN1CCS[C@@H](C)[C@@H]1C ZINC000232861186 363387825 /nfs/dbraw/zinc/38/78/25/363387825.db2.gz BMVGHPSDOGEPQN-QWRGUYRKSA-N 0 3 229.389 2.572 20 0 BFADHN CC[C@H](C(=O)N(CC)CC(C)C)N(CC)CC ZINC000345988041 354833839 /nfs/dbraw/zinc/83/38/39/354833839.db2.gz HLRHUNWZEYMCGX-CYBMUJFWSA-N 0 3 242.407 2.611 20 0 BFADHN CCC[C@H](C)N(C)C(=O)[C@H](CC)N(CC)CC ZINC000345983638 354832871 /nfs/dbraw/zinc/83/28/71/354832871.db2.gz XKBRVRNAIVODSW-STQMWFEESA-N 0 3 242.407 2.754 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2cnc(C3CC3)nc2)C1 ZINC000335140596 354844156 /nfs/dbraw/zinc/84/41/56/354844156.db2.gz ULOUOHKFTBMGKD-NEPJUHHUSA-N 0 3 245.370 2.974 20 0 BFADHN CC(C)n1cncc1CN1CC[C@H](C)[C@H](C)C1 ZINC000335145580 354855410 /nfs/dbraw/zinc/85/54/10/354855410.db2.gz CQQYRKPBDJAGEA-QWHCGFSZSA-N 0 3 235.375 2.942 20 0 BFADHN CC(C)n1cncc1CN1C[C@H]2CCC[C@@H]2C1 ZINC000335148740 354862546 /nfs/dbraw/zinc/86/25/46/354862546.db2.gz YJHBIPNZUIGXSJ-CHWSQXEVSA-N 0 3 233.359 2.696 20 0 BFADHN CCC1(NCc2cc(C3CC3)no2)CC1 ZINC000639221470 354890119 /nfs/dbraw/zinc/89/01/19/354890119.db2.gz CJQIAFLQUZDSQL-UHFFFAOYSA-N 0 3 206.289 2.584 20 0 BFADHN CCn1nccc1CN(C)C[C@H]1CC=CCC1 ZINC000346334783 354899381 /nfs/dbraw/zinc/89/93/81/354899381.db2.gz ODRBFMYHCRVHDO-ZDUSSCGKSA-N 0 3 233.359 2.691 20 0 BFADHN CCn1cc(CN2C[C@H](C)CC(C)(C)C2)cn1 ZINC000346336006 354900011 /nfs/dbraw/zinc/90/00/11/354900011.db2.gz PJOQGRADIVTJIK-GFCCVEGCSA-N 0 3 235.375 2.771 20 0 BFADHN CCC1(N[C@H](c2nc[nH]n2)C2CCCCC2)CC1 ZINC000639222620 354902394 /nfs/dbraw/zinc/90/23/94/354902394.db2.gz LCCJBBLNXTVNNM-LBPRGKRZSA-N 0 3 248.374 2.958 20 0 BFADHN CCC1(NCc2nc3ccccc3nc2C)CC1 ZINC000639222271 354906639 /nfs/dbraw/zinc/90/66/39/354906639.db2.gz ICYVADCMXCPYDW-UHFFFAOYSA-N 0 3 241.338 2.970 20 0 BFADHN CC[C@H](NCc1ncccc1OC)C(C)C ZINC000449751814 202020996 /nfs/dbraw/zinc/02/09/96/202020996.db2.gz ZFFAEKFXJOFAQU-NSHDSACASA-N 0 3 222.332 2.614 20 0 BFADHN Cn1nccc1CNC1CC2(C1)CCCCC2 ZINC000335161454 354913953 /nfs/dbraw/zinc/91/39/53/354913953.db2.gz GZUIFQBUAQNHKF-UHFFFAOYSA-N 0 3 233.359 2.623 20 0 BFADHN CN(Cc1cncc(F)c1)C1CC(C)(C)C1 ZINC000335165031 354921382 /nfs/dbraw/zinc/92/13/82/354921382.db2.gz BIKOWTSWFBVFCM-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H](NCC1(O)CCC1)c1ccccc1F ZINC000166053599 354922258 /nfs/dbraw/zinc/92/22/58/354922258.db2.gz DMBUXKGOQAAGOT-CYBMUJFWSA-N 0 3 237.318 2.781 20 0 BFADHN CC(=O)Nc1cccc(CN2CC[C@H]2C2CC2)c1 ZINC000639222932 354922329 /nfs/dbraw/zinc/92/23/29/354922329.db2.gz PEEDJGLWZIZGMX-HNNXBMFYSA-N 0 3 244.338 2.629 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1snnc1C ZINC000639222997 354924394 /nfs/dbraw/zinc/92/43/94/354924394.db2.gz QUSUVUNOGBRAJR-WDEREUQCSA-N 0 3 239.388 2.762 20 0 BFADHN CCc1cc(N2CC[C@H]([C@H]3CCOC3)C2)ccn1 ZINC000335176688 354945828 /nfs/dbraw/zinc/94/58/28/354945828.db2.gz KPPJHKQXEXLKRJ-STQMWFEESA-N 0 3 246.354 2.507 20 0 BFADHN FC(F)(F)C1=CCN(CC[C@@H]2CCCO2)CC1 ZINC000346415283 354950025 /nfs/dbraw/zinc/95/00/25/354950025.db2.gz QGYQNKXKTGHQBV-NSHDSACASA-N 0 3 249.276 2.750 20 0 BFADHN FC(F)(F)C1=CCN(CC[C@H]2CCCO2)CC1 ZINC000346415280 354950185 /nfs/dbraw/zinc/95/01/85/354950185.db2.gz QGYQNKXKTGHQBV-LLVKDONJSA-N 0 3 249.276 2.750 20 0 BFADHN OCC[C@H](N[C@H]1CCC[C@H]1C1CC1)c1ccco1 ZINC000346587347 355002141 /nfs/dbraw/zinc/00/21/41/355002141.db2.gz ZOHIZSSTPJOAME-IHRRRGAJSA-N 0 3 249.354 2.871 20 0 BFADHN C[C@@H](C1CCC1)N(C)Cc1ccc(F)cn1 ZINC000346554083 354965843 /nfs/dbraw/zinc/96/58/43/354965843.db2.gz GEWMARDKTYGBHJ-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CCN(CCOCC(F)F)CC1(C)C ZINC000346526691 354976487 /nfs/dbraw/zinc/97/64/87/354976487.db2.gz ANPFSDVXUZABFO-JTQLQIEISA-N 0 3 235.318 2.636 20 0 BFADHN Fc1cncc(CN2CC[C@H]3CCC[C@H]32)c1 ZINC000335960288 134026321 /nfs/dbraw/zinc/02/63/21/134026321.db2.gz YRGNXHZOZMBKHP-DGCLKSJQSA-N 0 3 220.291 2.595 20 0 BFADHN C[C@H]1CCN(CC2(C)CCC2)[C@@H](C(N)=O)C1 ZINC000335200546 355033936 /nfs/dbraw/zinc/03/39/36/355033936.db2.gz TUUHHZMBLQJUJU-WDEREUQCSA-N 0 3 224.348 2.812 20 0 BFADHN Cc1ccc(CN2CCSC[C@H](C)C2)cn1 ZINC000335205314 355040520 /nfs/dbraw/zinc/04/05/20/355040520.db2.gz KMJBRSSCTUENPQ-LLVKDONJSA-N 0 3 236.384 2.575 20 0 BFADHN CC1(C)CC[C@@H](NCc2ccn(C(F)F)n2)C1 ZINC000346676163 355008791 /nfs/dbraw/zinc/00/87/91/355008791.db2.gz YEQLPEQAOVDIOM-SECBINFHSA-N 0 3 243.301 2.947 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H]2CCC(C)(C)C2)[n-]1 ZINC000346638980 355015592 /nfs/dbraw/zinc/01/55/92/355015592.db2.gz QAAGVQMVVVFVOL-UWVGGRQHSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@H](C)N[C@H]2CCC(C)(C)C2)[nH]1 ZINC000346638980 355015593 /nfs/dbraw/zinc/01/55/93/355015593.db2.gz QAAGVQMVVVFVOL-UWVGGRQHSA-N 0 3 236.363 2.596 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1ccncc1F ZINC000335193859 355026859 /nfs/dbraw/zinc/02/68/59/355026859.db2.gz WVHGIVIQEKEAQH-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1oncc1CN1CC(C)(C)C[C@@H]1C ZINC000335194796 355027601 /nfs/dbraw/zinc/02/76/01/355027601.db2.gz ZUZAMUJVACHNTK-VIFPVBQESA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H]1CCN(C/C=C/c2ccncc2)CCS1 ZINC000335194837 355028176 /nfs/dbraw/zinc/02/81/76/355028176.db2.gz LKRDWQDOKBHMMF-IBUXWKBASA-N 0 3 248.395 2.922 20 0 BFADHN F[C@H]1CCN(C[C@H]2CCN(c3ccccc3)C2)C1 ZINC000639226926 355046475 /nfs/dbraw/zinc/04/64/75/355046475.db2.gz GROVKPDNVUSXSB-KGLIPLIRSA-N 0 3 248.345 2.557 20 0 BFADHN CC1=C(C)CN(CCc2cncs2)CC1 ZINC000335219806 355059403 /nfs/dbraw/zinc/05/94/03/355059403.db2.gz GDGCGAXLDWNHPP-UHFFFAOYSA-N 0 3 222.357 2.728 20 0 BFADHN COC[C@H](CC(C)C)NCc1ccc(C)nc1 ZINC000346792582 355106464 /nfs/dbraw/zinc/10/64/64/355106464.db2.gz IRZBVQPIZKHFCL-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@H](NC1(c2ccccc2F)CC1)[C@H]1CCCO1 ZINC000346800031 355108690 /nfs/dbraw/zinc/10/86/90/355108690.db2.gz QQPBRJCTXSIRQA-SMDDNHRTSA-N 0 3 249.329 2.972 20 0 BFADHN Cc1nocc1CN[C@H]1CCC[C@@H]1C(C)C ZINC000639228947 355114924 /nfs/dbraw/zinc/11/49/24/355114924.db2.gz XMQAOLSRIFCDPL-OLZOCXBDSA-N 0 3 222.332 2.897 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1ccc(Cl)o1 ZINC000449754022 202022652 /nfs/dbraw/zinc/02/26/52/202022652.db2.gz ICAKFZXJTOEIRA-GHMZBOCLSA-N 0 3 243.734 2.980 20 0 BFADHN C[C@@H](O)[C@@H]1CCN(Cc2cccc(Cl)c2)C1 ZINC000166076996 355124335 /nfs/dbraw/zinc/12/43/35/355124335.db2.gz GKZWCIHSKFPJOC-ZYHUDNBSSA-N 0 3 239.746 2.543 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1cnccc1C ZINC000449760662 202024624 /nfs/dbraw/zinc/02/46/24/202024624.db2.gz QKTGBVMOCPNNIR-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN COC[C@@H]1CCCCN1Cc1ccccc1OC ZINC000449758596 202024769 /nfs/dbraw/zinc/02/47/69/202024769.db2.gz GXJTZRWQSWAVBQ-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1nc(C)c([C@@H](C)NC[C@@H]2CCCO2)s1 ZINC000040518780 358493982 /nfs/dbraw/zinc/49/39/82/358493982.db2.gz BHUYUZUTMNEISO-KCJUWKMLSA-N 0 3 240.372 2.590 20 0 BFADHN OC1CCC(N[C@H]2C[C@]2(F)c2ccccc2)CC1 ZINC000335249760 355168932 /nfs/dbraw/zinc/16/89/32/355168932.db2.gz QWFLWFTXDXZUBB-WUCCLRPBSA-N 0 3 249.329 2.517 20 0 BFADHN CCC[C@H](NCCOCC1CC1)c1ccccn1 ZINC000346970600 355175025 /nfs/dbraw/zinc/17/50/25/355175025.db2.gz IWGJAUIJSXXSCT-AWEZNQCLSA-N 0 3 248.370 2.939 20 0 BFADHN COc1ccncc1CN1CC[C@@H](C)C[C@@H](C)C1 ZINC000346990183 355190712 /nfs/dbraw/zinc/19/07/12/355190712.db2.gz VHNQMEGCFHCUNK-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN CC(=O)[C@H](C)SC[C@@H]1CCCCN1C(C)C ZINC000412996735 191333311 /nfs/dbraw/zinc/33/33/11/191333311.db2.gz GSTQHWOREAJSKV-STQMWFEESA-N 0 3 243.416 2.960 20 0 BFADHN CC[C@@H](C)N(CCO)Cc1ccc(C)cc1F ZINC000639238013 355221658 /nfs/dbraw/zinc/22/16/58/355221658.db2.gz QGIDRJAUDUUREX-GFCCVEGCSA-N 0 3 239.334 2.727 20 0 BFADHN CCCCN(CCCC)C(=O)[C@H](CC)N(C)C ZINC000413153750 191357247 /nfs/dbraw/zinc/35/72/47/191357247.db2.gz LUWDXDKQZCTRTI-ZDUSSCGKSA-N 0 3 242.407 2.755 20 0 BFADHN CC[C@@H](CN1CCC[C@@H]1c1cccnc1)OC ZINC000412975706 191346490 /nfs/dbraw/zinc/34/64/90/191346490.db2.gz GQHNYTSFXZTBJQ-UONOGXRCSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@H](CN1CCC[C@@H]1c1ccncc1)OC ZINC000412980146 191350525 /nfs/dbraw/zinc/35/05/25/191350525.db2.gz WJFVVKWYRQBVNA-ZIAGYGMSSA-N 0 3 234.343 2.644 20 0 BFADHN CCc1cc(N2CCO[C@@H](C3CCC3)C2)ccn1 ZINC000413475461 191386684 /nfs/dbraw/zinc/38/66/84/191386684.db2.gz KSMKFSSWEMSLPM-OAHLLOKOSA-N 0 3 246.354 2.649 20 0 BFADHN CC[C@H](C(=O)N1CCCCC[C@@H]1CC)N(C)C ZINC000413488734 191388868 /nfs/dbraw/zinc/38/88/68/191388868.db2.gz PZCBJBYXNVOGCU-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN CCN1C[C@H](C)N(Cc2ccccc2)[C@@H](C)C1 ZINC000347685066 355269212 /nfs/dbraw/zinc/26/92/12/355269212.db2.gz GGTAIKNEDITKOQ-KBPBESRZSA-N 0 3 232.371 2.601 20 0 BFADHN COc1cc(CN2CC[C@@H](C)[C@H](C)C2)ccn1 ZINC000347593891 355250187 /nfs/dbraw/zinc/25/01/87/355250187.db2.gz GEPSRNZPDFWPKR-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN Cc1cccc(CN2CC[C@@H](C)[C@H](C)C2)n1 ZINC000347602968 355252758 /nfs/dbraw/zinc/25/27/58/355252758.db2.gz OVHZOCSQBQPXRP-VXGBXAGGSA-N 0 3 218.344 2.868 20 0 BFADHN COCCN(C)C/C=C\c1ccc(F)c(F)c1 ZINC000347663705 355255176 /nfs/dbraw/zinc/25/51/76/355255176.db2.gz MLMLNMGSZDVBEJ-ARJAWSKDSA-N 0 3 241.281 2.556 20 0 BFADHN CCCCN(C(=O)[C@@H](CC)N(C)C)[C@H](C)CC ZINC000413411024 191381421 /nfs/dbraw/zinc/38/14/21/191381421.db2.gz RCVXKUWUQCWQPO-CHWSQXEVSA-N 0 3 242.407 2.754 20 0 BFADHN COc1cncc(CN2CC(C(C)(C)C)C2)c1C ZINC000639256020 355297306 /nfs/dbraw/zinc/29/73/06/355297306.db2.gz RSUJTHPHADNVTK-UHFFFAOYSA-N 0 3 248.370 2.877 20 0 BFADHN CC[C@H](NCc1cn2ccsc2n1)[C@H]1C[C@@H]1C ZINC000414065932 191424830 /nfs/dbraw/zinc/42/48/30/191424830.db2.gz HNVZHOGKOMQCOR-DLOVCJGASA-N 0 3 249.383 2.920 20 0 BFADHN Cn1ccnc1[C@@H](N[C@@]1(C)CC=CCC1)C1CC1 ZINC000639258825 355304025 /nfs/dbraw/zinc/30/40/25/355304025.db2.gz NWVUHAZNIILGEL-ZFWWWQNUSA-N 0 3 245.370 2.960 20 0 BFADHN CC(C)C1(CN[C@H](C)c2ccn(C)n2)CC1 ZINC000414095951 191431040 /nfs/dbraw/zinc/43/10/40/191431040.db2.gz QASXMWOKMROADL-LLVKDONJSA-N 0 3 221.348 2.507 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@H](C)c1ccn(C)n1 ZINC000414098893 191431608 /nfs/dbraw/zinc/43/16/08/191431608.db2.gz TWHSQLVYQFPRPU-SDDRHHMPSA-N 0 3 223.364 2.895 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CN1CCO[C@H](C)C1 ZINC000191044421 358496036 /nfs/dbraw/zinc/49/60/36/358496036.db2.gz HGYIHUBEKNDNKF-MCIONIFRSA-N 0 3 223.360 2.700 20 0 BFADHN C[C@H](N[C@H]1C[C@H](C)CC[C@@H]1C)c1ccn(C)n1 ZINC000414173906 191452601 /nfs/dbraw/zinc/45/26/01/191452601.db2.gz GYVYOJVRSRRKEC-UHXUPSOCSA-N 0 3 235.375 2.895 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@H]1CCC[C@H]1C1CC1)CC2 ZINC000414187004 191456526 /nfs/dbraw/zinc/45/65/26/191456526.db2.gz HUNRMHXZKFFKCF-HZSPNIEDSA-N 0 3 245.370 2.744 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@]2(C)CCCO2)nc1C ZINC000414167936 191464060 /nfs/dbraw/zinc/46/40/60/191464060.db2.gz PYNPPFWCUKRGCM-ZFWWWQNUSA-N 0 3 248.370 2.746 20 0 BFADHN c1cc(CN2CC[C@@H]3CCCC[C@@H]32)sn1 ZINC000639282812 355376950 /nfs/dbraw/zinc/37/69/50/355376950.db2.gz SRZKGOBMWOKVKJ-JQWIXIFHSA-N 0 3 222.357 2.908 20 0 BFADHN CC1(CC(F)F)CN(CCC2CCOCC2)C1 ZINC000639284524 355385434 /nfs/dbraw/zinc/38/54/34/355385434.db2.gz VLODERXRDSDCGV-UHFFFAOYSA-N 0 3 247.329 2.780 20 0 BFADHN CCC[C@@H](CNCc1cc(C)nc(C)c1)OC ZINC000639272478 355343220 /nfs/dbraw/zinc/34/32/20/355343220.db2.gz NEHRXGRIJCDASS-AWEZNQCLSA-N 0 3 236.359 2.603 20 0 BFADHN CN(Cc1c2c(nn1C)CCCC2)C(C)(C)C ZINC000639285494 355390779 /nfs/dbraw/zinc/39/07/79/355390779.db2.gz HBKIMBDMQWQKHT-UHFFFAOYSA-N 0 3 235.375 2.529 20 0 BFADHN CO[C@H](C)CNCc1cc(Cl)ccc1F ZINC000191201616 355346029 /nfs/dbraw/zinc/34/60/29/355346029.db2.gz UEOJOHGCSIAKHT-MRVPVSSYSA-N 0 3 231.698 2.604 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1ccc(C)nn1 ZINC000414357625 191497778 /nfs/dbraw/zinc/49/77/78/191497778.db2.gz JRAQBXYRWBSETM-ZYHUDNBSSA-N 0 3 221.348 2.699 20 0 BFADHN Cc1occc1CN[C@]1(C)CCO[C@H]1C1CC1 ZINC000414431474 191508147 /nfs/dbraw/zinc/50/81/47/191508147.db2.gz LDWNQLQVIKQUKL-UONOGXRCSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@H](c1cccnc1)N1CCOCC2(CCC2)C1 ZINC000335779319 355406008 /nfs/dbraw/zinc/40/60/08/355406008.db2.gz WISCNYIKNRMDEF-CYBMUJFWSA-N 0 3 246.354 2.645 20 0 BFADHN Cc1n[nH]cc1CN1CC2(CCC2)[C@@H]1C(C)C ZINC000335779979 355407098 /nfs/dbraw/zinc/40/70/98/355407098.db2.gz VZNJUWDXLMHADV-ZDUSSCGKSA-N 0 3 233.359 2.729 20 0 BFADHN CCC1CCC(N(C)Cc2c[nH]cn2)CC1 ZINC000349679694 355409756 /nfs/dbraw/zinc/40/97/56/355409756.db2.gz MXOWSOXGLSDQES-UHFFFAOYSA-N 0 3 221.348 2.810 20 0 BFADHN CCC1CCC(N(C)Cc2cnc[nH]2)CC1 ZINC000349679694 355409758 /nfs/dbraw/zinc/40/97/58/355409758.db2.gz MXOWSOXGLSDQES-UHFFFAOYSA-N 0 3 221.348 2.810 20 0 BFADHN C[C@@H]1CCC[C@@H](N2CCc3n[nH]cc3C2)[C@@H]1C ZINC000623240412 355416527 /nfs/dbraw/zinc/41/65/27/355416527.db2.gz KQJLTJMXWDEYCQ-JTNHKYCSSA-N 0 3 233.359 2.593 20 0 BFADHN Cc1ccc([C@@H](N[C@@H]2CCOC2)C2CCC2)o1 ZINC000349753524 355423450 /nfs/dbraw/zinc/42/34/50/355423450.db2.gz BXLBXYYWWALKNR-OCCSQVGLSA-N 0 3 235.327 2.808 20 0 BFADHN CC(C)n1cc(CN2C[C@H](C)[C@H](C)C2)cn1 ZINC000335788969 355439879 /nfs/dbraw/zinc/43/98/79/355439879.db2.gz YVTZWLKALGKYGP-TXEJJXNPSA-N 0 3 221.348 2.552 20 0 BFADHN C[C@H](N[C@H]1CCCC(F)(F)C1)c1ccnn1C ZINC000335789313 355439926 /nfs/dbraw/zinc/43/99/26/355439926.db2.gz WYPTUWZAZMXRDC-UWVGGRQHSA-N 0 3 243.301 2.649 20 0 BFADHN CC(C)(C)[C@H]1CCC[C@@H]1NCc1ncc[nH]1 ZINC000414538177 191530951 /nfs/dbraw/zinc/53/09/51/191530951.db2.gz QIJVFPUVRGKNAW-QWRGUYRKSA-N 0 3 221.348 2.714 20 0 BFADHN c1[nH]nc2c1CN([C@H]1CCCC[C@H]1C1CC1)CC2 ZINC000623239723 355397321 /nfs/dbraw/zinc/39/73/21/355397321.db2.gz QVUFERSDHFJJKS-ZFWWWQNUSA-N 0 3 245.370 2.737 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CN2CCc3n[nH]cc3C2)C1 ZINC000623241515 355490942 /nfs/dbraw/zinc/49/09/42/355490942.db2.gz WKQKOKJCAXIJOP-DGCLKSJQSA-N 0 3 245.370 2.760 20 0 BFADHN Cc1ccc([C@H](NCCN2CCC2)C2CCC2)o1 ZINC000349772509 355454364 /nfs/dbraw/zinc/45/43/64/355454364.db2.gz DHFYCUAHGQVWHA-OAHLLOKOSA-N 0 3 248.370 2.725 20 0 BFADHN C[C@@H]1COCCN(Cc2coc3ccccc23)C1 ZINC000335814977 355503534 /nfs/dbraw/zinc/50/35/34/355503534.db2.gz YFROLUBYAGVUIS-LBPRGKRZSA-N 0 3 245.322 2.901 20 0 BFADHN COc1ccc(CN2CCC[C@@H]3CCC[C@@H]32)nc1 ZINC000335797900 355455109 /nfs/dbraw/zinc/45/51/09/355455109.db2.gz RRSMFMHNZUIBPI-WFASDCNBSA-N 0 3 246.354 2.855 20 0 BFADHN CC[C@@H]1CN(C[C@H]2C[C@H]2c2ccccc2)CCO1 ZINC000349789189 355463207 /nfs/dbraw/zinc/46/32/07/355463207.db2.gz BELNOTHDROPTHC-OAGGEKHMSA-N 0 3 245.366 2.901 20 0 BFADHN C/C=C\C[C@H](CO)NCc1ccc(OCC)cc1 ZINC000349811133 355467906 /nfs/dbraw/zinc/46/79/06/355467906.db2.gz FRBWBPMBJDDTFU-PKXJPQMGSA-N 0 3 249.354 2.502 20 0 BFADHN CC(=O)Nc1ccc(CN2[C@@H](C)C[C@@H]2C)cc1 ZINC000335804447 355474324 /nfs/dbraw/zinc/47/43/24/355474324.db2.gz DJGRYOUZNJTNKO-QWRGUYRKSA-N 0 3 232.327 2.628 20 0 BFADHN CC(C)[C@H]1CCC[C@@H]1N1CCc2n[nH]cc2C1 ZINC000623241320 355489291 /nfs/dbraw/zinc/48/92/91/355489291.db2.gz PKFVPBANCKTDOA-OCCSQVGLSA-N 0 3 233.359 2.593 20 0 BFADHN COc1cc(C)nc(CN2CC[C@H]3CCC[C@@H]32)c1 ZINC000335833029 355560917 /nfs/dbraw/zinc/56/09/17/355560917.db2.gz MNIRKVUAHIWXMK-DOMZBBRYSA-N 0 3 246.354 2.773 20 0 BFADHN Cc1cncc(CN2C[C@@H](C)S[C@@H](C)C2)c1 ZINC000335820716 355538437 /nfs/dbraw/zinc/53/84/37/355538437.db2.gz NOVQHKVUYJJAEF-TXEJJXNPSA-N 0 3 236.384 2.716 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@H](C(C)C)C2)cn1 ZINC000335820868 355539123 /nfs/dbraw/zinc/53/91/23/355539123.db2.gz UYEYVQQWQWYSPE-STQMWFEESA-N 0 3 233.359 2.824 20 0 BFADHN CC[C@@H]1CCN([C@@H](C)c2cnc(C)cn2)C1 ZINC000335820911 355539282 /nfs/dbraw/zinc/53/92/82/355539282.db2.gz YGXAJVAJCBVGCP-NWDGAFQWSA-N 0 3 219.332 2.578 20 0 BFADHN CCc1nc(C)c(CN2CC[C@@H](C)[C@H]2C)o1 ZINC000335821353 355540014 /nfs/dbraw/zinc/54/00/14/355540014.db2.gz JIUPYPBNXFQTMU-MWLCHTKSSA-N 0 3 222.332 2.776 20 0 BFADHN Cc1cc(CN2C[C@H](O)C[C@H](C)C2)c(C)s1 ZINC000449765750 202025956 /nfs/dbraw/zinc/02/59/56/202025956.db2.gz KHKQOUNSHJQBAT-TVQRCGJNSA-N 0 3 239.384 2.568 20 0 BFADHN C[C@@H]1CCCCC[C@@H]1N1CCc2n[nH]cc2C1 ZINC000623243521 355609143 /nfs/dbraw/zinc/60/91/43/355609143.db2.gz DQJZLSIFDKECFJ-RISCZKNCSA-N 0 3 233.359 2.737 20 0 BFADHN CC[C@@H](NCc1ccns1)[C@H]1CCCCO1 ZINC000639302523 355647845 /nfs/dbraw/zinc/64/78/45/355647845.db2.gz MEKWHUHUANGPOB-VXGBXAGGSA-N 0 3 240.372 2.580 20 0 BFADHN Cc1cc(CNC[C@H](C)c2ccccn2)sn1 ZINC000639304042 355658691 /nfs/dbraw/zinc/65/86/91/355658691.db2.gz VKAXGSLUNVWXEL-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN c1cc(CN2CC[C@@H](c3cccnc3)C2)sn1 ZINC000639299812 355619260 /nfs/dbraw/zinc/61/92/60/355619260.db2.gz URGVNZWBFGXMOW-GFCCVEGCSA-N 0 3 245.351 2.528 20 0 BFADHN Cc1cnc(CN2CCC[C@H](C(C)C)C2)cn1 ZINC000335863084 355626928 /nfs/dbraw/zinc/62/69/28/355626928.db2.gz NWEUOSMKFBQOPP-ZDUSSCGKSA-N 0 3 233.359 2.653 20 0 BFADHN COc1cc(CN[C@H](C)CCCC(C)C)on1 ZINC000639324693 355721543 /nfs/dbraw/zinc/72/15/43/355721543.db2.gz NWALNQZAPGQXHN-LLVKDONJSA-N 0 3 240.347 2.988 20 0 BFADHN CCC[C@@H](CN[C@@H]1CCc2ccc(O)cc21)OC ZINC000639314957 355706368 /nfs/dbraw/zinc/70/63/68/355706368.db2.gz BFXWKCAIZIMGHY-DZGCQCFKSA-N 0 3 249.354 2.784 20 0 BFADHN CCCCN1C[C@H](CC)N(C(C)=O)C[C@@H]1CC ZINC000350471230 355710691 /nfs/dbraw/zinc/71/06/91/355710691.db2.gz AJOUMDMDLYCOHN-KBPBESRZSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCc3ccc(O)cc32)CS1 ZINC000639317367 355711201 /nfs/dbraw/zinc/71/12/01/355711201.db2.gz NCTMFZFDALWZSU-GLXFQSAKSA-N 0 3 249.379 2.863 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3ccc(O)cc32)CS1 ZINC000639317368 355711210 /nfs/dbraw/zinc/71/12/10/355711210.db2.gz NCTMFZFDALWZSU-OLUVUFQESA-N 0 3 249.379 2.863 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@H](C)CC2CCC2)n1 ZINC000631251721 355714471 /nfs/dbraw/zinc/71/44/71/355714471.db2.gz GACREDLLYFFVQG-LLVKDONJSA-N 0 3 234.343 2.764 20 0 BFADHN COc1cc(CN[C@@H]2CC(C)(C)C[C@@H]2C)on1 ZINC000639323381 355716519 /nfs/dbraw/zinc/71/65/19/355716519.db2.gz BLKNBVWDWKMLBV-GXSJLCMTSA-N 0 3 238.331 2.598 20 0 BFADHN COc1cc(CN[C@@H]2CC[C@H](C)[C@H](C)C2)on1 ZINC000639323379 355716957 /nfs/dbraw/zinc/71/69/57/355716957.db2.gz BIGORVKQXNNYMJ-HBNTYKKESA-N 0 3 238.331 2.598 20 0 BFADHN CC[C@@]1(C)CCC[C@@H]1NCc1cc(OC)no1 ZINC000639323250 355716992 /nfs/dbraw/zinc/71/69/92/355716992.db2.gz AOWDRRUQOIYMEB-AAEUAGOBSA-N 0 3 238.331 2.742 20 0 BFADHN FC(F)c1ccc(CN2CC[C@@H]3C[C@@H]3C2)cn1 ZINC000639324168 355718255 /nfs/dbraw/zinc/71/82/55/355718255.db2.gz DSWQXWFTTYAXNX-GHMZBOCLSA-N 0 3 238.281 2.861 20 0 BFADHN COc1cc(CN[C@@H]2C[C@H](C)CC[C@@H]2C)on1 ZINC000639324517 355719145 /nfs/dbraw/zinc/71/91/45/355719145.db2.gz MXUVRRFIDAXWET-JFGNBEQYSA-N 0 3 238.331 2.598 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCC(C)(C)C2)ncn1 ZINC000335893180 355686378 /nfs/dbraw/zinc/68/63/78/355686378.db2.gz QWANJFUMCHHILT-CYBMUJFWSA-N 0 3 233.359 2.796 20 0 BFADHN CC(C)n1cc(CN2CC[C@H]3CCC[C@@H]32)cn1 ZINC000335893418 355687064 /nfs/dbraw/zinc/68/70/64/355687064.db2.gz NZDYJPLYRZXWLU-KGLIPLIRSA-N 0 3 233.359 2.838 20 0 BFADHN CC[C@H]1CCCN1Cc1cnn(CC(F)F)c1 ZINC000350660381 355739186 /nfs/dbraw/zinc/73/91/86/355739186.db2.gz NIJZXLCLZNMEJB-NSHDSACASA-N 0 3 243.301 2.523 20 0 BFADHN C[C@@H](CC1CCC1)NCc1c(Cl)cnn1C ZINC000631251790 355742276 /nfs/dbraw/zinc/74/22/76/355742276.db2.gz HDALFEHKFTYIKL-VIFPVBQESA-N 0 3 241.766 2.742 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1noc2c1CCCC2 ZINC000336023699 134054166 /nfs/dbraw/zinc/05/41/66/134054166.db2.gz SREKVNPASHNKGL-ZYHUDNBSSA-N 0 3 234.343 2.832 20 0 BFADHN COc1cc(CNCC(C(C)C)C(C)C)on1 ZINC000639325668 355725333 /nfs/dbraw/zinc/72/53/33/355725333.db2.gz OCWTWDBASLEXTP-UHFFFAOYSA-N 0 3 240.347 2.701 20 0 BFADHN CCC(CC)(CC)CNCc1cc(OC)no1 ZINC000639325095 355726516 /nfs/dbraw/zinc/72/65/16/355726516.db2.gz TWFPRJMIWWKFLX-UHFFFAOYSA-N 0 3 240.347 2.989 20 0 BFADHN COc1cc(CNC[C@@H](C)c2ccccc2)on1 ZINC000639325423 355727327 /nfs/dbraw/zinc/72/73/27/355727327.db2.gz XOSFAVLIENTHLL-LLVKDONJSA-N 0 3 246.310 2.577 20 0 BFADHN CC1(C)C[C@]1(C)NCc1cccc(F)c1N ZINC000639327521 355730384 /nfs/dbraw/zinc/73/03/84/355730384.db2.gz LLGCDRANDULYKH-ZDUSSCGKSA-N 0 3 222.307 2.686 20 0 BFADHN c1cncc(CN2C3CCCC2CCC3)c1 ZINC000608498828 355826809 /nfs/dbraw/zinc/82/68/09/355826809.db2.gz AQLBTSLLXYFHAA-UHFFFAOYSA-N 0 3 216.328 2.989 20 0 BFADHN CCC[C@H](CNCc1cc(C)ns1)OCC ZINC000639347249 355793998 /nfs/dbraw/zinc/79/39/98/355793998.db2.gz WQDRFMDYUNORMH-LLVKDONJSA-N 0 3 242.388 2.746 20 0 BFADHN C[C@@H](CO)CN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000608498574 355827194 /nfs/dbraw/zinc/82/71/94/355827194.db2.gz UUOMGQPFDQOKFD-ZJUUUORDSA-N 0 3 243.297 2.586 20 0 BFADHN Cc1nnsc1CNC[C@@H]1CCCC12CC2 ZINC000639356088 355810685 /nfs/dbraw/zinc/81/06/85/355810685.db2.gz GKSFITYIJUBXFQ-JTQLQIEISA-N 0 3 237.372 2.516 20 0 BFADHN CCOc1cc(CNCC(C)(C)F)ccc1O ZINC000639357926 355812048 /nfs/dbraw/zinc/81/20/48/355812048.db2.gz CJOUKZNXVODWCF-UHFFFAOYSA-N 0 3 241.306 2.629 20 0 BFADHN CO[C@@H](CNCC(C)(C)F)C1CCCCC1 ZINC000639358618 355813720 /nfs/dbraw/zinc/81/37/20/355813720.db2.gz NQBSZCYZOCQHBZ-LBPRGKRZSA-N 0 3 231.355 2.919 20 0 BFADHN CC(C)[C@@H]1C[C@@H](NCC(C)(C)F)CS1 ZINC000639358855 355813748 /nfs/dbraw/zinc/81/37/48/355813748.db2.gz SNSBJPCVEZMKIH-ZJUUUORDSA-N 0 3 219.369 2.854 20 0 BFADHN Oc1cccc(CN2CC(c3ccccc3)C2)c1 ZINC000639361798 355816369 /nfs/dbraw/zinc/81/63/69/355816369.db2.gz SGJUEBXSPTZBOA-UHFFFAOYSA-N 0 3 239.318 2.992 20 0 BFADHN COc1ncc(CN[C@@H]2CCCC23CC3)s1 ZINC000639360730 355819251 /nfs/dbraw/zinc/81/92/51/355819251.db2.gz NGZXNMHVXMPVFP-SNVBAGLBSA-N 0 3 238.356 2.574 20 0 BFADHN c1c[nH]c(CNC2CCC(=C3CCC3)CC2)n1 ZINC000639365388 355837232 /nfs/dbraw/zinc/83/72/32/355837232.db2.gz CTSCNJAUGASBFU-UHFFFAOYSA-N 0 3 231.343 2.922 20 0 BFADHN CC1(C)CN(C[C@H]2CCC(F)(F)C2)CCO1 ZINC000336038890 134060693 /nfs/dbraw/zinc/06/06/93/134060693.db2.gz MHRDBEBDHINADN-JTQLQIEISA-N 0 3 233.302 2.533 20 0 BFADHN CC(C)[C@@H]1CC[C@H](NCc2ncc[nH]2)C[C@H]1C ZINC000639373212 355876923 /nfs/dbraw/zinc/87/69/23/355876923.db2.gz YPZDTWVQMGGLJD-AGIUHOORSA-N 0 3 235.375 2.960 20 0 BFADHN COc1cccc(CN2C3CCCC2CCC3)n1 ZINC000608565151 355861954 /nfs/dbraw/zinc/86/19/54/355861954.db2.gz WNYWAEDNIVHXLG-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN Cc1ccc(C)c(CN[C@H]2CO[C@@H](C3CC3)C2)c1 ZINC000623752574 355867959 /nfs/dbraw/zinc/86/79/59/355867959.db2.gz IBNAWHUCPGKBMP-HZPDHXFCSA-N 0 3 245.366 2.961 20 0 BFADHN Cc1nocc1CN(C)[C@H]1CCC(C)(C)C1 ZINC000336032519 134058520 /nfs/dbraw/zinc/05/85/20/134058520.db2.gz SWYJLSYQCSGSNX-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)NCc1ncc[nH]1 ZINC000639371940 355872423 /nfs/dbraw/zinc/87/24/23/355872423.db2.gz NPMCEYKLAOPHHH-VXGBXAGGSA-N 0 3 223.364 2.960 20 0 BFADHN C[C@@H](CNCc1ncc[nH]1)c1cccc(Cl)c1 ZINC000639372590 355875761 /nfs/dbraw/zinc/87/57/61/355875761.db2.gz RIOLJSUZFLTAAJ-JTQLQIEISA-N 0 3 249.745 2.956 20 0 BFADHN C[C@H](CNCc1ncc[nH]1)c1cccc(Cl)c1 ZINC000639372591 355875869 /nfs/dbraw/zinc/87/58/69/355875869.db2.gz RIOLJSUZFLTAAJ-SNVBAGLBSA-N 0 3 249.745 2.956 20 0 BFADHN C[C@H](NCc1nccn1C)[C@H]1CCC[C@@H](C)C1 ZINC000449764354 202027206 /nfs/dbraw/zinc/02/72/06/202027206.db2.gz AOYHFGANXODGTB-AGIUHOORSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@H](CCc1cccc(F)c1)NC1(C)COC1 ZINC000639380674 355918742 /nfs/dbraw/zinc/91/87/42/355918742.db2.gz JCAZYYDKIIIRHR-LLVKDONJSA-N 0 3 237.318 2.525 20 0 BFADHN FCCC1CCN(Cc2cccnc2)CC1 ZINC000639380870 355919773 /nfs/dbraw/zinc/91/97/73/355919773.db2.gz IOBXTLGPAPHXAQ-UHFFFAOYSA-N 0 3 222.307 2.653 20 0 BFADHN FCCC1CCN(Cc2ccncc2)CC1 ZINC000639380833 355919900 /nfs/dbraw/zinc/91/99/00/355919900.db2.gz IBVYKSJKWGBKEU-UHFFFAOYSA-N 0 3 222.307 2.653 20 0 BFADHN CN(Cc1cnc[nH]1)[C@H]1CCCc2ccccc21 ZINC000582216242 355935196 /nfs/dbraw/zinc/93/51/96/355935196.db2.gz SOCFLUTWIUNJRV-HNNXBMFYSA-N 0 3 241.338 2.919 20 0 BFADHN CC1CCC(CN2C[C@H](C)OC[C@H]2C)CC1 ZINC000351051278 355942128 /nfs/dbraw/zinc/94/21/28/355942128.db2.gz FQUJKWYSDIWERO-DKNRTOFZSA-N 0 3 225.376 2.922 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000583624551 355891517 /nfs/dbraw/zinc/89/15/17/355891517.db2.gz HIGXPBJWWWRPLM-BFHYXJOUSA-N 0 3 235.302 2.580 20 0 BFADHN Cc1ccc(CN2CCC(CCF)CC2)cn1 ZINC000639376300 355899692 /nfs/dbraw/zinc/89/96/92/355899692.db2.gz BTMJRDYBHIZWAY-UHFFFAOYSA-N 0 3 236.334 2.962 20 0 BFADHN CC[C@@]1(O)CCCN(Cc2ccccc2F)C1 ZINC000639386256 355985153 /nfs/dbraw/zinc/98/51/53/355985153.db2.gz ZHQNRINLSJDPCC-CQSZACIVSA-N 0 3 237.318 2.563 20 0 BFADHN CC[C@@H](N[C@@H](C)c1cnccn1)C(C)(C)C ZINC000167378508 355986862 /nfs/dbraw/zinc/98/68/62/355986862.db2.gz YXGUHFLIZMEJRV-CMPLNLGQSA-N 0 3 221.348 2.952 20 0 BFADHN COCC1CCN(Cc2ccsc2C)CC1 ZINC000351453104 355994237 /nfs/dbraw/zinc/99/42/37/355994237.db2.gz OVZGDMJSYUYDBV-UHFFFAOYSA-N 0 3 239.384 2.915 20 0 BFADHN CC(C)[C@H](CO)NCc1cccc(F)c1Cl ZINC000167095083 355955845 /nfs/dbraw/zinc/95/58/45/355955845.db2.gz AILVZSQCIGAPLF-NSHDSACASA-N 0 3 245.725 2.586 20 0 BFADHN CC[C@]1(O)CCCN(C/C=C\c2ccccc2)C1 ZINC000639384689 355967546 /nfs/dbraw/zinc/96/75/46/355967546.db2.gz IWBHZMOWQHXLHE-DIEDAUMRSA-N 0 3 245.366 2.937 20 0 BFADHN CC[C@]1(O)CCCN(C/C=C/c2ccccc2)C1 ZINC000639384688 355967744 /nfs/dbraw/zinc/96/77/44/355967744.db2.gz IWBHZMOWQHXLHE-DHINHOHASA-N 0 3 245.366 2.937 20 0 BFADHN CC[C@@H](C)[C@H](CN[C@@H]1C[C@H]1C(F)(F)F)OC ZINC000639390962 356034971 /nfs/dbraw/zinc/03/49/71/356034971.db2.gz RJVLPHRZJLTHHG-KYXWUPHJSA-N 0 3 239.281 2.588 20 0 BFADHN CC(C)[C@@H](O)CCN[C@H]1CCCc2occc21 ZINC000351523536 356008732 /nfs/dbraw/zinc/00/87/32/356008732.db2.gz MGFRXTKMPNTXJM-STQMWFEESA-N 0 3 237.343 2.654 20 0 BFADHN Cn1cncc1CN1CCC[C@H](C2CCC2)CC1 ZINC000639391426 356028399 /nfs/dbraw/zinc/02/83/99/356028399.db2.gz DAVMUTITDISHNI-AWEZNQCLSA-N 0 3 247.386 2.822 20 0 BFADHN Cn1cncc1CN1CCC[C@@H](C2CCC2)CC1 ZINC000639391427 356028793 /nfs/dbraw/zinc/02/87/93/356028793.db2.gz DAVMUTITDISHNI-CQSZACIVSA-N 0 3 247.386 2.822 20 0 BFADHN C[C@@H]1CN(Cc2nccs2)[C@@H](C)[C@H]1C ZINC000418135793 191988998 /nfs/dbraw/zinc/98/89/98/191988998.db2.gz REUDCKRCXGGPKC-UTLUCORTSA-N 0 3 210.346 2.619 20 0 BFADHN C[C@@H](F)CCN(C)CCOCc1ccccc1 ZINC000351883104 356125211 /nfs/dbraw/zinc/12/52/11/356125211.db2.gz SJFZVNNZYKJMAB-CYBMUJFWSA-N 0 3 239.334 2.883 20 0 BFADHN CCOC1CC(CN(C)Cc2cccc(C)n2)C1 ZINC000351882494 356125333 /nfs/dbraw/zinc/12/53/33/356125333.db2.gz QSSKJMJXVTWKNU-UHFFFAOYSA-N 0 3 248.370 2.637 20 0 BFADHN COC[C@@H](NCC[C@H](C)F)c1ccc(F)cc1 ZINC000351721450 356071339 /nfs/dbraw/zinc/07/13/39/356071339.db2.gz RTPHAHCOEGKRAS-GXFFZTMASA-N 0 3 243.297 2.851 20 0 BFADHN COC[C@H](NCC[C@@H](C)F)c1ccc(F)cc1 ZINC000351721451 356071723 /nfs/dbraw/zinc/07/17/23/356071723.db2.gz RTPHAHCOEGKRAS-MFKMUULPSA-N 0 3 243.297 2.851 20 0 BFADHN C[C@@H](F)CCN(C)[C@@H](C)c1cccnc1 ZINC000351734155 356073350 /nfs/dbraw/zinc/07/33/50/356073350.db2.gz HACWXNGJWFWTNM-MNOVXSKESA-N 0 3 210.296 2.823 20 0 BFADHN CO[C@H](CN1CC(C)(C)C1)C1CCCC1 ZINC000639393968 356078176 /nfs/dbraw/zinc/07/81/76/356078176.db2.gz ZPLFJCUFAFUABP-GFCCVEGCSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cnn(CC(F)F)c1 ZINC000351776201 356085490 /nfs/dbraw/zinc/08/54/90/356085490.db2.gz ZBBKCEITSSGBEE-UWVGGRQHSA-N 0 3 243.301 2.521 20 0 BFADHN C[C@H](c1cccc(Cl)c1)N1C[C@@H](C)[C@H](O)C1 ZINC000351776413 356085508 /nfs/dbraw/zinc/08/55/08/356085508.db2.gz ZXNSKTPPUONFAU-GIPNMCIBSA-N 0 3 239.746 2.714 20 0 BFADHN CO[C@H](CNCc1ccc(C)cn1)CC(C)C ZINC000418096572 191969665 /nfs/dbraw/zinc/96/96/65/191969665.db2.gz KCMUOAZKGVQFCK-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@H](c1ccc(Cl)cc1)N1C[C@@H](C)[C@@H](O)C1 ZINC000351822615 356090756 /nfs/dbraw/zinc/09/07/56/356090756.db2.gz XJSODESYIPXXFU-BREBYQMCSA-N 0 3 239.746 2.714 20 0 BFADHN Cc1cc(Cl)ccc1CN[C@H]1C[C@@](C)(O)C1 ZINC000418111310 191976659 /nfs/dbraw/zinc/97/66/59/191976659.db2.gz XLTBTOXJBKLCLQ-BETUJISGSA-N 0 3 239.746 2.651 20 0 BFADHN C[C@H](F)CCN(C)Cc1ccc2c(c1)OCO2 ZINC000351864184 356100895 /nfs/dbraw/zinc/10/08/95/356100895.db2.gz XVSGKPNGWRZWIV-JTQLQIEISA-N 0 3 239.290 2.595 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1nccc(C)n1 ZINC000335914939 356152455 /nfs/dbraw/zinc/15/24/55/356152455.db2.gz AVHLXUVQZUMZKL-VXGBXAGGSA-N 0 3 219.332 2.548 20 0 BFADHN Cc1ccsc1CN1CCOC[C@H](C)C1 ZINC000335915315 356153076 /nfs/dbraw/zinc/15/30/76/356153076.db2.gz XYANFOXBEAFOOY-SNVBAGLBSA-N 0 3 225.357 2.525 20 0 BFADHN Cc1cc(CNC[C@@H]2CCc3ccccc32)no1 ZINC000351907360 356179114 /nfs/dbraw/zinc/17/91/14/356179114.db2.gz NALDSUJILAYSAP-ZDUSSCGKSA-N 0 3 242.322 2.803 20 0 BFADHN CCc1noc(C)c1CN1C[C@@H](C)C[C@H]1C ZINC000335917286 356161044 /nfs/dbraw/zinc/16/10/44/356161044.db2.gz XEFFTSYGWJAPJE-VHSXEESVSA-N 0 3 222.332 2.776 20 0 BFADHN COc1ncccc1CN1CCCC12CCC2 ZINC000336096499 134080456 /nfs/dbraw/zinc/08/04/56/134080456.db2.gz HPSPUAZGKRCKFA-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN Cc1nn(C)cc1CN(C)C[C@@H](C)C(C)(C)C ZINC000639400114 356135787 /nfs/dbraw/zinc/13/57/87/356135787.db2.gz YXPMMMHNRZZYDA-LLVKDONJSA-N 0 3 237.391 2.843 20 0 BFADHN CC1(C)CO[C@H](CN2CCc3ccccc3C2)C1 ZINC000639435068 356214211 /nfs/dbraw/zinc/21/42/11/356214211.db2.gz FZGOACDLBHZDDH-HNNXBMFYSA-N 0 3 245.366 2.860 20 0 BFADHN Cc1nc([C@H](C)NCCC[C@@H](C)O)c(C)s1 ZINC000228033240 491088402 /nfs/dbraw/zinc/08/84/02/491088402.db2.gz AOKQNJPWXMJLFH-BDAKNGLRSA-N 0 3 242.388 2.572 20 0 BFADHN COc1ccccc1CN(C)C[C@@H]1CCO[C@H]1C ZINC000639440114 356217275 /nfs/dbraw/zinc/21/72/75/356217275.db2.gz AEOBFGNDNPVENT-STQMWFEESA-N 0 3 249.354 2.552 20 0 BFADHN C[C@H]1OCC[C@H]1CN1CCc2sccc2C1 ZINC000639443160 356220591 /nfs/dbraw/zinc/22/05/91/356220591.db2.gz XJAYGVYRGCFEKU-MNOVXSKESA-N 0 3 237.368 2.531 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H]1C[C@@H](C)n2ccnc21 ZINC000395525074 356228017 /nfs/dbraw/zinc/22/80/17/356228017.db2.gz BMYFLUJPYOFNQX-WYUUTHIRSA-N 0 3 219.332 2.525 20 0 BFADHN COC[C@H]1CCCCN1Cc1cccc(F)c1 ZINC000449761480 202027480 /nfs/dbraw/zinc/02/74/80/202027480.db2.gz XZFPEPHACYJFGE-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN CCC(F)(F)CN1CCC[C@H]1CN1CCCC1 ZINC000639470461 356257650 /nfs/dbraw/zinc/25/76/50/356257650.db2.gz ONWMXLXWTWLYKA-LBPRGKRZSA-N 0 3 246.345 2.592 20 0 BFADHN Cc1ccc(CN(C[C@H]2CCO[C@H]2C)C2CC2)o1 ZINC000639454474 356238766 /nfs/dbraw/zinc/23/87/66/356238766.db2.gz ZMGTZAXPRLXPAJ-QWHCGFSZSA-N 0 3 249.354 2.977 20 0 BFADHN CC(=O)CN1CCC[C@H]1c1ccccc1C ZINC000639454552 356238927 /nfs/dbraw/zinc/23/89/27/356238927.db2.gz FDLAMTWNUOWMBU-AWEZNQCLSA-N 0 3 217.312 2.721 20 0 BFADHN CC(=O)CN1CCC[C@H]1CCc1ccccc1 ZINC000639455041 356239588 /nfs/dbraw/zinc/23/95/88/356239588.db2.gz LUCOMRXBXKJTEG-HNNXBMFYSA-N 0 3 231.339 2.673 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CC(C)(C)CO2)CCS1 ZINC000639502647 356332285 /nfs/dbraw/zinc/33/22/85/356332285.db2.gz COIPDVZSJYSHCP-VXGBXAGGSA-N 0 3 243.416 2.629 20 0 BFADHN CN(Cc1cccc(O)c1)C[C@@H]1CC(C)(C)CO1 ZINC000639510257 356341880 /nfs/dbraw/zinc/34/18/80/356341880.db2.gz ZQIJHRSXPFEPCB-AWEZNQCLSA-N 0 3 249.354 2.639 20 0 BFADHN C[C@@H]1OCC[C@@H]1CN1CC[C@@H]1c1cccc(F)c1 ZINC000639511026 356345364 /nfs/dbraw/zinc/34/53/64/356345364.db2.gz LSSYVSOAMXVAOE-NJZAAPMLSA-N 0 3 249.329 2.998 20 0 BFADHN CC[C@@H](Cc1ccccc1)N(CC)CC(C)=O ZINC000639516463 356361871 /nfs/dbraw/zinc/36/18/71/356361871.db2.gz HQYVIGXCLRUDFH-HNNXBMFYSA-N 0 3 233.355 2.919 20 0 BFADHN CC1(C)CO[C@@H](CN2CCC[C@H]2c2ccc[nH]2)C1 ZINC000639522203 356369153 /nfs/dbraw/zinc/36/91/53/356369153.db2.gz DEMCFJGSNCHDEM-OCCSQVGLSA-N 0 3 248.370 2.967 20 0 BFADHN CC[C@]1(C)CCCN(CCc2cnccn2)C1 ZINC000639503961 356330496 /nfs/dbraw/zinc/33/04/96/356330496.db2.gz KBZNLVQWPPTCBM-CQSZACIVSA-N 0 3 233.359 2.531 20 0 BFADHN CC(=O)CNC1(c2cccc(C)c2)CCCC1 ZINC000639526366 356374907 /nfs/dbraw/zinc/37/49/07/356374907.db2.gz CCQDUTLZMLPAKK-UHFFFAOYSA-N 0 3 231.339 2.943 20 0 BFADHN CCCC[C@@H](N)C(=O)N[C@H](C)c1ccccc1C ZINC000040854804 358504237 /nfs/dbraw/zinc/50/42/37/358504237.db2.gz XIKUGSNWLUOSEC-TZMCWYRMSA-N 0 3 248.370 2.690 20 0 BFADHN CCC[C@H](NC[C@H]1C[C@@H](O)C1)c1cc(C)ccn1 ZINC000631649910 356419630 /nfs/dbraw/zinc/41/96/30/356419630.db2.gz BOTADOPEEJRRIE-MJBXVCDLSA-N 0 3 248.370 2.592 20 0 BFADHN CC1(C)CN(Cc2coc3ccccc23)C[C@H]1O ZINC000336606112 356405713 /nfs/dbraw/zinc/40/57/13/356405713.db2.gz BPWHSJLOZCCSGC-CQSZACIVSA-N 0 3 245.322 2.636 20 0 BFADHN CCN(Cc1ccncc1)C[C@@H]1CC(C)(C)CO1 ZINC000639554174 356456381 /nfs/dbraw/zinc/45/63/81/356456381.db2.gz JORMEUQRCFDUOL-AWEZNQCLSA-N 0 3 248.370 2.719 20 0 BFADHN CSCCNCc1ccc(F)c(Cl)c1 ZINC000088041042 356460046 /nfs/dbraw/zinc/46/00/46/356460046.db2.gz PVPKWUGDDFEKGS-UHFFFAOYSA-N 0 3 233.739 2.932 20 0 BFADHN CCN(C[C@@H](C)O)[C@H](C)c1ccc(F)cc1F ZINC000352211859 134107948 /nfs/dbraw/zinc/10/79/48/134107948.db2.gz MDAIJLQXUBKJMB-NXEZZACHSA-N 0 3 243.297 2.729 20 0 BFADHN C[C@@H](c1ccncc1)N(C)C[C@H]1CC(C)(C)CO1 ZINC000639551612 356436517 /nfs/dbraw/zinc/43/65/17/356436517.db2.gz PELOBFQFRFOAKW-GXTWGEPZSA-N 0 3 248.370 2.890 20 0 BFADHN CC[C@@H](C)[C@@H](N)C(=O)Nc1ccc(C)c(C)c1 ZINC000019440863 356483280 /nfs/dbraw/zinc/48/32/80/356483280.db2.gz HWQCWGQTKYZNHQ-NOZJJQNGSA-N 0 3 234.343 2.615 20 0 BFADHN Cc1n[nH]cc1CN1CCCC2(CCCC2)C1 ZINC000336161200 134111318 /nfs/dbraw/zinc/11/13/18/134111318.db2.gz FOJXKWKYAXVKNE-UHFFFAOYSA-N 0 3 233.359 2.874 20 0 BFADHN COc1ccc([C@@H](NCC(C)=O)C(C)C)cc1 ZINC000639561881 356477029 /nfs/dbraw/zinc/47/70/29/356477029.db2.gz KIBXMEYIRLUJCK-AWEZNQCLSA-N 0 3 235.327 2.571 20 0 BFADHN CC(=O)CN1CCC[C@]1(C)Cc1ccccc1 ZINC000639586550 356504779 /nfs/dbraw/zinc/50/47/79/356504779.db2.gz HSZVHGNGHVXPFO-OAHLLOKOSA-N 0 3 231.339 2.673 20 0 BFADHN Cc1cc(CN2CCCCC[C@@H]2C)on1 ZINC000336172741 134115530 /nfs/dbraw/zinc/11/55/30/134115530.db2.gz PVEFRCSSZXFWSM-NSHDSACASA-N 0 3 208.305 2.748 20 0 BFADHN C[C@@H]1CCCC[C@@H]1c1noc([C@H]2CCN(C)C2)n1 ZINC000350059192 366251273 /nfs/dbraw/zinc/25/12/73/366251273.db2.gz XDBOTRVNZDOQHU-WOPDTQHZSA-N 0 3 249.358 2.782 20 0 BFADHN Cc1cnc([C@H](C)NC[C@H]2CCO[C@@H]2C)s1 ZINC000639584205 356506909 /nfs/dbraw/zinc/50/69/09/356506909.db2.gz KXEXBQNJFMYCDF-HBNTYKKESA-N 0 3 240.372 2.527 20 0 BFADHN C[C@@H](C(N)=O)N1CCCC2(CCCC2)C1 ZINC000336180563 134119327 /nfs/dbraw/zinc/11/93/27/134119327.db2.gz KVSXKCPXYMCXNM-JTQLQIEISA-N 0 3 210.321 2.566 20 0 BFADHN CC(C)C1(C)CCN(CCc2cnccn2)CC1 ZINC000639595637 356514896 /nfs/dbraw/zinc/51/48/96/356514896.db2.gz LHLGSBXPFIZZMP-UHFFFAOYSA-N 0 3 247.386 2.777 20 0 BFADHN CCOCCN1CCC[C@H](CC(F)(F)F)C1 ZINC000420947346 192275318 /nfs/dbraw/zinc/27/53/18/192275318.db2.gz MSJWFGHIBWUECB-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN CCc1ccc(CNCc2ccccc2)cn1 ZINC000352250199 134118857 /nfs/dbraw/zinc/11/88/57/134118857.db2.gz DPXSEIJMUOJVTM-UHFFFAOYSA-N 0 3 226.323 2.934 20 0 BFADHN Cc1cc([C@H](C)NCC(C)(C)CO)c(C)o1 ZINC000088170624 491095004 /nfs/dbraw/zinc/09/50/04/491095004.db2.gz ZODXXKSHANOJBP-JTQLQIEISA-N 0 3 225.332 2.566 20 0 BFADHN Cc1cc(C)c(CN2[C@H](C)C[C@H]2C)c(C)n1 ZINC000639618236 356535269 /nfs/dbraw/zinc/53/52/69/356535269.db2.gz GNZQREPLXLHCNY-VXGBXAGGSA-N 0 3 218.344 2.990 20 0 BFADHN COCCN[C@@H](CC(F)F)c1ccccc1 ZINC000420984747 192289881 /nfs/dbraw/zinc/28/98/81/192289881.db2.gz GOPUMIPJNVPRLT-NSHDSACASA-N 0 3 229.270 2.619 20 0 BFADHN C[C@H](O)CCN[C@@H](CC(F)F)c1ccccc1 ZINC000420994222 192293282 /nfs/dbraw/zinc/29/32/82/192293282.db2.gz NAAQFJMCEHPSEM-JQWIXIFHSA-N 0 3 243.297 2.743 20 0 BFADHN CC1(C)CN(Cc2ccccc2F)[C@@H]2COC[C@@H]21 ZINC000449778370 202032159 /nfs/dbraw/zinc/03/21/59/202032159.db2.gz BNDNUHLFVICDRA-GXTWGEPZSA-N 0 3 249.329 2.683 20 0 BFADHN CC(C)N(CCO)[C@@H](C)c1ccsc1 ZINC000421002707 192297053 /nfs/dbraw/zinc/29/70/53/192297053.db2.gz GQEGTFRQKLFSBA-JTQLQIEISA-N 0 3 213.346 2.512 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@@H](CC(C)(C)C)C1 ZINC000449775307 202033382 /nfs/dbraw/zinc/03/33/82/202033382.db2.gz MAGCOHLLISTNLH-LBPRGKRZSA-N 0 3 235.375 2.976 20 0 BFADHN CCC(F)(F)CN1C[C@@H](N2CCCC2)C[C@@H]1C ZINC000639641211 356548626 /nfs/dbraw/zinc/54/86/26/356548626.db2.gz IGVAWPFRHDBPTI-RYUDHWBXSA-N 0 3 246.345 2.590 20 0 BFADHN FC1(F)CCC[C@H](CN2CC[C@]23CCOC3)C1 ZINC000421009545 192299964 /nfs/dbraw/zinc/29/99/64/192299964.db2.gz BQZXWKRNVDOETC-NWDGAFQWSA-N 0 3 245.313 2.677 20 0 BFADHN CC(=O)CN1C[C@H](c2ccccc2)[C@@H]2CCC[C@H]21 ZINC000639637237 356550686 /nfs/dbraw/zinc/55/06/86/356550686.db2.gz FPOHKOCAXPZXDZ-ARFHVFGLSA-N 0 3 243.350 2.844 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCC[C@@](C)(O)C1 ZINC000179713355 366289921 /nfs/dbraw/zinc/28/99/21/366289921.db2.gz AOUFALLJJNSWDN-BXUZGUMPSA-N 0 3 237.318 2.734 20 0 BFADHN CC(C)CSCCCN1CC[C@@]12CCOC2 ZINC000421018130 192304202 /nfs/dbraw/zinc/30/42/02/192304202.db2.gz KPOCZSKCFLMFAH-ZDUSSCGKSA-N 0 3 243.416 2.631 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1Cc1cc2ccccc2o1 ZINC000421017758 192304526 /nfs/dbraw/zinc/30/45/26/192304526.db2.gz MIPVVGDKAXUYAJ-DGCLKSJQSA-N 0 3 245.322 2.778 20 0 BFADHN CC1(C)CCN(Cc2ccc3c(c2)CCO3)C1 ZINC000173813859 535315487 /nfs/dbraw/zinc/31/54/87/535315487.db2.gz GDGZCLFMFGYPJP-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN Cc1cnccc1CN(C)[C@H]1CC1(C)C ZINC000336217740 134137752 /nfs/dbraw/zinc/13/77/52/134137752.db2.gz LLRBKWPGFWKKRC-LBPRGKRZSA-N 0 3 204.317 2.620 20 0 BFADHN C[C@@H]1CCCCCN1Cc1ccncc1F ZINC000336217368 134137784 /nfs/dbraw/zinc/13/77/84/134137784.db2.gz DVSUNNWYFXGHEA-LLVKDONJSA-N 0 3 222.307 2.985 20 0 BFADHN CC(=O)CN(C)[C@H]1CCC[C@@H]1C(C)(C)C ZINC000639674982 356591757 /nfs/dbraw/zinc/59/17/57/356591757.db2.gz YEDNLNGJTAJQDG-RYUDHWBXSA-N 0 3 211.349 2.722 20 0 BFADHN OCc1ccc(CN[C@@H]2CC23CCCCC3)o1 ZINC000387103684 363502284 /nfs/dbraw/zinc/50/22/84/363502284.db2.gz HRKSCRKNASVVSC-CYBMUJFWSA-N 0 3 235.327 2.584 20 0 BFADHN c1cncc(CN[C@@H](C2CCC2)[C@H]2CCCO2)c1 ZINC000631254726 356581468 /nfs/dbraw/zinc/58/14/68/356581468.db2.gz QCBWPSBRWUCGLZ-CABCVRRESA-N 0 3 246.354 2.519 20 0 BFADHN CCC[C@@H](C)CN1CCC[C@@H]1c1nc(C)no1 ZINC000348811653 535326487 /nfs/dbraw/zinc/32/64/87/535326487.db2.gz CCEQKWCDTTWLBL-ZYHUDNBSSA-N 0 3 237.347 2.951 20 0 BFADHN CC(C)OCCN1CCC[C@H](c2cccnc2)C1 ZINC000639697059 356605045 /nfs/dbraw/zinc/60/50/45/356605045.db2.gz VLDKEVLMHDUJRQ-HNNXBMFYSA-N 0 3 248.370 2.686 20 0 BFADHN C[C@@H]1CN(CCC2=CCCCC2)CCO1 ZINC000450219126 202099831 /nfs/dbraw/zinc/09/98/31/202099831.db2.gz VJJRCTLMRDMNJT-GFCCVEGCSA-N 0 3 209.333 2.598 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1cnc(C)s1 ZINC000379996896 538463743 /nfs/dbraw/zinc/46/37/43/538463743.db2.gz JZYMYZAKVQDEPY-HLTSFMKQSA-N 0 3 228.361 2.526 20 0 BFADHN C[C@@H](O)CCN1CCC[C@@H]1c1ccc(F)cc1 ZINC000450226946 202101786 /nfs/dbraw/zinc/10/17/86/202101786.db2.gz NRUYJVPIRGRCSY-BXUZGUMPSA-N 0 3 237.318 2.734 20 0 BFADHN CC[C@H]1CN(CCC2=CCCCC2)CCO1 ZINC000450251932 202109527 /nfs/dbraw/zinc/10/95/27/202109527.db2.gz FJIGNDGKFFBHBD-AWEZNQCLSA-N 0 3 223.360 2.988 20 0 BFADHN CCC[C@H](O)CN(CC)Cc1cccc(F)c1 ZINC000450248526 202109858 /nfs/dbraw/zinc/10/98/58/202109858.db2.gz SCHNBTXHRHEAGP-AWEZNQCLSA-N 0 3 239.334 2.809 20 0 BFADHN CCCc1ccc(CN[C@@H]2C[C@@H](O)C2(C)C)cc1 ZINC000582234511 356637997 /nfs/dbraw/zinc/63/79/97/356637997.db2.gz BQGXCUBRUCAWES-HUUCEWRRSA-N 0 3 247.382 2.888 20 0 BFADHN C[C@H](O)CCN(Cc1ccco1)C1CCCC1 ZINC000450269418 202115480 /nfs/dbraw/zinc/11/54/80/202115480.db2.gz IJZSFNPMDPPHKS-LBPRGKRZSA-N 0 3 237.343 2.795 20 0 BFADHN CCc1ccc(CNCc2ccc[nH]c2=O)s1 ZINC000623777061 363549446 /nfs/dbraw/zinc/54/94/46/363549446.db2.gz MXIYMUDXWTUNFU-UHFFFAOYSA-N 0 3 248.351 2.701 20 0 BFADHN CN(CC1=CCCCC1)C[C@H]1CCCCO1 ZINC000450282611 202119727 /nfs/dbraw/zinc/11/97/27/202119727.db2.gz GZIALXMSSZELDO-CQSZACIVSA-N 0 3 223.360 2.988 20 0 BFADHN Cc1nn(C)cc1CN[C@H]1CC12CCCCC2 ZINC000387187088 363537207 /nfs/dbraw/zinc/53/72/07/363537207.db2.gz VYMRTDOJLMPISE-ZDUSSCGKSA-N 0 3 233.359 2.541 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@@H](C)c1ccc(C)o1 ZINC000167625646 134153125 /nfs/dbraw/zinc/15/31/25/134153125.db2.gz PBAWMTLADUHCGK-ZMLRMANQSA-N 0 3 225.332 2.646 20 0 BFADHN CC[C@@H]1c2ccsc2CCN1C[C@@H](C)CO ZINC000120637276 491099899 /nfs/dbraw/zinc/09/98/99/491099899.db2.gz UOBDSTUDJOZUCB-ZYHUDNBSSA-N 0 3 239.384 2.686 20 0 BFADHN CCc1csc(N[C@@H]2CCN(C)[C@H](C)C2)n1 ZINC000123970067 358510068 /nfs/dbraw/zinc/51/00/68/358510068.db2.gz LZNBHUHHBJJLFL-MWLCHTKSSA-N 0 3 239.388 2.600 20 0 BFADHN CCc1cc(N2CCC[C@H](COC)C2)ccn1 ZINC000450332442 202133359 /nfs/dbraw/zinc/13/33/59/202133359.db2.gz UUUBJDNFGVOYNA-LBPRGKRZSA-N 0 3 234.343 2.507 20 0 BFADHN CCn1nccc1CN1CCC[C@H](C)[C@@H]1C ZINC000179798882 366309523 /nfs/dbraw/zinc/30/95/23/366309523.db2.gz OITBVZNZKDSDLO-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](CC)CCO)o1 ZINC000168128407 134156154 /nfs/dbraw/zinc/15/61/54/134156154.db2.gz XDHMOSHANWZFTC-MNOVXSKESA-N 0 3 225.332 2.654 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](CC)CCO)o1 ZINC000168128725 134156216 /nfs/dbraw/zinc/15/62/16/134156216.db2.gz XDHMOSHANWZFTC-WDEREUQCSA-N 0 3 225.332 2.654 20 0 BFADHN CC(C)c1nc2n(n1)CCC[C@H]2N[C@@H](C)C1CC1 ZINC000168018059 134155132 /nfs/dbraw/zinc/15/51/32/134155132.db2.gz ANOGIDGFJHVWST-CMPLNLGQSA-N 0 3 248.374 2.625 20 0 BFADHN FC(F)(F)C1CCN(CC2=CCCOC2)CC1 ZINC000179965155 366342102 /nfs/dbraw/zinc/34/21/02/366342102.db2.gz PBBGODTUIRGHLK-UHFFFAOYSA-N 0 3 249.276 2.607 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)o1 ZINC000163030160 202146509 /nfs/dbraw/zinc/14/65/09/202146509.db2.gz YGWXJLOVYNRDRU-ADEWGFFLSA-N 0 3 222.332 2.897 20 0 BFADHN CCOC[C@@H](C)NCc1cc(F)cc(Cl)c1 ZINC000168257075 134161006 /nfs/dbraw/zinc/16/10/06/134161006.db2.gz CTMUSRJKAKDPLV-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](CC)CCO)o1 ZINC000168128510 134156249 /nfs/dbraw/zinc/15/62/49/134156249.db2.gz XDHMOSHANWZFTC-QWRGUYRKSA-N 0 3 225.332 2.654 20 0 BFADHN CC1(C)CC[C@@H](CN2CCC[C@H]2c2cn[nH]c2)O1 ZINC000639776437 356718119 /nfs/dbraw/zinc/71/81/19/356718119.db2.gz ANRUXCHKJDORIH-STQMWFEESA-N 0 3 249.358 2.504 20 0 BFADHN CCN(CCC1CC1)Cc1cnccc1OC ZINC000347235492 538572260 /nfs/dbraw/zinc/57/22/60/538572260.db2.gz RUTTVWGFQPSLCU-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCN(Cc1coc2ccccc12)[C@@H](C)CO ZINC000354451011 356738579 /nfs/dbraw/zinc/73/85/79/356738579.db2.gz SNHLIAOEIYEZCF-NSHDSACASA-N 0 3 233.311 2.636 20 0 BFADHN CC1(C)CN(Cc2cocn2)[C@H]2CCC[C@H]21 ZINC000354578699 356740839 /nfs/dbraw/zinc/74/08/39/356740839.db2.gz LXNIIRRQNGSNSL-NEPJUHHUSA-N 0 3 220.316 2.685 20 0 BFADHN CCN(Cc1ccnc(C)n1)CC(C)(C)C ZINC000353570296 356714257 /nfs/dbraw/zinc/71/42/57/356714257.db2.gz OZLVKDGMNBARNW-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN C[C@@H](NC1CCC2(CC2)CC1)c1ncc[nH]1 ZINC000309538676 491102918 /nfs/dbraw/zinc/10/29/18/491102918.db2.gz FNFKUCIRXGLCJQ-SNVBAGLBSA-N 0 3 219.332 2.783 20 0 BFADHN CCC[C@@H](O)CN1Cc2ccccc2[C@@H]1C ZINC000450456268 202171032 /nfs/dbraw/zinc/17/10/32/202171032.db2.gz SOLVXKLZUMQBBQ-WCQYABFASA-N 0 3 219.328 2.724 20 0 BFADHN c1cc(CNC[C@H]2C[C@H]2c2ccccc2)on1 ZINC000578193406 366378820 /nfs/dbraw/zinc/37/88/20/366378820.db2.gz WCSQRWDDKHHIIZ-OCCSQVGLSA-N 0 3 228.295 2.568 20 0 BFADHN CCc1cc(N2CCO[C@](C)(CC)C2)ccn1 ZINC000450468351 202174380 /nfs/dbraw/zinc/17/43/80/202174380.db2.gz LZFORNQBYOYPAQ-CQSZACIVSA-N 0 3 234.343 2.649 20 0 BFADHN CCC[C@H](O)CN1C[C@@H](C)[C@H]1c1ccccc1 ZINC000450470155 202175199 /nfs/dbraw/zinc/17/51/99/202175199.db2.gz SXTKAGRPBVKRQI-SNPRPXQTSA-N 0 3 233.355 2.840 20 0 BFADHN CCc1cc(N[C@@H](C)c2cncs2)ccn1 ZINC000450491013 202184340 /nfs/dbraw/zinc/18/43/40/202184340.db2.gz NGZWNCXAROCBHG-VIFPVBQESA-N 0 3 233.340 2.696 20 0 BFADHN Cc1ccccc1CN1CCO[C@@H]2CCC[C@@H]21 ZINC000054345175 363568763 /nfs/dbraw/zinc/56/87/63/363568763.db2.gz FQAATYIDIXHPEE-LSDHHAIUSA-N 0 3 231.339 2.748 20 0 BFADHN CCCC[C@H](C)N(C)Cc1ccnn1CC ZINC000356500192 356797880 /nfs/dbraw/zinc/79/78/80/356797880.db2.gz DGMBZTNGOYOHKD-LBPRGKRZSA-N 0 3 223.364 2.914 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H]1CCO[C@@H](C)C1 ZINC000356875544 356808556 /nfs/dbraw/zinc/80/85/56/356808556.db2.gz QZYVUFOGHQDGGP-OBJOEFQTSA-N 0 3 248.370 2.862 20 0 BFADHN CC(C)CCN1CCC[C@H]1c1ncccn1 ZINC000639841097 356834177 /nfs/dbraw/zinc/83/41/77/356834177.db2.gz RDAAZVUBRBZHCE-LBPRGKRZSA-N 0 3 219.332 2.660 20 0 BFADHN Cc1csc([C@@H](C)NCCC[C@@H](C)CO)n1 ZINC000623925399 356810221 /nfs/dbraw/zinc/81/02/21/356810221.db2.gz DJURJEYEFWAMNJ-MWLCHTKSSA-N 0 3 242.388 2.511 20 0 BFADHN CCc1cnc(CNC(C2CC2)C2CC2)s1 ZINC000130458623 325054057 /nfs/dbraw/zinc/05/40/57/325054057.db2.gz IRDBBXABBJCLOK-UHFFFAOYSA-N 0 3 236.384 2.984 20 0 BFADHN CC[C@]1(C)CCN(CCc2cscn2)C1 ZINC000450483370 202180935 /nfs/dbraw/zinc/18/09/35/202180935.db2.gz JIPHNFMHARRTDU-GFCCVEGCSA-N 0 3 224.373 2.808 20 0 BFADHN C1=CCC(CN2CCC[C@H]2c2ncccn2)C1 ZINC000639863652 356874318 /nfs/dbraw/zinc/87/43/18/356874318.db2.gz AEUMONXODNQBCP-ZDUSSCGKSA-N 0 3 229.327 2.580 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc(C#N)cc1 ZINC000389705693 356883404 /nfs/dbraw/zinc/88/34/04/356883404.db2.gz YFPBXFXGSIIQJD-BYCRGOAPSA-N 0 3 200.285 2.785 20 0 BFADHN CC[C@@H](C)CN1CCC[C@H]1c1ncccn1 ZINC000639842725 356837693 /nfs/dbraw/zinc/83/76/93/356837693.db2.gz IRIDVBQHXKHKRT-NEPJUHHUSA-N 0 3 219.332 2.660 20 0 BFADHN c1cnc([C@H]2CCCN2CC2CCCC2)nc1 ZINC000639844099 356839156 /nfs/dbraw/zinc/83/91/56/356839156.db2.gz WTMRGYNBXIZFHB-CYBMUJFWSA-N 0 3 231.343 2.804 20 0 BFADHN CCO[C@H]1CCCN(Cc2ccccc2OC)C1 ZINC000155466824 538715755 /nfs/dbraw/zinc/71/57/55/538715755.db2.gz FLZVELUPCFPFBG-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1CCCCCC1 ZINC000542933217 325064202 /nfs/dbraw/zinc/06/42/02/325064202.db2.gz MRGDCUQSDMFKNA-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@H](C)[C@H](C)OC ZINC000359315377 356887960 /nfs/dbraw/zinc/88/79/60/356887960.db2.gz SRLZACHUVJPGMB-WOPDTQHZSA-N 0 3 236.359 2.718 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1cnns1 ZINC000450497345 202187886 /nfs/dbraw/zinc/18/78/86/202187886.db2.gz FMWHZJSQFZEVFW-PWSUYJOCSA-N 0 3 239.388 2.939 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1nccc(C)n1 ZINC000360340190 356906012 /nfs/dbraw/zinc/90/60/12/356906012.db2.gz XZMSMBJPCXSXSH-CHWSQXEVSA-N 0 3 233.359 2.843 20 0 BFADHN CCN(Cc1ccc(F)c(C)c1)C[C@@H](C)OC ZINC000361015623 356915094 /nfs/dbraw/zinc/91/50/94/356915094.db2.gz CJFXDHLLTAUMSH-GFCCVEGCSA-N 0 3 239.334 2.991 20 0 BFADHN CC[C@](C)(NCCC[C@@H](C)O)c1nccs1 ZINC000450565325 202198623 /nfs/dbraw/zinc/19/86/23/202198623.db2.gz KUZHORREYFMHOO-PWSUYJOCSA-N 0 3 242.388 2.519 20 0 BFADHN CCc1cnccc1[C@@H](C)NC[C@@H]1CCCCO1 ZINC000361131445 356916983 /nfs/dbraw/zinc/91/69/83/356916983.db2.gz JGOPYHHSLOYSGP-OCCSQVGLSA-N 0 3 248.370 2.864 20 0 BFADHN CCN(Cc1cn(C)nc1C(F)F)C1CCC1 ZINC000361188117 356918734 /nfs/dbraw/zinc/91/87/34/356918734.db2.gz RMHPZIYRQCHFCX-UHFFFAOYSA-N 0 3 243.301 2.732 20 0 BFADHN CCN(Cc1cc(C)sc1C)[C@H]1CCOC1 ZINC000361237479 356920171 /nfs/dbraw/zinc/92/01/71/356920171.db2.gz PBHKASOIPBDZDA-ZDUSSCGKSA-N 0 3 239.384 2.976 20 0 BFADHN C[C@H](NCC1CCC(F)(F)CC1)c1ncc[nH]1 ZINC000353591616 538751579 /nfs/dbraw/zinc/75/15/79/538751579.db2.gz XUQSCGDXJARICJ-VIFPVBQESA-N 0 3 243.301 2.886 20 0 BFADHN CCN(Cc1ccc(C)cc1)[C@@H]1CCOC1 ZINC000361239295 356920411 /nfs/dbraw/zinc/92/04/11/356920411.db2.gz UKPKLENTAIYABM-CQSZACIVSA-N 0 3 219.328 2.606 20 0 BFADHN CCN(Cc1cccc(C)c1C)[C@@H]1CCOC1 ZINC000361236541 356920473 /nfs/dbraw/zinc/92/04/73/356920473.db2.gz FZUWRAYNDNYYHV-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN CCN(Cc1ccc(C)cc1C)[C@@H]1CCOC1 ZINC000361236450 356920957 /nfs/dbraw/zinc/92/09/57/356920957.db2.gz FJYCOIWVHOWMPX-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN CC(C)CC[C@H](O)CN1CCS[C@@H](C)[C@@H]1C ZINC000361274029 356924352 /nfs/dbraw/zinc/92/43/52/356924352.db2.gz QDFZGCQRSMRCSJ-AVGNSLFASA-N 0 3 245.432 2.609 20 0 BFADHN CCN(Cc1ccccn1)CC1(OC)CCCC1 ZINC000361567820 356932970 /nfs/dbraw/zinc/93/29/70/356932970.db2.gz VTDMTSDGRBDTSP-UHFFFAOYSA-N 0 3 248.370 2.863 20 0 BFADHN CC(C)CN(Cc1ncccn1)C1CCCC1 ZINC000361710920 356936317 /nfs/dbraw/zinc/93/63/17/356936317.db2.gz DSLRXZFTZGQDAV-UHFFFAOYSA-N 0 3 233.359 2.877 20 0 BFADHN COc1ncccc1CN[C@H]1CC[C@H]1C(C)C ZINC000353433592 538742928 /nfs/dbraw/zinc/74/29/28/538742928.db2.gz GZDSEMXQLAQAIS-STQMWFEESA-N 0 3 234.343 2.614 20 0 BFADHN CCN(Cc1ccc(F)cc1)C[C@@H](C)OC ZINC000360001663 356900193 /nfs/dbraw/zinc/90/01/93/356900193.db2.gz BPMPLYJTLIOPEB-LLVKDONJSA-N 0 3 225.307 2.683 20 0 BFADHN CCOC(=O)[C@@H](CC)N(C)C[C@H](C)C(C)(C)C ZINC000399554334 356976896 /nfs/dbraw/zinc/97/68/96/356976896.db2.gz DLKRSCILCJUZAU-NWDGAFQWSA-N 0 3 243.391 2.942 20 0 BFADHN COC[C@H](C)Nc1ccnc2ccccc21 ZINC000041720282 358513706 /nfs/dbraw/zinc/51/37/06/358513706.db2.gz JNDQGKOARLAVBA-JTQLQIEISA-N 0 3 216.284 2.682 20 0 BFADHN CC1(C)CN(Cc2ccsc2)CCCO1 ZINC000371331756 357038656 /nfs/dbraw/zinc/03/86/56/357038656.db2.gz KOEQXIKVYAHLKN-UHFFFAOYSA-N 0 3 225.357 2.749 20 0 BFADHN CCC(O)(CC)CCN[C@H](C)c1ccoc1 ZINC000390558030 357104387 /nfs/dbraw/zinc/10/43/87/357104387.db2.gz RCCVCQOTCKSDKO-LLVKDONJSA-N 0 3 225.332 2.871 20 0 BFADHN FCCCN[C@H](c1cncc(F)c1)C1CC1 ZINC000390756787 357114913 /nfs/dbraw/zinc/11/49/13/357114913.db2.gz IHIIEIACPZVVCQ-LBPRGKRZSA-N 0 3 226.270 2.621 20 0 BFADHN FC1(CNCC2(c3ccccc3)CC2)CC1 ZINC000390753638 357114978 /nfs/dbraw/zinc/11/49/78/357114978.db2.gz FNPPLTAAYZDMKP-UHFFFAOYSA-N 0 3 219.303 2.810 20 0 BFADHN COCCC1(CN[C@H](C)c2ccoc2)CC1 ZINC000131011825 325107519 /nfs/dbraw/zinc/10/75/19/325107519.db2.gz PLQZINQASDSATR-LLVKDONJSA-N 0 3 223.316 2.747 20 0 BFADHN COCCN(Cc1cccs1)CC1(C)CC1 ZINC000582336853 357073946 /nfs/dbraw/zinc/07/39/46/357073946.db2.gz GMCUZYRUWAOEJK-UHFFFAOYSA-N 0 3 239.384 2.997 20 0 BFADHN O[C@@H](CN[C@@H]1CCCC[C@H]1F)CC1CCCC1 ZINC000582355830 357098692 /nfs/dbraw/zinc/09/86/92/357098692.db2.gz DKZUFYDCZGBMPB-MGPQQGTHSA-N 0 3 243.366 2.798 20 0 BFADHN Cc1ncncc1[C@H](C)NC1CC(C)(C)C1 ZINC000582371494 357114481 /nfs/dbraw/zinc/11/44/81/357114481.db2.gz AVDYVAVHQFBGLH-JTQLQIEISA-N 0 3 219.332 2.624 20 0 BFADHN COC[C@H](Cc1ccccc1)NCC(C)(C)F ZINC000631658603 357158147 /nfs/dbraw/zinc/15/81/47/357158147.db2.gz MBPUYKKMNRIMMM-ZDUSSCGKSA-N 0 3 239.334 2.582 20 0 BFADHN CC[C@H](C[C@H](C)CO)N[C@H](C)c1cscn1 ZINC000390778370 357116136 /nfs/dbraw/zinc/11/61/36/357116136.db2.gz HEXKKJUUJHZADT-HBNTYKKESA-N 0 3 242.388 2.591 20 0 BFADHN Cc1nnsc1CN[C@H]1CC2CCC1CC2 ZINC000390821102 357117246 /nfs/dbraw/zinc/11/72/46/357117246.db2.gz XTKDGMSGKVFZFN-ILDUYXDCSA-N 0 3 237.372 2.515 20 0 BFADHN Clc1cccc(CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000628407697 357204998 /nfs/dbraw/zinc/20/49/98/357204998.db2.gz LKBZROUTHMYUDA-PHIMTYICSA-N 0 3 207.704 2.792 20 0 BFADHN CC(C)Oc1cccc(CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000628408898 357209129 /nfs/dbraw/zinc/20/91/29/357209129.db2.gz ITWOZPTXQGWFJA-OKILXGFUSA-N 0 3 231.339 2.926 20 0 BFADHN CC[C@@H]1CCCCN1C(=O)[C@@H](C)N(CC)CC ZINC000123106443 357212603 /nfs/dbraw/zinc/21/26/03/357212603.db2.gz DFYXZOYZPQXKRN-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN CC(=O)CN1CC[C@H](c2ccc(F)cc2)C1(C)C ZINC000639891919 357215959 /nfs/dbraw/zinc/21/59/59/357215959.db2.gz OAGDFARDRXYKPJ-CQSZACIVSA-N 0 3 249.329 2.983 20 0 BFADHN CCC(C)(C)N(C)Cc1cc(OC)ccn1 ZINC000348395893 535355714 /nfs/dbraw/zinc/35/57/14/535355714.db2.gz FAKOIOJBKSBZQO-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CCO[C@H]1C[C@@H](NCC(C)(C)F)C12CCC2 ZINC000631659084 357185895 /nfs/dbraw/zinc/18/58/95/357185895.db2.gz PFKKVXXJOACAPQ-MNOVXSKESA-N 0 3 229.339 2.672 20 0 BFADHN COCC1(NCc2ccc(C)c(C)c2)CC1 ZINC000393746239 357295549 /nfs/dbraw/zinc/29/55/49/357295549.db2.gz KCINKDXQUICMNW-UHFFFAOYSA-N 0 3 219.328 2.572 20 0 BFADHN FC1(CNCCOC2CCCCCC2)CC1 ZINC000393818424 357302509 /nfs/dbraw/zinc/30/25/09/357302509.db2.gz HOYRUVPKFGCWIX-UHFFFAOYSA-N 0 3 229.339 2.818 20 0 BFADHN c1ccc([C@@H](NC2CSC2)C2CCC2)nc1 ZINC000628423404 357247101 /nfs/dbraw/zinc/24/71/01/357247101.db2.gz RWQHFOCQRLYCFE-ZDUSSCGKSA-N 0 3 234.368 2.628 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN1CC2(C1)CCCO2 ZINC000628427708 357257021 /nfs/dbraw/zinc/25/70/21/357257021.db2.gz USXDMSOLKFGBLQ-UONOGXRCSA-N 0 3 235.371 2.844 20 0 BFADHN C[C@H](CN1CC2(C1)CCCO2)C(C)(C)C ZINC000628427437 357257728 /nfs/dbraw/zinc/25/77/28/357257728.db2.gz BTYCFLXWSKIMAN-LLVKDONJSA-N 0 3 211.349 2.533 20 0 BFADHN CC[C@@H](NCC(C)=O)c1cc(C)ccc1C ZINC000639895095 357258835 /nfs/dbraw/zinc/25/88/35/357258835.db2.gz XFAVNZQLWBYSIV-CQSZACIVSA-N 0 3 219.328 2.933 20 0 BFADHN O=c1cc(CN2CCCC3(CC3)CC2)cc[nH]1 ZINC000639896955 357266157 /nfs/dbraw/zinc/26/61/57/357266157.db2.gz KVURQONHKWQBSJ-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN CC(C)[C@H](CO)CNCc1cc2ccccc2o1 ZINC000394020695 357315316 /nfs/dbraw/zinc/31/53/16/357315316.db2.gz BTQVBZBLKGYLLN-ZDUSSCGKSA-N 0 3 247.338 2.787 20 0 BFADHN COCC1(NCc2ccc3[nH]ccc3c2)CCC1 ZINC000394106537 357324617 /nfs/dbraw/zinc/32/46/17/357324617.db2.gz JEJPCNLRNCRDPO-UHFFFAOYSA-N 0 3 244.338 2.827 20 0 BFADHN C[C@H](NCCCc1cccs1)c1ccnn1C ZINC000582645650 357362173 /nfs/dbraw/zinc/36/21/73/357362173.db2.gz HCGXRLBKGXBYCZ-NSHDSACASA-N 0 3 249.383 2.765 20 0 BFADHN CCn1nc(C)c(CN2CCC[C@@H](C)C2)c1C ZINC000157686250 538836695 /nfs/dbraw/zinc/83/66/95/538836695.db2.gz UFPMLSIRJNMGCV-LLVKDONJSA-N 0 3 235.375 2.752 20 0 BFADHN Cc1nocc1CNCC(C1CC1)C1CC1 ZINC000378864574 357405714 /nfs/dbraw/zinc/40/57/14/357405714.db2.gz XQUJPRKQCCFKMI-UHFFFAOYSA-N 0 3 220.316 2.509 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCN(CC3CC3)C2)o1 ZINC000157810129 538840154 /nfs/dbraw/zinc/84/01/54/538840154.db2.gz JDCOXHMZPJZBOK-GXTWGEPZSA-N 0 3 248.370 2.723 20 0 BFADHN Cc1nccnc1[C@H](C)NC1CC(C)(C)C1 ZINC000395174885 357415851 /nfs/dbraw/zinc/41/58/51/357415851.db2.gz JLJSSDXFJPXKKQ-JTQLQIEISA-N 0 3 219.332 2.624 20 0 BFADHN Cn1cc(CNC2CC(C)(C)C2)c(C(C)(C)C)n1 ZINC000395191532 357416702 /nfs/dbraw/zinc/41/67/02/357416702.db2.gz XYHPZIQPSPUYNK-UHFFFAOYSA-N 0 3 249.402 2.996 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2ccc(N(C)C)nc2)C1 ZINC000158036245 538847352 /nfs/dbraw/zinc/84/73/52/538847352.db2.gz ANJYHSOADMYSLL-BETUJISGSA-N 0 3 247.386 2.626 20 0 BFADHN CC(C)=CCN[C@]1(CO)CCCc2ccccc21 ZINC000583136013 357488752 /nfs/dbraw/zinc/48/87/52/357488752.db2.gz CAAGSQIEFHDOCB-INIZCTEOSA-N 0 3 245.366 2.766 20 0 BFADHN CC/C=C\CCN1CCS[C@@H]2COCC[C@@H]21 ZINC000588476124 538852635 /nfs/dbraw/zinc/85/26/35/538852635.db2.gz YXKSQPVSYCSGHB-RSNNXLNZSA-N 0 3 241.400 2.549 20 0 BFADHN c1ccc2nc(CN[C@@H]3CCSC3)ccc2c1 ZINC000230704400 357572985 /nfs/dbraw/zinc/57/29/85/357572985.db2.gz VIVMVDIMQVJKFH-CYBMUJFWSA-N 0 3 244.363 2.830 20 0 BFADHN COC(C)(C)CCN[C@H](C)c1ccccn1 ZINC000230417173 357523123 /nfs/dbraw/zinc/52/31/23/357523123.db2.gz QDZWHGKLZGJSIP-LLVKDONJSA-N 0 3 222.332 2.547 20 0 BFADHN COC[C@H](N[C@H](C)[C@@H]1CCCO1)c1ccccc1 ZINC000230395954 357534650 /nfs/dbraw/zinc/53/46/50/357534650.db2.gz UDQIBMIXCXVXGU-SNPRPXQTSA-N 0 3 249.354 2.531 20 0 BFADHN Cc1noc(C)c1CNC[C@H]1CC[C@H](C)C1 ZINC000230408276 357539493 /nfs/dbraw/zinc/53/94/93/357539493.db2.gz LAMZFCKGFIOXPD-CABZTGNLSA-N 0 3 222.332 2.817 20 0 BFADHN COc1cccc(CNC[C@H]2CCC[C@H]2C)n1 ZINC000230667284 357547785 /nfs/dbraw/zinc/54/77/85/357547785.db2.gz VUBBLGBHPLZYAS-VXGBXAGGSA-N 0 3 234.343 2.616 20 0 BFADHN CN1CCN(CCCCF)CC12CCCCC2 ZINC000583212326 357551775 /nfs/dbraw/zinc/55/17/75/357551775.db2.gz NOZJVEUKXSMSMT-UHFFFAOYSA-N 0 3 242.382 2.686 20 0 BFADHN CCN(C)C(=O)[C@@H](C)N[C@H](C)c1ccccc1C ZINC000188496310 357556611 /nfs/dbraw/zinc/55/66/11/357556611.db2.gz FNBCOZKMNAPLFN-CHWSQXEVSA-N 0 3 248.370 2.512 20 0 BFADHN Cc1ccc(CNC[C@@H](C)c2nccs2)nc1 ZINC000230692876 357558652 /nfs/dbraw/zinc/55/86/52/357558652.db2.gz XEOVBNVINAGQNU-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN CC/C=C\CCN1CC[C@H](Oc2cccnc2)C1 ZINC000588476110 538852086 /nfs/dbraw/zinc/85/20/86/538852086.db2.gz QDJHYWWMBUAUGX-JZIMQPMSSA-N 0 3 246.354 2.891 20 0 BFADHN CCCn1cc(CN[C@@H](C)CC(F)(F)F)cn1 ZINC000231751109 357625163 /nfs/dbraw/zinc/62/51/63/357625163.db2.gz DHXOHWXYNRXTCB-VIFPVBQESA-N 0 3 249.280 2.724 20 0 BFADHN Fc1cncc(CNCCCC(F)(F)F)c1 ZINC000230941382 357583710 /nfs/dbraw/zinc/58/37/10/357583710.db2.gz XFJNXFBLYJADMR-UHFFFAOYSA-N 0 3 236.212 2.653 20 0 BFADHN Fc1cncc(CNC[C@@H]2CCCCS2)c1 ZINC000230942344 357585225 /nfs/dbraw/zinc/58/52/25/357585225.db2.gz AHSFEPSQDVLHLZ-LBPRGKRZSA-N 0 3 240.347 2.596 20 0 BFADHN CC(C)C1(C)CCN(Cc2c[nH]cn2)CC1 ZINC000189949443 357677786 /nfs/dbraw/zinc/67/77/86/357677786.db2.gz CJMAGOZRTIODHT-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CC(C)C1(C)CCN(Cc2cnc[nH]2)CC1 ZINC000189949443 357677790 /nfs/dbraw/zinc/67/77/90/357677790.db2.gz CJMAGOZRTIODHT-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN COCCNCc1ccc(C(F)(F)F)cc1C ZINC000189678449 357657195 /nfs/dbraw/zinc/65/71/95/357657195.db2.gz ZRCAXWNUVQXZLB-UHFFFAOYSA-N 0 3 247.260 2.750 20 0 BFADHN C[C@@H](CO)N(C)C/C=C/c1ccc(Cl)cc1 ZINC000189751141 357666705 /nfs/dbraw/zinc/66/67/05/357666705.db2.gz XUYIVKZDPJSZDL-UFFNRZRYSA-N 0 3 239.746 2.666 20 0 BFADHN FC(F)COCCN1CCC2(CCCC2)C1 ZINC000189477602 357637049 /nfs/dbraw/zinc/63/70/49/357637049.db2.gz WNJBVSAFDKMFAK-UHFFFAOYSA-N 0 3 233.302 2.534 20 0 BFADHN CCN1CC[C@@H](C(F)(F)F)C(C)(C)C1 ZINC000190174219 357690640 /nfs/dbraw/zinc/69/06/40/357690640.db2.gz VRBLFFLUQTXIJN-MRVPVSSYSA-N 0 3 209.255 2.917 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](CO)C1)c1ccc(Cl)s1 ZINC000631667566 357698792 /nfs/dbraw/zinc/69/87/92/357698792.db2.gz DJFONRCJZGZBBE-HLTSFMKQSA-N 0 3 245.775 2.823 20 0 BFADHN C[C@@H]1CC[C@H](CN(C)Cc2ccc(F)cc2)O1 ZINC000190304011 357698841 /nfs/dbraw/zinc/69/88/41/357698841.db2.gz WZNWQUNUKUQKII-BXUZGUMPSA-N 0 3 237.318 2.825 20 0 BFADHN C[C@@H]1CC[C@@H](CN(C)Cc2ccc(F)cc2)O1 ZINC000190304050 357700177 /nfs/dbraw/zinc/70/01/77/357700177.db2.gz WZNWQUNUKUQKII-RISCZKNCSA-N 0 3 237.318 2.825 20 0 BFADHN CC[C@H](NCc1ccno1)[C@H]1CC1(C)C ZINC000397870534 357775604 /nfs/dbraw/zinc/77/56/04/357775604.db2.gz ZXVUZPREYGDTBG-MNOVXSKESA-N 0 3 208.305 2.589 20 0 BFADHN CCCCN(C)Cc1ccc(N(C)CC)nc1 ZINC000191161986 357738671 /nfs/dbraw/zinc/73/86/71/357738671.db2.gz BOBXGXKNRIBMTL-UHFFFAOYSA-N 0 3 235.375 2.770 20 0 BFADHN Cc1ccc(CN(C)CC(C)(C)O)c(Cl)c1 ZINC000234305652 357800283 /nfs/dbraw/zinc/80/02/83/357800283.db2.gz NSFBOGOBJXGLKE-UHFFFAOYSA-N 0 3 241.762 2.851 20 0 BFADHN Cc1ncc(CN[C@H](C)CCc2ccco2)o1 ZINC000397929578 357806086 /nfs/dbraw/zinc/80/60/86/357806086.db2.gz CUTGPUFRYGIHLN-SNVBAGLBSA-N 0 3 234.299 2.687 20 0 BFADHN CC(C)=CCNCc1cnc2ccc(C)cn12 ZINC000191630183 357780423 /nfs/dbraw/zinc/78/04/23/357780423.db2.gz LFFSLQYFJLOTRG-UHFFFAOYSA-N 0 3 229.327 2.699 20 0 BFADHN CCC[C@H](C)CN([C@@H](C)C(=O)OC)C1CCC1 ZINC000191650305 357780932 /nfs/dbraw/zinc/78/09/32/357780932.db2.gz YPQXBVQJEJFBQS-RYUDHWBXSA-N 0 3 241.375 2.839 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1cnn(C(C)C)c1 ZINC000191654519 357781092 /nfs/dbraw/zinc/78/10/92/357781092.db2.gz FENDZZGXOZDVOW-QJPTWQEYSA-N 0 3 239.363 2.538 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](CO)C(C)C)c(C)o1 ZINC000086122418 357855162 /nfs/dbraw/zinc/85/51/62/357855162.db2.gz FAASINPJNRXCRY-MFKMUULPSA-N 0 3 225.332 2.564 20 0 BFADHN CCN(Cc1ncccn1)[C@H]1CCCC[C@@H]1C ZINC000192214624 357834995 /nfs/dbraw/zinc/83/49/95/357834995.db2.gz OBUKVGVPFKUHGZ-STQMWFEESA-N 0 3 233.359 2.877 20 0 BFADHN CC1(C)CN(C[C@@H]2CCOC2)[C@H]1c1ccco1 ZINC000639927425 357861851 /nfs/dbraw/zinc/86/18/51/357861851.db2.gz RHMGPHVDBBQVDE-AAEUAGOBSA-N 0 3 235.327 2.699 20 0 BFADHN COc1ncccc1CN(CC(C)C)C(C)C ZINC000193309558 357873969 /nfs/dbraw/zinc/87/39/69/357873969.db2.gz DIUQQGDMNJRWPY-UHFFFAOYSA-N 0 3 236.359 2.957 20 0 BFADHN COc1ncccc1CN([C@@H](C)C1CC1)C1CC1 ZINC000193309380 357874207 /nfs/dbraw/zinc/87/42/07/357874207.db2.gz NUSDHBFHHAVCLY-NSHDSACASA-N 0 3 246.354 2.853 20 0 BFADHN CCN(Cc1c[nH]cn1)[C@H]1CCCC[C@@H]1C ZINC000193387584 357881170 /nfs/dbraw/zinc/88/11/70/357881170.db2.gz RXTJUUDBZXWKKG-AAEUAGOBSA-N 0 3 221.348 2.810 20 0 BFADHN CCN(Cc1c[nH]nc1C(C)(C)C)C1CC1 ZINC000133326760 325286552 /nfs/dbraw/zinc/28/65/52/325286552.db2.gz BRDWNLWGWHXSGI-UHFFFAOYSA-N 0 3 221.348 2.692 20 0 BFADHN CC1(CN2CC(Cc3ccco3)C2)CCC1 ZINC000628433446 357991373 /nfs/dbraw/zinc/99/13/73/357991373.db2.gz QFXNZLBLXLZTMX-UHFFFAOYSA-N 0 3 219.328 2.944 20 0 BFADHN CCN(C)c1ccc(CN2CCC2(C)C)cn1 ZINC000584504888 358008087 /nfs/dbraw/zinc/00/80/87/358008087.db2.gz AEFGTKMVOAWSDE-UHFFFAOYSA-N 0 3 233.359 2.522 20 0 BFADHN CCC[C@H](O)CN1CCC[C@@H]1c1cccc(C)n1 ZINC000584510566 358011967 /nfs/dbraw/zinc/01/19/67/358011967.db2.gz SAMPDKUSAFKIMM-DZGCQCFKSA-N 0 3 248.370 2.688 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@H]1CCC(F)(F)C1 ZINC000639970461 358022571 /nfs/dbraw/zinc/02/25/71/358022571.db2.gz GLXCLGBFHNUZPF-JTQLQIEISA-N 0 3 243.301 2.585 20 0 BFADHN CCN(CCCCCOC)Cc1ccoc1 ZINC000103227828 358024100 /nfs/dbraw/zinc/02/41/00/358024100.db2.gz FCQJGTXXKHJFOW-UHFFFAOYSA-N 0 3 225.332 2.918 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000639972197 358031363 /nfs/dbraw/zinc/03/13/63/358031363.db2.gz ONLWUWQICMXICL-FRRDWIJNSA-N 0 3 233.359 2.586 20 0 BFADHN COc1cc(C)ccc1[C@H](C)NC[C@H]1CCCO1 ZINC000037215282 358060457 /nfs/dbraw/zinc/06/04/57/358060457.db2.gz MZFDYZFBWKUGLX-QWHCGFSZSA-N 0 3 249.354 2.833 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1cc(C)oc1C ZINC000217706572 134250746 /nfs/dbraw/zinc/25/07/46/134250746.db2.gz LSYBPIKQZOVSCS-PWSUYJOCSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1ncc(CNC[C@]2(C)C[C@H]3C[C@H]3C2)o1 ZINC000628460506 358088502 /nfs/dbraw/zinc/08/85/02/358088502.db2.gz XYZYYMKRNOMQKX-PTEHBNRSSA-N 0 3 220.316 2.509 20 0 BFADHN Fc1cccc(CNCCc2ccncc2)c1F ZINC000037871581 358095841 /nfs/dbraw/zinc/09/58/41/358095841.db2.gz KGIOEKFXAQJRDL-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN OCC[C@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC000051916549 358100726 /nfs/dbraw/zinc/10/07/26/358100726.db2.gz GPBIVBOPLJQODI-KZJSRBBCSA-N 0 3 245.366 2.794 20 0 BFADHN CCn1ccnc1CNC[C@@H]1CCCC[C@H]1C ZINC000051924202 358102678 /nfs/dbraw/zinc/10/26/78/358102678.db2.gz RKHUKYOZVBFOFB-OLZOCXBDSA-N 0 3 235.375 2.819 20 0 BFADHN C[C@H](CN1CCO[C@@H](CCF)C1)C(C)(C)C ZINC000628458793 358093780 /nfs/dbraw/zinc/09/37/80/358093780.db2.gz FNMHWKWYWBQPHS-NEPJUHHUSA-N 0 3 231.355 2.729 20 0 BFADHN CCC[C@H](C)[C@@H](CC)NCc1ncccn1 ZINC000584666079 358120260 /nfs/dbraw/zinc/12/02/60/358120260.db2.gz JGIYFOAWFDNLIB-NWDGAFQWSA-N 0 3 221.348 2.781 20 0 BFADHN Cc1nc(CCN2CCC(C)(F)CC2)cs1 ZINC000640071435 358162056 /nfs/dbraw/zinc/16/20/56/358162056.db2.gz MXVQMERSHQANQR-UHFFFAOYSA-N 0 3 242.363 2.818 20 0 BFADHN CC[C@@]1(C)COCCN1C[C@H]1CCC(F)(F)C1 ZINC000450631031 202217038 /nfs/dbraw/zinc/21/70/38/202217038.db2.gz BJLUAAVQWMGICE-RYUDHWBXSA-N 0 3 247.329 2.923 20 0 BFADHN CC(C)=CCN1CCN(C)C2(CCCCC2)C1 ZINC000584847910 358201273 /nfs/dbraw/zinc/20/12/73/358201273.db2.gz ZJYULDJDPBDXSW-UHFFFAOYSA-N 0 3 236.403 2.903 20 0 BFADHN CC(C)C[C@@H]1COCCN1[C@H]1C=CCCC1 ZINC000172161027 134262168 /nfs/dbraw/zinc/26/21/68/134262168.db2.gz OWQPIZYSJBQNRL-UONOGXRCSA-N 0 3 223.360 2.842 20 0 BFADHN Cc1ccc(C2CC(NCc3cc[nH]n3)C2)cc1 ZINC000041015069 358241187 /nfs/dbraw/zinc/24/11/87/358241187.db2.gz RDNJNMVVMMGIHJ-UHFFFAOYSA-N 0 3 241.338 2.754 20 0 BFADHN OC[C@H](NCC1=CCCCC1)c1ccccc1 ZINC000450666589 202230628 /nfs/dbraw/zinc/23/06/28/202230628.db2.gz IRQSEZNTGFEHRX-HNNXBMFYSA-N 0 3 231.339 2.810 20 0 BFADHN CC(=O)c1ccc(C)cc1O[C@@H](C)CN(C)C ZINC000584603945 358262461 /nfs/dbraw/zinc/26/24/61/358262461.db2.gz VTZREHPSGAODLW-NSHDSACASA-N 0 3 235.327 2.527 20 0 BFADHN C[C@@H](C[S@@](C)=O)N(C)CCC1=CCCCC1 ZINC000450721908 202244864 /nfs/dbraw/zinc/24/48/64/202244864.db2.gz ZAMHTLPMDCIBJJ-BLLLJJGKSA-N 0 3 243.416 2.576 20 0 BFADHN COC1(CN[C@H](C)c2ccsc2)CCC1 ZINC000134714472 325372938 /nfs/dbraw/zinc/37/29/38/325372938.db2.gz HATAVNHWYCANTN-SNVBAGLBSA-N 0 3 225.357 2.968 20 0 BFADHN C[C@@H](CN1C[C@@H](C)O[C@@H](C)C1)c1ccccc1 ZINC000180312638 366415191 /nfs/dbraw/zinc/41/51/91/366415191.db2.gz SYSQNTNRXYFFFA-MELADBBJSA-N 0 3 233.355 2.899 20 0 BFADHN C[C@@H](NCC1(CO)CC1)c1csc(Cl)c1 ZINC000230410292 491130030 /nfs/dbraw/zinc/13/00/30/491130030.db2.gz JTYKPMQYHFOJEP-MRVPVSSYSA-N 0 3 245.775 2.825 20 0 BFADHN COc1ccc(CNC[C@H](C)SC)c(F)c1 ZINC000228601108 358381556 /nfs/dbraw/zinc/38/15/56/358381556.db2.gz JTQZJNDRSAZXGR-VIFPVBQESA-N 0 3 243.347 2.675 20 0 BFADHN CCc1ccc(CN2CC[C@@H](O)[C@@H](C)C2)s1 ZINC000229578239 358404309 /nfs/dbraw/zinc/40/43/09/358404309.db2.gz YBRQJGIYOLRQFY-GXFFZTMASA-N 0 3 239.384 2.513 20 0 BFADHN CC1(C)C[C@@H]1NCc1cccc(F)c1F ZINC000045119530 358417663 /nfs/dbraw/zinc/41/76/63/358417663.db2.gz NZWYHDPSOWPLOF-JTQLQIEISA-N 0 3 211.255 2.853 20 0 BFADHN Cc1cccc2[nH]c(CNC3CCCC3)nc21 ZINC000043598594 358541130 /nfs/dbraw/zinc/54/11/30/358541130.db2.gz ALIIWTPCQZWEDB-UHFFFAOYSA-N 0 3 229.327 2.904 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccc(CO)o2)CC1 ZINC000128840366 358581157 /nfs/dbraw/zinc/58/11/57/358581157.db2.gz NSKXBVPSQIJJCF-GFCCVEGCSA-N 0 3 237.343 2.784 20 0 BFADHN CCN(Cc1cnc(C)s1)CC(C)C ZINC000125710416 358544055 /nfs/dbraw/zinc/54/40/55/358544055.db2.gz KIGVUQXOTTWWNH-UHFFFAOYSA-N 0 3 212.362 2.929 20 0 BFADHN C[C@H](N[C@H]1CCN(C2CC2)C1)c1ccccc1F ZINC000044684300 358552423 /nfs/dbraw/zinc/55/24/23/358552423.db2.gz WLVAJYYOBSIGSL-RYUDHWBXSA-N 0 3 248.345 2.713 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(C)C[C@@H]2CCOC2)o1 ZINC000047704473 358600694 /nfs/dbraw/zinc/60/06/94/358600694.db2.gz DUESSRCQAAZGBX-DYEKYZERSA-N 0 3 249.354 2.871 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN(C)C[C@@H]2CCOC2)o1 ZINC000047704477 358600709 /nfs/dbraw/zinc/60/07/09/358600709.db2.gz DUESSRCQAAZGBX-MBNYWOFBSA-N 0 3 249.354 2.871 20 0 BFADHN CC[C@@H](C)CN(C)Cc1ccccc1C(=O)OC ZINC000130141161 358610800 /nfs/dbraw/zinc/61/08/00/358610800.db2.gz UHGAKLVKJTZOOY-GFCCVEGCSA-N 0 3 249.354 2.951 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cc(C(=O)OC)co1 ZINC000130433325 358626135 /nfs/dbraw/zinc/62/61/35/358626135.db2.gz ZXYYQQXASYQMCU-ZJUUUORDSA-N 0 3 239.315 2.590 20 0 BFADHN C[C@H](NCC1(O)CCC1)c1cc(F)cc(F)c1 ZINC000130786833 358644302 /nfs/dbraw/zinc/64/43/02/358644302.db2.gz UCIIQHROMBBWKB-VIFPVBQESA-N 0 3 241.281 2.530 20 0 BFADHN CCCN1CCC[C@@H]1c1ccc(COC)o1 ZINC000130909554 358653336 /nfs/dbraw/zinc/65/33/36/358653336.db2.gz QJJYNSRREOLKQE-GFCCVEGCSA-N 0 3 223.316 2.973 20 0 BFADHN CCn1nccc1CN1CCC(C(C)C)CC1 ZINC000131119556 358660817 /nfs/dbraw/zinc/66/08/17/358660817.db2.gz GLXOYFZWJDNBIX-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN CC(C)CC[C@@H](C)N(C)[C@@H](C)C[S@](C)=O ZINC000131140956 358662316 /nfs/dbraw/zinc/66/23/16/358662316.db2.gz WDALUAFVJBWAFK-TYNCELHUSA-N 0 3 233.421 2.510 20 0 BFADHN CC(C)CCC[C@@H](C)N(C)[C@@H](C)C[S@](C)=O ZINC000131148266 358663471 /nfs/dbraw/zinc/66/34/71/358663471.db2.gz VQJVONQSUSHNDZ-DVOMOZLQSA-N 0 3 247.448 2.900 20 0 BFADHN CC[C@H](C)C[C@@H](C)NC(=O)[C@@H](C(C)C)N(C)C ZINC000132095760 358705212 /nfs/dbraw/zinc/70/52/12/358705212.db2.gz LOYQMEUOESHISU-YNEHKIRRSA-N 0 3 242.407 2.514 20 0 BFADHN CCSc1ccccc1[C@H](C)NC[C@H](C)O ZINC000131718021 358692025 /nfs/dbraw/zinc/69/20/25/358692025.db2.gz GJSCSXFAEWEWGY-QWRGUYRKSA-N 0 3 239.384 2.830 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1ccc(F)cc1F ZINC000131843031 358696701 /nfs/dbraw/zinc/69/67/01/358696701.db2.gz NQRTZGCMLPWYPS-GXSJLCMTSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@H](C)C[C@H](C)NC(=O)[C@@H](C(C)C)N(C)C ZINC000132096168 358705895 /nfs/dbraw/zinc/70/58/95/358705895.db2.gz LOYQMEUOESHISU-RWMBFGLXSA-N 0 3 242.407 2.514 20 0 BFADHN COC(C)(C)CN[C@H](C)c1ccccc1F ZINC000133057612 358744251 /nfs/dbraw/zinc/74/42/51/358744251.db2.gz HLFAAUQFPRHHGK-SNVBAGLBSA-N 0 3 225.307 2.901 20 0 BFADHN COc1cc(C)nc(CN[C@H]2CC=CCC2)c1 ZINC000214090982 358753224 /nfs/dbraw/zinc/75/32/24/358753224.db2.gz OWJIMPUJVAYXPF-LBPRGKRZSA-N 0 3 232.327 2.597 20 0 BFADHN CC[C@@H](N[C@H](C)C(=O)NC(C)C)c1ccccc1 ZINC000051628052 358757479 /nfs/dbraw/zinc/75/74/79/358757479.db2.gz XMVPMWZTYHSMBT-TZMCWYRMSA-N 0 3 248.370 2.640 20 0 BFADHN COc1cc(C)nc(CNC[C@@H]2CC=CCC2)c1 ZINC000214103449 358759739 /nfs/dbraw/zinc/75/97/39/358759739.db2.gz JLYJHGYEUHEFBI-CYBMUJFWSA-N 0 3 246.354 2.845 20 0 BFADHN CC[C@H]1CCCCN1Cc1cccnc1OC ZINC000176452002 134291584 /nfs/dbraw/zinc/29/15/84/134291584.db2.gz LZHHLMVMFLLSDR-ZDUSSCGKSA-N 0 3 234.343 2.855 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000051920933 358767452 /nfs/dbraw/zinc/76/74/52/358767452.db2.gz GZEFARVCEJAGBZ-RWMBFGLXSA-N 0 3 235.375 2.817 20 0 BFADHN CCC1CCC(NCc2nccn2CC)CC1 ZINC000051924129 358768130 /nfs/dbraw/zinc/76/81/30/358768130.db2.gz DUFKJECTMIDNOS-UHFFFAOYSA-N 0 3 235.375 2.961 20 0 BFADHN CCOC(=O)CCN[C@H](C)c1cc(C)cc(C)c1 ZINC000132590754 358728397 /nfs/dbraw/zinc/72/83/97/358728397.db2.gz GVQFNNLFKORHDI-CYBMUJFWSA-N 0 3 249.354 2.907 20 0 BFADHN CCOC(=O)CCN[C@@H](C)c1cc(C)cc(C)c1 ZINC000132590554 358728518 /nfs/dbraw/zinc/72/85/18/358728518.db2.gz GVQFNNLFKORHDI-ZDUSSCGKSA-N 0 3 249.354 2.907 20 0 BFADHN C[C@@H](NC1CC1)c1cccc(N2CCCC2=O)c1 ZINC000132724607 358733922 /nfs/dbraw/zinc/73/39/22/358733922.db2.gz HVZLWNJFVBRPOJ-LLVKDONJSA-N 0 3 244.338 2.626 20 0 BFADHN CC(C)[C@H]1CC[C@H]1NCc1nccs1 ZINC000308514149 491136743 /nfs/dbraw/zinc/13/67/43/491136743.db2.gz LYNXIOMWEIEMGC-NXEZZACHSA-N 0 3 210.346 2.667 20 0 BFADHN CC[C@@H](C)NCc1ncccc1C(F)(F)F ZINC000132551133 491136763 /nfs/dbraw/zinc/13/67/63/491136763.db2.gz VUTWQRNIDJURIT-MRVPVSSYSA-N 0 3 232.249 2.989 20 0 BFADHN CCCC(C)(C)NCc1ccoc1C(=O)OC ZINC000134168177 358781831 /nfs/dbraw/zinc/78/18/31/358781831.db2.gz SKMUBQKVBIDXLB-UHFFFAOYSA-N 0 3 239.315 2.735 20 0 BFADHN CC(C)CN(CC(=O)NC(C)C)CC(C)(C)C ZINC000134463285 358790213 /nfs/dbraw/zinc/79/02/13/358790213.db2.gz HHLSLJOXFPFNCN-UHFFFAOYSA-N 0 3 242.407 2.515 20 0 BFADHN c1cc(CNC[C@@H]2CCOc3ccccc32)co1 ZINC000134477218 358791035 /nfs/dbraw/zinc/79/10/35/358791035.db2.gz RROGLMWKGWVZEK-ZDUSSCGKSA-N 0 3 243.306 2.936 20 0 BFADHN Cc1cc(CN[C@@]2(C)CCOC2)ccc1Cl ZINC000134656584 358800372 /nfs/dbraw/zinc/80/03/72/358800372.db2.gz FFEQFGNSZYJGNK-ZDUSSCGKSA-N 0 3 239.746 2.917 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C)c1nc2c(s1)CCC2 ZINC000134645652 358800535 /nfs/dbraw/zinc/80/05/35/358800535.db2.gz OFTZRWHYHKJDMK-UTLUCORTSA-N 0 3 236.384 2.938 20 0 BFADHN Cc1nnc(CN[C@@H](C)C2CCCCC2)s1 ZINC000134721750 358804023 /nfs/dbraw/zinc/80/40/23/358804023.db2.gz UNZBUKJFRGXRRX-VIFPVBQESA-N 0 3 239.388 2.905 20 0 BFADHN CC(=O)Nc1cccc2c1CCN(CC(C)C)C2 ZINC000054392749 358860587 /nfs/dbraw/zinc/86/05/87/358860587.db2.gz NFEIKIYTTZCDEN-UHFFFAOYSA-N 0 3 246.354 2.659 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1ccsc1 ZINC000308632647 491137584 /nfs/dbraw/zinc/13/75/84/491137584.db2.gz ZOYFLVAPBCISHD-FBIMIBRVSA-N 0 3 211.330 2.576 20 0 BFADHN CC[C@H](N[C@H]1CCO[C@@H]1C)c1ccccc1OC ZINC000135302002 358829464 /nfs/dbraw/zinc/82/94/64/358829464.db2.gz APMOZDKJFKALCC-XBFCOCLRSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1ccc2ccccc2n1 ZINC000135306915 358830444 /nfs/dbraw/zinc/83/04/44/358830444.db2.gz FHVSHDSIIZTDPM-FZMZJTMJSA-N 0 3 242.322 2.502 20 0 BFADHN C[C@H](Cc1ccsc1)N1CCCO[C@H](C)C1 ZINC000578258626 366472871 /nfs/dbraw/zinc/47/28/71/366472871.db2.gz XMTACILIUAUWAX-VXGBXAGGSA-N 0 3 239.384 2.790 20 0 BFADHN CC(C)(C)OCCNCc1cc2cccnc2o1 ZINC000578292306 366476281 /nfs/dbraw/zinc/47/62/81/366476281.db2.gz QNNJDYVCDMTALS-UHFFFAOYSA-N 0 3 248.326 2.733 20 0 BFADHN CCCCCCN1CCS[C@@H]2COCC[C@@H]21 ZINC000135549832 358844482 /nfs/dbraw/zinc/84/44/82/358844482.db2.gz RCMNHGUXHVBINA-QWHCGFSZSA-N 0 3 243.416 2.773 20 0 BFADHN CCCCN(C)[C@H]1CCCc2c1cnn2C ZINC000135582261 358845887 /nfs/dbraw/zinc/84/58/87/358845887.db2.gz CKFVRGLHSRLKMQ-LBPRGKRZSA-N 0 3 221.348 2.529 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H](C(C)C)C2)n1 ZINC000135648351 358848035 /nfs/dbraw/zinc/84/80/35/358848035.db2.gz BRTGBFPHGSPUPQ-GFCCVEGCSA-N 0 3 234.343 2.574 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H](C(C)C)C2)n1 ZINC000135648351 358848037 /nfs/dbraw/zinc/84/80/37/358848037.db2.gz BRTGBFPHGSPUPQ-GFCCVEGCSA-N 0 3 234.343 2.574 20 0 BFADHN CCN(C)CCN[C@@H](C)c1ccc(F)c(F)c1 ZINC000054109813 358853611 /nfs/dbraw/zinc/85/36/11/358853611.db2.gz DWBYTKQUSDAJEA-JTQLQIEISA-N 0 3 242.313 2.567 20 0 BFADHN CC(C)n1cc(CN2[C@H](C)CC[C@@H]2C)cn1 ZINC000180595892 366519367 /nfs/dbraw/zinc/51/93/67/366519367.db2.gz ZRCDWMFTTUCXMP-TXEJJXNPSA-N 0 3 221.348 2.837 20 0 BFADHN Cn1cncc1CN1CC[C@]1(C)C1CCCCC1 ZINC000640219173 358887236 /nfs/dbraw/zinc/88/72/36/358887236.db2.gz KNMPVTVRBQTJQW-OAHLLOKOSA-N 0 3 247.386 2.965 20 0 BFADHN Cc1cnc(CN2[C@H](C)CC[C@@H]2C)s1 ZINC000180542030 366500309 /nfs/dbraw/zinc/50/03/09/366500309.db2.gz NUUUPSBAEBRJMV-DTORHVGOSA-N 0 3 210.346 2.824 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cnc(C2CC2)s1 ZINC000309626869 358949402 /nfs/dbraw/zinc/94/94/02/358949402.db2.gz GQTDVKVYBVVBHV-BDAKNGLRSA-N 0 3 240.372 2.534 20 0 BFADHN CC(C)[C@H]1C[C@H](N[C@@H](C)c2ccccn2)CCO1 ZINC000070345811 359050412 /nfs/dbraw/zinc/05/04/12/359050412.db2.gz AHWXTTMHXOUOES-GZBFAFLISA-N 0 3 248.370 2.936 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N(CC)CC1CC1 ZINC000182166627 535442750 /nfs/dbraw/zinc/44/27/50/535442750.db2.gz WFLLMVRDXXOMCW-CYBMUJFWSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@H](C)NCc1cc2c(cc1OC)C[C@H](C)O2 ZINC000070981763 359068458 /nfs/dbraw/zinc/06/84/58/359068458.db2.gz VJBFFISNEHTAAA-QWRGUYRKSA-N 0 3 249.354 2.907 20 0 BFADHN C[C@H]1C[C@H](NCc2nccn2C)CC(C)(C)C1 ZINC000071138734 359072439 /nfs/dbraw/zinc/07/24/39/359072439.db2.gz HGPOHZOCXOLUGK-RYUDHWBXSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1cccc(NC(=O)CN[C@H]2CCC[C@@H]2C)c1 ZINC000071207289 359073266 /nfs/dbraw/zinc/07/32/66/359073266.db2.gz YYFHIDDIXYYRMK-JSGCOSHPSA-N 0 3 246.354 2.712 20 0 BFADHN CCCCCN(CCOC)Cc1ccco1 ZINC000072047389 359083229 /nfs/dbraw/zinc/08/32/29/359083229.db2.gz RNYJGDVLBWGUGY-UHFFFAOYSA-N 0 3 225.332 2.918 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1nccn1C ZINC000070445548 359055329 /nfs/dbraw/zinc/05/53/29/359055329.db2.gz GBMSKSCKLANYGG-NDBYEHHHSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H]1CCC[C@H](CCNCc2ncc[nH]2)C1 ZINC000070532081 359058117 /nfs/dbraw/zinc/05/81/17/359058117.db2.gz CEKOMFDQDYVAII-VXGBXAGGSA-N 0 3 221.348 2.716 20 0 BFADHN C[C@H]1CCC[C@H](CCNCc2nccn2C)C1 ZINC000070537337 359059267 /nfs/dbraw/zinc/05/92/67/359059267.db2.gz AYQGWXSJROWUQR-QWHCGFSZSA-N 0 3 235.375 2.726 20 0 BFADHN C[C@H]1CCC[C@@H](CCNCc2nccn2C)C1 ZINC000070537339 359059910 /nfs/dbraw/zinc/05/99/10/359059910.db2.gz AYQGWXSJROWUQR-STQMWFEESA-N 0 3 235.375 2.726 20 0 BFADHN C[C@@H]1Cc2ccccc2CN1C[C@H]1CCCO1 ZINC000246990796 359181442 /nfs/dbraw/zinc/18/14/42/359181442.db2.gz SRODUYXXEUBXPK-IUODEOHRSA-N 0 3 231.339 2.612 20 0 BFADHN C[C@H]1Cc2ccccc2CN1C[C@H]1CCCO1 ZINC000246990806 359181463 /nfs/dbraw/zinc/18/14/63/359181463.db2.gz SRODUYXXEUBXPK-SWLSCSKDSA-N 0 3 231.339 2.612 20 0 BFADHN C1=CC[C@@H](CN2CCN3CCCC[C@H]3C2)CC1 ZINC000246639724 359153699 /nfs/dbraw/zinc/15/36/99/359153699.db2.gz ZHKKMJGLUCFHKB-CABCVRRESA-N 0 3 234.387 2.513 20 0 BFADHN CO[C@@]1(C)C[C@@H](N(C)CC2(F)CC2)C1(C)C ZINC000525978327 259483067 /nfs/dbraw/zinc/48/30/67/259483067.db2.gz LBASQJSTPVWTLH-PWSUYJOCSA-N 0 3 229.339 2.624 20 0 BFADHN CCC[C@H](C)NC(=O)[C@H](C)N(C)C[C@H](C)CC ZINC000247502106 359228022 /nfs/dbraw/zinc/22/80/22/359228022.db2.gz IIRSLEMMCOOTRY-AGIUHOORSA-N 0 3 242.407 2.658 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](C)C[C@H](C)O)o1 ZINC000247953349 359249227 /nfs/dbraw/zinc/24/92/27/359249227.db2.gz FGZLQJZYCIPEIH-WOPDTQHZSA-N 0 3 239.359 2.900 20 0 BFADHN Cc1ccc(CCN2C[C@@H](C)OC[C@H]2C)cc1 ZINC000247239422 359203477 /nfs/dbraw/zinc/20/34/77/359203477.db2.gz YXHLLFNGHGEGEK-ZIAGYGMSSA-N 0 3 233.355 2.647 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@H](C)c1ccc(F)cc1 ZINC000248167580 359257581 /nfs/dbraw/zinc/25/75/81/359257581.db2.gz UBCWPCUCYVVYKU-WDMOLILDSA-N 0 3 239.334 2.883 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CC[C@@]2(CCOC2)C1 ZINC000247485255 359224348 /nfs/dbraw/zinc/22/43/48/359224348.db2.gz WLPCJRKBGXNTOI-SWLSCSKDSA-N 0 3 249.329 2.999 20 0 BFADHN CCS[C@@H]1CC[C@H](N(C)Cc2cn[nH]c2)C1 ZINC000611276259 359288491 /nfs/dbraw/zinc/28/84/91/359288491.db2.gz FTFUKWZLGNBJQA-NWDGAFQWSA-N 0 3 239.388 2.516 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCCC3(CC3)CC2)n1 ZINC000628480173 359317836 /nfs/dbraw/zinc/31/78/36/359317836.db2.gz ARNIRROPJADKGG-UHFFFAOYSA-N 0 3 246.354 2.862 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCCC3(CC3)CC2)n1 ZINC000628480173 359317839 /nfs/dbraw/zinc/31/78/39/359317839.db2.gz ARNIRROPJADKGG-UHFFFAOYSA-N 0 3 246.354 2.862 20 0 BFADHN Cc1ncc(CN2CCCC3(CC3)CC2)o1 ZINC000628480336 359318123 /nfs/dbraw/zinc/31/81/23/359318123.db2.gz RLGALDYNSUHQIY-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN OCc1ccc(CN2CCCC3(CC3)CC2)o1 ZINC000628480256 359318267 /nfs/dbraw/zinc/31/82/67/359318267.db2.gz JDFRPCQFUGMDQW-UHFFFAOYSA-N 0 3 235.327 2.538 20 0 BFADHN CCC(O)(CC)CN(C)Cc1ccc(Cl)o1 ZINC000628479603 359314612 /nfs/dbraw/zinc/31/46/12/359314612.db2.gz UFJFBKGVUIBFDG-UHFFFAOYSA-N 0 3 245.750 2.916 20 0 BFADHN COC(=O)CCCN1C[C@H](C)[C@@H]1c1ccccc1 ZINC000248339240 359266081 /nfs/dbraw/zinc/26/60/81/359266081.db2.gz IEHYUGKSHMSONE-SWLSCSKDSA-N 0 3 247.338 2.633 20 0 BFADHN C[C@H]1CCN(CCOc2ccccc2F)[C@H]1C ZINC000248343558 359267317 /nfs/dbraw/zinc/26/73/17/359267317.db2.gz KLTGDIRFRYNOSL-RYUDHWBXSA-N 0 3 237.318 2.935 20 0 BFADHN CN1CCC[C@H](NCc2ccsc2Cl)C1 ZINC000308905710 491139994 /nfs/dbraw/zinc/13/99/94/491139994.db2.gz CMLXRYKRXCCLAF-JTQLQIEISA-N 0 3 244.791 2.585 20 0 BFADHN C[C@@H](N(C)Cc1cnc(N)s1)C(C)(C)C ZINC000086765902 359413768 /nfs/dbraw/zinc/41/37/68/359413768.db2.gz NLIASFFNTXEQQN-MRVPVSSYSA-N 0 3 227.377 2.592 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1ncc(C)s1 ZINC000379579592 359552399 /nfs/dbraw/zinc/55/23/99/359552399.db2.gz XBWUTSFXWKPSHN-NXEZZACHSA-N 0 3 210.346 2.730 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1ncc(C)s1 ZINC000379579595 359552816 /nfs/dbraw/zinc/55/28/16/359552816.db2.gz XBWUTSFXWKPSHN-ZJUUUORDSA-N 0 3 210.346 2.730 20 0 BFADHN CCC[C@@H](C)N[C@@H](CC)c1ccn(C)n1 ZINC000308969350 491141284 /nfs/dbraw/zinc/14/12/84/491141284.db2.gz YDEZCPBHJCNIQF-MNOVXSKESA-N 0 3 209.337 2.649 20 0 BFADHN C[C@@H](NCC1=CCCCC1)c1cn[nH]c1 ZINC000379582342 359554122 /nfs/dbraw/zinc/55/41/22/359554122.db2.gz OEJAWMFUMGHXBQ-SNVBAGLBSA-N 0 3 205.305 2.561 20 0 BFADHN c1nc(C2CC2)sc1CNC1CCC1 ZINC000379601539 359564103 /nfs/dbraw/zinc/56/41/03/359564103.db2.gz LWTROZKEDWKWTA-UHFFFAOYSA-N 0 3 208.330 2.663 20 0 BFADHN CC(C)c1cc(CNCC2(C)CC2)on1 ZINC000308989283 491141910 /nfs/dbraw/zinc/14/19/10/491141910.db2.gz KQVYTPIUUPHVEN-UHFFFAOYSA-N 0 3 208.305 2.688 20 0 BFADHN Clc1cc(CN[C@@H]2CCSC2)cs1 ZINC000306322821 359607866 /nfs/dbraw/zinc/60/78/66/359607866.db2.gz UXGLIZBOONQVGP-MRVPVSSYSA-N 0 3 233.789 2.997 20 0 BFADHN C[C@@H](N(C)Cc1ccnc(C#N)c1)C1(C)CC1 ZINC000385724028 359627776 /nfs/dbraw/zinc/62/77/76/359627776.db2.gz OLSRITWEUOSINR-LLVKDONJSA-N 0 3 229.327 2.574 20 0 BFADHN CC[C@H](NCC(=O)NC(C)C)c1ccc(C)cc1 ZINC000035133264 359649402 /nfs/dbraw/zinc/64/94/02/359649402.db2.gz KFTVVPZAXQMWJH-AWEZNQCLSA-N 0 3 248.370 2.560 20 0 BFADHN Cc1csc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)n1 ZINC000403638055 359660223 /nfs/dbraw/zinc/66/02/23/359660223.db2.gz GUICBKZSRPCSAQ-GRYCIOLGSA-N 0 3 236.384 2.977 20 0 BFADHN CC(C)c1nc(CCNC2CSC2)cs1 ZINC000309020977 491142715 /nfs/dbraw/zinc/14/27/15/491142715.db2.gz WYQCOTYLNWMZMK-UHFFFAOYSA-N 0 3 242.413 2.514 20 0 BFADHN CCCCNCc1cc2c(cc1OC)C[C@@H](C)O2 ZINC000072658802 359676049 /nfs/dbraw/zinc/67/60/49/359676049.db2.gz UXRLWAAHZMCZDR-LLVKDONJSA-N 0 3 249.354 2.908 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cnc(C)n2C)C1 ZINC000628495145 359696678 /nfs/dbraw/zinc/69/66/78/359696678.db2.gz JLXXYPFNNSPMMC-ZRUQZJFASA-N 0 3 247.386 2.907 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2c[nH]nc2C)C1 ZINC000628495173 359696556 /nfs/dbraw/zinc/69/65/56/359696556.db2.gz KFBDPPLIVIMFOK-DHCBQETCSA-N 0 3 233.359 2.896 20 0 BFADHN CCCCCN1CCc2ccc(O)cc2C1 ZINC000533070689 359702788 /nfs/dbraw/zinc/70/27/88/359702788.db2.gz FJOLFCOMMAUSCY-UHFFFAOYSA-N 0 3 219.328 2.941 20 0 BFADHN Cc1nc(C(C)C)oc1CSCCN(C)C ZINC000450865284 202291608 /nfs/dbraw/zinc/29/16/08/202291608.db2.gz IPWJBNBWYZCLMX-UHFFFAOYSA-N 0 3 242.388 2.901 20 0 BFADHN CCOCCN(CC)Cc1cccc(F)c1 ZINC000057621508 359724244 /nfs/dbraw/zinc/72/42/44/359724244.db2.gz KDDYGQZMYHCIQL-UHFFFAOYSA-N 0 3 225.307 2.684 20 0 BFADHN Cn1nc(CNC[C@@H]2CC2(C)C)c2ccccc21 ZINC000226255502 359731370 /nfs/dbraw/zinc/73/13/70/359731370.db2.gz DZXFQVZJIIUNFR-NSHDSACASA-N 0 3 243.354 2.709 20 0 BFADHN CCc1cc(NCC(F)(F)F)ccn1 ZINC000306409223 359797488 /nfs/dbraw/zinc/79/74/88/359797488.db2.gz JLGZAEGTJOLFHN-UHFFFAOYSA-N 0 3 204.195 2.618 20 0 BFADHN CCC(=O)CCCN1CC(C)=C[C@H](C)C1 ZINC000450915636 202309071 /nfs/dbraw/zinc/30/90/71/202309071.db2.gz PTZXWENAGFFEJZ-NSHDSACASA-N 0 3 209.333 2.644 20 0 BFADHN COC(C)(C)CNCc1cc(F)ccc1C ZINC000163247746 202318748 /nfs/dbraw/zinc/31/87/48/202318748.db2.gz PDLOLYZRSRDCKY-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN CCc1cccc(CN[C@@]2(C)CCOC2)c1 ZINC000578446751 366571238 /nfs/dbraw/zinc/57/12/38/366571238.db2.gz DGBRNAAABMHUBD-AWEZNQCLSA-N 0 3 219.328 2.518 20 0 BFADHN CC[C@@H]1CN([C@H](C)CC(C)C)C[C@@H](C)[S@@]1=O ZINC000450977777 202325795 /nfs/dbraw/zinc/32/57/95/202325795.db2.gz BGGSQZYNMPHDRF-JXFSHQFZSA-N 0 3 245.432 2.652 20 0 BFADHN CCOC1CC(CN2CCC[C@](C)(F)C2)C1 ZINC000451115988 202354692 /nfs/dbraw/zinc/35/46/92/202354692.db2.gz QHHPFTWJBQEZEK-BPCQOVAHSA-N 0 3 229.339 2.626 20 0 BFADHN CCc1cc(CCCN2C[C@H](C)[C@H]2C)on1 ZINC000451072978 202345251 /nfs/dbraw/zinc/34/52/51/202345251.db2.gz PUFHQBXSSZUDAN-WDEREUQCSA-N 0 3 222.332 2.510 20 0 BFADHN C[C@]1(F)CCCN(CCCCCF)C1 ZINC000451113789 202353603 /nfs/dbraw/zinc/35/36/03/202353603.db2.gz KIHWWWVIXYGPAE-NSHDSACASA-N 0 3 205.292 2.950 20 0 BFADHN CC1(C)CC[C@H](CN2CCC[C@@](C)(F)C2)O1 ZINC000451113392 202354218 /nfs/dbraw/zinc/35/42/18/202354218.db2.gz JRZAZYUFTQFROU-DGCLKSJQSA-N 0 3 229.339 2.768 20 0 BFADHN Cc1ccccc1CCN(C)CCOCC1CC1 ZINC000451172150 202369458 /nfs/dbraw/zinc/36/94/58/202369458.db2.gz VGKIECWLDAMSHG-UHFFFAOYSA-N 0 3 247.382 2.896 20 0 BFADHN CCOC(=O)CCCCN1CCC[C@](C)(F)C1 ZINC000451117667 202358389 /nfs/dbraw/zinc/35/83/89/202358389.db2.gz VURNJVZIMJPABK-ZDUSSCGKSA-N 0 3 245.338 2.544 20 0 BFADHN CC(C)N(C[C@H]1CCC(F)(F)C1)C1COC1 ZINC000451121413 202358785 /nfs/dbraw/zinc/35/87/85/202358785.db2.gz QZZCQKAPQYKLML-JTQLQIEISA-N 0 3 233.302 2.531 20 0 BFADHN FC1(F)CCC[C@H](CN(C2CC2)C2COC2)C1 ZINC000451123263 202360133 /nfs/dbraw/zinc/36/01/33/202360133.db2.gz CNNASCLNYLLLHA-JTQLQIEISA-N 0 3 245.313 2.675 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3ccc(F)cc3)CC2)[C@H](C)O1 ZINC000451124954 202360213 /nfs/dbraw/zinc/36/02/13/202360213.db2.gz HDRJDMKYBXOZHG-UHIISALHSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H](NCCOC1CCC1)c1ccsc1 ZINC000309148861 491145589 /nfs/dbraw/zinc/14/55/89/491145589.db2.gz VVOJCRQIQKSZOX-SNVBAGLBSA-N 0 3 225.357 2.968 20 0 BFADHN CCc1ccc(CCN2CC[C@H](F)C2)cc1 ZINC000451191025 202375509 /nfs/dbraw/zinc/37/55/09/202375509.db2.gz QYXTYHMWFCHMMA-AWEZNQCLSA-N 0 3 221.319 2.835 20 0 BFADHN Cc1cccc(CCCN2CC[C@H](F)C2)c1 ZINC000451202948 202379202 /nfs/dbraw/zinc/37/92/02/202379202.db2.gz YHNXHCLOJGZICW-AWEZNQCLSA-N 0 3 221.319 2.971 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCC(C)(C)C1 ZINC000087772332 359927577 /nfs/dbraw/zinc/92/75/77/359927577.db2.gz BTYRZGUGRWESJS-NSHDSACASA-N 0 3 222.332 2.960 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cccnc2)C12CCC2 ZINC000087709110 359924364 /nfs/dbraw/zinc/92/43/64/359924364.db2.gz HBANVDYSIXKGOG-KGLIPLIRSA-N 0 3 246.354 2.519 20 0 BFADHN CCCCN(C)[C@H](C)c1ccc(C(=O)OC)o1 ZINC000088263981 359944020 /nfs/dbraw/zinc/94/40/20/359944020.db2.gz DOIFSERUWXSXPA-SNVBAGLBSA-N 0 3 239.315 2.859 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@@H]2OCC[C@@H]2C1 ZINC000578765151 366644107 /nfs/dbraw/zinc/64/41/07/366644107.db2.gz JWABRNVRZXQCDL-KYOSRNDESA-N 0 3 249.329 2.998 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@](C)(O)C2)c(Cl)c1 ZINC000578898759 366671255 /nfs/dbraw/zinc/67/12/55/366671255.db2.gz GEWPICKYSJLJOE-BJHJDKERSA-N 0 3 239.746 2.651 20 0 BFADHN CC[C@H](F)CN[C@@H]1C[C@H](OC(C)C)C1(C)C ZINC000451494832 202432171 /nfs/dbraw/zinc/43/21/71/202432171.db2.gz JGAOJNCBSWKGTQ-TUAOUCFPSA-N 0 3 231.355 2.916 20 0 BFADHN CCOC[C@H](C)NCc1ccc(C)cc1OC ZINC000119851845 359988510 /nfs/dbraw/zinc/98/85/10/359988510.db2.gz YLHVAMHRPIREFG-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN CN(C)Cc1ccc(C(F)(F)F)cc1 ZINC000035881813 360020979 /nfs/dbraw/zinc/02/09/79/360020979.db2.gz GVCGISVXAMONQN-UHFFFAOYSA-N 0 3 203.207 2.767 20 0 BFADHN O[C@H]1CCC[C@@H]1CNCc1cc2ccccc2o1 ZINC000089151202 359993960 /nfs/dbraw/zinc/99/39/60/359993960.db2.gz JJALVIHGWOLDJC-OCCSQVGLSA-N 0 3 245.322 2.683 20 0 BFADHN CCn1cncc1CN[C@H](C)c1ccccc1 ZINC000090169647 360060444 /nfs/dbraw/zinc/06/04/44/360060444.db2.gz PAVZYFLDXNPIIZ-GFCCVEGCSA-N 0 3 229.327 2.754 20 0 BFADHN C[C@H](N[C@H]1CCOC1)c1ccc2ccccc2n1 ZINC000090774117 360086284 /nfs/dbraw/zinc/08/62/84/360086284.db2.gz VSAHASIQNXZATN-AAEUAGOBSA-N 0 3 242.322 2.674 20 0 BFADHN C[C@@H]1C[C@H](Nc2ccc(Cl)cn2)CCN1C ZINC000090824895 360090581 /nfs/dbraw/zinc/09/05/81/360090581.db2.gz VFJVPFACHJMRSL-MWLCHTKSSA-N 0 3 239.750 2.630 20 0 BFADHN CCn1cc(CN([C@H](C)C2CC2)C2CC2)cn1 ZINC000092009438 360145730 /nfs/dbraw/zinc/14/57/30/360145730.db2.gz NXKIOYDBTMXZCD-LLVKDONJSA-N 0 3 233.359 2.666 20 0 BFADHN CC1(CNCc2nc3c(s2)CCCC3)CC1 ZINC000309360416 491147474 /nfs/dbraw/zinc/14/74/74/491147474.db2.gz AKDWZOQWYJTKJA-UHFFFAOYSA-N 0 3 236.384 2.912 20 0 BFADHN CC[C@H](C)CN(C)Cc1cccc2c1OCO2 ZINC000091796232 360130147 /nfs/dbraw/zinc/13/01/47/360130147.db2.gz SVXJYGDWWVEVOL-NSHDSACASA-N 0 3 235.327 2.893 20 0 BFADHN Cc1cccn2c(CN3CC[C@H](C)[C@@H]3C)cnc12 ZINC000091860032 360132916 /nfs/dbraw/zinc/13/29/16/360132916.db2.gz HUSAZXBYPINWTH-AAEUAGOBSA-N 0 3 243.354 2.873 20 0 BFADHN C[C@H](N(C)Cc1cccn1C)C1(C)CC1 ZINC000091900947 360135118 /nfs/dbraw/zinc/13/51/18/360135118.db2.gz HZXGBVQEFVXEPJ-NSHDSACASA-N 0 3 206.333 2.646 20 0 BFADHN CC[C@H]1CCCN(Cc2cnn(CC)c2)C1 ZINC000091919260 360136134 /nfs/dbraw/zinc/13/61/34/360136134.db2.gz YYCRYCQKNSUAKK-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CC2C(C)(C)C2(C)C)n1 ZINC000092815292 360201312 /nfs/dbraw/zinc/20/13/12/360201312.db2.gz UDDKYMAZHCRNON-UHFFFAOYSA-N 0 3 248.370 2.867 20 0 BFADHN CCc1nn(C)cc1CN[C@H](C)c1ccccc1 ZINC000092354541 360164432 /nfs/dbraw/zinc/16/44/32/360164432.db2.gz NYJHMSCDYYJPRD-GFCCVEGCSA-N 0 3 243.354 2.833 20 0 BFADHN CCc1nn(C)cc1CN[C@@H](C)c1ccccc1 ZINC000092354538 360164456 /nfs/dbraw/zinc/16/44/56/360164456.db2.gz NYJHMSCDYYJPRD-LBPRGKRZSA-N 0 3 243.354 2.833 20 0 BFADHN c1cnn(C2CCN(Cc3ccsc3)CC2)c1 ZINC000092618274 360186480 /nfs/dbraw/zinc/18/64/80/360186480.db2.gz PHEKGCNHLZVQLC-UHFFFAOYSA-N 0 3 247.367 2.782 20 0 BFADHN CC[C@@H]1CN(Cc2occc2C)CCS1 ZINC000093502317 360252309 /nfs/dbraw/zinc/25/23/09/360252309.db2.gz KSIZNJONEJCKGX-LLVKDONJSA-N 0 3 225.357 2.915 20 0 BFADHN CC[C@H]1CN(Cc2occc2C)CCS1 ZINC000093502318 360253167 /nfs/dbraw/zinc/25/31/67/360253167.db2.gz KSIZNJONEJCKGX-NSHDSACASA-N 0 3 225.357 2.915 20 0 BFADHN C1=CC[C@H](CN2CCOCC23CCC3)CC1 ZINC000093509890 360254641 /nfs/dbraw/zinc/25/46/41/360254641.db2.gz PPISRRWYFAAHPK-ZDUSSCGKSA-N 0 3 221.344 2.598 20 0 BFADHN CC[C@H](C)[C@H](C)N(Cc1ccnn1C)C1CC1 ZINC000093132224 360219788 /nfs/dbraw/zinc/21/97/88/360219788.db2.gz AOAPCIORLXBGKR-RYUDHWBXSA-N 0 3 235.375 2.819 20 0 BFADHN CCOCCN(C)CCc1ccccc1Cl ZINC000093311958 360227868 /nfs/dbraw/zinc/22/78/68/360227868.db2.gz FCYSUJYADUCOMZ-UHFFFAOYSA-N 0 3 241.762 2.851 20 0 BFADHN CCOCCN(C)Cc1cc(C)ccc1C ZINC000093314944 360229430 /nfs/dbraw/zinc/22/94/30/360229430.db2.gz HVDVVJYYWZMALP-UHFFFAOYSA-N 0 3 221.344 2.772 20 0 BFADHN CCn1cc(CN2CC[C@H](CC(C)C)C2)cn1 ZINC000093338459 360233789 /nfs/dbraw/zinc/23/37/89/360233789.db2.gz SBEFBEFSRXGNNW-CYBMUJFWSA-N 0 3 235.375 2.771 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cn(C)nc2C)C1 ZINC000093458941 360244326 /nfs/dbraw/zinc/24/43/26/360244326.db2.gz ZMBCKFUJVOHBJG-CQSZACIVSA-N 0 3 235.375 2.741 20 0 BFADHN C1=CC[C@@H](CN2CCOC3(CCC3)C2)CC1 ZINC000093639995 360264031 /nfs/dbraw/zinc/26/40/31/360264031.db2.gz HEDPBPDNWGKAPM-CYBMUJFWSA-N 0 3 221.344 2.598 20 0 BFADHN CC(C)C[C@H]1CCCCN1Cc1c[nH]cn1 ZINC000093642851 360266479 /nfs/dbraw/zinc/26/64/79/360266479.db2.gz WDDRTIPAWQINJR-CYBMUJFWSA-N 0 3 221.348 2.810 20 0 BFADHN Cn1nccc1CN1CCC2(C1)CCCCC2 ZINC000093663738 360268355 /nfs/dbraw/zinc/26/83/55/360268355.db2.gz VPLHXNNIWUYZQC-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN CCn1cc(CN2CCC(C(C)C)CC2)cn1 ZINC000093670014 360268949 /nfs/dbraw/zinc/26/89/49/360268949.db2.gz KQZMDMAHFSJOSB-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN CCC[C@H]1[C@H](C)CCCN1Cc1cc[nH]n1 ZINC000093740892 360274220 /nfs/dbraw/zinc/27/42/20/360274220.db2.gz IZCJQYBSNVSGPM-YPMHNXCESA-N 0 3 221.348 2.810 20 0 BFADHN CCC(C)(C)[C@H]1CCCN1Cc1c[nH]cn1 ZINC000093809441 360279548 /nfs/dbraw/zinc/27/95/48/360279548.db2.gz RCFVJHXTABNDGI-GFCCVEGCSA-N 0 3 221.348 2.810 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1Cc1cc[nH]n1 ZINC000093845273 360284588 /nfs/dbraw/zinc/28/45/88/360284588.db2.gz IGGWXLFBXZGESP-WCQYABFASA-N 0 3 221.348 2.810 20 0 BFADHN CC(C)(CC(F)(F)F)NCc1ccc(=O)[nH]c1 ZINC000631118022 360341328 /nfs/dbraw/zinc/34/13/28/360341328.db2.gz UAQXMGOYMNHBCU-UHFFFAOYSA-N 0 3 248.248 2.608 20 0 BFADHN CCn1ccnc1CN[C@@]1(C)CCCC[C@@H]1C ZINC000308724465 259514110 /nfs/dbraw/zinc/51/41/10/259514110.db2.gz TWDGNDYGPHSTKI-JSGCOSHPSA-N 0 3 235.375 2.961 20 0 BFADHN C1=CC[C@H](CN2CCN3CCCC[C@H]3C2)CC1 ZINC000094432284 360309164 /nfs/dbraw/zinc/30/91/64/360309164.db2.gz ZHKKMJGLUCFHKB-GJZGRUSLSA-N 0 3 234.387 2.513 20 0 BFADHN CO[C@@H]1CCC[C@@H](N[C@@H](C)c2cscn2)C1 ZINC000309459158 491148681 /nfs/dbraw/zinc/14/86/81/491148681.db2.gz NKIHKNWUZSUZKE-HBNTYKKESA-N 0 3 240.372 2.751 20 0 BFADHN Cc1noc(C)c1CNCCCC(F)(F)F ZINC000097988711 360384891 /nfs/dbraw/zinc/38/48/91/360384891.db2.gz KPMLPOVJVDNZPM-UHFFFAOYSA-N 0 3 236.237 2.724 20 0 BFADHN CC[C@H](C)N(C)Cc1cc(C)c(C(=O)OC)o1 ZINC000060575368 360500863 /nfs/dbraw/zinc/50/08/63/360500863.db2.gz FQURFGZWEOTHFP-JTQLQIEISA-N 0 3 239.315 2.605 20 0 BFADHN COC[C@H](NCc1ccc(C)cc1F)C1CC1 ZINC000631100257 360537786 /nfs/dbraw/zinc/53/77/86/360537786.db2.gz VPZGJYGLVULLTN-AWEZNQCLSA-N 0 3 237.318 2.649 20 0 BFADHN COc1cc(C)nc(CN[C@@H]2CCCC23CC3)c1 ZINC000631194050 360618007 /nfs/dbraw/zinc/61/80/07/360618007.db2.gz VCJBAAHTMZRSFB-CQSZACIVSA-N 0 3 246.354 2.821 20 0 BFADHN CCC[C@H](CCO)NCc1cc(F)ccc1F ZINC000631196459 360687726 /nfs/dbraw/zinc/68/77/26/360687726.db2.gz LMIALPOJHCIBAZ-GFCCVEGCSA-N 0 3 243.297 2.606 20 0 BFADHN CCC[C@@H](CCO)NCc1ccccc1Cl ZINC000631196469 360688667 /nfs/dbraw/zinc/68/86/67/360688667.db2.gz LOSAWZKKLORBHX-LBPRGKRZSA-N 0 3 241.762 2.981 20 0 BFADHN CCCCCNCc1cccc2c1OCCO2 ZINC000036167552 360690770 /nfs/dbraw/zinc/69/07/70/360690770.db2.gz XHNSANIOLQIFKP-UHFFFAOYSA-N 0 3 235.327 2.738 20 0 BFADHN C[C@H](NCc1cocn1)[C@H]1CCC[C@H](C)C1 ZINC000449767767 219853238 /nfs/dbraw/zinc/85/32/38/219853238.db2.gz QWHULCKHBYXSFE-SRVKXCTJSA-N 0 3 222.332 2.979 20 0 BFADHN CCC[C@H](CCO)NCc1cc(F)cc(F)c1 ZINC000631199015 360760425 /nfs/dbraw/zinc/76/04/25/360760425.db2.gz OBCPIDDLBQJWHN-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN CCC[C@@H](CCO)NCc1ccc(F)c(F)c1 ZINC000631197828 360736833 /nfs/dbraw/zinc/73/68/33/360736833.db2.gz ZLXHVZFKEBIQEF-NSHDSACASA-N 0 3 243.297 2.606 20 0 BFADHN FC(F)c1ccc(CN[C@@H]2CC23CC3)cn1 ZINC000631163782 360741222 /nfs/dbraw/zinc/74/12/22/360741222.db2.gz UTUPJZOZUYJYNF-SNVBAGLBSA-N 0 3 224.254 2.661 20 0 BFADHN CCCc1ccc(CN[C@H]2CCOC2)cc1 ZINC000309613331 491152490 /nfs/dbraw/zinc/15/24/90/491152490.db2.gz CAZQVHOJSFNDFW-AWEZNQCLSA-N 0 3 219.328 2.518 20 0 BFADHN c1cnn(CCN(Cc2cccs2)C2CC2)c1 ZINC000064140631 360911178 /nfs/dbraw/zinc/91/11/78/360911178.db2.gz HMXHKJKKIMCAGG-UHFFFAOYSA-N 0 3 247.367 2.609 20 0 BFADHN Cc1ccc(CN[C@H]2CCO[C@@H](C)C2)c(F)c1 ZINC000631105037 360981538 /nfs/dbraw/zinc/98/15/38/360981538.db2.gz JBUGKAMGDQRVCM-AAEUAGOBSA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)C[C@@H](C)NCc1nccn1C(C)C ZINC000309650395 491153539 /nfs/dbraw/zinc/15/35/39/491153539.db2.gz XQVXDQCXQZDRND-GFCCVEGCSA-N 0 3 223.364 2.988 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@](C)(O)C2)cc1Cl ZINC000418106610 200827150 /nfs/dbraw/zinc/82/71/50/200827150.db2.gz NLVFHHWDHDZJRO-BJHJDKERSA-N 0 3 239.746 2.651 20 0 BFADHN Cc1cccc2nc(CN(C)CC(C)C)cn21 ZINC000065961439 361083752 /nfs/dbraw/zinc/08/37/52/361083752.db2.gz LSXCKEQGYKJKOK-UHFFFAOYSA-N 0 3 231.343 2.731 20 0 BFADHN Cc1nc([C@H](C)N(C)C[C@@H](C)C(C)(C)C)n[nH]1 ZINC000640346571 361061813 /nfs/dbraw/zinc/06/18/13/361061813.db2.gz ACEWVNCJZQOMID-ZJUUUORDSA-N 0 3 238.379 2.788 20 0 BFADHN CC[C@@H](C)NC(=O)CN(C)C[C@@H](C)C(C)(C)C ZINC000640350168 361074420 /nfs/dbraw/zinc/07/44/20/361074420.db2.gz FXVPLUYKBDLIHP-VXGBXAGGSA-N 0 3 242.407 2.515 20 0 BFADHN c1nc(C2CC2)c(CN[C@H]2CC23CC3)s1 ZINC000424182324 200878477 /nfs/dbraw/zinc/87/84/77/200878477.db2.gz GTAONTUPSIQJRR-JTQLQIEISA-N 0 3 220.341 2.663 20 0 BFADHN c1nc(CN[C@@H]2CCCC[C@@H]2C2CC2)co1 ZINC000309688236 491154448 /nfs/dbraw/zinc/15/44/48/491154448.db2.gz OTYQVDFHAFNIAL-CHWSQXEVSA-N 0 3 220.316 2.733 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1CC12CC2 ZINC000424181225 200879471 /nfs/dbraw/zinc/87/94/71/200879471.db2.gz BDFPYCFWVYQCHF-CYBMUJFWSA-N 0 3 217.312 2.646 20 0 BFADHN CCC[C@H](N[C@H](C)CCO)c1cc(C)ccn1 ZINC000631581027 361169028 /nfs/dbraw/zinc/16/90/28/361169028.db2.gz KOGOGKGLJLOYKY-OLZOCXBDSA-N 0 3 236.359 2.592 20 0 BFADHN CCCCN1CCc2ccc(OC)cc2C1 ZINC000067462641 370671845 /nfs/dbraw/zinc/67/18/45/370671845.db2.gz KKTRRRUGMJAADY-UHFFFAOYSA-N 0 3 219.328 2.853 20 0 BFADHN CC[C@H](C)CN1CCN(C)C2(CCCCC2)C1 ZINC000574999971 361248921 /nfs/dbraw/zinc/24/89/21/361248921.db2.gz QBWRXIHOWFVROJ-AWEZNQCLSA-N 0 3 238.419 2.983 20 0 BFADHN CC(C)=CCN1CCC[C@@H](c2ccncn2)C1 ZINC000613209886 361239730 /nfs/dbraw/zinc/23/97/30/361239730.db2.gz LNKJMDCILWVXMO-CYBMUJFWSA-N 0 3 231.343 2.622 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1cc(F)cc(F)c1 ZINC000309752886 491155543 /nfs/dbraw/zinc/15/55/43/491155543.db2.gz HBSCXNPBHARZRJ-UFBFGSQYSA-N 0 3 243.322 2.948 20 0 BFADHN c1ccc2c(c1)CCN2CCN1CCC12CCC2 ZINC000428203843 201001189 /nfs/dbraw/zinc/00/11/89/201001189.db2.gz HJWCGAOOUFJROF-UHFFFAOYSA-N 0 3 242.366 2.678 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2CCC(C)C)n[nH]1 ZINC000428376707 201010870 /nfs/dbraw/zinc/01/08/70/201010870.db2.gz WBAUCPJAZPFVIH-GFCCVEGCSA-N 0 3 236.363 2.514 20 0 BFADHN CC[C@@H](NCc1nnc(C(C)C)[nH]1)C(C)(C)C ZINC000428354913 201011237 /nfs/dbraw/zinc/01/12/37/201011237.db2.gz MFEZYWJAWOAJBM-SNVBAGLBSA-N 0 3 238.379 2.842 20 0 BFADHN Cc1ccoc1CN1CCC[C@H](OCC2CC2)C1 ZINC000428402043 201012624 /nfs/dbraw/zinc/01/26/24/201012624.db2.gz MQFNRGWRMUROCY-AWEZNQCLSA-N 0 3 249.354 2.979 20 0 BFADHN Cc1nnc(CN2CCC[C@H]2CC(C)(C)C)[nH]1 ZINC000428406723 201012734 /nfs/dbraw/zinc/01/27/34/201012734.db2.gz PNBFGBFBNHQSIY-NSHDSACASA-N 0 3 236.363 2.514 20 0 BFADHN CC(C)c1nc(CN(C)C(C2CC2)C2CC2)n[nH]1 ZINC000428416965 201012795 /nfs/dbraw/zinc/01/27/95/201012795.db2.gz BXTTZSIURPYWRU-UHFFFAOYSA-N 0 3 248.374 2.549 20 0 BFADHN CC(C)c1nnc(C[N@H+](C)C(C2CC2)C2CC2)[n-]1 ZINC000428416965 201012798 /nfs/dbraw/zinc/01/27/98/201012798.db2.gz BXTTZSIURPYWRU-UHFFFAOYSA-N 0 3 248.374 2.549 20 0 BFADHN CC(C)c1nnc(C[N@@H+](C)C(C2CC2)C2CC2)[n-]1 ZINC000428416965 201012801 /nfs/dbraw/zinc/01/28/01/201012801.db2.gz BXTTZSIURPYWRU-UHFFFAOYSA-N 0 3 248.374 2.549 20 0 BFADHN CSCCCN1CCC=C(c2ccccn2)C1 ZINC000579190174 366729991 /nfs/dbraw/zinc/72/99/91/366729991.db2.gz ALKVSDKLCIHMBE-UHFFFAOYSA-N 0 3 248.395 2.924 20 0 BFADHN CC(C)c1nc(CN2CCCC[C@H](C)C2)n[nH]1 ZINC000428431149 201015335 /nfs/dbraw/zinc/01/53/35/201015335.db2.gz LBFZXDJRCUHMRS-NSHDSACASA-N 0 3 236.363 2.550 20 0 BFADHN CC(C)CN(Cc1n[nH]c(C(C)C)n1)C(C)C ZINC000428441400 201018708 /nfs/dbraw/zinc/01/87/08/201018708.db2.gz HRAZLRMMYMINNF-UHFFFAOYSA-N 0 3 238.379 2.795 20 0 BFADHN CC(C)C[N@H+](Cc1nnc(C(C)C)[n-]1)C(C)C ZINC000428441400 201018710 /nfs/dbraw/zinc/01/87/10/201018710.db2.gz HRAZLRMMYMINNF-UHFFFAOYSA-N 0 3 238.379 2.795 20 0 BFADHN CC(C)C[N@@H+](Cc1nnc(C(C)C)[n-]1)C(C)C ZINC000428441400 201018711 /nfs/dbraw/zinc/01/87/11/201018711.db2.gz HRAZLRMMYMINNF-UHFFFAOYSA-N 0 3 238.379 2.795 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@H](C)C[C@@H](C)C2)cn1 ZINC000428461252 201019005 /nfs/dbraw/zinc/01/90/05/201019005.db2.gz QYTVYQKCAGUEKK-WZRBSPASSA-N 0 3 233.359 2.824 20 0 BFADHN OCC[C@@H]1CCCN1C/C=C\c1ccc(F)cc1 ZINC000428458080 201019238 /nfs/dbraw/zinc/01/92/38/201019238.db2.gz BWWCWVATDWJRFY-BGGTVIOKSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@@H](C)C[C@H](C)C2)cn1 ZINC000428461249 201019480 /nfs/dbraw/zinc/01/94/80/201019480.db2.gz QYTVYQKCAGUEKK-GVXVVHGQSA-N 0 3 233.359 2.824 20 0 BFADHN OCC[C@H]1CCCN1C/C=C\c1ccc(F)cc1 ZINC000428458083 201019584 /nfs/dbraw/zinc/01/95/84/201019584.db2.gz BWWCWVATDWJRFY-PEXHTFQZSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1nocc1CNC[C@@H]1CC2CCC1CC2 ZINC000579229646 366739574 /nfs/dbraw/zinc/73/95/74/366739574.db2.gz NGDAGRMFTDFBSZ-BPCQOVAHSA-N 0 3 234.343 2.899 20 0 BFADHN CCCCN(CC)[C@H](C)c1cnc(C)cn1 ZINC000428465448 201021434 /nfs/dbraw/zinc/02/14/34/201021434.db2.gz XLBYZDWJAMCNFK-GFCCVEGCSA-N 0 3 221.348 2.968 20 0 BFADHN CCCc1cccc(CN2CC[C@H](O)[C@@H](C)C2)c1 ZINC000428471979 201022136 /nfs/dbraw/zinc/02/21/36/201022136.db2.gz ZXVVOMXPWNPGNL-BBRMVZONSA-N 0 3 247.382 2.842 20 0 BFADHN Cc1cc(CN(CCC(C)C)C2CC2)ncn1 ZINC000428473268 201022683 /nfs/dbraw/zinc/02/26/83/201022683.db2.gz MAAVQQVGIGUQEF-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cc(C)nc(NC[C@H]2CCC=CO2)c1 ZINC000194525569 361319719 /nfs/dbraw/zinc/31/97/19/361319719.db2.gz OTFMVDMUOARZIO-GFCCVEGCSA-N 0 3 218.300 2.803 20 0 BFADHN CC[C@]1(C)C[C@@H]1C(=O)Nc1cccc(CNC)c1 ZINC000428334500 201009145 /nfs/dbraw/zinc/00/91/45/201009145.db2.gz WPPLSGJTJGTOSV-UKRRQHHQSA-N 0 3 246.354 2.781 20 0 BFADHN C[C@@]12CCN(CCC(F)(F)F)C[C@@H]1C2(F)F ZINC000428723431 201038401 /nfs/dbraw/zinc/03/84/01/201038401.db2.gz MYXPLCYCFLSFHW-JGVFFNPUSA-N 0 3 243.219 2.916 20 0 BFADHN CCC[C@H](NCC1(C)COC1)c1cc(C)ccn1 ZINC000631583698 361371923 /nfs/dbraw/zinc/37/19/23/361371923.db2.gz URGRXBKXWSYVOW-ZDUSSCGKSA-N 0 3 248.370 2.857 20 0 BFADHN COc1ccc(CN(C2CCC2)C2CCC2)cn1 ZINC000429145288 201072407 /nfs/dbraw/zinc/07/24/07/201072407.db2.gz XNADXWJZAZNWIH-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN CC(C)c1nc(CN(CC2CC2)C2CCC2)n[nH]1 ZINC000428948736 201053196 /nfs/dbraw/zinc/05/31/96/201053196.db2.gz VCFJLEGUQUEPKW-UHFFFAOYSA-N 0 3 248.374 2.693 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)ncn1 ZINC000428975547 201055047 /nfs/dbraw/zinc/05/50/47/201055047.db2.gz HRTPNHDKIPANSQ-NQBHXWOUSA-N 0 3 233.359 2.651 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CCCC(C)C ZINC000429058200 201063492 /nfs/dbraw/zinc/06/34/92/201063492.db2.gz DFDUSHWVXWVIIG-QWHCGFSZSA-N 0 3 213.365 2.922 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CCCC(C)C ZINC000429058198 201064655 /nfs/dbraw/zinc/06/46/55/201064655.db2.gz DFDUSHWVXWVIIG-CHWSQXEVSA-N 0 3 213.365 2.922 20 0 BFADHN CCC1(NCc2cnc3ccccc3n2)CC1 ZINC000379726849 361363433 /nfs/dbraw/zinc/36/34/33/361363433.db2.gz WTFNZSQSWSWJKI-UHFFFAOYSA-N 0 3 227.311 2.662 20 0 BFADHN CCCN1CCN(c2cccc(F)c2)C[C@@H]1C ZINC000429264432 201086203 /nfs/dbraw/zinc/08/62/03/201086203.db2.gz MMPOPNUCKMAZRP-LBPRGKRZSA-N 0 3 236.334 2.746 20 0 BFADHN CN(Cc1cc2ccccc2o1)C1(CO)CCC1 ZINC000429271246 201087073 /nfs/dbraw/zinc/08/70/73/201087073.db2.gz ZZUHESZTANIILX-UHFFFAOYSA-N 0 3 245.322 2.780 20 0 BFADHN C[C@H]1CN(c2cccc(F)c2)CCN1CC1CC1 ZINC000429248670 201083882 /nfs/dbraw/zinc/08/38/82/201083882.db2.gz OAKJSCMBMJPKAR-LBPRGKRZSA-N 0 3 248.345 2.746 20 0 BFADHN Cc1ccccc1[C@H](C)N[C@H](CO)CC(F)F ZINC000429455194 201108071 /nfs/dbraw/zinc/10/80/71/201108071.db2.gz PKECHLNPCADLPN-QWRGUYRKSA-N 0 3 243.297 2.662 20 0 BFADHN Cc1cccc([C@@H](C)N[C@H](CO)CC(F)F)c1 ZINC000429463040 201110525 /nfs/dbraw/zinc/11/05/25/201110525.db2.gz FHWSPTJFWBCJQJ-PWSUYJOCSA-N 0 3 243.297 2.662 20 0 BFADHN CC[C@@H](F)CN1CCC[C@H](CSC)C1 ZINC000429312411 201094501 /nfs/dbraw/zinc/09/45/01/201094501.db2.gz WQOQBMPAABASNG-WDEREUQCSA-N 0 3 219.369 2.810 20 0 BFADHN CSC[C@@H]1CCCN(Cc2cnoc2C)C1 ZINC000429296458 201095743 /nfs/dbraw/zinc/09/57/43/201095743.db2.gz XFYRZMGPSJHFEK-LLVKDONJSA-N 0 3 240.372 2.558 20 0 BFADHN Cc1cccc2c1CN(CCOCC1CC1)CC2 ZINC000429564055 201114990 /nfs/dbraw/zinc/11/49/90/201114990.db2.gz OMAVPCKFZHIHQN-UHFFFAOYSA-N 0 3 245.366 2.780 20 0 BFADHN C[C@H]1CC[C@@H](N(C)Cc2cn3ccccc3n2)C1 ZINC000429595516 201120840 /nfs/dbraw/zinc/12/08/40/201120840.db2.gz WIGYVXIESGLKAU-GXTWGEPZSA-N 0 3 243.354 2.955 20 0 BFADHN Cc1ccc([C@@H](C)NCCc2cnc(C)cn2)o1 ZINC000429678235 201124874 /nfs/dbraw/zinc/12/48/74/201124874.db2.gz FKBWTBJPSPDMSL-GFCCVEGCSA-N 0 3 245.326 2.580 20 0 BFADHN CCCN(C[C@H](C)CC)[C@@H](C)C(=O)OCC ZINC000429717679 201128270 /nfs/dbraw/zinc/12/82/70/201128270.db2.gz IBTKWZVXKOLMSS-NEPJUHHUSA-N 0 3 229.364 2.696 20 0 BFADHN CCCn1nc(C)c(CN2CC[C@@H]2CC)c1C ZINC000430189007 201150740 /nfs/dbraw/zinc/15/07/40/201150740.db2.gz CMNSFDJKDJPEFQ-ZDUSSCGKSA-N 0 3 235.375 2.894 20 0 BFADHN CC[C@H]1CCN1Cc1ccc(N(C)CC)nc1 ZINC000430207307 201150522 /nfs/dbraw/zinc/15/05/22/201150522.db2.gz QINAWLYPJGIDDM-ZDUSSCGKSA-N 0 3 233.359 2.522 20 0 BFADHN OCCN(Cc1ccc2c(c1)CCCC2)C1CC1 ZINC000430003182 201139470 /nfs/dbraw/zinc/13/94/70/201139470.db2.gz IANYYMNLTPQLTF-UHFFFAOYSA-N 0 3 245.366 2.522 20 0 BFADHN COC1CC(NCc2ccc(C3CC3)cc2F)C1 ZINC000430094475 201142886 /nfs/dbraw/zinc/14/28/86/201142886.db2.gz MBXBFHUSWGOQFZ-UHFFFAOYSA-N 0 3 249.329 2.970 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CC[C@H](C)[C@H](C)C1 ZINC000161847704 201160731 /nfs/dbraw/zinc/16/07/31/201160731.db2.gz RGBXMGFDTOJFTA-YNEHKIRRSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H](O)CCN(C)Cc1ccc2c(c1)CCCC2 ZINC000430249290 201157059 /nfs/dbraw/zinc/15/70/59/201157059.db2.gz ODUZLASIOIOARX-ZDUSSCGKSA-N 0 3 247.382 2.768 20 0 BFADHN CC1CC(NCc2ccc(-c3cc[nH]n3)o2)C1 ZINC000433342384 201182916 /nfs/dbraw/zinc/18/29/16/201182916.db2.gz VPZOGVLYJYGRAK-UHFFFAOYSA-N 0 3 231.299 2.558 20 0 BFADHN CC1(C)CC(N[C@@H](CO)c2ccc(F)cc2)C1 ZINC000433284595 201174145 /nfs/dbraw/zinc/17/41/45/201174145.db2.gz QURIYOIPGFEOOX-ZDUSSCGKSA-N 0 3 237.318 2.637 20 0 BFADHN CC1(C)CC(N[C@H](CO)c2cccc(F)c2)C1 ZINC000433283112 201175232 /nfs/dbraw/zinc/17/52/32/201175232.db2.gz OAOLIVAVNVUJHU-CYBMUJFWSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1ccc(CN[C@H]2COC(C)(C)C2)c(F)c1 ZINC000631172229 361566068 /nfs/dbraw/zinc/56/60/68/361566068.db2.gz MTJSJKUQCATBTI-GFCCVEGCSA-N 0 3 237.318 2.791 20 0 BFADHN c1c(CN[C@]23C[C@H]2CCCC3)nc2ccccn12 ZINC000631224655 361567004 /nfs/dbraw/zinc/56/70/04/361567004.db2.gz CEMMXJJSLCAOEP-IUODEOHRSA-N 0 3 241.338 2.757 20 0 BFADHN c1cc(CN[C@@]23C[C@@H]2CCCC3)n(CC2CC2)n1 ZINC000631224733 361567041 /nfs/dbraw/zinc/56/70/41/361567041.db2.gz CWMDZAOBBPFSIV-ZFWWWQNUSA-N 0 3 245.370 2.715 20 0 BFADHN C(=C/c1ccccc1)\CN[C@H]1C[C@H]2CC[C@@H]1O2 ZINC000152772652 201207331 /nfs/dbraw/zinc/20/73/31/201207331.db2.gz FQFJYSBXSAGLBD-MCCZJCTISA-N 0 3 229.323 2.609 20 0 BFADHN COCCN[C@H]1CC(C)(C)Cc2occc21 ZINC000152110472 201189084 /nfs/dbraw/zinc/18/90/84/201189084.db2.gz DXJYNBAQDIEHMP-NSHDSACASA-N 0 3 223.316 2.529 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc(-c3cc[nH]n3)o2)C1 ZINC000434836692 201243305 /nfs/dbraw/zinc/24/33/05/201243305.db2.gz OTWUVRCCVILIBY-LLVKDONJSA-N 0 3 245.326 2.902 20 0 BFADHN CCCN(CC)Cc1ccc(-c2cc[nH]n2)o1 ZINC000434833001 201243365 /nfs/dbraw/zinc/24/33/65/201243365.db2.gz IBSXMJMTCOVCOO-UHFFFAOYSA-N 0 3 233.315 2.902 20 0 BFADHN CN[C@H](CO)c1cccc(-c2csc(C)c2)c1 ZINC000629699367 361629908 /nfs/dbraw/zinc/62/99/08/361629908.db2.gz HTIXPZAIFAQLEI-CQSZACIVSA-N 0 3 247.363 2.976 20 0 BFADHN CN[C@H](CO)c1cccc(-c2ccccc2C)c1 ZINC000629712082 361649089 /nfs/dbraw/zinc/64/90/89/361649089.db2.gz QSTHHTMVXHTKQT-MRXNPFEDSA-N 0 3 241.334 2.915 20 0 BFADHN c1cc2c(c(CN[C@]34C[C@H]3CCCC4)c1)OCO2 ZINC000631228092 361667647 /nfs/dbraw/zinc/66/76/47/361667647.db2.gz WOEGLIKVYQHERI-IUODEOHRSA-N 0 3 245.322 2.838 20 0 BFADHN Cc1ccc(-c2ccc([C@@H]3CNCCO3)cc2)o1 ZINC000629715458 361657138 /nfs/dbraw/zinc/65/71/38/361657138.db2.gz AUWFJVKRSRDKCM-HNNXBMFYSA-N 0 3 243.306 2.916 20 0 BFADHN Cc1cc(C)c(/C=C\CNC/C=C/CO)cc1C ZINC000631172556 361660683 /nfs/dbraw/zinc/66/06/83/361660683.db2.gz KXYJSOGLZZXDGG-DEQVHDEQSA-N 0 3 245.366 2.763 20 0 BFADHN CC1=CCCN(CCOc2cccc(F)c2)C1 ZINC000436274269 201319141 /nfs/dbraw/zinc/31/91/41/201319141.db2.gz WMJRHGODKKRTNG-UHFFFAOYSA-N 0 3 235.302 2.857 20 0 BFADHN CC1=CCCN(CCCC(=O)OC(C)(C)C)C1 ZINC000436274867 201319869 /nfs/dbraw/zinc/31/98/69/201319869.db2.gz ZOSWPNCLDOZRSC-UHFFFAOYSA-N 0 3 239.359 2.760 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1cc2c(cccc2F)[nH]1 ZINC000436414350 201325644 /nfs/dbraw/zinc/32/56/44/201325644.db2.gz SITGZFHHNFAHCA-TVQRCGJNSA-N 0 3 248.301 2.574 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)C(C)(C)O)c(C)o1 ZINC000083727692 491162809 /nfs/dbraw/zinc/16/28/09/491162809.db2.gz XIOIHBOUWCUSHT-MWLCHTKSSA-N 0 3 225.332 2.706 20 0 BFADHN COc1ccccc1CN(C)CC[C@@H]1CCOC1 ZINC000437579989 201358100 /nfs/dbraw/zinc/35/81/00/201358100.db2.gz JMXBUZYGJPTYJJ-CYBMUJFWSA-N 0 3 249.354 2.554 20 0 BFADHN C[C@H](N[C@@H](C)C(C)(C)O)c1ccccc1F ZINC000083727120 491162757 /nfs/dbraw/zinc/16/27/57/491162757.db2.gz OFIQDPFEEXTHBK-UWVGGRQHSA-N 0 3 225.307 2.636 20 0 BFADHN C[C@H](c1ccccn1)N(C)CC[C@@H]1CCOC1 ZINC000437797012 201373690 /nfs/dbraw/zinc/37/36/90/201373690.db2.gz IQSBJLVFSOKXLG-CHWSQXEVSA-N 0 3 234.343 2.501 20 0 BFADHN FC(F)(F)C1=CCN(CC[C@H]2CCOC2)CC1 ZINC000438117690 201391975 /nfs/dbraw/zinc/39/19/75/201391975.db2.gz GQHSIBSZMCOTDI-JTQLQIEISA-N 0 3 249.276 2.607 20 0 BFADHN Cc1nocc1CN[C@H](C)CC1CCC1 ZINC000398027793 363840404 /nfs/dbraw/zinc/84/04/04/363840404.db2.gz ZLZJHZHRBRVHFE-SECBINFHSA-N 0 3 208.305 2.651 20 0 BFADHN CCc1nc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)cs1 ZINC000328462225 363888613 /nfs/dbraw/zinc/88/86/13/363888613.db2.gz KDNQBAVATAYFDL-ADEWGFFLSA-N 0 3 236.384 2.984 20 0 BFADHN C[C@@H](NC[C@H]1CC12CC2)c1cn2ccccc2n1 ZINC000564225568 370704661 /nfs/dbraw/zinc/70/46/61/370704661.db2.gz IGUARFSWRQKYBI-VXGBXAGGSA-N 0 3 241.338 2.785 20 0 BFADHN CC[C@@H](C)NCc1nc2c(s1)CCC2 ZINC000093052696 541346478 /nfs/dbraw/zinc/34/64/78/541346478.db2.gz ULXYMKHEAXULRZ-MRVPVSSYSA-N 0 3 210.346 2.520 20 0 BFADHN C[C@@H]1CC[C@@H](n2cc([C@H](N)C(C)(C)C)nn2)C1 ZINC000630604853 364323092 /nfs/dbraw/zinc/32/30/92/364323092.db2.gz VNJGPDKQELGING-FOGDFJRCSA-N 0 3 236.363 2.685 20 0 BFADHN CCC[C@H](N)c1cn([C@@H]2CCC(C)(C)C2)nn1 ZINC000630604245 364328646 /nfs/dbraw/zinc/32/86/46/364328646.db2.gz RCNBYQOGOCANIS-MNOVXSKESA-N 0 3 236.363 2.829 20 0 BFADHN CC(C)[C@H](NC1CC1)c1ccc(F)cn1 ZINC000134628428 364408057 /nfs/dbraw/zinc/40/80/57/364408057.db2.gz OTAKRHTUULNTCH-LBPRGKRZSA-N 0 3 208.280 2.670 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)C1(C)CC1 ZINC000453163648 202704862 /nfs/dbraw/zinc/70/48/62/202704862.db2.gz OTDPBTJFRMNFNE-OUAUKWLOSA-N 0 3 219.332 2.667 20 0 BFADHN CC1(C)C[C@@H](NC2(c3ccc(F)cc3)CC2)CO1 ZINC000579496632 366835203 /nfs/dbraw/zinc/83/52/03/366835203.db2.gz MVJNALYOARZCPB-CYBMUJFWSA-N 0 3 249.329 2.972 20 0 BFADHN Cc1oncc1CN(C)C(C1CC1)C1CC1 ZINC000181665686 366838496 /nfs/dbraw/zinc/83/84/96/366838496.db2.gz YXAHRTBFIDSDNU-UHFFFAOYSA-N 0 3 220.316 2.603 20 0 BFADHN COC1(CNCc2ccc(Cl)o2)CCC1 ZINC000134660059 364410203 /nfs/dbraw/zinc/41/02/03/364410203.db2.gz KYRFFBMZEIFBPR-UHFFFAOYSA-N 0 3 229.707 2.592 20 0 BFADHN Cc1ccc2cc([C@H](C)N[C@H]3C[C@@H](O)C3)oc2c1 ZINC000453354058 202745759 /nfs/dbraw/zinc/74/57/59/202745759.db2.gz GVQUKGLXNDVLSP-WCFLWFBJSA-N 0 3 245.322 2.915 20 0 BFADHN CCC(C)(C)CCN[C@@H]1CCn2ccnc21 ZINC000631684800 364554939 /nfs/dbraw/zinc/55/49/39/364554939.db2.gz VBCLJGDSFAMXPS-LLVKDONJSA-N 0 3 221.348 2.744 20 0 BFADHN COc1ccc(CN2CC[C@H](C)[C@@H]2C)cc1O ZINC000246458091 364595306 /nfs/dbraw/zinc/59/53/06/364595306.db2.gz NZZAUSVGFSFOAQ-QWRGUYRKSA-N 0 3 235.327 2.631 20 0 BFADHN CO[C@@H]([C@H](C)N[C@H](C)c1cnccc1C)C1CC1 ZINC000453377407 202753339 /nfs/dbraw/zinc/75/33/39/202753339.db2.gz QCKHHFJCGYKZJC-XUJVJEKNSA-N 0 3 248.370 2.854 20 0 BFADHN CC1(C)CCC[C@@H](NCc2cocn2)C1 ZINC000268968768 364733976 /nfs/dbraw/zinc/73/39/76/364733976.db2.gz LXQVWYNPGGPAHU-SNVBAGLBSA-N 0 3 208.305 2.733 20 0 BFADHN CCC(CC)[C@@H](O)CN[C@H](C)c1ccoc1 ZINC000167512714 364796014 /nfs/dbraw/zinc/79/60/14/364796014.db2.gz MFOTTWJWJFIXSE-MFKMUULPSA-N 0 3 225.332 2.727 20 0 BFADHN CCC[C@H](C)[C@H](CC)NCc1cc[nH]n1 ZINC000167435937 364792514 /nfs/dbraw/zinc/79/25/14/364792514.db2.gz YRKJWOMUIZMHKB-JQWIXIFHSA-N 0 3 209.337 2.714 20 0 BFADHN CCC[C@@H](C)[C@H](CC)NCc1cc[nH]n1 ZINC000167435831 364793011 /nfs/dbraw/zinc/79/30/11/364793011.db2.gz YRKJWOMUIZMHKB-PWSUYJOCSA-N 0 3 209.337 2.714 20 0 BFADHN CCc1cc(CN[C@@H](C)[C@H](C)CC)on1 ZINC000168167636 364872570 /nfs/dbraw/zinc/87/25/70/364872570.db2.gz YKRZSZUGJSUOQV-ZJUUUORDSA-N 0 3 210.321 2.761 20 0 BFADHN Cc1ccc(CNCC2(CO)CCCCC2)o1 ZINC000094512621 541383146 /nfs/dbraw/zinc/38/31/46/541383146.db2.gz FWGGWKAAARPCHD-UHFFFAOYSA-N 0 3 237.343 2.620 20 0 BFADHN C[C@@H](N[C@H](C)C1CCCCC1)c1nccc(N)n1 ZINC000573673516 364888027 /nfs/dbraw/zinc/88/80/27/364888027.db2.gz TZYRNFTYUWSAAD-GHMZBOCLSA-N 0 3 248.374 2.509 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1ccoc1 ZINC000168360637 364912692 /nfs/dbraw/zinc/91/26/92/364912692.db2.gz HXKFGWILPLQZJX-DRZSPHRISA-N 0 3 223.316 2.888 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@H]1CCC2(CCCC2)O1 ZINC000573937346 364952435 /nfs/dbraw/zinc/95/24/35/364952435.db2.gz UFQDIRGLJLRLBW-FRRDWIJNSA-N 0 3 223.360 2.961 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@@H]1CCC2(CCCC2)O1 ZINC000573937349 364951911 /nfs/dbraw/zinc/95/19/11/364951911.db2.gz UFQDIRGLJLRLBW-XQQFMLRXSA-N 0 3 223.360 2.961 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@@H](C)[C@H](C)C2)[nH]c1C ZINC000573936045 364950703 /nfs/dbraw/zinc/95/07/03/364950703.db2.gz VUAJTOUGNLZQLO-GIPNMCIBSA-N 0 3 235.375 2.941 20 0 BFADHN CCn1ccnc1CNC12CCC(CC1)CC2 ZINC000574126581 364990972 /nfs/dbraw/zinc/99/09/72/364990972.db2.gz MXHUCUAVCBOCIL-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN CN1CCC(Nc2nccc3ccccc32)CC1 ZINC000168648708 364977452 /nfs/dbraw/zinc/97/74/52/364977452.db2.gz MGQAPRGTRVEXRC-UHFFFAOYSA-N 0 3 241.338 2.741 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](CC)c1ccsc1 ZINC000574152436 364996655 /nfs/dbraw/zinc/99/66/55/364996655.db2.gz XNSUXMSKFDMFQE-SDDRHHMPSA-N 0 3 225.357 2.966 20 0 BFADHN CCc1ncc(CN[C@H]2CCC[C@H]3C[C@H]32)o1 ZINC000574364653 365045286 /nfs/dbraw/zinc/04/52/86/365045286.db2.gz YXTOOLYEMARCSN-WCQGTBRESA-N 0 3 220.316 2.515 20 0 BFADHN CCc1ccc(CN(CC)[C@H](C)CO)s1 ZINC000574624097 365103738 /nfs/dbraw/zinc/10/37/38/365103738.db2.gz IVRFMUIAMUOQCX-SNVBAGLBSA-N 0 3 227.373 2.513 20 0 BFADHN CCc1cccc(CNC2(COC)CC2)c1 ZINC000574695843 365124100 /nfs/dbraw/zinc/12/41/00/365124100.db2.gz CZJZUSUZCXVOQK-UHFFFAOYSA-N 0 3 219.328 2.518 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@@H]1c2ccc(F)cc2O[C@@H]1C ZINC000574508523 365077184 /nfs/dbraw/zinc/07/71/84/365077184.db2.gz XUTFTNGJZBPMIV-ZSJJWGNYSA-N 0 3 235.302 2.893 20 0 BFADHN CCC[C@H](C)CN1CCO[C@@](C)(CC)C1 ZINC000443865358 193030509 /nfs/dbraw/zinc/03/05/09/193030509.db2.gz WPXDYEPFAKAIIE-STQMWFEESA-N 0 3 213.365 2.924 20 0 BFADHN C[C@]12CN(Cc3ccno3)C[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000575085730 365191075 /nfs/dbraw/zinc/19/10/75/365191075.db2.gz FSRVNRKJIPZNJK-ZMJPVWNMSA-N 0 3 232.327 2.543 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN1CCOC[C@H]1C ZINC000443421583 193020805 /nfs/dbraw/zinc/02/08/05/193020805.db2.gz YOFZRLBRRCIVHL-BFHYXJOUSA-N 0 3 223.360 2.700 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN1C[C@H]2CC[C@@H](C1)O2 ZINC000443639623 193027469 /nfs/dbraw/zinc/02/74/69/193027469.db2.gz GUGPTKXYAOFPFK-XQLPTFJDSA-N 0 3 235.371 2.842 20 0 BFADHN CCOC(=O)[C@H](CC)N1CC[C@@H](C)C[C@@H](C)C1 ZINC000443641398 193028216 /nfs/dbraw/zinc/02/82/16/193028216.db2.gz PIHYGJNKMGDWFK-UPJWGTAASA-N 0 3 241.375 2.696 20 0 BFADHN CCCN1CCN(Cc2ccc(C)s2)CC1 ZINC000171909728 365254006 /nfs/dbraw/zinc/25/40/06/365254006.db2.gz MKBXODWDPVIOTC-UHFFFAOYSA-N 0 3 238.400 2.584 20 0 BFADHN Cc1noc(C)c1CN1CCS[C@@H](C)CC1 ZINC000192186668 365282101 /nfs/dbraw/zinc/28/21/01/365282101.db2.gz OORWRLCBHTVWEK-VIFPVBQESA-N 0 3 240.372 2.619 20 0 BFADHN Cc1ccoc1CN1C[C@H](C(N)=O)CC[C@H]1C ZINC000335354577 365282190 /nfs/dbraw/zinc/28/21/90/365282190.db2.gz SKQIMPOUZCYKRU-GHMZBOCLSA-N 0 3 236.315 2.724 20 0 BFADHN Cc1nc(CNCC(C)(C)C2CCC2)[nH]c1C ZINC000575332509 365294330 /nfs/dbraw/zinc/29/43/30/365294330.db2.gz ZZFHEGFVGDABLF-UHFFFAOYSA-N 0 3 235.375 2.942 20 0 BFADHN CCc1ccc(CN2CCC[C@@H](OC)C2)cc1 ZINC000172247039 365303553 /nfs/dbraw/zinc/30/35/53/365303553.db2.gz VITVZYKJBRFCTQ-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN CCc1cc(N2C[C@@H](C)OC3(CCC3)C2)ccn1 ZINC000575395518 365307442 /nfs/dbraw/zinc/30/74/42/365307442.db2.gz DARZHGCVABSHQF-GFCCVEGCSA-N 0 3 246.354 2.792 20 0 BFADHN CC[C@@H](F)CNC1(CC(=O)OC)CCCCC1 ZINC000575741702 365348032 /nfs/dbraw/zinc/34/80/32/365348032.db2.gz VPKKUGRZGQZIOU-LLVKDONJSA-N 0 3 245.338 2.590 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1cnns1 ZINC000336713349 365361141 /nfs/dbraw/zinc/36/11/41/365361141.db2.gz VLGWOSNBFKRYTN-MWLCHTKSSA-N 0 3 225.361 2.597 20 0 BFADHN C[C@H]1C[C@H](C)N1CCOc1cccc(F)c1 ZINC000555328534 325753030 /nfs/dbraw/zinc/75/30/30/325753030.db2.gz KPKQLSFJFJNQJT-QWRGUYRKSA-N 0 3 223.291 2.687 20 0 BFADHN C[C@H]1OCC[C@@H]1NC1(c2cccc(F)c2)CC1 ZINC000182215226 366876558 /nfs/dbraw/zinc/87/65/58/366876558.db2.gz GNKKPRYYZIRGRK-MFKMUULPSA-N 0 3 235.302 2.582 20 0 BFADHN CC[C@H](COC)NC1(c2ccccc2F)CC1 ZINC000182240455 366877334 /nfs/dbraw/zinc/87/73/34/366877334.db2.gz HXKCHRFZNTWKEI-LLVKDONJSA-N 0 3 237.318 2.829 20 0 BFADHN CSCCN1CCC=C(c2ccccn2)C1 ZINC000575861543 365389217 /nfs/dbraw/zinc/38/92/17/365389217.db2.gz ZKILWQMXTGAFNB-UHFFFAOYSA-N 0 3 234.368 2.534 20 0 BFADHN Cc1cccc(CCN(C)Cc2ccoc2)n1 ZINC000579588088 366892256 /nfs/dbraw/zinc/89/22/56/366892256.db2.gz WLQYCUBPOVNBFP-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN OCc1ccc(CNC[C@@H]2CCC[C@H]2C2CC2)o1 ZINC000576089508 365479387 /nfs/dbraw/zinc/47/93/87/365479387.db2.gz OYBYMCKPNACLRW-WFASDCNBSA-N 0 3 249.354 2.688 20 0 BFADHN CN(Cc1cccc(F)c1)Cc1ncccc1O ZINC000576096739 365481286 /nfs/dbraw/zinc/48/12/86/365481286.db2.gz RNAPCNVKZZMRNM-UHFFFAOYSA-N 0 3 246.285 2.558 20 0 BFADHN Fc1ccc(CNCCOCC2CCC2)cc1 ZINC000564647892 325801090 /nfs/dbraw/zinc/80/10/90/325801090.db2.gz RFUBPUYBXOMOFE-UHFFFAOYSA-N 0 3 237.318 2.732 20 0 BFADHN COc1c(C)cccc1CN[C@@H](C)[C@H](C)OC ZINC000655810584 541482665 /nfs/dbraw/zinc/48/26/65/541482665.db2.gz MQUULMZGEURAOC-RYUDHWBXSA-N 0 3 237.343 2.517 20 0 BFADHN CC(C)(CO)CNCc1cccc(Cl)c1F ZINC000158682996 541490910 /nfs/dbraw/zinc/49/09/10/541490910.db2.gz URRICZJYSIKNNX-UHFFFAOYSA-N 0 3 245.725 2.587 20 0 BFADHN CCCN(C)Cc1scnc1C1CC1 ZINC000494927789 541493878 /nfs/dbraw/zinc/49/38/78/541493878.db2.gz BGNZVPIZXBJIAE-UHFFFAOYSA-N 0 3 210.346 2.862 20 0 BFADHN CCC[C@H](NCc1cnccn1)C1CCCC1 ZINC000579683009 366975391 /nfs/dbraw/zinc/97/53/91/366975391.db2.gz PQLWDKGTTGZAJK-AWEZNQCLSA-N 0 3 233.359 2.925 20 0 BFADHN CC[C@H](NCC1(C2CC2)CC1)c1nccn1C ZINC000183382063 367017760 /nfs/dbraw/zinc/01/77/60/367017760.db2.gz ITWVTQYBGNGWRT-LBPRGKRZSA-N 0 3 233.359 2.651 20 0 BFADHN CC[C@H]1CCN(Cc2cnn(CC(C)C)c2)C1 ZINC000183409769 367021531 /nfs/dbraw/zinc/02/15/31/367021531.db2.gz NDRWUDQXONITJT-ZDUSSCGKSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@H](NC1CC(C)(C)C1)c1cc2n(n1)CCC2 ZINC000579734455 367000229 /nfs/dbraw/zinc/00/02/29/367000229.db2.gz LRMRZXBYPPFCDO-JTQLQIEISA-N 0 3 233.359 2.669 20 0 BFADHN CCC(CC)CN[C@H](c1nccn1C)C1CC1 ZINC000183273022 367007422 /nfs/dbraw/zinc/00/74/22/367007422.db2.gz MHHGBPAAAOGPPE-ZDUSSCGKSA-N 0 3 235.375 2.897 20 0 BFADHN CCCCN(C)Cc1cn(C)nc1C(C)(C)C ZINC000183464554 367025473 /nfs/dbraw/zinc/02/54/73/367025473.db2.gz OMYFGWXBTGFCHM-UHFFFAOYSA-N 0 3 237.391 2.950 20 0 BFADHN CCC[C@@H](C)CN1CCN(c2ccccn2)CC1 ZINC000183471397 367025524 /nfs/dbraw/zinc/02/55/24/367025524.db2.gz PLZDTXKWWVSKBN-CQSZACIVSA-N 0 3 247.386 2.640 20 0 BFADHN CC[C@@H](NCC1(C(C)C)CC1)c1nccn1C ZINC000183323810 367015745 /nfs/dbraw/zinc/01/57/45/367015745.db2.gz YIQVBJUVQRBIKM-GFCCVEGCSA-N 0 3 235.375 2.897 20 0 BFADHN CCOC(=O)CCN[C@@H](C)c1cccc(C)c1C ZINC000183723146 367042502 /nfs/dbraw/zinc/04/25/02/367042502.db2.gz HSIQXCSGZXBMCC-ZDUSSCGKSA-N 0 3 249.354 2.907 20 0 BFADHN CCCC[C@@H](CC)CN(CCC)CC(=O)NC ZINC000183602585 367032134 /nfs/dbraw/zinc/03/21/34/367032134.db2.gz KQWZIJFQRMDUFO-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CSCCN(C)CCOc1ccc(C)cc1 ZINC000184779559 367095028 /nfs/dbraw/zinc/09/50/28/367095028.db2.gz NRQDFAQRPVLXCF-UHFFFAOYSA-N 0 3 239.384 2.669 20 0 BFADHN COc1c(C)cnc(CN(C)CC2(C)CC2)c1C ZINC000580810070 367173002 /nfs/dbraw/zinc/17/30/02/367173002.db2.gz HMBGEKIERQWEHT-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN FCCCNCc1sccc1Cl ZINC000193155121 367153953 /nfs/dbraw/zinc/15/39/53/367153953.db2.gz CHPNHTQWXFOYTQ-UHFFFAOYSA-N 0 3 207.701 2.851 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](C)C(C)C)no1 ZINC000380262943 367164951 /nfs/dbraw/zinc/16/49/51/367164951.db2.gz SHPPWOLMJKSEGU-ONGXEEELSA-N 0 3 210.321 2.926 20 0 BFADHN FCC1CCN(Cc2ccc3[nH]cnc3c2)CC1 ZINC000580874121 367193011 /nfs/dbraw/zinc/19/30/11/367193011.db2.gz MAXPCOOAZYFBGI-UHFFFAOYSA-N 0 3 247.317 2.744 20 0 BFADHN C=Cn1cc(CN2CCC[C@H](C)[C@H]2C)cn1 ZINC000193830900 367216374 /nfs/dbraw/zinc/21/63/74/367216374.db2.gz HUVMJSOTMLWFJX-NWDGAFQWSA-N 0 3 219.332 2.604 20 0 BFADHN COC[C@@H](C)N(C)C/C=C/c1ccccc1 ZINC000193899378 367225719 /nfs/dbraw/zinc/22/57/19/367225719.db2.gz RSXUAPJXICHZFN-UTSBKAFOSA-N 0 3 219.328 2.667 20 0 BFADHN COC[C@H](C)N(C)Cc1cc(C)cc(C)c1 ZINC000193898595 367226096 /nfs/dbraw/zinc/22/60/96/367226096.db2.gz VGPHZSSJGYCRPF-ZDUSSCGKSA-N 0 3 221.344 2.770 20 0 BFADHN COC[C@H](C)N(C)Cc1ccc(C)cc1C ZINC000195006112 367331783 /nfs/dbraw/zinc/33/17/83/367331783.db2.gz JXPRRKYAHHMODY-ZDUSSCGKSA-N 0 3 221.344 2.770 20 0 BFADHN C[C@H](C[C@@H]1CCCO1)N[C@H](C)c1cscn1 ZINC000381034263 367337829 /nfs/dbraw/zinc/33/78/29/367337829.db2.gz AZQMYWYJIWJNIS-MXWKQRLJSA-N 0 3 240.372 2.751 20 0 BFADHN C[C@H](C[C@H]1CCCO1)N[C@@H](C)c1cscn1 ZINC000381034264 367338286 /nfs/dbraw/zinc/33/82/86/367338286.db2.gz AZQMYWYJIWJNIS-OUAUKWLOSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1ccnn1CC ZINC000581219182 367298313 /nfs/dbraw/zinc/29/83/13/367298313.db2.gz XJIAPFFASAPKQL-NWDGAFQWSA-N 0 3 221.348 2.666 20 0 BFADHN CC[C@H](C)[C@H](CN[C@@H](C)c1ccoc1)OC ZINC000381306129 367378074 /nfs/dbraw/zinc/37/80/74/367378074.db2.gz RZLRGGGVLNTORX-GVXVVHGQSA-N 0 3 225.332 2.991 20 0 BFADHN Cc1cc(CNCc2ccn(C)c2)c(C)s1 ZINC000381362620 367388116 /nfs/dbraw/zinc/38/81/16/367388116.db2.gz FZLQCDHDVOOFIK-UHFFFAOYSA-N 0 3 234.368 2.993 20 0 BFADHN Cc1cnc(CN[C@@H]2CCCC23CC3)s1 ZINC000581440800 367471043 /nfs/dbraw/zinc/47/10/43/367471043.db2.gz RPPULXCTVLENGU-SNVBAGLBSA-N 0 3 222.357 2.874 20 0 BFADHN CCC[C@]1(CO)CCN(Cc2occc2C)C1 ZINC000581456707 367480048 /nfs/dbraw/zinc/48/00/48/367480048.db2.gz QNAOSCVNCGISLF-AWEZNQCLSA-N 0 3 237.343 2.573 20 0 BFADHN CC[C@@H](NCCn1cccn1)c1ccsc1 ZINC000382129401 367489627 /nfs/dbraw/zinc/48/96/27/367489627.db2.gz OHCYKYJYALABOJ-GFCCVEGCSA-N 0 3 235.356 2.686 20 0 BFADHN CCC(CC)N(Cc1cnc(N)s1)C1CC1 ZINC000381626499 367440613 /nfs/dbraw/zinc/44/06/13/367440613.db2.gz LKUGEAUUHMRKCE-UHFFFAOYSA-N 0 3 239.388 2.709 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(C)cc1C ZINC000381729278 367451890 /nfs/dbraw/zinc/45/18/90/367451890.db2.gz NCVVHMSYJYQBIV-KBPBESRZSA-N 0 3 219.328 2.570 20 0 BFADHN CCc1nnc(CN[C@@H](C(C)C)C2CC2)s1 ZINC000381726372 367451981 /nfs/dbraw/zinc/45/19/81/367451981.db2.gz MOKUJPCQGQDUJN-LBPRGKRZSA-N 0 3 239.388 2.625 20 0 BFADHN CC[C@@H](N[C@@H]1CCn2ccnc21)C1CCCC1 ZINC000382767454 367555075 /nfs/dbraw/zinc/55/50/75/367555075.db2.gz HRYNKPIJSOOBCU-CHWSQXEVSA-N 0 3 233.359 2.886 20 0 BFADHN CCc1cc(CN[C@@H]2CCC[C@H]3C[C@H]32)on1 ZINC000382288058 367504040 /nfs/dbraw/zinc/50/40/40/367504040.db2.gz KFMFGGVUTBXLNC-ZWKOPEQDSA-N 0 3 220.316 2.515 20 0 BFADHN C[C@@H](NCc1cc[nH]n1)[C@H]1CC2CCC1CC2 ZINC000382280502 367504110 /nfs/dbraw/zinc/50/41/10/367504110.db2.gz ZJJPWBVATDSPCG-MLCFOIATSA-N 0 3 233.359 2.714 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@H]2C[C@H]21)c1cscn1 ZINC000382315760 367506599 /nfs/dbraw/zinc/50/65/99/367506599.db2.gz RMSYKGBEGKWLHR-LMLFDSFASA-N 0 3 222.357 2.982 20 0 BFADHN Cc1nocc1CNCCCc1cccs1 ZINC000382400497 367515861 /nfs/dbraw/zinc/51/58/61/367515861.db2.gz PUPOPMLBLXWXKA-UHFFFAOYSA-N 0 3 236.340 2.767 20 0 BFADHN CC(C)(C)OCCN1CC[C@H](c2cccnc2)C1 ZINC000581486680 367517813 /nfs/dbraw/zinc/51/78/13/367517813.db2.gz XIKGQYKYKHOGHX-AWEZNQCLSA-N 0 3 248.370 2.686 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000382430117 367523041 /nfs/dbraw/zinc/52/30/41/367523041.db2.gz ZQLUNXHTNBLHSI-MDZLAQPJSA-N 0 3 220.316 2.570 20 0 BFADHN Cc1cc(CN[C@@H]2CO[C@H](C)C2)ccc1Cl ZINC000581548054 367576752 /nfs/dbraw/zinc/57/67/52/367576752.db2.gz NYCMCPYRDBUYLL-PWSUYJOCSA-N 0 3 239.746 2.915 20 0 BFADHN CCC1(NCc2cc(-c3cccnc3)n[nH]2)CC1 ZINC000581647900 367650026 /nfs/dbraw/zinc/65/00/26/367650026.db2.gz LVNLWUWYKZYXDZ-UHFFFAOYSA-N 0 3 242.326 2.504 20 0 BFADHN Cc1nnsc1CN[C@@H]1C[C@H](C)CC[C@H]1C ZINC000384230213 367678261 /nfs/dbraw/zinc/67/82/61/367678261.db2.gz GYYRDFMQMAHKCH-FXPVBKGRSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1cccc(CNCCCCF)c1F ZINC000384561306 367696489 /nfs/dbraw/zinc/69/64/89/367696489.db2.gz SDXPIHYKIHFXFR-UHFFFAOYSA-N 0 3 213.271 2.973 20 0 BFADHN C/C=C\CNCc1ccc(OC)c(F)c1 ZINC000384732286 367723664 /nfs/dbraw/zinc/72/36/64/367723664.db2.gz JYHOMHOJKDBHTR-ARJAWSKDSA-N 0 3 209.264 2.500 20 0 BFADHN CC/C=C\CNCc1cccc(OC)c1 ZINC000384758691 367727588 /nfs/dbraw/zinc/72/75/88/367727588.db2.gz PSCRKDVIUVCHTP-PLNGDYQASA-N 0 3 205.301 2.751 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C\c2ccccc2)[C@H](C)O1 ZINC000385043625 367778658 /nfs/dbraw/zinc/77/86/58/367778658.db2.gz ZMLZDQAWHATYPB-NKYBAATISA-N 0 3 231.339 2.855 20 0 BFADHN Cc1cscc1CN[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000385139611 367801529 /nfs/dbraw/zinc/80/15/29/367801529.db2.gz LXBPRVQJNLEINK-CKYFFXLPSA-N 0 3 225.357 2.712 20 0 BFADHN COc1cc(CN[C@@H]2CCC[C@H](C)C2)sn1 ZINC000399178609 367851785 /nfs/dbraw/zinc/85/17/85/367851785.db2.gz NSFMMZGWYMOZDX-VHSXEESVSA-N 0 3 240.372 2.820 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H](C)c1ccnn1C ZINC000385791908 367864697 /nfs/dbraw/zinc/86/46/97/367864697.db2.gz FAPQQOKSDRBQDW-IJLUTSLNSA-N 0 3 221.348 2.505 20 0 BFADHN Cc1ccncc1CN[C@@H]1C[C@H]1CC(C)C ZINC000385881246 367874164 /nfs/dbraw/zinc/87/41/64/367874164.db2.gz XCKSRZVOSPWPRL-TZMCWYRMSA-N 0 3 218.344 2.914 20 0 BFADHN C1=C(CN2CCC3(CCO3)CC2)CCCC1 ZINC000581767284 367820362 /nfs/dbraw/zinc/82/03/62/367820362.db2.gz VKHZYGWITCOFMC-UHFFFAOYSA-N 0 3 221.344 2.742 20 0 BFADHN CCn1ccnc1CN[C@@H]1C[C@@H](C)CC[C@@H]1C ZINC000385401984 367826112 /nfs/dbraw/zinc/82/61/12/367826112.db2.gz WRLBQHGPVNDTDZ-RWMBFGLXSA-N 0 3 235.375 2.817 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@H](C)[C@@H]2C)c1OC ZINC000385621165 367848705 /nfs/dbraw/zinc/84/87/05/367848705.db2.gz IXJAVBYKPMAXFD-GMXVVIOVSA-N 0 3 249.354 2.838 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1C[C@H]1CC(C)C ZINC000385894361 367877799 /nfs/dbraw/zinc/87/77/99/367877799.db2.gz ZXOGKQCRDOUZIN-YNEHKIRRSA-N 0 3 233.359 2.870 20 0 BFADHN COc1ccc(OC)c(CN[C@@H]2C[C@@H](C)[C@H]2C)c1 ZINC000385636257 367850100 /nfs/dbraw/zinc/85/01/00/367850100.db2.gz LETBAKUNCLTNOV-JTNHKYCSSA-N 0 3 249.354 2.838 20 0 BFADHN COc1cc(CNC[C@@H]2CC[C@@H](C)C2)sn1 ZINC000399224589 367906789 /nfs/dbraw/zinc/90/67/89/367906789.db2.gz ZWVISYIEEUKTOX-NXEZZACHSA-N 0 3 240.372 2.678 20 0 BFADHN C[C@@H]1C[C@H](NCC(C2CC2)C2CC2)c2nccn21 ZINC000386117714 367914812 /nfs/dbraw/zinc/91/48/12/367914812.db2.gz VLCDLNZVQHRQJV-YGRLFVJLSA-N 0 3 245.370 2.915 20 0 BFADHN COc1cc(CNCCC2CCCC2)sn1 ZINC000399204893 367903362 /nfs/dbraw/zinc/90/33/62/367903362.db2.gz RPXYFFSTNMQUJG-UHFFFAOYSA-N 0 3 240.372 2.822 20 0 BFADHN CCC[C@H](C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000386391195 367961912 /nfs/dbraw/zinc/96/19/12/367961912.db2.gz BWFMYMBSZDFNEI-JTQLQIEISA-N 0 3 232.327 2.665 20 0 BFADHN CC[C@H](NCc1sccc1Cl)[C@H](C)O ZINC000386910354 368034251 /nfs/dbraw/zinc/03/42/51/368034251.db2.gz PXXCLNWANVRGAG-CBAPKCEASA-N 0 3 233.764 2.651 20 0 BFADHN CC[C@H](N[C@H](C)c1ccc(F)c(F)c1)[C@H](C)O ZINC000386942185 368037634 /nfs/dbraw/zinc/03/76/34/368037634.db2.gz VXDPYQIPUHDISS-ZDMBXUJBSA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@H](NCc1cc2ccccc2o1)[C@H](C)O ZINC000386868775 368030158 /nfs/dbraw/zinc/03/01/58/368030158.db2.gz KLVBRKNMJHMMPJ-GWCFXTLKSA-N 0 3 233.311 2.682 20 0 BFADHN COc1cccc(CN[C@@H]2CC23CCCC3)n1 ZINC000387382232 368164459 /nfs/dbraw/zinc/16/44/59/368164459.db2.gz YSSMQKJKZYVKAO-GFCCVEGCSA-N 0 3 232.327 2.513 20 0 BFADHN CN[C@H](CC(C)(C)C)C(=O)Nc1ccccc1C ZINC000387447350 368195747 /nfs/dbraw/zinc/19/57/47/368195747.db2.gz AWDJEQBOGXTWNX-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN CCCN(Cc1ccc(C)o1)CC(C)(C)O ZINC000035741915 368265387 /nfs/dbraw/zinc/26/53/87/368265387.db2.gz IJJDDRAAHPQKNF-UHFFFAOYSA-N 0 3 225.332 2.571 20 0 BFADHN Cc1ccccc1CN1CCN(CC(C)C)CC1 ZINC000039975204 368276236 /nfs/dbraw/zinc/27/62/36/368276236.db2.gz MEOFKUSCJDDEJB-UHFFFAOYSA-N 0 3 246.398 2.769 20 0 BFADHN CCCCN(C)Cc1cc(C)c(C(=O)OC)o1 ZINC000046502204 368444611 /nfs/dbraw/zinc/44/46/11/368444611.db2.gz BSVJJZBNDQKSBR-UHFFFAOYSA-N 0 3 239.315 2.607 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1CC1CCCCC1 ZINC000045785432 368432777 /nfs/dbraw/zinc/43/27/77/368432777.db2.gz RKIOLTJRVKNTLH-NEPJUHHUSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)[nH]c1C ZINC000566749470 326080182 /nfs/dbraw/zinc/08/01/82/326080182.db2.gz SRIRTPFOAUAHKY-OUJBWJOFSA-N 0 3 235.375 2.941 20 0 BFADHN CC[C@H](C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000047453905 368461700 /nfs/dbraw/zinc/46/17/00/368461700.db2.gz RGMAKICGQLKURM-NSHDSACASA-N 0 3 234.343 2.733 20 0 BFADHN CC[C@H](c1ccccc1)N1CCN(CC)CC1 ZINC000057860240 368502047 /nfs/dbraw/zinc/50/20/47/368502047.db2.gz OMWMSJZZTFKOBV-OAHLLOKOSA-N 0 3 232.371 2.775 20 0 BFADHN COc1ccc(CCN(C)Cc2ccco2)cc1 ZINC000057570096 368495032 /nfs/dbraw/zinc/49/50/32/368495032.db2.gz RXIBGFNDUGMTOA-UHFFFAOYSA-N 0 3 245.322 2.963 20 0 BFADHN CCCC[C@@H](CC)CN1CCC[C@H]1C(=O)OC ZINC000059836185 368533163 /nfs/dbraw/zinc/53/31/63/368533163.db2.gz KIDFIAPBQNNNKX-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H](CN(C)Cc1ccno1)c1ccccc1 ZINC000066854594 368665000 /nfs/dbraw/zinc/66/50/00/368665000.db2.gz OYCVBEAEOUSPKX-LBPRGKRZSA-N 0 3 230.311 2.910 20 0 BFADHN CN(CCNc1ccccn1)Cc1ccccc1 ZINC000064916289 368615778 /nfs/dbraw/zinc/61/57/78/368615778.db2.gz DQMPBOSVHVCXCB-UHFFFAOYSA-N 0 3 241.338 2.626 20 0 BFADHN Cc1ccc(NC(=O)CN(C)CC(C)C)c(C)c1 ZINC000065962426 368634852 /nfs/dbraw/zinc/63/48/52/368634852.db2.gz NSPCVMWQQZGZQW-UHFFFAOYSA-N 0 3 248.370 2.830 20 0 BFADHN c1cc(CN2CCC[C@H]2Cc2ccccc2)on1 ZINC000066607279 368655497 /nfs/dbraw/zinc/65/54/97/368655497.db2.gz LYKCRZCYFSJHHN-AWEZNQCLSA-N 0 3 242.322 2.882 20 0 BFADHN CCCNC(=O)[C@@H](C)N(CC)CC(CC)CC ZINC000153855255 326116164 /nfs/dbraw/zinc/11/61/64/326116164.db2.gz UNJSBTRPWVOMSH-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN CCN(CC(=O)Nc1ccccc1)[C@H](C)C(C)C ZINC000067661217 368694541 /nfs/dbraw/zinc/69/45/41/368694541.db2.gz GLZHYICBZVYRCW-CYBMUJFWSA-N 0 3 248.370 2.992 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2cnccn2)C1 ZINC000082847495 368718329 /nfs/dbraw/zinc/71/83/29/368718329.db2.gz LZIISYYLJRDWFU-RYUDHWBXSA-N 0 3 219.332 2.535 20 0 BFADHN CCN(Cc1nccn1C)C1CCCCC1 ZINC000070943550 368768013 /nfs/dbraw/zinc/76/80/13/368768013.db2.gz OWMIRTRASAGCNA-UHFFFAOYSA-N 0 3 221.348 2.575 20 0 BFADHN CC(C)(C)CCN1CCN(c2ccccn2)CC1 ZINC000070945344 368769222 /nfs/dbraw/zinc/76/92/22/368769222.db2.gz YIJAFVATRIUXAX-UHFFFAOYSA-N 0 3 247.386 2.640 20 0 BFADHN CCC1(CC)CCC(NC(=O)C(C)(C)N)CC1 ZINC000457378977 203048939 /nfs/dbraw/zinc/04/89/39/203048939.db2.gz SJHPWSQGBLXUNN-UHFFFAOYSA-N 0 3 240.391 2.589 20 0 BFADHN Cn1ccnc1CN(CC1CC1)C1CCCCC1 ZINC000069079158 368745120 /nfs/dbraw/zinc/74/51/20/368745120.db2.gz VGGQQLDCBIIZMD-UHFFFAOYSA-N 0 3 247.386 2.965 20 0 BFADHN CCOCCN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000073432341 368805191 /nfs/dbraw/zinc/80/51/91/368805191.db2.gz DCFXNBOPEVJJFY-SNVBAGLBSA-N 0 3 243.297 2.994 20 0 BFADHN CCOCCN(C)[C@H]1CCc2ccccc21 ZINC000073741680 368814922 /nfs/dbraw/zinc/81/49/22/368814922.db2.gz YRKALUSRLDPMQK-AWEZNQCLSA-N 0 3 219.328 2.642 20 0 BFADHN CCC[C@H](C)N(C)Cc1ccc(C(=O)OC)o1 ZINC000073873111 368818918 /nfs/dbraw/zinc/81/89/18/368818918.db2.gz XASYVLQMCCQPTL-JTQLQIEISA-N 0 3 239.315 2.687 20 0 BFADHN Cc1scc(CN[C@H]2CCCOC2)c1C ZINC000399877451 368898909 /nfs/dbraw/zinc/89/89/09/368898909.db2.gz WVEDNEMBNYDVMF-LBPRGKRZSA-N 0 3 225.357 2.634 20 0 BFADHN CCc1ccc(CN[C@@H](CO)CCC(C)C)o1 ZINC000623646879 368969869 /nfs/dbraw/zinc/96/98/69/368969869.db2.gz SJRFZTWAWWEQJW-GFCCVEGCSA-N 0 3 239.359 2.729 20 0 BFADHN CC(C)CC[C@@H](CO)NCc1ccsc1 ZINC000623647309 368970150 /nfs/dbraw/zinc/97/01/50/368970150.db2.gz AMPXSHPNUBMQOI-LBPRGKRZSA-N 0 3 227.373 2.635 20 0 BFADHN CC(C)CC[C@@H](CO)NCc1ccc(Cl)o1 ZINC000623647965 368971465 /nfs/dbraw/zinc/97/14/65/368971465.db2.gz UUAYVYSYWNICQA-JTQLQIEISA-N 0 3 245.750 2.820 20 0 BFADHN CS[C@@H]1CCC[C@H](N[C@@H](C)c2ncc[nH]2)C1 ZINC000278843291 368976930 /nfs/dbraw/zinc/97/69/30/368976930.db2.gz DLICBAHUBVMWRD-GARJFASQSA-N 0 3 239.388 2.735 20 0 BFADHN CS[C@@H]1CCC[C@@H](N[C@@H](C)c2ncc[nH]2)C1 ZINC000278843296 368977435 /nfs/dbraw/zinc/97/74/35/368977435.db2.gz DLICBAHUBVMWRD-HBNTYKKESA-N 0 3 239.388 2.735 20 0 BFADHN Cc1ccc(CCN2CCOC[C@H]2C2CC2)cc1 ZINC000090008339 368965353 /nfs/dbraw/zinc/96/53/53/368965353.db2.gz ZUCFTQWAYIXQBY-INIZCTEOSA-N 0 3 245.366 2.648 20 0 BFADHN CC(C)CCCCC(=O)NC(C)(C)CN(C)C ZINC000457844904 203112469 /nfs/dbraw/zinc/11/24/69/203112469.db2.gz MYVUDQRTXNEPDZ-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN COc1ccnc(CN(C)CCC(C)C)c1 ZINC000106859986 369198205 /nfs/dbraw/zinc/19/82/05/369198205.db2.gz JLGNXIDPRLMWBQ-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN C[C@@H]1CN(CC2CCC(F)(F)CC2)C[C@@H](C)O1 ZINC000459302272 203249920 /nfs/dbraw/zinc/24/99/20/203249920.db2.gz SDYWNWXLJDGFIS-GHMZBOCLSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@H]1CN(CC2CCC(F)(F)CC2)C[C@H](C)O1 ZINC000459302276 203250145 /nfs/dbraw/zinc/25/01/45/203250145.db2.gz SDYWNWXLJDGFIS-QWRGUYRKSA-N 0 3 247.329 2.921 20 0 BFADHN CC[N@@H+](Cc1cc(=O)[n-]c(C2CC2)n1)CC(C)C ZINC000459303983 203252208 /nfs/dbraw/zinc/25/22/08/203252208.db2.gz OPECSMGPCFQUOW-UHFFFAOYSA-N 0 3 249.358 2.538 20 0 BFADHN CC[N@H+](Cc1cc(=O)[n-]c(C2CC2)n1)CC(C)C ZINC000459303983 203252211 /nfs/dbraw/zinc/25/22/11/203252211.db2.gz OPECSMGPCFQUOW-UHFFFAOYSA-N 0 3 249.358 2.538 20 0 BFADHN C(CN1CCCCC1)OCC1CCCC1 ZINC000459309908 203253336 /nfs/dbraw/zinc/25/33/36/203253336.db2.gz LPCIZYWLTIXKGD-UHFFFAOYSA-N 0 3 211.349 2.679 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnc(C2CC2)nc1 ZINC000459311595 203254881 /nfs/dbraw/zinc/25/48/81/203254881.db2.gz XZDYFKTUFCOKNX-SNVBAGLBSA-N 0 3 219.332 2.584 20 0 BFADHN CC[C@H]1CCCCN1CCn1cc(Cl)cn1 ZINC000459316275 203255177 /nfs/dbraw/zinc/25/51/77/203255177.db2.gz YAFMCOAJWINPIK-LBPRGKRZSA-N 0 3 241.766 2.801 20 0 BFADHN C[N@H+](Cc1cc(=O)[n-]c(C2CC2)n1)CC(C)(C)C ZINC000459322817 203256862 /nfs/dbraw/zinc/25/68/62/203256862.db2.gz ICKDIZXJXFSSRU-UHFFFAOYSA-N 0 3 249.358 2.538 20 0 BFADHN C[N@@H+](Cc1cc(=O)[n-]c(C2CC2)n1)CC(C)(C)C ZINC000459322817 203256866 /nfs/dbraw/zinc/25/68/66/203256866.db2.gz ICKDIZXJXFSSRU-UHFFFAOYSA-N 0 3 249.358 2.538 20 0 BFADHN CN(Cc1cnc(C2CC2)nc1)CC(C)(C)C ZINC000459330945 203257312 /nfs/dbraw/zinc/25/73/12/203257312.db2.gz SJEJQWLLINOYKY-UHFFFAOYSA-N 0 3 233.359 2.832 20 0 BFADHN C[C@@H](O)CCN(C)Cc1ccc(C2CC2)cc1 ZINC000459342984 203259702 /nfs/dbraw/zinc/25/97/02/203259702.db2.gz LAEASIBENXUHKP-GFCCVEGCSA-N 0 3 233.355 2.767 20 0 BFADHN C[C@@H](N(C)Cc1cnc(C2CC2)nc1)C1(C)CC1 ZINC000459353720 203263582 /nfs/dbraw/zinc/26/35/82/203263582.db2.gz LFKFCQLXZSRDQW-LLVKDONJSA-N 0 3 245.370 2.974 20 0 BFADHN C[C@@H]1CSCCN1CCOCC1CCCC1 ZINC000459345942 203264712 /nfs/dbraw/zinc/26/47/12/203264712.db2.gz TUHZYPRPYBANOT-GFCCVEGCSA-N 0 3 243.416 2.631 20 0 BFADHN C[C@H]1OCCN(C[C@@H]2C[C@H]2c2ccccc2)[C@H]1C ZINC000459346951 203265795 /nfs/dbraw/zinc/26/57/95/203265795.db2.gz KVIZEBXEGVGVRF-XRGAULLZSA-N 0 3 245.366 2.899 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1CCn1cc(Cl)cn1 ZINC000459483336 203289773 /nfs/dbraw/zinc/28/97/73/203289773.db2.gz YZVKSLATLJGMEH-ZYHUDNBSSA-N 0 3 241.766 2.799 20 0 BFADHN Cc1cc(F)c(CNC[C@H](C)CO)c(Cl)c1 ZINC000459480519 203290178 /nfs/dbraw/zinc/29/01/78/203290178.db2.gz VSTPTTKASIAXHZ-VIFPVBQESA-N 0 3 245.725 2.506 20 0 BFADHN C[C@@H]1CN(CCOCC2CCCC2)[C@H]1C ZINC000459484223 203290824 /nfs/dbraw/zinc/29/08/24/203290824.db2.gz GWZUFEPLBZGNDI-NEPJUHHUSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@H]2C)no1 ZINC000459517921 203296136 /nfs/dbraw/zinc/29/61/36/203296136.db2.gz AZUSUMKPBNEOOV-MWLCHTKSSA-N 0 3 208.305 2.509 20 0 BFADHN Cc1cc(CNCC2CCC(F)(F)CC2)no1 ZINC000459517424 203296304 /nfs/dbraw/zinc/29/63/04/203296304.db2.gz BMIKMUDHDUBLFX-UHFFFAOYSA-N 0 3 244.285 2.898 20 0 BFADHN Cc1cc(CNCC2(C3CC3)CCC2)no1 ZINC000459440053 203282441 /nfs/dbraw/zinc/28/24/41/203282441.db2.gz WQLAYMYZTUPCFC-UHFFFAOYSA-N 0 3 220.316 2.653 20 0 BFADHN CCN1C[C@H](C)N(CCSC(C)C)[C@@H](C)C1 ZINC000459456429 203283182 /nfs/dbraw/zinc/28/31/82/203283182.db2.gz HRIJHRIPYFEWRU-STQMWFEESA-N 0 3 244.448 2.543 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(C(F)F)cc2)CO1 ZINC000402119622 369379859 /nfs/dbraw/zinc/37/98/59/369379859.db2.gz DKJCUZPEZCVYSQ-SKDRFNHKSA-N 0 3 241.281 2.891 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(C(F)F)cc2)CO1 ZINC000402119614 369380448 /nfs/dbraw/zinc/38/04/48/369380448.db2.gz DKJCUZPEZCVYSQ-CABZTGNLSA-N 0 3 241.281 2.891 20 0 BFADHN CCC1(CC)CN(Cc2c(C)nn(C)c2C)C1 ZINC000459594722 203310567 /nfs/dbraw/zinc/31/05/67/203310567.db2.gz LKCXKOIHCWLVRS-UHFFFAOYSA-N 0 3 235.375 2.659 20 0 BFADHN Cc1ccoc1CN1CC2(C1)CCCC2 ZINC000459561192 203300734 /nfs/dbraw/zinc/30/07/34/203300734.db2.gz MUYRQCQLQMAMRA-UHFFFAOYSA-N 0 3 205.301 2.964 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1cccs1 ZINC000401886084 369373088 /nfs/dbraw/zinc/37/30/88/369373088.db2.gz PMIQGGRGNSAZIE-OPRDCNLKSA-N 0 3 211.330 2.576 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2CO[C@@H](C)C2)cc1 ZINC000401872664 369373092 /nfs/dbraw/zinc/37/30/92/369373092.db2.gz ZSDPMEFFXFUQQC-GVXVVHGQSA-N 0 3 235.327 2.523 20 0 BFADHN CCn1cc([C@@H](C)NC2CC3(CCC3)C2)cn1 ZINC000459565297 203304190 /nfs/dbraw/zinc/30/41/90/203304190.db2.gz GMHSMOVGKWCKCU-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN CCC1(NCc2cc(COC)on2)CCCC1 ZINC000459574660 203305316 /nfs/dbraw/zinc/30/53/16/203305316.db2.gz TXVJSARRQAMCDP-UHFFFAOYSA-N 0 3 238.331 2.633 20 0 BFADHN C[C@H](N[C@@H]1CCCSC1)c1ccoc1 ZINC000157133514 326258065 /nfs/dbraw/zinc/25/80/65/326258065.db2.gz QWAVCWRBZJQQBB-GXSJLCMTSA-N 0 3 211.330 2.826 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2C[C@@H](OC(C)C)C2)c1 ZINC000459628613 203323627 /nfs/dbraw/zinc/32/36/27/203323627.db2.gz FTNWLKXPXJWMDC-MELADBBJSA-N 0 3 248.370 2.997 20 0 BFADHN CCC1(C)CN(Cc2cccc(OC)c2)C1 ZINC000459635619 203326191 /nfs/dbraw/zinc/32/61/91/203326191.db2.gz OVTDKAQVZVPPAQ-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccc(C)cc2OC)C1 ZINC000459634087 203326501 /nfs/dbraw/zinc/32/65/01/203326501.db2.gz OAKTUAWHFZKYCH-OKILXGFUSA-N 0 3 249.354 2.661 20 0 BFADHN CCS[C@H]1CCC[C@H]1NCc1ccns1 ZINC000404461470 369429476 /nfs/dbraw/zinc/42/94/76/369429476.db2.gz KOWFTJLBYRTKHU-MNOVXSKESA-N 0 3 242.413 2.907 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@@H](C)c2ccccn2)C1 ZINC000459635973 203326820 /nfs/dbraw/zinc/32/68/20/203326820.db2.gz QSWAKEZTCVOCDK-RWMBFGLXSA-N 0 3 234.343 2.688 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cc(C)ccc2OC)C1 ZINC000459646325 203329513 /nfs/dbraw/zinc/32/95/13/203329513.db2.gz MRDSWJKZNCXLKA-OKILXGFUSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1cccnc1CCN[C@H](C)c1ccoc1 ZINC000404891856 369438708 /nfs/dbraw/zinc/43/87/08/369438708.db2.gz XFZPQJYYVZJGOU-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@H]2CCCOC2)nc1C ZINC000459659176 203331673 /nfs/dbraw/zinc/33/16/73/203331673.db2.gz CWVSFLSYRYHBCH-KBPBESRZSA-N 0 3 248.370 2.603 20 0 BFADHN Cc1ncc(CNC2CCC(C(F)F)CC2)o1 ZINC000459673096 203333662 /nfs/dbraw/zinc/33/36/62/203333662.db2.gz IPQDKEAUOZMGHQ-UHFFFAOYSA-N 0 3 244.285 2.897 20 0 BFADHN CC(C)(C)c1nnc(C[NH2+]C2CCCCC2)[n-]1 ZINC000459679200 203335400 /nfs/dbraw/zinc/33/54/00/203335400.db2.gz PCRBVWGAPUHGPS-UHFFFAOYSA-N 0 3 236.363 2.525 20 0 BFADHN CC(C)(C)c1nnc(CNC2CCCCC2)[nH]1 ZINC000459679200 203335403 /nfs/dbraw/zinc/33/54/03/203335403.db2.gz PCRBVWGAPUHGPS-UHFFFAOYSA-N 0 3 236.363 2.525 20 0 BFADHN Cc1ncc(CN[C@H](C)C2CCSCC2)o1 ZINC000459678046 203336195 /nfs/dbraw/zinc/33/61/95/203336195.db2.gz QKZJJMIZMLRTMV-SECBINFHSA-N 0 3 240.372 2.604 20 0 BFADHN CCOC[C@H]1CCCCN1CCC(F)(F)F ZINC000459716151 203340129 /nfs/dbraw/zinc/34/01/29/203340129.db2.gz PQEVJXFTJMQJCF-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN C[C@H](CCC1CC1)N[C@@H](C)c1ccn(C)n1 ZINC000459739151 203349761 /nfs/dbraw/zinc/34/97/61/203349761.db2.gz DDDYSQWGBJPSNU-MNOVXSKESA-N 0 3 221.348 2.649 20 0 BFADHN Cc1nccc(CNC2(C3CCC3)CCC2)n1 ZINC000459735118 203348230 /nfs/dbraw/zinc/34/82/30/203348230.db2.gz GFQWHULICSVJAA-UHFFFAOYSA-N 0 3 231.343 2.597 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1cccc(Cl)c1 ZINC000459739651 203349810 /nfs/dbraw/zinc/34/98/10/203349810.db2.gz KUPTUOHXUOLKNP-OLZOCXBDSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@H](NC[C@H]1CCCC[C@@H]1C)c1ccn(C)n1 ZINC000459750939 203354121 /nfs/dbraw/zinc/35/41/21/203354121.db2.gz LJVOBYVTULMAEC-RWMBFGLXSA-N 0 3 235.375 2.897 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1ccccc1Cl ZINC000459749826 203354814 /nfs/dbraw/zinc/35/48/14/203354814.db2.gz ZCBPKHNEGYYAAX-OLZOCXBDSA-N 0 3 239.746 2.997 20 0 BFADHN CCCC[C@H](C)N[C@H](C)c1ccn(C)n1 ZINC000459753028 203356229 /nfs/dbraw/zinc/35/62/29/203356229.db2.gz NECSHAROILSTMZ-WDEREUQCSA-N 0 3 209.337 2.649 20 0 BFADHN Cc1c[nH]c(CNCC[C@@H]2CC=CCC2)n1 ZINC000623698526 369505367 /nfs/dbraw/zinc/50/53/67/369505367.db2.gz LDCOVUOFICNQCQ-GFCCVEGCSA-N 0 3 219.332 2.554 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](C)[C@H](C)C1)c1ccn(C)n1 ZINC000459759944 203359173 /nfs/dbraw/zinc/35/91/73/203359173.db2.gz RSFLDAYDYTXEGU-QNWHQSFQSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)C1)c1ccn(C)n1 ZINC000459764781 203360369 /nfs/dbraw/zinc/36/03/69/203360369.db2.gz VIYRBWXHOUVNCO-GRYCIOLGSA-N 0 3 221.348 2.649 20 0 BFADHN CCC[C@@H](CC)N[C@@H](C)c1ccn(C)n1 ZINC000459765689 203360408 /nfs/dbraw/zinc/36/04/08/203360408.db2.gz VOTUQRQFMQRDJB-WDEREUQCSA-N 0 3 209.337 2.649 20 0 BFADHN Cc1cc(CNC2(C3CCC3)CCC2)no1 ZINC000459764822 203360564 /nfs/dbraw/zinc/36/05/64/203360564.db2.gz ZPXNKXFWFXOGGO-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN CCn1ccnc1CNC1(C2CCC2)CCC1 ZINC000459762339 203361071 /nfs/dbraw/zinc/36/10/71/203361071.db2.gz YFCRCGIXGHFBSM-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN CCOC1CCN(Cc2cccc(F)c2)CC1 ZINC000060493326 369487672 /nfs/dbraw/zinc/48/76/72/369487672.db2.gz AOFLLLXCHVUMTR-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN CCOC1CCN(Cc2ccc(F)cc2)CC1 ZINC000060493869 369488021 /nfs/dbraw/zinc/48/80/21/369488021.db2.gz JCCAEJOQGIMUJP-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)cn1 ZINC000459772407 203363102 /nfs/dbraw/zinc/36/31/02/203363102.db2.gz IBBQCLIZQNLLGF-RNJOBUHISA-N 0 3 231.343 2.624 20 0 BFADHN C[C@@H](NCCCCC(F)(F)F)c1ccn(C)n1 ZINC000459769938 203363853 /nfs/dbraw/zinc/36/38/53/203363853.db2.gz BBRNNOBMCXJESK-SECBINFHSA-N 0 3 249.280 2.803 20 0 BFADHN CCC[C@H](NCc1nccnc1OC)C1CCC1 ZINC000459771211 203364253 /nfs/dbraw/zinc/36/42/53/203364253.db2.gz STNYRKFOKPMOFI-LBPRGKRZSA-N 0 3 249.358 2.544 20 0 BFADHN CCC(C)(C)CCN[C@@H](C)c1ccn(C)n1 ZINC000459779416 203365700 /nfs/dbraw/zinc/36/57/00/203365700.db2.gz FVXFZGPMNJSNOY-NSHDSACASA-N 0 3 223.364 2.897 20 0 BFADHN Cc1ccc(NC(=O)[C@@H]2C[C@@H]2C)c(CN(C)C)c1 ZINC000072841389 369495984 /nfs/dbraw/zinc/49/59/84/369495984.db2.gz MYSOEYWHXNTGJO-WCQYABFASA-N 0 3 246.354 2.651 20 0 BFADHN Cc1ccc(NC(=O)[C@H]2C[C@@H]2C)c(CN(C)C)c1 ZINC000072841391 369496476 /nfs/dbraw/zinc/49/64/76/369496476.db2.gz MYSOEYWHXNTGJO-AAEUAGOBSA-N 0 3 246.354 2.651 20 0 BFADHN C[C@H](NCC12CCC(CC1)C2)c1ccn(C)n1 ZINC000459801978 203374713 /nfs/dbraw/zinc/37/47/13/203374713.db2.gz YHBURJVGVKULDL-DCBWTQNWSA-N 0 3 233.359 2.651 20 0 BFADHN c1cn2cc(CN[C@@H]3CCC[C@@H]4C[C@@H]43)nc2s1 ZINC000459795071 203372395 /nfs/dbraw/zinc/37/23/95/203372395.db2.gz XMSLKKWDIVTSQN-ADEWGFFLSA-N 0 3 247.367 2.674 20 0 BFADHN C(=C/c1ccccc1)\CNCc1cc[nH]c1 ZINC000165672156 203385629 /nfs/dbraw/zinc/38/56/29/203385629.db2.gz KAYXUXLYBPVBMS-QPJJXVBHSA-N 0 3 212.296 2.818 20 0 BFADHN CC[C@H](C)N(CC(=O)OC)C[C@@H](C)C(C)(C)C ZINC000619845934 369618430 /nfs/dbraw/zinc/61/84/30/369618430.db2.gz VAIIOEXLROKBAA-NEPJUHHUSA-N 0 3 243.391 2.942 20 0 BFADHN CC[C@@H](C)N(CC(=O)OC)C[C@@H](C)C(C)(C)C ZINC000619845937 369618627 /nfs/dbraw/zinc/61/86/27/369618627.db2.gz VAIIOEXLROKBAA-VXGBXAGGSA-N 0 3 243.391 2.942 20 0 BFADHN C[C@H](CN1CC[C@@H](C)[S@](=O)CC1)C(C)(C)C ZINC000619846011 369618717 /nfs/dbraw/zinc/61/87/17/369618717.db2.gz NTEOLQOWSKVWBD-XHBSWPGZSA-N 0 3 245.432 2.512 20 0 BFADHN CCC(CC)N(CC(=O)NC(C)(C)C)C1CC1 ZINC000620119533 369739606 /nfs/dbraw/zinc/73/96/06/369739606.db2.gz JSHLSBVOTQJNGL-UHFFFAOYSA-N 0 3 240.391 2.554 20 0 BFADHN CCN1CCC(N2c3ccccc3C[C@@H]2C)CC1 ZINC000620937121 370063786 /nfs/dbraw/zinc/06/37/86/370063786.db2.gz UQEBWKHRDDBZFF-ZDUSSCGKSA-N 0 3 244.382 2.922 20 0 BFADHN CCC[C@@H](C)NCc1nc2ccccc2n1C ZINC000111233810 370117571 /nfs/dbraw/zinc/11/75/71/370117571.db2.gz XURYRBPSIWMVBV-LLVKDONJSA-N 0 3 231.343 2.852 20 0 BFADHN Cc1cnc(CN2CC3C[C@@H]4CC2C[C@H](C3)C4)o1 ZINC000075674156 370163773 /nfs/dbraw/zinc/16/37/73/370163773.db2.gz ZHTOSEQFQAXFCL-VTXSZYRJSA-N 0 3 246.354 2.994 20 0 BFADHN CCCN(CC)Cc1cncc(Cl)c1 ZINC000621251209 370185405 /nfs/dbraw/zinc/18/54/05/370185405.db2.gz JOHVHOFUNNKECH-UHFFFAOYSA-N 0 3 212.724 2.967 20 0 BFADHN Fc1ccc(CCNCC2(F)CC2)c(F)c1 ZINC000309580987 370231528 /nfs/dbraw/zinc/23/15/28/370231528.db2.gz QGRJBAQVHPQIEV-UHFFFAOYSA-N 0 3 229.245 2.599 20 0 BFADHN CC[C@H](O)[C@@H]1CCCCN1Cc1ccnc(C)c1 ZINC000621258262 370190869 /nfs/dbraw/zinc/19/08/69/370190869.db2.gz DHHDZLZENNYELD-GJZGRUSLSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@H](O)[C@H]1CCCCN1Cc1ccnc(C)c1 ZINC000621258261 370191021 /nfs/dbraw/zinc/19/10/21/370191021.db2.gz DHHDZLZENNYELD-CABCVRRESA-N 0 3 248.370 2.515 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000309736926 370252290 /nfs/dbraw/zinc/25/22/90/370252290.db2.gz COCSJRKIOCAWFO-ONKJVTDKSA-N 0 3 237.368 2.651 20 0 BFADHN CCCc1ccc(CNCCn2cccn2)s1 ZINC000621330543 370263725 /nfs/dbraw/zinc/26/37/25/370263725.db2.gz RINYNBMLSDEMST-UHFFFAOYSA-N 0 3 249.383 2.687 20 0 BFADHN CCOc1cncc(CNC2(C3CC3)CC2)c1 ZINC000621340788 370273359 /nfs/dbraw/zinc/27/33/59/370273359.db2.gz QWBSXLDETRYSDS-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN CCc1ccc(CNCC[C@@H]2CC2(F)F)o1 ZINC000621378543 370321162 /nfs/dbraw/zinc/32/11/62/370321162.db2.gz YQBRBEUYQYFPML-SECBINFHSA-N 0 3 229.270 2.977 20 0 BFADHN Cn1nc(CNCC(C)(C)C)c2ccccc21 ZINC000112343105 370287149 /nfs/dbraw/zinc/28/71/49/370287149.db2.gz HNNFVGXKQAVQNR-UHFFFAOYSA-N 0 3 231.343 2.709 20 0 BFADHN Cc1ccc(CNCC[C@@H]2CC2(F)F)o1 ZINC000621379162 370322737 /nfs/dbraw/zinc/32/27/37/370322737.db2.gz NVHNFPFPUVMLBC-SECBINFHSA-N 0 3 215.243 2.723 20 0 BFADHN Cc1cnc(CNCC2CC(C)C2)s1 ZINC000621384389 370325771 /nfs/dbraw/zinc/32/57/71/370325771.db2.gz FOMIZXGBKOINMV-UHFFFAOYSA-N 0 3 210.346 2.587 20 0 BFADHN CC1CC(CNCc2nc3ccccc3n2C)C1 ZINC000621383863 370327053 /nfs/dbraw/zinc/32/70/53/370327053.db2.gz UXWPUOQTVHDTDF-UHFFFAOYSA-N 0 3 243.354 2.709 20 0 BFADHN CC[C@H](C)COc1ccc2c(c1)OCCNC2 ZINC000310281574 370331641 /nfs/dbraw/zinc/33/16/41/370331641.db2.gz WNKPOQAHPNARTE-NSHDSACASA-N 0 3 235.327 2.594 20 0 BFADHN Cc1nccnc1CN(CC1CCC1)C(C)C ZINC000621725480 370477399 /nfs/dbraw/zinc/47/73/99/370477399.db2.gz QDCRBVOSHDGHGF-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN CCCc1ccc(CN2CCC[C@H](O)C2)s1 ZINC000621708879 370453416 /nfs/dbraw/zinc/45/34/16/370453416.db2.gz CWARUIQTXAEVHA-NSHDSACASA-N 0 3 239.384 2.657 20 0 BFADHN CCOc1cncc(CN(C)[C@H](C)C(C)C)c1 ZINC000621711270 370457610 /nfs/dbraw/zinc/45/76/10/370457610.db2.gz LZAJPKZGFKAINR-GFCCVEGCSA-N 0 3 236.359 2.957 20 0 BFADHN C[C@H]1CN(C/C=C\c2ccccc2)CCCO1 ZINC000255298549 370459870 /nfs/dbraw/zinc/45/98/70/370459870.db2.gz CNMBWKPDDGUAFX-UQYHUFHVSA-N 0 3 231.339 2.811 20 0 BFADHN CCCCN(CC)Cc1cnn(CCC)c1 ZINC000158976721 326346989 /nfs/dbraw/zinc/34/69/89/326346989.db2.gz YZYUCZUWDAQZFZ-UHFFFAOYSA-N 0 3 223.364 2.915 20 0 BFADHN CCOc1cncc(CN2CCC3(CCC3)C2)c1 ZINC000621716539 370464849 /nfs/dbraw/zinc/46/48/49/370464849.db2.gz DVNQVKLTRFQACB-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CCOc1cncc(CN2C[C@@H](C)C[C@H]2C)c1 ZINC000621717842 370467920 /nfs/dbraw/zinc/46/79/20/370467920.db2.gz PRGGWQGCFRABSM-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN CCC[C@H]1CCCN(Cc2nccnc2C)C1 ZINC000621718881 370469569 /nfs/dbraw/zinc/46/95/69/370469569.db2.gz VPMHKUPCEXEPOD-ZDUSSCGKSA-N 0 3 233.359 2.797 20 0 BFADHN CCOc1cncc(CN2CC[C@@H](C)[C@H]2C)c1 ZINC000621719496 370469608 /nfs/dbraw/zinc/46/96/08/370469608.db2.gz PAPHONYWHGEGGR-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1nccnc1C ZINC000621722426 370473847 /nfs/dbraw/zinc/47/38/47/370473847.db2.gz WTTUFFFVFBKPHO-CYBMUJFWSA-N 0 3 233.359 2.940 20 0 BFADHN C[C@H]1C[C@@H]1CN1CC(Cc2ccsc2)C1 ZINC000621741224 370500414 /nfs/dbraw/zinc/50/04/14/370500414.db2.gz IPTANHQUKBJSGX-GXFFZTMASA-N 0 3 221.369 2.878 20 0 BFADHN CC[C@@H](NCC[C@H]1CC1(F)F)c1ccn(C)n1 ZINC000621767300 370508066 /nfs/dbraw/zinc/50/80/66/370508066.db2.gz BLRKWUTZDZQMQL-VHSXEESVSA-N 0 3 243.301 2.506 20 0 BFADHN CC1CC(CN[C@@H]2C[C@@H](C)n3ccnc32)C1 ZINC000621769684 370509659 /nfs/dbraw/zinc/50/96/59/370509659.db2.gz FMYDXSMYMXGTNP-RUJICJSRSA-N 0 3 219.332 2.525 20 0 BFADHN CC[C@H](NCC[C@H]1CC1(F)F)c1nccn1C ZINC000621768365 370510193 /nfs/dbraw/zinc/51/01/93/370510193.db2.gz NVWHAYFJIIVOLX-UWVGGRQHSA-N 0 3 243.301 2.506 20 0 BFADHN CC[C@H](NCC1CC(C)C1)c1nccn1C ZINC000621771879 370514552 /nfs/dbraw/zinc/51/45/52/370514552.db2.gz PRDJQGCPAJJNJS-MCIGGMRASA-N 0 3 221.348 2.507 20 0 BFADHN CCN(Cc1nccnc1C)CC(C)(C)C ZINC000621728488 370479998 /nfs/dbraw/zinc/47/99/98/370479998.db2.gz QULFDIKBRWBGJK-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN CCCc1ccc(CN(CC)[C@@H](C)CO)s1 ZINC000621729597 370481530 /nfs/dbraw/zinc/48/15/30/370481530.db2.gz ZSTNRBCEOSHZKR-NSHDSACASA-N 0 3 241.400 2.903 20 0 BFADHN Cc1nccnc1CN1CC(C)(C)[C@@H]2CCC[C@@H]21 ZINC000621729057 370482292 /nfs/dbraw/zinc/48/22/92/370482292.db2.gz HLYSYKBUGMHSQN-OCCSQVGLSA-N 0 3 245.370 2.796 20 0 BFADHN CCC[C@@H](NCc1nccnc1C)C1CCC1 ZINC000621731691 370485793 /nfs/dbraw/zinc/48/57/93/370485793.db2.gz XECQNXMWFMXYHQ-CYBMUJFWSA-N 0 3 233.359 2.843 20 0 BFADHN CCCc1ccc(CN(C)C2(CO)CC2)s1 ZINC000621732473 370487537 /nfs/dbraw/zinc/48/75/37/370487537.db2.gz BKNVRCCMYDWJKD-UHFFFAOYSA-N 0 3 239.384 2.657 20 0 BFADHN Cc1nccnc1CN1CCC[C@@H](C2CCC2)C1 ZINC000621732597 370487808 /nfs/dbraw/zinc/48/78/08/370487808.db2.gz JPTHBCPOFDTXOP-CQSZACIVSA-N 0 3 245.370 2.797 20 0 BFADHN COCC(C)(C)CN1CC(C)(c2ccccc2)C1 ZINC000621735852 370493138 /nfs/dbraw/zinc/49/31/38/370493138.db2.gz SPKQQTUAAIDEAL-UHFFFAOYSA-N 0 3 247.382 2.933 20 0 BFADHN COc1cccc(CN2CC(CC3CC3)C2)c1 ZINC000621741510 370495133 /nfs/dbraw/zinc/49/51/33/370495133.db2.gz WQYCJUXCMHFVMM-UHFFFAOYSA-N 0 3 231.339 2.927 20 0 BFADHN c1ccc2c(c1)CN([C@@H]1C=CCCC1)CCO2 ZINC000066495440 370584885 /nfs/dbraw/zinc/58/48/85/370584885.db2.gz WFEFLYDBYHFCFG-CQSZACIVSA-N 0 3 229.323 2.990 20 0 BFADHN Cc1scc(CN[C@@H](C)Cn2cccn2)c1C ZINC000631040647 371000692 /nfs/dbraw/zinc/00/06/92/371000692.db2.gz UFFNRRIVSWOFCC-JTQLQIEISA-N 0 3 249.383 2.740 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cn2ccnc2)c(F)c1 ZINC000631041952 371003246 /nfs/dbraw/zinc/00/32/46/371003246.db2.gz VCCUMPFVGNATRE-LBPRGKRZSA-N 0 3 247.317 2.509 20 0 BFADHN Cc1scc(CN[C@@H](C)Cn2ccnc2)c1C ZINC000631042489 371003446 /nfs/dbraw/zinc/00/34/46/371003446.db2.gz ZBDAXKZENJEOTG-JTQLQIEISA-N 0 3 249.383 2.740 20 0 BFADHN C/C=C/CNCc1cc(OC)cc2c1O[C@H](C)C2 ZINC000623739482 371073120 /nfs/dbraw/zinc/07/31/20/371073120.db2.gz YUQSZXFCGPYRBP-SGUJLRQBSA-N 0 3 247.338 2.684 20 0 BFADHN Cc1cccc(CN[C@H]2CO[C@H](C3CC3)C2)c1C ZINC000623752413 371082119 /nfs/dbraw/zinc/08/21/19/371082119.db2.gz FTCMRLLYCNAQMU-CVEARBPZSA-N 0 3 245.366 2.961 20 0 BFADHN Cc1sccc1CN[C@H]1CO[C@H](C2CC2)C1 ZINC000623754256 371082169 /nfs/dbraw/zinc/08/21/69/371082169.db2.gz LSKQXZSCMRXVHL-OLZOCXBDSA-N 0 3 237.368 2.714 20 0 BFADHN Cc1ccc(C)c(CNCc2ccc[nH]c2=O)c1 ZINC000623777111 371088193 /nfs/dbraw/zinc/08/81/93/371088193.db2.gz PLFBSKZWLQLXFQ-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN CCC[C@@](C)(O)CN[C@@H](C)c1nc(C)cs1 ZINC000623893024 371143006 /nfs/dbraw/zinc/14/30/06/371143006.db2.gz CJEJYBFPHUBCLM-CMPLNLGQSA-N 0 3 242.388 2.653 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc([C@@H]3C[C@@H]3C)o2)C1 ZINC000623806718 371101047 /nfs/dbraw/zinc/10/10/47/371101047.db2.gz CZQMRUZYJRTRHR-XRRVDJEJSA-N 0 3 235.327 2.670 20 0 BFADHN Cc1csc([C@@H](C)NC[C@@]2(C)CCOC2)n1 ZINC000623893665 371143906 /nfs/dbraw/zinc/14/39/06/371143906.db2.gz YJRSDKVKOKRBAV-ZYHUDNBSSA-N 0 3 240.372 2.529 20 0 BFADHN c1csc(CNC[C@H]2CCCC23CC3)n1 ZINC000623824497 371112677 /nfs/dbraw/zinc/11/26/77/371112677.db2.gz HCALDLPUACDWHN-SNVBAGLBSA-N 0 3 222.357 2.813 20 0 BFADHN c1csc(CNC[C@@H]2CCCC23CC3)n1 ZINC000623824496 371113132 /nfs/dbraw/zinc/11/31/32/371113132.db2.gz HCALDLPUACDWHN-JTQLQIEISA-N 0 3 222.357 2.813 20 0 BFADHN C[C@H](N[C@H]1CCCc2scnc21)C1CC1 ZINC000623852943 371128039 /nfs/dbraw/zinc/12/80/39/371128039.db2.gz GSDVOCSICVBAHD-WPRPVWTQSA-N 0 3 222.357 2.909 20 0 BFADHN COCC[C@H](C)NCc1ccc(C)cc1F ZINC000631057523 371185066 /nfs/dbraw/zinc/18/50/66/371185066.db2.gz CHQZLFSBSOSULR-NSHDSACASA-N 0 3 225.307 2.649 20 0 BFADHN CO[C@H](C)CCNCc1ccc(C)cc1F ZINC000631059112 371191475 /nfs/dbraw/zinc/19/14/75/371191475.db2.gz HIBKFIFNYPTPFV-LLVKDONJSA-N 0 3 225.307 2.649 20 0 BFADHN C[C@@H]1CC[C@H]1N[C@@H]1CCCc2scnc21 ZINC000623983219 371215221 /nfs/dbraw/zinc/21/52/21/371215221.db2.gz UWTNBRQGOCAFII-OPRDCNLKSA-N 0 3 222.357 2.909 20 0 BFADHN C[C@@H]1CC[C@@H]1N[C@@H]1CCCc2scnc21 ZINC000623983218 371215463 /nfs/dbraw/zinc/21/54/63/371215463.db2.gz UWTNBRQGOCAFII-KXUCPTDWSA-N 0 3 222.357 2.909 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(C)c(C)c1 ZINC000390604195 371218264 /nfs/dbraw/zinc/21/82/64/371218264.db2.gz CASKAZFNTVNKKH-UONOGXRCSA-N 0 3 219.328 2.570 20 0 BFADHN C[C@@H](F)CCN[C@H]1CCCc2scnc21 ZINC000623989683 371223274 /nfs/dbraw/zinc/22/32/74/371223274.db2.gz DSISZHLOHBEARS-BDAKNGLRSA-N 0 3 228.336 2.858 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@@H]2CCCCO2)nc1C ZINC000623999205 371230773 /nfs/dbraw/zinc/23/07/73/371230773.db2.gz NKTCWXNDSAPYIX-ZFWWWQNUSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@@H]2CCCCO2)nc1C ZINC000623999203 371231817 /nfs/dbraw/zinc/23/18/17/371231817.db2.gz NKTCWXNDSAPYIX-HIFRSBDPSA-N 0 3 248.370 2.746 20 0 BFADHN COCC[C@@H](C)CN[C@H](C)c1nc(C)cs1 ZINC000624000157 371232004 /nfs/dbraw/zinc/23/20/04/371232004.db2.gz QDJMXGBQFWDZSC-MWLCHTKSSA-N 0 3 242.388 2.775 20 0 BFADHN COC(=O)c1coc([C@@H](C)NCCC2CC2)c1 ZINC000278868786 371246103 /nfs/dbraw/zinc/24/61/03/371246103.db2.gz WUBYPXNVKOBQAE-SECBINFHSA-N 0 3 237.299 2.517 20 0 BFADHN CC(C)c1cccc([C@@H](C)N[C@H]2C[C@H](O)C2)c1 ZINC000624016932 371251793 /nfs/dbraw/zinc/25/17/93/371251793.db2.gz KKMQJFBWYNIUFZ-KCPJHIHWSA-N 0 3 233.355 2.984 20 0 BFADHN CSCCNCc1cnc(CC(C)C)s1 ZINC000125053799 371279706 /nfs/dbraw/zinc/27/97/06/371279706.db2.gz XKEISGZHAVJCNP-UHFFFAOYSA-N 0 3 244.429 2.794 20 0 BFADHN C[C@@H](NC[C@@H](C)c1ccccc1)c1ccn(C)n1 ZINC000020351335 371269517 /nfs/dbraw/zinc/26/95/17/371269517.db2.gz QDSQXLWBIXZSAS-CHWSQXEVSA-N 0 3 243.354 2.874 20 0 BFADHN Cc1cc(CNCCSC(C)C)ccn1 ZINC000391169783 371274841 /nfs/dbraw/zinc/27/48/41/371274841.db2.gz FRFXISJOJIALRS-UHFFFAOYSA-N 0 3 224.373 2.621 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1c[nH]nc1C)OCC ZINC000624049659 371274886 /nfs/dbraw/zinc/27/48/86/371274886.db2.gz NAJGDQBXDCFEPV-JQWIXIFHSA-N 0 3 239.363 2.574 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1ccncc1)OCC ZINC000624049192 371275010 /nfs/dbraw/zinc/27/50/10/371275010.db2.gz BKUCCSHRUKODRP-GXTWGEPZSA-N 0 3 236.359 2.937 20 0 BFADHN Cc1ccc(CNC[C@@](C)(O)C(C)C)c(F)c1 ZINC000631069898 371300199 /nfs/dbraw/zinc/30/01/99/371300199.db2.gz IURZRQFWWIBSBM-CQSZACIVSA-N 0 3 239.334 2.631 20 0 BFADHN c1ccc([C@H](N[C@@H]2CCCOC2)C2CCC2)nc1 ZINC000624104448 371309340 /nfs/dbraw/zinc/30/93/40/371309340.db2.gz SGENAZCXIBEWOS-UKRRQHHQSA-N 0 3 246.354 2.691 20 0 BFADHN CC(C)CC[C@@H](CO)N[C@H](C)c1ccncc1 ZINC000624109694 371314325 /nfs/dbraw/zinc/31/43/25/371314325.db2.gz DTTNEIIDACRREZ-OCCSQVGLSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H]1CCCCN1C[C@@H]1COc2ccccc2O1 ZINC000095696036 371328136 /nfs/dbraw/zinc/32/81/36/371328136.db2.gz IHFIZEQQBAVYST-CHWSQXEVSA-N 0 3 247.338 2.701 20 0 BFADHN Cc1ccc(CNC[C@@H](C)C[C@H](C)O)c(F)c1 ZINC000631075927 371361740 /nfs/dbraw/zinc/36/17/40/371361740.db2.gz INNCUOIVZVJJHG-RYUDHWBXSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1ccc(CNC[C@H](C)C[C@@H](C)O)c(F)c1 ZINC000631075928 371361828 /nfs/dbraw/zinc/36/18/28/371361828.db2.gz INNCUOIVZVJJHG-VXGBXAGGSA-N 0 3 239.334 2.631 20 0 BFADHN C/C=C/CN[C@@H](C)c1ncccc1CC ZINC000624198219 371387613 /nfs/dbraw/zinc/38/76/13/371387613.db2.gz IVKWNWRLDRDIJA-MALLOTDXSA-N 0 3 204.317 2.871 20 0 BFADHN C/C=C/CN[C@@H]1CCc2c1ccc(OC)c2F ZINC000624198904 371388548 /nfs/dbraw/zinc/38/85/48/371388548.db2.gz BKLVHWQHXALHBG-AAOUONPWSA-N 0 3 235.302 2.987 20 0 BFADHN C/C=C/CN[C@H](C)c1cnc(OC)c(Cl)c1 ZINC000624198919 371388865 /nfs/dbraw/zinc/38/88/65/371388865.db2.gz BWOBIJPZDPWLAP-XNPJLODASA-N 0 3 240.734 2.970 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc(OC)c(O)c1 ZINC000624199165 371388877 /nfs/dbraw/zinc/38/88/77/371388877.db2.gz IAEGVKFMXPSXIE-ORAHPGNNSA-N 0 3 221.300 2.628 20 0 BFADHN C[C@@H](NC[C@]1(C)C[C@H]2C[C@H]2C1)c1ccncn1 ZINC000624200745 371392904 /nfs/dbraw/zinc/39/29/04/371392904.db2.gz RWLYGKNHDUNZTM-NRWUCQMLSA-N 0 3 231.343 2.563 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2CO[C@H](C3CC3)C2)c1 ZINC000624223137 371411655 /nfs/dbraw/zinc/41/16/55/371411655.db2.gz OKSTWUNUUCFNCK-CQDKDKBSSA-N 0 3 246.354 2.608 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2CO[C@@H](C3CC3)C2)c1 ZINC000624223139 371411724 /nfs/dbraw/zinc/41/17/24/371411724.db2.gz OKSTWUNUUCFNCK-NILFDRSVSA-N 0 3 246.354 2.608 20 0 BFADHN C/C=C/CN[C@@H]1CCCc2nc(C)sc21 ZINC000624197710 371383350 /nfs/dbraw/zinc/38/33/50/371383350.db2.gz UPDYBOLJBUMWON-HMDXOVGESA-N 0 3 222.357 2.995 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc2c(c1)COC2 ZINC000624197325 371384954 /nfs/dbraw/zinc/38/49/54/371384954.db2.gz JJHKDYUUGSLKPK-DLRQAJBASA-N 0 3 217.312 2.944 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2ccsc2)C1 ZINC000624297153 371462172 /nfs/dbraw/zinc/46/21/72/371462172.db2.gz RAXMPZATEIURNU-FBIMIBRVSA-N 0 3 211.330 2.576 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2csc(C)c2)C1 ZINC000624300466 371468227 /nfs/dbraw/zinc/46/82/27/371468227.db2.gz IBHADBILDYLWOO-DLOVCJGASA-N 0 3 225.357 2.885 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC12CC2)c1nccn1C ZINC000624314864 371478785 /nfs/dbraw/zinc/47/87/85/371478785.db2.gz QQHCVVSMMOAXPC-NEPJUHHUSA-N 0 3 233.359 2.651 20 0 BFADHN C[C@H]1CCCN(Cc2cnc3ccccn23)C1 ZINC000157322238 371544607 /nfs/dbraw/zinc/54/46/07/371544607.db2.gz BRWNBCJQTSBHFQ-LBPRGKRZSA-N 0 3 229.327 2.566 20 0 BFADHN CCc1ccc(CNCCOC(C)C)s1 ZINC000132751206 371914460 /nfs/dbraw/zinc/91/44/60/371914460.db2.gz UNDOLVDYLVTQQC-UHFFFAOYSA-N 0 3 227.373 2.825 20 0 BFADHN CC1CC(NCc2ccnn2CC2CCC2)C1 ZINC000631084696 372082868 /nfs/dbraw/zinc/08/28/68/372082868.db2.gz XLWOBOOHANHQLX-UHFFFAOYSA-N 0 3 233.359 2.571 20 0 BFADHN CC(C)OCCNCc1ccc2cc[nH]c2c1 ZINC000229667262 372179857 /nfs/dbraw/zinc/17/98/57/372179857.db2.gz ZHTNEPOBCQGOPW-UHFFFAOYSA-N 0 3 232.327 2.683 20 0 BFADHN CO[C@@H](CNCc1ccc(C)cc1F)C1CC1 ZINC000631144029 372208184 /nfs/dbraw/zinc/20/81/84/372208184.db2.gz IRSMFBUOWZTHOM-AWEZNQCLSA-N 0 3 237.318 2.649 20 0 BFADHN CC/C=C\CNCc1ccnn1CC1CCC1 ZINC000631150803 372210214 /nfs/dbraw/zinc/21/02/14/372210214.db2.gz QEBIXHYQEZUCKU-ARJAWSKDSA-N 0 3 233.359 2.739 20 0 BFADHN Cc1ccc(CN[C@@H]2COCC2(C)C)c(F)c1 ZINC000631152386 372210229 /nfs/dbraw/zinc/21/02/29/372210229.db2.gz GLWVEVLHVOKQOO-CYBMUJFWSA-N 0 3 237.318 2.649 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H](O)C23CCC3)c(F)c1 ZINC000631175576 372217253 /nfs/dbraw/zinc/21/72/53/372217253.db2.gz QEQQLTRAKRTJGN-ZIAGYGMSSA-N 0 3 249.329 2.527 20 0 BFADHN c1nc(C2CC2)ncc1CN[C@@H]1CCCC12CC2 ZINC000631193978 372226519 /nfs/dbraw/zinc/22/65/19/372226519.db2.gz SXXJBSUAXSCULA-CYBMUJFWSA-N 0 3 243.354 2.776 20 0 BFADHN CCC[C@@H](CCO)NCc1cc(C)ccc1F ZINC000631195574 372228510 /nfs/dbraw/zinc/22/85/10/372228510.db2.gz ALELFIUVVGJOFM-ZDUSSCGKSA-N 0 3 239.334 2.775 20 0 BFADHN CS[C@@H]1CCCC[C@H]1NCc1ccccn1 ZINC000219205175 372201665 /nfs/dbraw/zinc/20/16/65/372201665.db2.gz LDWGXXAXMQVUKS-CHWSQXEVSA-N 0 3 236.384 2.845 20 0 BFADHN Fc1cnccc1CN[C@]12C[C@H]1CCCC2 ZINC000631224524 372246726 /nfs/dbraw/zinc/24/67/26/372246726.db2.gz AAOFSAJTJDWALM-DGCLKSJQSA-N 0 3 220.291 2.643 20 0 BFADHN CCOc1cncc(CN[C@]23C[C@H]2CCCC3)c1 ZINC000631227799 372249187 /nfs/dbraw/zinc/24/91/87/372249187.db2.gz UORDBUKORPNVPU-UKRRQHHQSA-N 0 3 246.354 2.903 20 0 BFADHN CCC[C@H](N[C@H]1CO[C@@H](C)C1)c1cc(C)ccn1 ZINC000631643079 372478136 /nfs/dbraw/zinc/47/81/36/372478136.db2.gz UCOFWNMDDFBKCC-MJBXVCDLSA-N 0 3 248.370 2.998 20 0 BFADHN CCC[C@H](N[C@@H]1CO[C@H](C)C1)c1cc(C)ccn1 ZINC000631643080 372478225 /nfs/dbraw/zinc/47/82/25/372478225.db2.gz UCOFWNMDDFBKCC-RDBSUJKOSA-N 0 3 248.370 2.998 20 0 BFADHN CCC[C@@H](NCCOCC)c1cc(C)ccn1 ZINC000631568659 372429217 /nfs/dbraw/zinc/42/92/17/372429217.db2.gz BWXMCGANIYXTIZ-CYBMUJFWSA-N 0 3 236.359 2.857 20 0 BFADHN CCC[C@@H](NCC[C@@H](C)O)c1cc(C)ccn1 ZINC000631582570 372440698 /nfs/dbraw/zinc/44/06/98/372440698.db2.gz MCANPLRQZAGOTJ-CHWSQXEVSA-N 0 3 236.359 2.592 20 0 BFADHN FC1(F)CC(N[C@@H]2CCCc3ncccc32)C1 ZINC000631620558 372465073 /nfs/dbraw/zinc/46/50/73/372465073.db2.gz HMBWUXAMAGFENL-GFCCVEGCSA-N 0 3 238.281 2.846 20 0 BFADHN CCOC(C)(C)CN[C@@H]1CCCc2ncccc21 ZINC000631620067 372465347 /nfs/dbraw/zinc/46/53/47/372465347.db2.gz BOIZDUSQSPFWIB-CQSZACIVSA-N 0 3 248.370 2.864 20 0 BFADHN C[C@H](CC1CCC1)N[C@H]1CCCn2ccnc21 ZINC000631738975 372539398 /nfs/dbraw/zinc/53/93/98/372539398.db2.gz ZHWHFAPYQNWNNI-YPMHNXCESA-N 0 3 233.359 2.886 20 0 BFADHN COC[C@@H](CC(C)(C)C)NCC(C)(C)F ZINC000631662851 372488407 /nfs/dbraw/zinc/48/84/07/372488407.db2.gz NXTHKSXJOYRMKK-SNVBAGLBSA-N 0 3 219.344 2.775 20 0 BFADHN CC[C@H](NCC(C)(C)F)c1ccncc1 ZINC000631663805 372489544 /nfs/dbraw/zinc/48/95/44/372489544.db2.gz PFGLIQAVPUAHGK-NSHDSACASA-N 0 3 210.296 2.870 20 0 BFADHN CCC[C@H](N[C@H]1C[C@@H](CO)C1)c1cc(C)ccn1 ZINC000631672144 372498367 /nfs/dbraw/zinc/49/83/67/372498367.db2.gz KJZBFDQKYNDGJN-MJBXVCDLSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@@H](O)C(F)F)oc1C ZINC000631698237 372510111 /nfs/dbraw/zinc/51/01/11/372510111.db2.gz DHYJVGFGILTENV-PSASIEDQSA-N 0 3 247.285 2.563 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCCC[C@@H]2O)oc1C ZINC000631810253 372589254 /nfs/dbraw/zinc/58/92/54/372589254.db2.gz RNWUKXCVDKGCAW-RTXFEEFZSA-N 0 3 237.343 2.851 20 0 BFADHN COc1cccc(CN(C)CCC(C)C)n1 ZINC000171825359 372786619 /nfs/dbraw/zinc/78/66/19/372786619.db2.gz USYWEOJVOQIHLC-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN CCOC[C@@H](NCc1ccc(CC)o1)C(C)C ZINC000188867618 205844409 /nfs/dbraw/zinc/84/44/09/205844409.db2.gz ZFRXBFVOBVRLPG-CQSZACIVSA-N 0 3 239.359 2.993 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000173807580 374091809 /nfs/dbraw/zinc/09/18/09/374091809.db2.gz VRSHAKCXEVZTKC-ZFWWWQNUSA-N 0 3 247.386 2.801 20 0 BFADHN c1nc(CNCC2C3CC4CC(C3)CC2C4)co1 ZINC000192299122 205947970 /nfs/dbraw/zinc/94/79/70/205947970.db2.gz FJDBOIUNUUTLPK-UHFFFAOYSA-N 0 3 246.354 2.837 20 0 BFADHN CCC[C@@H](NC[C@@H](C)O)c1ccc(F)cc1F ZINC000192786336 205966347 /nfs/dbraw/zinc/96/63/47/205966347.db2.gz KCQPCQVITITLGB-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1nc(C)c(CNCCc2ccsc2)o1 ZINC000382136206 374191784 /nfs/dbraw/zinc/19/17/84/374191784.db2.gz MYNOQUFQCCJDDK-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN Cc1cc(CN(CC(C)C)C(C)C)on1 ZINC000194173031 206002473 /nfs/dbraw/zinc/00/24/73/206002473.db2.gz VUACNDRKWZNPSQ-UHFFFAOYSA-N 0 3 210.321 2.849 20 0 BFADHN C[C@H](NCc1nc(-c2ccccc2)c[nH]1)C1CC1 ZINC000194224320 206004130 /nfs/dbraw/zinc/00/41/30/206004130.db2.gz CTZOTTBSRAPHSB-NSHDSACASA-N 0 3 241.338 2.965 20 0 BFADHN CC(C)CC[C@H](C)N[C@@H](C)c1ccn(C)n1 ZINC000459743065 206014018 /nfs/dbraw/zinc/01/40/18/206014018.db2.gz GRGJZNYABDMXBQ-RYUDHWBXSA-N 0 3 223.364 2.895 20 0 BFADHN CC[C@@H](C)CN[C@@H](CC)c1ccn(C)n1 ZINC000421879123 206027027 /nfs/dbraw/zinc/02/70/27/206027027.db2.gz MUKXOOXANHJLLI-MNOVXSKESA-N 0 3 209.337 2.507 20 0 BFADHN CN(Cc1ccc2c(c1)ncn2C)[C@@H]1CC1(C)C ZINC000426565783 206050862 /nfs/dbraw/zinc/05/08/62/206050862.db2.gz CQFJPROKSJFQMJ-CQSZACIVSA-N 0 3 243.354 2.804 20 0 BFADHN COc1ccc(CN(C)[C@H]2CC2(C)C)cc1OC ZINC000426566538 206051391 /nfs/dbraw/zinc/05/13/91/206051391.db2.gz SPCXDONZZCFVSW-AWEZNQCLSA-N 0 3 249.354 2.934 20 0 BFADHN C[C@@H]1C[C@H]1NCc1nc(-c2ccccc2)c[nH]1 ZINC000194291723 206063064 /nfs/dbraw/zinc/06/30/64/206063064.db2.gz PSEKLABKWUMUFP-ZYHUDNBSSA-N 0 3 227.311 2.575 20 0 BFADHN C[C@H]1C[C@H]1NCc1nc(-c2ccccc2)c[nH]1 ZINC000194291740 206063078 /nfs/dbraw/zinc/06/30/78/206063078.db2.gz PSEKLABKWUMUFP-CMPLNLGQSA-N 0 3 227.311 2.575 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CC[C@@H]1CCOC1 ZINC000437797014 206074636 /nfs/dbraw/zinc/07/46/36/206074636.db2.gz IQSBJLVFSOKXLG-QWHCGFSZSA-N 0 3 234.343 2.501 20 0 BFADHN CCCCN(C)Cc1cnc(C(C)C)nc1 ZINC000440471015 206077772 /nfs/dbraw/zinc/07/77/72/206077772.db2.gz ZTUWZWMGUPZHHV-UHFFFAOYSA-N 0 3 221.348 2.832 20 0 BFADHN CCOC(=O)CC1(NC[C@H](F)CC)CCCC1 ZINC000440489896 206079968 /nfs/dbraw/zinc/07/99/68/206079968.db2.gz OSHCDGITTPJDIS-LLVKDONJSA-N 0 3 245.338 2.590 20 0 BFADHN COc1cccc(CN2CC[C@H]2C2CC2)c1OC ZINC000449426395 206097620 /nfs/dbraw/zinc/09/76/20/206097620.db2.gz PIVXVDDVVRTXHI-ZDUSSCGKSA-N 0 3 247.338 2.688 20 0 BFADHN CSc1ccccc1[C@H](C)NCC[C@H](C)O ZINC000194891893 206113245 /nfs/dbraw/zinc/11/32/45/206113245.db2.gz HOGJNLOCFCGLPP-QWRGUYRKSA-N 0 3 239.384 2.830 20 0 BFADHN C[C@H]1CN(CCn2cc(Cl)cn2)CC[C@@H]1C ZINC000459373794 206125656 /nfs/dbraw/zinc/12/56/56/206125656.db2.gz NGZYZNPEFAYLDQ-QWRGUYRKSA-N 0 3 241.766 2.514 20 0 BFADHN Cc1cc(CN(C)CCc2cn[nH]c2)ccc1F ZINC000459426520 206126452 /nfs/dbraw/zinc/12/64/52/206126452.db2.gz MYNWGUIBPPAVNF-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N(C)CC(C)C ZINC000359992930 170249524 /nfs/dbraw/zinc/24/95/24/170249524.db2.gz PFVJXSVSMORPPE-GFCCVEGCSA-N 0 3 229.364 2.552 20 0 BFADHN CCCCOCCNCc1cccc(C)c1 ZINC000040505973 168902513 /nfs/dbraw/zinc/90/25/13/168902513.db2.gz BCRVQTZKTIYZRJ-UHFFFAOYSA-N 0 3 221.344 2.901 20 0 BFADHN OC1(CN[C@@H]2CCCc3occc32)CCCCC1 ZINC000124058208 183020729 /nfs/dbraw/zinc/02/07/29/183020729.db2.gz CFDQAKBNOYHDKD-CYBMUJFWSA-N 0 3 249.354 2.942 20 0 BFADHN OCCCCN1CC=C(c2ccc(F)cc2)CC1 ZINC000264009747 183049312 /nfs/dbraw/zinc/04/93/12/183049312.db2.gz RPUXIQOQTFXTKN-UHFFFAOYSA-N 0 3 249.329 2.687 20 0 BFADHN OCCCCCCN1CCC=C(c2ccco2)C1 ZINC000285644406 183043686 /nfs/dbraw/zinc/04/36/86/183043686.db2.gz OPPSORGWOBIXNJ-UHFFFAOYSA-N 0 3 249.354 2.921 20 0 BFADHN OCCCCCCN1CCCc2occc2C1 ZINC000288735878 183043816 /nfs/dbraw/zinc/04/38/16/183043816.db2.gz HBCHIGZWSUKSML-UHFFFAOYSA-N 0 3 237.343 2.581 20 0 BFADHN OCCN(Cc1cc2ccccc2o1)C1CCC1 ZINC000275890645 183068238 /nfs/dbraw/zinc/06/82/38/183068238.db2.gz SAHGKFHJOSXRBH-UHFFFAOYSA-N 0 3 245.322 2.780 20 0 BFADHN OCCN(Cc1cc2ccccc2o1)CC1CC1 ZINC000352458782 183067937 /nfs/dbraw/zinc/06/79/37/183067937.db2.gz PATNAHOLRNKDCS-UHFFFAOYSA-N 0 3 245.322 2.637 20 0 BFADHN OCCN(CCSC1CCCCC1)C1CC1 ZINC000337322535 183067943 /nfs/dbraw/zinc/06/79/43/183067943.db2.gz DJDRRMJEFZMBSF-UHFFFAOYSA-N 0 3 243.416 2.509 20 0 BFADHN OCC[C@@H](N[C@@H]1C=CCCC1)c1ccccc1 ZINC000271743847 183090564 /nfs/dbraw/zinc/09/05/64/183090564.db2.gz JCHRBVADVQZOBP-HUUCEWRRSA-N 0 3 231.339 2.808 20 0 BFADHN OCC[C@@H]1CCC[C@H]1NCc1ccc(Cl)o1 ZINC000336663513 183094173 /nfs/dbraw/zinc/09/41/73/183094173.db2.gz DBTDNXUJUDVOKB-GXSJLCMTSA-N 0 3 243.734 2.574 20 0 BFADHN CCCC[C@H](COC)N[C@H]1CCC[C@H]1F ZINC000340533833 169005152 /nfs/dbraw/zinc/00/51/52/169005152.db2.gz YFTFHWPQFVASJD-UTUOFQBUSA-N 0 3 217.328 2.672 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1cc(C)ccc1F ZINC000037619366 169006853 /nfs/dbraw/zinc/00/68/53/169006853.db2.gz NYGLCJNYNCCEBF-NSHDSACASA-N 0 3 238.306 2.590 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1ccc2c(c1)CCC2 ZINC000037619186 169007370 /nfs/dbraw/zinc/00/73/70/169007370.db2.gz ISLNAPROMWKVFX-AWEZNQCLSA-N 0 3 246.354 2.631 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@H](C)C1CCCCC1 ZINC000052342580 169007419 /nfs/dbraw/zinc/00/74/19/169007419.db2.gz HNRYOTCBOYOPAK-YPMHNXCESA-N 0 3 240.391 2.589 20 0 BFADHN OC[C@@H](N[C@@H]1CCC12CCC2)c1ccsc1 ZINC000336671850 183109921 /nfs/dbraw/zinc/10/99/21/183109921.db2.gz ILYOJXWXXKTBCW-VXGBXAGGSA-N 0 3 237.368 2.704 20 0 BFADHN CCCCn1c2ccccc2nc1[C@H](C)N ZINC000019734261 169034639 /nfs/dbraw/zinc/03/46/39/169034639.db2.gz FNOWYYPHXJCUQO-JTQLQIEISA-N 0 3 217.316 2.856 20 0 BFADHN OCc1ccc(CNC2CCCCCCC2)o1 ZINC000077897696 183165031 /nfs/dbraw/zinc/16/50/31/183165031.db2.gz UABYDJMSPISINA-UHFFFAOYSA-N 0 3 237.343 2.974 20 0 BFADHN OCc1ccc(CN2CCCC3(CCCC3)C2)o1 ZINC000336405867 183162656 /nfs/dbraw/zinc/16/26/56/183162656.db2.gz DXMTUCOHUHIYAK-UHFFFAOYSA-N 0 3 249.354 2.928 20 0 BFADHN OCc1ccc(CN2CCC3(C2)CCCCC3)o1 ZINC000093663719 183163881 /nfs/dbraw/zinc/16/38/81/183163881.db2.gz QOGYZIGALYVCCP-UHFFFAOYSA-N 0 3 249.354 2.928 20 0 BFADHN CCCN(C(=O)[C@H](C)N)[C@@H](CC)c1ccccc1 ZINC000269053419 169044591 /nfs/dbraw/zinc/04/45/91/169044591.db2.gz TVWGQPRBNMFQLH-JSGCOSHPSA-N 0 3 248.370 2.724 20 0 BFADHN O[C@H]1CC[C@H](Nc2ccnc3ccccc32)CC1 ZINC000252702455 183203636 /nfs/dbraw/zinc/20/36/36/183203636.db2.gz HMIZPRBDVSRNPA-HAQNSBGRSA-N 0 3 242.322 2.950 20 0 BFADHN CCCN(C)CC1=Cc2ccccc2OC1 ZINC000127549972 169075512 /nfs/dbraw/zinc/07/55/12/169075512.db2.gz DYLQDTSQPQXXPC-UHFFFAOYSA-N 0 3 217.312 2.804 20 0 BFADHN O[C@@H]1CCCN(C/C=C/c2ccc(F)cc2)CC1 ZINC000293831330 183191647 /nfs/dbraw/zinc/19/16/47/183191647.db2.gz AOCYEWQAWXHSLH-BQTXGFLSSA-N 0 3 249.329 2.686 20 0 BFADHN O[C@@H]1CCCN(CCSC2CCCCC2)C1 ZINC000337331271 183192333 /nfs/dbraw/zinc/19/23/33/183192333.db2.gz HSRWSIMBPBJPLM-GFCCVEGCSA-N 0 3 243.416 2.509 20 0 BFADHN O[C@@H]1CCCN([C@H]2CCc3ccc(F)cc32)CC1 ZINC000293011725 183194355 /nfs/dbraw/zinc/19/43/55/183194355.db2.gz DRXFIIKWVJBSQF-HIFRSBDPSA-N 0 3 249.329 2.660 20 0 BFADHN O[C@H](CCNCc1ccsc1)c1ccccc1 ZINC000289466271 183218864 /nfs/dbraw/zinc/21/88/64/183218864.db2.gz OZDVZVQQROMJHW-CQSZACIVSA-N 0 3 247.363 2.961 20 0 BFADHN CCCN(C)C[C@H]1OCCc2ccccc21 ZINC000263678946 169079420 /nfs/dbraw/zinc/07/94/20/169079420.db2.gz HUGGEMTYAAFRFE-CQSZACIVSA-N 0 3 219.328 2.642 20 0 BFADHN O[C@H](CN1CCCC2(CC2)C1)c1ccc(F)cc1 ZINC000187007638 183220470 /nfs/dbraw/zinc/22/04/70/183220470.db2.gz SZAHLXNNDJSSEB-CQSZACIVSA-N 0 3 249.329 2.735 20 0 BFADHN O[C@H](CN1CCCC2(CC2)C1)c1ccccc1F ZINC000186971163 183220870 /nfs/dbraw/zinc/22/08/70/183220870.db2.gz WPWHVILITJZCCG-CQSZACIVSA-N 0 3 249.329 2.735 20 0 BFADHN CCCN(C)Cc1cc(Br)cn1C ZINC000151594109 169081424 /nfs/dbraw/zinc/08/14/24/169081424.db2.gz UYZVZWMIIXEVRG-UHFFFAOYSA-N 0 3 245.164 2.629 20 0 BFADHN O[C@H](CNCc1cccs1)c1ccsc1 ZINC000164291499 183224020 /nfs/dbraw/zinc/22/40/20/183224020.db2.gz WINYDOAJBOGHSB-LLVKDONJSA-N 0 3 239.365 2.633 20 0 BFADHN CCCN(CC(=O)N[C@H](C)C(C)C)CC(C)C ZINC000355439113 169096978 /nfs/dbraw/zinc/09/69/78/169096978.db2.gz ZFCQWWPGBZSRPD-CYBMUJFWSA-N 0 3 242.407 2.515 20 0 BFADHN O[C@H]1CC[C@@H](CNCc2ccsc2Cl)C1 ZINC000308868382 183241446 /nfs/dbraw/zinc/24/14/46/183241446.db2.gz HQUXHCWSGQDQHH-SCZZXKLOSA-N 0 3 245.775 2.652 20 0 BFADHN O[C@H]1C[C@@H](NCc2ccc(Cl)c(Cl)c2)C1 ZINC000294813777 183247489 /nfs/dbraw/zinc/24/74/89/183247489.db2.gz JUDPWGYRUQKDNA-DTORHVGOSA-N 0 3 246.137 2.606 20 0 BFADHN O[C@H]1CCCN([C@H]2CCc3ccc(F)cc32)CC1 ZINC000293011728 183235308 /nfs/dbraw/zinc/23/53/08/183235308.db2.gz DRXFIIKWVJBSQF-ZFWWWQNUSA-N 0 3 249.329 2.660 20 0 BFADHN CCCN(CCC)Cc1cnc([C@@H](C)O)s1 ZINC000289174868 169151101 /nfs/dbraw/zinc/15/11/01/169151101.db2.gz SFXQWMGIDJATQM-SNVBAGLBSA-N 0 3 242.388 2.818 20 0 BFADHN CCCN(CC)Cc1cnn(CC(C)C)c1 ZINC000340913340 169129027 /nfs/dbraw/zinc/12/90/27/169129027.db2.gz VWROXVMTFPVPBD-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN CCCN(CC)Cc1ccnn1C(C)C ZINC000280536574 169130308 /nfs/dbraw/zinc/13/03/08/169130308.db2.gz JZSJVUZVQAHNHS-UHFFFAOYSA-N 0 3 209.337 2.696 20 0 BFADHN CCCN(CC)Cc1cnn(C(C)C)c1 ZINC000269376890 169130750 /nfs/dbraw/zinc/13/07/50/169130750.db2.gz VYMNBCXWRZHKTA-UHFFFAOYSA-N 0 3 209.337 2.696 20 0 BFADHN CCCN(CC)[C@H](C)c1ccc(C(=O)OC)o1 ZINC000091780352 169131093 /nfs/dbraw/zinc/13/10/93/169131093.db2.gz DKHDGTPAENTGSL-SNVBAGLBSA-N 0 3 239.315 2.859 20 0 BFADHN Oc1ccc(F)c(CN[C@H]2CC=CCC2)c1F ZINC000293577865 183274063 /nfs/dbraw/zinc/27/40/63/183274063.db2.gz JNVJIXGNFYSQCD-VIFPVBQESA-N 0 3 239.265 2.869 20 0 BFADHN Oc1ccc2c(c1)[C@@H](N[C@@H]1CCCOC1)CCC2 ZINC000236599170 183282160 /nfs/dbraw/zinc/28/21/60/183282160.db2.gz QBHUOPVUQYFYCK-DOMZBBRYSA-N 0 3 247.338 2.538 20 0 BFADHN CCCN(CCC)C(=O)CN(C)[C@H](C)C(C)C ZINC000355262349 169142167 /nfs/dbraw/zinc/14/21/67/169142167.db2.gz GLKAOQIYQNWNKS-CYBMUJFWSA-N 0 3 242.407 2.611 20 0 BFADHN [O-]c1cc(F)cc(C[N@@H+]2CC[C@@H](C3CC3)C2)c1 ZINC000368707535 183258827 /nfs/dbraw/zinc/25/88/27/183258827.db2.gz RDCSHDCNSCDQKE-GFCCVEGCSA-N 0 3 235.302 2.763 20 0 BFADHN [O-]c1cc(F)cc(C[N@H+]2CC[C@@H](C3CC3)C2)c1 ZINC000368707535 183258832 /nfs/dbraw/zinc/25/88/32/183258832.db2.gz RDCSHDCNSCDQKE-GFCCVEGCSA-N 0 3 235.302 2.763 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]CC2CCCC2)c1 ZINC000159590056 183260060 /nfs/dbraw/zinc/26/00/60/183260060.db2.gz LXTUQIBARVUIIB-UHFFFAOYSA-N 0 3 223.291 2.811 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]C[C@H]2CC=CCC2)c1 ZINC000184141906 183260113 /nfs/dbraw/zinc/26/01/13/183260113.db2.gz OURPHZVQVFSPOA-NSHDSACASA-N 0 3 235.302 2.977 20 0 BFADHN Oc1cccc(CNCCOC2CCCCC2)c1 ZINC000070168419 183289767 /nfs/dbraw/zinc/28/97/67/183289767.db2.gz WVTCDVIWDDAUNI-UHFFFAOYSA-N 0 3 249.354 2.831 20 0 BFADHN CCCN(CCO)Cc1cccc(C(F)F)c1 ZINC000279575710 169167069 /nfs/dbraw/zinc/16/70/69/169167069.db2.gz ZDXRJWUTWYDINX-UHFFFAOYSA-N 0 3 243.297 2.829 20 0 BFADHN CCCN(CCO)Cc1ccccc1SC ZINC000358064184 169167631 /nfs/dbraw/zinc/16/76/31/169167631.db2.gz LAJRCLFMKGGILO-UHFFFAOYSA-N 0 3 239.384 2.613 20 0 BFADHN CCCN(CCO)Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000271021899 169167712 /nfs/dbraw/zinc/16/77/12/169167712.db2.gz CHLKZYRWXCQMLU-AAEUAGOBSA-N 0 3 237.343 2.607 20 0 BFADHN CCCN(CCO)c1ccnc2ccc(C)cc21 ZINC000302107912 169169544 /nfs/dbraw/zinc/16/95/44/169169544.db2.gz ARAOVGLODXCUTN-UHFFFAOYSA-N 0 3 244.338 2.752 20 0 BFADHN CCCN(Cc1occc1C)CC(C)(C)O ZINC000271147385 169181396 /nfs/dbraw/zinc/18/13/96/169181396.db2.gz AXZKHGADPRQFMH-UHFFFAOYSA-N 0 3 225.332 2.571 20 0 BFADHN c1c[nH]c(CNC2CCCCCCC2)n1 ZINC000049714519 183325030 /nfs/dbraw/zinc/32/50/30/183325030.db2.gz FTXJFRRIEGXVED-UHFFFAOYSA-N 0 3 207.321 2.612 20 0 BFADHN c1c[nH]c([C@H]2CCCN2CCc2cscn2)c1 ZINC000295749912 183326748 /nfs/dbraw/zinc/32/67/48/183326748.db2.gz ZSVNSLWLYPEBLZ-CYBMUJFWSA-N 0 3 247.367 2.851 20 0 BFADHN c1c[nH]c([C@H]2CCCN2C[C@@H]2CCCCO2)c1 ZINC000125342907 183329098 /nfs/dbraw/zinc/32/90/98/183329098.db2.gz ADRDUFVHMANQGA-GXTWGEPZSA-N 0 3 234.343 2.721 20 0 BFADHN CCCN(Cc1cnn2ccccc12)C1CC1 ZINC000180098486 169178728 /nfs/dbraw/zinc/17/87/28/169178728.db2.gz VKSNRALUZZEFLB-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN c1cc(CN(CC[C@@H]2CCCO2)C2CC2)ccn1 ZINC000189771617 183337913 /nfs/dbraw/zinc/33/79/13/183337913.db2.gz AFDTYZCMZOENPM-HNNXBMFYSA-N 0 3 246.354 2.615 20 0 BFADHN c1cc(CN2CCC23CCOCC3)cs1 ZINC000369326117 183339348 /nfs/dbraw/zinc/33/93/48/183339348.db2.gz CXZVIURDJXCOSP-UHFFFAOYSA-N 0 3 223.341 2.503 20 0 BFADHN c1cc(CN2CCC(C3CC3)CC2)ccn1 ZINC000368332477 183339589 /nfs/dbraw/zinc/33/95/89/183339589.db2.gz QLCXTSJLIYBFHG-UHFFFAOYSA-N 0 3 216.328 2.704 20 0 BFADHN c1cn2c(cccc2CN2CCC3(CCC3)C2)n1 ZINC000180282290 183340121 /nfs/dbraw/zinc/34/01/21/183340121.db2.gz YPBHBOOFHODBDQ-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN c1cc(CN2CCC[C@]23CCOC3)cs1 ZINC000375305914 183344843 /nfs/dbraw/zinc/34/48/43/183344843.db2.gz BAJPITMMPDDACT-GFCCVEGCSA-N 0 3 223.341 2.503 20 0 BFADHN CCCN1CC[C@]2(C1)CC(=O)c1ccccc1O2 ZINC000335887755 169207262 /nfs/dbraw/zinc/20/72/62/169207262.db2.gz BGGBNVVFLCELSJ-OAHLLOKOSA-N 0 3 245.322 2.506 20 0 BFADHN c1cc2c(c(CN3C[C@H]4CCCC[C@H]43)c1)OCO2 ZINC000367958361 183348656 /nfs/dbraw/zinc/34/86/56/183348656.db2.gz SERLCEPOHWBSCH-DGCLKSJQSA-N 0 3 245.322 2.790 20 0 BFADHN CCCN1CC[C@@]2(C1)CC(=O)c1ccccc1O2 ZINC000335887754 169208992 /nfs/dbraw/zinc/20/89/92/169208992.db2.gz BGGBNVVFLCELSJ-HNNXBMFYSA-N 0 3 245.322 2.506 20 0 BFADHN c1cc2cccc(CNCc3ccncc3)c2[nH]1 ZINC000083364938 183352458 /nfs/dbraw/zinc/35/24/58/183352458.db2.gz CDBPUXRFUMBRHT-UHFFFAOYSA-N 0 3 237.306 2.853 20 0 BFADHN c1cc2c(c(CN[C@@H]3CCC34CCC4)c1)OCO2 ZINC000282390606 183352608 /nfs/dbraw/zinc/35/26/08/183352608.db2.gz XKUNXFKPAUXHNO-CYBMUJFWSA-N 0 3 245.322 2.838 20 0 BFADHN c1cc(CN[C@H]2CCCc3occc32)c[nH]1 ZINC000085578934 183354059 /nfs/dbraw/zinc/35/40/59/183354059.db2.gz SIPLBRGVEIIPID-LBPRGKRZSA-N 0 3 216.284 2.775 20 0 BFADHN c1cc(CCNc2ccncc2)cs1 ZINC000049184266 183356669 /nfs/dbraw/zinc/35/66/69/183356669.db2.gz GYLXUVJSYNNWEM-UHFFFAOYSA-N 0 3 204.298 2.798 20 0 BFADHN c1cc([C@@H]2CCCN2CCOC2CCC2)ccn1 ZINC000286676547 183359544 /nfs/dbraw/zinc/35/95/44/183359544.db2.gz ABJUYEMZQIGDKY-HNNXBMFYSA-N 0 3 246.354 2.788 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@H]1CCO[C@H]1C1CC1 ZINC000300424336 183373020 /nfs/dbraw/zinc/37/30/20/183373020.db2.gz CTHIWKQYFUKNBH-YDHLFZDLSA-N 0 3 247.338 2.814 20 0 BFADHN c1cc2c(o1)CCC[C@H]2NCCOCC1CC1 ZINC000219264921 183373298 /nfs/dbraw/zinc/37/32/98/183373298.db2.gz HLOJILAYPHSHHQ-CYBMUJFWSA-N 0 3 235.327 2.673 20 0 BFADHN c1cc2c(s1)CCC[C@@H]2N[C@H]1CCCOC1 ZINC000071012469 183373821 /nfs/dbraw/zinc/37/38/21/183373821.db2.gz BIJCQHDBBKVFAI-JQWIXIFHSA-N 0 3 237.368 2.894 20 0 BFADHN c1[nH]nc2cc(CN3CCCC4(CC4)C3)ccc12 ZINC000186626364 183376351 /nfs/dbraw/zinc/37/63/51/183376351.db2.gz QDQDLPSVJQYPHU-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN CCCNC(=O)[C@H](C)N[C@H](C)c1ccccc1C ZINC000035018780 169247561 /nfs/dbraw/zinc/24/75/61/169247561.db2.gz CJYQPSVMIAHVMO-OLZOCXBDSA-N 0 3 248.370 2.560 20 0 BFADHN c1cn(-c2ccccc2)nc1CNCCC1CC1 ZINC000088457498 183386252 /nfs/dbraw/zinc/38/62/52/183386252.db2.gz RLCRYCPGAPIMNY-UHFFFAOYSA-N 0 3 241.338 2.762 20 0 BFADHN c1ccc(C2CCC(NC3COC3)CC2)cc1 ZINC000094710107 183390802 /nfs/dbraw/zinc/39/08/02/183390802.db2.gz YFINSSZAQOGMFD-UHFFFAOYSA-N 0 3 231.339 2.701 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2CCc2ccccc2)n1 ZINC000375007084 183391339 /nfs/dbraw/zinc/39/13/39/183391339.db2.gz YEGQAOUMMWZEOM-AWEZNQCLSA-N 0 3 241.338 2.789 20 0 BFADHN c1ccc(CN(C[C@@H]2CCCO2)C2CC2)cc1 ZINC000124662387 183396672 /nfs/dbraw/zinc/39/66/72/183396672.db2.gz YPXKSRYRTCACDT-HNNXBMFYSA-N 0 3 231.339 2.830 20 0 BFADHN CCCNCc1nc(-c2ccccc2)c[nH]1 ZINC000044651962 169269904 /nfs/dbraw/zinc/26/99/04/169269904.db2.gz ZUFWXLOWQHEWHM-UHFFFAOYSA-N 0 3 215.300 2.576 20 0 BFADHN c1ccc(CN(CCC2CC2)C2CC2)nc1 ZINC000336663189 183396833 /nfs/dbraw/zinc/39/68/33/183396833.db2.gz FELKJEAXHXPCDS-UHFFFAOYSA-N 0 3 216.328 2.846 20 0 BFADHN CCCOc1cc(CNC2CC2)ccc1OC ZINC000033465535 169314974 /nfs/dbraw/zinc/31/49/74/169314974.db2.gz ASMVYLZIWLZGGR-UHFFFAOYSA-N 0 3 235.327 2.736 20 0 BFADHN CCCOc1ccc([C@H](C)N[C@H]2C[C@@H](O)C2)cc1 ZINC000295862584 169322488 /nfs/dbraw/zinc/32/24/88/169322488.db2.gz FQGWYKRYVFNNBJ-FPMFFAJLSA-N 0 3 249.354 2.649 20 0 BFADHN CCCOc1cccc(CNC[C@H]2CCCO2)c1 ZINC000020924822 169325005 /nfs/dbraw/zinc/32/50/05/169325005.db2.gz XXYJPQLQTXNOQX-OAHLLOKOSA-N 0 3 249.354 2.744 20 0 BFADHN c1ccc2c(c1)OC[C@@H]2NC1CCCC1 ZINC000070068752 183452551 /nfs/dbraw/zinc/45/25/51/183452551.db2.gz QKKJQKSFMWHDTL-LBPRGKRZSA-N 0 3 203.285 2.652 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cccnc1OC ZINC000362939328 169364951 /nfs/dbraw/zinc/36/49/51/169364951.db2.gz YZXDSBZCJFLPMF-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cn(C)nc1C(F)F ZINC000274448698 169365641 /nfs/dbraw/zinc/36/56/41/169365641.db2.gz DPXWQUCZXJQPQT-SECBINFHSA-N 0 3 245.317 2.978 20 0 BFADHN CCC[C@@H](C)N1CCN(Cc2ccco2)CC1 ZINC000337330995 169367136 /nfs/dbraw/zinc/36/71/36/169367136.db2.gz HHWTUHSDXOGGMK-CYBMUJFWSA-N 0 3 236.359 2.586 20 0 BFADHN CCC[C@@H](C)CN(C)Cc1cccnc1 ZINC000182027094 169358277 /nfs/dbraw/zinc/35/82/77/169358277.db2.gz IQUFOXVSNQJOGX-GFCCVEGCSA-N 0 3 206.333 2.950 20 0 BFADHN CCC[C@@H](C)CN[C@H](CCO)c1ccco1 ZINC000184500754 169361149 /nfs/dbraw/zinc/36/11/49/169361149.db2.gz GZFGUCQZJHQFJD-VXGBXAGGSA-N 0 3 225.332 2.729 20 0 BFADHN CCC[C@@H](C)N[C@@H](c1nccn1C)C1CC1 ZINC000336737307 169371339 /nfs/dbraw/zinc/37/13/39/169371339.db2.gz NGYYARRQJNPXKG-ZYHUDNBSSA-N 0 3 221.348 2.649 20 0 BFADHN CCC[C@@H](CNCc1ccsc1)OC ZINC000293538657 169384904 /nfs/dbraw/zinc/38/49/04/169384904.db2.gz AKDDVJCEMIIIML-NSHDSACASA-N 0 3 213.346 2.653 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1cccnc1)OC ZINC000293578057 169385737 /nfs/dbraw/zinc/38/57/37/169385737.db2.gz FEOAWZOAOMRVHV-AAEUAGOBSA-N 0 3 222.332 2.547 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1ncc[nH]1 ZINC000221161263 134316937 /nfs/dbraw/zinc/31/69/37/134316937.db2.gz RIUOQGPWCWLCQF-KXNHARMFSA-N 0 3 221.348 2.885 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1cc(C)ccc1Cl ZINC000225363871 169391571 /nfs/dbraw/zinc/39/15/71/169391571.db2.gz SOTLKEIRULFDRH-SNVBAGLBSA-N 0 3 240.734 2.714 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@H](C)c1ccc(C)c(C)c1 ZINC000269115318 169391351 /nfs/dbraw/zinc/39/13/51/169391351.db2.gz YVIMJFGTJIZBKN-TZMCWYRMSA-N 0 3 248.370 2.608 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1ccc(Cl)cc1C ZINC000036873021 169391791 /nfs/dbraw/zinc/39/17/91/169391791.db2.gz QEMLUUAECLNKQZ-SNVBAGLBSA-N 0 3 240.734 2.714 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1ccc(Cl)c(F)c1 ZINC000037030548 169392267 /nfs/dbraw/zinc/39/22/67/169392267.db2.gz LRSVUEIZFBXPHM-SNVBAGLBSA-N 0 3 244.697 2.545 20 0 BFADHN CCC[C@@H](NC(=O)[C@H](N)C(C)C)c1ccccc1 ZINC000037030055 169397193 /nfs/dbraw/zinc/39/71/93/169397193.db2.gz JYXZLYWPNZJMOW-ZIAGYGMSSA-N 0 3 248.370 2.627 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1cccc(O)c1)OC ZINC000293547246 169499476 /nfs/dbraw/zinc/49/94/76/169499476.db2.gz BIEYUJQADAZGAR-SMDDNHRTSA-N 0 3 237.343 2.858 20 0 BFADHN C[C@@H](NC[C@@H](O)C(F)F)c1cccc(Cl)c1 ZINC000224459530 134347632 /nfs/dbraw/zinc/34/76/32/134347632.db2.gz OYCBTSXEWZBICF-GMSGAONNSA-N 0 3 249.688 2.617 20 0 BFADHN CC[C@@H]1CCN(Cc2nc(C)cs2)C1 ZINC000131551882 171015083 /nfs/dbraw/zinc/01/50/83/171015083.db2.gz FAODXEUCWVQFGO-SNVBAGLBSA-N 0 3 210.346 2.683 20 0 BFADHN Brc1ccc(CN[C@@H]2CC23CC3)o1 ZINC000335284963 532965409 /nfs/dbraw/zinc/96/54/09/532965409.db2.gz OVGQOCJNGUASDI-MRVPVSSYSA-N 0 3 242.116 2.684 20 0 BFADHN CCOC[C@H](C)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000250471032 259640532 /nfs/dbraw/zinc/64/05/32/259640532.db2.gz CNCBWCLLJHHIOK-NTZNESFSSA-N 0 3 237.343 2.918 20 0 BFADHN CC[C@@H](CO)Nc1cc(C)nc2ccccc21 ZINC000035206351 383841807 /nfs/dbraw/zinc/84/18/07/383841807.db2.gz FGMAKFTZJMRMSJ-NSHDSACASA-N 0 3 230.311 2.726 20 0 BFADHN C[C@H](NCC1=CCCC1)c1cccnc1 ZINC000401056173 383844360 /nfs/dbraw/zinc/84/43/60/383844360.db2.gz RVHDQQXIVLIUML-NSHDSACASA-N 0 3 202.301 2.843 20 0 BFADHN CN(Cc1ccc(F)cc1)CC1(O)CCCC1 ZINC000087463825 383846112 /nfs/dbraw/zinc/84/61/12/383846112.db2.gz XEONKZOFCCIVLY-UHFFFAOYSA-N 0 3 237.318 2.563 20 0 BFADHN CC[C@@H](C)CN(C)[C@@H](C)C(=O)NCCC(C)C ZINC000111254464 383846460 /nfs/dbraw/zinc/84/64/60/383846460.db2.gz SRYPVOOMZRGIMT-OLZOCXBDSA-N 0 3 242.407 2.515 20 0 BFADHN CCc1ccc(CN[C@@H](C)Cn2cccn2)cc1 ZINC000035271917 383848694 /nfs/dbraw/zinc/84/86/94/383848694.db2.gz DAYMBHOCXUHOLR-ZDUSSCGKSA-N 0 3 243.354 2.624 20 0 BFADHN C[C@@](CO)(NCC1=CCCC1)c1ccccc1 ZINC000401058770 383848842 /nfs/dbraw/zinc/84/88/42/383848842.db2.gz SZYGAZGDBZWGMZ-HNNXBMFYSA-N 0 3 231.339 2.594 20 0 BFADHN Cc1nc(CNC[C@]2(C)CCCS2)cs1 ZINC000087620578 383855834 /nfs/dbraw/zinc/85/58/34/383855834.db2.gz PKEQWEMLKICPRY-NSHDSACASA-N 0 3 242.413 2.827 20 0 BFADHN CC[C@@H](NCc1cc(C)ccc1F)[C@@H](O)CC ZINC000313084954 383857360 /nfs/dbraw/zinc/85/73/60/383857360.db2.gz JYBGGDLAKWNVOY-KGLIPLIRSA-N 0 3 239.334 2.773 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccco2)C12CCC2 ZINC000087708433 383858101 /nfs/dbraw/zinc/85/81/01/383858101.db2.gz QTKPNMDYYBHQQV-STQMWFEESA-N 0 3 235.327 2.717 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2ccco2)C12CCC2 ZINC000087708434 383858899 /nfs/dbraw/zinc/85/88/99/383858899.db2.gz QTKPNMDYYBHQQV-CHWSQXEVSA-N 0 3 235.327 2.717 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccncc2)C12CCC2 ZINC000087709104 383859577 /nfs/dbraw/zinc/85/95/77/383859577.db2.gz SCRCRSARQWKGPE-KBPBESRZSA-N 0 3 246.354 2.519 20 0 BFADHN c1cc(CN[C@H]2CCC[C@H]2c2ccccc2)n[nH]1 ZINC000111413026 383862810 /nfs/dbraw/zinc/86/28/10/383862810.db2.gz NMKCBOVALPYSIT-GJZGRUSLSA-N 0 3 241.338 2.836 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cc2c(C)noc2C)o1 ZINC000119811078 383870511 /nfs/dbraw/zinc/87/05/11/383870511.db2.gz HVCQOTNIGBFIAL-VIFPVBQESA-N 0 3 248.326 2.914 20 0 BFADHN Cn1cncc1CN1CCC[C@H]2CCCC[C@H]21 ZINC000127634025 383829114 /nfs/dbraw/zinc/82/91/14/383829114.db2.gz PWOJTCQIBBESPZ-TZMCWYRMSA-N 0 3 233.359 2.575 20 0 BFADHN CSCCCNCc1cccc(C)c1F ZINC000295739103 383833688 /nfs/dbraw/zinc/83/36/88/383833688.db2.gz OTRCUCYLFSUKLL-UHFFFAOYSA-N 0 3 227.348 2.977 20 0 BFADHN Cn1nccc1CN[C@H](c1ccccc1)C1CC1 ZINC000037075398 383934759 /nfs/dbraw/zinc/93/47/59/383934759.db2.gz YIMOKIHLNRGGIE-OAHLLOKOSA-N 0 3 241.338 2.661 20 0 BFADHN Cn1cncc1CN[C@H](c1ccccc1)C1CC1 ZINC000112339815 383916529 /nfs/dbraw/zinc/91/65/29/383916529.db2.gz APXJMLPIGRPYCH-OAHLLOKOSA-N 0 3 241.338 2.661 20 0 BFADHN Cc1ccc([C@H](C)NCc2cncn2C)cc1 ZINC000112341632 383918695 /nfs/dbraw/zinc/91/86/95/383918695.db2.gz CNSSWKHHHBWUBG-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cncn2C)cc1 ZINC000112341631 383920438 /nfs/dbraw/zinc/92/04/38/383920438.db2.gz CNSSWKHHHBWUBG-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1CC(=O)c1cc(C)[nH]c1C ZINC000348194645 383935507 /nfs/dbraw/zinc/93/55/07/383935507.db2.gz WRGGVMWZBBZDCW-GXFFZTMASA-N 0 3 248.370 2.935 20 0 BFADHN FC1CCC(CNCc2nccs2)CC1 ZINC000647252919 383933574 /nfs/dbraw/zinc/93/35/74/383933574.db2.gz BJYKPYQARGKZAR-UHFFFAOYSA-N 0 3 228.336 2.761 20 0 BFADHN C[C@@H](CN(C)Cc1cnn(C)c1)c1ccccc1 ZINC000066853355 383895373 /nfs/dbraw/zinc/89/53/73/383895373.db2.gz UMFFRUNLFKLDHD-ZDUSSCGKSA-N 0 3 243.354 2.656 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1c(C)noc1C ZINC000088423878 383898046 /nfs/dbraw/zinc/89/80/46/383898046.db2.gz PUNDDTZDOXGIRZ-RKDXNWHRSA-N 0 3 210.321 2.816 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1c1ccccc1)c1ncc[nH]1 ZINC000293684581 383902099 /nfs/dbraw/zinc/90/20/99/383902099.db2.gz KPGORIXIEMHZOF-UBHSHLNASA-N 0 3 241.338 2.864 20 0 BFADHN Cc1csc([C@H](C)NC[C@@H]2C[C@H]2C)n1 ZINC000308662985 383902636 /nfs/dbraw/zinc/90/26/36/383902636.db2.gz RANTZJWTEWRLNJ-JEZHCXPESA-N 0 3 210.346 2.758 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CO[C@H](C)C2)ccc1F ZINC000647214101 383984055 /nfs/dbraw/zinc/98/40/55/383984055.db2.gz MYQHNNDUEXOHIA-WZRBSPASSA-N 0 3 237.318 2.962 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CO[C@H](C)C2)cc1F ZINC000647214070 383984769 /nfs/dbraw/zinc/98/47/69/383984769.db2.gz MAOPXBWZHBMNNR-NQBHXWOUSA-N 0 3 237.318 2.962 20 0 BFADHN COc1ncncc1CNCC1CCCCCC1 ZINC000647214837 383994461 /nfs/dbraw/zinc/99/44/61/383994461.db2.gz RQADOGUVWBVVLG-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN CSCC[C@@H](CO)N[C@@H](C)c1ccsc1 ZINC000130902370 383936704 /nfs/dbraw/zinc/93/67/04/383936704.db2.gz MCUUOPKQGJBFQQ-ONGXEEELSA-N 0 3 245.413 2.513 20 0 BFADHN CC(C)(CNCc1ncc[nH]1)c1ccccc1F ZINC000067696675 383939318 /nfs/dbraw/zinc/93/93/18/383939318.db2.gz RFODSKPWUAFUCG-UHFFFAOYSA-N 0 3 247.317 2.616 20 0 BFADHN CC1(C)CCCN(Cc2cccnc2)C1 ZINC000112701736 383940499 /nfs/dbraw/zinc/94/04/99/383940499.db2.gz BOTCWSHMTVSLKI-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN Clc1ccsc1CNC[C@H]1CCOC1 ZINC000278438376 384000083 /nfs/dbraw/zinc/00/00/83/384000083.db2.gz UBRTZSICYCCHIO-MRVPVSSYSA-N 0 3 231.748 2.528 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccc(C)cc2)n(C)n1 ZINC000089183388 383941796 /nfs/dbraw/zinc/94/17/96/383941796.db2.gz ZYCAQTODTQTXSB-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN CCc1cnc(CN[C@H](C)[C@@H]2C[C@@H]2C)s1 ZINC000322359368 384000065 /nfs/dbraw/zinc/00/00/65/384000065.db2.gz WHGLHVMKBXWTIN-IQJOONFLSA-N 0 3 224.373 2.840 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1ccc(C)o1 ZINC000070623965 383944467 /nfs/dbraw/zinc/94/44/67/383944467.db2.gz ZZWYIKHZBUTMKV-MNOVXSKESA-N 0 3 211.305 2.664 20 0 BFADHN C[C@H](CC1CC1)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000345344411 383945430 /nfs/dbraw/zinc/94/54/30/383945430.db2.gz AXQQIBWFGZENGI-FOGDFJRCSA-N 0 3 219.332 2.667 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C2(C)CCCCC2)n1 ZINC000112716959 383946492 /nfs/dbraw/zinc/94/64/92/383946492.db2.gz JRUSCUNKTNBOLJ-UHFFFAOYSA-N 0 3 234.343 2.908 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1scnc1C ZINC000070657908 383952670 /nfs/dbraw/zinc/95/26/70/383952670.db2.gz HVKHQASWCDQWPA-NXEZZACHSA-N 0 3 228.361 2.527 20 0 BFADHN CC(C)CCC[C@@H](C)NCc1cncnc1 ZINC000054767108 383956862 /nfs/dbraw/zinc/95/68/62/383956862.db2.gz GTLUFEITNRUIEW-GFCCVEGCSA-N 0 3 221.348 2.781 20 0 BFADHN Cc1nc(CN2CC[C@@H](C)[C@H]2C)c(C)s1 ZINC000336469789 383957948 /nfs/dbraw/zinc/95/79/48/383957948.db2.gz VPKGIOMKFNHPTB-RKDXNWHRSA-N 0 3 224.373 2.990 20 0 BFADHN CCOc1cccc(CNCCC(C)(F)F)n1 ZINC000449355124 383961039 /nfs/dbraw/zinc/96/10/39/383961039.db2.gz VUJQZCLCBKKXOL-UHFFFAOYSA-N 0 3 244.285 2.615 20 0 BFADHN Cc1csc([C@@H](C)N[C@@H]2CCCSC2)n1 ZINC000070732776 383961863 /nfs/dbraw/zinc/96/18/63/383961863.db2.gz YFUNLYCSPMGCCP-NXEZZACHSA-N 0 3 242.413 2.998 20 0 BFADHN CC(C)Cn1nccc1CNC1(C(C)C)CC1 ZINC000647212871 383964661 /nfs/dbraw/zinc/96/46/61/383964661.db2.gz AAHXDLJLNVNHMF-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1noc(C)c1CNCC1CCCCC1 ZINC000037248375 383965047 /nfs/dbraw/zinc/96/50/47/383965047.db2.gz TVBUHBIBUYOETK-UHFFFAOYSA-N 0 3 222.332 2.961 20 0 BFADHN C[C@@H](CCc1ccco1)N[C@@H]1CCn2ccnc21 ZINC000293862385 383965571 /nfs/dbraw/zinc/96/55/71/383965571.db2.gz DIOFEVSIIUVWQL-WCQYABFASA-N 0 3 245.326 2.532 20 0 BFADHN CCOCCNCc1cc(C)c(C)cc1C ZINC000037269222 383966705 /nfs/dbraw/zinc/96/67/05/383966705.db2.gz KXGDCPDLBHGFEJ-UHFFFAOYSA-N 0 3 221.344 2.738 20 0 BFADHN COc1ccc(F)cc1CNC1(C2CC2)CC1 ZINC000518507554 383970669 /nfs/dbraw/zinc/97/06/69/383970669.db2.gz FEXZNFJPNIEHRZ-UHFFFAOYSA-N 0 3 235.302 2.867 20 0 BFADHN Cc1noc(CCN[C@@H](C)c2ccc(C)cc2)n1 ZINC000089518134 383972561 /nfs/dbraw/zinc/97/25/61/383972561.db2.gz FPFSTORUSOXEDC-NSHDSACASA-N 0 3 245.326 2.580 20 0 BFADHN C[C@H](NCCSC(C)(C)C)c1cnccn1 ZINC000089516468 383972579 /nfs/dbraw/zinc/97/25/79/383972579.db2.gz KOSQRRYKFLKZRJ-JTQLQIEISA-N 0 3 239.388 2.659 20 0 BFADHN Cc1n[nH]cc1CN[C@@H]1CCc2ccc(C)cc21 ZINC000113310695 383976866 /nfs/dbraw/zinc/97/68/66/383976866.db2.gz HPJQCZPPACHACW-OAHLLOKOSA-N 0 3 241.338 2.804 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1sccc1Cl ZINC000278557078 384017945 /nfs/dbraw/zinc/01/79/45/384017945.db2.gz VVTHMVGNVLCNEO-SSDOTTSWSA-N 0 3 233.764 2.651 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2cnccn2)cc1 ZINC000090015074 384022914 /nfs/dbraw/zinc/02/29/14/384022914.db2.gz RIGZSVZHABUHKM-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@H]1CCC[C@H](C)N(Cc2ccno2)C1 ZINC000335848925 384006907 /nfs/dbraw/zinc/00/69/07/384006907.db2.gz UHFIKPSBBKHIJG-QWRGUYRKSA-N 0 3 208.305 2.685 20 0 BFADHN CO[C@@H](C)CCNCc1sccc1Cl ZINC000278502440 384012833 /nfs/dbraw/zinc/01/28/33/384012833.db2.gz NUWFAGZUBIGZNX-QMMMGPOBSA-N 0 3 233.764 2.916 20 0 BFADHN Cc1ccc(NC(=O)C(C)C)c(CN(C)C)c1 ZINC000113912649 384014420 /nfs/dbraw/zinc/01/44/20/384014420.db2.gz GROSZCJMMMPMAB-UHFFFAOYSA-N 0 3 234.343 2.651 20 0 BFADHN Cc1n[nH]cc1CNCc1c(C)cc(C)cc1C ZINC000037989181 384048809 /nfs/dbraw/zinc/04/88/09/384048809.db2.gz AYXMQOHCDRWNNR-UHFFFAOYSA-N 0 3 243.354 2.933 20 0 BFADHN CCO[C@H]1CCN(Cc2cnccc2C)C[C@H]1C ZINC000449509683 384029374 /nfs/dbraw/zinc/02/93/74/384029374.db2.gz WRXBOVLNRDPUOZ-HIFRSBDPSA-N 0 3 248.370 2.637 20 0 BFADHN Clc1ncccc1CN1C[C@@H]2CCC[C@H]2C1 ZINC000132030728 384041901 /nfs/dbraw/zinc/04/19/01/384041901.db2.gz QUSLAZCLHFZDDT-QWRGUYRKSA-N 0 3 236.746 2.967 20 0 BFADHN Cn1ncc2c1CCC[C@@H]2N[C@@H]1CC12CCCC2 ZINC000335890104 384083209 /nfs/dbraw/zinc/08/32/09/384083209.db2.gz KTLNBZOBBRGJMB-GXTWGEPZSA-N 0 3 245.370 2.720 20 0 BFADHN Cc1nc(C)c([C@@H](C)NCc2ccn(C)c2)s1 ZINC000091008689 384091868 /nfs/dbraw/zinc/09/18/68/384091868.db2.gz RHWKKPMEKVSUJS-SECBINFHSA-N 0 3 249.383 2.949 20 0 BFADHN CCOCCN[C@@H](C)c1sccc1C ZINC000069636413 384055311 /nfs/dbraw/zinc/05/53/11/384055311.db2.gz GZHVJUUUNQBZPH-JTQLQIEISA-N 0 3 213.346 2.744 20 0 BFADHN CCOc1cccc(CN[C@@H]2C[C@H](C)O[C@@H]2C)c1 ZINC000296371259 384065002 /nfs/dbraw/zinc/06/50/02/384065002.db2.gz JYJZLWFYPFVSBH-YWPYICTPSA-N 0 3 249.354 2.741 20 0 BFADHN C[C@@H](N[C@@H]1CCOC(C)(C)C1)c1ccco1 ZINC000069861352 384067723 /nfs/dbraw/zinc/06/77/23/384067723.db2.gz ZEXNZXNOJTZVPM-GHMZBOCLSA-N 0 3 223.316 2.888 20 0 BFADHN CC[C@@H]1CCN(Cc2ccccc2C(=O)OC)C1 ZINC000091929078 384073597 /nfs/dbraw/zinc/07/35/97/384073597.db2.gz SHWLPGGQRFJHMP-GFCCVEGCSA-N 0 3 247.338 2.705 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1CO[C@H](C)C1 ZINC000401829462 384076793 /nfs/dbraw/zinc/07/67/93/384076793.db2.gz HWFHXUJWAHKUQZ-GRYCIOLGSA-N 0 3 235.327 2.523 20 0 BFADHN C[C@@H]1CCC[C@@H](NC(CF)CF)[C@H]1C ZINC000449379200 384078998 /nfs/dbraw/zinc/07/89/98/384078998.db2.gz HGZMWVUSCYNCBW-WCABBAIRSA-N 0 3 205.292 2.708 20 0 BFADHN C[C@H](CC1CC1)N[C@H](C)C(=O)Nc1ccccc1 ZINC000070102593 384080283 /nfs/dbraw/zinc/08/02/83/384080283.db2.gz RLXSBDQGAHLLBT-VXGBXAGGSA-N 0 3 246.354 2.792 20 0 BFADHN CC[C@@H](CO)N(C)C/C=C\c1ccc(F)cc1 ZINC000449378470 384082146 /nfs/dbraw/zinc/08/21/46/384082146.db2.gz WVKSMDYGEUSRTE-WSNITJDQSA-N 0 3 237.318 2.542 20 0 BFADHN C[C@H](NCC(=O)Nc1ccccc1)C1CCCC1 ZINC000070306751 384099061 /nfs/dbraw/zinc/09/90/61/384099061.db2.gz JDSBALXYJUKGPR-LBPRGKRZSA-N 0 3 246.354 2.793 20 0 BFADHN Cc1ccc(CN[C@@H]2CCO[C@@H](C(C)C)C2)o1 ZINC000070361316 384100464 /nfs/dbraw/zinc/10/04/64/384100464.db2.gz JALZPQJDHWIBMX-TZMCWYRMSA-N 0 3 237.343 2.881 20 0 BFADHN C[C@@H](N[C@H]1CCOC(C)(C)C1)c1ccccn1 ZINC000070345805 384101488 /nfs/dbraw/zinc/10/14/88/384101488.db2.gz YXMABZHVPMMWCN-NEPJUHHUSA-N 0 3 234.343 2.690 20 0 BFADHN CC[C@H](CSC)N(C)Cc1ccncc1 ZINC000091098411 384101943 /nfs/dbraw/zinc/10/19/43/384101943.db2.gz HBNJNFMPYSXDBV-GFCCVEGCSA-N 0 3 224.373 2.655 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1c(C)noc1C ZINC000091098555 384103012 /nfs/dbraw/zinc/10/30/12/384103012.db2.gz AYISOHDGYZLZOK-NSHDSACASA-N 0 3 242.388 2.865 20 0 BFADHN CC[C@H](CSC)N(C)Cc1ccccn1 ZINC000091097482 384104180 /nfs/dbraw/zinc/10/41/80/384104180.db2.gz PCTSZWQJNAUCDD-GFCCVEGCSA-N 0 3 224.373 2.655 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@H]1C)c1cnccn1 ZINC000070477402 384111630 /nfs/dbraw/zinc/11/16/30/384111630.db2.gz FOMBRPWPKLHBSZ-QNWHQSFQSA-N 0 3 233.359 2.952 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1nc(C)c(C)o1 ZINC000227863206 134391046 /nfs/dbraw/zinc/39/10/46/134391046.db2.gz NTLPMAWIQMYZPE-VXGBXAGGSA-N 0 3 222.332 2.960 20 0 BFADHN COC(=O)c1ccccc1CN(C)CCC1CC1 ZINC000092067033 384116873 /nfs/dbraw/zinc/11/68/73/384116873.db2.gz WCDFMPQEJYOVPW-UHFFFAOYSA-N 0 3 247.338 2.705 20 0 BFADHN Cc1ccc2nccc(N[C@H]3CCSC3)c2c1 ZINC000530484626 384123843 /nfs/dbraw/zinc/12/38/43/384123843.db2.gz DOSSJRZWYYMBSX-NSHDSACASA-N 0 3 244.363 2.883 20 0 BFADHN CSCCCN[C@H](C)c1ccc(F)cn1 ZINC000092196396 384124307 /nfs/dbraw/zinc/12/43/07/384124307.db2.gz VTAPWUONYFGXPZ-SECBINFHSA-N 0 3 228.336 2.624 20 0 BFADHN CC[C@@H](COC)NCc1ccc(SC)cc1 ZINC000070657841 384131036 /nfs/dbraw/zinc/13/10/36/384131036.db2.gz YOKUIJMRRCDBBE-LBPRGKRZSA-N 0 3 239.384 2.923 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1ccc(F)cc1 ZINC000071011427 384147552 /nfs/dbraw/zinc/14/75/52/384147552.db2.gz MDWXPFLBPHOPHI-GXFFZTMASA-N 0 3 223.291 2.655 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1ccccc1F ZINC000071011409 384147970 /nfs/dbraw/zinc/14/79/70/384147970.db2.gz SDJOVUURZJQOJA-WDEREUQCSA-N 0 3 223.291 2.655 20 0 BFADHN Fc1cccc(F)c1CNC[C@H]1CCCS1 ZINC000087473065 384149199 /nfs/dbraw/zinc/14/91/99/384149199.db2.gz XEQVAIYVQGIZOP-SECBINFHSA-N 0 3 243.322 2.950 20 0 BFADHN CO[C@H](CC(C)C)CN(C)Cc1cccn1C ZINC000642693536 384150938 /nfs/dbraw/zinc/15/09/38/384150938.db2.gz KJIXYWYJQUAACJ-CQSZACIVSA-N 0 3 238.375 2.518 20 0 BFADHN c1csc(CN[C@@H]2CCc3ccccc3C2)n1 ZINC000040444051 384151102 /nfs/dbraw/zinc/15/11/02/384151102.db2.gz NBPNDGYNIHEYBU-CYBMUJFWSA-N 0 3 244.363 2.790 20 0 BFADHN Fc1ccc2c(c1)CCN(CC1CCOCC1)C2 ZINC000091891084 384151808 /nfs/dbraw/zinc/15/18/08/384151808.db2.gz QXTYHVMTRMXMKW-UHFFFAOYSA-N 0 3 249.329 2.610 20 0 BFADHN CN(CCCCF)Cc1cccc(O)c1 ZINC000560466435 384153161 /nfs/dbraw/zinc/15/31/61/384153161.db2.gz GHXJQKSZNGDQAW-UHFFFAOYSA-N 0 3 211.280 2.574 20 0 BFADHN CC(C)=CCCN[C@H]1C[C@H](C)n2ccnc21 ZINC000296454460 384141370 /nfs/dbraw/zinc/14/13/70/384141370.db2.gz NKNBWLFZIODXLK-RYUDHWBXSA-N 0 3 219.332 2.835 20 0 BFADHN Cc1ccc(OC(F)F)c(CNC2CC2)c1 ZINC000070955133 384142465 /nfs/dbraw/zinc/14/24/65/384142465.db2.gz BMVSQWOYRJAQRJ-UHFFFAOYSA-N 0 3 227.254 2.848 20 0 BFADHN COc1cc(C)ccc1CNCC(C)(C)OC ZINC000449175210 384161433 /nfs/dbraw/zinc/16/14/33/384161433.db2.gz NVMOWLMWDXEOFI-UHFFFAOYSA-N 0 3 237.343 2.518 20 0 BFADHN CC(C)N(Cc1ccc(Cl)o1)C[C@H](C)O ZINC000093143200 384164131 /nfs/dbraw/zinc/16/41/31/384164131.db2.gz IJJBXMWXVAJKGX-VIFPVBQESA-N 0 3 231.723 2.524 20 0 BFADHN Cc1nc(CNC[C@@H](C)C(C)C)cs1 ZINC000081046893 384180771 /nfs/dbraw/zinc/18/07/71/384180771.db2.gz HUFWSAGNVVFRCN-SECBINFHSA-N 0 3 212.362 2.833 20 0 BFADHN Cc1c(CN2CCC3(CCCC3)CC2)cnn1C ZINC000336586214 384185953 /nfs/dbraw/zinc/18/59/53/384185953.db2.gz IPSFHUSZOQQSFB-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1sccc1Cl ZINC000278764519 384186290 /nfs/dbraw/zinc/18/62/90/384186290.db2.gz OCUDZDQDQPDIKG-JGVFFNPUSA-N 0 3 233.764 2.915 20 0 BFADHN CSCCN[C@H](C)c1cccc(F)c1F ZINC000132402655 384187710 /nfs/dbraw/zinc/18/77/10/384187710.db2.gz WMEGBKDRXXULRK-MRVPVSSYSA-N 0 3 231.311 2.978 20 0 BFADHN C[C@@H](NCCCF)c1cccc(F)c1F ZINC000132406902 384188047 /nfs/dbraw/zinc/18/80/47/384188047.db2.gz LJXVZWMQJNBMGY-MRVPVSSYSA-N 0 3 217.234 2.975 20 0 BFADHN CC/C=C/CN[C@H](C)c1cnn(CC)c1 ZINC000296579528 384193635 /nfs/dbraw/zinc/19/36/35/384193635.db2.gz UGAVDJIXCUQNAC-XUIVZRPNSA-N 0 3 207.321 2.520 20 0 BFADHN C[C@H](NC[C@H]1CCCCO1)c1ccsc1 ZINC000050344780 384194041 /nfs/dbraw/zinc/19/40/41/384194041.db2.gz VQWWRAXYDYEXJD-CMPLNLGQSA-N 0 3 225.357 2.968 20 0 BFADHN CC[C@@H](NCc1ccsc1Cl)[C@H](C)O ZINC000312622834 384195807 /nfs/dbraw/zinc/19/58/07/384195807.db2.gz LAQQYXMJARWUHT-IONNQARKSA-N 0 3 233.764 2.651 20 0 BFADHN CC[C@H](NCc1ccsc1Cl)[C@@H](C)O ZINC000312622830 384195832 /nfs/dbraw/zinc/19/58/32/384195832.db2.gz LAQQYXMJARWUHT-APPZFPTMSA-N 0 3 233.764 2.651 20 0 BFADHN c1ccc2c(c1)nccc2NC1CCOCC1 ZINC000041720705 384197981 /nfs/dbraw/zinc/19/79/81/384197981.db2.gz OYZIRPCFNINVJS-UHFFFAOYSA-N 0 3 228.295 2.826 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cc(C)on1 ZINC000132684340 384199376 /nfs/dbraw/zinc/19/93/76/384199376.db2.gz GCMOPDHSWQOSCW-JQWIXIFHSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H](NCCC(C)(C)CO)c1ccccc1F ZINC000296700323 384232230 /nfs/dbraw/zinc/23/22/30/384232230.db2.gz MMJCWMUZXUEXDN-NSHDSACASA-N 0 3 239.334 2.885 20 0 BFADHN CCS[C@H]1CCCCN(Cc2c[nH]cn2)C1 ZINC000093361784 384233189 /nfs/dbraw/zinc/23/31/89/384233189.db2.gz HQQNZGSRFGPNDH-LBPRGKRZSA-N 0 3 239.388 2.517 20 0 BFADHN CCS[C@H]1CCCCN(Cc2cnc[nH]2)C1 ZINC000093361784 384233191 /nfs/dbraw/zinc/23/31/91/384233191.db2.gz HQQNZGSRFGPNDH-LBPRGKRZSA-N 0 3 239.388 2.517 20 0 BFADHN CCCCOCCCN[C@H](C)c1ccccn1 ZINC000158443042 384234302 /nfs/dbraw/zinc/23/43/02/384234302.db2.gz RXNUQBAFEFYWEQ-CYBMUJFWSA-N 0 3 236.359 2.939 20 0 BFADHN Cc1c[nH]nc1CNC1(C)CCCCC1 ZINC000289853383 384237290 /nfs/dbraw/zinc/23/72/90/384237290.db2.gz KUEPPWRWDORHNW-UHFFFAOYSA-N 0 3 207.321 2.531 20 0 BFADHN CC[C@H](COC)NC1(c2ccccc2C)CC1 ZINC000647316125 384210638 /nfs/dbraw/zinc/21/06/38/384210638.db2.gz QQEDUAQENKQFGF-CYBMUJFWSA-N 0 3 233.355 2.999 20 0 BFADHN CO[C@H](CC(C)C)CN1CC[C@@H](C)[C@H](F)C1 ZINC000642700239 384211172 /nfs/dbraw/zinc/21/11/72/384211172.db2.gz QNDLNDTZRYMPOS-JHJVBQTASA-N 0 3 231.355 2.727 20 0 BFADHN Cc1n[nH]cc1CNCc1cc(C)ccc1C ZINC000042255149 384211433 /nfs/dbraw/zinc/21/14/33/384211433.db2.gz YPTNPQTXZBFCCY-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN Cc1ccc([C@H](C)NCCOCC(C)C)o1 ZINC000132906466 384214525 /nfs/dbraw/zinc/21/45/25/384214525.db2.gz DHMHORPOQOCGMD-LBPRGKRZSA-N 0 3 225.332 2.911 20 0 BFADHN CN(Cc1ccc(F)cc1)C[C@@H]1CCCCO1 ZINC000042366135 384215187 /nfs/dbraw/zinc/21/51/87/384215187.db2.gz YDUOXCMKILAJDI-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN C[C@@H]1c2ccccc2CCN1Cc1cnc[nH]1 ZINC000093149451 384216323 /nfs/dbraw/zinc/21/63/23/384216323.db2.gz YXILNXPVTPIEEQ-LLVKDONJSA-N 0 3 227.311 2.529 20 0 BFADHN CCc1ccc([C@H](C)NCCCCOC)o1 ZINC000158326934 384217797 /nfs/dbraw/zinc/21/77/97/384217797.db2.gz CBYSEJBTBXNLSD-NSHDSACASA-N 0 3 225.332 2.919 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000527974006 384218199 /nfs/dbraw/zinc/21/81/99/384218199.db2.gz JNQJNYHXJKQCHX-ZNMIVQPWSA-N 0 3 249.329 2.970 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1ncccc1C ZINC000093193647 384219210 /nfs/dbraw/zinc/21/92/10/384219210.db2.gz VMQZHIZDYVLYEK-GFCCVEGCSA-N 0 3 238.400 2.963 20 0 BFADHN CCOc1ccccc1CN[C@H]1CCSC1 ZINC000132192708 384220388 /nfs/dbraw/zinc/22/03/88/384220388.db2.gz SLZKDSXBXXKLRI-LBPRGKRZSA-N 0 3 237.368 2.680 20 0 BFADHN COC(C)(C)CNCc1ccc(Cl)c(F)c1 ZINC000133014506 384221063 /nfs/dbraw/zinc/22/10/63/384221063.db2.gz JWOILPSUPWWCQC-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN c1ncc(CN2CCC3(CCC3)C2)s1 ZINC000093455805 384240580 /nfs/dbraw/zinc/24/05/80/384240580.db2.gz UDJQBLDZUITQLX-UHFFFAOYSA-N 0 3 208.330 2.519 20 0 BFADHN Cc1occc1CN(C)CCN1CCCCC1 ZINC000132245452 384222658 /nfs/dbraw/zinc/22/26/58/384222658.db2.gz YBRVOSLOSXTMRE-UHFFFAOYSA-N 0 3 236.359 2.506 20 0 BFADHN CCOCCN[C@H]1CCc2ccc(C)cc21 ZINC000132296620 384224823 /nfs/dbraw/zinc/22/48/23/384224823.db2.gz HBNYAJREZTUAME-AWEZNQCLSA-N 0 3 219.328 2.608 20 0 BFADHN Cc1ccc([C@@H](C)NCCn2cccn2)c(C)c1 ZINC000042538894 384227564 /nfs/dbraw/zinc/22/75/64/384227564.db2.gz OMIPHGPVXARUCF-CQSZACIVSA-N 0 3 243.354 2.851 20 0 BFADHN Cc1ccoc1CN1CCS[C@@H](C)[C@@H]1C ZINC000093501327 384245297 /nfs/dbraw/zinc/24/52/97/384245297.db2.gz KLINVSKUDKSORY-QWRGUYRKSA-N 0 3 225.357 2.914 20 0 BFADHN Cc1ccc(CNCCC(C)(F)F)cn1 ZINC000357712230 384247417 /nfs/dbraw/zinc/24/74/17/384247417.db2.gz QVSVRFKVOYXJRP-UHFFFAOYSA-N 0 3 214.259 2.525 20 0 BFADHN CCc1cc(CN[C@@H]2CC[C@H]2C2CCC2)on1 ZINC000558699587 384251509 /nfs/dbraw/zinc/25/15/09/384251509.db2.gz SZIQPANYOCMCBU-UONOGXRCSA-N 0 3 234.343 2.905 20 0 BFADHN c1cn2cc(CN[C@@H]3CCC[C@H]4C[C@H]43)nc2s1 ZINC000558698668 384252241 /nfs/dbraw/zinc/25/22/41/384252241.db2.gz XMSLKKWDIVTSQN-MVWJERBFSA-N 0 3 247.367 2.674 20 0 BFADHN Cc1nccnc1[C@@H](C)NC[C@@H](C)CC(F)F ZINC000647318895 384252577 /nfs/dbraw/zinc/25/25/77/384252577.db2.gz CPNWPCCWSYYYFD-WCBMZHEXSA-N 0 3 243.301 2.727 20 0 BFADHN Cc1nccnc1[C@@H](C)NC[C@H](C)CC(F)F ZINC000647318893 384253962 /nfs/dbraw/zinc/25/39/62/384253962.db2.gz CPNWPCCWSYYYFD-PSASIEDQSA-N 0 3 243.301 2.727 20 0 BFADHN CC1CC(NC2(C(=O)Nc3ccccc3)CC2)C1 ZINC000427970173 384255327 /nfs/dbraw/zinc/25/53/27/384255327.db2.gz IHYKCYUMAIJPLK-UHFFFAOYSA-N 0 3 244.338 2.546 20 0 BFADHN CC[C@](C)(O)CNCc1ccc(Cl)s1 ZINC000082687475 384256297 /nfs/dbraw/zinc/25/62/97/384256297.db2.gz XBOHHNHPKWXYMV-JTQLQIEISA-N 0 3 233.764 2.652 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cnccn2)[C@@H]1C(C)C ZINC000560610587 384256987 /nfs/dbraw/zinc/25/69/87/384256987.db2.gz XECOJHOXLZVFTK-UONOGXRCSA-N 0 3 233.359 2.589 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cnccn2)[C@H]1C(C)C ZINC000560610584 384258561 /nfs/dbraw/zinc/25/85/61/384258561.db2.gz XECOJHOXLZVFTK-KBPBESRZSA-N 0 3 233.359 2.589 20 0 BFADHN COc1ccc(CN(C)[C@@H](C)C(C)(C)C)nc1 ZINC000558720212 384258989 /nfs/dbraw/zinc/25/89/89/384258989.db2.gz XWATUBVQRIBION-NSHDSACASA-N 0 3 236.359 2.957 20 0 BFADHN CC[C@](C)(NCCCF)c1nccs1 ZINC000137597545 384264087 /nfs/dbraw/zinc/26/40/87/384264087.db2.gz FCCHWBGSHXTBNP-JTQLQIEISA-N 0 3 216.325 2.718 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1cc(C)oc1C ZINC000132999900 384267570 /nfs/dbraw/zinc/26/75/70/384267570.db2.gz AHRIBAZYGLPPAK-GARJFASQSA-N 0 3 225.332 2.970 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](C)CC(F)F)no1 ZINC000647320034 384269700 /nfs/dbraw/zinc/26/97/00/384269700.db2.gz SIRUJYJUGMJKJD-APPZFPTMSA-N 0 3 232.274 2.925 20 0 BFADHN COCCCN(C)[C@H](C)c1ccc(F)cc1F ZINC000127262733 384269777 /nfs/dbraw/zinc/26/97/77/384269777.db2.gz XQZWIOPGJSMCQN-SNVBAGLBSA-N 0 3 243.297 2.994 20 0 BFADHN CCN(Cc1occc1C)Cc1ncccc1O ZINC000569571794 384270378 /nfs/dbraw/zinc/27/03/78/384270378.db2.gz NULVTLKYFRZSIY-UHFFFAOYSA-N 0 3 246.310 2.711 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@H](C)CC(F)F ZINC000647320143 384270618 /nfs/dbraw/zinc/27/06/18/384270618.db2.gz XWVFTENGVSRBKN-NXEZZACHSA-N 0 3 245.317 2.845 20 0 BFADHN CCCC[C@H](C)N(CCCC)CC(=O)NCC ZINC000559386891 384273438 /nfs/dbraw/zinc/27/34/38/384273438.db2.gz MLVGLMFMSZVCIW-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN CCOC[C@H]1CCN(Cc2ccc(CC)o2)C1 ZINC000093838978 384273847 /nfs/dbraw/zinc/27/38/47/384273847.db2.gz ZNXJVAZAWTZLRU-LBPRGKRZSA-N 0 3 237.343 2.700 20 0 BFADHN Cc1ccccc1C1(N[C@@H]2CO[C@H](C)C2)CC1 ZINC000647224614 384275204 /nfs/dbraw/zinc/27/52/04/384275204.db2.gz QBKOEVGTTZVFOP-OLZOCXBDSA-N 0 3 231.339 2.751 20 0 BFADHN Cc1cc(C)c(NC(=O)[C@@H](N)C(C)C)c(C)c1 ZINC000011958605 384304229 /nfs/dbraw/zinc/30/42/29/384304229.db2.gz SVFJOHJSBRDASX-LBPRGKRZSA-N 0 3 234.343 2.534 20 0 BFADHN CC(C)OCCN(C)CCc1cccs1 ZINC000174159151 384304312 /nfs/dbraw/zinc/30/43/12/384304312.db2.gz MBTRRDGGOZOXLF-UHFFFAOYSA-N 0 3 227.373 2.647 20 0 BFADHN C[C@H](CC1CC1)N[C@@H]1COc2ccccc21 ZINC000133642978 384305356 /nfs/dbraw/zinc/30/53/56/384305356.db2.gz ANSXLOIWPAHKQK-ZWNOBZJWSA-N 0 3 217.312 2.898 20 0 BFADHN CC(C)CO[C@H]1CCN(Cc2ccccc2)C1 ZINC000119796212 384305462 /nfs/dbraw/zinc/30/54/62/384305462.db2.gz NWVHEAKOKZQHOV-HNNXBMFYSA-N 0 3 233.355 2.934 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@H](C)[C@@H]2C)n1 ZINC000133708652 384308082 /nfs/dbraw/zinc/30/80/82/384308082.db2.gz HFHFANMFOGCAOD-JQWIXIFHSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@H](C)[C@@H]2C)n1 ZINC000133708652 384308087 /nfs/dbraw/zinc/30/80/87/384308087.db2.gz HFHFANMFOGCAOD-JQWIXIFHSA-N 0 3 234.343 2.716 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@@H]1C)c1ccc(F)cc1F ZINC000119826638 384309441 /nfs/dbraw/zinc/30/94/41/384309441.db2.gz IRIMYZWKJRWFNN-IGJMFERPSA-N 0 3 241.281 2.793 20 0 BFADHN CCOCCCN[C@@H](C)c1nc(C)cs1 ZINC000083551152 384310030 /nfs/dbraw/zinc/31/00/30/384310030.db2.gz XJUAVBVWEVCSCW-JTQLQIEISA-N 0 3 228.361 2.529 20 0 BFADHN CSCCN1CCC[C@H]1c1ccncc1 ZINC000119869954 384311768 /nfs/dbraw/zinc/31/17/68/384311768.db2.gz LVUWGIGDFZZEDN-LBPRGKRZSA-N 0 3 222.357 2.582 20 0 BFADHN C[C@H](N[C@H]1CCO[C@@H]1C)c1ccc(F)cc1 ZINC000133950161 384322630 /nfs/dbraw/zinc/32/26/30/384322630.db2.gz HMPVCKDWUPMINN-CWSCBRNRSA-N 0 3 223.291 2.654 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1cc(F)cc(F)c1 ZINC000094827547 384316408 /nfs/dbraw/zinc/31/64/08/384316408.db2.gz XQUVYXZJGVAOJW-ZANVPECISA-N 0 3 241.281 2.794 20 0 BFADHN Cc1cnn(C)c1CNC/C=C\c1ccccc1 ZINC000352735470 384317372 /nfs/dbraw/zinc/31/73/72/384317372.db2.gz CEEHSCPVEBUVTA-TWGQIWQCSA-N 0 3 241.338 2.532 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1cc(F)cc(F)c1 ZINC000094826115 384317643 /nfs/dbraw/zinc/31/76/43/384317643.db2.gz NWVXDGWSKVTGCK-TVQRCGJNSA-N 0 3 241.281 2.794 20 0 BFADHN CN(Cc1ccccc1F)[C@H]1CCSC1 ZINC000119944219 384319287 /nfs/dbraw/zinc/31/92/87/384319287.db2.gz LCQVDLSTIRIPAC-NSHDSACASA-N 0 3 225.332 2.763 20 0 BFADHN C[C@H](N[C@H]1CCO[C@H]1C)c1ccccc1F ZINC000133945010 384319755 /nfs/dbraw/zinc/31/97/55/384319755.db2.gz ZOHIUVLKJDPNIE-KWBADKCTSA-N 0 3 223.291 2.654 20 0 BFADHN COCC1(CCN[C@@H](C)c2ccccn2)CC1 ZINC000647320471 384282870 /nfs/dbraw/zinc/28/28/70/384282870.db2.gz ZMSRMJQYJSMRER-LBPRGKRZSA-N 0 3 234.343 2.549 20 0 BFADHN Fc1ccc2c(c1)CC[C@H]2NCc1cccnc1 ZINC000133383420 384287839 /nfs/dbraw/zinc/28/78/39/384287839.db2.gz HEKLXZKRYXDXPF-OAHLLOKOSA-N 0 3 242.297 2.998 20 0 BFADHN CC(C)n1cc(CN(C)[C@@H](C)C2CC2)cn1 ZINC000179412408 384289856 /nfs/dbraw/zinc/28/98/56/384289856.db2.gz GPXULEJUOVYCRM-NSHDSACASA-N 0 3 221.348 2.694 20 0 BFADHN CCCC[C@H](C(=O)OC)N1C[C@@H](C)C[C@H](C)C1 ZINC000168672557 384290409 /nfs/dbraw/zinc/29/04/09/384290409.db2.gz JMIQYCXGXRGTOD-RWMBFGLXSA-N 0 3 241.375 2.696 20 0 BFADHN CCn1nccc1CN([C@@H](C)C(C)C)C1CC1 ZINC000179496141 384294997 /nfs/dbraw/zinc/29/49/97/384294997.db2.gz XGALKYJZRTZAQO-LBPRGKRZSA-N 0 3 235.375 2.912 20 0 BFADHN C[C@@H](N[C@H]1CCOC2(CCC2)C1)c1ccccn1 ZINC000094367637 384297347 /nfs/dbraw/zinc/29/73/47/384297347.db2.gz BLGAGOTWYUKXMG-OLZOCXBDSA-N 0 3 246.354 2.834 20 0 BFADHN Cc1cnccc1CN[C@@H]1CC(C)(C)OC1(C)C ZINC000228660609 384297852 /nfs/dbraw/zinc/29/78/52/384297852.db2.gz PWRYWHOZSJFKKE-CYBMUJFWSA-N 0 3 248.370 2.826 20 0 BFADHN C[C@H]1CCCN(Cc2cnn3ccccc23)C1 ZINC000179509665 384298146 /nfs/dbraw/zinc/29/81/46/384298146.db2.gz SAMWLHGXIZOSJX-LBPRGKRZSA-N 0 3 229.327 2.566 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1ccccc1F ZINC000133557385 384298193 /nfs/dbraw/zinc/29/81/93/384298193.db2.gz JSRHCOZEQGEJNW-QWRGUYRKSA-N 0 3 225.307 2.637 20 0 BFADHN CC(C)n1cc(CN2CCC[C@H](C)C2)cn1 ZINC000179509573 384299451 /nfs/dbraw/zinc/29/94/51/384299451.db2.gz CIEARNDYQQRTNO-LBPRGKRZSA-N 0 3 221.348 2.696 20 0 BFADHN Cc1ccc(CN[C@H]2CC(C)(C)OC2(C)C)cn1 ZINC000094424390 384300115 /nfs/dbraw/zinc/30/01/15/384300115.db2.gz SUXNMYFWQLUTBD-ZDUSSCGKSA-N 0 3 248.370 2.826 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1sc(C)nc1C ZINC000133586903 384300027 /nfs/dbraw/zinc/30/00/27/384300027.db2.gz CTIUFYYVTCWPPJ-GZMMTYOYSA-N 0 3 242.388 2.572 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)[C@@H]2CCOC2)o1 ZINC000119779955 384302759 /nfs/dbraw/zinc/30/27/59/384302759.db2.gz AWISBCVPFLDYRI-SDDRHHMPSA-N 0 3 237.343 2.918 20 0 BFADHN CCc1ccc(CN[C@@]2(C)CCOC2)cc1 ZINC000120201456 384337383 /nfs/dbraw/zinc/33/73/83/384337383.db2.gz JEFSKNZFOBZNLK-AWEZNQCLSA-N 0 3 219.328 2.518 20 0 BFADHN Cc1cc(C)cc(CN(C)CC[C@@H](C)O)c1 ZINC000075777065 384338784 /nfs/dbraw/zinc/33/87/84/384338784.db2.gz IGSBAQYCLCOSRW-CYBMUJFWSA-N 0 3 221.344 2.506 20 0 BFADHN Cc1cc(C)nc(N[C@@H](C)[C@@H]2CCOC2)c1 ZINC000134351622 384349046 /nfs/dbraw/zinc/34/90/46/384349046.db2.gz ZBXIHDOSFDRULZ-NWDGAFQWSA-N 0 3 220.316 2.535 20 0 BFADHN CC(C)n1cc(CN(C)C2CCCC2)cn1 ZINC000179798427 384358462 /nfs/dbraw/zinc/35/84/62/384358462.db2.gz VUIPGCQPGLOJDE-UHFFFAOYSA-N 0 3 221.348 2.838 20 0 BFADHN CCN(CC)CCSCC(=O)C(C)(C)C ZINC000084060196 384361584 /nfs/dbraw/zinc/36/15/84/384361584.db2.gz SVIZWJOEPVYMFH-UHFFFAOYSA-N 0 3 231.405 2.677 20 0 BFADHN CC(C)(C)CNCc1ccncc1Cl ZINC000084084841 384363883 /nfs/dbraw/zinc/36/38/83/384363883.db2.gz BXRYMIUKNHWANU-UHFFFAOYSA-N 0 3 212.724 2.871 20 0 BFADHN Clc1cnccc1CN[C@H]1CC=CCC1 ZINC000084085164 384365583 /nfs/dbraw/zinc/36/55/83/384365583.db2.gz DPYBSXMAJQRKII-NSHDSACASA-N 0 3 222.719 2.933 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@@H]1CCc2cc(F)ccc21 ZINC000120649263 384370811 /nfs/dbraw/zinc/37/08/11/384370811.db2.gz MVABFNIJINXADV-CUOATXAZSA-N 0 3 235.302 2.580 20 0 BFADHN Cc1nn(C(C)C)cc1CN1CC[C@@H]1C1CC1 ZINC000449425226 384324641 /nfs/dbraw/zinc/32/46/41/384324641.db2.gz HJXSQLAOEWKVKC-CQSZACIVSA-N 0 3 233.359 2.757 20 0 BFADHN COc1cccc(OC)c1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000584243338 384326774 /nfs/dbraw/zinc/32/67/74/384326774.db2.gz XJVIBHLCMGJBPO-HBYGRHMLSA-N 0 3 247.338 2.592 20 0 BFADHN COc1cc(CN2CC[C@@H]2C2CC2)ccc1C ZINC000449425616 384327147 /nfs/dbraw/zinc/32/71/47/384327147.db2.gz IHZIPVXLLQMNQL-CQSZACIVSA-N 0 3 231.339 2.988 20 0 BFADHN C[C@]1(NCc2cccc(Cl)c2F)CCOC1 ZINC000120110672 384329274 /nfs/dbraw/zinc/32/92/74/384329274.db2.gz QTMYNQASAIOYCX-LBPRGKRZSA-N 0 3 243.709 2.748 20 0 BFADHN CC(C)CCOCCN[C@H](C)c1ccccn1 ZINC000044387427 384331048 /nfs/dbraw/zinc/33/10/48/384331048.db2.gz RYVMYYHBJOCXKA-CYBMUJFWSA-N 0 3 236.359 2.795 20 0 BFADHN CC(C)CCOCCN[C@H](C)c1ccncc1 ZINC000044387421 384331219 /nfs/dbraw/zinc/33/12/19/384331219.db2.gz WZFBXTRLWZWVRV-CYBMUJFWSA-N 0 3 236.359 2.795 20 0 BFADHN c1nc(CN[C@H]2CC3CCC2CC3)cs1 ZINC000335603087 384373197 /nfs/dbraw/zinc/37/31/97/384373197.db2.gz PHEGCKGCCHSUHR-CBINBANVSA-N 0 3 222.357 2.811 20 0 BFADHN C[C@]1(NCc2ccc(Cl)c(F)c2)CCOC1 ZINC000120179975 384333355 /nfs/dbraw/zinc/33/33/55/384333355.db2.gz GEZVYPZBHWLMSN-LBPRGKRZSA-N 0 3 243.709 2.748 20 0 BFADHN CCC[C@H](NCCOCC)c1cccnc1 ZINC000134913040 384398509 /nfs/dbraw/zinc/39/85/09/384398509.db2.gz OWROQBOZUWKNIT-ZDUSSCGKSA-N 0 3 222.332 2.549 20 0 BFADHN Cc1cc(CN2CC(C3CC3)C2)ccc1F ZINC000643345887 384426411 /nfs/dbraw/zinc/42/64/11/384426411.db2.gz SELKXTGQKNRJIQ-UHFFFAOYSA-N 0 3 219.303 2.976 20 0 BFADHN Cc1nnc([C@@H](C)NC[C@H]2CC=CCC2)s1 ZINC000135000381 384406349 /nfs/dbraw/zinc/40/63/49/384406349.db2.gz RROSCUGYIIAMHQ-KOLCDFICSA-N 0 3 237.372 2.853 20 0 BFADHN CC[C@H](CO)NCc1c(C)oc2ccccc21 ZINC000121246620 384406704 /nfs/dbraw/zinc/40/67/04/384406704.db2.gz DCHQJTCXGAWLAB-LLVKDONJSA-N 0 3 233.311 2.602 20 0 BFADHN CC[C@H](NCC1(C(F)F)CC1)c1nccn1C ZINC000359002852 384407057 /nfs/dbraw/zinc/40/70/57/384407057.db2.gz QESWTFKKPVIJMN-VIFPVBQESA-N 0 3 243.301 2.506 20 0 BFADHN c1ccc(CN[C@H]2CC23CCCC3)nc1 ZINC000335633348 384407352 /nfs/dbraw/zinc/40/73/52/384407352.db2.gz LZMYHAFBQGTVOD-LBPRGKRZSA-N 0 3 202.301 2.504 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccccc2C2CC2)CO1 ZINC000402029183 384407322 /nfs/dbraw/zinc/40/73/22/384407322.db2.gz HVXXJPDVLXINSV-RISCZKNCSA-N 0 3 231.339 2.831 20 0 BFADHN CCc1ccc(NC(=O)CN(C)[C@@H](C)CC)cc1 ZINC000170790428 384408766 /nfs/dbraw/zinc/40/87/66/384408766.db2.gz QLHNBWMYERTTHJ-LBPRGKRZSA-N 0 3 248.370 2.918 20 0 BFADHN c1ncc(CN[C@H]2CCC23CCCC3)s1 ZINC000335630668 384410322 /nfs/dbraw/zinc/41/03/22/384410322.db2.gz VTCWAUNZMDRSKZ-NSHDSACASA-N 0 3 222.357 2.956 20 0 BFADHN c1csc(N2CCN(CC3CCC3)CC2)c1 ZINC000121370148 384412384 /nfs/dbraw/zinc/41/23/84/384412384.db2.gz VOLNXTTYEPPWST-UHFFFAOYSA-N 0 3 236.384 2.670 20 0 BFADHN COc1ccsc1CN1CC(C2CC2)C1 ZINC000643345852 384427095 /nfs/dbraw/zinc/42/70/95/384427095.db2.gz QLBBROWDZJBEIT-UHFFFAOYSA-N 0 3 223.341 2.599 20 0 BFADHN Cc1ccc(CN2CC(C3CC3)C2)cc1F ZINC000643346025 384423561 /nfs/dbraw/zinc/42/35/61/384423561.db2.gz XTVRXSILYVRRBD-UHFFFAOYSA-N 0 3 219.303 2.976 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C=C[C@H](CO)C2)cc1 ZINC000134647086 384376307 /nfs/dbraw/zinc/37/63/07/384376307.db2.gz WOPZZJKCOZKTAN-KCQAQPDRSA-N 0 3 231.339 2.583 20 0 BFADHN CCOc1cccc(CN2CC(C3CC3)C2)c1 ZINC000643345195 384376438 /nfs/dbraw/zinc/37/64/38/384376438.db2.gz HRPSIXFXVFDSGT-UHFFFAOYSA-N 0 3 231.339 2.927 20 0 BFADHN Cc1ccc(CNCCCCOC(C)C)o1 ZINC000134796935 384390781 /nfs/dbraw/zinc/39/07/81/384390781.db2.gz BUTMFSRVHHKHMT-UHFFFAOYSA-N 0 3 225.332 2.883 20 0 BFADHN C[C@H](C1CC1)N(Cc1ccc(C#N)cn1)C1CC1 ZINC000568169032 384450007 /nfs/dbraw/zinc/45/00/07/384450007.db2.gz ZLQYYTLPJJVNMN-LLVKDONJSA-N 0 3 241.338 2.716 20 0 BFADHN Cc1nocc1CN1C[C@@H](C)CC1(C)C ZINC000295297925 384433419 /nfs/dbraw/zinc/43/34/19/384433419.db2.gz JQXKSTJJVBGLCV-VIFPVBQESA-N 0 3 208.305 2.603 20 0 BFADHN CCc1nocc1CNC[C@H](C)CC(F)F ZINC000647227011 384437818 /nfs/dbraw/zinc/43/78/18/384437818.db2.gz LUYSQKRPBPJLER-MRVPVSSYSA-N 0 3 232.274 2.618 20 0 BFADHN COc1ccccc1C1(N[C@@H](C)[C@@H](C)OC)CC1 ZINC000647329031 384442789 /nfs/dbraw/zinc/44/27/89/384442789.db2.gz DOOVYMGGDBJPLH-NWDGAFQWSA-N 0 3 249.354 2.697 20 0 BFADHN CC(C)C(CNCc1cocn1)C(C)C ZINC000278902616 384460752 /nfs/dbraw/zinc/46/07/52/384460752.db2.gz RJYCVXQCBPKFFC-UHFFFAOYSA-N 0 3 210.321 2.692 20 0 BFADHN C[C@H](NCc1cccc(F)c1F)C1CC1 ZINC000036888586 384463515 /nfs/dbraw/zinc/46/35/15/384463515.db2.gz YCKKIRJKCKTOHA-QMMMGPOBSA-N 0 3 211.255 2.853 20 0 BFADHN CO[C@H](CN[C@H](C)c1cncs1)C(C)(C)C ZINC000122201145 384466553 /nfs/dbraw/zinc/46/65/53/384466553.db2.gz XMEIDXWDKMDDTN-MWLCHTKSSA-N 0 3 242.388 2.855 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccco1)C(C)(C)C ZINC000122208703 384466750 /nfs/dbraw/zinc/46/67/50/384466750.db2.gz LJDXMRGWPOCWEQ-PWSUYJOCSA-N 0 3 225.332 2.991 20 0 BFADHN Clc1ccc2nc(CNC3CCC3)cn2c1 ZINC000096543982 384467000 /nfs/dbraw/zinc/46/70/00/384467000.db2.gz GLMYAPZETKFFJT-UHFFFAOYSA-N 0 3 235.718 2.630 20 0 BFADHN COC[C@H](N[C@H]1CC[C@H](C)C1)c1ccco1 ZINC000122305710 384471370 /nfs/dbraw/zinc/47/13/70/384471370.db2.gz ZVHDNYSZCSDVFF-SRVKXCTJSA-N 0 3 223.316 2.745 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1CCC[C@H](O)C1 ZINC000078846811 384471549 /nfs/dbraw/zinc/47/15/49/384471549.db2.gz LGVBWOMLRSHCLR-UONOGXRCSA-N 0 3 237.318 2.734 20 0 BFADHN COC[C@H](N[C@@H]1CCC[C@H]1C)c1ccco1 ZINC000122300866 384471603 /nfs/dbraw/zinc/47/16/03/384471603.db2.gz XXSWJGPXECCTDH-UTUOFQBUSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1cccc(CN[C@H]2CO[C@H](C)C2)c1C ZINC000335663532 384473036 /nfs/dbraw/zinc/47/30/36/384473036.db2.gz NFEVPQBVGFIHDP-BXUZGUMPSA-N 0 3 219.328 2.570 20 0 BFADHN COC[C@H](N[C@@H]1CC[C@H](C)C1)c1ccco1 ZINC000122306125 384473270 /nfs/dbraw/zinc/47/32/70/384473270.db2.gz ZVHDNYSZCSDVFF-TUAOUCFPSA-N 0 3 223.316 2.745 20 0 BFADHN O=C1C[C@H]2CC[C@@H](C1)N2CCCCC(F)(F)F ZINC000149870293 384485882 /nfs/dbraw/zinc/48/58/82/384485882.db2.gz IEVOCCXNGRNKTA-AOOOYVTPSA-N 0 3 249.276 2.915 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCCC(C)(C)C1 ZINC000149501846 384480777 /nfs/dbraw/zinc/48/07/77/384480777.db2.gz NVDPPBFJATUJMI-GFCCVEGCSA-N 0 3 235.375 2.961 20 0 BFADHN CCCCCCC(=O)NC[C@H](N)c1ccccc1 ZINC000122427500 384481717 /nfs/dbraw/zinc/48/17/17/384481717.db2.gz RVXIWVGCSFGASR-AWEZNQCLSA-N 0 3 248.370 2.773 20 0 BFADHN C[C@H]1C[C@H](NC2(c3ccccc3)CCC2)CO1 ZINC000647176936 384500141 /nfs/dbraw/zinc/50/01/41/384500141.db2.gz DTAYATZBBYPJJT-JSGCOSHPSA-N 0 3 231.339 2.833 20 0 BFADHN CCC1(CN[C@H](C)c2cnccn2)CCC1 ZINC000122719476 384505611 /nfs/dbraw/zinc/50/56/11/384505611.db2.gz DAGIGXPHKHGARQ-LLVKDONJSA-N 0 3 219.332 2.708 20 0 BFADHN Cc1cc(CN)cc(NC(=O)[C@@H]2CC=CCC2)c1 ZINC000322972625 384506193 /nfs/dbraw/zinc/50/61/93/384506193.db2.gz YXYOVEDBZFYISW-CYBMUJFWSA-N 0 3 244.338 2.749 20 0 BFADHN C[C@@H](NCc1ccc(Cl)o1)[C@H]1CCCO1 ZINC000037502776 384507336 /nfs/dbraw/zinc/50/73/36/384507336.db2.gz DYHQKMXBBGGZPR-PSASIEDQSA-N 0 3 229.707 2.590 20 0 BFADHN CCCCN[C@@H](C)c1cn(-c2ccccc2)nn1 ZINC000150837820 384508975 /nfs/dbraw/zinc/50/89/75/384508975.db2.gz SKKQJBDUMKYOFZ-LBPRGKRZSA-N 0 3 244.342 2.718 20 0 BFADHN CCc1ccc(CN[C@@H](C)Cc2cc(C)n[nH]2)o1 ZINC000136788995 384509283 /nfs/dbraw/zinc/50/92/83/384509283.db2.gz YAPWMNWEAZOCCQ-JTQLQIEISA-N 0 3 247.342 2.594 20 0 BFADHN CCc1ccc(CN[C@@H](C)Cc2cc(C)[nH]n2)o1 ZINC000136788995 384509286 /nfs/dbraw/zinc/50/92/86/384509286.db2.gz YAPWMNWEAZOCCQ-JTQLQIEISA-N 0 3 247.342 2.594 20 0 BFADHN CC(C)C[C@@H](C)NCc1ncc(Cl)n1C ZINC000037264718 384493173 /nfs/dbraw/zinc/49/31/73/384493173.db2.gz SNIFXYFKHIIPMP-SECBINFHSA-N 0 3 229.755 2.598 20 0 BFADHN C[C@H]1C[C@@H](NCc2nccc3ccccc32)CO1 ZINC000647176381 384494089 /nfs/dbraw/zinc/49/40/89/384494089.db2.gz FICFIGZWOZSPQG-WCQYABFASA-N 0 3 242.322 2.502 20 0 BFADHN CCC1(CNCc2cc(C)ccc2OC)COC1 ZINC000353686218 384535656 /nfs/dbraw/zinc/53/56/56/384535656.db2.gz FITFFUMYHJZJFC-UHFFFAOYSA-N 0 3 249.354 2.520 20 0 BFADHN CC[C@@](C)(CNCc1ccc(C)c(C)n1)OC ZINC000319846754 384514762 /nfs/dbraw/zinc/51/47/62/384514762.db2.gz KLGMSRYGOYAVMU-AWEZNQCLSA-N 0 3 236.359 2.603 20 0 BFADHN Cc1nc(C)c(CN(C)[C@@H](C)C2CC2)s1 ZINC000172762875 384523241 /nfs/dbraw/zinc/52/32/41/384523241.db2.gz FGKGBLKJRMSOJZ-VIFPVBQESA-N 0 3 224.373 2.990 20 0 BFADHN C[C@@H](CCO)N[C@@H](C)c1cc2ccccc2o1 ZINC000122923441 384524001 /nfs/dbraw/zinc/52/40/01/384524001.db2.gz HOGAEOBOSWYNDZ-QWRGUYRKSA-N 0 3 233.311 2.854 20 0 BFADHN CC(C)[C@@H](N)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000019506254 384524394 /nfs/dbraw/zinc/52/43/94/384524394.db2.gz UTTFLOVLWFHEFF-CYBMUJFWSA-N 0 3 248.370 2.906 20 0 BFADHN CC[C@@H]1CN(CC)CCN1CCc1ccccc1 ZINC000449566011 384544289 /nfs/dbraw/zinc/54/42/89/384544289.db2.gz MMRCSGFJNXPKBP-MRXNPFEDSA-N 0 3 246.398 2.645 20 0 BFADHN Cc1c[nH]nc1CNC1CCC(C)(C)CC1 ZINC000309700968 384545526 /nfs/dbraw/zinc/54/55/26/384545526.db2.gz MONJTPRVXFBKJI-UHFFFAOYSA-N 0 3 221.348 2.777 20 0 BFADHN CCCCNC(=O)CN[C@@H](C)c1ccc(C)cc1 ZINC000049556528 384547498 /nfs/dbraw/zinc/54/74/98/384547498.db2.gz MHONEVYEKZAMJQ-ZDUSSCGKSA-N 0 3 248.370 2.562 20 0 BFADHN CCCCNC(=O)CN[C@H](C)c1ccc(C)cc1 ZINC000049556529 384547724 /nfs/dbraw/zinc/54/77/24/384547724.db2.gz MHONEVYEKZAMJQ-CYBMUJFWSA-N 0 3 248.370 2.562 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1cc(C)ccc1OC ZINC000019904989 384556628 /nfs/dbraw/zinc/55/66/28/384556628.db2.gz JJMYXLMHYSBRDD-NEPJUHHUSA-N 0 3 237.343 2.689 20 0 BFADHN CC[C@H](NC[C@H]1CC1(C)C)c1ccn(C)n1 ZINC000309714949 384557594 /nfs/dbraw/zinc/55/75/94/384557594.db2.gz SNAHKNJJIQQXPL-MNOVXSKESA-N 0 3 221.348 2.507 20 0 BFADHN C[C@H](NCCc1ccccc1)c1ccccn1 ZINC000019919828 384559449 /nfs/dbraw/zinc/55/94/49/384559449.db2.gz LEXKAKOMNKZMIW-ZDUSSCGKSA-N 0 3 226.323 2.975 20 0 BFADHN C[C@@H](NC[C@@]1(C)CCOC1)c1ccccc1F ZINC000354601210 384559781 /nfs/dbraw/zinc/55/97/81/384559781.db2.gz RDBRRHFXESWCKC-BXUZGUMPSA-N 0 3 237.318 2.903 20 0 BFADHN C[C@H](N[C@@H]1CO[C@@H](C)C1)c1ccccc1 ZINC000647181525 384561198 /nfs/dbraw/zinc/56/11/98/384561198.db2.gz FLPWDGMAYLBGRY-GVXVVHGQSA-N 0 3 205.301 2.515 20 0 BFADHN CCOCCN[C@@H]1CCCOc2cc(C)ccc21 ZINC000161905983 384540223 /nfs/dbraw/zinc/54/02/23/384540223.db2.gz UPRBMXNSJIQOCB-CQSZACIVSA-N 0 3 249.354 2.835 20 0 BFADHN C[C@@H](NCC(C)(C)O)c1ccccc1Cl ZINC000049508761 384540191 /nfs/dbraw/zinc/54/01/91/384540191.db2.gz CNHOVIDDDMDPOI-SECBINFHSA-N 0 3 227.735 2.762 20 0 BFADHN C[C@@H](NCCO)c1ccc(Cl)cc1Cl ZINC000020050089 384572274 /nfs/dbraw/zinc/57/22/74/384572274.db2.gz CBASFATUFAAWID-SSDOTTSWSA-N 0 3 234.126 2.636 20 0 BFADHN Cc1cccc(N2CCN(CC3(C)CC3)CC2)c1 ZINC000559117359 384574266 /nfs/dbraw/zinc/57/42/66/384574266.db2.gz MAPWYTZGVFMMES-UHFFFAOYSA-N 0 3 244.382 2.917 20 0 BFADHN CCC[C@H](N)C(=O)N1CCCC[C@@H]1CC(C)C ZINC000236800533 384574705 /nfs/dbraw/zinc/57/47/05/384574705.db2.gz FDBMHZWCLISZTB-OLZOCXBDSA-N 0 3 240.391 2.541 20 0 BFADHN CC[C@H](C)C[C@@H](CO)N[C@H](C)c1ccncc1 ZINC000647336703 384576993 /nfs/dbraw/zinc/57/69/93/384576993.db2.gz FADIYWAFQBMLEW-SCRDCRAPSA-N 0 3 236.359 2.529 20 0 BFADHN CC/C=C/CNCc1c(C)cc(OC)nc1C ZINC000449450193 384578836 /nfs/dbraw/zinc/57/88/36/384578836.db2.gz AXVYXYBPKGGNCR-VOTSOKGWSA-N 0 3 234.343 2.763 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CC[C@@H](C)C[C@@H]1C ZINC000309746816 384578790 /nfs/dbraw/zinc/57/87/90/384578790.db2.gz FVBZYDKVJKTVFY-JFGNBEQYSA-N 0 3 221.348 2.632 20 0 BFADHN c1c2ccccc2oc1CNCCN1CCCC1 ZINC000020127032 384580482 /nfs/dbraw/zinc/58/04/82/384580482.db2.gz SYJRYNSJRUDFRB-UHFFFAOYSA-N 0 3 244.338 2.618 20 0 BFADHN CCOc1cccc(CNCc2cc[nH]c2)c1 ZINC000080773812 384581151 /nfs/dbraw/zinc/58/11/51/384581151.db2.gz VBWAGKKIUCUCFJ-UHFFFAOYSA-N 0 3 230.311 2.703 20 0 BFADHN CC(C)CCN(CC(N)=O)[C@H](C)c1ccccc1 ZINC000123766048 384584343 /nfs/dbraw/zinc/58/43/43/384584343.db2.gz KCHUAYUSAABAGB-CYBMUJFWSA-N 0 3 248.370 2.581 20 0 BFADHN C[C@](O)(CNCc1ccccc1Cl)C1CC1 ZINC000309757047 384586744 /nfs/dbraw/zinc/58/67/44/384586744.db2.gz LSNDQQVMORIUAI-ZDUSSCGKSA-N 0 3 239.746 2.591 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1ccc(F)cc1 ZINC000019963578 384564133 /nfs/dbraw/zinc/56/41/33/384564133.db2.gz IEMHLSBYFOWHJW-ZWNOBZJWSA-N 0 3 223.291 2.655 20 0 BFADHN CCN(CCO)Cc1ccc(C(C)C)cc1 ZINC000049754589 384565067 /nfs/dbraw/zinc/56/50/67/384565067.db2.gz UOFWTOMUSZYQRU-UHFFFAOYSA-N 0 3 221.344 2.624 20 0 BFADHN CCN(Cc1cnc(OC)c(Cl)c1)C1CC1 ZINC000533558551 384565515 /nfs/dbraw/zinc/56/55/15/384565515.db2.gz FLNAZHMEEZMDCO-UHFFFAOYSA-N 0 3 240.734 2.728 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1cc(F)ccc1F ZINC000019963801 384566872 /nfs/dbraw/zinc/56/68/72/384566872.db2.gz LHXFPUHAQKNPOR-GXSJLCMTSA-N 0 3 241.281 2.794 20 0 BFADHN Cc1n[nH]cc1CCCN[C@@H](C)c1ccco1 ZINC000050182483 384603445 /nfs/dbraw/zinc/60/34/45/384603445.db2.gz DWTAJKXAPRWYDL-NSHDSACASA-N 0 3 233.315 2.595 20 0 BFADHN CC[C@H](C)N[C@@H](CCO)c1ccccc1F ZINC000647345013 384605388 /nfs/dbraw/zinc/60/53/88/384605388.db2.gz CKYHAVDJOOUNTI-GWCFXTLKSA-N 0 3 225.307 2.637 20 0 BFADHN CC/C=C/CCN(CC)Cc1cnn(C)c1 ZINC000184498824 384612138 /nfs/dbraw/zinc/61/21/38/384612138.db2.gz JFRYSPCRMKOQJW-VOTSOKGWSA-N 0 3 221.348 2.598 20 0 BFADHN CO[C@]1(C)C[C@H](N[C@H](C)c2ccccn2)C1(C)C ZINC000162401186 384613809 /nfs/dbraw/zinc/61/38/09/384613809.db2.gz LWSFEAAWJVYOAP-OSAQELSMSA-N 0 3 248.370 2.936 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CCC[C@H]2C[C@H]21 ZINC000647348432 384614785 /nfs/dbraw/zinc/61/47/85/384614785.db2.gz WXRSBIKQMXTPFW-WUHRBBMRSA-N 0 3 231.343 2.624 20 0 BFADHN FC(F)(F)CCNCc1cccs1 ZINC000037855326 384618357 /nfs/dbraw/zinc/61/83/57/384618357.db2.gz IXAMTPOHOMDEHS-UHFFFAOYSA-N 0 3 209.236 2.790 20 0 BFADHN Cc1nc(C)c(CN2C[C@H]3CC[C@@H]2C3)s1 ZINC000172759540 384621866 /nfs/dbraw/zinc/62/18/66/384621866.db2.gz ZZABWCJDKTUXQF-WDEREUQCSA-N 0 3 222.357 2.744 20 0 BFADHN CCCCN(C)Cc1sc(C)nc1C ZINC000172729283 384623773 /nfs/dbraw/zinc/62/37/73/384623773.db2.gz ZSWCULSXASUEBD-UHFFFAOYSA-N 0 3 212.362 2.992 20 0 BFADHN C[C@@H](NCC1CCC(F)CC1)c1ccn(C)n1 ZINC000647350480 384626424 /nfs/dbraw/zinc/62/64/24/384626424.db2.gz ZCJUPNONSLZXAQ-VOMCLLRMSA-N 0 3 239.338 2.599 20 0 BFADHN CC[C@H](CO)N[C@@H](C)c1ccccc1Cl ZINC000020194846 384592070 /nfs/dbraw/zinc/59/20/70/384592070.db2.gz RFMSDBFEOXZQJN-VHSXEESVSA-N 0 3 227.735 2.762 20 0 BFADHN CC[C@H](CO)NCc1cccc(C(F)(F)F)c1 ZINC000020194424 384592793 /nfs/dbraw/zinc/59/27/93/384592793.db2.gz VOHUZRIAEXYKCB-LLVKDONJSA-N 0 3 247.260 2.566 20 0 BFADHN Cc1cncc([C@H](C)NCCc2nccs2)c1 ZINC000184331352 384595745 /nfs/dbraw/zinc/59/57/45/384595745.db2.gz LDEWZWZYYITYQI-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cncc([C@H](C)NCC2(O)CCCCC2)c1 ZINC000184334224 384595952 /nfs/dbraw/zinc/59/59/52/384595952.db2.gz DIPFDPMAFUHCEF-ZDUSSCGKSA-N 0 3 248.370 2.736 20 0 BFADHN CC[C@@H](CO)N[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000020195877 384596207 /nfs/dbraw/zinc/59/62/07/384596207.db2.gz XTNIARGMLKQODM-WPRPVWTQSA-N 0 3 245.725 2.901 20 0 BFADHN CCCC1(C[NH2+]Cc2nc(C)ccc2[O-])CC1 ZINC000151594043 384597496 /nfs/dbraw/zinc/59/74/96/384597496.db2.gz WTGNIOMEYOMXAZ-UHFFFAOYSA-N 0 3 234.343 2.766 20 0 BFADHN C[C@@H](Cn1cccn1)N[C@@H]1CCCc2occc21 ZINC000123968295 384601025 /nfs/dbraw/zinc/60/10/25/384601025.db2.gz WEYPHNGWXYERHX-WCQYABFASA-N 0 3 245.326 2.532 20 0 BFADHN CO[C@]1(C)C[C@@H](N[C@@H](C)c2cccnc2)C1(C)C ZINC000173212404 384664765 /nfs/dbraw/zinc/66/47/65/384664765.db2.gz BCDSXWKOLGQWOP-NJZAAPMLSA-N 0 3 248.370 2.936 20 0 BFADHN Cc1ccsc1[C@H](CO)N[C@H]1C=CCCC1 ZINC000309849476 384666251 /nfs/dbraw/zinc/66/62/51/384666251.db2.gz IJWHFAHSGJZHNL-RYUDHWBXSA-N 0 3 237.368 2.788 20 0 BFADHN Cc1ccsc1[C@@H](CO)N[C@H]1C=CCCC1 ZINC000309849475 384667040 /nfs/dbraw/zinc/66/70/40/384667040.db2.gz IJWHFAHSGJZHNL-NWDGAFQWSA-N 0 3 237.368 2.788 20 0 BFADHN CC[C@H](O)CCNCc1cc(Cl)ccc1F ZINC000162712784 384670846 /nfs/dbraw/zinc/67/08/46/384670846.db2.gz KCDPDEPCNQOKQV-NSHDSACASA-N 0 3 245.725 2.730 20 0 BFADHN C[C@@H](NCc1cccn1C)c1cccc(O)c1 ZINC000050595798 384634418 /nfs/dbraw/zinc/63/44/18/384634418.db2.gz NYHWLPQIDPEDMR-LLVKDONJSA-N 0 3 230.311 2.582 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000647352608 384636820 /nfs/dbraw/zinc/63/68/20/384636820.db2.gz LNFQZQWGTJCEMH-ZDEQEGDKSA-N 0 3 233.359 2.742 20 0 BFADHN Cc1ccc2ncc(CN3CCC[C@H]3C)n2c1 ZINC000124409576 384637063 /nfs/dbraw/zinc/63/70/63/384637063.db2.gz QMCOMGYMNHURMA-GFCCVEGCSA-N 0 3 229.327 2.627 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@H]3C[C@H]3C2)s1 ZINC000403337086 384638519 /nfs/dbraw/zinc/63/85/19/384638519.db2.gz SKOXYLBOSKIVPC-GARJFASQSA-N 0 3 222.357 2.730 20 0 BFADHN CC[C@](C)(O)CNCc1cc(Cl)cs1 ZINC000086333009 384639067 /nfs/dbraw/zinc/63/90/67/384639067.db2.gz VUUAZADXYQLYCW-JTQLQIEISA-N 0 3 233.764 2.652 20 0 BFADHN Cc1ccc(CCN(C)C[C@H]2CCCO2)cc1 ZINC000124391333 384639612 /nfs/dbraw/zinc/63/96/12/384639612.db2.gz XZJCJWYIAAWHLB-OAHLLOKOSA-N 0 3 233.355 2.648 20 0 BFADHN Cc1ccc(CN[C@H]2CCCCC2(C)C)nn1 ZINC000335177140 384641188 /nfs/dbraw/zinc/64/11/88/384641188.db2.gz JTVLIRXRHJKFDD-ZDUSSCGKSA-N 0 3 233.359 2.843 20 0 BFADHN C[C@H](F)CCN(C)CCC(F)(F)F ZINC000336655035 384649874 /nfs/dbraw/zinc/64/98/74/384649874.db2.gz OEPCFLFFSOTSMW-ZETCQYMHSA-N 0 3 201.207 2.619 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CCS[C@@H]2C)s1 ZINC000647359525 384652212 /nfs/dbraw/zinc/65/22/12/384652212.db2.gz IGMGJYPBZVFYGU-XKSSXDPKSA-N 0 3 242.413 2.996 20 0 BFADHN CC(C)CN[C@H](C)c1cc2n(n1)CCCC2 ZINC000647280025 384652488 /nfs/dbraw/zinc/65/24/88/384652488.db2.gz OHNCHTGUMOGIGT-LLVKDONJSA-N 0 3 221.348 2.526 20 0 BFADHN CC/C=C\CNCc1sccc1OC ZINC000449455810 384656152 /nfs/dbraw/zinc/65/61/52/384656152.db2.gz LVIUUFOLZZKYBP-PLNGDYQASA-N 0 3 211.330 2.813 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc3ccccc3n2)CO1 ZINC000402307997 384658360 /nfs/dbraw/zinc/65/83/60/384658360.db2.gz KNCUMOLPXMCIPX-RISCZKNCSA-N 0 3 242.322 2.502 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc3ccccc3n2)CO1 ZINC000402307991 384659729 /nfs/dbraw/zinc/65/97/29/384659729.db2.gz KNCUMOLPXMCIPX-BXUZGUMPSA-N 0 3 242.322 2.502 20 0 BFADHN CCCc1ncc(CN[C@H]2CCCSC2)o1 ZINC000449611969 384723629 /nfs/dbraw/zinc/72/36/29/384723629.db2.gz IXMAPEIJKLVRMU-JTQLQIEISA-N 0 3 240.372 2.612 20 0 BFADHN COCC[C@H](C)NCc1cc(F)c(F)c(F)c1 ZINC000102781154 384728160 /nfs/dbraw/zinc/72/81/60/384728160.db2.gz SHJMVEJFVIQDOC-QMMMGPOBSA-N 0 3 247.260 2.619 20 0 BFADHN C[C@@H](CN(C)C)NCc1cc(Cl)ccc1F ZINC000162732525 384676852 /nfs/dbraw/zinc/67/68/52/384676852.db2.gz OBGALGVPTAJDCH-VIFPVBQESA-N 0 3 244.741 2.519 20 0 BFADHN Cc1ccc(CN[C@@H]2CCO[C@H](C(C)C)C2)nc1 ZINC000357356410 384678153 /nfs/dbraw/zinc/67/81/53/384678153.db2.gz QCIVYWLHXHYIBS-HIFRSBDPSA-N 0 3 248.370 2.683 20 0 BFADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H]1CC12CC2 ZINC000335248414 384679327 /nfs/dbraw/zinc/67/93/27/384679327.db2.gz KCNGUKXOFYRVIX-JSGCOSHPSA-N 0 3 247.313 2.964 20 0 BFADHN Cc1ccc(CN[C@@H](C)C2(C)CC2)nc1C ZINC000313864353 384681582 /nfs/dbraw/zinc/68/15/82/384681582.db2.gz XBVWFPZIXMFOMV-LBPRGKRZSA-N 0 3 218.344 2.977 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2cncs2)C1(C)C ZINC000300358123 384684352 /nfs/dbraw/zinc/68/43/52/384684352.db2.gz BSCCVDNRELTWCU-DVVUODLYSA-N 0 3 240.372 2.607 20 0 BFADHN CC[C@H]1CN(C)CCN1Cc1cccc(C)c1C ZINC000556954867 384687704 /nfs/dbraw/zinc/68/77/04/384687704.db2.gz QDDMCPQJABXUNA-INIZCTEOSA-N 0 3 246.398 2.829 20 0 BFADHN CC(C)N(C)CC(=O)NCCCCC(C)(C)C ZINC000104441896 384689575 /nfs/dbraw/zinc/68/95/75/384689575.db2.gz IEBJWRWBCKEKLS-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN CCC1(CNCc2n[nH]cc2C)CCCC1 ZINC000309936572 384726606 /nfs/dbraw/zinc/72/66/06/384726606.db2.gz AFNHERGFTCDHMU-UHFFFAOYSA-N 0 3 221.348 2.778 20 0 BFADHN CCC[C@@H](NC[C@H](O)C(C)C)c1cccnc1 ZINC000185462954 384726900 /nfs/dbraw/zinc/72/69/00/384726900.db2.gz GRDABNAIGZDMOF-KGLIPLIRSA-N 0 3 236.359 2.529 20 0 BFADHN COC[C@@H](C)NCc1ccc2[nH]c(C)c(C)c2c1 ZINC000011759810 384703935 /nfs/dbraw/zinc/70/39/35/384703935.db2.gz BUSTYEURILBMRU-SNVBAGLBSA-N 0 3 246.354 2.909 20 0 BFADHN Cc1cccc(CNCCC(C)(C)C)n1 ZINC000051575975 384704230 /nfs/dbraw/zinc/70/42/30/384704230.db2.gz RXLFJCACTRJOIU-UHFFFAOYSA-N 0 3 206.333 2.916 20 0 BFADHN CC(C)n1ccc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)n1 ZINC000403480874 384704819 /nfs/dbraw/zinc/70/48/19/384704819.db2.gz RRWFMNFFKWICEV-MCIONIFRSA-N 0 3 247.386 2.990 20 0 BFADHN CCCCC[C@H](C)NCc1ccc(CO)o1 ZINC000082738103 384706196 /nfs/dbraw/zinc/70/61/96/384706196.db2.gz CAJAZXBZRBBFLD-NSHDSACASA-N 0 3 225.332 2.830 20 0 BFADHN CC(C)C[C@H](CO)N(C)Cc1ccccc1F ZINC000185331685 384706378 /nfs/dbraw/zinc/70/63/78/384706378.db2.gz ZYLSPCPLNVHHCA-CYBMUJFWSA-N 0 3 239.334 2.665 20 0 BFADHN Fc1ccc2c(c1)[C@@H](N[C@@H]1CC13CC3)CC2 ZINC000335278105 384707597 /nfs/dbraw/zinc/70/75/97/384707597.db2.gz LSRICBMWFWKNLM-QWHCGFSZSA-N 0 3 217.287 2.955 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccoc2)C1(C)C ZINC000125270365 384708232 /nfs/dbraw/zinc/70/82/32/384708232.db2.gz KBKIYLKQXGIXJW-NEPJUHHUSA-N 0 3 223.316 2.573 20 0 BFADHN COCc1ccc(CNCCCC(C)C)o1 ZINC000125286854 384708305 /nfs/dbraw/zinc/70/83/05/384708305.db2.gz ZVDKDGYVTGMXLF-UHFFFAOYSA-N 0 3 225.332 2.952 20 0 BFADHN Cc1ccc(CN(C)CCn2cccn2)cc1C ZINC000125302280 384708723 /nfs/dbraw/zinc/70/87/23/384708723.db2.gz YVRGZYYKSORBBA-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN Cc1nocc1CNC[C@@H](C)c1ccccc1 ZINC000294274738 384709521 /nfs/dbraw/zinc/70/95/21/384709521.db2.gz VQYZSZMRWOIKFZ-LLVKDONJSA-N 0 3 230.311 2.876 20 0 BFADHN COC[C@@H](C)CNCc1ccc(Cl)cc1F ZINC000162961746 384711714 /nfs/dbraw/zinc/71/17/14/384711714.db2.gz GCCOZZWCVVSXPT-VIFPVBQESA-N 0 3 245.725 2.851 20 0 BFADHN CCC[C@H](C)CNCc1cnc(CC)o1 ZINC000449608803 384712568 /nfs/dbraw/zinc/71/25/68/384712568.db2.gz KFRJVCSSRPEIIK-JTQLQIEISA-N 0 3 210.321 2.763 20 0 BFADHN Cc1cc(C)nc(NCC[C@H]2CCOC2)c1 ZINC000309920044 384715331 /nfs/dbraw/zinc/71/53/31/384715331.db2.gz RMJDDDJUJALFSP-LBPRGKRZSA-N 0 3 220.316 2.537 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@H]1CC12CC2 ZINC000335281770 384715701 /nfs/dbraw/zinc/71/57/01/384715701.db2.gz XNIOBSGAUSTOPX-JQWIXIFHSA-N 0 3 203.285 2.799 20 0 BFADHN C[C@H](O)C(C)(C)NCc1ccc(Cl)cc1F ZINC000162984992 384716046 /nfs/dbraw/zinc/71/60/46/384716046.db2.gz CAIJZGPFURUYEW-QMMMGPOBSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@@H](NCc1ccc(Cl)cc1F)[C@H](C)CO ZINC000162981031 384716505 /nfs/dbraw/zinc/71/65/05/384716505.db2.gz LKXDCARSCUHTNZ-RKDXNWHRSA-N 0 3 245.725 2.586 20 0 BFADHN Cn1cncc1CNCCC1=CCCCCC1 ZINC000185408164 384718545 /nfs/dbraw/zinc/71/85/45/384718545.db2.gz WPIMAMODSUCGJS-UHFFFAOYSA-N 0 3 233.359 2.790 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(Cl)cc2)CO1 ZINC000335426023 384719612 /nfs/dbraw/zinc/71/96/12/384719612.db2.gz PHAYAGXQZXXCKP-CABZTGNLSA-N 0 3 225.719 2.607 20 0 BFADHN C[C@H]1C[C@@H](NCc2cccc(F)c2)CS1 ZINC000086934685 384720870 /nfs/dbraw/zinc/72/08/70/384720870.db2.gz TVDXSKQYASGTMA-JOYOIKCWSA-N 0 3 225.332 2.809 20 0 BFADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1ccncc1 ZINC000086934416 384721205 /nfs/dbraw/zinc/72/12/05/384721205.db2.gz UGFRANYXGISMNT-JFGNBEQYSA-N 0 3 222.357 2.626 20 0 BFADHN Cc1ccncc1[C@H](C)NC1CC(F)(F)C1 ZINC000277123749 384721680 /nfs/dbraw/zinc/72/16/80/384721680.db2.gz NUESMLPRNIEWMY-VIFPVBQESA-N 0 3 226.270 2.838 20 0 BFADHN Clc1cnccc1CNC1CCCC1 ZINC000083346414 384774501 /nfs/dbraw/zinc/77/45/01/384774501.db2.gz BWDIMAWIUUCFQK-UHFFFAOYSA-N 0 3 210.708 2.767 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2NCC1=CCCOC1 ZINC000126441736 384778869 /nfs/dbraw/zinc/77/88/69/384778869.db2.gz VYJNCFXMQFVVPO-ZDUSSCGKSA-N 0 3 233.311 2.593 20 0 BFADHN c1cn2c(n1)[C@@H](NC1CCCCCC1)CC2 ZINC000335953026 384734701 /nfs/dbraw/zinc/73/47/01/384734701.db2.gz ODKUZHVDNMEVEI-LBPRGKRZSA-N 0 3 219.332 2.640 20 0 BFADHN C[C@@H](N[C@H]1CCn2ccnc21)C1CCCCC1 ZINC000335953913 384735297 /nfs/dbraw/zinc/73/52/97/384735297.db2.gz HRXYSUQIXHNATQ-YPMHNXCESA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H](O)CCN[C@H](C)c1ccccc1Cl ZINC000082993134 384735652 /nfs/dbraw/zinc/73/56/52/384735652.db2.gz GDXOJKNKDADUND-NXEZZACHSA-N 0 3 227.735 2.762 20 0 BFADHN Cc1noc(C)c1CN1CCCC1(C)C ZINC000102930722 384736937 /nfs/dbraw/zinc/73/69/37/384736937.db2.gz CCLNGRUJZQJMOX-UHFFFAOYSA-N 0 3 208.305 2.666 20 0 BFADHN CC[C@H]1CCN(Cc2cn3c(cccc3C)n2)C1 ZINC000125671391 384738307 /nfs/dbraw/zinc/73/83/07/384738307.db2.gz FITHBTUXEWXNMO-ZDUSSCGKSA-N 0 3 243.354 2.875 20 0 BFADHN C[C@@H](Cc1cccs1)N[C@H]1CCn2ccnc21 ZINC000294296859 384738487 /nfs/dbraw/zinc/73/84/87/384738487.db2.gz ZMIKJRNEBCDSGF-JQWIXIFHSA-N 0 3 247.367 2.610 20 0 BFADHN C[C@@H](NCc1cscn1)[C@@H]1CC1(C)C ZINC000336663896 384738889 /nfs/dbraw/zinc/73/88/89/384738889.db2.gz UJWMBYSETUDWMY-SCZZXKLOSA-N 0 3 210.346 2.667 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C)c1cc2n(n1)CCCC2 ZINC000647284782 384738940 /nfs/dbraw/zinc/73/89/40/384738940.db2.gz GJMNLRSFUJDQMC-WOPDTQHZSA-N 0 3 233.359 2.526 20 0 BFADHN C[C@H](NCCCOCC1CC1)c1nccs1 ZINC000083142527 384745830 /nfs/dbraw/zinc/74/58/30/384745830.db2.gz GKOMYHMTSOBZIX-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN CN(C[C@@H](O)c1cc2ccccc2o1)C1CCC1 ZINC000144110059 384750196 /nfs/dbraw/zinc/75/01/96/384750196.db2.gz YUDXEAJUCBPQSO-CYBMUJFWSA-N 0 3 245.322 2.951 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cn2ccccc2n1 ZINC000185647955 384750394 /nfs/dbraw/zinc/75/03/94/384750394.db2.gz JEBJWVXRWUPORF-JSGCOSHPSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cn2ccccc2n1 ZINC000185647921 384750659 /nfs/dbraw/zinc/75/06/59/384750659.db2.gz JEBJWVXRWUPORF-OCCSQVGLSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cscn1 ZINC000185648199 384750987 /nfs/dbraw/zinc/75/09/87/384750987.db2.gz FQHOFKGEJPFSGE-ONGXEEELSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@@H](CN[C@H](C)c1cc2n(n1)CCCC2)C1CC1 ZINC000647285557 384751594 /nfs/dbraw/zinc/75/15/94/384751594.db2.gz HUTVKDUOTWAVRH-NWDGAFQWSA-N 0 3 247.386 2.916 20 0 BFADHN CC(C)N(Cc1ccccn1)C[C@@H]1CC[C@H](C)O1 ZINC000530549462 384752018 /nfs/dbraw/zinc/75/20/18/384752018.db2.gz BUZPHMLLBSJIHW-ZFWWWQNUSA-N 0 3 248.370 2.860 20 0 BFADHN C/C=C\C[C@H](CO)NCc1ccccc1Cl ZINC000309972897 384752076 /nfs/dbraw/zinc/75/20/76/384752076.db2.gz QLYJFZQCKYPORG-ZZKXABKFSA-N 0 3 239.746 2.757 20 0 BFADHN CCC[C@H](C)CN1CCN([C@@H](C)CC)CC1 ZINC000449627772 384756003 /nfs/dbraw/zinc/75/60/03/384756003.db2.gz HGUVDVPMMIWLEA-KBPBESRZSA-N 0 3 226.408 2.839 20 0 BFADHN C[C@H](NCC1CC(F)(F)C1)c1ccco1 ZINC000293751117 384756482 /nfs/dbraw/zinc/75/64/82/384756482.db2.gz RIVHCJDZTCLEOJ-QMMMGPOBSA-N 0 3 215.243 2.976 20 0 BFADHN CCc1ccc(NC(=O)[C@@H]2C[C@H](C)CCN2)cc1 ZINC000126013609 384762796 /nfs/dbraw/zinc/76/27/96/384762796.db2.gz VDBHGKGOLJMSGE-RISCZKNCSA-N 0 3 246.354 2.576 20 0 BFADHN Fc1ccc(/C=C/CNC[C@H]2CCCO2)cc1 ZINC000083362311 384766358 /nfs/dbraw/zinc/76/63/58/384766358.db2.gz ANVSAHACIMWKDD-XYHHVPKHSA-N 0 3 235.302 2.608 20 0 BFADHN Fc1ccc(F)c2c1CC[C@@H]2NCC1CC1 ZINC000126049550 384766746 /nfs/dbraw/zinc/76/67/46/384766746.db2.gz FHJZUJAQSAKKRB-LBPRGKRZSA-N 0 3 223.266 2.952 20 0 BFADHN CN(C)Cc1ccc(C(=O)NCC(C)(C)C)cc1 ZINC000075910125 384766755 /nfs/dbraw/zinc/76/67/55/384766755.db2.gz ZTZZYUKMABUXOE-UHFFFAOYSA-N 0 3 248.370 2.524 20 0 BFADHN Cc1cnc([C@@H](C)NCC[C@H]2CCCO2)s1 ZINC000126081144 384769003 /nfs/dbraw/zinc/76/90/03/384769003.db2.gz GZJXTLLRPUWIKE-GHMZBOCLSA-N 0 3 240.372 2.671 20 0 BFADHN Cc1ccc(CN[C@@H]2CCOC(C)(C)C2)nc1C ZINC000314032857 384769132 /nfs/dbraw/zinc/76/91/32/384769132.db2.gz LIXQXNADFJSJQO-CYBMUJFWSA-N 0 3 248.370 2.746 20 0 BFADHN C[C@@H]1C[C@@H](C)[C@@H](C)N(Cc2ccno2)C1 ZINC000530552884 384769254 /nfs/dbraw/zinc/76/92/54/384769254.db2.gz RFWMWPOIDYDDNO-GMTAPVOTSA-N 0 3 208.305 2.541 20 0 BFADHN CC(C)n1cc(CNC2(C3(C)CC3)CC2)cn1 ZINC000398138580 384816638 /nfs/dbraw/zinc/81/66/38/384816638.db2.gz OVSPCBYRQMBRMG-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H](NCCCF)c1ccc(F)c(F)c1 ZINC000126929770 384821174 /nfs/dbraw/zinc/82/11/74/384821174.db2.gz QJZSBPAVVKKSRW-QMMMGPOBSA-N 0 3 217.234 2.975 20 0 BFADHN CCN(C)CCN[C@@H](C)c1ccc(F)cc1F ZINC000054109815 384821419 /nfs/dbraw/zinc/82/14/19/384821419.db2.gz PDNCBGGMRKFBHW-JTQLQIEISA-N 0 3 242.313 2.567 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1ccc(C)c(C)n1 ZINC000314136029 384821892 /nfs/dbraw/zinc/82/18/92/384821892.db2.gz IYPKKYKAKIYORP-GFCCVEGCSA-N 0 3 236.359 2.602 20 0 BFADHN CCN(C)CCNCc1ccc(F)cc1Cl ZINC000054112414 384823249 /nfs/dbraw/zinc/82/32/49/384823249.db2.gz RWWMJKQFNYVUPO-UHFFFAOYSA-N 0 3 244.741 2.520 20 0 BFADHN CC(C)CCCN(C)[C@@H](C)C(=O)NC(C)(C)C ZINC000426475968 384826396 /nfs/dbraw/zinc/82/63/96/384826396.db2.gz OBYZROFTXDBVBV-LBPRGKRZSA-N 0 3 242.407 2.658 20 0 BFADHN COC(=O)[C@@H]1CCCCN1CC1CCCCC1 ZINC000105980342 384827269 /nfs/dbraw/zinc/82/72/69/384827269.db2.gz YRKQRSVUOCBLHL-ZDUSSCGKSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1ccc(CN[C@H]2CSC[C@H]2C)nc1C ZINC000314079343 384781973 /nfs/dbraw/zinc/78/19/73/384781973.db2.gz WIAPJXHQTFAQKC-MFKMUULPSA-N 0 3 236.384 2.540 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CSc3ccccc32)CCO1 ZINC000300414692 384783238 /nfs/dbraw/zinc/78/32/38/384783238.db2.gz OOFXYOCBIYEGIU-WZRBSPASSA-N 0 3 249.379 2.991 20 0 BFADHN CC[C@H](CN[C@@H](C)c1cc(C)c(C)o1)OC ZINC000310021020 384786961 /nfs/dbraw/zinc/78/69/61/384786961.db2.gz NHCSXNPWSJGTLZ-CMPLNLGQSA-N 0 3 225.332 2.972 20 0 BFADHN CCC[C@H](O)CNCc1c(C)oc2ccccc21 ZINC000155916230 384788342 /nfs/dbraw/zinc/78/83/42/384788342.db2.gz HVEAOZGDYOVHOH-LBPRGKRZSA-N 0 3 247.338 2.992 20 0 BFADHN CCC1(NCc2ccc(Cl)o2)CCOCC1 ZINC000310024279 384790991 /nfs/dbraw/zinc/79/09/91/384790991.db2.gz OPFSZGPHPKRGAK-UHFFFAOYSA-N 0 3 243.734 2.982 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2n[nH]cc2C)C1 ZINC000310014227 384794645 /nfs/dbraw/zinc/79/46/45/384794645.db2.gz KDQHYUIVYUFGLB-NEPJUHHUSA-N 0 3 221.348 2.777 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1cc(C)c(C)o1 ZINC000310029618 384795497 /nfs/dbraw/zinc/79/54/97/384795497.db2.gz QSFDHUMEADDFSH-CMPLNLGQSA-N 0 3 225.332 2.708 20 0 BFADHN CC[C@H](N[C@H]1C[C@@H](O)C1(C)C)c1ccsc1 ZINC000294385399 384795896 /nfs/dbraw/zinc/79/58/96/384795896.db2.gz JCLQBJLTCKQLHY-SDDRHHMPSA-N 0 3 239.384 2.948 20 0 BFADHN C[C@@H](CNCc1ccco1)Oc1cccc(F)c1 ZINC000053567130 384797280 /nfs/dbraw/zinc/79/72/80/384797280.db2.gz DWUYKKHZVMHENX-NSHDSACASA-N 0 3 249.285 2.976 20 0 BFADHN CN(CCC1CCCCC1)Cc1cnn(C)c1 ZINC000101565576 384797421 /nfs/dbraw/zinc/79/74/21/384797421.db2.gz REBOFMURFDNIAN-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN C[C@H](CNCc1ccco1)Oc1ccccc1 ZINC000053566822 384797593 /nfs/dbraw/zinc/79/75/93/384797593.db2.gz LBBMXTPASBKGEU-GFCCVEGCSA-N 0 3 231.295 2.837 20 0 BFADHN C[C@H](CNCc1ccco1)Oc1ccc(F)cc1 ZINC000053566776 384798345 /nfs/dbraw/zinc/79/83/45/384798345.db2.gz OSCCJDVGKFSEAQ-LLVKDONJSA-N 0 3 249.285 2.976 20 0 BFADHN C[C@@H](NCc1nccs1)[C@H]1CC1(C)C ZINC000308551493 384799763 /nfs/dbraw/zinc/79/97/63/384799763.db2.gz XQKNREJJWXRTLH-RKDXNWHRSA-N 0 3 210.346 2.667 20 0 BFADHN CCC[C@H](N)c1cn(C[C@@H]2CC[C@H]3C[C@H]3C2)nn1 ZINC000403519138 384801331 /nfs/dbraw/zinc/80/13/31/384801331.db2.gz KLBAKXSXWPQURQ-XQHKEYJVSA-N 0 3 248.374 2.514 20 0 BFADHN C[C@@H]1CC[C@@H](CNC/C=C/c2ccccc2)O1 ZINC000398092512 384801594 /nfs/dbraw/zinc/80/15/94/384801594.db2.gz YLSSOLLRYCPAOJ-JGOVJGDKSA-N 0 3 231.339 2.857 20 0 BFADHN CCC[C@H]1CN([C@H](C)CC(C)C)CCO1 ZINC000557082385 384803314 /nfs/dbraw/zinc/80/33/14/384803314.db2.gz BDVLZIBFBBMFLR-OLZOCXBDSA-N 0 3 213.365 2.922 20 0 BFADHN Cc1ccc(CNC[C@@](C)(O)c2ccco2)cc1 ZINC000174527490 384803299 /nfs/dbraw/zinc/80/32/99/384803299.db2.gz CMBNFQKJYKZYTR-OAHLLOKOSA-N 0 3 245.322 2.585 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@H](C)C[C@H]2C)o1 ZINC000398110545 384803744 /nfs/dbraw/zinc/80/37/44/384803744.db2.gz RCGNHOLRKOFIFI-OPQQBVKSSA-N 0 3 222.332 2.897 20 0 BFADHN COC[C@H](N[C@@H](C)c1cc(C)oc1C)C1CC1 ZINC000126468547 384804198 /nfs/dbraw/zinc/80/41/98/384804198.db2.gz AWYPSMRXDCOYDH-HZMBPMFUSA-N 0 3 237.343 2.972 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1ccc(CO)o1 ZINC000083863657 384804630 /nfs/dbraw/zinc/80/46/30/384804630.db2.gz PCKXWKRCTCDZSO-MNOVXSKESA-N 0 3 225.332 2.686 20 0 BFADHN CC[C@@H](NCCCCF)c1nccs1 ZINC000308560477 384807159 /nfs/dbraw/zinc/80/71/59/384807159.db2.gz WYVUHDQWMJWDOV-SECBINFHSA-N 0 3 216.325 2.934 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1cn2ccsc2n1 ZINC000310040030 384808565 /nfs/dbraw/zinc/80/85/65/384808565.db2.gz XNWDKWDWNMIUKG-GXSJLCMTSA-N 0 3 235.356 2.674 20 0 BFADHN C[C@H](CCC1CC1)N[C@H](C)c1ccncn1 ZINC000398135362 384814316 /nfs/dbraw/zinc/81/43/16/384814316.db2.gz XPHRTTYKSBRJHV-GHMZBOCLSA-N 0 3 219.332 2.706 20 0 BFADHN CSCCN1CCCC[C@@H]1c1cccn1C ZINC000175305637 384889782 /nfs/dbraw/zinc/88/97/82/384889782.db2.gz XECQBYNEUYLGJH-CYBMUJFWSA-N 0 3 238.400 2.915 20 0 BFADHN CCCc1cccc(CN2CCC[C@@H](O)C2)c1 ZINC000186781204 384880853 /nfs/dbraw/zinc/88/08/53/384880853.db2.gz DDIMUGWNSKGMMO-OAHLLOKOSA-N 0 3 233.355 2.596 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2CCCC[C@H]2O)o1 ZINC000186787745 384881231 /nfs/dbraw/zinc/88/12/31/384881231.db2.gz XXFAXQRFNAHDHZ-CYZMBNFOSA-N 0 3 237.343 2.796 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1N[C@@H]1CCCc2c[nH]nc21 ZINC000552276295 384881352 /nfs/dbraw/zinc/88/13/52/384881352.db2.gz RSINDAHTKHSCSX-YNEHKIRRSA-N 0 3 233.359 2.811 20 0 BFADHN Clc1ccc(CNCCOCC2CC2)cc1 ZINC000163942361 384884977 /nfs/dbraw/zinc/88/49/77/384884977.db2.gz RUJZSXWFIQRZJY-UHFFFAOYSA-N 0 3 239.746 2.856 20 0 BFADHN CN(CC1(CO)CC1)[C@H]1CCc2ccc(F)cc21 ZINC000186811297 384885697 /nfs/dbraw/zinc/88/56/97/384885697.db2.gz VZEXGARCCVYHFO-AWEZNQCLSA-N 0 3 249.329 2.517 20 0 BFADHN CC[C@@H](C)CN[C@@H](C)C(=O)Nc1ccccc1 ZINC000054471760 384839872 /nfs/dbraw/zinc/83/98/72/384839872.db2.gz KFDMYDYKXAUKRJ-NEPJUHHUSA-N 0 3 234.343 2.649 20 0 BFADHN COc1cc(C)nc(CN[C@@H]2CCC2(C)C)c1 ZINC000310100807 384842002 /nfs/dbraw/zinc/84/20/02/384842002.db2.gz XPESGVDNDCKESA-CYBMUJFWSA-N 0 3 234.343 2.677 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@H](C)CC2)nn1 ZINC000335181571 384844168 /nfs/dbraw/zinc/84/41/68/384844168.db2.gz YVSSVTPERRQDQJ-WCQYABFASA-N 0 3 233.359 2.843 20 0 BFADHN C[C@@H](NC1CC=CC1)c1cc2n(n1)CCCC2 ZINC000647291605 384845473 /nfs/dbraw/zinc/84/54/73/384845473.db2.gz SWPQWEVKFICHRK-LLVKDONJSA-N 0 3 231.343 2.589 20 0 BFADHN C[C@H](N[C@@H](C)C(C)(C)O)c1ccncc1Cl ZINC000643347632 384848080 /nfs/dbraw/zinc/84/80/80/384848080.db2.gz WMGWZYGIMKJYTL-IUCAKERBSA-N 0 3 242.750 2.545 20 0 BFADHN CCC[C@@H](C)N1CCN(c2cccc(O)c2)CC1 ZINC000186503591 384848149 /nfs/dbraw/zinc/84/81/49/384848149.db2.gz UVPULFDTHLTVIN-CYBMUJFWSA-N 0 3 248.370 2.703 20 0 BFADHN C[C@@H](NCCCn1cccn1)c1ccccc1F ZINC000126967420 384849490 /nfs/dbraw/zinc/84/94/90/384849490.db2.gz RWMGBHNPPRQCGV-GFCCVEGCSA-N 0 3 247.317 2.763 20 0 BFADHN C[C@H]1CCC[C@@H](N[C@@H](CCO)c2ccco2)C1 ZINC000186523062 384850400 /nfs/dbraw/zinc/85/04/00/384850400.db2.gz MLZZYLKCQJLZSM-XQQFMLRXSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H](NCc1ccccc1Cl)[C@H]1CCOC1 ZINC000127022950 384850741 /nfs/dbraw/zinc/85/07/41/384850741.db2.gz UCGJYCIDVHHWBP-PWSUYJOCSA-N 0 3 239.746 2.855 20 0 BFADHN C[C@H](NCc1cnc[nH]1)c1cccc(Cl)c1 ZINC000054763524 384852084 /nfs/dbraw/zinc/85/20/84/384852084.db2.gz ACGOZXMHWMNHBG-VIFPVBQESA-N 0 3 235.718 2.914 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]2C[C@H]2C1)c1nccs1 ZINC000403695092 384852938 /nfs/dbraw/zinc/85/29/38/384852938.db2.gz SFXOUMQBNAMYLG-XWLWVQCSSA-N 0 3 222.357 2.982 20 0 BFADHN CCCCN[C@H](COC)c1ccc(F)cc1 ZINC000336672846 384856090 /nfs/dbraw/zinc/85/60/90/384856090.db2.gz AVGFSOLKLOPUJL-CYBMUJFWSA-N 0 3 225.307 2.903 20 0 BFADHN Cn1cccc1CN1CCC[C@@H]1c1cccn1C ZINC000175007165 384856393 /nfs/dbraw/zinc/85/63/93/384856393.db2.gz DWXBTFYSYRZNCD-OAHLLOKOSA-N 0 3 243.354 2.701 20 0 BFADHN Cc1ccc(CN2CCCC3(CC3)C2)o1 ZINC000186612531 384856682 /nfs/dbraw/zinc/85/66/82/384856682.db2.gz NVJORTCDKHGARK-UHFFFAOYSA-N 0 3 205.301 2.964 20 0 BFADHN CC(C)(C)NCc1cnc(C(C)(C)C)nc1 ZINC000054856397 384856861 /nfs/dbraw/zinc/85/68/61/384856861.db2.gz QKEGNNBVFBMLHU-UHFFFAOYSA-N 0 3 221.348 2.662 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](F)C1)c1nccs1 ZINC000306291364 384857911 /nfs/dbraw/zinc/85/79/11/384857911.db2.gz QXKMSMUVEWCCII-XHNCKOQMSA-N 0 3 214.309 2.684 20 0 BFADHN CSC[C@@H](C)N[C@@H](C)c1cccc(O)c1 ZINC000127333047 384859611 /nfs/dbraw/zinc/85/96/11/384859611.db2.gz HYEZWCXIODWVGP-ZJUUUORDSA-N 0 3 225.357 2.794 20 0 BFADHN CSC[C@@H](C)N[C@H]1CCCc2occc21 ZINC000127403917 384862400 /nfs/dbraw/zinc/86/24/00/384862400.db2.gz ULWUDQOWUYWIQL-KOLCDFICSA-N 0 3 225.357 2.998 20 0 BFADHN COc1cc(CN(C)[C@@H](C)C(C)(C)C)ccn1 ZINC000536475595 384863870 /nfs/dbraw/zinc/86/38/70/384863870.db2.gz KLWPMEIUCCISSA-NSHDSACASA-N 0 3 236.359 2.957 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1ccc(C)nc1)OC ZINC000362977844 384866664 /nfs/dbraw/zinc/86/66/64/384866664.db2.gz VDHNTVGJZNAIOQ-RISCZKNCSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@H](NCCCNc1ccccn1)c1ccco1 ZINC000163837661 384867044 /nfs/dbraw/zinc/86/70/44/384867044.db2.gz YOFPFLAUUFFWAK-LBPRGKRZSA-N 0 3 245.326 2.827 20 0 BFADHN CC[C@H](N[C@H]1CCC1(C)C)c1nccn1C ZINC000309840346 384867493 /nfs/dbraw/zinc/86/74/93/384867493.db2.gz CVVOVRBBALLMEJ-QWRGUYRKSA-N 0 3 221.348 2.649 20 0 BFADHN c1ncc(CN[C@H]2CC23CCCC3)s1 ZINC000306300641 384868029 /nfs/dbraw/zinc/86/80/29/384868029.db2.gz FZIVITUFRQJYNW-JTQLQIEISA-N 0 3 208.330 2.565 20 0 BFADHN CC(C)CC[C@H](O)CN1CC(C)(CC(F)F)C1 ZINC000647614417 384961096 /nfs/dbraw/zinc/96/10/96/384961096.db2.gz XREQEFHXQGGATA-NSHDSACASA-N 0 3 249.345 2.761 20 0 BFADHN C[C@@H](NCCC(C)(F)F)c1ccncc1 ZINC000294079672 384892390 /nfs/dbraw/zinc/89/23/90/384892390.db2.gz AAUYSCVURRWVOM-SECBINFHSA-N 0 3 214.259 2.778 20 0 BFADHN Clc1cccnc1CN1CC[C@@H](C2CC2)C1 ZINC000528434729 384895035 /nfs/dbraw/zinc/89/50/35/384895035.db2.gz XPFLBFVVOUDKAB-LLVKDONJSA-N 0 3 236.746 2.967 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1O)c1cc2ccccc2o1 ZINC000181064558 384897398 /nfs/dbraw/zinc/89/73/98/384897398.db2.gz JHTBOYXGYLTJML-DRZSPHRISA-N 0 3 245.322 2.997 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1ccncc1)OC ZINC000293737270 384898408 /nfs/dbraw/zinc/89/84/08/384898408.db2.gz SAPMMEKFLRAOSY-WCQYABFASA-N 0 3 222.332 2.547 20 0 BFADHN CCC[C@H](CN[C@H](C)c1ccncc1)OC ZINC000293737268 384898840 /nfs/dbraw/zinc/89/88/40/384898840.db2.gz SAPMMEKFLRAOSY-DGCLKSJQSA-N 0 3 222.332 2.547 20 0 BFADHN c1coc(CNC[C@@H]2CCOc3ccccc32)c1 ZINC000107225114 384958332 /nfs/dbraw/zinc/95/83/32/384958332.db2.gz UPMDZQXYHGIRHF-LBPRGKRZSA-N 0 3 243.306 2.936 20 0 BFADHN Fc1cccc(C2(NCC3=CCCOC3)CC2)c1 ZINC000127467157 384901045 /nfs/dbraw/zinc/90/10/45/384901045.db2.gz FGJOECLZQBRIMU-UHFFFAOYSA-N 0 3 247.313 2.751 20 0 BFADHN CO[C@H](CN[C@@H]1CCc2cc(F)ccc21)C1CC1 ZINC000293737897 384902425 /nfs/dbraw/zinc/90/24/25/384902425.db2.gz OZXXJGUJNKNMRQ-HUUCEWRRSA-N 0 3 249.329 2.828 20 0 BFADHN CC[C@@H](F)CN1CCC2(CCC(O)CC2)CC1 ZINC000336268924 384909026 /nfs/dbraw/zinc/90/90/26/384909026.db2.gz XUKZLIRAVFWYDZ-GFCCVEGCSA-N 0 3 243.366 2.752 20 0 BFADHN CC[C@H](O)CN(C)[C@@H](C)c1ccc(F)cc1 ZINC000106381069 384913097 /nfs/dbraw/zinc/91/30/97/384913097.db2.gz LRUOCMJLPXXUAE-GWCFXTLKSA-N 0 3 225.307 2.589 20 0 BFADHN CCCC[C@](C)(CO)NCc1ccc(C)o1 ZINC000647240032 384913873 /nfs/dbraw/zinc/91/38/73/384913873.db2.gz YFROKAKQUDJVIB-CYBMUJFWSA-N 0 3 225.332 2.619 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2ccc(CO)o2)C1 ZINC000085063610 384914337 /nfs/dbraw/zinc/91/43/37/384914337.db2.gz QTXVUCUARSKHRW-RYUDHWBXSA-N 0 3 237.343 2.830 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2ccc(CO)o2)C1 ZINC000085063615 384916444 /nfs/dbraw/zinc/91/64/44/384916444.db2.gz QTXVUCUARSKHRW-NWDGAFQWSA-N 0 3 237.343 2.830 20 0 BFADHN COC[C@H](C)N(C)Cc1sccc1C ZINC000175492603 384917036 /nfs/dbraw/zinc/91/70/36/384917036.db2.gz PLTWEQOZJMGJGG-JTQLQIEISA-N 0 3 213.346 2.523 20 0 BFADHN CCc1cccc(NC(=O)[C@@H](C(C)C)N(C)C)c1 ZINC000106408153 384917342 /nfs/dbraw/zinc/91/73/42/384917342.db2.gz ZCBFQKZNMIBVQU-CQSZACIVSA-N 0 3 248.370 2.774 20 0 BFADHN C[C@@H](CCO)CNCc1ccc(F)cc1Cl ZINC000085130314 384921799 /nfs/dbraw/zinc/92/17/99/384921799.db2.gz BHHDOONUKOQDAT-VIFPVBQESA-N 0 3 245.725 2.587 20 0 BFADHN C[C@]1(F)CCCN(CC[C@H]2CCCCO2)C1 ZINC000336275670 384921932 /nfs/dbraw/zinc/92/19/32/384921932.db2.gz XPGGXFVJDIMRNS-OLZOCXBDSA-N 0 3 229.339 2.770 20 0 BFADHN CCN(CC)Cc1ccnn1-c1ccccc1 ZINC000448212747 384923878 /nfs/dbraw/zinc/92/38/78/384923878.db2.gz JRBMJSRUWSNOBL-UHFFFAOYSA-N 0 3 229.327 2.714 20 0 BFADHN Cc1noc(C)c1CN(C)[C@@H](C)C1(C)CC1 ZINC000177228267 384924715 /nfs/dbraw/zinc/92/47/15/384924715.db2.gz GOFXPJMGNNTXIR-NSHDSACASA-N 0 3 222.332 2.912 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1ccc(C(F)F)cc1 ZINC000149370636 384928319 /nfs/dbraw/zinc/92/83/19/384928319.db2.gz UZEGSPJFOGZACX-CABZTGNLSA-N 0 3 241.281 2.891 20 0 BFADHN CC(C)OC(=O)[C@@H]1CCCN1CC1CCCC1 ZINC000125403113 384930594 /nfs/dbraw/zinc/93/05/94/384930594.db2.gz ROSIYBFRLJLXBO-ZDUSSCGKSA-N 0 3 239.359 2.593 20 0 BFADHN CCc1ccc([C@H](COC)NCC(C)C)o1 ZINC000127695682 384933097 /nfs/dbraw/zinc/93/30/97/384933097.db2.gz XJUIBKGHFBFDCE-LBPRGKRZSA-N 0 3 225.332 2.775 20 0 BFADHN CCC1CCN(Cc2cc(OC)ccn2)CC1 ZINC000106859989 384935116 /nfs/dbraw/zinc/93/51/16/384935116.db2.gz FIZKPVDYQKMSNA-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCc1ccc(CNC[C@H](C)SC)o1 ZINC000128114082 384935806 /nfs/dbraw/zinc/93/58/06/384935806.db2.gz COYZRHLFRBYBIC-VIFPVBQESA-N 0 3 213.346 2.683 20 0 BFADHN CSC(C)(C)CNCc1occc1C ZINC000128209124 384938874 /nfs/dbraw/zinc/93/88/74/384938874.db2.gz PFWGNQZAHIRPJX-UHFFFAOYSA-N 0 3 213.346 2.819 20 0 BFADHN Cc1ccnc([C@H](C)NCCC[C@@H]2CCOC2)c1 ZINC000449687332 384942252 /nfs/dbraw/zinc/94/22/52/384942252.db2.gz BUXGUUHNNDRUPW-UONOGXRCSA-N 0 3 248.370 2.857 20 0 BFADHN CC[C@H](NCc1ccccc1F)[C@@H]1CCCO1 ZINC000119681619 384942943 /nfs/dbraw/zinc/94/29/43/384942943.db2.gz ISPUEZXJXXONPQ-KBPBESRZSA-N 0 3 237.318 2.873 20 0 BFADHN C[C@H](N[C@@H]1CCOC1)c1csc(Cl)c1 ZINC000306458446 384966973 /nfs/dbraw/zinc/96/69/73/384966973.db2.gz ZDPKKIOFCPLVCT-IONNQARKSA-N 0 3 231.748 2.841 20 0 BFADHN c1cc(CN[C@@H]2CCO[C@H]2c2ccccc2)c[nH]1 ZINC000175715509 384967566 /nfs/dbraw/zinc/96/75/66/384967566.db2.gz WEMMLDHEEYWOCT-CABCVRRESA-N 0 3 242.322 2.635 20 0 BFADHN CCN(CCO)Cc1cc2ccccc2s1 ZINC000127954750 384969443 /nfs/dbraw/zinc/96/94/43/384969443.db2.gz JXARSEDZIQDBPS-UHFFFAOYSA-N 0 3 235.352 2.716 20 0 BFADHN C[C@H](O)CCN[C@@H](C)c1cc2ccccc2o1 ZINC000085983440 384975953 /nfs/dbraw/zinc/97/59/53/384975953.db2.gz VODUXMMKGYIFFI-QWRGUYRKSA-N 0 3 233.311 2.854 20 0 BFADHN CCOc1ccccc1CNC[C@@H](C)SC ZINC000127978296 384976416 /nfs/dbraw/zinc/97/64/16/384976416.db2.gz HPIFQNXNJGZHDE-LLVKDONJSA-N 0 3 239.384 2.926 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@H](C)CC(C)C)n1 ZINC000085972058 384976691 /nfs/dbraw/zinc/97/66/91/384976691.db2.gz IRFBUNOJYSMEAR-NSHDSACASA-N 0 3 222.332 2.620 20 0 BFADHN C[C@H](N[C@H]1COCC1(C)C)c1ccsc1 ZINC000312986758 385021734 /nfs/dbraw/zinc/02/17/34/385021734.db2.gz UHJYVJZKODWCIM-ONGXEEELSA-N 0 3 225.357 2.824 20 0 BFADHN C[C@@H](NC1CCCC1)c1nnc2n1CCCCC2 ZINC000037140646 385021871 /nfs/dbraw/zinc/02/18/71/385021871.db2.gz GTFVTARZWVQGQX-LLVKDONJSA-N 0 3 248.374 2.598 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2COCC2(C)C)o1 ZINC000312976890 384977851 /nfs/dbraw/zinc/97/78/51/384977851.db2.gz DJRIFKZEOVTJRA-ZYHUDNBSSA-N 0 3 223.316 2.664 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2COCC2(C)C)o1 ZINC000312976884 384979184 /nfs/dbraw/zinc/97/91/84/384979184.db2.gz DJRIFKZEOVTJRA-CMPLNLGQSA-N 0 3 223.316 2.664 20 0 BFADHN Cc1cc(Cl)cc(CN[C@@H]2CCCOC2)c1 ZINC000339243013 384979837 /nfs/dbraw/zinc/97/98/37/384979837.db2.gz TYNSXTKCADIGBZ-CYBMUJFWSA-N 0 3 239.746 2.917 20 0 BFADHN COC1(CNCc2cc(C)ns2)CCCC1 ZINC000404418746 384981091 /nfs/dbraw/zinc/98/10/91/384981091.db2.gz GVVWTSGFULPLBE-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN Cc1ccoc1CN[C@@H]1CS[C@@H](C)C1 ZINC000306464216 384983689 /nfs/dbraw/zinc/98/36/89/384983689.db2.gz JBCHZBHKJDKQCE-UWVGGRQHSA-N 0 3 211.330 2.572 20 0 BFADHN C[C@@H]1CCc2c(F)cccc2[C@H]1N[C@@H]1CCOC1 ZINC000643911040 384984148 /nfs/dbraw/zinc/98/41/48/384984148.db2.gz OSZZPUQRTQFETH-HFAKWTLXSA-N 0 3 249.329 2.828 20 0 BFADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1N[C@@H]1CCOC1 ZINC000643911042 384985026 /nfs/dbraw/zinc/98/50/26/384985026.db2.gz OSZZPUQRTQFETH-UEKVPHQBSA-N 0 3 249.329 2.828 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)C[C@@H]1CCCO1 ZINC000128074332 384990909 /nfs/dbraw/zinc/99/09/09/384990909.db2.gz BNQPLLMTVWDOIN-OMDKTOEGSA-N 0 3 249.329 2.950 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1ccon1 ZINC000088052578 384992315 /nfs/dbraw/zinc/99/23/15/384992315.db2.gz DLPXTQACFXKGNI-JQWIXIFHSA-N 0 3 208.305 2.733 20 0 BFADHN Cc1ccc(CN(C)CCC2CCOCC2)nc1 ZINC000156851811 384993672 /nfs/dbraw/zinc/99/36/72/384993672.db2.gz VSQQGNGDIWPFRL-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN CCC(CC)[C@H](NC(=O)[C@H](C)N)c1ccccc1 ZINC000037046027 384994785 /nfs/dbraw/zinc/99/47/85/384994785.db2.gz XILHCORTRNKBJH-FZMZJTMJSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1ccc(NC(=O)CN2C[C@H](C)C[C@@H]2C)cc1 ZINC000530624007 384995210 /nfs/dbraw/zinc/99/52/10/384995210.db2.gz IAOQEALHPDBKER-OLZOCXBDSA-N 0 3 246.354 2.664 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCCO2)cc1F ZINC000128113637 384997785 /nfs/dbraw/zinc/99/77/85/384997785.db2.gz KIJKWMHEJQRKAM-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN C[C@H](NCCN1CCCCCC1)c1ccoc1 ZINC000094869144 384999171 /nfs/dbraw/zinc/99/91/71/384999171.db2.gz DLGCRNOXIYFPOZ-ZDUSSCGKSA-N 0 3 236.359 2.806 20 0 BFADHN Cc1ccc(CNCc2cc[nH]c2)c(C)c1 ZINC000086251266 385002164 /nfs/dbraw/zinc/00/21/64/385002164.db2.gz NUMLGUTXKYKFKV-UHFFFAOYSA-N 0 3 214.312 2.921 20 0 BFADHN Cc1cc(CNC2(C3(C)CC3)CC2)sn1 ZINC000404458389 385003457 /nfs/dbraw/zinc/00/34/57/385003457.db2.gz XVXHPRAPEIKKQU-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN Cc1cnc(CN2CC[C@H]3CCC[C@@H]32)s1 ZINC000336308964 385012149 /nfs/dbraw/zinc/01/21/49/385012149.db2.gz SGPLCHDKRCERRB-MNOVXSKESA-N 0 3 222.357 2.826 20 0 BFADHN CO[C@H](CNCc1coc2ccccc12)C1CC1 ZINC000339527304 385013902 /nfs/dbraw/zinc/01/39/02/385013902.db2.gz SEZWBKXQPSPNAD-OAHLLOKOSA-N 0 3 245.322 2.947 20 0 BFADHN CC[C@H](NCc1ccc(Cl)o1)C(C)(C)O ZINC000339538268 385016394 /nfs/dbraw/zinc/01/63/94/385016394.db2.gz JVNIHJSEHQWCII-VIFPVBQESA-N 0 3 231.723 2.572 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1ccc2ccccc2n1 ZINC000188126750 385017482 /nfs/dbraw/zinc/01/74/82/385017482.db2.gz JCYQXTMOUVVITH-VXGBXAGGSA-N 0 3 244.338 2.920 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@H]3CCCC[C@@H]32)nc1 ZINC000360221395 384962638 /nfs/dbraw/zinc/96/26/38/384962638.db2.gz MOXXMMQNEYEADG-MJBXVCDLSA-N 0 3 245.370 2.796 20 0 BFADHN CC[C@H]1CCN(Cc2nc3ccccc3n2C)C1 ZINC000176242120 385047536 /nfs/dbraw/zinc/04/75/36/385047536.db2.gz IVOUYBKYQNQRLF-LBPRGKRZSA-N 0 3 243.354 2.805 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2n[nH]cc2C)C1 ZINC000449725323 385055744 /nfs/dbraw/zinc/05/57/44/385055744.db2.gz VAMDUODYLNFJTL-NWDGAFQWSA-N 0 3 221.348 2.729 20 0 BFADHN CCN(C)C(=O)[C@H](C)N[C@@H](C)c1ccc(C)cc1 ZINC000188478211 385059306 /nfs/dbraw/zinc/05/93/06/385059306.db2.gz ILFJVAAMZNYBHE-STQMWFEESA-N 0 3 248.370 2.512 20 0 BFADHN CC[C@@H](O)CNCc1ccc(C(C)(F)F)cc1 ZINC000644653497 385059500 /nfs/dbraw/zinc/05/95/00/385059500.db2.gz NIECDMYNHORQGU-GFCCVEGCSA-N 0 3 243.297 2.659 20 0 BFADHN C[C@H](F)CCN[C@H]1CCCc2occc21 ZINC000340457346 385062207 /nfs/dbraw/zinc/06/22/07/385062207.db2.gz BLOLLPLDDUFXSH-ONGXEEELSA-N 0 3 211.280 2.995 20 0 BFADHN CC(C)(CNCc1cnccn1)C1=CCCC1 ZINC000308752172 385068500 /nfs/dbraw/zinc/06/85/00/385068500.db2.gz BHZRBDOZADAFCU-UHFFFAOYSA-N 0 3 231.343 2.703 20 0 BFADHN C[C@H]1C[C@H](N[C@H]2CCCc3ccc(F)cc32)CO1 ZINC000647207714 385074872 /nfs/dbraw/zinc/07/48/72/385074872.db2.gz IFHOMFIHRALXKQ-XEGUGMAKSA-N 0 3 249.329 2.970 20 0 BFADHN CC(C)CC[C@H](O)CN[C@@H]1C[C@H]1c1ccco1 ZINC000644673419 385080054 /nfs/dbraw/zinc/08/00/54/385080054.db2.gz RDTXHXDSFQQFHS-YNEHKIRRSA-N 0 3 237.343 2.522 20 0 BFADHN CSC[C@@H](C)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000151029061 385081465 /nfs/dbraw/zinc/08/14/65/385081465.db2.gz VYOHMJCOESMDEJ-MNOVXSKESA-N 0 3 241.404 2.866 20 0 BFADHN CCc1ccc(CN[C@H]2CCCSC2)o1 ZINC000131274987 385082797 /nfs/dbraw/zinc/08/27/97/385082797.db2.gz LVBCTBGWCWUOTC-JTQLQIEISA-N 0 3 225.357 2.827 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCC[C@@H](C)C1 ZINC000037252921 385024930 /nfs/dbraw/zinc/02/49/30/385024930.db2.gz VHYHWVBPWAIUJC-SKDRFNHKSA-N 0 3 222.332 2.960 20 0 BFADHN Cc1c(CN[C@@H](C)c2cccs2)cnn1C ZINC000086551887 385026311 /nfs/dbraw/zinc/02/63/11/385026311.db2.gz MOHZQDBMNRCBHY-VIFPVBQESA-N 0 3 235.356 2.641 20 0 BFADHN Cc1c(CN[C@H](C)c2cccs2)cnn1C ZINC000086551888 385026405 /nfs/dbraw/zinc/02/64/05/385026405.db2.gz MOHZQDBMNRCBHY-SECBINFHSA-N 0 3 235.356 2.641 20 0 BFADHN C(c1noc([C@@H]2C[C@H]3CCCC[C@@H]3N2)n1)C1CC1 ZINC000226542320 385028953 /nfs/dbraw/zinc/02/89/53/385028953.db2.gz YZFRUEIYPQBJLA-WOPDTQHZSA-N 0 3 247.342 2.615 20 0 BFADHN CC(C)Cn1nccc1CN[C@H]1CC12CCCC2 ZINC000647206530 385029271 /nfs/dbraw/zinc/02/92/71/385029271.db2.gz WIMRUSWRBCHRQY-AWEZNQCLSA-N 0 3 247.386 2.961 20 0 BFADHN CCCCCN[C@@H]1C[C@H](C)n2ccnc21 ZINC000293829376 385032477 /nfs/dbraw/zinc/03/24/77/385032477.db2.gz BDLXKUIUNRQZNQ-WDEREUQCSA-N 0 3 207.321 2.669 20 0 BFADHN CC[C@H](C)C[C@H](CO)NCc1ccccc1F ZINC000647243383 385033105 /nfs/dbraw/zinc/03/31/05/385033105.db2.gz JCNHQACSWVKTKX-WCQYABFASA-N 0 3 239.334 2.712 20 0 BFADHN CC[C@@H](CSC)N[C@H](C)c1nccnc1C ZINC000188303374 385034286 /nfs/dbraw/zinc/03/42/86/385034286.db2.gz IKCNDFQFGRHPBZ-MNOVXSKESA-N 0 3 239.388 2.577 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1C)c1nnc2ccccn21 ZINC000086672859 385037172 /nfs/dbraw/zinc/03/71/72/385037172.db2.gz QDTDBVCTPQYCPS-GRYCIOLGSA-N 0 3 244.342 2.569 20 0 BFADHN CCc1ccc(CN[C@H](CO)C[C@H](C)CC)o1 ZINC000647243133 385037089 /nfs/dbraw/zinc/03/70/89/385037089.db2.gz DQEANLGDKFHOTQ-NEPJUHHUSA-N 0 3 239.359 2.729 20 0 BFADHN CC[C@H](C)C[C@@H](CO)NCc1ccc(Cl)o1 ZINC000647243570 385040420 /nfs/dbraw/zinc/04/04/20/385040420.db2.gz LLNLQMYDVKEUDM-UWVGGRQHSA-N 0 3 245.750 2.820 20 0 BFADHN COC[C@@H](NCc1ccc(F)cc1F)C(C)C ZINC000086697160 385040787 /nfs/dbraw/zinc/04/07/87/385040787.db2.gz XFURLPMOTIYEOC-CYBMUJFWSA-N 0 3 243.297 2.725 20 0 BFADHN CCC1(CN[C@@H](C)c2cscn2)CC1 ZINC000130508753 385040937 /nfs/dbraw/zinc/04/09/37/385040937.db2.gz PSKNHHSILNADLP-VIFPVBQESA-N 0 3 210.346 2.984 20 0 BFADHN CO[C@H]1CCCC[C@H]1NCc1occc1C ZINC000164844544 385044039 /nfs/dbraw/zinc/04/40/39/385044039.db2.gz MGAGEIQDZKJCMR-NEPJUHHUSA-N 0 3 223.316 2.635 20 0 BFADHN C[C@H](NC[C@H]1CC1(C)C)c1ccncc1F ZINC000340296924 385046896 /nfs/dbraw/zinc/04/68/96/385046896.db2.gz QBOBYNNOBSXYDN-VHSXEESVSA-N 0 3 222.307 2.917 20 0 BFADHN Cc1nc(CN2CC[C@@H](C)[C@@H]2C)sc1C ZINC000176388846 385108856 /nfs/dbraw/zinc/10/88/56/385108856.db2.gz LEHAQMBKLRLOPY-SCZZXKLOSA-N 0 3 224.373 2.990 20 0 BFADHN Cc1nn(C(C)(C)C)c(C)c1CN[C@@H]1CC12CC2 ZINC000424181033 385109048 /nfs/dbraw/zinc/10/90/48/385109048.db2.gz AKZQVTRBEYMPPH-CYBMUJFWSA-N 0 3 247.386 2.897 20 0 BFADHN C[C@@H](NCCc1ccccn1)c1cncc(F)c1 ZINC000151433498 385110143 /nfs/dbraw/zinc/11/01/43/385110143.db2.gz HFOQGHSJKMPOMB-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN COc1ccc(CN[C@H]2CC23CC3)c(Cl)c1 ZINC000424182127 385110164 /nfs/dbraw/zinc/11/01/64/385110164.db2.gz GFHRXTUBPIBRBU-LBPRGKRZSA-N 0 3 237.730 2.991 20 0 BFADHN COCc1ccc(CNC[C@@H]2CCC[C@@H]2C)o1 ZINC000341470942 385110599 /nfs/dbraw/zinc/11/05/99/385110599.db2.gz CCZORJJTSGHHGD-RYUDHWBXSA-N 0 3 237.343 2.952 20 0 BFADHN CCC1(CNCc2ccc(COC)o2)CC1 ZINC000129114306 385111252 /nfs/dbraw/zinc/11/12/52/385111252.db2.gz JEHOWPHQFNARSK-UHFFFAOYSA-N 0 3 223.316 2.706 20 0 BFADHN CCOc1cc(CN[C@@H]2CC23CC3)ccc1OC ZINC000424185171 385112045 /nfs/dbraw/zinc/11/20/45/385112045.db2.gz OLFOKCHTLDXTHZ-CQSZACIVSA-N 0 3 247.338 2.736 20 0 BFADHN CCOc1ccc(CN[C@H]2CC23CC3)cc1 ZINC000424182778 385113021 /nfs/dbraw/zinc/11/30/21/385113021.db2.gz HNCUGHWBNJFOFH-ZDUSSCGKSA-N 0 3 217.312 2.727 20 0 BFADHN CCC[C@](C)(CO)NCc1ccccc1Cl ZINC000304237828 385114730 /nfs/dbraw/zinc/11/47/30/385114730.db2.gz KXYCZZTZCYQIIK-CYBMUJFWSA-N 0 3 241.762 2.981 20 0 BFADHN CCC(CC)(CNCc1c(C)noc1C)OC ZINC000310561337 385117661 /nfs/dbraw/zinc/11/76/61/385117661.db2.gz VUMXYPAMORCCRL-UHFFFAOYSA-N 0 3 240.347 2.586 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H]1CCCSC1 ZINC000129232536 385123510 /nfs/dbraw/zinc/12/35/10/385123510.db2.gz MBSSNXYGESABJA-VXGBXAGGSA-N 0 3 236.384 2.936 20 0 BFADHN Cc1ccc(CN(C)CCOCC(F)F)s1 ZINC000189087048 385124069 /nfs/dbraw/zinc/12/40/69/385124069.db2.gz BCGCPRZDXKWUND-UHFFFAOYSA-N 0 3 249.326 2.770 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@@H](C)C2)c(C)s1 ZINC000336345124 385142147 /nfs/dbraw/zinc/14/21/47/385142147.db2.gz GDWZQZRWCRRGAM-IUCAKERBSA-N 0 3 224.373 2.848 20 0 BFADHN Cc1ccc(F)cc1CN[C@H]1CCOC1(C)C ZINC000294704916 385127502 /nfs/dbraw/zinc/12/75/02/385127502.db2.gz DGRVBMMSIWFMCR-ZDUSSCGKSA-N 0 3 237.318 2.791 20 0 BFADHN Cn1cc2c(n1)CCC[C@@H]2N[C@@H]1CC12CCCC2 ZINC000336339467 385129233 /nfs/dbraw/zinc/12/92/33/385129233.db2.gz RJLRIVBFOCZRNI-GXTWGEPZSA-N 0 3 245.370 2.720 20 0 BFADHN CCS[C@@H]1CCCC[C@H]1NCc1c[nH]cn1 ZINC000165489024 385133767 /nfs/dbraw/zinc/13/37/67/385133767.db2.gz MIXKDAAGOVWLFK-VXGBXAGGSA-N 0 3 239.388 2.564 20 0 BFADHN CCc1cccc(CN2CCC([C@@H](C)O)CC2)c1 ZINC000189266110 385137137 /nfs/dbraw/zinc/13/71/37/385137137.db2.gz MUMSVMBEKCFVMZ-CYBMUJFWSA-N 0 3 247.382 2.842 20 0 BFADHN C[C@H](CCO)N[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000151944964 385140441 /nfs/dbraw/zinc/14/04/41/385140441.db2.gz NTDJHXTYISSSNR-HTQZYQBOSA-N 0 3 247.260 2.525 20 0 BFADHN FC(F)COCCN[C@H]1CCc2ccccc21 ZINC000188743721 385088084 /nfs/dbraw/zinc/08/80/84/385088084.db2.gz YFPOHKKLZSYVPP-LBPRGKRZSA-N 0 3 241.281 2.545 20 0 BFADHN COC[C@H](CC(C)C)N[C@H]1CCC[C@H]1F ZINC000340522385 385088464 /nfs/dbraw/zinc/08/84/64/385088464.db2.gz HZWIKZTUOWGZKK-TUAOUCFPSA-N 0 3 217.328 2.528 20 0 BFADHN C[C@H](NCCOC1CCCC1)c1ccoc1 ZINC000087290258 385088888 /nfs/dbraw/zinc/08/88/88/385088888.db2.gz PODZKMYLFNSDGS-NSHDSACASA-N 0 3 223.316 2.889 20 0 BFADHN CC[C@H](NCC[C@@H](C)OC)c1ccc(F)cn1 ZINC000310509378 385098415 /nfs/dbraw/zinc/09/84/15/385098415.db2.gz UFTCLNAUJVPYDA-PWSUYJOCSA-N 0 3 240.322 2.686 20 0 BFADHN c1cc(CN[C@H]2CC23CC3)n(C2CCCC2)n1 ZINC000424185874 385099705 /nfs/dbraw/zinc/09/97/05/385099705.db2.gz QQXYDRYDGRRAAX-ZDUSSCGKSA-N 0 3 231.343 2.640 20 0 BFADHN Cn1cccc1[C@@H]1CCCCN1CCCF ZINC000151284566 385101483 /nfs/dbraw/zinc/10/14/83/385101483.db2.gz ABPARIMSOGUDMP-ZDUSSCGKSA-N 0 3 224.323 2.912 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)[C@@H](O)C2)c(C)s1 ZINC000357564455 385102939 /nfs/dbraw/zinc/10/29/39/385102939.db2.gz BPDSEUPFLWHYIN-RNCFNFMXSA-N 0 3 239.384 2.568 20 0 BFADHN C[C@@H]1C[C@H]1c1noc(C2CCN(C3CC3)CC2)n1 ZINC000334155250 385103135 /nfs/dbraw/zinc/10/31/35/385103135.db2.gz UTNXUJLXRNUSBC-BXKDBHETSA-N 0 3 247.342 2.535 20 0 BFADHN CCOc1ccc(CN[C@H]2CC23CC3)cc1OC ZINC000424187370 385104074 /nfs/dbraw/zinc/10/40/74/385104074.db2.gz VPCBMMCYWZOFCD-AWEZNQCLSA-N 0 3 247.338 2.736 20 0 BFADHN C[C@@H](O)CCCN(C)Cc1ccc(Cl)s1 ZINC000308813709 385182306 /nfs/dbraw/zinc/18/23/06/385182306.db2.gz ALZIOYUUCLUCPI-SECBINFHSA-N 0 3 247.791 2.994 20 0 BFADHN Cc1ccc(Cl)c(NC(=O)[C@@H](N)C(C)C)c1 ZINC000152464916 385183258 /nfs/dbraw/zinc/18/32/58/385183258.db2.gz MNFMZBNAICTDTD-NSHDSACASA-N 0 3 240.734 2.570 20 0 BFADHN CCC[C@H](C)C(=O)Nc1cccc(CN)c1 ZINC000020248725 385190150 /nfs/dbraw/zinc/19/01/50/385190150.db2.gz PCHXMNHQBUMBFT-JTQLQIEISA-N 0 3 220.316 2.520 20 0 BFADHN CCC1(N[C@H](C)c2cc3n(n2)CCCC3)CC1 ZINC000647305664 385191701 /nfs/dbraw/zinc/19/17/01/385191701.db2.gz HJWCYEYOCNRKHR-LLVKDONJSA-N 0 3 233.359 2.813 20 0 BFADHN CC[C@H](NCc1occc1C)[C@H]1CCCO1 ZINC000119741207 385192860 /nfs/dbraw/zinc/19/28/60/385192860.db2.gz CMWSYLJOUHWMGB-NWDGAFQWSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@H](OC(C)(C)C)C2)nc1 ZINC000647248397 385194163 /nfs/dbraw/zinc/19/41/63/385194163.db2.gz TVYRWYCJNNGNJI-OKILXGFUSA-N 0 3 248.370 2.826 20 0 BFADHN CCOC[C@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC000177172658 385196149 /nfs/dbraw/zinc/19/61/49/385196149.db2.gz AEGWERYXRWUQDC-KBPBESRZSA-N 0 3 248.370 2.891 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1ccc(Cl)cn1 ZINC000274897014 385197054 /nfs/dbraw/zinc/19/70/54/385197054.db2.gz KLLUOPBSRMFRMH-SKDRFNHKSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1ccc([C@@H](C)NCCOCC(F)F)o1 ZINC000189937266 385199549 /nfs/dbraw/zinc/19/95/49/385199549.db2.gz RLBPQBSREOERRN-SECBINFHSA-N 0 3 233.258 2.520 20 0 BFADHN COCC1(CN2Cc3ccccc3C2)CCC1 ZINC000361294943 385143234 /nfs/dbraw/zinc/14/32/34/385143234.db2.gz CAGLTILWWKUOPD-UHFFFAOYSA-N 0 3 231.339 2.819 20 0 BFADHN C[C@H](CCNCc1ncc[nH]1)c1ccccc1 ZINC000061910197 385144340 /nfs/dbraw/zinc/14/43/40/385144340.db2.gz WKHRFTKKRVBRKN-GFCCVEGCSA-N 0 3 229.327 2.693 20 0 BFADHN CCN(Cc1ccccn1)CC1(COC)CCC1 ZINC000361317994 385146475 /nfs/dbraw/zinc/14/64/75/385146475.db2.gz GHSVOKPJQFVCIP-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN COc1cccnc1CN[C@H](C)CCC1CC1 ZINC000449750726 385147833 /nfs/dbraw/zinc/14/78/33/385147833.db2.gz WAARRABZKBTFCR-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN CCOC[C@H](N[C@H](C)c1ccccn1)C(C)C ZINC000189361484 385149926 /nfs/dbraw/zinc/14/99/26/385149926.db2.gz GMOXRDJIMNLIBA-OCCSQVGLSA-N 0 3 236.359 2.793 20 0 BFADHN CCOC[C@H](N[C@@H](C)c1ccccn1)C(C)C ZINC000189361433 385150440 /nfs/dbraw/zinc/15/04/40/385150440.db2.gz GMOXRDJIMNLIBA-JSGCOSHPSA-N 0 3 236.359 2.793 20 0 BFADHN CCOC[C@H](N[C@H](C)c1ccco1)C(C)C ZINC000189361926 385151334 /nfs/dbraw/zinc/15/13/34/385151334.db2.gz VFSHJORWAPJFQN-NEPJUHHUSA-N 0 3 225.332 2.991 20 0 BFADHN COC[C@H]1CCCCN1Cc1cccc(OC)c1 ZINC000449757596 385155335 /nfs/dbraw/zinc/15/53/35/385155335.db2.gz DUZAVCRINSHKBL-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN C[C@H](NCCCCO)c1csc(Cl)c1 ZINC000279633244 385157474 /nfs/dbraw/zinc/15/74/74/385157474.db2.gz ZIKANHQYJPXFCI-QMMMGPOBSA-N 0 3 233.764 2.825 20 0 BFADHN CCCCCNC(=O)CN(CC)[C@H](C)C(C)C ZINC000067661016 385159981 /nfs/dbraw/zinc/15/99/81/385159981.db2.gz PSDGGSDPSKAERL-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN FC(F)(F)c1cccc(CN[C@@H]2CCOC2)c1 ZINC000109429243 385160683 /nfs/dbraw/zinc/16/06/83/385160683.db2.gz ACITYEXKARDNOX-LLVKDONJSA-N 0 3 245.244 2.584 20 0 BFADHN C[C@H](NCCOCC1CC1)c1cccs1 ZINC000053585319 385165014 /nfs/dbraw/zinc/16/50/14/385165014.db2.gz PIDFTJBJXIYBND-JTQLQIEISA-N 0 3 225.357 2.825 20 0 BFADHN CN(Cc1cnn(C)c1)CC1CCCCCC1 ZINC000119726040 385165568 /nfs/dbraw/zinc/16/55/68/385165568.db2.gz LTBWUZMWDIAZNL-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN COc1cccc([C@@H](C)N[C@H]2CC23CC3)c1 ZINC000424325038 385166668 /nfs/dbraw/zinc/16/66/68/385166668.db2.gz NFSZYEINBIDMJR-MFKMUULPSA-N 0 3 217.312 2.898 20 0 BFADHN Cc1cc(CNC[C@H]2CC3CCC2CC3)on1 ZINC000400019627 385173856 /nfs/dbraw/zinc/17/38/56/385173856.db2.gz LZYFERKIFZFCMD-WXRRBKDZSA-N 0 3 234.343 2.899 20 0 BFADHN C[C@H](NCCc1ccc(O)cc1)c1cncs1 ZINC000129719649 385180691 /nfs/dbraw/zinc/18/06/91/385180691.db2.gz YPTSNSJSIRHKJA-JTQLQIEISA-N 0 3 248.351 2.742 20 0 BFADHN Cc1cncc(CN2CCCC3(CC3)C2)c1 ZINC000190037424 385206180 /nfs/dbraw/zinc/20/61/80/385206180.db2.gz GNTWADJDCDUBQS-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CCc1ccc(CN(C[C@H]2CCOC2)C2CC2)o1 ZINC000129969753 385206832 /nfs/dbraw/zinc/20/68/32/385206832.db2.gz JJQBWHKLPZTOTM-GFCCVEGCSA-N 0 3 249.354 2.843 20 0 BFADHN C[C@@H](NCCC(F)(F)F)c1cccc(O)c1 ZINC000063098669 385207246 /nfs/dbraw/zinc/20/72/46/385207246.db2.gz PMFAEGDCTJSJNZ-MRVPVSSYSA-N 0 3 233.233 2.995 20 0 BFADHN C[C@@H](NCCc1nccs1)c1cccc(O)c1 ZINC000063133493 385211333 /nfs/dbraw/zinc/21/13/33/385211333.db2.gz NXJHONSDGHYZFY-SNVBAGLBSA-N 0 3 248.351 2.742 20 0 BFADHN CC[C@H](C)[C@](C)(O)CN[C@H](C)c1cncs1 ZINC000252712743 385213753 /nfs/dbraw/zinc/21/37/53/385213753.db2.gz NFVSSQLFMWJRMU-HOSYDEDBSA-N 0 3 242.388 2.591 20 0 BFADHN Cc1cscc1CN[C@@H](C)COC(C)C ZINC000308852736 385215462 /nfs/dbraw/zinc/21/54/62/385215462.db2.gz OYLGPDVPWAEALG-NSHDSACASA-N 0 3 227.373 2.960 20 0 BFADHN CCC1(NCc2cc3ccccc3[nH]c2=O)CC1 ZINC000294789099 385217686 /nfs/dbraw/zinc/21/76/86/385217686.db2.gz LTRMDTRTTIATNL-UHFFFAOYSA-N 0 3 242.322 2.973 20 0 BFADHN C[C@H](NCC1(C)CCC1)c1ccccn1 ZINC000090219950 385218648 /nfs/dbraw/zinc/21/86/48/385218648.db2.gz QTHIWVNWMWFLPZ-NSHDSACASA-N 0 3 204.317 2.922 20 0 BFADHN CC(C)CC1(CN[C@H](C)c2cnccn2)CC1 ZINC000090234561 385218691 /nfs/dbraw/zinc/21/86/91/385218691.db2.gz FUALJPIRFRCYKG-GFCCVEGCSA-N 0 3 233.359 2.954 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000044688561 385219275 /nfs/dbraw/zinc/21/92/75/385219275.db2.gz OFHIUTBBZAQDFV-NEPJUHHUSA-N 0 3 207.317 2.762 20 0 BFADHN C[C@@H](NCC1(C)CCC1)c1nccs1 ZINC000090219967 385219292 /nfs/dbraw/zinc/21/92/92/385219292.db2.gz NYZVRTNESSMLJO-SECBINFHSA-N 0 3 210.346 2.984 20 0 BFADHN CCOC[C@H](C)NCc1ccc(C)s1 ZINC000044690031 385219529 /nfs/dbraw/zinc/21/95/29/385219529.db2.gz MNUHKNSZDYMEGU-VIFPVBQESA-N 0 3 213.346 2.571 20 0 BFADHN CC[C@@H](COC)N[C@H]1CCc2ccc(F)cc21 ZINC000152931190 385222147 /nfs/dbraw/zinc/22/21/47/385222147.db2.gz RWMPHFLGLRKAKU-JSGCOSHPSA-N 0 3 237.318 2.828 20 0 BFADHN CCN(CCCF)Cc1cccs1 ZINC000178258816 385223422 /nfs/dbraw/zinc/22/34/22/385223422.db2.gz YTGVYFKZDZCDOW-UHFFFAOYSA-N 0 3 201.310 2.930 20 0 BFADHN C[C@@H]1C[C@H](NC[C@@H]2CC[C@H]3C[C@H]3C2)c2nccn21 ZINC000403173832 385223792 /nfs/dbraw/zinc/22/37/92/385223792.db2.gz DLPHNFNJYSJZOA-RGDJUOJXSA-N 0 3 245.370 2.915 20 0 BFADHN C[C@@H](O)CC(C)(C)CN[C@H](C)c1cncs1 ZINC000130229575 385230390 /nfs/dbraw/zinc/23/03/90/385230390.db2.gz FQKPYQNNNBIFMT-NXEZZACHSA-N 0 3 242.388 2.591 20 0 BFADHN CCc1ccc([C@@H](COC)N[C@@H](C)C2CC2)o1 ZINC000177372803 385231005 /nfs/dbraw/zinc/23/10/05/385231005.db2.gz LZBDZCPIALJWDM-GXFFZTMASA-N 0 3 237.343 2.918 20 0 BFADHN Clc1cnn([C@@H]2CCN(C3CCCC3)C2)c1 ZINC000290095124 385231644 /nfs/dbraw/zinc/23/16/44/385231644.db2.gz ZVOZHLPXGJXWLA-GFCCVEGCSA-N 0 3 239.750 2.726 20 0 BFADHN c1ccc2c(c1)OCCC[C@@H]2NC[C@H]1CCCO1 ZINC000153121299 385236817 /nfs/dbraw/zinc/23/68/17/385236817.db2.gz VGTBEUDNOXWVCJ-OCCSQVGLSA-N 0 3 247.338 2.669 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H]2CCCO2)o1 ZINC000153161775 385238537 /nfs/dbraw/zinc/23/85/37/385238537.db2.gz IDQJAPKFGCTQRL-ZYHUDNBSSA-N 0 3 223.316 2.672 20 0 BFADHN CC(C)C[C@H]1CCCN1Cc1ccon1 ZINC000157697148 385244754 /nfs/dbraw/zinc/24/47/54/385244754.db2.gz YCJIFUWVGQAOSW-GFCCVEGCSA-N 0 3 208.305 2.685 20 0 BFADHN Clc1sccc1CNCCN1CCCC1 ZINC000308890625 385244913 /nfs/dbraw/zinc/24/49/13/385244913.db2.gz WNKYLMIIJBPTFS-UHFFFAOYSA-N 0 3 244.791 2.587 20 0 BFADHN COc1ccccc1CN[C@H]1C[C@@H](OC)C1(C)C ZINC000227831025 385249989 /nfs/dbraw/zinc/24/99/89/385249989.db2.gz XWUFFURSAFMXDT-UONOGXRCSA-N 0 3 249.354 2.598 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccs1)C1CCOCC1 ZINC000153436975 385255012 /nfs/dbraw/zinc/25/50/12/385255012.db2.gz GZJDKOFTTJHSOA-ZJUUUORDSA-N 0 3 240.372 2.609 20 0 BFADHN CC(C)(C)C[C@H]1CCCN1Cc1ncccn1 ZINC000361699653 385204808 /nfs/dbraw/zinc/20/48/08/385204808.db2.gz BVAFLASGNHOJJZ-GFCCVEGCSA-N 0 3 233.359 2.877 20 0 BFADHN COCC[C@H](C)N[C@H](C)c1cccnc1Cl ZINC000152722389 385205299 /nfs/dbraw/zinc/20/52/99/385205299.db2.gz MKFRKWLCUKEUCH-VHSXEESVSA-N 0 3 242.750 2.811 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccccc2Cl)[C@H](C)O1 ZINC000294909660 385308011 /nfs/dbraw/zinc/30/80/11/385308011.db2.gz ZZYFHVASQHVKMR-GBIKHYSHSA-N 0 3 239.746 2.996 20 0 BFADHN C[C@H](CNCc1cccc(Cl)c1F)N(C)C ZINC000131129019 385309909 /nfs/dbraw/zinc/30/99/09/385309909.db2.gz KIHQJRMWYMPWTG-SECBINFHSA-N 0 3 244.741 2.519 20 0 BFADHN C[C@@H](CO)[C@H](C)NCc1cccc(Cl)c1F ZINC000131138941 385310001 /nfs/dbraw/zinc/31/00/01/385310001.db2.gz YOVDOCNJCRBQDL-IUCAKERBSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@@H](CNCc1cccc(Cl)c1F)N(C)C ZINC000131128778 385310890 /nfs/dbraw/zinc/31/08/90/385310890.db2.gz KIHQJRMWYMPWTG-VIFPVBQESA-N 0 3 244.741 2.519 20 0 BFADHN CC(C)CC[C@H](C)N(C)[C@@H](C)C[S@](C)=O ZINC000131141184 385311793 /nfs/dbraw/zinc/31/17/93/385311793.db2.gz WDALUAFVJBWAFK-SLEUVZQESA-N 0 3 233.421 2.510 20 0 BFADHN CC[C@@H](COC)N[C@@H]1CCCc2c(O)cccc21 ZINC000191639997 385312713 /nfs/dbraw/zinc/31/27/13/385312713.db2.gz IQBOJAJEYNOOPP-SMDDNHRTSA-N 0 3 249.354 2.784 20 0 BFADHN Cc1ccc(CN(C)CC[C@H]2CCCO2)o1 ZINC000191660977 385313147 /nfs/dbraw/zinc/31/31/47/385313147.db2.gz CRGGPIAPJZBHCN-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1CC[C@@](C)(O)C1 ZINC000177984753 385314600 /nfs/dbraw/zinc/31/46/00/385314600.db2.gz UEMNPPJODXWNAL-ZIAGYGMSSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H](NCC1(C)CCOCC1)c1ccco1 ZINC000130596187 385265048 /nfs/dbraw/zinc/26/50/48/385265048.db2.gz USISGNNOQQRBFE-LLVKDONJSA-N 0 3 223.316 2.747 20 0 BFADHN CCOc1ccccc1CN[C@@H]1C[C@@H]1C ZINC000052268809 385267975 /nfs/dbraw/zinc/26/79/75/385267975.db2.gz BCKFYBWTFQBGMB-CMPLNLGQSA-N 0 3 205.301 2.583 20 0 BFADHN CCCC[C@@H]1CCC[C@@H]1NCc1cc[nH]n1 ZINC000069174883 385271078 /nfs/dbraw/zinc/27/10/78/385271078.db2.gz MAKXSSHPRBUHJY-YPMHNXCESA-N 0 3 221.348 2.858 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cn2ccnc2)cc1F ZINC000110976185 385273031 /nfs/dbraw/zinc/27/30/31/385273031.db2.gz OKGSVSPXKCRAJF-LBPRGKRZSA-N 0 3 247.317 2.509 20 0 BFADHN Cc1ncc(CN[C@@H]2CC(C)(C)C[C@H]2C)o1 ZINC000334727175 385275888 /nfs/dbraw/zinc/27/58/88/385275888.db2.gz VZCIVJJMSDGLQG-BXKDBHETSA-N 0 3 222.332 2.897 20 0 BFADHN CN(Cc1c[nH]nc1-c1ccccc1F)C1CC1 ZINC000153773112 385278524 /nfs/dbraw/zinc/27/85/24/385278524.db2.gz CIPVDBAMRMPOGP-UHFFFAOYSA-N 0 3 245.301 2.810 20 0 BFADHN Cc1cn[nH]c1CNCc1ccc(Cl)s1 ZINC000308916492 385282399 /nfs/dbraw/zinc/28/23/99/385282399.db2.gz GAQDIBXCDIYWLD-UHFFFAOYSA-N 0 3 241.747 2.723 20 0 BFADHN Cc1nc(C(C)C)sc1[C@@H](C)N[C@H](C)CO ZINC000166779536 385283816 /nfs/dbraw/zinc/28/38/16/385283816.db2.gz MBDCVQJJLPDKFN-RKDXNWHRSA-N 0 3 242.388 2.606 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1ccc(CO)o1 ZINC000130841509 385288252 /nfs/dbraw/zinc/28/82/52/385288252.db2.gz OVXMBSOYPHVTEO-GFCCVEGCSA-N 0 3 237.343 2.927 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H]2CCOC2)o1 ZINC000166859757 385288444 /nfs/dbraw/zinc/28/84/44/385288444.db2.gz GOGKXKMTWJMYCF-GHMZBOCLSA-N 0 3 223.316 2.529 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H]2CCOC2)o1 ZINC000166859871 385288863 /nfs/dbraw/zinc/28/88/63/385288863.db2.gz GOGKXKMTWJMYCF-WDEREUQCSA-N 0 3 223.316 2.529 20 0 BFADHN CO[C@H](C)CNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000191200196 385289099 /nfs/dbraw/zinc/28/90/99/385289099.db2.gz QXHRSUGPDPRDDL-HOSYDEDBSA-N 0 3 223.316 2.528 20 0 BFADHN CC(C)C[C@H](C)N[C@H](CO)c1ccsc1 ZINC000308928718 385290209 /nfs/dbraw/zinc/29/02/09/385290209.db2.gz DSEFTGWWOFPHRF-CMPLNLGQSA-N 0 3 227.373 2.806 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1cc(F)cc(F)c1 ZINC000191203883 385292763 /nfs/dbraw/zinc/29/27/63/385292763.db2.gz WHVGGYHPYPONGY-DTWKUNHWSA-N 0 3 229.270 2.650 20 0 BFADHN CC[C@H](NC[C@H](C)OC)c1ccccc1F ZINC000191204592 385292885 /nfs/dbraw/zinc/29/28/85/385292885.db2.gz LUFMJOGGRUYOEI-GWCFXTLKSA-N 0 3 225.307 2.901 20 0 BFADHN CN(Cc1ccc2c(c1)OCCCCO2)C1CC1 ZINC000449011985 385296509 /nfs/dbraw/zinc/29/65/09/385296509.db2.gz XCIMLNNTMMHTNF-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN COCCC[C@@H](C)N[C@@H](C)c1nc(C)cs1 ZINC000178544545 385297346 /nfs/dbraw/zinc/29/73/46/385297346.db2.gz ADRCIKGCGSIVRY-KOLCDFICSA-N 0 3 242.388 2.917 20 0 BFADHN Cc1cc(CNc2cccc(CN(C)C)c2)on1 ZINC000311055584 385298126 /nfs/dbraw/zinc/29/81/26/385298126.db2.gz RMBBDVHFMOHHDE-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1ccc(CC)o1 ZINC000167561590 385323712 /nfs/dbraw/zinc/32/37/12/385323712.db2.gz FXFXJXVKQZEADU-QWRGUYRKSA-N 0 3 225.332 2.654 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCOC[C@@H]2C)o1 ZINC000191830936 385328875 /nfs/dbraw/zinc/32/88/75/385328875.db2.gz XQHKFQJUOXSFHZ-DLOVCJGASA-N 0 3 223.316 2.664 20 0 BFADHN C[C@H](CC1CCCCC1)NCc1cncnc1 ZINC000193274853 385388558 /nfs/dbraw/zinc/38/85/58/385388558.db2.gz LSQUELCUKVIPEM-GFCCVEGCSA-N 0 3 233.359 2.925 20 0 BFADHN C[C@H](NC[C@@H]1CCCN1C)c1ccccc1F ZINC000154504867 385333701 /nfs/dbraw/zinc/33/37/01/385333701.db2.gz DZOOASYKRQOUHF-RYUDHWBXSA-N 0 3 236.334 2.571 20 0 BFADHN c1nc(CN2CCC[C@H]2Cc2ccccc2)co1 ZINC000191924751 385335345 /nfs/dbraw/zinc/33/53/45/385335345.db2.gz FJAROHKRWGUNRX-HNNXBMFYSA-N 0 3 242.322 2.882 20 0 BFADHN C/C(=C/c1ccccc1)CN1CCC[C@@H](CO)C1 ZINC000154610874 385342063 /nfs/dbraw/zinc/34/20/63/385342063.db2.gz YRGLTWKRVIAZKB-SCOADAFUSA-N 0 3 245.366 2.794 20 0 BFADHN CC1(C)C[C@H](N[C@H]2CSc3ccccc32)CO1 ZINC000335354045 385342338 /nfs/dbraw/zinc/34/23/38/385342338.db2.gz FEDNRTWPMFKNSS-JQWIXIFHSA-N 0 3 249.379 2.991 20 0 BFADHN CO[C@H](CN[C@H](C)c1cccc(F)c1)C1CC1 ZINC000294968975 385343333 /nfs/dbraw/zinc/34/33/33/385343333.db2.gz JTEOZFLMJGCBPE-QMTHXVAHSA-N 0 3 237.318 2.901 20 0 BFADHN CC(C)CC[C@@H](O)CN(C)Cc1ccccc1 ZINC000360693829 385344749 /nfs/dbraw/zinc/34/47/49/385344749.db2.gz ZTZXXZNEEMBTIZ-OAHLLOKOSA-N 0 3 235.371 2.916 20 0 BFADHN Cc1csc(CNCCC2(C)CC2)n1 ZINC000280656412 385345770 /nfs/dbraw/zinc/34/57/70/385345770.db2.gz SOMBWTSVURUDJM-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN CC1(C)C[C@H](NCc2cc(F)cc(F)c2)CO1 ZINC000335360935 385348705 /nfs/dbraw/zinc/34/87/05/385348705.db2.gz WCYAVIZLRFLFGE-LBPRGKRZSA-N 0 3 241.281 2.622 20 0 BFADHN CCC1(NC(=O)C[C@H](N)c2ccccc2)CCC1 ZINC000158155451 385353756 /nfs/dbraw/zinc/35/37/56/385353756.db2.gz UGIYSHSKRAJGTO-ZDUSSCGKSA-N 0 3 246.354 2.525 20 0 BFADHN CC[C@H](O)CCNCc1cccc(Cl)c1F ZINC000131680521 385353771 /nfs/dbraw/zinc/35/37/71/385353771.db2.gz BMPHKLGZXPSOQX-JTQLQIEISA-N 0 3 245.725 2.730 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccon1)[C@H]1CC1(C)C ZINC000309001081 385363690 /nfs/dbraw/zinc/36/36/90/385363690.db2.gz OCGDRNNPPZRBCS-KXUCPTDWSA-N 0 3 208.305 2.760 20 0 BFADHN COc1ccc(CN2CCC(C)CC2)cc1O ZINC000131856250 385364332 /nfs/dbraw/zinc/36/43/32/385364332.db2.gz HFSINKOVZMYROP-UHFFFAOYSA-N 0 3 235.327 2.633 20 0 BFADHN CC(C)CCCN[C@H](CO)c1ccsc1 ZINC000309022687 385364451 /nfs/dbraw/zinc/36/44/51/385364451.db2.gz YDPLQGCJJRSYQX-GFCCVEGCSA-N 0 3 227.373 2.807 20 0 BFADHN CC[C@H](CNCc1ccc(Cl)cc1)OC ZINC000289411150 385366527 /nfs/dbraw/zinc/36/65/27/385366527.db2.gz AHNJLDSHWZPJQR-GFCCVEGCSA-N 0 3 227.735 2.855 20 0 BFADHN C[C@@H](NCCc1ccccc1O)c1cncs1 ZINC000154944812 385367579 /nfs/dbraw/zinc/36/75/79/385367579.db2.gz JAFAKKIQPQVVGY-SNVBAGLBSA-N 0 3 248.351 2.742 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1cccc(F)c1 ZINC000131907065 385367969 /nfs/dbraw/zinc/36/79/69/385367969.db2.gz FKLXQMQOLFATLJ-GMTAPVOTSA-N 0 3 225.307 2.900 20 0 BFADHN CCc1ccc(CN[C@@H]2CC2(C)C)cn1 ZINC000309026147 385368892 /nfs/dbraw/zinc/36/88/92/385368892.db2.gz ASWHXZBKQKENOS-GFCCVEGCSA-N 0 3 204.317 2.532 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cccc(Cl)c1F ZINC000168427565 385368954 /nfs/dbraw/zinc/36/89/54/385368954.db2.gz QKLUJBIDZCXMHP-DTWKUNHWSA-N 0 3 245.725 2.992 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cccc(Cl)c1F ZINC000168427764 385369785 /nfs/dbraw/zinc/36/97/85/385369785.db2.gz QKLUJBIDZCXMHP-IUCAKERBSA-N 0 3 245.725 2.992 20 0 BFADHN CCOc1ccccc1[C@@H](CC)NC[C@H](C)O ZINC000192780002 385370952 /nfs/dbraw/zinc/37/09/52/385370952.db2.gz AZQHIHYCAVJTBI-WCQYABFASA-N 0 3 237.343 2.507 20 0 BFADHN C[C@H](NCCCCO)c1cc2ccccc2o1 ZINC000193276143 385390342 /nfs/dbraw/zinc/39/03/42/385390342.db2.gz KKYIMWPFMBCYPV-NSHDSACASA-N 0 3 233.311 2.856 20 0 BFADHN CC(C)c1cccc2c1CCN(C[C@@H](C)O)C2 ZINC000192819881 385372691 /nfs/dbraw/zinc/37/26/91/385372691.db2.gz DFSDOJGHZNDGEF-GFCCVEGCSA-N 0 3 233.355 2.549 20 0 BFADHN C[C@@H](CF)N[C@@H]1Cc2ccc(Cl)cc2C1 ZINC000309018244 385373506 /nfs/dbraw/zinc/37/35/06/385373506.db2.gz VNRVWKTWDOVJAL-QPUJVOFHSA-N 0 3 227.710 2.755 20 0 BFADHN COC[C@H](NCc1ccsc1Cl)C1CC1 ZINC000308972757 385327689 /nfs/dbraw/zinc/32/76/89/385327689.db2.gz AGPRUQGDSDOHSA-JTQLQIEISA-N 0 3 245.775 2.916 20 0 BFADHN C[C@H](NCCCCF)c1ccncc1F ZINC000309117571 385424354 /nfs/dbraw/zinc/42/43/54/385424354.db2.gz MFJWTXBYZQYTDM-VIFPVBQESA-N 0 3 214.259 2.621 20 0 BFADHN Cc1sccc1CN[C@@]1(C)CCO[C@H]1C ZINC000309118042 385424695 /nfs/dbraw/zinc/42/46/95/385424695.db2.gz RVBCDZXLSAGIEX-JQWIXIFHSA-N 0 3 225.357 2.714 20 0 BFADHN C[C@@H](NCCCCF)c1ccncc1F ZINC000309117570 385424811 /nfs/dbraw/zinc/42/48/11/385424811.db2.gz MFJWTXBYZQYTDM-SECBINFHSA-N 0 3 214.259 2.621 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCCOC(C)C)CC2 ZINC000168881061 385391395 /nfs/dbraw/zinc/39/13/95/385391395.db2.gz HFYLZYBFEYNKDB-HNNXBMFYSA-N 0 3 233.355 2.997 20 0 BFADHN CO[C@H](C)CN[C@H]1CCCNc2ccccc21 ZINC000193333663 385392992 /nfs/dbraw/zinc/39/29/92/385392992.db2.gz HEKNJZHKCONFMV-RISCZKNCSA-N 0 3 234.343 2.558 20 0 BFADHN Cc1cc(C)c(NC(=O)[C@H]2NCC2(C)C)c(C)c1 ZINC000644042970 385393801 /nfs/dbraw/zinc/39/38/01/385393801.db2.gz HFEBMNPLHMRCDW-CYBMUJFWSA-N 0 3 246.354 2.548 20 0 BFADHN FC(F)COCCN[C@H]1CCCc2occc21 ZINC000193347347 385394498 /nfs/dbraw/zinc/39/44/98/385394498.db2.gz XRFPKJVHBMGFNH-JTQLQIEISA-N 0 3 245.269 2.528 20 0 BFADHN Cc1cnccc1CNCC1=CCCCC1 ZINC000295043320 385394995 /nfs/dbraw/zinc/39/49/95/385394995.db2.gz DZOARLIFHFHPMG-UHFFFAOYSA-N 0 3 216.328 2.980 20 0 BFADHN CS[C@@H](C)CNCc1scnc1C1CC1 ZINC000309079149 385398409 /nfs/dbraw/zinc/39/84/09/385398409.db2.gz NQKGBNKVARRYMH-QMMMGPOBSA-N 0 3 242.413 2.862 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1sc(C)nc1C ZINC000309080263 385400223 /nfs/dbraw/zinc/40/02/23/385400223.db2.gz OMTKLVVEUNWDDK-VHSXEESVSA-N 0 3 242.413 2.744 20 0 BFADHN COC1(CNCc2cc(F)ccc2F)CCC1 ZINC000181073144 385401959 /nfs/dbraw/zinc/40/19/59/385401959.db2.gz DTGWRYQEBZJOKP-UHFFFAOYSA-N 0 3 241.281 2.624 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CS[C@@H](C)C2)s1 ZINC000309071319 385402085 /nfs/dbraw/zinc/40/20/85/385402085.db2.gz DJYOCFKQQCAOAN-OIBJUYFYSA-N 0 3 242.413 2.744 20 0 BFADHN COc1cc(CN(C)C2CC2)ccc1C ZINC000193699178 385408658 /nfs/dbraw/zinc/40/86/58/385408658.db2.gz XDLSREWGVIMRIF-UHFFFAOYSA-N 0 3 205.301 2.598 20 0 BFADHN Cc1nnsc1CN[C@H]1CCCC1(C)C ZINC000334153653 385409670 /nfs/dbraw/zinc/40/96/70/385409670.db2.gz OZTJURNCCDJZDB-JTQLQIEISA-N 0 3 225.361 2.515 20 0 BFADHN Cc1ccc(CN(C)CC[C@@H](C)O)cc1Cl ZINC000193976356 385418206 /nfs/dbraw/zinc/41/82/06/385418206.db2.gz NRTMSWXALXSMQO-LLVKDONJSA-N 0 3 241.762 2.851 20 0 BFADHN C=Cn1cc(CN2C[C@H](C)CC[C@H]2C)cn1 ZINC000193977295 385418439 /nfs/dbraw/zinc/41/84/39/385418439.db2.gz SYBRBEYIQMKCIP-VXGBXAGGSA-N 0 3 219.332 2.604 20 0 BFADHN CN(CCc1cccs1)C[C@H]1CCC=CO1 ZINC000194009932 385421210 /nfs/dbraw/zinc/42/12/10/385421210.db2.gz BBUSSDSJGXYKKK-GFCCVEGCSA-N 0 3 237.368 2.915 20 0 BFADHN CN(CCc1cccs1)C[C@@H]1CCC=CO1 ZINC000194009915 385421547 /nfs/dbraw/zinc/42/15/47/385421547.db2.gz BBUSSDSJGXYKKK-LBPRGKRZSA-N 0 3 237.368 2.915 20 0 BFADHN Cc1ccc(CN2CC[C@@](C)(O)C2)cc1Cl ZINC000194049079 385422618 /nfs/dbraw/zinc/42/26/18/385422618.db2.gz GWMTWBVZOXFNCA-CYBMUJFWSA-N 0 3 239.746 2.605 20 0 BFADHN CN(CCc1nccs1)[C@@H]1C=CCCC1 ZINC000179079357 385440070 /nfs/dbraw/zinc/44/00/70/385440070.db2.gz IODYSOKEONJQSX-LLVKDONJSA-N 0 3 222.357 2.726 20 0 BFADHN CS[C@@H]1CCCCN(Cc2cc(C)no2)C1 ZINC000179112710 385441817 /nfs/dbraw/zinc/44/18/17/385441817.db2.gz KSPMNOXAKOIZIS-GFCCVEGCSA-N 0 3 240.372 2.701 20 0 BFADHN CO[C@H]1CCCN([C@H](C)c2cccc(F)c2)C1 ZINC000170817599 385449881 /nfs/dbraw/zinc/44/98/81/385449881.db2.gz AYWMEXQSTYAYGJ-RISCZKNCSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H]1c2ccccc2CCN1CCn1cccn1 ZINC000170954938 385458316 /nfs/dbraw/zinc/45/83/16/385458316.db2.gz CDWWLILKIMWHHL-CYBMUJFWSA-N 0 3 241.338 2.502 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H](C)C1)c1nccn1C ZINC000182581124 385456106 /nfs/dbraw/zinc/45/61/06/385456106.db2.gz UOASFQFUXOORTB-FRRDWIJNSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@@H](NCCCCO)c1cc(F)c(F)c(F)c1 ZINC000194228110 385427414 /nfs/dbraw/zinc/42/74/14/385427414.db2.gz SBDPUQWZRFQOIP-MRVPVSSYSA-N 0 3 247.260 2.527 20 0 BFADHN CC1(C)CCCC[C@@H]1NCc1cocn1 ZINC000182074362 385428512 /nfs/dbraw/zinc/42/85/12/385428512.db2.gz YVIOYGNENQPLCL-NSHDSACASA-N 0 3 208.305 2.733 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cncc(C)c2)C1(C)C ZINC000182085074 385429903 /nfs/dbraw/zinc/42/99/03/385429903.db2.gz UBSMVBPTIQFFEE-KGLIPLIRSA-N 0 3 248.370 2.683 20 0 BFADHN C=Cn1cc(CN[C@@H](C)CCSCC)cn1 ZINC000194356026 385430351 /nfs/dbraw/zinc/43/03/51/385430351.db2.gz GRLSNHNMTNTFBO-NSHDSACASA-N 0 3 239.388 2.605 20 0 BFADHN CC[C@H](NC[C@@H]1CCC=CO1)c1ccncc1 ZINC000194478873 385437042 /nfs/dbraw/zinc/43/70/42/385437042.db2.gz LOMRPFYITZRJMK-KBPBESRZSA-N 0 3 232.327 2.815 20 0 BFADHN CC[C@H](NC[C@H]1CCC=CO1)c1ccncc1 ZINC000194478904 385438035 /nfs/dbraw/zinc/43/80/35/385438035.db2.gz LOMRPFYITZRJMK-KGLIPLIRSA-N 0 3 232.327 2.815 20 0 BFADHN Cc1ccsc1CN1CCCO[C@H](C)C1 ZINC000179397120 385465109 /nfs/dbraw/zinc/46/51/09/385465109.db2.gz FIXPFBBKVGRTGT-LLVKDONJSA-N 0 3 225.357 2.667 20 0 BFADHN CC[C@H](CNCc1cocn1)c1ccccc1 ZINC000179419498 385465129 /nfs/dbraw/zinc/46/51/29/385465129.db2.gz YMFKDGWJMLWDQZ-GFCCVEGCSA-N 0 3 230.311 2.958 20 0 BFADHN Cc1ccc(CN2CCCO[C@@H](C)C2)c(C)c1 ZINC000179398150 385466052 /nfs/dbraw/zinc/46/60/52/385466052.db2.gz NBJDYALLCZJDKQ-AWEZNQCLSA-N 0 3 233.355 2.914 20 0 BFADHN CN(Cc1cccnc1)[C@@H]1C=CCCC1 ZINC000179516107 385471875 /nfs/dbraw/zinc/47/18/75/385471875.db2.gz IIZLDUHPNFWORB-CYBMUJFWSA-N 0 3 202.301 2.622 20 0 BFADHN Cc1cn[nH]c1CNCc1ccccc1Cl ZINC000289814969 385488443 /nfs/dbraw/zinc/48/84/43/385488443.db2.gz IYYAIQZDZZJYOF-UHFFFAOYSA-N 0 3 235.718 2.661 20 0 BFADHN c1csc([C@@H]2CCCN2Cc2cnc[nH]2)c1 ZINC000179691962 385483911 /nfs/dbraw/zinc/48/39/11/385483911.db2.gz MOZIFNSHYORQNS-NSHDSACASA-N 0 3 233.340 2.808 20 0 BFADHN Cn1cc(CN[C@H]2C=CCCC2)c(C(F)F)n1 ZINC000360966479 385486652 /nfs/dbraw/zinc/48/66/52/385486652.db2.gz PQAONXLYGDTPHY-JTQLQIEISA-N 0 3 241.285 2.556 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1cc(C)c(C)o1 ZINC000281946195 385461067 /nfs/dbraw/zinc/46/10/67/385461067.db2.gz BUMOSJHQQHRXMN-HOSYDEDBSA-N 0 3 225.332 2.970 20 0 BFADHN COC[C@H](C)N(C)C/C=C/c1ccc(F)cc1 ZINC000179371100 385463297 /nfs/dbraw/zinc/46/32/97/385463297.db2.gz IGWVTOOOWZPROA-ITKZLYELSA-N 0 3 237.318 2.806 20 0 BFADHN CCOc1cccc(CN(C)[C@H](C)COC)c1 ZINC000179365655 385463965 /nfs/dbraw/zinc/46/39/65/385463965.db2.gz VVIDELACMZWFQY-GFCCVEGCSA-N 0 3 237.343 2.552 20 0 BFADHN C[C@@H](NCc1nccs1)C1CCCC1 ZINC000054081083 385464498 /nfs/dbraw/zinc/46/44/98/385464498.db2.gz KHOVGFJARHTILX-SECBINFHSA-N 0 3 210.346 2.811 20 0 BFADHN COC[C@H](C)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000282042523 385491200 /nfs/dbraw/zinc/49/12/00/385491200.db2.gz GDBURTPRYHMNIW-KOLCDFICSA-N 0 3 225.332 2.830 20 0 BFADHN CCn1nnc(C)c1CN[C@H](C)CCC(C)C ZINC000449065182 385497007 /nfs/dbraw/zinc/49/70/07/385497007.db2.gz SDSPIMRXZVTZIR-LLVKDONJSA-N 0 3 238.379 2.521 20 0 BFADHN Cc1csc(CN[C@H]2CC[C@H]2C2CC2)n1 ZINC000309248486 385497606 /nfs/dbraw/zinc/49/76/06/385497606.db2.gz ZRXCJQOLNMCHQY-QWRGUYRKSA-N 0 3 222.357 2.730 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1nccn1C(C)C ZINC000183040843 385501091 /nfs/dbraw/zinc/50/10/91/385501091.db2.gz HYDBRCFQEDCTCO-NWDGAFQWSA-N 0 3 223.364 2.988 20 0 BFADHN Cc1noc(C)c1CNCCC1(C)CC1 ZINC000336728010 385517875 /nfs/dbraw/zinc/51/78/75/385517875.db2.gz HTPDVVDAXZADMY-UHFFFAOYSA-N 0 3 208.305 2.571 20 0 BFADHN Cc1ccoc1CNc1cc(CN(C)C)ccn1 ZINC000157456281 385504360 /nfs/dbraw/zinc/50/43/60/385504360.db2.gz WXQNDLAEPAHDCV-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1O)c1csc(Cl)c1 ZINC000276482302 385507059 /nfs/dbraw/zinc/50/70/59/385507059.db2.gz GDKLEHIQYMEWCV-HGNGGELXSA-N 0 3 245.775 2.966 20 0 BFADHN FC1(F)CCC[C@H](CNCc2cocn2)C1 ZINC000282156238 385512498 /nfs/dbraw/zinc/51/24/98/385512498.db2.gz XHWVYYQXCPVJCX-VIFPVBQESA-N 0 3 230.258 2.590 20 0 BFADHN COC[C@H](C)CN[C@H](C)c1cc(C)c(C)o1 ZINC000282042529 385490177 /nfs/dbraw/zinc/49/01/77/385490177.db2.gz GDBURTPRYHMNIW-MWLCHTKSSA-N 0 3 225.332 2.830 20 0 BFADHN CCCCCN[C@H](C)c1cc(C)n(C)n1 ZINC000282240207 385536413 /nfs/dbraw/zinc/53/64/13/385536413.db2.gz PXOIEQUWSRHQEV-LLVKDONJSA-N 0 3 209.337 2.569 20 0 BFADHN CCc1cccc(F)c1CN[C@@H](CC)CCO ZINC000354475671 385537015 /nfs/dbraw/zinc/53/70/15/385537015.db2.gz KZRJPTREAYFYIT-LBPRGKRZSA-N 0 3 239.334 2.639 20 0 BFADHN CCc1cccc(CN2CCOC[C@H](C)C2)c1 ZINC000364897038 385538529 /nfs/dbraw/zinc/53/85/29/385538529.db2.gz RKESDJQLHZAHKS-CYBMUJFWSA-N 0 3 233.355 2.717 20 0 BFADHN C[C@H](N(C)Cc1cccc2nccn21)C1(C)CC1 ZINC000180702209 385540552 /nfs/dbraw/zinc/54/05/52/385540552.db2.gz HWWUJGSULZNINT-LBPRGKRZSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@H](N[C@H](CCO)C(C)(C)C)c1nccs1 ZINC000158013622 385542791 /nfs/dbraw/zinc/54/27/91/385542791.db2.gz XQXCTILFOVQDJC-VHSXEESVSA-N 0 3 242.388 2.591 20 0 BFADHN c1cc2c(s1)CCN(CCc1cccnc1)C2 ZINC000172072430 385546156 /nfs/dbraw/zinc/54/61/56/385546156.db2.gz SIXUNQRTRCRGQX-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN CC(=O)Nc1ccccc1CN1CCC[C@H](C)C1 ZINC000157710879 385518652 /nfs/dbraw/zinc/51/86/52/385518652.db2.gz JAOLIUAQIYJOLM-LBPRGKRZSA-N 0 3 246.354 2.877 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](C)C[C@@H](C)O)o1 ZINC000157758545 385520788 /nfs/dbraw/zinc/52/07/88/385520788.db2.gz FGZLQJZYCIPEIH-QJPTWQEYSA-N 0 3 239.359 2.900 20 0 BFADHN CCc1ccc(CNCc2[nH]ncc2C)s1 ZINC000289840899 385522002 /nfs/dbraw/zinc/52/20/02/385522002.db2.gz KEBACUDCAHHLBW-UHFFFAOYSA-N 0 3 235.356 2.632 20 0 BFADHN Cc1c([C@@H](C)NC2CCC2)cnn1C(C)C ZINC000309367233 385553010 /nfs/dbraw/zinc/55/30/10/385553010.db2.gz PSWMSQLNVNKPDE-SNVBAGLBSA-N 0 3 221.348 2.976 20 0 BFADHN CC[C@@H](NCC(=O)N(C)CC)c1ccc(C)cc1 ZINC000181708583 385596068 /nfs/dbraw/zinc/59/60/68/385596068.db2.gz XJHCIAMVXRPECI-CQSZACIVSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@@H](C)N1CCc2c(F)cccc2C1 ZINC000337324180 385597068 /nfs/dbraw/zinc/59/70/68/385597068.db2.gz UBIPDOPUCAKUPG-SNVBAGLBSA-N 0 3 207.292 2.982 20 0 BFADHN C[C@@H](Cc1ccncc1)N[C@@H](C)c1ccccn1 ZINC000337324873 385598782 /nfs/dbraw/zinc/59/87/82/385598782.db2.gz USZZURONXQLKCL-STQMWFEESA-N 0 3 241.338 2.758 20 0 BFADHN CCc1ccc(CNCCCOC(F)F)o1 ZINC000309435881 385581396 /nfs/dbraw/zinc/58/13/96/385581396.db2.gz HDZDSRWQXGTWQK-UHFFFAOYSA-N 0 3 233.258 2.561 20 0 BFADHN COC[C@H](CC(C)C)NCc1occc1C ZINC000309478099 385605624 /nfs/dbraw/zinc/60/56/24/385605624.db2.gz SGPYKNKDHIPHJK-LBPRGKRZSA-N 0 3 225.332 2.739 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1CSC1(C)C ZINC000283373492 385618888 /nfs/dbraw/zinc/61/88/88/385618888.db2.gz ODIDJGJGGWWACF-JQWIXIFHSA-N 0 3 236.384 2.935 20 0 BFADHN Cc1csc([C@@H](C)NCCCOC(C)C)n1 ZINC000184144491 385620073 /nfs/dbraw/zinc/62/00/73/385620073.db2.gz VUEBTBCLPMPMSH-LLVKDONJSA-N 0 3 242.388 2.917 20 0 BFADHN CN(Cc1ccccc1F)[C@H](CO)C(C)(C)C ZINC000269656662 385621310 /nfs/dbraw/zinc/62/13/10/385621310.db2.gz NQBXQZGSYRPIJG-CYBMUJFWSA-N 0 3 239.334 2.665 20 0 BFADHN C[C@H](NCC1(C)COC1)c1cccc(F)c1F ZINC000181958622 385622745 /nfs/dbraw/zinc/62/27/45/385622745.db2.gz AKMBVUGBJOQTGS-VIFPVBQESA-N 0 3 241.281 2.652 20 0 BFADHN CCC[C@H](C)CN(C)Cc1cccnc1 ZINC000182027114 385629162 /nfs/dbraw/zinc/62/91/62/385629162.db2.gz IQUFOXVSNQJOGX-LBPRGKRZSA-N 0 3 206.333 2.950 20 0 BFADHN Cc1ccncc1CN1CCS[C@@H](C)[C@@H]1C ZINC000269742347 385631716 /nfs/dbraw/zinc/63/17/16/385631716.db2.gz ZWBMNKADLFJMFC-RYUDHWBXSA-N 0 3 236.384 2.716 20 0 BFADHN C[C@@H](NCc1cocn1)[C@H](C)c1ccccc1 ZINC000182063156 385632611 /nfs/dbraw/zinc/63/26/11/385632611.db2.gz IIRHZSXMWOTGCQ-NWDGAFQWSA-N 0 3 230.311 2.956 20 0 BFADHN CO[C@H](C)CN(Cc1cccc(F)c1)C1CC1 ZINC000182071535 385633740 /nfs/dbraw/zinc/63/37/40/385633740.db2.gz JPNKJBGWAAQSAF-LLVKDONJSA-N 0 3 237.318 2.825 20 0 BFADHN CCC[C@H](CN[C@H](C)c1cccc(O)c1)OC ZINC000293547243 385633888 /nfs/dbraw/zinc/63/38/88/385633888.db2.gz BIEYUJQADAZGAR-BXUZGUMPSA-N 0 3 237.343 2.858 20 0 BFADHN CC[C@@H](NCCCCOC)c1nc(C)cs1 ZINC000184366550 385634021 /nfs/dbraw/zinc/63/40/21/385634021.db2.gz PXUZJPAFFVWKEL-LLVKDONJSA-N 0 3 242.388 2.919 20 0 BFADHN Fc1ccc(-n2cc(CNC3CCC3)cn2)cc1 ZINC000337343473 385608661 /nfs/dbraw/zinc/60/86/61/385608661.db2.gz SKOZKQKDRGZZHN-UHFFFAOYSA-N 0 3 245.301 2.654 20 0 BFADHN CC[C@H]1CN(Cc2cnccc2C)CCS1 ZINC000269598944 385611961 /nfs/dbraw/zinc/61/19/61/385611961.db2.gz KHBYMAJUXDWBAD-ZDUSSCGKSA-N 0 3 236.384 2.717 20 0 BFADHN CCc1ccc(CNC[C@@H]2C[C@H](C)O[C@H]2C)o1 ZINC000414516192 385637449 /nfs/dbraw/zinc/63/74/49/385637449.db2.gz OPKBBELMELFIAL-SRVKXCTJSA-N 0 3 237.343 2.745 20 0 BFADHN CC[C@H]1CN(Cc2ccc(F)cc2)CCCO1 ZINC000281188163 385641475 /nfs/dbraw/zinc/64/14/75/385641475.db2.gz ICKYVLGUDLIDMP-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN C[C@H]1[C@H](Nc2ccncc2Cl)CCCN1C ZINC000309506637 385641681 /nfs/dbraw/zinc/64/16/81/385641681.db2.gz WBOBZNYJZZIKTL-GXSJLCMTSA-N 0 3 239.750 2.630 20 0 BFADHN Cc1occc1CNCC1(C(F)F)CC1 ZINC000336733842 385642075 /nfs/dbraw/zinc/64/20/75/385642075.db2.gz MRKGTYNZHVAHDS-UHFFFAOYSA-N 0 3 215.243 2.723 20 0 BFADHN CCC[C@@H](NC[C@@H](O)C(F)F)c1ccsc1 ZINC000336682971 385646443 /nfs/dbraw/zinc/64/64/43/385646443.db2.gz DIUKRJDRJYGMMC-NXEZZACHSA-N 0 3 249.326 2.805 20 0 BFADHN CCOCCNCc1ccc(SC)s1 ZINC000336652249 385651463 /nfs/dbraw/zinc/65/14/63/385651463.db2.gz KNONTPIIESZWTN-UHFFFAOYSA-N 0 3 231.386 2.596 20 0 BFADHN CC[C@H]1CN([C@@H](C)c2ccccn2)CCCO1 ZINC000281308423 385655633 /nfs/dbraw/zinc/65/56/33/385655633.db2.gz HWRIPMFTLPDKJO-STQMWFEESA-N 0 3 234.343 2.644 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1cncs1 ZINC000252711677 385656183 /nfs/dbraw/zinc/65/61/83/385656183.db2.gz KGKJDJORZANRGY-GMTAPVOTSA-N 0 3 240.372 2.751 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2CCO[C@H]2C)o1 ZINC000252683615 385657114 /nfs/dbraw/zinc/65/71/14/385657114.db2.gz DYULNSKQGOYDDW-URBCHYCLSA-N 0 3 235.327 2.670 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@@H]2CC2(C)C)cn1 ZINC000336734126 385658501 /nfs/dbraw/zinc/65/85/01/385658501.db2.gz HEDBVXAVBUVFEG-ZYHUDNBSSA-N 0 3 221.348 2.913 20 0 BFADHN CCC[C@H](CNCc1ccc(F)cc1)OC ZINC000293580392 385658938 /nfs/dbraw/zinc/65/89/38/385658938.db2.gz FHWDDQZWNOXPPO-CYBMUJFWSA-N 0 3 225.307 2.730 20 0 BFADHN CN(C/C=C/c1ccccc1)C[C@H]1CCC[C@@H]1O ZINC000270041365 385660699 /nfs/dbraw/zinc/66/06/99/385660699.db2.gz DEVVLYWLFJJNIN-GRLYAWNKSA-N 0 3 245.366 2.793 20 0 BFADHN CCOC[C@@H](C)NCc1cnc(C(C)C)s1 ZINC000309522759 385661172 /nfs/dbraw/zinc/66/11/72/385661172.db2.gz ASAHTFARKKPIKM-SNVBAGLBSA-N 0 3 242.388 2.781 20 0 BFADHN CN(C/C=C/c1ccccc1)C[C@@H]1CCC[C@H]1O ZINC000270041368 385661379 /nfs/dbraw/zinc/66/13/79/385661379.db2.gz DEVVLYWLFJJNIN-VKFONMJVSA-N 0 3 245.366 2.793 20 0 BFADHN Fc1ccc(CN[C@H]2CC[C@H](F)C2)c(F)c1 ZINC000309537077 385675388 /nfs/dbraw/zinc/67/53/88/385675388.db2.gz XFTNNCJXFIYFQD-ONGXEEELSA-N 0 3 229.245 2.945 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H](C)[C@@H]2CC2(C)C)s1 ZINC000309543833 385678268 /nfs/dbraw/zinc/67/82/68/385678268.db2.gz GLPYFWQUEGPACU-NRPADANISA-N 0 3 239.388 2.932 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2C[C@H](O)C[C@H](C)C2)o1 ZINC000414531320 385680101 /nfs/dbraw/zinc/68/01/01/385680101.db2.gz YXRIRTUOBZLLPZ-SFTQSGBHSA-N 0 3 249.354 2.606 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cc(OC)cc(C)n1 ZINC000270178411 385680510 /nfs/dbraw/zinc/68/05/10/385680510.db2.gz AEFUBUXRGZHHNA-LLVKDONJSA-N 0 3 236.359 2.877 20 0 BFADHN Cc1cscc1CN[C@H](C)[C@H]1CCCO1 ZINC000336685001 385681440 /nfs/dbraw/zinc/68/14/40/385681440.db2.gz KLQJROYOCNBFIS-ZYHUDNBSSA-N 0 3 225.357 2.714 20 0 BFADHN COC[C@@H](NCC[C@@H](C)F)c1ccccc1 ZINC000309547863 385681709 /nfs/dbraw/zinc/68/17/09/385681709.db2.gz HIIATSNUGINCEU-DGCLKSJQSA-N 0 3 225.307 2.712 20 0 BFADHN C[C@H](NC[C@@H]1C[C@@H]1C)c1c(F)cncc1F ZINC000309548283 385681827 /nfs/dbraw/zinc/68/18/27/385681827.db2.gz HJHMWCVFPJFHMQ-CIUDSAMLSA-N 0 3 226.270 2.666 20 0 BFADHN COC(=O)CC1(CN[C@@H](C)c2ccccc2)CC1 ZINC000281591469 385683608 /nfs/dbraw/zinc/68/36/08/385683608.db2.gz HBGJNMSHNOAXCB-LBPRGKRZSA-N 0 3 247.338 2.681 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]1C1CC1)c1ccccn1 ZINC000309553104 385685548 /nfs/dbraw/zinc/68/55/48/385685548.db2.gz IWYVXHODHAJMEQ-ZKYQVNSYSA-N 0 3 216.328 2.921 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCSCC1)c1ccon1 ZINC000309554946 385685805 /nfs/dbraw/zinc/68/58/05/385685805.db2.gz JKDHAYNNYHRTNR-VHSXEESVSA-N 0 3 240.372 2.857 20 0 BFADHN CC(C)[C@H](O)CNCc1ccsc1Cl ZINC000336685765 385692987 /nfs/dbraw/zinc/69/29/87/385692987.db2.gz LAEQBQSKFIGJMP-SECBINFHSA-N 0 3 233.764 2.508 20 0 BFADHN C[C@@H](NCC1(C(F)F)CC1)c1ccccn1 ZINC000309564567 385695590 /nfs/dbraw/zinc/69/55/90/385695590.db2.gz LXGFTOOXBXUGTQ-SECBINFHSA-N 0 3 226.270 2.778 20 0 BFADHN CC(C)(C)[C@@H]1CCC[C@H]1NCc1ncc[nH]1 ZINC000414538174 385700230 /nfs/dbraw/zinc/70/02/30/385700230.db2.gz QIJVFPUVRGKNAW-GHMZBOCLSA-N 0 3 221.348 2.714 20 0 BFADHN CC[C@@H](NCc1ccccc1F)[C@H](O)C(C)C ZINC000414537741 385701711 /nfs/dbraw/zinc/70/17/11/385701711.db2.gz ZLRVBANEOAGZGA-ZIAGYGMSSA-N 0 3 239.334 2.711 20 0 BFADHN CCCC(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000270381912 385703655 /nfs/dbraw/zinc/70/36/55/385703655.db2.gz NYZSTLUIHVLHFF-UHFFFAOYSA-N 0 3 234.343 2.795 20 0 BFADHN CC[C@](C)(CCO)NCc1ccc(F)cc1F ZINC000230222758 385718716 /nfs/dbraw/zinc/71/87/16/385718716.db2.gz YFDMGXDPPLKSME-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN CC[C@@H](NCCCOC(C)C)c1nccs1 ZINC000185044004 385715993 /nfs/dbraw/zinc/71/59/93/385715993.db2.gz LODBHAGXJQKQHZ-LLVKDONJSA-N 0 3 242.388 2.999 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC000182418863 385668760 /nfs/dbraw/zinc/66/87/60/385668760.db2.gz KDHSDOHPEWLPAL-IUCAKERBSA-N 0 3 229.270 2.650 20 0 BFADHN CO[C@@H](C)CNC(C)(C)c1ccc(F)c(F)c1 ZINC000182440490 385671380 /nfs/dbraw/zinc/67/13/80/385671380.db2.gz FYCWPCRWKZCJDA-VIFPVBQESA-N 0 3 243.297 2.824 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1ccn(C)c1 ZINC000336741065 385727895 /nfs/dbraw/zinc/72/78/95/385727895.db2.gz QPZBPINGQNPVHI-SNVBAGLBSA-N 0 3 234.265 2.846 20 0 BFADHN COc1ncccc1CN1CC[C@H](C)C(C)(C)C1 ZINC000488288360 385731166 /nfs/dbraw/zinc/73/11/66/385731166.db2.gz SERJASCBBPKGOF-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN CO[C@H]1CCN(Cc2ccsc2)[C@@H](C)C1 ZINC000282148785 385735966 /nfs/dbraw/zinc/73/59/66/385735966.db2.gz COFWERQUJVIMKD-JQWIXIFHSA-N 0 3 225.357 2.747 20 0 BFADHN COC[C@@H](C)CNCc1ccsc1Cl ZINC000336654941 385737631 /nfs/dbraw/zinc/73/76/31/385737631.db2.gz NIVWAQZQQCCXLB-QMMMGPOBSA-N 0 3 233.764 2.774 20 0 BFADHN CN(Cc1ccc(-c2cnn(C)c2)s1)C1CC1 ZINC000282770443 385786042 /nfs/dbraw/zinc/78/60/42/385786042.db2.gz JKPNJYZFHACFDH-UHFFFAOYSA-N 0 3 247.367 2.743 20 0 BFADHN C[C@@H](C[C@H]1CCCO1)NCc1ccc(Cl)o1 ZINC000336736366 385739406 /nfs/dbraw/zinc/73/94/06/385739406.db2.gz ITWOEEGVGXSWER-VHSXEESVSA-N 0 3 243.734 2.980 20 0 BFADHN COCc1ccc(CN[C@@H]2CC[C@@H](C)C2)o1 ZINC000336694848 385742455 /nfs/dbraw/zinc/74/24/55/385742455.db2.gz LKEQSNWFRVPOFF-GHMZBOCLSA-N 0 3 223.316 2.704 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1cccc(F)c1F ZINC000183263673 385746092 /nfs/dbraw/zinc/74/60/92/385746092.db2.gz ORPBLOSICLIEJB-NXEZZACHSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1nccn1C(F)F ZINC000309611822 385746599 /nfs/dbraw/zinc/74/65/99/385746599.db2.gz AKGHRXIPHIOABO-IUCAKERBSA-N 0 3 229.274 2.556 20 0 BFADHN CCc1ccc(CN2CC[C@H](OC)C[C@H]2C)o1 ZINC000282279229 385746708 /nfs/dbraw/zinc/74/67/08/385746708.db2.gz KXQRQAFWUDXFGN-YPMHNXCESA-N 0 3 237.343 2.841 20 0 BFADHN CCC1CC(NCc2cn3ccsc3n2)C1 ZINC000336736471 385747042 /nfs/dbraw/zinc/74/70/42/385747042.db2.gz MTLPJSOWQHEQQH-UHFFFAOYSA-N 0 3 235.356 2.674 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1sccc1OC ZINC000186157356 385749623 /nfs/dbraw/zinc/74/96/23/385749623.db2.gz YUANQTOMBIBACG-CABZTGNLSA-N 0 3 243.372 2.568 20 0 BFADHN COC(=O)c1coc(CNCCC2=CCCC2)c1 ZINC000230685216 385750707 /nfs/dbraw/zinc/75/07/07/385750707.db2.gz PWNBREFGJHXMOH-UHFFFAOYSA-N 0 3 249.310 2.656 20 0 BFADHN Fc1cc(CN[C@H]2CCSC2)cc(F)c1F ZINC000230705012 385751566 /nfs/dbraw/zinc/75/15/66/385751566.db2.gz WKTHMKQQFUWZSV-QMMMGPOBSA-N 0 3 247.285 2.699 20 0 BFADHN c1cc2c(s1)CN(CCc1cccnc1)CC2 ZINC000270852945 385754238 /nfs/dbraw/zinc/75/42/38/385754238.db2.gz HCDJZSLZBQQFQZ-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN CCSCCCN[C@@H](C)c1nccnc1C ZINC000282486660 385766376 /nfs/dbraw/zinc/76/63/76/385766376.db2.gz HKCOMJITPINITH-JTQLQIEISA-N 0 3 239.388 2.579 20 0 BFADHN C[C@H](N[C@H]1CC[C@H]1C)c1cncc(F)c1 ZINC000336702458 385770009 /nfs/dbraw/zinc/77/00/09/385770009.db2.gz PZTKUYGPQNWLTJ-PTRXPTGYSA-N 0 3 208.280 2.670 20 0 BFADHN COCc1ccc(CN(C)C2CCCC2)o1 ZINC000271021499 385772567 /nfs/dbraw/zinc/77/25/67/385772567.db2.gz BEIDWDLCJYOIQD-UHFFFAOYSA-N 0 3 223.316 2.800 20 0 BFADHN Cc1cccc2[nH]c(CNC[C@H]3C[C@@H]3C)nc21 ZINC000488427409 385777222 /nfs/dbraw/zinc/77/72/22/385777222.db2.gz JYPLZJKWDMEHKD-WDEREUQCSA-N 0 3 229.327 2.617 20 0 BFADHN CCN(CCOC)Cc1ccc(C)c(F)c1 ZINC000271048035 385777663 /nfs/dbraw/zinc/77/76/63/385777663.db2.gz NHUUOLAVYUPCAM-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccn1)c1cc[nH]c(=O)c1 ZINC000340448530 385778382 /nfs/dbraw/zinc/77/83/82/385778382.db2.gz MLRIMJKPDSFMBK-MNOVXSKESA-N 0 3 243.310 2.594 20 0 BFADHN CCCCN(Cc1cncs1)C1CC1 ZINC000271050972 385779553 /nfs/dbraw/zinc/77/95/53/385779553.db2.gz PNBSYKSBTZOZRC-UHFFFAOYSA-N 0 3 210.346 2.908 20 0 BFADHN COC[C@@H](NCC1CC1)c1ccc(F)cc1 ZINC000309678173 385781374 /nfs/dbraw/zinc/78/13/74/385781374.db2.gz YOBFPRRWPSNIRO-CYBMUJFWSA-N 0 3 223.291 2.513 20 0 BFADHN Cc1ccc(CCN2CCN(C)CC2(C)C)cc1 ZINC000271065951 385782969 /nfs/dbraw/zinc/78/29/69/385782969.db2.gz UKDOMLISSHBAJI-UHFFFAOYSA-N 0 3 246.398 2.564 20 0 BFADHN CC(C)CCCN1CC(CO)(c2ccccc2)C1 ZINC000414547250 385720396 /nfs/dbraw/zinc/72/03/96/385720396.db2.gz HRCOBHQDGLCJFK-UHFFFAOYSA-N 0 3 247.382 2.669 20 0 BFADHN C[C@@H]1CN([C@@H]2CCc3ccc(F)cc32)CC[C@@H]1O ZINC000186833742 385801614 /nfs/dbraw/zinc/80/16/14/385801614.db2.gz UIMGZUFHBBZXCC-KMUNFCNLSA-N 0 3 249.329 2.516 20 0 BFADHN CC(C)n1cc(CNCC2(C)CCCC2)nn1 ZINC000336711927 385802217 /nfs/dbraw/zinc/80/22/17/385802217.db2.gz QBPQQHRYVGDJSY-UHFFFAOYSA-N 0 3 236.363 2.529 20 0 BFADHN CC(C)[C@H]1CN(Cc2ccsc2)CCCO1 ZINC000294084154 385802483 /nfs/dbraw/zinc/80/24/83/385802483.db2.gz REQXDIBGEOWJAQ-CYBMUJFWSA-N 0 3 239.384 2.995 20 0 BFADHN C[C@@H](C1CCCCC1)N(C)Cc1cncnc1 ZINC000283004601 385803267 /nfs/dbraw/zinc/80/32/67/385803267.db2.gz KXQPWOOZYDDBCY-LBPRGKRZSA-N 0 3 233.359 2.877 20 0 BFADHN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1cnns1 ZINC000571601776 385804918 /nfs/dbraw/zinc/80/49/18/385804918.db2.gz AYWBJZSQNCNSPA-SCVCMEIPSA-N 0 3 237.372 2.691 20 0 BFADHN C[C@@H]1C[C@H]2CCCC[C@@H]2N1Cc1cnns1 ZINC000571601774 385805516 /nfs/dbraw/zinc/80/55/16/385805516.db2.gz AYWBJZSQNCNSPA-FOGDFJRCSA-N 0 3 237.372 2.691 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3CCC3(C)C)cn2c1 ZINC000284247140 385856735 /nfs/dbraw/zinc/85/67/35/385856735.db2.gz IIJUVKGPBNEYTQ-CYBMUJFWSA-N 0 3 243.354 2.921 20 0 BFADHN CC(C)(C)OC(=O)CCN1CCCC(C)(C)C1 ZINC000112702177 385856847 /nfs/dbraw/zinc/85/68/47/385856847.db2.gz HXJNJMWQUMUGGR-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN CCc1ccc(CN2CCC3(C2)CCOCC3)o1 ZINC000271238021 385809100 /nfs/dbraw/zinc/80/91/00/385809100.db2.gz XKYLKCQGUNTQFZ-UHFFFAOYSA-N 0 3 249.354 2.845 20 0 BFADHN Cc1nc(CCN[C@@H](C)c2ccccn2)cs1 ZINC000231634481 385809342 /nfs/dbraw/zinc/80/93/42/385809342.db2.gz SGSBYLWDKOXTPU-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN C[C@]1(c2ccccc2)CCCN([C@H]2CCOC2)C1 ZINC000271245420 385809444 /nfs/dbraw/zinc/80/94/44/385809444.db2.gz CCWOZVOZDHZIQC-HOTGVXAUSA-N 0 3 245.366 2.829 20 0 BFADHN COCc1ccc(CN2CC[C@@H](C)[C@@H]2C)o1 ZINC000271248989 385810447 /nfs/dbraw/zinc/81/04/47/385810447.db2.gz HYUHCFDULXWCRP-MNOVXSKESA-N 0 3 223.316 2.656 20 0 BFADHN C[C@H](NC1CC(C(C)(C)C)C1)c1ccc(=O)[nH]n1 ZINC000340452661 385812731 /nfs/dbraw/zinc/81/27/31/385812731.db2.gz ZCRHYKDLOZTYMU-WHXUTIOJSA-N 0 3 249.358 2.658 20 0 BFADHN CC(C)N(Cc1cccs1)[C@@H]1CCOC1 ZINC000271294948 385814831 /nfs/dbraw/zinc/81/48/31/385814831.db2.gz QYWKUBPQBUFELH-LLVKDONJSA-N 0 3 225.357 2.747 20 0 BFADHN Cc1ccoc1CNCC1(C(F)F)CC1 ZINC000336740668 385818119 /nfs/dbraw/zinc/81/81/19/385818119.db2.gz URFMHVQIAIMBKH-UHFFFAOYSA-N 0 3 215.243 2.723 20 0 BFADHN CSCCNC(C)(C)c1ccc(C)nc1 ZINC000336737930 385822847 /nfs/dbraw/zinc/82/28/47/385822847.db2.gz QUGGTMMRGCHTBW-UHFFFAOYSA-N 0 3 224.373 2.578 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@@H]1CCCCO1 ZINC000271396414 385823888 /nfs/dbraw/zinc/82/38/88/385823888.db2.gz KVQOSZHFEPSURR-STQMWFEESA-N 0 3 234.343 2.610 20 0 BFADHN CC[C@H](CN1CCC[C@@H]1c1cc(C)no1)OC ZINC000412978079 385824500 /nfs/dbraw/zinc/82/45/00/385824500.db2.gz OYRWLLMBVONPCW-VXGBXAGGSA-N 0 3 238.331 2.545 20 0 BFADHN CC[C@@H](CN1CCC[C@H]1c1cc(C)no1)OC ZINC000412978077 385824934 /nfs/dbraw/zinc/82/49/34/385824934.db2.gz OYRWLLMBVONPCW-RYUDHWBXSA-N 0 3 238.331 2.545 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H](C)[C@H]1CCCO1 ZINC000271491512 385831530 /nfs/dbraw/zinc/83/15/30/385831530.db2.gz UMQDHBWNXNGUOP-SGMGOOAPSA-N 0 3 234.343 2.608 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1coc2ccccc12 ZINC000271501155 385834817 /nfs/dbraw/zinc/83/48/17/385834817.db2.gz QRQNYVFGCZZVDQ-MNOVXSKESA-N 0 3 233.311 2.946 20 0 BFADHN CC[C@H](C(=O)N(C(C)C)C1CCCC1)N(C)C ZINC000413367880 385835684 /nfs/dbraw/zinc/83/56/84/385835684.db2.gz WXOIMRKKDQLYOU-CYBMUJFWSA-N 0 3 240.391 2.506 20 0 BFADHN C[C@@H](NCCCc1ccccn1)c1cscn1 ZINC000271575418 385837754 /nfs/dbraw/zinc/83/77/54/385837754.db2.gz ZMWBRMLYDYXZRH-LLVKDONJSA-N 0 3 247.367 2.822 20 0 BFADHN Cc1cnc(CN[C@H](C)CC2CC2)s1 ZINC000122959591 385840762 /nfs/dbraw/zinc/84/07/62/385840762.db2.gz HDSUFINEPUCOFM-MRVPVSSYSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1oncc1CN1[C@H](C)CCC[C@@H]1C ZINC000128592017 385841291 /nfs/dbraw/zinc/84/12/91/385841291.db2.gz RDWUQVXRPXACMU-AOOOYVTPSA-N 0 3 208.305 2.746 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cnccc1C)C(C)C ZINC000271622898 385841786 /nfs/dbraw/zinc/84/17/86/385841786.db2.gz YRDIMGUWPUHNKE-JSGCOSHPSA-N 0 3 236.359 2.712 20 0 BFADHN CC[C@H](CSC)N(C)Cc1cnccc1C ZINC000271619640 385841970 /nfs/dbraw/zinc/84/19/70/385841970.db2.gz WSTILSJYZYTOBS-CYBMUJFWSA-N 0 3 238.400 2.963 20 0 BFADHN CCC[C@H](CN(C)Cc1cccc(C)n1)OC ZINC000638110036 385842677 /nfs/dbraw/zinc/84/26/77/385842677.db2.gz AECNNXHXFMUMPF-CQSZACIVSA-N 0 3 236.359 2.637 20 0 BFADHN COC(=O)CN(CC1(C)CCC1)C1CCCC1 ZINC000336725436 385843763 /nfs/dbraw/zinc/84/37/63/385843763.db2.gz UZTZJALROSNGTN-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN CC[C@@H](NCCCC(F)(F)F)c1nccn1C ZINC000271692400 385845171 /nfs/dbraw/zinc/84/51/71/385845171.db2.gz KUEKKPGKVJAAAI-SECBINFHSA-N 0 3 249.280 2.803 20 0 BFADHN CSC1(CN(C)Cc2ccccn2)CCC1 ZINC000284003383 385845716 /nfs/dbraw/zinc/84/57/16/385845716.db2.gz KANCUXZFLRSQPJ-UHFFFAOYSA-N 0 3 236.384 2.799 20 0 BFADHN CO[C@@H](C)CN(Cc1cc(C)ns1)C(C)C ZINC000638114297 385848643 /nfs/dbraw/zinc/84/86/43/385848643.db2.gz YGXMKZROHLDZAJ-NSHDSACASA-N 0 3 242.388 2.697 20 0 BFADHN CC[C@@H](NCCN(C)C)c1ccc(F)cc1F ZINC000271802434 385850643 /nfs/dbraw/zinc/85/06/43/385850643.db2.gz PJARSNWWWXDWDE-CYBMUJFWSA-N 0 3 242.313 2.567 20 0 BFADHN CCc1nn(C)cc1CN1CCC(C2CC2)CC1 ZINC000644838020 385851326 /nfs/dbraw/zinc/85/13/26/385851326.db2.gz OVMYLVREPQYNDW-UHFFFAOYSA-N 0 3 247.386 2.605 20 0 BFADHN C[C@H](CCO)C1(CNCc2ccco2)CCC1 ZINC000271831047 385852558 /nfs/dbraw/zinc/85/25/58/385852558.db2.gz OSVGEWWXHCXMRR-GFCCVEGCSA-N 0 3 237.343 2.558 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC(C2CC2)CC1 ZINC000644838675 385853272 /nfs/dbraw/zinc/85/32/72/385853272.db2.gz VNUOUMNSQHPCBM-UHFFFAOYSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1cccnc1 ZINC000340449918 385789001 /nfs/dbraw/zinc/78/90/01/385789001.db2.gz TWUWXNANUHQQSR-WDEREUQCSA-N 0 3 243.310 2.594 20 0 BFADHN COC(=O)c1ccc(CN[C@H]2CC=C(C)CC2)o1 ZINC000294026651 385789723 /nfs/dbraw/zinc/78/97/23/385789723.db2.gz VJIRROGYESAZHS-NSHDSACASA-N 0 3 249.310 2.655 20 0 BFADHN c1cc(CN[C@H]2CCc3ccccc3OC2)co1 ZINC000337801414 385789672 /nfs/dbraw/zinc/78/96/72/385789672.db2.gz IKZYYAHXSMKFOO-AWEZNQCLSA-N 0 3 243.306 2.763 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccnc1)c1cc[nH]c(=O)c1 ZINC000340449916 385790380 /nfs/dbraw/zinc/79/03/80/385790380.db2.gz TWUWXNANUHQQSR-MNOVXSKESA-N 0 3 243.310 2.594 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1ccc(F)c(F)c1 ZINC000336706081 385791220 /nfs/dbraw/zinc/79/12/20/385791220.db2.gz PUZUXPZJQFIJIW-VXGBXAGGSA-N 0 3 243.322 2.948 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H]1C)c1ccc(F)cn1 ZINC000336706848 385795148 /nfs/dbraw/zinc/79/51/48/385795148.db2.gz ROMCQJFPVLCWCL-QXEWZRGKSA-N 0 3 208.280 2.670 20 0 BFADHN CCN(Cc1cncc(OC)c1C)CC1CC1 ZINC000638076947 385795350 /nfs/dbraw/zinc/79/53/50/385795350.db2.gz VYEIYOOIFJOZLB-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN C[C@H](O)CCN(C)Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000271175176 385795894 /nfs/dbraw/zinc/79/58/94/385795894.db2.gz JEEREWZPNWFSIW-NTZNESFSSA-N 0 3 237.343 2.606 20 0 BFADHN CCC[C@@H](NC(=O)[C@@H](CC)N(C)C)C(C)(C)C ZINC000413829096 385884984 /nfs/dbraw/zinc/88/49/84/385884984.db2.gz FJONHIBQRZGCQK-VXGBXAGGSA-N 0 3 242.407 2.658 20 0 BFADHN COc1c(O)cccc1CN(C)CCC1CC1 ZINC000272236732 385886801 /nfs/dbraw/zinc/88/68/01/385886801.db2.gz ACROJMYFWSKKDP-UHFFFAOYSA-N 0 3 235.327 2.633 20 0 BFADHN CC(C)C(C)(C)CNCc1ncc(Cl)n1C ZINC000232728049 385886974 /nfs/dbraw/zinc/88/69/74/385886974.db2.gz ITDCTURLZXOCEF-UHFFFAOYSA-N 0 3 243.782 2.845 20 0 BFADHN COC[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC000272280897 385890449 /nfs/dbraw/zinc/89/04/49/385890449.db2.gz MRIZUQOLUTXKSF-LBPRGKRZSA-N 0 3 237.318 2.602 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1cc(C)oc1C ZINC000217737319 385890409 /nfs/dbraw/zinc/89/04/09/385890409.db2.gz WJJRAUHYXUTWIQ-PWSUYJOCSA-N 0 3 225.332 2.708 20 0 BFADHN COC[C@H]1CCN(Cc2cccc(C)c2F)C1 ZINC000294746251 385892630 /nfs/dbraw/zinc/89/26/30/385892630.db2.gz HXIWJQMPRIVPQG-LBPRGKRZSA-N 0 3 237.318 2.602 20 0 BFADHN COc1c(O)cccc1CN1CCCCCC1 ZINC000272316299 385893846 /nfs/dbraw/zinc/89/38/46/385893846.db2.gz SPQLPQAFMUGONE-UHFFFAOYSA-N 0 3 235.327 2.777 20 0 BFADHN COC1(C)CCN(Cc2ccc(C)o2)CC1 ZINC000272331064 385894092 /nfs/dbraw/zinc/89/40/92/385894092.db2.gz FBCGRJUHBFAOHZ-UHFFFAOYSA-N 0 3 223.316 2.589 20 0 BFADHN COC[C@H](C)NCc1ccc2[nH]c(C)cc2c1 ZINC000285060321 385897712 /nfs/dbraw/zinc/89/77/12/385897712.db2.gz JJVMRTTXYOJEOT-NSHDSACASA-N 0 3 232.327 2.601 20 0 BFADHN Cc1cnccc1CN1CCSC(C)(C)C1 ZINC000294793906 385898524 /nfs/dbraw/zinc/89/85/24/385898524.db2.gz KXZUFBUOYZDASU-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN C[C@@H](N[C@@H](C)CCO)c1ccccc1Cl ZINC000122272343 385899691 /nfs/dbraw/zinc/89/96/91/385899691.db2.gz VAUYGXILLWKZQA-VHSXEESVSA-N 0 3 227.735 2.762 20 0 BFADHN C[C@@H](NCc1cccc(C(F)(F)F)n1)C1CC1 ZINC000294833029 385902479 /nfs/dbraw/zinc/90/24/79/385902479.db2.gz QEMOIRUAWKARMM-MRVPVSSYSA-N 0 3 244.260 2.989 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCC[C@@H]2C)s1 ZINC000394721957 385902850 /nfs/dbraw/zinc/90/28/50/385902850.db2.gz FYOJJCLQILTIIR-ONGXEEELSA-N 0 3 224.373 2.977 20 0 BFADHN CCC1(NCc2cccc(O)c2OC)CCC1 ZINC000272444186 385903695 /nfs/dbraw/zinc/90/36/95/385903695.db2.gz OVQMUTLLWPAERL-UHFFFAOYSA-N 0 3 235.327 2.823 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCOC2(C)C)c1 ZINC000294848174 385904006 /nfs/dbraw/zinc/90/40/06/385904006.db2.gz RWBBDUNPQHJJSE-AAEUAGOBSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1ccc([C@H](C)NCCc2nccnc2C)o1 ZINC000294875721 385907604 /nfs/dbraw/zinc/90/76/04/385907604.db2.gz DBKBYIVHMZIAAE-LBPRGKRZSA-N 0 3 245.326 2.580 20 0 BFADHN Cc1ccc(CN(C)CC(C)(C)CO)cc1F ZINC000294897283 385911706 /nfs/dbraw/zinc/91/17/06/385911706.db2.gz XAGBCHWLLYJBGX-UHFFFAOYSA-N 0 3 239.334 2.584 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CC[C@@H]2CC)o1 ZINC000336746016 385915308 /nfs/dbraw/zinc/91/53/08/385915308.db2.gz VYUGOBBZDGXELW-WDEREUQCSA-N 0 3 222.332 2.824 20 0 BFADHN CCN(CCCO)[C@H](C)c1nc2ccccc2o1 ZINC000272604798 385917204 /nfs/dbraw/zinc/91/72/04/385917204.db2.gz VSOGWWAJDVQREE-LLVKDONJSA-N 0 3 248.326 2.593 20 0 BFADHN C[C@@H](O)[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC000272620844 385918447 /nfs/dbraw/zinc/91/84/47/385918447.db2.gz USAPSYQDWMOSCO-DGCLKSJQSA-N 0 3 245.322 2.636 20 0 BFADHN CS[C@@H]1CCN(Cc2ccsc2)C1 ZINC000294937786 385922223 /nfs/dbraw/zinc/92/22/23/385922223.db2.gz BZCOVTQRYSWIMZ-SNVBAGLBSA-N 0 3 213.371 2.685 20 0 BFADHN C[C@@H](CN[C@@H](C)c1cn[nH]c1)Oc1ccccc1 ZINC000285384481 385922215 /nfs/dbraw/zinc/92/22/15/385922215.db2.gz WNOYGLGYPUWGLI-RYUDHWBXSA-N 0 3 245.326 2.528 20 0 BFADHN CCC[C@@H](NC[C@@H](OC)C1CC1)c1ccccn1 ZINC000340487200 385924074 /nfs/dbraw/zinc/92/40/74/385924074.db2.gz CJNWXXBUAKYSHU-UKRRQHHQSA-N 0 3 248.370 2.937 20 0 BFADHN Cn1ncc(Cl)c1CNC[C@H]1CC=CCC1 ZINC000189087781 385925797 /nfs/dbraw/zinc/92/57/97/385925797.db2.gz GYYLXVLCENOSNR-JTQLQIEISA-N 0 3 239.750 2.519 20 0 BFADHN CCC[C@@H]1CCCCN1C(=O)[C@H](CC)N(C)C ZINC000413690714 385861980 /nfs/dbraw/zinc/86/19/80/385861980.db2.gz TYIYKPFWKVLBMJ-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H](F)CN(C)[C@@H](CC)CSC ZINC000308325660 385865846 /nfs/dbraw/zinc/86/58/46/385865846.db2.gz FDDLHYVACFFLPA-UWVGGRQHSA-N 0 3 207.358 2.808 20 0 BFADHN CC[C@H](CSC)N(C)C[C@@H](F)CC ZINC000308325661 385866172 /nfs/dbraw/zinc/86/61/72/385866172.db2.gz FDDLHYVACFFLPA-VHSXEESVSA-N 0 3 207.358 2.808 20 0 BFADHN CCN(CCC1CC1)Cc1cccnc1 ZINC000355046851 385876523 /nfs/dbraw/zinc/87/65/23/385876523.db2.gz ZQNTUQGDLGQCCH-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN C[C@@H](NC[C@H]1CCSC1)c1cscn1 ZINC000228556715 385877769 /nfs/dbraw/zinc/87/77/69/385877769.db2.gz MFNXDXLMBLAYCE-RKDXNWHRSA-N 0 3 228.386 2.547 20 0 BFADHN CC[C@@H](C)N1CCN(C)[C@H](Cc2ccccc2)C1 ZINC000284674779 385878871 /nfs/dbraw/zinc/87/88/71/385878871.db2.gz GOBYCVFZICVKKL-GDBMZVCRSA-N 0 3 246.398 2.644 20 0 BFADHN CC[C@@H](C)N1CCN(C)[C@@H](Cc2ccccc2)C1 ZINC000284674782 385879645 /nfs/dbraw/zinc/87/96/45/385879645.db2.gz GOBYCVFZICVKKL-ZBFHGGJFSA-N 0 3 246.398 2.644 20 0 BFADHN CCN(CCC1CC1)Cc1cnc2ccccn12 ZINC000355057172 385881213 /nfs/dbraw/zinc/88/12/13/385881213.db2.gz JNUZAOBMISVHHO-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CCC[C@@H]2O)cs1 ZINC000294685513 385882175 /nfs/dbraw/zinc/88/21/75/385882175.db2.gz DMIJHKUTJHKZTC-OLZOCXBDSA-N 0 3 239.384 2.649 20 0 BFADHN Cc1cnc(CNCCC(C)(C)C)s1 ZINC000187959037 385975498 /nfs/dbraw/zinc/97/54/98/385975498.db2.gz KSGVNHSMPOVYFM-UHFFFAOYSA-N 0 3 212.362 2.977 20 0 BFADHN COc1nccc(CN[C@@H](C)CCC=C(C)C)n1 ZINC000295140996 385977578 /nfs/dbraw/zinc/97/75/78/385977578.db2.gz PUTNDPQZBBXTST-LBPRGKRZSA-N 0 3 249.358 2.710 20 0 BFADHN C[C@@H](NCCc1cccnc1)c1ccncc1F ZINC000338365064 385977993 /nfs/dbraw/zinc/97/79/93/385977993.db2.gz FLPLPJQRYQJXSB-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN CC(C)C1(N[C@H](C)c2cc3n(n2)CCC3)CC1 ZINC000414134873 385984304 /nfs/dbraw/zinc/98/43/04/385984304.db2.gz HPQMTJZEPLLUHS-LLVKDONJSA-N 0 3 233.359 2.669 20 0 BFADHN CC/C=C/CCN1CCC[C@@H]1c1cc[nH]n1 ZINC000285978159 385984575 /nfs/dbraw/zinc/98/45/75/385984575.db2.gz FCFMSMUVHWPPDQ-ITDFMYJTSA-N 0 3 219.332 2.903 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H]1C[C@@H](OC(C)C)C1 ZINC000414139164 385987237 /nfs/dbraw/zinc/98/72/37/385987237.db2.gz IAPQNRKJFVVHRB-MCIONIFRSA-N 0 3 248.370 2.997 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C1)c1cc2n(n1)CCC2 ZINC000414137907 385987568 /nfs/dbraw/zinc/98/75/68/385987568.db2.gz PMZPVPJZVWRCTL-UTUOFQBUSA-N 0 3 233.359 2.669 20 0 BFADHN C[C@@H](CCO)NCc1cccc(Cl)c1Cl ZINC000096811432 385987800 /nfs/dbraw/zinc/98/78/00/385987800.db2.gz OUVOMQJQHWVJAK-QMMMGPOBSA-N 0 3 248.153 2.854 20 0 BFADHN C[C@@H](CCO)NCc1cc(Cl)cc(Cl)c1 ZINC000096811716 385988307 /nfs/dbraw/zinc/98/83/07/385988307.db2.gz OSWZUCBNSBNTHL-QMMMGPOBSA-N 0 3 248.153 2.854 20 0 BFADHN CO[C@H](CN(C)[C@H](C)c1cccc(O)c1)C1CC1 ZINC000425411415 385988536 /nfs/dbraw/zinc/98/85/36/385988536.db2.gz DABABWWCANXVRJ-IAQYHMDHSA-N 0 3 249.354 2.810 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2ccc(C)o2)C1 ZINC000414140706 385989103 /nfs/dbraw/zinc/98/91/03/385989103.db2.gz JBKYKDUPZOVGQS-SDDRHHMPSA-N 0 3 223.316 2.806 20 0 BFADHN C[C@H](NC[C@H]1CCOC1)c1cccc(F)c1F ZINC000221330289 385989106 /nfs/dbraw/zinc/98/91/06/385989106.db2.gz KRGVQLGDBNGIOC-VHSXEESVSA-N 0 3 241.281 2.652 20 0 BFADHN CC(C)C[C@H](N[C@@H]1CCC[C@H]1O)c1ccccn1 ZINC000414141051 385989545 /nfs/dbraw/zinc/98/95/45/385989545.db2.gz HGCVIMMLXAKYLJ-QLFBSQMISA-N 0 3 248.370 2.672 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H](C)c2ccc(C)o2)C1 ZINC000414140714 385990070 /nfs/dbraw/zinc/99/00/70/385990070.db2.gz JBKYKDUPZOVGQS-UTUOFQBUSA-N 0 3 223.316 2.806 20 0 BFADHN CC[C@H](F)CN[C@@H]1C[C@@H](OC)C1(CC)CC ZINC000336749291 385990993 /nfs/dbraw/zinc/99/09/93/385990993.db2.gz YRMDIYWWMPAKGF-QJPTWQEYSA-N 0 3 231.355 2.918 20 0 BFADHN CC(C)CC[C@@H](NC[C@@H](C)CO)c1ccoc1 ZINC000285506085 385932831 /nfs/dbraw/zinc/93/28/31/385932831.db2.gz AJPIWQWTBPQTFE-TZMCWYRMSA-N 0 3 239.359 2.975 20 0 BFADHN Cc1cnccc1CN1CCCC2(CC2)C1 ZINC000294979510 385932824 /nfs/dbraw/zinc/93/28/24/385932824.db2.gz GCHKUJYDDURVSL-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CCOC[C@@H](NCc1ncccc1C)C(C)C ZINC000233737239 385993002 /nfs/dbraw/zinc/99/30/02/385993002.db2.gz OOSNMSKWJLGUMU-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN CCCCN1CCCC[C@@H]1c1cc[nH]n1 ZINC000285509706 385934158 /nfs/dbraw/zinc/93/41/58/385934158.db2.gz YHHWAMWUTZIOPF-GFCCVEGCSA-N 0 3 207.321 2.737 20 0 BFADHN CO[C@H](C)CN1CC[C@H](c2ccccc2F)C1 ZINC000272805922 385936402 /nfs/dbraw/zinc/93/64/02/385936402.db2.gz MAHCEAUTCGPEGM-NEPJUHHUSA-N 0 3 237.318 2.650 20 0 BFADHN CO[C@H](C)CN1CCC[C@@H]1c1ccc(F)cc1 ZINC000272802990 385936480 /nfs/dbraw/zinc/93/64/80/385936480.db2.gz LMTUWYNCJZYABX-BXUZGUMPSA-N 0 3 237.318 2.998 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc(F)cc1Cl ZINC000189120462 385937531 /nfs/dbraw/zinc/93/75/31/385937531.db2.gz PQWONVHCCAPZOU-IUCAKERBSA-N 0 3 245.725 2.992 20 0 BFADHN C[C@@H]1CN(CCCCCF)[C@H](C)[C@H](C)O1 ZINC000342177835 385940626 /nfs/dbraw/zinc/94/06/26/385940626.db2.gz WUSPMLWPRRWITH-UTUOFQBUSA-N 0 3 217.328 2.624 20 0 BFADHN Fc1ccc(C2CC2)cc1CN[C@@H]1CCOC1 ZINC000295024174 385943452 /nfs/dbraw/zinc/94/34/52/385943452.db2.gz CNKWTNVDRJDECM-CYBMUJFWSA-N 0 3 235.302 2.582 20 0 BFADHN c1cc(CN[C@H](Cc2ccccc2)C2CC2)n[nH]1 ZINC000295040438 385950173 /nfs/dbraw/zinc/95/01/73/385950173.db2.gz SSBXGSIPPQEBAX-OAHLLOKOSA-N 0 3 241.338 2.521 20 0 BFADHN CS[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC000295034603 385950484 /nfs/dbraw/zinc/95/04/84/385950484.db2.gz LYQAZKNHVWBMNS-GFCCVEGCSA-N 0 3 225.332 2.763 20 0 BFADHN C[C@H](CC(C)(C)O)NCc1cc(F)cc(F)c1 ZINC000295043178 385951200 /nfs/dbraw/zinc/95/12/00/385951200.db2.gz MVMLHEHKPCOGID-SECBINFHSA-N 0 3 243.297 2.604 20 0 BFADHN CCCC1(CN[C@@H](C)c2ccn(C)n2)CC1 ZINC000414080992 385952408 /nfs/dbraw/zinc/95/24/08/385952408.db2.gz AVQPOMKICHWWMU-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CC[C@H](C)CNCc1cc(F)ccc1OC ZINC000189204023 385952616 /nfs/dbraw/zinc/95/26/16/385952616.db2.gz BKLVCRHKGMEPJD-JTQLQIEISA-N 0 3 225.307 2.970 20 0 BFADHN CC[C@H](NCc1csc(C)c1)[C@H](O)C(F)F ZINC000295044927 385953409 /nfs/dbraw/zinc/95/34/09/385953409.db2.gz MZVSXXUFHFEWHG-UWVGGRQHSA-N 0 3 249.326 2.551 20 0 BFADHN CC[C@H](NCc1ccc(F)cn1)[C@H]1C[C@H]1C ZINC000414087683 385955465 /nfs/dbraw/zinc/95/54/65/385955465.db2.gz IIIZOBHLMFKBPY-ICCXJUOJSA-N 0 3 222.307 2.745 20 0 BFADHN CC/C=C\CCN1CC[C@H](Oc2ccccn2)C1 ZINC000342204304 385957599 /nfs/dbraw/zinc/95/75/99/385957599.db2.gz MDMBOHYACIAUHT-NQHOJNORSA-N 0 3 246.354 2.891 20 0 BFADHN CC[C@@H](CSC)N[C@@H](C)c1cc(C)n(C)n1 ZINC000282701158 385957889 /nfs/dbraw/zinc/95/78/89/385957889.db2.gz KWVHHOWNARUGMW-QWRGUYRKSA-N 0 3 241.404 2.521 20 0 BFADHN CN(Cc1ccccn1)[C@H]1C=CCCC1 ZINC000295063729 385958285 /nfs/dbraw/zinc/95/82/85/385958285.db2.gz BZILGKFENBUVDC-ZDUSSCGKSA-N 0 3 202.301 2.622 20 0 BFADHN Cn1nccc1C1=CCN([C@@H]2C=CCCC2)CC1 ZINC000285696945 385958444 /nfs/dbraw/zinc/95/84/44/385958444.db2.gz SBCZTKMGLXUYPW-CQSZACIVSA-N 0 3 243.354 2.618 20 0 BFADHN Cc1ccc2nc(CN[C@H](C)C3CC3)cn2c1 ZINC000272996933 385958848 /nfs/dbraw/zinc/95/88/48/385958848.db2.gz NVRJHPBDXIGPSF-LLVKDONJSA-N 0 3 229.327 2.531 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1ccn(C)n1 ZINC000414095674 385959128 /nfs/dbraw/zinc/95/91/28/385959128.db2.gz GZRWXEORDCBGOJ-WZRBSPASSA-N 0 3 221.348 2.649 20 0 BFADHN COc1ccc(CN[C@H](C)[C@@H]2C[C@H]2C)c(F)c1 ZINC000414093848 385960189 /nfs/dbraw/zinc/96/01/89/385960189.db2.gz OCLFBPRZAMDRLE-GIPNMCIBSA-N 0 3 237.318 2.968 20 0 BFADHN FC(F)[C@@H]1C[C@@H]1NCc1ccc2ncccc2c1 ZINC000342216210 385961068 /nfs/dbraw/zinc/96/10/68/385961068.db2.gz PSSIDPPMMHZBEO-YPMHNXCESA-N 0 3 248.276 2.978 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@@H](C)c1ccn(C)n1 ZINC000414098895 385962356 /nfs/dbraw/zinc/96/23/56/385962356.db2.gz TWHSQLVYQFPRPU-SRVKXCTJSA-N 0 3 223.364 2.895 20 0 BFADHN C[C@@H](NCc1ccc(Cl)cn1)[C@@H]1C[C@H]1C ZINC000414098495 385962572 /nfs/dbraw/zinc/96/25/72/385962572.db2.gz JSUCIXLGFALNLO-KBVBSXBZSA-N 0 3 224.735 2.869 20 0 BFADHN CSCCN1Cc2ccccc2OC[C@@H]1C ZINC000273040509 385964373 /nfs/dbraw/zinc/96/43/73/385964373.db2.gz WMXFHQGYNDTPAM-NSHDSACASA-N 0 3 237.368 2.633 20 0 BFADHN CSCCN1Cc2ccccc2OC[C@H]1C ZINC000273040508 385964835 /nfs/dbraw/zinc/96/48/35/385964835.db2.gz WMXFHQGYNDTPAM-LLVKDONJSA-N 0 3 237.368 2.633 20 0 BFADHN C[C@@H](NC[C@H]1CCCC1(C)C)c1ccn(C)n1 ZINC000414145719 385994148 /nfs/dbraw/zinc/99/41/48/385994148.db2.gz PPRKLMHEEREMBZ-VXGBXAGGSA-N 0 3 235.375 2.897 20 0 BFADHN FC1(F)CCC[C@H](CN2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000338320786 385965989 /nfs/dbraw/zinc/96/59/89/385965989.db2.gz UJORAMANSTUEBL-SDDRHHMPSA-N 0 3 245.313 2.675 20 0 BFADHN CC/C=C/CNCc1ccc(F)nc1C ZINC000295096985 385967783 /nfs/dbraw/zinc/96/77/83/385967783.db2.gz UKCOGLKXFKWRBD-SNAWJCMRSA-N 0 3 208.280 2.585 20 0 BFADHN CC[C@@H](NCc1cc(C)ncn1)[C@H]1CC1(C)C ZINC000414110504 385967848 /nfs/dbraw/zinc/96/78/48/385967848.db2.gz WDIKIKHFAMJFAU-CHWSQXEVSA-N 0 3 233.359 2.699 20 0 BFADHN COc1ccc(CN(C)[C@@H]2CC[C@@H](C)C2)nc1 ZINC000338361002 385972040 /nfs/dbraw/zinc/97/20/40/385972040.db2.gz NPIYOAKUIVWBDE-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](NCc1cnc(C2CC2)o1)[C@H]1C[C@H]1C ZINC000414145364 385994416 /nfs/dbraw/zinc/99/44/16/385994416.db2.gz KDMGMDMIRTVISP-PTRXPTGYSA-N 0 3 220.316 2.686 20 0 BFADHN CCc1ccccc1CN1CCOC[C@H]1C1CC1 ZINC000189301516 385972786 /nfs/dbraw/zinc/97/27/86/385972786.db2.gz HWRSLZFUPYYGMO-INIZCTEOSA-N 0 3 245.366 2.860 20 0 BFADHN CC(C)CCCNCc1cccnc1N(C)C ZINC000338426760 385999564 /nfs/dbraw/zinc/99/95/64/385999564.db2.gz SJSAEGLZXPVTGA-UHFFFAOYSA-N 0 3 235.375 2.673 20 0 BFADHN C[C@H](CCC1CC1)N[C@H](C)c1nccn1C ZINC000336750350 386003334 /nfs/dbraw/zinc/00/33/34/386003334.db2.gz USIMLXGORLTYAX-GHMZBOCLSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@@H](N[C@H](C1CC1)C1CCC1)c1ccn(C)n1 ZINC000414154688 386005001 /nfs/dbraw/zinc/00/50/01/386005001.db2.gz UAFGPEDVGQWSCN-YGRLFVJLSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H](C)CC1CC1 ZINC000188005962 386016765 /nfs/dbraw/zinc/01/67/65/386016765.db2.gz HPJQGWYTBXDQBQ-GXSJLCMTSA-N 0 3 219.332 2.624 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1ccc(F)cc1 ZINC000221766624 386017352 /nfs/dbraw/zinc/01/73/52/386017352.db2.gz QFKLXHRFRJABFF-VWYCJHECSA-N 0 3 225.307 2.900 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H]1CC(C)C)c1ccn(C)n1 ZINC000414177795 386019992 /nfs/dbraw/zinc/01/99/92/386019992.db2.gz JGXHNRBBOHPJFA-MBNYWOFBSA-N 0 3 235.375 2.895 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000414179046 386021998 /nfs/dbraw/zinc/02/19/98/386021998.db2.gz KOGVCLGSVSQDEW-FDYHWXHSSA-N 0 3 233.359 2.913 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H]1C1CC1)c1cnccn1 ZINC000414181046 386022237 /nfs/dbraw/zinc/02/22/37/386022237.db2.gz BSDAKTMCTRNPFP-FPMFFAJLSA-N 0 3 245.370 2.954 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@@H]1C[C@H]1CC(C)C ZINC000414182048 386022692 /nfs/dbraw/zinc/02/26/92/386022692.db2.gz MUTULGJFZAEJAC-MPKXVKKWSA-N 0 3 235.375 2.814 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@H]1CCC[C@@H]1C1CC1)CC2 ZINC000414187009 386028157 /nfs/dbraw/zinc/02/81/57/386028157.db2.gz HUNRMHXZKFFKCF-MGPQQGTHSA-N 0 3 245.370 2.744 20 0 BFADHN Cc1ccc2cc([C@H](C)N[C@@H](C)CO)oc2c1 ZINC000426013611 386028521 /nfs/dbraw/zinc/02/85/21/386028521.db2.gz ATCDNQSFJNZYOM-QWRGUYRKSA-N 0 3 233.311 2.773 20 0 BFADHN CCC[C@@H]1CCCN(Cc2n[nH]cc2C)C1 ZINC000356125363 386028568 /nfs/dbraw/zinc/02/85/68/386028568.db2.gz KRVIWOWTSNHJMF-GFCCVEGCSA-N 0 3 221.348 2.730 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2CCCC[C@@H]2O)c1 ZINC000286351225 386029047 /nfs/dbraw/zinc/02/90/47/386029047.db2.gz YTVPACABOYSUEK-YDHLFZDLSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1ccc2cc([C@@H](C)N[C@@H](C)CO)oc2c1 ZINC000426013616 386029653 /nfs/dbraw/zinc/02/96/53/386029653.db2.gz ATCDNQSFJNZYOM-WDEREUQCSA-N 0 3 233.311 2.773 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@H]1C1CC1)c1ccn(C)n1 ZINC000414189323 386029768 /nfs/dbraw/zinc/02/97/68/386029768.db2.gz JLWWITMEPCDDAG-YUTCNCBUSA-N 0 3 247.386 2.897 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@@H]1CC[C@H](C)O1 ZINC000355276412 386030204 /nfs/dbraw/zinc/03/02/04/386030204.db2.gz LRKVEDUKFPPUCA-AVGNSLFASA-N 0 3 234.343 2.608 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@H]1CC[C@H](C)O1 ZINC000355276422 386031087 /nfs/dbraw/zinc/03/10/87/386031087.db2.gz LRKVEDUKFPPUCA-RWMBFGLXSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1ncc(CN[C@H]2CCC[C@@H]2CCF)o1 ZINC000414195066 386033489 /nfs/dbraw/zinc/03/34/89/386033489.db2.gz XGGNJVLCLNMSSU-PWSUYJOCSA-N 0 3 226.295 2.601 20 0 BFADHN CCC(O)(CC)CCNCc1occc1C ZINC000336727848 386034981 /nfs/dbraw/zinc/03/49/81/386034981.db2.gz GVSOUXLSXDDIPQ-UHFFFAOYSA-N 0 3 225.332 2.619 20 0 BFADHN COCC[C@H](C)CN[C@@H](C)c1csc(C)n1 ZINC000414197303 386035252 /nfs/dbraw/zinc/03/52/52/386035252.db2.gz KAURVFQUQIYMBG-UWVGGRQHSA-N 0 3 242.388 2.775 20 0 BFADHN Cc1ccc(C)c(N2CCN(C(C)C)CC2)c1 ZINC000164567945 386037125 /nfs/dbraw/zinc/03/71/25/386037125.db2.gz RZWVFFHOBKANGS-UHFFFAOYSA-N 0 3 232.371 2.834 20 0 BFADHN Cc1cnn(C)c1CN1CCC[C@@H](C(C)C)C1 ZINC000334429055 386039187 /nfs/dbraw/zinc/03/91/87/386039187.db2.gz TVYUXSIEYCASRX-CYBMUJFWSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@@H](N[C@H](C)C1CC1)c1ccncc1F ZINC000336771464 386039531 /nfs/dbraw/zinc/03/95/31/386039531.db2.gz XLTOVGUVHQTISV-RKDXNWHRSA-N 0 3 208.280 2.670 20 0 BFADHN COC(=O)C(C)(C)CCN(C)Cc1ccccc1 ZINC000338547284 386041608 /nfs/dbraw/zinc/04/16/08/386041608.db2.gz WEMJKHRSMXAKGK-UHFFFAOYSA-N 0 3 249.354 2.708 20 0 BFADHN Cc1sccc1CN(C)CC1(O)CCCC1 ZINC000295506169 386042774 /nfs/dbraw/zinc/04/27/74/386042774.db2.gz YTPRUPINBSADOO-UHFFFAOYSA-N 0 3 239.384 2.793 20 0 BFADHN CN(CCCCCO)Cc1cc(F)cc(F)c1 ZINC000234263386 386042847 /nfs/dbraw/zinc/04/28/47/386042847.db2.gz OMLPQGFCCXREJK-UHFFFAOYSA-N 0 3 243.297 2.559 20 0 BFADHN CO[C@@H](CN1CC=C(C(F)(F)F)CC1)C1CC1 ZINC000425423738 386043996 /nfs/dbraw/zinc/04/39/96/386043996.db2.gz RECDVPAOTWBSMP-NSHDSACASA-N 0 3 249.276 2.606 20 0 BFADHN CC[C@H](c1ccncc1)N(C)C[C@H](OC)C1CC1 ZINC000425424813 386046243 /nfs/dbraw/zinc/04/62/43/386046243.db2.gz STJKMKSHODEBAX-CABCVRRESA-N 0 3 248.370 2.890 20 0 BFADHN CCCN1CCc2c(cnn2-c2ccccc2)C1 ZINC000334438538 386048083 /nfs/dbraw/zinc/04/80/83/386048083.db2.gz JZAZXAORFQAFLT-UHFFFAOYSA-N 0 3 241.338 2.640 20 0 BFADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1ccncc1F ZINC000414236926 386052087 /nfs/dbraw/zinc/05/20/87/386052087.db2.gz WFJQGPRCIBGGKO-OPRDCNLKSA-N 0 3 240.347 2.765 20 0 BFADHN CCOC[C@H](NCc1occc1C)C(C)C ZINC000233780841 385995643 /nfs/dbraw/zinc/99/56/43/385995643.db2.gz ZNJHWIHZXNITSW-LBPRGKRZSA-N 0 3 225.332 2.739 20 0 BFADHN CCOC[C@H](NCc1cnccc1C)C(C)C ZINC000233780345 385996644 /nfs/dbraw/zinc/99/66/44/385996644.db2.gz KJLYEKWJYVVNDO-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN CC[C@H](N[C@H](C)c1ccco1)[C@H](O)C(C)C ZINC000414300403 386108329 /nfs/dbraw/zinc/10/83/29/386108329.db2.gz SCAIHQKOJLIZSG-NTZNESFSSA-N 0 3 225.332 2.726 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H]2CCCCO2)o1 ZINC000037979132 386111519 /nfs/dbraw/zinc/11/15/19/386111519.db2.gz QXPOWIXJNFOXRA-NWDGAFQWSA-N 0 3 223.316 2.808 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCC(C)(C)C2)n(C)n1 ZINC000335139837 386114748 /nfs/dbraw/zinc/11/47/48/386114748.db2.gz RXJWUVONQZMUHU-LBPRGKRZSA-N 0 3 235.375 2.739 20 0 BFADHN CCn1ccc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)n1 ZINC000414206621 386059467 /nfs/dbraw/zinc/05/94/67/386059467.db2.gz JBYWKRMKULKKDJ-SGMGOOAPSA-N 0 3 235.375 2.817 20 0 BFADHN COc1c(O)cccc1CNCCC(C)(F)F ZINC000295612073 386060021 /nfs/dbraw/zinc/06/00/21/386060021.db2.gz DUYPPOBPXWNJQB-UHFFFAOYSA-N 0 3 245.269 2.536 20 0 BFADHN CCn1ccc(CN[C@H]2CCC[C@@H]2C2CC2)n1 ZINC000414207036 386062743 /nfs/dbraw/zinc/06/27/43/386062743.db2.gz JIBQWXPGQQOMCS-KGLIPLIRSA-N 0 3 233.359 2.571 20 0 BFADHN C[C@@H](O)CN1CC(C)(C)[C@H]1c1cccs1 ZINC000286655782 386062853 /nfs/dbraw/zinc/06/28/53/386062853.db2.gz AFOQQAFEKDHXDI-MWLCHTKSSA-N 0 3 225.357 2.512 20 0 BFADHN CO[C@@H](CNC(C)(C)c1ccc(C)nc1)C1CC1 ZINC000425436279 386063229 /nfs/dbraw/zinc/06/32/29/386063229.db2.gz VUZJFULIZTZOPZ-AWEZNQCLSA-N 0 3 248.370 2.640 20 0 BFADHN Fc1ccc2c(c1)CCN(C[C@@H]1CCCOC1)C2 ZINC000334440551 386067483 /nfs/dbraw/zinc/06/74/83/386067483.db2.gz XGKXTBLGKBGWLL-LBPRGKRZSA-N 0 3 249.329 2.610 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)no1 ZINC000414212943 386068261 /nfs/dbraw/zinc/06/82/61/386068261.db2.gz QLVSERHKXMIMEX-WHOHXGKFSA-N 0 3 220.316 2.822 20 0 BFADHN CCn1ccc(CN[C@@H]2CC[C@@H]2C2CCC2)n1 ZINC000414212767 386068353 /nfs/dbraw/zinc/06/83/53/386068353.db2.gz SNIWRHKULIIRAV-ZIAGYGMSSA-N 0 3 233.359 2.571 20 0 BFADHN CCc1ccc2nccc(N[C@H](C)CCO)c2c1 ZINC000295658397 386068432 /nfs/dbraw/zinc/06/84/32/386068432.db2.gz ABZPWLFYRYODQE-LLVKDONJSA-N 0 3 244.338 2.980 20 0 BFADHN CCn1ccc(CN[C@H]2CCC[C@@H](C)C2)n1 ZINC000414215094 386069584 /nfs/dbraw/zinc/06/95/84/386069584.db2.gz XLJHJYRJKSPXDT-NEPJUHHUSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@@H](C)C2)n1 ZINC000414215099 386070324 /nfs/dbraw/zinc/07/03/24/386070324.db2.gz XLJHJYRJKSPXDT-VXGBXAGGSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccc(CN[C@H]2CCC[C@H](C)C2)n1 ZINC000414215097 386071376 /nfs/dbraw/zinc/07/13/76/386071376.db2.gz XLJHJYRJKSPXDT-RYUDHWBXSA-N 0 3 221.348 2.571 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H](C)c1cccc(O)c1 ZINC000414216891 386073538 /nfs/dbraw/zinc/07/35/38/386073538.db2.gz XGOXGCMWLWXVSG-GDLCADMTSA-N 0 3 235.327 2.610 20 0 BFADHN CO[C@@](C)([C@@H](C)N[C@H](C)c1cccnc1)C1CC1 ZINC000414225330 386075385 /nfs/dbraw/zinc/07/53/85/386075385.db2.gz BIWTXIGUHVZOEZ-JMSVASOKSA-N 0 3 248.370 2.936 20 0 BFADHN CO[C@@](C)([C@H](C)N[C@H](C)c1cccnc1)C1CC1 ZINC000414225332 386076185 /nfs/dbraw/zinc/07/61/85/386076185.db2.gz BIWTXIGUHVZOEZ-XUJVJEKNSA-N 0 3 248.370 2.936 20 0 BFADHN CO[C@@](C)([C@H](C)N[C@H](C)c1ccccn1)C1CC1 ZINC000414229088 386076966 /nfs/dbraw/zinc/07/69/66/386076966.db2.gz DZOAFCMWDGMQOE-XUJVJEKNSA-N 0 3 248.370 2.936 20 0 BFADHN CCC[C@H](NCC1=CCCOC1)c1cccnc1 ZINC000286889835 386079961 /nfs/dbraw/zinc/07/99/61/386079961.db2.gz CZNARRHRCFAHBL-HNNXBMFYSA-N 0 3 246.354 2.859 20 0 BFADHN CO[C@@H](CN1Cc2ccc(C)cc2C1)C1CC1 ZINC000425447494 386080515 /nfs/dbraw/zinc/08/05/15/386080515.db2.gz RZTVJBIUYIYGPQ-HNNXBMFYSA-N 0 3 231.339 2.736 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@@H](C)c1ccccc1F ZINC000164599398 386083929 /nfs/dbraw/zinc/08/39/29/386083929.db2.gz BWCLXELSXAWGHV-VWYCJHECSA-N 0 3 225.307 2.636 20 0 BFADHN C[C@H](CN[C@@H](C)c1ccn(C)n1)CC(F)(F)F ZINC000414272512 386088721 /nfs/dbraw/zinc/08/87/21/386088721.db2.gz RWIPUIPBXSFILZ-IUCAKERBSA-N 0 3 249.280 2.659 20 0 BFADHN Cc1cncc([C@H](C)NC[C@H]2CCCCO2)c1 ZINC000274343698 386091004 /nfs/dbraw/zinc/09/10/04/386091004.db2.gz BHJGKNISBFZYCG-GXTWGEPZSA-N 0 3 234.343 2.610 20 0 BFADHN CC[C@H](NCc1nnc(C2CC2)s1)C(C)C ZINC000414330762 386123076 /nfs/dbraw/zinc/12/30/76/386123076.db2.gz SLYAXXMUGWUMNS-JTQLQIEISA-N 0 3 239.388 2.940 20 0 BFADHN C[C@@H](NC[C@@H](O)Cc1ccccc1)c1ccco1 ZINC000287111108 386095731 /nfs/dbraw/zinc/09/57/31/386095731.db2.gz QNSHWDPDNQKXAK-OCCSQVGLSA-N 0 3 245.322 2.534 20 0 BFADHN CO[C@H]1CCN([C@H](C)c2ccccn2)CC1(C)C ZINC000295873504 386097926 /nfs/dbraw/zinc/09/79/26/386097926.db2.gz FTKBOYVAMIXMGL-OCCSQVGLSA-N 0 3 248.370 2.890 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@H]2C2CCCCC2)nn1 ZINC000414356499 386144140 /nfs/dbraw/zinc/14/41/40/386144140.db2.gz DXYDAUTYEVSGMS-GJZGRUSLSA-N 0 3 245.370 2.843 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1cc(C)n(C)n1 ZINC000414357268 386145259 /nfs/dbraw/zinc/14/52/59/386145259.db2.gz IYIZBNUPARBGQG-QWRGUYRKSA-N 0 3 223.364 2.643 20 0 BFADHN CC(C)n1nccc1CN1C[C@H](C)C[C@@H]1C ZINC000334473448 386145941 /nfs/dbraw/zinc/14/59/41/386145941.db2.gz JFTNNQQYONVTBX-NEPJUHHUSA-N 0 3 221.348 2.694 20 0 BFADHN CC[C@H]1COCCN1C[C@@H]1CCC(F)(F)C1 ZINC000335152755 386146175 /nfs/dbraw/zinc/14/61/75/386146175.db2.gz DYMWYDOSGZLEJO-MNOVXSKESA-N 0 3 233.302 2.533 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1ccc(C)nn1 ZINC000414357468 386146312 /nfs/dbraw/zinc/14/63/12/386146312.db2.gz JRAQBXYRWBSETM-PWSUYJOCSA-N 0 3 221.348 2.699 20 0 BFADHN CCN(Cc1occc1C)C[C@@H](OC)C1CC1 ZINC000425477866 386149691 /nfs/dbraw/zinc/14/96/91/386149691.db2.gz OHWMYRZOVCZBAG-CQSZACIVSA-N 0 3 237.343 2.835 20 0 BFADHN CC[C@H](F)CN(C)[C@@H]1C[C@@](C)(OC)C1(C)C ZINC000440782954 386150813 /nfs/dbraw/zinc/15/08/13/386150813.db2.gz DQZVNAPPOOOOTO-DMDPSCGWSA-N 0 3 231.355 2.870 20 0 BFADHN CC[C@H](F)CN(C)[C@H]1C[C@](C)(OC)C1(C)C ZINC000440782958 386151227 /nfs/dbraw/zinc/15/12/27/386151227.db2.gz DQZVNAPPOOOOTO-GVXVVHGQSA-N 0 3 231.355 2.870 20 0 BFADHN CCCC[C@@H](CC)N[C@@H]1CNCCC1(F)F ZINC000414991688 386151342 /nfs/dbraw/zinc/15/13/42/386151342.db2.gz AQZVADGBQREVKH-GHMZBOCLSA-N 0 3 234.334 2.542 20 0 BFADHN Cc1occc1CNCCc1ccccc1O ZINC000414350742 386152254 /nfs/dbraw/zinc/15/22/54/386152254.db2.gz UUBOGEQEBZENRX-UHFFFAOYSA-N 0 3 231.295 2.626 20 0 BFADHN CC[C@H](F)CN1CC[C@H](C)[C@@H](n2ccnc2)C1 ZINC000440783070 386152358 /nfs/dbraw/zinc/15/23/58/386152358.db2.gz KNMVPBVLEGDWOB-AVGNSLFASA-N 0 3 239.338 2.514 20 0 BFADHN Cc1ccc(CN2CC3(C2)CCOCC3)cc1C ZINC000335159770 386155175 /nfs/dbraw/zinc/15/51/75/386155175.db2.gz VZMCROJNWFMZKF-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN CCc1ncc(CN(CC(C)C)C2CC2)cn1 ZINC000414366975 386159688 /nfs/dbraw/zinc/15/96/88/386159688.db2.gz CXQBDBPWDGEGGW-UHFFFAOYSA-N 0 3 233.359 2.659 20 0 BFADHN COc1cc(CN[C@@H]2C[C@H](C)O[C@@H]2C)ccc1C ZINC000296311003 386162337 /nfs/dbraw/zinc/16/23/37/386162337.db2.gz GPCUGNPUIJGYIL-OUCADQQQSA-N 0 3 249.354 2.659 20 0 BFADHN Cc1occc1CN[C@H]1CCO[C@H](C(C)C)C1 ZINC000346133736 386164133 /nfs/dbraw/zinc/16/41/33/386164133.db2.gz PQDUUZHTUNQKJE-KBPBESRZSA-N 0 3 237.343 2.881 20 0 BFADHN C[C@H](CN(C)C)NC(=O)CCCCC(C)(C)C ZINC000346138723 386166342 /nfs/dbraw/zinc/16/63/42/386166342.db2.gz NSXCVZXLCZVWSK-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@@H]1CC12CC2 ZINC000335281769 386167487 /nfs/dbraw/zinc/16/74/87/386167487.db2.gz XNIOBSGAUSTOPX-CMPLNLGQSA-N 0 3 203.285 2.799 20 0 BFADHN CCc1ncc(CN(C)C2CCCCC2)cn1 ZINC000414370927 386167534 /nfs/dbraw/zinc/16/75/34/386167534.db2.gz XBNBBERHYBQAEM-UHFFFAOYSA-N 0 3 233.359 2.804 20 0 BFADHN COC(=O)c1ccc(CN(C)CC(C)(C)C)o1 ZINC000263731801 386168409 /nfs/dbraw/zinc/16/84/09/386168409.db2.gz CRFRTIBXIUWYQN-UHFFFAOYSA-N 0 3 239.315 2.544 20 0 BFADHN CCc1ccc(NC(=O)[C@H](N)[C@H](C)CC)cc1 ZINC000019483061 386171341 /nfs/dbraw/zinc/17/13/41/386171341.db2.gz GOPPMHUSQPDVAV-ZWNOBZJWSA-N 0 3 234.343 2.561 20 0 BFADHN CCc1ccc(CN2CCC[C@H](C)C2)nc1 ZINC000338898212 386171922 /nfs/dbraw/zinc/17/19/22/386171922.db2.gz CQPZYSPTFDBFKA-LBPRGKRZSA-N 0 3 218.344 2.876 20 0 BFADHN COC(=O)c1coc(CN(C)[C@@H](C)C(C)C)c1 ZINC000263781729 386174610 /nfs/dbraw/zinc/17/46/10/386174610.db2.gz KJURROVNHJUIIQ-JTQLQIEISA-N 0 3 239.315 2.543 20 0 BFADHN CN(Cc1cccs1)[C@H]1CCSC1 ZINC000130626209 386179394 /nfs/dbraw/zinc/17/93/94/386179394.db2.gz XKVJIWTWFOJLEB-VIFPVBQESA-N 0 3 213.371 2.685 20 0 BFADHN CCCCNCc1cc(C(=O)OC)c(CC)o1 ZINC000338945060 386181321 /nfs/dbraw/zinc/18/13/21/386181321.db2.gz MVIIBPOMIMVKDP-UHFFFAOYSA-N 0 3 239.315 2.518 20 0 BFADHN CC(C)CN(Cc1ccn(C)n1)CC(C)C ZINC000340275375 386182475 /nfs/dbraw/zinc/18/24/75/386182475.db2.gz GSTKKJYEDKFMLZ-UHFFFAOYSA-N 0 3 223.364 2.534 20 0 BFADHN C[C@@H](C1CCCCC1)N(C)Cc1cc[nH]n1 ZINC000338956496 386184211 /nfs/dbraw/zinc/18/42/11/386184211.db2.gz VATDRAOBAUDPOW-NSHDSACASA-N 0 3 221.348 2.810 20 0 BFADHN Cc1cncc([C@@H](C)N[C@H](C)CC(C)(C)O)c1 ZINC000296577961 386185750 /nfs/dbraw/zinc/18/57/50/386185750.db2.gz UBPYKWLMRQXWTF-VXGBXAGGSA-N 0 3 236.359 2.590 20 0 BFADHN CCCCN(Cc1cncc(F)c1)C1CC1 ZINC000275153956 386186145 /nfs/dbraw/zinc/18/61/45/386186145.db2.gz UGESRZLMXRNATB-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN CC[C@]1(CO)CCCN(Cc2occc2C)C1 ZINC000275159791 386187223 /nfs/dbraw/zinc/18/72/23/386187223.db2.gz XIFYOQXAJRBYKV-AWEZNQCLSA-N 0 3 237.343 2.573 20 0 BFADHN CCc1ccc(CNCc2ccn(C)c2)s1 ZINC000224165553 386130410 /nfs/dbraw/zinc/13/04/10/386130410.db2.gz TVIMYPNZONERQA-UHFFFAOYSA-N 0 3 234.368 2.939 20 0 BFADHN COc1cccc(CN2[C@@H](C)CCC2(C)C)n1 ZINC000452018983 386131317 /nfs/dbraw/zinc/13/13/17/386131317.db2.gz YIWXHPIUUXMXOW-NSHDSACASA-N 0 3 234.343 2.853 20 0 BFADHN Cc1cccc(O)c1NC(=O)C(C)C(F)(F)F ZINC000334464709 386135162 /nfs/dbraw/zinc/13/51/62/386135162.db2.gz BWKCBHGLOYOCHV-ZETCQYMHSA-N 0 3 247.216 2.838 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCC[C@H]2CCO)c1 ZINC000287598098 386137064 /nfs/dbraw/zinc/13/70/64/386137064.db2.gz RWUREXOQTXINFO-IHRRRGAJSA-N 0 3 248.370 2.592 20 0 BFADHN CCO[C@@H]1C[C@H](N[C@@H]2CCC[C@H]2F)C1(C)C ZINC000340530114 386138241 /nfs/dbraw/zinc/13/82/41/386138241.db2.gz LPSKYBOIKBNJHA-WISYIIOYSA-N 0 3 229.339 2.670 20 0 BFADHN CCC[C@@H]1C[C@@H]1[NH2+]Cc1cc([O-])cc(F)c1 ZINC000225362190 386189482 /nfs/dbraw/zinc/18/94/82/386189482.db2.gz VSNKTAFAIOOEPZ-MFKMUULPSA-N 0 3 223.291 2.810 20 0 BFADHN Cc1nccc(CN2CCCCC(C)(C)C2)n1 ZINC000334470262 386141388 /nfs/dbraw/zinc/14/13/88/386141388.db2.gz FMOLOGGKIKSHPQ-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN c1cc2cc(CNCC3=CCCOC3)ccc2o1 ZINC000288459284 386204890 /nfs/dbraw/zinc/20/48/90/386204890.db2.gz NUJMNKZURDLYTD-UHFFFAOYSA-N 0 3 243.306 2.869 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(C(C)C)nc2C)C1 ZINC000339012396 386209098 /nfs/dbraw/zinc/20/90/98/386209098.db2.gz ZEOAXSQXYCFAPQ-CQSZACIVSA-N 0 3 248.370 2.734 20 0 BFADHN CC(=O)CCN1CC[C@H](c2cccc(F)c2)C1 ZINC000264225012 386217684 /nfs/dbraw/zinc/21/76/84/386217684.db2.gz WUXDRFVXUJGFQA-ZDUSSCGKSA-N 0 3 235.302 2.594 20 0 BFADHN CSC[C@H](C)NCc1cc(F)ccc1F ZINC000125011299 386219211 /nfs/dbraw/zinc/21/92/11/386219211.db2.gz BCQGJXXPVJBRCJ-QMMMGPOBSA-N 0 3 231.311 2.806 20 0 BFADHN CC(=O)CCN1CCC(n2c(C)ccc2C)CC1 ZINC000264244214 386219475 /nfs/dbraw/zinc/21/94/75/386219475.db2.gz VVRLOFHNSLQRFZ-UHFFFAOYSA-N 0 3 248.370 2.721 20 0 BFADHN CC[C@H](N[C@H]1CCO[C@@H]1C1CC1)c1ccncc1 ZINC000453188560 386224098 /nfs/dbraw/zinc/22/40/98/386224098.db2.gz ZIXPEZPTJXENEI-SOUVJXGZSA-N 0 3 246.354 2.690 20 0 BFADHN Cc1ccc(CN2CC([C@H]3CCOC3)C2)s1 ZINC000366244865 386225056 /nfs/dbraw/zinc/22/50/56/386225056.db2.gz AJBWRTBTOGXDIY-NSHDSACASA-N 0 3 237.368 2.525 20 0 BFADHN CCC[C@@]1(CO)CCN([C@H](C)c2cccnc2)C1 ZINC000288690676 386227393 /nfs/dbraw/zinc/22/73/93/386227393.db2.gz DCUPHRIHJMEJBI-UKRRQHHQSA-N 0 3 248.370 2.627 20 0 BFADHN C/C=C(\C)C(=O)Nc1ccccc1CCN(C)C ZINC000275633407 386228329 /nfs/dbraw/zinc/22/83/29/386228329.db2.gz KVYWIMHXRFHPOM-LFYBBSHMSA-N 0 3 246.354 2.695 20 0 BFADHN CN(Cc1cccc(Cl)c1)[C@H]1CCOC1 ZINC000264384767 386231212 /nfs/dbraw/zinc/23/12/12/386231212.db2.gz DBQSFEUMBBXXTA-LBPRGKRZSA-N 0 3 225.719 2.561 20 0 BFADHN CCc1ncc(CN(C)CC2CCCC2)cn1 ZINC000414383460 386231917 /nfs/dbraw/zinc/23/19/17/386231917.db2.gz RNRDUNCAIRDHQP-UHFFFAOYSA-N 0 3 233.359 2.661 20 0 BFADHN CN(Cc1cccc(Cl)c1)[C@@H]1CCOC1 ZINC000264384766 386232421 /nfs/dbraw/zinc/23/24/21/386232421.db2.gz DBQSFEUMBBXXTA-GFCCVEGCSA-N 0 3 225.719 2.561 20 0 BFADHN COc1ccncc1CN(C)[C@@H]1CC[C@@H](C)C1 ZINC000347115561 386232608 /nfs/dbraw/zinc/23/26/08/386232608.db2.gz AOOGRFLMDCFBTG-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN CCCCN(Cc1cc(OC)ccn1)C1CC1 ZINC000264432374 386236695 /nfs/dbraw/zinc/23/66/95/386236695.db2.gz OHVALRUEIMTSBG-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCc1ccc(CN(C)[C@H]2CC[C@H](O)CC2)o1 ZINC000276012944 386260705 /nfs/dbraw/zinc/26/07/05/386260705.db2.gz OOFQZGDMZNQNOX-HAQNSBGRSA-N 0 3 237.343 2.577 20 0 BFADHN CCCCC[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000275786923 386239555 /nfs/dbraw/zinc/23/95/55/386239555.db2.gz DDIJBEKAFYKPPX-CYBMUJFWSA-N 0 3 244.342 2.674 20 0 BFADHN CC[C@H](O)CN(C)[C@H](C)c1sc(C)nc1C ZINC000264468245 386240283 /nfs/dbraw/zinc/24/02/83/386240283.db2.gz WUDISPXGUWRDRX-KOLCDFICSA-N 0 3 242.388 2.524 20 0 BFADHN CCC[C@H](O)CNc1ccnc2ccccc21 ZINC000226231994 386240448 /nfs/dbraw/zinc/24/04/48/386240448.db2.gz AUWXEGSBLLRIHF-NSHDSACASA-N 0 3 230.311 2.808 20 0 BFADHN COCCCCCN1CC(C)(C)OC(C)(C)C1 ZINC000190557043 386243062 /nfs/dbraw/zinc/24/30/62/386243062.db2.gz JAIBOMXNVYMGER-UHFFFAOYSA-N 0 3 243.391 2.693 20 0 BFADHN CN(C)CCc1ccccc1NC(=O)C1CCC1 ZINC000275911224 386251257 /nfs/dbraw/zinc/25/12/57/386251257.db2.gz VPRGYTOREOIFMK-UHFFFAOYSA-N 0 3 246.354 2.529 20 0 BFADHN CCC[C@H](C)NCc1oc(CC)nc1C ZINC000291976273 386251543 /nfs/dbraw/zinc/25/15/43/386251543.db2.gz UPSBMOLFXJOFNH-VIFPVBQESA-N 0 3 210.321 2.824 20 0 BFADHN CC[C@H](O)CN(C)Cc1ccc(F)c(Cl)c1 ZINC000339125551 386252424 /nfs/dbraw/zinc/25/24/24/386252424.db2.gz CDUXHIHZGDIQPY-JTQLQIEISA-N 0 3 245.725 2.682 20 0 BFADHN CC/C=C\CCN(CCCO)Cc1ccccn1 ZINC000347105190 386252846 /nfs/dbraw/zinc/25/28/46/386252846.db2.gz UATTWERVVNSPSQ-ARJAWSKDSA-N 0 3 248.370 2.622 20 0 BFADHN COC[C@H](C)N1Cc2ccccc2OC(C)(C)C1 ZINC000289055580 386256180 /nfs/dbraw/zinc/25/61/80/386256180.db2.gz QSHAODVSJXIEPV-LBPRGKRZSA-N 0 3 249.354 2.695 20 0 BFADHN CC[C@H](NCCC(C)(F)F)c1cnn(C)c1 ZINC000297462892 386257742 /nfs/dbraw/zinc/25/77/42/386257742.db2.gz XXWHAUSGPXAOAW-JTQLQIEISA-N 0 3 231.290 2.506 20 0 BFADHN CCc1ncc(CN(C)[C@H](C)C(C)C)cn1 ZINC000414374785 386191666 /nfs/dbraw/zinc/19/16/66/386191666.db2.gz WJJSSOPVJYOVQI-LLVKDONJSA-N 0 3 221.348 2.515 20 0 BFADHN Cc1cnccc1CN1CCSCC[C@H]1C ZINC000296714767 386194328 /nfs/dbraw/zinc/19/43/28/386194328.db2.gz IUVDCPHBHOXFGT-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN CC[C@@H]1CCC[C@@H](N[C@H]2CNCCC2(F)F)C1 ZINC000414997953 386196207 /nfs/dbraw/zinc/19/62/07/386196207.db2.gz IHQSOBNUJPCXRH-UTUOFQBUSA-N 0 3 246.345 2.542 20 0 BFADHN Fc1ccc(/C=C/CN[C@@H]2CCCOC2)cc1 ZINC000288404309 386196290 /nfs/dbraw/zinc/19/62/90/386196290.db2.gz ACGDEJJELLUQDY-XYHHVPKHSA-N 0 3 235.302 2.608 20 0 BFADHN CCCN(C)Cc1cn(C)nc1-c1ccc(C)o1 ZINC000648001079 386196498 /nfs/dbraw/zinc/19/64/98/386196498.db2.gz UWUWGHFMGCPFLF-UHFFFAOYSA-N 0 3 247.342 2.830 20 0 BFADHN CCc1ccc2nccc(NCCCCO)c2c1 ZINC000296762796 386199728 /nfs/dbraw/zinc/19/97/28/386199728.db2.gz LWKKLJQHCYKVNV-UHFFFAOYSA-N 0 3 244.338 2.982 20 0 BFADHN CCC(O)(CC)CCN[C@H](C)c1ccncc1 ZINC000276157449 386281902 /nfs/dbraw/zinc/28/19/02/386281902.db2.gz ANCKKEKWQHOKEZ-GFCCVEGCSA-N 0 3 236.359 2.673 20 0 BFADHN Cc1ccoc1CN(CC1CCC1)[C@H](C)CO ZINC000289328467 386284293 /nfs/dbraw/zinc/28/42/93/386284293.db2.gz GLAFKVYXFKHFIU-GFCCVEGCSA-N 0 3 237.343 2.571 20 0 BFADHN CC[C@H](NCC1(C)CCC1)c1ccn(C)n1 ZINC000336743027 386285082 /nfs/dbraw/zinc/28/50/82/386285082.db2.gz SQTVHPCJTGDXOZ-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CCC[C@@H](NCCCCO)c1cc(C)ccn1 ZINC000631623319 386287288 /nfs/dbraw/zinc/28/72/88/386287288.db2.gz UUWRDPQVDBDCSV-CYBMUJFWSA-N 0 3 236.359 2.593 20 0 BFADHN CSCCCN1CCC[C@@H]1c1cc(C)no1 ZINC000336665270 386288663 /nfs/dbraw/zinc/28/86/63/386288663.db2.gz FMWRRVDLLYXWHW-LLVKDONJSA-N 0 3 240.372 2.873 20 0 BFADHN CCNCc1nc([C@H]2Cc3ccccc32)cs1 ZINC000416398108 386291593 /nfs/dbraw/zinc/29/15/93/386291593.db2.gz CWWRJLHSQOAITF-LBPRGKRZSA-N 0 3 244.363 2.941 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H]1CCc2c1cccc2F ZINC000276269884 386293780 /nfs/dbraw/zinc/29/37/80/386293780.db2.gz GYOBJNRBYPRNMA-BHDSKKPTSA-N 0 3 237.318 2.826 20 0 BFADHN CCc1ncc(CN2CC(C)(C)C[C@H]2C)cn1 ZINC000335263796 386294723 /nfs/dbraw/zinc/29/47/23/386294723.db2.gz VZTZIARAZBVWGG-LLVKDONJSA-N 0 3 233.359 2.659 20 0 BFADHN CCOc1cc(CN2CCC=C(C)C2)ccc1O ZINC000298081905 386294865 /nfs/dbraw/zinc/29/48/65/386294865.db2.gz LXVKUMAGEQIECJ-UHFFFAOYSA-N 0 3 247.338 2.943 20 0 BFADHN C[C@@H](N)c1nc(CCc2ccccc2)cs1 ZINC000416402330 386296890 /nfs/dbraw/zinc/29/68/90/386296890.db2.gz OSZSFIQKUJZEKN-SNVBAGLBSA-N 0 3 232.352 2.948 20 0 BFADHN C[C@@H]1C[C@H](C)N1CCc1nc2ccccc2n1C ZINC000335264833 386298144 /nfs/dbraw/zinc/29/81/44/386298144.db2.gz JCDLFDBZZWMLFU-TXEJJXNPSA-N 0 3 243.354 2.599 20 0 BFADHN CCSc1cccc(CN(C)[C@H](C)CO)c1 ZINC000289409317 386298195 /nfs/dbraw/zinc/29/81/95/386298195.db2.gz HONHKVUWEKAFMP-LLVKDONJSA-N 0 3 239.384 2.611 20 0 BFADHN Cc1cncc([C@@H](C)N[C@@H]2CCSC2)c1 ZINC000274663952 386298493 /nfs/dbraw/zinc/29/84/93/386298493.db2.gz WVFYUUPISNIDLL-ZYHUDNBSSA-N 0 3 222.357 2.546 20 0 BFADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@H](O)C1 ZINC000265078619 386302364 /nfs/dbraw/zinc/30/23/64/386302364.db2.gz ADTNONKWNQXKEP-QWHCGFSZSA-N 0 3 239.746 2.858 20 0 BFADHN C[C@@H](O)C[C@H](C)NCc1ccc(F)cc1Cl ZINC000164797239 386305889 /nfs/dbraw/zinc/30/58/89/386305889.db2.gz LMHVZASQWLHDJV-DTWKUNHWSA-N 0 3 245.725 2.728 20 0 BFADHN Cc1ccc2oc(NC3CCN(C)CC3)nc2c1 ZINC000265104406 386306519 /nfs/dbraw/zinc/30/65/19/386306519.db2.gz FUGJLCQIPACZGQ-UHFFFAOYSA-N 0 3 245.326 2.642 20 0 BFADHN CC[C@H](C)CN(CC)Cc1ccc(C)nn1 ZINC000414406983 386324928 /nfs/dbraw/zinc/32/49/28/386324928.db2.gz JWYUJIKTKSIFBH-NSHDSACASA-N 0 3 221.348 2.653 20 0 BFADHN C[C@@H](NCc1ccc(Cl)s1)[C@H](C)CO ZINC000125729424 386308646 /nfs/dbraw/zinc/30/86/46/386308646.db2.gz OHEDQHXDQHYFOW-HTQZYQBOSA-N 0 3 233.764 2.508 20 0 BFADHN CO[C@H](C)CN(Cc1cnc(C)s1)C(C)C ZINC000336669059 386310560 /nfs/dbraw/zinc/31/05/60/386310560.db2.gz HTCXHLZVPRJESO-SNVBAGLBSA-N 0 3 242.388 2.697 20 0 BFADHN C[C@H](N[C@@H]1CNCCC1(F)F)C1CCCCC1 ZINC000415005837 386311890 /nfs/dbraw/zinc/31/18/90/386311890.db2.gz SDALCIIAYXVAII-CMPLNLGQSA-N 0 3 246.345 2.542 20 0 BFADHN Fc1ccc(CN2CCC[C@@H]3CCC[C@@H]32)nc1 ZINC000276377423 386311953 /nfs/dbraw/zinc/31/19/53/386311953.db2.gz PPWZFFSIJWNUBS-FZMZJTMJSA-N 0 3 234.318 2.985 20 0 BFADHN Cc1ccoc1CNCC[C@H](O)c1ccccc1 ZINC000289496865 386317099 /nfs/dbraw/zinc/31/70/99/386317099.db2.gz SJEPGVITMSHJMQ-AWEZNQCLSA-N 0 3 245.322 2.801 20 0 BFADHN CN(CCCCO)Cc1ccc(C(F)F)cc1 ZINC000276418822 386319782 /nfs/dbraw/zinc/31/97/82/386319782.db2.gz QRGPLLBIANDNKX-UHFFFAOYSA-N 0 3 243.297 2.829 20 0 BFADHN C[C@H](O)CCN([C@@H](C)c1ccco1)C1CC1 ZINC000336679254 386321890 /nfs/dbraw/zinc/32/18/90/386321890.db2.gz OYKNFYWDUNDODE-QWRGUYRKSA-N 0 3 223.316 2.576 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)N[C@@H](C)c1ccccc1 ZINC000340598940 386323200 /nfs/dbraw/zinc/32/32/00/386323200.db2.gz AXTVWDSDLYRRNH-OLZOCXBDSA-N 0 3 248.370 2.594 20 0 BFADHN Cc1cc(C)cc(CN2CC(C(C)(C)O)C2)c1 ZINC000297515417 386262309 /nfs/dbraw/zinc/26/23/09/386262309.db2.gz XRJMZIYGHDBDPS-UHFFFAOYSA-N 0 3 233.355 2.506 20 0 BFADHN Cc1ccoc1CN1CCC([C@@H]2CCCO2)CC1 ZINC000276032271 386262821 /nfs/dbraw/zinc/26/28/21/386262821.db2.gz QDHRQKYUEJUNPI-AWEZNQCLSA-N 0 3 249.354 2.979 20 0 BFADHN Cc1ccoc1CN1CCC([C@H]2CCCO2)CC1 ZINC000276032274 386262852 /nfs/dbraw/zinc/26/28/52/386262852.db2.gz QDHRQKYUEJUNPI-CQSZACIVSA-N 0 3 249.354 2.979 20 0 BFADHN Cc1cncc(CN(C)CC(C)(C)C)c1 ZINC000276063834 386268934 /nfs/dbraw/zinc/26/89/34/386268934.db2.gz QIVNWYPVIWTSBS-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN CN(Cc1ccc(Cl)s1)CC1(C)COC1 ZINC000336652448 386269879 /nfs/dbraw/zinc/26/98/79/386269879.db2.gz WOLSYKDMNHXWRS-UHFFFAOYSA-N 0 3 245.775 2.870 20 0 BFADHN CCN(CCOC)Cc1ccc2occc2c1 ZINC000289206420 386271206 /nfs/dbraw/zinc/27/12/06/386271206.db2.gz WBCPEGRYTKEJLE-UHFFFAOYSA-N 0 3 233.311 2.901 20 0 BFADHN Cc1cncc(CN(C)CCCOC(C)C)c1 ZINC000276101426 386273267 /nfs/dbraw/zinc/27/32/67/386273267.db2.gz VVEKHSJIDVXJRW-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN C[C@H](CN1CC[C@](F)(CO)C1)C1CCCCC1 ZINC000339177113 386278547 /nfs/dbraw/zinc/27/85/47/386278547.db2.gz NIMQYMBOBYGOIA-TZMCWYRMSA-N 0 3 243.366 2.609 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cc2ccccc2o1 ZINC000289712175 386344045 /nfs/dbraw/zinc/34/40/45/386344045.db2.gz FMBKTHRKDBRLQX-MNOVXSKESA-N 0 3 233.311 2.946 20 0 BFADHN CCCN[C@H](C)C(=O)N(CC)c1ccccc1C ZINC000647888048 386345138 /nfs/dbraw/zinc/34/51/38/386345138.db2.gz FGHHPKPCFXJVMQ-CYBMUJFWSA-N 0 3 248.370 2.736 20 0 BFADHN CCN(CCO)[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000265484235 386345814 /nfs/dbraw/zinc/34/58/14/386345814.db2.gz LOVKUGDINVOPAD-VIFPVBQESA-N 0 3 245.725 2.854 20 0 BFADHN c1cc([C@@H]2CCCN2C[C@@H]2CCC=CO2)ccn1 ZINC000289725130 386345940 /nfs/dbraw/zinc/34/59/40/386345940.db2.gz HLVBRQWLYMANMA-GJZGRUSLSA-N 0 3 244.338 2.911 20 0 BFADHN CCO[C@@H](CCNCc1conc1C)C(C)C ZINC000339292115 386346956 /nfs/dbraw/zinc/34/69/56/386346956.db2.gz YBUSECUSBKHAKC-ZDUSSCGKSA-N 0 3 240.347 2.524 20 0 BFADHN COC(=O)c1ccc(CN(C)[C@@H](C)C(C)C)o1 ZINC000298455834 386347372 /nfs/dbraw/zinc/34/73/72/386347372.db2.gz XAZDWFWAGJYHAE-JTQLQIEISA-N 0 3 239.315 2.543 20 0 BFADHN Cc1ccc(CN(C)CCC[C@@H](C)O)s1 ZINC000336688541 386349940 /nfs/dbraw/zinc/34/99/40/386349940.db2.gz LTJNTXGLCWSCTE-SNVBAGLBSA-N 0 3 227.373 2.649 20 0 BFADHN CC(=O)CCN1CCC(c2ccc(O)cc2)CC1 ZINC000298489559 386351729 /nfs/dbraw/zinc/35/17/29/386351729.db2.gz GAUCIDZGQXVYGF-UHFFFAOYSA-N 0 3 247.338 2.551 20 0 BFADHN Cc1ccc(CN(C)C2(C)CCCC2)nn1 ZINC000335269724 386352819 /nfs/dbraw/zinc/35/28/19/386352819.db2.gz PHGSDTNMXWNEAT-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN CC[C@H]1CN(CCSC(C)C)CCS1 ZINC000336688899 386354539 /nfs/dbraw/zinc/35/45/39/386354539.db2.gz NWIRAVNIAMMLNO-NSHDSACASA-N 0 3 233.446 2.955 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000289790508 386355389 /nfs/dbraw/zinc/35/53/89/386355389.db2.gz LIWMCNIPQLCBLH-SBFPOUOMSA-N 0 3 237.343 2.916 20 0 BFADHN CO[C@@H](C)CNCc1ccc(OCC2CC2)cc1 ZINC000276644653 386359620 /nfs/dbraw/zinc/35/96/20/386359620.db2.gz ROBFSADCCBVZCZ-LBPRGKRZSA-N 0 3 249.354 2.600 20 0 BFADHN C[C@@H](N[C@@H](C)CCO)c1nccc2ccccc21 ZINC000289841486 386361004 /nfs/dbraw/zinc/36/10/04/386361004.db2.gz QTNJSQMKEOQABR-NWDGAFQWSA-N 0 3 244.338 2.656 20 0 BFADHN Cc1cnn([C@@H]2CCN(Cc3ccccc3)C2)c1 ZINC000289838278 386362563 /nfs/dbraw/zinc/36/25/63/386362563.db2.gz KCDRQETWSQXLMM-OAHLLOKOSA-N 0 3 241.338 2.639 20 0 BFADHN CCCCN1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289838099 386362931 /nfs/dbraw/zinc/36/29/31/386362931.db2.gz KASDMDMEYYZBFW-NSHDSACASA-N 0 3 227.739 2.583 20 0 BFADHN COC(C)(C)CCN(C)CCC(F)(F)F ZINC000336693876 386368978 /nfs/dbraw/zinc/36/89/78/386368978.db2.gz RXZRAGUZSGZMLM-UHFFFAOYSA-N 0 3 227.270 2.686 20 0 BFADHN CCc1ccccc1CN(CC)CCOC ZINC000347371345 386369237 /nfs/dbraw/zinc/36/92/37/386369237.db2.gz MJCPFOUSXKJTRJ-UHFFFAOYSA-N 0 3 221.344 2.717 20 0 BFADHN CN(C/C=C/c1ccccc1)C[C@H]1CCCO1 ZINC000298688656 386370700 /nfs/dbraw/zinc/37/07/00/386370700.db2.gz ASUGPMLFEJCRRT-FUVBFXSKSA-N 0 3 231.339 2.811 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1CCCC(F)(F)C1 ZINC000289934878 386373009 /nfs/dbraw/zinc/37/30/09/386373009.db2.gz UBJUZGAUXXMTNY-JTQLQIEISA-N 0 3 243.301 2.633 20 0 BFADHN CCOc1ccccc1CN1CC[C@@H](COC)C1 ZINC000265815566 386373123 /nfs/dbraw/zinc/37/31/23/386373123.db2.gz QWTFRNFULSGDRC-CYBMUJFWSA-N 0 3 249.354 2.554 20 0 BFADHN Cc1c[nH]nc1CN[C@@H](C)CCc1ccccc1 ZINC000289934169 386374320 /nfs/dbraw/zinc/37/43/20/386374320.db2.gz PFBRDYNZFZMOFN-ZDUSSCGKSA-N 0 3 243.354 2.829 20 0 BFADHN COC[C@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC000265824173 386375065 /nfs/dbraw/zinc/37/50/65/386375065.db2.gz SKYKSGKYLVPSGV-RYUDHWBXSA-N 0 3 237.318 2.855 20 0 BFADHN CCOCCN[C@H](C)c1nccc2ccccc21 ZINC000289967068 386375954 /nfs/dbraw/zinc/37/59/54/386375954.db2.gz XFLZZXRLDQMTRU-GFCCVEGCSA-N 0 3 244.338 2.922 20 0 BFADHN C[C@H](c1ccccc1)[C@H](C)NCc1cnns1 ZINC000289968219 386377788 /nfs/dbraw/zinc/37/77/88/386377788.db2.gz IYRDUUHGKYJGKV-QWRGUYRKSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@@]1(O)CCCN(Cc2ccc3occc3c2)C1 ZINC000289984411 386378584 /nfs/dbraw/zinc/37/85/84/386378584.db2.gz JWVBOIRUYQBZCB-OAHLLOKOSA-N 0 3 245.322 2.780 20 0 BFADHN COC[C@@H]1CCN(Cc2ccc(Cl)s2)C1 ZINC000265855676 386379613 /nfs/dbraw/zinc/37/96/13/386379613.db2.gz ZNOBWVCMKMTVGU-SECBINFHSA-N 0 3 245.775 2.870 20 0 BFADHN CCc1nocc1CN[C@@H](C)[C@H](C)CC ZINC000647970477 386381051 /nfs/dbraw/zinc/38/10/51/386381051.db2.gz RJLUWWZXFKTRFV-ZJUUUORDSA-N 0 3 210.321 2.761 20 0 BFADHN CO[C@@H]1CCC[C@@H]1CNCc1ccc(Cl)o1 ZINC000336775402 386381498 /nfs/dbraw/zinc/38/14/98/386381498.db2.gz YXSYVAFETHBRRA-MWLCHTKSSA-N 0 3 243.734 2.838 20 0 BFADHN COCC[C@H](C)N[C@@H]1c2ccccc2O[C@H]1C ZINC000290007913 386382924 /nfs/dbraw/zinc/38/29/24/386382924.db2.gz VSLBHWWGXOVDNP-MJVIPROJSA-N 0 3 235.327 2.523 20 0 BFADHN CC[C@H](Cc1ccccc1)NCc1n[nH]cc1C ZINC000289996083 386383082 /nfs/dbraw/zinc/38/30/82/386383082.db2.gz SRJVQFSMSXEARY-CQSZACIVSA-N 0 3 243.354 2.829 20 0 BFADHN COC(C)(C)CCN(C)[C@H](C)c1ccncc1 ZINC000336698612 386383133 /nfs/dbraw/zinc/38/31/33/386383133.db2.gz WSWIBHKDOKZCOO-GFCCVEGCSA-N 0 3 236.359 2.890 20 0 BFADHN Cc1nocc1CN1CC2(CCC2)[C@H]1C(C)C ZINC000334483733 386329188 /nfs/dbraw/zinc/32/91/88/386329188.db2.gz YRMBJJZCWXMNPQ-CYBMUJFWSA-N 0 3 234.343 2.994 20 0 BFADHN COC[C@H]1CCN1CCCCOc1ccccc1 ZINC000339279641 386332580 /nfs/dbraw/zinc/33/25/80/386332580.db2.gz BNVSKBZQVBJBDD-CQSZACIVSA-N 0 3 249.354 2.566 20 0 BFADHN CCN(Cc1cccs1)[C@H]1C=C[C@H](CO)C1 ZINC000347336838 386333738 /nfs/dbraw/zinc/33/37/38/386333738.db2.gz KPUDKYNMMLQODP-RYUDHWBXSA-N 0 3 237.368 2.507 20 0 BFADHN CO[C@H]1CCCC[C@@H]1NCc1ccc(Cl)o1 ZINC000164844100 386334214 /nfs/dbraw/zinc/33/42/14/386334214.db2.gz HGCOCNAXIVZXRX-QWRGUYRKSA-N 0 3 243.734 2.980 20 0 BFADHN C[C@@H](O)CCCN1CC[C@H]1c1ccccc1 ZINC000336682032 386336970 /nfs/dbraw/zinc/33/69/70/386336970.db2.gz YKSMRKJARFLWDW-OCCSQVGLSA-N 0 3 219.328 2.594 20 0 BFADHN Fc1ccccc1C1CN(C[C@H]2CCCOC2)C1 ZINC000334490298 386337501 /nfs/dbraw/zinc/33/75/01/386337501.db2.gz NUNQRMVAVDXZAD-GFCCVEGCSA-N 0 3 249.329 2.652 20 0 BFADHN Cc1nsc(C)c1CN(C)CC1CCC1 ZINC000519420410 386437346 /nfs/dbraw/zinc/43/73/46/386437346.db2.gz DSUWKSALNBFKGI-UHFFFAOYSA-N 0 3 224.373 2.992 20 0 BFADHN Cc1ccc(CN[C@H](C)c2cc[nH]c(=O)c2)cc1 ZINC000339455615 386438491 /nfs/dbraw/zinc/43/84/91/386438491.db2.gz KTXVRMSKPQGWAZ-GFCCVEGCSA-N 0 3 242.322 2.946 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2cc[nH]c(=O)c2)cc1 ZINC000339455616 386439061 /nfs/dbraw/zinc/43/90/61/386439061.db2.gz KTXVRMSKPQGWAZ-LBPRGKRZSA-N 0 3 242.322 2.946 20 0 BFADHN CC[C@H](c1ccncc1)N(C)CC1=CCCOC1 ZINC000290464501 386440007 /nfs/dbraw/zinc/44/00/07/386440007.db2.gz NFCKZVMFWSVJDB-OAHLLOKOSA-N 0 3 246.354 2.811 20 0 BFADHN Cc1cnn(C)c1CNC1CC(C(C)(C)C)C1 ZINC000339466712 386441080 /nfs/dbraw/zinc/44/10/80/386441080.db2.gz ITBBGWIEZHLSJR-UHFFFAOYSA-N 0 3 235.375 2.643 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1C/C=C/c1ccccc1 ZINC000399190842 386442443 /nfs/dbraw/zinc/44/24/43/386442443.db2.gz IYFANZGKSKCTFY-IEOKHJKGSA-N 0 3 231.339 2.545 20 0 BFADHN Cc1ccc(CNC[C@@]2(O)CCCC2(C)C)o1 ZINC000339464574 386442286 /nfs/dbraw/zinc/44/22/86/386442286.db2.gz GMCZTKOPSAXBHW-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C)C(C)(C)C2)cn1 ZINC000414434697 386443245 /nfs/dbraw/zinc/44/32/45/386443245.db2.gz QEKPXQFMPCCAOZ-GFCCVEGCSA-N 0 3 247.386 2.907 20 0 BFADHN CCC[C@H]1CCCCN1Cc1ccc(C)nn1 ZINC000414434746 386443332 /nfs/dbraw/zinc/44/33/32/386443332.db2.gz QHKYHQJONZRZAW-AWEZNQCLSA-N 0 3 233.359 2.940 20 0 BFADHN CCn1cc(CN(C)CCCC(C)C)cn1 ZINC000527365925 386444428 /nfs/dbraw/zinc/44/44/28/386444428.db2.gz SWZCKUJYMOTOPJ-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN Cc1ccc2nc(CNCC3(C)CCC3)cn2c1 ZINC000277525588 386444859 /nfs/dbraw/zinc/44/48/59/386444859.db2.gz UFLKAZHSCOAMPM-UHFFFAOYSA-N 0 3 243.354 2.923 20 0 BFADHN C[C@H](F)CCNCc1cnn(-c2ccccc2)c1 ZINC000339484118 386448322 /nfs/dbraw/zinc/44/83/22/386448322.db2.gz KIOLKIYOXQGJSK-LBPRGKRZSA-N 0 3 247.317 2.710 20 0 BFADHN CCN(CCCO)[C@@H](C)c1cccc(F)c1 ZINC000299402576 386450656 /nfs/dbraw/zinc/45/06/56/386450656.db2.gz MMJVCPARCKIRKB-NSHDSACASA-N 0 3 225.307 2.591 20 0 BFADHN CC(C)C(=O)CCN1CCC[C@@H]1c1ccc[nH]1 ZINC000290038924 386388158 /nfs/dbraw/zinc/38/81/58/386388158.db2.gz UNYVKHVMTULSID-CYBMUJFWSA-N 0 3 234.343 2.767 20 0 BFADHN CN(CCN1CC[C@@](C)(F)C1)c1ccccc1 ZINC000347358385 386394735 /nfs/dbraw/zinc/39/47/35/386394735.db2.gz SXXQMZQXSIZAIM-CQSZACIVSA-N 0 3 236.334 2.557 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NC[C@@H](C)C(F)(F)F ZINC000347554309 386451208 /nfs/dbraw/zinc/45/12/08/386451208.db2.gz JXAGFTXCJCOBDS-SFYZADRCSA-N 0 3 249.280 2.578 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H](CO)C2CCCC2)c1 ZINC000290160361 386402060 /nfs/dbraw/zinc/40/20/60/386402060.db2.gz LXWUHYUKACBFCK-SWLSCSKDSA-N 0 3 248.370 2.592 20 0 BFADHN CCN(CC[C@@H]1CCCCO1)Cc1ccccn1 ZINC000347441667 386405225 /nfs/dbraw/zinc/40/52/25/386405225.db2.gz PNCOXNONHUUMEL-HNNXBMFYSA-N 0 3 248.370 2.863 20 0 BFADHN CC(C)NCc1cc2cc(Cl)cnc2o1 ZINC000648410653 386409369 /nfs/dbraw/zinc/40/93/69/386409369.db2.gz XAWBLMBEWBTNPL-UHFFFAOYSA-N 0 3 224.691 2.979 20 0 BFADHN CC(C)n1cc([C@H](C)NC2CC(F)(F)C2)cn1 ZINC000277140743 386411433 /nfs/dbraw/zinc/41/14/33/386411433.db2.gz PFFPQTUBJNIINS-VIFPVBQESA-N 0 3 243.301 2.912 20 0 BFADHN Cc1ccc([C@H](O)CNCc2ccsc2)cc1 ZINC000237791647 386411737 /nfs/dbraw/zinc/41/17/37/386411737.db2.gz VJHXMTGNQFNAHD-CQSZACIVSA-N 0 3 247.363 2.880 20 0 BFADHN CCc1ncc(CN(C)C[C@H]2CC=CCC2)cn1 ZINC000414427302 386412807 /nfs/dbraw/zinc/41/28/07/386412807.db2.gz DZUDOTFBKKISRQ-ZDUSSCGKSA-N 0 3 245.370 2.827 20 0 BFADHN Cc1ccoc1CNC[C@@H](O)C1CCCCC1 ZINC000266247279 386415675 /nfs/dbraw/zinc/41/56/75/386415675.db2.gz ZFVBLWLHTIMZTQ-CYBMUJFWSA-N 0 3 237.343 2.619 20 0 BFADHN CCN(C/C=C/c1ccccc1)CCOC ZINC000299192587 386426265 /nfs/dbraw/zinc/42/62/65/386426265.db2.gz FVWCVGQYPILKCK-JXMROGBWSA-N 0 3 219.328 2.668 20 0 BFADHN Cc1cnc(CN(C)CCc2cccs2)cn1 ZINC000336710610 386426538 /nfs/dbraw/zinc/42/65/38/386426538.db2.gz QPRFIESFDYEFBG-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN Cc1occc1CN[C@@]1(C)CCO[C@@H]1C1CC1 ZINC000414431472 386429968 /nfs/dbraw/zinc/42/99/68/386429968.db2.gz LDWNQLQVIKQUKL-KGLIPLIRSA-N 0 3 235.327 2.635 20 0 BFADHN CCC[C@H](C)N(C)[C@@H]1CCCN(C(C)C)C1=O ZINC000336715043 386434146 /nfs/dbraw/zinc/43/41/46/386434146.db2.gz SIMTYOXXJMIYLA-QWHCGFSZSA-N 0 3 240.391 2.506 20 0 BFADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2ccccc2)o1 ZINC000266813113 386469861 /nfs/dbraw/zinc/46/98/61/386469861.db2.gz WPSGMCFZULZLPZ-JSGCOSHPSA-N 0 3 245.322 2.972 20 0 BFADHN CCOc1ccc(OCCN2C[C@H](C)[C@@H]2C)cc1 ZINC000339573483 386474164 /nfs/dbraw/zinc/47/41/64/386474164.db2.gz IKCOBGGDOBKYGC-STQMWFEESA-N 0 3 249.354 2.804 20 0 BFADHN Cc1nnc([C@@H](C)N2CC[C@@H](C(C)(C)C)C2)o1 ZINC000335365874 386476668 /nfs/dbraw/zinc/47/66/68/386476668.db2.gz BRJXHEHSVPMYNC-MWLCHTKSSA-N 0 3 237.347 2.807 20 0 BFADHN Cc1cnc(CNCCC(C)(C)C2CC2)n1C ZINC000339562850 386478396 /nfs/dbraw/zinc/47/83/96/386478396.db2.gz JVNQXAWCBMUVLI-UHFFFAOYSA-N 0 3 235.375 2.644 20 0 BFADHN Cc1cc(CN[C@H](C)C2CCSCC2)on1 ZINC000187166360 386478587 /nfs/dbraw/zinc/47/85/87/386478587.db2.gz NXJGZGGVDPSMDP-SNVBAGLBSA-N 0 3 240.372 2.604 20 0 BFADHN CCSCCNCc1cc(C)cc(OC)c1 ZINC000336778955 386480488 /nfs/dbraw/zinc/48/04/88/386480488.db2.gz MUVYXZXCGBLBFD-UHFFFAOYSA-N 0 3 239.384 2.846 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cnn2ccccc12 ZINC000335427356 386482284 /nfs/dbraw/zinc/48/22/84/386482284.db2.gz NDUCYTOPLWYJDY-ZDUSSCGKSA-N 0 3 229.327 2.565 20 0 BFADHN Cc1ccc(CN[C@H]2CCC[C@@H]2F)cc1 ZINC000339581916 386485746 /nfs/dbraw/zinc/48/57/46/386485746.db2.gz FBYYTSKSZBKIFU-STQMWFEESA-N 0 3 207.292 2.975 20 0 BFADHN CN(CCO)Cc1ccc(-c2ccsc2)cc1 ZINC000278085067 386488365 /nfs/dbraw/zinc/48/83/65/386488365.db2.gz IXAUFPHVDBREEG-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN COCc1ccc(CN[C@@H]2CCC[C@H]2F)cc1 ZINC000339584566 386489342 /nfs/dbraw/zinc/48/93/42/386489342.db2.gz OCIACGIUYXSRRW-ZIAGYGMSSA-N 0 3 237.318 2.813 20 0 BFADHN C(=C/c1ccccc1)\CN1CC[C@@H]2OCC[C@@H]2C1 ZINC000335434231 386490714 /nfs/dbraw/zinc/49/07/14/386490714.db2.gz NZWSSXWIFKASRD-YFWHOBGESA-N 0 3 243.350 2.811 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@H]1C[C@@](C)(O)C1 ZINC000417354150 386491519 /nfs/dbraw/zinc/49/15/19/386491519.db2.gz LDTCLGSNQVDUCY-JMSVASOKSA-N 0 3 249.354 2.649 20 0 BFADHN Cc1cc(CNCC2C(C)(C)C2(C)C)nn1C ZINC000414444942 386492415 /nfs/dbraw/zinc/49/24/15/386492415.db2.gz LZMHZVQYBQQXFK-UHFFFAOYSA-N 0 3 235.375 2.500 20 0 BFADHN Cc1ccc(C)c(CN2C[C@@H](C)N(C)[C@H](C)C2)c1 ZINC000339622972 386493729 /nfs/dbraw/zinc/49/37/29/386493729.db2.gz KFMINJRCEUANGU-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC[C@H](C)[C@H]2C)nn1 ZINC000414446110 386494120 /nfs/dbraw/zinc/49/41/20/386494120.db2.gz NULVOOGMALSNAW-YUTCNCBUSA-N 0 3 247.386 2.947 20 0 BFADHN CN(Cc1cccs1)C[C@@H]1CCC=CO1 ZINC000278143568 386494242 /nfs/dbraw/zinc/49/42/42/386494242.db2.gz BGEDGHBBERSLPB-NSHDSACASA-N 0 3 223.341 2.873 20 0 BFADHN COC(=O)c1coc([C@@H](C)N[C@H]2CC=CCC2)c1 ZINC000278150200 386494416 /nfs/dbraw/zinc/49/44/16/386494416.db2.gz AADSYXAPHBEJPL-PWSUYJOCSA-N 0 3 249.310 2.826 20 0 BFADHN CN(Cc1cccs1)C[C@H]1CCC=CO1 ZINC000278143565 386495612 /nfs/dbraw/zinc/49/56/12/386495612.db2.gz BGEDGHBBERSLPB-LLVKDONJSA-N 0 3 223.341 2.873 20 0 BFADHN CCCC[C@H](C)N(C)Cc1c[nH]nc1C ZINC000290999446 386496394 /nfs/dbraw/zinc/49/63/94/386496394.db2.gz VVQQOPIHLRAMNS-JTQLQIEISA-N 0 3 209.337 2.729 20 0 BFADHN CN(C)CCN(C)Cc1ccc(C(C)(C)C)cc1 ZINC000340657816 386498214 /nfs/dbraw/zinc/49/82/14/386498214.db2.gz IHVOVFXEGNODNW-UHFFFAOYSA-N 0 3 248.414 2.978 20 0 BFADHN C[C@@H](C(=O)Nc1ccc(CN(C)C)cc1)C1CC1 ZINC000267132436 386499853 /nfs/dbraw/zinc/49/98/53/386499853.db2.gz LXOBIKBPOWCYDO-LLVKDONJSA-N 0 3 246.354 2.733 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)[C@H](C)C2)cc1C ZINC000339630729 386500499 /nfs/dbraw/zinc/50/04/99/386500499.db2.gz UTVSVOJBKFZVJO-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN CCSc1cccc(CNC[C@H](O)CC)c1 ZINC000336782430 386508032 /nfs/dbraw/zinc/50/80/32/386508032.db2.gz XPSXIAOYXSZFKE-GFCCVEGCSA-N 0 3 239.384 2.659 20 0 BFADHN COC(C)(C)CCN1CCc2ccc(O)cc2C1 ZINC000336783544 386509107 /nfs/dbraw/zinc/50/91/07/386509107.db2.gz ATBFRYSEKLDSCY-UHFFFAOYSA-N 0 3 249.354 2.565 20 0 BFADHN Cc1cccc(CN2CC[C@@H]3OCC[C@@H]3C2)c1F ZINC000335445509 386509399 /nfs/dbraw/zinc/50/93/99/386509399.db2.gz WYMRMUZHRIRBBF-OCCSQVGLSA-N 0 3 249.329 2.745 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2ccncc2F)C1 ZINC000335446170 386511789 /nfs/dbraw/zinc/51/17/89/386511789.db2.gz ZEYRFMZTBLRGOB-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN CCC1(CC)CN(C[C@@H](O)Cc2ccccc2)C1 ZINC000336797238 386513858 /nfs/dbraw/zinc/51/38/58/386513858.db2.gz ZVHQTMVXARJTTG-HNNXBMFYSA-N 0 3 247.382 2.712 20 0 BFADHN c1ccc(CN2CC[C@@H](CC3CC3)C2)nc1 ZINC000339673275 386514403 /nfs/dbraw/zinc/51/44/03/386514403.db2.gz GAHBISCVRVOUKX-ZDUSSCGKSA-N 0 3 216.328 2.704 20 0 BFADHN Cc1ccc([C@H](O)CN[C@@H](C)c2ccco2)cc1 ZINC000278338059 386515302 /nfs/dbraw/zinc/51/53/02/386515302.db2.gz CFLKMGJPCYZBIH-GXTWGEPZSA-N 0 3 245.322 2.972 20 0 BFADHN Cc1nc(CN[C@@H]2CCCC2(C)C)co1 ZINC000647971907 386517134 /nfs/dbraw/zinc/51/71/34/386517134.db2.gz ARBCHBYUEMDEQX-LLVKDONJSA-N 0 3 208.305 2.651 20 0 BFADHN COC(=O)c1coc([C@@H](C)NC2CC(C)C2)c1 ZINC000278389790 386521019 /nfs/dbraw/zinc/52/10/19/386521019.db2.gz DTLBZYZWJYCCDZ-INWMGODYSA-N 0 3 237.299 2.515 20 0 BFADHN CN(Cc1cccc2nccn21)C(C)(C)C ZINC000335450443 386522663 /nfs/dbraw/zinc/52/26/63/386522663.db2.gz YNGSLILTRVMTOY-UHFFFAOYSA-N 0 3 217.316 2.565 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@H](C)[C@H](C)C1 ZINC000278400755 386522860 /nfs/dbraw/zinc/52/28/60/386522860.db2.gz FULSDSARFLMMRS-AOOOYVTPSA-N 0 3 222.307 2.617 20 0 BFADHN Cc1cncc([C@@H](C)NCCC(F)(F)F)c1 ZINC000336754693 386523009 /nfs/dbraw/zinc/52/30/09/386523009.db2.gz XBLBJYRMFKMKHG-SECBINFHSA-N 0 3 232.249 2.993 20 0 BFADHN Cn1cccc1CN1CC[C@@H](CC(F)(F)F)C1 ZINC000278428371 386525140 /nfs/dbraw/zinc/52/51/40/386525140.db2.gz STHUGRHEFSYOCP-JTQLQIEISA-N 0 3 246.276 2.799 20 0 BFADHN C[C@H](CC1CCC1)NCc1ccns1 ZINC000404268203 386526332 /nfs/dbraw/zinc/52/63/32/386526332.db2.gz GLLGIPYCDAAALN-SECBINFHSA-N 0 3 210.346 2.811 20 0 BFADHN CCN(CCOC)[C@@H](C)c1ccc(F)c(F)c1 ZINC000299446627 386454499 /nfs/dbraw/zinc/45/44/99/386454499.db2.gz YEBMGWMYTCRCRM-JTQLQIEISA-N 0 3 243.297 2.994 20 0 BFADHN CC(C)C1(NCc2cc(C(F)(F)F)n[nH]2)CC1 ZINC000339502882 386455052 /nfs/dbraw/zinc/45/50/52/386455052.db2.gz FIGVCQFHSVSNJT-UHFFFAOYSA-N 0 3 247.264 2.707 20 0 BFADHN CCSCC[C@H](C)N(C)Cc1ccno1 ZINC000266611260 386455411 /nfs/dbraw/zinc/45/54/11/386455411.db2.gz HYCYLXWHXXHLBX-JTQLQIEISA-N 0 3 228.361 2.638 20 0 BFADHN CC(C)C1(NCc2nc3cccnc3s2)CC1 ZINC000339521652 386463752 /nfs/dbraw/zinc/46/37/52/386463752.db2.gz OJRGRJQZJLASDQ-UHFFFAOYSA-N 0 3 247.367 2.970 20 0 BFADHN C[C@H](O)CN(C)Cc1ccc(C2CCC2)cc1 ZINC000291262158 386528400 /nfs/dbraw/zinc/52/84/00/386528400.db2.gz OTEKVPAWPZGKBS-LBPRGKRZSA-N 0 3 233.355 2.767 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](O)C2CCC2)o1 ZINC000453397116 386574563 /nfs/dbraw/zinc/57/45/63/386574563.db2.gz ZCPDTICTCUPLHI-GWCFXTLKSA-N 0 3 237.343 2.654 20 0 BFADHN CO[C@H]1CCN(Cc2ccccc2OC(C)C)C1 ZINC000267712039 386576087 /nfs/dbraw/zinc/57/60/87/386576087.db2.gz WVMOEGMSUWXIFJ-AWEZNQCLSA-N 0 3 249.354 2.695 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1sccc1Cl ZINC000289828367 386581632 /nfs/dbraw/zinc/58/16/32/386581632.db2.gz OCUDZDQDQPDIKG-SFYZADRCSA-N 0 3 233.764 2.915 20 0 BFADHN C[C@H](NC[C@H]1CCSC1)c1ccc(F)cn1 ZINC000228555295 386583142 /nfs/dbraw/zinc/58/31/42/386583142.db2.gz YIIINZQEVQGRHK-VHSXEESVSA-N 0 3 240.347 2.624 20 0 BFADHN CO[C@H](CN[C@H](C)c1cccnc1)CC(C)C ZINC000417365366 386587162 /nfs/dbraw/zinc/58/71/62/386587162.db2.gz MRWPDKDFPBBQNT-OCCSQVGLSA-N 0 3 236.359 2.793 20 0 BFADHN Cc1cnc(CNC[C@@H]2CC[C@@H](C)C2)s1 ZINC000336757195 386598636 /nfs/dbraw/zinc/59/86/36/386598636.db2.gz JWFAPVXFIFFGAC-MWLCHTKSSA-N 0 3 224.373 2.977 20 0 BFADHN CCN(CCC(C)C)Cc1ccccn1 ZINC000267873237 386592005 /nfs/dbraw/zinc/59/20/05/386592005.db2.gz CUDPREIXEHXIOJ-UHFFFAOYSA-N 0 3 206.333 2.950 20 0 BFADHN Cc1occc1CNC[C@]1(O)CCCC1(C)C ZINC000414450463 386530793 /nfs/dbraw/zinc/53/07/93/386530793.db2.gz GGERIKRNSHFIJL-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN C=Cn1cc(CN2CC[C@H](C)C3(CCC3)C2)cn1 ZINC000278477103 386532999 /nfs/dbraw/zinc/53/29/99/386532999.db2.gz INTQAHGTSPIHHA-ZDUSSCGKSA-N 0 3 245.370 2.996 20 0 BFADHN COc1ccc(CN(C(C)C)[C@@H]2CCOC2)cc1 ZINC000291344568 386536578 /nfs/dbraw/zinc/53/65/78/386536578.db2.gz WITVXOXJZXJTQV-CQSZACIVSA-N 0 3 249.354 2.695 20 0 BFADHN CC[C@@H](N[C@H](CC)c1ccc(C)cc1)C(=O)NC ZINC000453408691 386596906 /nfs/dbraw/zinc/59/69/06/386596906.db2.gz QTAYYNHWERPFNR-ZIAGYGMSSA-N 0 3 248.370 2.560 20 0 BFADHN CC(C)=CCN1CCC[C@@H](c2ncc[nH]2)C1 ZINC000267411933 386539506 /nfs/dbraw/zinc/53/95/06/386539506.db2.gz IMFZUUXQEOJDJF-GFCCVEGCSA-N 0 3 219.332 2.555 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H]1CCCc2c(O)cccc21 ZINC000291386633 386540484 /nfs/dbraw/zinc/54/04/84/386540484.db2.gz ZSVFANKQBXGMGI-SUNKGSAMSA-N 0 3 249.354 2.783 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc(SC)s1 ZINC000336755739 386543167 /nfs/dbraw/zinc/54/31/67/386543167.db2.gz IGEZNVMNCBJWQW-DTWKUNHWSA-N 0 3 245.413 2.983 20 0 BFADHN C[C@H](NCC1(C(F)F)CC1)c1ccncc1F ZINC000340379622 386545065 /nfs/dbraw/zinc/54/50/65/386545065.db2.gz ZKANIJVHLKYQFE-QMMMGPOBSA-N 0 3 244.260 2.917 20 0 BFADHN Cc1nc(CN[C@H]2CCCC2(C)C)[nH]c1C ZINC000519776584 386555244 /nfs/dbraw/zinc/55/52/44/386555244.db2.gz NSVPOTGJARKSPX-NSHDSACASA-N 0 3 221.348 2.695 20 0 BFADHN CCCN(Cc1cncn1C(C)C)C1CC1 ZINC000425322667 386557325 /nfs/dbraw/zinc/55/73/25/386557325.db2.gz HZQYNSAVAQLTKW-UHFFFAOYSA-N 0 3 221.348 2.838 20 0 BFADHN CCCc1csc([C@@H]2CCCCN2)n1 ZINC000053251951 386560473 /nfs/dbraw/zinc/56/04/73/386560473.db2.gz IIWSQCCVQSRJGH-JTQLQIEISA-N 0 3 210.346 2.910 20 0 BFADHN C[C@H](O)CN(C)[C@@H](C)c1ccc(Cl)cc1 ZINC000062016004 386561100 /nfs/dbraw/zinc/56/11/00/386561100.db2.gz HILCEOJHWZOXJQ-UWVGGRQHSA-N 0 3 227.735 2.714 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cncn1C(C)C ZINC000425323235 386562161 /nfs/dbraw/zinc/56/21/61/386562161.db2.gz IRHQPTOWLQPUGM-GFCCVEGCSA-N 0 3 223.364 2.942 20 0 BFADHN CC(C)CN(C)Cc1cncn1C(C)C ZINC000425324365 386562357 /nfs/dbraw/zinc/56/23/57/386562357.db2.gz KMVMLCIVPFPWHN-UHFFFAOYSA-N 0 3 209.337 2.552 20 0 BFADHN c1ccc(CN2CCS[C@H]3CCCC[C@H]32)nc1 ZINC000267659081 386569467 /nfs/dbraw/zinc/56/94/67/386569467.db2.gz CKSFSODJRYNFMY-KGLIPLIRSA-N 0 3 248.395 2.942 20 0 BFADHN CCc1ccc(CNCc2cccs2)cn1 ZINC000336780779 386570883 /nfs/dbraw/zinc/57/08/83/386570883.db2.gz SBOSPGQVHRLKNK-UHFFFAOYSA-N 0 3 232.352 2.995 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H](C)C[C@H](C)O)o1 ZINC000228414935 386572330 /nfs/dbraw/zinc/57/23/30/386572330.db2.gz PMSFPHIOLZLXCB-NHCYSSNCSA-N 0 3 225.332 2.646 20 0 BFADHN C[C@H](NCc1ccno1)[C@H]1CCC[C@H](C)C1 ZINC000519864796 386572602 /nfs/dbraw/zinc/57/26/02/386572602.db2.gz VCAVIIOTKUUZGD-SRVKXCTJSA-N 0 3 222.332 2.979 20 0 BFADHN CCc1ncc(CNCC2=CCCCC2)cn1 ZINC000414463435 386619312 /nfs/dbraw/zinc/61/93/12/386619312.db2.gz VPBCECUXHLYYES-UHFFFAOYSA-N 0 3 231.343 2.629 20 0 BFADHN CC[C@H]1CN(C[C@@H](C)CC(C)C)CCO1 ZINC000337133506 386621274 /nfs/dbraw/zinc/62/12/74/386621274.db2.gz MDPLPAKROXBIGI-STQMWFEESA-N 0 3 213.365 2.779 20 0 BFADHN CC[C@H]1CCN1C[C@@H](O)c1ccc(C)cc1 ZINC000292126452 386623490 /nfs/dbraw/zinc/62/34/90/386623490.db2.gz AXYAUVXIVNWXAW-UONOGXRCSA-N 0 3 219.328 2.513 20 0 BFADHN CSC[C@@H]1CCCN(Cc2ccncc2)C1 ZINC000292127804 386624705 /nfs/dbraw/zinc/62/47/05/386624705.db2.gz BAJSYWZBBQJMGA-CYBMUJFWSA-N 0 3 236.384 2.657 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCC[C@@H](C)C2)ncn1 ZINC000292171196 386627021 /nfs/dbraw/zinc/62/70/21/386627021.db2.gz LUIZUYCUWYUUBN-BXUZGUMPSA-N 0 3 233.359 2.796 20 0 BFADHN CCC[C@H]1CCCN(Cc2ccno2)C1 ZINC000268185153 386627616 /nfs/dbraw/zinc/62/76/16/386627616.db2.gz OLKCSSZHHHMUBP-NSHDSACASA-N 0 3 208.305 2.687 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC[C@@H](C)C[C@H]1C ZINC000336646455 386634045 /nfs/dbraw/zinc/63/40/45/386634045.db2.gz BFFUGSOSLOWCRW-FXPVBKGRSA-N 0 3 239.388 2.761 20 0 BFADHN CCN(Cc1cnn(C(C)C)c1)CC(C)C ZINC000179302033 386634269 /nfs/dbraw/zinc/63/42/69/386634269.db2.gz DDOYYZHMUPMSGG-UHFFFAOYSA-N 0 3 223.364 2.942 20 0 BFADHN C[C@@H](NC/C=C\c1ccccc1)c1cn[nH]c1 ZINC000339793035 386639355 /nfs/dbraw/zinc/63/93/55/386639355.db2.gz WZTXZPNYJZRWFO-VVEJJEBESA-N 0 3 227.311 2.774 20 0 BFADHN Cc1ccc(N(C)C(=O)[C@H](C(C)C)N(C)C)cc1 ZINC000268305676 386640752 /nfs/dbraw/zinc/64/07/52/386640752.db2.gz YAQLWMGKNAVQRB-AWEZNQCLSA-N 0 3 248.370 2.544 20 0 BFADHN CCC[C@H](C)CN1CCCc2c(cnn2C)C1 ZINC000414469731 386642338 /nfs/dbraw/zinc/64/23/38/386642338.db2.gz HDEZGRSDDMIPST-LBPRGKRZSA-N 0 3 235.375 2.605 20 0 BFADHN Cc1ccoc1CN(C)C[C@H](O)CCC(C)C ZINC000292274696 386643224 /nfs/dbraw/zinc/64/32/24/386643224.db2.gz LBVKTXTXHKIOOD-CYBMUJFWSA-N 0 3 239.359 2.817 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C3CCCC3)C2)o1 ZINC000335509715 386643653 /nfs/dbraw/zinc/64/36/53/386643653.db2.gz KCQLCRNFIAXUEM-CYBMUJFWSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1cnc(CN2CC[C@H](C3CCCC3)C2)cn1 ZINC000335509847 386643794 /nfs/dbraw/zinc/64/37/94/386643794.db2.gz MPRBOCUGWJJLPM-AWEZNQCLSA-N 0 3 245.370 2.797 20 0 BFADHN CC(C)n1nccc1CN1CC[C@@H](C)[C@@H](C)C1 ZINC000337196042 386644916 /nfs/dbraw/zinc/64/49/16/386644916.db2.gz XAWAGRRNTYVKHN-OLZOCXBDSA-N 0 3 235.375 2.942 20 0 BFADHN CC1=C(c2ccco2)CN(C[C@H]2C[C@@H](O)C2)CC1 ZINC000335510420 386644958 /nfs/dbraw/zinc/64/49/58/386644958.db2.gz KSUIVJNZOWSMFF-BETUJISGSA-N 0 3 247.338 2.530 20 0 BFADHN CC[C@H]1CCN1CCc1ccccc1F ZINC000292295517 386646124 /nfs/dbraw/zinc/64/61/24/386646124.db2.gz CVFNJGCPBGIYJM-LBPRGKRZSA-N 0 3 207.292 2.853 20 0 BFADHN Cc1cc(CN2CCC3(CCCC3)CC2)ncn1 ZINC000292307753 386646260 /nfs/dbraw/zinc/64/62/60/386646260.db2.gz AIYOHRGWVXGTSA-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN1CCO[C@H](CF)C1 ZINC000414471676 386648034 /nfs/dbraw/zinc/64/80/34/386648034.db2.gz QECAIHNKYIUFAJ-BFHYXJOUSA-N 0 3 241.350 2.649 20 0 BFADHN CCc1ccc([C@@H](C)NCCC[C@@H](C)O)o1 ZINC000336782828 386650881 /nfs/dbraw/zinc/65/08/81/386650881.db2.gz YSWXPAZEXPQCBL-GHMZBOCLSA-N 0 3 225.332 2.654 20 0 BFADHN CO[C@]1(C)CCCN(Cc2ccccc2F)C1 ZINC000279267337 386656341 /nfs/dbraw/zinc/65/63/41/386656341.db2.gz IVKXVOJKZZCNQJ-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN COc1ccccc1C1(NC[C@@H]2C[C@@H]2C)CC1 ZINC000648056118 386653172 /nfs/dbraw/zinc/65/31/72/386653172.db2.gz VFZKMAONYOBVQL-RYUDHWBXSA-N 0 3 231.339 2.930 20 0 BFADHN Cc1ccc(CNC2(C3CCC3)CCC2)nn1 ZINC000414463320 386610935 /nfs/dbraw/zinc/61/09/35/386610935.db2.gz NQRQACTZJOJBJF-UHFFFAOYSA-N 0 3 231.343 2.597 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3CCC[C@@H]3C)cn2c1 ZINC000268018466 386611020 /nfs/dbraw/zinc/61/10/20/386611020.db2.gz HFNPKJDXLWWXAD-GXTWGEPZSA-N 0 3 243.354 2.921 20 0 BFADHN CCCN(CCC)Cc1cncn1CC ZINC000417738809 386614063 /nfs/dbraw/zinc/61/40/63/386614063.db2.gz FFOMEWVOROUPBF-UHFFFAOYSA-N 0 3 209.337 2.525 20 0 BFADHN CCc1ccc(CN(C)CC2(CO)CC2)s1 ZINC000525872117 386617061 /nfs/dbraw/zinc/61/70/61/386617061.db2.gz ZKRZKIIYYAMYPK-UHFFFAOYSA-N 0 3 239.384 2.515 20 0 BFADHN CC(C)(C)CCCN1CCOCC1(C)C ZINC000337130330 386618269 /nfs/dbraw/zinc/61/82/69/386618269.db2.gz IZFIGFDWRVDJJP-UHFFFAOYSA-N 0 3 213.365 2.924 20 0 BFADHN Cc1occc1CN1CCSC[C@@H]1C1CC1 ZINC000414475417 386659447 /nfs/dbraw/zinc/65/94/47/386659447.db2.gz XLDVAEKMRAMUDA-CYBMUJFWSA-N 0 3 237.368 2.915 20 0 BFADHN CC(C)n1cncc1CN(C)C1CCCC1 ZINC000425328463 386661039 /nfs/dbraw/zinc/66/10/39/386661039.db2.gz RJQJTBJYVKANFV-UHFFFAOYSA-N 0 3 221.348 2.838 20 0 BFADHN Cc1nnc([C@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)s1 ZINC000391806130 386661697 /nfs/dbraw/zinc/66/16/97/386661697.db2.gz GMJHCODRRKHMOE-VFRUTBLMSA-N 0 3 237.372 2.686 20 0 BFADHN CCN(Cc1cc(OC)cc(C)n1)C1CCC1 ZINC000339818545 386663148 /nfs/dbraw/zinc/66/31/48/386663148.db2.gz CAPNKJZWPYNXER-UHFFFAOYSA-N 0 3 234.343 2.773 20 0 BFADHN FC1(F)CC2(CN(CCC3CCOCC3)C2)C1 ZINC000644999066 386663294 /nfs/dbraw/zinc/66/32/94/386663294.db2.gz LQZKRJGJFDEBMV-UHFFFAOYSA-N 0 3 245.313 2.534 20 0 BFADHN COc1cc(C)nc(CN(C)CC2CCC2)c1 ZINC000339820137 386665583 /nfs/dbraw/zinc/66/55/83/386665583.db2.gz OXDYJXBLCKNQSQ-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN COc1cc(C)nc(CN2[C@H](C)CC[C@H]2C)c1 ZINC000339828499 386676046 /nfs/dbraw/zinc/67/60/46/386676046.db2.gz WCXFGWSGBCIKHN-VXGBXAGGSA-N 0 3 234.343 2.771 20 0 BFADHN Cc1ccc(CNC[C@H]2CCC[C@@H]2C2CC2)nn1 ZINC000414477803 386676379 /nfs/dbraw/zinc/67/63/79/386676379.db2.gz CMENZAONSHPBTF-UKRRQHHQSA-N 0 3 245.370 2.701 20 0 BFADHN CCc1ccc([C@@H](C)NCC[C@H](C)OC)o1 ZINC000268895317 386679033 /nfs/dbraw/zinc/67/90/33/386679033.db2.gz ZVRAPYLBLUTTHG-WDEREUQCSA-N 0 3 225.332 2.918 20 0 BFADHN FCCCN1CCC[C@@H](c2ccccn2)C1 ZINC000647950205 386656712 /nfs/dbraw/zinc/65/67/12/386656712.db2.gz QMRIPPKOGYVLTI-GFCCVEGCSA-N 0 3 222.307 2.621 20 0 BFADHN C1=CC[C@@H](CN2CCO[C@@H](C3CCC3)C2)CC1 ZINC000414473385 386656860 /nfs/dbraw/zinc/65/68/60/386656860.db2.gz QMMOHZXFDQCTLA-UKRRQHHQSA-N 0 3 235.371 2.844 20 0 BFADHN C[C@@H](NCCF)c1cc2c(s1)CCC2 ZINC000336647084 386657197 /nfs/dbraw/zinc/65/71/97/386657197.db2.gz DZMQLNBTVIRCFB-MRVPVSSYSA-N 0 3 213.321 2.857 20 0 BFADHN C[C@H](C[S@@](C)=O)N(C)CCCC(C)(C)C ZINC000337214983 386657972 /nfs/dbraw/zinc/65/79/72/386657972.db2.gz XYKWSPCTELOINI-IAQYHMDHSA-N 0 3 233.421 2.512 20 0 BFADHN CC[C@H]1CCN1Cc1ccc(OC)cc1 ZINC000292372992 386658098 /nfs/dbraw/zinc/65/80/98/386658098.db2.gz IYZIWWWJGWWRIN-LBPRGKRZSA-N 0 3 205.301 2.680 20 0 BFADHN CC[C@H](O)CN([C@@H](C)c1ccco1)C1CC1 ZINC000268495777 386659142 /nfs/dbraw/zinc/65/91/42/386659142.db2.gz SWEJPESUZLWINP-JQWIXIFHSA-N 0 3 223.316 2.576 20 0 BFADHN C[C@H]1CCN(Cc2cn[nH]n2)CC12CCCCC2 ZINC000450763704 386683111 /nfs/dbraw/zinc/68/31/11/386683111.db2.gz BTHCDROSEQWZDU-LBPRGKRZSA-N 0 3 248.374 2.597 20 0 BFADHN C[C@H]1CCN(Cc2c[nH]nn2)CC12CCCCC2 ZINC000450763704 386683116 /nfs/dbraw/zinc/68/31/16/386683116.db2.gz BTHCDROSEQWZDU-LBPRGKRZSA-N 0 3 248.374 2.597 20 0 BFADHN CCC[C@@H](O)CN1Cc2ccccc2C2(CC2)C1 ZINC000450764533 386686111 /nfs/dbraw/zinc/68/61/11/386686111.db2.gz XBOPWPKGSRTWLH-CQSZACIVSA-N 0 3 245.366 2.695 20 0 BFADHN CC[C@H](CCO)NCc1ccsc1Cl ZINC000336648629 386687489 /nfs/dbraw/zinc/68/74/89/386687489.db2.gz GWJNFMUUJZJBMI-SECBINFHSA-N 0 3 233.764 2.652 20 0 BFADHN COCC[C@H](C)CNCc1ccc(Cl)o1 ZINC000414480990 386687965 /nfs/dbraw/zinc/68/79/65/386687965.db2.gz LAPPXNJFIXCVNK-VIFPVBQESA-N 0 3 231.723 2.695 20 0 BFADHN CC(C)[C@@](C)(O)CN[C@H]1CCCc2occc21 ZINC000192857910 386688191 /nfs/dbraw/zinc/68/81/91/386688191.db2.gz MINOPVSCXZGJDH-JSGCOSHPSA-N 0 3 237.343 2.654 20 0 BFADHN CN(CCSC1CCCCC1)[C@@H]1CCOC1 ZINC000337259558 386692088 /nfs/dbraw/zinc/69/20/88/386692088.db2.gz WDLNFMHAAZRXEO-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN C[C@H](CO)CN[C@@H](C)c1ccc2ccccc2n1 ZINC000268815922 386697619 /nfs/dbraw/zinc/69/76/19/386697619.db2.gz GBXPFVLKGZDYCO-RYUDHWBXSA-N 0 3 244.338 2.514 20 0 BFADHN CC(C)CC1(CN[C@H]2CCn3ccnc32)CC1 ZINC000391936011 386698385 /nfs/dbraw/zinc/69/83/85/386698385.db2.gz PDXXEMMJKKGMDT-LBPRGKRZSA-N 0 3 233.359 2.744 20 0 BFADHN CCc1ccc(CN[C@@H]2CCCc3cn[nH]c32)o1 ZINC000268835410 386702416 /nfs/dbraw/zinc/70/24/16/386702416.db2.gz LOXPJVXDWAJYES-CYBMUJFWSA-N 0 3 245.326 2.732 20 0 BFADHN CCc1ccc(CN(CC)C[C@@H]2CCOC2)nc1 ZINC000645077487 386703352 /nfs/dbraw/zinc/70/33/52/386703352.db2.gz JLSRTFNROFLUID-AWEZNQCLSA-N 0 3 248.370 2.502 20 0 BFADHN COCC[C@@H](C)CNCc1c(F)cccc1F ZINC000414486836 386705452 /nfs/dbraw/zinc/70/54/52/386705452.db2.gz UQOUNTFPUXTDPB-SNVBAGLBSA-N 0 3 243.297 2.727 20 0 BFADHN CC[C@H](NCC[C@@H](C)O)c1ccc(F)cc1F ZINC000268845195 386705752 /nfs/dbraw/zinc/70/57/52/386705752.db2.gz BESRVWHPIISHLP-RNCFNFMXSA-N 0 3 243.297 2.776 20 0 BFADHN COC1(CCN2CC[C@@H](C)[C@@H](F)C2)CCC1 ZINC000645112259 386730485 /nfs/dbraw/zinc/73/04/85/386730485.db2.gz CLVHQPCRURXFJQ-NEPJUHHUSA-N 0 3 229.339 2.626 20 0 BFADHN c1nc(CNC23CC4CC(CC(C4)C2)C3)co1 ZINC000191967038 386730714 /nfs/dbraw/zinc/73/07/14/386730714.db2.gz LRINBXBUSNMORS-UHFFFAOYSA-N 0 3 232.327 2.733 20 0 BFADHN CC[C@H]1CCN1CC1=Cc2ccccc2OC1 ZINC000292820644 386733284 /nfs/dbraw/zinc/73/32/84/386733284.db2.gz PXNOHQCAQJTICR-AWEZNQCLSA-N 0 3 229.323 2.947 20 0 BFADHN COc1cc(C)ccc1CN[C@@H](C)[C@@H](C)OC ZINC000269009295 386737906 /nfs/dbraw/zinc/73/79/06/386737906.db2.gz MBTUJXFSBKJNAN-NWDGAFQWSA-N 0 3 237.343 2.517 20 0 BFADHN CCn1cncc1CN1CC[C@@H](C)C[C@@H](C)C1 ZINC000417779811 386712599 /nfs/dbraw/zinc/71/25/99/386712599.db2.gz HHFCDTJQGFVCEF-CHWSQXEVSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@@H](O)CCN[C@@H](C)c1ccc2ccccc2n1 ZINC000268889206 386713605 /nfs/dbraw/zinc/71/36/05/386713605.db2.gz NQKWWBXERWPHAI-NEPJUHHUSA-N 0 3 244.338 2.656 20 0 BFADHN CSC[C@H]1CCCN(Cc2cccn2C)C1 ZINC000292726672 386714111 /nfs/dbraw/zinc/71/41/11/386714111.db2.gz FVMAUVCXHAJZRM-LBPRGKRZSA-N 0 3 238.400 2.600 20 0 BFADHN Cc1nnsc1CN[C@@H](C1CC1)C1CCC1 ZINC000325055687 386721428 /nfs/dbraw/zinc/72/14/28/386721428.db2.gz VGIWODUMJTZQMF-GFCCVEGCSA-N 0 3 237.372 2.515 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCCOC2)c(C)c1 ZINC000339866222 386721482 /nfs/dbraw/zinc/72/14/82/386721482.db2.gz NFVBZBPFKWWXQR-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN CN(Cc1ccc(Cl)cc1)[C@@H]1CCCOC1 ZINC000339868855 386726097 /nfs/dbraw/zinc/72/60/97/386726097.db2.gz ZTLDFJCBVBUMJH-CYBMUJFWSA-N 0 3 239.746 2.951 20 0 BFADHN C[C@@H]1CN(Cc2cocn2)[C@H]2CCCC[C@H]12 ZINC000191975276 386726490 /nfs/dbraw/zinc/72/64/90/386726490.db2.gz OZMCIYNWDOWFQJ-RTXFEEFZSA-N 0 3 220.316 2.685 20 0 BFADHN COCCOc1cccc(CN2[C@H](C)C[C@H]2C)c1 ZINC000526989322 386726557 /nfs/dbraw/zinc/72/65/57/386726557.db2.gz KQSVWDVQXAFVFU-CHWSQXEVSA-N 0 3 249.354 2.695 20 0 BFADHN CCCn1nc(C)c(CN2[C@H](C)C[C@H]2C)c1C ZINC000526989458 386727203 /nfs/dbraw/zinc/72/72/03/386727203.db2.gz FWJHDSFQIFEPSV-GHMZBOCLSA-N 0 3 235.375 2.893 20 0 BFADHN Cc1ccc2nc(CN[C@@H](C)CC3CC3)cn2c1 ZINC000268958668 386727297 /nfs/dbraw/zinc/72/72/97/386727297.db2.gz ZMRCLDGYYSVSQT-LBPRGKRZSA-N 0 3 243.354 2.921 20 0 BFADHN CCOC[C@@H](C)N[C@@H]1CCOc2ccccc21 ZINC000044689763 386727634 /nfs/dbraw/zinc/72/76/34/386727634.db2.gz OLJWZUJJPUKMLL-DGCLKSJQSA-N 0 3 235.327 2.525 20 0 BFADHN CSC[C@H]1CCCN(Cc2cncs2)C1 ZINC000292788448 386728216 /nfs/dbraw/zinc/72/82/16/386728216.db2.gz MEMWQPDZBGHDFB-JTQLQIEISA-N 0 3 242.413 2.718 20 0 BFADHN CCC[C@H](N)C(=O)N(CC)c1cccc(C)c1C ZINC000269045943 386740411 /nfs/dbraw/zinc/74/04/11/386740411.db2.gz NHKUCHPXHRQVST-ZDUSSCGKSA-N 0 3 248.370 2.784 20 0 BFADHN COC1(CCN2CC[C@@H](C)[C@H](F)C2)CCC1 ZINC000645112262 386729582 /nfs/dbraw/zinc/72/95/82/386729582.db2.gz CLVHQPCRURXFJQ-VXGBXAGGSA-N 0 3 229.339 2.626 20 0 BFADHN CC[C@H]1CCN1Cc1ccccc1OCCOC ZINC000292897971 386745516 /nfs/dbraw/zinc/74/55/16/386745516.db2.gz ZQSUXWYSTPTQHA-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN c1cncc([C@@H]2CCCN2C[C@@H]2CCCCO2)c1 ZINC000334148029 386746875 /nfs/dbraw/zinc/74/68/75/386746875.db2.gz FOQMFDKJZVSZDK-GJZGRUSLSA-N 0 3 246.354 2.788 20 0 BFADHN c1cncc([C@H]2CCCN2C[C@@H]2CCCCO2)c1 ZINC000334148031 386746907 /nfs/dbraw/zinc/74/69/07/386746907.db2.gz FOQMFDKJZVSZDK-LSDHHAIUSA-N 0 3 246.354 2.788 20 0 BFADHN C[C@@H](CCNCc1cc[nH]n1)CC(C)(C)C ZINC000191117822 386754450 /nfs/dbraw/zinc/75/44/50/386754450.db2.gz HNXXOVXFWOEILP-NSHDSACASA-N 0 3 223.364 2.962 20 0 BFADHN CC[C@@](C)(CN[C@@H]1CCCC[C@H]1F)OC ZINC000639264331 386758845 /nfs/dbraw/zinc/75/88/45/386758845.db2.gz CGCJRRAJWLLPQY-UTUOFQBUSA-N 0 3 217.328 2.672 20 0 BFADHN CSCCN[C@H]1CCCc2c(O)cccc21 ZINC000192354762 386759405 /nfs/dbraw/zinc/75/94/05/386759405.db2.gz JFYKYBLNNDSTKC-LBPRGKRZSA-N 0 3 237.368 2.722 20 0 BFADHN CC[C@@H](C)[C@@H](CN[C@@H]1CCCC[C@H]1F)OC ZINC000639264319 386759646 /nfs/dbraw/zinc/75/96/46/386759646.db2.gz BVVNHQVUEVFERD-FDYHWXHSSA-N 0 3 231.355 2.918 20 0 BFADHN CCc1noc(C)c1CN(C)C(C)(C)C ZINC000520703514 386760245 /nfs/dbraw/zinc/76/02/45/386760245.db2.gz XTEVXOJGHDJTII-UHFFFAOYSA-N 0 3 210.321 2.776 20 0 BFADHN Cc1nocc1CN(C)C[C@H]1CC=CCC1 ZINC000352855212 386760314 /nfs/dbraw/zinc/76/03/14/386760314.db2.gz BGZHQBXFBAZIFD-LBPRGKRZSA-N 0 3 220.316 2.771 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@H]1COC(C)(C)C1 ZINC000417955182 386761426 /nfs/dbraw/zinc/76/14/26/386761426.db2.gz CQBIDVUSHBMJJP-WCQYABFASA-N 0 3 248.370 2.862 20 0 BFADHN CC1(C)CN(Cc2c[nH]cn2)CC(C)(C)C1 ZINC000344886619 386793031 /nfs/dbraw/zinc/79/30/31/386793031.db2.gz HIFVJJWOHZQDIY-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CC1(C)CN(Cc2cnc[nH]2)CC(C)(C)C1 ZINC000344886619 386793042 /nfs/dbraw/zinc/79/30/42/386793042.db2.gz HIFVJJWOHZQDIY-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@H](N[C@H]1CSC1(C)C)c1ccccn1 ZINC000282975495 386793970 /nfs/dbraw/zinc/79/39/70/386793970.db2.gz DLLRCMKUBPSNLR-ONGXEEELSA-N 0 3 222.357 2.626 20 0 BFADHN Cc1ccc(CN[C@@H]2CCOC2(C)C)cc1F ZINC000293270826 386795765 /nfs/dbraw/zinc/79/57/65/386795765.db2.gz CIKNRUYIAFRRSJ-CYBMUJFWSA-N 0 3 237.318 2.791 20 0 BFADHN c1cncc(CN2CCSC3(CCC3)CC2)c1 ZINC000334637132 386772207 /nfs/dbraw/zinc/77/22/07/386772207.db2.gz QYBBYEYHHOWSQX-UHFFFAOYSA-N 0 3 248.395 2.943 20 0 BFADHN Cc1ccc(CNCC2CCCCCC2)nn1 ZINC000396557940 386773811 /nfs/dbraw/zinc/77/38/11/386773811.db2.gz IWVLKFDKQMQHRH-UHFFFAOYSA-N 0 3 233.359 2.845 20 0 BFADHN c1cc(CN2CCSC3(CCC3)CC2)on1 ZINC000334637436 386774047 /nfs/dbraw/zinc/77/40/47/386774047.db2.gz UCXHTJHHBSQXPI-UHFFFAOYSA-N 0 3 238.356 2.536 20 0 BFADHN C[C@@H](N[C@@H]1CSC1(C)C)c1cncc(F)c1 ZINC000282901096 386775441 /nfs/dbraw/zinc/77/54/41/386775441.db2.gz UEZADDMWRWEYSP-LDYMZIIASA-N 0 3 240.347 2.765 20 0 BFADHN CCn1cncc1CN1CC[C@](C)(CC)C1 ZINC000417802653 386777930 /nfs/dbraw/zinc/77/79/30/386777930.db2.gz BBWYOGRYROGMGC-ZDUSSCGKSA-N 0 3 221.348 2.525 20 0 BFADHN CO[C@@H](CN[C@H]1COC(C)(C)C1)c1ccccc1 ZINC000396579963 386781116 /nfs/dbraw/zinc/78/11/16/386781116.db2.gz HJIILHHNJBXOKL-KGLIPLIRSA-N 0 3 249.354 2.531 20 0 BFADHN Cc1ccoc1CN(CCO)C1CCCCC1 ZINC000269361092 386783109 /nfs/dbraw/zinc/78/31/09/386783109.db2.gz OTKCTRANCJNEJS-UHFFFAOYSA-N 0 3 237.343 2.715 20 0 BFADHN C[C@H](NCCF)[C@@H]1C[C@H]1c1ccccc1 ZINC000282959198 386788134 /nfs/dbraw/zinc/78/81/34/386788134.db2.gz CKEJXOKDFXAPBP-DRZSPHRISA-N 0 3 207.292 2.738 20 0 BFADHN COC[C@@H]1CCN1Cc1cc(C)sc1C ZINC000293429566 386816840 /nfs/dbraw/zinc/81/68/40/386816840.db2.gz AZXXLZJLZIUMAW-LBPRGKRZSA-N 0 3 225.357 2.586 20 0 BFADHN CCOc1ccccc1CN[C@H]1CC[C@H](OC)C1 ZINC000190930290 386817487 /nfs/dbraw/zinc/81/74/87/386817487.db2.gz JMPCNPGNVNJHGX-KBPBESRZSA-N 0 3 249.354 2.742 20 0 BFADHN CCCn1cc(CN2C[C@H](C)C[C@H]2C)cn1 ZINC000353747354 386818095 /nfs/dbraw/zinc/81/80/95/386818095.db2.gz AAALDSIFMAKPSO-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN Cc1ccncc1CN1CCSCC[C@H]1C ZINC000280472186 386818076 /nfs/dbraw/zinc/81/80/76/386818076.db2.gz CSKADVQTORMXPO-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1cnc(CNC2CCCCCC2)n1C ZINC000336672800 386820273 /nfs/dbraw/zinc/82/02/73/386820273.db2.gz AVGBUIMGGGBSCR-UHFFFAOYSA-N 0 3 221.348 2.541 20 0 BFADHN CC[C@H](C[C@H](C)CO)NCc1ccc(Cl)o1 ZINC000336672790 386821960 /nfs/dbraw/zinc/82/19/60/386821960.db2.gz AUVVQFZMBYUTQW-VHSXEESVSA-N 0 3 245.750 2.820 20 0 BFADHN CC(C)[C@@H]1CCCN1CCOCC(F)(F)F ZINC000357654876 386822206 /nfs/dbraw/zinc/82/22/06/386822206.db2.gz HLXMMSDVFBHAKM-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C(C)(C)C2)ncn1 ZINC000293283311 386797613 /nfs/dbraw/zinc/79/76/13/386797613.db2.gz TXXQTKAPPVYSAA-LLVKDONJSA-N 0 3 233.359 2.653 20 0 BFADHN CC[C@H]1CC[C@H](C)N1CCOCC(F)(F)F ZINC000357526670 386799691 /nfs/dbraw/zinc/79/96/91/386799691.db2.gz GUCWWBVHIHVJDB-UWVGGRQHSA-N 0 3 239.281 2.828 20 0 BFADHN CC[C@]1(C)COCCN1[C@H]1C=CCCC1 ZINC000340888979 386800476 /nfs/dbraw/zinc/80/04/76/386800476.db2.gz SVQZPRZKGUQVAO-QWHCGFSZSA-N 0 3 209.333 2.596 20 0 BFADHN CC(C)[C@H]1CN(Cc2cccs2)CCCO1 ZINC000293359427 386805871 /nfs/dbraw/zinc/80/58/71/386805871.db2.gz ZVWOWNVAKGVDLR-CYBMUJFWSA-N 0 3 239.384 2.995 20 0 BFADHN CC[C@H](N[C@H](C)c1cc(C)oc1C)[C@@H](C)O ZINC000395282072 386808034 /nfs/dbraw/zinc/80/80/34/386808034.db2.gz UMRDSDPNOAHUOZ-BREBYQMCSA-N 0 3 225.332 2.706 20 0 BFADHN CC[C@H](NCc1ccnc(C)n1)[C@@H]1CC1(C)C ZINC000397671493 386808306 /nfs/dbraw/zinc/80/83/06/386808306.db2.gz QJOUDDIHACOKQH-STQMWFEESA-N 0 3 233.359 2.699 20 0 BFADHN C[C@@H](F)CCN1CCC=C(c2ccncc2)C1 ZINC000353205588 386810108 /nfs/dbraw/zinc/81/01/08/386810108.db2.gz VIHAGDKPLQWXII-GFCCVEGCSA-N 0 3 234.318 2.919 20 0 BFADHN Cc1ccc2nc(CN[C@H](C)C3(C)CC3)cn2c1 ZINC000341017037 386814157 /nfs/dbraw/zinc/81/41/57/386814157.db2.gz HEIATUDFMOERAI-GFCCVEGCSA-N 0 3 243.354 2.921 20 0 BFADHN C[C@@H](NCc1ccsc1Cl)[C@H](C)CO ZINC000336671840 386814055 /nfs/dbraw/zinc/81/40/55/386814055.db2.gz ZIBADJDOMVERCE-HTQZYQBOSA-N 0 3 233.764 2.508 20 0 BFADHN Cc1ccc2nc(CNC[C@H]3CC3(C)C)cn2c1 ZINC000341003831 386814386 /nfs/dbraw/zinc/81/43/86/386814386.db2.gz AFTPVBIEQQKJPG-GFCCVEGCSA-N 0 3 243.354 2.778 20 0 BFADHN Cc1ccncc1CN1CC[C@@H](c2ccco2)C1 ZINC000366545419 386815038 /nfs/dbraw/zinc/81/50/38/386815038.db2.gz JCNCDSOPHKVNGZ-CYBMUJFWSA-N 0 3 242.322 2.973 20 0 BFADHN CO[C@]1(C)CCCN(Cc2cncc(C)c2)CC1 ZINC000280586112 386825799 /nfs/dbraw/zinc/82/57/99/386825799.db2.gz TZCAPHSVJHNIDR-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@@H]3CCC[C@H]3C2)n1C ZINC000341038756 386826360 /nfs/dbraw/zinc/82/63/60/386826360.db2.gz CPEYPHUBSURLDR-MELADBBJSA-N 0 3 247.386 2.787 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccccc1SC ZINC000280598859 386829823 /nfs/dbraw/zinc/82/98/23/386829823.db2.gz XAQIJWVNJAIECX-LLVKDONJSA-N 0 3 239.384 2.875 20 0 BFADHN Cc1ccc([C@@H]2C[C@H](C)CCN2C[C@H](C)O)o1 ZINC000249546911 386836298 /nfs/dbraw/zinc/83/62/98/386836298.db2.gz KGQHXRCLZWSCQP-MDZLAQPJSA-N 0 3 237.343 2.742 20 0 BFADHN Cc1ccc([C@@H]2C[C@@H](C)CCN2C[C@H](C)O)o1 ZINC000249546909 386836627 /nfs/dbraw/zinc/83/66/27/386836627.db2.gz KGQHXRCLZWSCQP-GVXVVHGQSA-N 0 3 237.343 2.742 20 0 BFADHN Cc1c([C@@H](C)NCCSC(C)C)cnn1C ZINC000283254409 386838079 /nfs/dbraw/zinc/83/80/79/386838079.db2.gz VOPLTDNJZHRLMD-SNVBAGLBSA-N 0 3 241.404 2.521 20 0 BFADHN C[C@@H]1CN(Cc2ccncc2Cl)C[C@H]1C ZINC000334781887 386838045 /nfs/dbraw/zinc/83/80/45/386838045.db2.gz MKCQXAHUBMNNMB-NXEZZACHSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@H]1CN(Cc2ccncc2Cl)C[C@@H]1C ZINC000334781891 386838375 /nfs/dbraw/zinc/83/83/75/386838375.db2.gz MKCQXAHUBMNNMB-UWVGGRQHSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@H](O)CN1C[C@H](C)C[C@@H]1c1cccc(F)c1 ZINC000249593854 386839280 /nfs/dbraw/zinc/83/92/80/386839280.db2.gz PNGRRELBIHXOKM-UHIISALHSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1ncsc1CN1CC(C(C)(C)C)C1 ZINC000334783601 386842525 /nfs/dbraw/zinc/84/25/25/386842525.db2.gz WNNXYOAHKRHYCJ-UHFFFAOYSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1cnc(CNCC2CCC(C)CC2)n1C ZINC000341121904 386843295 /nfs/dbraw/zinc/84/32/95/386843295.db2.gz KRULRZZFANIHCT-UHFFFAOYSA-N 0 3 235.375 2.644 20 0 BFADHN CCN(Cc1cncn1C(C)C)C(C)C ZINC000425332716 386844683 /nfs/dbraw/zinc/84/46/83/386844683.db2.gz ZMVHXDHGCFNQRN-UHFFFAOYSA-N 0 3 209.337 2.694 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CC(C)(C)C2)n(C)n1 ZINC000418004423 386846514 /nfs/dbraw/zinc/84/65/14/386846514.db2.gz NZUWZHHGNOFIRA-NSHDSACASA-N 0 3 235.375 2.597 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1snnc1C ZINC000336674240 386846496 /nfs/dbraw/zinc/84/64/96/386846496.db2.gz OZEXPIRRCIBEIM-WDEREUQCSA-N 0 3 239.388 2.905 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cncn1C(C)C ZINC000425331797 386846540 /nfs/dbraw/zinc/84/65/40/386846540.db2.gz YKFWZMXHXMGNHR-GFCCVEGCSA-N 0 3 223.364 2.940 20 0 BFADHN CC1(C)COCCN1C[C@H]1CCC(F)(F)C1 ZINC000334785892 386847943 /nfs/dbraw/zinc/84/79/43/386847943.db2.gz GUJZOBQEBQTNRC-JTQLQIEISA-N 0 3 233.302 2.533 20 0 BFADHN C[C@@H](NCCN1CCCC[C@H]1C)c1ccco1 ZINC000249762015 386847983 /nfs/dbraw/zinc/84/79/83/386847983.db2.gz XCCHLEGYMSXQOQ-CHWSQXEVSA-N 0 3 236.359 2.805 20 0 BFADHN C[C@@H](NC1CCCCCC1)c1ccn(C)n1 ZINC000334793200 386861228 /nfs/dbraw/zinc/86/12/28/386861228.db2.gz UVCMVBHFZAPDIC-LLVKDONJSA-N 0 3 221.348 2.794 20 0 BFADHN C(N1CCN(C2CCC2)CC1)C12CCC(CC1)C2 ZINC000335744392 386862525 /nfs/dbraw/zinc/86/25/25/386862525.db2.gz RKWJUEWOPRSHRH-UHFFFAOYSA-N 0 3 248.414 2.737 20 0 BFADHN CC[C@H](N[C@H]1C=C[C@@H](CO)C1)c1ccccc1F ZINC000341246254 386864881 /nfs/dbraw/zinc/86/48/81/386864881.db2.gz HIOZGWJYXMCWAO-XUJVJEKNSA-N 0 3 249.329 2.803 20 0 BFADHN C[C@@H](NC1CCC(F)(F)CC1)c1ccn(C)n1 ZINC000334829403 386866638 /nfs/dbraw/zinc/86/66/38/386866638.db2.gz MWUAELXRHFAMJX-SECBINFHSA-N 0 3 243.301 2.649 20 0 BFADHN CC[C@H]1CCN1C[C@@H](O)c1cc2ccccc2o1 ZINC000293712116 386867604 /nfs/dbraw/zinc/86/76/04/386867604.db2.gz XWURKQHWAWJCCV-QWHCGFSZSA-N 0 3 245.322 2.951 20 0 BFADHN Cc1sccc1CN1CCCSCC1 ZINC000293733023 386869211 /nfs/dbraw/zinc/86/92/11/386869211.db2.gz WLVPODOHQCAOIS-UHFFFAOYSA-N 0 3 227.398 2.995 20 0 BFADHN C[C@@H](N[C@@H](C)CCC1CC1)c1cnccn1 ZINC000336739122 386871278 /nfs/dbraw/zinc/87/12/78/386871278.db2.gz KVLBSHOUSRPLGG-WDEREUQCSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@@H](C(C)(C)C)C1 ZINC000335747531 386871566 /nfs/dbraw/zinc/87/15/66/386871566.db2.gz JOSSVTHTUQOATA-NWDGAFQWSA-N 0 3 233.359 2.906 20 0 BFADHN Cc1ccc(CN2CC[C@]3(CC[C@@H](C)C3)C2)nn1 ZINC000334835727 386875722 /nfs/dbraw/zinc/87/57/22/386875722.db2.gz IFCORXPEUYJQQC-DOMZBBRYSA-N 0 3 245.370 2.797 20 0 BFADHN CC[C@H](N[C@H]1C[C@@](C)(O)C1)c1cccc(F)c1 ZINC000417391903 386875764 /nfs/dbraw/zinc/87/57/64/386875764.db2.gz AWQKSCYEYAEDFZ-MELADBBJSA-N 0 3 237.318 2.780 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@](C)(O)C1)c1cccc(F)c1 ZINC000417391901 386875926 /nfs/dbraw/zinc/87/59/26/386875926.db2.gz AWQKSCYEYAEDFZ-MCIONIFRSA-N 0 3 237.318 2.780 20 0 BFADHN C[C@H]1CCN(Cc2ccno2)C[C@H](C)C1 ZINC000335751767 386876040 /nfs/dbraw/zinc/87/60/40/386876040.db2.gz OCWQGNZDFPAAGJ-WDEREUQCSA-N 0 3 208.305 2.543 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](F)C1)c1ccc(F)cn1 ZINC000336739242 386877015 /nfs/dbraw/zinc/87/70/15/386877015.db2.gz LBTZNHYHRFFDMV-IQJOONFLSA-N 0 3 226.270 2.762 20 0 BFADHN Cc1c[nH]nc1CNCC1CCC(F)(F)CC1 ZINC000352885402 386879827 /nfs/dbraw/zinc/87/98/27/386879827.db2.gz DSRRFPFDFSWIJB-UHFFFAOYSA-N 0 3 243.301 2.633 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)C[C@@H](C)C2)nn1 ZINC000334837484 386880448 /nfs/dbraw/zinc/88/04/48/386880448.db2.gz UEGNASQVGFWOGD-NWDGAFQWSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1occc1CN[C@@H](C)Cc1ccncc1 ZINC000358017582 386880536 /nfs/dbraw/zinc/88/05/36/386880536.db2.gz HPEOIVBUZYPZAY-NSHDSACASA-N 0 3 230.311 2.704 20 0 BFADHN CCc1ncc(CN2C[C@@H](C)CC[C@H]2C)cn1 ZINC000334840452 386884902 /nfs/dbraw/zinc/88/49/02/386884902.db2.gz GWDALJQXKUEYAT-NWDGAFQWSA-N 0 3 233.359 2.659 20 0 BFADHN C[C@@H](C(N)=O)N1CC(C)(C)CC(C)(C)C1 ZINC000335759729 386888142 /nfs/dbraw/zinc/88/81/42/386888142.db2.gz QWGBJTWREQOJNF-VIFPVBQESA-N 0 3 212.337 2.668 20 0 BFADHN COC[C@@H](CC(C)C)NCc1cccc(O)c1 ZINC000358229772 386917213 /nfs/dbraw/zinc/91/72/13/386917213.db2.gz XHMNRSVLRJUKTL-CYBMUJFWSA-N 0 3 237.343 2.543 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@H](C)CC2)n1C ZINC000341380461 386897143 /nfs/dbraw/zinc/89/71/43/386897143.db2.gz LLQJNIBNUKLITI-WCQYABFASA-N 0 3 235.375 2.787 20 0 BFADHN Cc1ccc2c(c1)CN(CCc1ccccn1)C2 ZINC000335761872 386900624 /nfs/dbraw/zinc/90/06/24/386900624.db2.gz VZDYZDDKRSTHFU-UHFFFAOYSA-N 0 3 238.334 2.948 20 0 BFADHN CO[C@@H]1CCN(Cc2ccoc2C)CC1(C)C ZINC000334851453 386900953 /nfs/dbraw/zinc/90/09/53/386900953.db2.gz COMFUCCVOLRIQE-CYBMUJFWSA-N 0 3 237.343 2.835 20 0 BFADHN Fc1ccc2c(c1)CN(CC[C@@H]1CCOC1)CC2 ZINC000335764160 386905004 /nfs/dbraw/zinc/90/50/04/386905004.db2.gz KWLGJWYFUAFOSR-GFCCVEGCSA-N 0 3 249.329 2.610 20 0 BFADHN Cc1ccc2nccc(NC[C@H](C)CCO)c2c1 ZINC000302162716 386908374 /nfs/dbraw/zinc/90/83/74/386908374.db2.gz QAMBWBCPLHFFRI-GFCCVEGCSA-N 0 3 244.338 2.974 20 0 BFADHN Cc1ccc2nccc(NCC[C@@H](C)O)c2c1 ZINC000302156425 386909390 /nfs/dbraw/zinc/90/93/90/386909390.db2.gz MVULGJTWMUSZEW-LLVKDONJSA-N 0 3 230.311 2.726 20 0 BFADHN COC(C)(C)CN[C@H](C)c1cnn(C(C)C)c1 ZINC000358183093 386909423 /nfs/dbraw/zinc/90/94/23/386909423.db2.gz DDNPSTVFMNURRK-LLVKDONJSA-N 0 3 239.363 2.540 20 0 BFADHN Cc1ccc(CN2CCN3CCCC[C@@H]3C2)cc1 ZINC000093939136 386921424 /nfs/dbraw/zinc/92/14/24/386921424.db2.gz WTNKHBBZRFUJSP-MRXNPFEDSA-N 0 3 244.382 2.665 20 0 BFADHN CC(C)n1cc(CNCC23CCC(CC2)C3)nn1 ZINC000367960285 386922051 /nfs/dbraw/zinc/92/20/51/386922051.db2.gz APQGLKLZQUVUMU-UHFFFAOYSA-N 0 3 248.374 2.529 20 0 BFADHN Cc1ccoc1CNC[C@@]1(C)CCO[C@@H]1C1CC1 ZINC000341535873 386922835 /nfs/dbraw/zinc/92/28/35/386922835.db2.gz AEZJIBCSXTVOBZ-HUUCEWRRSA-N 0 3 249.354 2.883 20 0 BFADHN CCC[C@@](C)(N)C(=O)N[C@@H](C)C1CCCCC1 ZINC000052342586 386925955 /nfs/dbraw/zinc/92/59/55/386925955.db2.gz HTJSEHDBBTWPIC-SMDDNHRTSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1cc(CN2[C@@H](C)CCC2(C)C)no1 ZINC000334868389 386926215 /nfs/dbraw/zinc/92/62/15/386926215.db2.gz UHVPPPSFXWXCCU-VIFPVBQESA-N 0 3 208.305 2.746 20 0 BFADHN Cc1occc1CN1CCOCC2(CCC2)C1 ZINC000334869490 386928683 /nfs/dbraw/zinc/92/86/83/386928683.db2.gz YIDHRQNRIJILED-UHFFFAOYSA-N 0 3 235.327 2.591 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1ccc2ccccc2n1 ZINC000358307515 386930992 /nfs/dbraw/zinc/93/09/92/386930992.db2.gz RFJBHGNDPNOMCF-RYUDHWBXSA-N 0 3 244.338 2.920 20 0 BFADHN CCC[C@@H](C)NCc1cnnn1-c1ccccc1 ZINC000645467737 386932887 /nfs/dbraw/zinc/93/28/87/386932887.db2.gz HFVZKYSFXOZKGT-GFCCVEGCSA-N 0 3 244.342 2.546 20 0 BFADHN C[C@@H](NCCN1CCC1)c1cc2ccccc2o1 ZINC000358346954 386939822 /nfs/dbraw/zinc/93/98/22/386939822.db2.gz DSRVZTVRSJMVSK-GFCCVEGCSA-N 0 3 244.338 2.789 20 0 BFADHN Cc1occc1CN1CCC[C@@]2(CCOC2)C1 ZINC000334879376 386941362 /nfs/dbraw/zinc/94/13/62/386941362.db2.gz BGTJQZWECKAIDI-CQSZACIVSA-N 0 3 235.327 2.591 20 0 BFADHN CC(C)Cn1nccc1CN1CC[C@H](C)[C@H]1C ZINC000648012421 386942657 /nfs/dbraw/zinc/94/26/57/386942657.db2.gz DJHFXOJVKDMPHS-QWHCGFSZSA-N 0 3 235.375 2.769 20 0 BFADHN COC[C@H](CC(C)C)NCc1cncc(C)c1 ZINC000358372669 386943715 /nfs/dbraw/zinc/94/37/15/386943715.db2.gz WTGRXCKKAZZLJD-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN CCCCN(CC)C(=O)CN(C)C(C)(C)CC ZINC000358433697 386957386 /nfs/dbraw/zinc/95/73/86/386957386.db2.gz XGUVIYSYMQCLOR-UHFFFAOYSA-N 0 3 242.407 2.755 20 0 BFADHN c1cncc(CN2CCC(C3CC3)CC2)c1 ZINC000368330842 386962267 /nfs/dbraw/zinc/96/22/67/386962267.db2.gz MSWXUMLMGAOUDQ-UHFFFAOYSA-N 0 3 216.328 2.704 20 0 BFADHN Cc1cc(C)nc(N[C@H](C)[C@@H]2CCCOC2)c1 ZINC000334890639 386964534 /nfs/dbraw/zinc/96/45/34/386964534.db2.gz LRDJOWXKOQNVLA-CHWSQXEVSA-N 0 3 234.343 2.925 20 0 BFADHN CCc1ccc(NC(=O)[C@@H]2C[C@@H](C)CCN2)cc1 ZINC000126013944 386966545 /nfs/dbraw/zinc/96/65/45/386966545.db2.gz VDBHGKGOLJMSGE-FZMZJTMJSA-N 0 3 246.354 2.576 20 0 BFADHN C[C@@H](N[C@H]1CCCc2cn[nH]c21)c1ccoc1 ZINC000368424171 386972338 /nfs/dbraw/zinc/97/23/38/386972338.db2.gz HQLZCRROCJESED-SKDRFNHKSA-N 0 3 231.299 2.731 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000352932244 386972384 /nfs/dbraw/zinc/97/23/84/386972384.db2.gz FJOOVXWKMIEYLW-WXHSDQCUSA-N 0 3 235.375 2.880 20 0 BFADHN CC[C@H](N[C@H]1C[C@@](C)(O)C1)c1cccc(OC)c1 ZINC000417395557 386981933 /nfs/dbraw/zinc/98/19/33/386981933.db2.gz FGSXLAYJPYZQIO-AEGPPILISA-N 0 3 249.354 2.649 20 0 BFADHN C[C@H]1C[C@@H](c2nc(-c3ccco3)no2)CCN1C ZINC000334910309 386983581 /nfs/dbraw/zinc/98/35/81/386983581.db2.gz BOGCUVBLTONKFW-UWVGGRQHSA-N 0 3 247.298 2.527 20 0 BFADHN Cc1nsc(C)c1CN1CC[C@H](C)C1 ZINC000647966112 386984241 /nfs/dbraw/zinc/98/42/41/386984241.db2.gz AURKCMUNSGUBOK-QMMMGPOBSA-N 0 3 210.346 2.602 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCC[C@@H](C)C2)n(C)n1 ZINC000334911119 386984393 /nfs/dbraw/zinc/98/43/93/386984393.db2.gz DGMWWLPZBZVPSU-DGCLKSJQSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1nsc(C)c1CN1CC[C@@H](C)C1 ZINC000647966111 386985174 /nfs/dbraw/zinc/98/51/74/386985174.db2.gz AURKCMUNSGUBOK-MRVPVSSYSA-N 0 3 210.346 2.602 20 0 BFADHN CC[C@H]1CN(CCCCCF)C[C@@H](C)O1 ZINC000341894885 386994738 /nfs/dbraw/zinc/99/47/38/386994738.db2.gz KFLVBWVAACVTGS-NEPJUHHUSA-N 0 3 217.328 2.626 20 0 BFADHN COC(=O)[C@@H]1C[C@H](C)CCN1CCC(C)(C)C ZINC000341900508 386997876 /nfs/dbraw/zinc/99/78/76/386997876.db2.gz AJZYLANHQOGWLG-NEPJUHHUSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1nocc1CN(C)[C@@H]1CC[C@H](C)C1 ZINC000334929320 387005969 /nfs/dbraw/zinc/00/59/69/387005969.db2.gz QTNVBSISRKNETC-JOYOIKCWSA-N 0 3 208.305 2.603 20 0 BFADHN CC(C)Cn1nccc1CN(C)CCC1CC1 ZINC000648013866 386949803 /nfs/dbraw/zinc/94/98/03/386949803.db2.gz UTOXZNIKLNYCIO-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN CC[C@](C)(O)CNCc1sccc1Cl ZINC000193093631 387066497 /nfs/dbraw/zinc/06/64/97/387066497.db2.gz NRGVTAJGFNNIAH-JTQLQIEISA-N 0 3 233.764 2.652 20 0 BFADHN COc1ccccc1CN(C)C[C@H](OC)C1CC1 ZINC000425381062 387034952 /nfs/dbraw/zinc/03/49/52/387034952.db2.gz FGHVAEQLTQCPGF-HNNXBMFYSA-N 0 3 249.354 2.552 20 0 BFADHN C[C@@H](CC1CC1)N[C@@H](CO)c1ccsc1 ZINC000336763774 387035889 /nfs/dbraw/zinc/03/58/89/387035889.db2.gz PNJLRUYXHSUAGX-CABZTGNLSA-N 0 3 225.357 2.560 20 0 BFADHN Cc1ccoc1CNC[C@H](C)C(F)(F)F ZINC000336764080 387040150 /nfs/dbraw/zinc/04/01/50/387040150.db2.gz PWQVLOGDTMBYMN-QMMMGPOBSA-N 0 3 221.222 2.876 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccon1)C1CCCC1 ZINC000336764293 387040838 /nfs/dbraw/zinc/04/08/38/387040838.db2.gz QBHJULUDCYCUBK-ZJUUUORDSA-N 0 3 208.305 2.904 20 0 BFADHN Cc1cc(C)n(CCN2C3CCCC2CCC3)n1 ZINC000608500067 387043443 /nfs/dbraw/zinc/04/34/43/387043443.db2.gz XCCVDMBWHNRXEK-UHFFFAOYSA-N 0 3 247.386 2.907 20 0 BFADHN C[C@@H](NCC1(C(F)F)CC1)c1ccc(F)cn1 ZINC000359002831 387043514 /nfs/dbraw/zinc/04/35/14/387043514.db2.gz PWIUXFRIPMMLFL-MRVPVSSYSA-N 0 3 244.260 2.917 20 0 BFADHN C[C@H]1Cc2ccc(F)cc2[C@@H]1N[C@H]1C[C@@](C)(O)C1 ZINC000417406531 387043841 /nfs/dbraw/zinc/04/38/41/387043841.db2.gz RLNDWBWYLAZXFS-JQWOWJDXSA-N 0 3 249.329 2.562 20 0 BFADHN CC[C@H](N[C@H](C)c1ccncn1)[C@H]1CC1(C)C ZINC000417411020 387045501 /nfs/dbraw/zinc/04/55/01/387045501.db2.gz WFNUHYFBROLINY-UTUOFQBUSA-N 0 3 233.359 2.952 20 0 BFADHN CC(C)c1cc(CN[C@@H]2CSC[C@@H]2C)on1 ZINC000648452356 387046019 /nfs/dbraw/zinc/04/60/19/387046019.db2.gz YOTMYIVKUKFRHL-JOYOIKCWSA-N 0 3 240.372 2.639 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccncn1)[C@H]1CC1(C)C ZINC000417411019 387046852 /nfs/dbraw/zinc/04/68/52/387046852.db2.gz WFNUHYFBROLINY-TUAOUCFPSA-N 0 3 233.359 2.952 20 0 BFADHN CCS[C@H]1CCC[C@H]1NCc1cnoc1C ZINC000336764202 387047950 /nfs/dbraw/zinc/04/79/50/387047950.db2.gz MYZQOKQFSGLTJH-NEPJUHHUSA-N 0 3 240.372 2.747 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@H](N)C1CCC1 ZINC000399078939 387055467 /nfs/dbraw/zinc/05/54/67/387055467.db2.gz OYQDQNWUWVMJHZ-CHWSQXEVSA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1nccn1CC ZINC000519309676 387055617 /nfs/dbraw/zinc/05/56/17/387055617.db2.gz MMXGBPFBQAMKFQ-QWHCGFSZSA-N 0 3 235.375 2.819 20 0 BFADHN CC(C)n1cc(CNC[C@H](C)C(F)(F)F)cn1 ZINC000359113755 387056187 /nfs/dbraw/zinc/05/61/87/387056187.db2.gz CRQVMNPGDHBRAY-VIFPVBQESA-N 0 3 249.280 2.752 20 0 BFADHN Cc1ccoc1CN1CCN(C2CC2)C[C@H](C)C1 ZINC000336222765 387061366 /nfs/dbraw/zinc/06/13/66/387061366.db2.gz IKQIIOYPEBQYCB-GFCCVEGCSA-N 0 3 248.370 2.504 20 0 BFADHN C[C@@H](O)CCCN[C@H](C)c1ccc(F)cc1F ZINC000228044852 387062202 /nfs/dbraw/zinc/06/22/02/387062202.db2.gz XLLDVGOOXYIOAI-NXEZZACHSA-N 0 3 243.297 2.776 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CC[C@@H]1SC ZINC000425372103 387011334 /nfs/dbraw/zinc/01/13/34/387011334.db2.gz RRILMPOYWBQABM-RYUDHWBXSA-N 0 3 240.372 2.529 20 0 BFADHN Cc1csc(CNCCCOCC(C)C)n1 ZINC000117381874 387019418 /nfs/dbraw/zinc/01/94/18/387019418.db2.gz CJBGETBXGYYIHR-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN CCn1cncc1CN1CC[C@H](C)C2(CCC2)C1 ZINC000334943190 387019847 /nfs/dbraw/zinc/01/98/47/387019847.db2.gz HJSKWEOVBIMNKR-ZDUSSCGKSA-N 0 3 247.386 2.915 20 0 BFADHN CC(C)CCc1ccc(NC(=O)[C@@H](C)N)cc1 ZINC000519156769 387020790 /nfs/dbraw/zinc/02/07/90/387020790.db2.gz MBFOKXIOXRLGNS-LLVKDONJSA-N 0 3 234.343 2.561 20 0 BFADHN C[C@H](CSc1ncccc1Cl)N(C)C ZINC000358856418 387023617 /nfs/dbraw/zinc/02/36/17/387023617.db2.gz VUMDIBIDBDUYCM-MRVPVSSYSA-N 0 3 230.764 2.777 20 0 BFADHN CCc1ccccc1CN1C[C@@H](C)N(C)C[C@@H]1C ZINC000527325128 387023914 /nfs/dbraw/zinc/02/39/14/387023914.db2.gz KCMQLUFNFZVTRS-KGLIPLIRSA-N 0 3 246.398 2.773 20 0 BFADHN CC[C@H](Cc1cccs1)NCc1ccno1 ZINC000648023260 387024562 /nfs/dbraw/zinc/02/45/62/387024562.db2.gz NDBQAJFURFCEBC-SNVBAGLBSA-N 0 3 236.340 2.847 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1cc(C)nc(C)c1 ZINC000425369885 387025976 /nfs/dbraw/zinc/02/59/76/387025976.db2.gz NFJWMTCOJMXBQL-QWHCGFSZSA-N 0 3 236.384 2.682 20 0 BFADHN CC(C)[C@@H]1CCC[C@H]1NCc1ccno1 ZINC000648023318 387026535 /nfs/dbraw/zinc/02/65/35/387026535.db2.gz UFKGCLJNDCTHKF-NWDGAFQWSA-N 0 3 208.305 2.589 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H]1C[C@H]1c1ccco1 ZINC000425370069 387028063 /nfs/dbraw/zinc/02/80/63/387028063.db2.gz NGEJDEHZZAPUPA-DTHBNOIPSA-N 0 3 223.341 2.619 20 0 BFADHN COc1cc(C)c(CN2CC=C(C)CC2)c(C)n1 ZINC000359219202 387070005 /nfs/dbraw/zinc/07/00/05/387070005.db2.gz FJVJADXOONTLOT-UHFFFAOYSA-N 0 3 246.354 2.859 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cnc(C)cn2)C1 ZINC000336235384 387070606 /nfs/dbraw/zinc/07/06/06/387070606.db2.gz FLSJDCAFZVFKIN-CQSZACIVSA-N 0 3 233.359 2.797 20 0 BFADHN COc1cc(C)c(CN2CC[C@H]2C(C)C)c(C)n1 ZINC000359240327 387072286 /nfs/dbraw/zinc/07/22/86/387072286.db2.gz SMIFIASNMNKLKW-AWEZNQCLSA-N 0 3 248.370 2.937 20 0 BFADHN COC[C@@H](C)N1CCC[C@H](Oc2ccccc2)C1 ZINC000369826691 387072838 /nfs/dbraw/zinc/07/28/38/387072838.db2.gz ZPPBWVIWZQHLEP-HIFRSBDPSA-N 0 3 249.354 2.565 20 0 BFADHN CCn1cncc1CN(CC1CC1)C1CCC1 ZINC000418040520 387073534 /nfs/dbraw/zinc/07/35/34/387073534.db2.gz IYAXHLAQMYNJOE-UHFFFAOYSA-N 0 3 233.359 2.668 20 0 BFADHN CSCCN1Cc2ccccc2C2(CC2)C1 ZINC000186169030 387073778 /nfs/dbraw/zinc/07/37/78/387073778.db2.gz QAAOHVMARKVVLU-UHFFFAOYSA-N 0 3 233.380 2.897 20 0 BFADHN O[C@@H]1CCCN(Cc2cccc(C3CC3)c2)C1 ZINC000334986693 387073817 /nfs/dbraw/zinc/07/38/17/387073817.db2.gz SYKXBOFZSVELGN-OAHLLOKOSA-N 0 3 231.339 2.521 20 0 BFADHN CCN(CC(=O)Nc1ccc(C)cc1)CC(C)C ZINC000114725361 387074073 /nfs/dbraw/zinc/07/40/73/387074073.db2.gz AUQRAXOYVXFUEH-UHFFFAOYSA-N 0 3 248.370 2.911 20 0 BFADHN CC[C@@H](NCCCCO)c1ccccc1OC ZINC000193277247 387075495 /nfs/dbraw/zinc/07/54/95/387075495.db2.gz JDGZGYHUNGOGNJ-CYBMUJFWSA-N 0 3 237.343 2.508 20 0 BFADHN C[C@H](c1ccccc1)N1CCOCC2(CC2)C1 ZINC000334989702 387078995 /nfs/dbraw/zinc/07/89/95/387078995.db2.gz ROMLTYQGXTYELJ-CYBMUJFWSA-N 0 3 231.339 2.860 20 0 BFADHN C[C@H](C[C@H](C)O)Nc1ccnc2ccccc21 ZINC000228131852 387079506 /nfs/dbraw/zinc/07/95/06/387079506.db2.gz SPTIMVZDJQGNJG-MNOVXSKESA-N 0 3 230.311 2.806 20 0 BFADHN CC[C@H](C)N[C@@H]1C[C@@H](C)N(c2ccccc2)C1=O ZINC000246380939 387080934 /nfs/dbraw/zinc/08/09/34/387080934.db2.gz KAYVNWWJSFXQOO-OUCADQQQSA-N 0 3 246.354 2.569 20 0 BFADHN Cc1csc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)n1 ZINC000418121867 387081494 /nfs/dbraw/zinc/08/14/94/387081494.db2.gz DYOFFLANFYHTKN-GDPRMGEGSA-N 0 3 224.373 2.928 20 0 BFADHN CCn1cncc1CN1CC(C)(C)[C@@H]1C(C)C ZINC000418067875 387082199 /nfs/dbraw/zinc/08/21/99/387082199.db2.gz PSBJYTRJUIKBND-ZDUSSCGKSA-N 0 3 235.375 2.769 20 0 BFADHN Cc1cc(CN2CC[C@H](C3CCCC3)C2)n(C)n1 ZINC000334995689 387085512 /nfs/dbraw/zinc/08/55/12/387085512.db2.gz SBACUJISCJVCAY-AWEZNQCLSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@@H](C2CCCC2)C1 ZINC000334995592 387086054 /nfs/dbraw/zinc/08/60/54/387086054.db2.gz QJCHAAXCGLAWIP-CQSZACIVSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1CCOCC(F)(F)F ZINC000066532129 387087781 /nfs/dbraw/zinc/08/77/81/387087781.db2.gz XYENDCTWWLWCKA-AOOOYVTPSA-N 0 3 239.281 2.828 20 0 BFADHN COC[C@@H](C)NCc1cccc(CSC)c1 ZINC000188721803 387088393 /nfs/dbraw/zinc/08/83/93/387088393.db2.gz NCRUONHQWYXLMB-LLVKDONJSA-N 0 3 239.384 2.674 20 0 BFADHN CCn1cncc1CN[C@@H]1C[C@]1(CC)C(C)C ZINC000418081105 387088839 /nfs/dbraw/zinc/08/88/39/387088839.db2.gz FCXSJHYUEKWZPV-ZIAGYGMSSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1cncc1CN[C@H]1C[C@]1(CC)C(C)C ZINC000418081104 387089010 /nfs/dbraw/zinc/08/90/10/387089010.db2.gz FCXSJHYUEKWZPV-UONOGXRCSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1ccc(CC(C)(C)NCc2cc[nH]n2)cc1 ZINC000418100053 387131092 /nfs/dbraw/zinc/13/10/92/387131092.db2.gz CTBGAUYDXXYKKG-UHFFFAOYSA-N 0 3 243.354 2.829 20 0 BFADHN CC(C)(O)[C@@H]1CCCN1Cc1ccccc1F ZINC000119066097 387092741 /nfs/dbraw/zinc/09/27/41/387092741.db2.gz DHAFLESXPDTTGE-ZDUSSCGKSA-N 0 3 237.318 2.561 20 0 BFADHN COCC(C)(C)NC/C=C/c1ccccc1OC ZINC000066995953 387093368 /nfs/dbraw/zinc/09/33/68/387093368.db2.gz OLUJDTUYGNSLAY-VQHVLOKHSA-N 0 3 249.354 2.723 20 0 BFADHN COCC(C)(C)NCc1ccc2ncccc2c1 ZINC000066996141 387093513 /nfs/dbraw/zinc/09/35/13/387093513.db2.gz RXJMTRAUBYWPQW-UHFFFAOYSA-N 0 3 244.338 2.749 20 0 BFADHN CC(C)CCN1CCCC[C@H]1c1ccnn1C ZINC000370201581 387097829 /nfs/dbraw/zinc/09/78/29/387097829.db2.gz MKNIIPZYUYGNSL-AWEZNQCLSA-N 0 3 235.375 2.993 20 0 BFADHN CCN(Cc1cncn1CC)C1CC(C)(C)C1 ZINC000418091188 387098822 /nfs/dbraw/zinc/09/88/22/387098822.db2.gz DLFYGJMKOQPENR-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1ccc(CNCCCCOC(C)C)nc1 ZINC000228564510 387101452 /nfs/dbraw/zinc/10/14/52/387101452.db2.gz KFPCSOUMPLWTLM-UHFFFAOYSA-N 0 3 236.359 2.685 20 0 BFADHN CCOC(=O)[C@@H]1CCCCN1CC(CC)CC ZINC000148952557 387101839 /nfs/dbraw/zinc/10/18/39/387101839.db2.gz HTXWNDNTDTWCNM-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN COc1cnccc1[C@H](C)N[C@@H](C)C1CC1 ZINC000188790523 387101912 /nfs/dbraw/zinc/10/19/12/387101912.db2.gz YWIMZJQHYVWYOY-UWVGGRQHSA-N 0 3 220.316 2.539 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)Nc1ccc(C)cc1C ZINC000069092003 387113121 /nfs/dbraw/zinc/11/31/21/387113121.db2.gz KPCJAXAMGMPHSN-ZDUSSCGKSA-N 0 3 248.370 2.972 20 0 BFADHN CCCN(C)[C@H](C)C(=O)N(CC)c1ccccc1 ZINC000069092149 387113901 /nfs/dbraw/zinc/11/39/01/387113901.db2.gz WNOOAOPFZAQGSI-CYBMUJFWSA-N 0 3 248.370 2.770 20 0 BFADHN CC[C@H](CNC/C=C\c1ccccc1OC)OC ZINC000353086181 387114694 /nfs/dbraw/zinc/11/46/94/387114694.db2.gz WFGNYKPMNLTTQK-IUCKJTJTSA-N 0 3 249.354 2.723 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@@H](C)c1cccc(F)c1F ZINC000228809541 387119014 /nfs/dbraw/zinc/11/90/14/387119014.db2.gz NKADWXFZZFCWHU-UTLUCORTSA-N 0 3 243.297 2.775 20 0 BFADHN COc1ccccc1CNCCOC1CCCC1 ZINC000070168002 387121042 /nfs/dbraw/zinc/12/10/42/387121042.db2.gz SJXJTEIZCQQCOY-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN CCC[C@H](C)CN1CCO[C@@H](C(C)C)C1 ZINC000353170614 387147701 /nfs/dbraw/zinc/14/77/01/387147701.db2.gz IFFVNKMORJQQEH-QWHCGFSZSA-N 0 3 213.365 2.779 20 0 BFADHN CCCc1cccc(CN(CCO)C2CC2)c1 ZINC000186890835 387151085 /nfs/dbraw/zinc/15/10/85/387151085.db2.gz DNNUZTSPVRSSLR-UHFFFAOYSA-N 0 3 233.355 2.596 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCCOC2)c(C)n1 ZINC000187007068 387154122 /nfs/dbraw/zinc/15/41/22/387154122.db2.gz AYZHCTZUQRSIBH-AWEZNQCLSA-N 0 3 248.370 2.557 20 0 BFADHN COc1c(O)cccc1CN1C[C@H](C)[C@H](C)[C@@H]1C ZINC000418134746 387155030 /nfs/dbraw/zinc/15/50/30/387155030.db2.gz PZYIVJUIFXLXIU-SRVKXCTJSA-N 0 3 249.354 2.877 20 0 BFADHN OC[C@@H](CC1CCCCC1)NCc1cccnc1 ZINC000418127830 387155308 /nfs/dbraw/zinc/15/53/08/387155308.db2.gz PITQIJVWXHJXSE-OAHLLOKOSA-N 0 3 248.370 2.503 20 0 BFADHN CO[C@H](C)CN(C)CCO[C@H]1CCCC[C@H]1C ZINC000353202817 387155352 /nfs/dbraw/zinc/15/53/52/387155352.db2.gz KPDXPXCUXZHEIN-MCIONIFRSA-N 0 3 243.391 2.548 20 0 BFADHN Cc1nnsc1CN1[C@H](C)CCC1(C)C ZINC000514674970 387157127 /nfs/dbraw/zinc/15/71/27/387157127.db2.gz AXVBNQZDZSWHKJ-MRVPVSSYSA-N 0 3 225.361 2.609 20 0 BFADHN c1ccc(OCCN2CCCC3(CC3)C2)cc1 ZINC000186991450 387158788 /nfs/dbraw/zinc/15/87/88/387158788.db2.gz UXEPOLYUXXTDRD-UHFFFAOYSA-N 0 3 231.339 2.941 20 0 BFADHN CC(C)CCc1ccccc1NC(=O)[C@@H]1C[C@@H]1N ZINC000571753808 387161414 /nfs/dbraw/zinc/16/14/14/387161414.db2.gz HZLZHWFVFZCAFL-OLZOCXBDSA-N 0 3 246.354 2.561 20 0 BFADHN Cc1ccnc(CN2CC[C@H](C(C)(C)C)C2)n1 ZINC000336338820 387163596 /nfs/dbraw/zinc/16/35/96/387163596.db2.gz XPFUQBRIMLUKHE-LBPRGKRZSA-N 0 3 233.359 2.653 20 0 BFADHN C[C@H](N[C@@H]1CCOC1)c1nccc2ccccc21 ZINC000353240798 387164051 /nfs/dbraw/zinc/16/40/51/387164051.db2.gz KIZZDYAPOFMPCG-WCQYABFASA-N 0 3 242.322 2.674 20 0 BFADHN OC[C@@H](NCC1CC1)c1cccc(Cl)c1F ZINC000229251076 387164485 /nfs/dbraw/zinc/16/44/85/387164485.db2.gz QKQZRGBWIPHQTR-LLVKDONJSA-N 0 3 243.709 2.512 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cscn1 ZINC000418141482 387165003 /nfs/dbraw/zinc/16/50/03/387165003.db2.gz YBDAYTOBAFXNML-KXUCPTDWSA-N 0 3 210.346 2.619 20 0 BFADHN Cc1ccncc1CN1C[C@@H](C(N)=O)CC[C@H]1C ZINC000336340440 387171380 /nfs/dbraw/zinc/17/13/80/387171380.db2.gz UEDWWUDISPFVOW-NEPJUHHUSA-N 0 3 247.342 2.526 20 0 BFADHN CCN(Cc1nccn1C)[C@@H]1CCCC[C@@H]1C ZINC000120024300 387176495 /nfs/dbraw/zinc/17/64/95/387176495.db2.gz YWQHCTGEQXJBTG-QWHCGFSZSA-N 0 3 235.375 2.821 20 0 BFADHN CN(Cc1ccc(Cl)o1)C[C@H]1CCCOC1 ZINC000353327081 387178398 /nfs/dbraw/zinc/17/83/98/387178398.db2.gz CQFNTBWSDHIEOH-SNVBAGLBSA-N 0 3 243.734 2.791 20 0 BFADHN CC[C@@H](C(=O)N1[C@H](C)CC[C@@H]1C)N(CC)CC ZINC000359888763 387183247 /nfs/dbraw/zinc/18/32/47/387183247.db2.gz GJQBOIPQOORGIQ-XQQFMLRXSA-N 0 3 240.391 2.506 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]1C)c1nccn1C ZINC000300243673 387184295 /nfs/dbraw/zinc/18/42/95/387184295.db2.gz TWRMBLYPNJRJAX-WOPDTQHZSA-N 0 3 221.348 2.507 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@H]3C[C@]32C)o1 ZINC000459668616 387185444 /nfs/dbraw/zinc/18/54/44/387185444.db2.gz CFLDGWPYSAJSAR-CYZMBNFOSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1ccc(CN2C[C@@H](C(N)=O)CC[C@@H]2C)cn1 ZINC000336355824 387185690 /nfs/dbraw/zinc/18/56/90/387185690.db2.gz DOZDDEZVNOVPLX-AAEUAGOBSA-N 0 3 247.342 2.526 20 0 BFADHN Cc1ccc([C@@H](C)NCCC2(O)CCC2)o1 ZINC000284348127 387186777 /nfs/dbraw/zinc/18/67/77/387186777.db2.gz MCEXZBZMTNDEDY-LLVKDONJSA-N 0 3 223.316 2.544 20 0 BFADHN CCCC[C@@H](N)C(=O)N1CCC(C)(CC)CC1 ZINC000062957990 387187061 /nfs/dbraw/zinc/18/70/61/387187061.db2.gz BCYAZJNGWUGWHN-GFCCVEGCSA-N 0 3 240.391 2.543 20 0 BFADHN C[C@]1(NCc2cc3cc(F)ccc3o2)CCOC1 ZINC000120131765 387187138 /nfs/dbraw/zinc/18/71/38/387187138.db2.gz FEQVLIWIMNAXTL-AWEZNQCLSA-N 0 3 249.285 2.841 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H]1CCOc2c(C)cccc21 ZINC000353358994 387188254 /nfs/dbraw/zinc/18/82/54/387188254.db2.gz ZDPWUPLTRCEMRD-YRGRVCCFSA-N 0 3 249.354 2.832 20 0 BFADHN CC(C)N1CCOC2(C1)CCCCCC2 ZINC000119520293 387139130 /nfs/dbraw/zinc/13/91/30/387139130.db2.gz VOXDLAJFIGYTDW-UHFFFAOYSA-N 0 3 211.349 2.820 20 0 BFADHN COC(=O)c1ccc(CN2CCC[C@@H]2C)s1 ZINC000119513697 387139168 /nfs/dbraw/zinc/13/91/68/387139168.db2.gz CAKLCBWOAFYYFF-VIFPVBQESA-N 0 3 239.340 2.519 20 0 BFADHN COC(=O)c1ccc(CN2CCC[C@H]2C)s1 ZINC000119513561 387139523 /nfs/dbraw/zinc/13/95/23/387139523.db2.gz CAKLCBWOAFYYFF-SECBINFHSA-N 0 3 239.340 2.519 20 0 BFADHN FC(F)(F)C1(CNCc2ccccn2)CCC1 ZINC000353109315 387140710 /nfs/dbraw/zinc/14/07/10/387140710.db2.gz IZUPWVJPLOUKTC-UHFFFAOYSA-N 0 3 244.260 2.904 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1ccnn1CC ZINC000187578063 387204911 /nfs/dbraw/zinc/20/49/11/387204911.db2.gz JHYYBYCUWNFJKU-WCQYABFASA-N 0 3 221.348 2.523 20 0 BFADHN CCN(Cc1cc(C)cc(Cl)c1)C[C@H](C)O ZINC000353461705 387207094 /nfs/dbraw/zinc/20/70/94/387207094.db2.gz NKFJLMZPVJPWTD-NSHDSACASA-N 0 3 241.762 2.851 20 0 BFADHN CN(Cc1cccc(Cl)c1)CC1(O)CCC1 ZINC000353467714 387207276 /nfs/dbraw/zinc/20/72/76/387207276.db2.gz MXQNRNHAFZICQV-UHFFFAOYSA-N 0 3 239.746 2.687 20 0 BFADHN Cc1cnn(C)c1CN(C)[C@H]1CCC(C)(C)C1 ZINC000336377609 387208003 /nfs/dbraw/zinc/20/80/03/387208003.db2.gz CFYXZLXGBJKFEA-LBPRGKRZSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cc(CN(C)CC2(O)CCC2)c(C)s1 ZINC000353487030 387212635 /nfs/dbraw/zinc/21/26/35/387212635.db2.gz ZLPSNBPATZWGFU-UHFFFAOYSA-N 0 3 239.384 2.712 20 0 BFADHN Cc1cccnc1CN1C[C@@H](C)S[C@@H](C)C1 ZINC000093176867 387218253 /nfs/dbraw/zinc/21/82/53/387218253.db2.gz XVTRHAXISCFLPV-TXEJJXNPSA-N 0 3 236.384 2.716 20 0 BFADHN Cc1sccc1CN(C)[C@@H](CO)CC(C)C ZINC000353524014 387219529 /nfs/dbraw/zinc/21/95/29/387219529.db2.gz ZCPJYANXOWOSKN-CYBMUJFWSA-N 0 3 241.400 2.895 20 0 BFADHN CC[C@H](C)CN(CC)Cc1snnc1C ZINC000572044618 387219672 /nfs/dbraw/zinc/21/96/72/387219672.db2.gz KPZQZGCXQQGRJH-VIFPVBQESA-N 0 3 227.377 2.715 20 0 BFADHN COc1cncc(CN(C2CC2)C2CCCC2)c1 ZINC000572037670 387222641 /nfs/dbraw/zinc/22/26/41/387222641.db2.gz DPFIOLOUTAVBOI-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN CO[C@H](CNC/C=C/c1ccccc1)C1CC1 ZINC000292871641 387224168 /nfs/dbraw/zinc/22/41/68/387224168.db2.gz BJBLDXHWMGEMAT-SBJJXXPASA-N 0 3 231.339 2.714 20 0 BFADHN Cc1cc(C)cc(CN2CCOCC3(CC3)C2)c1 ZINC000360088822 387225335 /nfs/dbraw/zinc/22/53/35/387225335.db2.gz NREVUTXIZAQTDU-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN C[C@@H](NCC[C@H]1CCOC1)c1ccccc1F ZINC000230061430 387228594 /nfs/dbraw/zinc/22/85/94/387228594.db2.gz ACTYVACSABNGCJ-NEPJUHHUSA-N 0 3 237.318 2.903 20 0 BFADHN C[C@@H](NCC[C@@H]1CCOC1)c1ccccc1F ZINC000230061422 387229381 /nfs/dbraw/zinc/22/93/81/387229381.db2.gz ACTYVACSABNGCJ-VXGBXAGGSA-N 0 3 237.318 2.903 20 0 BFADHN CC[C@@H](CN[C@@H](c1cccnc1)C(C)C)OC ZINC000353557704 387229995 /nfs/dbraw/zinc/22/99/95/387229995.db2.gz IBJYSPFJIJRMDD-UONOGXRCSA-N 0 3 236.359 2.793 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)[C@@H](C)OC)o1 ZINC000290047012 387232366 /nfs/dbraw/zinc/23/23/66/387232366.db2.gz DVGOQZIYMAQSMH-OUAUKWLOSA-N 0 3 225.332 2.916 20 0 BFADHN Cc1ccc(C(C)(C)NC[C@H]2CCCCO2)cn1 ZINC000353575161 387233243 /nfs/dbraw/zinc/23/32/43/387233243.db2.gz YNXGPOMLPGBQOU-CQSZACIVSA-N 0 3 248.370 2.784 20 0 BFADHN Cc1ccc(F)cc1CN[C@@]1(C)CCO[C@H]1C ZINC000230150336 387234699 /nfs/dbraw/zinc/23/46/99/387234699.db2.gz DWAGQEWVVZXWLD-FZMZJTMJSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H]1OCC[C@]1(C)NCc1cc(F)ccc1F ZINC000230152901 387235580 /nfs/dbraw/zinc/23/55/80/387235580.db2.gz ICHNABGZAMIQRT-RNCFNFMXSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1cc(CN[C@@]2(C)CCO[C@@H]2C)ccc1F ZINC000230154205 387236400 /nfs/dbraw/zinc/23/64/00/387236400.db2.gz ZMZUKGCHSHAHGM-RISCZKNCSA-N 0 3 237.318 2.791 20 0 BFADHN Clc1ccccc1CNCC[C@H]1CCOC1 ZINC000230163253 387238148 /nfs/dbraw/zinc/23/81/48/387238148.db2.gz NBJOBBKBABWENP-NSHDSACASA-N 0 3 239.746 2.856 20 0 BFADHN Cc1ccnc([C@@H](C)NCCCOC(F)F)c1 ZINC000353598684 387239279 /nfs/dbraw/zinc/23/92/79/387239279.db2.gz WFUUHSFMTMXYAR-SNVBAGLBSA-N 0 3 244.285 2.670 20 0 BFADHN COC[C@H]1CCN(C/C=C\c2ccc(F)cc2)C1 ZINC000353612031 387241053 /nfs/dbraw/zinc/24/10/53/387241053.db2.gz GDTIHDMDQQBNHE-SFAKSCPVSA-N 0 3 249.329 2.807 20 0 BFADHN CCC1(CC)CCN(Cc2cnc(C)cn2)C1 ZINC000353609669 387241072 /nfs/dbraw/zinc/24/10/72/387241072.db2.gz NVVUZTGPPFMBHH-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN COC[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC000353608401 387241394 /nfs/dbraw/zinc/24/13/94/387241394.db2.gz HBWMGVFGAFKBNK-GFCCVEGCSA-N 0 3 237.318 2.602 20 0 BFADHN Cc1ccnc(CN2C[C@H](C)[C@@H]3CCCC[C@H]32)n1 ZINC000360209524 387243287 /nfs/dbraw/zinc/24/32/87/387243287.db2.gz QLLDNLWWVKDSNR-FPMFFAJLSA-N 0 3 245.370 2.796 20 0 BFADHN CC[C@H](O)CCCN[C@H](C)c1cc(C)ccn1 ZINC000353634711 387245345 /nfs/dbraw/zinc/24/53/45/387245345.db2.gz WLBNSBHEERBPNP-OLZOCXBDSA-N 0 3 236.359 2.592 20 0 BFADHN CO[C@@H](C)CCNc1cc(C)nc2ccccc21 ZINC000336796770 387245936 /nfs/dbraw/zinc/24/59/36/387245936.db2.gz VQTSOCQTTIHVAQ-LBPRGKRZSA-N 0 3 244.338 2.802 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ncccc1N(C)C ZINC000353638592 387246185 /nfs/dbraw/zinc/24/61/85/387246185.db2.gz BBTAMACWZLEWNC-NEPJUHHUSA-N 0 3 235.375 2.672 20 0 BFADHN CO[C@H](C)CN1Cc2ccccc2C(C)(C)C1 ZINC000360214155 387246629 /nfs/dbraw/zinc/24/66/29/387246629.db2.gz ULSNIZNGVLEQAB-GFCCVEGCSA-N 0 3 233.355 2.815 20 0 BFADHN COc1cccc(CCNCc2ccoc2C)c1 ZINC000321477419 387247828 /nfs/dbraw/zinc/24/78/28/387247828.db2.gz ILAONNUKJQLONU-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN CCc1cccc(F)c1CN(C)CC(C)(C)O ZINC000353645179 387248543 /nfs/dbraw/zinc/24/85/43/387248543.db2.gz BMKXVUDMZHJPSD-UHFFFAOYSA-N 0 3 239.334 2.591 20 0 BFADHN COC[C@@H](C)NCc1cccc(C2CC2)c1 ZINC000087011744 387249112 /nfs/dbraw/zinc/24/91/12/387249112.db2.gz LCPLMKDMJAGNRU-LLVKDONJSA-N 0 3 219.328 2.689 20 0 BFADHN CCc1nc(CN[C@H](C)[C@H]2C[C@@H]2C)cs1 ZINC000321480914 387249602 /nfs/dbraw/zinc/24/96/02/387249602.db2.gz JAUVBUMKEWGDDD-NGZCFLSTSA-N 0 3 224.373 2.840 20 0 BFADHN CO[C@H]1CCN(c2ccnc3ccc(C)cc32)C1 ZINC000336366433 387196305 /nfs/dbraw/zinc/19/63/05/387196305.db2.gz NFVBMKDVQCQZAT-LBPRGKRZSA-N 0 3 242.322 2.768 20 0 BFADHN CO[C@@H]1CCN(c2ccnc3ccc(C)cc32)C1 ZINC000336366514 387197451 /nfs/dbraw/zinc/19/74/51/387197451.db2.gz NFVBMKDVQCQZAT-GFCCVEGCSA-N 0 3 242.322 2.768 20 0 BFADHN Cc1c(CNC/C=C/c2ccccc2)cnn1C ZINC000229810484 387198826 /nfs/dbraw/zinc/19/88/26/387198826.db2.gz JBHDOSMIXPUCKJ-RMKNXTFCSA-N 0 3 241.338 2.532 20 0 BFADHN Cc1n[nH]cc1CN(C)C1CCC(C)CC1 ZINC000336370187 387200698 /nfs/dbraw/zinc/20/06/98/387200698.db2.gz OVGSQYQFHPISTB-UHFFFAOYSA-N 0 3 221.348 2.729 20 0 BFADHN Clc1ccsc1CN[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000193149664 387311069 /nfs/dbraw/zinc/31/10/69/387311069.db2.gz HBEWESRBPJPCMK-QNSHHTMESA-N 0 3 243.759 2.811 20 0 BFADHN CCc1noc(C)c1CN1CCCC[C@H]1C ZINC000162063152 387312535 /nfs/dbraw/zinc/31/25/35/387312535.db2.gz QAAWUDFRBCPUMK-SNVBAGLBSA-N 0 3 222.332 2.920 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H]2CCCSC2)c1 ZINC000286111981 387314410 /nfs/dbraw/zinc/31/44/10/387314410.db2.gz NRYZVMDHJJFINU-VXGBXAGGSA-N 0 3 236.384 2.936 20 0 BFADHN Cc1oncc1CN1CC[C@@H](c2ccco2)C1 ZINC000372597898 387314997 /nfs/dbraw/zinc/31/49/97/387314997.db2.gz DMDPANTZQDWOBO-LLVKDONJSA-N 0 3 232.283 2.566 20 0 BFADHN CN(Cc1cc2cc(F)ccc2o1)C1CC(O)C1 ZINC000336430239 387256832 /nfs/dbraw/zinc/25/68/32/387256832.db2.gz PMPIIKLUVKTFIR-UHFFFAOYSA-N 0 3 249.285 2.527 20 0 BFADHN Cc1cc(CN[C@@H]2CCO[C@@H]2C2CC2)ccc1F ZINC000230315862 387260663 /nfs/dbraw/zinc/26/06/63/387260663.db2.gz OZBDNUDYWAUMCE-HUUCEWRRSA-N 0 3 249.329 2.791 20 0 BFADHN CO[C@H](CNCc1ccc(F)c(C)c1)C1CC1 ZINC000292898971 387263586 /nfs/dbraw/zinc/26/35/86/387263586.db2.gz DNUGDWMCWCZBIH-CQSZACIVSA-N 0 3 237.318 2.649 20 0 BFADHN CCC[C@]1(NCc2cccc(F)c2)CCOC1 ZINC000285417718 387264226 /nfs/dbraw/zinc/26/42/26/387264226.db2.gz DMADACMFEAJKGM-AWEZNQCLSA-N 0 3 237.318 2.875 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C)[C@@H](O)C2)cc1C ZINC000230266732 387265625 /nfs/dbraw/zinc/26/56/25/387265625.db2.gz BNVBHPAISSCXPQ-DOMZBBRYSA-N 0 3 233.355 2.506 20 0 BFADHN COCc1ccc(CN2C[C@@H](C)C[C@@H]2C)o1 ZINC000353754175 387267017 /nfs/dbraw/zinc/26/70/17/387267017.db2.gz YLUWDXUGWJKIAR-QWRGUYRKSA-N 0 3 223.316 2.656 20 0 BFADHN CCOc1cccc(CN2C[C@@H](C)C[C@@H]2C)n1 ZINC000353746295 387268127 /nfs/dbraw/zinc/26/81/27/387268127.db2.gz JUAIUSFKRVRWRG-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1cncc(CN2C3CCC2CC3)c1 ZINC000525948949 387317413 /nfs/dbraw/zinc/31/74/13/387317413.db2.gz SFZIAZQPEPQGBI-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN CCc1ccc(CN2CCC[C@H]3COCC[C@H]32)o1 ZINC000336441752 387270610 /nfs/dbraw/zinc/27/06/10/387270610.db2.gz OJBKFCZYODLKFJ-SWLSCSKDSA-N 0 3 249.354 2.843 20 0 BFADHN Cc1cnn(C)c1CN[C@@H](C)c1ccc(C)cc1 ZINC000285523680 387271699 /nfs/dbraw/zinc/27/16/99/387271699.db2.gz RXARSCOZIPCYCS-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN CCCCN[C@H](C)c1c(F)cncc1F ZINC000285573978 387274133 /nfs/dbraw/zinc/27/41/33/387274133.db2.gz HVRDYUBPARQXKA-MRVPVSSYSA-N 0 3 214.259 2.811 20 0 BFADHN CO[C@@H](C)CN(Cc1ccsc1)C(C)C ZINC000353790744 387275176 /nfs/dbraw/zinc/27/51/76/387275176.db2.gz DZDCEZBONXZXLZ-NSHDSACASA-N 0 3 227.373 2.993 20 0 BFADHN Cc1noc(C)c1C(C)(C)NCCCCF ZINC000285635706 387276418 /nfs/dbraw/zinc/27/64/18/387276418.db2.gz LMSUAFVNKCZGNP-UHFFFAOYSA-N 0 3 228.311 2.866 20 0 BFADHN CC[C@H](NCC(=O)NC(C)C)c1cccc(C)c1 ZINC000285614015 387276777 /nfs/dbraw/zinc/27/67/77/387276777.db2.gz KJXWTPXBNSKTTD-AWEZNQCLSA-N 0 3 248.370 2.560 20 0 BFADHN CCOC[C@@H](N[C@H](C)c1ccncc1)C(C)C ZINC000189361603 387282575 /nfs/dbraw/zinc/28/25/75/387282575.db2.gz FAPRPFRIUQRCOW-TZMCWYRMSA-N 0 3 236.359 2.793 20 0 BFADHN COC[C@H](NCCCCF)c1ccc(C)o1 ZINC000285721340 387283927 /nfs/dbraw/zinc/28/39/27/387283927.db2.gz SZEBNZKYIRULSI-NSHDSACASA-N 0 3 229.295 2.615 20 0 BFADHN c1nc(CN[C@@H]2CCCc3ccccc3C2)co1 ZINC000648456404 387288312 /nfs/dbraw/zinc/28/83/12/387288312.db2.gz LAGUBUAXHCLODN-CQSZACIVSA-N 0 3 242.322 2.712 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1nc(C)c(C)[nH]1 ZINC000572258227 387289235 /nfs/dbraw/zinc/28/92/35/387289235.db2.gz LYSHXIXSGYCHFN-NWDGAFQWSA-N 0 3 221.348 2.695 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CC2(C)C)c1 ZINC000285919756 387293703 /nfs/dbraw/zinc/29/37/03/387293703.db2.gz CHHDKPMOAJVNFM-CMPLNLGQSA-N 0 3 204.317 2.839 20 0 BFADHN CC[C@@H]1CCN(Cc2cnc3ccccn23)C1 ZINC000162009589 387297588 /nfs/dbraw/zinc/29/75/88/387297588.db2.gz GMMFTAIERYGUQD-GFCCVEGCSA-N 0 3 229.327 2.566 20 0 BFADHN C[C@H](N(C)Cc1ccc(Cl)s1)C(C)(C)O ZINC000289279628 387297732 /nfs/dbraw/zinc/29/77/32/387297732.db2.gz FYQFAGNNYLJATQ-QMMMGPOBSA-N 0 3 247.791 2.993 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2ccn(C)n2)CC1 ZINC000353925676 387298350 /nfs/dbraw/zinc/29/83/50/387298350.db2.gz WLNVALZTCWKZSD-CYBMUJFWSA-N 0 3 235.375 2.678 20 0 BFADHN CCc1cccc(F)c1CNCCCCOC ZINC000353927587 387298715 /nfs/dbraw/zinc/29/87/15/387298715.db2.gz AHPMCIKEFOLIHF-UHFFFAOYSA-N 0 3 239.334 2.904 20 0 BFADHN Cc1nnc([C@H](C)N[C@H](C)[C@@H]2C[C@@H]2C)s1 ZINC000321741812 387299181 /nfs/dbraw/zinc/29/91/81/387299181.db2.gz ZKSIQWKSNIRRRS-PYHGXSLLSA-N 0 3 225.361 2.542 20 0 BFADHN Cc1cc(C)c(CN2CCCOCC2)cc1C ZINC000372433313 387301580 /nfs/dbraw/zinc/30/15/80/387301580.db2.gz FPBPZVQRTGKISW-UHFFFAOYSA-N 0 3 233.355 2.834 20 0 BFADHN C[C@H](NCCCc1ccccc1)c1ccn(C)n1 ZINC000459801939 387302805 /nfs/dbraw/zinc/30/28/05/387302805.db2.gz YEBBGJJVCGKVNQ-ZDUSSCGKSA-N 0 3 243.354 2.704 20 0 BFADHN Cc1n[nH]cc1CN1CC[C@H]1Cc1ccccc1 ZINC000353971608 387304286 /nfs/dbraw/zinc/30/42/86/387304286.db2.gz LEJPKTVJZINWTE-HNNXBMFYSA-N 0 3 241.338 2.535 20 0 BFADHN Cc1cc(CCN[C@H](C)c2ccc(C)o2)on1 ZINC000353979332 387305248 /nfs/dbraw/zinc/30/52/48/387305248.db2.gz QKQXBGKMPHOYRS-LLVKDONJSA-N 0 3 234.299 2.778 20 0 BFADHN Cc1cc(CCN[C@H](C)c2cncc(C)c2)on1 ZINC000353995220 387305898 /nfs/dbraw/zinc/30/58/98/387305898.db2.gz HRFKYTHLHVIOFQ-GFCCVEGCSA-N 0 3 245.326 2.580 20 0 BFADHN Cc1csc(CN[C@H](C)[C@H]2C[C@H]2C)n1 ZINC000321875000 387309249 /nfs/dbraw/zinc/30/92/49/387309249.db2.gz IBLSZVMIHGVJRN-QNSHHTMESA-N 0 3 210.346 2.586 20 0 BFADHN COc1cccc([C@@H](C)NCCOC(C)C)c1 ZINC000148255955 387358226 /nfs/dbraw/zinc/35/82/26/387358226.db2.gz JSHOYEIBTXOQCK-GFCCVEGCSA-N 0 3 237.343 2.771 20 0 BFADHN c1c2cccnc2oc1CN1CC(C2CC2)C1 ZINC000648592279 387361270 /nfs/dbraw/zinc/36/12/70/387361270.db2.gz RAKPNVILZNSINS-UHFFFAOYSA-N 0 3 228.295 2.670 20 0 BFADHN CC[C@](C)(O)CN1Cc2ccccc2[C@H]1C ZINC000336541594 387363441 /nfs/dbraw/zinc/36/34/41/387363441.db2.gz ABDZBBSDGGIWBW-RISCZKNCSA-N 0 3 219.328 2.724 20 0 BFADHN Cc1ccsc1CN(C)[C@H]1CCCOC1 ZINC000336554039 387374214 /nfs/dbraw/zinc/37/42/14/387374214.db2.gz GWSKWMAAKSSCEO-NSHDSACASA-N 0 3 225.357 2.667 20 0 BFADHN Cc1sccc1CN1C[C@H](C)N(C)[C@@H](C)C1 ZINC000354445789 387376669 /nfs/dbraw/zinc/37/66/69/387376669.db2.gz MCUOEHRPACWTDB-QWRGUYRKSA-N 0 3 238.400 2.581 20 0 BFADHN CCN(C/C=C\c1ccc(F)cc1)[C@H](C)CO ZINC000354434135 387376850 /nfs/dbraw/zinc/37/68/50/387376850.db2.gz OXVXWHDYSTWVMQ-QMAVJUDZSA-N 0 3 237.318 2.542 20 0 BFADHN C[C@H](NC[C@@H]1CCCSC1)c1nccs1 ZINC000287119343 387377948 /nfs/dbraw/zinc/37/79/48/387377948.db2.gz RBEROSQPFVKARO-UWVGGRQHSA-N 0 3 242.413 2.937 20 0 BFADHN CC[C@@H](C)CN1CCC2(C1)Oc1ccccc1O2 ZINC000515465745 387381920 /nfs/dbraw/zinc/38/19/20/387381920.db2.gz KXLDMSMCZZXOAZ-GFCCVEGCSA-N 0 3 247.338 2.906 20 0 BFADHN COC(C)(C)CN[C@@H]1CCCc2c(O)cccc21 ZINC000360994253 387381956 /nfs/dbraw/zinc/38/19/56/387381956.db2.gz DMBNEQSWNARKEO-CYBMUJFWSA-N 0 3 249.354 2.784 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1cc(C)ccn1 ZINC000286030985 387319442 /nfs/dbraw/zinc/31/94/42/387319442.db2.gz JFSMWEVHEHYAOK-QWRGUYRKSA-N 0 3 224.373 2.792 20 0 BFADHN Cc1cc(CN(C)CCOC(C)C)ccc1F ZINC000354054821 387319542 /nfs/dbraw/zinc/31/95/42/387319542.db2.gz WFVDJXUQUOWQSK-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1CCCc2cc(F)ccc21 ZINC000514991305 387321109 /nfs/dbraw/zinc/32/11/09/387321109.db2.gz IDEZEJYAKJOTKV-SOUVJXGZSA-N 0 3 249.329 2.970 20 0 BFADHN CC1(C)CCC[C@H](N[C@H]2CNCCC2(F)F)C1 ZINC000423474902 387321572 /nfs/dbraw/zinc/32/15/72/387321572.db2.gz HVFGZAHMDILDCO-QWRGUYRKSA-N 0 3 246.345 2.542 20 0 BFADHN Cc1cc(CN2CCC[C@H]2C2CCC2)no1 ZINC000336498019 387323280 /nfs/dbraw/zinc/32/32/80/387323280.db2.gz PPEWKNTXECDZFG-ZDUSSCGKSA-N 0 3 220.316 2.748 20 0 BFADHN Cc1cc(N)cc(CN[C@@H](C)c2ccncc2)c1 ZINC000423477482 387323764 /nfs/dbraw/zinc/32/37/64/387323764.db2.gz LONDERPXMUWJJZ-LBPRGKRZSA-N 0 3 241.338 2.823 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1sccc1OC)OC ZINC000290074116 387336363 /nfs/dbraw/zinc/33/63/63/387336363.db2.gz GHHYMDKJJVAPPM-UWVGGRQHSA-N 0 3 243.372 2.832 20 0 BFADHN CC[C@H](CN[C@H](C)c1ccc(OC)cc1)OC ZINC000290076116 387336695 /nfs/dbraw/zinc/33/66/95/387336695.db2.gz GLRLBTNTHNGPLY-DGCLKSJQSA-N 0 3 237.343 2.771 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N[C@H]1CNCCC1(F)F ZINC000423491544 387336816 /nfs/dbraw/zinc/33/68/16/387336816.db2.gz ZJSGWCFZOMLVGI-UTUOFQBUSA-N 0 3 246.345 2.542 20 0 BFADHN COC[C@@H](C)N[C@@H]1CCc2c1c(F)ccc2F ZINC000354204733 387345538 /nfs/dbraw/zinc/34/55/38/387345538.db2.gz DUCNIUYZVWDPJL-PRHODGIISA-N 0 3 241.281 2.577 20 0 BFADHN Cc1ccc(CN2CC[C@@H](OC(C)C)C2)o1 ZINC000572363838 387347690 /nfs/dbraw/zinc/34/76/90/387347690.db2.gz JHDPPVVTLVJHSL-CYBMUJFWSA-N 0 3 223.316 2.587 20 0 BFADHN C[C@@H](NC[C@H]1CCCSC1)c1cscn1 ZINC000287002909 387351576 /nfs/dbraw/zinc/35/15/76/387351576.db2.gz KEIBKCWMQBHTFW-NXEZZACHSA-N 0 3 242.413 2.937 20 0 BFADHN Cc1nsc(C)c1CN1CCC(C)(C)C1 ZINC000572374536 387351946 /nfs/dbraw/zinc/35/19/46/387351946.db2.gz ZKZGLIOZJVSHDF-UHFFFAOYSA-N 0 3 224.373 2.992 20 0 BFADHN CCc1ccc(CN(CC)[C@H]2CCOC2)cc1 ZINC000361251435 387424037 /nfs/dbraw/zinc/42/40/37/387424037.db2.gz NTHQTCJWBQGNMY-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN CCC(CC)c1noc([C@H]2CN(C)C[C@H]2C)n1 ZINC000361250749 387425290 /nfs/dbraw/zinc/42/52/90/387425290.db2.gz KEQMMLPRCZPYPL-KOLCDFICSA-N 0 3 237.347 2.638 20 0 BFADHN CCC[C@@H](NCc1n[nH]c(C)n1)c1ccccc1 ZINC000293023622 387425750 /nfs/dbraw/zinc/42/57/50/387425750.db2.gz COQRXLLENDGTRM-CYBMUJFWSA-N 0 3 244.342 2.744 20 0 BFADHN FC(F)(F)CCN1CCC(c2c[nH]cn2)CC1 ZINC000374211418 387432940 /nfs/dbraw/zinc/43/29/40/387432940.db2.gz KGNSFNZPTKVOHV-UHFFFAOYSA-N 0 3 247.264 2.542 20 0 BFADHN COc1c(O)cccc1CN1CC[C@@H]1C(C)C ZINC000352683683 387434188 /nfs/dbraw/zinc/43/41/88/387434188.db2.gz LPSHJAFADQAAIX-GFCCVEGCSA-N 0 3 235.327 2.631 20 0 BFADHN C[C@@H](O)CCCN(C)Cc1ccc(F)c(F)c1 ZINC000354860984 387438551 /nfs/dbraw/zinc/43/85/51/387438551.db2.gz FYUQQOBPMZSUHZ-SNVBAGLBSA-N 0 3 243.297 2.558 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H](C)C1)c1ccncn1 ZINC000395807514 387445448 /nfs/dbraw/zinc/44/54/48/387445448.db2.gz CYDZDYWRVXWUNM-FRRDWIJNSA-N 0 3 233.359 2.954 20 0 BFADHN Cc1ccc(CN2CCSCC[C@H]2C)nc1 ZINC000336603442 387446434 /nfs/dbraw/zinc/44/64/34/387446434.db2.gz OPKDYZBTAYBIBJ-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN CCc1ccc(CN[C@@H]2CCCC[C@H]2OC)cn1 ZINC000352704345 387446467 /nfs/dbraw/zinc/44/64/67/387446467.db2.gz JBJRHONLSPWACL-HUUCEWRRSA-N 0 3 248.370 2.691 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1cccc(OC(C)C)c1 ZINC000572447748 387452870 /nfs/dbraw/zinc/45/28/70/387452870.db2.gz CNPIWTNUWPJFSV-HUUCEWRRSA-N 0 3 249.354 2.741 20 0 BFADHN Cc1ccc(CN2CCCO[C@H](C(C)C)C2)nc1 ZINC000336612655 387454885 /nfs/dbraw/zinc/45/48/85/387454885.db2.gz IFPJEWPYFNFHAL-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN COC(C)(C)CCN(C)Cc1cnccc1C ZINC000361412072 387457417 /nfs/dbraw/zinc/45/74/17/387457417.db2.gz FIZMDDKEUQWVDD-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN CCc1cccc(F)c1CN[C@H](C)CCCO ZINC000354517695 387386538 /nfs/dbraw/zinc/38/65/38/387386538.db2.gz YJPKJRGIUYPASL-LLVKDONJSA-N 0 3 239.334 2.639 20 0 BFADHN C[C@@H](CC1CCOCC1)CN1CCC[C@@H]1CF ZINC000354518740 387387554 /nfs/dbraw/zinc/38/75/54/387387554.db2.gz BNDOMVKTDXULSJ-GXTWGEPZSA-N 0 3 243.366 2.873 20 0 BFADHN C[C@H](O)CN(C)C/C=C\c1ccc(Cl)cc1 ZINC000361010307 387387752 /nfs/dbraw/zinc/38/77/52/387387752.db2.gz PADWKIBPGVDREK-BYCRGOAPSA-N 0 3 239.746 2.666 20 0 BFADHN Cc1ccc2[nH]c(CN(C)C[C@H](C)O)cc2c1C ZINC000361015251 387390640 /nfs/dbraw/zinc/39/06/40/387390640.db2.gz ADZOLWHTPGKXPT-NSHDSACASA-N 0 3 246.354 2.597 20 0 BFADHN CC(=O)CCN1CC[C@@](C)(c2ccc(F)cc2)C1 ZINC000373791101 387397046 /nfs/dbraw/zinc/39/70/46/387397046.db2.gz JJMISHXBGSSJER-OAHLLOKOSA-N 0 3 249.329 2.768 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CCN(C3CC3)C2)o1 ZINC000361066161 387397260 /nfs/dbraw/zinc/39/72/60/387397260.db2.gz BRDTYEARSIFGOG-RYUDHWBXSA-N 0 3 248.370 2.729 20 0 BFADHN CCC[C@H](C)N1CCC(O)(C(F)(F)F)CC1 ZINC000303794849 387398242 /nfs/dbraw/zinc/39/82/42/387398242.db2.gz OXHCHDUNDQFCPP-VIFPVBQESA-N 0 3 239.281 2.564 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@@H](O)C2(C)C)o1 ZINC000361081597 387398439 /nfs/dbraw/zinc/39/84/39/387398439.db2.gz MSQZKLPCGOXHOQ-SCDSUCTJSA-N 0 3 249.354 2.748 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@@H]1C[C@@H]1C)C2 ZINC000361105754 387400221 /nfs/dbraw/zinc/40/02/21/387400221.db2.gz CJPRWZZZMFKSTL-AAEUAGOBSA-N 0 3 201.313 2.967 20 0 BFADHN CCCNc1ccccc1NC(=O)[C@@H](N)CCC ZINC000423740339 387404600 /nfs/dbraw/zinc/40/46/00/387404600.db2.gz WVSYGIOGUDWMHS-NSHDSACASA-N 0 3 249.358 2.574 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)C[C@@H]2C)s1 ZINC000361165363 387408550 /nfs/dbraw/zinc/40/85/50/387408550.db2.gz JKINSTXEOXEKNM-MNOVXSKESA-N 0 3 238.400 2.581 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@@H](C)[C@H]2C)cc1C ZINC000361164691 387408970 /nfs/dbraw/zinc/40/89/70/387408970.db2.gz GVPRPDGMQQLDFS-LSDHHAIUSA-N 0 3 246.398 2.828 20 0 BFADHN CC[C@H]1CCCN1Cc1cn(C)nc1C(F)F ZINC000361176779 387410446 /nfs/dbraw/zinc/41/04/46/387410446.db2.gz FYEBBTZIBCSAQA-JTQLQIEISA-N 0 3 243.301 2.732 20 0 BFADHN CC[C@@H](C)N(C)Cc1cn(C)nc1C(F)F ZINC000361176342 387410530 /nfs/dbraw/zinc/41/05/30/387410530.db2.gz IWLVXZJQLSQMDM-MRVPVSSYSA-N 0 3 231.290 2.588 20 0 BFADHN Cc1cc(C)n(CCN2CCC[C@@H]3CCC[C@H]32)n1 ZINC000336584590 387411009 /nfs/dbraw/zinc/41/10/09/387411009.db2.gz UIMZFDBBGMGGGF-LSDHHAIUSA-N 0 3 247.386 2.764 20 0 BFADHN CC[C@H]1CCCN1Cc1cnn(C(C)C)c1 ZINC000352651097 387411633 /nfs/dbraw/zinc/41/16/33/387411633.db2.gz DMRYHOISFZLDOJ-ZDUSSCGKSA-N 0 3 221.348 2.838 20 0 BFADHN C[C@@H](O)CCCN1Cc2ccccc2C(C)(C)C1 ZINC000354667760 387413791 /nfs/dbraw/zinc/41/37/91/387413791.db2.gz HFZXOSCKMLHHMO-CYBMUJFWSA-N 0 3 247.382 2.941 20 0 BFADHN CC[C@H]1CCCN1Cc1cnc(N(C)C)s1 ZINC000352652691 387414595 /nfs/dbraw/zinc/41/45/95/387414595.db2.gz QNIBPJTYCFHTSV-JTQLQIEISA-N 0 3 239.388 2.584 20 0 BFADHN COC[C@H](CC(C)C)NCc1ncccc1C ZINC000361231767 387419372 /nfs/dbraw/zinc/41/93/72/387419372.db2.gz UYRJMXADHVTQJU-ZDUSSCGKSA-N 0 3 236.359 2.541 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H](C)CC2CCC2)[n-]1 ZINC000648670254 387421054 /nfs/dbraw/zinc/42/10/54/387421054.db2.gz JPFLJNKBHAGPCR-JTQLQIEISA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H](C)CC2CCC2)[nH]1 ZINC000648670254 387421057 /nfs/dbraw/zinc/42/10/57/387421057.db2.gz JPFLJNKBHAGPCR-JTQLQIEISA-N 0 3 236.363 2.596 20 0 BFADHN CCOc1ccc(CN(CC)[C@H]2CCOC2)cc1 ZINC000361237281 387423116 /nfs/dbraw/zinc/42/31/16/387423116.db2.gz NYRKSOHSBLFPKJ-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CCOc1cccc(CN(C)CCC2CC2)n1 ZINC000355213048 387497047 /nfs/dbraw/zinc/49/70/47/387497047.db2.gz PRKLQDVZZPOQFM-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCCCC[C@@H]1CCCN1Cc1cc[nH]n1 ZINC000355223377 387500143 /nfs/dbraw/zinc/50/01/43/387500143.db2.gz OGQCTQHXFFLTOO-CYBMUJFWSA-N 0 3 221.348 2.954 20 0 BFADHN CCCCC[C@H]1CCCN1Cc1cc[nH]n1 ZINC000355223378 387500275 /nfs/dbraw/zinc/50/02/75/387500275.db2.gz OGQCTQHXFFLTOO-ZDUSSCGKSA-N 0 3 221.348 2.954 20 0 BFADHN COc1ccc(CN2[C@@H](C)CCC[C@@H]2C)cn1 ZINC000085793257 387501391 /nfs/dbraw/zinc/50/13/91/387501391.db2.gz ATUOIFHXXGEHAV-RYUDHWBXSA-N 0 3 234.343 2.853 20 0 BFADHN COc1cccc([C@H](C)N[C@H]2COC(C)(C)C2)c1 ZINC000396846981 387501989 /nfs/dbraw/zinc/50/19/89/387501989.db2.gz CNHNZQXZTQSNCB-WCQYABFASA-N 0 3 249.354 2.913 20 0 BFADHN Cc1ccc2c(c1)CCN(CCC(C)(C)O)C2 ZINC000361842305 387543033 /nfs/dbraw/zinc/54/30/33/387543033.db2.gz KPRYGQGNLAZVCW-UHFFFAOYSA-N 0 3 233.355 2.514 20 0 BFADHN CCC[C@H](CNCc1ccc(C)c(C)n1)OC ZINC000313852480 387543157 /nfs/dbraw/zinc/54/31/57/387543157.db2.gz SRTVNCQTNUBUKG-CQSZACIVSA-N 0 3 236.359 2.603 20 0 BFADHN CCCCN(CC)C(=O)CN(C)[C@@H](C)C(C)C ZINC000355270172 387510109 /nfs/dbraw/zinc/51/01/09/387510109.db2.gz WJJJNOLGAZGZEK-ZDUSSCGKSA-N 0 3 242.407 2.611 20 0 BFADHN Cn1ncc(Cl)c1CNCC1CCCCC1 ZINC000275488493 387510491 /nfs/dbraw/zinc/51/04/91/387510491.db2.gz KVDJOBHRNAMPOD-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2COC(C)(C)C2)o1 ZINC000396908590 387510784 /nfs/dbraw/zinc/51/07/84/387510784.db2.gz LJPXTHNOQXFNFN-QWRGUYRKSA-N 0 3 223.316 2.806 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1cccs1)c1ccncn1 ZINC000395943552 387514289 /nfs/dbraw/zinc/51/42/89/387514289.db2.gz CDXSZWJPTUPSGY-WDEREUQCSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@H](Cc1cccs1)N[C@@H](C)c1ccncn1 ZINC000395943546 387514321 /nfs/dbraw/zinc/51/43/21/387514321.db2.gz CDXSZWJPTUPSGY-MNOVXSKESA-N 0 3 247.367 2.820 20 0 BFADHN C[C@H](CNCc1ccccn1)CC(F)(F)F ZINC000396920823 387515423 /nfs/dbraw/zinc/51/54/23/387515423.db2.gz YPXCUYJPOYLIJT-VIFPVBQESA-N 0 3 232.249 2.760 20 0 BFADHN Cc1cnn(CCNCc2ccccc2Cl)c1 ZINC000105881277 387523962 /nfs/dbraw/zinc/52/39/62/387523962.db2.gz RPANGRMBAJSDGE-UHFFFAOYSA-N 0 3 249.745 2.635 20 0 BFADHN Cc1ccc2c(c1)CCN(CCC[C@@H](C)O)C2 ZINC000361849267 387544141 /nfs/dbraw/zinc/54/41/41/387544141.db2.gz MQVYCDGAABBVSX-CYBMUJFWSA-N 0 3 233.355 2.514 20 0 BFADHN CO[C@H](C)CNCc1cc2cc(F)ccc2o1 ZINC000443004900 387529066 /nfs/dbraw/zinc/52/90/66/387529066.db2.gz UEDAYAJLTADNEY-SECBINFHSA-N 0 3 237.274 2.696 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ccncn1)CC(F)(F)F ZINC000397048130 387533640 /nfs/dbraw/zinc/53/36/40/387533640.db2.gz QZJBUSMDTQWIET-BDAKNGLRSA-N 0 3 247.264 2.716 20 0 BFADHN C[C@H](O)CN1CCc2ccc(C(C)(C)C)cc2C1 ZINC000192791394 387534071 /nfs/dbraw/zinc/53/40/71/387534071.db2.gz ZIIISVJWIIZXRZ-LBPRGKRZSA-N 0 3 247.382 2.723 20 0 BFADHN CCCCN(C)C(=O)[C@H](N)CC1CCCCC1 ZINC000310211730 387537068 /nfs/dbraw/zinc/53/70/68/387537068.db2.gz GUBBSUZNMIUVIN-CYBMUJFWSA-N 0 3 240.391 2.543 20 0 BFADHN C[C@@H]1Cc2ccccc2CN1CC1(F)CC1 ZINC000527219330 387537748 /nfs/dbraw/zinc/53/77/48/387537748.db2.gz KOFUUUJPPRHLNE-LLVKDONJSA-N 0 3 219.303 2.935 20 0 BFADHN CN(CCc1ccccc1)CC1(F)CC1 ZINC000527219050 387538282 /nfs/dbraw/zinc/53/82/82/387538282.db2.gz RCDBTBJHCLLJAJ-UHFFFAOYSA-N 0 3 207.292 2.663 20 0 BFADHN COc1ccccc1[C@H]1CCN(CC2(F)CC2)C1 ZINC000527219622 387540510 /nfs/dbraw/zinc/54/05/10/387540510.db2.gz IDLMNFJYJHHWHT-LBPRGKRZSA-N 0 3 249.329 2.987 20 0 BFADHN Fc1ccc(OCCCNCC2(F)CC2)cc1 ZINC000527228475 387541541 /nfs/dbraw/zinc/54/15/41/387541541.db2.gz OLDWRHPXKLAWJH-UHFFFAOYSA-N 0 3 241.281 2.686 20 0 BFADHN CO[C@H](C)CN1CCC(C)=C(c2ccco2)C1 ZINC000374531590 387463508 /nfs/dbraw/zinc/46/35/08/387463508.db2.gz RQNBOSHKXPXERD-GFCCVEGCSA-N 0 3 235.327 2.794 20 0 BFADHN COc1c(O)cccc1CN(C)[C@H](C)C(C)C ZINC000352733320 387464796 /nfs/dbraw/zinc/46/47/96/387464796.db2.gz ZODJXKVRKPULID-LLVKDONJSA-N 0 3 237.343 2.877 20 0 BFADHN CO[C@H](C)CNC/C=C\c1ccc(F)c(F)c1 ZINC000352744145 387470436 /nfs/dbraw/zinc/47/04/36/387470436.db2.gz YKJOCUMZLIXIMS-UMBAGQNISA-N 0 3 241.281 2.603 20 0 BFADHN CC[C@H]1CCCN1Cc1nc(C)cs1 ZINC000336631395 387482685 /nfs/dbraw/zinc/48/26/85/387482685.db2.gz GPKUWEFLLYJPQM-JTQLQIEISA-N 0 3 210.346 2.826 20 0 BFADHN CCOc1cccc(CN2CC[C@H](C)[C@H]2C)n1 ZINC000355138982 387486004 /nfs/dbraw/zinc/48/60/04/387486004.db2.gz TZJZWNPEIJCTSG-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN CN(Cc1cccc(O)c1)[C@H]1CC1(C)C ZINC000426539774 387580531 /nfs/dbraw/zinc/58/05/31/387580531.db2.gz OEEQYFFCJQNRPM-LBPRGKRZSA-N 0 3 205.301 2.623 20 0 BFADHN Cc1ccc2c(c1)CN(CC[C@H](C)F)CCO2 ZINC000375769526 387581950 /nfs/dbraw/zinc/58/19/50/387581950.db2.gz QSYDFONRNJWQER-LBPRGKRZSA-N 0 3 237.318 2.938 20 0 BFADHN CSc1ccc(CN[C@@H]2CCCOC2)s1 ZINC000336733221 387582369 /nfs/dbraw/zinc/58/23/69/387582369.db2.gz MFLJMQGTNVQFTH-SECBINFHSA-N 0 3 243.397 2.739 20 0 BFADHN CC(C)C[C@@H]1CCCCN1Cc1cncn1C ZINC000123515981 387584205 /nfs/dbraw/zinc/58/42/05/387584205.db2.gz OSAWNCCCPTVIGR-ZDUSSCGKSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1cc(CN(C)CCC(C)C)ccn1 ZINC000527535559 387628508 /nfs/dbraw/zinc/62/85/08/387628508.db2.gz WKXVOOSKDWVBAU-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN COc1ccccc1[C@H](CO)N[C@@H](C)C1CCC1 ZINC000527518228 387593685 /nfs/dbraw/zinc/59/36/85/387593685.db2.gz XXNTZOKKJPSICN-FZMZJTMJSA-N 0 3 249.354 2.507 20 0 BFADHN C[C@H](CNCC1(F)CC1)Oc1ccc(F)cc1 ZINC000527521825 387593999 /nfs/dbraw/zinc/59/39/99/387593999.db2.gz VGAHACOLKRVYCE-SNVBAGLBSA-N 0 3 241.281 2.685 20 0 BFADHN CC(C)[C@H](CNCC1(F)CC1)c1cccnc1 ZINC000527522339 387594636 /nfs/dbraw/zinc/59/46/36/387594636.db2.gz GRLONNPYSZSYFE-ZDUSSCGKSA-N 0 3 236.334 2.913 20 0 BFADHN CC[C@@H](C(=O)N[C@H](C)CCCC(C)C)N(C)C ZINC000124692960 387628676 /nfs/dbraw/zinc/62/86/76/387628676.db2.gz ATHWJWLBKKCBMH-OLZOCXBDSA-N 0 3 242.407 2.658 20 0 BFADHN Fc1ccc(CCNCC2(F)CC2)c(F)c1F ZINC000527524721 387596546 /nfs/dbraw/zinc/59/65/46/387596546.db2.gz PNPAIQAEBVEZNE-UHFFFAOYSA-N 0 3 247.235 2.738 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H](O)C(C)C)cc1F ZINC000123857397 387597059 /nfs/dbraw/zinc/59/70/59/387597059.db2.gz XPVFZVUTFDNYMN-RISCZKNCSA-N 0 3 239.334 2.802 20 0 BFADHN C[C@@H](NCc1ccn(C)n1)C1CCCCCC1 ZINC000211683655 387597131 /nfs/dbraw/zinc/59/71/31/387597131.db2.gz DXKRZIKPOYJCFY-GFCCVEGCSA-N 0 3 235.375 2.869 20 0 BFADHN COCCOc1ccccc1CN1[C@H](C)C[C@@H]1C ZINC000527529530 387598520 /nfs/dbraw/zinc/59/85/20/387598520.db2.gz ZQJAJBCKJXJCGE-BETUJISGSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1ccc(O[C@H](C)CNCC2(F)CC2)cc1 ZINC000527528773 387598700 /nfs/dbraw/zinc/59/87/00/387598700.db2.gz CSRKKHQYKDZJNX-GFCCVEGCSA-N 0 3 237.318 2.854 20 0 BFADHN CC1=C[C@@H](C)CN(CCC(=O)OC(C)(C)C)C1 ZINC000430269562 387605615 /nfs/dbraw/zinc/60/56/15/387605615.db2.gz OYUGJYDMVWONQG-LLVKDONJSA-N 0 3 239.359 2.616 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2C[C@@H](C)O)ccn1 ZINC000527538369 387607153 /nfs/dbraw/zinc/60/71/53/387607153.db2.gz GSFBWETVBRMXPQ-UKRRQHHQSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1cc(CN2CC(C)=C[C@@H](C)C2)ccn1 ZINC000527539247 387607687 /nfs/dbraw/zinc/60/76/87/387607687.db2.gz LSZYCIPPZJQSEU-LLVKDONJSA-N 0 3 216.328 2.788 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2[C@@H]2CCCO2)ccn1 ZINC000527539792 387608576 /nfs/dbraw/zinc/60/85/76/387608576.db2.gz PJBOHIDCIIPVQD-CABCVRRESA-N 0 3 246.354 2.533 20 0 BFADHN Cc1ccn2c(CN3CCC[C@@H]3C)cnc2c1 ZINC000124329677 387613700 /nfs/dbraw/zinc/61/37/00/387613700.db2.gz PJRZOABFZWHNSR-LBPRGKRZSA-N 0 3 229.327 2.627 20 0 BFADHN CSCC[C@H](C)N(C)Cc1ccncc1 ZINC000122925343 387615792 /nfs/dbraw/zinc/61/57/92/387615792.db2.gz NGMVREYEJWIDHO-NSHDSACASA-N 0 3 224.373 2.655 20 0 BFADHN Cc1cc(CN2CCC3(CCC3)C2)ccn1 ZINC000527533000 387622681 /nfs/dbraw/zinc/62/26/81/387622681.db2.gz GNMTUTCSLQGMFZ-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN Cc1cc(CN(C(C)C)C2CC2)ccn1 ZINC000527534979 387629658 /nfs/dbraw/zinc/62/96/58/387629658.db2.gz SWLPPBLTEBRJPC-UHFFFAOYSA-N 0 3 204.317 2.763 20 0 BFADHN CCc1ccc(CNC[C@](C)(O)[C@H](C)CC)o1 ZINC000305080074 387546733 /nfs/dbraw/zinc/54/67/33/387546733.db2.gz MDNWAODAIOAIQH-RISCZKNCSA-N 0 3 239.359 2.729 20 0 BFADHN COCc1ccc(CN2[C@H](C)C[C@@H]2C)cc1 ZINC000527238798 387547271 /nfs/dbraw/zinc/54/72/71/387547271.db2.gz WHZGDTCMAPROKF-TXEJJXNPSA-N 0 3 219.328 2.816 20 0 BFADHN Cc1ccc([C@@H](C)NCCNc2ccccn2)o1 ZINC000361887841 387548889 /nfs/dbraw/zinc/54/88/89/387548889.db2.gz GEPYJZMTCLBBLB-GFCCVEGCSA-N 0 3 245.326 2.746 20 0 BFADHN CCCCN(C(=O)CN(C)C(C)C)[C@@H](C)CC ZINC000355552280 387548873 /nfs/dbraw/zinc/54/88/73/387548873.db2.gz GGAODTNASLQOQO-ZDUSSCGKSA-N 0 3 242.407 2.754 20 0 BFADHN CCCCN(C(=O)CN(C)C(C)C)[C@H](C)CC ZINC000355552279 387551337 /nfs/dbraw/zinc/55/13/37/387551337.db2.gz GGAODTNASLQOQO-CYBMUJFWSA-N 0 3 242.407 2.754 20 0 BFADHN CCC[C@@H](N[C@H](C)C(=O)NCC)c1ccccc1 ZINC000035139300 387551580 /nfs/dbraw/zinc/55/15/80/387551580.db2.gz UBGLQQFMIUMSLY-TZMCWYRMSA-N 0 3 248.370 2.642 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CCOC[C@H]2C)c1 ZINC000278039590 387551773 /nfs/dbraw/zinc/55/17/73/387551773.db2.gz XJJRLKGLWKHHCA-RISCZKNCSA-N 0 3 237.318 2.649 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@H]1COc2ccccc21 ZINC000361924327 387552129 /nfs/dbraw/zinc/55/21/29/387552129.db2.gz MPLDMNDRZFLTNU-RISCZKNCSA-N 0 3 243.306 2.934 20 0 BFADHN C=Cn1cc(CN(C)[C@@H]2CCC(C)(C)C2)cn1 ZINC000355578098 387553084 /nfs/dbraw/zinc/55/30/84/387553084.db2.gz FIQZGGFFJQNQGA-CYBMUJFWSA-N 0 3 233.359 2.994 20 0 BFADHN Cc1ccncc1CN(C)C[C@@H]1CCO[C@H](C)C1 ZINC000355582603 387554948 /nfs/dbraw/zinc/55/49/48/387554948.db2.gz KTENQMRQSTZIGW-ZIAGYGMSSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@H](O)CCCNCc1sccc1Cl ZINC000278759612 387555364 /nfs/dbraw/zinc/55/53/64/387555364.db2.gz XFPLVWZTTPVHOS-QMMMGPOBSA-N 0 3 233.764 2.652 20 0 BFADHN Cc1ccc(CN(CCO)C[C@@H]2CC=CCC2)o1 ZINC000355653628 387563085 /nfs/dbraw/zinc/56/30/85/387563085.db2.gz QTHXIIQZGXUZLF-CQSZACIVSA-N 0 3 249.354 2.739 20 0 BFADHN CC(C)CCCN1CC=C(c2ccnn2C)CC1 ZINC000375659877 387565666 /nfs/dbraw/zinc/56/56/66/387565666.db2.gz JMUVNPOZFRGSHR-UHFFFAOYSA-N 0 3 247.386 2.945 20 0 BFADHN CC(C)[C@@H](O)CCN[C@@H](C)c1cccc(F)c1 ZINC000305167267 387570048 /nfs/dbraw/zinc/57/00/48/387570048.db2.gz SSYCWGIXGOTAKP-FZMZJTMJSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCCCC[C@@H]2O)o1 ZINC000038097542 387573579 /nfs/dbraw/zinc/57/35/79/387573579.db2.gz HKDLXLWVVLAKLI-XQQFMLRXSA-N 0 3 237.343 2.932 20 0 BFADHN Cc1ccc(CN2CCC[C@H](c3cc[nH]n3)C2)o1 ZINC000121200037 387575589 /nfs/dbraw/zinc/57/55/89/387575589.db2.gz MCVVLHAQPQKGTR-LBPRGKRZSA-N 0 3 245.326 2.691 20 0 BFADHN CCn1nccc1CN(C)C1(C)CCCC1 ZINC000426498464 387576153 /nfs/dbraw/zinc/57/61/53/387576153.db2.gz HHEFRMDVQBIIJV-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@@]1(O)CCCN(CCCCC(F)(F)F)C1 ZINC000124805873 387633255 /nfs/dbraw/zinc/63/32/55/387633255.db2.gz KHHHDCNAUQLPNX-SNVBAGLBSA-N 0 3 239.281 2.566 20 0 BFADHN COc1ncc(CN2[C@@H](C)CCC2(C)C)c(C)n1 ZINC000452001764 387694811 /nfs/dbraw/zinc/69/48/11/387694811.db2.gz DCFGQOIAHMHOEP-JTQLQIEISA-N 0 3 249.358 2.557 20 0 BFADHN Cc1cc(CN(C)CCC2CC2)ccn1 ZINC000527537357 387634659 /nfs/dbraw/zinc/63/46/59/387634659.db2.gz BCMBPKYMNCTTAH-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN NCc1ccc(-c2cnc3c(c2)CCCO3)s1 ZINC000527588997 387635349 /nfs/dbraw/zinc/63/53/49/387635349.db2.gz UXIQGTWKRPWKMI-UHFFFAOYSA-N 0 3 246.335 2.594 20 0 BFADHN C[C@H](F)CCN1CCOC2(CCCCC2)C1 ZINC000367226260 387636297 /nfs/dbraw/zinc/63/62/97/387636297.db2.gz WOYODBPSKWVCSA-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc(SC)s1 ZINC000356290507 387637279 /nfs/dbraw/zinc/63/72/79/387637279.db2.gz BPAYAQWWQHVUBW-SECBINFHSA-N 0 3 245.413 2.937 20 0 BFADHN C[C@@H](NC[C@@H]1CCC(F)(F)C1)c1nccn1C ZINC000430728011 387644959 /nfs/dbraw/zinc/64/49/59/387644959.db2.gz JCFMUYHCBFITKS-NXEZZACHSA-N 0 3 243.301 2.506 20 0 BFADHN CCC[C@@H](N[C@@H](CC)CCO)c1ccccn1 ZINC000305746744 387645272 /nfs/dbraw/zinc/64/52/72/387645272.db2.gz VZZGVWGDHXEKSJ-GXTWGEPZSA-N 0 3 236.359 2.673 20 0 BFADHN Cc1cc(CN[C@H](C)[C@@H]2C[C@H]2C2CC2)no1 ZINC000527645169 387651447 /nfs/dbraw/zinc/65/14/47/387651447.db2.gz KNBLALWKQCFSMP-ICCXJUOJSA-N 0 3 220.316 2.507 20 0 BFADHN CCOc1cccc(CN2CCOC[C@@H](C)C2)c1 ZINC000376580932 387651785 /nfs/dbraw/zinc/65/17/85/387651785.db2.gz MGIRXDPHFIPRFP-ZDUSSCGKSA-N 0 3 249.354 2.554 20 0 BFADHN CCC1CCN(Cc2ccnn2CC)CC1 ZINC000125330003 387651821 /nfs/dbraw/zinc/65/18/21/387651821.db2.gz KLLQWZRLCXICMF-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CCCOCC2)s1 ZINC000125408770 387652993 /nfs/dbraw/zinc/65/29/93/387652993.db2.gz OOUVIDOCEVTRLO-QWRGUYRKSA-N 0 3 240.372 2.671 20 0 BFADHN Cc1c[nH]nc1CN1C[C@H](C)C[C@H](C)[C@H]1C ZINC000356402470 387653403 /nfs/dbraw/zinc/65/34/03/387653403.db2.gz YHOGLQMABRZKLQ-JFGNBEQYSA-N 0 3 221.348 2.585 20 0 BFADHN COC[C@@H](N[C@@H](C)[C@@H]1C[C@H]1C1CC1)c1ccco1 ZINC000527670179 387654708 /nfs/dbraw/zinc/65/47/08/387654708.db2.gz NAUODRYXFXAIFE-DOERSZECSA-N 0 3 249.354 2.991 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2cccc(O)c2)C1 ZINC000430871364 387655629 /nfs/dbraw/zinc/65/56/29/387655629.db2.gz CZFWATODXZABIV-LLVKDONJSA-N 0 3 217.312 2.790 20 0 BFADHN CCC[C@@H](C)CN1CCO[C@H]2CCC[C@H]21 ZINC000430877409 387655818 /nfs/dbraw/zinc/65/58/18/387655818.db2.gz XUUSFNVHCCHKTF-UPJWGTAASA-N 0 3 211.349 2.676 20 0 BFADHN Cc1ccoc1CN1CC(C)=C[C@@H](C)C1 ZINC000430876932 387657500 /nfs/dbraw/zinc/65/75/00/387657500.db2.gz IBZBWAPLTHHHOV-SNVBAGLBSA-N 0 3 205.301 2.986 20 0 BFADHN Cc1c[nH]nc1CN1C[C@@H](C)CC(C)(C)C1 ZINC000356445518 387660153 /nfs/dbraw/zinc/66/01/53/387660153.db2.gz ZPPFPNCPZOEFIY-JTQLQIEISA-N 0 3 221.348 2.586 20 0 BFADHN C[C@@H](NC1C[C@@H](C)O[C@H](C)C1)c1cncs1 ZINC000309428225 387668541 /nfs/dbraw/zinc/66/85/41/387668541.db2.gz BAIRTDPHWGPODH-OPRDCNLKSA-N 0 3 240.372 2.750 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)n2ccnc21)[C@@H]1C[C@H]1C1CC1 ZINC000527716032 387672038 /nfs/dbraw/zinc/67/20/38/387672038.db2.gz JGTHZYIDJLHJDL-OIAGVMEESA-N 0 3 245.370 2.913 20 0 BFADHN Cn1ccnc1CNCC[C@H]1CCCC1(C)C ZINC000527731382 387679733 /nfs/dbraw/zinc/67/97/33/387679733.db2.gz ZILNTRCAUKAVPD-GFCCVEGCSA-N 0 3 235.375 2.726 20 0 BFADHN CCc1nocc1CNC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000527734115 387681824 /nfs/dbraw/zinc/68/18/24/387681824.db2.gz KQRMADVLDGDYJC-GRYCIOLGSA-N 0 3 234.343 2.763 20 0 BFADHN CCSc1ccc([C@H](C)N[C@H](C)CO)cc1 ZINC000126449305 387684047 /nfs/dbraw/zinc/68/40/47/387684047.db2.gz QAKOOQFMHCXGSZ-MNOVXSKESA-N 0 3 239.384 2.830 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc(C(F)F)nc1 ZINC000631118971 387684894 /nfs/dbraw/zinc/68/48/94/387684894.db2.gz RCQWABGHFLTQTP-IUCAKERBSA-N 0 3 244.285 2.532 20 0 BFADHN CC[C@@H](F)CN1CCCC[C@H](SC)C1 ZINC000440761942 387685707 /nfs/dbraw/zinc/68/57/07/387685707.db2.gz GVBVNWWSZIZXSP-MNOVXSKESA-N 0 3 219.369 2.952 20 0 BFADHN Cc1noc(C)c1CN1[C@H](C)CC[C@@H]1C ZINC000123363791 387686573 /nfs/dbraw/zinc/68/65/73/387686573.db2.gz XJFUYOGLEVFLPV-DTORHVGOSA-N 0 3 208.305 2.664 20 0 BFADHN Cc1cc(F)ccc1[C@@H]1CCCN1CCCO ZINC000451971379 387688757 /nfs/dbraw/zinc/68/87/57/387688757.db2.gz MWSDHEICBRJENE-AWEZNQCLSA-N 0 3 237.318 2.653 20 0 BFADHN CC[C@@H](F)CN1CCS[C@@H](C(C)C)C1 ZINC000440774796 387692879 /nfs/dbraw/zinc/69/28/79/387692879.db2.gz ZBCSSZWQXLLDBO-GHMZBOCLSA-N 0 3 219.369 2.808 20 0 BFADHN CCc1nc(CNCC2CC=CC2)cs1 ZINC000527750176 387693242 /nfs/dbraw/zinc/69/32/42/387693242.db2.gz FWWKKDWOWJKYRF-UHFFFAOYSA-N 0 3 222.357 2.761 20 0 BFADHN C[C@@H](O)CNCc1c(Cl)oc2ccccc21 ZINC000128227965 387743259 /nfs/dbraw/zinc/74/32/59/387743259.db2.gz UOVDSOOPRHEGPG-MRVPVSSYSA-N 0 3 239.702 2.557 20 0 BFADHN CCCCOc1ccccc1CNCC1COC1 ZINC000527820689 387743388 /nfs/dbraw/zinc/74/33/88/387743388.db2.gz ROQYPRHYCNXVDC-UHFFFAOYSA-N 0 3 249.354 2.602 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)[C@@H]1CC12CC2 ZINC000527849686 387754413 /nfs/dbraw/zinc/75/44/13/387754413.db2.gz IAGAJOBVHNAMMU-SKDRFNHKSA-N 0 3 220.316 2.570 20 0 BFADHN Cn1cc(CN2CCCCC2)c(C(C)(C)C)n1 ZINC000123431955 387697031 /nfs/dbraw/zinc/69/70/31/387697031.db2.gz RPTVYIFIXXCQBA-UHFFFAOYSA-N 0 3 235.375 2.704 20 0 BFADHN C[C@@H](N[C@@H]1COc2cc(F)cc(F)c21)C1CC1 ZINC000377176436 387697718 /nfs/dbraw/zinc/69/77/18/387697718.db2.gz ALULACYQRPKRNF-RDDDGLTNSA-N 0 3 239.265 2.786 20 0 BFADHN Cc1cc(C)cc(CN[C@@]23C[C@@H]2COC3(C)C)c1 ZINC000527761968 387698078 /nfs/dbraw/zinc/69/80/78/387698078.db2.gz CRCQIGRWZHALCI-ZBFHGGJFSA-N 0 3 245.366 2.961 20 0 BFADHN Cc1ccc(C)c(CN[C@@]23C[C@@H]2COC3(C)C)c1 ZINC000527762882 387699643 /nfs/dbraw/zinc/69/96/43/387699643.db2.gz WGXXOYIAIJYGKY-ZBFHGGJFSA-N 0 3 245.366 2.961 20 0 BFADHN c1ccc2[nH]c(CN3C[C@H]4CCC[C@@H]4C3)nc2c1 ZINC000126924553 387700808 /nfs/dbraw/zinc/70/08/08/387700808.db2.gz MNCDYXNQDHZEEN-VXGBXAGGSA-N 0 3 241.338 2.795 20 0 BFADHN CC[C@H](F)CN1CCN(C2CCCCC2)CC1 ZINC000440796960 387701877 /nfs/dbraw/zinc/70/18/77/387701877.db2.gz YBCMZMBXLVHYDZ-ZDUSSCGKSA-N 0 3 242.382 2.685 20 0 BFADHN C[C@@H](CC1CC1)NCc1cc(C(F)(F)F)n[nH]1 ZINC000440826590 387705833 /nfs/dbraw/zinc/70/58/33/387705833.db2.gz NOCLBBBFABLJCK-ZETCQYMHSA-N 0 3 247.264 2.707 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@@H](C)[C@H]2C)s1 ZINC000418115849 387709667 /nfs/dbraw/zinc/70/96/67/387709667.db2.gz AIBNIIXPZAACQR-JMJZKYOTSA-N 0 3 224.373 2.928 20 0 BFADHN COc1cccc(CNCC[C@H]2CC=CCC2)n1 ZINC000527787106 387712613 /nfs/dbraw/zinc/71/26/13/387712613.db2.gz HJMGIMKXFAOHIZ-ZDUSSCGKSA-N 0 3 246.354 2.926 20 0 BFADHN Cc1nocc1CNCC[C@@H]1CC=CCC1 ZINC000527787108 387713250 /nfs/dbraw/zinc/71/32/50/387713250.db2.gz HLKVRCGJNGCKHS-GFCCVEGCSA-N 0 3 220.316 2.819 20 0 BFADHN Cc1cc(CN2C[C@@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)no1 ZINC000452049560 387713931 /nfs/dbraw/zinc/71/39/31/387713931.db2.gz SWZBGHBMQUECJU-AYRXBEOTSA-N 0 3 246.354 2.851 20 0 BFADHN CC(C)N(C)C(=O)C[C@H](c1ccccc1)N(C)C ZINC000431513181 387714294 /nfs/dbraw/zinc/71/42/94/387714294.db2.gz SJGRYWAPPCRXAO-CQSZACIVSA-N 0 3 248.370 2.546 20 0 BFADHN Cc1cc(CN2C[C@@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)on1 ZINC000452050096 387714826 /nfs/dbraw/zinc/71/48/26/387714826.db2.gz VULQXWBTXJYSLM-AYRXBEOTSA-N 0 3 246.354 2.851 20 0 BFADHN CCn1ccnc1CNCC[C@H]1CC=CCC1 ZINC000527789663 387715527 /nfs/dbraw/zinc/71/55/27/387715527.db2.gz MZCXXZRPSLABGA-ZDUSSCGKSA-N 0 3 233.359 2.739 20 0 BFADHN c1ncc(CNCC[C@@H]2CC=CCC2)s1 ZINC000527787880 387715663 /nfs/dbraw/zinc/71/56/63/387715663.db2.gz IXMSBLBVCKGVBK-LLVKDONJSA-N 0 3 222.357 2.979 20 0 BFADHN Cc1oncc1CN1CCC2(CCC2)CC1 ZINC000377407905 387716116 /nfs/dbraw/zinc/71/61/16/387716116.db2.gz CNCOBGWDBYNISJ-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN C[C@]12CN(Cc3ccon3)C[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000452054929 387716570 /nfs/dbraw/zinc/71/65/70/387716570.db2.gz SHPURKKFUQNAAY-ZMJPVWNMSA-N 0 3 232.327 2.543 20 0 BFADHN OC[C@@H]1c2ccccc2CCN1CC1=CCCC1 ZINC000645834656 387719189 /nfs/dbraw/zinc/71/91/89/387719189.db2.gz WGYSTRCAOJGKOV-MRXNPFEDSA-N 0 3 243.350 2.688 20 0 BFADHN CCCn1cc(CN2CC[C@@H](C)[C@H](C)C2)cn1 ZINC000127648335 387721087 /nfs/dbraw/zinc/72/10/87/387721087.db2.gz QGPFUCNKLJVNBH-CHWSQXEVSA-N 0 3 235.375 2.771 20 0 BFADHN CC[C@H](C)NC(=O)C[C@H](c1ccccc1)N(C)C ZINC000431654033 387725455 /nfs/dbraw/zinc/72/54/55/387725455.db2.gz FVTACPXFENSUSF-GXTWGEPZSA-N 0 3 248.370 2.594 20 0 BFADHN Cc1noc(C)c1CN1CC2CCC1CC2 ZINC000367564899 387727291 /nfs/dbraw/zinc/72/72/91/387727291.db2.gz PGBIKSSGHKVMMQ-UHFFFAOYSA-N 0 3 220.316 2.666 20 0 BFADHN CCc1cccnc1[C@@H](C)NCC(C)(C)OC ZINC000356825140 387727458 /nfs/dbraw/zinc/72/74/58/387727458.db2.gz AOEQUPYGUSURNP-LLVKDONJSA-N 0 3 236.359 2.720 20 0 BFADHN COCC1(N[C@H](C)c2sccc2OC)CC1 ZINC000309434680 387729552 /nfs/dbraw/zinc/72/95/52/387729552.db2.gz GWPWAKSTVYXXIJ-SECBINFHSA-N 0 3 241.356 2.586 20 0 BFADHN CN(Cc1ccc(Cl)cc1)CC1(C)COC1 ZINC000441180373 387731425 /nfs/dbraw/zinc/73/14/25/387731425.db2.gz QSEQEYUNQLQADU-UHFFFAOYSA-N 0 3 239.746 2.808 20 0 BFADHN CCc1ccc(CN[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)cn1 ZINC000527807403 387732621 /nfs/dbraw/zinc/73/26/21/387732621.db2.gz ZMHLZIVEPZGQLK-FJJYHAOUSA-N 0 3 248.370 2.546 20 0 BFADHN CC(C)=CCN1CC(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC000377646183 387733585 /nfs/dbraw/zinc/73/35/85/387733585.db2.gz QOYLSXMEYOKNJF-STQMWFEESA-N 0 3 223.360 2.842 20 0 BFADHN FCCCN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000367598416 387734443 /nfs/dbraw/zinc/73/44/43/387734443.db2.gz FARUAHJBMOUKJU-LBPRGKRZSA-N 0 3 239.338 2.980 20 0 BFADHN Clc1cccnc1CN1C[C@H]2CCC[C@@H]2C1 ZINC000528402478 387735701 /nfs/dbraw/zinc/73/57/01/387735701.db2.gz GPWIXMYKKIUFGD-GHMZBOCLSA-N 0 3 236.746 2.967 20 0 BFADHN CCC(C)(C)CCN1CCN(C2CCC2)CC1 ZINC000441409276 387755937 /nfs/dbraw/zinc/75/59/37/387755937.db2.gz ZXFJLHIPAABFPC-UHFFFAOYSA-N 0 3 238.419 2.983 20 0 BFADHN Cc1ccccc1N1CCN(CC2CCC2)CC1 ZINC000441232350 387738492 /nfs/dbraw/zinc/73/84/92/387738492.db2.gz SIJCCNGMTWNKCV-UHFFFAOYSA-N 0 3 244.382 2.917 20 0 BFADHN CC1(C)CN(CCOc2ccccc2)CCCO1 ZINC000377697660 387740298 /nfs/dbraw/zinc/74/02/98/387740298.db2.gz HDSRKUCOEYYBPO-UHFFFAOYSA-N 0 3 249.354 2.566 20 0 BFADHN CC(C)n1ccc(CN[C@@H](C)[C@@H]2CC23CC3)n1 ZINC000527868515 387740491 /nfs/dbraw/zinc/74/04/91/387740491.db2.gz QAMCGNOAGXYDAP-AAEUAGOBSA-N 0 3 233.359 2.742 20 0 BFADHN CCc1ccc(CN2CCOCC[C@@H]2C)cc1 ZINC000367654862 387741146 /nfs/dbraw/zinc/74/11/46/387741146.db2.gz CJXYXUGIEMYQSX-ZDUSSCGKSA-N 0 3 233.355 2.860 20 0 BFADHN CCC[C@@H](C)CN(CC(=O)OC)C1CCCC1 ZINC000157113763 387741247 /nfs/dbraw/zinc/74/12/47/387741247.db2.gz MCFBJSCRIRPFKI-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN COC[C@@H](NC1CCC1)c1ccc(F)c(F)c1 ZINC000356889095 387741704 /nfs/dbraw/zinc/74/17/04/387741704.db2.gz ZWUUHUDMUBRLOC-CYBMUJFWSA-N 0 3 241.281 2.794 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)[C@@H]1CC12CC2 ZINC000527906165 387761909 /nfs/dbraw/zinc/76/19/09/387761909.db2.gz LRMKKRQRPMJOCW-WOPDTQHZSA-N 0 3 233.359 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)[C@H]1CC12CC2 ZINC000527906162 387762010 /nfs/dbraw/zinc/76/20/10/387762010.db2.gz LRMKKRQRPMJOCW-GRYCIOLGSA-N 0 3 233.359 2.742 20 0 BFADHN COC(=O)C(C)(C)N(C)C[C@@H]1CCC[C@H](C)C1 ZINC000356998108 387763258 /nfs/dbraw/zinc/76/32/58/387763258.db2.gz AFYPMOGZHLYUNO-NWDGAFQWSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@@H](C)C[NH2+][C@@H](C)c1nnc(C(C)C)[n-]1 ZINC000129141637 387763790 /nfs/dbraw/zinc/76/37/90/387763790.db2.gz WOLBEFZOIBIJLR-ZJUUUORDSA-N 0 3 224.352 2.625 20 0 BFADHN CC[C@@H](C)CN[C@@H](C)c1nnc(C(C)C)[nH]1 ZINC000129141637 387763792 /nfs/dbraw/zinc/76/37/92/387763792.db2.gz WOLBEFZOIBIJLR-ZJUUUORDSA-N 0 3 224.352 2.625 20 0 BFADHN C[C@@H](NCc1cnc(C2CC2)o1)[C@@H]1CC12CC2 ZINC000527907214 387764527 /nfs/dbraw/zinc/76/45/27/387764527.db2.gz UKXUGVBTGKIKJC-SKDRFNHKSA-N 0 3 232.327 2.830 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CC12CC2)c1nccs1 ZINC000527907862 387764865 /nfs/dbraw/zinc/76/48/65/387764865.db2.gz JASYTKIEAGFXGD-GUBZILKMSA-N 0 3 222.357 2.982 20 0 BFADHN CCc1cc(CN[C@@H](C)[C@@H]2CC23CC3)on1 ZINC000527872678 387765596 /nfs/dbraw/zinc/76/55/96/387765596.db2.gz XXPXODICXDSTAN-CABZTGNLSA-N 0 3 220.316 2.515 20 0 BFADHN CC(C)CN(Cc1cn2cccnc2n1)C(C)C ZINC000192850197 387770767 /nfs/dbraw/zinc/77/07/67/387770767.db2.gz ZYWPVSKUDHSHQW-UHFFFAOYSA-N 0 3 246.358 2.596 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H](C)[C@H]2CC23CC3)s1 ZINC000527891971 387776764 /nfs/dbraw/zinc/77/67/64/387776764.db2.gz YORARFWKXHDOEF-OYNCUSHFSA-N 0 3 237.372 2.686 20 0 BFADHN C[C@H](N[C@H](c1nccn1C)C1CC1)[C@@H]1CC12CC2 ZINC000527896888 387778343 /nfs/dbraw/zinc/77/83/43/387778343.db2.gz MGRLINWVLKLDMT-DRZSPHRISA-N 0 3 245.370 2.649 20 0 BFADHN c1coc([C@H]2CCN(Cc3cncs3)C2)c1 ZINC000378346370 387779691 /nfs/dbraw/zinc/77/96/91/387779691.db2.gz QLIGJOBMPHVAPJ-JTQLQIEISA-N 0 3 234.324 2.726 20 0 BFADHN CCc1nc(C)c(CN[C@@H](C)[C@@H]2CC23CC3)o1 ZINC000527902303 387780002 /nfs/dbraw/zinc/78/00/02/387780002.db2.gz NYPORTGPVMXIRV-ONGXEEELSA-N 0 3 234.343 2.824 20 0 BFADHN CC(C)(C)C(C)(C)CNCc1cnccn1 ZINC000309509396 387812532 /nfs/dbraw/zinc/81/25/32/387812532.db2.gz OOMYSAUCALHYTD-UHFFFAOYSA-N 0 3 221.348 2.639 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)NC(C)C)cc1 ZINC000049556770 387785482 /nfs/dbraw/zinc/78/54/82/387785482.db2.gz GWYDXBIOGKNPTH-CHWSQXEVSA-N 0 3 248.370 2.559 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CC12CC2)c1cscn1 ZINC000527916068 387786706 /nfs/dbraw/zinc/78/67/06/387786706.db2.gz PZXFFXDHMWREFP-LPEHRKFASA-N 0 3 222.357 2.982 20 0 BFADHN C[C@@H](N[C@@H](C)c1cscn1)[C@@H]1CC12CC2 ZINC000527916069 387786847 /nfs/dbraw/zinc/78/68/47/387786847.db2.gz PZXFFXDHMWREFP-UTLUCORTSA-N 0 3 222.357 2.982 20 0 BFADHN CCn1ncc(CN[C@@H]2CCCC23CCCC3)n1 ZINC000527926390 387790913 /nfs/dbraw/zinc/79/09/13/387790913.db2.gz ZTWWWWURWNJCNI-CYBMUJFWSA-N 0 3 248.374 2.501 20 0 BFADHN CCc1ccc(CN2CCOC[C@@H](C)C2)cc1 ZINC000359978936 387791506 /nfs/dbraw/zinc/79/15/06/387791506.db2.gz PXYLYDSFSBIZOT-ZDUSSCGKSA-N 0 3 233.355 2.717 20 0 BFADHN CCc1cc(CN[C@H](C)[C@@H]2CC2(C)C)on1 ZINC000309451788 387792403 /nfs/dbraw/zinc/79/24/03/387792403.db2.gz LITZJSHQWIMOFS-SKDRFNHKSA-N 0 3 222.332 2.761 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](C)c1cnccc1C ZINC000527975268 387813646 /nfs/dbraw/zinc/81/36/46/387813646.db2.gz SZFDDUQIRQWUOQ-BNOWGMLFSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@@H](NCC[C@@H]1CC=CCC1)c1nccn1C ZINC000527979466 387798330 /nfs/dbraw/zinc/79/83/30/387798330.db2.gz FUBMDKPKIZHNLO-CHWSQXEVSA-N 0 3 233.359 2.817 20 0 BFADHN COC[C@@H](NCCCF)c1ccc(Cl)cc1 ZINC000193663412 387799215 /nfs/dbraw/zinc/79/92/15/387799215.db2.gz SXKKICDSONMXJW-GFCCVEGCSA-N 0 3 245.725 2.977 20 0 BFADHN COc1cc(CN2CCC[C@@H](OC)C2)ccc1C ZINC000193735779 387802724 /nfs/dbraw/zinc/80/27/24/387802724.db2.gz XDKCLRXPMOKGQU-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN c1cc2c(c(CN[C@H]3C=CCCC3)c1)OCO2 ZINC000151150867 387803998 /nfs/dbraw/zinc/80/39/98/387803998.db2.gz ICERNQHPHSFVHZ-LBPRGKRZSA-N 0 3 231.295 2.614 20 0 BFADHN COCC1(N[C@@H](C)c2cccc(C)c2)CC1 ZINC000309486465 387804446 /nfs/dbraw/zinc/80/44/46/387804446.db2.gz VOWMRVKQRKKINF-LBPRGKRZSA-N 0 3 219.328 2.825 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H]1Cc2ccccc2NC1=O ZINC000527997014 387805973 /nfs/dbraw/zinc/80/59/73/387805973.db2.gz SSTVLMOTBIVCAZ-WDMOLILDSA-N 0 3 246.354 2.574 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H]1Cc2ccccc2NC1=O ZINC000527997011 387806291 /nfs/dbraw/zinc/80/62/91/387806291.db2.gz SSTVLMOTBIVCAZ-MJVIPROJSA-N 0 3 246.354 2.574 20 0 BFADHN COc1ccccc1[C@H](C)NCC1(CCO)CC1 ZINC000157498299 387806356 /nfs/dbraw/zinc/80/63/56/387806356.db2.gz CNHOKCJDBLASDI-LBPRGKRZSA-N 0 3 249.354 2.508 20 0 BFADHN CCCC[C@H](C)N[C@H]1Cc2ccccc2NC1=O ZINC000527992330 387806457 /nfs/dbraw/zinc/80/64/57/387806457.db2.gz CUJPUFGCACQNRE-FZMZJTMJSA-N 0 3 246.354 2.718 20 0 BFADHN CCC[C@@H](CC)N[C@H]1Cc2ccccc2NC1=O ZINC000528000179 387806945 /nfs/dbraw/zinc/80/69/45/387806945.db2.gz NMGYPSXZOTWTHX-OCCSQVGLSA-N 0 3 246.354 2.718 20 0 BFADHN CCOc1ccccc1CN(C)[C@H](C)COC ZINC000193898577 387806958 /nfs/dbraw/zinc/80/69/58/387806958.db2.gz ZWSWKNABHQANPA-GFCCVEGCSA-N 0 3 237.343 2.552 20 0 BFADHN CCC[C@@H](CC)N[C@@H]1Cc2ccccc2NC1=O ZINC000528000181 387807183 /nfs/dbraw/zinc/80/71/83/387807183.db2.gz NMGYPSXZOTWTHX-TZMCWYRMSA-N 0 3 246.354 2.718 20 0 BFADHN CN(CCc1ccncc1)CC1=CCCC1 ZINC000645844244 387808328 /nfs/dbraw/zinc/80/83/28/387808328.db2.gz TZOFVRBGFJWJNW-UHFFFAOYSA-N 0 3 216.328 2.666 20 0 BFADHN C[C@H](NCc1ncccn1)[C@@H]1CCCC[C@H]1C ZINC000564043710 387810447 /nfs/dbraw/zinc/81/04/47/387810447.db2.gz GFBVTWXDHKALDY-FRRDWIJNSA-N 0 3 233.359 2.781 20 0 BFADHN CN(C)CCSCCc1ccccc1F ZINC000432731239 387810784 /nfs/dbraw/zinc/81/07/84/387810784.db2.gz OEQOUZQUYGUYOJ-UHFFFAOYSA-N 0 3 227.348 2.663 20 0 BFADHN CCOc1ncccc1CN[C@@H](C)[C@H]1CC12CC2 ZINC000527854982 387757056 /nfs/dbraw/zinc/75/70/56/387757056.db2.gz ZRKMNKRBXOGQKJ-WCQYABFASA-N 0 3 246.354 2.759 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@@H](C)[C@H](C)C2)o1 ZINC000356979077 387759348 /nfs/dbraw/zinc/75/93/48/387759348.db2.gz IEIIUUSPUOZYEG-KKZNHRDASA-N 0 3 208.305 2.632 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@H](C)[C@@H](C)C2)o1 ZINC000356979105 387760140 /nfs/dbraw/zinc/76/01/40/387760140.db2.gz IEIIUUSPUOZYEG-QXEWZRGKSA-N 0 3 208.305 2.632 20 0 BFADHN CCc1noc(C)c1CN[C@@H](C)[C@H]1CC12CC2 ZINC000527904924 387760591 /nfs/dbraw/zinc/76/05/91/387760591.db2.gz XOUWMVQACKHNJD-JOYOIKCWSA-N 0 3 234.343 2.824 20 0 BFADHN CC[C@@H](C)CNCc1ccc(OC)cc1F ZINC000129069817 387761207 /nfs/dbraw/zinc/76/12/07/387761207.db2.gz ROJVHOKPVLEGGV-SNVBAGLBSA-N 0 3 225.307 2.970 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1cccc(OC)c1 ZINC000135742400 387822792 /nfs/dbraw/zinc/82/27/92/387822792.db2.gz SAVCOKDOQKNCFJ-YPMHNXCESA-N 0 3 237.343 2.507 20 0 BFADHN CO[C@@H](C)CN(Cc1cncc(C)c1)C(C)C ZINC000442686401 387823405 /nfs/dbraw/zinc/82/34/05/387823405.db2.gz WKLOJLWKAVMLIO-ZDUSSCGKSA-N 0 3 236.359 2.635 20 0 BFADHN C[C@@H](NC[C@@H]1CCN1C1CCCC1)c1ccoc1 ZINC000528009242 387823547 /nfs/dbraw/zinc/82/35/47/387823547.db2.gz HOHZUSZGTVABDL-DOMZBBRYSA-N 0 3 248.370 2.947 20 0 BFADHN CC[C@H]1CN(CC2(C)CCC2)C[C@H](C)O1 ZINC000432825538 387830079 /nfs/dbraw/zinc/83/00/79/387830079.db2.gz UNLZVXCEOPDFDD-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@@H]1CN(CC2(C)CCC2)C[C@H](C)O1 ZINC000432825537 387830168 /nfs/dbraw/zinc/83/01/68/387830168.db2.gz UNLZVXCEOPDFDD-NWDGAFQWSA-N 0 3 211.349 2.676 20 0 BFADHN CCC(C)(C)CCN1CC[S@](=O)CC[C@@H]1C ZINC000433157527 387873394 /nfs/dbraw/zinc/87/33/94/387873394.db2.gz GLLCZHNDNWUNNP-BLLLJJGKSA-N 0 3 245.432 2.656 20 0 BFADHN COC[C@H](C)CNCc1c(F)cc(C)cc1F ZINC000424160806 387839746 /nfs/dbraw/zinc/83/97/46/387839746.db2.gz UYTDCEFKPPIJGT-SNVBAGLBSA-N 0 3 243.297 2.645 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1n[nH]c(C(C)(C)C)n1 ZINC000432931991 387843404 /nfs/dbraw/zinc/84/34/04/387843404.db2.gz NQOVOFXDEZOCGB-SNVBAGLBSA-N 0 3 238.379 2.579 20 0 BFADHN CC1(CN2CCN(Cc3ccco3)CC2)CCC1 ZINC000432944192 387845635 /nfs/dbraw/zinc/84/56/35/387845635.db2.gz QPGYBNSFGKZFJK-UHFFFAOYSA-N 0 3 248.370 2.587 20 0 BFADHN COc1c(O)cccc1CN[C@H]1CC12CCCC2 ZINC000433031017 387853061 /nfs/dbraw/zinc/85/30/61/387853061.db2.gz HSNBEDDHXCVMKO-ZDUSSCGKSA-N 0 3 247.338 2.823 20 0 BFADHN CC(C)=CCC[C@@H](C)NC(=O)CN(C)C(C)C ZINC000452555906 387856973 /nfs/dbraw/zinc/85/69/73/387856973.db2.gz ZZSMOXJAIBMIMG-CYBMUJFWSA-N 0 3 240.391 2.578 20 0 BFADHN CC(C)=CCC[C@H](C)NC(=O)CN(C)C(C)C ZINC000452555909 387857156 /nfs/dbraw/zinc/85/71/56/387857156.db2.gz ZZSMOXJAIBMIMG-ZDUSSCGKSA-N 0 3 240.391 2.578 20 0 BFADHN C[C@H](CCNCc1ccn(C)n1)CC(C)(C)C ZINC000525955287 387866000 /nfs/dbraw/zinc/86/60/00/387866000.db2.gz XQGKKOOMTCMKDL-GFCCVEGCSA-N 0 3 237.391 2.972 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc3ccccc3[nH]2)[C@H](C)O1 ZINC000648438107 387867510 /nfs/dbraw/zinc/86/75/10/387867510.db2.gz NOVAKBYPCQOZEY-ZETOZRRWSA-N 0 3 244.338 2.823 20 0 BFADHN C=Cn1cc(CN2CCC3(CCCC3)C2)cn1 ZINC000193940211 387867963 /nfs/dbraw/zinc/86/79/63/387867963.db2.gz WSYIGAYDYDHKIL-UHFFFAOYSA-N 0 3 231.343 2.750 20 0 BFADHN CC(C)C1(N[C@@H](C)c2ccccn2)CC1 ZINC000293136328 387869264 /nfs/dbraw/zinc/86/92/64/387869264.db2.gz TXERVCVTYOHBRL-NSHDSACASA-N 0 3 204.317 2.921 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](C)c1cnc(C)s1 ZINC000527974431 387813866 /nfs/dbraw/zinc/81/38/66/387813866.db2.gz NPCJZTWAZORJOU-FXAINCCUSA-N 0 3 240.372 2.670 20 0 BFADHN CC[C@]1(C)COCCN1CC1(C)CCC1 ZINC000432773699 387814796 /nfs/dbraw/zinc/81/47/96/387814796.db2.gz JBMFBDCYLVNEJR-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CC1(CN2CCc3ccc(O)cc3C2)CCC1 ZINC000432773797 387814953 /nfs/dbraw/zinc/81/49/53/387814953.db2.gz JYPNZSULGWYLSW-UHFFFAOYSA-N 0 3 231.339 2.941 20 0 BFADHN C[C@@H](CO)[C@H](C)NCc1cc2ccccc2o1 ZINC000134979996 387814886 /nfs/dbraw/zinc/81/48/86/387814886.db2.gz JIYIJTGSOKEEQC-QWRGUYRKSA-N 0 3 233.311 2.539 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](C)c1cncc(C)c1 ZINC000527976845 387816118 /nfs/dbraw/zinc/81/61/18/387816118.db2.gz GHDRZHIMXJFJKR-BNOWGMLFSA-N 0 3 234.343 2.608 20 0 BFADHN CC(C)[C@H](C)N[C@@H](C)c1ncccc1F ZINC000528035515 387817933 /nfs/dbraw/zinc/81/79/33/387817933.db2.gz IJRMCPGGUDELTK-UWVGGRQHSA-N 0 3 210.296 2.916 20 0 BFADHN c1cc(CNCCC2CCCCCC2)n[nH]1 ZINC000309560083 387819599 /nfs/dbraw/zinc/81/95/99/387819599.db2.gz OHGVQLJRSKJBFV-UHFFFAOYSA-N 0 3 221.348 2.860 20 0 BFADHN CC(C)n1cncc1CNCCC1(F)CCC1 ZINC000424181710 387897393 /nfs/dbraw/zinc/89/73/93/387897393.db2.gz RZFAZXLDZLRGHQ-UHFFFAOYSA-N 0 3 239.338 2.836 20 0 BFADHN CCc1nocc1CNC[C@@H]1C[C@H]1C1CCC1 ZINC000424172326 387875395 /nfs/dbraw/zinc/87/53/95/387875395.db2.gz LSYVVCPNJUTKLH-AAEUAGOBSA-N 0 3 234.343 2.763 20 0 BFADHN Fc1ccc2nc(NCc3cncs3)[nH]c2c1 ZINC000236191707 387877742 /nfs/dbraw/zinc/87/77/42/387877742.db2.gz KITCSOIPQJLOJD-UHFFFAOYSA-N 0 3 248.286 2.771 20 0 BFADHN C[C@@H](CCO)CCNCc1cscc1Cl ZINC000648622967 387886071 /nfs/dbraw/zinc/88/60/71/387886071.db2.gz XWVRDHBUQKFBNX-SECBINFHSA-N 0 3 247.791 2.900 20 0 BFADHN C[C@H](NC/C=C\c1ccncc1)c1ccccn1 ZINC000486950620 387886606 /nfs/dbraw/zinc/88/66/06/387886606.db2.gz MGGHXMNBBFVZHK-ZFDPJTLLSA-N 0 3 239.322 2.841 20 0 BFADHN CCC[C@H](C)N(C)Cc1cc(CO)ccc1F ZINC000528351225 387906258 /nfs/dbraw/zinc/90/62/58/387906258.db2.gz QXXPJKIRVAUJQB-NSHDSACASA-N 0 3 239.334 2.938 20 0 BFADHN Cc1occc1CN(C)CCC[C@H]1CCOC1 ZINC000645781007 387906485 /nfs/dbraw/zinc/90/64/85/387906485.db2.gz OIOQYRQVXGJKJC-ZDUSSCGKSA-N 0 3 237.343 2.837 20 0 BFADHN CCN(Cc1ccn(C)n1)[C@@H]1CCCC[C@@H]1C ZINC000444679543 387906951 /nfs/dbraw/zinc/90/69/51/387906951.db2.gz VKKCUOWVUFVWED-GXTWGEPZSA-N 0 3 235.375 2.821 20 0 BFADHN CN(Cc1cccnc1C(F)(F)F)CC1CC1 ZINC000528353138 387907412 /nfs/dbraw/zinc/90/74/12/387907412.db2.gz DDTHYXOQTLIAKM-UHFFFAOYSA-N 0 3 244.260 2.942 20 0 BFADHN CC(C)CCN(C)Cc1cc(CO)ccc1F ZINC000528354461 387908783 /nfs/dbraw/zinc/90/87/83/387908783.db2.gz LETZWKDIFOFZMO-UHFFFAOYSA-N 0 3 239.334 2.796 20 0 BFADHN CN(CCO[C@@H]1CCCCO1)Cc1ccccc1 ZINC000195229021 387910096 /nfs/dbraw/zinc/91/00/96/387910096.db2.gz QSKPHGDCEMGGLM-OAHLLOKOSA-N 0 3 249.354 2.662 20 0 BFADHN C[C@@H]1CN(Cc2ccccc2)[C@@H](C)[C@@H](C)O1 ZINC000438360978 387929762 /nfs/dbraw/zinc/92/97/62/387929762.db2.gz ACDJIZSLEWWNMA-FRRDWIJNSA-N 0 3 219.328 2.684 20 0 BFADHN Cc1ccc(CN[C@@H](C)CCC(C)C)nn1 ZINC000396649328 387931200 /nfs/dbraw/zinc/93/12/00/387931200.db2.gz SCIFARGOPQFPBD-NSHDSACASA-N 0 3 221.348 2.699 20 0 BFADHN CC[C@H](NCC1CC(C)(C)C1)c1nccn1C ZINC000527102413 387931292 /nfs/dbraw/zinc/93/12/92/387931292.db2.gz JKSIUCFJHNZHCZ-LBPRGKRZSA-N 0 3 235.375 2.897 20 0 BFADHN CCn1cc(CN2CCC[C@H](C(C)C)C2)cn1 ZINC000438326196 387923381 /nfs/dbraw/zinc/92/33/81/387923381.db2.gz ONFKWTFSAKQXGJ-AWEZNQCLSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1ccccc1CN1C[C@H](C)O[C@H](C)[C@H]1C ZINC000438352167 387925058 /nfs/dbraw/zinc/92/50/58/387925058.db2.gz HKPKUSDTTHDEGB-BFHYXJOUSA-N 0 3 233.355 2.993 20 0 BFADHN O[C@@H]1CC[C@H]1NCc1ccc(C2CCCC2)cc1 ZINC000424198079 387925292 /nfs/dbraw/zinc/92/52/92/387925292.db2.gz IBZFOKXYVHQLEQ-HZPDHXFCSA-N 0 3 245.366 2.957 20 0 BFADHN C[C@@H](CC1CC1)NCc1cn2ccccc2n1 ZINC000078455333 387926632 /nfs/dbraw/zinc/92/66/32/387926632.db2.gz RFWRKPIDTLTMHX-NSHDSACASA-N 0 3 229.327 2.613 20 0 BFADHN O[C@@H]1CC[C@H]1NCc1ccc(C2CCC2)cc1 ZINC000424207731 387928629 /nfs/dbraw/zinc/92/86/29/387928629.db2.gz YFSVEKRBQZUABO-HUUCEWRRSA-N 0 3 231.339 2.567 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H]2CCC[C@@H](O)C2)o1 ZINC000224079738 387938334 /nfs/dbraw/zinc/93/83/34/387938334.db2.gz DVSBSAXLMFXSRA-RWMBFGLXSA-N 0 3 237.343 2.790 20 0 BFADHN CCCCNC(=O)CCN[C@H](C)c1ccccc1 ZINC000244321688 387941844 /nfs/dbraw/zinc/94/18/44/387941844.db2.gz XITXYPOEHGJOIZ-CYBMUJFWSA-N 0 3 248.370 2.644 20 0 BFADHN Cc1ccc(F)c(CNCC2(O)CCCC2)c1 ZINC000227287541 387958205 /nfs/dbraw/zinc/95/82/05/387958205.db2.gz VSZSNMGNLFFHIV-UHFFFAOYSA-N 0 3 237.318 2.529 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C1CCC1)c1ccncn1 ZINC000424298018 387959330 /nfs/dbraw/zinc/95/93/30/387959330.db2.gz RUJMYLIGNOSLQQ-DRZSPHRISA-N 0 3 231.343 2.563 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H](C)c1cnccc1N ZINC000423172922 387959631 /nfs/dbraw/zinc/95/96/31/387959631.db2.gz VZEIWLJXUOBGDK-MISXGVKJSA-N 0 3 233.359 2.579 20 0 BFADHN CCCn1cc(CN2CCC[C@H](C)[C@H]2C)cn1 ZINC000245562772 387961378 /nfs/dbraw/zinc/96/13/78/387961378.db2.gz PISYTWMQZSEHEL-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1ccc([C@H](C)NCCCCn2ccnc2)o1 ZINC000045094416 387964820 /nfs/dbraw/zinc/96/48/20/387964820.db2.gz GKAZWSBGHDZTMN-ZDUSSCGKSA-N 0 3 247.342 2.916 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1C[C@H]1C1CCC1 ZINC000424318225 387968494 /nfs/dbraw/zinc/96/84/94/387968494.db2.gz CUBWNZYLUGCDNC-WCFLWFBJSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCO[C@@H](C)C2)C1 ZINC000245645274 387969050 /nfs/dbraw/zinc/96/90/50/387969050.db2.gz ZRTYYVDYPGJJCM-AGIUHOORSA-N 0 3 211.349 2.533 20 0 BFADHN CCc1ccccc1CN1CCN(C)CC1(C)C ZINC000445809225 387970513 /nfs/dbraw/zinc/97/05/13/387970513.db2.gz GCMTVYASCVRTDL-UHFFFAOYSA-N 0 3 246.398 2.775 20 0 BFADHN CC(C)(C)C[C@@H](O)CNCc1ccccc1F ZINC000227374739 387956797 /nfs/dbraw/zinc/95/67/97/387956797.db2.gz XZSWMCMOBUOZPE-GFCCVEGCSA-N 0 3 239.334 2.712 20 0 BFADHN Cc1ccc2cc([C@H](C)N[C@@H]3CC[C@H]3O)oc2c1 ZINC000424337696 387979651 /nfs/dbraw/zinc/97/96/51/387979651.db2.gz ZUJJEHHUPXQJNI-CYZMBNFOSA-N 0 3 245.322 2.915 20 0 BFADHN CC(C)n1cc(CN2CC[C@@H](C)[C@H](C)C2)cn1 ZINC000245690647 387973082 /nfs/dbraw/zinc/97/30/82/387973082.db2.gz BGZMMANOCBVCHY-CHWSQXEVSA-N 0 3 235.375 2.942 20 0 BFADHN CC[C@@H](C)N[C@@H](c1ccccc1)c1cncnc1 ZINC000453053776 387973641 /nfs/dbraw/zinc/97/36/41/387973641.db2.gz GWBUUBGWQCOTNA-DOMZBBRYSA-N 0 3 241.338 2.954 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1C1CCC1)c1cc2n(n1)CCC2 ZINC000424326524 387974127 /nfs/dbraw/zinc/97/41/27/387974127.db2.gz MARXXFXDWWOEJM-VZJVUDMVSA-N 0 3 245.370 2.669 20 0 BFADHN CN(CCC[C@H]1CCOC1)Cc1cccc(O)c1 ZINC000645811894 387974263 /nfs/dbraw/zinc/97/42/63/387974263.db2.gz VPGDAVWOSDAOPL-ZDUSSCGKSA-N 0 3 249.354 2.641 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H]1C1CCC1)c1nccn1C ZINC000424333014 387977706 /nfs/dbraw/zinc/97/77/06/387977706.db2.gz UDCGIVKHNJXKKH-RWMBFGLXSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@@H]1CN([C@@H]2C=CCCC2)[C@H](C)[C@H](C)O1 ZINC000446065161 387990061 /nfs/dbraw/zinc/99/00/61/387990061.db2.gz YVXAZLGOHYIYAH-FVCCEPFGSA-N 0 3 209.333 2.593 20 0 BFADHN C[C@@H]1CN(CCCc2ccncc2)[C@@H](C)[C@@H](C)O1 ZINC000446072098 387994698 /nfs/dbraw/zinc/99/46/98/387994698.db2.gz SOVBOJGEQSAFBK-HZSPNIEDSA-N 0 3 248.370 2.512 20 0 BFADHN CCCn1cc(CN2CC[C@H](C)[C@@H](C)C2)cn1 ZINC000245763995 387983020 /nfs/dbraw/zinc/98/30/20/387983020.db2.gz QGPFUCNKLJVNBH-STQMWFEESA-N 0 3 235.375 2.771 20 0 BFADHN COC(=O)c1coc([C@H](C)N[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000424351575 387985299 /nfs/dbraw/zinc/98/52/99/387985299.db2.gz NQLFRKRKPOJSBK-GUDRVLHUSA-N 0 3 249.310 2.515 20 0 BFADHN Cc1ccc2nc(CN3CC[C@H](C)[C@H]3C)cn2c1 ZINC000246057681 388004317 /nfs/dbraw/zinc/00/43/17/388004317.db2.gz AQCCMZMKQXBYFP-QWHCGFSZSA-N 0 3 243.354 2.873 20 0 BFADHN CC[C@@H](C)CNC(=O)Nc1cc(C)cc(CN)c1 ZINC000424415479 388005173 /nfs/dbraw/zinc/00/51/73/388005173.db2.gz JILPXXPSPYEBSU-SNVBAGLBSA-N 0 3 249.358 2.621 20 0 BFADHN CO[C@@H]1CCCN([C@@H](C)c2cccc(F)c2)C1 ZINC000246062544 388005408 /nfs/dbraw/zinc/00/54/08/388005408.db2.gz AYWMEXQSTYAYGJ-SMDDNHRTSA-N 0 3 237.318 2.998 20 0 BFADHN COc1ccnc(CN(C2CC2)C2CCCC2)c1 ZINC000107791049 388008150 /nfs/dbraw/zinc/00/81/50/388008150.db2.gz LZHMKVNKMOGLSS-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN CC[C@H](C)N(C)CC(=O)N1[C@H](C)CCC[C@H]1C ZINC000439953995 388025205 /nfs/dbraw/zinc/02/52/05/388025205.db2.gz YUYBFNNBAHWQNZ-YNEHKIRRSA-N 0 3 240.391 2.506 20 0 BFADHN CC[C@@H](NC[C@H](CC)OC)c1ccncc1 ZINC000453247212 388025544 /nfs/dbraw/zinc/02/55/44/388025544.db2.gz HWLUBDSHCDXKIL-QWHCGFSZSA-N 0 3 222.332 2.547 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CCC1(C)C ZINC000453212647 388011547 /nfs/dbraw/zinc/01/15/47/388011547.db2.gz CFRZBSUPIVDRQA-QWRGUYRKSA-N 0 3 221.348 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)NC1(C(C)C)CC1 ZINC000453215596 388012499 /nfs/dbraw/zinc/01/24/99/388012499.db2.gz DAGIPQMASOXZAY-NSHDSACASA-N 0 3 221.348 2.742 20 0 BFADHN C[C@H](NCC1(C(F)(F)F)CCC1)c1cn[nH]c1 ZINC000453223681 388014806 /nfs/dbraw/zinc/01/48/06/388014806.db2.gz ZUAOHRUBXLORFR-QMMMGPOBSA-N 0 3 247.264 2.793 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCC1(F)CCC1 ZINC000453229050 388016611 /nfs/dbraw/zinc/01/66/11/388016611.db2.gz PEZPRQBYJUZEJI-LLVKDONJSA-N 0 3 239.338 2.836 20 0 BFADHN C[C@@H](NC1CC(C(C)(C)C)C1)c1ccncn1 ZINC000453235956 388018945 /nfs/dbraw/zinc/01/89/45/388018945.db2.gz JTWVUYISKJBLDG-VOMCLLRMSA-N 0 3 233.359 2.952 20 0 BFADHN Cc1noc([C@H]2CCCN([C@@H]3C=CCCC3)C2)n1 ZINC000246235591 388022963 /nfs/dbraw/zinc/02/29/63/388022963.db2.gz IASQGADCIWVQBS-QWHCGFSZSA-N 0 3 247.342 2.666 20 0 BFADHN Cc1noc([C@@H]2CCCN([C@@H]3C=CCCC3)C2)n1 ZINC000246235588 388022992 /nfs/dbraw/zinc/02/29/92/388022992.db2.gz IASQGADCIWVQBS-CHWSQXEVSA-N 0 3 247.342 2.666 20 0 BFADHN CCC[C@@H](NCc1nnc(C2CC2)[nH]1)C1CCC1 ZINC000453283579 388032852 /nfs/dbraw/zinc/03/28/52/388032852.db2.gz VQKBHTNVVKRBQO-GFCCVEGCSA-N 0 3 248.374 2.741 20 0 BFADHN c1csc([C@H](NCc2c[nH]cn2)C2CC2)c1 ZINC000082394046 388032893 /nfs/dbraw/zinc/03/28/93/388032893.db2.gz GFSQOKFNPOELKN-GFCCVEGCSA-N 0 3 233.340 2.712 20 0 BFADHN c1csc([C@H](NCc2cnc[nH]2)C2CC2)c1 ZINC000082394046 388032896 /nfs/dbraw/zinc/03/28/96/388032896.db2.gz GFSQOKFNPOELKN-GFCCVEGCSA-N 0 3 233.340 2.712 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@H]1C(C)C ZINC000453282068 388033191 /nfs/dbraw/zinc/03/31/91/388033191.db2.gz COLXMQMEJHGRSG-QWHCGFSZSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1cncc(C)c1)OC ZINC000453295344 388036507 /nfs/dbraw/zinc/03/65/07/388036507.db2.gz GBODHDKDPNFUCX-GXTWGEPZSA-N 0 3 236.359 2.856 20 0 BFADHN Cc1cc([C@@H](C)NCC2=CCOCC2)oc1C ZINC000453294842 388036861 /nfs/dbraw/zinc/03/68/61/388036861.db2.gz RBXOTPLMFVKFSO-LLVKDONJSA-N 0 3 235.327 2.894 20 0 BFADHN COc1cc(CN[C@]2(C)CCO[C@H]2C)ccc1C ZINC000446752447 388037469 /nfs/dbraw/zinc/03/74/69/388037469.db2.gz OSXHJVNQUSGNFW-SWLSCSKDSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)[C@H](C)NC(=O)CN(C)[C@@H](C)C(C)(C)C ZINC000533249069 388037978 /nfs/dbraw/zinc/03/79/78/388037978.db2.gz JTMKNBVCDOAIQT-RYUDHWBXSA-N 0 3 242.407 2.514 20 0 BFADHN CC[C@H](F)CN[C@@H]1CCCC[C@H]1n1cccn1 ZINC000440501675 388044556 /nfs/dbraw/zinc/04/45/56/388044556.db2.gz FVDFCQOUYNYIHC-YNEHKIRRSA-N 0 3 239.338 2.705 20 0 BFADHN COCCN[C@@H](C)c1cnc2ccsc2c1 ZINC000082924694 388042812 /nfs/dbraw/zinc/04/28/12/388042812.db2.gz ZRJMWGFWKMSPGZ-VIFPVBQESA-N 0 3 236.340 2.593 20 0 BFADHN CC/C=C\CN[C@H]1COc2ccc(OC)cc2C1 ZINC000453315292 388043406 /nfs/dbraw/zinc/04/34/06/388043406.db2.gz JFIVYDUTTNFHNV-DSYXLKISSA-N 0 3 247.338 2.555 20 0 BFADHN CC/C=C\CN[C@@H](C)c1cccc(CO)c1 ZINC000453316282 388043799 /nfs/dbraw/zinc/04/37/99/388043799.db2.gz JUWFOMDIWGQUPZ-RXNFCKPNSA-N 0 3 219.328 2.796 20 0 BFADHN C[C@H]1CN(CC2=CCCC2)C[C@@H](C2CC2)O1 ZINC000645895305 388044009 /nfs/dbraw/zinc/04/40/09/388044009.db2.gz DPJTVBISKUNPQO-FZMZJTMJSA-N 0 3 221.344 2.596 20 0 BFADHN CC[C@@H](N[C@H](C)[C@H](C)OC)c1ccncc1 ZINC000453252453 388026334 /nfs/dbraw/zinc/02/63/34/388026334.db2.gz MTONXYSUBFGNKC-NTZNESFSSA-N 0 3 222.332 2.546 20 0 BFADHN CCC[C@@H]1CCC[C@H]1NCc1ccnc(OC)n1 ZINC000453254083 388026761 /nfs/dbraw/zinc/02/67/61/388026761.db2.gz JKRQJXUOKMVISX-DGCLKSJQSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@H](CCc1ccccc1)CN1CCOC[C@H]1C ZINC000446892337 388044185 /nfs/dbraw/zinc/04/41/85/388044185.db2.gz KPRKHYGGCZKHQQ-HUUCEWRRSA-N 0 3 247.382 2.976 20 0 BFADHN CC[C@H](C)NCc1c(F)cccc1-n1cccn1 ZINC000453336874 388050883 /nfs/dbraw/zinc/05/08/83/388050883.db2.gz BIEWKXWGCZDDGC-NSHDSACASA-N 0 3 247.317 2.900 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CN[C@H](c1nccn1C)C1CC1 ZINC000453348666 388053131 /nfs/dbraw/zinc/05/31/31/388053131.db2.gz LDIDFCSFFQTPGL-IHRRRGAJSA-N 0 3 247.386 2.753 20 0 BFADHN C[C@H]1C[C@H]1CN(C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000447102720 388053750 /nfs/dbraw/zinc/05/37/50/388053750.db2.gz TXFPKIUPQBTCIC-QWRGUYRKSA-N 0 3 235.375 2.795 20 0 BFADHN COc1cccnc1CN[C@@H](C)C(C)(C)C ZINC000453351734 388055101 /nfs/dbraw/zinc/05/51/01/388055101.db2.gz FJUULPFHGDWSKR-JTQLQIEISA-N 0 3 222.332 2.614 20 0 BFADHN CCC1(CN[C@H](C)c2cc(C)c(C)o2)COC1 ZINC000453351664 388055918 /nfs/dbraw/zinc/05/59/18/388055918.db2.gz GTCTYMWTLIJSIZ-LLVKDONJSA-N 0 3 237.343 2.974 20 0 BFADHN Cc1ccccc1C1(NCCOC(C)C)CC1 ZINC000645978870 388114324 /nfs/dbraw/zinc/11/43/24/388114324.db2.gz CDSWZMZVAJBPJK-UHFFFAOYSA-N 0 3 233.355 2.999 20 0 BFADHN CCCc1ncc(CN[C@H](C)C2(C)CC2)o1 ZINC000453356978 388058786 /nfs/dbraw/zinc/05/87/86/388058786.db2.gz DMATYUQNZVHHSZ-SNVBAGLBSA-N 0 3 222.332 2.905 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](O)C1)c1ccc2c(c1)CCCC2 ZINC000453361926 388060180 /nfs/dbraw/zinc/06/01/80/388060180.db2.gz LLDFZACBWZBGHA-LYRGGWFBSA-N 0 3 245.366 2.739 20 0 BFADHN COc1ccnc(CN(CC2CC2)C(C)C)c1 ZINC000533358296 388062067 /nfs/dbraw/zinc/06/20/67/388062067.db2.gz WMGMSRYCKKXNHI-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1noc(C)c1CN(CC1CC1)C(C)C ZINC000533358985 388062498 /nfs/dbraw/zinc/06/24/98/388062498.db2.gz QXDGUSBALHOUPO-UHFFFAOYSA-N 0 3 222.332 2.912 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C1CC1)c1ccncc1F ZINC000453375197 388062650 /nfs/dbraw/zinc/06/26/50/388062650.db2.gz HXELMAYBPZIGSK-GAFUQQFSSA-N 0 3 234.318 2.917 20 0 BFADHN CCC[C@H](NC[C@H](O)C1CCC1)c1ccccn1 ZINC000453370182 388063264 /nfs/dbraw/zinc/06/32/64/388063264.db2.gz FRJCRVIGMMCWSB-ZFWWWQNUSA-N 0 3 248.370 2.673 20 0 BFADHN CC(C)=CCCNCc1cc(C(F)(F)F)n[nH]1 ZINC000440629514 388063357 /nfs/dbraw/zinc/06/33/57/388063357.db2.gz NNQVZAPUASAWDP-UHFFFAOYSA-N 0 3 247.264 2.874 20 0 BFADHN CCc1ncc(CN[C@@H](C)C2(C)CC2)o1 ZINC000453388142 388067039 /nfs/dbraw/zinc/06/70/39/388067039.db2.gz OYVWDQNYRMNLFC-VIFPVBQESA-N 0 3 208.305 2.515 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@@H]2C[C@H]2C2CC2)c2nccn21 ZINC000453392867 388068954 /nfs/dbraw/zinc/06/89/54/388068954.db2.gz USPWFXMBBMVIKY-LPTSXCQYSA-N 0 3 231.343 2.525 20 0 BFADHN CC[C@@H](CNC1(c2ccccc2C)CC1)OC ZINC000645981095 388114673 /nfs/dbraw/zinc/11/46/73/388114673.db2.gz XHKYYAYOSSTQBR-ZDUSSCGKSA-N 0 3 233.355 2.999 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@@H](C)c1cnn(C)c1 ZINC000453427711 388081326 /nfs/dbraw/zinc/08/13/26/388081326.db2.gz HPEZKQBBVBUOJA-IACUBPJLSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@H](N[C@@H](CC)c1cccc(C)c1)C(=O)NC ZINC000453426260 388081787 /nfs/dbraw/zinc/08/17/87/388081787.db2.gz YYOMNGTZSNCBGW-KBPBESRZSA-N 0 3 248.370 2.560 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@@H](C)[C@H](C)C1 ZINC000085042046 388083114 /nfs/dbraw/zinc/08/31/14/388083114.db2.gz XMNGYVSBCAQYCI-JHJVBQTASA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@H](C)c1ccnn1C ZINC000453459313 388083835 /nfs/dbraw/zinc/08/38/35/388083835.db2.gz WBSUPRRJRUXEIQ-MRVWCRGKSA-N 0 3 235.375 2.895 20 0 BFADHN CCc1nocc1CNCCC1CC(F)(F)C1 ZINC000453576228 388086293 /nfs/dbraw/zinc/08/62/93/388086293.db2.gz FIPQPZMOGXODMO-UHFFFAOYSA-N 0 3 244.285 2.762 20 0 BFADHN C=Cn1cc(CN2CC[C@@](C)(CC)C2)cn1 ZINC000447722183 388087864 /nfs/dbraw/zinc/08/78/64/388087864.db2.gz INOVGVDGSWSUNN-CYBMUJFWSA-N 0 3 219.332 2.606 20 0 BFADHN CCN(CCCO)Cc1ccc(C)c(Cl)c1 ZINC000447730955 388088827 /nfs/dbraw/zinc/08/88/27/388088827.db2.gz RINZYKUMZVXHNO-UHFFFAOYSA-N 0 3 241.762 2.853 20 0 BFADHN Cc1csc(CNCCC2CC(F)(F)C2)n1 ZINC000453592226 388089038 /nfs/dbraw/zinc/08/90/38/388089038.db2.gz SPUUUQQGNARKFH-UHFFFAOYSA-N 0 3 246.326 2.977 20 0 BFADHN CCC1CN(Cc2cc(OC)c(OC)cc2C)C1 ZINC000453603405 388090861 /nfs/dbraw/zinc/09/08/61/388090861.db2.gz KYIMHOYXTPEGOQ-UHFFFAOYSA-N 0 3 249.354 2.854 20 0 BFADHN CCC1CN(Cc2c[nH]nc2-c2ccccc2)C1 ZINC000453607282 388091134 /nfs/dbraw/zinc/09/11/34/388091134.db2.gz RYFOVMVWHNABOS-UHFFFAOYSA-N 0 3 241.338 2.919 20 0 BFADHN CCN(CC(=O)NC(C)(C)CC)CC(C)(C)C ZINC000463367443 388091660 /nfs/dbraw/zinc/09/16/60/388091660.db2.gz MFMGVZNXQJRMQL-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1c[nH]nc1C ZINC000453615378 388093211 /nfs/dbraw/zinc/09/32/11/388093211.db2.gz QKQLPWQVPCDRJT-STQMWFEESA-N 0 3 221.348 2.632 20 0 BFADHN CCn1nccc1CN[C@H]1C[C@]1(CC)C(C)C ZINC000453617177 388093405 /nfs/dbraw/zinc/09/34/05/388093405.db2.gz SYYXHTZWQDYXEO-UONOGXRCSA-N 0 3 235.375 2.817 20 0 BFADHN COc1nccnc1CN[C@@]1(C)CCCC[C@H]1C ZINC000453619588 388093719 /nfs/dbraw/zinc/09/37/19/388093719.db2.gz IEASDXPLNQJAMB-RISCZKNCSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@@H]1CC[C@@H](CNC/C=C\c2ccc(F)cc2)O1 ZINC000453632755 388095865 /nfs/dbraw/zinc/09/58/65/388095865.db2.gz QKBAFFPITJCPMR-IZIWTHDTSA-N 0 3 249.329 2.996 20 0 BFADHN COc1cc(C)ccc1CNC[C@H]1CC[C@@H](C)O1 ZINC000453637468 388096020 /nfs/dbraw/zinc/09/60/20/388096020.db2.gz YHNUMQYBQIJEFO-TZMCWYRMSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1ccc(CN2CCCC[C@@H]2C[C@H](C)O)nc1 ZINC000447841718 388096712 /nfs/dbraw/zinc/09/67/12/388096712.db2.gz YXVWNYZMVQYELT-DZGCQCFKSA-N 0 3 248.370 2.515 20 0 BFADHN c1n[nH]cc1CN[C@@H]1CCCc2ccccc21 ZINC000038005939 388099717 /nfs/dbraw/zinc/09/97/17/388099717.db2.gz SSOQVMPIWDJYGW-CQSZACIVSA-N 0 3 227.311 2.577 20 0 BFADHN Cc1ccc([C@@H](NCc2cn[nH]c2)C2CC2)cc1 ZINC000038006289 388099791 /nfs/dbraw/zinc/09/97/91/388099791.db2.gz DSMHNOPJJRWLSK-OAHLLOKOSA-N 0 3 241.338 2.959 20 0 BFADHN C[C@H](CO)CNC1(c2ccccc2Cl)CC1 ZINC000645977939 388113579 /nfs/dbraw/zinc/11/35/79/388113579.db2.gz OEOGJLQIPUPTJF-JTQLQIEISA-N 0 3 239.746 2.547 20 0 BFADHN COC[C@H](NCc1cncc(C)c1)C1CCCC1 ZINC000446923763 388045145 /nfs/dbraw/zinc/04/51/45/388045145.db2.gz XSBRXTADJFMXIU-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN CC/C=C\CN[C@@H](C)c1cnc(C)nc1C ZINC000453321143 388045388 /nfs/dbraw/zinc/04/53/88/388045388.db2.gz NSQSHIUHTFEELX-GFVADAIESA-N 0 3 219.332 2.710 20 0 BFADHN CC[C@H](N[C@H](C)c1ccc(Cl)cn1)[C@H](C)O ZINC000453333347 388049425 /nfs/dbraw/zinc/04/94/25/388049425.db2.gz VWKTWTSJRSFTAY-YWVKMMECSA-N 0 3 242.750 2.545 20 0 BFADHN CCc1nnc(CN[C@@H]2CCCC[C@@H]2C)s1 ZINC000162368792 388158228 /nfs/dbraw/zinc/15/82/28/388158228.db2.gz KKRHLRDSEJHIBY-VHSXEESVSA-N 0 3 239.388 2.769 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NCc1cccc2ccoc21 ZINC000449257913 388163825 /nfs/dbraw/zinc/16/38/25/388163825.db2.gz KVBQBRIVFAFFEC-CHWSQXEVSA-N 0 3 245.322 2.682 20 0 BFADHN C[C@@H](Cc1ccoc1)NC1CC(F)(F)C1 ZINC000449282220 388167465 /nfs/dbraw/zinc/16/74/65/388167465.db2.gz GXYOYZPZTLIGCO-QMMMGPOBSA-N 0 3 215.243 2.598 20 0 BFADHN CSC1(CNCc2ccncc2F)CCC1 ZINC000449291051 388167847 /nfs/dbraw/zinc/16/78/47/388167847.db2.gz IQAHYGLWCJWYRU-UHFFFAOYSA-N 0 3 240.347 2.596 20 0 BFADHN CCN(Cc1ccncc1F)CC1CCC1 ZINC000449304161 388170780 /nfs/dbraw/zinc/17/07/80/388170780.db2.gz YMYORGUKZZCWLW-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1ccnn1C1CCCC1 ZINC000449318154 388171124 /nfs/dbraw/zinc/17/11/24/388171124.db2.gz FNDDUIZYVXKSMS-BXUZGUMPSA-N 0 3 233.359 2.886 20 0 BFADHN CCN1CCN(Cc2csc(C)c2)[C@@H](C)C1 ZINC000449318974 388172188 /nfs/dbraw/zinc/17/21/88/388172188.db2.gz FIGPDJTWNWWSDG-NSHDSACASA-N 0 3 238.400 2.583 20 0 BFADHN C[C@@H](C1CCC1)N(C)Cc1ccncc1F ZINC000449331152 388174359 /nfs/dbraw/zinc/17/43/59/388174359.db2.gz NFPBNNAOELKHKE-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN CCc1ccc(CN2CCN(C)C[C@@H]2CC)cc1 ZINC000646281192 388174919 /nfs/dbraw/zinc/17/49/19/388174919.db2.gz CTPRPGLNEJHXOW-INIZCTEOSA-N 0 3 246.398 2.775 20 0 BFADHN CCc1nc(C)c(CN[C@@H](C)Cc2ccoc2)o1 ZINC000449338399 388176226 /nfs/dbraw/zinc/17/62/26/388176226.db2.gz GEZBLCLYXGEMHM-JTQLQIEISA-N 0 3 248.326 2.859 20 0 BFADHN COC[C@H](C)N[C@@H]1CCCc2c(F)cccc21 ZINC000449348485 388178511 /nfs/dbraw/zinc/17/85/11/388178511.db2.gz GTMYLSFKYARUNY-IINYFYTJSA-N 0 3 237.318 2.828 20 0 BFADHN CCCC[C@H](C)[C@@H](C)[NH2+]Cc1nnc(CC)[n-]1 ZINC000449349016 388178524 /nfs/dbraw/zinc/17/85/24/388178524.db2.gz NRGMQSXHUHUZBT-WDEREUQCSA-N 0 3 238.379 2.672 20 0 BFADHN CCCC[C@H](C)[C@@H](C)NCc1nnc(CC)[nH]1 ZINC000449349016 388178526 /nfs/dbraw/zinc/17/85/26/388178526.db2.gz NRGMQSXHUHUZBT-WDEREUQCSA-N 0 3 238.379 2.672 20 0 BFADHN c1ccc([C@@H]2C[C@@H]2CN(C2CC2)C2COC2)cc1 ZINC000449351737 388179885 /nfs/dbraw/zinc/17/98/85/388179885.db2.gz QSEXCEGHDRGHPC-CJNGLKHVSA-N 0 3 243.350 2.653 20 0 BFADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+]C2CC(C)C2)[n-]1 ZINC000488499237 388183620 /nfs/dbraw/zinc/18/36/20/388183620.db2.gz NTICRCQALLNBDH-LIZLNQBYSA-N 0 3 236.363 2.767 20 0 BFADHN C[C@@H]1C[C@H]1CN1Cc2ccccc2OC[C@H]1C ZINC000488536548 388184742 /nfs/dbraw/zinc/18/47/42/388184742.db2.gz WOGBIFFKZZSFKP-BZPMIXESSA-N 0 3 231.339 2.926 20 0 BFADHN CCCC[C@@H](CC)NCc1ccnc(OC)n1 ZINC000449372394 388185047 /nfs/dbraw/zinc/18/50/47/388185047.db2.gz HHKMLGWZZJOJJU-LLVKDONJSA-N 0 3 237.347 2.544 20 0 BFADHN COc1ccc(CNC2CC(C)(F)C2)cc1F ZINC000449371248 388185446 /nfs/dbraw/zinc/18/54/46/388185446.db2.gz RXRGIJBXNOWFAU-UHFFFAOYSA-N 0 3 241.281 2.815 20 0 BFADHN COC1CC(NCc2cc(C3CC3)ccc2F)C1 ZINC000449374472 388185902 /nfs/dbraw/zinc/18/59/02/388185902.db2.gz ZUISPBBBJALLED-UHFFFAOYSA-N 0 3 249.329 2.970 20 0 BFADHN CC[C@@H](CSC)NCc1cccc(O)c1 ZINC000162170930 388120830 /nfs/dbraw/zinc/12/08/30/388120830.db2.gz HOKDOJHTRHMAMM-NSHDSACASA-N 0 3 225.357 2.623 20 0 BFADHN CC[C@H](CSC)NCc1cccc(O)c1 ZINC000162171033 388120902 /nfs/dbraw/zinc/12/09/02/388120902.db2.gz HOKDOJHTRHMAMM-LLVKDONJSA-N 0 3 225.357 2.623 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)[NH2+]C[C@@H]2C[C@H]2C)[n-]1 ZINC000488546286 388185986 /nfs/dbraw/zinc/18/59/86/388185986.db2.gz QJTWGFTWBJLYTM-VLEAKVRGSA-N 0 3 236.363 2.625 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)NC[C@@H]2C[C@H]2C)[nH]1 ZINC000488546286 388185988 /nfs/dbraw/zinc/18/59/88/388185988.db2.gz QJTWGFTWBJLYTM-VLEAKVRGSA-N 0 3 236.363 2.625 20 0 BFADHN CC[C@H](CO)N(C)Cc1coc2ccccc12 ZINC000449374696 388186088 /nfs/dbraw/zinc/18/60/88/388186088.db2.gz BXHUHDWOJSBWQF-GFCCVEGCSA-N 0 3 233.311 2.636 20 0 BFADHN CC[C@H](O)CNC1(c2ccccc2F)CCC1 ZINC000646024256 388126394 /nfs/dbraw/zinc/12/63/94/388126394.db2.gz YXYMLQOTYVPMCI-NSHDSACASA-N 0 3 237.318 2.565 20 0 BFADHN COCCN(Cc1csc(C)c1)C1CC1 ZINC000449007748 388127630 /nfs/dbraw/zinc/12/76/30/388127630.db2.gz BGZMHFKKABRNDA-UHFFFAOYSA-N 0 3 225.357 2.667 20 0 BFADHN COC[C@@H](C)N(C)Cc1csc(C)c1 ZINC000449018798 388130852 /nfs/dbraw/zinc/13/08/52/388130852.db2.gz UHKKSDABQLISAK-SECBINFHSA-N 0 3 213.346 2.523 20 0 BFADHN Cc1cc(F)ccc1CN(C)CC1=CCCOC1 ZINC000488548438 388186242 /nfs/dbraw/zinc/18/62/42/388186242.db2.gz UMTMWKPQKASFHM-UHFFFAOYSA-N 0 3 249.329 2.913 20 0 BFADHN Cc1cccc(CCN2CCOC[C@@H]2C2CC2)c1 ZINC000449031151 388132886 /nfs/dbraw/zinc/13/28/86/388132886.db2.gz UOOKEGMSAMMRKW-MRXNPFEDSA-N 0 3 245.366 2.648 20 0 BFADHN COc1cc(C)ccc1CN(C)C1CCC1 ZINC000449029841 388132904 /nfs/dbraw/zinc/13/29/04/388132904.db2.gz PYBMYPMJHNXYNI-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN C[C@@H]1CN(C)CCN1Cc1cccc2ccoc21 ZINC000449036231 388134041 /nfs/dbraw/zinc/13/40/41/388134041.db2.gz SBUCUBDAQFCEGN-GFCCVEGCSA-N 0 3 244.338 2.569 20 0 BFADHN C1=C(CN2CCOC[C@@H]2C2CCC2)CCC1 ZINC000646058738 388134491 /nfs/dbraw/zinc/13/44/91/388134491.db2.gz YUIQGINSIAAALI-CQSZACIVSA-N 0 3 221.344 2.598 20 0 BFADHN OCC[C@H](NCC1=CCCC1)c1ccccc1F ZINC000646070312 388136509 /nfs/dbraw/zinc/13/65/09/388136509.db2.gz QFTKTQCZHUQPIN-HNNXBMFYSA-N 0 3 249.329 2.949 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccc(Cl)o2)CCO1 ZINC000449058408 388136982 /nfs/dbraw/zinc/13/69/82/388136982.db2.gz IXDNDNYPSOWYOR-VHSXEESVSA-N 0 3 243.734 2.980 20 0 BFADHN Cc1sccc1CN(CCO)CC(C)(C)C ZINC000646075234 388137444 /nfs/dbraw/zinc/13/74/44/388137444.db2.gz FGVPLMVBROFCDR-UHFFFAOYSA-N 0 3 241.400 2.897 20 0 BFADHN CCc1nocc1CN1CC[C@H](c2ccco2)C1 ZINC000646087530 388139926 /nfs/dbraw/zinc/13/99/26/388139926.db2.gz YKVGIBHNIQYCAT-NSHDSACASA-N 0 3 246.310 2.820 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccoc2C)CCO1 ZINC000449087802 388140249 /nfs/dbraw/zinc/14/02/49/388140249.db2.gz WULKWNJYGRMQPM-STQMWFEESA-N 0 3 223.316 2.635 20 0 BFADHN C[C@@H](O)CNC1(c2cccc(Cl)c2)CCC1 ZINC000646094972 388141514 /nfs/dbraw/zinc/14/15/14/388141514.db2.gz AONRHBDEOJETMO-SNVBAGLBSA-N 0 3 239.746 2.690 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1ccc(F)cc1 ZINC000164069483 388145001 /nfs/dbraw/zinc/14/50/01/388145001.db2.gz BBIRSBMBJCBBAS-UWVGGRQHSA-N 0 3 211.280 2.511 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1ccccc1F ZINC000164065422 388145006 /nfs/dbraw/zinc/14/50/06/388145006.db2.gz KKVUABKJSQDHML-VHSXEESVSA-N 0 3 211.280 2.511 20 0 BFADHN C[C@@H](O)CN1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC000646117807 388145632 /nfs/dbraw/zinc/14/56/32/388145632.db2.gz AISHJWSDYRBQAL-UKRRQHHQSA-N 0 3 243.350 2.761 20 0 BFADHN C[C@@H]1CN(CC2=CCCC2)CC2(CCC2)O1 ZINC000646117440 388145966 /nfs/dbraw/zinc/14/59/66/388145966.db2.gz ZZPXKNDLRIXHRM-GFCCVEGCSA-N 0 3 221.344 2.740 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1ccc(C)c(F)c1 ZINC000164074884 388147458 /nfs/dbraw/zinc/14/74/58/388147458.db2.gz KNTLEPOAKWJDEA-WDEREUQCSA-N 0 3 225.307 2.820 20 0 BFADHN Cc1cccc(CNCC[C@H](O)C(C)C)c1F ZINC000449153619 388149665 /nfs/dbraw/zinc/14/96/65/388149665.db2.gz KKRQHMCYIGIPHR-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN c1cc(CN2CCCC[C@H]2C2CCC2)on1 ZINC000646137355 388153079 /nfs/dbraw/zinc/15/30/79/388153079.db2.gz BFBWGSHHYANJKI-ZDUSSCGKSA-N 0 3 220.316 2.829 20 0 BFADHN Cn1ccc(CN2CCCC[C@H]2C2CCC2)n1 ZINC000646139355 388154293 /nfs/dbraw/zinc/15/42/93/388154293.db2.gz PLKHCBOSARJDSH-AWEZNQCLSA-N 0 3 233.359 2.575 20 0 BFADHN Cc1ncc(CN2CCCC[C@@H]2C2CCC2)cn1 ZINC000646139236 388154331 /nfs/dbraw/zinc/15/43/31/388154331.db2.gz KJVFUVUPOPSCDT-OAHLLOKOSA-N 0 3 245.370 2.940 20 0 BFADHN Cn1nccc1C1=CCN(CC2=CCCC2)CC1 ZINC000645908587 388154500 /nfs/dbraw/zinc/15/45/00/388154500.db2.gz MLBGUONSCXUXTR-UHFFFAOYSA-N 0 3 243.354 2.620 20 0 BFADHN C[C@H](NCCO)c1cc(Cl)cc(Cl)c1 ZINC000166496411 388255307 /nfs/dbraw/zinc/25/53/07/388255307.db2.gz WASZZRPXDFXDIK-ZETCQYMHSA-N 0 3 234.126 2.636 20 0 BFADHN CCC[C@H](N)C(=O)N1CCCC[C@H]1[C@@H](C)CC ZINC000490820952 388255878 /nfs/dbraw/zinc/25/58/78/388255878.db2.gz CAJFQBGVXHLEMQ-AVGNSLFASA-N 0 3 240.391 2.541 20 0 BFADHN CS[C@H](CO)[C@H](C)N[C@H](C)c1ccsc1 ZINC000168017310 388256166 /nfs/dbraw/zinc/25/61/66/388256166.db2.gz WLMAXEHQESEESU-WCABBAIRSA-N 0 3 245.413 2.511 20 0 BFADHN CC(C)O[C@@H]1CCN([C@H](C)c2ccncc2)C1 ZINC000645945646 388256953 /nfs/dbraw/zinc/25/69/53/388256953.db2.gz YCSPSMHPGOSECJ-TZMCWYRMSA-N 0 3 234.343 2.642 20 0 BFADHN C[C@H]1CN(CCC[C@@H]2CCOC2)CCC1(F)F ZINC000645918602 388257647 /nfs/dbraw/zinc/25/76/47/388257647.db2.gz YLCOWGDZRZPCHK-NWDGAFQWSA-N 0 3 247.329 2.780 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@@H]1C[C@H]1C ZINC000488578916 388188981 /nfs/dbraw/zinc/18/89/81/388188981.db2.gz IGPCGHXUKAYSQO-WZRBSPASSA-N 0 3 204.317 2.730 20 0 BFADHN CCCN(C[C@@H]1C[C@H]1C)[C@H](CC)C(=O)OCC ZINC000488578938 388189344 /nfs/dbraw/zinc/18/93/44/388189344.db2.gz GFNFTUQCUWTDCU-FRRDWIJNSA-N 0 3 241.375 2.696 20 0 BFADHN CCCN(C[C@@H]1C[C@@H]1C)[C@@H](CC)C(=O)OCC ZINC000488578936 388189415 /nfs/dbraw/zinc/18/94/15/388189415.db2.gz GFNFTUQCUWTDCU-AVGNSLFASA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H](c1ccccn1)N(C)C[C@@H]1C[C@H]1C ZINC000488593673 388190424 /nfs/dbraw/zinc/19/04/24/388190424.db2.gz UBXORVOTMADTDH-WOPDTQHZSA-N 0 3 204.317 2.730 20 0 BFADHN C[C@@H](Cc1ccccc1)CN1CC[C@@H](F)C1 ZINC000449391858 388191960 /nfs/dbraw/zinc/19/19/60/388191960.db2.gz IQJULBGFLFZPRO-GXTWGEPZSA-N 0 3 221.319 2.909 20 0 BFADHN CC1(C)COC[C@H]1NCc1ccccc1Cl ZINC000312974374 256531281 /nfs/dbraw/zinc/53/12/81/256531281.db2.gz CATYALQCRWOGFG-GFCCVEGCSA-N 0 3 239.746 2.855 20 0 BFADHN C[C@@H](CN1CC[C@H](F)C1)c1ccccc1 ZINC000449389964 388192240 /nfs/dbraw/zinc/19/22/40/388192240.db2.gz FIQJSYYULHHAJL-AAEUAGOBSA-N 0 3 207.292 2.834 20 0 BFADHN COc1ccc([C@@H](C)N[C@@H]2COCC2(C)C)cc1 ZINC000312976196 256531618 /nfs/dbraw/zinc/53/16/18/256531618.db2.gz DDFHSYAAXBOXDI-BXUZGUMPSA-N 0 3 249.354 2.771 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H](C)CC(C)(C)C)[n-]1 ZINC000449405173 388196280 /nfs/dbraw/zinc/19/62/80/388196280.db2.gz IUPDDRVHOGECEY-SNVBAGLBSA-N 0 3 238.379 2.842 20 0 BFADHN CC(C)c1nnc(CN[C@H](C)CC(C)(C)C)[nH]1 ZINC000449405173 388196281 /nfs/dbraw/zinc/19/62/81/388196281.db2.gz IUPDDRVHOGECEY-SNVBAGLBSA-N 0 3 238.379 2.842 20 0 BFADHN CCCC[C@@H](C)NCc1nnc(C(C)C)[nH]1 ZINC000449405171 388196539 /nfs/dbraw/zinc/19/65/39/388196539.db2.gz IUFYIXKHCLUVGH-SNVBAGLBSA-N 0 3 224.352 2.596 20 0 BFADHN CCCC[C@@H](C)[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000449405171 388196542 /nfs/dbraw/zinc/19/65/42/388196542.db2.gz IUFYIXKHCLUVGH-SNVBAGLBSA-N 0 3 224.352 2.596 20 0 BFADHN CCCC[C@H](CC)[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000449405973 388196648 /nfs/dbraw/zinc/19/66/48/388196648.db2.gz QJNAQCLVQLXKGP-NSHDSACASA-N 0 3 236.363 2.741 20 0 BFADHN CCCC[C@H](CC)NCc1nnc(C2CC2)[nH]1 ZINC000449405973 388196649 /nfs/dbraw/zinc/19/66/49/388196649.db2.gz QJNAQCLVQLXKGP-NSHDSACASA-N 0 3 236.363 2.741 20 0 BFADHN COc1ccc(CN2CC[C@H]2C2CC2)cc1 ZINC000449430035 388203988 /nfs/dbraw/zinc/20/39/88/388203988.db2.gz YSEZCSYBXSJEOK-AWEZNQCLSA-N 0 3 217.312 2.680 20 0 BFADHN CS[C@H]1CCN(C/C=C/c2ccncc2)C1 ZINC000449446197 388207744 /nfs/dbraw/zinc/20/77/44/388207744.db2.gz OROZESOWTJNOQQ-IBUXWKBASA-N 0 3 234.368 2.532 20 0 BFADHN COc1cccc(CN(C)[C@H]2CC2(C)C)c1 ZINC000489417178 388212911 /nfs/dbraw/zinc/21/29/11/388212911.db2.gz WJHKKTXTRCDKSP-ZDUSSCGKSA-N 0 3 219.328 2.926 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1cc(C)ccn1)OC ZINC000449476403 388214752 /nfs/dbraw/zinc/21/47/52/388214752.db2.gz MSVOXMMRKIQGCV-OCCSQVGLSA-N 0 3 236.359 2.856 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cccc(O)c1OC ZINC000449482251 388215053 /nfs/dbraw/zinc/21/50/53/388215053.db2.gz AYRSXVQKFZCOSW-PWSUYJOCSA-N 0 3 235.327 2.537 20 0 BFADHN CCOc1cccc(CNC[C@@H]2C[C@H]2CC)n1 ZINC000449484178 388216164 /nfs/dbraw/zinc/21/61/64/388216164.db2.gz LLUGAMCGZPWCJG-NEPJUHHUSA-N 0 3 234.343 2.616 20 0 BFADHN COc1c(C)cnc(CN(C)[C@@H]2CC2(C)C)c1C ZINC000489465706 388216202 /nfs/dbraw/zinc/21/62/02/388216202.db2.gz OEZQDLWWYYYOIX-CYBMUJFWSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1c[nH]nc1CNCC1CCCCCC1 ZINC000449486105 388217017 /nfs/dbraw/zinc/21/70/17/388217017.db2.gz QHTTUIJTPHJTLX-UHFFFAOYSA-N 0 3 221.348 2.778 20 0 BFADHN CC(C)[C@@H](CO)[C@@H](NCc1ccco1)C(C)C ZINC000449513287 388221848 /nfs/dbraw/zinc/22/18/48/388221848.db2.gz VTJRLAIHOBWHTM-KGLIPLIRSA-N 0 3 239.359 2.658 20 0 BFADHN CCOC[C@H](C)Nc1ccnc2ccc(C)cc21 ZINC000489872128 388227328 /nfs/dbraw/zinc/22/73/28/388227328.db2.gz DENPBUOZALWZJN-LBPRGKRZSA-N 0 3 244.338 2.802 20 0 BFADHN CCn1cc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)cn1 ZINC000449545210 388228023 /nfs/dbraw/zinc/22/80/23/388228023.db2.gz YEANGMMGAKXFAP-CABCVRRESA-N 0 3 245.370 2.523 20 0 BFADHN CCn1cc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)cn1 ZINC000449545213 388228390 /nfs/dbraw/zinc/22/83/90/388228390.db2.gz YEANGMMGAKXFAP-LSDHHAIUSA-N 0 3 245.370 2.523 20 0 BFADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1cncnc1 ZINC000449551578 388230238 /nfs/dbraw/zinc/23/02/38/388230238.db2.gz GWRSOQPPDFOMPD-ZIAGYGMSSA-N 0 3 233.359 2.589 20 0 BFADHN CCc1nocc1CNC[C@@H]1C[C@H]1C(C)C ZINC000449551479 388230526 /nfs/dbraw/zinc/23/05/26/388230526.db2.gz GHWCYLBSPLOQMP-JQWIXIFHSA-N 0 3 222.332 2.619 20 0 BFADHN Cc1ccc(CNC[C@@H]2C[C@H]2C(C)C)o1 ZINC000449550712 388230755 /nfs/dbraw/zinc/23/07/55/388230755.db2.gz CBVHITYENSFRID-AAEUAGOBSA-N 0 3 207.317 2.970 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1cn2ccccc2n1 ZINC000449558922 388231716 /nfs/dbraw/zinc/23/17/16/388231716.db2.gz YRJCJVODOLMGHU-JSGCOSHPSA-N 0 3 243.354 2.716 20 0 BFADHN CCC[C@H](C)CN[C@@H](C)c1nccn1CC ZINC000449562286 388232817 /nfs/dbraw/zinc/23/28/17/388232817.db2.gz ISZPUEMQMMQRCF-RYUDHWBXSA-N 0 3 223.364 2.990 20 0 BFADHN CCn1ccnc1[C@H](C)NC1CCCCC1 ZINC000449562728 388233212 /nfs/dbraw/zinc/23/32/12/388233212.db2.gz NINMLDUQPGCBBC-NSHDSACASA-N 0 3 221.348 2.886 20 0 BFADHN CC[C@H]1CN(CC)CCN1Cc1ccc(C)o1 ZINC000449567508 388234624 /nfs/dbraw/zinc/23/46/24/388234624.db2.gz WNCQKFHPGBDZCI-ZDUSSCGKSA-N 0 3 236.359 2.504 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](C)c1nccn1CC ZINC000449567880 388234784 /nfs/dbraw/zinc/23/47/84/388234784.db2.gz ZEBSBEIWXQUYJR-IJLUTSLNSA-N 0 3 221.348 2.742 20 0 BFADHN COc1c(O)cccc1CN1CC[C@H](C(C)C)C1 ZINC000490339987 388237856 /nfs/dbraw/zinc/23/78/56/388237856.db2.gz LBKGLEGGNPGHAT-LBPRGKRZSA-N 0 3 249.354 2.879 20 0 BFADHN CCc1ncc(CN[C@@H](C)Cc2ccoc2)o1 ZINC000449609110 388242167 /nfs/dbraw/zinc/24/21/67/388242167.db2.gz MCKPDEZJIMABSW-JTQLQIEISA-N 0 3 234.299 2.551 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC[C@@H]2C2CC2)o1 ZINC000449610304 388242238 /nfs/dbraw/zinc/24/22/38/388242238.db2.gz QBQZVPCVBJFESR-CHWSQXEVSA-N 0 3 234.343 2.905 20 0 BFADHN CCc1ncc(CN[C@@H](C)[C@H]2CC2(C)C)o1 ZINC000449610223 388242932 /nfs/dbraw/zinc/24/29/32/388242932.db2.gz PWHPZKRNROUMGA-GXSJLCMTSA-N 0 3 222.332 2.761 20 0 BFADHN CCCc1ncc(CN[C@H]2CC[C@@H]2CC)o1 ZINC000449615267 388243209 /nfs/dbraw/zinc/24/32/09/388243209.db2.gz YNVCQAPEUNXCTB-JQWIXIFHSA-N 0 3 222.332 2.905 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000451625866 388285881 /nfs/dbraw/zinc/28/58/81/388285881.db2.gz ZCRPETSVGBJSFH-RRFJBIMHSA-N 0 3 249.329 2.970 20 0 BFADHN CCCCN1CCOc2ccc(OC)cc2C1 ZINC000451642319 388287362 /nfs/dbraw/zinc/28/73/62/388287362.db2.gz LGKMJZKHTLKEHH-UHFFFAOYSA-N 0 3 235.327 2.690 20 0 BFADHN CC[C@H](C)N1CCOc2ccc(OC)cc2C1 ZINC000451646866 388288014 /nfs/dbraw/zinc/28/80/14/388288014.db2.gz KNYXEODVQBIUKW-NSHDSACASA-N 0 3 235.327 2.688 20 0 BFADHN C[C@H](F)CCN1CCOCC12CCCCC2 ZINC000451812463 388297933 /nfs/dbraw/zinc/29/79/33/388297933.db2.gz HBSNUNSNGWWGKR-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN CCCCCN1CCN([C@@H](C)CC)CC1 ZINC000451825958 388298887 /nfs/dbraw/zinc/29/88/87/388298887.db2.gz RIMCQZXBACHISI-ZDUSSCGKSA-N 0 3 212.381 2.593 20 0 BFADHN CCCCN(C(=O)/C=C/CN(C)C)[C@@H](C)CC ZINC000491855042 388324950 /nfs/dbraw/zinc/32/49/50/388324950.db2.gz ZIPFSSAGWBKWBI-LXKVQUBZSA-N 0 3 240.391 2.531 20 0 BFADHN CCCCN(C(=O)/C=C\CN(C)C)[C@H](C)CC ZINC000491855041 388325058 /nfs/dbraw/zinc/32/50/58/388325058.db2.gz ZIPFSSAGWBKWBI-ASCRHOAZSA-N 0 3 240.391 2.531 20 0 BFADHN Cc1cnc(CN2C[C@@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)o1 ZINC000451361900 388264525 /nfs/dbraw/zinc/26/45/25/388264525.db2.gz HCTVKXOWWPBYDP-COMQUAJESA-N 0 3 244.338 2.627 20 0 BFADHN CN(CCCc1ccncc1)Cc1ccccn1 ZINC000451364920 388266179 /nfs/dbraw/zinc/26/61/79/388266179.db2.gz FJTSDESIIDEVPV-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN CO[C@H](C)CN1CC[C@@H](C(F)(F)F)C[C@H]1C ZINC000451402659 388269374 /nfs/dbraw/zinc/26/93/74/388269374.db2.gz BYJVSDRSCUFOFV-OPRDCNLKSA-N 0 3 239.281 2.684 20 0 BFADHN CO[C@H](C)CN1CC[C@@H](C(F)(F)F)C[C@@H]1C ZINC000451402658 388270345 /nfs/dbraw/zinc/27/03/45/388270345.db2.gz BYJVSDRSCUFOFV-IVZWLZJFSA-N 0 3 239.281 2.684 20 0 BFADHN CC[C@H](F)CN[C@@H]1C[C@@H](OC(C)C)C1(C)C ZINC000451494829 388277822 /nfs/dbraw/zinc/27/78/22/388277822.db2.gz JGAOJNCBSWKGTQ-QJPTWQEYSA-N 0 3 231.355 2.916 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cc(F)cc(Cl)c1 ZINC000276106604 388347428 /nfs/dbraw/zinc/34/74/28/388347428.db2.gz SXZXCWVQCLLJNP-DTWKUNHWSA-N 0 3 245.725 2.992 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1ccc(Cl)cn1 ZINC000276162593 388347560 /nfs/dbraw/zinc/34/75/60/388347560.db2.gz RBAUMESTVRHSMJ-LPEHRKFASA-N 0 3 242.750 2.809 20 0 BFADHN CC[C@@H](O)CN[C@@H](C)c1csc(Cl)c1 ZINC000233902878 388350828 /nfs/dbraw/zinc/35/08/28/388350828.db2.gz KDEWBPKNLHTCPD-IONNQARKSA-N 0 3 233.764 2.823 20 0 BFADHN Cc1cncc([C@H](C)NC2CC(F)(F)C2)c1 ZINC000277058895 388352876 /nfs/dbraw/zinc/35/28/76/388352876.db2.gz HUBIAFRPPWBLHL-VIFPVBQESA-N 0 3 226.270 2.838 20 0 BFADHN Cc1nocc1CNCCSC(C)(C)C ZINC000293661625 388357153 /nfs/dbraw/zinc/35/71/53/388357153.db2.gz UCLGBJODPGNEMB-UHFFFAOYSA-N 0 3 228.361 2.604 20 0 BFADHN CO[C@@H](CN[C@@H]1CCCc2occc21)C1CC1 ZINC000293678511 388357917 /nfs/dbraw/zinc/35/79/17/388357917.db2.gz KCVUQNHRPBUEQJ-OCCSQVGLSA-N 0 3 235.327 2.672 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CCOC1(C)C ZINC000293683763 388358366 /nfs/dbraw/zinc/35/83/66/388358366.db2.gz KNULJZBZPKWGEW-CQSZACIVSA-N 0 3 249.354 2.742 20 0 BFADHN CCC[C@H](CN[C@H](C)c1ccc(F)cn1)OC ZINC000293695465 388359548 /nfs/dbraw/zinc/35/95/48/388359548.db2.gz OMPKEDQPYMFRSV-ZYHUDNBSSA-N 0 3 240.322 2.686 20 0 BFADHN C[C@@H](NCCN(C)C)c1csc(Cl)c1 ZINC000235190801 388366405 /nfs/dbraw/zinc/36/64/05/388366405.db2.gz MYSQFAQUNFLZDN-MRVPVSSYSA-N 0 3 232.780 2.614 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCC[C@H](F)C2)nn1C ZINC000572721270 388370163 /nfs/dbraw/zinc/37/01/63/388370163.db2.gz YUARRMNLLICGCP-SRVKXCTJSA-N 0 3 239.338 2.660 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CNCc1cnccn1 ZINC000572724945 388370876 /nfs/dbraw/zinc/37/08/76/388370876.db2.gz PFRNOFJZBUOXEO-CHWSQXEVSA-N 0 3 233.359 2.783 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(Cl)cc2)[C@@H](C)O1 ZINC000294906460 388405834 /nfs/dbraw/zinc/40/58/34/388405834.db2.gz ZRJRDHKIZVNLNY-BREBYQMCSA-N 0 3 239.746 2.996 20 0 BFADHN CCCc1nc(C)c(CNCCSCC)o1 ZINC000292815933 388382744 /nfs/dbraw/zinc/38/27/44/388382744.db2.gz PHRZPGSKPZACOB-UHFFFAOYSA-N 0 3 242.388 2.778 20 0 BFADHN CC(C)C1(NCc2cccc3c2OCO3)CC1 ZINC000292890958 388384374 /nfs/dbraw/zinc/38/43/74/388384374.db2.gz CXMFTQAOPBQOQG-UHFFFAOYSA-N 0 3 233.311 2.694 20 0 BFADHN Cc1nc2ccccn2c1CN(C)[C@@H](C)C1CC1 ZINC000507451605 388384396 /nfs/dbraw/zinc/38/43/96/388384396.db2.gz QHBHHCNSTXDUSN-LBPRGKRZSA-N 0 3 243.354 2.873 20 0 BFADHN CO[C@H](CNCc1ccc(Cl)s1)C1CC1 ZINC000293232220 388388233 /nfs/dbraw/zinc/38/82/33/388388233.db2.gz ZIARCWHHFOSSQO-SNVBAGLBSA-N 0 3 245.775 2.916 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cc(C)oc1C)C1CC1 ZINC000293838417 388391288 /nfs/dbraw/zinc/39/12/88/388391288.db2.gz XOZJHZFRYZOYIO-HZMBPMFUSA-N 0 3 237.343 2.972 20 0 BFADHN CO[C@H](CN[C@H](C)c1cc(C)oc1C)C1CC1 ZINC000293838424 388391970 /nfs/dbraw/zinc/39/19/70/388391970.db2.gz XOZJHZFRYZOYIO-QMTHXVAHSA-N 0 3 237.343 2.972 20 0 BFADHN CSCc1cnc(CN[C@H](C)C2CC2)s1 ZINC000295005447 388406435 /nfs/dbraw/zinc/40/64/35/388406435.db2.gz IXAPCLOLMJRQBI-MRVPVSSYSA-N 0 3 242.413 2.894 20 0 BFADHN CC[C@@H](CO)N(C)Cc1ccc(Cl)s1 ZINC000293909231 388392610 /nfs/dbraw/zinc/39/26/10/388392610.db2.gz OHAKMZLFHHNSGM-QMMMGPOBSA-N 0 3 233.764 2.604 20 0 BFADHN CC[C@H](CO)N(C)Cc1ccc(Cl)cc1 ZINC000293868749 388393150 /nfs/dbraw/zinc/39/31/50/388393150.db2.gz LBTQVMQKUIMDHT-GFCCVEGCSA-N 0 3 227.735 2.543 20 0 BFADHN CCCCNCc1cc(OC)ccc1F ZINC000294212186 388396779 /nfs/dbraw/zinc/39/67/79/388396779.db2.gz RXBRMQBPZVSCFL-UHFFFAOYSA-N 0 3 211.280 2.724 20 0 BFADHN CCOCCN[C@@H](C)c1csc(C)c1 ZINC000294312684 388399482 /nfs/dbraw/zinc/39/94/82/388399482.db2.gz WBJZPVPSKKECPX-JTQLQIEISA-N 0 3 213.346 2.744 20 0 BFADHN C[C@H](NC[C@H](O)C1CCC1)c1ccccc1F ZINC000315669069 388401825 /nfs/dbraw/zinc/40/18/25/388401825.db2.gz AJBYENUVAPPLJU-HZMBPMFUSA-N 0 3 237.318 2.637 20 0 BFADHN C[C@H](NC[C@@H](O)C1CCC1)c1ccccc1F ZINC000315669071 388402230 /nfs/dbraw/zinc/40/22/30/388402230.db2.gz AJBYENUVAPPLJU-IINYFYTJSA-N 0 3 237.318 2.637 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)/C=C/CN(C)C ZINC000492068424 388333516 /nfs/dbraw/zinc/33/35/16/388333516.db2.gz JZAMADPTEJVQNX-RUNBWSAHSA-N 0 3 240.391 2.579 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1cncs1)OC ZINC000322619724 388441428 /nfs/dbraw/zinc/44/14/28/388441428.db2.gz NEVYHAKKFKEKHZ-GXSJLCMTSA-N 0 3 228.361 2.609 20 0 BFADHN CC[C@@H](NCc1nccn1CC)[C@@H]1CC1(C)C ZINC000397574379 388480402 /nfs/dbraw/zinc/48/04/02/388480402.db2.gz CWEHKSWZBPMBAN-NWDGAFQWSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1ccnc(CNc2ccnc3ccccc32)c1 ZINC000336905743 388442404 /nfs/dbraw/zinc/44/24/04/388442404.db2.gz XCJZTLPGMPXSEI-UHFFFAOYSA-N 0 3 249.317 2.972 20 0 BFADHN C[C@H]1C[C@@H](NCc2cccc(F)c2F)CS1 ZINC000334851478 388447845 /nfs/dbraw/zinc/44/78/45/388447845.db2.gz DBUJKVZVTYCQQG-WCBMZHEXSA-N 0 3 243.322 2.948 20 0 BFADHN Cn1ccnc1CNCC(C)(C)C1=CCCC1 ZINC000308754948 388448769 /nfs/dbraw/zinc/44/87/69/388448769.db2.gz JPVYXMOQIIJFHR-UHFFFAOYSA-N 0 3 233.359 2.646 20 0 BFADHN Cc1cc(CN)cc(NC(=O)[C@@H](C)C(C)C)c1 ZINC000322886288 388449880 /nfs/dbraw/zinc/44/98/80/388449880.db2.gz CUBFRRDHCAQBQI-NSHDSACASA-N 0 3 234.343 2.684 20 0 BFADHN CCCC1(C(=O)Nc2cc(C)cc(CN)c2)CC1 ZINC000322883020 388450051 /nfs/dbraw/zinc/45/00/51/388450051.db2.gz BXZPHVOTNCVQIA-UHFFFAOYSA-N 0 3 246.354 2.973 20 0 BFADHN O=C(CC1CCCC1)Nc1ccc2c(c1)CNC2 ZINC000335664269 388453657 /nfs/dbraw/zinc/45/36/57/388453657.db2.gz OSCYHUBGKDLNHS-UHFFFAOYSA-N 0 3 244.338 2.809 20 0 BFADHN C[C@H](N[C@H]1CCCC1(C)C)c1ccncn1 ZINC000335916811 388455049 /nfs/dbraw/zinc/45/50/49/388455049.db2.gz BMGIMHWHGPZCCK-JQWIXIFHSA-N 0 3 219.332 2.706 20 0 BFADHN Cc1nocc1CNC[C@H]1CCC[C@@H](C)C1 ZINC000339206806 388481077 /nfs/dbraw/zinc/48/10/77/388481077.db2.gz ZGWZNVZVNQSFQF-PWSUYJOCSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1nnsc1CN[C@@H](C)C1CCCC1 ZINC000336668946 388461118 /nfs/dbraw/zinc/46/11/18/388461118.db2.gz FHVGYBRLCOFNOS-QMMMGPOBSA-N 0 3 225.361 2.515 20 0 BFADHN Cc1nocc1CNCC1CCC(C)CC1 ZINC000339203816 388481265 /nfs/dbraw/zinc/48/12/65/388481265.db2.gz KLSAXYYZCQNPAD-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1ccccc1N(C)C ZINC000515591389 256750625 /nfs/dbraw/zinc/75/06/25/256750625.db2.gz JVNNKXYEJRCUDH-UXIGCNINSA-N 0 3 248.370 2.581 20 0 BFADHN CC[C@H](N[C@@H]1CCC[C@@H]1C)c1ccn(C)n1 ZINC000336728367 388469851 /nfs/dbraw/zinc/46/98/51/388469851.db2.gz HCBWRLVCZXDZLN-SDDRHHMPSA-N 0 3 221.348 2.649 20 0 BFADHN CCc1nc(CNC[C@@H]2C[C@H]2C2CC2)cs1 ZINC000309961816 388470057 /nfs/dbraw/zinc/47/00/57/388470057.db2.gz LQJYWFXEVORUTB-JQWIXIFHSA-N 0 3 236.384 2.841 20 0 BFADHN CCCCN(CCCC)Cc1cncn1C ZINC000177000934 388470103 /nfs/dbraw/zinc/47/01/03/388470103.db2.gz SYRCTUWJCHSRJO-UHFFFAOYSA-N 0 3 223.364 2.822 20 0 BFADHN CCN(Cc1cncn1C)C1CCCCC1 ZINC000177002579 388470126 /nfs/dbraw/zinc/47/01/26/388470126.db2.gz AKHRMFSVCJOOBA-UHFFFAOYSA-N 0 3 221.348 2.575 20 0 BFADHN CN(Cc1cn2cc(Cl)ccc2n1)CC1CC1 ZINC000193940340 388471508 /nfs/dbraw/zinc/47/15/08/388471508.db2.gz LAPMIZUIQHIKNE-UHFFFAOYSA-N 0 3 249.745 2.830 20 0 BFADHN CCc1cccc(NC(=O)CN(CC)C(C)C)c1 ZINC000194087981 388477322 /nfs/dbraw/zinc/47/73/22/388477322.db2.gz WZJJQNUQJDZBIJ-UHFFFAOYSA-N 0 3 248.370 2.918 20 0 BFADHN CCC[C@@H](C)N1CCN(c2ccc(O)cc2)CC1 ZINC000177226617 388477896 /nfs/dbraw/zinc/47/78/96/388477896.db2.gz LNSYZMDUKNVVPZ-CYBMUJFWSA-N 0 3 248.370 2.703 20 0 BFADHN COC[C@H](C)NCc1ccc(Cl)c(C)c1 ZINC000134651540 388478068 /nfs/dbraw/zinc/47/80/68/388478068.db2.gz BZVFMWAZYAXBER-JTQLQIEISA-N 0 3 227.735 2.773 20 0 BFADHN O[C@H](CN[C@H]1CCc2c1cccc2F)C1CCC1 ZINC000316188446 388407238 /nfs/dbraw/zinc/40/72/38/388407238.db2.gz NXEQAJUDJQAESX-LSDHHAIUSA-N 0 3 249.329 2.564 20 0 BFADHN Cc1sccc1CNCc1cccc(CO)c1 ZINC000293728190 388407247 /nfs/dbraw/zinc/40/72/47/388407247.db2.gz ZANLXQJKMCAHJR-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN COc1ccc(CNCC2=CCCCC2)cc1O ZINC000295128716 388408796 /nfs/dbraw/zinc/40/87/96/388408796.db2.gz OEPPIOUIVVQMNE-UHFFFAOYSA-N 0 3 247.338 2.991 20 0 BFADHN Cc1n[nH]c(C)c1CNCC1=CCCCC1 ZINC000295189000 388410361 /nfs/dbraw/zinc/41/03/61/388410361.db2.gz WZXKXEAEYYFCKW-UHFFFAOYSA-N 0 3 219.332 2.617 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)CC2)on1 ZINC000068830253 388411188 /nfs/dbraw/zinc/41/11/88/388411188.db2.gz LBLQTSKVYVLBFF-SNVBAGLBSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1noc(C)c1CCN1CCCC(C)(C)C1 ZINC000165842891 388411986 /nfs/dbraw/zinc/41/19/86/388411986.db2.gz ORFYAPSWJWKVLM-UHFFFAOYSA-N 0 3 236.359 2.956 20 0 BFADHN C[C@H]1C[C@H](C)N(CCOc2ccccc2F)C1 ZINC000524892940 388413314 /nfs/dbraw/zinc/41/33/14/388413314.db2.gz TWNNTTOSPMVUIX-RYUDHWBXSA-N 0 3 237.318 2.935 20 0 BFADHN Cc1cnc(CNCC2(C(C)C)CC2)s1 ZINC000295716992 388413499 /nfs/dbraw/zinc/41/34/99/388413499.db2.gz NGKQDGNPAQKTDK-UHFFFAOYSA-N 0 3 224.373 2.977 20 0 BFADHN CC[C@H](NCc1snnc1C)[C@H]1CC1(C)C ZINC000397570192 388479066 /nfs/dbraw/zinc/47/90/66/388479066.db2.gz BPVJOOYLDDISHY-ZJUUUORDSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1nc(CNCCCC(C)(F)F)cs1 ZINC000296109462 388417385 /nfs/dbraw/zinc/41/73/85/388417385.db2.gz SIPXEWXROIIEEN-UHFFFAOYSA-N 0 3 234.315 2.977 20 0 BFADHN c1nc(CN2CCC[C@@H]3CCCC[C@H]32)c[nH]1 ZINC000245502716 388420868 /nfs/dbraw/zinc/42/08/68/388420868.db2.gz GQQKUUNZIJJZGJ-WCQYABFASA-N 0 3 219.332 2.564 20 0 BFADHN c1ncc(CN2CCC[C@@H]3CCCC[C@H]32)[nH]1 ZINC000245502716 388420869 /nfs/dbraw/zinc/42/08/69/388420869.db2.gz GQQKUUNZIJJZGJ-WCQYABFASA-N 0 3 219.332 2.564 20 0 BFADHN CC[C@H](NCc1snnc1C)[C@@H]1CC1(C)C ZINC000397570190 388479592 /nfs/dbraw/zinc/47/95/92/388479592.db2.gz BPVJOOYLDDISHY-UWVGGRQHSA-N 0 3 239.388 2.761 20 0 BFADHN CC/C=C/CNCc1ccc(C(C)(C)O)cc1 ZINC000296782145 388426284 /nfs/dbraw/zinc/42/62/84/388426284.db2.gz QIBZYWUTUHFQJY-AATRIKPKSA-N 0 3 233.355 2.970 20 0 BFADHN CC(C)CCC[C@H](C)NC(=O)C1(N)CCCC1 ZINC000040858706 388427149 /nfs/dbraw/zinc/42/71/49/388427149.db2.gz MKCNZYSVTCQREV-LBPRGKRZSA-N 0 3 240.391 2.589 20 0 BFADHN Cn1ncc2c1CCC[C@H]2NCC1=CCCCC1 ZINC000297217783 388428560 /nfs/dbraw/zinc/42/85/60/388428560.db2.gz HCZMHBBGDVPQQR-CQSZACIVSA-N 0 3 245.370 2.888 20 0 BFADHN CC[C@H](NCc1cc(C)no1)C1CCC1 ZINC000322249465 388428566 /nfs/dbraw/zinc/42/85/66/388428566.db2.gz CIZGAHFKTUQDJS-LBPRGKRZSA-N 0 3 208.305 2.651 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)CN(CC)CC ZINC000177284203 388479938 /nfs/dbraw/zinc/47/99/38/388479938.db2.gz XDCDNLHWNGPPNY-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN CCC[C@H](NCc1ccon1)C1CCC1 ZINC000322337754 388432125 /nfs/dbraw/zinc/43/21/25/388432125.db2.gz BLXBODIAOAHQHU-LBPRGKRZSA-N 0 3 208.305 2.733 20 0 BFADHN Cc1cc(CN)cc(NC(=O)NC2CCCC2)c1 ZINC000322369739 388433570 /nfs/dbraw/zinc/43/35/70/388433570.db2.gz DMHCEDDJYSHRBR-UHFFFAOYSA-N 0 3 247.342 2.518 20 0 BFADHN CC(C)(O)C(C)(C)CNCc1ccccc1F ZINC000393616620 388435531 /nfs/dbraw/zinc/43/55/31/388435531.db2.gz LLPTVEBKEMAUGR-UHFFFAOYSA-N 0 3 239.334 2.712 20 0 BFADHN CO[C@@H]1C[C@H](NCc2cccc(F)c2)C12CCC2 ZINC000308341062 388435667 /nfs/dbraw/zinc/43/56/67/388435667.db2.gz BSMDXESJWMJATF-UONOGXRCSA-N 0 3 249.329 2.873 20 0 BFADHN CCc1ccc(CNCC(C)(C)C(C)(C)O)o1 ZINC000393637428 388436576 /nfs/dbraw/zinc/43/65/76/388436576.db2.gz LIPAOVTUWWQGNA-UHFFFAOYSA-N 0 3 239.359 2.729 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2ccncc2)C12CCC2 ZINC000308382438 388437706 /nfs/dbraw/zinc/43/77/06/388437706.db2.gz XMZQLNVHCUFPHE-FPMFFAJLSA-N 0 3 246.354 2.690 20 0 BFADHN CC[C@](C)(CNCc1csc(C)c1)OC ZINC000322540489 388438114 /nfs/dbraw/zinc/43/81/14/388438114.db2.gz SLVDCZOYLNLDSZ-GFCCVEGCSA-N 0 3 227.373 2.961 20 0 BFADHN C/C(Cl)=C/CN1CCC[C@](CO)(C(C)C)C1 ZINC000528512521 388538019 /nfs/dbraw/zinc/53/80/19/388538019.db2.gz LYRKYKVRTHECDA-XLVZXTRVSA-N 0 3 245.794 2.860 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1ccc(OC)cc1 ZINC000517118628 388538496 /nfs/dbraw/zinc/53/84/96/388538496.db2.gz BMERITBYAQAQCB-LERXQTSPSA-N 0 3 235.327 2.523 20 0 BFADHN C/C(Cl)=C/CN1CC[C@H](O)C12CCCC2 ZINC000528513170 388539004 /nfs/dbraw/zinc/53/90/04/388539004.db2.gz SQUKNQNNKLOQTD-FGUAACIASA-N 0 3 229.751 2.508 20 0 BFADHN CCc1ccc(CN(CC)[C@@H](C)CO)s1 ZINC000517191051 388540483 /nfs/dbraw/zinc/54/04/83/388540483.db2.gz IVRFMUIAMUOQCX-JTQLQIEISA-N 0 3 227.373 2.513 20 0 BFADHN CO[C@@H]1C[C@@H](NC/C=C(/C)Cl)C12CCC2 ZINC000528517398 388540939 /nfs/dbraw/zinc/54/09/39/388540939.db2.gz DVVWWQOEHVRFFS-MTUFZTHRSA-N 0 3 229.751 2.676 20 0 BFADHN CC(C)C[C@@H]1CCCN1CC(=O)OC(C)(C)C ZINC000354691686 388544598 /nfs/dbraw/zinc/54/45/98/388544598.db2.gz VXIUELFHEATLKI-LBPRGKRZSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H](NCc1ccccc1F)[C@H]1CCCOC1 ZINC000355777614 388547358 /nfs/dbraw/zinc/54/73/58/388547358.db2.gz SIPNMCXTSYYRCH-YPMHNXCESA-N 0 3 237.318 2.730 20 0 BFADHN CCc1ccc(CN[C@H](C)[C@@H]2CCCOC2)o1 ZINC000355768992 388547548 /nfs/dbraw/zinc/54/75/48/388547548.db2.gz QESYGBJYMLIHNN-VXGBXAGGSA-N 0 3 237.343 2.747 20 0 BFADHN CSCc1cnc(CNCCCCF)s1 ZINC000339408109 388482688 /nfs/dbraw/zinc/48/26/88/388482688.db2.gz QGZWQKRMSOZJDN-UHFFFAOYSA-N 0 3 248.392 2.845 20 0 BFADHN CCC[C@@H](NCc1nccn1C)[C@@H]1CC1(C)C ZINC000397595945 388482681 /nfs/dbraw/zinc/48/26/81/388482681.db2.gz DLBTUKMRQYQCIE-NWDGAFQWSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H](F)CCNCc1cc(F)cc(F)c1 ZINC000339487827 388483540 /nfs/dbraw/zinc/48/35/40/388483540.db2.gz XHQJTXWJUSTZKM-MRVPVSSYSA-N 0 3 217.234 2.803 20 0 BFADHN Cc1cc([C@@H](C)NCC2=CCOCC2)c(C)o1 ZINC000397614415 388484403 /nfs/dbraw/zinc/48/44/03/388484403.db2.gz DHNCKWKMHZBBOM-LLVKDONJSA-N 0 3 235.327 2.894 20 0 BFADHN COCCC1CCN(Cc2cccc(C)n2)CC1 ZINC000528574007 388548450 /nfs/dbraw/zinc/54/84/50/388548450.db2.gz NPXAZNHZWJYXAN-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN CN(CCC1CC1)C[C@H](O)c1ccccc1F ZINC000135276450 388489310 /nfs/dbraw/zinc/48/93/10/388489310.db2.gz FZWIGAPSOHGQES-AWEZNQCLSA-N 0 3 237.318 2.591 20 0 BFADHN CC[C@@H](N[C@@H]1CCO[C@@H]1C)c1ccccc1OC ZINC000135301985 388490048 /nfs/dbraw/zinc/49/00/48/388490048.db2.gz APMOZDKJFKALCC-MRVWCRGKSA-N 0 3 249.354 2.913 20 0 BFADHN CC[C@H](NCc1cc(C)on1)[C@@H]1CC1(C)C ZINC000397687899 388490272 /nfs/dbraw/zinc/49/02/72/388490272.db2.gz WCAJOZNLTIQTAA-RYUDHWBXSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@H](NCc1cc(C)on1)[C@H]1CC1(C)C ZINC000397687897 388490401 /nfs/dbraw/zinc/49/04/01/388490401.db2.gz WCAJOZNLTIQTAA-NEPJUHHUSA-N 0 3 222.332 2.897 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1ccc(Cl)cn1 ZINC000290223412 388490863 /nfs/dbraw/zinc/49/08/63/388490863.db2.gz RBAUMESTVRHSMJ-BBBLOLIVSA-N 0 3 242.750 2.809 20 0 BFADHN CC[C@@H](C)CN(CC)CC(=O)Nc1ccccc1 ZINC000177853771 388492247 /nfs/dbraw/zinc/49/22/47/388492247.db2.gz VLCNHRWXAAKXBY-CYBMUJFWSA-N 0 3 248.370 2.993 20 0 BFADHN CCO[C@@H]1C[C@H](N[C@H]2CCC[C@H]2F)C1(C)C ZINC000340530109 388492507 /nfs/dbraw/zinc/49/25/07/388492507.db2.gz LPSKYBOIKBNJHA-NOOOWODRSA-N 0 3 229.339 2.670 20 0 BFADHN Cc1cccc(CN[C@@H]2CSC[C@@H]2C)c1F ZINC000397808341 388501154 /nfs/dbraw/zinc/50/11/54/388501154.db2.gz XVZHYEZJLGXWPZ-CMPLNLGQSA-N 0 3 239.359 2.975 20 0 BFADHN COCc1ccc(CNC(C2CC2)C2CC2)o1 ZINC000343839790 388503621 /nfs/dbraw/zinc/50/36/21/388503621.db2.gz MAZJLUKOLQOQEK-UHFFFAOYSA-N 0 3 235.327 2.704 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCC[C@H](C)C2)on1 ZINC000078539292 388505055 /nfs/dbraw/zinc/50/50/55/388505055.db2.gz XKNZHCDZNAEWTC-JQWIXIFHSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@@H]1C[C@H](Nc2ccnc3ccccc32)CS1 ZINC000397855763 388506594 /nfs/dbraw/zinc/50/65/94/388506594.db2.gz MATDDKIRMHCOFA-MNOVXSKESA-N 0 3 244.363 2.963 20 0 BFADHN Cc1csc(CN[C@H](C)c2cn(C)nc2C)c1 ZINC000344611648 388507627 /nfs/dbraw/zinc/50/76/27/388507627.db2.gz MAIQQDYKYUJDPF-SNVBAGLBSA-N 0 3 249.383 2.949 20 0 BFADHN Cc1ncc(CNC[C@H]2CCCC[C@H]2C)o1 ZINC000397899726 388509925 /nfs/dbraw/zinc/50/99/25/388509925.db2.gz WDEQQKIVUXKNHB-ZYHUDNBSSA-N 0 3 222.332 2.899 20 0 BFADHN CCc1cc(N2CCCOC(C)(C)C2)ccn1 ZINC000649280298 388510029 /nfs/dbraw/zinc/51/00/29/388510029.db2.gz XHQNUUMVXNHBMC-UHFFFAOYSA-N 0 3 234.343 2.649 20 0 BFADHN CCC[C@]1(NCc2ccc(CC)cn2)CCOC1 ZINC000345117838 388510680 /nfs/dbraw/zinc/51/06/80/388510680.db2.gz LPBHOSRXCDQHJI-HNNXBMFYSA-N 0 3 248.370 2.693 20 0 BFADHN Cc1ncc(CN[C@@H](C)CCC(C)C)o1 ZINC000397907454 388511137 /nfs/dbraw/zinc/51/11/37/388511137.db2.gz FXKRHLRUWZCJPW-JTQLQIEISA-N 0 3 210.321 2.897 20 0 BFADHN Cc1ncc(CNC[C@H](C)CC(C)C)o1 ZINC000397933726 388511987 /nfs/dbraw/zinc/51/19/87/388511987.db2.gz WGHMXFBCBLGUGX-SNVBAGLBSA-N 0 3 210.321 2.755 20 0 BFADHN Cc1ncc(CN[C@@H](C)[C@@H]2CC2(C)C)o1 ZINC000398019704 388515029 /nfs/dbraw/zinc/51/50/29/388515029.db2.gz UPVCLCDSYORKOO-KWQFWETISA-N 0 3 208.305 2.507 20 0 BFADHN C[C@@H](N[C@@H](c1ccccc1F)[C@H](C)O)C1CC1 ZINC000516872299 388522116 /nfs/dbraw/zinc/52/21/16/388522116.db2.gz UXIXFRQBQDEPQK-ISTVAULSSA-N 0 3 237.318 2.636 20 0 BFADHN CN[C@@H](C)C(=O)Nc1ccc(CCC(C)C)cc1 ZINC000517171967 256817717 /nfs/dbraw/zinc/81/77/17/256817717.db2.gz CFOMYDFSMRQZSO-LBPRGKRZSA-N 0 3 248.370 2.822 20 0 BFADHN C[C@H](N[C@H]1C[C@@H]1C(F)F)c1ccccc1 ZINC000348148020 388525864 /nfs/dbraw/zinc/52/58/64/388525864.db2.gz HQXHUFVYUKCCRX-LSJOCFKGSA-N 0 3 211.255 2.991 20 0 BFADHN Cn1ccc(CNCCC2CCCCCC2)n1 ZINC000348138216 388525917 /nfs/dbraw/zinc/52/59/17/388525917.db2.gz FOOGXVNQRVMQRD-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN C/C(Cl)=C\CN(C)C[C@@H]1CCCC[C@@H]1O ZINC000528437195 388526126 /nfs/dbraw/zinc/52/61/26/388526126.db2.gz FHAUNHFYQZDPDZ-SKWDFFSCSA-N 0 3 231.767 2.612 20 0 BFADHN C/C(Cl)=C/CN(C)C[C@@H]1CCCC[C@H]1O ZINC000528437192 388526638 /nfs/dbraw/zinc/52/66/38/388526638.db2.gz FHAUNHFYQZDPDZ-DXGKCEBASA-N 0 3 231.767 2.612 20 0 BFADHN C/C(Cl)=C\CN[C@]1(C)CCO[C@H]1C1CC1 ZINC000528442038 388526860 /nfs/dbraw/zinc/52/68/60/388526860.db2.gz PJTLLLKHNAWIAA-YRPDJFBCSA-N 0 3 229.751 2.676 20 0 BFADHN CCSCC[C@H](C)NCc1cncs1 ZINC000136257687 388528445 /nfs/dbraw/zinc/52/84/45/388528445.db2.gz RVZKXEKSXXCPMJ-VIFPVBQESA-N 0 3 230.402 2.764 20 0 BFADHN CCN(CCCO)Cc1ccc(Cl)cc1 ZINC000136308638 388529471 /nfs/dbraw/zinc/52/94/71/388529471.db2.gz UGBCZXGBJWFXFL-UHFFFAOYSA-N 0 3 227.735 2.544 20 0 BFADHN CSCCOc1cc(C)nc(C)c1C ZINC000516981890 388531508 /nfs/dbraw/zinc/53/15/08/388531508.db2.gz ZDNCHAFRSVIRSF-UHFFFAOYSA-N 0 3 211.330 2.749 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCOCC1CC1 ZINC000088601609 388533259 /nfs/dbraw/zinc/53/32/59/388533259.db2.gz OQZYTXCFQCJQBZ-GFCCVEGCSA-N 0 3 234.343 2.501 20 0 BFADHN CCCCN(CCCC1CCCC1)CC(N)=O ZINC000081665424 388588092 /nfs/dbraw/zinc/58/80/92/388588092.db2.gz FZTDHSNEJMITHB-UHFFFAOYSA-N 0 3 240.391 2.544 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1nc(C)c(C)o1 ZINC000185627388 388589345 /nfs/dbraw/zinc/58/93/45/388589345.db2.gz FEZRNFMAKHCKKP-CABZTGNLSA-N 0 3 222.332 2.912 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1nc(C)c(C)o1 ZINC000185627370 388589733 /nfs/dbraw/zinc/58/97/33/388589733.db2.gz FEZRNFMAKHCKKP-JOYOIKCWSA-N 0 3 222.332 2.912 20 0 BFADHN c1coc([C@H]2CCN(CCc3cscn3)C2)c1 ZINC000649307673 388593760 /nfs/dbraw/zinc/59/37/60/388593760.db2.gz URODUBWFKNYJJQ-NSHDSACASA-N 0 3 248.351 2.768 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1ccncc1 ZINC000037217926 388599030 /nfs/dbraw/zinc/59/90/30/388599030.db2.gz BHIREQXKYNJDLL-XBFCOCLRSA-N 0 3 234.343 2.690 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1ccccn1 ZINC000037219022 388599152 /nfs/dbraw/zinc/59/91/52/388599152.db2.gz VDTMROXQPOAOEY-XBFCOCLRSA-N 0 3 234.343 2.690 20 0 BFADHN CCn1cc(CN2CCCC[C@@H](C)C2)cn1 ZINC000187518611 388609226 /nfs/dbraw/zinc/60/92/26/388609226.db2.gz BIBYWPREUASGKC-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1cc(CN2CCCC[C@H](C)C2)cn1 ZINC000187518588 388609504 /nfs/dbraw/zinc/60/95/04/388609504.db2.gz BIBYWPREUASGKC-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN C[C@]1(CNCc2ccns2)C[C@H]2C[C@H]2C1 ZINC000630073193 388615275 /nfs/dbraw/zinc/61/52/75/388615275.db2.gz BALAXKCUTMMABU-IAZYJMLFSA-N 0 3 222.357 2.669 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccn1)[C@H]1CCCOC1 ZINC000356784538 388550944 /nfs/dbraw/zinc/55/09/44/388550944.db2.gz SKGITNZBELSQRZ-AGIUHOORSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccn1)[C@@H]1CCCOC1 ZINC000356785533 388551232 /nfs/dbraw/zinc/55/12/32/388551232.db2.gz SKGITNZBELSQRZ-FRRDWIJNSA-N 0 3 234.343 2.547 20 0 BFADHN CO[C@@H](C)CN[C@@H](c1ccccn1)C1CCC1 ZINC000528657426 388555669 /nfs/dbraw/zinc/55/56/69/388555669.db2.gz JNPHMBOPRBJMNS-SMDDNHRTSA-N 0 3 234.343 2.547 20 0 BFADHN CCC1(C)CN(C[C@@H](O)CC2CCCC2)C1 ZINC000359510205 388557045 /nfs/dbraw/zinc/55/70/45/388557045.db2.gz REDFABQKLHYYSW-ZDUSSCGKSA-N 0 3 225.376 2.660 20 0 BFADHN CC/C=C/CCN(C)[C@H](C)c1cnn(C)c1 ZINC000184740182 388558265 /nfs/dbraw/zinc/55/82/65/388558265.db2.gz RCXXSSFAPRDSSN-NNNHXZLVSA-N 0 3 221.348 2.769 20 0 BFADHN CCC[C@H](C)N1CCc2nc(C3CC3)ncc2C1 ZINC000528742255 388564499 /nfs/dbraw/zinc/56/44/99/388564499.db2.gz SSDPDZMGJNNZLG-NSHDSACASA-N 0 3 245.370 2.901 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H]2CCC[C@H]3C[C@H]32)[n-]1 ZINC000548885362 388567910 /nfs/dbraw/zinc/56/79/10/388567910.db2.gz YZGGXVBUKBEYFD-NNYUYHANSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@@H]2CCC[C@H]3C[C@H]32)[nH]1 ZINC000548885362 388567913 /nfs/dbraw/zinc/56/79/13/388567913.db2.gz YZGGXVBUKBEYFD-NNYUYHANSA-N 0 3 248.374 2.767 20 0 BFADHN CC1(C)SC[C@H]1NCc1ccc2[nH]cnc2c1 ZINC000548989416 388570637 /nfs/dbraw/zinc/57/06/37/388570637.db2.gz LHDMVHBHMIXKBE-GFCCVEGCSA-N 0 3 247.367 2.547 20 0 BFADHN CC1(C)SC[C@H]1NCc1ccc2nc[nH]c2c1 ZINC000548989416 388570638 /nfs/dbraw/zinc/57/06/38/388570638.db2.gz LHDMVHBHMIXKBE-GFCCVEGCSA-N 0 3 247.367 2.547 20 0 BFADHN CC(C)CC[C@H](O)CN1CCC(F)(F)C[C@@H]1C ZINC000528773003 388571021 /nfs/dbraw/zinc/57/10/21/388571021.db2.gz NUCGOVMIXGRUKG-RYUDHWBXSA-N 0 3 249.345 2.903 20 0 BFADHN CC(C)CC[C@@H](O)CN1CCC(F)(F)C[C@@H]1C ZINC000528773001 388571741 /nfs/dbraw/zinc/57/17/41/388571741.db2.gz NUCGOVMIXGRUKG-NWDGAFQWSA-N 0 3 249.345 2.903 20 0 BFADHN CC/C=C\CCN1CC[C@H](OC(F)F)C1 ZINC000549077525 388572771 /nfs/dbraw/zinc/57/27/71/388572771.db2.gz LVJNYKAGLTZDMN-XOULXFPDSA-N 0 3 219.275 2.656 20 0 BFADHN C[C@H](NC[C@@H]1CCC2(CCCC2)O1)c1cn[nH]c1 ZINC000549134183 388573636 /nfs/dbraw/zinc/57/36/36/388573636.db2.gz UMPLKARTLNOLKJ-AAEUAGOBSA-N 0 3 249.358 2.552 20 0 BFADHN CC/C=C\CCN1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000528789804 388573744 /nfs/dbraw/zinc/57/37/44/388573744.db2.gz SSEDJXBCYKPECV-DHCBQETCSA-N 0 3 247.342 2.675 20 0 BFADHN Cc1cccc2oc(CCNCC3(F)CC3)nc21 ZINC000517565657 256828920 /nfs/dbraw/zinc/82/89/20/256828920.db2.gz IXWUITJBGRVGLZ-UHFFFAOYSA-N 0 3 248.301 2.770 20 0 BFADHN Cc1cncc([C@@H](C)NCCOC2CCCC2)c1 ZINC000184325773 388576758 /nfs/dbraw/zinc/57/67/58/388576758.db2.gz DKMGTGDKHIBTGS-CYBMUJFWSA-N 0 3 248.370 3.000 20 0 BFADHN Cc1cncc([C@@H](C)NC[C@]2(C)CCCO2)c1 ZINC000184384818 388576878 /nfs/dbraw/zinc/57/68/78/388576878.db2.gz RBVBHGTXGWLCBO-OCCSQVGLSA-N 0 3 234.343 2.610 20 0 BFADHN CC/C=C/CCN(C)C1(C(=O)OC)CCCC1 ZINC000184674595 388580451 /nfs/dbraw/zinc/58/04/51/388580451.db2.gz KPVAAYXHVLQEDX-AATRIKPKSA-N 0 3 239.359 2.760 20 0 BFADHN C[C@@H]1CCCC[C@H]1CNCc1ccc(CO)o1 ZINC000081555784 388582438 /nfs/dbraw/zinc/58/24/38/388582438.db2.gz MQHRBHYHAPBFHV-NEPJUHHUSA-N 0 3 237.343 2.688 20 0 BFADHN Cc1cnc([C@H](C)NC[C@@H]2CCSC2)s1 ZINC000224459977 388665304 /nfs/dbraw/zinc/66/53/04/388665304.db2.gz KRNHDNNROWZFOA-UWVGGRQHSA-N 0 3 242.413 2.855 20 0 BFADHN Cc1cc(CN(C)CC2CCCC2)on1 ZINC000064020828 388667407 /nfs/dbraw/zinc/66/74/07/388667407.db2.gz XACUPZUZJVUADF-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN COc1cc(CN2C[C@@H](C)[C@@H]2C)ccc1C ZINC000552123387 388670355 /nfs/dbraw/zinc/67/03/55/388670355.db2.gz VPWRKALOKQJUCU-NEPJUHHUSA-N 0 3 219.328 2.844 20 0 BFADHN CC1=CC[C@H](N[C@@H](CO)c2cccc(F)c2)CC1 ZINC000552118000 388670368 /nfs/dbraw/zinc/67/03/68/388670368.db2.gz UFRJVEGPXPFUPY-GJZGRUSLSA-N 0 3 249.329 2.948 20 0 BFADHN Cc1nc(CCN[C@@H](C)c2ccoc2)cs1 ZINC000221968534 388670454 /nfs/dbraw/zinc/67/04/54/388670454.db2.gz KDVFBMNMYQCJBG-VIFPVBQESA-N 0 3 236.340 2.938 20 0 BFADHN CCn1nccc1CN[C@H](C)Cc1ccsc1 ZINC000125279948 388671234 /nfs/dbraw/zinc/67/12/34/388671234.db2.gz FVFYIJGZQCVQMN-LLVKDONJSA-N 0 3 249.383 2.685 20 0 BFADHN CC[C@@H]1CN(C)CCN1Cc1sccc1C ZINC000552137934 388672677 /nfs/dbraw/zinc/67/26/77/388672677.db2.gz UDXUADUXYFXSJD-GFCCVEGCSA-N 0 3 238.400 2.583 20 0 BFADHN C[C@H](N[C@H]1CCC[C@H]1C)c1cscn1 ZINC000161590762 388678561 /nfs/dbraw/zinc/67/85/61/388678561.db2.gz ICLWUDPZOXEHOT-UTLUCORTSA-N 0 3 210.346 2.982 20 0 BFADHN CC[C@@H]1CCN(Cc2ncc(C)c(OC)c2C)C1 ZINC000125899770 388681090 /nfs/dbraw/zinc/68/10/90/388681090.db2.gz YJFKRXCWWBZMAY-CYBMUJFWSA-N 0 3 248.370 2.939 20 0 BFADHN Cc1ccc(C)c(OCCN(C)C2CC2)c1 ZINC000192648486 388681680 /nfs/dbraw/zinc/68/16/80/388681680.db2.gz VYLCCKFDTHPLJR-UHFFFAOYSA-N 0 3 219.328 2.776 20 0 BFADHN CCC[C@@H](C)CN1CCc2nc(C)ncc2C1 ZINC000538306829 388683311 /nfs/dbraw/zinc/68/33/11/388683311.db2.gz ASYOZVVYSHQHRB-LLVKDONJSA-N 0 3 233.359 2.579 20 0 BFADHN C[C@H](CF)NC[C@@H](C)c1ccc(F)cc1 ZINC000288434240 388626139 /nfs/dbraw/zinc/62/61/39/388626139.db2.gz LECWJNSNVJPHEG-NXEZZACHSA-N 0 3 213.271 2.877 20 0 BFADHN COC(=O)CN(CC(C(C)C)C(C)C)C(C)C ZINC000551558921 388633452 /nfs/dbraw/zinc/63/34/52/388633452.db2.gz PLRXUUQBJAGTSV-UHFFFAOYSA-N 0 3 243.391 2.798 20 0 BFADHN FC(F)(F)CCN1CC[C@@H](c2cccnc2)C1 ZINC000521869480 388637310 /nfs/dbraw/zinc/63/73/10/388637310.db2.gz CGSLNFUURZGWGL-LLVKDONJSA-N 0 3 244.260 2.823 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1cc(C)oc1C ZINC000222906274 388645932 /nfs/dbraw/zinc/64/59/32/388645932.db2.gz AHRIBAZYGLPPAK-DCAQKATOSA-N 0 3 225.332 2.970 20 0 BFADHN CC(C)n1cc(CN2CC[C@H](C)[C@@H]2C)cn1 ZINC000246851193 388653840 /nfs/dbraw/zinc/65/38/40/388653840.db2.gz SDJHUFUCEIQPQX-RYUDHWBXSA-N 0 3 221.348 2.694 20 0 BFADHN C[C@H](NC[C@@]1(C)CCCC[C@H]1O)c1ccoc1 ZINC000247004165 388656339 /nfs/dbraw/zinc/65/63/39/388656339.db2.gz KBGSPFNPUQOZRD-IACUBPJLSA-N 0 3 237.343 2.871 20 0 BFADHN CCN1CCCC[C@@H]1CN[C@H](C)c1ccoc1 ZINC000247126231 388659571 /nfs/dbraw/zinc/65/95/71/388659571.db2.gz APKGFWSORNFANX-TZMCWYRMSA-N 0 3 236.359 2.805 20 0 BFADHN C[C@H](NC[C@@H]1CCSC1)c1nccs1 ZINC000224430036 388663834 /nfs/dbraw/zinc/66/38/34/388663834.db2.gz UWOSHBXXOPTBBN-IUCAKERBSA-N 0 3 228.386 2.547 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H](C)c1ccsc1 ZINC000224426182 388664101 /nfs/dbraw/zinc/66/41/01/388664101.db2.gz IKUVBFJGEGRQSE-MVWJERBFSA-N 0 3 225.357 2.966 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H](C)c1ccc(C)o1 ZINC000224415397 388664371 /nfs/dbraw/zinc/66/43/71/388664371.db2.gz ABGYZBRTARXYKL-DMDPSCGWSA-N 0 3 223.316 2.806 20 0 BFADHN CCn1ccnc1CN(C)[C@H]1CCCC[C@H]1C ZINC000249857875 388734367 /nfs/dbraw/zinc/73/43/67/388734367.db2.gz DYCDORVEUDJCMY-OLZOCXBDSA-N 0 3 235.375 2.914 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@@H]1CCC[C@@H](C)C1 ZINC000227011384 388737135 /nfs/dbraw/zinc/73/71/35/388737135.db2.gz QXXFKKIUCFPCPU-JHJVBQTASA-N 0 3 239.359 2.593 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1NCC1CC1 ZINC000288492705 388737582 /nfs/dbraw/zinc/73/75/82/388737582.db2.gz HKJWQDVYKCZCKR-ZANVPECISA-N 0 3 203.285 2.508 20 0 BFADHN O[C@H]1CCCC[C@H]1CCCNCc1ccco1 ZINC000128127857 388738596 /nfs/dbraw/zinc/73/85/96/388738596.db2.gz QRMBTDQYNQVHRD-JSGCOSHPSA-N 0 3 237.343 2.701 20 0 BFADHN CCN(Cc1cccc(OC)c1OC)C(C)C ZINC000194999538 388748791 /nfs/dbraw/zinc/74/87/91/388748791.db2.gz FHXDPYFIXCLIQG-UHFFFAOYSA-N 0 3 237.343 2.934 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ncccc1F ZINC000090721309 388751788 /nfs/dbraw/zinc/75/17/88/388751788.db2.gz OKGIHBWIFAHGCR-NXEZZACHSA-N 0 3 210.296 2.745 20 0 BFADHN COc1ncccc1CN1CC[C@@H](C)[C@H](C)C1 ZINC000248656496 388687754 /nfs/dbraw/zinc/68/77/54/388687754.db2.gz DFHSERUEULQFIK-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN c1oc2ccccc2c1CN1CCSCC1 ZINC000126178451 388691117 /nfs/dbraw/zinc/69/11/17/388691117.db2.gz ZQTZSZPUKOVPLF-UHFFFAOYSA-N 0 3 233.336 2.982 20 0 BFADHN CC[C@H](C)CN1CCO[C@@H](Cc2ccccc2)C1 ZINC000538476728 388692480 /nfs/dbraw/zinc/69/24/80/388692480.db2.gz KYUXPTVUGZIYLJ-HOCLYGCPSA-N 0 3 247.382 2.976 20 0 BFADHN CCc1nn(C)c(CC)c1CN(CC)C(C)C ZINC000126397141 388694566 /nfs/dbraw/zinc/69/45/66/388694566.db2.gz YLXGRUREDONGNZ-UHFFFAOYSA-N 0 3 237.391 2.775 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@](C)(N)CCC ZINC000226076022 388699763 /nfs/dbraw/zinc/69/97/63/388699763.db2.gz CMEVWUIHDCONFK-TZMCWYRMSA-N 0 3 242.407 2.979 20 0 BFADHN CC[C@@]1(C)CN([C@@H]2C=CCCC2)CCO1 ZINC000248921958 388700147 /nfs/dbraw/zinc/70/01/47/388700147.db2.gz KYGQUYAYHJNNAI-OLZOCXBDSA-N 0 3 209.333 2.596 20 0 BFADHN CC[C@]1(C)CN([C@@H]2C=CCCC2)CCO1 ZINC000248921953 388700161 /nfs/dbraw/zinc/70/01/61/388700161.db2.gz KYGQUYAYHJNNAI-CHWSQXEVSA-N 0 3 209.333 2.596 20 0 BFADHN COCC1(N[C@H](C)c2ccc(OC)cc2)CC1 ZINC000538551358 388701657 /nfs/dbraw/zinc/70/16/57/388701657.db2.gz NJMXACQUMWVBKW-LLVKDONJSA-N 0 3 235.327 2.525 20 0 BFADHN COCC1(N[C@H](C)c2ccc3c(c2)COC3)CC1 ZINC000538549390 388702085 /nfs/dbraw/zinc/70/20/85/388702085.db2.gz BPSOUVFLKZAKPT-LLVKDONJSA-N 0 3 247.338 2.546 20 0 BFADHN COc1ncccc1CN1C[C@H](C)CC[C@H]1C ZINC000193365910 388702156 /nfs/dbraw/zinc/70/21/56/388702156.db2.gz KRFMHLRHJZYDTD-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H]1CCN(Cc2cc3ccccc3o2)[C@H]1CO ZINC000249002255 388703185 /nfs/dbraw/zinc/70/31/85/388703185.db2.gz YXZYGHQGDRIHGT-FZMZJTMJSA-N 0 3 245.322 2.636 20 0 BFADHN COc1ccc([C@H](C)NCCCF)c(OC)c1 ZINC000126876942 388707111 /nfs/dbraw/zinc/70/71/11/388707111.db2.gz OYALOCHHZFADNK-JTQLQIEISA-N 0 3 241.306 2.714 20 0 BFADHN CO[C@@H]1CC[C@@H](N[C@H](C)c2ccc(C)o2)C1 ZINC000249063281 388707238 /nfs/dbraw/zinc/70/72/38/388707238.db2.gz PZWKEQDTWZNYGH-IJLUTSLNSA-N 0 3 223.316 2.806 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cccnc1OC ZINC000193563670 388708955 /nfs/dbraw/zinc/70/89/55/388708955.db2.gz LSPCPBVMDAGKEP-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN COc1ncccc1CN1CCCC[C@@H](C)C1 ZINC000193563099 388709251 /nfs/dbraw/zinc/70/92/51/388709251.db2.gz XFICCPYDCKQBEA-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cccnc1OC ZINC000193563686 388709495 /nfs/dbraw/zinc/70/94/95/388709495.db2.gz LSPCPBVMDAGKEP-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@H]1C[C@@H](O)C[C@H](C)N1Cc1cccc(F)c1 ZINC000249121456 388709561 /nfs/dbraw/zinc/70/95/61/388709561.db2.gz ZKUHVYIYRCPKMF-GNXNZQSNSA-N 0 3 237.318 2.559 20 0 BFADHN C[C@@H](O)CN(C)[C@H](C)c1cc2ccccc2o1 ZINC000249287316 388715226 /nfs/dbraw/zinc/71/52/26/388715226.db2.gz PUAXHOPJRUEGHT-GHMZBOCLSA-N 0 3 233.311 2.806 20 0 BFADHN COCCN(C)Cc1coc2ccccc12 ZINC000127118938 388715286 /nfs/dbraw/zinc/71/52/86/388715286.db2.gz WQYDPTZVRNCINK-UHFFFAOYSA-N 0 3 219.284 2.511 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](O)C1(C)C)c1ccsc1 ZINC000226601420 388723725 /nfs/dbraw/zinc/72/37/25/388723725.db2.gz BKASCYXBYVXCSF-JMJZKYOTSA-N 0 3 225.357 2.558 20 0 BFADHN C[C@@H]1CN(Cc2cc3ccccc3o2)CC[C@H]1O ZINC000249534328 388726707 /nfs/dbraw/zinc/72/67/07/388726707.db2.gz BYVNJRLYIDELNS-BXUZGUMPSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@H]1CN(Cc2cc3ccccc3o2)CC[C@H]1O ZINC000249534329 388726722 /nfs/dbraw/zinc/72/67/22/388726722.db2.gz BYVNJRLYIDELNS-SMDDNHRTSA-N 0 3 245.322 2.636 20 0 BFADHN COc1ccnc(CN2CC[C@H](C)C[C@@H](C)C2)c1 ZINC000127599545 388727514 /nfs/dbraw/zinc/72/75/14/388727514.db2.gz KXBFFHRPVFEQSY-QWHCGFSZSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccnc(CN2CC[C@@H](C)C[C@@H](C)C2)c1 ZINC000127599342 388727538 /nfs/dbraw/zinc/72/75/38/388727538.db2.gz KXBFFHRPVFEQSY-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](C)C2)nc1 ZINC000127686692 388729561 /nfs/dbraw/zinc/72/95/61/388729561.db2.gz STZNADZBFRHEKJ-GFCCVEGCSA-N 0 3 204.317 2.622 20 0 BFADHN CN(Cc1cncn1C)C1CCC(C)(C)CC1 ZINC000127724469 388730156 /nfs/dbraw/zinc/73/01/56/388730156.db2.gz JMQGJBWSDOUTGP-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1cc(F)ccc1C ZINC000129052035 388813745 /nfs/dbraw/zinc/81/37/45/388813745.db2.gz MEPIUWXGGRRZRM-ZIAGYGMSSA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H]2CC[C@@H]2C2CC2)[n-]1 ZINC000554384537 388813961 /nfs/dbraw/zinc/81/39/61/388813961.db2.gz HENLTWYNSRFKDM-MVWJERBFSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@@H]2CC[C@@H]2C2CC2)[nH]1 ZINC000554384537 388813963 /nfs/dbraw/zinc/81/39/63/388813963.db2.gz HENLTWYNSRFKDM-MVWJERBFSA-N 0 3 248.374 2.767 20 0 BFADHN COc1nc(C)cc(C)c1CN(C)[C@H](C)C1CC1 ZINC000554221992 388804908 /nfs/dbraw/zinc/80/49/08/388804908.db2.gz YALACDLORUGBJL-GFCCVEGCSA-N 0 3 248.370 2.937 20 0 BFADHN COc1nc(C)cc(C)c1CN1C[C@@H](C)C[C@H]1C ZINC000554236435 388805785 /nfs/dbraw/zinc/80/57/85/388805785.db2.gz QKTKABWPMGLSHM-GXFFZTMASA-N 0 3 248.370 2.937 20 0 BFADHN CCC[C@H](C)N1CC[S@](=O)C(CC)(CC)C1 ZINC000253158468 388807177 /nfs/dbraw/zinc/80/71/77/388807177.db2.gz FXKJQLGHOLDBAH-LRDDRELGSA-N 0 3 245.432 2.798 20 0 BFADHN CC[C@H](O)CNCc1cc(Cl)ccc1Cl ZINC000229976242 388808936 /nfs/dbraw/zinc/80/89/36/388808936.db2.gz WLRZSGHPLLKTIE-JTQLQIEISA-N 0 3 248.153 2.854 20 0 BFADHN CCC[C@@H](C)CN(C)Cc1cnn(CC)c1 ZINC000540480401 388809030 /nfs/dbraw/zinc/80/90/30/388809030.db2.gz NGIMQKWQHDXNCR-GFCCVEGCSA-N 0 3 223.364 2.771 20 0 BFADHN Cc1ccoc1CN1C[C@H](C)S[C@@H](C)C1 ZINC000092541064 388810866 /nfs/dbraw/zinc/81/08/66/388810866.db2.gz HJKOPOYUNCATNP-QWRGUYRKSA-N 0 3 225.357 2.914 20 0 BFADHN CC(C)[C@H](O)C1(CN[C@@H](C)c2ccoc2)CC1 ZINC000540605495 388812131 /nfs/dbraw/zinc/81/21/31/388812131.db2.gz CQNGAHWTMWOLFO-AAEUAGOBSA-N 0 3 237.343 2.727 20 0 BFADHN C[C@@H](O)CCCNCc1ccccc1Cl ZINC000227961472 388762970 /nfs/dbraw/zinc/76/29/70/388762970.db2.gz USVZTQHMSQPXQO-SNVBAGLBSA-N 0 3 227.735 2.591 20 0 BFADHN C[C@H](O)CCCNCc1cc(Cl)cs1 ZINC000227952236 388763163 /nfs/dbraw/zinc/76/31/63/388763163.db2.gz PTZNJGGIIOBGSZ-QMMMGPOBSA-N 0 3 233.764 2.652 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccc(O)cc2)C[C@@H]1C ZINC000648741496 388772361 /nfs/dbraw/zinc/77/23/61/388772361.db2.gz ZJSUKZQTECKRJN-SWLSCSKDSA-N 0 3 249.354 2.639 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(O)cc2)CC1(C)C ZINC000648742472 388777441 /nfs/dbraw/zinc/77/74/41/388777441.db2.gz HJJJQEPORKCIEB-AWEZNQCLSA-N 0 3 249.354 2.639 20 0 BFADHN COCCN1CCC(c2ccccc2F)CC1 ZINC000523019850 388788980 /nfs/dbraw/zinc/78/89/80/388788980.db2.gz GDBDPCSULRYFRK-UHFFFAOYSA-N 0 3 237.318 2.652 20 0 BFADHN C[C@H]1CN(Cc2ccccc2)CC(C)(C)O1 ZINC000068478286 388789742 /nfs/dbraw/zinc/78/97/42/388789742.db2.gz VHMSFUOTVVEWGL-LBPRGKRZSA-N 0 3 219.328 2.686 20 0 BFADHN c1nc([C@H]2CCCO2)sc1CNC1CCC1 ZINC000270188910 388790379 /nfs/dbraw/zinc/79/03/79/388790379.db2.gz HDVAUPMJVJOION-LLVKDONJSA-N 0 3 238.356 2.637 20 0 BFADHN CCC[C@H](C(=O)OCC)N(C)C[C@H](C)CC ZINC000252892911 388791401 /nfs/dbraw/zinc/79/14/01/388791401.db2.gz PEAIHQZMZCBZKG-VXGBXAGGSA-N 0 3 229.364 2.696 20 0 BFADHN CC[C@H](NCc1cscc1Cl)[C@@H](C)O ZINC000648754258 388814770 /nfs/dbraw/zinc/81/47/70/388814770.db2.gz PCGHQVRZSBXLRK-XCBNKYQSSA-N 0 3 233.764 2.651 20 0 BFADHN COCC1(N[C@H]2CCSc3ccccc32)CC1 ZINC000540749850 388816464 /nfs/dbraw/zinc/81/64/64/388816464.db2.gz PVQOKVFJBXFFGB-LBPRGKRZSA-N 0 3 249.379 2.992 20 0 BFADHN Cc1ccc(CNC[C@@H]2CC2(C)C)nc1 ZINC000230220982 388820930 /nfs/dbraw/zinc/82/09/30/388820930.db2.gz KDVOPCKLLWISQV-NSHDSACASA-N 0 3 204.317 2.526 20 0 BFADHN CCCCN(CCO)Cc1cc(F)ccc1C ZINC000129153155 388822185 /nfs/dbraw/zinc/82/21/85/388822185.db2.gz DQKJDZTVTPDGIC-UHFFFAOYSA-N 0 3 239.334 2.729 20 0 BFADHN CCc1ccc(CCN2CCOC[C@@H]2CC)cc1 ZINC000554654567 388826471 /nfs/dbraw/zinc/82/64/71/388826471.db2.gz YZHPQJNJMJNKBT-INIZCTEOSA-N 0 3 247.382 2.902 20 0 BFADHN CC[C@@H](C(=O)NC(C)(C)C(C)C)N(CC)CC ZINC000555210522 388841136 /nfs/dbraw/zinc/84/11/36/388841136.db2.gz HPUSIMJSYUJVSH-LBPRGKRZSA-N 0 3 242.407 2.658 20 0 BFADHN CO[C@@H]1CC[C@@H](N[C@@H](C)c2ccc(C)o2)C1 ZINC000231692704 388841446 /nfs/dbraw/zinc/84/14/46/388841446.db2.gz PZWKEQDTWZNYGH-QJPTWQEYSA-N 0 3 223.316 2.806 20 0 BFADHN CC[C@@H]1CN(C2CCCC2)CCN1CC(F)F ZINC000093767299 388851700 /nfs/dbraw/zinc/85/17/00/388851700.db2.gz FLICDRWQXYCLNI-LLVKDONJSA-N 0 3 246.345 2.590 20 0 BFADHN C[C@H]1CCCC[C@@H]1CCNCc1ccn(C)n1 ZINC000523691270 388852821 /nfs/dbraw/zinc/85/28/21/388852821.db2.gz MBXNCMHAGSUDNS-QWHCGFSZSA-N 0 3 235.375 2.726 20 0 BFADHN C[C@@H]1C[C@@H](C)[C@@H](C)N(Cc2ccc(CO)o2)C1 ZINC000229496244 388853018 /nfs/dbraw/zinc/85/30/18/388853018.db2.gz RMTBWHMBYQOBEM-IJLUTSLNSA-N 0 3 237.343 2.638 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H]1CCC[C@H]2C[C@H]21 ZINC000648775107 388862858 /nfs/dbraw/zinc/86/28/58/388862858.db2.gz FJTKHYDFGSVVMN-XQHKEYJVSA-N 0 3 233.359 2.742 20 0 BFADHN FCCCN[C@@H](c1ccccc1)c1cncnc1 ZINC000541742770 388865977 /nfs/dbraw/zinc/86/59/77/388865977.db2.gz PEYQEUSNYMUKPY-AWEZNQCLSA-N 0 3 245.301 2.515 20 0 BFADHN CCCCN[C@@H](c1ccccc1)c1cncnc1 ZINC000541749769 388867523 /nfs/dbraw/zinc/86/75/23/388867523.db2.gz SEVPBWBXUGDIKS-HNNXBMFYSA-N 0 3 241.338 2.956 20 0 BFADHN C[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)c1ccoc1 ZINC000556224792 388871707 /nfs/dbraw/zinc/87/17/07/388871707.db2.gz PRLOSRUCTMVJDA-NXEZZACHSA-N 0 3 232.283 2.792 20 0 BFADHN Cc1nn(C)cc1CN1CCC(C(C)C)CC1 ZINC000093670006 388846939 /nfs/dbraw/zinc/84/69/39/388846939.db2.gz JNKDCIXKJZDTNZ-UHFFFAOYSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1nc(CN2C[C@@H]3CCC[C@@H]3C2)oc1C ZINC000152785666 388879892 /nfs/dbraw/zinc/87/98/92/388879892.db2.gz APUJYWKXRSKMSP-TXEJJXNPSA-N 0 3 220.316 2.523 20 0 BFADHN FC(F)[C@H]1C[C@@H]1NCc1ccc2[nH]ccc2c1 ZINC000564581730 388880307 /nfs/dbraw/zinc/88/03/07/388880307.db2.gz AVPOVOLIDYBYNK-JQWIXIFHSA-N 0 3 236.265 2.911 20 0 BFADHN Cc1cccc(NCCNCc2ccccc2)n1 ZINC000152775388 388881703 /nfs/dbraw/zinc/88/17/03/388881703.db2.gz QXANVURJPSXEDT-UHFFFAOYSA-N 0 3 241.338 2.592 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc2[nH]cnc2c1 ZINC000564636713 388882051 /nfs/dbraw/zinc/88/20/51/388882051.db2.gz ZKARQOSVPYQACP-LLVKDONJSA-N 0 3 215.300 2.547 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc2nc[nH]c2c1 ZINC000564636713 388882052 /nfs/dbraw/zinc/88/20/52/388882052.db2.gz ZKARQOSVPYQACP-LLVKDONJSA-N 0 3 215.300 2.547 20 0 BFADHN CC(C)SCCN1C[C@H](C)OC2(CCC2)C1 ZINC000564930098 388898573 /nfs/dbraw/zinc/89/85/73/388898573.db2.gz SEVUAMIKYDTWTD-LBPRGKRZSA-N 0 3 243.416 2.771 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCc1ccccn1 ZINC000152950695 388900068 /nfs/dbraw/zinc/90/00/68/388900068.db2.gz OIKXPQYXKAUVLX-ZDUSSCGKSA-N 0 3 241.338 2.712 20 0 BFADHN CN1CCN(CC2CCC2)CC12CCCCC2 ZINC000565169508 388920702 /nfs/dbraw/zinc/92/07/02/388920702.db2.gz HFHJZTPVZLECAF-UHFFFAOYSA-N 0 3 236.403 2.737 20 0 BFADHN CC(C)(C)n1cc(CN[C@H]2[C@@H]3CCC[C@@H]32)cn1 ZINC000565242839 388931443 /nfs/dbraw/zinc/93/14/43/388931443.db2.gz IUYWBJKXOZRWKH-ITGUQSILSA-N 0 3 233.359 2.526 20 0 BFADHN COC(C)(C)CN(C)Cc1cc2cccnc2o1 ZINC000565638891 388959748 /nfs/dbraw/zinc/95/97/48/388959748.db2.gz UXKIPCMADAZWMQ-UHFFFAOYSA-N 0 3 248.326 2.685 20 0 BFADHN Cc1cccc(OCCN2[C@H](C)C[C@H]2C)c1 ZINC000565338413 388938975 /nfs/dbraw/zinc/93/89/75/388938975.db2.gz RRDTYWFSPDFIRY-CHWSQXEVSA-N 0 3 219.328 2.857 20 0 BFADHN CC1(CN2CCOC3(CCCCC3)C2)CC1 ZINC000565406231 388942265 /nfs/dbraw/zinc/94/22/65/388942265.db2.gz STXCHTNGMGEOGF-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN COc1ccc(CN(C)CC2CC2)c(F)c1 ZINC000565955839 388982187 /nfs/dbraw/zinc/98/21/87/388982187.db2.gz WQMINGPKXGBHKA-UHFFFAOYSA-N 0 3 223.291 2.676 20 0 BFADHN CCc1nnc(C[NH2+]C2CC3(C2)CCCCC3)[n-]1 ZINC000566077374 388986906 /nfs/dbraw/zinc/98/69/06/388986906.db2.gz SUZDCCSSNPLWQB-UHFFFAOYSA-N 0 3 248.374 2.570 20 0 BFADHN CO[C@@H](C)CCNCc1cc(C)ccc1F ZINC000265336392 388993161 /nfs/dbraw/zinc/99/31/61/388993161.db2.gz XGKNRZIUJHVXGY-NSHDSACASA-N 0 3 225.307 2.649 20 0 BFADHN C[C@@H](NCCOC1CCC1)c1ccccc1 ZINC000266602544 388996331 /nfs/dbraw/zinc/99/63/31/388996331.db2.gz ONKZLGNQDDVFTP-GFCCVEGCSA-N 0 3 219.328 2.906 20 0 BFADHN CC[C@H]1CN(CCC(C)C)CCN1CC(F)F ZINC000153422551 388971378 /nfs/dbraw/zinc/97/13/78/388971378.db2.gz RJQHXWOSJQYCRD-LBPRGKRZSA-N 0 3 248.361 2.694 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccncc1)[C@H]1CCCCO1 ZINC000271960313 389028121 /nfs/dbraw/zinc/02/81/21/389028121.db2.gz QZTAUIVHUYCYOI-OUCADQQQSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@@H]2CCCCO2)o1 ZINC000271961100 389028523 /nfs/dbraw/zinc/02/85/23/389028523.db2.gz GEKHCFUWWZFQAW-AAEUAGOBSA-N 0 3 223.316 2.635 20 0 BFADHN CO[C@@H](C)CCN[C@H](C)c1ccccc1F ZINC000268833564 389004465 /nfs/dbraw/zinc/00/44/65/389004465.db2.gz KWJMVPXXICASGU-WDEREUQCSA-N 0 3 225.307 2.901 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(F)c(C)c1 ZINC000268777983 389004565 /nfs/dbraw/zinc/00/45/65/389004565.db2.gz ONLAPEHJZZAVHD-NSHDSACASA-N 0 3 225.307 2.821 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ccccc1F)C[C@H](C)O ZINC000268951386 389006138 /nfs/dbraw/zinc/00/61/38/389006138.db2.gz CHNQOMQWXHOQCI-WOPDTQHZSA-N 0 3 239.334 2.883 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccco1)C(C)C ZINC000268935126 389006198 /nfs/dbraw/zinc/00/61/98/389006198.db2.gz MVZZBQZDSGVQCZ-PWSUYJOCSA-N 0 3 211.305 2.601 20 0 BFADHN CO[C@@H](C)c1nc(CN[C@H](C)C(C)C)cs1 ZINC000269037445 389006954 /nfs/dbraw/zinc/00/69/54/389006954.db2.gz FTPFJAJRQFANAH-ZJUUUORDSA-N 0 3 242.388 2.985 20 0 BFADHN CC[C@H]1CN(Cc2ccccc2Cl)C[C@@H]1O ZINC000270902366 389015528 /nfs/dbraw/zinc/01/55/28/389015528.db2.gz MRTOUXNHMNYZNN-GWCFXTLKSA-N 0 3 239.746 2.543 20 0 BFADHN CCc1ccc(CNC[C@@H]2CCO[C@@H](C)C2)o1 ZINC000271260297 389019689 /nfs/dbraw/zinc/01/96/89/389019689.db2.gz AKCDALZXQWQIHL-NWDGAFQWSA-N 0 3 237.343 2.747 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCO[C@H](C(C)C)C1 ZINC000271603883 389023380 /nfs/dbraw/zinc/02/33/80/389023380.db2.gz YVFSGXFXEJAHGH-OLZOCXBDSA-N 0 3 237.343 2.881 20 0 BFADHN CC[C@@H](NCCF)c1ccc(OC)cc1 ZINC000271708555 389023677 /nfs/dbraw/zinc/02/36/77/389023677.db2.gz UVFOFYQMBKCZHY-GFCCVEGCSA-N 0 3 211.280 2.705 20 0 BFADHN COc1ccc([C@H](NCCF)C(C)C)cc1 ZINC000271681450 389024494 /nfs/dbraw/zinc/02/44/94/389024494.db2.gz OYQLJXFJINJWFV-CYBMUJFWSA-N 0 3 225.307 2.951 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CCCCO1)c1ccco1 ZINC000271932455 389027195 /nfs/dbraw/zinc/02/71/95/389027195.db2.gz KOEXKOMGEPOBDU-SDDRHHMPSA-N 0 3 223.316 2.888 20 0 BFADHN Cc1cncc([C@@H](C)N[C@H]2CC2(C)C)c1 ZINC000274423840 389047010 /nfs/dbraw/zinc/04/70/10/389047010.db2.gz UNVCOAZPYGVTJE-PWSUYJOCSA-N 0 3 204.317 2.839 20 0 BFADHN C[C@@H](N[C@@H](C)CCCO)c1ccc(Cl)cn1 ZINC000274923260 389048779 /nfs/dbraw/zinc/04/87/79/389048779.db2.gz POEZFBIERRYCJT-VHSXEESVSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@@H](NCCC(C)(C)O)c1ccc(Cl)cn1 ZINC000274825372 389049530 /nfs/dbraw/zinc/04/95/30/389049530.db2.gz ZQTGSPANPNUAQJ-SECBINFHSA-N 0 3 242.750 2.547 20 0 BFADHN CO[C@@H](C)CCN[C@@H](C)c1ccc(Cl)cn1 ZINC000274921995 389049550 /nfs/dbraw/zinc/04/95/50/389049550.db2.gz PGBZEIVQNYFVCD-UWVGGRQHSA-N 0 3 242.750 2.811 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc(Cl)cc1F ZINC000275311633 389054216 /nfs/dbraw/zinc/05/42/16/389054216.db2.gz JMEPQLHFBZUWPW-DTWKUNHWSA-N 0 3 245.725 2.992 20 0 BFADHN CC(C)C(C)(C)CNCc1c(Cl)cnn1C ZINC000189467399 166740494 /nfs/dbraw/zinc/74/04/94/166740494.db2.gz UXDRFCZNXQBXLL-UHFFFAOYSA-N 0 3 243.782 2.845 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1nccs1 ZINC000336471663 171129341 /nfs/dbraw/zinc/12/93/41/171129341.db2.gz LJLQSBWWDPWXTE-VHSXEESVSA-N 0 3 210.346 2.764 20 0 BFADHN CCN(CC(=O)N[C@@H](C)C(C)C)CC(C)(C)C ZINC000361528134 169723958 /nfs/dbraw/zinc/72/39/58/169723958.db2.gz MUQVARIRJOMFMD-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN COc1nccc(CN[C@@]2(C)CCCC[C@@H]2C)n1 ZINC000308715050 533238321 /nfs/dbraw/zinc/23/83/21/533238321.db2.gz OLMPBNCYMBGTEG-FZMZJTMJSA-N 0 3 249.358 2.544 20 0 BFADHN COc1ncccc1CN1CC[C@@H](C(C)C)C1 ZINC000488196357 533257252 /nfs/dbraw/zinc/25/72/52/533257252.db2.gz DYFJXCZECAKDDX-GFCCVEGCSA-N 0 3 234.343 2.568 20 0 BFADHN C[C@@H](NCCC[C@@H]1CCOC1)c1nccs1 ZINC000400635960 533389472 /nfs/dbraw/zinc/38/94/72/533389472.db2.gz QNTRXNYRSRYZEY-GHMZBOCLSA-N 0 3 240.372 2.610 20 0 BFADHN C[C@@H](NC/C=C\c1ccncc1)c1ccccn1 ZINC000486950617 533328893 /nfs/dbraw/zinc/32/88/93/533328893.db2.gz MGGHXMNBBFVZHK-DSYXLKISSA-N 0 3 239.322 2.841 20 0 BFADHN COc1cc(C)c(CN(C)C2CC2)cc1OC ZINC000507133271 533569075 /nfs/dbraw/zinc/56/90/75/533569075.db2.gz VEYRRMDYWONOQR-UHFFFAOYSA-N 0 3 235.327 2.606 20 0 BFADHN CC(C)C[C@H](C)NCc1ncc(Cl)n1C ZINC000037264719 322827743 /nfs/dbraw/zinc/82/77/43/322827743.db2.gz SNIFXYFKHIIPMP-VIFPVBQESA-N 0 3 229.755 2.598 20 0 BFADHN Cc1ccc2nccc(N3CCOC[C@H]3C)c2c1 ZINC000302164636 132260933 /nfs/dbraw/zinc/26/09/33/132260933.db2.gz QQLGBBXTTVIFEC-GFCCVEGCSA-N 0 3 242.322 2.768 20 0 BFADHN c1ccc(N2CCN(CCC3CC3)CC2)cc1 ZINC000310044565 132294582 /nfs/dbraw/zinc/29/45/82/132294582.db2.gz AQXBJYCMPHXZQW-UHFFFAOYSA-N 0 3 230.355 2.609 20 0 BFADHN CCCCC(=O)N(CCN(C)C)C1CCCC1 ZINC000053152585 133508055 /nfs/dbraw/zinc/50/80/55/133508055.db2.gz YKCGWBHQSJRSOX-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CC(C)n1nccc1CN1CCCCCC1 ZINC000334191187 133580959 /nfs/dbraw/zinc/58/09/59/133580959.db2.gz IHADUFQFSVJMHT-UHFFFAOYSA-N 0 3 221.348 2.840 20 0 BFADHN COc1cc(CN2C[C@@H](C)CC2(C)C)ccn1 ZINC000342858215 133602914 /nfs/dbraw/zinc/60/29/14/133602914.db2.gz BRSXOWYRIXWOLZ-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H](C(=O)N1CCCC[C@@H]1C)N(CC)CC ZINC000345565898 133776687 /nfs/dbraw/zinc/77/66/87/133776687.db2.gz YXKAPQVGNINENT-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1ccc(-c2cc[nH]n2)o1 ZINC000335271863 133826089 /nfs/dbraw/zinc/82/60/89/133826089.db2.gz FUVKZQPRLYNGTK-NXEZZACHSA-N 0 3 231.299 2.652 20 0 BFADHN Cc1ncc(CN2CCC[C@H]3CCC[C@H]32)cn1 ZINC000335938713 134013515 /nfs/dbraw/zinc/01/35/15/134013515.db2.gz NJAZUFFIOIOTRB-ZIAGYGMSSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1ccc(CCN2C[C@H](C)N(C)C[C@@H]2C)cc1 ZINC000335938717 134013536 /nfs/dbraw/zinc/01/35/36/134013536.db2.gz NVKPPQNRCWLAGW-GJZGRUSLSA-N 0 3 246.398 2.562 20 0 BFADHN Cc1cccc(C)c1CN1C[C@@H](C)N(C)C[C@H]1C ZINC000351946190 134015306 /nfs/dbraw/zinc/01/53/06/134015306.db2.gz YSIVQTVEJZBDBQ-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1nccc(CN2[C@H](C)CCC[C@H]2C)n1 ZINC000335941830 134017695 /nfs/dbraw/zinc/01/76/95/134017695.db2.gz KKIHNIAXMXFBAY-GHMZBOCLSA-N 0 3 219.332 2.548 20 0 BFADHN Cn1ccc(CN2CC[C@@H]2c2ccccc2)c1 ZINC000335943709 134018590 /nfs/dbraw/zinc/01/85/90/134018590.db2.gz OFJOXMLZEWYIOG-OAHLLOKOSA-N 0 3 226.323 2.972 20 0 BFADHN Cc1nocc1CN1CCCC12CCC2 ZINC000335945436 134020143 /nfs/dbraw/zinc/02/01/43/134020143.db2.gz RAUXCBHROWLNQT-UHFFFAOYSA-N 0 3 206.289 2.502 20 0 BFADHN C[C@H]1OCC[C@H]1NC1(c2ccc(F)cc2)CC1 ZINC000335960503 134026445 /nfs/dbraw/zinc/02/64/45/134026445.db2.gz JZUGCDXXKAVRLM-ZWNOBZJWSA-N 0 3 235.302 2.582 20 0 BFADHN CC(C)COCCN1CCC(F)(F)[C@H](C)C1 ZINC000351997833 134033092 /nfs/dbraw/zinc/03/30/92/134033092.db2.gz SVDDWUVGJKAJOD-LLVKDONJSA-N 0 3 235.318 2.636 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCC[C@@H](O)CC1 ZINC000335980489 134037429 /nfs/dbraw/zinc/03/74/29/134037429.db2.gz QXQJMLPJVWYVPR-BXUZGUMPSA-N 0 3 237.318 2.734 20 0 BFADHN C(NC1CCCCC1)c1noc2c1CCCC2 ZINC000335986737 134039206 /nfs/dbraw/zinc/03/92/06/134039206.db2.gz PROFSMBPOFQTJF-UHFFFAOYSA-N 0 3 234.343 2.976 20 0 BFADHN Cc1cc(CN2CCC[C@H](C3CC3)C2)on1 ZINC000335993767 134041442 /nfs/dbraw/zinc/04/14/42/134041442.db2.gz XHPKKIWDQVAVGZ-LBPRGKRZSA-N 0 3 220.316 2.605 20 0 BFADHN C[C@@H]1CN(C)CC[C@@H]1Nc1cc(C2CC2)ccn1 ZINC000336003191 134048060 /nfs/dbraw/zinc/04/80/60/134048060.db2.gz CNURTXJPUFRDEY-RISCZKNCSA-N 0 3 245.370 2.711 20 0 BFADHN Cc1ncccc1CN(C)[C@@H]1CC1(C)C ZINC000336006123 134048760 /nfs/dbraw/zinc/04/87/60/134048760.db2.gz DCVQOOMLIVFSLZ-GFCCVEGCSA-N 0 3 204.317 2.620 20 0 BFADHN Cc1c[nH]nc1CN1CCCC2(CCCC2)C1 ZINC000336012049 134051779 /nfs/dbraw/zinc/05/17/79/134051779.db2.gz UABOUPUBHCMSBH-UHFFFAOYSA-N 0 3 233.359 2.874 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1noc2c1CCCC2 ZINC000336023698 134053982 /nfs/dbraw/zinc/05/39/82/134053982.db2.gz SREKVNPASHNKGL-PWSUYJOCSA-N 0 3 234.343 2.832 20 0 BFADHN C[C@@H](c1ccccc1F)N1CCOC[C@H](C)C1 ZINC000336039225 134060605 /nfs/dbraw/zinc/06/06/05/134060605.db2.gz BFXMPJJOTGVNRX-NEPJUHHUSA-N 0 3 237.318 2.855 20 0 BFADHN C[C@H](F)CCN1CCN(c2ccccc2)CC1 ZINC000352113231 134068455 /nfs/dbraw/zinc/06/84/55/134068455.db2.gz FWACSIBMSPTSNB-ZDUSSCGKSA-N 0 3 236.334 2.557 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1ccc(N2CCCC2)nc1 ZINC000336071727 134072308 /nfs/dbraw/zinc/07/23/08/134072308.db2.gz XIYXWKACVGLTHX-CHWSQXEVSA-N 0 3 245.370 2.665 20 0 BFADHN CC(C)(C)[C@H]1CCCN(Cc2c[nH]cn2)C1 ZINC000336074308 134072548 /nfs/dbraw/zinc/07/25/48/134072548.db2.gz UITFMNSDOCTKJD-NSHDSACASA-N 0 3 221.348 2.668 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CC(C)(C)C2)ncn1 ZINC000336111047 134086271 /nfs/dbraw/zinc/08/62/71/134086271.db2.gz NOIPWIVLVSLYQJ-NSHDSACASA-N 0 3 233.359 2.653 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CCC[C@@H]2C)ncn1 ZINC000336112419 134087310 /nfs/dbraw/zinc/08/73/10/134087310.db2.gz YTKMMGUHTXZZNT-AAEUAGOBSA-N 0 3 233.359 2.796 20 0 BFADHN C[C@H]1CC[C@@H](N(C)Cc2cncs2)C1 ZINC000336130485 134094300 /nfs/dbraw/zinc/09/43/00/134094300.db2.gz CNKREZMSKADZKY-VHSXEESVSA-N 0 3 210.346 2.764 20 0 BFADHN Cc1ccc(F)cc1CN(C)[C@H]1CCCOC1 ZINC000352230143 134110806 /nfs/dbraw/zinc/11/08/06/134110806.db2.gz IFYCAXKNZMLROL-AWEZNQCLSA-N 0 3 237.318 2.745 20 0 BFADHN CN(C[C@@H]1CCCCO1)[C@H]1C=CCCC1 ZINC000336166612 134113557 /nfs/dbraw/zinc/11/35/57/134113557.db2.gz QESIRGNRJSXEJL-STQMWFEESA-N 0 3 209.333 2.596 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(C)cn2)CC1(C)C ZINC000336207301 134132969 /nfs/dbraw/zinc/13/29/69/134132969.db2.gz ZUNIJOONYZFEBA-CQSZACIVSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@H]1CC[C@@H](N(C)Cc2ccncc2F)C1 ZINC000336208450 134133029 /nfs/dbraw/zinc/13/30/29/134133029.db2.gz LNNIVHONDSDSQP-CMPLNLGQSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1cccc2ccoc21 ZINC000336217717 134137994 /nfs/dbraw/zinc/13/79/94/134137994.db2.gz JOKYHJWBIIYPMP-MFKMUULPSA-N 0 3 231.295 2.700 20 0 BFADHN FC1(F)CC[C@H](CN2CCOC3(CCC3)C2)C1 ZINC000336627312 134152405 /nfs/dbraw/zinc/15/24/05/134152405.db2.gz XYWTWPPFSCRWIT-NSHDSACASA-N 0 3 245.313 2.677 20 0 BFADHN Fc1cncc(CNCC2(C(F)(F)F)CC2)c1 ZINC000353042563 134159672 /nfs/dbraw/zinc/15/96/72/134159672.db2.gz ASJQXODWXQFWLZ-UHFFFAOYSA-N 0 3 248.223 2.653 20 0 BFADHN C[C@@H]1CN(CCOc2ccccc2)CCS1 ZINC000171701191 134260534 /nfs/dbraw/zinc/26/05/34/134260534.db2.gz RBZRCYYNIFJNOK-GFCCVEGCSA-N 0 3 237.368 2.503 20 0 BFADHN COc1cccc(CN2C[C@H](C)C[C@H](C)C2)n1 ZINC000172006907 134264003 /nfs/dbraw/zinc/26/40/03/134264003.db2.gz KWKIJUUTERZWLA-TXEJJXNPSA-N 0 3 234.343 2.568 20 0 BFADHN CC[C@H]1CN(CCC2CCCC2)CCO1 ZINC000172746974 134269421 /nfs/dbraw/zinc/26/94/21/134269421.db2.gz RHXHRQKMBNFZHR-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN COc1cccc(CN2C[C@@H](C)CC[C@H]2C)n1 ZINC000174489803 134282020 /nfs/dbraw/zinc/28/20/20/134282020.db2.gz ZXZMNTHDZPVWOM-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1cnn(C(C)C)c1 ZINC000178135258 134306383 /nfs/dbraw/zinc/30/63/83/134306383.db2.gz RMOIBYZFVJZOPY-YPMHNXCESA-N 0 3 239.363 2.540 20 0 BFADHN CC(C)=CCN1CCN(C)CC12CCCCC2 ZINC000178399850 134309135 /nfs/dbraw/zinc/30/91/35/134309135.db2.gz HFQHMWSCWGOSBQ-UHFFFAOYSA-N 0 3 236.403 2.903 20 0 BFADHN CN1CCN(C/C=C/Cl)C2(CCCCC2)C1 ZINC000178399967 134309386 /nfs/dbraw/zinc/30/93/86/134309386.db2.gz BKFBMGIENOUNRM-VMPITWQZSA-N 0 3 242.794 2.689 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@H](C)C2)on1 ZINC000178969077 134314109 /nfs/dbraw/zinc/31/41/09/134314109.db2.gz SEFIWBRWEGAJSD-MNOVXSKESA-N 0 3 222.332 2.851 20 0 BFADHN CCOc1ccc(CN[C@@]2(C)CCCOC2)cc1 ZINC000221363338 134321239 /nfs/dbraw/zinc/32/12/39/134321239.db2.gz FEDXKYFQHJIJDR-HNNXBMFYSA-N 0 3 249.354 2.744 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cnn(C)c1 ZINC000179520646 134321609 /nfs/dbraw/zinc/32/16/09/134321609.db2.gz OBRIAIPYIZZVSK-ZDUSSCGKSA-N 0 3 221.348 2.575 20 0 BFADHN C[C@@]1(NCc2ccc(Cl)o2)CCCOC1 ZINC000221374139 134323663 /nfs/dbraw/zinc/32/36/63/134323663.db2.gz DKAZKEPQGFFXJH-LLVKDONJSA-N 0 3 229.707 2.592 20 0 BFADHN c1nc(CN2CCC[C@H]3CCCC[C@@H]32)c[nH]1 ZINC000179680228 134323807 /nfs/dbraw/zinc/32/38/07/134323807.db2.gz GQQKUUNZIJJZGJ-YPMHNXCESA-N 0 3 219.332 2.564 20 0 BFADHN c1ncc(CN2CCC[C@H]3CCCC[C@@H]32)[nH]1 ZINC000179680228 134323808 /nfs/dbraw/zinc/32/38/08/134323808.db2.gz GQQKUUNZIJJZGJ-YPMHNXCESA-N 0 3 219.332 2.564 20 0 BFADHN CC1(C)CCCN1Cc1cccc2c1OCO2 ZINC000179817815 134324287 /nfs/dbraw/zinc/32/42/87/134324287.db2.gz UOCXLHFHFUVUGO-UHFFFAOYSA-N 0 3 233.311 2.790 20 0 BFADHN CC1CCC(N(C)Cc2n[nH]c(C3CC3)n2)CC1 ZINC000180403039 134327368 /nfs/dbraw/zinc/32/73/68/134327368.db2.gz HGGOTUCHJXEIJC-UHFFFAOYSA-N 0 3 248.374 2.693 20 0 BFADHN C[C@@H](NC[C@H]1CC1(C)C)c1ccccn1 ZINC000222036566 134329182 /nfs/dbraw/zinc/32/91/82/134329182.db2.gz RJRUBEFHCGLTHC-GHMZBOCLSA-N 0 3 204.317 2.778 20 0 BFADHN CCOC[C@@H](C)NCc1cccc2cc[nH]c21 ZINC000222046992 134329342 /nfs/dbraw/zinc/32/93/42/134329342.db2.gz VGWGJISRYSMLIT-LLVKDONJSA-N 0 3 232.327 2.683 20 0 BFADHN CC[C@@H]1CCN(Cc2cnn(C(C)C)c2)C1 ZINC000180569745 134329583 /nfs/dbraw/zinc/32/95/83/134329583.db2.gz AJNAPPCZAJLOMA-GFCCVEGCSA-N 0 3 221.348 2.696 20 0 BFADHN Cc1cccc(CCCN2CCSCC2)c1 ZINC000180970782 134332564 /nfs/dbraw/zinc/33/25/64/134332564.db2.gz BFRCIKVQXAGYHB-UHFFFAOYSA-N 0 3 235.396 2.976 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H]2CCC[C@@H](O)C2)o1 ZINC000224079630 134344370 /nfs/dbraw/zinc/34/43/70/134344370.db2.gz DVSBSAXLMFXSRA-YNEHKIRRSA-N 0 3 237.343 2.790 20 0 BFADHN CC[C@H](NCCCCOC)c1c(C)noc1C ZINC000182420528 134345045 /nfs/dbraw/zinc/34/50/45/134345045.db2.gz HDGHEBZJXUHUBD-LBPRGKRZSA-N 0 3 240.347 2.759 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)[C@H]3CCCC[C@@H]32)o1 ZINC000182487430 134345573 /nfs/dbraw/zinc/34/55/73/134345573.db2.gz SXHKMSZQKPCLTA-RTXFEEFZSA-N 0 3 234.343 2.994 20 0 BFADHN COc1ccc(C)cc1CNCCOCC1CC1 ZINC000224522955 134348366 /nfs/dbraw/zinc/34/83/66/134348366.db2.gz CMZCCMRRCVFHRL-UHFFFAOYSA-N 0 3 249.354 2.520 20 0 BFADHN Cc1cc(C)cc(CNCCOCC2CC2)c1 ZINC000224529795 134348420 /nfs/dbraw/zinc/34/84/20/134348420.db2.gz NUMYCMPYIAXEFL-UHFFFAOYSA-N 0 3 233.355 2.820 20 0 BFADHN CCC(CC)NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000183707350 134353464 /nfs/dbraw/zinc/35/34/64/134353464.db2.gz ZJSZKNFNAXNJTF-GRYCIOLGSA-N 0 3 240.391 2.553 20 0 BFADHN c1ccc2c(c1)COC[C@H]2N[C@@H]1CCCSC1 ZINC000226011113 134357949 /nfs/dbraw/zinc/35/79/49/134357949.db2.gz SOBGFGMLALDLOE-TZMCWYRMSA-N 0 3 249.379 2.743 20 0 BFADHN COC[C@@H](N[C@@H]1COCc2ccccc21)C(C)C ZINC000226002275 134358087 /nfs/dbraw/zinc/35/80/87/134358087.db2.gz XBNHELLYEZCUGR-HUUCEWRRSA-N 0 3 249.354 2.519 20 0 BFADHN COc1ccc(OC)c(CNC[C@H]2CC2(C)C)c1 ZINC000226257875 134362578 /nfs/dbraw/zinc/36/25/78/134362578.db2.gz YINDFESGKHLHNF-GFCCVEGCSA-N 0 3 249.354 2.840 20 0 BFADHN Cc1ccc(F)cc1CN[C@H]1CCO[C@H]1C1CC1 ZINC000226514528 134363936 /nfs/dbraw/zinc/36/39/36/134363936.db2.gz HGHYVWMBPOYRMW-GJZGRUSLSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCO[C@@H](C)C2)o1 ZINC000226580220 134367215 /nfs/dbraw/zinc/36/72/15/134367215.db2.gz XPQYDNUIQVLAGV-SRVKXCTJSA-N 0 3 223.316 2.806 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1CCOCC(F)(F)F ZINC000185661879 134387993 /nfs/dbraw/zinc/38/79/93/134387993.db2.gz KZHPDAFMQNFJGN-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2ccncc2)C1(C)C ZINC000227790303 134394661 /nfs/dbraw/zinc/39/46/61/134394661.db2.gz FLRHSZVJSAYOKA-CYZMBNFOSA-N 0 3 234.343 2.546 20 0 BFADHN C[C@@H](O)CCCNCc1ccc(Cl)s1 ZINC000227957030 134395353 /nfs/dbraw/zinc/39/53/53/134395353.db2.gz FUCTWNUVMATWIX-MRVPVSSYSA-N 0 3 233.764 2.652 20 0 BFADHN Cc1ccc(CN[C@@]2(C)CCO[C@@H]2C)cc1F ZINC000230154893 134484661 /nfs/dbraw/zinc/48/46/61/134484661.db2.gz QVCDDLGAYQHALG-RISCZKNCSA-N 0 3 237.318 2.791 20 0 BFADHN CCN(Cc1cccc(C)c1)C[C@H]1CCCO1 ZINC000048056183 134617373 /nfs/dbraw/zinc/61/73/73/134617373.db2.gz FBYGGARBUFFZFS-OAHLLOKOSA-N 0 3 233.355 2.996 20 0 BFADHN C[C@H](CN(C)C)Nc1ncc(Cl)cc1Cl ZINC000130635584 134621616 /nfs/dbraw/zinc/62/16/16/134621616.db2.gz HOKOITAEODQBNX-SSDOTTSWSA-N 0 3 248.157 2.750 20 0 BFADHN COC(C)(C)CN[C@@H]1CCCc2occc21 ZINC000158971946 134756579 /nfs/dbraw/zinc/75/65/79/134756579.db2.gz XBJBTQTYVDMAJF-LLVKDONJSA-N 0 3 223.316 2.672 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(Cl)cc2)CO1 ZINC000335426025 134848604 /nfs/dbraw/zinc/84/86/04/134848604.db2.gz PHAYAGXQZXXCKP-SKDRFNHKSA-N 0 3 225.719 2.607 20 0 BFADHN C[C@H](NC1CCC(C)CC1)c1cnccn1 ZINC000070024462 134907255 /nfs/dbraw/zinc/90/72/55/134907255.db2.gz PYOGDKUTTGFZCK-CXQJBGSLSA-N 0 3 219.332 2.706 20 0 BFADHN Cc1nc(CN[C@H]2CC[C@@H](C)C2)cs1 ZINC000070643590 135001916 /nfs/dbraw/zinc/00/19/16/135001916.db2.gz ZWQIXYAQVIKGPW-SCZZXKLOSA-N 0 3 210.346 2.730 20 0 BFADHN COCC[C@H](C)N[C@H](C)c1nc(C)cs1 ZINC000070732736 135010399 /nfs/dbraw/zinc/01/03/99/135010399.db2.gz MFFVHHZXLFBUEP-WCBMZHEXSA-N 0 3 228.361 2.527 20 0 BFADHN Cc1csc([C@H](C)NC[C@@H]2CCCOC2)n1 ZINC000070732717 135010490 /nfs/dbraw/zinc/01/04/90/135010490.db2.gz MYBLDPHGGIMXIX-QWRGUYRKSA-N 0 3 240.372 2.529 20 0 BFADHN C[C@@H]1CCN(Cc2cc(Cl)cs2)[C@H]1CO ZINC000248743070 135020598 /nfs/dbraw/zinc/02/05/98/135020598.db2.gz RTTDIHDCJYRHJG-KCJUWKMLSA-N 0 3 245.775 2.604 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CC[C@H]1CCCO1 ZINC000248822889 135022484 /nfs/dbraw/zinc/02/24/84/135022484.db2.gz JNYHXXGDMKESBF-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H]1C[C@@H](O)C[C@H](C)N1Cc1ccccc1F ZINC000248822037 135022631 /nfs/dbraw/zinc/02/26/31/135022631.db2.gz JDQGBSUUYUDGMT-PTEHBNRSSA-N 0 3 237.318 2.559 20 0 BFADHN C[C@@H]1CCCC[C@H]1N[C@H](CCO)c1ccco1 ZINC000249057596 135031053 /nfs/dbraw/zinc/03/10/53/135031053.db2.gz XXCLYDYHBPMAQQ-JHJVBQTASA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H]1C[C@@H](O)C[C@H](C)N1Cc1ccc(F)cc1 ZINC000249061107 135031733 /nfs/dbraw/zinc/03/17/33/135031733.db2.gz PPNXKGVWLFDFNS-GNXNZQSNSA-N 0 3 237.318 2.559 20 0 BFADHN Cn1ccnc1CN[C@@H]1CC[C@H]2CCCC[C@H]2C1 ZINC000250619265 135055735 /nfs/dbraw/zinc/05/57/35/135055735.db2.gz KLEXBUUJUWAVIU-HZSPNIEDSA-N 0 3 247.386 2.869 20 0 BFADHN Cn1ccnc1CN[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000250619267 135056180 /nfs/dbraw/zinc/05/61/80/135056180.db2.gz KLEXBUUJUWAVIU-MELADBBJSA-N 0 3 247.386 2.869 20 0 BFADHN Cc1nccc(CN[C@H]2C[C@H](C)C[C@H](C)C2)n1 ZINC000250838642 135059838 /nfs/dbraw/zinc/05/98/38/135059838.db2.gz PJUUWTBQQYJRDV-YABSGUDNSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)on1 ZINC000250963931 135061287 /nfs/dbraw/zinc/06/12/87/135061287.db2.gz MEBVDMNFZKAFCE-GIPNMCIBSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@H]2CCCc3occc32)O1 ZINC000251874064 135079960 /nfs/dbraw/zinc/07/99/60/135079960.db2.gz QSRBUUQQCKGIAV-MDZLAQPJSA-N 0 3 235.327 2.814 20 0 BFADHN OCC1CCN(C/C=C\c2ccc(F)cc2)CC1 ZINC000255779208 135097558 /nfs/dbraw/zinc/09/75/58/135097558.db2.gz WMZVULUIQRZWIZ-UPHRSURJSA-N 0 3 249.329 2.543 20 0 BFADHN CCNCc1nc2c(s1)CCCC2(C)C ZINC000086844254 135098370 /nfs/dbraw/zinc/09/83/70/135098370.db2.gz JECJBEWOEQZWAF-UHFFFAOYSA-N 0 3 224.373 2.867 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@H](C)c1ccc(C)o1 ZINC000252713392 135100495 /nfs/dbraw/zinc/10/04/95/135100495.db2.gz PBAWMTLADUHCGK-MVWJERBFSA-N 0 3 225.332 2.646 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc(F)ccc2F)CS1 ZINC000086936010 135102254 /nfs/dbraw/zinc/10/22/54/135102254.db2.gz UCOYCNSGWSTZBH-LDYMZIIASA-N 0 3 243.322 2.948 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(F)c(F)c2)CS1 ZINC000086935626 135104022 /nfs/dbraw/zinc/10/40/22/135104022.db2.gz TXVZHJMFFROQTP-SCZZXKLOSA-N 0 3 243.322 2.948 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(F)cc2F)CS1 ZINC000086935790 135104203 /nfs/dbraw/zinc/10/42/03/135104203.db2.gz YYXXQQNOZPPKDH-KCJUWKMLSA-N 0 3 243.322 2.948 20 0 BFADHN C[C@@H](O)[C@H](C)N[C@@H](C)c1ccc(Cl)s1 ZINC000087128738 135107047 /nfs/dbraw/zinc/10/70/47/135107047.db2.gz WQLZAKHOLUDVIG-BIIVOSGPSA-N 0 3 233.764 2.821 20 0 BFADHN C[C@@H](N[C@@H](C)C[C@H](C)O)c1cc(F)cc(F)c1 ZINC000252750512 135107282 /nfs/dbraw/zinc/10/72/82/135107282.db2.gz FXZUVHRQDNCNPW-LPEHRKFASA-N 0 3 243.297 2.775 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@H](C)c1ccc(F)c(F)c1 ZINC000252756103 135107345 /nfs/dbraw/zinc/10/73/45/135107345.db2.gz JMGHAEMOSPZHLJ-KXUCPTDWSA-N 0 3 243.297 2.775 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H](C)C[C@H](C)O ZINC000252768525 135108034 /nfs/dbraw/zinc/10/80/34/135108034.db2.gz RWTHJKUGEAGXMK-WOPDTQHZSA-N 0 3 237.343 2.505 20 0 BFADHN CCC(C)(C)C1CCC(NC2COC2)CC1 ZINC000087195028 135112457 /nfs/dbraw/zinc/11/24/57/135112457.db2.gz YZYMZPVLCUXKLR-UHFFFAOYSA-N 0 3 225.376 2.970 20 0 BFADHN C[C@@]1(CNCc2cccc(Cl)c2)CCCO1 ZINC000087234088 135114139 /nfs/dbraw/zinc/11/41/39/135114139.db2.gz MJHJQNWRPFICIC-ZDUSSCGKSA-N 0 3 239.746 2.999 20 0 BFADHN Cc1cscc1CNCc1ccncc1 ZINC000087309477 135121753 /nfs/dbraw/zinc/12/17/53/135121753.db2.gz USRNGJHIVUCRBS-UHFFFAOYSA-N 0 3 218.325 2.741 20 0 BFADHN CCC(CC)NC(=O)[C@@H](C)N1CCCCCC1 ZINC000253373430 135127011 /nfs/dbraw/zinc/12/70/11/135127011.db2.gz IUQBQOCBSZOCFL-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@H]1CCCN(CCOc2ccccc2F)C1 ZINC000253601678 135127401 /nfs/dbraw/zinc/12/74/01/135127401.db2.gz VTFXAARPYUZZFK-LBPRGKRZSA-N 0 3 237.318 2.936 20 0 BFADHN COc1ccccc1/C=C\CN1CCCC1 ZINC000255051803 135128620 /nfs/dbraw/zinc/12/86/20/135128620.db2.gz YPNIBEHWGIVDIP-VURMDHGXSA-N 0 3 217.312 2.804 20 0 BFADHN N[C@H](CC1CCCCC1)C(=O)Nc1ccccc1 ZINC000257726355 135140776 /nfs/dbraw/zinc/14/07/76/135140776.db2.gz VNFARSAFDZWINU-CQSZACIVSA-N 0 3 246.354 2.923 20 0 BFADHN Clc1ccc(CNC[C@@H]2CCCS2)o1 ZINC000087473072 135142375 /nfs/dbraw/zinc/14/23/75/135142375.db2.gz SYDPMYFEXUNNPK-VIFPVBQESA-N 0 3 231.748 2.918 20 0 BFADHN Cc1ccc(CNCc2ccn(C)c2)cc1F ZINC000267033967 135151284 /nfs/dbraw/zinc/15/12/84/135151284.db2.gz BNQSTIUJSFWCCB-UHFFFAOYSA-N 0 3 232.302 2.762 20 0 BFADHN CCNCc1nc(C(C)(C)CC)cs1 ZINC000261528001 135153117 /nfs/dbraw/zinc/15/31/17/135153117.db2.gz IMIHSRLCRDEYAQ-UHFFFAOYSA-N 0 3 212.362 2.940 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H]2CCOC2)s1 ZINC000087784171 135169929 /nfs/dbraw/zinc/16/99/29/135169929.db2.gz BXYMIQFGKIEBSB-NXEZZACHSA-N 0 3 225.357 2.750 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CCOC2)s1 ZINC000087784177 135170136 /nfs/dbraw/zinc/17/01/36/135170136.db2.gz BXYMIQFGKIEBSB-UWVGGRQHSA-N 0 3 225.357 2.750 20 0 BFADHN CCC[C@](C)(N)C(=O)N(C)c1cc(C)cc(C)c1 ZINC000261548573 135187679 /nfs/dbraw/zinc/18/76/79/135187679.db2.gz DRNNZPDZLVLRME-HNNXBMFYSA-N 0 3 248.370 2.784 20 0 BFADHN Cc1ncsc1CNCCOC1CCCC1 ZINC000084149427 135187992 /nfs/dbraw/zinc/18/79/92/135187992.db2.gz KWIXCRQRNXSCGP-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN C[C@@H](NCCOC(F)(F)F)c1ccsc1 ZINC000084177990 135189593 /nfs/dbraw/zinc/18/95/93/135189593.db2.gz DVSCFEACSIRFBZ-SSDOTTSWSA-N 0 3 239.262 2.935 20 0 BFADHN Cc1ccc(CNCCOC(F)(F)F)s1 ZINC000084177946 135189809 /nfs/dbraw/zinc/18/98/09/135189809.db2.gz MUAKHPWFGSSFAI-UHFFFAOYSA-N 0 3 239.262 2.683 20 0 BFADHN C[C@@H]1CCN(Cc2cnc(N)s2)CC1(C)C ZINC000261562266 135203729 /nfs/dbraw/zinc/20/37/29/135203729.db2.gz BEUMUEYNYNQJAF-SECBINFHSA-N 0 3 239.388 2.593 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H](C(C)(C)C)C2)n1 ZINC000163857700 135208083 /nfs/dbraw/zinc/20/80/83/135208083.db2.gz OFJFQFYURHUNTD-GFCCVEGCSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H](C(C)(C)C)C2)n1 ZINC000163857700 135208085 /nfs/dbraw/zinc/20/80/85/135208085.db2.gz OFJFQFYURHUNTD-GFCCVEGCSA-N 0 3 248.370 2.964 20 0 BFADHN CC[C@H](N[C@@H](C)COC)c1cccc(F)c1 ZINC000164071590 135213000 /nfs/dbraw/zinc/21/30/00/135213000.db2.gz DSNLQUVUPIEURS-GWCFXTLKSA-N 0 3 225.307 2.901 20 0 BFADHN Cc1ccoc1CNC[C@H]1CCCCS1 ZINC000164246412 135217431 /nfs/dbraw/zinc/21/74/31/135217431.db2.gz IBKUZHLMXBNDOX-LLVKDONJSA-N 0 3 225.357 2.963 20 0 BFADHN CC(C)C[C@@H]1CCN(Cc2cnc(N)s2)C1 ZINC000261587654 135228299 /nfs/dbraw/zinc/22/82/99/135228299.db2.gz RXPKXMKMVAKDNU-JTQLQIEISA-N 0 3 239.388 2.593 20 0 BFADHN CCCN1CCNC[C@@H]1c1ccccc1Cl ZINC000261625617 135244317 /nfs/dbraw/zinc/24/43/17/135244317.db2.gz DIPXNBLPJWNUFG-CYBMUJFWSA-N 0 3 238.762 2.696 20 0 BFADHN CC(C)C[C@H]1COCCN1Cc1cccc(N)c1 ZINC000261712463 135247600 /nfs/dbraw/zinc/24/76/00/135247600.db2.gz PTLNEDLMWMBEIS-HNNXBMFYSA-N 0 3 248.370 2.516 20 0 BFADHN Fc1cccc(N2CCCC2)c1CNCC1CC1 ZINC000078889874 135250494 /nfs/dbraw/zinc/25/04/94/135250494.db2.gz FHFSKTRMEQOMPU-UHFFFAOYSA-N 0 3 248.345 2.926 20 0 BFADHN CC[C@@H](NCc1cn(C(C)C)nn1)C(C)(C)C ZINC000342229918 135253865 /nfs/dbraw/zinc/25/38/65/135253865.db2.gz LTOLEYJSTPQFAA-GFCCVEGCSA-N 0 3 238.379 2.773 20 0 BFADHN FCCN[C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000342232653 135254384 /nfs/dbraw/zinc/25/43/84/135254384.db2.gz PTVXNYDHFNNUAW-JSGCOSHPSA-N 0 3 237.318 2.713 20 0 BFADHN FCCN[C@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000342232651 135254544 /nfs/dbraw/zinc/25/45/44/135254544.db2.gz PTVXNYDHFNNUAW-GXTWGEPZSA-N 0 3 237.318 2.713 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN[C@@H]1CC[C@H](F)C1 ZINC000342285494 135255557 /nfs/dbraw/zinc/25/55/57/135255557.db2.gz ROGIJWNFUXBDDS-WDEREUQCSA-N 0 3 239.338 2.687 20 0 BFADHN CC(C)(NCc1cnc(N)s1)c1ccccc1 ZINC000261826806 135256549 /nfs/dbraw/zinc/25/65/49/135256549.db2.gz IWGJGRROWIZACQ-UHFFFAOYSA-N 0 3 247.367 2.750 20 0 BFADHN COc1ccccc1/C=C\CN[C@H](C)[C@H](C)OC ZINC000342277145 135260284 /nfs/dbraw/zinc/26/02/84/135260284.db2.gz BBTVLLYTWCGZON-HYOAPIGFSA-N 0 3 249.354 2.721 20 0 BFADHN Cn1cncc1CN1CC(C)(C)CC(C)(C)C1 ZINC000342359636 135262208 /nfs/dbraw/zinc/26/22/08/135262208.db2.gz VKYDYFIEGNUFBI-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN Fc1cccc2[nH]c(CN[C@@H]3CCCOC3)cc21 ZINC000342327634 135264651 /nfs/dbraw/zinc/26/46/51/135264651.db2.gz VGLAGTJZAXCGRQ-SNVBAGLBSA-N 0 3 248.301 2.576 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1cc(C)ccc1C ZINC000261994433 135270457 /nfs/dbraw/zinc/27/04/57/135270457.db2.gz NEOIXLXJUVGMGJ-LBPRGKRZSA-N 0 3 234.343 2.630 20 0 BFADHN Cc1ccc([C@@H](NC[C@@H](C)O)C2CCCC2)o1 ZINC000342533304 135281090 /nfs/dbraw/zinc/28/10/90/135281090.db2.gz CWMWCNXJEIATCF-YGRLFVJLSA-N 0 3 237.343 2.790 20 0 BFADHN CC(C)N(CC(=O)N(C(C)C)C(C)C)C1CC1 ZINC000342623398 135296509 /nfs/dbraw/zinc/29/65/09/135296509.db2.gz PFRWERUKBVXXOX-UHFFFAOYSA-N 0 3 240.391 2.505 20 0 BFADHN CCC1CC(N[C@H](C)c2nnc3ccccn32)C1 ZINC000342621639 135296730 /nfs/dbraw/zinc/29/67/30/135296730.db2.gz CKILOSUVQVAZLD-VOMCLLRMSA-N 0 3 244.342 2.569 20 0 BFADHN CC/C=C\CCN[C@H](CO)c1cccc(F)c1 ZINC000342642544 135299947 /nfs/dbraw/zinc/29/99/47/135299947.db2.gz QRTILYACUASDLX-VQTKUKTRSA-N 0 3 237.318 2.805 20 0 BFADHN C[C@H](CCC(C)(C)C)N[C@@H](C)c1ncnn1C ZINC000342597201 135301125 /nfs/dbraw/zinc/30/11/25/135301125.db2.gz CWPGHSWGEZULED-MNOVXSKESA-N 0 3 238.379 2.681 20 0 BFADHN Cc1cccc(C)c1CN1CCSCC1 ZINC000342687468 135305541 /nfs/dbraw/zinc/30/55/41/135305541.db2.gz FMYNUPNUVXVGNK-UHFFFAOYSA-N 0 3 221.369 2.852 20 0 BFADHN COCCN(C)[C@H]1CCc2ccc(Cl)cc21 ZINC000342693774 135306313 /nfs/dbraw/zinc/30/63/13/135306313.db2.gz MYZKPPPHPBROMW-ZDUSSCGKSA-N 0 3 239.746 2.906 20 0 BFADHN CCCC[C@H](N)C(=O)N1CCC[C@@H](CC)CC1 ZINC000079339319 135307563 /nfs/dbraw/zinc/30/75/63/135307563.db2.gz UBVJRXDNRTZQFE-OLZOCXBDSA-N 0 3 240.391 2.543 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@@H](C)CCC(C)(C)C ZINC000262714019 135315076 /nfs/dbraw/zinc/31/50/76/135315076.db2.gz SZSOYEBQPBDIFE-FZMZJTMJSA-N 0 3 242.407 2.835 20 0 BFADHN Cc1cnc(CN2CCC[C@H]2C2CCCC2)n1C ZINC000342796329 135319265 /nfs/dbraw/zinc/31/92/65/135319265.db2.gz YAPGBNMNOZXLPG-AWEZNQCLSA-N 0 3 247.386 2.883 20 0 BFADHN C[C@@H](N[C@H]1CCCC(F)(F)C1)c1cnccn1 ZINC000334277750 135333785 /nfs/dbraw/zinc/33/37/85/135333785.db2.gz OOUVHZJTLNOWEP-ZJUUUORDSA-N 0 3 241.285 2.705 20 0 BFADHN CC[C@@H](C)NCc1nccn1Cc1ccccc1 ZINC000342911081 135334154 /nfs/dbraw/zinc/33/41/54/135334154.db2.gz KHHISVJPJYPJHD-CYBMUJFWSA-N 0 3 243.354 2.820 20 0 BFADHN CN(Cc1cc(Cl)cs1)[C@@H]1CCC[C@H]1O ZINC000334307066 135348848 /nfs/dbraw/zinc/34/88/48/135348848.db2.gz HOUSMYGBEAFERP-GHMZBOCLSA-N 0 3 245.775 2.747 20 0 BFADHN C[C@]1(O)CCN(Cc2cc3cc(F)ccc3o2)C1 ZINC000334325965 135354055 /nfs/dbraw/zinc/35/40/55/135354055.db2.gz BIESGZAMJAFQST-AWEZNQCLSA-N 0 3 249.285 2.529 20 0 BFADHN CC[C@H](NCCc1ccncc1)c1nccs1 ZINC000080278324 135368407 /nfs/dbraw/zinc/36/84/07/135368407.db2.gz DPLRLGQHEGDOJN-LBPRGKRZSA-N 0 3 247.367 2.822 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCCOC2)cc1 ZINC000334370775 135375174 /nfs/dbraw/zinc/37/51/74/135375174.db2.gz PBQGQMWFNSCNOA-CQSZACIVSA-N 0 3 219.328 2.606 20 0 BFADHN CC(C)n1nccc1CN1C[C@H]2CCC[C@@H]2C1 ZINC000334373544 135376341 /nfs/dbraw/zinc/37/63/41/135376341.db2.gz SZXDLMVVXSIUNN-CHWSQXEVSA-N 0 3 233.359 2.696 20 0 BFADHN Cc1c[nH]nc1CN1CCC2(C1)CCCCC2 ZINC000334390311 135383611 /nfs/dbraw/zinc/38/36/11/135383611.db2.gz SXYYOYPENZCQAX-UHFFFAOYSA-N 0 3 233.359 2.874 20 0 BFADHN CCCCOCCNCc1sc(C)nc1C ZINC000080694254 135384132 /nfs/dbraw/zinc/38/41/32/135384132.db2.gz YHDBKYYVMAVVDH-UHFFFAOYSA-N 0 3 242.388 2.666 20 0 BFADHN CC[C@@H](F)CN1CCC2(CCOCC2)CC1 ZINC000334394006 135385769 /nfs/dbraw/zinc/38/57/69/135385769.db2.gz BHCNAZXPDLFMPN-GFCCVEGCSA-N 0 3 229.339 2.627 20 0 BFADHN CC(C)CN1CCN(C)CC12CCCCC2 ZINC000081598449 135387493 /nfs/dbraw/zinc/38/74/93/135387493.db2.gz JUUZFTNTHCHKJJ-UHFFFAOYSA-N 0 3 224.392 2.593 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C(C)C)C2)on1 ZINC000334415740 135394978 /nfs/dbraw/zinc/39/49/78/135394978.db2.gz NXQQYHOIGWGVAE-GFCCVEGCSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1nccc(CN2CCCC(C)(C)CC2)n1 ZINC000334419080 135399290 /nfs/dbraw/zinc/39/92/90/135399290.db2.gz ZAIGEZMYCXILJZ-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CO[C@H]1CCN(Cc2ccoc2C)[C@@H](C)C1 ZINC000334608319 135784616 /nfs/dbraw/zinc/78/46/16/135784616.db2.gz DXOLHSNNXXZJCY-GWCFXTLKSA-N 0 3 223.316 2.587 20 0 BFADHN C[C@H]1CN(CC23CCC(CC2)C3)C[C@H](C)O1 ZINC000347734675 136004803 /nfs/dbraw/zinc/00/48/03/136004803.db2.gz ZAIFRRFLPVOXIF-FEPKRQSRSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@H]1CN(CC23CCC(CC2)C3)[C@@H](C)CO1 ZINC000347744376 136011169 /nfs/dbraw/zinc/01/11/69/136011169.db2.gz SVTVMNRLRWNGDN-FEPKRQSRSA-N 0 3 223.360 2.676 20 0 BFADHN CCc1ccc([C@@H](COC)NCCC2CC2)o1 ZINC000347850015 136012560 /nfs/dbraw/zinc/01/25/60/136012560.db2.gz SVTBUQNVLJSOIL-CYBMUJFWSA-N 0 3 237.343 2.919 20 0 BFADHN CCc1ccc(CN2CC[C@H](CC)C2)cn1 ZINC000347911091 136015928 /nfs/dbraw/zinc/01/59/28/136015928.db2.gz KNYUJNWOHBYLOE-LBPRGKRZSA-N 0 3 218.344 2.876 20 0 BFADHN CCOC[C@@H]1CCN(Cc2ccc(CC)nc2)C1 ZINC000347875606 136016814 /nfs/dbraw/zinc/01/68/14/136016814.db2.gz WKNNWKDMNYOTSF-CQSZACIVSA-N 0 3 248.370 2.502 20 0 BFADHN FC(F)n1ccnc1CN[C@@H]1CC[C@@H]1C1CC1 ZINC000348058252 136024122 /nfs/dbraw/zinc/02/41/22/136024122.db2.gz DNKOZQZDPJIQGS-NXEZZACHSA-N 0 3 241.285 2.556 20 0 BFADHN Cc1nocc1CNC1C(C)(C)C1(C)C ZINC000335314004 136031453 /nfs/dbraw/zinc/03/14/53/136031453.db2.gz MSOKCWMVYBSHEX-UHFFFAOYSA-N 0 3 208.305 2.507 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1cn2ccccc2n1 ZINC000348088201 136035124 /nfs/dbraw/zinc/03/51/24/136035124.db2.gz QTMHTPVLHXNJFE-DGCLKSJQSA-N 0 3 229.327 2.613 20 0 BFADHN C[C@H](N[C@H]1C[C@H]1C(F)F)c1ccccc1 ZINC000348148017 136039505 /nfs/dbraw/zinc/03/95/05/136039505.db2.gz HQXHUFVYUKCCRX-GDPRMGEGSA-N 0 3 211.255 2.991 20 0 BFADHN Cc1cnn(C)c1CN1CCCCC(C)(C)C1 ZINC000348212017 136045192 /nfs/dbraw/zinc/04/51/92/136045192.db2.gz CLYFRMBHGDAUFJ-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1nccc(CN(C)[C@H]2CCCC[C@@H]2C)n1 ZINC000335339676 136045870 /nfs/dbraw/zinc/04/58/70/136045870.db2.gz SJWXLWVCWPATAH-FZMZJTMJSA-N 0 3 233.359 2.796 20 0 BFADHN Fc1ccc(CN[C@H]2C[C@H]2C(F)F)cc1 ZINC000348225240 136048155 /nfs/dbraw/zinc/04/81/55/136048155.db2.gz SXDQXQMWBWNYIA-ZJUUUORDSA-N 0 3 215.218 2.569 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@H]2C(F)F)cc1F ZINC000348192148 136049296 /nfs/dbraw/zinc/04/92/96/136049296.db2.gz XFELYQQQABYSAH-KOLCDFICSA-N 0 3 229.245 2.877 20 0 BFADHN Cc1cnc([C@@H](C)N2CCC(C)(C)CC2)cn1 ZINC000335332889 136054446 /nfs/dbraw/zinc/05/44/46/136054446.db2.gz FZVJNAFYUHDNBH-GFCCVEGCSA-N 0 3 233.359 2.968 20 0 BFADHN Cc1cnn(C)c1CN(C)C[C@@H]1CC=CCC1 ZINC000348262907 136057778 /nfs/dbraw/zinc/05/77/78/136057778.db2.gz RCPVJWVHVZYSAS-CYBMUJFWSA-N 0 3 233.359 2.517 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2C[C@@H]2C(F)F)cc1 ZINC000348275857 136059114 /nfs/dbraw/zinc/05/91/14/136059114.db2.gz MPHAIFWWPHWQRK-UWJYBYFXSA-N 0 3 241.281 2.999 20 0 BFADHN C[C@H]1CN(CCc2ccccc2)C[C@@H](C2CC2)O1 ZINC000348234117 136060977 /nfs/dbraw/zinc/06/09/77/136060977.db2.gz SICYJIWARRGTNJ-BBRMVZONSA-N 0 3 245.366 2.728 20 0 BFADHN C[C@@H]1CN([C@@H]2C=CCCC2)C[C@@H](C2CC2)O1 ZINC000348231781 136061036 /nfs/dbraw/zinc/06/10/36/136061036.db2.gz PMPDGDLOZFXRIQ-BNOWGMLFSA-N 0 3 221.344 2.594 20 0 BFADHN OC[C@@]1(F)CCN(CCC2CCCCCC2)C1 ZINC000348285996 136061173 /nfs/dbraw/zinc/06/11/73/136061173.db2.gz VNJWDKMPGMOXDX-CQSZACIVSA-N 0 3 243.366 2.753 20 0 BFADHN C[C@H]1[C@H](C(F)(F)F)CCN1CCCF ZINC000348288536 136061968 /nfs/dbraw/zinc/06/19/68/136061968.db2.gz WMXHWOZTNQKRHZ-JGVFFNPUSA-N 0 3 213.218 2.619 20 0 BFADHN COc1cccc([C@H](C)N[C@H]2C[C@@H]2C(F)F)c1 ZINC000348299104 136063329 /nfs/dbraw/zinc/06/33/29/136063329.db2.gz DNPMFQGNZOMQOD-UWJYBYFXSA-N 0 3 241.281 2.999 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1C[C@@H]1C(F)F ZINC000348300875 136063479 /nfs/dbraw/zinc/06/34/79/136063479.db2.gz IBKZUYMFFZVWPK-INTQDDNPSA-N 0 3 241.281 2.999 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2CC[C@H]2C)c(F)cn1 ZINC000348301054 136063800 /nfs/dbraw/zinc/06/38/00/136063800.db2.gz KJPMOBKLORGLAX-VDDIYKPWSA-N 0 3 238.306 2.678 20 0 BFADHN CC(C)c1nc(CN2C[C@@H]3CCCC[C@@H]3C2)n[nH]1 ZINC000335341706 136064186 /nfs/dbraw/zinc/06/41/86/136064186.db2.gz SXYLWWHEAMFFJU-TXEJJXNPSA-N 0 3 248.374 2.550 20 0 BFADHN CCC(C)(C)N(C)CC(=O)N1CCCC[C@@H]1C ZINC000348319938 136069062 /nfs/dbraw/zinc/06/90/62/136069062.db2.gz KJFWVTJYOWPODG-LBPRGKRZSA-N 0 3 240.391 2.508 20 0 BFADHN CC1CN(Cc2ccc3occc3c2)C1 ZINC000348358076 136072864 /nfs/dbraw/zinc/07/28/64/136072864.db2.gz JANZZXHVJHGGCZ-UHFFFAOYSA-N 0 3 201.269 2.885 20 0 BFADHN C[C@@H]1CCC[C@H](CN(C)Cc2ccnn2C)C1 ZINC000348369960 136073050 /nfs/dbraw/zinc/07/30/50/136073050.db2.gz LNXUCMJOBCOWKL-OLZOCXBDSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@@]1(F)CCCN(C[C@H]2CCSC2)C1 ZINC000335357594 136074661 /nfs/dbraw/zinc/07/46/61/136074661.db2.gz KPNXTADLHLQSRU-GHMZBOCLSA-N 0 3 217.353 2.564 20 0 BFADHN Cc1ccn2c(CNC3CC(C)(F)C3)cnc2c1 ZINC000335374890 136085170 /nfs/dbraw/zinc/08/51/70/136085170.db2.gz BBYUMLSSDNNUAP-UHFFFAOYSA-N 0 3 247.317 2.623 20 0 BFADHN C[C@@H]1C[C@H](O)CN1Cc1cccc(C(F)F)c1 ZINC000335383480 136088015 /nfs/dbraw/zinc/08/80/15/136088015.db2.gz QHFKNUHRJHMSTM-SKDRFNHKSA-N 0 3 241.281 2.579 20 0 BFADHN Cc1cnc(CN[C@H]2CCC[C@@H](F)C2)s1 ZINC000335384197 136088507 /nfs/dbraw/zinc/08/85/07/136088507.db2.gz DRWSKVRAZZMZLF-ZJUUUORDSA-N 0 3 228.336 2.822 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2CCC[C@@H](F)C2)c1 ZINC000335384890 136088519 /nfs/dbraw/zinc/08/85/19/136088519.db2.gz HGHNHTBNAKJXGH-ZYHUDNBSSA-N 0 3 241.281 2.902 20 0 BFADHN CC1(C(N)=O)CN(c2ccnc3ccccc32)C1 ZINC000335387550 136089319 /nfs/dbraw/zinc/08/93/19/136089319.db2.gz GRZIZXFJNNVJGC-UHFFFAOYSA-N 0 3 241.294 2.596 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc3occc3c2)CO1 ZINC000335392868 136091313 /nfs/dbraw/zinc/09/13/13/136091313.db2.gz NIAGPKLVQNQYDU-ZWNOBZJWSA-N 0 3 231.295 2.700 20 0 BFADHN Cc1cccc([C@@H](C)N2CC(C)(C(N)=O)C2)c1 ZINC000335395608 136092602 /nfs/dbraw/zinc/09/26/02/136092602.db2.gz BOBRDFTYKAAZHC-LLVKDONJSA-N 0 3 232.327 2.913 20 0 BFADHN C[C@@H](N[C@H](c1nccn1C)C1CC1)C1CCC1 ZINC000348549546 136095814 /nfs/dbraw/zinc/09/58/14/136095814.db2.gz RUIAGJSQSDHQFL-MFKMUULPSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@@]1(O)CCN(Cc2cccc(C3CC3)c2)C1 ZINC000335550497 136097781 /nfs/dbraw/zinc/09/77/81/136097781.db2.gz LCLNQDITWISSPK-OAHLLOKOSA-N 0 3 231.339 2.521 20 0 BFADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1ccncn1 ZINC000335572834 136110454 /nfs/dbraw/zinc/11/04/54/136110454.db2.gz RQKAQKIELOPICR-VHSXEESVSA-N 0 3 241.285 2.705 20 0 BFADHN CC[C@@H]1CN(CC2CC(F)(F)C2)CCS1 ZINC000335580889 136112576 /nfs/dbraw/zinc/11/25/76/136112576.db2.gz OLGGYAKTJLQECN-SNVBAGLBSA-N 0 3 235.343 2.859 20 0 BFADHN c1c2cccnc2oc1CN[C@H]1CCCSC1 ZINC000335582595 136113040 /nfs/dbraw/zinc/11/30/40/136113040.db2.gz XUVFAYNPETXMOV-NSHDSACASA-N 0 3 248.351 2.813 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCCOC2)ccc1F ZINC000348705841 136116387 /nfs/dbraw/zinc/11/63/87/136116387.db2.gz GVFDHHNWXLUBHQ-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1ccc(F)c(C)c1 ZINC000050545741 322850688 /nfs/dbraw/zinc/85/06/88/322850688.db2.gz OACJRQALYOHOFD-ZIAGYGMSSA-N 0 3 237.318 2.791 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1ccncc1 ZINC000160970185 397772604 /nfs/dbraw/zinc/77/26/04/397772604.db2.gz BHIREQXKYNJDLL-KWCYVHTRSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1cnc(C(C)(C)NC[C@H]2C[C@@H]2C)s1 ZINC000309101814 397850880 /nfs/dbraw/zinc/85/08/80/397850880.db2.gz GEPJGYHRBQYRRE-WCBMZHEXSA-N 0 3 224.373 2.932 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000185822941 397874835 /nfs/dbraw/zinc/87/48/35/397874835.db2.gz UMMWCYAXTBELTJ-HKQTVCOJSA-N 0 3 249.354 2.820 20 0 BFADHN CN([C@@H](CO)c1ccccc1)[C@@H]1C=CCCC1 ZINC000264980008 397929745 /nfs/dbraw/zinc/92/97/45/397929745.db2.gz KIVUDBNTXUTHFF-CABCVRRESA-N 0 3 231.339 2.761 20 0 BFADHN CC[C@H](F)CN[C@@H](C)[C@H]1COc2ccccc21 ZINC000440529905 397932445 /nfs/dbraw/zinc/93/24/45/397932445.db2.gz XGJKXANBCPVNFP-GMXVVIOVSA-N 0 3 237.318 2.889 20 0 BFADHN CCc1ncc(CN(C)CCC2CC2)s1 ZINC000266560139 397937099 /nfs/dbraw/zinc/93/70/99/397937099.db2.gz XDAPMTKFQFGZTA-UHFFFAOYSA-N 0 3 224.373 2.937 20 0 BFADHN Cc1nc(C)c(CNCCc2cccs2)o1 ZINC000382357318 397937200 /nfs/dbraw/zinc/93/72/00/397937200.db2.gz IHJQUGPECHWAKG-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN CCCc1ccc(CN2CC[C@@H](C)[C@@H](O)C2)cc1 ZINC000357573610 397938308 /nfs/dbraw/zinc/93/83/08/397938308.db2.gz SRPHDTMCDVMLPR-CJNGLKHVSA-N 0 3 247.382 2.842 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cc2n(n1)CCC2 ZINC000649547204 397938742 /nfs/dbraw/zinc/93/87/42/397938742.db2.gz NMLCBUVDDKKHLW-LBPRGKRZSA-N 0 3 235.375 2.697 20 0 BFADHN Cc1occc1CN1CC[C@H](C)C[C@H]1[C@@H](C)O ZINC000414441380 397939531 /nfs/dbraw/zinc/93/95/31/397939531.db2.gz WKRPTCOMRBKMIH-WDMOLILDSA-N 0 3 237.343 2.569 20 0 BFADHN Cc1occc1CN1CC[C@H](C)C[C@@H]1[C@@H](C)O ZINC000414441378 397939862 /nfs/dbraw/zinc/93/98/62/397939862.db2.gz WKRPTCOMRBKMIH-MISXGVKJSA-N 0 3 237.343 2.569 20 0 BFADHN CC(C)Oc1ccnc(CN2C[C@@H](C)[C@H](C)C2)c1 ZINC000649545706 397940389 /nfs/dbraw/zinc/94/03/89/397940389.db2.gz OFPFMRKMPDVLAF-CHWSQXEVSA-N 0 3 248.370 2.957 20 0 BFADHN C[C@H](F)CCN[C@H]1CCCc2cccnc21 ZINC000382381056 397944771 /nfs/dbraw/zinc/94/47/71/397944771.db2.gz JLQRLBLNBLGMHL-JQWIXIFHSA-N 0 3 222.307 2.797 20 0 BFADHN CC(C)N(Cc1cc2n(n1)CCCC2)CC1CC1 ZINC000649554151 397947127 /nfs/dbraw/zinc/94/71/27/397947127.db2.gz PVNZXVFZUJUATJ-UHFFFAOYSA-N 0 3 247.386 2.840 20 0 BFADHN CC(C)N(Cc1cc2n(n1)CCC2)C1CCC1 ZINC000649561620 397952176 /nfs/dbraw/zinc/95/21/76/397952176.db2.gz DHSWRECDYIRLSF-UHFFFAOYSA-N 0 3 233.359 2.592 20 0 BFADHN CC(C)N(Cc1cc2n(n1)CCCC2)C1CCC1 ZINC000649561940 397953151 /nfs/dbraw/zinc/95/31/51/397953151.db2.gz PCOHQMGAWXFFDW-UHFFFAOYSA-N 0 3 247.386 2.982 20 0 BFADHN CCC1(C)CN(C[C@@H](O)CCC(C)C)C1 ZINC000359501455 397955445 /nfs/dbraw/zinc/95/54/45/397955445.db2.gz CTYGSOBFRCPLJC-LBPRGKRZSA-N 0 3 213.365 2.515 20 0 BFADHN C[C@H](N[C@@H]1CCCc2cn[nH]c21)c1ccncc1 ZINC000271432626 397967819 /nfs/dbraw/zinc/96/78/19/397967819.db2.gz BFEVTORGRNJBJY-GXFFZTMASA-N 0 3 242.326 2.533 20 0 BFADHN CC[C@H](C)N1CCN([C@H](C)c2ccncc2)CC1 ZINC000650178073 397956854 /nfs/dbraw/zinc/95/68/54/397956854.db2.gz VNAYCZAPSLRCQP-UONOGXRCSA-N 0 3 247.386 2.559 20 0 BFADHN CC[C@@H](C(=O)N(C(C)C)C1CC1)N(CC)CC ZINC000359784262 397957839 /nfs/dbraw/zinc/95/78/39/397957839.db2.gz BAPRPJIAEDLIOB-ZDUSSCGKSA-N 0 3 240.391 2.506 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cc2n(n1)CCC2 ZINC000649572798 397963911 /nfs/dbraw/zinc/96/39/11/397963911.db2.gz JKKDBQTVXUXVGM-WCQYABFASA-N 0 3 233.359 2.592 20 0 BFADHN COc1cc(CN2C[C@H](C)C[C@H](C)[C@H]2C)ccn1 ZINC000355785298 397922314 /nfs/dbraw/zinc/92/23/14/397922314.db2.gz RCJYDMWGRKOPAW-FRRDWIJNSA-N 0 3 248.370 2.957 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cc2n(n1)CCCC2 ZINC000649573021 397964577 /nfs/dbraw/zinc/96/45/77/397964577.db2.gz QDSIHSSWHQFRAX-GXTWGEPZSA-N 0 3 247.386 2.982 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000382241626 397926715 /nfs/dbraw/zinc/92/67/15/397926715.db2.gz YBUOAVHDTZBJHS-GRYCIOLGSA-N 0 3 220.316 2.570 20 0 BFADHN CCC[C@@H](C)CCCN1CCc2c[nH]nc2C1 ZINC000625260881 397991674 /nfs/dbraw/zinc/99/16/74/397991674.db2.gz CLZRXERJLJGKCV-GFCCVEGCSA-N 0 3 235.375 2.984 20 0 BFADHN c1coc(-c2noc([C@@H]3CC[C@H](C4CC4)N3)n2)c1 ZINC000650229211 397999466 /nfs/dbraw/zinc/99/94/66/397999466.db2.gz SBXZPOCZEJTZIS-ZJUUUORDSA-N 0 3 245.282 2.533 20 0 BFADHN C[C@@H]1CCC[C@@H](C(=O)Nc2cccc(CN)c2)C1 ZINC000383159106 398007994 /nfs/dbraw/zinc/00/79/94/398007994.db2.gz IRQCSCLGAWLICC-DGCLKSJQSA-N 0 3 246.354 2.910 20 0 BFADHN Cn1ccnc1CNCCC1=CCCCCC1 ZINC000274291316 398008182 /nfs/dbraw/zinc/00/81/82/398008182.db2.gz KMVSZDWIDREOSE-UHFFFAOYSA-N 0 3 233.359 2.790 20 0 BFADHN c1coc(CN2CCC([C@@H]3CCOC3)CC2)c1 ZINC000372930063 398008340 /nfs/dbraw/zinc/00/83/40/398008340.db2.gz QWDHGNSQULFCTR-CYBMUJFWSA-N 0 3 235.327 2.528 20 0 BFADHN C[C@@H]1CCC[C@H](C(=O)Nc2cccc(CN)c2)C1 ZINC000383159110 398008369 /nfs/dbraw/zinc/00/83/69/398008369.db2.gz IRQCSCLGAWLICC-YPMHNXCESA-N 0 3 246.354 2.910 20 0 BFADHN C[C@@H]1CCCC[C@@]1(C)NCc1cc2n(n1)CCC2 ZINC000649627097 398009101 /nfs/dbraw/zinc/00/91/01/398009101.db2.gz UUYZSHDWJCCROG-IUODEOHRSA-N 0 3 247.386 2.888 20 0 BFADHN CCN(Cc1occc1C)C[C@H]1CCCN1C ZINC000649630551 398011209 /nfs/dbraw/zinc/01/12/09/398011209.db2.gz WUYHQBSOKQIASC-CYBMUJFWSA-N 0 3 236.359 2.504 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1cncc(C)c1 ZINC000274665314 398012180 /nfs/dbraw/zinc/01/21/80/398012180.db2.gz XARGTLOXTUEWKA-AEGPPILISA-N 0 3 248.370 2.998 20 0 BFADHN CC(C)=CCN1C[C@@H](C)OC2(CCCC2)C1 ZINC000646132547 398019901 /nfs/dbraw/zinc/01/99/01/398019901.db2.gz AYGOVWBTXBQKMN-CYBMUJFWSA-N 0 3 223.360 2.986 20 0 BFADHN C[C@@H](c1ccccc1)N(C)Cc1ccc(N)nc1 ZINC000383634080 398033006 /nfs/dbraw/zinc/03/30/06/398033006.db2.gz CKTDOOXBVUONKM-LBPRGKRZSA-N 0 3 241.338 2.687 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cc3n(n2)CCC3)[C@@H](C)C1 ZINC000649587585 397970917 /nfs/dbraw/zinc/97/09/17/397970917.db2.gz MPRXBAZTCOZBID-TYNCELHUSA-N 0 3 247.386 2.744 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cc2n(n1)CCCC2 ZINC000649588083 397972630 /nfs/dbraw/zinc/97/26/30/397972630.db2.gz AUSDQDHOOXCCKJ-IUODEOHRSA-N 0 3 247.386 2.840 20 0 BFADHN COC[C@H](NC1(c2ccccc2)CC1)C(C)C ZINC000271981488 397975906 /nfs/dbraw/zinc/97/59/06/397975906.db2.gz JXUXMESDDFVDHQ-AWEZNQCLSA-N 0 3 233.355 2.936 20 0 BFADHN CCO[C@@H]1CCN(CCC(F)(F)F)C[C@H]1C ZINC000451552475 397976309 /nfs/dbraw/zinc/97/63/09/397976309.db2.gz YPPNBBCAZNYPOL-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H]1C[C@@H](C)[C@H](C)N(Cc2cc3n(n2)CCC3)C1 ZINC000649591632 397979027 /nfs/dbraw/zinc/97/90/27/397979027.db2.gz CRLDBMXCZZORAM-UPJWGTAASA-N 0 3 247.386 2.696 20 0 BFADHN CC[C@@H]1COC(C)(C)CN1CC1=CCCC1 ZINC000649718306 398059928 /nfs/dbraw/zinc/05/99/28/398059928.db2.gz XKRWLEDVKOTZML-CYBMUJFWSA-N 0 3 223.360 2.986 20 0 BFADHN COC[C@]1(C)CCN([C@H](C)c2ccncc2)C1 ZINC000649764344 398092320 /nfs/dbraw/zinc/09/23/20/398092320.db2.gz IKEQWHZLTYCKOE-TZMCWYRMSA-N 0 3 234.343 2.501 20 0 BFADHN CC[C@@H]1CN(CC=C(C)C)[C@H](CC)CO1 ZINC000649719742 398062682 /nfs/dbraw/zinc/06/26/82/398062682.db2.gz WOWLBMLMTJRSGJ-CHWSQXEVSA-N 0 3 211.349 2.842 20 0 BFADHN CC[C@H]1CN(CCc2ccccc2)[C@@H](C)CO1 ZINC000649731661 398071549 /nfs/dbraw/zinc/07/15/49/398071549.db2.gz KTJWNSVPFDFMAX-ZFWWWQNUSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@@]1(NCc2cccc(C)c2F)CCOC1 ZINC000384490287 398093569 /nfs/dbraw/zinc/09/35/69/398093569.db2.gz KNHSXBGRLDNDEK-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN CC[C@@H]1CN([C@H]2C=CCCC2)[C@@H](C)CO1 ZINC000649733043 398073431 /nfs/dbraw/zinc/07/34/31/398073431.db2.gz FAAGPUMZCVSKTI-RWMBFGLXSA-N 0 3 209.333 2.594 20 0 BFADHN CC[C@H]1CN(CCCc2ccncc2)[C@@H](C)CO1 ZINC000649735232 398077078 /nfs/dbraw/zinc/07/70/78/398077078.db2.gz HWRFZBACSOMDIS-ZFWWWQNUSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@@H]1CN(C[C@H](CC(C)C)OC)[C@@H](C)CO1 ZINC000649737107 398079531 /nfs/dbraw/zinc/07/95/31/398079531.db2.gz QYDHORGQALQHFH-MJBXVCDLSA-N 0 3 243.391 2.547 20 0 BFADHN CC(C)(C)[C@@H]1CN(CC2(C)CC2)CCO1 ZINC000517677649 398087693 /nfs/dbraw/zinc/08/76/93/398087693.db2.gz KIJYOFXFPZNPEH-NSHDSACASA-N 0 3 211.349 2.533 20 0 BFADHN Cc1ccc(CN2CC[C@H](n3cccn3)C2)cc1 ZINC000291203710 398090994 /nfs/dbraw/zinc/09/09/94/398090994.db2.gz HUMKRJPJGAAFNL-HNNXBMFYSA-N 0 3 241.338 2.639 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@H]1CCC[C@@H](CC)C1 ZINC000221574791 398051869 /nfs/dbraw/zinc/05/18/69/398051869.db2.gz TYIFJURZEUEEOG-DYEKYZERSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1cccnc1CN1CCC[C@H](OC(C)C)C1 ZINC000649702433 398053184 /nfs/dbraw/zinc/05/31/84/398053184.db2.gz DKJTWILOYWKLMH-AWEZNQCLSA-N 0 3 248.370 2.779 20 0 BFADHN Cc1cnc([C@@H](C)NCCCOC(C)C)s1 ZINC000184976982 398055274 /nfs/dbraw/zinc/05/52/74/398055274.db2.gz ZXJHOWRJWMWIRD-LLVKDONJSA-N 0 3 242.388 2.917 20 0 BFADHN CC[C@H]1COC(C)(C)CN1[C@H]1C=CCCC1 ZINC000649715792 398056677 /nfs/dbraw/zinc/05/66/77/398056677.db2.gz LRYSMAIOTGSMHP-STQMWFEESA-N 0 3 223.360 2.985 20 0 BFADHN CC[C@@H]1COC(C)(C)CN1CC=C(C)C ZINC000649715165 398056853 /nfs/dbraw/zinc/05/68/53/398056853.db2.gz VBMYLBGYCOMDEQ-GFCCVEGCSA-N 0 3 211.349 2.842 20 0 BFADHN CC[C@H]1COC(C)(C)CN1CCOC(C)(C)C ZINC000649715959 398058020 /nfs/dbraw/zinc/05/80/20/398058020.db2.gz QCCPVVZLAWHXKP-LBPRGKRZSA-N 0 3 243.391 2.691 20 0 BFADHN CCc1ccc(CNC[C@@H]2CCCCO2)o1 ZINC000051724903 398058653 /nfs/dbraw/zinc/05/86/53/398058653.db2.gz VPRBQHNEDTYDFX-LBPRGKRZSA-N 0 3 223.316 2.501 20 0 BFADHN CC[C@@H]1CO[C@@H](CC)CN1CC1=CCCC1 ZINC000649717923 398059071 /nfs/dbraw/zinc/05/90/71/398059071.db2.gz PBMKHYHASYFZNT-KGLIPLIRSA-N 0 3 223.360 2.986 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)O[C@@H]1C)c1cccc(O)c1 ZINC000384655071 398101098 /nfs/dbraw/zinc/10/10/98/398101098.db2.gz UZYLPMKFSFHVHE-DEKYYXRVSA-N 0 3 235.327 2.609 20 0 BFADHN C[C@H](CC(C)(C)O)N[C@@H]1CCCc2cccnc21 ZINC000384707297 398102615 /nfs/dbraw/zinc/10/26/15/398102615.db2.gz CMPQQQKVKSMCFK-DGCLKSJQSA-N 0 3 248.370 2.598 20 0 BFADHN CCN(CCSC)Cc1cccc(OC)c1 ZINC000604501432 398104340 /nfs/dbraw/zinc/10/43/40/398104340.db2.gz PXQJGVVTWYKRRW-UHFFFAOYSA-N 0 3 239.384 2.880 20 0 BFADHN CC(C)C[C@@H](C)CNCc1nccs1 ZINC000289056263 398104903 /nfs/dbraw/zinc/10/49/03/398104903.db2.gz BSKIJBKRAYGPFF-SNVBAGLBSA-N 0 3 212.362 2.915 20 0 BFADHN CCn1ncnc1CNC1(CC)CCCCC1 ZINC000389654041 398117259 /nfs/dbraw/zinc/11/72/59/398117259.db2.gz RIVMVMYMOAHSAX-UHFFFAOYSA-N 0 3 236.363 2.501 20 0 BFADHN C[C@H](NCc1cn(C)cn1)[C@H]1CCC[C@@H](C)C1 ZINC000649814710 398119194 /nfs/dbraw/zinc/11/91/94/398119194.db2.gz MHXQRZZODQNKBZ-AGIUHOORSA-N 0 3 235.375 2.725 20 0 BFADHN CSCCN1CCS[C@@H]2CCCC[C@H]21 ZINC000245907228 398119482 /nfs/dbraw/zinc/11/94/82/398119482.db2.gz VDYLMMGKOODESV-GHMZBOCLSA-N 0 3 231.430 2.709 20 0 BFADHN CCc1csc(NC[C@@H]2CCCN2CC)n1 ZINC000061894358 398109445 /nfs/dbraw/zinc/10/94/45/398109445.db2.gz JCNRXEBDCHNZRC-NSHDSACASA-N 0 3 239.388 2.602 20 0 BFADHN C[C@@H](NCC1(C2CC2)CCC1)c1cnccn1 ZINC000090724344 398112069 /nfs/dbraw/zinc/11/20/69/398112069.db2.gz AYOUKPJRZDEXFS-LLVKDONJSA-N 0 3 231.343 2.708 20 0 BFADHN Fc1ccc2oc(CN[C@@H]3CCCOC3)cc2c1 ZINC000237725549 398112895 /nfs/dbraw/zinc/11/28/95/398112895.db2.gz OYSYFKKFEMINCX-GFCCVEGCSA-N 0 3 249.285 2.841 20 0 BFADHN CC[C@H](C)CN(C)Cc1c(C)noc1C ZINC000171952552 171274821 /nfs/dbraw/zinc/27/48/21/171274821.db2.gz OOXLBUKFJTUXRJ-VIFPVBQESA-N 0 3 210.321 2.769 20 0 BFADHN CO[C@H](CN[C@H]1CCc2c1cccc2F)C1CC1 ZINC000293780718 398124761 /nfs/dbraw/zinc/12/47/61/398124761.db2.gz SXNLETQCLWHPOK-LSDHHAIUSA-N 0 3 249.329 2.828 20 0 BFADHN CO[C@H](C)CCNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000263846564 398125936 /nfs/dbraw/zinc/12/59/36/398125936.db2.gz ZQFWVMRWJOGUEK-LOWVWBTDSA-N 0 3 237.343 2.918 20 0 BFADHN c1ccc2ncc(CN3CCCC3)cc2c1 ZINC000263863021 398126438 /nfs/dbraw/zinc/12/64/38/398126438.db2.gz XFPLHWQRKCNJLE-UHFFFAOYSA-N 0 3 212.296 2.831 20 0 BFADHN CS[C@@H]1CCCCN(Cc2conc2C)C1 ZINC000294464362 398127152 /nfs/dbraw/zinc/12/71/52/398127152.db2.gz ZBFCHZFNRANGOP-GFCCVEGCSA-N 0 3 240.372 2.701 20 0 BFADHN CCC[C@H](CNCc1sccc1OC)OC ZINC000295047038 398131412 /nfs/dbraw/zinc/13/14/12/398131412.db2.gz FLGXRLIAACTBFS-SNVBAGLBSA-N 0 3 243.372 2.661 20 0 BFADHN COC[C@H]1CCCN(Cc2cc(C)ccn2)CC1 ZINC000650338870 398122102 /nfs/dbraw/zinc/12/21/02/398122102.db2.gz KVUZDHNVZSBYJZ-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN C[C@@H](NC1CCCCCC1)c1cnccn1 ZINC000070024681 398123078 /nfs/dbraw/zinc/12/30/78/398123078.db2.gz LIRBTKDQTVHEJC-LLVKDONJSA-N 0 3 219.332 2.850 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)C1)c1cnccn1 ZINC000070024934 398123367 /nfs/dbraw/zinc/12/33/67/398123367.db2.gz OZTUQZKMHQXHCU-GRYCIOLGSA-N 0 3 219.332 2.706 20 0 BFADHN Cc1cccc(CN[C@H](C)[C@@H]2C[C@H]2C)n1 ZINC000321019469 398132277 /nfs/dbraw/zinc/13/22/77/398132277.db2.gz BEAFMWRGBZBXGS-IRUJWGPZSA-N 0 3 204.317 2.524 20 0 BFADHN C[C@@H](NCc1cc(F)cnc1Cl)C1CC1 ZINC000295116679 398133292 /nfs/dbraw/zinc/13/32/92/398133292.db2.gz MLUYOJSRGAMUDW-SSDOTTSWSA-N 0 3 228.698 2.762 20 0 BFADHN CC(C)CNCc1nc2c(s1)CCCC2 ZINC000049819825 167009827 /nfs/dbraw/zinc/00/98/27/167009827.db2.gz CWVGEWIXMXJZOD-UHFFFAOYSA-N 0 3 224.373 2.768 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@H]2CCc3cc(F)ccc32)O1 ZINC000398209564 322866647 /nfs/dbraw/zinc/86/66/47/322866647.db2.gz IWHQOBJOYPOPAN-DGFSRKRXSA-N 0 3 249.329 2.970 20 0 BFADHN CC(C)NCc1csc(-c2ccco2)n1 ZINC000040429824 167121700 /nfs/dbraw/zinc/12/17/00/167121700.db2.gz DAWMCQOGCGYZFU-UHFFFAOYSA-N 0 3 222.313 2.901 20 0 BFADHN CC(C)OC(=O)CCN[C@H](C)c1ccccc1 ZINC000127263410 167156210 /nfs/dbraw/zinc/15/62/10/167156210.db2.gz NLCBNZPOUWHSHO-GFCCVEGCSA-N 0 3 235.327 2.679 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN(C)Cc1cn[nH]c1 ZINC000680329274 487563406 /nfs/dbraw/zinc/56/34/06/487563406.db2.gz NSIZGXJBCHUFEO-WCQYABFASA-N 0 3 221.348 2.668 20 0 BFADHN Cc1cn[nH]c1CN[C@@H]1CCc2ccc(C)cc21 ZINC000685615459 487563978 /nfs/dbraw/zinc/56/39/78/487563978.db2.gz FIHHJYBWUJBGNE-CQSZACIVSA-N 0 3 241.338 2.804 20 0 BFADHN CCOCCCN1CCC[C@@H]1c1ccccn1 ZINC000674370760 487566404 /nfs/dbraw/zinc/56/64/04/487566404.db2.gz BBJUDRBRSAMNHY-CQSZACIVSA-N 0 3 234.343 2.645 20 0 BFADHN CCCN(CC(=O)NCCC(C)(C)C)C(C)C ZINC000653797504 487568765 /nfs/dbraw/zinc/56/87/65/487568765.db2.gz AINMXMGHYQBSGP-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN CC[C@H](CN[C@@H](C)c1ccc(C)nc1C)OC ZINC000685664574 487572177 /nfs/dbraw/zinc/57/21/77/487572177.db2.gz PMUMVJGDSIZYKI-WCQYABFASA-N 0 3 236.359 2.774 20 0 BFADHN CC(C)OCCCNCc1c(F)cccc1F ZINC000020198393 167224196 /nfs/dbraw/zinc/22/41/96/167224196.db2.gz KANBILOFHBKVKC-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN C[C@H](CNCc1ncccc1F)C(C)(C)C ZINC000393745289 487579945 /nfs/dbraw/zinc/57/99/45/487579945.db2.gz IYQXGNQSUBQTHQ-SNVBAGLBSA-N 0 3 224.323 2.993 20 0 BFADHN COC[C@H](C)NCc1ccccc1C1CC1 ZINC000086896474 487580393 /nfs/dbraw/zinc/58/03/93/487580393.db2.gz GKGJDIWJXLQLAO-NSHDSACASA-N 0 3 219.328 2.689 20 0 BFADHN Cc1cnccc1CN(C)C[C@@H]1CC1(C)C ZINC000668814623 487582555 /nfs/dbraw/zinc/58/25/55/487582555.db2.gz PITKSXPHJBVLLT-ZDUSSCGKSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@H](C(C)C)CC1 ZINC000685837518 487583381 /nfs/dbraw/zinc/58/33/81/487583381.db2.gz HWQNIRIJQNZICX-ZDUSSCGKSA-N 0 3 235.375 2.976 20 0 BFADHN CC(C)OCCN[C@@H](C)c1ccccc1F ZINC000042224729 167244338 /nfs/dbraw/zinc/24/43/38/167244338.db2.gz PSCDAMNPMGJJTB-NSHDSACASA-N 0 3 225.307 2.901 20 0 BFADHN CC(C)OCCN[C@H](C)c1cccc(F)c1 ZINC000042224735 167244652 /nfs/dbraw/zinc/24/46/52/167244652.db2.gz BBIHCASWYDCPTN-LLVKDONJSA-N 0 3 225.307 2.901 20 0 BFADHN CCOc1ccc(CN2C[C@H](C)[C@H](C)[C@@H]2C)nc1 ZINC000669575971 487584849 /nfs/dbraw/zinc/58/48/49/487584849.db2.gz IYNLBFZSIWVFQS-AVGNSLFASA-N 0 3 248.370 2.957 20 0 BFADHN CC1(C)SC[C@H]1N[C@H]1CCCc2cccnc21 ZINC000393846228 487585298 /nfs/dbraw/zinc/58/52/98/487585298.db2.gz FDYMCCGCBMROCG-NWDGAFQWSA-N 0 3 248.395 2.943 20 0 BFADHN CC(C)[C@@H](C)CNC(=O)Nc1cccc(CN)c1 ZINC000081073911 487585421 /nfs/dbraw/zinc/58/54/21/487585421.db2.gz DVRWNNHHPRGSQG-NSHDSACASA-N 0 3 249.358 2.559 20 0 BFADHN CC(C)[C@H](C)CNC(=O)Nc1cccc(CN)c1 ZINC000081073915 487585497 /nfs/dbraw/zinc/58/54/97/487585497.db2.gz DVRWNNHHPRGSQG-LLVKDONJSA-N 0 3 249.358 2.559 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2nccn2C)CC1 ZINC000086908973 487585872 /nfs/dbraw/zinc/58/58/72/487585872.db2.gz QBQBJPSGYLRQLD-STQMWFEESA-N 0 3 235.375 2.869 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2nccn2C)CC1 ZINC000086908978 487586029 /nfs/dbraw/zinc/58/60/29/487586029.db2.gz QBQBJPSGYLRQLD-QWHCGFSZSA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H](C)c1ccccn1 ZINC000336744984 167257721 /nfs/dbraw/zinc/25/77/21/167257721.db2.gz QKBAFHPWYFRVEG-NEPJUHHUSA-N 0 3 222.332 2.546 20 0 BFADHN CC(C)OC[C@H](C)NCc1ccccc1F ZINC000309392535 167265826 /nfs/dbraw/zinc/26/58/26/167265826.db2.gz USGFHIKMAPYZPC-NSHDSACASA-N 0 3 225.307 2.729 20 0 BFADHN C[C@H](N[C@@H]1CS[C@@H](C)C1)c1cccnc1 ZINC000086934479 487591177 /nfs/dbraw/zinc/59/11/77/487591177.db2.gz DNWVGCBTMXXCPS-NHCYSSNCSA-N 0 3 222.357 2.626 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@@H]1C[C@H]1c1ccco1 ZINC000666267416 487597291 /nfs/dbraw/zinc/59/72/91/487597291.db2.gz QMZXHWWSVLHNJX-MKPLZMMCSA-N 0 3 231.299 2.518 20 0 BFADHN Cc1ccc([C@@H](C)NCCC2(O)CCC2)c(C)n1 ZINC000671303149 487599330 /nfs/dbraw/zinc/59/93/30/487599330.db2.gz ARDBSQLWUHRIAC-GFCCVEGCSA-N 0 3 248.370 2.654 20 0 BFADHN CC[C@@H](NCc1cccc(C)n1)[C@H]1CCCCO1 ZINC000653873726 487600417 /nfs/dbraw/zinc/60/04/17/487600417.db2.gz VXVDZRJFCMWMHE-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN Cc1nc(CN2C[C@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)co1 ZINC000660756097 487608557 /nfs/dbraw/zinc/60/85/57/487608557.db2.gz QXFKKDKULYXCFR-PAPYEOQZSA-N 0 3 246.354 2.851 20 0 BFADHN CC(C)SCCN1C[C@@H](C)OC(C)(C)C1 ZINC000336662337 167328788 /nfs/dbraw/zinc/32/87/88/167328788.db2.gz CJYSMBGFLXOIAV-LLVKDONJSA-N 0 3 231.405 2.627 20 0 BFADHN CC[C@H](CN[C@@H](C)c1ccn(C)n1)CC(F)F ZINC000660780684 409736880 /nfs/dbraw/zinc/73/68/80/409736880.db2.gz ONXROSNNFVOYHA-UWVGGRQHSA-N 0 3 245.317 2.752 20 0 BFADHN COCc1ccc(CNC2(C3CCC3)CC2)o1 ZINC000651959540 409751443 /nfs/dbraw/zinc/75/14/43/409751443.db2.gz QRASBNHMVANHAI-UHFFFAOYSA-N 0 3 235.327 2.848 20 0 BFADHN CCC(C)(CC)NC(=O)c1cccc2c1CNC2 ZINC000662602455 409776184 /nfs/dbraw/zinc/77/61/84/409776184.db2.gz QMGODXUBIMHGAI-UHFFFAOYSA-N 0 3 246.354 2.598 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1cccs1 ZINC000178312474 487610822 /nfs/dbraw/zinc/61/08/22/487610822.db2.gz UNPGDOLLHPUWDI-DBIOUOCHSA-N 0 3 223.341 2.719 20 0 BFADHN COc1cc(CN2C[C@H](C)[C@@H](C)[C@H]2C)sn1 ZINC000660825922 409804266 /nfs/dbraw/zinc/80/42/66/409804266.db2.gz YIRSRPIGBACTGH-IVZWLZJFSA-N 0 3 240.372 2.628 20 0 BFADHN C[C@@H]1CCN(CCO[C@@H]2CC2(F)F)[C@H](C)C1 ZINC000660860923 409880339 /nfs/dbraw/zinc/88/03/39/409880339.db2.gz DXBUEJUIJCXTJC-GMTAPVOTSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@@H](CF)NCC(C)(C)c1ccccc1 ZINC000308913503 409937116 /nfs/dbraw/zinc/93/71/16/409937116.db2.gz ZSHBHYNITNHPMY-NSHDSACASA-N 0 3 209.308 2.912 20 0 BFADHN CCCn1nccc1CN(C)C(C)(C)C ZINC000668277195 487612273 /nfs/dbraw/zinc/61/22/73/487612273.db2.gz BDHHPVVGYHXPIF-UHFFFAOYSA-N 0 3 209.337 2.523 20 0 BFADHN CC(C)c1cccc(CNCCn2ccnc2)c1 ZINC000663313832 410107093 /nfs/dbraw/zinc/10/70/93/410107093.db2.gz UMZZPAGTYNJZHS-UHFFFAOYSA-N 0 3 243.354 2.796 20 0 BFADHN CN(Cc1ccnn1CC1CC1)C(C)(C)C ZINC000668277599 487614418 /nfs/dbraw/zinc/61/44/18/487614418.db2.gz LGMWPZBIFSIDPQ-UHFFFAOYSA-N 0 3 221.348 2.523 20 0 BFADHN Brc1ccsc1CNC1CC1 ZINC000043674507 166102785 /nfs/dbraw/zinc/10/27/85/166102785.db2.gz SSGZHSHMQDMWJA-UHFFFAOYSA-N 0 3 232.146 2.763 20 0 BFADHN Brc1ccccc1CNCC1CC1 ZINC000019885109 166103442 /nfs/dbraw/zinc/10/34/42/166103442.db2.gz BQDCPUKLGPSNBB-UHFFFAOYSA-N 0 3 240.144 2.949 20 0 BFADHN CC[C@H](C)N(CC)Cc1cn2ccccc2n1 ZINC000661108403 410257414 /nfs/dbraw/zinc/25/74/14/410257414.db2.gz YUYLGSOVDODPSA-LBPRGKRZSA-N 0 3 231.343 2.955 20 0 BFADHN Cc1cc(Cl)cc(CNCC2(CO)CC2)c1 ZINC000393206422 410309108 /nfs/dbraw/zinc/30/91/08/410309108.db2.gz HTFGTTILEJLKKO-UHFFFAOYSA-N 0 3 239.746 2.511 20 0 BFADHN CC(C)Oc1ncccc1CNC[C@H]1C[C@@H]1C ZINC000683110506 487615522 /nfs/dbraw/zinc/61/55/22/487615522.db2.gz ROJMYPYFPKEHET-WCQYABFASA-N 0 3 234.343 2.614 20 0 BFADHN C[C@@H](N[C@H]1CNc2ccccc2C1)c1ccoc1 ZINC000650750700 410392481 /nfs/dbraw/zinc/39/24/81/410392481.db2.gz JWMPIIPFWCBYEI-BXUZGUMPSA-N 0 3 242.322 2.967 20 0 BFADHN C[C@H](N[C@@H]1CNc2ccccc2C1)c1ccco1 ZINC000650751909 410394837 /nfs/dbraw/zinc/39/48/37/410394837.db2.gz FCPQJXNTNJIPJA-AAEUAGOBSA-N 0 3 242.322 2.967 20 0 BFADHN Nc1cc(CN2CCC(C3CCC3)CC2)ccn1 ZINC000661374907 410590249 /nfs/dbraw/zinc/59/02/49/410590249.db2.gz QETDUTVPDNMKOP-UHFFFAOYSA-N 0 3 245.370 2.676 20 0 BFADHN C[C@@H](CO)CN1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661438297 410659037 /nfs/dbraw/zinc/65/90/37/410659037.db2.gz SZTVBMQQNKONNL-TZMCWYRMSA-N 0 3 249.354 2.825 20 0 BFADHN C[C@@H](CO)CN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661438296 410659101 /nfs/dbraw/zinc/65/91/01/410659101.db2.gz SZTVBMQQNKONNL-OCCSQVGLSA-N 0 3 249.354 2.825 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1COCC1(C)C ZINC000313065729 410695290 /nfs/dbraw/zinc/69/52/90/410695290.db2.gz NDFVXUZNGSKBSE-CYBMUJFWSA-N 0 3 237.318 2.649 20 0 BFADHN Cc1ccsc1-c1nc2c(s1)CNCC2 ZINC000053335888 410714828 /nfs/dbraw/zinc/71/48/28/410714828.db2.gz IRBKUGNEFMVFBQ-UHFFFAOYSA-N 0 3 236.365 2.826 20 0 BFADHN Cc1nc(C)c(CN[C@H](C)C2CCC2)o1 ZINC000393672942 410755428 /nfs/dbraw/zinc/75/54/28/410755428.db2.gz LFUCDBAJXLQGEV-MRVPVSSYSA-N 0 3 208.305 2.570 20 0 BFADHN COc1ccc(CN2CC[C@@H](C3CCC3)C2)cn1 ZINC000665286044 410768055 /nfs/dbraw/zinc/76/80/55/410768055.db2.gz CUSQOOBMXFBKHU-CQSZACIVSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ccncc1CN1CC[C@@H](C2CCC2)C1 ZINC000665290342 410776652 /nfs/dbraw/zinc/77/66/52/410776652.db2.gz UXROWWAIAMFJAB-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN CC(C)CCN[C@@H]1C[C@H](C)n2ccnc21 ZINC000294042809 166865921 /nfs/dbraw/zinc/86/59/21/166865921.db2.gz ITDGQZFXPILRQB-WDEREUQCSA-N 0 3 207.321 2.525 20 0 BFADHN c1ccc(CN[C@H]2CCCC23CCC3)nc1 ZINC000394842044 410825909 /nfs/dbraw/zinc/82/59/09/410825909.db2.gz ZKLVWFJCKNWYJB-ZDUSSCGKSA-N 0 3 216.328 2.894 20 0 BFADHN CC(C)CC[C@@H](C)N[C@@H](C)c1ncc[nH]1 ZINC000124758085 166895233 /nfs/dbraw/zinc/89/52/33/166895233.db2.gz BMKBKQGOKIQCPO-MNOVXSKESA-N 0 3 209.337 2.885 20 0 BFADHN Cc1cc(CN[C@H](C)c2csc(C)c2)n[nH]1 ZINC000651232980 410873396 /nfs/dbraw/zinc/87/33/96/410873396.db2.gz UBTDENANHNAVAD-SNVBAGLBSA-N 0 3 235.356 2.939 20 0 BFADHN Cc1cnc(CNC[C@H](C)C2CC2)s1 ZINC000394736091 410818994 /nfs/dbraw/zinc/81/89/94/410818994.db2.gz SEZCGZKAEAFUNY-QMMMGPOBSA-N 0 3 210.346 2.587 20 0 BFADHN CC(C)CNCC(=O)Nc1ccccc1Cl ZINC000020036892 167003222 /nfs/dbraw/zinc/00/32/22/167003222.db2.gz FEQCREQWVPGUKP-UHFFFAOYSA-N 0 3 240.734 2.524 20 0 BFADHN CC(C)CNCc1c(F)cc(F)c(F)c1F ZINC000281603144 167007915 /nfs/dbraw/zinc/00/79/15/167007915.db2.gz KCICLRVXUXBFLA-UHFFFAOYSA-N 0 3 235.224 2.989 20 0 BFADHN CC(C)CNCc1cccc(C(F)(F)F)n1 ZINC000294810156 167009746 /nfs/dbraw/zinc/00/97/46/167009746.db2.gz NRWGCUORVGOKAB-UHFFFAOYSA-N 0 3 232.249 2.846 20 0 BFADHN CC(C)CNc1cccc(OCCN(C)C)c1 ZINC000037068885 167016256 /nfs/dbraw/zinc/01/62/56/167016256.db2.gz LNOLQBARNKPOKQ-UHFFFAOYSA-N 0 3 236.359 2.695 20 0 BFADHN CC(C)COC1CCN(Cc2ccco2)CC1 ZINC000092223296 167023060 /nfs/dbraw/zinc/02/30/60/167023060.db2.gz FTVWOVRSGNEMNY-UHFFFAOYSA-N 0 3 237.343 2.917 20 0 BFADHN CC(C)N1CC[C@H](Oc2cccc(F)c2)C1 ZINC000374625392 167028204 /nfs/dbraw/zinc/02/82/04/167028204.db2.gz SWRXPEFRXPENKT-ZDUSSCGKSA-N 0 3 223.291 2.687 20 0 BFADHN CC(C)N1Cc2ccccc2O[C@H](C)C1 ZINC000367772976 167035919 /nfs/dbraw/zinc/03/59/19/167035919.db2.gz RJCIMXLMXIETHI-LLVKDONJSA-N 0 3 205.301 2.678 20 0 BFADHN CC(C)NCc1ccc(Cl)cc1F ZINC000034977698 167119710 /nfs/dbraw/zinc/11/97/10/167119710.db2.gz BPWXWGQISMIPCB-UHFFFAOYSA-N 0 3 201.672 2.977 20 0 BFADHN CC(C)N[C@@H](c1cnn(C)c1)c1ccccc1 ZINC000369906651 167140676 /nfs/dbraw/zinc/14/06/76/167140676.db2.gz BDYATKZUQAEHHT-CQSZACIVSA-N 0 3 229.327 2.508 20 0 BFADHN c1cc(CN2CC[C@H](C3CCCCC3)C2)n[nH]1 ZINC000661578186 410908188 /nfs/dbraw/zinc/90/81/88/410908188.db2.gz JEEHZFIBBWOAKM-ZDUSSCGKSA-N 0 3 233.359 2.812 20 0 BFADHN CC(C)OCCCN(Cc1ccccn1)C1CC1 ZINC000179292473 167213467 /nfs/dbraw/zinc/21/34/67/167213467.db2.gz WLHBFWUBUITYKW-UHFFFAOYSA-N 0 3 248.370 2.861 20 0 BFADHN CC(C)OCCCN1CCc2ccsc2C1 ZINC000132621172 167216940 /nfs/dbraw/zinc/21/69/40/167216940.db2.gz CDJBKGOCMBHNSM-UHFFFAOYSA-N 0 3 239.384 2.921 20 0 BFADHN CC(C)OCCCNCc1cc(F)ccc1F ZINC000037233311 167224032 /nfs/dbraw/zinc/22/40/32/167224032.db2.gz NQAACRGRPVTPNF-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CC(C)OCCCN[C@@H](C)c1ccco1 ZINC000020198048 167224410 /nfs/dbraw/zinc/22/44/10/167224410.db2.gz JGJYLURTPLKAKO-NSHDSACASA-N 0 3 211.305 2.745 20 0 BFADHN CC(C)OCCN(C)CCc1ccccc1 ZINC000051998616 167228961 /nfs/dbraw/zinc/22/89/61/167228961.db2.gz JPJJDXPBBWOBAS-UHFFFAOYSA-N 0 3 221.344 2.586 20 0 BFADHN CC(C)OCCN1CCC[C@@H](c2ccncc2)C1 ZINC000289280819 167231988 /nfs/dbraw/zinc/23/19/88/167231988.db2.gz GCZWOMWMPWWMAF-OAHLLOKOSA-N 0 3 248.370 2.686 20 0 BFADHN CC(C)OCCN1CCC[C@H]1c1ccncc1 ZINC000119869264 167232046 /nfs/dbraw/zinc/23/20/46/167232046.db2.gz ZSUGODNXQOSYJM-AWEZNQCLSA-N 0 3 234.343 2.644 20 0 BFADHN CC(C)OCCNCc1sccc1Cl ZINC000193208618 167240815 /nfs/dbraw/zinc/24/08/15/167240815.db2.gz CNFPAYUOMZBLOK-UHFFFAOYSA-N 0 3 233.764 2.916 20 0 BFADHN CC(C)OCCNCc1ccc(F)c(Cl)c1 ZINC000053157111 167240999 /nfs/dbraw/zinc/24/09/99/167240999.db2.gz ILFOTZIRTNZJSB-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN CC(C)OCCN[C@H]1CCCOc2ccccc21 ZINC000186643501 167246672 /nfs/dbraw/zinc/24/66/72/167246672.db2.gz YFDOCVNUKPLBPK-AWEZNQCLSA-N 0 3 249.354 2.915 20 0 BFADHN CC(C)OCCN[C@H]1CCCNc2ccccc21 ZINC000193283363 167247292 /nfs/dbraw/zinc/24/72/92/167247292.db2.gz QXLSRIULMSCUOG-HNNXBMFYSA-N 0 3 248.370 2.948 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H](C)c1cccc(O)c1 ZINC000337234522 167259209 /nfs/dbraw/zinc/25/92/09/167259209.db2.gz BHCJULMRWDPTFU-VXGBXAGGSA-N 0 3 237.343 2.856 20 0 BFADHN CC(C)OC[C@H](C)NCc1ccc(F)cc1 ZINC000309588616 167265303 /nfs/dbraw/zinc/26/53/03/167265303.db2.gz TVLQQBVINHEBRM-NSHDSACASA-N 0 3 225.307 2.729 20 0 BFADHN CC(C)Oc1ccc(CN[C@]2(C)CCOC2)cc1 ZINC000120149301 167294996 /nfs/dbraw/zinc/29/49/96/167294996.db2.gz BHNMZMYHLHKHHK-OAHLLOKOSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)Oc1ccc([C@H](C)N[C@H]2CCOC2)cc1 ZINC000112758597 167301387 /nfs/dbraw/zinc/30/13/87/167301387.db2.gz RJRMEDKRSLZYJN-JSGCOSHPSA-N 0 3 249.354 2.913 20 0 BFADHN c1nc(CN2CC[C@H](C3CCCCC3)C2)c[nH]1 ZINC000661579005 410913909 /nfs/dbraw/zinc/91/39/09/410913909.db2.gz VJDBSFBBIWPXFP-ZDUSSCGKSA-N 0 3 233.359 2.812 20 0 BFADHN c1ncc(CN2CC[C@H](C3CCCCC3)C2)[nH]1 ZINC000661579005 410913914 /nfs/dbraw/zinc/91/39/14/410913914.db2.gz VJDBSFBBIWPXFP-ZDUSSCGKSA-N 0 3 233.359 2.812 20 0 BFADHN CC(C)Oc1cccc(CN[C@@H]2CCCOC2)c1 ZINC000109545979 167306425 /nfs/dbraw/zinc/30/64/25/167306425.db2.gz NVZRIPAVHMYECG-CQSZACIVSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)Oc1cccc(NC2CCN(C)CC2)c1 ZINC000295069551 167306558 /nfs/dbraw/zinc/30/65/58/167306558.db2.gz QWHQFDORGBGVKU-UHFFFAOYSA-N 0 3 248.370 2.980 20 0 BFADHN CC(C)Oc1cccc(CN[C@]2(C)CCOC2)c1 ZINC000120139641 167307297 /nfs/dbraw/zinc/30/72/97/167307297.db2.gz AVNMCHNTGKREQD-OAHLLOKOSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)[C@@H](C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000171921291 167354835 /nfs/dbraw/zinc/35/48/35/167354835.db2.gz ULQBQNJMEBWPKF-GFCCVEGCSA-N 0 3 248.370 2.979 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cncc(F)c1 ZINC000275139949 167369406 /nfs/dbraw/zinc/36/94/06/167369406.db2.gz OKHJTUALZFHWPJ-SNVBAGLBSA-N 0 3 210.296 2.697 20 0 BFADHN CC(C)[C@@H](CC(F)(F)F)NCCF ZINC000308144630 167390066 /nfs/dbraw/zinc/39/00/66/167390066.db2.gz KXLQJZHBENYVBM-SSDOTTSWSA-N 0 3 201.207 2.523 20 0 BFADHN Cc1ccc(CNC2(C3(C)CC3)CC2)cn1 ZINC000398212877 410950737 /nfs/dbraw/zinc/95/07/37/410950737.db2.gz IPLCYWYVNKTNJS-UHFFFAOYSA-N 0 3 216.328 2.812 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1cnc(C)s1)OC ZINC000651373051 410958149 /nfs/dbraw/zinc/95/81/49/410958149.db2.gz RSIHBMXDRPGKCW-ONGXEEELSA-N 0 3 242.388 2.917 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1cnc(C)s1)OC ZINC000651373048 410958201 /nfs/dbraw/zinc/95/82/01/410958201.db2.gz RSIHBMXDRPGKCW-GXSJLCMTSA-N 0 3 242.388 2.917 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2ccnc(C)c2)C1(C)C ZINC000651388396 410971568 /nfs/dbraw/zinc/97/15/68/410971568.db2.gz WBLGPOPBHJUADR-DZGCQCFKSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H](C)CC(C)(C)O)s1 ZINC000651411732 410993207 /nfs/dbraw/zinc/99/32/07/410993207.db2.gz LWYFUOGPFQGLLU-RKDXNWHRSA-N 0 3 242.388 2.652 20 0 BFADHN CCc1ncc(CN[C@H]2CCC[C@H]2CC)o1 ZINC000651462439 411044774 /nfs/dbraw/zinc/04/47/74/411044774.db2.gz FRJSMYSTUZHWCE-PWSUYJOCSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1ncc(CN[C@H]2CCC[C@@H]2CC)o1 ZINC000651462438 411044860 /nfs/dbraw/zinc/04/48/60/411044860.db2.gz FRJSMYSTUZHWCE-JQWIXIFHSA-N 0 3 222.332 2.905 20 0 BFADHN CCCNCc1cc2c(cc1OC)C[C@H](C)O2 ZINC000105762029 411071494 /nfs/dbraw/zinc/07/14/94/411071494.db2.gz LMSSKRGLHQKPFO-JTQLQIEISA-N 0 3 235.327 2.518 20 0 BFADHN Cc1ncc([C@H](C)NC[C@@H]2CC[C@H](C)O2)s1 ZINC000651499436 411073583 /nfs/dbraw/zinc/07/35/83/411073583.db2.gz TZCCLELSULXQFN-QXEWZRGKSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1nn(C)cc1CNC(C)(C)CC(F)(F)F ZINC000681987904 487619991 /nfs/dbraw/zinc/61/99/91/487619991.db2.gz BYFXXPAVGKLTGE-UHFFFAOYSA-N 0 3 249.280 2.549 20 0 BFADHN CCc1cccc(CNCc2cc[nH]c2)c1 ZINC000652033016 411083007 /nfs/dbraw/zinc/08/30/07/411083007.db2.gz VIWVNMJDRQZTJA-UHFFFAOYSA-N 0 3 214.312 2.867 20 0 BFADHN CCc1cccc(CNCc2cn(C)nc2C)c1 ZINC000652033063 411083062 /nfs/dbraw/zinc/08/30/62/411083062.db2.gz YKUZLRGUAVDITO-UHFFFAOYSA-N 0 3 243.354 2.581 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NC[C@H]1CCCC1(F)F ZINC000651431847 411021219 /nfs/dbraw/zinc/02/12/19/411021219.db2.gz WKBGFTBBAPEUBD-WCBMZHEXSA-N 0 3 243.301 2.804 20 0 BFADHN CCC1CN(Cc2ccccc2OCCOC)C1 ZINC000651610236 411129927 /nfs/dbraw/zinc/12/99/27/411129927.db2.gz ONJONRIZTBTXGV-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN CC(C)c1nc(CN[C@H]2C[C@@H]2C)c2ccccn21 ZINC000651705308 411137192 /nfs/dbraw/zinc/13/71/92/411137192.db2.gz ONSGOHBWDOCCRF-RYUDHWBXSA-N 0 3 243.354 2.956 20 0 BFADHN c1cc2c(c(CNCCOCC3CC3)c1)CCC2 ZINC000651709226 411137657 /nfs/dbraw/zinc/13/76/57/411137657.db2.gz PAJRCJHKVGXYLC-UHFFFAOYSA-N 0 3 245.366 2.692 20 0 BFADHN CCc1cccc(CNCc2cccn2C)c1 ZINC000651724083 411138903 /nfs/dbraw/zinc/13/89/03/411138903.db2.gz KMCADFQEEYIZCL-UHFFFAOYSA-N 0 3 228.339 2.877 20 0 BFADHN c1c2cnccc2oc1CNC1(C2CC2)CC1 ZINC000651731518 411139535 /nfs/dbraw/zinc/13/95/35/411139535.db2.gz RFADKGNLKFDABC-UHFFFAOYSA-N 0 3 228.295 2.860 20 0 BFADHN c1c2cnccc2oc1CNC[C@H]1CCCS1 ZINC000651732671 411139651 /nfs/dbraw/zinc/13/96/51/411139651.db2.gz CYLKVWOAYHTCKB-GFCCVEGCSA-N 0 3 248.351 2.813 20 0 BFADHN CC[C@]1(C)CCC[C@H]1NCc1nnc(C)s1 ZINC000651758205 411141774 /nfs/dbraw/zinc/14/17/74/411141774.db2.gz SHVURZFUQLETQA-ZYHUDNBSSA-N 0 3 239.388 2.905 20 0 BFADHN CC1=CCCN(Cc2cc3cnccc3o2)C1 ZINC000651792876 411144199 /nfs/dbraw/zinc/14/41/99/411144199.db2.gz LUYLFASJMONHRS-UHFFFAOYSA-N 0 3 228.295 2.980 20 0 BFADHN c1c(CN2C[C@@H]3CCC[C@@H]3C2)onc1C1CC1 ZINC000659998676 411163677 /nfs/dbraw/zinc/16/36/77/411163677.db2.gz RDJBFZWNEQEZCG-TXEJJXNPSA-N 0 3 232.327 2.784 20 0 BFADHN COCC(C)(C)N(C)Cc1cc2cnccc2o1 ZINC000651816349 411145177 /nfs/dbraw/zinc/14/51/77/411145177.db2.gz PEHAJLKIGHTTLK-UHFFFAOYSA-N 0 3 248.326 2.685 20 0 BFADHN CC(F)(F)CCNCc1cc2cnccc2o1 ZINC000651817896 411145407 /nfs/dbraw/zinc/14/54/07/411145407.db2.gz CXOOJIRWUVTWRC-UHFFFAOYSA-N 0 3 240.253 2.963 20 0 BFADHN CC[C@@]1(C)CCC[C@@H]1NCc1nnc(C2CC2)[nH]1 ZINC000651819938 411145887 /nfs/dbraw/zinc/14/58/87/411145887.db2.gz RFEYJCNUYUSGPF-FZMZJTMJSA-N 0 3 248.374 2.741 20 0 BFADHN CCCn1cc(CN2CCC23CCCC3)cn1 ZINC000651873321 411148581 /nfs/dbraw/zinc/14/85/81/411148581.db2.gz ATSTYRYPOSUOTD-UHFFFAOYSA-N 0 3 233.359 2.812 20 0 BFADHN CC(C)[C@H](C)N[C@@H](c1ccccc1F)[C@H](C)O ZINC000651892148 411149169 /nfs/dbraw/zinc/14/91/69/411149169.db2.gz HTCONGKYNPWKET-COPLHBTASA-N 0 3 239.334 2.882 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CC[C@@H]2C)nc(C)n1 ZINC000659969880 411151369 /nfs/dbraw/zinc/15/13/69/411151369.db2.gz FWXVLOXFKIHHBI-JQWIXIFHSA-N 0 3 233.359 2.714 20 0 BFADHN CCN(Cc1ccccn1)C[C@@H](OC)C1CCC1 ZINC000659999998 411164267 /nfs/dbraw/zinc/16/42/67/411164267.db2.gz KAWYNJNVTGCTMQ-OAHLLOKOSA-N 0 3 248.370 2.719 20 0 BFADHN CCc1cc(CN[C@@H]2CCCSCC2)on1 ZINC000657885607 411153181 /nfs/dbraw/zinc/15/31/81/411153181.db2.gz GHJYINCNVLPUAS-LLVKDONJSA-N 0 3 240.372 2.612 20 0 BFADHN CCc1cc(CN[C@H]2CCCSCC2)on1 ZINC000657885610 411153204 /nfs/dbraw/zinc/15/32/04/411153204.db2.gz GHJYINCNVLPUAS-NSHDSACASA-N 0 3 240.372 2.612 20 0 BFADHN CC[C@H](N[C@H]1COC2(CCC2)C1)c1ccncc1 ZINC000657885777 411153372 /nfs/dbraw/zinc/15/33/72/411153372.db2.gz BRCXYZGIPHGFEO-KGLIPLIRSA-N 0 3 246.354 2.834 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cc(C2CC2)no1 ZINC000659984499 411154875 /nfs/dbraw/zinc/15/48/75/411154875.db2.gz MISXYYUDGYFYGO-VHSXEESVSA-N 0 3 220.316 2.782 20 0 BFADHN COCc1ccc(CNC2CC3(CCC3)C2)o1 ZINC000652042217 411092410 /nfs/dbraw/zinc/09/24/10/411092410.db2.gz OSBZMQJNHBRYRG-UHFFFAOYSA-N 0 3 235.327 2.848 20 0 BFADHN Cc1ccc(CNC2CC3(CCC3)C2)nc1 ZINC000652042089 411092700 /nfs/dbraw/zinc/09/27/00/411092700.db2.gz FABUSAUMMCDEID-UHFFFAOYSA-N 0 3 216.328 2.812 20 0 BFADHN Cc1ccc(CN(C)[C@H](C)CCO)cc1Cl ZINC000652049727 411095095 /nfs/dbraw/zinc/09/50/95/411095095.db2.gz MMDRUIKVZIMWOI-LLVKDONJSA-N 0 3 241.762 2.851 20 0 BFADHN Cc1cnccc1CN1CC[C@]2(CC2(F)F)C1 ZINC000652064256 411103210 /nfs/dbraw/zinc/10/32/10/411103210.db2.gz JKKKWENOULUYTI-LBPRGKRZSA-N 0 3 238.281 2.621 20 0 BFADHN Cc1ccc(CN2CC[C@]3(CC3(F)F)C2)o1 ZINC000652062844 411103394 /nfs/dbraw/zinc/10/33/94/411103394.db2.gz XWASOGWGVQFVEW-NSHDSACASA-N 0 3 227.254 2.819 20 0 BFADHN Cc1ccc(CN2CC[C@]3(CC3(F)F)C2)cn1 ZINC000652063541 411104215 /nfs/dbraw/zinc/10/42/15/411104215.db2.gz OTQZUZVWAMCZEA-LBPRGKRZSA-N 0 3 238.281 2.621 20 0 BFADHN Cc1nc(CN2CC[C@@H](C(C)C)C2)c(C)o1 ZINC000659997643 411162389 /nfs/dbraw/zinc/16/23/89/411162389.db2.gz UTKMSQGWWYZWAV-GFCCVEGCSA-N 0 3 222.332 2.769 20 0 BFADHN COC1(CN[C@@H](C)c2ccoc2)CCCC1 ZINC000309523556 411162631 /nfs/dbraw/zinc/16/26/31/411162631.db2.gz ULLSCGHQDCEFFG-NSHDSACASA-N 0 3 223.316 2.889 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@@H]1CCCn2nccc21 ZINC000657905455 411162617 /nfs/dbraw/zinc/16/26/17/411162617.db2.gz YXHMACUPTRDUMS-DGCLKSJQSA-N 0 3 245.326 2.532 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@@]1(C)CC ZINC000652204286 411168441 /nfs/dbraw/zinc/16/84/41/411168441.db2.gz MNKSFILHCSPITJ-TZMCWYRMSA-N 0 3 235.375 2.961 20 0 BFADHN CCc1ccc(CN[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)nc1 ZINC000528759854 411242481 /nfs/dbraw/zinc/24/24/81/411242481.db2.gz PSRVPUVXLAGTEE-YXMPFFBPSA-N 0 3 248.370 2.546 20 0 BFADHN c1ccc(C2(N[C@@H]3COC4(CCC4)C3)CC2)cc1 ZINC000657944049 411176974 /nfs/dbraw/zinc/17/69/74/411176974.db2.gz XMCLKUXRSBEENJ-AWEZNQCLSA-N 0 3 243.350 2.977 20 0 BFADHN CC[C@](C)(CO)N[C@H](C)c1cc2cnccc2o1 ZINC000657955203 411180625 /nfs/dbraw/zinc/18/06/25/411180625.db2.gz FPRMUYIHYBEKAH-QMTHXVAHSA-N 0 3 248.326 2.639 20 0 BFADHN CC[C@H](C)[C@@H](C)N(Cc1cn(C)cn1)C1CC1 ZINC000659880868 411181042 /nfs/dbraw/zinc/18/10/42/411181042.db2.gz IZHOWDDYUORWNM-NWDGAFQWSA-N 0 3 235.375 2.819 20 0 BFADHN COCC1(N[C@@H](C)c2cc3cnccc3o2)CC1 ZINC000657954028 411181094 /nfs/dbraw/zinc/18/10/94/411181094.db2.gz TYLMEOXHNIHPMO-JTQLQIEISA-N 0 3 246.310 2.657 20 0 BFADHN COC[C@H](NC1CC(C)(C)C1)c1ccco1 ZINC000336775466 411183491 /nfs/dbraw/zinc/18/34/91/411183491.db2.gz ZCXIVEPDJRVJBL-NSHDSACASA-N 0 3 223.316 2.745 20 0 BFADHN C[C@@H]1C[C@@H](NC2(C3CCC3)CC2)c2nccn21 ZINC000652273756 411185119 /nfs/dbraw/zinc/18/51/19/411185119.db2.gz WSDBXRTTXSHUBP-ZYHUDNBSSA-N 0 3 231.343 2.811 20 0 BFADHN C[C@@H](NC1(C2CCC2)CC1)c1cc2n(n1)CCC2 ZINC000652273333 411185455 /nfs/dbraw/zinc/18/54/55/411185455.db2.gz KHIOSJFAOYHXGQ-LLVKDONJSA-N 0 3 245.370 2.813 20 0 BFADHN C[C@H](c1ccccc1F)N1C[C@H]2COC[C@]2(C)C1 ZINC000661697494 411185655 /nfs/dbraw/zinc/18/56/55/411185655.db2.gz DINLOYHRGBNNOJ-XUJVJEKNSA-N 0 3 249.329 2.855 20 0 BFADHN CN(Cc1ccc2c(n1)CCC2)CC1CCC1 ZINC000656396806 411243986 /nfs/dbraw/zinc/24/39/86/411243986.db2.gz UWLSKAAHMXOUFJ-UHFFFAOYSA-N 0 3 230.355 2.802 20 0 BFADHN C[C@@H](COCC1CC1)N[C@@H](C)c1cncs1 ZINC000652285833 411187597 /nfs/dbraw/zinc/18/75/97/411187597.db2.gz GTBOLXOHLLLTOB-UWVGGRQHSA-N 0 3 240.372 2.609 20 0 BFADHN CC1(C)CC(CN[C@@H]2CCCn3ccnc32)C1 ZINC000655758294 411189119 /nfs/dbraw/zinc/18/91/19/411189119.db2.gz VUIPECRRZUQIAG-GFCCVEGCSA-N 0 3 233.359 2.744 20 0 BFADHN CCCCCNC(=O)C[C@@H]1NCc2ccccc21 ZINC000659249151 411190810 /nfs/dbraw/zinc/19/08/10/411190810.db2.gz CMCTYEWJYGZFPJ-AWEZNQCLSA-N 0 3 246.354 2.527 20 0 BFADHN c1cc2n(n1)CCC[C@@H]2N[C@H]1CC12CCCC2 ZINC000657990611 411191421 /nfs/dbraw/zinc/19/14/21/411191421.db2.gz QLUZGNIYUOSWPE-AAEUAGOBSA-N 0 3 231.343 2.640 20 0 BFADHN C[C@H](c1cccc(F)c1)N1C[C@H]2COC[C@]2(C)C1 ZINC000661700117 411192356 /nfs/dbraw/zinc/19/23/56/411192356.db2.gz IPKMTAXHESTDKM-ZLDLUXBVSA-N 0 3 249.329 2.855 20 0 BFADHN CC[C@H](CN1CCC=C(c2ccccn2)C1)OC ZINC000653702080 411194316 /nfs/dbraw/zinc/19/43/16/411194316.db2.gz QXFPQRKWVKPGNK-CQSZACIVSA-N 0 3 246.354 2.596 20 0 BFADHN CC(C)N1CCC=C(c2ccccn2)C1 ZINC000653700630 411194848 /nfs/dbraw/zinc/19/48/48/411194848.db2.gz ZKSVTZDSMGCTHV-UHFFFAOYSA-N 0 3 202.301 2.579 20 0 BFADHN C[C@@H](N[C@@H](CO)CCF)c1cccc(Cl)c1 ZINC000652305358 411194992 /nfs/dbraw/zinc/19/49/92/411194992.db2.gz AFJBDTSXLMGEEY-BXKDBHETSA-N 0 3 245.725 2.711 20 0 BFADHN Cc1ccc(C)c([C@H](C)N[C@@H](CO)CCF)c1 ZINC000652306011 411196160 /nfs/dbraw/zinc/19/61/60/411196160.db2.gz MWGLMNUFKROJQL-QWHCGFSZSA-N 0 3 239.334 2.675 20 0 BFADHN CC(C)CC[C@H](C)N[C@H]1C[C@@H](C)n2ncnc21 ZINC000658098179 411244783 /nfs/dbraw/zinc/24/47/83/411244783.db2.gz MGUITAYYQHBUEJ-TUAOUCFPSA-N 0 3 236.363 2.698 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](CO)CCF)s1 ZINC000652306462 411196348 /nfs/dbraw/zinc/19/63/48/411196348.db2.gz WKKFKUXOJLHUPZ-ZJUUUORDSA-N 0 3 245.363 2.682 20 0 BFADHN CCCC(CCC)N[C@H]1C[C@@H](C)n2ncnc21 ZINC000658098024 411244972 /nfs/dbraw/zinc/24/49/72/411244972.db2.gz LKZGDFBPRSDJTQ-PWSUYJOCSA-N 0 3 236.363 2.842 20 0 BFADHN Cc1ccoc1CN[C@H]1CCCSCC1 ZINC000658021809 411199569 /nfs/dbraw/zinc/19/95/69/411199569.db2.gz WODQLPJUVGGCSL-NSHDSACASA-N 0 3 225.357 2.963 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)n2ncnc21)C1CCCCC1 ZINC000658097828 411245054 /nfs/dbraw/zinc/24/50/54/411245054.db2.gz JOVZNFGSBRCSIP-NTZNESFSSA-N 0 3 248.374 2.842 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)N1CCCC[C@@H]1CC ZINC000245899579 411200212 /nfs/dbraw/zinc/20/02/12/411200212.db2.gz KDBRXCOFFWFJMM-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H](NCc1ccc(C)cn1)[C@H]1CCCCO1 ZINC000653874072 411204051 /nfs/dbraw/zinc/20/40/51/411204051.db2.gz ANDULTZRRGEHGW-LSDHHAIUSA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@@H](NCc1cnccc1C)[C@@H]1CCCCO1 ZINC000653875437 411204760 /nfs/dbraw/zinc/20/47/60/411204760.db2.gz VYJIVYUCBLSDRM-CABCVRRESA-N 0 3 248.370 2.827 20 0 BFADHN CCc1ncc(CN[C@H]2CCCSCC2)o1 ZINC000658027227 411204718 /nfs/dbraw/zinc/20/47/18/411204718.db2.gz ASKBDBFAPSONSJ-JTQLQIEISA-N 0 3 240.372 2.612 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CC[C@@H](C)C[C@H]2C)c2ncnn21 ZINC000658100758 411245468 /nfs/dbraw/zinc/24/54/68/411245468.db2.gz IZWDXGJENIHAGE-NJMOYASZSA-N 0 3 248.374 2.698 20 0 BFADHN CC[C@@H](C)N(C)Cc1cc(C2CC2)no1 ZINC000660063285 411205543 /nfs/dbraw/zinc/20/55/43/411205543.db2.gz LVCUGODFWSOWFP-SECBINFHSA-N 0 3 208.305 2.782 20 0 BFADHN C[C@@H]1CCC[C@H]1CN[C@@H]1CCCn2ccnc21 ZINC000655762699 411206633 /nfs/dbraw/zinc/20/66/33/411206633.db2.gz IAFNVNXIUGQGEM-FRRDWIJNSA-N 0 3 233.359 2.744 20 0 BFADHN CCn1ccc(CN[C@H](C)C(C)(C)C(F)F)n1 ZINC000658034667 411209624 /nfs/dbraw/zinc/20/96/24/411209624.db2.gz MKJCHGGPULVVPN-SECBINFHSA-N 0 3 245.317 2.672 20 0 BFADHN Cn1ccc(CN2CCC[C@@H]2CC2CCCC2)n1 ZINC000653892339 411210790 /nfs/dbraw/zinc/21/07/90/411210790.db2.gz GWYFJNQCZMBRNW-OAHLLOKOSA-N 0 3 247.386 2.965 20 0 BFADHN C[C@H](CC1CCCC1)N[C@H]1C[C@H](C)n2ncnc21 ZINC000658102937 411246021 /nfs/dbraw/zinc/24/60/21/411246021.db2.gz SEULQVWHURPHNL-MDZLAQPJSA-N 0 3 248.374 2.842 20 0 BFADHN C[C@H](CC1CCCC1)N[C@H]1C[C@@H](C)n2ncnc21 ZINC000658102940 411246038 /nfs/dbraw/zinc/24/60/38/411246038.db2.gz SEULQVWHURPHNL-WZRBSPASSA-N 0 3 248.374 2.842 20 0 BFADHN CC[C@@H]1CCC[C@H](N[C@@H]2C[C@@H](C)n3ncnc32)C1 ZINC000658102583 411246585 /nfs/dbraw/zinc/24/65/85/411246585.db2.gz PUJBRWKXOOOXTC-FVCCEPFGSA-N 0 3 248.374 2.842 20 0 BFADHN CCN(Cc1cccs1)C[C@@H](O)C(C)C ZINC000284443268 411218452 /nfs/dbraw/zinc/21/84/52/411218452.db2.gz ZNFMMVRKXNUHAW-GFCCVEGCSA-N 0 3 227.373 2.587 20 0 BFADHN CCC(CC)CN1CC2(CC2(F)F)C1 ZINC000656265503 411220513 /nfs/dbraw/zinc/22/05/13/411220513.db2.gz AJKXQJQWFGDGFM-UHFFFAOYSA-N 0 3 203.276 2.764 20 0 BFADHN COC1CC(CCN[C@@H](C)c2nccs2)C1 ZINC000658055821 411222463 /nfs/dbraw/zinc/22/24/63/411222463.db2.gz NBQPYMYEWILCDH-WHXUTIOJSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@@H](NC[C@H]1CCN1C(C)(C)C)c1ccco1 ZINC000658053953 411224185 /nfs/dbraw/zinc/22/41/85/411224185.db2.gz AHTXDIQXVBWAJV-VXGBXAGGSA-N 0 3 236.359 2.803 20 0 BFADHN COc1ccc2c(c1)CN(C[C@H]1C[C@H]1C)C2 ZINC000656274988 411225315 /nfs/dbraw/zinc/22/53/15/411225315.db2.gz IKRSMKWKQWLDAE-ZYHUDNBSSA-N 0 3 217.312 2.667 20 0 BFADHN c1cn2c(n1)[C@@H](NC1CCCCC1)CCC2 ZINC000655684780 411226671 /nfs/dbraw/zinc/22/66/71/411226671.db2.gz WVSJCUUFEZNSJQ-LBPRGKRZSA-N 0 3 219.332 2.640 20 0 BFADHN CCC(C)(C)[C@@H](C)N[C@H](C)c1ccn(C)n1 ZINC000658113201 411247188 /nfs/dbraw/zinc/24/71/88/411247188.db2.gz DZSGBWPLHJWRSB-GHMZBOCLSA-N 0 3 223.364 2.895 20 0 BFADHN C[C@@H](O)CN(Cc1cc2ccccc2[nH]1)C1CC1 ZINC000656278012 411229417 /nfs/dbraw/zinc/22/94/17/411229417.db2.gz DYSRZRFPZJSWFI-LLVKDONJSA-N 0 3 244.338 2.513 20 0 BFADHN C[C@@H](CN[C@@H](C)c1nccs1)c1ccccn1 ZINC000658065354 411230340 /nfs/dbraw/zinc/23/03/40/411230340.db2.gz WSIIMLQIRMGCIU-QWRGUYRKSA-N 0 3 247.367 2.992 20 0 BFADHN CC(C)(C)C(C)(C)CNCc1ccc(CO)o1 ZINC000310380614 411235164 /nfs/dbraw/zinc/23/51/64/411235164.db2.gz AXGPSGUWLAIGHS-UHFFFAOYSA-N 0 3 239.359 2.934 20 0 BFADHN c1nn(CCN2CCCCC2)cc1C1CCC1 ZINC000655691124 411236189 /nfs/dbraw/zinc/23/61/89/411236189.db2.gz QKQXOCNCJAFFJQ-UHFFFAOYSA-N 0 3 233.359 2.637 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H](CO)C1CCC1 ZINC000658088482 411239319 /nfs/dbraw/zinc/23/93/19/411239319.db2.gz UOQSMKRPXQGFHI-BXUZGUMPSA-N 0 3 249.354 2.507 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@@H](C)SC2)cn1 ZINC000655695095 411240006 /nfs/dbraw/zinc/24/00/06/411240006.db2.gz QIZWDBOETKEXPE-DGCLKSJQSA-N 0 3 236.384 2.764 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](CO)C2CCC2)c(C)o1 ZINC000658088202 411240087 /nfs/dbraw/zinc/24/00/87/411240087.db2.gz PBWVOSAVQHXQIV-YGRLFVJLSA-N 0 3 237.343 2.708 20 0 BFADHN C[C@H](N[C@@H]1CCN(C(C)(C)C)C1)c1ccoc1 ZINC000658089587 411240535 /nfs/dbraw/zinc/24/05/35/411240535.db2.gz AAOVCLBKSQKBIC-WCQYABFASA-N 0 3 236.359 2.803 20 0 BFADHN CC[C@@H](COCC1CC1)N[C@H](C)c1ccncc1 ZINC000658090305 411240732 /nfs/dbraw/zinc/24/07/32/411240732.db2.gz IKBZSBZFTSGTLX-DOMZBBRYSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@@H](COCC1CC1)N[C@@H](C)c1ccccn1 ZINC000658089700 411240831 /nfs/dbraw/zinc/24/08/31/411240831.db2.gz AJDKVUBBVIWASS-JSGCOSHPSA-N 0 3 248.370 2.937 20 0 BFADHN CCc1nc([C@@H](C)N(CC)CC2CCC2)n[nH]1 ZINC000660453041 411166284 /nfs/dbraw/zinc/16/62/84/411166284.db2.gz KSCXNHMCMJDMNA-SNVBAGLBSA-N 0 3 236.363 2.550 20 0 BFADHN CC(C)CC[C@@H](NC/C=C\CO)c1ccoc1 ZINC000658138121 411261631 /nfs/dbraw/zinc/26/16/31/411261631.db2.gz KOLYUVZOZFQNLM-VQTKUKTRSA-N 0 3 237.343 2.895 20 0 BFADHN Cc1cc([C@@H](NC/C=C/CO)C2CC2)ccc1F ZINC000658137867 411261738 /nfs/dbraw/zinc/26/17/38/411261738.db2.gz JRVAWVPWASRQBB-FAAWYNLUSA-N 0 3 249.329 2.723 20 0 BFADHN Cc1ccccc1CN(C)Cc1ccc(N)nc1 ZINC000050816963 411266599 /nfs/dbraw/zinc/26/65/99/411266599.db2.gz LBAUNAMPEURITE-UHFFFAOYSA-N 0 3 241.338 2.604 20 0 BFADHN CCc1cc(CN[C@H]2CC[C@@H](C)SC2)on1 ZINC000655715476 411269399 /nfs/dbraw/zinc/26/93/99/411269399.db2.gz LASMHEWFYJGWCA-KOLCDFICSA-N 0 3 240.372 2.611 20 0 BFADHN CCc1ccncc1NC(=O)C(C)C(F)(F)F ZINC000652896353 411270313 /nfs/dbraw/zinc/27/03/13/411270313.db2.gz JUNBQFHPECZABP-ZETCQYMHSA-N 0 3 246.232 2.781 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)C2(CO)CC2)c(C)o1 ZINC000658156858 411270674 /nfs/dbraw/zinc/27/06/74/411270674.db2.gz WOQWWPTUHGXERW-JQWIXIFHSA-N 0 3 237.343 2.708 20 0 BFADHN CCC[C@H](N[C@H](C)C1(CO)CC1)c1ccccn1 ZINC000658157389 411271049 /nfs/dbraw/zinc/27/10/49/411271049.db2.gz ZDTXQSPLZMZENE-OCCSQVGLSA-N 0 3 248.370 2.673 20 0 BFADHN CCC[C@@H](N[C@@H](C)C1(CO)CC1)c1ccccn1 ZINC000658157385 411271575 /nfs/dbraw/zinc/27/15/75/411271575.db2.gz ZDTXQSPLZMZENE-GXTWGEPZSA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc3c(n2)CCC3)C1 ZINC000656450523 411272824 /nfs/dbraw/zinc/27/28/24/411272824.db2.gz PTAFSJBCNBKWMK-GFCCVEGCSA-N 0 3 230.355 2.802 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H](C)c2ccccc2OC)C1 ZINC000658161669 411275106 /nfs/dbraw/zinc/27/51/06/411275106.db2.gz LZQFELUNWZFTOW-JHJVBQTASA-N 0 3 249.354 2.913 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H](C)c2cncc(C)c2)C1 ZINC000658161010 411277045 /nfs/dbraw/zinc/27/70/45/411277045.db2.gz JFPOLNCWWZOATH-MRVWCRGKSA-N 0 3 234.343 2.608 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H](C)c2ncccc2CC)C1 ZINC000658164956 411277067 /nfs/dbraw/zinc/27/70/67/411277067.db2.gz YPXKQTKNKUHWPT-MRVWCRGKSA-N 0 3 248.370 2.862 20 0 BFADHN CCC[C@H](C)NCc1cnc(C2CC2)nc1 ZINC000656469322 411280933 /nfs/dbraw/zinc/28/09/33/411280933.db2.gz OEGOVCTYNFEQBJ-JTQLQIEISA-N 0 3 219.332 2.632 20 0 BFADHN CC(C)OC1CC(N2CC[C@@H](C(F)F)C2)C1 ZINC000656482855 411281742 /nfs/dbraw/zinc/28/17/42/411281742.db2.gz RRGJXOOBPQUOGV-KPPDAEKUSA-N 0 3 233.302 2.529 20 0 BFADHN FC(F)[C@@H]1CCN(CCOCC2CCCC2)C1 ZINC000656482765 411282246 /nfs/dbraw/zinc/28/22/46/411282246.db2.gz BGQUHBLOJUEZIA-GFCCVEGCSA-N 0 3 247.329 2.780 20 0 BFADHN CCC[C@H](C)[C@@H](CC)NCc1cn(CC)nn1 ZINC000658176185 411282520 /nfs/dbraw/zinc/28/25/20/411282520.db2.gz MKGDQAAMNDRHDR-WCQYABFASA-N 0 3 238.379 2.602 20 0 BFADHN FC(F)(F)CC1CN(C[C@@H]2CCSC2)C1 ZINC000656688781 411328623 /nfs/dbraw/zinc/32/86/23/411328623.db2.gz UNHHYJFHJLUPST-QMMMGPOBSA-N 0 3 239.306 2.624 20 0 BFADHN COc1cc(CNC2[C@@H](C)CCC[C@@H]2C)on1 ZINC000660177942 411285461 /nfs/dbraw/zinc/28/54/61/411285461.db2.gz FYFFMSXGDZZKSU-UWVGGRQHSA-N 0 3 238.331 2.598 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@@H]1C[C@H]1C1CCC1)CCC2 ZINC000655776912 411287522 /nfs/dbraw/zinc/28/75/22/411287522.db2.gz NHIQSEJNSSFQJR-MELADBBJSA-N 0 3 245.370 2.744 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@]1(C)CC1(C)C ZINC000658305519 411328980 /nfs/dbraw/zinc/32/89/80/411328980.db2.gz TXAFAJZOQZNRNB-MFKMUULPSA-N 0 3 219.332 2.624 20 0 BFADHN C[C@H](NCCc1ccoc1)c1cncs1 ZINC000658201787 411291161 /nfs/dbraw/zinc/29/11/61/411291161.db2.gz UGPQFMNQFCCDFP-VIFPVBQESA-N 0 3 222.313 2.629 20 0 BFADHN C[C@H](NCCc1ccoc1)c1ccccn1 ZINC000658200516 411291393 /nfs/dbraw/zinc/29/13/93/411291393.db2.gz NXGAOWUZAFRZRP-NSHDSACASA-N 0 3 216.284 2.568 20 0 BFADHN CC(C)CC1(N[C@H](C)c2ccnn2C)CC1 ZINC000658322073 411333213 /nfs/dbraw/zinc/33/32/13/411333213.db2.gz ZTWUEBAFCNIQLT-LLVKDONJSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@@H]1C[C@H](NC[C@@H]2CCC[C@H]3C[C@H]32)c2nccn21 ZINC000658205795 411292823 /nfs/dbraw/zinc/29/28/23/411292823.db2.gz JRUFBSOITHIZDC-HTOAHKCRSA-N 0 3 245.370 2.915 20 0 BFADHN CC[C@H](NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1nccn1C ZINC000658206746 411293636 /nfs/dbraw/zinc/29/36/36/411293636.db2.gz PWWHXTGRTPCRHT-RFGFWPKPSA-N 0 3 247.386 2.897 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000658206561 411293723 /nfs/dbraw/zinc/29/37/23/411293723.db2.gz OVYAVRFJDDLSCE-XDQVBPFNSA-N 0 3 245.370 2.872 20 0 BFADHN Cn1cc2c(n1)CCC[C@@H]2N[C@]1(C)CC1(C)C ZINC000658306409 411329693 /nfs/dbraw/zinc/32/96/93/411329693.db2.gz XNHAKGCCUIJBOY-SMDDNHRTSA-N 0 3 233.359 2.576 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000658207774 411294318 /nfs/dbraw/zinc/29/43/18/411294318.db2.gz XEEVTJKLCKFRNT-XDQVBPFNSA-N 0 3 247.386 2.990 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1nc(C)oc1C ZINC000660198425 411294821 /nfs/dbraw/zinc/29/48/21/411294821.db2.gz XQJZVXDDDLVPEQ-DTWKUNHWSA-N 0 3 210.321 2.816 20 0 BFADHN C[C@H](NC[C@]1(C)CC1(F)F)c1ccoc1 ZINC000658216237 411296255 /nfs/dbraw/zinc/29/62/55/411296255.db2.gz APRYOPRDVYNZHN-WPRPVWTQSA-N 0 3 215.243 2.976 20 0 BFADHN C[C@H](NCC[C@]1(C)CC1(F)F)c1nccn1C ZINC000658218409 411298120 /nfs/dbraw/zinc/29/81/20/411298120.db2.gz DFODGQSMTAWRMM-GXSJLCMTSA-N 0 3 243.301 2.506 20 0 BFADHN CC[C@H](NC[C@@]1(C)CC1(F)F)c1cnn(C)c1 ZINC000658219770 411299133 /nfs/dbraw/zinc/29/91/33/411299133.db2.gz OKQUNHVWSZHUPJ-WDEREUQCSA-N 0 3 243.301 2.506 20 0 BFADHN OC[C@H](NCCC1CCC1)c1ccc(F)cc1 ZINC000660236373 411302232 /nfs/dbraw/zinc/30/22/32/411302232.db2.gz MZVIDAWDCCOISD-AWEZNQCLSA-N 0 3 237.318 2.639 20 0 BFADHN CC[C@H](NC[C@]1(C)CC1(F)F)c1ccn(C)n1 ZINC000658222601 411302464 /nfs/dbraw/zinc/30/24/64/411302464.db2.gz WFQJAEWFQCKHIZ-ONGXEEELSA-N 0 3 243.301 2.506 20 0 BFADHN COc1ccnc(CN[C@@H](C)C2CCC2)c1F ZINC000658227004 411304587 /nfs/dbraw/zinc/30/45/87/411304587.db2.gz GCAGUULXQOUKJP-VIFPVBQESA-N 0 3 238.306 2.508 20 0 BFADHN COc1ccnc(CN[C@H](C)C(C)(C)C)c1F ZINC000658227595 411304601 /nfs/dbraw/zinc/30/46/01/411304601.db2.gz ITTWQYPBNRTXBU-SECBINFHSA-N 0 3 240.322 2.754 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@@]1(C)CC1(C)C ZINC000658309496 411331022 /nfs/dbraw/zinc/33/10/22/411331022.db2.gz WOBUXUATJYEISU-PELKAZGASA-N 0 3 207.321 2.557 20 0 BFADHN COc1cc(CN2C[C@H](C)C[C@H](C)C2)sn1 ZINC000659829480 411312790 /nfs/dbraw/zinc/31/27/90/411312790.db2.gz QYTSMBUJPPKYKQ-AOOOYVTPSA-N 0 3 240.372 2.630 20 0 BFADHN C[C@H](NC[C@]1(C)CC1(F)F)c1ccncc1 ZINC000656691863 411331768 /nfs/dbraw/zinc/33/17/68/411331768.db2.gz YIXFFTGTQNWCIG-ONGXEEELSA-N 0 3 226.270 2.778 20 0 BFADHN COc1cc(CN(C)[C@H]2CCC[C@H](C)C2)on1 ZINC000659842383 411332009 /nfs/dbraw/zinc/33/20/09/411332009.db2.gz NDEGUFFITJLYBV-QWRGUYRKSA-N 0 3 238.331 2.694 20 0 BFADHN Cn1ccc(CN2CC(Cc3ccccc3)C2)c1 ZINC000656651879 411316547 /nfs/dbraw/zinc/31/65/47/411316547.db2.gz QEJCLFABSUYFSB-UHFFFAOYSA-N 0 3 240.350 2.700 20 0 BFADHN Cc1cc([C@H](C)NC2(CC(C)C)CC2)nn1C ZINC000658319745 411332509 /nfs/dbraw/zinc/33/25/09/411332509.db2.gz FINYQUXJHDXILL-LBPRGKRZSA-N 0 3 235.375 2.958 20 0 BFADHN CC[C@@H](C)CCN[C@@H](C)c1cnccn1 ZINC000656680172 411320819 /nfs/dbraw/zinc/32/08/19/411320819.db2.gz OWVUYKSMHIJLEB-MNOVXSKESA-N 0 3 207.321 2.563 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CC[C@H]3C[C@H]3C2)CCO1 ZINC000659839579 411323394 /nfs/dbraw/zinc/32/33/94/411323394.db2.gz YXDFIYMAZICUDE-XJFOESAGSA-N 0 3 223.360 2.533 20 0 BFADHN COc1cc(C)c(C)cc1NC1CCN(C)CC1 ZINC000658115681 411249053 /nfs/dbraw/zinc/24/90/53/411249053.db2.gz SAZQEKTYBIKQNV-UHFFFAOYSA-N 0 3 248.370 2.818 20 0 BFADHN CC[C@H](C)CCN[C@H]1C[C@H](C)n2ccnc21 ZINC000658121333 411250165 /nfs/dbraw/zinc/25/01/65/411250165.db2.gz OMWOSOBXOAVZNV-SRVKXCTJSA-N 0 3 221.348 2.915 20 0 BFADHN CC[C@H](C)CCN[C@@H]1C[C@H](C)n2ccnc21 ZINC000658121332 411250287 /nfs/dbraw/zinc/25/02/87/411250287.db2.gz OMWOSOBXOAVZNV-SDDRHHMPSA-N 0 3 221.348 2.915 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CC[C@@H](C)SC1 ZINC000655700004 411250559 /nfs/dbraw/zinc/25/05/59/411250559.db2.gz IZSHCGNHZMJPMY-LDYMZIIASA-N 0 3 240.372 2.665 20 0 BFADHN Cc1occc1CN[C@H]1CC[C@H](C)SC1 ZINC000655700394 411250733 /nfs/dbraw/zinc/25/07/33/411250733.db2.gz GJGVPJIUISXGMO-CABZTGNLSA-N 0 3 225.357 2.962 20 0 BFADHN CC1(C)CC[C@@H]1NCc1cccc2c1OCO2 ZINC000284117003 167936788 /nfs/dbraw/zinc/93/67/88/167936788.db2.gz CIJGDYQBKBUONT-LBPRGKRZSA-N 0 3 233.311 2.694 20 0 BFADHN Cc1ncncc1[C@H](C)NC1(C(C)C)CC1 ZINC000655770437 411252963 /nfs/dbraw/zinc/25/29/63/411252963.db2.gz KFMRSODCJXRFOH-NSHDSACASA-N 0 3 219.332 2.624 20 0 BFADHN C[C@H](NCCc1ccoc1)c1cccnc1 ZINC000656684532 411326513 /nfs/dbraw/zinc/32/65/13/411326513.db2.gz FEHQSNYNBYNGGH-NSHDSACASA-N 0 3 216.284 2.568 20 0 BFADHN CC(C)[C@H](N[C@H](C)c1ccn(C)n1)C1CC1 ZINC000658298837 411326570 /nfs/dbraw/zinc/32/65/70/411326570.db2.gz CBWVIPJIGNEJCA-MFKMUULPSA-N 0 3 221.348 2.505 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@@H](C)SC2)o1 ZINC000655773713 411255217 /nfs/dbraw/zinc/25/52/17/411255217.db2.gz DTCYLRVUVKSDSW-ZJUUUORDSA-N 0 3 240.372 2.611 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@H](C)SC2)o1 ZINC000655773711 411255278 /nfs/dbraw/zinc/25/52/78/411255278.db2.gz DTCYLRVUVKSDSW-UWVGGRQHSA-N 0 3 240.372 2.611 20 0 BFADHN CC(C)C[C@@H](NC/C=C/CO)c1ccccc1 ZINC000658131926 411255589 /nfs/dbraw/zinc/25/55/89/411255589.db2.gz FFLQGSPCTMASQS-LQYUOIDQSA-N 0 3 233.355 2.912 20 0 BFADHN CCc1ccc([C@H](CC)NC/C=C\CO)cc1 ZINC000658132896 411256199 /nfs/dbraw/zinc/25/61/99/411256199.db2.gz JUOZUCILVMWWBF-YVACAVLKSA-N 0 3 233.355 2.838 20 0 BFADHN COc1ccccc1[C@H]1CCCCN1C[C@H](C)O ZINC000656438623 411256626 /nfs/dbraw/zinc/25/66/26/411256626.db2.gz WUZMCEZNPPXTKO-GXTWGEPZSA-N 0 3 249.354 2.603 20 0 BFADHN CC[C@@H](NC/C=C/CO)c1cc(C)ccc1OC ZINC000658133246 411257702 /nfs/dbraw/zinc/25/77/02/411257702.db2.gz CSFKCYJJGPOCLR-VBROQKIQSA-N 0 3 249.354 2.593 20 0 BFADHN CC1(C)C[C@@H](NC/C=C/CO)c2ccccc21 ZINC000658133502 411257903 /nfs/dbraw/zinc/25/79/03/411257903.db2.gz MVGNBKZOWUGZTK-VBROQKIQSA-N 0 3 231.339 2.547 20 0 BFADHN CC(C)Oc1ccc([C@@H](C)NC/C=C/CO)cc1 ZINC000658134801 411259286 /nfs/dbraw/zinc/25/92/86/411259286.db2.gz RWDFACXQBURAMJ-MUBLQREKSA-N 0 3 249.354 2.673 20 0 BFADHN CC[C@H](NC/C=C\CO)c1cccc(C)c1 ZINC000658134490 411259684 /nfs/dbraw/zinc/25/96/84/411259684.db2.gz ABLVKGGVXKNLKF-WSNITJDQSA-N 0 3 219.328 2.584 20 0 BFADHN CC1(C)COCCN1C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000659858898 411349728 /nfs/dbraw/zinc/34/97/28/411349728.db2.gz VAWQMJZJCGRBGA-FRRDWIJNSA-N 0 3 223.360 2.533 20 0 BFADHN C[C@H]1CCCC[C@H]1CN1CCc2nccnc2C1 ZINC000662118879 411362870 /nfs/dbraw/zinc/36/28/70/411362870.db2.gz GHQPDNRHHZODNI-STQMWFEESA-N 0 3 245.370 2.661 20 0 BFADHN CC1(CN[C@@H]2CCCn3ccnc32)CCCC1 ZINC000655728316 411366859 /nfs/dbraw/zinc/36/68/59/411366859.db2.gz XDEBZYYMAIACNZ-GFCCVEGCSA-N 0 3 233.359 2.888 20 0 BFADHN CC1(CN[C@H]2CCCn3ccnc32)CCCC1 ZINC000655728317 411366890 /nfs/dbraw/zinc/36/68/90/411366890.db2.gz XDEBZYYMAIACNZ-LBPRGKRZSA-N 0 3 233.359 2.888 20 0 BFADHN Nc1ccc(CN2CCC(C3CCC3)CC2)cn1 ZINC000656787788 411368133 /nfs/dbraw/zinc/36/81/33/411368133.db2.gz VMGJRPNFGBDBSN-UHFFFAOYSA-N 0 3 245.370 2.676 20 0 BFADHN C[C@@H]1C[C@@H](NCCCc2ccco2)c2nccn21 ZINC000658324920 411334577 /nfs/dbraw/zinc/33/45/77/411334577.db2.gz GTMFWKJHRSVRCE-DGCLKSJQSA-N 0 3 245.326 2.704 20 0 BFADHN OC1(CN2CC[C@H]2c2ccc(F)cc2)CCCC1 ZINC000656709150 411336083 /nfs/dbraw/zinc/33/60/83/411336083.db2.gz UGELUWBFROTIBX-AWEZNQCLSA-N 0 3 249.329 2.878 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCCc2c(O)cccc21 ZINC000658330659 411337960 /nfs/dbraw/zinc/33/79/60/411337960.db2.gz FTIMAUUVRSVQLD-CFVMTHIKSA-N 0 3 247.338 2.537 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2C[C@]2(C)OC)c(C)c1 ZINC000658330424 411338024 /nfs/dbraw/zinc/33/80/24/411338024.db2.gz JGYWGXISTYTXCA-GLQYFDAESA-N 0 3 249.354 2.832 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1csc(C)c1 ZINC000658330333 411338065 /nfs/dbraw/zinc/33/80/65/411338065.db2.gz IVBVFAIFUMURBL-WCQGTBRESA-N 0 3 225.357 2.885 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](CC(C)C)c1ccccn1 ZINC000658330924 411338710 /nfs/dbraw/zinc/33/87/10/411338710.db2.gz GZIAOOCGBYDAMF-ZNMIVQPWSA-N 0 3 248.370 2.936 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCc2ccc(C)cc21 ZINC000658331872 411339050 /nfs/dbraw/zinc/33/90/50/411339050.db2.gz MVYFIPQOIFMEIU-ZNMIVQPWSA-N 0 3 231.339 2.749 20 0 BFADHN COc1ccsc1[C@H](C)N[C@@H]1C[C@]1(C)OC ZINC000658331646 411339316 /nfs/dbraw/zinc/33/93/16/411339316.db2.gz LVWRNHNJNBWTPW-XRNSZHNASA-N 0 3 241.356 2.585 20 0 BFADHN C[C@H](NC[C@@H]1CCOC1(C)C)c1ccco1 ZINC000658332019 411339585 /nfs/dbraw/zinc/33/95/85/411339585.db2.gz OMEZTLNQQLDDQY-QWRGUYRKSA-N 0 3 223.316 2.745 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccc(C)nc1C ZINC000658333394 411341418 /nfs/dbraw/zinc/34/14/18/411341418.db2.gz XWBNJMUISDBULO-YUTCNCBUSA-N 0 3 234.343 2.526 20 0 BFADHN Cn1cc(CNC2CC=CC2)c(C(C)(C)C)n1 ZINC000125036674 411341765 /nfs/dbraw/zinc/34/17/65/411341765.db2.gz KZOFGLOWPBVHTJ-UHFFFAOYSA-N 0 3 233.359 2.526 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2C[C@]2(C)OC)cc1 ZINC000658334214 411341981 /nfs/dbraw/zinc/34/19/81/411341981.db2.gz FJSOHOGGAAIAHA-GDLCADMTSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccc([C@@H](C)N[C@@H]2C[C@]2(C)OC)cc1 ZINC000658334215 411342275 /nfs/dbraw/zinc/34/22/75/411342275.db2.gz FJSOHOGGAAIAHA-HONMWMINSA-N 0 3 235.327 2.523 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@@H]1C[C@]1(C)OC ZINC000658334462 411342309 /nfs/dbraw/zinc/34/23/09/411342309.db2.gz JSUPKPYCASECNQ-GLQYFDAESA-N 0 3 249.354 2.913 20 0 BFADHN Cc1cccc(CN(C)[C@@H]2COC(C)(C)C2)c1 ZINC000659850237 411342299 /nfs/dbraw/zinc/34/22/99/411342299.db2.gz XCFHSVGBFVDADJ-AWEZNQCLSA-N 0 3 233.355 2.994 20 0 BFADHN CCC[C@H](N[C@@H]1C[C@]1(C)OC)c1cccnc1 ZINC000658331457 411343112 /nfs/dbraw/zinc/34/31/12/411343112.db2.gz JTARHGJSKVFJLI-MJBXVCDLSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H](N[C@@H](C)CCC(F)(F)F)c1nccn1C ZINC000658335533 411343425 /nfs/dbraw/zinc/34/34/25/411343425.db2.gz BGMPYZNULDYIDS-DTWKUNHWSA-N 0 3 249.280 2.802 20 0 BFADHN CC1(C)[C@H](N[C@@H]2CCc3cc(F)ccc32)C[C@@H]1O ZINC000129556521 168003313 /nfs/dbraw/zinc/00/33/13/168003313.db2.gz PMYZBBBOVDTQOA-MCIONIFRSA-N 0 3 249.329 2.562 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1N[C@H]1CCc2c1cccc2F ZINC000252790350 168008956 /nfs/dbraw/zinc/00/89/56/168008956.db2.gz YSNIAAFUCNMWCM-BFHYXJOUSA-N 0 3 249.329 2.562 20 0 BFADHN C[C@@H](N[C@H]1CCCC12CCC2)c1nccn1C ZINC000658338153 411345852 /nfs/dbraw/zinc/34/58/52/411345852.db2.gz HQQXBWLVULWBFD-NEPJUHHUSA-N 0 3 233.359 2.794 20 0 BFADHN C[C@H](CC1CCC1)N[C@H](C)c1nccn1C ZINC000658338441 411346042 /nfs/dbraw/zinc/34/60/42/411346042.db2.gz YEEZWSPRLSWTKO-GHMZBOCLSA-N 0 3 221.348 2.649 20 0 BFADHN CC1(CC[NH2+]Cc2cc([O-])cc(F)c2)CC1 ZINC000280715102 168020209 /nfs/dbraw/zinc/02/02/09/168020209.db2.gz XNHIDQNJRSMAPR-UHFFFAOYSA-N 0 3 223.291 2.811 20 0 BFADHN CC1(CCNCc2ccc(-c3cc[nH]n3)o2)CC1 ZINC000355110508 168020627 /nfs/dbraw/zinc/02/06/27/168020627.db2.gz GQQAIJHDUKPLIK-UHFFFAOYSA-N 0 3 245.326 2.950 20 0 BFADHN C[C@H](N[C@H]1CC[C@H]1C1CCC1)c1nccn1C ZINC000658339814 411346721 /nfs/dbraw/zinc/34/67/21/411346721.db2.gz VWJGVSVPFFQPJJ-DRZSPHRISA-N 0 3 233.359 2.649 20 0 BFADHN C[C@H](N[C@@H](C)C(C)(C)C(F)F)c1nccn1C ZINC000658340339 411347338 /nfs/dbraw/zinc/34/73/38/411347338.db2.gz ZRLQBTXBSHYYDN-IUCAKERBSA-N 0 3 245.317 2.751 20 0 BFADHN CC1(CNCc2c(F)ccc(O)c2F)CCC1 ZINC000293742662 168042306 /nfs/dbraw/zinc/04/23/06/168042306.db2.gz XJNJUVOCTXPBAP-UHFFFAOYSA-N 0 3 241.281 2.950 20 0 BFADHN CC1(C[NH2+]Cc2cc([O-])cc(F)c2)CCC1 ZINC000185567424 168042523 /nfs/dbraw/zinc/04/25/23/168042523.db2.gz HGHXRVMPYHNBPD-UHFFFAOYSA-N 0 3 223.291 2.811 20 0 BFADHN CC1(CNCc2cc3ccccc3o2)COC1 ZINC000083523056 168042862 /nfs/dbraw/zinc/04/28/62/168042862.db2.gz PLBVSHWZYFHCLB-UHFFFAOYSA-N 0 3 231.295 2.559 20 0 BFADHN CC1(CNCc2sccc2Cl)COC1 ZINC000193101699 168043150 /nfs/dbraw/zinc/04/31/50/168043150.db2.gz BAJCTFPVFGUYIX-UHFFFAOYSA-N 0 3 231.748 2.528 20 0 BFADHN CC1(CNCc2ccc(Cl)s2)COC1 ZINC000070559444 168044379 /nfs/dbraw/zinc/04/43/79/168044379.db2.gz IIKJEFXXEZGQMO-UHFFFAOYSA-N 0 3 231.748 2.528 20 0 BFADHN CC1(CNCc2ccccn2)CCCC1 ZINC000082619047 168044529 /nfs/dbraw/zinc/04/45/29/168044529.db2.gz OUWJDKKQQMHYFK-UHFFFAOYSA-N 0 3 204.317 2.752 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@@H]1CCC[C@@H](F)C1 ZINC000655778545 411348141 /nfs/dbraw/zinc/34/81/41/411348141.db2.gz PBHWHJNEJSNPJN-QJPTWQEYSA-N 0 3 237.322 2.716 20 0 BFADHN CC1(NC(=O)C[C@@H](N)c2ccccc2)CCCC1 ZINC000042187994 168057296 /nfs/dbraw/zinc/05/72/96/168057296.db2.gz AIZIDSXQVVQIQA-CYBMUJFWSA-N 0 3 246.354 2.525 20 0 BFADHN CC(C)(C)c1ncc(CNC2(C)CCC2)cn1 ZINC000284440501 168064129 /nfs/dbraw/zinc/06/41/29/168064129.db2.gz SSJJDABPSWIRPP-UHFFFAOYSA-N 0 3 233.359 2.806 20 0 BFADHN CC1=C(C)CN(Cc2ccn(C)c2)CC1 ZINC000280832709 168083973 /nfs/dbraw/zinc/08/39/73/168083973.db2.gz LDVQYTYPLYOJHD-UHFFFAOYSA-N 0 3 204.317 2.567 20 0 BFADHN Cc1cncc(CN2CCC(C)=C(C)C2)c1 ZINC000280817206 168084520 /nfs/dbraw/zinc/08/45/20/168084520.db2.gz UKZXVMPODOOUCY-UHFFFAOYSA-N 0 3 216.328 2.932 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCC(C)=C(C)C1 ZINC000280875715 168084923 /nfs/dbraw/zinc/08/49/23/168084923.db2.gz NZCOFBVQIJRIKZ-UHFFFAOYSA-N 0 3 233.359 2.579 20 0 BFADHN CC1=CCCN(C[C@H](O)c2ccc(F)cc2)C1 ZINC000280702843 168099355 /nfs/dbraw/zinc/09/93/55/168099355.db2.gz CLEQASIFMQCHFP-AWEZNQCLSA-N 0 3 235.302 2.511 20 0 BFADHN Cc1cncc(CN2CCC=C(C)C2)c1 ZINC000280809237 168099373 /nfs/dbraw/zinc/09/93/73/168099373.db2.gz LVJJFZLLFGYGRF-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN CC1=CCCN(Cc2ccc3c(c2)CCO3)C1 ZINC000281748419 168099790 /nfs/dbraw/zinc/09/97/90/168099790.db2.gz HOOKARYYFNSDLH-UHFFFAOYSA-N 0 3 229.323 2.774 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CN(C)Cc1cnccn1 ZINC000191058411 168101406 /nfs/dbraw/zinc/10/14/06/168101406.db2.gz RMFXOJKFUJNZTQ-HIFRSBDPSA-N 0 3 245.370 2.901 20 0 BFADHN C[C@H](CN[C@H]1CCCn2ccnc21)CC(F)F ZINC000655779949 411380166 /nfs/dbraw/zinc/38/01/66/411380166.db2.gz UUPZLIBLKZLVNC-UWVGGRQHSA-N 0 3 243.301 2.599 20 0 BFADHN CC1=CCN(Cc2cccc(F)c2)CC1 ZINC000339657934 168115384 /nfs/dbraw/zinc/11/53/84/168115384.db2.gz IFXFVWRSZNGLAR-UHFFFAOYSA-N 0 3 205.276 2.978 20 0 BFADHN Cc1nc(CCN2CC=C(C)CC2)cs1 ZINC000271026499 168115778 /nfs/dbraw/zinc/11/57/78/168115778.db2.gz PHQYHQVOLVMOLH-UHFFFAOYSA-N 0 3 222.357 2.646 20 0 BFADHN CC1=CC[C@H](NCc2cccc(N(C)C)n2)CC1 ZINC000293516345 168120732 /nfs/dbraw/zinc/12/07/32/168120732.db2.gz CONDFWTZIWFQBE-ZDUSSCGKSA-N 0 3 245.370 2.736 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN(C)Cc2ccnn2C)C1 ZINC000356390669 168129622 /nfs/dbraw/zinc/12/96/22/168129622.db2.gz JBBAGFJMFAJUOT-JSGCOSHPSA-N 0 3 247.386 2.844 20 0 BFADHN CCC1(C)CN(Cc2ccc(O)cc2)C1 ZINC000656807544 411374892 /nfs/dbraw/zinc/37/48/92/411374892.db2.gz BQSTWFSALLWAKG-UHFFFAOYSA-N 0 3 205.301 2.624 20 0 BFADHN CC1CC(NCc2cnc(C(C)(C)C)nc2)C1 ZINC000310248282 168146788 /nfs/dbraw/zinc/14/67/88/168146788.db2.gz WTVXKCCXHYHZQQ-UHFFFAOYSA-N 0 3 233.359 2.662 20 0 BFADHN CC1CC(NCc2cc(F)c(F)cc2F)C1 ZINC000124350762 168147241 /nfs/dbraw/zinc/14/72/41/168147241.db2.gz RMGXFVHHLZNGNH-UHFFFAOYSA-N 0 3 229.245 2.992 20 0 BFADHN CC1CC(N[C@H]2c3ccccc3O[C@@H]2C)C1 ZINC000309743739 168147906 /nfs/dbraw/zinc/14/79/06/168147906.db2.gz DZRMVWKABWYAHT-JDUQTJRRSA-N 0 3 217.312 2.897 20 0 BFADHN CC1CC(N[C@@H]2c3ccccc3O[C@@H]2C)C1 ZINC000309743737 168148141 /nfs/dbraw/zinc/14/81/41/168148141.db2.gz DZRMVWKABWYAHT-GOVJCTDVSA-N 0 3 217.312 2.897 20 0 BFADHN CC1CC(N[C@H](c2nc[nH]n2)C2CCCCC2)C1 ZINC000330270769 168148490 /nfs/dbraw/zinc/14/84/90/168148490.db2.gz UUKZZRXUBNGPBI-GDKBPFBDSA-N 0 3 248.374 2.814 20 0 BFADHN CC1CCC(CN2CCO[C@H](C3CC3)C2)CC1 ZINC000362516925 168154431 /nfs/dbraw/zinc/15/44/31/168154431.db2.gz UWTXVKDTNCOEHN-PIMMBPRGSA-N 0 3 237.387 2.924 20 0 BFADHN CC1CCC(O)(CN2Cc3ccccc3C2)CC1 ZINC000155546774 168166396 /nfs/dbraw/zinc/16/63/96/168166396.db2.gz UHRHUSDIXOAYGQ-UHFFFAOYSA-N 0 3 245.366 2.943 20 0 BFADHN C[C@H](c1ccccn1)N1CCC(C)CC1 ZINC000299186697 168186413 /nfs/dbraw/zinc/18/64/13/168186413.db2.gz AYBVAULZFAXINS-GFCCVEGCSA-N 0 3 204.317 2.875 20 0 BFADHN CCC(=O)CCN(C)Cc1ccc(C)s1 ZINC000190213478 168199829 /nfs/dbraw/zinc/19/98/29/168199829.db2.gz UMWNMOWKGWGHHX-UHFFFAOYSA-N 0 3 225.357 2.858 20 0 BFADHN CCC(=O)CCN1CC[C@H](c2ccccc2F)C1 ZINC000276839333 168203414 /nfs/dbraw/zinc/20/34/14/168203414.db2.gz HMADWHBSQLPOKZ-LBPRGKRZSA-N 0 3 249.329 2.984 20 0 BFADHN CCC(=O)CCN1CC[C@@H](c2ccccc2F)C1 ZINC000276839327 168203657 /nfs/dbraw/zinc/20/36/57/168203657.db2.gz HMADWHBSQLPOKZ-GFCCVEGCSA-N 0 3 249.329 2.984 20 0 BFADHN CC[C@H]1CN(CCC2CCC2)C[C@@H](C)O1 ZINC000659919478 411382616 /nfs/dbraw/zinc/38/26/16/411382616.db2.gz RELRGAFGIGHKDM-YPMHNXCESA-N 0 3 211.349 2.676 20 0 BFADHN CCCCCNC(=O)CN[C@H](C)c1ccccc1 ZINC000243401809 411382611 /nfs/dbraw/zinc/38/26/11/411382611.db2.gz MNMWMIQUOCJKSL-CYBMUJFWSA-N 0 3 248.370 2.644 20 0 BFADHN CCC(=O)N[C@@H](C)[C@@H](c1ccccc1C)N(C)C ZINC000357846296 168236366 /nfs/dbraw/zinc/23/63/66/168236366.db2.gz FVFCDZQXALBXEF-WFASDCNBSA-N 0 3 248.370 2.512 20 0 BFADHN COc1cc(CN2CCCC[C@@H]2C(C)C)on1 ZINC000659935799 411385847 /nfs/dbraw/zinc/38/58/47/411385847.db2.gz NIGGJRYUPSKULD-GFCCVEGCSA-N 0 3 238.331 2.694 20 0 BFADHN CCC(C)(C)CCN(C)C[C@H](O)C(F)(F)F ZINC000341121609 168275493 /nfs/dbraw/zinc/27/54/93/168275493.db2.gz DXMKLYOKHAIDFY-VIFPVBQESA-N 0 3 241.297 2.668 20 0 BFADHN CCC(C)(C)CN[C@@H]1C[C@H](C)n2ccnc21 ZINC000345365628 168281360 /nfs/dbraw/zinc/28/13/60/168281360.db2.gz YSZZBVCCKHJPNC-WDEREUQCSA-N 0 3 221.348 2.915 20 0 BFADHN CCC(C)(C)CNCc1ncc(COC)s1 ZINC000336777393 168281725 /nfs/dbraw/zinc/28/17/25/168281725.db2.gz IHURSSKPKSFOGT-UHFFFAOYSA-N 0 3 242.388 2.815 20 0 BFADHN CCC(C)(C)CNCc1c(C)nn(C)c1Cl ZINC000189995893 168282184 /nfs/dbraw/zinc/28/21/84/168282184.db2.gz UXVQHGBGUVIYLW-UHFFFAOYSA-N 0 3 243.782 2.908 20 0 BFADHN CCC(C)(C)N(C)Cc1c(C)noc1C ZINC000265015830 168286160 /nfs/dbraw/zinc/28/61/60/168286160.db2.gz IFQHDIOGYAYPFO-UHFFFAOYSA-N 0 3 210.321 2.912 20 0 BFADHN CCC(C)(C)NC(=O)Nc1ccc2c(c1)CNC2 ZINC000336237623 168294163 /nfs/dbraw/zinc/29/41/63/168294163.db2.gz XJOQJQBIJFANCO-UHFFFAOYSA-N 0 3 247.342 2.600 20 0 BFADHN CCC(C)(C)NCc1cc(C(=O)OC)c(C)o1 ZINC000034981283 168298649 /nfs/dbraw/zinc/29/86/49/168298649.db2.gz QGGRYPJIPBIDDX-UHFFFAOYSA-N 0 3 239.315 2.653 20 0 BFADHN c1c(CNCCCC2CCCC2)nn2c1CCC2 ZINC000657299646 411456012 /nfs/dbraw/zinc/45/60/12/411456012.db2.gz XNTSIGNNCLJXPL-UHFFFAOYSA-N 0 3 247.386 2.889 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1nc(C)c[nH]1 ZINC000657305300 411456586 /nfs/dbraw/zinc/45/65/86/411456586.db2.gz MQWWZLFXAVZLHN-RYUDHWBXSA-N 0 3 221.348 2.777 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H]2CCCc3occc32)CO1 ZINC000657306934 411458369 /nfs/dbraw/zinc/45/83/69/411458369.db2.gz CRGNHVPZCJRJLN-WZRBSPASSA-N 0 3 235.327 2.814 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1ccc(F)c(F)c1F ZINC000657309838 411458947 /nfs/dbraw/zinc/45/89/47/411458947.db2.gz IVLZVBKDCDXASE-IONNQARKSA-N 0 3 229.245 2.850 20 0 BFADHN CC(C)[C@H]1CCN1Cc1c2c(nn1C)CCCC2 ZINC000638275262 411460060 /nfs/dbraw/zinc/46/00/60/411460060.db2.gz LPFBWUVOEIYLBC-CQSZACIVSA-N 0 3 247.386 2.529 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccc(C)s2)CO1 ZINC000657313890 411460692 /nfs/dbraw/zinc/46/06/92/411460692.db2.gz WOJCDGSKCUSYFK-MNOVXSKESA-N 0 3 225.357 2.714 20 0 BFADHN CC[C@H](CC(F)F)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000659814257 411460796 /nfs/dbraw/zinc/46/07/96/411460796.db2.gz PGMHASRORHEKSM-OUAUKWLOSA-N 0 3 235.318 2.777 20 0 BFADHN CCC(=O)CCCN1CCC[C@H](OC(C)C)C1 ZINC000404511824 411398869 /nfs/dbraw/zinc/39/88/69/411398869.db2.gz DIBWVQAIIMYDQL-AWEZNQCLSA-N 0 3 241.375 2.635 20 0 BFADHN COc1cc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)on1 ZINC000660306519 411399190 /nfs/dbraw/zinc/39/91/90/411399190.db2.gz QBNNPCWYLKXZQJ-JFGNBEQYSA-N 0 3 238.331 2.598 20 0 BFADHN CC1(C)CN(C[C@@H]2CC[C@H]3C[C@H]3C2)CCO1 ZINC000659813981 411461272 /nfs/dbraw/zinc/46/12/72/411461272.db2.gz ZIVIMGFPIYXHNK-FRRDWIJNSA-N 0 3 223.360 2.533 20 0 BFADHN Cc1ncc(CN[C@@H](C)Cc2cccs2)o1 ZINC000657102955 411406617 /nfs/dbraw/zinc/40/66/17/411406617.db2.gz YREVXPVXQGHFBY-VIFPVBQESA-N 0 3 236.340 2.765 20 0 BFADHN CCCCC[C@@H]1CCCCN1C(=O)[C@H](C)NC ZINC000659385975 411406642 /nfs/dbraw/zinc/40/66/42/411406642.db2.gz MAQSFPRCUYFXSW-QWHCGFSZSA-N 0 3 240.391 2.556 20 0 BFADHN CCOCCNCc1ccc(OCC)cc1C ZINC000657314648 411461821 /nfs/dbraw/zinc/46/18/21/411461821.db2.gz YUSBGDGQWZWPRP-UHFFFAOYSA-N 0 3 237.343 2.520 20 0 BFADHN CCC1(CC)CCN(Cc2cnn(C)c2)CC1 ZINC000186539615 411410063 /nfs/dbraw/zinc/41/00/63/411410063.db2.gz RYIYWAUJAUYTMB-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN CCc1nn(C)cc1CN(C)C1CC(C)(C)C1 ZINC000657193477 411416784 /nfs/dbraw/zinc/41/67/84/411416784.db2.gz REKQVZVUOAKYFX-UHFFFAOYSA-N 0 3 235.375 2.603 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3COC[C@@H]32)ccc1F ZINC000657208986 411418586 /nfs/dbraw/zinc/41/85/86/411418586.db2.gz LQELCXBCYKDVIP-HIFRSBDPSA-N 0 3 249.329 2.745 20 0 BFADHN CO[C@H]1CCN([C@H]2CCCc3ccc(F)cc32)C1 ZINC000270021060 411425005 /nfs/dbraw/zinc/42/50/05/411425005.db2.gz RPKZBETYFVSBBR-ZFWWWQNUSA-N 0 3 249.329 2.924 20 0 BFADHN c1ccc2c(c1)onc2CCN1C[C@@H]2CCC[C@H]21 ZINC000653584283 411425714 /nfs/dbraw/zinc/42/57/14/411425714.db2.gz LRUJVUPMKGGTQP-SMDDNHRTSA-N 0 3 242.322 2.855 20 0 BFADHN CN(CCC(C)(C)C1CC1)Cc1cn[nH]c1 ZINC000653583819 411425770 /nfs/dbraw/zinc/42/57/70/411425770.db2.gz WNOVSTOGGPFDDF-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CCCCOCCN[C@H](C)c1cnccc1C ZINC000271593507 411431535 /nfs/dbraw/zinc/43/15/35/411431535.db2.gz UCGSOLSMDUGRNR-CYBMUJFWSA-N 0 3 236.359 2.857 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2cccc(OC)c2)CO1 ZINC000657318190 411463124 /nfs/dbraw/zinc/46/31/24/411463124.db2.gz MLTSUNQLBYBYHH-IACUBPJLSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H](NC(=O)Nc1cccc(CN)c1)C(C)(C)C ZINC000123126468 411437274 /nfs/dbraw/zinc/43/72/74/411437274.db2.gz BUMDTGWQYXSHES-SNVBAGLBSA-N 0 3 249.358 2.701 20 0 BFADHN COc1cc(CN(C)C2CCCC2)sn1 ZINC000659823376 411463590 /nfs/dbraw/zinc/46/35/90/411463590.db2.gz HMZKTOUFGJPLAF-UHFFFAOYSA-N 0 3 226.345 2.526 20 0 BFADHN COc1cc([C@H](C)N[C@H]2CC2(C)C)ccn1 ZINC000655741816 411442142 /nfs/dbraw/zinc/44/21/42/411442142.db2.gz MSOABAPHIPTSFZ-ONGXEEELSA-N 0 3 220.316 2.539 20 0 BFADHN CCCC1(CN[C@H]2CCCn3ccnc32)CC1 ZINC000655733903 411442317 /nfs/dbraw/zinc/44/23/17/411442317.db2.gz UVBAUAFXWLEJOB-LBPRGKRZSA-N 0 3 233.359 2.888 20 0 BFADHN c1c(CNCCC2=CCCCC2)nn2c1CCC2 ZINC000657285049 411443181 /nfs/dbraw/zinc/44/31/81/411443181.db2.gz VPVBFQOZRBCTCK-UHFFFAOYSA-N 0 3 245.370 2.809 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccccc2Cl)CO1 ZINC000657285927 411444851 /nfs/dbraw/zinc/44/48/51/411444851.db2.gz QMGUTKLAQMIPNR-NWDGAFQWSA-N 0 3 239.746 2.997 20 0 BFADHN CC1(C)CC[C@H](N[C@@H]2CCCn3ccnc32)C1 ZINC000655737444 411444862 /nfs/dbraw/zinc/44/48/62/411444862.db2.gz XITDTHXKVIQQDW-NWDGAFQWSA-N 0 3 233.359 2.886 20 0 BFADHN CC1(C)CC[C@H](N[C@H]2CCCn3ccnc32)C1 ZINC000655737445 411444998 /nfs/dbraw/zinc/44/49/98/411444998.db2.gz XITDTHXKVIQQDW-RYUDHWBXSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H]1CCCN(CCO[C@@H]2CC2(F)F)C1 ZINC000659824263 411463802 /nfs/dbraw/zinc/46/38/02/411463802.db2.gz ARJIJQFJABMOQZ-GHMZBOCLSA-N 0 3 233.302 2.533 20 0 BFADHN CN(Cc1cc(C2CC2)no1)C1CCCC1 ZINC000659822698 411463886 /nfs/dbraw/zinc/46/38/86/411463886.db2.gz ALRLTFFFQDCPKD-UHFFFAOYSA-N 0 3 220.316 2.926 20 0 BFADHN c1cn2c(n1)[C@@H](N[C@@H]1CC3CCC1CC3)CCC2 ZINC000655744889 411446936 /nfs/dbraw/zinc/44/69/36/411446936.db2.gz WLYGWOJJNDHLMV-CLRIEMFWSA-N 0 3 245.370 2.886 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H]2CCc3cc(F)ccc32)CO1 ZINC000657334411 411463948 /nfs/dbraw/zinc/46/39/48/411463948.db2.gz ZMGJCPYXTLSXQO-NFAWXSAZSA-N 0 3 249.329 2.970 20 0 BFADHN CC(C)[C@@H](C)CNCc1cc2n(n1)CCCC2 ZINC000655742585 411447499 /nfs/dbraw/zinc/44/74/99/411447499.db2.gz VHGUQHDPDUZEHG-LBPRGKRZSA-N 0 3 235.375 2.601 20 0 BFADHN CC(C)Cc1ccc(CN[C@@H]2CCOC2)cc1 ZINC000131264321 411449696 /nfs/dbraw/zinc/44/96/96/411449696.db2.gz UFYODPWOHCVEJJ-OAHLLOKOSA-N 0 3 233.355 2.764 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2ccccc2)CO1 ZINC000657290737 411452356 /nfs/dbraw/zinc/45/23/56/411452356.db2.gz PVRXENAYTLZKCF-MRVWCRGKSA-N 0 3 219.328 2.905 20 0 BFADHN CCc1ccc([C@H](C)NCCN2CC=CCC2)o1 ZINC000281155342 411452773 /nfs/dbraw/zinc/45/27/73/411452773.db2.gz OTSDWBYAVHMKQR-ZDUSSCGKSA-N 0 3 248.370 2.755 20 0 BFADHN CO[C@@H]1CCN(CCOc2ccccc2)[C@H](C)C1 ZINC000281832195 411454034 /nfs/dbraw/zinc/45/40/34/411454034.db2.gz XUWHIKQAVGMGNZ-UKRRQHHQSA-N 0 3 249.354 2.565 20 0 BFADHN CCc1nc([C@@H](C)N2CCC[C@@H](CC)C2)n[nH]1 ZINC000659824511 411464305 /nfs/dbraw/zinc/46/43/05/411464305.db2.gz DFKJFECFGUEZDK-GHMZBOCLSA-N 0 3 236.363 2.550 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2cn(C3CCC3)nn2)C1 ZINC000657300284 411454606 /nfs/dbraw/zinc/45/46/06/411454606.db2.gz KZLDAJQDNMHYTM-NEPJUHHUSA-N 0 3 248.374 2.671 20 0 BFADHN CO[C@H]1CCC[C@H](NCc2ccc(C)c(C)n2)C1 ZINC000314044149 411466867 /nfs/dbraw/zinc/46/68/67/411466867.db2.gz NRDYQZCEVHOAIJ-ZFWWWQNUSA-N 0 3 248.370 2.746 20 0 BFADHN c1ccc2nc(CN3CCCCC3)cnc2c1 ZINC000659834000 411467954 /nfs/dbraw/zinc/46/79/54/411467954.db2.gz PYHRBIVGSHDUED-UHFFFAOYSA-N 0 3 227.311 2.616 20 0 BFADHN CC(C)=CCCN1CCN(c2ccccn2)CC1 ZINC000659834902 411469069 /nfs/dbraw/zinc/46/90/69/411469069.db2.gz XARRFFYUDMBXEB-UHFFFAOYSA-N 0 3 245.370 2.560 20 0 BFADHN Cc1c[nH]c(CN[C@]2(C)CCCC[C@H]2C)n1 ZINC000657489295 411532820 /nfs/dbraw/zinc/53/28/20/411532820.db2.gz YIHWOBRDJUTEBP-ZWNOBZJWSA-N 0 3 221.348 2.777 20 0 BFADHN Cn1cnc(CN2CCC[C@H]2C2CCCCC2)c1 ZINC000659844619 411473609 /nfs/dbraw/zinc/47/36/09/411473609.db2.gz CYFFQJKHPFJMGV-HNNXBMFYSA-N 0 3 247.386 2.965 20 0 BFADHN CC[C@H]1C[C@H](N[C@@H](C)c2ccccc2)CO1 ZINC000657354378 411473993 /nfs/dbraw/zinc/47/39/93/411473993.db2.gz PVRXENAYTLZKCF-UBHSHLNASA-N 0 3 219.328 2.905 20 0 BFADHN Cc1c[nH]c(CNC2(C3CCC3)CCC2)n1 ZINC000657492203 411532982 /nfs/dbraw/zinc/53/29/82/411532982.db2.gz UOWZSRNAGJZLBL-UHFFFAOYSA-N 0 3 219.332 2.531 20 0 BFADHN CCc1cccc2cc(CNCC(C)(C)O)oc21 ZINC000657356418 411478398 /nfs/dbraw/zinc/47/83/98/411478398.db2.gz MEPOKCJDGKTGSE-UHFFFAOYSA-N 0 3 247.338 2.856 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)Cc2ccc(C)s2)n1 ZINC000657366656 411479190 /nfs/dbraw/zinc/47/91/90/411479190.db2.gz AZUNZOVNFSBUTH-SECBINFHSA-N 0 3 249.383 2.809 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CCc3ccccc32)n1 ZINC000657358417 411479470 /nfs/dbraw/zinc/47/94/70/411479470.db2.gz WFMVZZWKNHUDHX-ZDUSSCGKSA-N 0 3 241.338 2.538 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](COC)c2ccccc2)CO1 ZINC000657366978 411479645 /nfs/dbraw/zinc/47/96/45/411479645.db2.gz NOKPZMSATGPWOH-RBSFLKMASA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@H]1C[C@H](NC2(c3ccccc3)CC2)CO1 ZINC000657357836 411479658 /nfs/dbraw/zinc/47/96/58/411479658.db2.gz FTRUFCKXLAMWRW-KBPBESRZSA-N 0 3 231.339 2.833 20 0 BFADHN CCc1nc([C@@H](C)N2CCC[C@@H](C)CC2)n[nH]1 ZINC000659858031 411479928 /nfs/dbraw/zinc/47/99/28/411479928.db2.gz RWFLELUDLBYOJT-GHMZBOCLSA-N 0 3 236.363 2.550 20 0 BFADHN CC1(C)COCCN1CCCC1CCC1 ZINC000659857625 411480354 /nfs/dbraw/zinc/48/03/54/411480354.db2.gz LWIWXVOFOOPSPB-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN CCCN(Cc1cc(OC)no1)CC(C)C ZINC000659859947 411480734 /nfs/dbraw/zinc/48/07/34/411480734.db2.gz QXKFCQZSERCTLJ-UHFFFAOYSA-N 0 3 226.320 2.551 20 0 BFADHN CCc1nc([C@H](C)N(C)CC2CCCC2)n[nH]1 ZINC000659860994 411481436 /nfs/dbraw/zinc/48/14/36/411481436.db2.gz KZPWMSIBSZOZBN-JTQLQIEISA-N 0 3 236.363 2.550 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H]2CSc3ccccc32)CO1 ZINC000657365633 411481580 /nfs/dbraw/zinc/48/15/80/411481580.db2.gz DEGRYOWIWNFBOJ-LOWVWBTDSA-N 0 3 249.379 2.991 20 0 BFADHN CC1(C)CCC(NCc2cc3n(n2)CCC3)CC1 ZINC000657374717 411482096 /nfs/dbraw/zinc/48/20/96/411482096.db2.gz PYLIIYPTNUXPAH-UHFFFAOYSA-N 0 3 247.386 2.888 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2cc3n(n2)CCC3)C1 ZINC000657373516 411482453 /nfs/dbraw/zinc/48/24/53/411482453.db2.gz XYTKJUODQUCGPD-CHWSQXEVSA-N 0 3 247.386 2.888 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2cc3n(n2)CCC3)C1 ZINC000657373517 411482564 /nfs/dbraw/zinc/48/25/64/411482564.db2.gz XYTKJUODQUCGPD-OLZOCXBDSA-N 0 3 247.386 2.888 20 0 BFADHN COc1cc(CN2CCC[C@H]2CC(C)C)on1 ZINC000659865881 411482794 /nfs/dbraw/zinc/48/27/94/411482794.db2.gz QWRYVYMSVIQDEX-NSHDSACASA-N 0 3 238.331 2.694 20 0 BFADHN CC[C@@H]1CCCN1Cc1cc(OC)ns1 ZINC000659872557 411484355 /nfs/dbraw/zinc/48/43/55/411484355.db2.gz DKJRXSDYJQASQJ-SECBINFHSA-N 0 3 226.345 2.526 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CC[C@H]3CCC[C@H]3C2)n1 ZINC000657377043 411486086 /nfs/dbraw/zinc/48/60/86/411486086.db2.gz QXUCOOAYRQKXFG-FRRDWIJNSA-N 0 3 233.359 2.777 20 0 BFADHN COC(C)(C)CNCc1ccc(F)c(F)c1F ZINC000657377928 411486754 /nfs/dbraw/zinc/48/67/54/411486754.db2.gz RXIDLRWUGHHSEY-UHFFFAOYSA-N 0 3 247.260 2.619 20 0 BFADHN CC(C)C[C@@H]1CCN(Cc2cccnc2N)C1 ZINC000307832135 411487286 /nfs/dbraw/zinc/48/72/86/411487286.db2.gz QSTQSIXCBZORSL-LBPRGKRZSA-N 0 3 233.359 2.532 20 0 BFADHN CC1(CNCc2cc3n(n2)CCC3)CCCCC1 ZINC000657393632 411492151 /nfs/dbraw/zinc/49/21/51/411492151.db2.gz CFZBPYWTJJGFKE-UHFFFAOYSA-N 0 3 247.386 2.889 20 0 BFADHN CC[C@H](NCc1nc(C)c[nH]1)[C@@H]1CC1(C)C ZINC000657494062 411533807 /nfs/dbraw/zinc/53/38/07/411533807.db2.gz KQLOMQFNDVMAIT-QWRGUYRKSA-N 0 3 221.348 2.632 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1CCO[C@@H]1CC1(F)F ZINC000659911504 411497078 /nfs/dbraw/zinc/49/70/78/411497078.db2.gz QUVGRUSZEAYJMP-OUAUKWLOSA-N 0 3 233.302 2.674 20 0 BFADHN Cc1ncsc1CN(C)CC1CC=CC1 ZINC000659913113 411497157 /nfs/dbraw/zinc/49/71/57/411497157.db2.gz UUCNRAHKZYRODK-UHFFFAOYSA-N 0 3 222.357 2.850 20 0 BFADHN Cn1ncc(C2CC2)c1CNCCC1=CCCC1 ZINC000657414586 411498296 /nfs/dbraw/zinc/49/82/96/411498296.db2.gz BYOUKVLSOYGCKI-UHFFFAOYSA-N 0 3 245.370 2.888 20 0 BFADHN CCN(Cc1cnc2ccccc2n1)CC1CC1 ZINC000659921602 411499394 /nfs/dbraw/zinc/49/93/94/411499394.db2.gz UMSYNMDIVDICKM-UHFFFAOYSA-N 0 3 241.338 2.862 20 0 BFADHN CC(C)n1ccnc1CN[C@@H](C)C1CCC1 ZINC000657417772 411501281 /nfs/dbraw/zinc/50/12/81/411501281.db2.gz XBWMPCCULYQZMY-NSHDSACASA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](c2cccnc2)C2CC2)CO1 ZINC000657419219 411502333 /nfs/dbraw/zinc/50/23/33/411502333.db2.gz JUKBVDALICQPRY-RBSFLKMASA-N 0 3 246.354 2.690 20 0 BFADHN C[C@@H](CF)N[C@@H](C)Cc1cccs1 ZINC000308264009 411502908 /nfs/dbraw/zinc/50/29/08/411502908.db2.gz OVOSNQVDFXPOEO-IUCAKERBSA-N 0 3 201.310 2.627 20 0 BFADHN CC[C@H]1CCCCCN1Cc1cc(OC)no1 ZINC000659946078 411507219 /nfs/dbraw/zinc/50/72/19/411507219.db2.gz CJQFMPNVZWSFHE-NSHDSACASA-N 0 3 238.331 2.838 20 0 BFADHN Cc1nc(CN2C[C@@H](C)CCC[C@H]2C)co1 ZINC000659951012 411507974 /nfs/dbraw/zinc/50/79/74/411507974.db2.gz BQJJYIJNSONQAC-WDEREUQCSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@H](C)CNCc1c(C(F)(F)F)cnn1C ZINC000657495007 411534644 /nfs/dbraw/zinc/53/46/44/411534644.db2.gz UECXVLTVIQZQGI-QMMMGPOBSA-N 0 3 249.280 2.575 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)[C@H]2C)nc(C)n1 ZINC000659950678 411508728 /nfs/dbraw/zinc/50/87/28/411508728.db2.gz QUOXGTILLSUFJA-CMPLNLGQSA-N 0 3 233.359 2.714 20 0 BFADHN C[C@H](O)CN(C)Cc1cccc(C(C)(C)C)c1 ZINC000659952808 411509549 /nfs/dbraw/zinc/50/95/49/411509549.db2.gz JWTTZIRCFHCHSH-LBPRGKRZSA-N 0 3 235.371 2.797 20 0 BFADHN COc1cc(CN2C[C@H](C)CCC[C@@H]2C)on1 ZINC000659952312 411510222 /nfs/dbraw/zinc/51/02/22/411510222.db2.gz LQVDNSVIAQCAPP-MNOVXSKESA-N 0 3 238.331 2.694 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2cc3n(n2)CCC3)CC1 ZINC000657443020 411511197 /nfs/dbraw/zinc/51/11/97/411511197.db2.gz WHWYRAWFURAJDH-CHWSQXEVSA-N 0 3 247.386 2.888 20 0 BFADHN CO[C@H](C)CNCc1cc2ccc(C)cc2[nH]1 ZINC000657447820 411513714 /nfs/dbraw/zinc/51/37/14/411513714.db2.gz TZFKVZZWGGIBBA-LLVKDONJSA-N 0 3 232.327 2.601 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cc(OC)ns1 ZINC000659973441 411515016 /nfs/dbraw/zinc/51/50/16/411515016.db2.gz DVAJADWWYSXASJ-NXEZZACHSA-N 0 3 240.372 2.772 20 0 BFADHN COc1cc(CN2CC[C@H](CC(C)C)C2)on1 ZINC000659975922 411516628 /nfs/dbraw/zinc/51/66/28/411516628.db2.gz SOCPSPAXXKPRQD-LLVKDONJSA-N 0 3 238.331 2.551 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1nc(C)oc1C ZINC000659975699 411516706 /nfs/dbraw/zinc/51/67/06/411516706.db2.gz ZKWDJLLDHASJTL-BXKDBHETSA-N 0 3 222.332 2.912 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1c(C2CCC2)cnn1C ZINC000657466546 411518976 /nfs/dbraw/zinc/51/89/76/411518976.db2.gz ZILZJHPTNSJWCN-MFKMUULPSA-N 0 3 233.359 2.576 20 0 BFADHN CCc1nc([C@H](C)N(CC)C[C@@H](C)CC)n[nH]1 ZINC000659984230 411519875 /nfs/dbraw/zinc/51/98/75/411519875.db2.gz FZGHTUXRKFMDHT-QWRGUYRKSA-N 0 3 238.379 2.796 20 0 BFADHN CCc1nnc([C@H](C)[N@@H+](CC)C[C@@H](C)CC)[n-]1 ZINC000659984230 411519877 /nfs/dbraw/zinc/51/98/77/411519877.db2.gz FZGHTUXRKFMDHT-QWRGUYRKSA-N 0 3 238.379 2.796 20 0 BFADHN CCc1nnc([C@H](C)[N@H+](CC)C[C@@H](C)CC)[n-]1 ZINC000659984230 411519879 /nfs/dbraw/zinc/51/98/79/411519879.db2.gz FZGHTUXRKFMDHT-QWRGUYRKSA-N 0 3 238.379 2.796 20 0 BFADHN CC(C)n1ccnc1CNCCC1(F)CCC1 ZINC000657467671 411521152 /nfs/dbraw/zinc/52/11/52/411521152.db2.gz UMLFEVVGJZUGIN-UHFFFAOYSA-N 0 3 239.338 2.836 20 0 BFADHN CC[C@H](CNCc1cc2ccc(C)cc2[nH]1)OC ZINC000657469031 411521712 /nfs/dbraw/zinc/52/17/12/411521712.db2.gz DBBOBBDDQPFJPB-CQSZACIVSA-N 0 3 246.354 2.991 20 0 BFADHN Cc1c[nH]c(CNCCCc2ccccc2F)n1 ZINC000657458206 411521994 /nfs/dbraw/zinc/52/19/94/411521994.db2.gz XVLOSFWIXBVASC-UHFFFAOYSA-N 0 3 247.317 2.580 20 0 BFADHN CC[C@@H](COC)NCc1cccc(C)c1OC ZINC000655810445 411523111 /nfs/dbraw/zinc/52/31/11/411523111.db2.gz LYYWREAHLFESRS-ZDUSSCGKSA-N 0 3 237.343 2.518 20 0 BFADHN CC[C@H]1CC[C@H](NCc2cc3n(n2)CCC3)CC1 ZINC000657473564 411523141 /nfs/dbraw/zinc/52/31/41/411523141.db2.gz NBBRQQMBUPMEPC-JOCQHMNTSA-N 0 3 247.386 2.888 20 0 BFADHN CC(C)(C)C(C)(C)NCc1cc2n(n1)CCC2 ZINC000657473630 411523381 /nfs/dbraw/zinc/52/33/81/411523381.db2.gz NZBUWTAUICODFY-UHFFFAOYSA-N 0 3 235.375 2.744 20 0 BFADHN Cn1c(CNC2(C)CC=CC2)nc2ccccc21 ZINC000655813993 411523773 /nfs/dbraw/zinc/52/37/73/411523773.db2.gz FSBVTUNPUZNTLB-UHFFFAOYSA-N 0 3 241.338 2.772 20 0 BFADHN CC(C)(C)C1CC(NCc2cnc3n2CCC3)C1 ZINC000657474694 411523976 /nfs/dbraw/zinc/52/39/76/411523976.db2.gz CLZWZJDTQOOVOM-UHFFFAOYSA-N 0 3 247.386 2.744 20 0 BFADHN CC(C)(CCNCc1cc2n(n1)CCC2)C1CC1 ZINC000657477032 411524648 /nfs/dbraw/zinc/52/46/48/411524648.db2.gz VZLJFQUIBCKOEU-UHFFFAOYSA-N 0 3 247.386 2.745 20 0 BFADHN CC(C)n1ccnc1CNCCCC(C)(F)F ZINC000657476285 411524715 /nfs/dbraw/zinc/52/47/15/411524715.db2.gz DJGUKAKUWHBPQO-UHFFFAOYSA-N 0 3 245.317 2.989 20 0 BFADHN C[C@H](F)CCNCc1ccc(F)c(F)c1F ZINC000657475527 411525005 /nfs/dbraw/zinc/52/50/05/411525005.db2.gz WFFWVGXPKMKXBY-ZETCQYMHSA-N 0 3 235.224 2.942 20 0 BFADHN CC1(C)CCC[C@H]1CNCc1cc2n(n1)CCC2 ZINC000657477231 411525107 /nfs/dbraw/zinc/52/51/07/411525107.db2.gz YJJLRPRBZRYCMP-LBPRGKRZSA-N 0 3 247.386 2.745 20 0 BFADHN COCC1(CN[C@@H](C)c2cnc(C)s2)CC1 ZINC000655819311 411525540 /nfs/dbraw/zinc/52/55/40/411525540.db2.gz YEDYQAQFBDJTBR-VIFPVBQESA-N 0 3 240.372 2.529 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1nc(C)c[nH]1 ZINC000657478023 411525867 /nfs/dbraw/zinc/52/58/67/411525867.db2.gz CAMQPRMZIUCWAY-VXGBXAGGSA-N 0 3 221.348 2.634 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1cc2n(n1)CCC2 ZINC000657477983 411525927 /nfs/dbraw/zinc/52/59/27/411525927.db2.gz AYNVUZAMWNKEDF-CHWSQXEVSA-N 0 3 247.386 2.745 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2cc(C)cs2)CO1 ZINC000657481895 411527072 /nfs/dbraw/zinc/52/70/72/411527072.db2.gz ZRTBNQHXAGFJMR-GHMZBOCLSA-N 0 3 225.357 2.714 20 0 BFADHN C(CN1CCOC2(CCCC2)C1)C1CCC1 ZINC000660009867 411527061 /nfs/dbraw/zinc/52/70/61/411527061.db2.gz CFRPWYJPJJXPFA-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CC(C)(C)c1ncc(CNC2(C)CC=CC2)cn1 ZINC000655816170 411527188 /nfs/dbraw/zinc/52/71/88/411527188.db2.gz QJPHVFYIWINMTH-UHFFFAOYSA-N 0 3 245.370 2.972 20 0 BFADHN COCC1(CN[C@H]2CCCc3occc32)CC1 ZINC000655816312 411527512 /nfs/dbraw/zinc/52/75/12/411527512.db2.gz UNCOABXSYWNFIN-LBPRGKRZSA-N 0 3 235.327 2.673 20 0 BFADHN CC[C@H](CC(F)F)CN1CCO[C@H](C)[C@H]1C ZINC000660011586 411527817 /nfs/dbraw/zinc/52/78/17/411527817.db2.gz PDCBJCXKQWIBKK-GMTAPVOTSA-N 0 3 235.318 2.777 20 0 BFADHN CCC[C@H](NCC1(COC)CC1)c1ccccn1 ZINC000655817253 411528487 /nfs/dbraw/zinc/52/84/87/411528487.db2.gz JVNXGNQMBWPKGY-ZDUSSCGKSA-N 0 3 248.370 2.939 20 0 BFADHN COc1cc(CN(CC2CC2)C(C)C)sn1 ZINC000660031925 411530372 /nfs/dbraw/zinc/53/03/72/411530372.db2.gz TYXMCNBPEBBVAB-UHFFFAOYSA-N 0 3 240.372 2.772 20 0 BFADHN C[C@H](CC(C)(C)C)NCc1cc2n(n1)CCC2 ZINC000657488507 411531598 /nfs/dbraw/zinc/53/15/98/411531598.db2.gz QNBOVPBDFZPORH-LLVKDONJSA-N 0 3 235.375 2.744 20 0 BFADHN CN(CCOc1ccccc1)[C@@H]1COC(C)(C)C1 ZINC000659826257 411465435 /nfs/dbraw/zinc/46/54/35/411465435.db2.gz KMEPZDOGSMJJIQ-ZDUSSCGKSA-N 0 3 249.354 2.565 20 0 BFADHN CN(Cc1cccs1)[C@@H]1COC(C)(C)C1 ZINC000659826875 411465455 /nfs/dbraw/zinc/46/54/55/411465455.db2.gz RJQRDRBTCLYPRM-JTQLQIEISA-N 0 3 225.357 2.747 20 0 BFADHN Cc1c[nH]c(CNCC2CCC(C)CC2)n1 ZINC000657326510 411466022 /nfs/dbraw/zinc/46/60/22/411466022.db2.gz DVGQSGXQDUAATQ-UHFFFAOYSA-N 0 3 221.348 2.634 20 0 BFADHN CCOc1ccccc1CN[C@H]1CO[C@@H](CC)C1 ZINC000657327531 411466402 /nfs/dbraw/zinc/46/64/02/411466402.db2.gz XWSBJWXRRRLCLX-KGLIPLIRSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCN3CCCC[C@@H]23)o1 ZINC000655855914 411539316 /nfs/dbraw/zinc/53/93/16/411539316.db2.gz IYDLNOGPAYPBJS-CABCVRRESA-N 0 3 248.370 2.695 20 0 BFADHN CCN1C[C@H](N[C@H]2CCCc3occc32)[C@@H](C)C1 ZINC000360047172 170036639 /nfs/dbraw/zinc/03/66/39/170036639.db2.gz SZMWNNWSBQKQOB-UBHSHLNASA-N 0 3 248.370 2.587 20 0 BFADHN CC(C)=CCCN(C)CCc1nccs1 ZINC000660126322 411541855 /nfs/dbraw/zinc/54/18/55/411541855.db2.gz WESQYXVQEDETOV-UHFFFAOYSA-N 0 3 224.373 2.974 20 0 BFADHN COC1CC(CCNCc2ccc(Cl)o2)C1 ZINC000657527789 411541865 /nfs/dbraw/zinc/54/18/65/411541865.db2.gz YNQGOBUUVUHWEX-UHFFFAOYSA-N 0 3 243.734 2.838 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCN2C(C)(C)C)o1 ZINC000657524489 411542375 /nfs/dbraw/zinc/54/23/75/411542375.db2.gz PQBSWPLJHOLWAC-LBPRGKRZSA-N 0 3 236.359 2.550 20 0 BFADHN Cc1ccoc1CNC[C@H]1CCN1C(C)(C)C ZINC000657525565 411543534 /nfs/dbraw/zinc/54/35/34/411543534.db2.gz SRGFQABEXNKDLL-GFCCVEGCSA-N 0 3 236.359 2.550 20 0 BFADHN COC1CC(CCNCc2ccccc2F)C1 ZINC000657527121 411544024 /nfs/dbraw/zinc/54/40/24/411544024.db2.gz QZXJLVJMAMKNTD-UHFFFAOYSA-N 0 3 237.318 2.730 20 0 BFADHN CC1(C)CCCC[C@@H]1CN1CCc2n[nH]cc2C1 ZINC000660136844 411544114 /nfs/dbraw/zinc/54/41/14/411544114.db2.gz NGOBMNCBBBHGDE-CYBMUJFWSA-N 0 3 247.386 2.984 20 0 BFADHN CCCn1nccc1CN[C@@H]1C[C@H]1c1ccco1 ZINC000657495383 411535665 /nfs/dbraw/zinc/53/56/65/411535665.db2.gz HRZPNTSAPDUSGB-CHWSQXEVSA-N 0 3 245.326 2.532 20 0 BFADHN C[C@H](N[C@H]1CCCN2CCCC[C@H]12)c1ccoc1 ZINC000655849329 411535653 /nfs/dbraw/zinc/53/56/53/411535653.db2.gz UINICABDGMDWDJ-AEGPPILISA-N 0 3 248.370 2.947 20 0 BFADHN C[C@H](N[C@H]1CCCN2CCCC[C@@H]12)c1ccoc1 ZINC000655849336 411536086 /nfs/dbraw/zinc/53/60/86/411536086.db2.gz UINICABDGMDWDJ-QEJZJMRPSA-N 0 3 248.370 2.947 20 0 BFADHN CCNC(=O)[C@@H](C)N[C@H](CC)c1ccc(C)cc1 ZINC000035138552 170088880 /nfs/dbraw/zinc/08/88/80/170088880.db2.gz CELSSGMQDCUSLG-TZMCWYRMSA-N 0 3 248.370 2.560 20 0 BFADHN C[C@H](N[C@H]1CCCN2CCCC[C@H]12)c1ccco1 ZINC000655849969 411536577 /nfs/dbraw/zinc/53/65/77/411536577.db2.gz RVDWNCVMRNVINP-MELADBBJSA-N 0 3 248.370 2.947 20 0 BFADHN CC(C)C[C@@H]1CCCCN1Cc1cn(C)cn1 ZINC000660103246 411537565 /nfs/dbraw/zinc/53/75/65/411537565.db2.gz XZKWQXITUAPATK-AWEZNQCLSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2C[C@@H]2c2ccccc2)n1 ZINC000657497759 411537570 /nfs/dbraw/zinc/53/75/70/411537570.db2.gz ANWUMDMTXDXFJS-ZIAGYGMSSA-N 0 3 241.338 2.611 20 0 BFADHN Cc1cccc2[nH]c(CN[C@H]3CO[C@@H](C)C3)cc21 ZINC000657500978 411538037 /nfs/dbraw/zinc/53/80/37/411538037.db2.gz SWORJRZWIIPYNO-WCQYABFASA-N 0 3 244.338 2.743 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cc(C)nc(C)n1 ZINC000660169347 411551545 /nfs/dbraw/zinc/55/15/45/411551545.db2.gz MAWGOQIIENQQIB-RISCZKNCSA-N 0 3 233.359 2.856 20 0 BFADHN CCc1nocc1CN1[C@@H](C)CC[C@@H]1CC ZINC000660169224 411551612 /nfs/dbraw/zinc/55/16/12/411551612.db2.gz KCFPQDYPVHBZAY-JQWIXIFHSA-N 0 3 222.332 3.000 20 0 BFADHN Fc1cccn2cc(CN[C@@]34C[C@@H]3CCC4)nc12 ZINC000657550310 411551705 /nfs/dbraw/zinc/55/17/05/411551705.db2.gz ICGAGBGQLNBFBQ-HZMBPMFUSA-N 0 3 245.301 2.506 20 0 BFADHN CC[C@@H](COCC1CC1)NCc1ccc(C)o1 ZINC000657560537 411551753 /nfs/dbraw/zinc/55/17/53/411551753.db2.gz JJIOUZTZJCUDIZ-ZDUSSCGKSA-N 0 3 237.343 2.883 20 0 BFADHN CCNCc1nc(-c2ccsc2)cs1 ZINC000053294490 170130559 /nfs/dbraw/zinc/13/05/59/170130559.db2.gz NAKQNPWBYDBUPB-UHFFFAOYSA-N 0 3 224.354 2.981 20 0 BFADHN CCNCc1nc(-c2cccc(OC)c2)cs1 ZINC000045206534 170130808 /nfs/dbraw/zinc/13/08/08/170130808.db2.gz MRBYJKJCBULPCE-UHFFFAOYSA-N 0 3 248.351 2.928 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1conc1C ZINC000657578969 411553894 /nfs/dbraw/zinc/55/38/94/411553894.db2.gz ONKQBVSHDMXEPV-SNVBAGLBSA-N 0 3 210.321 2.897 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1cc2n(n1)CCC2 ZINC000657578520 411554079 /nfs/dbraw/zinc/55/40/79/411554079.db2.gz MERTZFPVCNOLSX-LLVKDONJSA-N 0 3 235.375 2.744 20 0 BFADHN CCN[C@@H](C)c1cnn(Cc2ccccc2)c1 ZINC000054721127 170142649 /nfs/dbraw/zinc/14/26/49/170142649.db2.gz VBCLIDXYHULDDW-LBPRGKRZSA-N 0 3 229.327 2.602 20 0 BFADHN CC(C)[C@H]1CN(CCC2CCC2)CCO1 ZINC000660219368 411555136 /nfs/dbraw/zinc/55/51/36/411555136.db2.gz CEOOJVOEMRTSBA-CYBMUJFWSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1cc(CNCC2C[C@H](C)C[C@@H](C)C2)nn1C ZINC000657589138 411555297 /nfs/dbraw/zinc/55/52/97/411555297.db2.gz FYCUBQHEMUEXBP-VXGBXAGGSA-N 0 3 249.402 2.890 20 0 BFADHN CCN[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000087342112 170149351 /nfs/dbraw/zinc/14/93/51/170149351.db2.gz TTZZWFLWVWZDCT-LLVKDONJSA-N 0 3 207.321 2.641 20 0 BFADHN C[C@@H]1CC(CNCc2cocn2)C[C@@H](C)C1 ZINC000657590451 411555710 /nfs/dbraw/zinc/55/57/10/411555710.db2.gz YOJRITDIUNNLPH-QWRGUYRKSA-N 0 3 222.332 2.837 20 0 BFADHN C[C@@H]1CC(CNCc2ncc[nH]2)C[C@@H](C)C1 ZINC000657590013 411556137 /nfs/dbraw/zinc/55/61/37/411556137.db2.gz UMYMALVUWQBWMG-QWRGUYRKSA-N 0 3 221.348 2.572 20 0 BFADHN CC1(C)C[C@H](NC/C=C/c2ccc(F)cc2)CO1 ZINC000657531765 411544724 /nfs/dbraw/zinc/54/47/24/411544724.db2.gz YGNIYZQPOKGQTI-XGACYXMMSA-N 0 3 249.329 2.996 20 0 BFADHN Cc1ccc(CNC[C@@H](C)c2ccccn2)o1 ZINC000657532510 411545178 /nfs/dbraw/zinc/54/51/78/411545178.db2.gz ISMZHNFRWSHNML-LLVKDONJSA-N 0 3 230.311 2.876 20 0 BFADHN C[C@H](CNCc1ccco1)c1ccccn1 ZINC000657532545 411545198 /nfs/dbraw/zinc/54/51/98/411545198.db2.gz JJUHUYKFCVNPEL-LLVKDONJSA-N 0 3 216.284 2.568 20 0 BFADHN Cc1ccoc1CNC[C@@H](C)c1ccccn1 ZINC000657533945 411545395 /nfs/dbraw/zinc/54/53/95/411545395.db2.gz UWOXJTWRPJELNR-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN Cn1nc(CN[C@@]23C[C@@H]2CCC3)c2ccccc21 ZINC000657549399 411546645 /nfs/dbraw/zinc/54/66/45/411546645.db2.gz CZPPLTPGTDWPKK-NHYWBVRUSA-N 0 3 241.338 2.606 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCOC[C@H]1C1CC1 ZINC000660151879 411547542 /nfs/dbraw/zinc/54/75/42/411547542.db2.gz XLBUKLKNZXWDBX-JQWIXIFHSA-N 0 3 247.329 2.779 20 0 BFADHN CC[C@H](CC(F)F)CN1CCN(C)CC1(C)C ZINC000660156441 411548450 /nfs/dbraw/zinc/54/84/50/411548450.db2.gz GCPDFJMUTUASQO-LLVKDONJSA-N 0 3 248.361 2.694 20 0 BFADHN CC(C)=CCCN1CCCN(CC(F)F)CC1 ZINC000660159015 411548950 /nfs/dbraw/zinc/54/89/50/411548950.db2.gz XINHSGNTOHPWPT-UHFFFAOYSA-N 0 3 246.345 2.616 20 0 BFADHN Fc1ccc(F)c(CN[C@]23C[C@H]2CCC3)c1 ZINC000657554211 411549292 /nfs/dbraw/zinc/54/92/92/411549292.db2.gz XXOUOYHFLARNSG-ZWNOBZJWSA-N 0 3 223.266 2.997 20 0 BFADHN CCOC(=O)CCN(C)[C@@H](C)c1cccs1 ZINC000042227161 170204322 /nfs/dbraw/zinc/20/43/22/170204322.db2.gz WYUUGWCADDPZTK-JTQLQIEISA-N 0 3 241.356 2.694 20 0 BFADHN COc1cc(CN[C@H]2CCCCC[C@H]2C)on1 ZINC000660304637 411566234 /nfs/dbraw/zinc/56/62/34/411566234.db2.gz GBLVGLVQJNAKNF-PWSUYJOCSA-N 0 3 238.331 2.742 20 0 BFADHN CC(C)=CCCN[C@@H](CO)c1ccsc1 ZINC000660302535 411566380 /nfs/dbraw/zinc/56/63/80/411566380.db2.gz FOWMEOIOQBYSOM-LBPRGKRZSA-N 0 3 225.357 2.727 20 0 BFADHN Cc1ccc(CN[C@@H]2COC3(CCC3)C2)cc1 ZINC000657629476 411566435 /nfs/dbraw/zinc/56/64/35/411566435.db2.gz AHSGMADMWACVCE-AWEZNQCLSA-N 0 3 231.339 2.796 20 0 BFADHN OC/C=C\CNCc1cc(Cl)cc(Cl)c1 ZINC000657591785 411556643 /nfs/dbraw/zinc/55/66/43/411556643.db2.gz AJYQWMMILRGVQQ-UPHRSURJSA-N 0 3 246.137 2.632 20 0 BFADHN CC(C)(C)c1ccc(CNC/C=C\CO)cc1 ZINC000657592169 411556846 /nfs/dbraw/zinc/55/68/46/411556846.db2.gz RKQKEAIGBGIZCM-PLNGDYQASA-N 0 3 233.355 2.622 20 0 BFADHN CC[C@@H](C)CCNCc1c(Cl)cnn1C ZINC000657587080 411557887 /nfs/dbraw/zinc/55/78/87/411557887.db2.gz QUUBKWYXWUZHAN-SECBINFHSA-N 0 3 229.755 2.599 20 0 BFADHN CC[C@H](C)CCNCc1c(Cl)cnn1C ZINC000657587081 411557978 /nfs/dbraw/zinc/55/79/78/411557978.db2.gz QUUBKWYXWUZHAN-VIFPVBQESA-N 0 3 229.755 2.599 20 0 BFADHN Cc1occc1CNCCc1cnccc1C ZINC000657588352 411558582 /nfs/dbraw/zinc/55/85/82/411558582.db2.gz HDYRSEYNFGQOEE-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN COC[C@H](NC[C@@H]1CC[C@H]2C[C@H]2C1)c1ccco1 ZINC000660250510 411559415 /nfs/dbraw/zinc/55/94/15/411559415.db2.gz HVAYWAHVXPGJJM-RQJABVFESA-N 0 3 249.354 2.993 20 0 BFADHN C[C@@H](NCc1ccc([C@H]2C[C@H]2C)o1)C1(CO)CC1 ZINC000657602571 411560603 /nfs/dbraw/zinc/56/06/03/411560603.db2.gz JRALHGREKGSRLO-WZRBSPASSA-N 0 3 249.354 2.654 20 0 BFADHN c1c(CNC2(C3CC3)CC2)onc1C1CC1 ZINC000660255005 411560737 /nfs/dbraw/zinc/56/07/37/411560737.db2.gz XDYUANOGPSJVRN-UHFFFAOYSA-N 0 3 218.300 2.584 20 0 BFADHN CC(C)(C)OCCCNCc1ccco1 ZINC000657637186 411567831 /nfs/dbraw/zinc/56/78/31/411567831.db2.gz FMFJHCUZHWSLTJ-UHFFFAOYSA-N 0 3 211.305 2.574 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1CC[C@@H](C)[C@H]1C ZINC000360027216 170270668 /nfs/dbraw/zinc/27/06/68/170270668.db2.gz GETGUVGIEWUMOA-UPJWGTAASA-N 0 3 241.375 2.695 20 0 BFADHN C[C@H](NCc1ccccc1Cl)C1(CO)CC1 ZINC000657604961 411563774 /nfs/dbraw/zinc/56/37/74/411563774.db2.gz NHLPFEFFRZOHFH-JTQLQIEISA-N 0 3 239.746 2.591 20 0 BFADHN Cc1ccc(CNCCO[C@@H]2CC2(F)F)s1 ZINC000657617953 411564520 /nfs/dbraw/zinc/56/45/20/411564520.db2.gz GOAZHAYPEYSSPA-SNVBAGLBSA-N 0 3 247.310 2.570 20 0 BFADHN Cc1nnc([C@H](C)NC[C@@H]2C[C@H]3C[C@H]3C2)s1 ZINC000660280452 411565164 /nfs/dbraw/zinc/56/51/64/411565164.db2.gz QJLISCWPBDNJPF-BBHBSTQDSA-N 0 3 237.372 2.543 20 0 BFADHN Cc1ncc(CNCc2cc(C)cc(C)c2)n1C ZINC000657625676 411565557 /nfs/dbraw/zinc/56/55/57/411565557.db2.gz BOBQEAXSVWFXJS-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN CC(C)=CCCN[C@@H](CCO)c1ccco1 ZINC000660296518 411565729 /nfs/dbraw/zinc/56/57/29/411565729.db2.gz BWLDWGGJWDQCPC-LBPRGKRZSA-N 0 3 223.316 2.649 20 0 BFADHN c1cc(CCNCc2cnc(C3CC3)s2)co1 ZINC000657652985 411570643 /nfs/dbraw/zinc/57/06/43/411570643.db2.gz GHLOUDAJSZEKSQ-UHFFFAOYSA-N 0 3 248.351 2.946 20 0 BFADHN c1c(CN2CC[C@H]3CCC[C@H]32)onc1C1CC1 ZINC000660332685 411570887 /nfs/dbraw/zinc/57/08/87/411570887.db2.gz SRKRLQIMDKSQJA-BXUZGUMPSA-N 0 3 232.327 2.926 20 0 BFADHN COc1cc(CN(C)[C@H]2CCCC[C@H]2C)on1 ZINC000660368049 411572898 /nfs/dbraw/zinc/57/28/98/411572898.db2.gz OWGHLFHOESHWRK-PWSUYJOCSA-N 0 3 238.331 2.694 20 0 BFADHN CCOC1(C)CCN(CCc2ccccn2)CC1 ZINC000298435956 170317865 /nfs/dbraw/zinc/31/78/65/170317865.db2.gz YRXWSPJKMGBXEG-UHFFFAOYSA-N 0 3 248.370 2.515 20 0 BFADHN CCc1ccc(CNC[C@@H]2CCC[C@@H](C)O2)o1 ZINC000657667234 411573141 /nfs/dbraw/zinc/57/31/41/411573141.db2.gz PYMPUPBXEOWNQP-YPMHNXCESA-N 0 3 237.343 2.889 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2ccc(F)cc2)O1 ZINC000657667485 411573274 /nfs/dbraw/zinc/57/32/74/411573274.db2.gz WCVXUDGLXUYAGY-BXUZGUMPSA-N 0 3 237.318 2.873 20 0 BFADHN CC(C)n1nccc1CNC[C@@]1(C)CC1(F)F ZINC000657667968 411573617 /nfs/dbraw/zinc/57/36/17/411573617.db2.gz ZXECDWAFVMXCII-LLVKDONJSA-N 0 3 243.301 2.599 20 0 BFADHN CC(C)=CCCN1C[C@H](C)O[C@H](C)[C@H]1C ZINC000660373520 411574186 /nfs/dbraw/zinc/57/41/86/411574186.db2.gz BCPIUWPSXZVPDE-YNEHKIRRSA-N 0 3 211.349 2.840 20 0 BFADHN CC(C)=CCCN1C[C@H](C)O[C@H](C)[C@@H]1C ZINC000660373517 411574667 /nfs/dbraw/zinc/57/46/67/411574667.db2.gz BCPIUWPSXZVPDE-RWMBFGLXSA-N 0 3 211.349 2.840 20 0 BFADHN CC(C)=CCCN1C[C@H](C)O[C@@H](C)[C@@H]1C ZINC000660373515 411574676 /nfs/dbraw/zinc/57/46/76/411574676.db2.gz BCPIUWPSXZVPDE-AVGNSLFASA-N 0 3 211.349 2.840 20 0 BFADHN C[C@]1(CNCc2cccc(F)c2)CC1(F)F ZINC000657669751 411574772 /nfs/dbraw/zinc/57/47/72/411574772.db2.gz PYVYHMADKKYZSI-LLVKDONJSA-N 0 3 229.245 2.961 20 0 BFADHN CCOCC(C)(C)CNCc1ccc(CC)o1 ZINC000288470252 170351371 /nfs/dbraw/zinc/35/13/71/170351371.db2.gz FQQCPIFVZSVYHE-UHFFFAOYSA-N 0 3 239.359 2.994 20 0 BFADHN Cc1nc(CNCC[C@]2(C)CC2(F)F)[nH]c1C ZINC000657673436 411575508 /nfs/dbraw/zinc/57/55/08/411575508.db2.gz QVZGJKQFZQQTSV-LLVKDONJSA-N 0 3 243.301 2.552 20 0 BFADHN CCOCC(C)(C)NCc1sc(C)nc1C ZINC000293185036 170356230 /nfs/dbraw/zinc/35/62/30/170356230.db2.gz HPECTJCAMDVRSR-UHFFFAOYSA-N 0 3 242.388 2.665 20 0 BFADHN CCOCCCN(C)[C@H](C)c1cccc(O)c1 ZINC000119597997 170370326 /nfs/dbraw/zinc/37/03/26/170370326.db2.gz CWRHCSAISSREGO-GFCCVEGCSA-N 0 3 237.343 2.812 20 0 BFADHN CCOCCCN(C)[C@H](C)c1ccccn1 ZINC000119780802 170371318 /nfs/dbraw/zinc/37/13/18/170371318.db2.gz BPQPPKHUTWSHKX-GFCCVEGCSA-N 0 3 222.332 2.501 20 0 BFADHN CCOCCCN(Cc1cccnc1)C(C)C ZINC000119768353 170372063 /nfs/dbraw/zinc/37/20/63/170372063.db2.gz FZQSOAKJTQPEQO-UHFFFAOYSA-N 0 3 236.359 2.719 20 0 BFADHN CCOCCCN1CCC[C@@H](C(F)(F)F)C1 ZINC000357675512 170372795 /nfs/dbraw/zinc/37/27/95/170372795.db2.gz OGSUAXQWCYEUJH-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN CCOCCCN1CCC[C@@H]1c1cccnc1 ZINC000336682180 170373545 /nfs/dbraw/zinc/37/35/45/170373545.db2.gz CYUDETXAWCKKAQ-CQSZACIVSA-N 0 3 234.343 2.645 20 0 BFADHN CCOCCCN1CCC[C@@H]1c1cc(C)no1 ZINC000336705703 170373815 /nfs/dbraw/zinc/37/38/15/170373815.db2.gz NVOTYUGWIWVUTA-GFCCVEGCSA-N 0 3 238.331 2.547 20 0 BFADHN CCOCCCN1CCS[C@@H]2CCCC[C@H]21 ZINC000336665044 170374457 /nfs/dbraw/zinc/37/44/57/170374457.db2.gz FFKORTRBYBNRLW-CHWSQXEVSA-N 0 3 243.416 2.773 20 0 BFADHN COCc1ccc(CNCCC(C)(C)F)o1 ZINC000657645100 411569176 /nfs/dbraw/zinc/56/91/76/411569176.db2.gz KHXCDJWBWBRPLT-UHFFFAOYSA-N 0 3 229.295 2.654 20 0 BFADHN CCOCCCNCc1cc(F)cc(Cl)c1 ZINC000083374611 170380843 /nfs/dbraw/zinc/38/08/43/170380843.db2.gz TWSMLMYMLZSDEM-UHFFFAOYSA-N 0 3 245.725 2.995 20 0 BFADHN CCOCCCN[C@@H](C)c1ccccc1OC ZINC000019962904 170381754 /nfs/dbraw/zinc/38/17/54/170381754.db2.gz QFOUCSZOIALTIP-LBPRGKRZSA-N 0 3 237.343 2.772 20 0 BFADHN Cc1ccc(CNCCc2ccoc2)o1 ZINC000657652505 411569947 /nfs/dbraw/zinc/56/99/47/411569947.db2.gz ODLCUKVRGAEFJA-UHFFFAOYSA-N 0 3 205.257 2.513 20 0 BFADHN C[C@@H](NCCc1ccoc1)c1cncc(F)c1 ZINC000657653287 411570269 /nfs/dbraw/zinc/57/02/69/411570269.db2.gz IPPVQMAYCARDNX-SNVBAGLBSA-N 0 3 234.274 2.707 20 0 BFADHN CCOCCN(C)CCO[C@H]1CCCC[C@H]1C ZINC000357557280 170388095 /nfs/dbraw/zinc/38/80/95/170388095.db2.gz XOMZNEFVVZUPFO-KGLIPLIRSA-N 0 3 243.391 2.550 20 0 BFADHN CCOCCN(C)Cc1cc(F)ccc1C ZINC000181493116 170389678 /nfs/dbraw/zinc/38/96/78/170389678.db2.gz STBOXCJWVDASEO-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN CCOCCN(C)[C@H]1C[C@H]1c1ccccc1 ZINC000268950690 170391597 /nfs/dbraw/zinc/39/15/97/170391597.db2.gz DBMMZDHMYKXIIE-KBPBESRZSA-N 0 3 219.328 2.511 20 0 BFADHN CCOCCN(CC)CCC(=O)c1ccccc1 ZINC000107062207 170395259 /nfs/dbraw/zinc/39/52/59/170395259.db2.gz MFEHYWAOYVNLGC-UHFFFAOYSA-N 0 3 249.354 2.618 20 0 BFADHN CN(CCOC(C)(C)C)Cc1cccc(N)c1 ZINC000133134080 411628096 /nfs/dbraw/zinc/62/80/96/411628096.db2.gz JQBQTCAVBYMELU-UHFFFAOYSA-N 0 3 236.359 2.516 20 0 BFADHN CC(C)=CCCOc1ccccc1CN ZINC000663337118 411628227 /nfs/dbraw/zinc/62/82/27/411628227.db2.gz LCCPZEYJXQRISF-UHFFFAOYSA-N 0 3 205.301 2.880 20 0 BFADHN CCC[C@H](NCc1cc(OC)no1)C1CCC1 ZINC000660783323 411630848 /nfs/dbraw/zinc/63/08/48/411630848.db2.gz OFRHKRCOJGDZSK-LBPRGKRZSA-N 0 3 238.331 2.742 20 0 BFADHN CCCc1ccc(CNCCOCCF)cc1 ZINC000663342407 411631200 /nfs/dbraw/zinc/63/12/00/411631200.db2.gz SNOIVVSNBFUNMV-UHFFFAOYSA-N 0 3 239.334 2.715 20 0 BFADHN Cc1ccc(CNC[C@]2(C)CC2(F)F)nc1 ZINC000657664341 411576943 /nfs/dbraw/zinc/57/69/43/411576943.db2.gz JDNMBHPAPNDTMZ-NSHDSACASA-N 0 3 226.270 2.525 20 0 BFADHN CC(C)n1cc(CNC[C@@]2(C)CC2(F)F)cn1 ZINC000657665395 411577070 /nfs/dbraw/zinc/57/70/70/411577070.db2.gz ORVHPSKOKIXFIE-LLVKDONJSA-N 0 3 243.301 2.599 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC[C@@H](C)O2)o1 ZINC000657666353 411577851 /nfs/dbraw/zinc/57/78/51/411577851.db2.gz SRNYNTIBJKNJAL-PWSUYJOCSA-N 0 3 223.316 2.635 20 0 BFADHN CCOC[C@@H](C)NCc1ccc(C)s1 ZINC000044690027 170432013 /nfs/dbraw/zinc/43/20/13/170432013.db2.gz MNUHKNSZDYMEGU-SECBINFHSA-N 0 3 213.346 2.571 20 0 BFADHN CC[C@@](C)(NCc1ccncc1F)C1CC1 ZINC000657687483 411578854 /nfs/dbraw/zinc/57/88/54/411578854.db2.gz GZNLQKCKCNUPAR-CYBMUJFWSA-N 0 3 222.307 2.889 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H]1CCc2ccc(O)cc21 ZINC000657692792 411580296 /nfs/dbraw/zinc/58/02/96/411580296.db2.gz DNXGNMNVRVMEQK-XHDPSFHLSA-N 0 3 249.354 2.783 20 0 BFADHN CC[C@@H](NCc1nccn1C(C)C)C1CC1 ZINC000657679757 411580442 /nfs/dbraw/zinc/58/04/42/411580442.db2.gz FPBZBWSAFWCRLH-GFCCVEGCSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@H](NCc1cn2cccc(F)c2n1)C1CC1 ZINC000657681848 411580468 /nfs/dbraw/zinc/58/04/68/411580468.db2.gz UCISFRVTSDIDDQ-ZDUSSCGKSA-N 0 3 247.317 2.752 20 0 BFADHN CCc1nocc1CN[C@H]1CCC[C@@H]1CC ZINC000660409099 411580663 /nfs/dbraw/zinc/58/06/63/411580663.db2.gz BDECESUXTQLXCM-GWCFXTLKSA-N 0 3 222.332 2.905 20 0 BFADHN Cc1cc(CN[C@H]2C[C@@H](O)C23CCC3)ccc1F ZINC000657704929 411584493 /nfs/dbraw/zinc/58/44/93/411584493.db2.gz JTJQXDCQSINFPQ-UONOGXRCSA-N 0 3 249.329 2.527 20 0 BFADHN Cc1cc(C)cc(CN[C@H]2C[C@@H](O)C23CCC3)c1 ZINC000657705447 411584780 /nfs/dbraw/zinc/58/47/80/411584780.db2.gz YRYVITFXRPAGPJ-LSDHHAIUSA-N 0 3 245.366 2.697 20 0 BFADHN C[C@@H]1C[C@H](C)N1CCOCc1ccccc1 ZINC000660444592 411585951 /nfs/dbraw/zinc/58/59/51/411585951.db2.gz DCPGMYSCZRUYQP-BETUJISGSA-N 0 3 219.328 2.686 20 0 BFADHN Cc1ccncc1CN(C)C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000660452034 411586405 /nfs/dbraw/zinc/58/64/05/411586405.db2.gz ABEOAMITBVUABQ-BTTYYORXSA-N 0 3 230.355 2.868 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CC[C@@H]2c2ccccc2)n1 ZINC000657714778 411586550 /nfs/dbraw/zinc/58/65/50/411586550.db2.gz CZGJEDDFUBETPX-ZIAGYGMSSA-N 0 3 241.338 2.754 20 0 BFADHN CCN(Cc1cc(C)nc(C)n1)CC1CCC1 ZINC000660452550 411587234 /nfs/dbraw/zinc/58/72/34/411587234.db2.gz HPDXKBVENKZZDL-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN Cc1ncsc1CNC[C@H]1C(C)(C)C1(F)F ZINC000657737125 411588477 /nfs/dbraw/zinc/58/84/77/411588477.db2.gz IEGJWVORYNQJAU-VIFPVBQESA-N 0 3 246.326 2.832 20 0 BFADHN Cc1nocc1CN[C@@H](C)C1CCC(F)CC1 ZINC000657743011 411589738 /nfs/dbraw/zinc/58/97/38/411589738.db2.gz DJSPXDSYYBIFNX-FJJSSXBZSA-N 0 3 240.322 2.989 20 0 BFADHN CCOC[C@H](C)NCc1cc(C)ccc1C ZINC000044692065 170446051 /nfs/dbraw/zinc/44/60/51/170446051.db2.gz LSYMTYASUVQGBF-ZDUSSCGKSA-N 0 3 221.344 2.818 20 0 BFADHN CC(C)n1nccc1CN[C@@]1(C)CC1(C)C ZINC000657761384 411591225 /nfs/dbraw/zinc/59/12/25/411591225.db2.gz JRDNNXXVFIKZNL-ZDUSSCGKSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1ccc2ncc(CNCC3=CCCC3)n2c1 ZINC000657750051 411593051 /nfs/dbraw/zinc/59/30/51/411593051.db2.gz YMYNVUVZVRZCDB-UHFFFAOYSA-N 0 3 241.338 2.843 20 0 BFADHN COc1cccc(CNC2(CC(C)C)CC2)n1 ZINC000657773970 411593978 /nfs/dbraw/zinc/59/39/78/411593978.db2.gz ADVZMCJOJOOXMV-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN Fc1cnccc1CNCCCc1ccco1 ZINC000657783660 411595011 /nfs/dbraw/zinc/59/50/11/411595011.db2.gz WALISFCUPHPUFM-UHFFFAOYSA-N 0 3 234.274 2.536 20 0 BFADHN CO[C@@]1(C)C[C@H]1NC/C=C/c1ccc(F)cc1 ZINC000657788482 411595936 /nfs/dbraw/zinc/59/59/36/411595936.db2.gz QWIQAJPQMBMUHK-JKWAOEONSA-N 0 3 235.302 2.606 20 0 BFADHN CCc1cccc(CN[C@@H]2C[C@]2(C)OC)c1 ZINC000657789786 411596497 /nfs/dbraw/zinc/59/64/97/411596497.db2.gz JOWSCKYWMCYURG-KGLIPLIRSA-N 0 3 219.328 2.516 20 0 BFADHN C1=CCC(CN2CCCC[C@H]2c2cc[nH]n2)C1 ZINC000660533394 411596808 /nfs/dbraw/zinc/59/68/08/411596808.db2.gz SHCPVGRGCUCJQO-AWEZNQCLSA-N 0 3 231.343 2.903 20 0 BFADHN Oc1ccc2c(c1)OCCN(CCC1CCC1)C2 ZINC000660541630 411597149 /nfs/dbraw/zinc/59/71/49/411597149.db2.gz KQMWIIBAESSGFR-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN Cc1noc(C)c1CNCCCc1ccco1 ZINC000657780660 411597642 /nfs/dbraw/zinc/59/76/42/411597642.db2.gz WLEHBRBWXSYICF-UHFFFAOYSA-N 0 3 234.299 2.607 20 0 BFADHN CCC[C@H](C)CN[C@@H](C)c1nccn1C ZINC000657792386 411598098 /nfs/dbraw/zinc/59/80/98/411598098.db2.gz AMUMPDDUDPKJCT-QWRGUYRKSA-N 0 3 209.337 2.507 20 0 BFADHN Oc1ccc2c(c1)OCCN(CC1CC=CC1)C2 ZINC000660542993 411598264 /nfs/dbraw/zinc/59/82/64/411598264.db2.gz YWKOHKVDNWTZRL-UHFFFAOYSA-N 0 3 245.322 2.553 20 0 BFADHN Cn1ncc(C2CC2)c1CNC1CC(C)(C)C1 ZINC000657802175 411599662 /nfs/dbraw/zinc/59/96/62/411599662.db2.gz TYKGKZFPPIZPNO-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN C[C@@H]1CN([C@@H]2COC(C)(C)C2)CCC1(F)F ZINC000660565681 411599966 /nfs/dbraw/zinc/59/99/66/411599966.db2.gz GXSMJZIQQNPOAW-ZJUUUORDSA-N 0 3 233.302 2.531 20 0 BFADHN O[C@H]1C[C@H](NCc2ccc(Cl)c(Cl)c2)C1 ZINC000657806289 411600540 /nfs/dbraw/zinc/60/05/40/411600540.db2.gz JUDPWGYRUQKDNA-KYZUINATSA-N 0 3 246.137 2.606 20 0 BFADHN CC(C)(C)c1ccc(CN[C@H]2C[C@H](O)C2)cc1 ZINC000657806601 411600726 /nfs/dbraw/zinc/60/07/26/411600726.db2.gz NVTPMZGOYUTBNX-HDJSIYSDSA-N 0 3 233.355 2.597 20 0 BFADHN C[C@H]1CN(Cc2cccc(N)c2)CCC1(F)F ZINC000660566256 411601093 /nfs/dbraw/zinc/60/10/93/411601093.db2.gz OZLODEYEDMEQTJ-JTQLQIEISA-N 0 3 240.297 2.746 20 0 BFADHN CCN(Cc1cc(C)nc(C)n1)CC(C)(C)C ZINC000660595887 411605132 /nfs/dbraw/zinc/60/51/32/411605132.db2.gz JHRJEJUWZOSFHO-UHFFFAOYSA-N 0 3 235.375 2.961 20 0 BFADHN c1csc(CN[C@@H]2CCCn3nccc32)c1 ZINC000657821386 411606904 /nfs/dbraw/zinc/60/69/04/411606904.db2.gz RDGBVZVDJWOXDE-LLVKDONJSA-N 0 3 233.340 2.569 20 0 BFADHN Cc1ccc(CN[C@H]2CCCn3nccc32)cc1 ZINC000657822495 411607340 /nfs/dbraw/zinc/60/73/40/411607340.db2.gz TZNVTNUACGAYPJ-AWEZNQCLSA-N 0 3 241.338 2.816 20 0 BFADHN CO[C@H](CN(C)Cc1occc1C)C1CCC1 ZINC000660615216 411608072 /nfs/dbraw/zinc/60/80/72/411608072.db2.gz RAYLBRSZPLCZPN-CQSZACIVSA-N 0 3 237.343 2.835 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2COC3(CCC3)C2)o1 ZINC000657827140 411608430 /nfs/dbraw/zinc/60/84/30/411608430.db2.gz YNBHEPUDNLDQGM-VXGBXAGGSA-N 0 3 235.327 2.950 20 0 BFADHN C[C@@H]1CN(CCOCc2ccccc2)[C@H]1C ZINC000660640958 411610910 /nfs/dbraw/zinc/61/09/10/411610910.db2.gz TZSPYFPRZLIDNE-OLZOCXBDSA-N 0 3 219.328 2.543 20 0 BFADHN CC[C@@H](CC(F)F)CN(C(C)C)C1COC1 ZINC000660646760 411611546 /nfs/dbraw/zinc/61/15/46/411611546.db2.gz FLTZEZMNDVEKRW-JTQLQIEISA-N 0 3 235.318 2.777 20 0 BFADHN Cc1cccc(CN[C@H]2CCCSCC2)n1 ZINC000657845261 411611751 /nfs/dbraw/zinc/61/17/51/411611751.db2.gz UEWHLYKBMYZVKH-LBPRGKRZSA-N 0 3 236.384 2.765 20 0 BFADHN Cc1ccsc1CN[C@@H]1CCCn2nccc21 ZINC000657850199 411611810 /nfs/dbraw/zinc/61/18/10/411611810.db2.gz OPHHYVIYXYPALH-LLVKDONJSA-N 0 3 247.367 2.878 20 0 BFADHN CC[C@@H](CC(F)F)CN(C1CC1)C1COC1 ZINC000660647621 411612864 /nfs/dbraw/zinc/61/28/64/411612864.db2.gz NCLRUTJOEBZFEC-VIFPVBQESA-N 0 3 233.302 2.531 20 0 BFADHN CCc1nocc1CN(C)CC1CC=CC1 ZINC000660654557 411613094 /nfs/dbraw/zinc/61/30/94/411613094.db2.gz IRDMQRZXILWXIG-UHFFFAOYSA-N 0 3 220.316 2.635 20 0 BFADHN CO[C@@H](CN1CC[C@H](F)C1)C1CCCCC1 ZINC000660692294 411617930 /nfs/dbraw/zinc/61/79/30/411617930.db2.gz NFXDSJPZOKDKTQ-STQMWFEESA-N 0 3 229.339 2.626 20 0 BFADHN COc1cc(CN2[C@H](C)CCC2(C)C)sn1 ZINC000660755120 411621045 /nfs/dbraw/zinc/62/10/45/411621045.db2.gz NCQWHUGVNOGTSK-SECBINFHSA-N 0 3 240.372 2.915 20 0 BFADHN C[C@H](NCCOCCF)c1cccc(Cl)c1 ZINC000663310668 411621909 /nfs/dbraw/zinc/62/19/09/411621909.db2.gz MSPLXPUOJRLHMS-JTQLQIEISA-N 0 3 245.725 2.977 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN(C)Cc1c[nH]cn1 ZINC000661094499 411705176 /nfs/dbraw/zinc/70/51/76/411705176.db2.gz XLOHYMTXPYBEGZ-NWDGAFQWSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN(C)Cc1cnc[nH]1 ZINC000661094499 411705178 /nfs/dbraw/zinc/70/51/78/411705178.db2.gz XLOHYMTXPYBEGZ-NWDGAFQWSA-N 0 3 221.348 2.668 20 0 BFADHN CC[C@H](CC(F)F)CN(C)Cc1c[nH]cn1 ZINC000661092550 411705668 /nfs/dbraw/zinc/70/56/68/411705668.db2.gz JUGQTXBAHNMEKZ-SECBINFHSA-N 0 3 231.290 2.523 20 0 BFADHN CC[C@H](CC(F)F)CN(C)Cc1cnc[nH]1 ZINC000661092550 411705673 /nfs/dbraw/zinc/70/56/73/411705673.db2.gz JUGQTXBAHNMEKZ-SECBINFHSA-N 0 3 231.290 2.523 20 0 BFADHN CC[C@H](C)N(CC)Cc1ccncc1F ZINC000661103524 411708290 /nfs/dbraw/zinc/70/82/90/411708290.db2.gz GTKIBVDAKZQLRP-JTQLQIEISA-N 0 3 210.296 2.841 20 0 BFADHN COCC1(N(C)C/C=C\c2ccccc2)CC1 ZINC000663452373 411658928 /nfs/dbraw/zinc/65/89/28/411658928.db2.gz GLKQRLFBVPWBDH-TWGQIWQCSA-N 0 3 231.339 2.811 20 0 BFADHN Cc1cc([C@H](C)NCCOCCF)oc1C ZINC000663459364 411662114 /nfs/dbraw/zinc/66/21/14/411662114.db2.gz BJUXHTJVQXRUAG-JTQLQIEISA-N 0 3 229.295 2.533 20 0 BFADHN CC[C@H](NCCOCCF)c1cccc(F)c1 ZINC000663459709 411662861 /nfs/dbraw/zinc/66/28/61/411662861.db2.gz FOGGCLIAAAQALI-ZDUSSCGKSA-N 0 3 243.297 2.853 20 0 BFADHN Cc1cccc([C@@H](C)NCCOCCF)c1C ZINC000663462122 411664187 /nfs/dbraw/zinc/66/41/87/411664187.db2.gz LKVFEFLIPDRVAE-CYBMUJFWSA-N 0 3 239.334 2.940 20 0 BFADHN Cc1cccc2c1CC[C@H]2NCCOCCF ZINC000663462370 411665651 /nfs/dbraw/zinc/66/56/51/411665651.db2.gz BYHOPNSENZYJKC-CQSZACIVSA-N 0 3 237.318 2.558 20 0 BFADHN CCc1ccc([C@H](C)NCCOCCF)s1 ZINC000663465026 411665803 /nfs/dbraw/zinc/66/58/03/411665803.db2.gz ULYHKNRIAAYJFM-JTQLQIEISA-N 0 3 245.363 2.947 20 0 BFADHN C[C@H]1CN(CCC2CCC2)CC2(CCC2)O1 ZINC000660907131 411667273 /nfs/dbraw/zinc/66/72/73/411667273.db2.gz NAEMJHNCKYOFOH-LBPRGKRZSA-N 0 3 223.360 2.820 20 0 BFADHN Cn1cnc(CN2CCCC[C@H]2C2CCC2)c1 ZINC000660906369 411667817 /nfs/dbraw/zinc/66/78/17/411667817.db2.gz GHYVAUVBPDBESX-AWEZNQCLSA-N 0 3 233.359 2.575 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2C[C@H]3C[C@H]3C2)[C@@H](C)CO1 ZINC000660911494 411668795 /nfs/dbraw/zinc/66/87/95/411668795.db2.gz CKCJPRKQIOAEFI-PDWCTOEPSA-N 0 3 223.360 2.532 20 0 BFADHN CC1(C)C[C@@H](N2CCC3(CC3(F)F)CC2)CO1 ZINC000660917458 411670171 /nfs/dbraw/zinc/67/01/71/411670171.db2.gz NJAGYBXFXVLEBV-SNVBAGLBSA-N 0 3 245.313 2.675 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@@H](C)O[C@H]2C)cc1 ZINC000296426550 170546464 /nfs/dbraw/zinc/54/64/64/170546464.db2.gz MYJKOELPFAOFLD-TYNCELHUSA-N 0 3 249.354 2.741 20 0 BFADHN Nc1ccc(CN2CCC[C@H](C3CCC3)C2)cn1 ZINC000663499078 411681295 /nfs/dbraw/zinc/68/12/95/411681295.db2.gz ABSVTVGOHNOMKO-AWEZNQCLSA-N 0 3 245.370 2.676 20 0 BFADHN Cc1cnc([C@@H](C)N2C[C@@H]3CCCC[C@@H]32)cn1 ZINC000660934801 411684440 /nfs/dbraw/zinc/68/44/40/411684440.db2.gz VUARDFDVIWQDAA-DYEKYZERSA-N 0 3 231.343 2.720 20 0 BFADHN N[C@@H]1CN(CCCC2CCCCC2)CC[C@H]1F ZINC000663505975 411688034 /nfs/dbraw/zinc/68/80/34/411688034.db2.gz QUGMXTJSZQDQQG-ZIAGYGMSSA-N 0 3 242.382 2.718 20 0 BFADHN CCC(C)(C)CCN(C)Cc1c[nH]cn1 ZINC000661086489 411696692 /nfs/dbraw/zinc/69/66/92/411696692.db2.gz ZVYSHKBFLIMEDG-UHFFFAOYSA-N 0 3 209.337 2.668 20 0 BFADHN CCC(C)(C)CCN(C)Cc1cnc[nH]1 ZINC000661086489 411696697 /nfs/dbraw/zinc/69/66/97/411696697.db2.gz ZVYSHKBFLIMEDG-UHFFFAOYSA-N 0 3 209.337 2.668 20 0 BFADHN CC(C)C[C@@H](C)CN(C)Cc1c[nH]cn1 ZINC000661089535 411700245 /nfs/dbraw/zinc/70/02/45/411700245.db2.gz CAJTVWGFWVTSNM-LLVKDONJSA-N 0 3 209.337 2.524 20 0 BFADHN CC(C)C[C@@H](C)CN(C)Cc1cnc[nH]1 ZINC000661089535 411700249 /nfs/dbraw/zinc/70/02/49/411700249.db2.gz CAJTVWGFWVTSNM-LLVKDONJSA-N 0 3 209.337 2.524 20 0 BFADHN CC(C)=CCCN1CCO[C@](C)(C2CC2)C1 ZINC000661172314 411728941 /nfs/dbraw/zinc/72/89/41/411728941.db2.gz RDDDEQCSPIFSMS-AWEZNQCLSA-N 0 3 223.360 2.844 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1coc(C)n1 ZINC000661232207 411737802 /nfs/dbraw/zinc/73/78/02/411737802.db2.gz UXLIZEBWBJALSQ-SECBINFHSA-N 0 3 210.321 2.897 20 0 BFADHN CCCn1ncnc1CN[C@H](C)C(C)(C)CC ZINC000661231216 411737918 /nfs/dbraw/zinc/73/79/18/411737918.db2.gz JMCKNBBCEJUPIS-LLVKDONJSA-N 0 3 238.379 2.602 20 0 BFADHN COCCCCN1CC[C@H]1c1ccc(F)cc1 ZINC000661514158 411792144 /nfs/dbraw/zinc/79/21/44/411792144.db2.gz QNUHQIXFTODABN-AWEZNQCLSA-N 0 3 237.318 2.999 20 0 BFADHN C[C@@]12COC[C@]1(C)CN(Cc1cccc(F)c1)C2 ZINC000661499883 411792484 /nfs/dbraw/zinc/79/24/84/411792484.db2.gz DFVLSBAMUQBJNT-GASCZTMLSA-N 0 3 249.329 2.684 20 0 BFADHN Cc1ccc2c(c1)CN(CCC[C@H](C)O)CC2 ZINC000661372398 411756606 /nfs/dbraw/zinc/75/66/06/411756606.db2.gz LWXDOSGLQUQDEK-ZDUSSCGKSA-N 0 3 233.355 2.514 20 0 BFADHN CCSCCCN[C@@H](C)c1cc(C)n(C)n1 ZINC000282799235 170629425 /nfs/dbraw/zinc/62/94/25/170629425.db2.gz QSDQKFRKHILILU-NSHDSACASA-N 0 3 241.404 2.522 20 0 BFADHN C[C@@H](O)CCN1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661433518 411772932 /nfs/dbraw/zinc/77/29/32/411772932.db2.gz GWHMHNRIQPLHKE-TZMCWYRMSA-N 0 3 249.354 2.968 20 0 BFADHN CCSCCNCc1ccccc1N(C)C ZINC000273656536 170637545 /nfs/dbraw/zinc/63/75/45/170637545.db2.gz DGFLEMGXMKGITE-UHFFFAOYSA-N 0 3 238.400 2.595 20 0 BFADHN C[C@@H]1COC2(CCC2)CN1CCC1CCC1 ZINC000661462789 411780707 /nfs/dbraw/zinc/78/07/07/411780707.db2.gz KFEWXVFFKCYMTR-GFCCVEGCSA-N 0 3 223.360 2.820 20 0 BFADHN CC(C)=CCCN1CC2(CCC2)OC[C@H]1C ZINC000661463598 411781177 /nfs/dbraw/zinc/78/11/77/411781177.db2.gz REZQVRZAQHTEDJ-CYBMUJFWSA-N 0 3 223.360 2.986 20 0 BFADHN CC(C)[C@@H](NC[C@@H](C)CO)c1ccccc1F ZINC000661483200 411784594 /nfs/dbraw/zinc/78/45/94/411784594.db2.gz GINIXHCYIZHMQK-BXUZGUMPSA-N 0 3 239.334 2.741 20 0 BFADHN CC(C)[C@H](NC[C@H](C)CO)c1ccccc1F ZINC000661483201 411785422 /nfs/dbraw/zinc/78/54/22/411785422.db2.gz GINIXHCYIZHMQK-FZMZJTMJSA-N 0 3 239.334 2.741 20 0 BFADHN CC/C=C/CCN1CCO[C@](C)(C2CC2)C1 ZINC000661167370 411726583 /nfs/dbraw/zinc/72/65/83/411726583.db2.gz HKZGVCLUSLJUIG-NNTXTVRGSA-N 0 3 223.360 2.844 20 0 BFADHN C[C@@H]1CN(CC23CCC(CC2)C3)C[C@@H](C)C1=O ZINC000661537749 411797980 /nfs/dbraw/zinc/79/79/80/411797980.db2.gz CPIAEEATUCTSSH-SIUPQYRZSA-N 0 3 235.371 2.724 20 0 BFADHN CC(C)C[C@@H](C)N1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000661539304 411798494 /nfs/dbraw/zinc/79/84/94/411798494.db2.gz PUUIQQBTGCWTQJ-IJLUTSLNSA-N 0 3 211.349 2.578 20 0 BFADHN Cn1cc(CN2CC[C@@H](C3CCCCC3)C2)cn1 ZINC000661578033 411807178 /nfs/dbraw/zinc/80/71/78/411807178.db2.gz IFFMZCZMHVFQMW-OAHLLOKOSA-N 0 3 247.386 2.822 20 0 BFADHN Cc1ccncc1CN1CCC[C@@H](CCF)C1 ZINC000661689975 411838778 /nfs/dbraw/zinc/83/87/78/411838778.db2.gz HSAQDHJHUWGNBR-ZDUSSCGKSA-N 0 3 236.334 2.962 20 0 BFADHN FCC[C@@H]1CCCN(CCc2cscn2)C1 ZINC000661689967 411839317 /nfs/dbraw/zinc/83/93/17/411839317.db2.gz MLAMBADGAVIGIH-NSHDSACASA-N 0 3 242.363 2.757 20 0 BFADHN C[C@H](c1cnccn1)N1CCC[C@@H](CCF)C1 ZINC000661688621 411839973 /nfs/dbraw/zinc/83/99/73/411839973.db2.gz FGTXYFSYKBRWMB-NEPJUHHUSA-N 0 3 237.322 2.609 20 0 BFADHN Cc1ccncc1CN1CCC[C@H](CCF)C1 ZINC000661689945 411840113 /nfs/dbraw/zinc/84/01/13/411840113.db2.gz HSAQDHJHUWGNBR-CYBMUJFWSA-N 0 3 236.334 2.962 20 0 BFADHN C[C@H](c1cnccn1)N1CCC[C@H](CCF)C1 ZINC000661688624 411840122 /nfs/dbraw/zinc/84/01/22/411840122.db2.gz FGTXYFSYKBRWMB-VXGBXAGGSA-N 0 3 237.322 2.609 20 0 BFADHN FCC[C@@H]1CCCN(Cc2cccnc2)C1 ZINC000661691742 411841547 /nfs/dbraw/zinc/84/15/47/411841547.db2.gz AOKDOYQLEYCJDZ-LBPRGKRZSA-N 0 3 222.307 2.653 20 0 BFADHN Cc1cncc(CN2CCC[C@@H](CCF)C2)c1 ZINC000661690677 411842081 /nfs/dbraw/zinc/84/20/81/411842081.db2.gz PNXGIROHEBICIH-ZDUSSCGKSA-N 0 3 236.334 2.962 20 0 BFADHN CC[C@H](C)N1CCc2cc(OC)ccc2C1 ZINC000661741433 411862094 /nfs/dbraw/zinc/86/20/94/411862094.db2.gz ZINRJWAPHWRFGF-NSHDSACASA-N 0 3 219.328 2.852 20 0 BFADHN C[C@@H](O)CN[C@H]1CCCc2sc(Cl)cc21 ZINC000132265283 411891489 /nfs/dbraw/zinc/89/14/89/411891489.db2.gz UXHYUNZHNDAVQB-APPZFPTMSA-N 0 3 245.775 2.749 20 0 BFADHN CC[C@@H](C)[C@H](C)NCC(=O)Nc1ccccc1 ZINC000042556107 170790363 /nfs/dbraw/zinc/79/03/63/170790363.db2.gz ZUFOLZQZWGNNEC-NEPJUHHUSA-N 0 3 234.343 2.649 20 0 BFADHN C1=C[C@H](N2CCOC(C3CC3)(C3CC3)C2)CCC1 ZINC000661996576 411937610 /nfs/dbraw/zinc/93/76/10/411937610.db2.gz DJBDSHUXGUOTHT-HNNXBMFYSA-N 0 3 247.382 2.986 20 0 BFADHN Cc1nc(CN[C@H](C)C2CCC(F)CC2)co1 ZINC000661998686 411939444 /nfs/dbraw/zinc/93/94/44/411939444.db2.gz MBPIPWHNVFWGAR-OIKLOGQESA-N 0 3 240.322 2.989 20 0 BFADHN FCCCCCN1CCC(c2ccon2)CC1 ZINC000662047370 411966490 /nfs/dbraw/zinc/96/64/90/411966490.db2.gz BWCBRLSGQWIBOY-UHFFFAOYSA-N 0 3 240.322 2.994 20 0 BFADHN COc1cc(CNC2(CC(C)C)CC2)ccn1 ZINC000662072576 411974335 /nfs/dbraw/zinc/97/43/35/411974335.db2.gz SDZXBKAYGMEDDL-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN CCC[C@H](C)N1CCOc2ccc(O)cc2C1 ZINC000662091698 411984440 /nfs/dbraw/zinc/98/44/40/411984440.db2.gz CVMCHDKPBUANGZ-NSHDSACASA-N 0 3 235.327 2.775 20 0 BFADHN Oc1ccc2c(c1)CN(CC1CC=CC1)CCO2 ZINC000662091685 411986072 /nfs/dbraw/zinc/98/60/72/411986072.db2.gz CCPJEXJURNXMGG-UHFFFAOYSA-N 0 3 245.322 2.553 20 0 BFADHN C[C@H]1CCC[C@@H](CN2CCc3nccnc3C2)C1 ZINC000662121621 411991835 /nfs/dbraw/zinc/99/18/35/411991835.db2.gz QAMYWPIMNNAHEB-QWHCGFSZSA-N 0 3 245.370 2.661 20 0 BFADHN CC[C@@H](N[C@@H]1CCC1(C)C)c1nccn1C ZINC000309840344 170909846 /nfs/dbraw/zinc/90/98/46/170909846.db2.gz CVVOVRBBALLMEJ-GHMZBOCLSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@@H](N[C@H](C)c1cc(C)ccn1)C(C)(C)O ZINC000294979798 170912860 /nfs/dbraw/zinc/91/28/60/170912860.db2.gz KOHRLSMUFKTORH-DGCLKSJQSA-N 0 3 236.359 2.590 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1ccn(C)n1 ZINC000662160010 412012652 /nfs/dbraw/zinc/01/26/52/412012652.db2.gz HGNORDIKBKMKEA-WCQYABFASA-N 0 3 221.348 2.573 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1cc(C)ncn1 ZINC000662161647 412012851 /nfs/dbraw/zinc/01/28/51/412012851.db2.gz VVBWTOREPOYKOQ-GXTWGEPZSA-N 0 3 233.359 2.938 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1ccnc(OC)n1 ZINC000662159926 412013431 /nfs/dbraw/zinc/01/34/31/412013431.db2.gz DQJKZJPVELNGRW-WCQYABFASA-N 0 3 249.358 2.638 20 0 BFADHN c1nc(CN2CCCC[C@H]2CC2CCC2)c[nH]1 ZINC000662158753 412013670 /nfs/dbraw/zinc/01/36/70/412013670.db2.gz WTDFMCHHTJETIU-AWEZNQCLSA-N 0 3 233.359 2.954 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1snnc1C ZINC000662159966 412013810 /nfs/dbraw/zinc/01/38/10/412013810.db2.gz GCRFJJDZWNVGJB-GXSJLCMTSA-N 0 3 239.388 3.000 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1sccc1OC ZINC000186197442 170926253 /nfs/dbraw/zinc/92/62/53/170926253.db2.gz ISASPMQXTFLRFV-NXEZZACHSA-N 0 3 243.372 2.568 20 0 BFADHN CC[C@@H](O)CN[C@@H](C)c1cccc(Cl)c1 ZINC000038092378 170935116 /nfs/dbraw/zinc/93/51/16/170935116.db2.gz LVYJZEIMGICWBX-JOYOIKCWSA-N 0 3 227.735 2.762 20 0 BFADHN CCC(CC)CCCN(C)[C@H](C)C(=O)N(C)C ZINC000662040588 411958183 /nfs/dbraw/zinc/95/81/83/411958183.db2.gz UOIYXGBNGXGZQA-GFCCVEGCSA-N 0 3 242.407 2.611 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@H]2COC[C@@H]2C1 ZINC000662039957 411958804 /nfs/dbraw/zinc/95/88/04/411958804.db2.gz PZNFFLWWDFJSIT-XBFCOCLRSA-N 0 3 249.329 2.855 20 0 BFADHN CN(Cc1ccno1)C[C@@H]1CCc2ccccc21 ZINC000662197059 412023214 /nfs/dbraw/zinc/02/32/14/412023214.db2.gz HKGFLWSGXFWWRV-ZDUSSCGKSA-N 0 3 242.322 2.836 20 0 BFADHN CC(C)N1CC(C)(C)[C@H]1c1cccnc1 ZINC000664827269 412026794 /nfs/dbraw/zinc/02/67/94/412026794.db2.gz ICZFFGQODITBIE-GFCCVEGCSA-N 0 3 204.317 2.873 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN1CCCc2n[nH]cc2C1 ZINC000664861587 412034892 /nfs/dbraw/zinc/03/48/92/412034892.db2.gz URVFCXGRZNHJRC-QWHCGFSZSA-N 0 3 247.386 2.984 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCCc3n[nH]cc3C2)C1 ZINC000664862145 412035229 /nfs/dbraw/zinc/03/52/29/412035229.db2.gz LKGOTPSRFACUBE-OLZOCXBDSA-N 0 3 247.386 2.984 20 0 BFADHN C[C@H]1CCC[C@@H](CN2CCCc3n[nH]cc3C2)C1 ZINC000664862146 412035246 /nfs/dbraw/zinc/03/52/46/412035246.db2.gz LKGOTPSRFACUBE-QWHCGFSZSA-N 0 3 247.386 2.984 20 0 BFADHN CN(CC1=CCCC1)CC1=CCSC1 ZINC000662267304 412059990 /nfs/dbraw/zinc/05/99/90/412059990.db2.gz SOBXYVKBSPXUPX-UHFFFAOYSA-N 0 3 209.358 2.702 20 0 BFADHN CN(CC1=CCSC1)C[C@@H]1CCC(C)(C)O1 ZINC000662262684 412054122 /nfs/dbraw/zinc/05/41/22/412054122.db2.gz CHNQSJGBBZOIKR-LBPRGKRZSA-N 0 3 241.400 2.549 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cc(C)on1 ZINC000132684520 171000602 /nfs/dbraw/zinc/00/06/02/171000602.db2.gz GCMOPDHSWQOSCW-ZYHUDNBSSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cscn1 ZINC000130510791 171000900 /nfs/dbraw/zinc/00/09/00/171000900.db2.gz OPNHELZXGGCOIR-MWLCHTKSSA-N 0 3 210.346 2.811 20 0 BFADHN CC[C@@H]1CCN(Cc2ccnn2C(C)C)C1 ZINC000337174536 171012156 /nfs/dbraw/zinc/01/21/56/171012156.db2.gz HZQQJSCJNZNJAN-GFCCVEGCSA-N 0 3 221.348 2.696 20 0 BFADHN CC[C@@H]1CCN(Cc2c(C)noc2C)C1 ZINC000125083570 171012679 /nfs/dbraw/zinc/01/26/79/171012679.db2.gz QWFWFHRVKKMYSX-LLVKDONJSA-N 0 3 208.305 2.523 20 0 BFADHN CC[C@@H]1CCN([C@@H](C)C(=O)Nc2ccccc2)C1 ZINC000125826982 171014605 /nfs/dbraw/zinc/01/46/05/171014605.db2.gz DFSZRDDDZNZXKP-QWHCGFSZSA-N 0 3 246.354 2.746 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc(N2CCCC2)nc1 ZINC000292796156 171024879 /nfs/dbraw/zinc/02/48/79/171024879.db2.gz MYSUYCCVIZHHHI-CQSZACIVSA-N 0 3 245.370 2.666 20 0 BFADHN Cc1nnc([C@H](C)N(CCC2CC2)CC2CC2)o1 ZINC000662179381 412021830 /nfs/dbraw/zinc/02/18/30/412021830.db2.gz NQZNWVMBTKZTEH-JTQLQIEISA-N 0 3 249.358 2.951 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1nccn1C(F)F ZINC000309611820 171044254 /nfs/dbraw/zinc/04/42/54/171044254.db2.gz AKGHRXIPHIOABO-BDAKNGLRSA-N 0 3 229.274 2.556 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1ccc(OC)cn1 ZINC000272025327 171054978 /nfs/dbraw/zinc/05/49/78/171054978.db2.gz MCPUHKASPGCTGB-WCQYABFASA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1conc1C ZINC000294071611 171055206 /nfs/dbraw/zinc/05/52/06/171055206.db2.gz YLXNNKPGKUMZEF-JOYOIKCWSA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCC[C@H](C)C2)CCO1 ZINC000356968091 171086015 /nfs/dbraw/zinc/08/60/15/171086015.db2.gz BDYFKKJKFFVASY-BFHYXJOUSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@@H]1CN(C[C@H](O)CCC(C)C)CCS1 ZINC000361276240 171086969 /nfs/dbraw/zinc/08/69/69/171086969.db2.gz DKSDOIZLCAHHKZ-CHWSQXEVSA-N 0 3 245.432 2.611 20 0 BFADHN CC[C@@H]1CN([C@H](C)c2cccnc2)CCCO1 ZINC000281376267 171095651 /nfs/dbraw/zinc/09/56/51/171095651.db2.gz LZWZQKHCXCLHGN-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@H]1CN([C@H]2CCc3ccc(F)cc32)C[C@@H]1O ZINC000270970812 171097077 /nfs/dbraw/zinc/09/70/77/171097077.db2.gz WFVSRLXJOJCKKD-ONERCXAPSA-N 0 3 249.329 2.516 20 0 BFADHN CC[C@@H]1COCCN1C[C@H]1CCCC(F)(F)C1 ZINC000338302559 171106241 /nfs/dbraw/zinc/10/62/41/171106241.db2.gz CYXREILVQRBJNU-NWDGAFQWSA-N 0 3 247.329 2.923 20 0 BFADHN CC[C@@H]1COCCN1CCCc1ccccc1 ZINC000115572274 171106370 /nfs/dbraw/zinc/10/63/70/171106370.db2.gz CNXPMGYSXSXYPE-OAHLLOKOSA-N 0 3 233.355 2.730 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CC1(SC)CCC1 ZINC000356105524 171109678 /nfs/dbraw/zinc/10/96/78/171109678.db2.gz XJSFKNAZLSHSNU-NWDGAFQWSA-N 0 3 243.416 2.771 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@@H]1NCc1nccn1C ZINC000354297026 171116085 /nfs/dbraw/zinc/11/60/85/171116085.db2.gz QBPLMDDGQKFCNW-XQQFMLRXSA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@@H]1C[C@@H](CN(C)Cc2ccoc2)CCO1 ZINC000357003634 171118964 /nfs/dbraw/zinc/11/89/64/171118964.db2.gz ZNPYTMCDMSGWOI-GXTWGEPZSA-N 0 3 237.343 2.917 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@H]1NCc1ccn(C)n1 ZINC000358265945 171125845 /nfs/dbraw/zinc/12/58/45/171125845.db2.gz LOUKQNWNOATJHQ-YRGRVCCFSA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cscn1 ZINC000185648184 171156672 /nfs/dbraw/zinc/15/66/72/171156672.db2.gz FQHOFKGEJPFSGE-GXSJLCMTSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cncs1 ZINC000187579288 171157053 /nfs/dbraw/zinc/15/70/53/171157053.db2.gz UHRQCIXABGJYOO-GXSJLCMTSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cncc(F)c1 ZINC000275149328 171160641 /nfs/dbraw/zinc/16/06/41/171160641.db2.gz RPSVKZGCCSTUGL-ZWNOBZJWSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@](C)(CO)NCc1ccc(F)c(Cl)c1 ZINC000088041053 171171133 /nfs/dbraw/zinc/17/11/33/171171133.db2.gz YLZRKTMGIBBEDW-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@](C)(O)CNCc1ccc(F)cc1Cl ZINC000083465596 171185014 /nfs/dbraw/zinc/18/50/14/171185014.db2.gz GXOGVRWMVZWKHM-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1ccc(Cl)nc1 ZINC000126847129 171185137 /nfs/dbraw/zinc/18/51/37/171185137.db2.gz MEDUZJFCDRNUCF-JOYOIKCWSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@@]1(C)COCCN1CCCCCF ZINC000341916303 171204098 /nfs/dbraw/zinc/20/40/98/171204098.db2.gz VXWHVIARCJTCKQ-LBPRGKRZSA-N 0 3 217.328 2.627 20 0 BFADHN CC[C@@]1(C)COCCN1Cc1cccc(C)c1 ZINC000340868846 171204925 /nfs/dbraw/zinc/20/49/25/171204925.db2.gz JVDXJDQYTCGSTG-HNNXBMFYSA-N 0 3 233.355 2.996 20 0 BFADHN CC[C@H](C(N)=O)N1CC[C@H](C)C2(CCC2)C1 ZINC000336384366 171220585 /nfs/dbraw/zinc/22/05/85/171220585.db2.gz VNTGDJIPWKVPOH-WDEREUQCSA-N 0 3 224.348 2.812 20 0 BFADHN CC[C@H](C(N)=O)N1CC[C@@H](C)C2(CCC2)C1 ZINC000336384363 171220641 /nfs/dbraw/zinc/22/06/41/171220641.db2.gz VNTGDJIPWKVPOH-GHMZBOCLSA-N 0 3 224.348 2.812 20 0 BFADHN CC[C@H](C)CN(C)Cc1cn2c(n1)CCCC2 ZINC000372994486 171275219 /nfs/dbraw/zinc/27/52/19/171275219.db2.gz DMRWWOJYIJJUJK-LBPRGKRZSA-N 0 3 235.375 2.697 20 0 BFADHN CC[C@H](C)CN[C@H](COC)c1ccc(C)o1 ZINC000093078675 171287518 /nfs/dbraw/zinc/28/75/18/171287518.db2.gz ZBAHJYHZRDSQOL-CMPLNLGQSA-N 0 3 225.332 2.911 20 0 BFADHN CC[C@H](C)CN[C@H]1COc2ccc(F)cc21 ZINC000336743087 171288359 /nfs/dbraw/zinc/28/83/59/171288359.db2.gz SUYRBJISJMYPAN-CABZTGNLSA-N 0 3 223.291 2.895 20 0 BFADHN CC[C@H](C)C[C@@H](C)N[C@@H](C)c1cnccn1 ZINC000083863760 171295289 /nfs/dbraw/zinc/29/52/89/171295289.db2.gz ICXQBWLSIRVLTK-TUAOUCFPSA-N 0 3 221.348 2.952 20 0 BFADHN CC[C@H](C)N(C)Cc1cccc(O)c1OC ZINC000352692040 171307363 /nfs/dbraw/zinc/30/73/63/171307363.db2.gz NUDILTAUKCVUGD-JTQLQIEISA-N 0 3 223.316 2.631 20 0 BFADHN CC[C@H](C)N(C)Cc1cc(C(=O)OC)c(C)o1 ZINC000170916102 171307512 /nfs/dbraw/zinc/30/75/12/171307512.db2.gz ZEMJBJJCEIGNRN-VIFPVBQESA-N 0 3 239.315 2.605 20 0 BFADHN CC[C@H](C)N1CCN(Cc2ccc(C)o2)CC1 ZINC000295189933 171319377 /nfs/dbraw/zinc/31/93/77/171319377.db2.gz ISJBTCYDMBZLMS-LBPRGKRZSA-N 0 3 236.359 2.504 20 0 BFADHN CC[C@H](C)[NH2+][C@@H](C)c1nnc([C@@H](C)CC)[n-]1 ZINC000336729055 171346451 /nfs/dbraw/zinc/34/64/51/171346451.db2.gz HKKLLKZHRSCMRY-GUBZILKMSA-N 0 3 224.352 2.767 20 0 BFADHN CC[C@H](C)N[C@@H](C)c1nnc([C@@H](C)CC)[nH]1 ZINC000336729055 171346452 /nfs/dbraw/zinc/34/64/52/171346452.db2.gz HKKLLKZHRSCMRY-GUBZILKMSA-N 0 3 224.352 2.767 20 0 BFADHN CC[C@H](C)N[C@@H](COC)c1ccc(C)o1 ZINC000122295793 171346502 /nfs/dbraw/zinc/34/65/02/171346502.db2.gz KNATZPFBZFBUTL-ONGXEEELSA-N 0 3 211.305 2.664 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ncccc1N(C)C ZINC000353638593 171365246 /nfs/dbraw/zinc/36/52/46/171365246.db2.gz BBTAMACWZLEWNC-NWDGAFQWSA-N 0 3 235.375 2.672 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1cc(C)n(C)n1 ZINC000310024995 171366333 /nfs/dbraw/zinc/36/63/33/171366333.db2.gz OTPVKTKFJHIHSF-WCQGTBRESA-N 0 3 223.364 2.814 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1ccc(C)cn1)OC ZINC000362974466 171368324 /nfs/dbraw/zinc/36/83/24/171368324.db2.gz APCAKARXTVUYSG-GXTWGEPZSA-N 0 3 236.359 2.541 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)Nc1ccccc1Cl ZINC000019515395 171371983 /nfs/dbraw/zinc/37/19/83/171371983.db2.gz FLBNIVVLSIMFST-GZMMTYOYSA-N 0 3 240.734 2.652 20 0 BFADHN CC[C@H](C)[C@@H](O)CNCc1sccc1Cl ZINC000193100781 171378705 /nfs/dbraw/zinc/37/87/05/171378705.db2.gz HZJCFDDGBYVCEV-WPRPVWTQSA-N 0 3 247.791 2.898 20 0 BFADHN CC[C@H](C)[C@H](C)N(Cc1ccn(C)n1)C1CC1 ZINC000353307904 171386402 /nfs/dbraw/zinc/38/64/02/171386402.db2.gz MJIDYQTWFVFHGZ-RYUDHWBXSA-N 0 3 235.375 2.819 20 0 BFADHN CC[C@H](C)[C@H](C)NCC(=O)Nc1ccc(C)cc1 ZINC000042556229 171388925 /nfs/dbraw/zinc/38/89/25/171388925.db2.gz APBGURAMEMWSIE-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cc(C)nc(C)n1 ZINC000336748528 171389438 /nfs/dbraw/zinc/38/94/38/171389438.db2.gz YANXVISRIADZTP-ONGXEEELSA-N 0 3 221.348 2.618 20 0 BFADHN CC(=O)c1cccc(CN2CC3CC2(C)C3)c1 ZINC000662386146 412139867 /nfs/dbraw/zinc/13/98/67/412139867.db2.gz VICPSZMAXJXGRX-UHFFFAOYSA-N 0 3 229.323 2.874 20 0 BFADHN c1ncc(CN2CC3CC2(c2ccccc2)C3)[nH]1 ZINC000662332455 412105779 /nfs/dbraw/zinc/10/57/79/412105779.db2.gz WDJVITONIBFWIZ-UHFFFAOYSA-N 0 3 239.322 2.531 20 0 BFADHN CC(C)[C@@H](O)CN[C@@]1(c2ccccc2)CC1(C)C ZINC000662341806 412109523 /nfs/dbraw/zinc/10/95/23/412109523.db2.gz XOPRUESZJQHECF-GOEBONIOSA-N 0 3 247.382 2.918 20 0 BFADHN CCc1nsc(-c2cccc(CN(C)C)c2)n1 ZINC000665144301 412111524 /nfs/dbraw/zinc/11/15/24/412111524.db2.gz JAPJCDRNWRVDPI-UHFFFAOYSA-N 0 3 247.367 2.829 20 0 BFADHN CC[C@H](CNCc1csc(C)c1)OC ZINC000296098458 171439050 /nfs/dbraw/zinc/43/90/50/171439050.db2.gz RQBQMKSECRUKPD-LLVKDONJSA-N 0 3 213.346 2.571 20 0 BFADHN CN(Cc1ccccn1)C[C@@H]1CC1(C)C ZINC000662346291 412116254 /nfs/dbraw/zinc/11/62/54/412116254.db2.gz RKKMEHWXJCFGQO-NSHDSACASA-N 0 3 204.317 2.560 20 0 BFADHN CC[C@H](NC)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000662346334 412116782 /nfs/dbraw/zinc/11/67/82/412116782.db2.gz UXZKCZKSUXEJRJ-ZDUSSCGKSA-N 0 3 248.370 2.921 20 0 BFADHN C[C@H]1CN(CC2CCC2)C[C@@](C)(C(F)F)O1 ZINC000662357741 412121370 /nfs/dbraw/zinc/12/13/70/412121370.db2.gz FHDOIGYDTJVRBB-CABZTGNLSA-N 0 3 233.302 2.531 20 0 BFADHN CC[C@H](C)N1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662358957 412124488 /nfs/dbraw/zinc/12/44/88/412124488.db2.gz GRTSRWFHVHBUNJ-IQJOONFLSA-N 0 3 221.291 2.529 20 0 BFADHN CC[C@H](CO)N[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000268728250 171453108 /nfs/dbraw/zinc/45/31/08/171453108.db2.gz SBALDYHJEYGJRN-VXNVDRBHSA-N 0 3 247.260 2.525 20 0 BFADHN CC[C@H](CO)N[C@H](C)c1ccc2ccccc2n1 ZINC000268710171 487622520 /nfs/dbraw/zinc/62/25/20/487622520.db2.gz JUTDQKUZGFHUCD-DGCLKSJQSA-N 0 3 244.338 2.656 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1cc(C)c(C)o1 ZINC000281999508 171561617 /nfs/dbraw/zinc/56/16/17/171561617.db2.gz CWLDXELGXAWLQT-JQWIXIFHSA-N 0 3 225.332 2.708 20 0 BFADHN COc1cncc(CN2CC[C@@H](C3CCC3)C2)c1 ZINC000665290003 412235428 /nfs/dbraw/zinc/23/54/28/412235428.db2.gz FHFUTODERMSVSA-CQSZACIVSA-N 0 3 246.354 2.712 20 0 BFADHN CCCC[C@H](CC)CCCN(C)C(=O)CNC ZINC000663000914 412366864 /nfs/dbraw/zinc/36/68/64/412366864.db2.gz NETJVUAWNOOEJT-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cnccc1OC ZINC000287842627 171686096 /nfs/dbraw/zinc/68/60/96/171686096.db2.gz QRQOEQJBFONAOT-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN Fc1cnccc1CN[C@@H]1CCC[C@H](F)C1 ZINC000335388736 487623284 /nfs/dbraw/zinc/62/32/84/487623284.db2.gz XEIYBDIRXJWOGD-WDEREUQCSA-N 0 3 226.270 2.591 20 0 BFADHN CCc1nc([C@H](C)NCCCCOC)cs1 ZINC000184696056 172005381 /nfs/dbraw/zinc/00/53/81/172005381.db2.gz SYLSRZLOKQUOOH-JTQLQIEISA-N 0 3 242.388 2.783 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H]2CC=C(C)CC2)[n-]1 ZINC000285087031 172006816 /nfs/dbraw/zinc/00/68/16/172006816.db2.gz JUUNSGRZFVOCEM-QWRGUYRKSA-N 0 3 234.347 2.517 20 0 BFADHN CCc1nnc([C@H](C)N[C@H]2CC=C(C)CC2)[nH]1 ZINC000285087031 172006818 /nfs/dbraw/zinc/00/68/18/172006818.db2.gz JUUNSGRZFVOCEM-QWRGUYRKSA-N 0 3 234.347 2.517 20 0 BFADHN CCc1ncc(CN2CCC(C)CC2)s1 ZINC000271008244 172016618 /nfs/dbraw/zinc/01/66/18/172016618.db2.gz OJPIVRZMKOWAEK-UHFFFAOYSA-N 0 3 224.373 2.937 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@H]2C)s1 ZINC000308531358 172018769 /nfs/dbraw/zinc/01/87/69/172018769.db2.gz KUEUGXUXGUQJGQ-PSASIEDQSA-N 0 3 210.346 2.594 20 0 BFADHN CCc1ncc(CNCC(C)(C)SC)s1 ZINC000084049735 172019669 /nfs/dbraw/zinc/01/96/69/172019669.db2.gz SYUZCZPSIRTGRB-UHFFFAOYSA-N 0 3 244.429 2.937 20 0 BFADHN CCc1noc(C)c1CN(C)C1CCCC1 ZINC000336693745 172076212 /nfs/dbraw/zinc/07/62/12/172076212.db2.gz DQQPEDJNYPSNEH-UHFFFAOYSA-N 0 3 222.332 2.920 20 0 BFADHN CCc1noc(C)c1CN1[C@H](C)CC[C@@H]1C ZINC000123327514 172076770 /nfs/dbraw/zinc/07/67/70/172076770.db2.gz HCUHOARYTUNSRY-AOOOYVTPSA-N 0 3 222.332 2.918 20 0 BFADHN CCc1noc(C)c1CN1CCC[C@H]1CC ZINC000336690139 172077766 /nfs/dbraw/zinc/07/77/66/172077766.db2.gz AJBRLLHYPQHJDD-LLVKDONJSA-N 0 3 222.332 2.920 20 0 BFADHN CCc1noc(C)c1CNC(CC)CC ZINC000268173235 172078545 /nfs/dbraw/zinc/07/85/45/172078545.db2.gz QZVWKEXUSLDBJL-UHFFFAOYSA-N 0 3 210.321 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@@H](C)C1CCC1 ZINC000340441448 172078579 /nfs/dbraw/zinc/07/85/79/172078579.db2.gz YLWBLCRHIWUMNQ-VIFPVBQESA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CCCSC1 ZINC000293056528 172078970 /nfs/dbraw/zinc/07/89/70/172078970.db2.gz UKOGCGBIOUPGSI-SNVBAGLBSA-N 0 3 240.372 2.531 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CCC12CCC2 ZINC000340442075 172079073 /nfs/dbraw/zinc/07/90/73/172079073.db2.gz MQNYRVXOWRDUMD-CYBMUJFWSA-N 0 3 234.343 2.968 20 0 BFADHN CCc1noc(C)c1CN[C@H](CC)C1CC1 ZINC000340440078 172081197 /nfs/dbraw/zinc/08/11/97/172081197.db2.gz NTKNKVCUCNNZHU-GFCCVEGCSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CC[C@@H](C)C1 ZINC000339435644 172081269 /nfs/dbraw/zinc/08/12/69/172081269.db2.gz DPEJJFBCCFGBIU-KOLCDFICSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(CC)c1CNC[C@H]1C[C@H]1C ZINC000309654207 172082967 /nfs/dbraw/zinc/08/29/67/172082967.db2.gz PCXATZAYPJFBMT-NXEZZACHSA-N 0 3 222.332 2.545 20 0 BFADHN CCc1nocc1CN(C)CC1CC(F)(F)C1 ZINC000296685026 172093000 /nfs/dbraw/zinc/09/30/00/172093000.db2.gz IUXXOEJYSPEQID-UHFFFAOYSA-N 0 3 244.285 2.714 20 0 BFADHN CCc1nocc1CN(CC)CCC1CC1 ZINC000352941782 172096067 /nfs/dbraw/zinc/09/60/67/172096067.db2.gz DENKIUHOVVGLSV-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN CCc1nocc1CNCC1(C)CCCC1 ZINC000339245111 172097322 /nfs/dbraw/zinc/09/73/22/172097322.db2.gz GKRVWHJSPIRXIW-UHFFFAOYSA-N 0 3 222.332 2.907 20 0 BFADHN CCc1nocc1CN1CC[C@H]2CCC[C@H]21 ZINC000336315647 172098627 /nfs/dbraw/zinc/09/86/27/172098627.db2.gz FZULVCCYUISHBQ-ZWNOBZJWSA-N 0 3 220.316 2.611 20 0 BFADHN CCc1nocc1CNC1CCC(C)CC1 ZINC000339248881 172098800 /nfs/dbraw/zinc/09/88/00/172098800.db2.gz VPFPCELSLOMQJN-UHFFFAOYSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nocc1CNCCc1cccc(C)c1 ZINC000339220279 172099768 /nfs/dbraw/zinc/09/97/68/172099768.db2.gz ZOLBVLSPXOWMBZ-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN CCc1nocc1CNC[C@H]1CCC[C@H]1C ZINC000339385933 172099885 /nfs/dbraw/zinc/09/98/85/172099885.db2.gz BNZXMEWWHVSZCB-GHMZBOCLSA-N 0 3 222.332 2.763 20 0 BFADHN CCc1nocc1CNC[C@H]1CC[C@@H](C)C1 ZINC000339386319 172102073 /nfs/dbraw/zinc/10/20/73/172102073.db2.gz XYWXOVMQKXJKPM-MNOVXSKESA-N 0 3 222.332 2.763 20 0 BFADHN CCc1oc2ccccc2c1CNCC[C@H](C)O ZINC000078781755 172112891 /nfs/dbraw/zinc/11/28/91/172112891.db2.gz KPUWIHCKXVZVGQ-NSHDSACASA-N 0 3 247.338 2.856 20 0 BFADHN CCn1cc(CN(C)CCc2ccccc2)cn1 ZINC000072982959 172160374 /nfs/dbraw/zinc/16/03/74/172160374.db2.gz AQGNJBUNHNYFAS-UHFFFAOYSA-N 0 3 243.354 2.578 20 0 BFADHN CCn1cc(CN2CCC23CCCCC3)cn1 ZINC000368472541 172162299 /nfs/dbraw/zinc/16/22/99/172162299.db2.gz YFVSHDPYAKHJFH-UHFFFAOYSA-N 0 3 233.359 2.812 20 0 BFADHN CCn1cc(CN2CC[C@H](C)C(C)(C)C2)cn1 ZINC000355504993 172165723 /nfs/dbraw/zinc/16/57/23/172165723.db2.gz CGQNSUCLBAIMOW-LBPRGKRZSA-N 0 3 235.375 2.771 20 0 BFADHN CCn1cc([C@@H](C)NCC2(C(F)F)CC2)cn1 ZINC000359001612 172192314 /nfs/dbraw/zinc/19/23/14/172192314.db2.gz MOSFFOOTWMSHRC-SECBINFHSA-N 0 3 243.301 2.599 20 0 BFADHN CCn1cc([C@@H](C)NCc2ccccc2)cn1 ZINC000044494291 172195090 /nfs/dbraw/zinc/19/50/90/172195090.db2.gz RAZPZQZQEYPRKV-GFCCVEGCSA-N 0 3 229.327 2.754 20 0 BFADHN CCn1cc([C@H](C)N[C@H](C)Cc2ccoc2)cn1 ZINC000361928601 172197983 /nfs/dbraw/zinc/19/79/83/172197983.db2.gz WVTFPFYZVXHHEE-NEPJUHHUSA-N 0 3 247.342 2.778 20 0 BFADHN CCn1cccc1CN(C)CCCOC(C)C ZINC000267383513 172203416 /nfs/dbraw/zinc/20/34/16/172203416.db2.gz GMQIFAPNWOFXKW-UHFFFAOYSA-N 0 3 238.375 2.755 20 0 BFADHN CCn1ccnc1CN[C@H]1CCC[C@@H](C2CC2)C1 ZINC000344631176 172224537 /nfs/dbraw/zinc/22/45/37/172224537.db2.gz OURGJDAUQCDYCA-KGLIPLIRSA-N 0 3 247.386 2.961 20 0 BFADHN CCn1ccnc1CNC1(C)CCC(C)CC1 ZINC000078847788 172224611 /nfs/dbraw/zinc/22/46/11/172224611.db2.gz XQPNZXBYGFYSDL-UHFFFAOYSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1ccnc1[C@H](C)NCCC=C(C)C ZINC000296609693 172228895 /nfs/dbraw/zinc/22/88/95/172228895.db2.gz YKBSDXPPPKMMFM-LBPRGKRZSA-N 0 3 221.348 2.910 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H](C)C(C)C ZINC000295235834 172229752 /nfs/dbraw/zinc/22/97/52/172229752.db2.gz OKYZATPOSAOMFA-QWRGUYRKSA-N 0 3 209.337 2.598 20 0 BFADHN CCn1cncc1CN1CCCC[C@@H](C)C1 ZINC000334949443 172233683 /nfs/dbraw/zinc/23/36/83/172233683.db2.gz RPFDCYFIWSQRKI-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1cnnc1CN[C@H]1CCCC12CCCC2 ZINC000336887698 172237077 /nfs/dbraw/zinc/23/70/77/172237077.db2.gz DJPVGIVIZNZVNA-LBPRGKRZSA-N 0 3 248.374 2.501 20 0 BFADHN CCn1nc(C)c(CNCCC(C)(F)F)c1C ZINC000295958579 172245643 /nfs/dbraw/zinc/24/56/43/172245643.db2.gz HQVBBSTVPISPBE-UHFFFAOYSA-N 0 3 245.317 2.655 20 0 BFADHN CCn1nc(CNC[C@@H]2C[C@@H]2C)c2ccccc21 ZINC000159186164 172250383 /nfs/dbraw/zinc/25/03/83/172250383.db2.gz RKNQMSGPPWEWFP-RYUDHWBXSA-N 0 3 243.354 2.802 20 0 BFADHN CCn1nc(CN[C@@H]2CC[C@@H]2C)c2ccccc21 ZINC000336786808 172250475 /nfs/dbraw/zinc/25/04/75/172250475.db2.gz COGIFRQQFBQYFW-WCQYABFASA-N 0 3 243.354 2.944 20 0 BFADHN CCn1nccc1CCN(C)Cc1cccs1 ZINC000284031895 172260486 /nfs/dbraw/zinc/26/04/86/172260486.db2.gz PHEDIYMBQKUVFI-UHFFFAOYSA-N 0 3 249.383 2.639 20 0 BFADHN CCn1nccc1CN(C)[C@H]1CC[C@@H](C)C1 ZINC000339130835 172264007 /nfs/dbraw/zinc/26/40/07/172264007.db2.gz PKSYPTHCJPPJLU-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1nccc1CN1CCCC[C@@H]1C(C)C ZINC000126989793 172266837 /nfs/dbraw/zinc/26/68/37/172266837.db2.gz PWKCOFRITMOZGX-CQSZACIVSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1nccc1CN1CC[C@@H](C(C)(C)C)C1 ZINC000346356682 172267621 /nfs/dbraw/zinc/26/76/21/172267621.db2.gz DNMHJWDPWUIWDG-GFCCVEGCSA-N 0 3 235.375 2.771 20 0 BFADHN CCn1nccc1CNCCc1ccccc1C ZINC000125109940 172270891 /nfs/dbraw/zinc/27/08/91/172270891.db2.gz DGKRSBVVNVIIBC-UHFFFAOYSA-N 0 3 243.354 2.544 20 0 BFADHN CCn1nccc1CNCCCc1ccccc1 ZINC000125080956 172271666 /nfs/dbraw/zinc/27/16/66/172271666.db2.gz ZWRCFRWBCOMMLI-UHFFFAOYSA-N 0 3 243.354 2.625 20 0 BFADHN CN1CCC(Oc2ccc3cnccc3c2)CC1 ZINC000202374134 173000307 /nfs/dbraw/zinc/00/03/07/173000307.db2.gz GHHXQFGKAOSVLA-UHFFFAOYSA-N 0 3 242.322 2.708 20 0 BFADHN CN1CCC[C@@H]1CNCc1ccsc1Cl ZINC000336768489 173034366 /nfs/dbraw/zinc/03/43/66/173034366.db2.gz UHXVXBPYVPNSFZ-SNVBAGLBSA-N 0 3 244.791 2.585 20 0 BFADHN CN1CCC[C@H](NCc2ccc(Cl)s2)C1 ZINC000040672441 173046002 /nfs/dbraw/zinc/04/60/02/173046002.db2.gz ZIPJVHQHPVSYFE-VIFPVBQESA-N 0 3 244.791 2.585 20 0 BFADHN CN1CCN(C/C=C/c2ccccc2)C(C)(C)C1 ZINC000270991191 173075046 /nfs/dbraw/zinc/07/50/46/173075046.db2.gz DVQHREOQRYFNKS-JXMROGBWSA-N 0 3 244.382 2.726 20 0 BFADHN CN1CCN(CCC2CC2)[C@@H](c2ccccc2)C1 ZINC000336783694 173080441 /nfs/dbraw/zinc/08/04/41/173080441.db2.gz FNYBDHOOSBEGIA-MRXNPFEDSA-N 0 3 244.382 2.775 20 0 BFADHN CNCC(=O)Nc1ccccc1-c1ccccc1 ZINC000019502178 173335552 /nfs/dbraw/zinc/33/55/52/173335552.db2.gz IOTZZKQMIWUWSZ-UHFFFAOYSA-N 0 3 240.306 2.512 20 0 BFADHN CNCc1cccc(NC(=O)/C=C(\C)C2CC2)c1 ZINC000296840054 173389697 /nfs/dbraw/zinc/38/96/97/173389697.db2.gz BVRDXCCUXQDDAG-DHZHZOJOSA-N 0 3 244.338 2.701 20 0 BFADHN CNCc1cccc(NC(=O)C2CCCCC2)c1 ZINC000036282395 173389949 /nfs/dbraw/zinc/38/99/49/173389949.db2.gz ZJPUGONWAMBNGP-UHFFFAOYSA-N 0 3 246.354 2.925 20 0 BFADHN CNCc1ccccc1NC(=O)CC1CCCC1 ZINC000037865220 173390412 /nfs/dbraw/zinc/39/04/12/173390412.db2.gz ASDAFNDRVCBCAJ-UHFFFAOYSA-N 0 3 246.354 2.925 20 0 BFADHN COC1(CN[C@@H](C)c2cnccc2C)CCCC1 ZINC000297195840 174003630 /nfs/dbraw/zinc/00/36/30/174003630.db2.gz FSCORJUBHGKEAV-ZDUSSCGKSA-N 0 3 248.370 3.000 20 0 BFADHN COC1(CN[C@H](C)c2ccncc2)CCCC1 ZINC000297430435 174004885 /nfs/dbraw/zinc/00/48/85/174004885.db2.gz VEZKHHPYTSSONM-GFCCVEGCSA-N 0 3 234.343 2.691 20 0 BFADHN COC1(CN[C@H](C)c2cccnc2)CCCC1 ZINC000278664234 174005297 /nfs/dbraw/zinc/00/52/97/174005297.db2.gz QRBNTORVUKBSMT-GFCCVEGCSA-N 0 3 234.343 2.691 20 0 BFADHN COC1(C[C@H](C)NCc2ccco2)CCC1 ZINC000277188412 174009406 /nfs/dbraw/zinc/00/94/06/174009406.db2.gz LSBTWKFSDHBXCJ-NSHDSACASA-N 0 3 223.316 2.717 20 0 BFADHN COC1CCN(Cc2ccc3c(c2)CCC3)CC1 ZINC000265186321 174030270 /nfs/dbraw/zinc/03/02/70/174030270.db2.gz GAFJPYXCXRMLKW-UHFFFAOYSA-N 0 3 245.366 2.786 20 0 BFADHN COCC(C)(C)NCc1csc(C)c1 ZINC000336680000 174083167 /nfs/dbraw/zinc/08/31/67/174083167.db2.gz FXFGEIQKKJYKNG-UHFFFAOYSA-N 0 3 213.346 2.571 20 0 BFADHN COCC1(CN(C)Cc2cccc(C)n2)CCC1 ZINC000361318239 174096452 /nfs/dbraw/zinc/09/64/52/174096452.db2.gz JFZXZQKCIDEROF-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN COCC1(NC/C=C\c2ccccc2)CCC1 ZINC000341217387 174110104 /nfs/dbraw/zinc/11/01/04/174110104.db2.gz UTMYSIGUJBSULR-UITAMQMPSA-N 0 3 231.339 2.859 20 0 BFADHN COCC1CCC(NCc2occc2C)CC1 ZINC000296067175 174116715 /nfs/dbraw/zinc/11/67/15/174116715.db2.gz PPAUDWGFDVJWQE-UHFFFAOYSA-N 0 3 237.343 2.883 20 0 BFADHN COCCC1(C)CN(Cc2ccccc2)C1 ZINC000297286290 174152365 /nfs/dbraw/zinc/15/23/65/174152365.db2.gz AOIIBESUNCJFBJ-UHFFFAOYSA-N 0 3 219.328 2.545 20 0 BFADHN COCCC1(CN[C@@H](C)c2cscn2)CC1 ZINC000131018195 174155807 /nfs/dbraw/zinc/15/58/07/174155807.db2.gz QJTIVTWRYCWABL-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN COCCC1(CN[C@@H](C)c2cncs2)CC1 ZINC000131020834 174156462 /nfs/dbraw/zinc/15/64/62/174156462.db2.gz PLLLTPYBROVXMG-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN COCCC1(NCc2occc2C)CCC1 ZINC000309983665 174158801 /nfs/dbraw/zinc/15/88/01/174158801.db2.gz XIMKDILTPRWDOY-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN COCCCCCN(C)[C@H](C)c1cccnc1 ZINC000127842790 174176271 /nfs/dbraw/zinc/17/62/71/174176271.db2.gz SXVUMRDAGJKWAA-CYBMUJFWSA-N 0 3 236.359 2.891 20 0 BFADHN COCCCCCN1CCOC[C@@H]1CC(C)C ZINC000113998198 174178400 /nfs/dbraw/zinc/17/84/00/174178400.db2.gz LJGRBDGTKLSJRU-AWEZNQCLSA-N 0 3 243.391 2.550 20 0 BFADHN COCCCCCN[C@@H](C)c1ccco1 ZINC000122741186 174183381 /nfs/dbraw/zinc/18/33/81/174183381.db2.gz IGIYPSGCRMPATF-NSHDSACASA-N 0 3 211.305 2.747 20 0 BFADHN COCCCCN1Cc2ccc(C)cc2C1 ZINC000352405296 174195969 /nfs/dbraw/zinc/19/59/69/174195969.db2.gz HBLNLUWJFBMAJK-UHFFFAOYSA-N 0 3 219.328 2.737 20 0 BFADHN COCCCCN[C@H](C)c1ccccc1F ZINC000044655540 174203875 /nfs/dbraw/zinc/20/38/75/174203875.db2.gz DZYDYSCIPOEGAZ-LLVKDONJSA-N 0 3 225.307 2.903 20 0 BFADHN COCCCCN[C@H](C)c1ccc(C)o1 ZINC000044655615 174204070 /nfs/dbraw/zinc/20/40/70/174204070.db2.gz MNKMMILBGXIDMM-LLVKDONJSA-N 0 3 211.305 2.665 20 0 BFADHN COCCCN(C)Cc1cnc2ccccc2c1 ZINC000271185008 174219247 /nfs/dbraw/zinc/21/92/47/174219247.db2.gz JCRVMKQBVFAZNG-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN COCCCN(C)[C@@H](C)c1nc2ccccc2o1 ZINC000181027981 174220135 /nfs/dbraw/zinc/22/01/35/174220135.db2.gz LJKCSJWRYBPMCF-NSHDSACASA-N 0 3 248.326 2.857 20 0 BFADHN COCCCN1CCC(C)=C(c2ccco2)C1 ZINC000374619166 174226512 /nfs/dbraw/zinc/22/65/12/174226512.db2.gz JAKNNQAVULMHDB-UHFFFAOYSA-N 0 3 235.327 2.795 20 0 BFADHN COCCCNC(C)(C)c1ccccc1F ZINC000292078733 174246097 /nfs/dbraw/zinc/24/60/97/174246097.db2.gz FKBBAKZLDNPTHR-UHFFFAOYSA-N 0 3 225.307 2.687 20 0 BFADHN COCCCN[C@H](C)c1cc(C)oc1C ZINC000034956894 174249931 /nfs/dbraw/zinc/24/99/31/174249931.db2.gz CXXQOIBWXBNPQS-SNVBAGLBSA-N 0 3 211.305 2.584 20 0 BFADHN COCCCN[C@H](C)c1ccc(OC)cc1F ZINC000037232417 174250220 /nfs/dbraw/zinc/25/02/20/174250220.db2.gz FMCIMJOPCBNMFI-SNVBAGLBSA-N 0 3 241.306 2.521 20 0 BFADHN COCCN(C)[C@@H]1CCc2c1cccc2Cl ZINC000133376985 174282004 /nfs/dbraw/zinc/28/20/04/174282004.db2.gz NGBLREZJHVSNIQ-CYBMUJFWSA-N 0 3 239.746 2.906 20 0 BFADHN COCCN(C)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000361579330 174283450 /nfs/dbraw/zinc/28/34/50/174283450.db2.gz VLEDLNKGISRXRG-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN COCCN(C)[C@H](C)c1ccc(C)cc1C ZINC000298874742 174283824 /nfs/dbraw/zinc/28/38/24/174283824.db2.gz ZOHVTORJFKSMFP-CYBMUJFWSA-N 0 3 221.344 2.943 20 0 BFADHN COCCN(CCC(C)C)Cc1cccnc1 ZINC000191248794 174289441 /nfs/dbraw/zinc/28/94/41/174289441.db2.gz DEIZCOMEYTXKGP-UHFFFAOYSA-N 0 3 236.359 2.576 20 0 BFADHN COCCN(CCCOc1ccccc1)C1CC1 ZINC000339160769 174290885 /nfs/dbraw/zinc/29/08/85/174290885.db2.gz WTTPCYRUTAXTGJ-UHFFFAOYSA-N 0 3 249.354 2.566 20 0 BFADHN COCCN(Cc1ccc(C)o1)CC1CCC1 ZINC000125384054 174299774 /nfs/dbraw/zinc/29/97/74/174299774.db2.gz QOPAVSJXOOQFNN-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN COCCN(Cc1cccnc1)[C@@H]1CC[C@H](C)C1 ZINC000276788650 174300299 /nfs/dbraw/zinc/30/02/99/174300299.db2.gz KRPOHHCALHNJGL-DZGCQCFKSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN[C@@H](c1ccc(F)c(C)c1)C1CC1 ZINC000271886863 174337729 /nfs/dbraw/zinc/33/77/29/174337729.db2.gz UXOCMXKYABLSBK-CQSZACIVSA-N 0 3 237.318 2.821 20 0 BFADHN COCCN[C@@H](C)c1ccccc1Cl ZINC000019881310 174338292 /nfs/dbraw/zinc/33/82/92/174338292.db2.gz INNDDLDMPNAEPF-VIFPVBQESA-N 0 3 213.708 2.637 20 0 BFADHN COCCN[C@@H](C)c1cccc(OC(F)F)c1 ZINC000020085580 174338582 /nfs/dbraw/zinc/33/85/82/174338582.db2.gz HHNHTQGDHDOEIP-VIFPVBQESA-N 0 3 245.269 2.585 20 0 BFADHN COCCN[C@H](c1ccc(F)c(C)c1)C1CC1 ZINC000271886862 174339914 /nfs/dbraw/zinc/33/99/14/174339914.db2.gz UXOCMXKYABLSBK-AWEZNQCLSA-N 0 3 237.318 2.821 20 0 BFADHN COCCN[C@H](C)c1cccc(Cl)c1 ZINC000019881690 174340036 /nfs/dbraw/zinc/34/00/36/174340036.db2.gz OSXFJVCCRWBODK-SECBINFHSA-N 0 3 213.708 2.637 20 0 BFADHN COCC[C@@H](C)NCc1cc(C)ccc1OC ZINC000112018388 174384314 /nfs/dbraw/zinc/38/43/14/174384314.db2.gz CXZHAIPAJVCRBC-GFCCVEGCSA-N 0 3 237.343 2.518 20 0 BFADHN COC[C@@H](N[C@H](C)c1ccccc1F)C1CC1 ZINC000126374182 174487686 /nfs/dbraw/zinc/48/76/86/174487686.db2.gz RNQWCAVGJTWQQI-QMTHXVAHSA-N 0 3 237.318 2.901 20 0 BFADHN COC[C@@H](N[C@H](C)c1ccc(F)cc1)C1CC1 ZINC000126015085 174488389 /nfs/dbraw/zinc/48/83/89/174488389.db2.gz ZUHFCECPHCVYBI-QMTHXVAHSA-N 0 3 237.318 2.901 20 0 BFADHN COC[C@H](C)CNCc1cc(Cl)ccc1F ZINC000162687943 174533492 /nfs/dbraw/zinc/53/34/92/174533492.db2.gz OUSHYNXVLAECPI-SECBINFHSA-N 0 3 245.725 2.851 20 0 BFADHN COC[C@H](C)NC(C)(C)c1cccs1 ZINC000336652850 174546527 /nfs/dbraw/zinc/54/65/27/174546527.db2.gz KVNDIWGKWQBTMO-VIFPVBQESA-N 0 3 213.346 2.608 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1cc(C)c(C)o1 ZINC000308968106 174550647 /nfs/dbraw/zinc/55/06/47/174550647.db2.gz XGYMTJXWVINMRR-UWVGGRQHSA-N 0 3 211.305 2.582 20 0 BFADHN CO[C@@H](C)CCN[C@H](C)c1cc(C)c(C)o1 ZINC000282019321 174691023 /nfs/dbraw/zinc/69/10/23/174691023.db2.gz DXOBYPAIWYAXSL-WDEREUQCSA-N 0 3 225.332 2.972 20 0 BFADHN CCCn1nccc1CN1CCC[C@H](C)[C@@H]1C ZINC000667568188 487628804 /nfs/dbraw/zinc/62/88/04/487628804.db2.gz WJOVCTHDOOXAOD-STQMWFEESA-N 0 3 235.375 2.914 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000182435341 174835122 /nfs/dbraw/zinc/83/51/22/174835122.db2.gz GERJSSVSPXNWDR-SFYZADRCSA-N 0 3 247.260 2.789 20 0 BFADHN CO[C@H](C)CNc1ccnc2ccc(C)cc21 ZINC000302688339 174837004 /nfs/dbraw/zinc/83/70/04/174837004.db2.gz UNLJHTSAQYBEMM-LLVKDONJSA-N 0 3 230.311 2.990 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccsc1)C1CC1 ZINC000293737835 174868626 /nfs/dbraw/zinc/86/86/26/174868626.db2.gz OZMZSBOKURMREW-JOYOIKCWSA-N 0 3 225.357 2.824 20 0 BFADHN COc1cc([C@@H](C)NCC2CCC2)c(F)cn1 ZINC000287594204 175014349 /nfs/dbraw/zinc/01/43/49/175014349.db2.gz IGLCEILJUNRINU-SECBINFHSA-N 0 3 238.306 2.680 20 0 BFADHN COc1cc([C@@H](C)N[C@@H](C)C(C)C)c(F)cn1 ZINC000287076623 175014541 /nfs/dbraw/zinc/01/45/41/175014541.db2.gz VANAFYLMSGXMIN-VHSXEESVSA-N 0 3 240.322 2.924 20 0 BFADHN COc1cc([C@H](C)NC2CCCC2)c(F)cn1 ZINC000287116101 175016103 /nfs/dbraw/zinc/01/61/03/175016103.db2.gz XTCUNJLVXADSRC-VIFPVBQESA-N 0 3 238.306 2.823 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1C[C@@H]1C(F)F ZINC000342245847 175075980 /nfs/dbraw/zinc/07/59/80/175075980.db2.gz ZJSXDIBVXXNXLV-QWRGUYRKSA-N 0 3 241.281 2.747 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1CCC[C@H]1OC ZINC000049948810 175076018 /nfs/dbraw/zinc/07/60/18/175076018.db2.gz OPWAKUWVGBQCEB-UKRRQHHQSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1CCC[C@@H]1OC ZINC000049948809 175076098 /nfs/dbraw/zinc/07/60/98/175076098.db2.gz OPWAKUWVGBQCEB-ZFWWWQNUSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@@H]1CCO[C@@H]1C ZINC000119917210 175079049 /nfs/dbraw/zinc/07/90/49/175079049.db2.gz AHYAKYJCRDITKC-YRGRVCCFSA-N 0 3 249.354 2.832 20 0 BFADHN COc1ccc(CCNCc2ccco2)cc1F ZINC000170874387 175091657 /nfs/dbraw/zinc/09/16/57/175091657.db2.gz YFYLWZVDDBJZHW-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2CC[C@@H](C)O2)cc1 ZINC000188535427 175095234 /nfs/dbraw/zinc/09/52/34/175095234.db2.gz BINGJCDJAQAMQT-DOMZBBRYSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccc(CN(C)CCOC2CCC2)cc1 ZINC000287467236 175095716 /nfs/dbraw/zinc/09/57/16/175095716.db2.gz WIBKNVAYOAHSAW-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2CCCCO2)cc1 ZINC000042976117 175096008 /nfs/dbraw/zinc/09/60/08/175096008.db2.gz YTGHMTJRAHDVFR-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccc(CN2CCCC[C@@H]2C)cc1O ZINC000131873747 175098697 /nfs/dbraw/zinc/09/86/97/175098697.db2.gz KTXZZLZNEGMJIB-NSHDSACASA-N 0 3 235.327 2.775 20 0 BFADHN COc1ccc(CN2CCC[C@H](C)[C@@H]2C)nc1 ZINC000272027870 175099677 /nfs/dbraw/zinc/09/96/77/175099677.db2.gz WDKJKHJMCAIBEA-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc(CNC2CCCC2)c(F)c1 ZINC000083352744 175105452 /nfs/dbraw/zinc/10/54/52/175105452.db2.gz MXJNZGSQAKAKOL-UHFFFAOYSA-N 0 3 223.291 2.867 20 0 BFADHN COc1ccc(CN[C@@H](C)C2CCCCC2)nn1 ZINC000302472769 175109229 /nfs/dbraw/zinc/10/92/29/175109229.db2.gz REPZWSKBDJRCPP-NSHDSACASA-N 0 3 249.358 2.544 20 0 BFADHN COc1ccc(F)c(CNC[C@@H](C)SC)c1 ZINC000293344687 175125404 /nfs/dbraw/zinc/12/54/04/175125404.db2.gz SPDLPZPGCQEGSE-SECBINFHSA-N 0 3 243.347 2.675 20 0 BFADHN COc1ccc(F)c(CN[C@H](C)C(C)C)c1 ZINC000293995095 175125680 /nfs/dbraw/zinc/12/56/80/175125680.db2.gz FRQIZGCHVKCHGB-SNVBAGLBSA-N 0 3 225.307 2.968 20 0 BFADHN COc1ccc(F)c(CN[C@H]2CC[C@H]2C)c1 ZINC000339400381 175126012 /nfs/dbraw/zinc/12/60/12/175126012.db2.gz NOGSDXKNXWGUQH-RNCFNFMXSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)cc1CN[C@@H]1CC[C@@H](F)C1 ZINC000361117850 175130129 /nfs/dbraw/zinc/13/01/29/175130129.db2.gz PCPHUIJCRXRIQX-VXGBXAGGSA-N 0 3 241.281 2.815 20 0 BFADHN COc1ccc(F)cc1[C@@H](C)NCCSC ZINC000154782127 175132624 /nfs/dbraw/zinc/13/26/24/175132624.db2.gz NBOIBDIVPQKNQS-SECBINFHSA-N 0 3 243.347 2.848 20 0 BFADHN COc1ccc(F)cc1[C@H](C)NCCCF ZINC000178278183 175133783 /nfs/dbraw/zinc/13/37/83/175133783.db2.gz BIIORUAFSQOVAS-VIFPVBQESA-N 0 3 229.270 2.845 20 0 BFADHN COc1ccc(OC)c(CN[C@@H]2CC[C@H]2C)c1 ZINC000336702034 175165077 /nfs/dbraw/zinc/16/50/77/175165077.db2.gz KXGOGUXSCKICLV-ZWNOBZJWSA-N 0 3 235.327 2.592 20 0 BFADHN COc1ccc([C@H](C)NCC2=CCCOC2)cc1 ZINC000269298080 175188444 /nfs/dbraw/zinc/18/84/44/175188444.db2.gz QJLNFTOLVIVMCW-LBPRGKRZSA-N 0 3 247.338 2.693 20 0 BFADHN COc1ccc([C@H](C)NC[C@@H]2CC[C@H](C)O2)cc1 ZINC000128517504 175188465 /nfs/dbraw/zinc/18/84/65/175188465.db2.gz HDCPZFHEMPAPSH-HUBLWGQQSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccc([C@H](C)NCC2CC2)c(F)c1 ZINC000037187313 175188547 /nfs/dbraw/zinc/18/85/47/175188547.db2.gz QZJVDZNIUGWWLR-VIFPVBQESA-N 0 3 223.291 2.895 20 0 BFADHN COc1ccc([C@H](C)NCC[C@H](C)F)cc1O ZINC000340467844 175188591 /nfs/dbraw/zinc/18/85/91/175188591.db2.gz QXFNVBLQCWOFMP-UWVGGRQHSA-N 0 3 241.306 2.800 20 0 BFADHN COc1ccc([C@H](C)NC[C@H]2CC[C@@H](C)O2)cc1 ZINC000128516967 175189271 /nfs/dbraw/zinc/18/92/71/175189271.db2.gz HDCPZFHEMPAPSH-TYNCELHUSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2CCCOC2)cc1 ZINC000112793382 175190278 /nfs/dbraw/zinc/19/02/78/175190278.db2.gz FAJJHJBPNJBIBW-AAEUAGOBSA-N 0 3 235.327 2.525 20 0 BFADHN COc1ccc2c(c1)C1(CC1)CN(CCCF)C2 ZINC000289404018 175206733 /nfs/dbraw/zinc/20/67/33/175206733.db2.gz AGOZLTSGXUPTIC-UHFFFAOYSA-N 0 3 249.329 2.902 20 0 BFADHN COc1ccc2c(c1)C[C@@H](NCC=C(C)C)CO2 ZINC000296624461 175211025 /nfs/dbraw/zinc/21/10/25/175211025.db2.gz ZLVCTWPWMCWBHH-CYBMUJFWSA-N 0 3 247.338 2.555 20 0 BFADHN COc1ccc2c(c1)C[C@H](NCC=C(C)C)CO2 ZINC000296624462 175211732 /nfs/dbraw/zinc/21/17/32/175211732.db2.gz ZLVCTWPWMCWBHH-ZDUSSCGKSA-N 0 3 247.338 2.555 20 0 BFADHN COc1cccc(-c2csc(CN)c2)c1 ZINC000070601097 175234947 /nfs/dbraw/zinc/23/49/47/175234947.db2.gz YFHAVEIKAGNJIY-UHFFFAOYSA-N 0 3 219.309 2.882 20 0 BFADHN COc1cccc(CCNCc2ccco2)c1 ZINC000019832385 175252788 /nfs/dbraw/zinc/25/27/88/175252788.db2.gz FUTBCGHRFUARIU-UHFFFAOYSA-N 0 3 231.295 2.621 20 0 BFADHN COc1cccc(CN(C)[C@@H](C)C2CCC2)n1 ZINC000357023757 175254437 /nfs/dbraw/zinc/25/44/37/175254437.db2.gz LULBINPINVADRO-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1cccc(CN(C)CCC(=O)C(C)C)c1 ZINC000290183481 175254533 /nfs/dbraw/zinc/25/45/33/175254533.db2.gz FOSGAKSREIAGRY-UHFFFAOYSA-N 0 3 249.354 2.742 20 0 BFADHN COc1cccc(CN(C)C[C@@H]2CC[C@H](C)O2)c1 ZINC000276480316 175255636 /nfs/dbraw/zinc/25/56/36/175255636.db2.gz OOMNYCNNZDESSI-WFASDCNBSA-N 0 3 249.354 2.695 20 0 BFADHN COc1cccc(CN(C)C[C@H]2CC[C@@H](C)O2)c1 ZINC000276480311 175255720 /nfs/dbraw/zinc/25/57/20/175255720.db2.gz OOMNYCNNZDESSI-IUODEOHRSA-N 0 3 249.354 2.695 20 0 BFADHN COc1cccc(CN(C)C[C@H]2CCCCO2)c1 ZINC000042976406 175256011 /nfs/dbraw/zinc/25/60/11/175256011.db2.gz FXUNQJHSIQHBDK-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN COc1cccc(CN2CC3(CCC3)C[C@H]2C)n1 ZINC000365445376 175256423 /nfs/dbraw/zinc/25/64/23/175256423.db2.gz VQYRIWHBWDFOJP-GFCCVEGCSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cccc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)n1 ZINC000355782143 175261517 /nfs/dbraw/zinc/26/15/17/175261517.db2.gz DPUVAYHPIPYGTA-JHJVBQTASA-N 0 3 248.370 2.957 20 0 BFADHN COc1cccc(CN2C[C@H](C)C[C@H](C)[C@H]2C)n1 ZINC000355782142 175261717 /nfs/dbraw/zinc/26/17/17/175261717.db2.gz DPUVAYHPIPYGTA-FRRDWIJNSA-N 0 3 248.370 2.957 20 0 BFADHN COc1cccc(CNCCC=C(C)C)n1 ZINC000276656653 175264058 /nfs/dbraw/zinc/26/40/58/175264058.db2.gz VIDKVZQLQMOVGM-UHFFFAOYSA-N 0 3 220.316 2.536 20 0 BFADHN COc1cccc(CN[C@@H]2CC2(C)C)c1OC ZINC000044371799 175266695 /nfs/dbraw/zinc/26/66/95/175266695.db2.gz IGNNGGBZNDNKHY-GFCCVEGCSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc(CN[C@@H]2CC[C@@H]2C)c1OC ZINC000310221108 175267025 /nfs/dbraw/zinc/26/70/25/175267025.db2.gz XCFAQZQIJGXWKJ-CMPLNLGQSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc(NC(=O)C(C)C(F)(F)F)c1 ZINC000361432725 175277272 /nfs/dbraw/zinc/27/72/72/175277272.db2.gz SZHJJKSFOJQCSS-ZETCQYMHSA-N 0 3 247.216 2.832 20 0 BFADHN COc1cccc(NC2CCN(C3CC3)CC2)c1 ZINC000336790901 175278326 /nfs/dbraw/zinc/27/83/26/175278326.db2.gz MGVDYIVBHDZJDR-UHFFFAOYSA-N 0 3 246.354 2.734 20 0 BFADHN COc1cccc(OC)c1CN[C@H](C)C1CC1 ZINC000296527519 175281376 /nfs/dbraw/zinc/28/13/76/175281376.db2.gz AQGLRJXOPRRENZ-SNVBAGLBSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc(OC)c1CNC[C@@H]1CC1(C)C ZINC000352749277 175281516 /nfs/dbraw/zinc/28/15/16/175281516.db2.gz LVOZJARVXMAUJP-NSHDSACASA-N 0 3 249.354 2.840 20 0 BFADHN COc1cccc([C@H](C)N2CCC[C@@](C)(O)C2)c1 ZINC000124744711 175292392 /nfs/dbraw/zinc/29/23/92/175292392.db2.gz ZXMVEENJYHKQCF-SWLSCSKDSA-N 0 3 249.354 2.603 20 0 BFADHN COc1cccc([C@H](C)N2CCOC[C@@H](C)C2)c1 ZINC000336528018 175292539 /nfs/dbraw/zinc/29/25/39/175292539.db2.gz AEIBRUJEWSURSE-STQMWFEESA-N 0 3 249.354 2.725 20 0 BFADHN COc1cccc([C@H](C)NC[C@H]2CC[C@@H](C)O2)c1 ZINC000128311003 175293222 /nfs/dbraw/zinc/29/32/22/175293222.db2.gz SNKFZSPEVXICEB-TYNCELHUSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccccc1CCNCc1ccco1 ZINC000013718253 175323656 /nfs/dbraw/zinc/32/36/56/175323656.db2.gz AAEHQCJQPUKWRT-UHFFFAOYSA-N 0 3 231.295 2.621 20 0 BFADHN COc1ccccc1CN[C@@H]1CC[C@H]1SC ZINC000336709179 175329836 /nfs/dbraw/zinc/32/98/36/175329836.db2.gz RBSXEWNUCMDKDI-DGCLKSJQSA-N 0 3 237.368 2.679 20 0 BFADHN COc1ccccc1CN[C@H]1CC[C@H]1C ZINC000308790127 175331558 /nfs/dbraw/zinc/33/15/58/175331558.db2.gz HBTHVMTZOLFGGJ-PWSUYJOCSA-N 0 3 205.301 2.583 20 0 BFADHN COc1ccccc1C[C@H](C)N[C@H](C)CF ZINC000290253253 175333698 /nfs/dbraw/zinc/33/36/98/175333698.db2.gz VYLDJVDNSIBHJQ-WDEREUQCSA-N 0 3 225.307 2.574 20 0 BFADHN COc1ccccc1OCCN1CC=C(C)CC1 ZINC000339660849 175341119 /nfs/dbraw/zinc/34/11/19/175341119.db2.gz OYITWIXORASTMR-UHFFFAOYSA-N 0 3 247.338 2.726 20 0 BFADHN COc1ccccc1O[C@H]1CCCN(C(C)C)C1 ZINC000286476886 175342402 /nfs/dbraw/zinc/34/24/02/175342402.db2.gz OCIIYLMDZUWKDE-ZDUSSCGKSA-N 0 3 249.354 2.947 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@@H]1CCCCO1 ZINC000047874146 175344144 /nfs/dbraw/zinc/34/41/44/175344144.db2.gz WYRCDZQVTRCJIT-OLZOCXBDSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccccc1[C@@H](C)NC1CCC1 ZINC000044480221 175344211 /nfs/dbraw/zinc/34/42/11/175344211.db2.gz VUQBQBQVWPJNDF-SNVBAGLBSA-N 0 3 205.301 2.898 20 0 BFADHN COc1ccccc1[C@@H](C)NCc1[nH]ncc1C ZINC000290077346 175344692 /nfs/dbraw/zinc/34/46/92/175344692.db2.gz YSIKIXIBMGONRE-LLVKDONJSA-N 0 3 245.326 2.578 20 0 BFADHN COc1ccccc1[C@@H](C)NCCCF ZINC000088162789 175344866 /nfs/dbraw/zinc/34/48/66/175344866.db2.gz YGBHNGAKZABNBH-SNVBAGLBSA-N 0 3 211.280 2.705 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@@H]1CCCCO1 ZINC000047874145 175351611 /nfs/dbraw/zinc/35/16/11/175351611.db2.gz WYRCDZQVTRCJIT-STQMWFEESA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccccc1[C@H](C)NCc1cc[nH]c1 ZINC000090994255 175351901 /nfs/dbraw/zinc/35/19/01/175351901.db2.gz CPWVJMMDHYVJNH-NSHDSACASA-N 0 3 230.311 2.874 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H](C)[C@@H](C)OC ZINC000128461149 175352017 /nfs/dbraw/zinc/35/20/17/175352017.db2.gz UHJPPLTUHNKPDV-SDDRHHMPSA-N 0 3 237.343 2.769 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1CCCC[C@@H]1O ZINC000051769832 175352245 /nfs/dbraw/zinc/35/22/45/175352245.db2.gz UITBIJHZHDGPMY-UBHSHLNASA-N 0 3 249.354 2.649 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H](C)[C@@H](C)OC ZINC000128461602 175352371 /nfs/dbraw/zinc/35/23/71/175352371.db2.gz UHJPPLTUHNKPDV-GRYCIOLGSA-N 0 3 237.343 2.769 20 0 BFADHN COc1ccnc(CN(C)[C@@H](C)C(C)C)c1 ZINC000264436574 175359377 /nfs/dbraw/zinc/35/93/77/175359377.db2.gz PMENFRXYWKMTFD-NSHDSACASA-N 0 3 222.332 2.567 20 0 BFADHN COc1ccnc(CN2CC[C@H](CC3CC3)C2)c1 ZINC000339679215 175360453 /nfs/dbraw/zinc/36/04/53/175360453.db2.gz OOMGMASAPSBOLX-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ccnc(NCCCC(C)C)c1 ZINC000309080211 175364622 /nfs/dbraw/zinc/36/46/22/175364622.db2.gz OJQSRECIWLHHHC-UHFFFAOYSA-N 0 3 208.305 2.938 20 0 BFADHN COc1ccncc1CN1CC[C@@H](C)[C@H](C)C1 ZINC000287916591 175370863 /nfs/dbraw/zinc/37/08/63/175370863.db2.gz VVDTXWCUAMBRII-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccncc1CN[C@@H]1CC=C(C)CC1 ZINC000293938283 175372019 /nfs/dbraw/zinc/37/20/19/175372019.db2.gz OBSMZJLDXNTJCP-CYBMUJFWSA-N 0 3 232.327 2.679 20 0 BFADHN COc1ccncc1CN[C@@H](C)[C@H]1CC1(C)C ZINC000340403505 175372455 /nfs/dbraw/zinc/37/24/55/175372455.db2.gz MWJXGLUNFAUARI-CMPLNLGQSA-N 0 3 234.343 2.614 20 0 BFADHN COc1ccncc1CNCCC(C)(C)C ZINC000294064931 175372559 /nfs/dbraw/zinc/37/25/59/175372559.db2.gz YDTXOABJVANUJT-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN COc1ccncc1CN[C@H]1CCC[C@H](C)C1 ZINC000292723580 175373318 /nfs/dbraw/zinc/37/33/18/175373318.db2.gz PMDSZEIVPAVNFS-AAEUAGOBSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccsc1CNCC=C(C)C ZINC000276633145 175378588 /nfs/dbraw/zinc/37/85/88/175378588.db2.gz OTOGSOUSUWHOMA-UHFFFAOYSA-N 0 3 211.330 2.813 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@H](C)[C@@H](C)OC ZINC000290089727 175379345 /nfs/dbraw/zinc/37/93/45/175379345.db2.gz HGZAICPSJWCVNQ-OPRDCNLKSA-N 0 3 243.372 2.831 20 0 BFADHN COc1ccsc1[C@H](C)NCCC(C)(C)O ZINC000274763339 175379391 /nfs/dbraw/zinc/37/93/91/175379391.db2.gz FGZMGFVSDVOUTA-VIFPVBQESA-N 0 3 243.372 2.568 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@H](C)CCCO ZINC000186192542 175379969 /nfs/dbraw/zinc/37/99/69/175379969.db2.gz JUKLFDHXVTVGNI-NXEZZACHSA-N 0 3 243.372 2.568 20 0 BFADHN COc1ccsc1[C@H](C)N[C@H](C)[C@H](C)OC ZINC000290089731 175380434 /nfs/dbraw/zinc/38/04/34/175380434.db2.gz HGZAICPSJWCVNQ-UTLUCORTSA-N 0 3 243.372 2.831 20 0 BFADHN COc1cncc(CN2C[C@H](C)CC[C@@H]2C)c1 ZINC000290408566 175385253 /nfs/dbraw/zinc/38/52/53/175385253.db2.gz UAYRBUBYJMXPEA-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN2CCC[C@@H](C3CC3)C2)c1 ZINC000289399783 175385904 /nfs/dbraw/zinc/38/59/04/175385904.db2.gz KVYBRNKGEDMEAU-CQSZACIVSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cncc(CN[C@H](C)c2ccccc2)c1 ZINC000289640551 175386061 /nfs/dbraw/zinc/38/60/61/175386061.db2.gz BZKAFLYDMFUXAW-GFCCVEGCSA-N 0 3 242.322 2.941 20 0 BFADHN COc1cncc(CN[C@@H](C)c2ccccc2)c1 ZINC000289640557 175386203 /nfs/dbraw/zinc/38/62/03/175386203.db2.gz BZKAFLYDMFUXAW-LBPRGKRZSA-N 0 3 242.322 2.941 20 0 BFADHN COc1ncc(CN2[C@H](C)CCC[C@@H]2C)c(C)n1 ZINC000337194913 175398960 /nfs/dbraw/zinc/39/89/60/175398960.db2.gz VRCWLPZRPKRBHI-PHIMTYICSA-N 0 3 249.358 2.557 20 0 BFADHN CSC1(C[NH2+]Cc2ccc([O-])c(F)c2)CC1 ZINC000235281402 175452351 /nfs/dbraw/zinc/45/23/51/175452351.db2.gz NEUDXJHHHZUQRZ-UHFFFAOYSA-N 0 3 241.331 2.517 20 0 BFADHN Cc1ccc(OCCNCc2ccn(C)c2)cc1 ZINC000270654828 487631016 /nfs/dbraw/zinc/63/10/16/487631016.db2.gz RPWHNUWKTUTJBI-UHFFFAOYSA-N 0 3 244.338 2.502 20 0 BFADHN C[C@@H](CCc1ccco1)NCc1cncs1 ZINC000054770286 175688097 /nfs/dbraw/zinc/68/80/97/175688097.db2.gz AAIGBACFYSCHMF-JTQLQIEISA-N 0 3 236.340 2.847 20 0 BFADHN C[C@@H](CO)CN[C@H](C)c1ccc2ccccc2n1 ZINC000268815924 175744611 /nfs/dbraw/zinc/74/46/11/175744611.db2.gz GBXPFVLKGZDYCO-VXGBXAGGSA-N 0 3 244.338 2.514 20 0 BFADHN C[C@@H](Cc1cccs1)NCc1cncs1 ZINC000054770768 175775725 /nfs/dbraw/zinc/77/57/25/175775725.db2.gz LOGNHDDYGZOAPO-VIFPVBQESA-N 0 3 238.381 2.925 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1cccc(F)c1 ZINC000019963858 175889577 /nfs/dbraw/zinc/88/95/77/175889577.db2.gz JYZCVGYBOCCYGY-MFKMUULPSA-N 0 3 223.291 2.655 20 0 BFADHN C[C@@H](NC[C@H](O)Cc1ccccc1)c1ccco1 ZINC000287111111 175891485 /nfs/dbraw/zinc/89/14/85/175891485.db2.gz QNSHWDPDNQKXAK-TZMCWYRMSA-N 0 3 245.322 2.534 20 0 BFADHN C[C@@H](NC[C@](C)(O)c1ccccc1)c1ccco1 ZINC000052097736 175894727 /nfs/dbraw/zinc/89/47/27/175894727.db2.gz QEJGLJGSFDHWTR-DOMZBBRYSA-N 0 3 245.322 2.838 20 0 BFADHN C[C@@H](NCc1cocn1)C1CCCCC1 ZINC000237367297 175905828 /nfs/dbraw/zinc/90/58/28/175905828.db2.gz FWRVQHOTZGDROC-SNVBAGLBSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H](O)CN(C)Cc1ccccc1C(F)(F)F ZINC000051837493 175966734 /nfs/dbraw/zinc/96/67/34/175966734.db2.gz MFRAPAQALBFQQN-SECBINFHSA-N 0 3 247.260 2.518 20 0 BFADHN C[C@@H](c1ccc(F)c(F)c1)N(C)CC(C)(C)O ZINC000264089411 176014466 /nfs/dbraw/zinc/01/44/66/176014466.db2.gz PXEGVLQMDHZUPV-VIFPVBQESA-N 0 3 243.297 2.729 20 0 BFADHN C[C@@H](c1ccc(Cl)cc1)N1CC[C@@](C)(O)C1 ZINC000267322611 176014497 /nfs/dbraw/zinc/01/44/97/176014497.db2.gz HKNXTVNHOKTNQM-GXFFZTMASA-N 0 3 239.746 2.858 20 0 BFADHN C[C@@H](c1ccc(Cl)cc1)N1CC[C@H](CO)C1 ZINC000082946318 176014846 /nfs/dbraw/zinc/01/48/46/176014846.db2.gz PLQSQBFXHPKFEO-QWRGUYRKSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CC[C@]2(CCOC2)C1 ZINC000153673359 176016209 /nfs/dbraw/zinc/01/62/09/176016209.db2.gz WLPCJRKBGXNTOI-WFASDCNBSA-N 0 3 249.329 2.999 20 0 BFADHN C[C@@H](O)CCN(C)[C@@H](C)c1ccccc1F ZINC000062017165 176024908 /nfs/dbraw/zinc/02/49/08/176024908.db2.gz SEYJBVWFJOCLDJ-MNOVXSKESA-N 0 3 225.307 2.589 20 0 BFADHN C[C@@H](c1ccccc1Cl)N1CC[C@H](CO)C1 ZINC000082946420 176025560 /nfs/dbraw/zinc/02/55/60/176025560.db2.gz KZVSBWRHXANZGI-QWRGUYRKSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@@H](c1ccccc1F)N1CCC[C@@H](O)CC1 ZINC000293001432 176025629 /nfs/dbraw/zinc/02/56/29/176025629.db2.gz CXSYEPDFYUMFRW-NWDGAFQWSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H](c1ccccc1F)N1[C@@H]2CC[C@H]1CC(O)C2 ZINC000111313776 176025698 /nfs/dbraw/zinc/02/56/98/176025698.db2.gz DMUZPYJDJIERLD-ACJTYDJDSA-N 0 3 249.329 2.874 20 0 BFADHN C[C@@H](c1ccccn1)N(C)C[C@H]1CCSC1 ZINC000278391530 176026297 /nfs/dbraw/zinc/02/62/97/176026297.db2.gz ANWOEKICHPLWOV-NWDGAFQWSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@@H](c1ccccn1)N1CCOCC2(CCC2)C1 ZINC000336602894 176027903 /nfs/dbraw/zinc/02/79/03/176027903.db2.gz CZAKSWWRCVDVFF-ZDUSSCGKSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCCCF ZINC000285489825 176028730 /nfs/dbraw/zinc/02/87/30/176028730.db2.gz DHCOEVNDQCBEQZ-NSHDSACASA-N 0 3 210.296 2.824 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCc1cccnc1 ZINC000180789743 176029314 /nfs/dbraw/zinc/02/93/14/176029314.db2.gz WZWUWMBLQAOCHR-ZDUSSCGKSA-N 0 3 241.338 2.712 20 0 BFADHN C[C@@H](c1ccco1)N(C)C[C@@H]1CCC=CO1 ZINC000193688270 176031066 /nfs/dbraw/zinc/03/10/66/176031066.db2.gz PQSGSUZOCOXASF-RYUDHWBXSA-N 0 3 221.300 2.965 20 0 BFADHN C[C@@H](c1ccco1)N(C)C[C@@H]1CCCOC1 ZINC000336710507 176031227 /nfs/dbraw/zinc/03/12/27/176031227.db2.gz ZHCDJYSYZYJUHN-RYUDHWBXSA-N 0 3 223.316 2.699 20 0 BFADHN C[C@@H](c1ccco1)N(CCc1cn[nH]c1)C1CC1 ZINC000298360259 176032195 /nfs/dbraw/zinc/03/21/95/176032195.db2.gz KDXKUEAEZVNBKB-NSHDSACASA-N 0 3 245.326 2.771 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCc1cscn1 ZINC000340292114 176034556 /nfs/dbraw/zinc/03/45/56/176034556.db2.gz WNPOIQICUGRCKL-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN C[C@@H](c1cnccn1)N1CCCC(C)(C)C1 ZINC000184550604 176035722 /nfs/dbraw/zinc/03/57/22/176035722.db2.gz HHQCBADYYACVOH-NSHDSACASA-N 0 3 219.332 2.660 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@H](c2ccco2)C1 ZINC000368367001 176036575 /nfs/dbraw/zinc/03/65/75/176036575.db2.gz KPMHRNAMPRPBHV-RYUDHWBXSA-N 0 3 243.310 2.620 20 0 BFADHN C[C@@H]1CC(NCc2ccc(CO)o2)C[C@@H](C)C1 ZINC000084972533 176055472 /nfs/dbraw/zinc/05/54/72/176055472.db2.gz VQQDEGRGLGSDGI-QWRGUYRKSA-N 0 3 237.343 2.686 20 0 BFADHN C[C@@H]1CC2(CCC2)CN1Cc1ccc(F)nc1 ZINC000365444134 176057862 /nfs/dbraw/zinc/05/78/62/176057862.db2.gz RHFPOKUREBYFNS-LLVKDONJSA-N 0 3 234.318 2.985 20 0 BFADHN C[C@@H]1CCCCN1CCNc1cccc(F)n1 ZINC000299152718 176072402 /nfs/dbraw/zinc/07/24/02/176072402.db2.gz NKFNPJMCGLCTPQ-LLVKDONJSA-N 0 3 237.322 2.507 20 0 BFADHN C[C@@H]1CCCC[C@H]1NCc1ncccc1N(C)C ZINC000289801282 176088698 /nfs/dbraw/zinc/08/86/98/176088698.db2.gz NWGLWRYEBYARSH-CHWSQXEVSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@@H](NCCN1CCC[C@@H](C)C1)c1ccco1 ZINC000226770363 176097407 /nfs/dbraw/zinc/09/74/07/176097407.db2.gz HPVYYMFPTUAJBU-CHWSQXEVSA-N 0 3 236.359 2.662 20 0 BFADHN C[C@@H]1CCCN(Cc2cncs2)[C@@H]1C ZINC000092737194 176100921 /nfs/dbraw/zinc/10/09/21/176100921.db2.gz WJIJLJLKIKQRHX-NXEZZACHSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@@H]1CCCN(Cc2ccncc2F)CC1 ZINC000336222183 176100956 /nfs/dbraw/zinc/10/09/56/176100956.db2.gz JBYZQRWAKZAQLH-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H]1CCCN(Cc2cncc(F)c2)[C@@H]1C ZINC000275139992 176102096 /nfs/dbraw/zinc/10/20/96/176102096.db2.gz OOBAOOODAFOWMY-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CCCN1C/C=C/c1ccncc1 ZINC000336621788 176107768 /nfs/dbraw/zinc/10/77/68/176107768.db2.gz AGTHFMHXRRQZTN-OGOUPESXSA-N 0 3 202.301 2.579 20 0 BFADHN C[C@@H]1CCCN1CCC(=O)c1ccccc1 ZINC000053931526 176108437 /nfs/dbraw/zinc/10/84/37/176108437.db2.gz BEZZBOZZFWTDQC-GFCCVEGCSA-N 0 3 217.312 2.744 20 0 BFADHN C[C@@H]1CCCN1Cc1ccc(F)c(F)c1 ZINC000174407236 176109076 /nfs/dbraw/zinc/10/90/76/176109076.db2.gz BOWFALMHAKONRL-SECBINFHSA-N 0 3 211.255 2.949 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1CCc1cscn1 ZINC000297431043 176115407 /nfs/dbraw/zinc/11/54/07/176115407.db2.gz HXFZQTSFNNVWHT-GHMZBOCLSA-N 0 3 224.373 2.949 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCO[C@@H](C3CC3)C2)C1 ZINC000362561841 176117067 /nfs/dbraw/zinc/11/70/67/176117067.db2.gz QYTIQBWZNBDEJB-UMVBOHGHSA-N 0 3 237.387 2.924 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCO[C@H](C3CC3)C2)C1 ZINC000362561839 176117783 /nfs/dbraw/zinc/11/77/83/176117783.db2.gz QYTIQBWZNBDEJB-NFAWXSAZSA-N 0 3 237.387 2.924 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2cscn2)C1 ZINC000041043321 176124183 /nfs/dbraw/zinc/12/41/83/176124183.db2.gz OZSGBUFFHXTOPD-NXEZZACHSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2nccs2)C1 ZINC000040444058 176124603 /nfs/dbraw/zinc/12/46/03/176124603.db2.gz JYHCECGXDUPDOM-NXEZZACHSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2cocn2)[C@@H]1C ZINC000309456523 176139079 /nfs/dbraw/zinc/13/90/79/176139079.db2.gz NTFPIUDPURQIFP-IJLUTSLNSA-N 0 3 222.332 2.837 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2nccn2C)[C@@H]1C ZINC000360772243 176139143 /nfs/dbraw/zinc/13/91/43/176139143.db2.gz LMFNYCXLBWFVCU-JHJVBQTASA-N 0 3 235.375 2.582 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2ccc(CO)o2)C1 ZINC000174301438 176139508 /nfs/dbraw/zinc/13/95/08/176139508.db2.gz OXBABKKESNTGDK-NEPJUHHUSA-N 0 3 237.343 2.688 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1cn2ccsc2n1 ZINC000088693843 176150899 /nfs/dbraw/zinc/15/08/99/176150899.db2.gz BFJGDFUFUDBZNL-MWLCHTKSSA-N 0 3 235.356 2.674 20 0 BFADHN CC[C@@H](O)CNCc1ccc(C)c(Cl)c1 ZINC000233900337 487633075 /nfs/dbraw/zinc/63/30/75/487633075.db2.gz GPVMTKBXYXQJJW-LLVKDONJSA-N 0 3 227.735 2.509 20 0 BFADHN C[C@@H]1CCN(Cc2c[nH]c(-c3ccccc3)n2)C1 ZINC000271124157 176174844 /nfs/dbraw/zinc/17/48/44/176174844.db2.gz GRMLBCQVLYZIIC-GFCCVEGCSA-N 0 3 241.338 2.919 20 0 BFADHN C[C@@H]1CCN(Cc2cnc(-c3ccccc3)[nH]2)C1 ZINC000271124157 176174845 /nfs/dbraw/zinc/17/48/45/176174845.db2.gz GRMLBCQVLYZIIC-GFCCVEGCSA-N 0 3 241.338 2.919 20 0 BFADHN C[C@@H]1CCN(Cc2cccnc2)C[C@@H]1C ZINC000122658001 176176060 /nfs/dbraw/zinc/17/60/60/176176060.db2.gz WVLYRXDXHLAONT-NEPJUHHUSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@@H]1CCN(Cc2sccc2Cl)[C@H]1CO ZINC000278715901 176179242 /nfs/dbraw/zinc/17/92/42/176179242.db2.gz QEIROYLDCKVHJI-SCZZXKLOSA-N 0 3 245.775 2.604 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2cncc(F)c2)C1 ZINC000275123371 176197494 /nfs/dbraw/zinc/19/74/94/176197494.db2.gz JSWSLLIVKLPCFR-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CC[C@@H](N[C@@H](CO)c2ccsc2)C1 ZINC000336746935 176213973 /nfs/dbraw/zinc/21/39/73/176213973.db2.gz CBJUWTMCKCOYJY-JLLWLGSASA-N 0 3 225.357 2.560 20 0 BFADHN C[C@@H]1CC[C@H](CN(C)Cc2cccs2)O1 ZINC000276483876 176224298 /nfs/dbraw/zinc/22/42/98/176224298.db2.gz PLFWPASGFPRESY-GHMZBOCLSA-N 0 3 225.357 2.747 20 0 BFADHN C[C@@H]1CC[C@H](NCc2nc3ccccc3n2C)C1 ZINC000169097190 176233325 /nfs/dbraw/zinc/23/33/25/176233325.db2.gz CERXKFXFSXBNFK-NEPJUHHUSA-N 0 3 243.354 2.852 20 0 BFADHN C[C@@H]1CC[C@H](N[C@@H]2COc3ccccc32)C1 ZINC000070763014 176234095 /nfs/dbraw/zinc/23/40/95/176234095.db2.gz MKICJDNPQPNPIR-NTZNESFSSA-N 0 3 217.312 2.898 20 0 BFADHN C[C@@H]1CC[C@H](N[C@@H]2CCn3ccnc32)[C@H](C)C1 ZINC000345349532 176234201 /nfs/dbraw/zinc/23/42/01/176234201.db2.gz NAWFQLHMCLNPJO-FVCCEPFGSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1CC[C@H](N[C@H](CO)c2ccsc2)C1 ZINC000336746932 176234635 /nfs/dbraw/zinc/23/46/35/176234635.db2.gz CBJUWTMCKCOYJY-ADEWGFFLSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000353127398 176236644 /nfs/dbraw/zinc/23/66/44/176236644.db2.gz PGSURBLSNQEGJW-MWLCHTKSSA-N 0 3 231.299 2.558 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1cc(F)ccc1F ZINC000336708908 176237157 /nfs/dbraw/zinc/23/71/57/176237157.db2.gz SMKOLONPOUDPGJ-PRHODGIISA-N 0 3 211.255 2.853 20 0 BFADHN C[C@@H]1CN(CC2CCCCCC2)CCC1=O ZINC000351139148 176286879 /nfs/dbraw/zinc/28/68/79/176286879.db2.gz IBAVAACUYXWCEW-GFCCVEGCSA-N 0 3 223.360 2.868 20 0 BFADHN C[C@@H]1CN(CCCCCF)C[C@H](C2CC2)O1 ZINC000375362406 176288591 /nfs/dbraw/zinc/28/85/91/176288591.db2.gz PMSZDQUPIJRQKS-DGCLKSJQSA-N 0 3 229.339 2.626 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2F)[C@@H]1C ZINC000293328927 176294916 /nfs/dbraw/zinc/29/49/16/176294916.db2.gz GLMTZPWYSSPBKQ-GHMZBOCLSA-N 0 3 207.292 2.708 20 0 BFADHN C[C@@H]1CN(CCn2cccn2)[C@@H]1c1ccccc1 ZINC000174987683 176296367 /nfs/dbraw/zinc/29/63/67/176296367.db2.gz UQZQLBVVVAVZDJ-HIFRSBDPSA-N 0 3 241.338 2.576 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCO2)[C@@H]1c1ccccc1 ZINC000177831198 176296964 /nfs/dbraw/zinc/29/69/64/176296964.db2.gz IJWQSIWDCFKRHA-SNPRPXQTSA-N 0 3 231.339 2.858 20 0 BFADHN C[C@@H]1CN(C[C@@H]2C[C@@H]2c2ccccc2)CCO1 ZINC000339584296 176298418 /nfs/dbraw/zinc/29/84/18/176298418.db2.gz GDZCKQKLVOSWJM-VHDGCEQUSA-N 0 3 231.339 2.511 20 0 BFADHN C[C@@H]1CN(Cc2ccc(Cl)nc2)C[C@H]1C ZINC000085697519 176303512 /nfs/dbraw/zinc/30/35/12/176303512.db2.gz ADKOUBFECYVERB-NXEZZACHSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@@H]1CN(Cc2cccc(-n3cccn3)c2)[C@@H]1C ZINC000339576644 176304406 /nfs/dbraw/zinc/30/44/06/176304406.db2.gz SGTQVSIOFRNOCG-CHWSQXEVSA-N 0 3 241.338 2.713 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN[C@H]2CCOC[C@H]2C)o1 ZINC000278030116 176341749 /nfs/dbraw/zinc/34/17/49/176341749.db2.gz WXGLOAYRKORICA-UNJBNNCHSA-N 0 3 249.354 2.918 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1cc(F)cc(F)c1 ZINC000309784888 176355466 /nfs/dbraw/zinc/35/54/66/176355466.db2.gz NUELIBZMXLHSRW-PRHODGIISA-N 0 3 243.322 2.806 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1ccccc1F ZINC000286114775 176355721 /nfs/dbraw/zinc/35/57/21/176355721.db2.gz UOHXDDVDQNSPEF-BXKDBHETSA-N 0 3 225.332 2.667 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1c[nH]nc1C(C)(C)C ZINC000336608618 176363802 /nfs/dbraw/zinc/36/38/02/176363802.db2.gz VOGUMKDETGLQOD-NXEZZACHSA-N 0 3 221.348 2.690 20 0 BFADHN C[C@@H]1C[C@@H](CCNCc2ccco2)CCO1 ZINC000273001254 176366918 /nfs/dbraw/zinc/36/69/18/176366918.db2.gz AARMTYANHKMJGI-NEPJUHHUSA-N 0 3 223.316 2.574 20 0 BFADHN C[C@@H]1C[C@@H](NCCc2cccs2)c2nccn21 ZINC000294078754 176376117 /nfs/dbraw/zinc/37/61/17/176376117.db2.gz LLEUEWMJXKGQLF-ZYHUDNBSSA-N 0 3 247.367 2.783 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@@H]2CC2(C)C)c2nccn21 ZINC000345361798 176376207 /nfs/dbraw/zinc/37/62/07/176376207.db2.gz USXZQLMKVDEAAY-OUAUKWLOSA-N 0 3 219.332 2.525 20 0 BFADHN C[C@@H]1C[C@@H](NCCc2ccccc2)c2nccn21 ZINC000345366332 176376567 /nfs/dbraw/zinc/37/65/67/176376567.db2.gz ZPQJJXIAZRFORO-TZMCWYRMSA-N 0 3 241.338 2.721 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCSC2)c2ccccc2O1 ZINC000294912399 176379203 /nfs/dbraw/zinc/37/92/03/176379203.db2.gz AFPWFLQFQRRLJM-NTZNESFSSA-N 0 3 249.379 2.994 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1c(F)cccc1F ZINC000136252363 176396782 /nfs/dbraw/zinc/39/67/82/176396782.db2.gz VMVHHDUVJDIAEW-RKDXNWHRSA-N 0 3 211.255 2.710 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@@H]1CCOc2ccc(F)cc21 ZINC000358011298 176398015 /nfs/dbraw/zinc/39/80/15/176398015.db2.gz ZFMLOGPAKGAPEZ-GIPNMCIBSA-N 0 3 235.302 2.895 20 0 BFADHN C[C@@]1(NCc2cccc(Cl)c2F)CCOC1 ZINC000120110822 176539400 /nfs/dbraw/zinc/53/94/00/176539400.db2.gz QTMYNQASAIOYCX-GFCCVEGCSA-N 0 3 243.709 2.748 20 0 BFADHN C[C@H](CN1CCCCC1)Nc1ncccc1F ZINC000054700796 176665821 /nfs/dbraw/zinc/66/58/21/176665821.db2.gz MEQSMDDJZBIFOA-LLVKDONJSA-N 0 3 237.322 2.507 20 0 BFADHN C[C@H](CNC(=O)CCCCC(C)(C)C)N(C)C ZINC000359400843 176672168 /nfs/dbraw/zinc/67/21/68/176672168.db2.gz KSGUEAGIFMYAIV-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@H](Cn1cccn1)N[C@H](C)c1cccc(F)c1 ZINC000037155920 176731942 /nfs/dbraw/zinc/73/19/42/176731942.db2.gz JYJLPBZODZWWGR-VXGBXAGGSA-N 0 3 247.317 2.762 20 0 BFADHN CCC[C@H](C)CN1CCCc2n[nH]cc2C1 ZINC000668842318 487635319 /nfs/dbraw/zinc/63/53/19/487635319.db2.gz ZCMBMZLCSZWIJW-NSHDSACASA-N 0 3 221.348 2.594 20 0 BFADHN C[C@H](NCCC1CC1)c1ccncc1F ZINC000309144120 176840995 /nfs/dbraw/zinc/84/09/95/176840995.db2.gz UONDKMXMOFPUCA-VIFPVBQESA-N 0 3 208.280 2.671 20 0 BFADHN C[C@@H](CO)[C@H](C)NCc1cc(F)cc(Cl)c1 ZINC000168274070 176873389 /nfs/dbraw/zinc/87/33/89/176873389.db2.gz BLEYNCKQFQLDPO-IUCAKERBSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@H](NCc1ccc2c(n1)CCCC2)C1CC1 ZINC000277641090 176876377 /nfs/dbraw/zinc/87/63/77/176876377.db2.gz DJRXDRYKKNNGTK-NSHDSACASA-N 0 3 230.355 2.849 20 0 BFADHN C[C@H](N[C@@H]1CSC[C@H]1C)c1ccncc1F ZINC000340391106 176904843 /nfs/dbraw/zinc/90/48/43/176904843.db2.gz LFJGKQDHLLJMOS-VDDIYKPWSA-N 0 3 240.347 2.623 20 0 BFADHN C[C@H](N[C@H](CCO)C(C)(C)C)c1cncs1 ZINC000229575929 176916925 /nfs/dbraw/zinc/91/69/25/176916925.db2.gz MSFKTUCZTLBKDL-GXSJLCMTSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1cc(F)ccc1F ZINC000109543426 176920543 /nfs/dbraw/zinc/92/05/43/176920543.db2.gz JPWLZIBHQRDKAZ-ONGXEEELSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@H](O)[C@H]1CCCCN1C/C=C/c1ccccc1 ZINC000264420851 177013917 /nfs/dbraw/zinc/01/39/17/177013917.db2.gz LOHRJFLJPIMLNG-IZBMSUHZSA-N 0 3 245.366 2.935 20 0 BFADHN C[C@H](O)c1ncc(CN(C)C2CCCC2)s1 ZINC000289133030 177018668 /nfs/dbraw/zinc/01/86/68/177018668.db2.gz OSKWXZPBQSJOJK-VIFPVBQESA-N 0 3 240.372 2.571 20 0 BFADHN C[C@H](c1ccc(F)c(F)c1)N(C)CC(C)(C)O ZINC000264089410 177033581 /nfs/dbraw/zinc/03/35/81/177033581.db2.gz PXEGVLQMDHZUPV-SECBINFHSA-N 0 3 243.297 2.729 20 0 BFADHN C[C@H](c1ccccn1)N(C)C[C@@H]1CCCC[C@@H]1O ZINC000155218970 177042980 /nfs/dbraw/zinc/04/29/80/177042980.db2.gz HCZKXHBLKDCURW-IPYPFGDCSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@H](c1ccccn1)N(C)C[C@H]1CCSC1 ZINC000278391533 177043146 /nfs/dbraw/zinc/04/31/46/177043146.db2.gz ANWOEKICHPLWOV-VXGBXAGGSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@H](c1ccccn1)N1CC[C@H](O)CC(C)(C)C1 ZINC000295920966 177043725 /nfs/dbraw/zinc/04/37/25/177043725.db2.gz FIAVNPJTXQPZAL-OLZOCXBDSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@H](c1ccccn1)N1CCOCC2(CCC2)C1 ZINC000336602893 177043916 /nfs/dbraw/zinc/04/39/16/177043916.db2.gz CZAKSWWRCVDVFF-CYBMUJFWSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCC1CC1 ZINC000269714745 177044588 /nfs/dbraw/zinc/04/45/88/177044588.db2.gz RALFECVXIPNQEY-LLVKDONJSA-N 0 3 204.317 2.875 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@]2(C1)CCCOC2 ZINC000341968078 177046244 /nfs/dbraw/zinc/04/62/44/177046244.db2.gz AEZFKVKYSQMRFJ-HIFRSBDPSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@H](c1ccco1)N(C)CCc1cccnc1 ZINC000172157888 177047134 /nfs/dbraw/zinc/04/71/34/177047134.db2.gz FYHOVSXLUZEJDK-GFCCVEGCSA-N 0 3 230.311 2.910 20 0 BFADHN C[C@H](c1cccs1)N(C)C[C@@H]1CCCO1 ZINC000158656996 177048784 /nfs/dbraw/zinc/04/87/84/177048784.db2.gz WYGQHTRICTYORA-MNOVXSKESA-N 0 3 225.357 2.920 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCOCC1CCC1 ZINC000341673400 177050373 /nfs/dbraw/zinc/05/03/73/177050373.db2.gz IZTDHJZTJLOGLU-CYBMUJFWSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@H](c1ccncc1)N(C)CC[C@@H]1CCCO1 ZINC000191048969 177050482 /nfs/dbraw/zinc/05/04/82/177050482.db2.gz WMYKFNBZGDJYIH-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H]1CC(O)C[C@H](C)N1Cc1ccccc1F ZINC000191196415 177065994 /nfs/dbraw/zinc/06/59/94/177065994.db2.gz JDQGBSUUYUDGMT-QYJAPNMZSA-N 0 3 237.318 2.559 20 0 BFADHN C[C@H]1CCCCCN1Cc1ccc(CO)o1 ZINC000161168884 177069859 /nfs/dbraw/zinc/06/98/59/177069859.db2.gz WQQPACMAOMKZFG-NSHDSACASA-N 0 3 223.316 2.536 20 0 BFADHN C[C@@H](NCCN1CCCC[C@@H]1C)c1cccnc1 ZINC000271307138 177075982 /nfs/dbraw/zinc/07/59/82/177075982.db2.gz HUBIBPMHVOQMAV-UONOGXRCSA-N 0 3 247.386 2.607 20 0 BFADHN C[C@H]1CCCC[C@@H]1CNCc1cocn1 ZINC000183739429 177079954 /nfs/dbraw/zinc/07/99/54/177079954.db2.gz DYXZKVFSOVLANS-WDEREUQCSA-N 0 3 208.305 2.591 20 0 BFADHN C[C@H]1CCCC[C@H]1CNCc1cocn1 ZINC000183739458 177086000 /nfs/dbraw/zinc/08/60/00/177086000.db2.gz DYXZKVFSOVLANS-QWRGUYRKSA-N 0 3 208.305 2.591 20 0 BFADHN C[C@H]1CCCC[C@H]1OCCNCc1cccnc1 ZINC000048329494 177089324 /nfs/dbraw/zinc/08/93/24/177089324.db2.gz KSLWNLARAYJSBL-DZGCQCFKSA-N 0 3 248.370 2.767 20 0 BFADHN C[C@@H](c1cnccn1)N1CCC[C@H](C)CC1 ZINC000184481209 177103096 /nfs/dbraw/zinc/10/30/96/177103096.db2.gz GWAVWDFGFGXJGX-RYUDHWBXSA-N 0 3 219.332 2.660 20 0 BFADHN C[C@H]1CCCN1Cc1cnn(-c2ccccc2)c1 ZINC000076117862 177110192 /nfs/dbraw/zinc/11/01/92/177110192.db2.gz JQVUVSCOISGIPV-ZDUSSCGKSA-N 0 3 241.338 2.857 20 0 BFADHN C[C@@H]1CCC[C@H](C)C1NCc1cocn1 ZINC000182069095 177114180 /nfs/dbraw/zinc/11/41/80/177114180.db2.gz RRZJOXMZHHRRFC-DHHPTOIESA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1CCC[C@H](NCc2ccc(F)cn2)C1 ZINC000335605301 177137134 /nfs/dbraw/zinc/13/71/34/177137134.db2.gz RAGBKUNKABWMAR-JQWIXIFHSA-N 0 3 222.307 2.889 20 0 BFADHN C[C@H]1CCC[C@H](N[C@@H](CCO)c2ccco2)C1 ZINC000186523029 177137580 /nfs/dbraw/zinc/13/75/80/177137580.db2.gz MLZZYLKCQJLZSM-AVGNSLFASA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1cc(F)ncc1F ZINC000294961240 177142319 /nfs/dbraw/zinc/14/23/19/177142319.db2.gz FXGYLAIOMGFDAP-GZMMTYOYSA-N 0 3 226.270 2.638 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1cn2ccsc2n1 ZINC000088693844 177143088 /nfs/dbraw/zinc/14/30/88/177143088.db2.gz BFJGDFUFUDBZNL-GXSJLCMTSA-N 0 3 235.356 2.674 20 0 BFADHN C[C@H]1CCN(C/C=C/Cl)C[C@@H]1n1ccnc1 ZINC000129395422 177157643 /nfs/dbraw/zinc/15/76/43/177157643.db2.gz SKDIUJPSKMIRLP-LZUDYNRMSA-N 0 3 239.750 2.519 20 0 BFADHN C[C@H]1CCN(C[C@@H](O)c2cccc(Cl)c2)C1 ZINC000266539654 177162541 /nfs/dbraw/zinc/16/25/41/177162541.db2.gz PHJZIKGUWOAKCQ-GXFFZTMASA-N 0 3 239.746 2.715 20 0 BFADHN C[C@H]1CCN(Cc2cc(Cl)cs2)[C@@H]1CO ZINC000194508776 177163463 /nfs/dbraw/zinc/16/34/63/177163463.db2.gz RTTDIHDCJYRHJG-GZMMTYOYSA-N 0 3 245.775 2.604 20 0 BFADHN C[C@H]1CCN(Cc2cccc(O)c2)CCS1 ZINC000190693604 177164468 /nfs/dbraw/zinc/16/44/68/177164468.db2.gz GJICOBGCSPQVHW-NSHDSACASA-N 0 3 237.368 2.720 20 0 BFADHN C[C@H]1CCN(Cc2ccc3occc3c2)C[C@@H]1O ZINC000336394486 177164988 /nfs/dbraw/zinc/16/49/88/177164988.db2.gz MEWXLBWVCMYDCM-FZMZJTMJSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cn2ccccc2n1 ZINC000177893656 177166399 /nfs/dbraw/zinc/16/63/99/177166399.db2.gz JDGSBYAFESNMRD-NWDGAFQWSA-N 0 3 229.327 2.565 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2ccccn2)C1 ZINC000076149077 177183843 /nfs/dbraw/zinc/18/38/43/177183843.db2.gz QMNANPCPNJDXKS-NEPJUHHUSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1ccc(F)cn1 ZINC000276189638 177185174 /nfs/dbraw/zinc/18/51/74/177185174.db2.gz IBXGOVJZCRMUEN-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1ccc2c(c1)OCCO2 ZINC000122943619 177187835 /nfs/dbraw/zinc/18/78/35/177187835.db2.gz MIYXHELJLZMRAE-TXEJJXNPSA-N 0 3 247.338 2.831 20 0 BFADHN C[C@H]1CC[C@@H](CN2CCc3ccsc3C2)O1 ZINC000276455197 177189286 /nfs/dbraw/zinc/18/92/86/177189286.db2.gz VFTJUNQOHAMBEI-JQWIXIFHSA-N 0 3 237.368 2.674 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2cc(F)ccc2F)O1 ZINC000128493097 177190730 /nfs/dbraw/zinc/19/07/30/177190730.db2.gz DRXMDLPAKQOYEY-CABZTGNLSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H]1CC[C@@]2(CCN(Cc3ccno3)C2)C1 ZINC000336592894 177196388 /nfs/dbraw/zinc/19/63/88/177196388.db2.gz VTUOSLQDYSTGMA-WCQYABFASA-N 0 3 220.316 2.687 20 0 BFADHN C[C@H]1CC[C@H](CN2CCCc3occc3C2)O1 ZINC000288880830 177201704 /nfs/dbraw/zinc/20/17/04/177201704.db2.gz REFHEDJKSVLRGH-WCQYABFASA-N 0 3 235.327 2.595 20 0 BFADHN C[C@H]1CC[C@H](CNCc2ccc(F)c(F)c2)O1 ZINC000128439619 177202791 /nfs/dbraw/zinc/20/27/91/177202791.db2.gz YOIGFGJGFBABDE-GXSJLCMTSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H]1CC[C@H](NCc2cn3ccccc3n2)C1 ZINC000135131983 177205670 /nfs/dbraw/zinc/20/56/70/177205670.db2.gz PMUWJGMIEUYQQN-RYUDHWBXSA-N 0 3 229.327 2.613 20 0 BFADHN C[C@H]1CC[C@H]1NCc1ccc(Br)o1 ZINC000307217562 177207488 /nfs/dbraw/zinc/20/74/88/177207488.db2.gz GAHVYLJPMQJTGL-IONNQARKSA-N 0 3 244.132 2.930 20 0 BFADHN C[C@H]1CC[C@H]1NCc1cc(F)ccc1F ZINC000336708909 177207755 /nfs/dbraw/zinc/20/77/55/177207755.db2.gz SMKOLONPOUDPGJ-QPUJVOFHSA-N 0 3 211.255 2.853 20 0 BFADHN Cc1ccncc1[C@H](C)NCCc1ccccn1 ZINC000271522091 487637540 /nfs/dbraw/zinc/63/75/40/487637540.db2.gz VXZHMLISNSPPJE-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@@H]1CN(CCC2=CCCCC2)C[C@H](C)O1 ZINC000357192658 177247227 /nfs/dbraw/zinc/24/72/27/177247227.db2.gz SLDAWZDAXOFLJD-BETUJISGSA-N 0 3 223.360 2.986 20 0 BFADHN C[C@H]1CN(CCCOc2ccc(F)cc2)[C@H]1C ZINC000339570678 177249614 /nfs/dbraw/zinc/24/96/14/177249614.db2.gz YWBGULAUARMJBZ-RYUDHWBXSA-N 0 3 237.318 2.935 20 0 BFADHN C[C@H](CCN1CCO[C@@H](C)C1)c1ccccc1 ZINC000193653025 177254335 /nfs/dbraw/zinc/25/43/35/177254335.db2.gz OAXYFXMMOGYDFC-KGLIPLIRSA-N 0 3 233.355 2.901 20 0 BFADHN C[C@H]1CN(C[C@@H]2CCCO2)[C@@H]1c1ccccc1 ZINC000177831209 177258175 /nfs/dbraw/zinc/25/81/75/177258175.db2.gz IJWQSIWDCFKRHA-QEJZJMRPSA-N 0 3 231.339 2.858 20 0 BFADHN C[C@H]1CN(Cc2cncc(F)c2)C(C)(C)C1 ZINC000275123433 177269275 /nfs/dbraw/zinc/26/92/75/177269275.db2.gz JVTLCGAHQOZATQ-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1COCCN1C[C@H]1CCc2ccccc2C1 ZINC000359596263 177291876 /nfs/dbraw/zinc/29/18/76/177291876.db2.gz MTCSCPFUYJAGCM-KBPBESRZSA-N 0 3 245.366 2.512 20 0 BFADHN C[C@H]1COCC[C@@H]1Nc1cccc(CN(C)C)c1 ZINC000195055545 177295437 /nfs/dbraw/zinc/29/54/37/177295437.db2.gz QQNVQZAQICTDBJ-WFASDCNBSA-N 0 3 248.370 2.585 20 0 BFADHN C[C@H]1COCC[C@H]1NCc1ccsc1Cl ZINC000335607917 177297497 /nfs/dbraw/zinc/29/74/97/177297497.db2.gz QMOIYACPUWQYFV-WCBMZHEXSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@H]1CSCCN1Cc1ccccc1 ZINC000172589622 177305526 /nfs/dbraw/zinc/30/55/26/177305526.db2.gz ZJDFMZXGXJISED-NSHDSACASA-N 0 3 207.342 2.624 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1ccc(F)c(F)c1 ZINC000304487612 177307052 /nfs/dbraw/zinc/30/70/52/177307052.db2.gz LZJWFMZSAJFVDU-QPUJVOFHSA-N 0 3 243.322 2.806 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cccc(C(N)=O)c1 ZINC000336600943 177319098 /nfs/dbraw/zinc/31/90/98/177319098.db2.gz SPEQTHXHWJTCPK-WDEREUQCSA-N 0 3 232.327 2.800 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(F)cc2F)CCO1 ZINC000070361011 177331662 /nfs/dbraw/zinc/33/16/62/177331662.db2.gz LDNLEKYKAPKROZ-CABZTGNLSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1CC[C@H](N[C@@H]2C[C@H](C)n3ccnc32)C1 ZINC000345355521 177334883 /nfs/dbraw/zinc/33/48/83/177334883.db2.gz MIQZSQHDOBPQGP-NOOOWODRSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@H]1C[C@@H](O)CCN1Cc1ccc2occc2c1 ZINC000292679841 177335594 /nfs/dbraw/zinc/33/55/94/177335594.db2.gz ANNZGPFTIDVBIY-FZMZJTMJSA-N 0 3 245.322 2.778 20 0 BFADHN C[C@H]1C[C@@H]1NCc1ccc(Br)o1 ZINC000052270023 177350319 /nfs/dbraw/zinc/35/03/19/177350319.db2.gz BLYJZUXWQYYEQE-XPUUQOCRSA-N 0 3 230.105 2.540 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNC(C)(C)CCO)o1 ZINC000135228490 177351885 /nfs/dbraw/zinc/35/18/85/177351885.db2.gz CETYHRNZDMHHKT-JQWIXIFHSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@@H]1CC[C@H]([NH2+]Cc2nnc(C3CC3)[n-]2)[C@@H](C)C1 ZINC000360331180 177356066 /nfs/dbraw/zinc/35/60/66/177356066.db2.gz YYVSVKHMWJUOOI-SCVCMEIPSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@H](NCc2nnc(C3CC3)[nH]2)[C@@H](C)C1 ZINC000360331180 177356067 /nfs/dbraw/zinc/35/60/67/177356067.db2.gz YYVSVKHMWJUOOI-SCVCMEIPSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cn3ccccc3n2)C1 ZINC000014194380 177359059 /nfs/dbraw/zinc/35/90/59/177359059.db2.gz UGFHLXOSWYCZKA-STQMWFEESA-N 0 3 243.354 2.812 20 0 BFADHN C[C@H]1C[C@H](NCC2CCCC2)c2nccn21 ZINC000334474441 177369712 /nfs/dbraw/zinc/36/97/12/177369712.db2.gz MRXFNZNEZLYLIU-JQWIXIFHSA-N 0 3 219.332 2.669 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(F)cc2F)CCO1 ZINC000070361009 177370999 /nfs/dbraw/zinc/37/09/99/177370999.db2.gz LDNLEKYKAPKROZ-JOYOIKCWSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H]1C[C@H](NCc2cncn2C)c2ccccc21 ZINC000264234019 177371190 /nfs/dbraw/zinc/37/11/90/177371190.db2.gz CKESPGVWFFKGEI-NHYWBVRUSA-N 0 3 241.338 2.758 20 0 BFADHN C[C@H]1C[C@H](Nc2ccncc2Cl)CCN1C ZINC000094267088 177372222 /nfs/dbraw/zinc/37/22/22/177372222.db2.gz CVMYRFYAVBZSJI-VHSXEESVSA-N 0 3 239.750 2.630 20 0 BFADHN C[C@@H](O)CN1C[C@@H](C)C[C@@H]1c1ccccc1F ZINC000297961063 177375317 /nfs/dbraw/zinc/37/53/17/177375317.db2.gz WLEGNYZXRGHZNK-MISXGVKJSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H]1C[C@H]1CN1CCN(C)Cc2ccccc21 ZINC000353587329 177379011 /nfs/dbraw/zinc/37/90/11/177379011.db2.gz HKOHRYVWRKHCTA-JSGCOSHPSA-N 0 3 230.355 2.594 20 0 BFADHN C[C@H]1C[C@H]1CNCc1ccc(Br)o1 ZINC000166797785 177381999 /nfs/dbraw/zinc/38/19/99/177381999.db2.gz LLGIZNFOEUNRPO-YUMQZZPRSA-N 0 3 244.132 2.788 20 0 BFADHN C[C@H]1C[C@H]1CNCc1cccc(F)c1F ZINC000122950794 177382016 /nfs/dbraw/zinc/38/20/16/177382016.db2.gz WQIKJOWBCQSLLN-WPRPVWTQSA-N 0 3 211.255 2.710 20 0 BFADHN C[C@H]1C[C@H]1c1noc(C2CCN(C3CC3)CC2)n1 ZINC000334155252 177387496 /nfs/dbraw/zinc/38/74/96/177387496.db2.gz UTNXUJLXRNUSBC-JOYOIKCWSA-N 0 3 247.342 2.535 20 0 BFADHN C[C@@H]1c2ccc(F)cc2C[C@H](C)N1CCCO ZINC000354836507 177389077 /nfs/dbraw/zinc/38/90/77/177389077.db2.gz QAZSJBBQZSDFPQ-WDEREUQCSA-N 0 3 237.318 2.516 20 0 BFADHN C[C@H]1N(CCCCCF)CCOC1(C)C ZINC000341895742 177392178 /nfs/dbraw/zinc/39/21/78/177392178.db2.gz WMMSVQBMCFTGFD-LLVKDONJSA-N 0 3 217.328 2.626 20 0 BFADHN C[C@H]1OCCN(CCCCOC(C)(C)C)[C@@H]1C ZINC000354865017 177398540 /nfs/dbraw/zinc/39/85/40/177398540.db2.gz QOWHILMFXLQJPE-CHWSQXEVSA-N 0 3 243.391 2.691 20 0 BFADHN COCC[C@@H](C)N1CC[C@@H]1c1cccc(F)c1 ZINC000674866902 487638384 /nfs/dbraw/zinc/63/83/84/487638384.db2.gz XWFSWZNXNHYDBC-BXUZGUMPSA-N 0 3 237.318 2.998 20 0 BFADHN Cc1nc2sccn2c1CN(C)C[C@@H]1C[C@@H]1C ZINC000488353174 225383204 /nfs/dbraw/zinc/38/32/04/225383204.db2.gz PLNIXDODPSACOT-ONGXEEELSA-N 0 3 249.383 2.792 20 0 BFADHN Cc1ccc2c(c1C)OC[C@@H]2NC[C@H]1C[C@@H]1C ZINC000488356155 225385956 /nfs/dbraw/zinc/38/59/56/225385956.db2.gz SPXBNQAYRROTOI-SUHUHFCYSA-N 0 3 231.339 2.983 20 0 BFADHN C[C@H]1C[C@H]1CNc1cccc(OCCN(C)C)c1 ZINC000488359165 225388063 /nfs/dbraw/zinc/38/80/63/225388063.db2.gz SPIZTXMTBUMDHO-STQMWFEESA-N 0 3 248.370 2.695 20 0 BFADHN COCCN(Cc1ccccn1)[C@@H]1CCC[C@H]1C ZINC000488072629 225346698 /nfs/dbraw/zinc/34/66/98/225346698.db2.gz JZQRQCHZKNVOPI-UKRRQHHQSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN(Cc1ccc(C)o1)C[C@@H]1C[C@H]1C ZINC000488293298 225363158 /nfs/dbraw/zinc/36/31/58/225363158.db2.gz FNHDFVDDYJCYJY-YPMHNXCESA-N 0 3 237.343 2.692 20 0 BFADHN Cc1cccc(N2CCN(C[C@@H]3C[C@H]3C)CC2)c1 ZINC000488293476 225363301 /nfs/dbraw/zinc/36/33/01/225363301.db2.gz LBLZNTNXLMEMOC-CABCVRRESA-N 0 3 244.382 2.773 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCN(c2ccc(F)cc2)CC1 ZINC000488310893 225366614 /nfs/dbraw/zinc/36/66/14/225366614.db2.gz GPBSUQHDPSCBCL-QWHCGFSZSA-N 0 3 248.345 2.604 20 0 BFADHN C[C@H]1C[C@H]1CN1CCN(c2ccc(F)cc2)CC1 ZINC000488310894 225366976 /nfs/dbraw/zinc/36/69/76/225366976.db2.gz GPBSUQHDPSCBCL-STQMWFEESA-N 0 3 248.345 2.604 20 0 BFADHN C[C@H]1C[C@@H]1CN1CC=C(C(F)(F)F)CC1 ZINC000488315549 225368244 /nfs/dbraw/zinc/36/82/44/225368244.db2.gz FEHCOMPSJKSAAO-DTWKUNHWSA-N 0 3 219.250 2.837 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@H](C)COC(C)C ZINC000669682261 487644877 /nfs/dbraw/zinc/64/48/77/487644877.db2.gz YZIKMTWJKOAOHR-NXEZZACHSA-N 0 3 240.347 2.756 20 0 BFADHN CC[C@@H](C)c1nc(C2C[C@H]3CC[C@@H](C2)N3C)no1 ZINC000680772442 487645300 /nfs/dbraw/zinc/64/53/00/487645300.db2.gz XTORMHPFRHUAEA-WAAKLRNESA-N 0 3 249.358 2.923 20 0 BFADHN C[C@H](NCCc1cscn1)c1ccoc1 ZINC000087290256 322933368 /nfs/dbraw/zinc/93/33/68/322933368.db2.gz DUIBNNUNDHGZFH-VIFPVBQESA-N 0 3 222.313 2.629 20 0 BFADHN Cc1cc(CN[C@@H]2CC(C)(C)C[C@@H]2C)ncn1 ZINC000683436039 487647757 /nfs/dbraw/zinc/64/77/57/487647757.db2.gz NZQBKKFMHHXDRS-GXFFZTMASA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CCCOC(C)(C)C ZINC000674902641 487647816 /nfs/dbraw/zinc/64/78/16/487647816.db2.gz KRTBQBTVXJUEIC-CHWSQXEVSA-N 0 3 243.391 2.691 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CC[C@H](C)CC2)nn1C ZINC000502464515 226330257 /nfs/dbraw/zinc/33/02/57/226330257.db2.gz SMMFOMDNVGVGTD-DRZSPHRISA-N 0 3 235.375 2.958 20 0 BFADHN C[C@H](NCCC[C@@H]1CCOC1)c1ccco1 ZINC000400561902 226346484 /nfs/dbraw/zinc/34/64/84/226346484.db2.gz MBHBJEPLPIJIQR-NWDGAFQWSA-N 0 3 223.316 2.747 20 0 BFADHN C[C@@H]1C[C@H](O)CN(Cc2ccc(Cl)cc2)C1 ZINC000401633251 226360297 /nfs/dbraw/zinc/36/02/97/226360297.db2.gz ZSEXODHXFNDRRB-MFKMUULPSA-N 0 3 239.746 2.543 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]2CC(C)C)cn1 ZINC000507146977 226366116 /nfs/dbraw/zinc/36/61/16/226366116.db2.gz YVTDSOGJWUHHIJ-CQSZACIVSA-N 0 3 233.359 2.796 20 0 BFADHN COCCN(Cc1ccc(F)cc1C)C1CC1 ZINC000507160877 226366198 /nfs/dbraw/zinc/36/61/98/226366198.db2.gz NWJOUYCKOXTVSC-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN CCc1nocc1CN1CCC[C@@H]1C(C)C ZINC000507220888 226367320 /nfs/dbraw/zinc/36/73/20/226367320.db2.gz BCGVUVJOPDXODL-CYBMUJFWSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1nocc1CN(CC)CC(C)C ZINC000507231979 226367788 /nfs/dbraw/zinc/36/77/88/226367788.db2.gz MRCWMXXFZZDGLP-UHFFFAOYSA-N 0 3 210.321 2.715 20 0 BFADHN Cc1nccc(CN([C@H](C)C2CC2)C2CC2)n1 ZINC000507355807 226368583 /nfs/dbraw/zinc/36/85/83/226368583.db2.gz GAPMTRJJROTFPD-SNVBAGLBSA-N 0 3 231.343 2.548 20 0 BFADHN OCC1(CN[C@@H]2CCSc3ccccc32)CC1 ZINC000211102160 487652772 /nfs/dbraw/zinc/65/27/72/487652772.db2.gz AHZYKGZVEHDKLR-GFCCVEGCSA-N 0 3 249.379 2.586 20 0 BFADHN c1sc2ccccc2c1CN[C@@H]1CCOC1 ZINC000087415958 487654893 /nfs/dbraw/zinc/65/48/93/487654893.db2.gz IPBBMXAGEYUCSN-LLVKDONJSA-N 0 3 233.336 2.780 20 0 BFADHN CC[C@H]1CCCN(Cc2cncc(F)c2)C1 ZINC000274356334 487662109 /nfs/dbraw/zinc/66/21/09/487662109.db2.gz LYDFHUNSDPUXNE-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN CC[C@@H]1CCCN(Cc2cncc(F)c2)C1 ZINC000274356333 487662163 /nfs/dbraw/zinc/66/21/63/487662163.db2.gz LYDFHUNSDPUXNE-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN COC(CN[C@@H](c1ccccc1C)C1CC1)OC ZINC000275474014 487669343 /nfs/dbraw/zinc/66/93/43/487669343.db2.gz PNCPLWZXOFUOFP-OAHLLOKOSA-N 0 3 249.354 2.655 20 0 BFADHN CO[C@H]1C[C@@H](NCc2ccc(C)o2)C12CCC2 ZINC000308339703 229227699 /nfs/dbraw/zinc/22/76/99/229227699.db2.gz AOMPIKWDWASMQH-OLZOCXBDSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1ccncc1[C@H](C)NCCOCC1CCC1 ZINC000680937915 487675454 /nfs/dbraw/zinc/67/54/54/487675454.db2.gz CHRGKPXMKHGPNC-ZDUSSCGKSA-N 0 3 248.370 2.857 20 0 BFADHN Clc1ccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)cc1 ZINC000087689747 487679303 /nfs/dbraw/zinc/67/93/03/487679303.db2.gz YNUCANIJGCHRAG-UPJWGTAASA-N 0 3 237.730 2.750 20 0 BFADHN CCOC1CC(CN2CC3(C2)CC(F)(F)C3)C1 ZINC000671685532 487690651 /nfs/dbraw/zinc/69/06/51/487690651.db2.gz WIEWFWUBKFDIEM-UHFFFAOYSA-N 0 3 245.313 2.533 20 0 BFADHN CCCn1nccc1CN1CC[C@H](C)[C@@H](C)C1 ZINC000667649623 487694130 /nfs/dbraw/zinc/69/41/30/487694130.db2.gz ZCGJVROTTNDVBD-STQMWFEESA-N 0 3 235.375 2.771 20 0 BFADHN COC[C@H](N[C@@H]1CCC12CCC2)c1ccco1 ZINC000669700449 487696559 /nfs/dbraw/zinc/69/65/59/487696559.db2.gz IDNKFJJUHOWXQL-WCQYABFASA-N 0 3 235.327 2.889 20 0 BFADHN CC[C@@]1(C)COCCN1CCC=C(C)C ZINC000660149296 487696960 /nfs/dbraw/zinc/69/69/60/487696960.db2.gz PWWSSDFKEVROJO-ZDUSSCGKSA-N 0 3 211.349 2.844 20 0 BFADHN CC[C@@H](NCc1cc(F)cc(Cl)c1)[C@@H](C)O ZINC000395297070 262786176 /nfs/dbraw/zinc/78/61/76/262786176.db2.gz FLEAOXPINAKYQJ-PRHODGIISA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@H](NCc1cc(F)cc(Cl)c1)[C@H](C)O ZINC000395297072 262786351 /nfs/dbraw/zinc/78/63/51/262786351.db2.gz FLEAOXPINAKYQJ-UFBFGSQYSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@@H](NC[C@H]1CC[C@@H](C)C1)c1ccncn1 ZINC000395895473 262794263 /nfs/dbraw/zinc/79/42/63/262794263.db2.gz MFHQXNDPKSBLLP-UTUOFQBUSA-N 0 3 219.332 2.563 20 0 BFADHN CC(C)C[C@H](C)N[C@H](C)c1ccncn1 ZINC000395889741 262794492 /nfs/dbraw/zinc/79/44/92/262794492.db2.gz KKVYPUWFRNZFBD-WDEREUQCSA-N 0 3 207.321 2.562 20 0 BFADHN CC1(C)C[C@H](N[C@H]2CCc3cc(F)ccc32)CO1 ZINC000396512785 262805412 /nfs/dbraw/zinc/80/54/12/262805412.db2.gz CEXJMNMFYQTFEU-JSGCOSHPSA-N 0 3 249.329 2.970 20 0 BFADHN COCCC1CCN([C@H](C)c2ccncc2)CC1 ZINC000527935698 262897027 /nfs/dbraw/zinc/89/70/27/262897027.db2.gz ORZWCGKGCOSEJD-CYBMUJFWSA-N 0 3 248.370 2.891 20 0 BFADHN COc1ncccc1CN[C@@H](C)[C@H]1CC1(C)C ZINC000397670503 262929050 /nfs/dbraw/zinc/92/90/50/262929050.db2.gz HTIXBDQEVFEYPN-CMPLNLGQSA-N 0 3 234.343 2.614 20 0 BFADHN CC[C@H](NCc1cocn1)[C@H]1CC1(C)C ZINC000397821775 262935884 /nfs/dbraw/zinc/93/58/84/262935884.db2.gz UWILQBMGVJVIDN-MNOVXSKESA-N 0 3 208.305 2.589 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@@H](C)[C@H](C)C2)o1 ZINC000398047246 262942447 /nfs/dbraw/zinc/94/24/47/262942447.db2.gz CRGHSXYTSOYHAZ-CKYFFXLPSA-N 0 3 222.332 2.897 20 0 BFADHN Fc1cncc(CN2CCC3(CCC3)CC2)c1 ZINC000671729895 487712379 /nfs/dbraw/zinc/71/23/79/487712379.db2.gz NUHVPOYKEPQLFD-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN CCCn1nccc1CNCC1(CC)CC1 ZINC000233405733 487714380 /nfs/dbraw/zinc/71/43/80/487714380.db2.gz GSANFENXGZGVGO-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CCC(C)(C)[C@@H](C)N[C@H]1CCn2ccnc21 ZINC000651370679 487716516 /nfs/dbraw/zinc/71/65/16/487716516.db2.gz JMPDAAAVDHFGMK-MNOVXSKESA-N 0 3 221.348 2.742 20 0 BFADHN C[C@@H](Cc1ccccc1)CN(C)Cc1c[nH]cn1 ZINC000668421835 487725596 /nfs/dbraw/zinc/72/55/96/487725596.db2.gz HQOWTEPRGBDCAK-ZDUSSCGKSA-N 0 3 243.354 2.720 20 0 BFADHN C[C@@H](Cc1ccccc1)CN(C)Cc1cnc[nH]1 ZINC000668421835 487725600 /nfs/dbraw/zinc/72/56/00/487725600.db2.gz HQOWTEPRGBDCAK-ZDUSSCGKSA-N 0 3 243.354 2.720 20 0 BFADHN NCc1cccc(NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)c1 ZINC000250107328 487727494 /nfs/dbraw/zinc/72/74/94/487727494.db2.gz FTQKHIVEWAAQGG-WDNDVIMCSA-N 0 3 244.338 2.520 20 0 BFADHN C[C@@H](CC1CCC1)N[C@@H](CCO)c1ccco1 ZINC000681108627 487728628 /nfs/dbraw/zinc/72/86/28/487728628.db2.gz HYOLZZQNFLCWBR-AAEUAGOBSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](CC1CCC1)N[C@@H](CCO)c1ccco1 ZINC000681108630 487728648 /nfs/dbraw/zinc/72/86/48/487728648.db2.gz HYOLZZQNFLCWBR-YPMHNXCESA-N 0 3 237.343 2.871 20 0 BFADHN CCOc1ccc(CN2C[C@H]3CCC[C@@H]3C2)nc1 ZINC000674912210 487731194 /nfs/dbraw/zinc/73/11/94/487731194.db2.gz SNWUCIURLPGPQO-CHWSQXEVSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1nc(CCNCc2cccc(F)c2)c(C)o1 ZINC000340194815 487733820 /nfs/dbraw/zinc/73/38/20/487733820.db2.gz VIXQQZVTLMVLMC-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1cccc(F)c1F ZINC000379466499 487734588 /nfs/dbraw/zinc/73/45/88/487734588.db2.gz XYHIOUOIHYZEAA-KWQFWETISA-N 0 3 243.322 2.948 20 0 BFADHN CN(C)Cc1cccc(-c2nc(C3CC3)no2)c1 ZINC000669407902 487738356 /nfs/dbraw/zinc/73/83/56/487738356.db2.gz XHBUZPNDPQKDJI-UHFFFAOYSA-N 0 3 243.310 2.676 20 0 BFADHN CC[C@@H](O)CN1CC(C)(C)[C@H]1c1ccccc1 ZINC000675497386 487741877 /nfs/dbraw/zinc/74/18/77/487741877.db2.gz DCRUKKITWHXWRC-ZIAGYGMSSA-N 0 3 233.355 2.840 20 0 BFADHN CC[C@@H](C)NCc1nc2cc(F)ccc2o1 ZINC000082878973 487743386 /nfs/dbraw/zinc/74/33/86/487743386.db2.gz PCWWUCSNSGYBRO-MRVPVSSYSA-N 0 3 222.263 2.855 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1cccc(C)n1)OC ZINC000381308056 487747102 /nfs/dbraw/zinc/74/71/02/487747102.db2.gz SKQJNJNKSBWUJH-RISCZKNCSA-N 0 3 236.359 2.541 20 0 BFADHN C(=C/c1ccccc1)\CN[C@@H]1CO[C@@H](C2CC2)C1 ZINC000667865886 487750670 /nfs/dbraw/zinc/75/06/70/487750670.db2.gz LWMSJGPPFQGOAZ-YOSLTCIPSA-N 0 3 243.350 2.857 20 0 BFADHN CCn1ccc(CN(C)CCOC2CCC2)c1 ZINC000672554762 487756097 /nfs/dbraw/zinc/75/60/97/487756097.db2.gz OCLJTSDVIIMDQF-UHFFFAOYSA-N 0 3 236.359 2.509 20 0 BFADHN Cc1ccc(CN(CC2CC2)C2CC2)o1 ZINC000675640101 487758274 /nfs/dbraw/zinc/75/82/74/487758274.db2.gz OGBPECRXLJHGJY-UHFFFAOYSA-N 0 3 205.301 2.962 20 0 BFADHN CC[C@@H](C)[C@@H](C)NC(=O)Nc1cccc(CN)c1 ZINC000042574478 487761328 /nfs/dbraw/zinc/76/13/28/487761328.db2.gz NVBHZEDHHSSHSS-GHMZBOCLSA-N 0 3 249.358 2.701 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2C(C)C)ncn1 ZINC000292563372 487763310 /nfs/dbraw/zinc/76/33/10/487763310.db2.gz RWNFHXPZKMPUFV-CQSZACIVSA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cc2n(n1)CCCC2 ZINC000649593304 487768154 /nfs/dbraw/zinc/76/81/54/487768154.db2.gz KRHSEAFOSSDMSR-SWLSCSKDSA-N 0 3 247.386 2.888 20 0 BFADHN CCCc1ccc(CN[C@@H]2C=C[C@H](CO)C2)cc1 ZINC000675763714 487776258 /nfs/dbraw/zinc/77/62/58/487776258.db2.gz SHMLAYNZQYEZFD-JKSUJKDBSA-N 0 3 245.366 2.666 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@@H](C)c1ncc[nH]1 ZINC000385241101 487776296 /nfs/dbraw/zinc/77/62/96/487776296.db2.gz VEDRXDMADRFSJQ-ZJUUUORDSA-N 0 3 209.337 2.885 20 0 BFADHN CCC[C@@H](N[C@@H](C)CCOC)c1ccccn1 ZINC000675816567 487782028 /nfs/dbraw/zinc/78/20/28/487782028.db2.gz PCGUWQMNDDFRCZ-GXTWGEPZSA-N 0 3 236.359 2.937 20 0 BFADHN CCC(C)(C)NCc1cnnn1-c1ccccc1 ZINC000675877145 487784953 /nfs/dbraw/zinc/78/49/53/487784953.db2.gz IASKMHNQWLMFKI-UHFFFAOYSA-N 0 3 244.342 2.546 20 0 BFADHN CC[C@H]1c2ccccc2CCN1Cc1cn[nH]c1 ZINC000678266459 487785146 /nfs/dbraw/zinc/78/51/46/487785146.db2.gz HNJLVZGTWGCDFJ-HNNXBMFYSA-N 0 3 241.338 2.919 20 0 BFADHN Cc1ncc(CN2C[C@@H](C)CC(C)(C)C2)n1C ZINC000667969564 487785925 /nfs/dbraw/zinc/78/59/25/487785925.db2.gz NGWPNPMTBNFKOR-NSHDSACASA-N 0 3 235.375 2.597 20 0 BFADHN Cc1ncc(CN[C@H]2CC[C@@H]2C(C)C)o1 ZINC000459680024 487788574 /nfs/dbraw/zinc/78/85/74/487788574.db2.gz UMOXIJILIDGPEJ-NEPJUHHUSA-N 0 3 208.305 2.507 20 0 BFADHN CC(C)C[C@H](C)CN[C@H]1C[C@H](C)n2ncnc21 ZINC000668467991 487791681 /nfs/dbraw/zinc/79/16/81/487791681.db2.gz BFUNGTCNLSVANZ-SRVKXCTJSA-N 0 3 236.363 2.556 20 0 BFADHN C[C@H]1C[C@@H](NCCC2CCCCC2)c2ncnn21 ZINC000668468743 487792239 /nfs/dbraw/zinc/79/22/39/487792239.db2.gz OBGIJRLLZLPDQU-WCQYABFASA-N 0 3 248.374 2.844 20 0 BFADHN CCCN1CC[C@H](N[C@H]2CCCc3occc32)C1 ZINC000385679426 487795717 /nfs/dbraw/zinc/79/57/17/487795717.db2.gz CJYHSAVOWXWMPG-JSGCOSHPSA-N 0 3 248.370 2.731 20 0 BFADHN COCCC1CN(Cc2cc(C)cc(C)c2)C1 ZINC000668473115 487797963 /nfs/dbraw/zinc/79/79/63/487797963.db2.gz XYVZUAHYYJLPFP-UHFFFAOYSA-N 0 3 233.355 2.772 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H]1C1CC1)c1ncc[nH]1 ZINC000414185599 487797524 /nfs/dbraw/zinc/79/75/24/487797524.db2.gz GSQYCNUQGBDXKZ-CYZMBNFOSA-N 0 3 233.359 2.887 20 0 BFADHN CCN(Cc1ccoc1)C[C@@H](O)C(C)(C)C ZINC000676004618 487799296 /nfs/dbraw/zinc/79/92/96/487799296.db2.gz IYCRAZVPAMQOKL-GFCCVEGCSA-N 0 3 225.332 2.509 20 0 BFADHN C[C@@H](N[C@@H]1CC1(C)C)c1ccc2[nH]c(=O)oc2c1 ZINC000671756049 487801377 /nfs/dbraw/zinc/80/13/77/487801377.db2.gz WFPUTAIWMVJICU-PRHODGIISA-N 0 3 246.310 2.983 20 0 BFADHN OCCCN(Cc1cc2ccccc2o1)C1CC1 ZINC000676040182 487802763 /nfs/dbraw/zinc/80/27/63/487802763.db2.gz ZOOXSWLLGXKPEY-UHFFFAOYSA-N 0 3 245.322 2.780 20 0 BFADHN CN(Cc1ccco1)C[C@@]1(C)CCCS1 ZINC000628120340 487803808 /nfs/dbraw/zinc/80/38/08/487803808.db2.gz IKPSYYKQHVRRCT-GFCCVEGCSA-N 0 3 225.357 2.997 20 0 BFADHN C[C@H](CNCc1cocn1)Cc1ccccc1 ZINC000681486077 487804957 /nfs/dbraw/zinc/80/49/57/487804957.db2.gz CZIFDOCPMRBYLH-LBPRGKRZSA-N 0 3 230.311 2.643 20 0 BFADHN CN(Cc1cc2ccccc2o1)C[C@H](O)C1CC1 ZINC000676051771 487805887 /nfs/dbraw/zinc/80/58/87/487805887.db2.gz JQFDWXZUXPUWLW-AWEZNQCLSA-N 0 3 245.322 2.636 20 0 BFADHN Cc1occc1CNCCc1cccc(C)n1 ZINC000414442619 487810429 /nfs/dbraw/zinc/81/04/29/487810429.db2.gz GZMANQMHTQSXST-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@@H]2CC2(F)F)cn1 ZINC000666444538 487810557 /nfs/dbraw/zinc/81/05/57/487810557.db2.gz HUFRCMRHEFHLRX-KOLCDFICSA-N 0 3 226.270 2.523 20 0 BFADHN Cc1ncc(CN[C@H](C)[C@@H]2CC2(F)F)s1 ZINC000666445872 487811222 /nfs/dbraw/zinc/81/12/22/487811222.db2.gz YEIZWTWFPOJQLJ-MUWHJKNJSA-N 0 3 232.299 2.585 20 0 BFADHN CCN(Cc1ccoc1)C[C@H]1CCCOC1 ZINC000676082437 487811462 /nfs/dbraw/zinc/81/14/62/487811462.db2.gz OACDYIQVIPBMHM-GFCCVEGCSA-N 0 3 223.316 2.528 20 0 BFADHN c1c2cnccc2oc1CN1CCCCC1 ZINC000651652071 487812445 /nfs/dbraw/zinc/81/24/45/487812445.db2.gz UURPTWWEYSMNJT-UHFFFAOYSA-N 0 3 216.284 2.814 20 0 BFADHN CC[C@H](C)[C@](C)(O)CN[C@H](C)c1ccoc1 ZINC000252711393 322943336 /nfs/dbraw/zinc/94/33/36/322943336.db2.gz JJODYAOGCLFYJU-DMDPSCGWSA-N 0 3 225.332 2.727 20 0 BFADHN COCC(C)(C)N(C)C[C@@H]1CC1(Cl)Cl ZINC000795192724 586071456 /nfs/dbraw/zinc/07/14/56/586071456.db2.gz NCWDWVIOLDZSQE-QMMMGPOBSA-N 0 3 240.174 2.537 20 0 BFADHN CC(C)(C)OCCN1CCC(F)(F)CC1 ZINC000796540490 586106444 /nfs/dbraw/zinc/10/64/44/586106444.db2.gz JUUNZIAABINVMK-UHFFFAOYSA-N 0 3 221.291 2.533 20 0 BFADHN C[C@@H]1CN([C@@H]2CCc3ccccc32)C[C@H](C)O1 ZINC000681639935 487828249 /nfs/dbraw/zinc/82/82/49/487828249.db2.gz MYFUFIIFPRHQDD-TYNCELHUSA-N 0 3 231.339 2.783 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1ccc(F)cc1F ZINC000220642704 490073479 /nfs/dbraw/zinc/07/34/79/490073479.db2.gz NWCZJRCECNRBSB-PRHODGIISA-N 0 3 243.322 2.948 20 0 BFADHN COCc1csc(CN2[C@@H](C)C[C@@H]2C)c1 ZINC000668091039 487834378 /nfs/dbraw/zinc/83/43/78/487834378.db2.gz YMASXTLKOTVEFD-UWVGGRQHSA-N 0 3 225.357 2.877 20 0 BFADHN CCCn1nccc1CN(CC)CC1CCC1 ZINC000668096490 487837208 /nfs/dbraw/zinc/83/72/08/487837208.db2.gz JNTWYBNNBNJTTM-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN FC1(F)C[C@@]12CCCN(C/C=C/Cl)C2 ZINC000844435289 588193353 /nfs/dbraw/zinc/19/33/53/588193353.db2.gz NBBFRUMZXVXMGC-HDMKULJXSA-N 0 3 221.678 2.860 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCCC[C@H]2C)n1C ZINC000668115589 487843633 /nfs/dbraw/zinc/84/36/33/487843633.db2.gz CALBXOPPUATUMK-RISCZKNCSA-N 0 3 235.375 2.739 20 0 BFADHN CC(C)CCO[C@@H]1CCN(Cc2ccncc2)C1 ZINC000156681581 487845763 /nfs/dbraw/zinc/84/57/63/487845763.db2.gz OGFMYMNSXWONQX-OAHLLOKOSA-N 0 3 248.370 2.719 20 0 BFADHN C[C@@H](N[C@@H]1CCCC[C@@H]1F)[C@H]1CC1(F)F ZINC000877682675 590390856 /nfs/dbraw/zinc/39/08/56/590390856.db2.gz SINWJCGCYPMAHA-DOLQZWNJSA-N 0 3 221.266 2.900 20 0 BFADHN Cc1nnsc1CN[C@@H](C)CCC1CC1 ZINC000336745897 487847670 /nfs/dbraw/zinc/84/76/70/487847670.db2.gz BPOCCUJDAGUHSM-QMMMGPOBSA-N 0 3 225.361 2.515 20 0 BFADHN CCCN(CC)Cc1n[nH]c2ccccc21 ZINC000676414491 487850153 /nfs/dbraw/zinc/85/01/53/487850153.db2.gz SQCCLMSAGIHHGH-UHFFFAOYSA-N 0 3 217.316 2.795 20 0 BFADHN CCCN(CC)Cc1[nH]nc2ccccc21 ZINC000676414491 487850156 /nfs/dbraw/zinc/85/01/56/487850156.db2.gz SQCCLMSAGIHHGH-UHFFFAOYSA-N 0 3 217.316 2.795 20 0 BFADHN CCNCc1cccc(F)c1OC(F)F ZINC000165246513 487850334 /nfs/dbraw/zinc/85/03/34/487850334.db2.gz MKRBHKNKWZRAAY-UHFFFAOYSA-N 0 3 219.206 2.537 20 0 BFADHN CCn1nc(C)c(CN[C@H]2CCC23CCC3)c1C ZINC000558622914 322952516 /nfs/dbraw/zinc/95/25/16/322952516.db2.gz HOXXZBLOJULHNN-AWEZNQCLSA-N 0 3 247.386 2.942 20 0 BFADHN CC(C)C1(C)CCN(Cc2cn[nH]c2)CC1 ZINC000681752374 487854805 /nfs/dbraw/zinc/85/48/05/487854805.db2.gz DMUIWIMAZBBMBI-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN COC[C@H](N[C@@H](C)c1ccncc1F)C(C)C ZINC000558698013 322953877 /nfs/dbraw/zinc/95/38/77/322953877.db2.gz NCGAICJESWNADX-GWCFXTLKSA-N 0 3 240.322 2.542 20 0 BFADHN CCc1cc(CN[C@H]2CC[C@@H]2C2CCC2)on1 ZINC000558699586 322954154 /nfs/dbraw/zinc/95/41/54/322954154.db2.gz SZIQPANYOCMCBU-KGLIPLIRSA-N 0 3 234.343 2.905 20 0 BFADHN CC[C@@H]1CN(C[C@@H](C)C(C)(C)C)CCO1 ZINC000670262108 487857864 /nfs/dbraw/zinc/85/78/64/487857864.db2.gz QSNRFLZZPISENR-VXGBXAGGSA-N 0 3 213.365 2.779 20 0 BFADHN Cc1ccnc(CN2CCC[C@@H](CCF)C2)c1 ZINC000661687311 487865267 /nfs/dbraw/zinc/86/52/67/487865267.db2.gz ABASMQGOSDSXMF-ZDUSSCGKSA-N 0 3 236.334 2.962 20 0 BFADHN C[C@H](CN(C)Cc1cn[nH]c1)C(C)(C)C ZINC000670264427 487866172 /nfs/dbraw/zinc/86/61/72/487866172.db2.gz DEEHRIMPWSXNNN-SNVBAGLBSA-N 0 3 209.337 2.524 20 0 BFADHN CCN(Cc1cnc(C)n1C)CC(C)(C)C ZINC000668183700 487866386 /nfs/dbraw/zinc/86/63/86/487866386.db2.gz MOXJVKRSDYQDCD-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN Cc1nc(CN[C@H](C)C2CCCC2)c(C)o1 ZINC000660266363 487872813 /nfs/dbraw/zinc/87/28/13/487872813.db2.gz AQJRRGKBDRTEIP-SECBINFHSA-N 0 3 222.332 2.960 20 0 BFADHN COC[C@H](NC[C@@H]1C[C@H]1C)c1cccc(OC)c1 ZINC000668512995 487873701 /nfs/dbraw/zinc/87/37/01/487873701.db2.gz VPGDSMARSFTIKO-ZLDLUXBVSA-N 0 3 249.354 2.628 20 0 BFADHN COC[C@H](NC[C@H]1C[C@H]1C)c1cccc(OC)c1 ZINC000668512990 487874375 /nfs/dbraw/zinc/87/43/75/487874375.db2.gz VPGDSMARSFTIKO-KYOSRNDESA-N 0 3 249.354 2.628 20 0 BFADHN C[C@@H]1CCN(CCCCCF)C[C@H]1F ZINC000671242863 487877596 /nfs/dbraw/zinc/87/75/96/487877596.db2.gz SFVHXWATQJQGQT-GHMZBOCLSA-N 0 3 205.292 2.806 20 0 BFADHN C[C@@H](CN[C@H](CCO)c1ccco1)C(C)(C)C ZINC000670269251 487881594 /nfs/dbraw/zinc/88/15/94/487881594.db2.gz INYLMEXSHFAEDQ-NWDGAFQWSA-N 0 3 239.359 2.975 20 0 BFADHN CCC[C@H](N[C@H]1CCO[C@H]1C)c1ccccn1 ZINC000676639619 487885765 /nfs/dbraw/zinc/88/57/65/487885765.db2.gz PPACWRIVPDGCRF-OBJOEFQTSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ccnc(CN2CCC[C@@H]2[C@@H]2CCCO2)c1 ZINC000671245278 487887234 /nfs/dbraw/zinc/88/72/34/487887234.db2.gz ZMBKMDZDHBUTNI-CABCVRRESA-N 0 3 246.354 2.533 20 0 BFADHN CC(C)n1ncnc1CNC1CCCCCC1 ZINC000088589133 487887393 /nfs/dbraw/zinc/88/73/93/487887393.db2.gz DNAXERVNVGMDPV-UHFFFAOYSA-N 0 3 236.363 2.671 20 0 BFADHN CN(C1COC1)C1CCC2(CCCC2)CC1 ZINC001172730083 974913003 /nfs/dbraw/zinc/91/30/03/974913003.db2.gz VDFOFRUIKYZTCV-UHFFFAOYSA-N 0 3 223.360 2.820 20 0 BFADHN Cc1ncc([C@@H](C)N2CC[C@H](C3CC3)C2)c(C)n1 ZINC000682071740 487893976 /nfs/dbraw/zinc/89/39/76/487893976.db2.gz ITFKBYCVEZUQPG-RISCZKNCSA-N 0 3 245.370 2.886 20 0 BFADHN c1ccc2c(c1)CC[C@H]2N1CCO[C@@H](C2CC2)C1 ZINC000682072522 487894729 /nfs/dbraw/zinc/89/47/29/487894729.db2.gz JHFRMBUXLMSFQH-HZPDHXFCSA-N 0 3 243.350 2.785 20 0 BFADHN CCN1CCN([C@H]2CCc3ccccc32)C[C@H]1C ZINC000682073696 487896703 /nfs/dbraw/zinc/89/67/03/487896703.db2.gz VWBJNJRJGUHLTN-CJNGLKHVSA-N 0 3 244.382 2.700 20 0 BFADHN C[C@H]1CCCC[C@H]1OCCN1CC=CCC1 ZINC000679321480 487899891 /nfs/dbraw/zinc/89/98/91/487899891.db2.gz DGLMKUHDFJZTPT-UONOGXRCSA-N 0 3 223.360 2.844 20 0 BFADHN c1ccc(CNC[C@H]2CCC3(CCCC3)O2)nc1 ZINC000229268113 487899976 /nfs/dbraw/zinc/89/99/76/487899976.db2.gz QLKXJHUURGPWQZ-CQSZACIVSA-N 0 3 246.354 2.663 20 0 BFADHN c1cc(CNC[C@H]2CCC3(CCCC3)O2)ccn1 ZINC000229269690 487899854 /nfs/dbraw/zinc/89/98/54/487899854.db2.gz QHXCMNWAZCGXNT-CQSZACIVSA-N 0 3 246.354 2.663 20 0 BFADHN c1cc(CN2CC=CCC2)cc2c1CCC2 ZINC000679321522 487899866 /nfs/dbraw/zinc/89/98/66/487899866.db2.gz GQSVJKZOMIDOQM-UHFFFAOYSA-N 0 3 213.324 2.937 20 0 BFADHN Cc1ccccc1C[C@H](C)N(C)Cc1cn[nH]c1 ZINC000682075813 487900962 /nfs/dbraw/zinc/90/09/62/487900962.db2.gz ZRFYIORBTQSLEG-ZDUSSCGKSA-N 0 3 243.354 2.781 20 0 BFADHN C[C@@H](c1cccs1)N(C)CCc1cnccn1 ZINC000682077329 487902058 /nfs/dbraw/zinc/90/20/58/487902058.db2.gz PKOWXUPZFDVDBD-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN C[C@@H]1COCCCN1Cc1ccc2c(c1)CCC2 ZINC000676713658 487902650 /nfs/dbraw/zinc/90/26/50/487902650.db2.gz RLKFNLKDCVHMCF-CYBMUJFWSA-N 0 3 245.366 2.786 20 0 BFADHN CCc1ccccc1CN1CCN(CC)[C@@H](C)C1 ZINC000682085697 487906515 /nfs/dbraw/zinc/90/65/15/487906515.db2.gz LTELGQSGQJBRBB-AWEZNQCLSA-N 0 3 246.398 2.775 20 0 BFADHN CCN(CCC(F)(F)F)C[C@@H](C)COC ZINC000682153969 487912028 /nfs/dbraw/zinc/91/20/28/487912028.db2.gz ZZBGQWBYEXGTOQ-SECBINFHSA-N 0 3 227.270 2.543 20 0 BFADHN Cc1ccc(CN(CC[C@H]2CCOC2)C2CC2)o1 ZINC000682152368 487914515 /nfs/dbraw/zinc/91/45/15/487914515.db2.gz ZXYLKMMZAFYXKT-ZDUSSCGKSA-N 0 3 249.354 2.979 20 0 BFADHN CCCC[C@@H](CC)CCN(C)CC(=O)NCC ZINC000682153106 487915545 /nfs/dbraw/zinc/91/55/45/487915545.db2.gz XKRWBNKJTFSOBK-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CCc1ccc(CN2CCC[C@@](O)(C3CC3)C2)o1 ZINC000668556134 487928993 /nfs/dbraw/zinc/92/89/93/487928993.db2.gz NJPOAGXKUINUSB-HNNXBMFYSA-N 0 3 249.354 2.579 20 0 BFADHN c1[nH]nc2c1CCN(CCC1CCCCC1)C2 ZINC000676793424 487931123 /nfs/dbraw/zinc/93/11/23/487931123.db2.gz KBJINAFVMMZOGA-UHFFFAOYSA-N 0 3 233.359 2.738 20 0 BFADHN Cc1ccccc1[C@@H](C)N1CCc2cn[nH]c2C1 ZINC000676796244 487933406 /nfs/dbraw/zinc/93/34/06/487933406.db2.gz GIXASHZEZHJPBX-GFCCVEGCSA-N 0 3 241.338 2.837 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1COC(C)(C)C1 ZINC000396478853 487935311 /nfs/dbraw/zinc/93/53/11/487935311.db2.gz AGMHJKYAAABRQU-ZDUSSCGKSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cc(CN[C@@H]2COC(C)(C)C2)cc(C)c1O ZINC000396511710 487938470 /nfs/dbraw/zinc/93/84/70/487938470.db2.gz BOONLIHVJSMSSI-ZDUSSCGKSA-N 0 3 249.354 2.666 20 0 BFADHN CCCNCc1cccc(F)c1OC(F)F ZINC000165248380 487944158 /nfs/dbraw/zinc/94/41/58/487944158.db2.gz ULIWTNRYFOOYDK-UHFFFAOYSA-N 0 3 233.233 2.927 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H]2CC2(C)C)s1 ZINC000307743740 487944455 /nfs/dbraw/zinc/94/44/55/487944455.db2.gz MUHXOJSDFPHHEU-XCBNKYQSSA-N 0 3 210.346 2.901 20 0 BFADHN C[C@@H]1CCN(Cc2c[nH]cn2)[C@@H]2CCCC[C@H]12 ZINC000684617712 487946304 /nfs/dbraw/zinc/94/63/04/487946304.db2.gz WBMPXOWRTTWLIB-MRVWCRGKSA-N 0 3 233.359 2.810 20 0 BFADHN C[C@@H]1CCN(Cc2cnc[nH]2)[C@@H]2CCCC[C@H]12 ZINC000684617712 487946308 /nfs/dbraw/zinc/94/63/08/487946308.db2.gz WBMPXOWRTTWLIB-MRVWCRGKSA-N 0 3 233.359 2.810 20 0 BFADHN c1ccc2c(c1)CC[C@H]2N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000668567838 487948082 /nfs/dbraw/zinc/94/80/82/487948082.db2.gz LXBAFVGPQWRGDD-NUEKZKHPSA-N 0 3 243.350 2.831 20 0 BFADHN Oc1ccc(CN2CCCC3(CC3)C2)cc1 ZINC000682406532 487950519 /nfs/dbraw/zinc/95/05/19/487950519.db2.gz RTSZLNGESHLOTK-UHFFFAOYSA-N 0 3 217.312 2.768 20 0 BFADHN CN(C)CCOc1cccc(-c2ccccn2)c1 ZINC000682413385 487951969 /nfs/dbraw/zinc/95/19/69/487951969.db2.gz CGLBARKXBIMWDK-UHFFFAOYSA-N 0 3 242.322 2.689 20 0 BFADHN COC[C@H](C)CNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000044478057 322840855 /nfs/dbraw/zinc/84/08/55/322840855.db2.gz PXEAXMBIVYOALV-MDZLAQPJSA-N 0 3 237.343 2.775 20 0 BFADHN CCOC[C@H](C)NCc1cc2ccccc2o1 ZINC000044690082 322843186 /nfs/dbraw/zinc/84/31/86/322843186.db2.gz PTGSFCGPJHKXBA-NSHDSACASA-N 0 3 233.311 2.947 20 0 BFADHN Cc1cc(CN([C@H](C)C2CC2)C2CC2)on1 ZINC000047909173 323000379 /nfs/dbraw/zinc/00/03/79/323000379.db2.gz APFGAAWOCQTNJO-SNVBAGLBSA-N 0 3 220.316 2.746 20 0 BFADHN Cc1cc(OC[C@H]2CCCO2)c(C)c(C)n1 ZINC000566476672 323000516 /nfs/dbraw/zinc/00/05/16/323000516.db2.gz FUYAHSPECJHUGY-GFCCVEGCSA-N 0 3 221.300 2.565 20 0 BFADHN CSC1(CNCc2noc3ccccc32)CC1 ZINC000566486243 323001575 /nfs/dbraw/zinc/00/15/75/323001575.db2.gz BBMYOQUBMVXIKX-UHFFFAOYSA-N 0 3 248.351 2.813 20 0 BFADHN C[C@@H]1OCCN(CC2Cc3ccccc3C2)[C@H]1C ZINC000562200037 323013333 /nfs/dbraw/zinc/01/33/33/323013333.db2.gz BIEFIIANVXJTGV-STQMWFEESA-N 0 3 245.366 2.511 20 0 BFADHN CC[C@@H]1CN(C[C@@H](C)Cc2ccccc2)CCO1 ZINC000132157866 323014388 /nfs/dbraw/zinc/01/43/88/323014388.db2.gz ZGYXAITXWOCLDO-GOEBONIOSA-N 0 3 247.382 2.976 20 0 BFADHN COc1ccc(CN2CC[C@H](CC(C)C)C2)cn1 ZINC000132162348 323014397 /nfs/dbraw/zinc/01/43/97/323014397.db2.gz CNMXDRNCNDEXBL-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H](NCc1ccno1)[C@H]1CCCC[C@H]1C ZINC000562543126 323023553 /nfs/dbraw/zinc/02/35/53/323023553.db2.gz KVOXTDMOAIEJOI-WZRBSPASSA-N 0 3 222.332 2.979 20 0 BFADHN CCc1cc(N2C[C@@H](C)S[C@H](C)C2)ccn1 ZINC000566896116 323023647 /nfs/dbraw/zinc/02/36/47/323023647.db2.gz SZZXSBXLCSLZKY-GHMZBOCLSA-N 0 3 236.384 2.974 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1c2cc(F)ccc2C[C@@H]1C ZINC000562603635 323025374 /nfs/dbraw/zinc/02/53/74/323025374.db2.gz YHWWVLXDCNQFLE-ISGRIPCHSA-N 0 3 249.329 2.826 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1c2cc(F)ccc2C[C@H]1C ZINC000562603637 323025434 /nfs/dbraw/zinc/02/54/34/323025434.db2.gz YHWWVLXDCNQFLE-KYQLBWAOSA-N 0 3 249.329 2.826 20 0 BFADHN COCCCCCN1CCO[C@H](C(C)(C)C)C1 ZINC000562614632 323025734 /nfs/dbraw/zinc/02/57/34/323025734.db2.gz CINHVEMIJAFQOK-ZDUSSCGKSA-N 0 3 243.391 2.550 20 0 BFADHN CC(C)[C@H]1CN(Cc2ccn(C)n2)[C@H]1C(C)C ZINC000562661708 323026678 /nfs/dbraw/zinc/02/66/78/323026678.db2.gz JTYXPGVXNUXSMO-KGLIPLIRSA-N 0 3 235.375 2.533 20 0 BFADHN C[C@H]1CN(Cc2ccc3c(n2)CCC3)C[C@H]1C ZINC000562679160 323027341 /nfs/dbraw/zinc/02/73/41/323027341.db2.gz PPLKKYUOTYVESA-TXEJJXNPSA-N 0 3 230.355 2.658 20 0 BFADHN CC(C)[C@@H]1N(CC2(F)CC2)CC12CCOCC2 ZINC000562738666 323029277 /nfs/dbraw/zinc/02/92/77/323029277.db2.gz AFARVPNBQWMDHV-LBPRGKRZSA-N 0 3 241.350 2.626 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc2ncccc2c1 ZINC000569659938 323035830 /nfs/dbraw/zinc/03/58/30/323035830.db2.gz VLMDLBAPWXZMPJ-LSDHHAIUSA-N 0 3 242.322 2.502 20 0 BFADHN Cc1occc1CN(C)CCc1cccc(C)n1 ZINC000572717921 323035873 /nfs/dbraw/zinc/03/58/73/323035873.db2.gz LCNBWYURQZCTGN-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN CCc1ccccc1NC(=O)CN(C)C(C)(C)C ZINC000572718485 323036032 /nfs/dbraw/zinc/03/60/32/323036032.db2.gz FQTLXCVDAJJGOF-UHFFFAOYSA-N 0 3 248.370 2.918 20 0 BFADHN CCC[C@H](C)[C@H](CO)N[C@H](C)c1ccco1 ZINC000570100155 323049761 /nfs/dbraw/zinc/04/97/61/323049761.db2.gz JMVBNTFMKKLGJD-TUAOUCFPSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@@H](NCC1CCC1)c1cn2ccccc2n1 ZINC000570696699 323067778 /nfs/dbraw/zinc/06/77/78/323067778.db2.gz RRKCCJVCNDFUKF-LLVKDONJSA-N 0 3 229.327 2.785 20 0 BFADHN C[C@@H]1C[C@H](N[C@H](c2cccnc2)C2CCC2)CO1 ZINC000570801156 323070819 /nfs/dbraw/zinc/07/08/19/323070819.db2.gz BZYUROOSXYGEHI-UGFHNGPFSA-N 0 3 246.354 2.690 20 0 BFADHN CCCN(Cc1n[nH]c(C(C)(C)C)n1)C(C)C ZINC000570824961 323071839 /nfs/dbraw/zinc/07/18/39/323071839.db2.gz UYCXDVBIRWXHLQ-UHFFFAOYSA-N 0 3 238.379 2.723 20 0 BFADHN C[C@H]1CCCCN1Cc1ccc2c(n1)CCC2 ZINC000563090440 323091666 /nfs/dbraw/zinc/09/16/66/323091666.db2.gz RLZYOJZSRQPMSJ-LBPRGKRZSA-N 0 3 230.355 2.945 20 0 BFADHN C[C@@H]1C[C@@H](NC/C=C/c2ccc(F)cc2)CO1 ZINC000563121591 323093649 /nfs/dbraw/zinc/09/36/49/323093649.db2.gz RAEULJZDIIWACA-OIUHTZQUSA-N 0 3 235.302 2.606 20 0 BFADHN CCc1ccc(CN2C3CCC2CC3)cn1 ZINC000570971242 323102415 /nfs/dbraw/zinc/10/24/15/323102415.db2.gz SIJHCPTYDGOIQD-UHFFFAOYSA-N 0 3 216.328 2.771 20 0 BFADHN COC(C)(C)CN(C)Cc1sc(C)nc1C ZINC000531576155 323110339 /nfs/dbraw/zinc/11/03/39/323110339.db2.gz MOSGRGNUPMZHFQ-UHFFFAOYSA-N 0 3 242.388 2.617 20 0 BFADHN COC(C)(C)CN(C)Cc1ccc(F)cc1 ZINC000531829628 323115143 /nfs/dbraw/zinc/11/51/43/323115143.db2.gz GGGGKQMWTSZXDJ-UHFFFAOYSA-N 0 3 225.307 2.683 20 0 BFADHN Cc1ncc(CN(C)[C@H](C)C(C)(C)C)cn1 ZINC000534349928 323117513 /nfs/dbraw/zinc/11/75/13/323117513.db2.gz GQLQNGXDRLTHFG-SNVBAGLBSA-N 0 3 221.348 2.651 20 0 BFADHN CCc1ccc(CN[C@H]2CO[C@@H](C)C2)s1 ZINC000401803831 323125390 /nfs/dbraw/zinc/12/53/90/323125390.db2.gz ASFSEAVXPXQDBF-VHSXEESVSA-N 0 3 225.357 2.578 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@H](C)C2)[nH]c1C ZINC000563442195 323126773 /nfs/dbraw/zinc/12/67/73/323126773.db2.gz YKBCPKSMURLGLY-JOYOIKCWSA-N 0 3 221.348 2.695 20 0 BFADHN OCC[C@@H](N[C@@H]1CCCC12CC2)c1ccco1 ZINC000563444852 323126943 /nfs/dbraw/zinc/12/69/43/323126943.db2.gz ROALWDRPPDJVGR-DGCLKSJQSA-N 0 3 235.327 2.625 20 0 BFADHN Cc1nocc1CNC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403839760 323128511 /nfs/dbraw/zinc/12/85/11/323128511.db2.gz PCNRTCIAIKRFOM-UTUOFQBUSA-N 0 3 220.316 2.509 20 0 BFADHN C[C@H]1CCCN1CCc1ccc(F)c(F)c1 ZINC000152130740 323128836 /nfs/dbraw/zinc/12/88/36/323128836.db2.gz MEMMVCFXLJYYEO-JTQLQIEISA-N 0 3 225.282 2.992 20 0 BFADHN C[C@H](NCCC[C@@H]1CCOC1)c1ccoc1 ZINC000401884975 323129193 /nfs/dbraw/zinc/12/91/93/323129193.db2.gz BLQNAUSDVGSLMZ-NWDGAFQWSA-N 0 3 223.316 2.747 20 0 BFADHN CCn1ccnc1CNCC1(C2CCC2)CCC1 ZINC000563599477 323130930 /nfs/dbraw/zinc/13/09/30/323130930.db2.gz ZCJQINVTSJUIRV-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN Cc1cccn2cc(CN[C@H]3CCC[C@H]3C)nc12 ZINC000152216348 323133674 /nfs/dbraw/zinc/13/36/74/323133674.db2.gz WRYCFRUZBJEUKZ-RISCZKNCSA-N 0 3 243.354 2.921 20 0 BFADHN CCc1cc(CN[C@H](C)Cc2ccoc2)on1 ZINC000572810492 323142880 /nfs/dbraw/zinc/14/28/80/323142880.db2.gz YMLDVRFRLULVKZ-SNVBAGLBSA-N 0 3 234.299 2.551 20 0 BFADHN Cc1cccc([C@@H](C)CNCc2cnccn2)c1 ZINC000571524446 323147329 /nfs/dbraw/zinc/14/73/29/323147329.db2.gz FZWHVGWCUBCOEJ-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN COc1cc(C)nc(CN2C[C@@H](C)C[C@@H]2C)c1 ZINC000534544572 323153367 /nfs/dbraw/zinc/15/33/67/323153367.db2.gz FOORWBXPSDKQBO-JQWIXIFHSA-N 0 3 234.343 2.629 20 0 BFADHN CCCCCN(CC(=O)NCC)[C@H](C)CCC ZINC000101731365 323162413 /nfs/dbraw/zinc/16/24/13/323162413.db2.gz HCPMMZXQWUCERR-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN Cc1cc(CN[C@H](C)Cn2ccnc2)c(C)s1 ZINC000049686621 323163811 /nfs/dbraw/zinc/16/38/11/323163811.db2.gz KJAJRJLZFPBUEG-SNVBAGLBSA-N 0 3 249.383 2.740 20 0 BFADHN CCc1ccc(CN2CCC[C@H](CO)C2)s1 ZINC000050104354 323164830 /nfs/dbraw/zinc/16/48/30/323164830.db2.gz YYSDPNQXPFGIPT-NSHDSACASA-N 0 3 239.384 2.515 20 0 BFADHN COc1ccccc1CN1C2CCC1CC2 ZINC000535066703 323165034 /nfs/dbraw/zinc/16/50/34/323165034.db2.gz YKJDMVUZPIUCSE-UHFFFAOYSA-N 0 3 217.312 2.822 20 0 BFADHN COc1cc2c(cc1CN(C)C(C)C)O[C@H](C)C2 ZINC000535063034 323165163 /nfs/dbraw/zinc/16/51/63/323165163.db2.gz MNPQCXDRKINERD-LLVKDONJSA-N 0 3 249.354 2.859 20 0 BFADHN CC[C@H](NCC(C)(C)O)c1ccccc1OC ZINC000112675139 323171483 /nfs/dbraw/zinc/17/14/83/323171483.db2.gz FWKDEXUZRRZGFQ-LBPRGKRZSA-N 0 3 237.343 2.507 20 0 BFADHN CC(C)[C@@H]1CCCC[C@H]1NCc1ccn(C)n1 ZINC000112675683 323171508 /nfs/dbraw/zinc/17/15/08/323171508.db2.gz RJVCSSGRUNNLIS-UONOGXRCSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1ccncc1Cl ZINC000306774884 323173195 /nfs/dbraw/zinc/17/31/95/323173195.db2.gz WCCLMZFQZWYCJJ-LDYMZIIASA-N 0 3 210.708 2.623 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1ccncc1Cl ZINC000306774882 323173254 /nfs/dbraw/zinc/17/32/54/323173254.db2.gz WCCLMZFQZWYCJJ-KCJUWKMLSA-N 0 3 210.708 2.623 20 0 BFADHN C[C@@H](CNCC1(F)CC1)c1ccccc1 ZINC000308995785 323183933 /nfs/dbraw/zinc/18/39/33/323183933.db2.gz MMGHPIZNSCQOAD-NSHDSACASA-N 0 3 207.292 2.882 20 0 BFADHN Cc1oncc1CN(C)[C@H](C)C(C)(C)C ZINC000526082619 323187974 /nfs/dbraw/zinc/18/79/74/323187974.db2.gz FRODGTQXIYQXLB-SNVBAGLBSA-N 0 3 210.321 2.849 20 0 BFADHN CC/C=C\CNCc1cccc(OC)c1OC ZINC000395127271 323188283 /nfs/dbraw/zinc/18/82/83/323188283.db2.gz BNWPUCQBMFIEGU-WAYWQWQTSA-N 0 3 235.327 2.760 20 0 BFADHN CCN(CCOCCC(C)C)C[C@@H]1CCCO1 ZINC000051554750 323189686 /nfs/dbraw/zinc/18/96/86/323189686.db2.gz PXRBXAPFTHKFRV-AWEZNQCLSA-N 0 3 243.391 2.550 20 0 BFADHN Cc1cccc(CN[C@@H](C)C2(C)CC2)n1 ZINC000051575028 323189958 /nfs/dbraw/zinc/18/99/58/323189958.db2.gz OLUMXHZPBYJZBW-NSHDSACASA-N 0 3 204.317 2.668 20 0 BFADHN CCc1cccc(CN[C@H]2CO[C@@H](C)C2)c1 ZINC000563891318 323193070 /nfs/dbraw/zinc/19/30/70/323193070.db2.gz IKOSYFSBEWXNGJ-SMDDNHRTSA-N 0 3 219.328 2.516 20 0 BFADHN CCCN(C)Cc1cc(F)cc2c1OCOC2 ZINC000052992607 323196162 /nfs/dbraw/zinc/19/61/62/323196162.db2.gz BWPPSIMPOYLXIO-UHFFFAOYSA-N 0 3 239.290 2.534 20 0 BFADHN CCc1ccc(CN(C)[C@@H](C)COC)s1 ZINC000536650484 323199538 /nfs/dbraw/zinc/19/95/38/323199538.db2.gz FPMXFIKDKUBBEL-JTQLQIEISA-N 0 3 227.373 2.777 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cccc3c2OCCO3)C1 ZINC000536661656 323199793 /nfs/dbraw/zinc/19/97/93/323199793.db2.gz AYYZNAAXIUSLFO-RYUDHWBXSA-N 0 3 247.338 2.688 20 0 BFADHN C[C@@H](NC1CC(C)(C)C1)C(=O)Nc1ccccc1 ZINC000395228089 323222436 /nfs/dbraw/zinc/22/24/36/323222436.db2.gz SVUBNXHNGWHFEI-LLVKDONJSA-N 0 3 246.354 2.792 20 0 BFADHN Cc1cc(CNC[C@@H](C)Cc2ccccc2)no1 ZINC000547522666 323223647 /nfs/dbraw/zinc/22/36/47/323223647.db2.gz BBTXLUFLDKSPNC-LBPRGKRZSA-N 0 3 244.338 2.951 20 0 BFADHN Cc1cccc(CCN[C@H](C)c2ccncn2)c1 ZINC000395791646 323242093 /nfs/dbraw/zinc/24/20/93/323242093.db2.gz VBQZETQDBARSDD-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@H](CN[C@@H](C)c1ccncn1)c1ccccc1 ZINC000395911526 323262624 /nfs/dbraw/zinc/26/26/24/323262624.db2.gz PCOJRJTZFBYLNV-OLZOCXBDSA-N 0 3 241.338 2.931 20 0 BFADHN CN(CC1(F)CC1)C1Cc2ccccc2C1 ZINC000526970101 323309754 /nfs/dbraw/zinc/30/97/54/323309754.db2.gz MNJOKCHQHKGXKY-UHFFFAOYSA-N 0 3 219.303 2.588 20 0 BFADHN CC[C@H](NCc1cc(C)n(C)n1)[C@@H]1CC1(C)C ZINC000418099058 323349361 /nfs/dbraw/zinc/34/93/61/323349361.db2.gz BEZWZGSBEKTNAG-STQMWFEESA-N 0 3 235.375 2.643 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1CC[C@@H](C(C)C)C1 ZINC000228672795 323366247 /nfs/dbraw/zinc/36/62/47/323366247.db2.gz LTIHZYIDPDRGTE-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@H](N[C@@H](C)c1n[nH]c(C)n1)c1ccccc1 ZINC000392387623 323378863 /nfs/dbraw/zinc/37/88/63/323378863.db2.gz RHEUBFZUSNSJBS-GWCFXTLKSA-N 0 3 244.342 2.915 20 0 BFADHN Cc1occc1CNCCc1cccs1 ZINC000321558270 323384190 /nfs/dbraw/zinc/38/41/90/323384190.db2.gz SRFLBOLBCJLWMS-UHFFFAOYSA-N 0 3 221.325 2.982 20 0 BFADHN CCN(Cc1cccc(OC)c1)C[C@@H]1CCCO1 ZINC000064399945 323399645 /nfs/dbraw/zinc/39/96/45/323399645.db2.gz NLYLFBSHAXYVCU-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2cncs2)cn1 ZINC000161571650 323550664 /nfs/dbraw/zinc/55/06/64/323550664.db2.gz XYCXTDBNCAUEAF-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN C[C@@H](NC1CCSCC1)c1ccoc1 ZINC000161582848 323551979 /nfs/dbraw/zinc/55/19/79/323551979.db2.gz HAGMPEIEJXBEJT-SECBINFHSA-N 0 3 211.330 2.826 20 0 BFADHN Cc1ccc(CN[C@@]23C[C@@H]2COC3(C)C)cc1 ZINC000527759717 323681909 /nfs/dbraw/zinc/68/19/09/323681909.db2.gz RGGSFFDXEIAAQT-HIFRSBDPSA-N 0 3 231.339 2.652 20 0 BFADHN CCc1ncc(CNCC[C@H]2CC=CCC2)cn1 ZINC000527785582 323691841 /nfs/dbraw/zinc/69/18/41/323691841.db2.gz ATBANJHVUDUKJP-ZDUSSCGKSA-N 0 3 245.370 2.875 20 0 BFADHN Clc1cccnc1CN1C[C@@H]2CCC[C@H]2C1 ZINC000528402489 323698295 /nfs/dbraw/zinc/69/82/95/323698295.db2.gz GPWIXMYKKIUFGD-QWRGUYRKSA-N 0 3 236.746 2.967 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H](C)[C@H]1CC12CC2 ZINC000527862781 323702265 /nfs/dbraw/zinc/70/22/65/323702265.db2.gz HEPLNXCRCDETIB-QWHCGFSZSA-N 0 3 247.386 2.817 20 0 BFADHN CC(C)n1ccc(CN[C@@H]2CC[C@H](C)C2)n1 ZINC000122014924 324026059 /nfs/dbraw/zinc/02/60/59/324026059.db2.gz VOEDRSNFWSEVPH-NWDGAFQWSA-N 0 3 221.348 2.742 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccoc1)C(C)(C)C ZINC000122222280 324032119 /nfs/dbraw/zinc/03/21/19/324032119.db2.gz QQUNFMHOESVYSW-JQWIXIFHSA-N 0 3 225.332 2.991 20 0 BFADHN CN(Cc1ccc(OC(F)F)cc1)C1CC1 ZINC000060468077 324035631 /nfs/dbraw/zinc/03/56/31/324035631.db2.gz BLZOKDQTTQCWBQ-UHFFFAOYSA-N 0 3 227.254 2.882 20 0 BFADHN C[C@@H](NC[C@H]1CCCS1)c1cncc(F)c1 ZINC000122930312 324064576 /nfs/dbraw/zinc/06/45/76/324064576.db2.gz UIUVLNWQVPBTCL-BXKDBHETSA-N 0 3 240.347 2.767 20 0 BFADHN C[C@H](NC[C@@H]1CCCS1)c1cncc(F)c1 ZINC000122929698 324064986 /nfs/dbraw/zinc/06/49/86/324064986.db2.gz UIUVLNWQVPBTCL-CABZTGNLSA-N 0 3 240.347 2.767 20 0 BFADHN COCC1(N[C@H]2CCCc3cc(F)ccc32)CC1 ZINC000537449215 324072480 /nfs/dbraw/zinc/07/24/80/324072480.db2.gz GXEYXVVSNSKYMR-AWEZNQCLSA-N 0 3 249.329 2.972 20 0 BFADHN COC(C)(C)CN(C)Cc1cccs1 ZINC000537725197 324100988 /nfs/dbraw/zinc/10/09/88/324100988.db2.gz RVIUZAIJNNGCAO-UHFFFAOYSA-N 0 3 213.346 2.605 20 0 BFADHN CC[C@@H](O)CN1CCc2c(cccc2C(C)C)C1 ZINC000191890635 324195994 /nfs/dbraw/zinc/19/59/94/324195994.db2.gz PJZRSNMWSAGKQL-CQSZACIVSA-N 0 3 247.382 2.939 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)C1CC(F)(F)C1 ZINC000529530959 324326968 /nfs/dbraw/zinc/32/69/68/324326968.db2.gz JUGLBNWTTQGPOJ-SSDOTTSWSA-N 0 3 244.285 2.815 20 0 BFADHN C[C@@H](NCc1ncccc1F)C1CC(F)(F)C1 ZINC000529543643 324333697 /nfs/dbraw/zinc/33/36/97/324333697.db2.gz LWWHJZYZJQLZHQ-MRVPVSSYSA-N 0 3 244.260 2.744 20 0 BFADHN Cc1cnc(CN[C@H](C)C2CC(F)(F)C2)s1 ZINC000529544696 324333706 /nfs/dbraw/zinc/33/37/06/324333706.db2.gz BOHCCGJYWWJEFZ-MRVPVSSYSA-N 0 3 246.326 2.975 20 0 BFADHN Fc1ccc(CN[C@@H]2CCCC(F)(F)C2)nc1 ZINC000529544508 324334240 /nfs/dbraw/zinc/33/42/40/324334240.db2.gz NURLYLIRUOMVGH-SNVBAGLBSA-N 0 3 244.260 2.888 20 0 BFADHN C1=CCC(CNCc2cnc3ccccc3n2)C1 ZINC000529641225 324369806 /nfs/dbraw/zinc/36/98/06/324369806.db2.gz OIJNYJBZGJEIKG-UHFFFAOYSA-N 0 3 239.322 2.686 20 0 BFADHN CC1(CN2CC(Cc3ccco3)C2)CC1 ZINC000529648893 324371234 /nfs/dbraw/zinc/37/12/34/324371234.db2.gz KOIBGZQYJVWSDO-UHFFFAOYSA-N 0 3 205.301 2.554 20 0 BFADHN CC[C@H](C)CN1CC(Cc2ccco2)C1 ZINC000529650345 324371517 /nfs/dbraw/zinc/37/15/17/324371517.db2.gz ZROOZBNWSSWJFP-NSHDSACASA-N 0 3 207.317 2.800 20 0 BFADHN c1coc(CC2CN(Cc3ccccc3)C2)c1 ZINC000529649859 324371527 /nfs/dbraw/zinc/37/15/27/324371527.db2.gz CKULNFOSWUNWFQ-UHFFFAOYSA-N 0 3 227.307 2.954 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1ccc(C)nc1C ZINC000682437046 487964164 /nfs/dbraw/zinc/96/41/64/487964164.db2.gz YHQCZMIOJTXYBV-UHTWSYAYSA-N 0 3 236.359 2.772 20 0 BFADHN CCCC[C@@H](CC)CNC(=O)[C@H](CC)N(C)C ZINC000126371920 324694450 /nfs/dbraw/zinc/69/44/50/324694450.db2.gz KGQZMLJYORFXRH-OLZOCXBDSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1nc(CCN[C@H](C)c2cccnc2)c(C)o1 ZINC000538984641 324818142 /nfs/dbraw/zinc/81/81/42/324818142.db2.gz OOMQPUOEYAFOPR-SNVBAGLBSA-N 0 3 245.326 2.580 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@@H](C)CC1 ZINC000227309818 324835085 /nfs/dbraw/zinc/83/50/85/324835085.db2.gz LARWCJBWZGIFOT-CHWSQXEVSA-N 0 3 235.375 2.961 20 0 BFADHN Cc1cc(C)n(CCCN[C@H](C)c2ccoc2)n1 ZINC000128441923 324839092 /nfs/dbraw/zinc/83/90/92/324839092.db2.gz XJLBXFAFJMMCSA-CYBMUJFWSA-N 0 3 247.342 2.834 20 0 BFADHN C[C@H](N[C@H]1CC[C@H](C)C1)c1nccs1 ZINC000070644299 325020037 /nfs/dbraw/zinc/02/00/37/325020037.db2.gz VKPMKXNGZOMBTN-GUBZILKMSA-N 0 3 210.346 2.982 20 0 BFADHN CN(CCc1ccccc1O)[C@H]1C=CCCC1 ZINC000542320946 325020922 /nfs/dbraw/zinc/02/09/22/325020922.db2.gz DIUJNKIUAQJDPK-AWEZNQCLSA-N 0 3 231.339 2.975 20 0 BFADHN CN(CC[C@H]1CCCCO1)Cc1cccc(O)c1 ZINC000542508536 325032064 /nfs/dbraw/zinc/03/20/64/325032064.db2.gz WDVXSHFDXAFSJL-OAHLLOKOSA-N 0 3 249.354 2.783 20 0 BFADHN CC(C)CN1CCO[C@@H](CCc2ccccc2)C1 ZINC000542629887 325037624 /nfs/dbraw/zinc/03/76/24/325037624.db2.gz UXZZSFNKSDFGSM-INIZCTEOSA-N 0 3 247.382 2.976 20 0 BFADHN CC(C)C[C@@H]1CCCCN1Cc1ccnn1C ZINC000130338080 325042019 /nfs/dbraw/zinc/04/20/19/325042019.db2.gz QNCBDLNLOCNNQM-ZDUSSCGKSA-N 0 3 235.375 2.821 20 0 BFADHN CCCCN(CCOC)Cc1cccs1 ZINC000071122205 325057524 /nfs/dbraw/zinc/05/75/24/325057524.db2.gz XRJSRNSHVSVNME-UHFFFAOYSA-N 0 3 227.373 2.997 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N1CC[C@@H](CC)C1 ZINC000542929139 325063451 /nfs/dbraw/zinc/06/34/51/325063451.db2.gz RUNNZPVMFZHEIP-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN CCc1ccc(CN(C)[C@H]2CCSC2)o1 ZINC000130548859 325066002 /nfs/dbraw/zinc/06/60/02/325066002.db2.gz FMKSXRUCNFVQRU-JTQLQIEISA-N 0 3 225.357 2.779 20 0 BFADHN CC(C)c1ncc(CNC[C@H]2CCCO2)s1 ZINC000130636413 325075434 /nfs/dbraw/zinc/07/54/34/325075434.db2.gz ISLHTTRHWNLIIL-SNVBAGLBSA-N 0 3 240.372 2.535 20 0 BFADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1ccco1 ZINC000131388546 325144595 /nfs/dbraw/zinc/14/45/95/325144595.db2.gz BPTLNUQGZNJRBX-QWHCGFSZSA-N 0 3 237.343 2.963 20 0 BFADHN C[C@]1(CO)CCCN1Cc1cc2ccccc2o1 ZINC000544760011 325158234 /nfs/dbraw/zinc/15/82/34/325158234.db2.gz JEXGMIPDKONCKY-OAHLLOKOSA-N 0 3 245.322 2.780 20 0 BFADHN Cc1nc(CN[C@H]2CCCSC2)sc1C ZINC000131643915 325172759 /nfs/dbraw/zinc/17/27/59/325172759.db2.gz LSYSXGXXWZYDRB-JTQLQIEISA-N 0 3 242.413 2.745 20 0 BFADHN OC[C@@H]1CCCCN1CCCCC(F)(F)F ZINC000132247557 325207374 /nfs/dbraw/zinc/20/73/74/325207374.db2.gz IXBPZEJOZGRDIE-JTQLQIEISA-N 0 3 239.281 2.566 20 0 BFADHN CCCCCNC(=O)[C@H](C)N(CC)CCCC ZINC000132606148 325229162 /nfs/dbraw/zinc/22/91/62/325229162.db2.gz IHZUWMCVZOTULH-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN CCN(Cc1cnc2cc(C)ccn12)C1CC1 ZINC000133309834 325285837 /nfs/dbraw/zinc/28/58/37/325285837.db2.gz KGCXISRPJCIUNJ-UHFFFAOYSA-N 0 3 229.327 2.627 20 0 BFADHN CCN(CC)CC(=O)N[C@@H](C)c1ccc(C)cc1 ZINC000075682473 325306828 /nfs/dbraw/zinc/30/68/28/325306828.db2.gz MJSZXVPKMDDCEN-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN CCCC[C@@H](CC)CN1CCc2n[nH]cc2C1 ZINC000546935430 325319269 /nfs/dbraw/zinc/31/92/69/325319269.db2.gz MGJJPKGIOCUKFI-GFCCVEGCSA-N 0 3 235.375 2.984 20 0 BFADHN COc1c(C)cnc(CN2CCC(C)(C)C2)c1C ZINC000076012734 325325196 /nfs/dbraw/zinc/32/51/96/325325196.db2.gz YEMLGTNNGWTSNI-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN Cc1nn(C(C)C)cc1CN1C2CCC1CC2 ZINC000547395678 325350506 /nfs/dbraw/zinc/35/05/06/325350506.db2.gz BWUQSOXEXJCACF-UHFFFAOYSA-N 0 3 233.359 2.899 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@H](CCO)c1ccco1 ZINC000547399107 325350710 /nfs/dbraw/zinc/35/07/10/325350710.db2.gz LUQLUYYQLJHVJR-FRRDWIJNSA-N 0 3 237.343 2.871 20 0 BFADHN CC[C@H](CSC)N(C)Cc1ccc(C)nc1 ZINC000564323460 325366613 /nfs/dbraw/zinc/36/66/13/325366613.db2.gz KJSQSOVUZZXNBR-CYBMUJFWSA-N 0 3 238.400 2.963 20 0 BFADHN CCc1cnc(CN2CC[C@H](C)[C@@H]2CC)o1 ZINC000547511458 325366936 /nfs/dbraw/zinc/36/69/36/325366936.db2.gz PRAZYUOESIKKFA-JQWIXIFHSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1cnc(CN2CC[C@@H](C)[C@H]2CC)o1 ZINC000547511460 325367002 /nfs/dbraw/zinc/36/70/02/325367002.db2.gz PRAZYUOESIKKFA-ZYHUDNBSSA-N 0 3 222.332 2.857 20 0 BFADHN Cc1nnc(CNC[C@H]2CCC[C@@H](C)C2)s1 ZINC000134663653 325370596 /nfs/dbraw/zinc/37/05/96/325370596.db2.gz FWCYZHXRNYJKKO-KOLCDFICSA-N 0 3 239.388 2.762 20 0 BFADHN CCN(C)Cc1cc(F)cc2cccnc21 ZINC000077242850 325371035 /nfs/dbraw/zinc/37/10/35/325371035.db2.gz APBIEEOGNMVIFI-UHFFFAOYSA-N 0 3 218.275 2.826 20 0 BFADHN CC[C@@H]1CCCCCN1CC(=O)c1cccn1C ZINC000134726281 325373793 /nfs/dbraw/zinc/37/37/93/325373793.db2.gz PCKIKBVOBIBGPY-CYBMUJFWSA-N 0 3 248.370 2.862 20 0 BFADHN C[C@@H]1OCCN(CCC(C)(C)C2CC2)[C@H]1C ZINC000653570397 487968384 /nfs/dbraw/zinc/96/83/84/487968384.db2.gz MURCQZGFSGMFFH-RYUDHWBXSA-N 0 3 225.376 2.922 20 0 BFADHN Cc1ccc2nc(CNCCCC3CC3)cn2c1 ZINC000551186752 325550209 /nfs/dbraw/zinc/55/02/09/325550209.db2.gz QTTAGNVJBXFBCG-UHFFFAOYSA-N 0 3 243.354 2.923 20 0 BFADHN CCc1nnc(CN[C@H]2[C@H](C)CCC[C@@H]2C)o1 ZINC000248590904 325613713 /nfs/dbraw/zinc/61/37/13/325613713.db2.gz RHHYSKNLYYRRQI-IWIIMEHWSA-N 0 3 237.347 2.546 20 0 BFADHN C[C@@H](NC[C@@H]1CCCS1)c1ccoc1 ZINC000087667663 325638971 /nfs/dbraw/zinc/63/89/71/325638971.db2.gz BEYGAPCMNROHHV-KOLCDFICSA-N 0 3 211.330 2.826 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCC[C@H]2O)c(C)o1 ZINC000251083490 325674245 /nfs/dbraw/zinc/67/42/45/325674245.db2.gz QWTMMIMCRPRNDL-VHRBIJSZSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](CO)C(C)C)oc1C ZINC000554095821 325706669 /nfs/dbraw/zinc/70/66/69/325706669.db2.gz HQNMMEWCAIUUHU-WCQYABFASA-N 0 3 239.359 2.812 20 0 BFADHN Cc1n[nH]c(C)c1CNCC(C)(C)C(F)(F)F ZINC000554655796 325732421 /nfs/dbraw/zinc/73/24/21/325732421.db2.gz CDYWBZMISROXSW-UHFFFAOYSA-N 0 3 249.280 2.705 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H]1C)c1cn2ccccc2n1 ZINC000556261353 325788385 /nfs/dbraw/zinc/78/83/85/325788385.db2.gz LKUCFKXJXOEBFT-SDDRHHMPSA-N 0 3 229.327 2.641 20 0 BFADHN C[C@@H](NC[C@H](c1ccco1)N(C)C)c1ccoc1 ZINC000094867555 325789855 /nfs/dbraw/zinc/78/98/55/325789855.db2.gz QTYLJKHEVAIPMN-DGCLKSJQSA-N 0 3 248.326 2.826 20 0 BFADHN C[C@H](O)CNCc1ccc(-c2ccco2)cc1F ZINC000565712025 325946512 /nfs/dbraw/zinc/94/65/12/325946512.db2.gz NMYZNVDTLYGLBP-JTQLQIEISA-N 0 3 249.285 2.556 20 0 BFADHN Cc1nc(CNC[C@H]2CCC[C@@H]2C)[nH]c1C ZINC000566865926 326090130 /nfs/dbraw/zinc/09/01/30/326090130.db2.gz HKHKJMKLNZTLBF-JOYOIKCWSA-N 0 3 221.348 2.552 20 0 BFADHN FC1(CNCC2(c3ccccn3)CCC2)CC1 ZINC000566990144 326100711 /nfs/dbraw/zinc/10/07/11/326100711.db2.gz PXJKMSKKUDJSAX-UHFFFAOYSA-N 0 3 234.318 2.595 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@H]1CC12CCCC2 ZINC000567061235 326108820 /nfs/dbraw/zinc/10/88/20/326108820.db2.gz QQZXLOQOTKAOFD-AAEUAGOBSA-N 0 3 231.343 2.768 20 0 BFADHN CC[C@@H](C(=O)N[C@H](C)CCC(C)(C)C)N(C)C ZINC000153758675 326112054 /nfs/dbraw/zinc/11/20/54/326112054.db2.gz BYOBQVCXKVIZKE-NEPJUHHUSA-N 0 3 242.407 2.658 20 0 BFADHN CN(Cc1cccc2c1OC(C)(C)C2)C1CC1 ZINC000153768350 326112760 /nfs/dbraw/zinc/11/27/60/326112760.db2.gz DHLUEOXOYLFONM-UHFFFAOYSA-N 0 3 231.339 2.994 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc(C)nc2)C1 ZINC000154024651 326125583 /nfs/dbraw/zinc/12/55/83/326125583.db2.gz OVTSOZXUSSVKCC-GFCCVEGCSA-N 0 3 204.317 2.622 20 0 BFADHN c1ccc(CCCN2CCOC3(CCC3)C2)cc1 ZINC000154136449 326132306 /nfs/dbraw/zinc/13/23/06/326132306.db2.gz MHCDGMUISKKYEM-UHFFFAOYSA-N 0 3 245.366 2.874 20 0 BFADHN COc1ccc(CN(C)C)cc1NCC1(C)CC1 ZINC000567209709 326137684 /nfs/dbraw/zinc/13/76/84/326137684.db2.gz XVQCGZRMTFVFQF-UHFFFAOYSA-N 0 3 248.370 2.969 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1cc(C)cc(C)c1 ZINC000309837221 326170873 /nfs/dbraw/zinc/17/08/73/326170873.db2.gz BNTVTMHHBUKZKT-KGLIPLIRSA-N 0 3 219.328 2.570 20 0 BFADHN CC[C@@H]1CCC[C@@H](CNCc2ccno2)C1 ZINC000310022722 326180391 /nfs/dbraw/zinc/18/03/91/326180391.db2.gz OCTGYZZNVDLMMH-VXGBXAGGSA-N 0 3 222.332 2.981 20 0 BFADHN C[C@@H](N[C@@H]1CCCSC1)c1ccoc1 ZINC000157133651 326258243 /nfs/dbraw/zinc/25/82/43/326258243.db2.gz QWAVCWRBZJQQBB-MWLCHTKSSA-N 0 3 211.330 2.826 20 0 BFADHN CC1CCN(Cc2cnc3ccccn23)CC1 ZINC000157343614 326269337 /nfs/dbraw/zinc/26/93/37/326269337.db2.gz UOLXYDYTJLAHRA-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CCOc1cccc(CN2CCCCCC2)n1 ZINC000157377814 326271628 /nfs/dbraw/zinc/27/16/28/326271628.db2.gz UCXVSRDPIISDBC-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN Cc1ncccc1CN1CCC[C@@H](C)C1 ZINC000157710567 326291144 /nfs/dbraw/zinc/29/11/44/326291144.db2.gz NHDDLMDCCMTANM-LLVKDONJSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1cccn2c(CN(C)[C@@H](C)C3CC3)cnc12 ZINC000158077789 326307458 /nfs/dbraw/zinc/30/74/58/326307458.db2.gz LFZKKCYVCKFJIA-LBPRGKRZSA-N 0 3 243.354 2.873 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1c[nH]nc1C(C)(C)C ZINC000158086494 326307772 /nfs/dbraw/zinc/30/77/72/326307772.db2.gz WRHCFJSQINKHRN-JTQLQIEISA-N 0 3 235.375 2.938 20 0 BFADHN CCc1nn(C)c(CC)c1CN(C)[C@H](C)C1CC1 ZINC000158122166 326309647 /nfs/dbraw/zinc/30/96/47/326309647.db2.gz PXRNTTPFHMKYPM-LLVKDONJSA-N 0 3 249.402 2.775 20 0 BFADHN CCc1oc2ccccc2c1CN(CC)CCO ZINC000158659916 326335553 /nfs/dbraw/zinc/33/55/53/326335553.db2.gz LPBRLYATEGZVLF-UHFFFAOYSA-N 0 3 247.338 2.809 20 0 BFADHN COc1cc(F)cc(CN[C@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000568274821 326339618 /nfs/dbraw/zinc/33/96/18/326339618.db2.gz HYRVWGRJJVOXIK-WDNDVIMCSA-N 0 3 235.302 2.722 20 0 BFADHN CC(C)N(C)Cc1cnn(-c2ccc(F)cc2)c1 ZINC000158740784 326341280 /nfs/dbraw/zinc/34/12/80/326341280.db2.gz SPIGSXHKLMWICG-UHFFFAOYSA-N 0 3 247.317 2.852 20 0 BFADHN Cc1cccn2c(CN3CCC(C)CC3)cnc12 ZINC000158859521 326344877 /nfs/dbraw/zinc/34/48/77/326344877.db2.gz OYCQMGBSUYZUDL-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CCCCN(CC)Cc1c(C)n[nH]c1C ZINC000158969783 326347028 /nfs/dbraw/zinc/34/70/28/326347028.db2.gz WGBVBDUTIHIGSD-UHFFFAOYSA-N 0 3 209.337 2.649 20 0 BFADHN CCC[C@H](NC[C@@](C)(O)CC)c1ccccn1 ZINC000159048825 326348499 /nfs/dbraw/zinc/34/84/99/326348499.db2.gz URXLHSJODDADTF-JSGCOSHPSA-N 0 3 236.359 2.673 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2ccccn2)cn1 ZINC000159101004 326349668 /nfs/dbraw/zinc/34/96/68/326349668.db2.gz QIRWIEFLIBQIBF-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccnc2ccccc12 ZINC000568447050 326357143 /nfs/dbraw/zinc/35/71/43/326357143.db2.gz ZYRMQUDWHHKXQU-LBPRGKRZSA-N 0 3 244.338 2.702 20 0 BFADHN COc1ccc2c(c1)CN(CC1(C)CC1)CC2 ZINC000568464342 326357773 /nfs/dbraw/zinc/35/77/73/326357773.db2.gz ZJCIGFPJRUMNOU-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN CCO[C@@H]1C[C@H]1NC1CC(c2ccccc2F)C1 ZINC000568471134 326358107 /nfs/dbraw/zinc/35/81/07/326358107.db2.gz GSEXKWMCPAPCCG-FWFPMQDGSA-N 0 3 249.329 2.839 20 0 BFADHN CC(C)N1CC[C@@](F)(c2ccccc2)C1 ZINC000568770546 326376708 /nfs/dbraw/zinc/37/67/08/326376708.db2.gz CJXPRNBTODLRKG-ZDUSSCGKSA-N 0 3 207.292 2.966 20 0 BFADHN CC(C)N1CC[C@](F)(c2ccccc2)C1 ZINC000568770545 326376726 /nfs/dbraw/zinc/37/67/26/326376726.db2.gz CJXPRNBTODLRKG-CYBMUJFWSA-N 0 3 207.292 2.966 20 0 BFADHN COc1ccc(CN[C@@H]2CC[C@H](F)C2)c(F)c1 ZINC000568890314 326381461 /nfs/dbraw/zinc/38/14/61/326381461.db2.gz SIZZGYNHCTZRRL-WDEREUQCSA-N 0 3 241.281 2.815 20 0 BFADHN COc1cc(C)nc(CNC(C)(C)C(C)C)c1 ZINC000569274699 326398782 /nfs/dbraw/zinc/39/87/82/326398782.db2.gz NCUKHSHOLLLBOO-UHFFFAOYSA-N 0 3 236.359 2.923 20 0 BFADHN Cc1ccnc(COc2cc(C)nc(C)c2C)n1 ZINC000573137626 326431902 /nfs/dbraw/zinc/43/19/02/326431902.db2.gz UJKGYJZHMULALL-UHFFFAOYSA-N 0 3 243.310 2.684 20 0 BFADHN Cc1cc(CN2C[C@@H]3COC[C@@]3(C)C2)ccc1F ZINC000668596760 487982741 /nfs/dbraw/zinc/98/27/41/487982741.db2.gz MBISBFPDTXLJQS-UKRRQHHQSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2COC(C)(C)C2)c1 ZINC000396594121 487986654 /nfs/dbraw/zinc/98/66/54/487986654.db2.gz NGFQWFBKOYVMTQ-GFCCVEGCSA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)C[C@@H](C)N1CCO[C@H](COC(C)C)C1 ZINC000682072147 487986828 /nfs/dbraw/zinc/98/68/28/487986828.db2.gz HPQFXZRYBGCMME-KGLIPLIRSA-N 0 3 243.391 2.547 20 0 BFADHN CC[C@@H](C)CCNCc1ccc(C)cn1 ZINC000400864255 487993346 /nfs/dbraw/zinc/99/33/46/487993346.db2.gz ODYNSIJFCGBIAL-LLVKDONJSA-N 0 3 206.333 2.916 20 0 BFADHN CCC[C@@H](N)c1cn(-c2cccc(F)c2C)nn1 ZINC000229927839 487994461 /nfs/dbraw/zinc/99/44/61/487994461.db2.gz BGGWZQDHQVSIDQ-LLVKDONJSA-N 0 3 248.305 2.515 20 0 BFADHN CCC[C@@H](N)c1cn(-c2ccccc2CC)nn1 ZINC000229920222 487995327 /nfs/dbraw/zinc/99/53/27/487995327.db2.gz ZLJAWAMLBNKYGX-GFCCVEGCSA-N 0 3 244.342 2.630 20 0 BFADHN COc1ccc2c(c1)CCN(C[C@H]1C[C@H]1C)C2 ZINC000668604838 487998343 /nfs/dbraw/zinc/99/83/43/487998343.db2.gz USIFUNXQJSMFCP-BXUZGUMPSA-N 0 3 231.339 2.709 20 0 BFADHN CCN1CCN(Cc2cc(C)ccc2C)C[C@@H]1C ZINC000677194414 487999010 /nfs/dbraw/zinc/99/90/10/487999010.db2.gz IHUDJFQDYKEUEM-HNNXBMFYSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1cc(CN[C@H]2CC[C@@H]2C(C)(C)C)ncn1 ZINC000672605538 488008864 /nfs/dbraw/zinc/00/88/64/488008864.db2.gz NHNJNDOFMCWYKJ-STQMWFEESA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@@H](C)N(CC)Cc1cccnc1OC ZINC000661104497 488011068 /nfs/dbraw/zinc/01/10/68/488011068.db2.gz OPRQXISNRYRLMP-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN Cc1ncccc1CN[C@@H](C)[C@@H]1CC1(F)F ZINC000666445417 488012091 /nfs/dbraw/zinc/01/20/91/488012091.db2.gz TWZUOPNMYCUJED-ONGXEEELSA-N 0 3 226.270 2.523 20 0 BFADHN C[C@@H](NCc1cccc(O)c1)[C@H]1CC1(F)F ZINC000666445308 488012648 /nfs/dbraw/zinc/01/26/48/488012648.db2.gz RLHDGLMBYWRQFS-LDYMZIIASA-N 0 3 227.254 2.526 20 0 BFADHN Cc1ccncc1CN[C@H]1C[C@H](OC(C)(C)C)C1 ZINC000655845247 488013363 /nfs/dbraw/zinc/01/33/63/488013363.db2.gz YFILHVSXPHISKF-HDJSIYSDSA-N 0 3 248.370 2.826 20 0 BFADHN Cc1ncc(CN([C@H](C)C(C)C)C2CC2)n1C ZINC000667470604 488015729 /nfs/dbraw/zinc/01/57/29/488015729.db2.gz DBNGGIWSYTWIID-LLVKDONJSA-N 0 3 235.375 2.737 20 0 BFADHN Fc1cccn2cc(CNC3(C4CC4)CC3)nc12 ZINC000677322726 488016720 /nfs/dbraw/zinc/01/67/20/488016720.db2.gz ZNNUDJHKMMUVRK-UHFFFAOYSA-N 0 3 245.301 2.506 20 0 BFADHN CC[C@H]1CCCCN1Cc1cnc2n1CCC2 ZINC000667471546 488017533 /nfs/dbraw/zinc/01/75/33/488017533.db2.gz BNAXIMFHWCEAMV-LBPRGKRZSA-N 0 3 233.359 2.594 20 0 BFADHN CCCCN(CCCC)CC(=O)NC(C)(C)C ZINC000052870374 488017741 /nfs/dbraw/zinc/01/77/41/488017741.db2.gz VIOPBKCUIQJGSC-UHFFFAOYSA-N 0 3 242.407 2.803 20 0 BFADHN CC1CCN(Cc2c(C3CC3)cnn2C)CC1 ZINC000667473310 488019232 /nfs/dbraw/zinc/01/92/32/488019232.db2.gz FVJVAMUXHNBIDP-UHFFFAOYSA-N 0 3 233.359 2.529 20 0 BFADHN CCCCN(C)Cc1c(C2CC2)cnn1C ZINC000667472923 488019114 /nfs/dbraw/zinc/01/91/14/488019114.db2.gz VXVVDXYJERSCBR-UHFFFAOYSA-N 0 3 221.348 2.529 20 0 BFADHN CCc1cccc2cc(CN(CC)CCO)oc21 ZINC000667472449 488019126 /nfs/dbraw/zinc/01/91/26/488019126.db2.gz IRPYIBFYCCMMNL-UHFFFAOYSA-N 0 3 247.338 2.809 20 0 BFADHN Cc1ccc2cc(CN(CCO)C(C)C)[nH]c2c1 ZINC000667477658 488022069 /nfs/dbraw/zinc/02/20/69/488022069.db2.gz MPWGFPQRSXAODC-UHFFFAOYSA-N 0 3 246.354 2.679 20 0 BFADHN Cc1cccc2[nH]c(CN(CCO)C(C)C)cc21 ZINC000667477635 488021917 /nfs/dbraw/zinc/02/19/17/488021917.db2.gz MBAPUVFXLLPSKI-UHFFFAOYSA-N 0 3 246.354 2.679 20 0 BFADHN CC1=CCN(Cc2cccc(N(C)C)c2)CC1 ZINC000677380223 488026680 /nfs/dbraw/zinc/02/66/80/488026680.db2.gz UZJIWNRZQGGNSY-UHFFFAOYSA-N 0 3 230.355 2.905 20 0 BFADHN CCCN(C)Cc1cc(COC)cs1 ZINC000667496264 488028626 /nfs/dbraw/zinc/02/86/26/488028626.db2.gz NZKGMNAPSPCMMK-UHFFFAOYSA-N 0 3 213.346 2.736 20 0 BFADHN C[C@@H](O)CCCN1CCCc2sccc2C1 ZINC000682759831 488031841 /nfs/dbraw/zinc/03/18/41/488031841.db2.gz YLEMNBPIRCQPBM-LLVKDONJSA-N 0 3 239.384 2.657 20 0 BFADHN CCCn1nccc1CN(C)[C@@H](C)CC ZINC000667505165 488033016 /nfs/dbraw/zinc/03/30/16/488033016.db2.gz HINVSUQMWREWIJ-NSHDSACASA-N 0 3 209.337 2.523 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccnn1CC1CC1 ZINC000667505510 488033384 /nfs/dbraw/zinc/03/33/84/488033384.db2.gz REXFDYGLCIBSAA-LLVKDONJSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@H](C)N(C)Cc1c(C2CCC2)cnn1C ZINC000667505451 488033818 /nfs/dbraw/zinc/03/38/18/488033818.db2.gz PRRGJXCKTVVHEM-NSHDSACASA-N 0 3 235.375 2.918 20 0 BFADHN Cc1cc(CNC2CCC(C)(C)CC2)ncn1 ZINC000677425250 488034179 /nfs/dbraw/zinc/03/41/79/488034179.db2.gz MLTDWNBFTOXEMC-UHFFFAOYSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC(C)(C)C2)ncn1 ZINC000677425189 488034418 /nfs/dbraw/zinc/03/44/18/488034418.db2.gz JIHJNUYUFBKRKF-GFCCVEGCSA-N 0 3 233.359 2.843 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2cc(C)ncn2)C1 ZINC000677425476 488034454 /nfs/dbraw/zinc/03/44/54/488034454.db2.gz UMXKWEYHILXAQS-CHWSQXEVSA-N 0 3 233.359 2.843 20 0 BFADHN CCc1cccc2cc(CN3CC[C@H](O)C3)oc21 ZINC000667510386 488035441 /nfs/dbraw/zinc/03/54/41/488035441.db2.gz HHLFTIKJRWFFNB-ZDUSSCGKSA-N 0 3 245.322 2.562 20 0 BFADHN c1cn2c(n1)[C@@H](NC1CC3(CCC3)C1)CCC2 ZINC000655780888 488035554 /nfs/dbraw/zinc/03/55/54/488035554.db2.gz PXKLFQFCFDWELC-LBPRGKRZSA-N 0 3 231.343 2.640 20 0 BFADHN CCc1ccc(CN[C@@H]2COC(C)(C)C2)cc1 ZINC000396836179 488035687 /nfs/dbraw/zinc/03/56/87/488035687.db2.gz XWYUOOBPUKODJT-AWEZNQCLSA-N 0 3 233.355 2.906 20 0 BFADHN CCn1ccc(CN(C)CCc2ccccn2)c1 ZINC000682779298 488038699 /nfs/dbraw/zinc/03/86/99/488038699.db2.gz XFJUMAZCJLEEJA-UHFFFAOYSA-N 0 3 243.354 2.578 20 0 BFADHN CC[C@H]1CCCN(Cc2c(C3CC3)cnn2C)C1 ZINC000667531750 488039339 /nfs/dbraw/zinc/03/93/39/488039339.db2.gz OTYLWUUCDSNSGW-LBPRGKRZSA-N 0 3 247.386 2.920 20 0 BFADHN CN(Cc1c(C2CC2)cnn1C)CC(C)(C)C ZINC000667534819 488040391 /nfs/dbraw/zinc/04/03/91/488040391.db2.gz MGFUVTQJFDEQAK-UHFFFAOYSA-N 0 3 235.375 2.775 20 0 BFADHN CC[C@@H]1CCCN1Cc1c(C2CC2)cnn1C ZINC000667545128 488041705 /nfs/dbraw/zinc/04/17/05/488041705.db2.gz YCWXWMCKKNRURR-GFCCVEGCSA-N 0 3 233.359 2.672 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2C[C@H]3CC[C@@H]2O3)cc1 ZINC000268969884 488042371 /nfs/dbraw/zinc/04/23/71/488042371.db2.gz ZPFVXXYSGIDLKQ-QOWREQOWSA-N 0 3 247.338 2.666 20 0 BFADHN C[C@@H]1CCN(Cc2c(C3CCC3)cnn2C)C1 ZINC000667553978 488045431 /nfs/dbraw/zinc/04/54/31/488045431.db2.gz HFDPEFCWXQNQHG-LLVKDONJSA-N 0 3 233.359 2.529 20 0 BFADHN C[C@H](N[C@@H]1COC(C)(C)C1)c1ccsc1 ZINC000396878514 488046763 /nfs/dbraw/zinc/04/67/63/488046763.db2.gz NPTBQBFCCVSLCP-ONGXEEELSA-N 0 3 225.357 2.966 20 0 BFADHN CCCn1nccc1CN1CCCC1(C)C ZINC000667569128 488050084 /nfs/dbraw/zinc/05/00/84/488050084.db2.gz BGWUMQYRSBESJA-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@H]1CO[C@@H](C)C1 ZINC000402319122 488053152 /nfs/dbraw/zinc/05/31/52/488053152.db2.gz MAKQDJPXEQBXKA-RWMBFGLXSA-N 0 3 249.354 2.913 20 0 BFADHN CCCn1nccc1CN1CCC[C@@H]1C1CC1 ZINC000667596292 488054634 /nfs/dbraw/zinc/05/46/34/488054634.db2.gz CNYASRSDARODME-CQSZACIVSA-N 0 3 233.359 2.668 20 0 BFADHN Cc1ccc2cc(CN(C)[C@H]3CCOC3)[nH]c2c1 ZINC000667597578 488056097 /nfs/dbraw/zinc/05/60/97/488056097.db2.gz RUYBBXHSJYNNJK-AWEZNQCLSA-N 0 3 244.338 2.697 20 0 BFADHN CCCn1nccc1CN(CC)CC1CC1 ZINC000667630518 488064138 /nfs/dbraw/zinc/06/41/38/488064138.db2.gz GBNPYNNWNBNMHS-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCN(Cc1ccnn1CC1CC1)CC1CC1 ZINC000667630626 488064363 /nfs/dbraw/zinc/06/43/63/488064363.db2.gz JZNWTTGMXIQZLN-UHFFFAOYSA-N 0 3 233.359 2.525 20 0 BFADHN Cc1ncc(CN2CCCC[C@@H]2C(C)C)n1C ZINC000667642651 488066692 /nfs/dbraw/zinc/06/66/92/488066692.db2.gz GDKXPYVHYDPSHI-CQSZACIVSA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cnc(C)n2C)C1 ZINC000667645158 488069042 /nfs/dbraw/zinc/06/90/42/488069042.db2.gz GXOSTBMVXUGMHK-AWEZNQCLSA-N 0 3 235.375 2.741 20 0 BFADHN OC1CCC(CNCc2ccc(Cl)o2)CC1 ZINC000227623158 488069719 /nfs/dbraw/zinc/06/97/19/488069719.db2.gz SGIZOTIKLISHFF-UHFFFAOYSA-N 0 3 243.734 2.574 20 0 BFADHN CCC1CCN(Cc2oc(C)nc2C)CC1 ZINC000685561456 488072041 /nfs/dbraw/zinc/07/20/41/488072041.db2.gz ATOYIEWQWUWJBW-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN Fc1cccc(CNC[C@H]2CC23CC3)c1F ZINC000561199077 488073248 /nfs/dbraw/zinc/07/32/48/488073248.db2.gz KVHRQHIFHGNDLD-SNVBAGLBSA-N 0 3 223.266 2.855 20 0 BFADHN CCCn1nccc1CN1C[C@@H](C)C[C@@H]1CC ZINC000667650758 488073930 /nfs/dbraw/zinc/07/39/30/488073930.db2.gz CTRHVZXXQPCGAH-STQMWFEESA-N 0 3 235.375 2.914 20 0 BFADHN CC[C@]1(C)CCN(Cc2oc(C)nc2C)C1 ZINC000685566515 488076777 /nfs/dbraw/zinc/07/67/77/488076777.db2.gz NDFWGYZPZZASNW-CYBMUJFWSA-N 0 3 222.332 2.913 20 0 BFADHN CC(C)(C)CCCCNC(=O)C1(N)CCCC1 ZINC000236603013 488077155 /nfs/dbraw/zinc/07/71/55/488077155.db2.gz WUOIFDYVVPDABB-UHFFFAOYSA-N 0 3 240.391 2.591 20 0 BFADHN C[C@H](N[C@@H]1CCCc2occc21)[C@@H]1CCCO1 ZINC000123919782 488077649 /nfs/dbraw/zinc/07/76/49/488077649.db2.gz CRZAKVYOCQQHSI-UHTWSYAYSA-N 0 3 235.327 2.814 20 0 BFADHN Cc1ccc(CCN2CCSCC2)cc1 ZINC000123949447 488078097 /nfs/dbraw/zinc/07/80/97/488078097.db2.gz DNBAUKLVEAFAPL-UHFFFAOYSA-N 0 3 221.369 2.586 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1c(C2CCC2)cnn1C ZINC000667664486 488079485 /nfs/dbraw/zinc/07/94/85/488079485.db2.gz MGQZDDMNNUQBIO-NWDGAFQWSA-N 0 3 247.386 2.918 20 0 BFADHN CCCn1nccc1CN1C[C@@H](C)C[C@@H]1C ZINC000667664252 488079501 /nfs/dbraw/zinc/07/95/01/488079501.db2.gz GXGLIZWGXHMCIE-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2ccnn2CC2CC2)C1 ZINC000667664839 488080288 /nfs/dbraw/zinc/08/02/88/488080288.db2.gz UGLFKEGTNXXKIG-NEPJUHHUSA-N 0 3 233.359 2.523 20 0 BFADHN C[C@@H](NC1(C2CCC2)CCC1)c1ncc[nH]1 ZINC000414183857 488080653 /nfs/dbraw/zinc/08/06/53/488080653.db2.gz NYWIHWHGPCSSCW-SNVBAGLBSA-N 0 3 219.332 2.783 20 0 BFADHN COC1(CN(C)Cc2ccc(C)cn2)CCCC1 ZINC000682998666 488082289 /nfs/dbraw/zinc/08/22/89/488082289.db2.gz CDGRPCYIGAHSJP-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1ncc(CN2CCC[C@H](CC(C)C)C2)n1C ZINC000667675065 488083369 /nfs/dbraw/zinc/08/33/69/488083369.db2.gz YULDMKQVKKAQOT-CQSZACIVSA-N 0 3 249.402 2.987 20 0 BFADHN CN(CCC1CC1)Cc1nn(C)c2ccccc12 ZINC000678340549 488083929 /nfs/dbraw/zinc/08/39/29/488083929.db2.gz URZLEILUOQDPPQ-UHFFFAOYSA-N 0 3 243.354 2.805 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccnn2CC2CC2)C1 ZINC000667682460 488084407 /nfs/dbraw/zinc/08/44/07/488084407.db2.gz LQWYNBBLIWNOKA-OAHLLOKOSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1ccnc(CN2CCCC[C@@H]2C)c1 ZINC000683003163 488084513 /nfs/dbraw/zinc/08/45/13/488084513.db2.gz MJBRLRVXYAKSCK-LBPRGKRZSA-N 0 3 204.317 2.764 20 0 BFADHN Cc1ccnc(CN2C[C@H](C)C[C@@H](C)C2)c1 ZINC000683003190 488085110 /nfs/dbraw/zinc/08/51/10/488085110.db2.gz NGTKYHDPAFDOHD-CHWSQXEVSA-N 0 3 218.344 2.868 20 0 BFADHN CCN(Cc1cc(C)ccn1)C1CCC1 ZINC000683011095 488090504 /nfs/dbraw/zinc/09/05/04/488090504.db2.gz MVJOYEXVKOUAJI-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN CCCn1nccc1CN1[C@H](C)CC[C@H]1C ZINC000667699284 488092954 /nfs/dbraw/zinc/09/29/54/488092954.db2.gz YEIOZQLWIJLKHS-VXGBXAGGSA-N 0 3 221.348 2.666 20 0 BFADHN Cc1ccnc(CN2CC[C@@H](C)[C@@H](C)C2)c1 ZINC000683019681 488096283 /nfs/dbraw/zinc/09/62/83/488096283.db2.gz PHOGOUATHHDDDD-OLZOCXBDSA-N 0 3 218.344 2.868 20 0 BFADHN C[C@H](c1ccncc1)N1CCC2(CCOC2)CC1 ZINC000677720892 488101137 /nfs/dbraw/zinc/10/11/37/488101137.db2.gz RXPHMHLXWHOXIB-CYBMUJFWSA-N 0 3 246.354 2.645 20 0 BFADHN CCn1ccc(CN(C)C[C@H]2CC[C@H](C)O2)c1 ZINC000683031159 488102373 /nfs/dbraw/zinc/10/23/73/488102373.db2.gz KCLFWZPWAGGYQP-GXTWGEPZSA-N 0 3 236.359 2.507 20 0 BFADHN C[C@@H](NCc1cnns1)C1CCC(F)CC1 ZINC000661997811 488104121 /nfs/dbraw/zinc/10/41/21/488104121.db2.gz DCSMBIGQLCJUNM-XNWIYYODSA-N 0 3 243.351 2.545 20 0 BFADHN Cc1ccc(CN[C@@H](C)C(C)(C)O)c(Cl)c1 ZINC000393987431 488106237 /nfs/dbraw/zinc/10/62/37/488106237.db2.gz IXNPBUWPLCKRDQ-JTQLQIEISA-N 0 3 241.762 2.897 20 0 BFADHN CC[C@H](C)NCc1nc2cc(F)ccc2o1 ZINC000084844395 488107331 /nfs/dbraw/zinc/10/73/31/488107331.db2.gz PCWWUCSNSGYBRO-QMMMGPOBSA-N 0 3 222.263 2.855 20 0 BFADHN FC1(F)CC2(CN(CC3CCC3)C2)C1 ZINC000677731591 488110193 /nfs/dbraw/zinc/11/01/93/488110193.db2.gz DHLGLOCOXVNIQI-UHFFFAOYSA-N 0 3 201.260 2.518 20 0 BFADHN C[C@@H]1C[C@H]1CNC(C)(C)C(=O)Nc1ccccc1 ZINC000683109551 488111896 /nfs/dbraw/zinc/11/18/96/488111896.db2.gz KYPKHFLFVWKHSX-NEPJUHHUSA-N 0 3 246.354 2.649 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@@H](C3CC3)C2)cc1F ZINC000667769928 488115947 /nfs/dbraw/zinc/11/59/47/488115947.db2.gz KAKRKSBIUSWTIZ-UKRRQHHQSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1cccc(CN[C@H]2CO[C@H](C3CC3)C2)c1 ZINC000667771505 488116799 /nfs/dbraw/zinc/11/67/99/488116799.db2.gz CHRNBTCQUVHLGN-CABCVRRESA-N 0 3 231.339 2.652 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@@H](C3CC3)C2)s1 ZINC000667790147 488121787 /nfs/dbraw/zinc/12/17/87/488121787.db2.gz JZEMEYKJXINGIC-WCQYABFASA-N 0 3 237.368 2.714 20 0 BFADHN CCC[C@@H](C)N[C@H](C)c1cc(C)n(C)n1 ZINC000389921782 488125055 /nfs/dbraw/zinc/12/50/55/488125055.db2.gz RSPQWXNEBRRERO-MWLCHTKSSA-N 0 3 209.337 2.568 20 0 BFADHN c1cn2c(n1)[C@@H](NC[C@H]1CC=CCC1)CCC2 ZINC000655699620 487537556 /nfs/dbraw/zinc/53/75/56/487537556.db2.gz FHRUFEDBTGNEDU-STQMWFEESA-N 0 3 231.343 2.664 20 0 BFADHN C[C@H](O)C1(NCc2ccc(C3CCC3)cc2)CC1 ZINC000668700088 488132962 /nfs/dbraw/zinc/13/29/62/488132962.db2.gz AJDYGYKLEOLNMG-LBPRGKRZSA-N 0 3 245.366 2.957 20 0 BFADHN CCN(Cc1ccoc1)CC1(COC)CCC1 ZINC000643368295 487540269 /nfs/dbraw/zinc/54/02/69/487540269.db2.gz HQKXLTYVQMLTCW-UHFFFAOYSA-N 0 3 237.343 2.918 20 0 BFADHN CC(C)c1ccc2c(c1)CN(CC(C)(C)O)CC2 ZINC000661372855 487541519 /nfs/dbraw/zinc/54/15/19/487541519.db2.gz DMLCKLMOTJQJGL-UHFFFAOYSA-N 0 3 247.382 2.939 20 0 BFADHN Cc1ncc(CN2CC[C@H](C3CCCC3)C2)n1C ZINC000668244340 487546920 /nfs/dbraw/zinc/54/69/20/487546920.db2.gz YDNDIUOEPLIDPL-AWEZNQCLSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H](c3ccco3)C2)o1 ZINC000685564560 487547539 /nfs/dbraw/zinc/54/75/39/487547539.db2.gz VCEIIQPOHXOFAZ-GFCCVEGCSA-N 0 3 246.310 2.874 20 0 BFADHN NCc1cccc(NC(=O)N2CCCCCC2)c1 ZINC000036720838 487554286 /nfs/dbraw/zinc/55/42/86/487554286.db2.gz MRBVYFBYZOIRFL-UHFFFAOYSA-N 0 3 247.342 2.553 20 0 BFADHN C[C@H]1CCCCN1C(=O)Nc1cccc(CN)c1 ZINC000036720786 487554305 /nfs/dbraw/zinc/55/43/05/487554305.db2.gz ZFZXYEIPXDZEEE-NSHDSACASA-N 0 3 247.342 2.552 20 0 BFADHN CCCn1nccc1CN1CC[C@@H](C)C[C@H]1C ZINC000668250107 487555051 /nfs/dbraw/zinc/55/50/51/487555051.db2.gz KNRKTMNVLDRGEI-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1nc(C)c(CN2CCS[C@H](C)CC2)o1 ZINC000685575853 487555717 /nfs/dbraw/zinc/55/57/17/487555717.db2.gz GZHBWPJRZPJNBP-SECBINFHSA-N 0 3 240.372 2.619 20 0 BFADHN Cc1ccc(CCN2CCN(C)C(C)(C)C2)cc1 ZINC000670984977 487556336 /nfs/dbraw/zinc/55/63/36/487556336.db2.gz DGQACBANNFOOHD-UHFFFAOYSA-N 0 3 246.398 2.564 20 0 BFADHN CC[C@H](C(N)=O)N1CCSC2(CCC2)CC1 ZINC000334637090 487530945 /nfs/dbraw/zinc/53/09/45/487530945.db2.gz QCANWPBFGVCTQT-SNVBAGLBSA-N 0 3 242.388 2.662 20 0 BFADHN CCOc1cccc(CN2CCC3(CC3)CC2)n1 ZINC000680070582 487532333 /nfs/dbraw/zinc/53/23/33/487532333.db2.gz JNWRXNYXWCASJW-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CO[C@@H](C)CN1CCc2cc(F)ccc2[C@H]1C ZINC000679853193 487472779 /nfs/dbraw/zinc/47/27/79/487472779.db2.gz JZHOGHPPOIESPK-WDEREUQCSA-N 0 3 237.318 2.780 20 0 BFADHN c1cnc2c(c1)CCC[C@H]2NC1(C2CC2)CC1 ZINC000684960713 487475682 /nfs/dbraw/zinc/47/56/82/487475682.db2.gz KRDWZNVWXLDTRN-CYBMUJFWSA-N 0 3 228.339 2.991 20 0 BFADHN CC[C@@H](c1ccccc1)N(C)Cc1cn[nH]c1 ZINC000673927462 487481514 /nfs/dbraw/zinc/48/15/14/487481514.db2.gz HCRNAYXKPWRDGJ-AWEZNQCLSA-N 0 3 229.327 2.993 20 0 BFADHN CCc1noc(CN2C[C@H](C)[C@H]3CCCC[C@@H]32)n1 ZINC000679861670 487477623 /nfs/dbraw/zinc/47/76/23/487477623.db2.gz BHHFQYWARLKIQT-TUAOUCFPSA-N 0 3 249.358 2.643 20 0 BFADHN C[C@@H]1COCCCN1CCCc1ccsc1 ZINC000679929743 487492835 /nfs/dbraw/zinc/49/28/35/487492835.db2.gz VHZWOAVQCSKHTL-GFCCVEGCSA-N 0 3 239.384 2.792 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@H](C)c1cc2cnccc2o1 ZINC000657971761 487495670 /nfs/dbraw/zinc/49/56/70/487495670.db2.gz WPQHFHGBODJFEE-OUAUKWLOSA-N 0 3 248.326 2.638 20 0 BFADHN c1cc(CNC(C2CCC2)C2CCC2)no1 ZINC000304373704 487497099 /nfs/dbraw/zinc/49/70/99/487497099.db2.gz VFHRGBYQIQRIOD-UHFFFAOYSA-N 0 3 220.316 2.733 20 0 BFADHN C[C@@H](N[C@@H](C)c1cc2n(n1)CCC2)C1CCC1 ZINC000666114678 487498093 /nfs/dbraw/zinc/49/80/93/487498093.db2.gz QLJPTGZBTFLRRO-MNOVXSKESA-N 0 3 233.359 2.669 20 0 BFADHN CO[C@@H]1CCCN(Cc2occc2C)CC1 ZINC000680003660 487514981 /nfs/dbraw/zinc/51/49/81/487514981.db2.gz KOQBOCAZHFQTPD-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN CN(Cc1c(C2CC2)cnn1C)C1CC(C)(C)C1 ZINC000668236757 487518522 /nfs/dbraw/zinc/51/85/22/487518522.db2.gz VLSIXNWLWVRLTE-UHFFFAOYSA-N 0 3 247.386 2.918 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CCCc1ccncc1 ZINC000360439547 487518865 /nfs/dbraw/zinc/51/88/65/487518865.db2.gz YAXAOOJUFDNMLI-HIFRSBDPSA-N 0 3 248.370 2.514 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C[C@@H](O)C2(C)C)c(C)n1 ZINC000681743841 487520492 /nfs/dbraw/zinc/52/04/92/487520492.db2.gz VNROGBCKKHZLMJ-FPMFFAJLSA-N 0 3 248.370 2.508 20 0 BFADHN COc1cc(CN2C3CCCC2CCC3)ccn1 ZINC000677820836 487521143 /nfs/dbraw/zinc/52/11/43/487521143.db2.gz YVHGXGOTAANJCB-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1ccc(O)cc1 ZINC000313464530 487525530 /nfs/dbraw/zinc/52/55/30/487525530.db2.gz BQSLJALMJAODHY-CQSZACIVSA-N 0 3 249.354 2.783 20 0 BFADHN CO[C@H](C)CN(C)Cc1nccc2ccccc21 ZINC000685447707 487525656 /nfs/dbraw/zinc/52/56/56/487525656.db2.gz ZPRKRUUESDTLFJ-GFCCVEGCSA-N 0 3 244.338 2.702 20 0 BFADHN FC1(F)CC[C@H](CNCc2cscn2)C1 ZINC000281745540 487559463 /nfs/dbraw/zinc/55/94/63/487559463.db2.gz RTZSLCVDXNHPJV-QMMMGPOBSA-N 0 3 232.299 2.668 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN(C)C2(CO)CCC2)o1 ZINC000668802806 487567875 /nfs/dbraw/zinc/56/78/75/487567875.db2.gz YVSIYTDYUOUQIG-AAEUAGOBSA-N 0 3 249.354 2.750 20 0 BFADHN COc1cccc(CN[C@H]2COC(C)(C)C2)c1C ZINC000658346448 487589320 /nfs/dbraw/zinc/58/93/20/487589320.db2.gz HNRIWOFIIUEDTB-CYBMUJFWSA-N 0 3 249.354 2.661 20 0 BFADHN Fc1ccc2c(c1)CC[C@H]2N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000178310005 487609840 /nfs/dbraw/zinc/60/98/40/487609840.db2.gz ZKNYIJYMBNSJMH-NGFQHRJXSA-N 0 3 247.313 2.723 20 0 BFADHN c1ccc([C@H]2C[C@@H]2CN2CC3(CC3)C2)cc1 ZINC000335382907 487622330 /nfs/dbraw/zinc/62/23/30/487622330.db2.gz KLWNHTKRGPSNSB-ZIAGYGMSSA-N 0 3 213.324 2.886 20 0 BFADHN Cc1cc(CNCc2ccc3occc3c2)n[nH]1 ZINC000671715809 487704611 /nfs/dbraw/zinc/70/46/11/487704611.db2.gz IYTRFZVNHFKGLV-UHFFFAOYSA-N 0 3 241.294 2.754 20 0 BFADHN Cc1ccoc1CN1CCOCC2(CCCC2)C1 ZINC000681498156 487806456 /nfs/dbraw/zinc/80/64/56/487806456.db2.gz ZGOWUJJGWXZFOZ-UHFFFAOYSA-N 0 3 249.354 2.981 20 0 BFADHN OC1(CN2CC[C@H]2c2cccc(F)c2)CCCC1 ZINC000676627236 487880883 /nfs/dbraw/zinc/88/08/83/487880883.db2.gz RFAAYRWSDSJVAQ-AWEZNQCLSA-N 0 3 249.329 2.878 20 0 BFADHN C1=CCN(C[C@H]2CCC3(CCCC3)O2)CC1 ZINC000669932853 487936322 /nfs/dbraw/zinc/93/63/22/487936322.db2.gz PLSAYZWGAODGQA-CYBMUJFWSA-N 0 3 221.344 2.740 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1CCCC[C@@H]1O ZINC000051769830 487965618 /nfs/dbraw/zinc/96/56/18/487965618.db2.gz UITBIJHZHDGPMY-BNOWGMLFSA-N 0 3 249.354 2.649 20 0 BFADHN C[C@@]1(c2ccccc2)CCN(Cc2cn[nH]c2)C1 ZINC000678281192 488064219 /nfs/dbraw/zinc/06/42/19/488064219.db2.gz SQFVFBBUZIRIDJ-OAHLLOKOSA-N 0 3 241.338 2.573 20 0 BFADHN CC(C)(C)C1=CCN([C@@H]2CCCOC2)CC1 ZINC000677561020 488074292 /nfs/dbraw/zinc/07/42/92/488074292.db2.gz GHWMWCYJNSDVMJ-CYBMUJFWSA-N 0 3 223.360 2.844 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000668675994 488095635 /nfs/dbraw/zinc/09/56/35/488095635.db2.gz KXJINIACGVJDIU-GHMZBOCLSA-N 0 3 233.359 2.549 20 0 BFADHN Cc1cc(CN2CC[C@H]3COC[C@H]3C2)ccc1F ZINC000668707329 488148257 /nfs/dbraw/zinc/14/82/57/488148257.db2.gz ZFOMAYCZNQBHLT-UONOGXRCSA-N 0 3 249.329 2.602 20 0 BFADHN CCc1ccc(CNC2(CO)CCCCC2)o1 ZINC000053096694 488149226 /nfs/dbraw/zinc/14/92/26/488149226.db2.gz CVZPMISOYGOFNT-UHFFFAOYSA-N 0 3 237.343 2.627 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H](C)[C@H]1C[C@H]1C ZINC000321739603 488151519 /nfs/dbraw/zinc/15/15/19/488151519.db2.gz ZAFCSTBIWQBPMC-XBWDGYHZSA-N 0 3 222.332 2.987 20 0 BFADHN CCC1(CO)CCN([C@H](C)c2ccncc2)CC1 ZINC000677905402 488153125 /nfs/dbraw/zinc/15/31/25/488153125.db2.gz ZVJWRAGJQWBDAY-CYBMUJFWSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1cccc(C)c1CN[C@@H]1CO[C@H](C2CC2)C1 ZINC000667910837 488153390 /nfs/dbraw/zinc/15/33/90/488153390.db2.gz ZYECCTSLGYOHTM-HOCLYGCPSA-N 0 3 245.366 2.961 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2CCO)cs1 ZINC000294605406 488156084 /nfs/dbraw/zinc/15/60/84/488156084.db2.gz VBTTYEJGQXRRPJ-ZDUSSCGKSA-N 0 3 239.384 2.793 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1cn(CC)nn1 ZINC000404419118 488157290 /nfs/dbraw/zinc/15/72/90/488157290.db2.gz AETAOWIUGIJIDM-NEPJUHHUSA-N 0 3 238.379 2.602 20 0 BFADHN CCc1cccc(F)c1CN1CCC2(COC2)C1 ZINC000668719157 488161674 /nfs/dbraw/zinc/16/16/74/488161674.db2.gz NVVBKBFQSNTPMM-UHFFFAOYSA-N 0 3 249.329 2.610 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]1C1CC1)c1nccs1 ZINC000379944237 488162257 /nfs/dbraw/zinc/16/22/57/488162257.db2.gz CWKMHWHDCDTCFS-JMJZKYOTSA-N 0 3 222.357 2.982 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1cc2cnccc2o1 ZINC000657887573 488166621 /nfs/dbraw/zinc/16/66/21/488166621.db2.gz DGGMRMBDAISLRB-CMPLNLGQSA-N 0 3 248.326 2.903 20 0 BFADHN CCOCCCOc1cc(C)nc(C)c1C ZINC000655677730 488167271 /nfs/dbraw/zinc/16/72/71/488167271.db2.gz UPWXKKBLGKNQFI-UHFFFAOYSA-N 0 3 223.316 2.812 20 0 BFADHN c1ccc2c(c1)ccnc2CN1CC=CCC1 ZINC000059659463 488170141 /nfs/dbraw/zinc/17/01/41/488170141.db2.gz RCNJXZSTDPNXNA-UHFFFAOYSA-N 0 3 224.307 2.997 20 0 BFADHN Oc1ccc2c(c1)CC[C@H](NCc1ccco1)C2 ZINC000683473601 488170915 /nfs/dbraw/zinc/17/09/15/488170915.db2.gz ABKCXNMZWQEPSZ-ZDUSSCGKSA-N 0 3 243.306 2.632 20 0 BFADHN C[C@@H]1CN(Cc2cnn3c2CCC3)CC(C)(C)C1 ZINC000667969104 488171074 /nfs/dbraw/zinc/17/10/74/488171074.db2.gz DCWILPAXWFZBBS-LBPRGKRZSA-N 0 3 247.386 2.697 20 0 BFADHN C[C@H]1CN(Cc2cnn3c2CCC3)CC(C)(C)C1 ZINC000667969103 488171204 /nfs/dbraw/zinc/17/12/04/488171204.db2.gz DCWILPAXWFZBBS-GFCCVEGCSA-N 0 3 247.386 2.697 20 0 BFADHN CCCn1nccc1CN1CC[C@@H](C)[C@H]1CC ZINC000668003162 488181773 /nfs/dbraw/zinc/18/17/73/488181773.db2.gz ISQYHIGSJLXERA-TZMCWYRMSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@@H]1C[C@H](C)[C@@H](C)N(Cc2cnc3n2CCC3)C1 ZINC000668047484 488195087 /nfs/dbraw/zinc/19/50/87/488195087.db2.gz CKLAOBQZCTZPRY-FRRDWIJNSA-N 0 3 247.386 2.696 20 0 BFADHN C[C@@H](NC[C@@H](C)c1ccncc1)c1cscn1 ZINC000678116068 488198374 /nfs/dbraw/zinc/19/83/74/488198374.db2.gz MLEPWQROIKOZFZ-GHMZBOCLSA-N 0 3 247.367 2.992 20 0 BFADHN C[C@H]1CCCN(Cc2n[nH]c3ccccc32)C1 ZINC000678120246 488199905 /nfs/dbraw/zinc/19/99/05/488199905.db2.gz CXLCTTNLSNOBGT-NSHDSACASA-N 0 3 229.327 2.795 20 0 BFADHN C[C@H]1CCCN(Cc2[nH]nc3ccccc32)C1 ZINC000678120246 488199907 /nfs/dbraw/zinc/19/99/07/488199907.db2.gz CXLCTTNLSNOBGT-NSHDSACASA-N 0 3 229.327 2.795 20 0 BFADHN CC(C)CN(C)Cc1cn2cccc(F)c2n1 ZINC000678132408 488202933 /nfs/dbraw/zinc/20/29/33/488202933.db2.gz DWTXGAJYVIVGDS-UHFFFAOYSA-N 0 3 235.306 2.561 20 0 BFADHN CC1(C)C[C@@H]1N[C@@H]1CCCc2cccnc21 ZINC000085789599 488204825 /nfs/dbraw/zinc/20/48/25/488204825.db2.gz LCNMZPXSLVSVIA-NEPJUHHUSA-N 0 3 216.328 2.847 20 0 BFADHN CC[C@@H](C)N(C)Cc1nn(C)c2ccccc12 ZINC000678141313 488206736 /nfs/dbraw/zinc/20/67/36/488206736.db2.gz VGVFCYNKMBMFLI-LLVKDONJSA-N 0 3 231.343 2.804 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1CCCc2ccccc21 ZINC000683694288 488208828 /nfs/dbraw/zinc/20/88/28/488208828.db2.gz RXKTUYUDMVKDNG-SOUVJXGZSA-N 0 3 231.339 2.831 20 0 BFADHN COCCN(Cc1cncs1)C1CCCC1 ZINC000678155066 488209592 /nfs/dbraw/zinc/20/95/92/488209592.db2.gz GDZVBTHTJKBHLC-UHFFFAOYSA-N 0 3 240.372 2.534 20 0 BFADHN CCC(CC)N(CC)Cc1cnc(C)n1C ZINC000668176506 488215019 /nfs/dbraw/zinc/21/50/19/488215019.db2.gz XTBHWLDXFGCERQ-UHFFFAOYSA-N 0 3 223.364 2.739 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@H]2CCCc3cccnc32)O1 ZINC000398233013 488215779 /nfs/dbraw/zinc/21/57/79/488215779.db2.gz UKFYIHUROTVPSU-XBFCOCLRSA-N 0 3 246.354 2.616 20 0 BFADHN c1nc2n(c1CN(C1CCC1)C1CCC1)CCC2 ZINC000668188232 488217658 /nfs/dbraw/zinc/21/76/58/488217658.db2.gz AMMNTLYKVFIRBO-UHFFFAOYSA-N 0 3 245.370 2.736 20 0 BFADHN COCCCN(C)Cc1nccc2ccccc21 ZINC000678215819 488217836 /nfs/dbraw/zinc/21/78/36/488217836.db2.gz GYHYWAZODNXRPA-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN c1nn2c(c1CN(C1CCC1)C1CCC1)CCC2 ZINC000668188353 488217891 /nfs/dbraw/zinc/21/78/91/488217891.db2.gz FYDIQNXGKSIXJP-UHFFFAOYSA-N 0 3 245.370 2.736 20 0 BFADHN CC[C@@H]1CN(Cc2cccc(N)c2)C[C@H](CC)O1 ZINC000398553428 488219194 /nfs/dbraw/zinc/21/91/94/488219194.db2.gz CVILPNKBSDZVJF-GASCZTMLSA-N 0 3 248.370 2.658 20 0 BFADHN CC(C)(C)C1CCN(Cc2cn[nH]c2)CC1 ZINC000678223739 488220367 /nfs/dbraw/zinc/22/03/67/488220367.db2.gz HVGUBXZDYWWQGK-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN c1ccc2c(c1)CN(C1CCCC1)CCO2 ZINC000678233800 488221376 /nfs/dbraw/zinc/22/13/76/488221376.db2.gz ZAYXAOVTFGTTMD-UHFFFAOYSA-N 0 3 217.312 2.824 20 0 BFADHN c1cc2c(s1)CCCN(CC1=CCCOC1)C2 ZINC000678259120 488227813 /nfs/dbraw/zinc/22/78/13/488227813.db2.gz BOSSXIDNBSAOEW-UHFFFAOYSA-N 0 3 249.379 2.843 20 0 BFADHN Cc1csc(CN[C@H]2CO[C@@H](C3CC3)C2)c1 ZINC000668215211 488228903 /nfs/dbraw/zinc/22/89/03/488228903.db2.gz XIOBLPWVALQJAQ-DGCLKSJQSA-N 0 3 237.368 2.714 20 0 BFADHN CN(Cc1cn[nH]c1)C[C@H]1C[C@H]1c1ccccc1 ZINC000678266024 488230444 /nfs/dbraw/zinc/23/04/44/488230444.db2.gz JFBJOSAVUMKQCO-CABCVRRESA-N 0 3 241.338 2.645 20 0 BFADHN c1n[nH]cc1CN1CCC[C@]2(CC=CCC2)C1 ZINC000678267695 488231457 /nfs/dbraw/zinc/23/14/57/488231457.db2.gz UQLHBGYBKBDYDL-CQSZACIVSA-N 0 3 231.343 2.732 20 0 BFADHN C[C@H](O)CCN(C)Cc1ccccc1C1CC1 ZINC000678270788 488232852 /nfs/dbraw/zinc/23/28/52/488232852.db2.gz UBXKBQCHNTXVJI-LBPRGKRZSA-N 0 3 233.355 2.767 20 0 BFADHN CCN(Cc1n[nH]c2ccccc21)C1CCC1 ZINC000678272527 488233709 /nfs/dbraw/zinc/23/37/09/488233709.db2.gz HBYNEPURBWAEOP-UHFFFAOYSA-N 0 3 229.327 2.937 20 0 BFADHN CCN(Cc1[nH]nc2ccccc21)C1CCC1 ZINC000678272527 488233711 /nfs/dbraw/zinc/23/37/11/488233711.db2.gz HBYNEPURBWAEOP-UHFFFAOYSA-N 0 3 229.327 2.937 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2nn(C)c3ccccc23)C1 ZINC000678276249 488235149 /nfs/dbraw/zinc/23/51/49/488235149.db2.gz CIFQZYQBKKOIHF-VXGBXAGGSA-N 0 3 243.354 2.804 20 0 BFADHN Cc1nc(C)c(CN(C)[C@H](C)C(C)C)o1 ZINC000683898154 488240228 /nfs/dbraw/zinc/24/02/28/488240228.db2.gz MDPKNBYAHLQIJP-SNVBAGLBSA-N 0 3 210.321 2.768 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1nn(C)c2ccccc12 ZINC000678336219 488242191 /nfs/dbraw/zinc/24/21/91/488242191.db2.gz BGFMFJIDKLWUAY-RYUDHWBXSA-N 0 3 243.354 2.946 20 0 BFADHN c1ccc2c(c1)n[nH]c2CN1[C@H]2C=CC[C@@H]1CC2 ZINC000678342130 488243443 /nfs/dbraw/zinc/24/34/43/488243443.db2.gz QZSPSIATXGNTQK-NWDGAFQWSA-N 0 3 239.322 2.856 20 0 BFADHN c1c2ccccc2[nH]c1CNC[C@@H]1CCCCO1 ZINC000683926226 488245787 /nfs/dbraw/zinc/24/57/87/488245787.db2.gz CDBDLLHIJLWUGU-AWEZNQCLSA-N 0 3 244.338 2.827 20 0 BFADHN c1c2ccccc2[nH]c1CNC[C@H]1CCCCO1 ZINC000683926227 488245852 /nfs/dbraw/zinc/24/58/52/488245852.db2.gz CDBDLLHIJLWUGU-CQSZACIVSA-N 0 3 244.338 2.827 20 0 BFADHN Cc1ccc([C@H](C)NC[C@]2(C)CCCO2)c(C)n1 ZINC000678429125 488255913 /nfs/dbraw/zinc/25/59/13/488255913.db2.gz UVFMKSSULJOMIP-WFASDCNBSA-N 0 3 248.370 2.918 20 0 BFADHN C[C@H]1CN(Cc2cc3ccccc3[nH]2)CCCO1 ZINC000684022065 488255919 /nfs/dbraw/zinc/25/59/19/488255919.db2.gz DPQQPMSKSWLTDQ-LBPRGKRZSA-N 0 3 244.338 2.779 20 0 BFADHN C[C@H]1COCCCN1Cc1cc2ccccc2[nH]1 ZINC000684021688 488256376 /nfs/dbraw/zinc/25/63/76/488256376.db2.gz RVNAEVGYFZQLDR-LBPRGKRZSA-N 0 3 244.338 2.779 20 0 BFADHN C[C@H]1CCC[C@@H](CN(C)Cc2cn[nH]c2)C1 ZINC000678466504 488257696 /nfs/dbraw/zinc/25/76/96/488257696.db2.gz GXGKLRBAJYLXIG-NWDGAFQWSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@H](CC1CCC1)N[C@@H]1CCCc2c[nH]nc21 ZINC000678599256 488269832 /nfs/dbraw/zinc/26/98/32/488269832.db2.gz YTFTUQCXFCLHFS-ZWNOBZJWSA-N 0 3 233.359 2.955 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2cccs2)ncn1 ZINC000678604531 488270831 /nfs/dbraw/zinc/27/08/31/488270831.db2.gz IFFDXFXVHMBPCA-LLVKDONJSA-N 0 3 247.367 2.567 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H](CO)CC1CC1 ZINC000678606853 488271828 /nfs/dbraw/zinc/27/18/28/488271828.db2.gz LPGJZONBZKGVKQ-YPMHNXCESA-N 0 3 249.354 2.507 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](CO)CC2CC2)c(C)n1 ZINC000678606855 488271897 /nfs/dbraw/zinc/27/18/97/488271897.db2.gz LQKGCTFLZKIJST-JSGCOSHPSA-N 0 3 248.370 2.510 20 0 BFADHN CN(CC(C)(C)c1ccccc1)[C@@H]1CCOC1 ZINC000678822697 488287872 /nfs/dbraw/zinc/28/78/72/488287872.db2.gz FUPDBFKHKGEOPI-CQSZACIVSA-N 0 3 233.355 2.685 20 0 BFADHN CCC(C)(C)N(C)Cc1ccc(OC)cn1 ZINC000678886162 488293695 /nfs/dbraw/zinc/29/36/95/488293695.db2.gz MSVHILMCTQMBIR-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN C[C@@H]1CCCC[C@H]1CCNCc1cn(C)cn1 ZINC000673602255 488296940 /nfs/dbraw/zinc/29/69/40/488296940.db2.gz SDHANKCVQWOWJL-OLZOCXBDSA-N 0 3 235.375 2.726 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1cn(C)cn1 ZINC000673603472 488297124 /nfs/dbraw/zinc/29/71/24/488297124.db2.gz ZSVPFFOPMRJVDU-YPMHNXCESA-N 0 3 235.375 2.725 20 0 BFADHN Cc1ccc(C)c(OCCN2CC=CCC2)c1 ZINC000679323129 488336457 /nfs/dbraw/zinc/33/64/57/488336457.db2.gz JHRPLLLRAMVUPO-UHFFFAOYSA-N 0 3 231.339 2.944 20 0 BFADHN CC(C)n1nccc1CN1CCC[C@H]1C1CC1 ZINC000684359117 488300492 /nfs/dbraw/zinc/30/04/92/488300492.db2.gz MLWSRNJQKARVRC-AWEZNQCLSA-N 0 3 233.359 2.838 20 0 BFADHN COCC1(N[C@H]2CCCc3ccc(F)cc32)CC1 ZINC000679073588 488306294 /nfs/dbraw/zinc/30/62/94/488306294.db2.gz FEEQJJPQGJKNRB-AWEZNQCLSA-N 0 3 249.329 2.972 20 0 BFADHN CC(C)C1CN(Cc2ccc3[nH]cnc3c2)C1 ZINC000684408142 488307220 /nfs/dbraw/zinc/30/72/20/488307220.db2.gz FKPSAGCUVUQFOZ-UHFFFAOYSA-N 0 3 229.327 2.651 20 0 BFADHN CC(C)C1CN(Cc2ccc3nc[nH]c3c2)C1 ZINC000684408142 488307222 /nfs/dbraw/zinc/30/72/22/488307222.db2.gz FKPSAGCUVUQFOZ-UHFFFAOYSA-N 0 3 229.327 2.651 20 0 BFADHN COCc1ccc(CN2CC(C(C)C)C2)cc1 ZINC000684407347 488307256 /nfs/dbraw/zinc/30/72/56/488307256.db2.gz CMOAGSLLKIZMJY-UHFFFAOYSA-N 0 3 233.355 2.921 20 0 BFADHN CCc1cccnc1N[C@@H]1CCN(C)[C@@H](C)C1 ZINC000679151987 488313827 /nfs/dbraw/zinc/31/38/27/488313827.db2.gz WYRVVUPLQTXSED-WCQYABFASA-N 0 3 233.359 2.539 20 0 BFADHN C[C@H]1CCN(CCc2ccccc2)C[C@@H]1F ZINC000679224307 488320887 /nfs/dbraw/zinc/32/08/87/488320887.db2.gz NLXUWHIZCKTFNJ-JSGCOSHPSA-N 0 3 221.319 2.909 20 0 BFADHN C[C@@H]1CCN(CCCOC(C)(C)C)C[C@@H]1F ZINC000679227812 488322401 /nfs/dbraw/zinc/32/24/01/488322401.db2.gz SXQQZJATCIZTJZ-NEPJUHHUSA-N 0 3 231.355 2.872 20 0 BFADHN c1nc(CN(C2CC2)C2CCCCC2)c[nH]1 ZINC000684617148 488332602 /nfs/dbraw/zinc/33/26/02/488332602.db2.gz ODPIDEGDRCCLKW-UHFFFAOYSA-N 0 3 219.332 2.707 20 0 BFADHN c1ncc(CN(C2CC2)C2CCCCC2)[nH]1 ZINC000684617148 488332603 /nfs/dbraw/zinc/33/26/03/488332603.db2.gz ODPIDEGDRCCLKW-UHFFFAOYSA-N 0 3 219.332 2.707 20 0 BFADHN CN(CCCc1cccc(F)c1)Cc1cn[nH]c1 ZINC000679319281 488334350 /nfs/dbraw/zinc/33/43/50/488334350.db2.gz MHGHNOADQORXFR-UHFFFAOYSA-N 0 3 247.317 2.613 20 0 BFADHN CC1=C[C@H](C)CN(Cc2ccc(N(C)C)nc2)C1 ZINC000684683967 488338796 /nfs/dbraw/zinc/33/87/96/488338796.db2.gz WYACAPITQRKKDK-LBPRGKRZSA-N 0 3 245.370 2.546 20 0 BFADHN CC1=CCCN([C@@H](C)c2ccncc2)C1 ZINC000684773936 488341440 /nfs/dbraw/zinc/34/14/40/488341440.db2.gz MBBADEYQOAQHHT-LBPRGKRZSA-N 0 3 202.301 2.795 20 0 BFADHN Cc1cc(F)ccc1CN1CC=CCC1 ZINC000679358188 488344468 /nfs/dbraw/zinc/34/44/68/488344468.db2.gz DSLSUVYPJYUKLD-UHFFFAOYSA-N 0 3 205.276 2.896 20 0 BFADHN c1ccc([C@H](NC2CSC2)[C@@H]2CCCO2)cc1 ZINC000679625147 488360054 /nfs/dbraw/zinc/36/00/54/488360054.db2.gz CWRQREHQDCHXKP-KBPBESRZSA-N 0 3 249.379 2.612 20 0 BFADHN CC(C)(CO)CNCc1cc(Cl)ccc1F ZINC000119816027 490052550 /nfs/dbraw/zinc/05/25/50/490052550.db2.gz GJZCZEHDMWOAHE-UHFFFAOYSA-N 0 3 245.725 2.587 20 0 BFADHN CCOc1ccc(CN2C3CCC2CC3)nc1 ZINC000674861391 490111831 /nfs/dbraw/zinc/11/18/31/490111831.db2.gz AGQDKLXJBDTVBH-UHFFFAOYSA-N 0 3 232.327 2.607 20 0 BFADHN CCCCN(CCCC)C(=O)/C=C/CN(C)C ZINC000491868696 490120780 /nfs/dbraw/zinc/12/07/80/490120780.db2.gz MDULJFWOUWGVHC-MDZDMXLPSA-N 0 3 240.391 2.533 20 0 BFADHN C[C@@H](NC1CC=CC1)c1cncc(F)c1 ZINC000122093288 490124346 /nfs/dbraw/zinc/12/43/46/490124346.db2.gz ZKQJASHKXKQVJO-SECBINFHSA-N 0 3 206.264 2.590 20 0 BFADHN c1cncc(CNCc2cccc3c2CCC3)c1 ZINC000651666490 490129968 /nfs/dbraw/zinc/12/99/68/490129968.db2.gz DQQKNEFVEDWASG-UHFFFAOYSA-N 0 3 238.334 2.860 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)C[C@H](O)C1CC1 ZINC000069092145 490142684 /nfs/dbraw/zinc/14/26/84/490142684.db2.gz LWIUGOBLRFPTBW-HZMBPMFUSA-N 0 3 237.318 2.589 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@@H](C)O[C@H]2C)c(C)c1 ZINC000582765780 490150524 /nfs/dbraw/zinc/15/05/24/490150524.db2.gz PPGHLZKVLSURKP-TYNCELHUSA-N 0 3 249.354 2.659 20 0 BFADHN C[C@@H](N[C@H]1CCOC1)c1ccc(Cl)c(F)c1 ZINC000215034043 490181009 /nfs/dbraw/zinc/18/10/09/490181009.db2.gz CHDRYMCSFKMCQX-SCZZXKLOSA-N 0 3 243.709 2.919 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000192868798 490209289 /nfs/dbraw/zinc/20/92/89/490209289.db2.gz SQOAPZVKSAAOEI-LEKOTGGOSA-N 0 3 233.311 2.567 20 0 BFADHN Cc1ccc(C)c([C@@H](C)N[C@H]2CCOC2)c1 ZINC000070966881 490250264 /nfs/dbraw/zinc/25/02/64/490250264.db2.gz JCNKBZFOHZRARG-OLZOCXBDSA-N 0 3 219.328 2.743 20 0 BFADHN Cc1ccc(CN[C@H](C)Cc2ccccn2)nc1 ZINC000655843228 490260576 /nfs/dbraw/zinc/26/05/76/490260576.db2.gz HROFNLRYAGRRMF-CYBMUJFWSA-N 0 3 241.338 2.506 20 0 BFADHN C[C@H]1C[C@H](NCC2CCCCCC2)c2ncnn21 ZINC000657571097 490286087 /nfs/dbraw/zinc/28/60/87/490286087.db2.gz VXYFRQBJLHRNHY-AAEUAGOBSA-N 0 3 248.374 2.844 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CC[C@@H]2C2CC2)o1 ZINC000426323986 490288251 /nfs/dbraw/zinc/28/82/51/490288251.db2.gz ORKUTEZJBBKEKP-VXGBXAGGSA-N 0 3 234.343 2.824 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1cc2cnccc2o1 ZINC000657888143 490292627 /nfs/dbraw/zinc/29/26/27/490292627.db2.gz OFWLQMRQHNCOEV-ZYHUDNBSSA-N 0 3 248.326 2.639 20 0 BFADHN COc1ccc(CNCc2ccn(C)c2)c(C)c1 ZINC000536528788 490409062 /nfs/dbraw/zinc/40/90/62/490409062.db2.gz URAPGVRBWXDAPI-UHFFFAOYSA-N 0 3 244.338 2.632 20 0 BFADHN CCN1C[C@H](CN(C)C(C)C)Oc2ccccc21 ZINC000072980569 490414772 /nfs/dbraw/zinc/41/47/72/490414772.db2.gz XMDCVDKPOOGCBP-ZDUSSCGKSA-N 0 3 248.370 2.614 20 0 BFADHN C[C@H](NCC(C)(C)CO)c1cc(F)ccc1F ZINC000126353760 490491875 /nfs/dbraw/zinc/49/18/75/490491875.db2.gz WCNDXDIWTNKVBL-VIFPVBQESA-N 0 3 243.297 2.634 20 0 BFADHN Cc1nc(CN[C@@H](C)C2CCC2)cs1 ZINC000126561916 490505069 /nfs/dbraw/zinc/50/50/69/490505069.db2.gz KAKRZPDFJXCDGL-QMMMGPOBSA-N 0 3 210.346 2.730 20 0 BFADHN COc1ccccc1CN1CC[C@H]2C[C@H]2C1 ZINC000668675506 490509101 /nfs/dbraw/zinc/50/91/01/490509101.db2.gz DJJZVMTUUPLYGJ-AAEUAGOBSA-N 0 3 217.312 2.537 20 0 BFADHN CCC[C@@](C)(O)CN[C@H](C)c1cnc(C)s1 ZINC000126975620 490530980 /nfs/dbraw/zinc/53/09/80/490530980.db2.gz GLRWUNHRQPMYTG-BXKDBHETSA-N 0 3 242.388 2.653 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(Cl)s2)CO1 ZINC000335424339 490541765 /nfs/dbraw/zinc/54/17/65/490541765.db2.gz GFVLJWHGFRQGPO-HTQZYQBOSA-N 0 3 231.748 2.669 20 0 BFADHN CC1(C)CC(NCc2cn3ccsc3n2)C1 ZINC000335769594 490563703 /nfs/dbraw/zinc/56/37/03/490563703.db2.gz ANRKTIOQFBLRNK-UHFFFAOYSA-N 0 3 235.356 2.674 20 0 BFADHN CCC[C@]1(C)CCCN(Cc2cncnc2)C1 ZINC000128183902 490574980 /nfs/dbraw/zinc/57/49/80/490574980.db2.gz LKFNTUNVCIFGTN-CQSZACIVSA-N 0 3 233.359 2.879 20 0 BFADHN Fc1ccc(F)c(CNC2CC=CC2)c1 ZINC000131195079 490624707 /nfs/dbraw/zinc/62/47/07/490624707.db2.gz XGCKLNFORPVYKJ-UHFFFAOYSA-N 0 3 209.239 2.773 20 0 BFADHN CCC1(CC)[C@@H](NCc2ccccn2)C[C@@H]1OC ZINC000131358797 490633204 /nfs/dbraw/zinc/63/32/04/490633204.db2.gz LOHIAVVYWCLWDH-KBPBESRZSA-N 0 3 248.370 2.765 20 0 BFADHN CCc1ccc(CNC[C@@](C)(OC)C2CC2)o1 ZINC000291088242 490665842 /nfs/dbraw/zinc/66/58/42/490665842.db2.gz WRCVHJXIZGJOPX-CQSZACIVSA-N 0 3 237.343 2.747 20 0 BFADHN c1cnc2c(c1)CCC[C@@H]2N[C@H]1CCCSC1 ZINC000136351076 491056274 /nfs/dbraw/zinc/05/62/74/491056274.db2.gz ZKPVLCFALHPFJX-STQMWFEESA-N 0 3 248.395 2.944 20 0 BFADHN c1ccc2c(c1)CSC[C@H]2N[C@H]1CCCOC1 ZINC000109545824 491078901 /nfs/dbraw/zinc/07/89/01/491078901.db2.gz VYQSTVZCGIALNE-GXTWGEPZSA-N 0 3 249.379 2.743 20 0 BFADHN C[C@H]1CC[C@H](N[C@H]2CCCn3ccnc32)CC1 ZINC000655728134 491065584 /nfs/dbraw/zinc/06/55/84/491065584.db2.gz VIVFUUHOAYDTBG-AVGNSLFASA-N 0 3 233.359 2.886 20 0 BFADHN CCc1cccc(CNCc2c(C)n[nH]c2C)c1 ZINC000651695402 491073871 /nfs/dbraw/zinc/07/38/71/491073871.db2.gz HYWLWOAPQGKHJM-UHFFFAOYSA-N 0 3 243.354 2.879 20 0 BFADHN CC(C)(NCc1cc2n(n1)CCCC2)C1CCC1 ZINC000655778092 491078713 /nfs/dbraw/zinc/07/87/13/491078713.db2.gz BLNLRCRONVPMIB-UHFFFAOYSA-N 0 3 247.386 2.888 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccc(F)c(F)c1)C(C)(C)O ZINC000087817653 491092528 /nfs/dbraw/zinc/09/25/28/491092528.db2.gz DPJUJVPXTRWAHU-DTWKUNHWSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCc3cc(F)ccc32)CO1 ZINC000573322786 491092659 /nfs/dbraw/zinc/09/26/59/491092659.db2.gz BJGFJTKNLKPZFU-WDBKCZKBSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ccon2)C[C@@H]1C ZINC000088052377 491094206 /nfs/dbraw/zinc/09/42/06/491094206.db2.gz SQKJRHBRRNEPMG-OUAUKWLOSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CN(Cc2c(C3CCC3)cnn2C)C[C@H]1C ZINC000667650922 491110721 /nfs/dbraw/zinc/11/07/21/491110721.db2.gz IEGNQAHJHXKYND-VXGBXAGGSA-N 0 3 247.386 2.775 20 0 BFADHN CC(C)C1(CN2CCOC3(CCC3)C2)CC1 ZINC000638116101 491112400 /nfs/dbraw/zinc/11/24/00/491112400.db2.gz VZERMDLRWVBJMN-UHFFFAOYSA-N 0 3 223.360 2.678 20 0 BFADHN CC1(C)[C@@H](O)CCN1Cc1cc2ccccc2o1 ZINC000336029409 491123727 /nfs/dbraw/zinc/12/37/27/491123727.db2.gz VHCMHEYDXPNLMA-AWEZNQCLSA-N 0 3 245.322 2.778 20 0 BFADHN COC[C@@H](N[C@@H]1CCCc2cccnc21)C(C)C ZINC000091661816 491127187 /nfs/dbraw/zinc/12/71/87/491127187.db2.gz ZUBXGKODKUZSIW-ZIAGYGMSSA-N 0 3 248.370 2.720 20 0 BFADHN C[C@@H](NC[C@@H](C)c1ccccc1)c1ncc[nH]1 ZINC000139004343 491130146 /nfs/dbraw/zinc/13/01/46/491130146.db2.gz UUUZTFMNQMHUKP-VXGBXAGGSA-N 0 3 229.327 2.864 20 0 BFADHN FCCCN[C@@H]1CCc2c1cc(F)cc2F ZINC000125931801 491130208 /nfs/dbraw/zinc/13/02/08/491130208.db2.gz UILGBUIHYFWERU-GFCCVEGCSA-N 0 3 229.245 2.901 20 0 BFADHN C[C@H](N[C@H]1CCc2ccccc2C1)c1ncc[nH]1 ZINC000139053909 491130248 /nfs/dbraw/zinc/13/02/48/491130248.db2.gz MVFAHOXNLFWVDD-FZMZJTMJSA-N 0 3 241.338 2.618 20 0 BFADHN c1cc2c(s1)CCC[C@H]2NC1CSC1 ZINC000307742326 491134323 /nfs/dbraw/zinc/13/43/23/491134323.db2.gz KGNRHGCXDBGAKC-SNVBAGLBSA-N 0 3 225.382 2.831 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc(OC)c(OC)c1 ZINC000223693983 491138200 /nfs/dbraw/zinc/13/82/00/491138200.db2.gz HECFYXMSEFPBDL-QRGHLMKCSA-N 0 3 235.327 2.931 20 0 BFADHN C[C@H](CO)CNC1(c2cccc(Cl)c2)CC1 ZINC000309372126 491147604 /nfs/dbraw/zinc/14/76/04/491147604.db2.gz CCSRGAWJDTXCTR-JTQLQIEISA-N 0 3 239.746 2.547 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCCC[C@@H]2C)o1 ZINC000309774663 491156190 /nfs/dbraw/zinc/15/61/90/491156190.db2.gz LIZAKQSJWYQCAW-CABZTGNLSA-N 0 3 222.332 2.960 20 0 BFADHN C/C=C/CN[C@@H]1CCCc2c(O)cccc21 ZINC000309926937 491158283 /nfs/dbraw/zinc/15/82/83/491158283.db2.gz VULBSZKJJQYKCP-YWVDXFKGSA-N 0 3 217.312 2.935 20 0 BFADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1cn(C)cn1 ZINC000310293753 491160973 /nfs/dbraw/zinc/16/09/73/491160973.db2.gz ADLRVXIOIJJFQE-KBPBESRZSA-N 0 3 235.375 2.725 20 0 BFADHN CC(C)(C)OCCN1CCC[C@]2(CC2(F)F)C1 ZINC000844434488 582585715 /nfs/dbraw/zinc/58/57/15/582585715.db2.gz ACSXETYLDRGBSX-LBPRGKRZSA-N 0 3 247.329 2.923 20 0 BFADHN Cc1cc(F)ccc1CN(C)CCCF ZINC000487960572 533877557 /nfs/dbraw/zinc/87/75/57/533877557.db2.gz UJSZYMWXBKYKNR-UHFFFAOYSA-N 0 3 213.271 2.926 20 0 BFADHN Cc1cc(F)ccc1CN(C)CCC[C@H](C)O ZINC000488060608 533878155 /nfs/dbraw/zinc/87/81/55/533878155.db2.gz JBUVBSMZUGBKGK-LBPRGKRZSA-N 0 3 239.334 2.727 20 0 BFADHN CCC[C@@](C)(O)CNCc1ccc(Cl)o1 ZINC000163993676 535546782 /nfs/dbraw/zinc/54/67/82/535546782.db2.gz KRCFBARWTDNGNE-LLVKDONJSA-N 0 3 231.723 2.574 20 0 BFADHN CCOCCCN[C@@H](CC)c1c(C)noc1C ZINC000348140413 535550609 /nfs/dbraw/zinc/55/06/09/535550609.db2.gz KDINYLZAXRUNBC-LBPRGKRZSA-N 0 3 240.347 2.759 20 0 BFADHN CCCn1cc(CN(CC(C)C)C2CC2)cn1 ZINC000179512931 535607673 /nfs/dbraw/zinc/60/76/73/535607673.db2.gz WPOAEHGJVSLDKH-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN CCCn1cc(CNCc2sccc2C)cn1 ZINC000227285602 535612673 /nfs/dbraw/zinc/61/26/73/535612673.db2.gz RGXMMMLUNPVPCN-UHFFFAOYSA-N 0 3 249.383 2.953 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](c1nccn1C)C1CC1 ZINC000348277104 535823638 /nfs/dbraw/zinc/82/36/38/535823638.db2.gz FYXVSODQCDSXNJ-KGYLQXTDSA-N 0 3 233.359 2.649 20 0 BFADHN CC(C)c1nnc(C[NH2+]CC2CCCCC2)[n-]1 ZINC000449405413 517489446 /nfs/dbraw/zinc/48/94/46/517489446.db2.gz LFWOMXBHJYSVKZ-UHFFFAOYSA-N 0 3 236.363 2.598 20 0 BFADHN CC(C)c1nnc(CNCC2CCCCC2)[nH]1 ZINC000449405413 517489466 /nfs/dbraw/zinc/48/94/66/517489466.db2.gz LFWOMXBHJYSVKZ-UHFFFAOYSA-N 0 3 236.363 2.598 20 0 BFADHN Fc1cccc2c1CN(CCN1CCCCC1)C2 ZINC000335167976 518196115 /nfs/dbraw/zinc/19/61/15/518196115.db2.gz OCCHGQDDVRWJQM-UHFFFAOYSA-N 0 3 248.345 2.627 20 0 BFADHN Cc1ccn2cc(CN[C@@H]3C[C@H](C)[C@H]3C)nc2c1 ZINC000495089914 534364580 /nfs/dbraw/zinc/36/45/80/534364580.db2.gz ZBZGVRGVGJMZGP-OUCADQQQSA-N 0 3 243.354 2.777 20 0 BFADHN CCn1cc(CN2C[C@@H](C)CC[C@H]2C)c(C)n1 ZINC000892066172 582782921 /nfs/dbraw/zinc/78/29/21/582782921.db2.gz AZIDQGBEWDMGBL-NWDGAFQWSA-N 0 3 235.375 2.832 20 0 BFADHN CCc1[nH]nc(Cl)c1CN1C[C@@H](C)[C@H](C)C1 ZINC000892068912 582784706 /nfs/dbraw/zinc/78/47/06/582784706.db2.gz WCHZALGPOMEBNO-RKDXNWHRSA-N 0 3 241.766 2.713 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccc(OC)o2)C1 ZINC000892147383 582801588 /nfs/dbraw/zinc/80/15/88/582801588.db2.gz WEJJUBSUSCACQX-ZDUSSCGKSA-N 0 3 223.316 2.910 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3C[C@@H](C)[C@H]3C)cn2c1 ZINC000495004034 534503988 /nfs/dbraw/zinc/50/39/88/534503988.db2.gz FBFZCDSQFKMHJI-YRGRVCCFSA-N 0 3 243.354 2.777 20 0 BFADHN CCN(Cn1cc[nH]c1=S)C1CCCCC1 ZINC000743348465 582840348 /nfs/dbraw/zinc/84/03/48/582840348.db2.gz XTSXSZNZGPHTLZ-UHFFFAOYSA-N 0 3 239.388 2.784 20 0 BFADHN CCn1cc(CNCc2cccc(C)c2)c(C)n1 ZINC000020349213 523384598 /nfs/dbraw/zinc/38/45/98/523384598.db2.gz YURYOJVTFVTABX-UHFFFAOYSA-N 0 3 243.354 2.810 20 0 BFADHN Cc1ncc(CN(C)C[C@H]2C[C@@H]2C)s1 ZINC000488298533 534733605 /nfs/dbraw/zinc/73/36/05/534733605.db2.gz RCWUXIJHQCCUJJ-WCBMZHEXSA-N 0 3 210.346 2.539 20 0 BFADHN Cc1ccccc1CN1CC(C)(C)OC[C@@H]1C ZINC000488189151 534811868 /nfs/dbraw/zinc/81/18/68/534811868.db2.gz BJHVNAAREVQGEN-ZDUSSCGKSA-N 0 3 233.355 2.994 20 0 BFADHN CC[C@H](CO)NCc1ccc(C(F)F)c(F)c1 ZINC000892478241 582894699 /nfs/dbraw/zinc/89/46/99/582894699.db2.gz FLVNBYJABJUWSW-SECBINFHSA-N 0 3 247.260 2.624 20 0 BFADHN CCC(CC)(CC)CNC(=O)[C@@H](N)C(C)(C)C ZINC000163933109 534900836 /nfs/dbraw/zinc/90/08/36/534900836.db2.gz UHWPZHYOMDVUHJ-LLVKDONJSA-N 0 3 242.407 2.692 20 0 BFADHN CN(Cc1ccc(Cl)cn1)[C@H]1CCSC1 ZINC000336147004 536468658 /nfs/dbraw/zinc/46/86/58/536468658.db2.gz MAMSKLWKHISSLO-NSHDSACASA-N 0 3 242.775 2.672 20 0 BFADHN CC(C)[C@H]1CN(Cc2cccs2)CCN1C ZINC000488019034 527855841 /nfs/dbraw/zinc/85/58/41/527855841.db2.gz VUSQRKNARIGOKO-CYBMUJFWSA-N 0 3 238.400 2.520 20 0 BFADHN COC[C@@H](C)N1CC=C(c2ccc(O)cc2)CC1 ZINC000173842755 536521746 /nfs/dbraw/zinc/52/17/46/536521746.db2.gz IJEGLZXODXNDOC-GFCCVEGCSA-N 0 3 247.338 2.516 20 0 BFADHN CCN1C[C@H](Nc2ccc(C)cc2F)[C@@H](C)C1 ZINC000482759828 527992820 /nfs/dbraw/zinc/99/28/20/527992820.db2.gz KGCUXVSYETWVBC-FZMZJTMJSA-N 0 3 236.334 2.886 20 0 BFADHN CCCCN(CC)[C@@H](CC(C)C)C(=O)OC ZINC000509845892 528396721 /nfs/dbraw/zinc/39/67/21/528396721.db2.gz IEOHELVZGNZZRT-LBPRGKRZSA-N 0 3 229.364 2.696 20 0 BFADHN CCCCOCCNC/C=C/c1ccncc1 ZINC000486958750 528610182 /nfs/dbraw/zinc/61/01/82/528610182.db2.gz SOXPMLDCLHFGOH-SNAWJCMRSA-N 0 3 234.343 2.501 20 0 BFADHN CCOc1cccc(CN2C[C@@H](C)C[C@H](C)C2)n1 ZINC000505342708 528645903 /nfs/dbraw/zinc/64/59/03/528645903.db2.gz IBNWVTMWENBLOV-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN CC/C=C\CCN(CC)C[C@@H](O)C(F)(F)F ZINC000494259841 528788378 /nfs/dbraw/zinc/78/83/78/528788378.db2.gz OWQWUCSSQWPHMO-WWQCOOJYSA-N 0 3 239.281 2.588 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CNCc1cocn1 ZINC000401738775 529278265 /nfs/dbraw/zinc/27/82/65/529278265.db2.gz IBPVKYUQAPDVFI-VXGBXAGGSA-N 0 3 222.332 2.981 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CNCc1nccn1C ZINC000401446085 529286655 /nfs/dbraw/zinc/28/66/55/529286655.db2.gz NGHWCYOSBKBNCL-OLZOCXBDSA-N 0 3 235.375 2.726 20 0 BFADHN CCCC[C@H](CC)CN1CCO[C@@H](COC)C1 ZINC000248890317 534997854 /nfs/dbraw/zinc/99/78/54/534997854.db2.gz SAINQCLXQKPUBP-UONOGXRCSA-N 0 3 243.391 2.550 20 0 BFADHN CC(C)N(Cc1cnc2ccccn12)C(C)C ZINC000183034478 535119491 /nfs/dbraw/zinc/11/94/91/535119491.db2.gz SMIVQCLGUPQOBQ-UHFFFAOYSA-N 0 3 231.343 2.953 20 0 BFADHN CC(C)(C)c1ccc2c(c1)CN(CCCO)CC2 ZINC000182253628 535124660 /nfs/dbraw/zinc/12/46/60/535124660.db2.gz XSJJYWGJNWRAJJ-UHFFFAOYSA-N 0 3 247.382 2.725 20 0 BFADHN CC/C=C/CCN1CCO[C@H](C(C)C)C1 ZINC000342635327 535231672 /nfs/dbraw/zinc/23/16/72/535231672.db2.gz QIKKWFBHCFILLZ-GFUIURDCSA-N 0 3 211.349 2.700 20 0 BFADHN CC(C)(O)C(C)(C)NCc1cc(F)cc(F)c1 ZINC000226743670 535273298 /nfs/dbraw/zinc/27/32/98/535273298.db2.gz YIMBEUQPVNESEY-UHFFFAOYSA-N 0 3 243.297 2.604 20 0 BFADHN CC1(C)CCN(Cc2ccccn2)CC1 ZINC000335929738 535315980 /nfs/dbraw/zinc/31/59/80/535315980.db2.gz OBSXCCXYINNDPG-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CC1(C)CCN(Cc2cnc(C3CC3)nc2)CC1 ZINC000335577619 535317220 /nfs/dbraw/zinc/31/72/20/535317220.db2.gz HKSCUJHZDKXXDO-UHFFFAOYSA-N 0 3 245.370 2.976 20 0 BFADHN CCOc1cccc(CNCc2ccn(C)c2)c1 ZINC000080794500 535460959 /nfs/dbraw/zinc/46/09/59/535460959.db2.gz VRALKNBHZUBBCY-UHFFFAOYSA-N 0 3 244.338 2.714 20 0 BFADHN CC[C@@H](C)CC(=O)Nc1ccccc1CNC ZINC000167558015 535480011 /nfs/dbraw/zinc/48/00/11/535480011.db2.gz ARRSIEASCGNFGK-LLVKDONJSA-N 0 3 234.343 2.781 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cnn(C(C)C)c1 ZINC000179686614 535492865 /nfs/dbraw/zinc/49/28/65/535492865.db2.gz QPEVSSBGFWORTE-GFCCVEGCSA-N 0 3 223.364 2.942 20 0 BFADHN CCCn1cc(CN2CC[C@@H](CC)C2)cn1 ZINC000180569346 535610809 /nfs/dbraw/zinc/61/08/09/535610809.db2.gz DYDJASRLZLYFPS-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnc2ccccn12 ZINC000350279087 535632080 /nfs/dbraw/zinc/63/20/80/535632080.db2.gz NEPROMGYTHOQMB-LBPRGKRZSA-N 0 3 231.343 2.955 20 0 BFADHN CCN(CCOC)Cc1cc(F)cc(Cl)c1 ZINC000349046581 535747817 /nfs/dbraw/zinc/74/78/17/535747817.db2.gz YRAMLHZHROLTMH-UHFFFAOYSA-N 0 3 245.725 2.947 20 0 BFADHN CC[C@@H](NCC1CCC1)c1nccs1 ZINC000083838827 535803255 /nfs/dbraw/zinc/80/32/55/535803255.db2.gz UMDXVGDZLQGQQU-SNVBAGLBSA-N 0 3 210.346 2.984 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](CO)c1cccc(F)c1 ZINC000348375557 535823706 /nfs/dbraw/zinc/82/37/06/535823706.db2.gz KTXGODWEOVFDOH-SWHYSGLUSA-N 0 3 237.318 2.637 20 0 BFADHN CCc1nn(C)cc1CN[C@H](C)c1cccs1 ZINC000092354934 535828861 /nfs/dbraw/zinc/82/88/61/535828861.db2.gz HQIUKGADPMICCK-SNVBAGLBSA-N 0 3 249.383 2.895 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CCc1ccccc1 ZINC000356039602 535853861 /nfs/dbraw/zinc/85/38/61/535853861.db2.gz DPCHBNJCTREHDA-UKRRQHHQSA-N 0 3 233.355 2.728 20 0 BFADHN CCn1nccc1CN(C)[C@H](C)C1(C)CC1 ZINC000180534181 535862759 /nfs/dbraw/zinc/86/27/59/535862759.db2.gz BQBHSNYNZZQKKH-LLVKDONJSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@H](N[C@@H]1CCOC1)c1cccs1 ZINC000070968551 535939160 /nfs/dbraw/zinc/93/91/60/535939160.db2.gz JYVJZGDZLVSCMX-ZJUUUORDSA-N 0 3 211.330 2.578 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](C)c1nnc(C)s1 ZINC000252809530 535943222 /nfs/dbraw/zinc/94/32/22/535943222.db2.gz LSEMAJWJIXXNPM-XHNCKOQMSA-N 0 3 227.377 2.932 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cc(C)no2)C1 ZINC000335703577 536049794 /nfs/dbraw/zinc/04/97/94/536049794.db2.gz PUGOWAPUAKDZGN-LBPRGKRZSA-N 0 3 208.305 2.605 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccncc2)C1 ZINC000335844571 536050471 /nfs/dbraw/zinc/05/04/71/536050471.db2.gz CRTANCFLGHLRHL-ZDUSSCGKSA-N 0 3 204.317 2.704 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1cc(OC)ccc1OC ZINC000348107984 536099635 /nfs/dbraw/zinc/09/96/35/536099635.db2.gz WGEDMJAHHNCIDQ-SMDDNHRTSA-N 0 3 249.354 2.982 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1cccc2c1OCO2 ZINC000348101062 536101176 /nfs/dbraw/zinc/10/11/76/536101176.db2.gz HMTVVGHNFRPIFV-CMPLNLGQSA-N 0 3 233.311 2.694 20 0 BFADHN C[C@H](CC1CCC1)N[C@@H](CO)c1ccsc1 ZINC000393804242 582925837 /nfs/dbraw/zinc/92/58/37/582925837.db2.gz PBZGPARXHSPCJM-MFKMUULPSA-N 0 3 239.384 2.950 20 0 BFADHN CC[C@H](C)CN(C)[C@H](C)c1cnccn1 ZINC000248642682 536123003 /nfs/dbraw/zinc/12/30/03/536123003.db2.gz ZDSBKMAPYQXHPX-WDEREUQCSA-N 0 3 207.321 2.516 20 0 BFADHN CCc1cc(NC(=O)[C@@H](N)C(C)(C)C)ccc1C ZINC000261568779 536152570 /nfs/dbraw/zinc/15/25/70/536152570.db2.gz JKIZHOIRHVMLQL-CYBMUJFWSA-N 0 3 248.370 2.869 20 0 BFADHN CC[C@H](C)N[C@@H]1COc2ccc(C)cc21 ZINC000090452210 536174824 /nfs/dbraw/zinc/17/48/24/536174824.db2.gz YJACARRJFPFRJO-CMPLNLGQSA-N 0 3 205.301 2.817 20 0 BFADHN CCc1ccc(CN2CC[C@@H](C)[C@H](OC)C2)cn1 ZINC000348211585 536178555 /nfs/dbraw/zinc/17/85/55/536178555.db2.gz FPXJIMIOIGGGFW-IUODEOHRSA-N 0 3 248.370 2.501 20 0 BFADHN CN(c1ccnc2ccccc21)[C@@H]1CCCOC1 ZINC000343266548 536300804 /nfs/dbraw/zinc/30/08/04/536300804.db2.gz SHXTZRPULLEMGV-GFCCVEGCSA-N 0 3 242.322 2.850 20 0 BFADHN CN(C[C@@H]1CCCCC1(F)F)C1CC1 ZINC000336201136 536421609 /nfs/dbraw/zinc/42/16/09/536421609.db2.gz TWARXVLNKGMGPQ-VIFPVBQESA-N 0 3 203.276 2.906 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1CCCC(C)(C)C1 ZINC000352006434 536649803 /nfs/dbraw/zinc/64/98/03/536649803.db2.gz UMABUUDMEPJRKS-LBPRGKRZSA-N 0 3 241.375 2.696 20 0 BFADHN COC1CCC(N[C@@H](C)c2ccco2)CC1 ZINC000164240413 536739876 /nfs/dbraw/zinc/73/98/76/536739876.db2.gz CLVIGYCAGGFZKL-UNXYVOJBSA-N 0 3 223.316 2.888 20 0 BFADHN COC[C@@H]1CCCCN(Cc2ccc(C)cn2)C1 ZINC000342748741 536740809 /nfs/dbraw/zinc/74/08/09/536740809.db2.gz KNSZLZPRVNQZSX-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN COc1cccc(CNCC2(C)CC2)c1F ZINC000234987706 537932930 /nfs/dbraw/zinc/93/29/30/537932930.db2.gz MWHGPHPTBYGBRI-UHFFFAOYSA-N 0 3 223.291 2.724 20 0 BFADHN CC(=O)OC[C@H](C)N[C@@H](C)c1ccc(C)s1 ZINC000892669549 582946947 /nfs/dbraw/zinc/94/69/47/582946947.db2.gz UCTBDKGOASSSJM-WPRPVWTQSA-N 0 3 241.356 2.659 20 0 BFADHN COCc1cccc(CN[C@@H]2CCC[C@@H]2F)c1 ZINC000339582639 566373514 /nfs/dbraw/zinc/37/35/14/566373514.db2.gz GZCVANFTKVKVCU-UONOGXRCSA-N 0 3 237.318 2.813 20 0 BFADHN C[C@H](NC1CCC(F)(F)CC1)c1ncc[nH]1 ZINC000335606833 566405727 /nfs/dbraw/zinc/40/57/27/566405727.db2.gz UKLFRZOYPOMLRP-QMMMGPOBSA-N 0 3 229.274 2.638 20 0 BFADHN COc1ccc(CN[C@@H](C)C2CCCC2)o1 ZINC000892967135 583022482 /nfs/dbraw/zinc/02/24/82/583022482.db2.gz ALBMPCTZFXHTMV-JTQLQIEISA-N 0 3 223.316 2.957 20 0 BFADHN CCCSC[C@H](C)N[C@H](C)c1cnn(C)c1 ZINC000893032103 583034061 /nfs/dbraw/zinc/03/40/61/583034061.db2.gz HRMPYMOHVQNGPS-WDEREUQCSA-N 0 3 241.404 2.602 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1ccc(OC)o1 ZINC000893108408 583050218 /nfs/dbraw/zinc/05/02/18/583050218.db2.gz OHDAGKRSMAVPQW-PWSUYJOCSA-N 0 3 223.316 2.957 20 0 BFADHN COc1ccc(CN[C@@H]2CCCC(F)(F)C2)o1 ZINC000893114647 583051806 /nfs/dbraw/zinc/05/18/06/583051806.db2.gz XBXRDPGWMPUCIC-SECBINFHSA-N 0 3 245.269 2.956 20 0 BFADHN CCc1onc(C)c1CN[C@H]1CC[C@H]1SC ZINC000893123309 583052774 /nfs/dbraw/zinc/05/27/74/583052774.db2.gz GMQVMLVALVXBLC-CMPLNLGQSA-N 0 3 240.372 2.529 20 0 BFADHN Cc1cc(CN[C@H]2CCC(F)(F)C2)cnc1F ZINC000893149442 583057808 /nfs/dbraw/zinc/05/78/08/583057808.db2.gz FLFVJOIUSABXOL-JTQLQIEISA-N 0 3 244.260 2.807 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1ccc(F)nc1 ZINC000840970240 583206526 /nfs/dbraw/zinc/20/65/26/583206526.db2.gz SGPGFJLASKWVBG-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN CSc1ccc(CN2CCC[C@H]2C)o1 ZINC000894019651 583273605 /nfs/dbraw/zinc/27/36/05/583273605.db2.gz AAHNCZJAKRYYDQ-SECBINFHSA-N 0 3 211.330 2.986 20 0 BFADHN Cc1ncoc1CN(CC1CC1)CC1CC1 ZINC000894033536 583277404 /nfs/dbraw/zinc/27/74/04/583277404.db2.gz HWOWGNHFNOYOHP-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN CCc1onc(C)c1CN1CC(C(C)C)C1 ZINC000894134143 583313444 /nfs/dbraw/zinc/31/34/44/583313444.db2.gz KIXSVCPZPWIVNX-UHFFFAOYSA-N 0 3 222.332 2.633 20 0 BFADHN CC(C)c1ccccc1CNCCOCCF ZINC000853091282 588719271 /nfs/dbraw/zinc/71/92/71/588719271.db2.gz DKAAXRVJJMXZAY-UHFFFAOYSA-N 0 3 239.334 2.886 20 0 BFADHN COCC1(NCc2ccoc2)CCCCC1 ZINC000853090951 588719463 /nfs/dbraw/zinc/71/94/63/588719463.db2.gz JGJUUBJIIZJFEO-UHFFFAOYSA-N 0 3 223.316 2.719 20 0 BFADHN Cn1ccc(CN[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)n1 ZINC000112339640 588794784 /nfs/dbraw/zinc/79/47/84/588794784.db2.gz HMNAXHSRSVUGAX-BFHYXJOUSA-N 0 3 247.386 2.869 20 0 BFADHN CC[C@@H](CC(F)(F)F)Nc1ccnc(CO)c1 ZINC000858483766 582462285 /nfs/dbraw/zinc/46/22/85/582462285.db2.gz ZIXRTAZBTFJXHT-QMMMGPOBSA-N 0 3 248.248 2.717 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccncc1)c1ccns1 ZINC000858576163 582468593 /nfs/dbraw/zinc/46/85/93/582468593.db2.gz IKMHTBACJSSYSV-WDEREUQCSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2ccnc(F)c2)C1 ZINC000859062700 582480609 /nfs/dbraw/zinc/48/06/09/582480609.db2.gz CNJPOSIIADZXKH-GHMZBOCLSA-N 0 3 222.307 2.699 20 0 BFADHN Fc1cc(CN2CCC[C@@H]2C2CCC2)ccn1 ZINC000859118459 582484269 /nfs/dbraw/zinc/48/42/69/582484269.db2.gz NABCQUKAPHJRAL-CYBMUJFWSA-N 0 3 234.318 2.985 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccns1)C1CCC1 ZINC000860059775 582499966 /nfs/dbraw/zinc/49/99/66/582499966.db2.gz OYDMPLGPPKBCLG-MWLCHTKSSA-N 0 3 240.372 2.609 20 0 BFADHN c1csc(CCN2CCC[C@@H]2c2cc[nH]n2)c1 ZINC000788008106 582535392 /nfs/dbraw/zinc/53/53/92/582535392.db2.gz IRXDHOMPMZUROC-CYBMUJFWSA-N 0 3 247.367 2.851 20 0 BFADHN Cc1cc(C)cc([C@@H](C)NCc2c[nH]cn2)c1 ZINC000113412979 588838845 /nfs/dbraw/zinc/83/88/45/588838845.db2.gz TWZADAYAONCGKP-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1cc(C)cc([C@@H](C)NCc2cnc[nH]2)c1 ZINC000113412979 588838846 /nfs/dbraw/zinc/83/88/46/588838846.db2.gz TWZADAYAONCGKP-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@@H](C)O[C@H]2C)o1 ZINC000296866350 582681836 /nfs/dbraw/zinc/68/18/36/582681836.db2.gz ZGXVVYRHUSLZTD-NOOOWODRSA-N 0 3 223.316 2.805 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cn(C)nc2C2CC2)C1 ZINC000891448726 582687947 /nfs/dbraw/zinc/68/79/47/582687947.db2.gz CBESKIXCYWNGGO-TXEJJXNPSA-N 0 3 247.386 2.775 20 0 BFADHN CCN(C[C@H]1C[C@@]1(C)Br)C1CC1 ZINC000891608792 582701788 /nfs/dbraw/zinc/70/17/88/582701788.db2.gz DKEQTFOECMBVKU-PSASIEDQSA-N 0 3 232.165 2.644 20 0 BFADHN Cc1cc(F)ncc1CN(C(C)C)C1CC1 ZINC000891663393 582707578 /nfs/dbraw/zinc/70/75/78/582707578.db2.gz XWMSKLVWMSFDDH-UHFFFAOYSA-N 0 3 222.307 2.902 20 0 BFADHN CC[C@H]1CCCN(Cc2cnn(C)c2C2CC2)C1 ZINC000891708329 582712457 /nfs/dbraw/zinc/71/24/57/582712457.db2.gz WWTKTVNBYRKUNN-LBPRGKRZSA-N 0 3 247.386 2.920 20 0 BFADHN CN(Cc1cnn(CC2CCC2)c1)CC1CC1 ZINC000891736310 582715277 /nfs/dbraw/zinc/71/52/77/582715277.db2.gz KAKIBUDONFYTIT-UHFFFAOYSA-N 0 3 233.359 2.525 20 0 BFADHN CC[C@@H]1CCCN1Cc1cn2ccnc2s1 ZINC000891780321 582720101 /nfs/dbraw/zinc/72/01/01/582720101.db2.gz XLJXKVZTLZLDLL-SNVBAGLBSA-N 0 3 235.356 2.770 20 0 BFADHN CCN(Cc1c2c(nn1C)CCC2)[C@H](C)C(C)C ZINC000891823373 582727325 /nfs/dbraw/zinc/72/73/25/582727325.db2.gz RGEBRJWCIFLOTC-GFCCVEGCSA-N 0 3 249.402 2.775 20 0 BFADHN CC1(C)CCN(Cc2cnn(C3CCC3)c2)C1 ZINC000892021999 582763446 /nfs/dbraw/zinc/76/34/46/582763446.db2.gz QWHQUXMYRWQXNZ-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN Cn1ncc(CN2CCC3(CCC3)C2)c1C1CC1 ZINC000892056678 582777208 /nfs/dbraw/zinc/77/72/08/582777208.db2.gz VBVLGWOOQWLZDB-UHFFFAOYSA-N 0 3 245.370 2.674 20 0 BFADHN CN(Cc1cnn(CC2CCC2)c1)C1CCC1 ZINC000892058933 582778561 /nfs/dbraw/zinc/77/85/61/582778561.db2.gz NWZZXNKUVRDUGR-UHFFFAOYSA-N 0 3 233.359 2.668 20 0 BFADHN CCn1cc(CN2C[C@H](C)CC[C@H]2C)c(C)n1 ZINC000892066174 582783363 /nfs/dbraw/zinc/78/33/63/582783363.db2.gz AZIDQGBEWDMGBL-VXGBXAGGSA-N 0 3 235.375 2.832 20 0 BFADHN CCn1cc(CN2CC[C@H](C)[C@@H](C)C2)c(C)n1 ZINC000892066942 582783473 /nfs/dbraw/zinc/78/34/73/582783473.db2.gz ZZVSHZIJMTYKPU-RYUDHWBXSA-N 0 3 235.375 2.689 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2cnn(C)c2C2CC2)C1 ZINC000892067416 582783661 /nfs/dbraw/zinc/78/36/61/582783661.db2.gz QEFPEABTRZGRBG-VXGBXAGGSA-N 0 3 247.386 2.918 20 0 BFADHN C[C@H]1CN(Cc2cnn(CC3CCC3)c2)C[C@H]1C ZINC000892068233 582785017 /nfs/dbraw/zinc/78/50/17/582785017.db2.gz IAABXDYLJJEQDN-BETUJISGSA-N 0 3 247.386 2.771 20 0 BFADHN CCN(Cc1cnc(F)c(C)c1)C1CCC1 ZINC000892086618 582788110 /nfs/dbraw/zinc/78/81/10/582788110.db2.gz TVQKUUOOQLRHBV-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN CCc1[nH]nc(Cl)c1CN1C[C@H](C)C[C@@H]1C ZINC000892103660 582791299 /nfs/dbraw/zinc/79/12/99/582791299.db2.gz LXLCCTKITNCYGA-BDAKNGLRSA-N 0 3 241.766 2.856 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2ccnn2C2CCC2)C1 ZINC000892104972 582792836 /nfs/dbraw/zinc/79/28/36/582792836.db2.gz WJBCUAGHMQGKRY-RYUDHWBXSA-N 0 3 233.359 2.838 20 0 BFADHN Cc1cc(F)ncc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC000892113338 582794136 /nfs/dbraw/zinc/79/41/36/582794136.db2.gz FGIKJVGWFJLITO-TXEJJXNPSA-N 0 3 234.318 2.617 20 0 BFADHN COc1ccc(CN2[C@@H](C)CC[C@@H]2C)o1 ZINC000892223139 582809661 /nfs/dbraw/zinc/80/96/61/582809661.db2.gz FPEACPGYHCQTQX-UWVGGRQHSA-N 0 3 209.289 2.661 20 0 BFADHN COc1ccccc1CN[C@H](C)[C@H]1CCCCO1 ZINC000393593762 582812481 /nfs/dbraw/zinc/81/24/81/582812481.db2.gz AOICRYXHYOZQKC-TZMCWYRMSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1cc(N(C)CCC2CCCCC2)nc(N)n1 ZINC000725791085 582854709 /nfs/dbraw/zinc/85/47/09/582854709.db2.gz ARDGVSNICCYBLN-UHFFFAOYSA-N 0 3 248.374 2.774 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCCc1ccncc1 ZINC000092332555 582855227 /nfs/dbraw/zinc/85/52/27/582855227.db2.gz IWNKIPAHBXFAPP-JTQLQIEISA-N 0 3 245.326 2.580 20 0 BFADHN Cc1nn(C)c(Cl)c1CNC[C@H](C)C(C)C ZINC000125409865 582856614 /nfs/dbraw/zinc/85/66/14/582856614.db2.gz IAVFBLLTSLRAEU-VIFPVBQESA-N 0 3 243.782 2.764 20 0 BFADHN COc1ccc(CNCCC2CCCC2)o1 ZINC000892507103 582900583 /nfs/dbraw/zinc/90/05/83/582900583.db2.gz ROFRDVCCVUFPIC-UHFFFAOYSA-N 0 3 223.316 2.958 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2ccco2)cnc1F ZINC000892514603 582904207 /nfs/dbraw/zinc/90/42/07/582904207.db2.gz MHBZCGKVOFUXRS-LLVKDONJSA-N 0 3 248.301 2.843 20 0 BFADHN COc1ccc(CN[C@@H](C)Cc2ccco2)o1 ZINC000892514711 582904247 /nfs/dbraw/zinc/90/42/47/582904247.db2.gz QBPZPVUXLCXCNA-JTQLQIEISA-N 0 3 235.283 2.602 20 0 BFADHN COc1nccc(CNCCC(C)(C)C)c1F ZINC000892521777 582906011 /nfs/dbraw/zinc/90/60/11/582906011.db2.gz FEIVWJHHZMZIBZ-UHFFFAOYSA-N 0 3 240.322 2.755 20 0 BFADHN COC(CCN1C[C@H](C)[C@@H]1c1ccccc1)OC ZINC000851800772 582967725 /nfs/dbraw/zinc/96/77/25/582967725.db2.gz QQDZWUJHLWXMEE-SWLSCSKDSA-N 0 3 249.354 2.688 20 0 BFADHN C[C@@H]1c2ccccc2CN1c1ccncc1CO ZINC000892769534 582978839 /nfs/dbraw/zinc/97/88/39/582978839.db2.gz ASNWATXSDAUFLS-LLVKDONJSA-N 0 3 240.306 2.655 20 0 BFADHN COc1ccc(CNCCc2cccc(C)c2)o1 ZINC000892809901 582986760 /nfs/dbraw/zinc/98/67/60/582986760.db2.gz PTZGDEHCDYRWIQ-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN CC(=O)OC[C@@H](C)N[C@H]1CCc2c1cccc2C ZINC000892921590 583009396 /nfs/dbraw/zinc/00/93/96/583009396.db2.gz WVSFABDGNSOQIJ-ABAIWWIYSA-N 0 3 247.338 2.524 20 0 BFADHN CC(=O)OC[C@H](C)N[C@@H]1CCc2ccc(C)cc21 ZINC000892920056 583010487 /nfs/dbraw/zinc/01/04/87/583010487.db2.gz GWKPWECDKBYXDK-XHDPSFHLSA-N 0 3 247.338 2.524 20 0 BFADHN CCOc1ccc(CN[C@H]2CCC[C@@H]2C)o1 ZINC000892946179 583015015 /nfs/dbraw/zinc/01/50/15/583015015.db2.gz VJBFIIJXSWKETD-JQWIXIFHSA-N 0 3 223.316 2.957 20 0 BFADHN CC(=O)OC[C@@H](C)N[C@@H](C)c1cccc(C)c1C ZINC000892949342 583016061 /nfs/dbraw/zinc/01/60/61/583016061.db2.gz RWMZFCAJPUBJOA-YPMHNXCESA-N 0 3 249.354 2.906 20 0 BFADHN CC(=O)OC[C@H](C)N[C@@H](C)c1cccc(C)c1C ZINC000892949339 583016470 /nfs/dbraw/zinc/01/64/70/583016470.db2.gz RWMZFCAJPUBJOA-AAEUAGOBSA-N 0 3 249.354 2.906 20 0 BFADHN CCOc1ccc(CNCCCC2CC2)o1 ZINC000893002933 583027469 /nfs/dbraw/zinc/02/74/69/583027469.db2.gz XGBZFUDHJBDZLE-UHFFFAOYSA-N 0 3 223.316 2.958 20 0 BFADHN CCc1onc(C)c1CNCC(C)(C)SC ZINC000893073765 583041946 /nfs/dbraw/zinc/04/19/46/583041946.db2.gz FHNSMGCETUFBDJ-UHFFFAOYSA-N 0 3 242.388 2.777 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1CC[C@@H]1SC ZINC000893123311 583052735 /nfs/dbraw/zinc/05/27/35/583052735.db2.gz GMQVMLVALVXBLC-PWSUYJOCSA-N 0 3 240.372 2.529 20 0 BFADHN CC[C@@H](CC(F)(F)F)Nc1nc(C)cc(N)n1 ZINC000893181580 583065181 /nfs/dbraw/zinc/06/51/81/583065181.db2.gz LXOKKRGWDMIODQ-ZETCQYMHSA-N 0 3 248.252 2.510 20 0 BFADHN CC(C)C[C@H](C)NCc1cn(C)nc1Cl ZINC000856776194 588876083 /nfs/dbraw/zinc/87/60/83/588876083.db2.gz XWEHDVGLAVBUDB-VIFPVBQESA-N 0 3 229.755 2.598 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cn(C)nc1Cl ZINC000856767247 588876460 /nfs/dbraw/zinc/87/64/60/588876460.db2.gz KCILNNAHKWSXKM-DTWKUNHWSA-N 0 3 229.755 2.598 20 0 BFADHN CCOc1ccc(CN[C@@H](CC)CSC)o1 ZINC000893279480 583083723 /nfs/dbraw/zinc/08/37/23/583083723.db2.gz NZPWEBXQGUCPRL-JTQLQIEISA-N 0 3 243.372 2.910 20 0 BFADHN COC[C@@H](NCc1cc2ccccc2o1)C1CC1 ZINC000397345844 583100429 /nfs/dbraw/zinc/10/04/29/583100429.db2.gz XXFUVPLWUGMZDQ-CQSZACIVSA-N 0 3 245.322 2.947 20 0 BFADHN Cn1cc(CN[C@H]2CCC(C)(C)C2)c(Cl)n1 ZINC000856776554 588877023 /nfs/dbraw/zinc/87/70/23/588877023.db2.gz VLKRZSPSZGODCF-JTQLQIEISA-N 0 3 241.766 2.742 20 0 BFADHN CC(C)C(NCc1cn(C)nc1Cl)C(C)C ZINC000856773305 588877084 /nfs/dbraw/zinc/87/70/84/588877084.db2.gz CTZIIOMTDWLKEE-UHFFFAOYSA-N 0 3 243.782 2.844 20 0 BFADHN CC(C)C(C)(C)CNCc1cn(C)nc1Cl ZINC000856780298 588877573 /nfs/dbraw/zinc/87/75/73/588877573.db2.gz GKEYRFSKJWWIMK-UHFFFAOYSA-N 0 3 243.782 2.845 20 0 BFADHN Cc1occc1CN1CC[C@@H](c2ccccn2)C1 ZINC000840942639 583174027 /nfs/dbraw/zinc/17/40/27/583174027.db2.gz OVUVLXKMBAPDAN-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN CCCc1ccc(CN2CC[C@@H](OC)C2)s1 ZINC000857020394 588881715 /nfs/dbraw/zinc/88/17/15/588881715.db2.gz BJWZYNLJMKBKFC-LLVKDONJSA-N 0 3 239.384 2.921 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@H](C)Cc1ccco1 ZINC000219090346 583174666 /nfs/dbraw/zinc/17/46/66/583174666.db2.gz ZIEKFADFYQTENY-ZYHUDNBSSA-N 0 3 245.326 2.660 20 0 BFADHN CCn1cc(CN2CCCC3(CC3)C2)c(C)n1 ZINC000893663076 583190689 /nfs/dbraw/zinc/19/06/89/583190689.db2.gz BFZKPIPZXBDUAG-UHFFFAOYSA-N 0 3 233.359 2.587 20 0 BFADHN COc1ccc(CN2CCCC[C@H](C)C2)o1 ZINC000893679452 583197298 /nfs/dbraw/zinc/19/72/98/583197298.db2.gz SIUWCWFUIBQOPZ-NSHDSACASA-N 0 3 223.316 2.910 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cn(C)nc1Cl ZINC000857046740 588884308 /nfs/dbraw/zinc/88/43/08/588884308.db2.gz QEQLILDZSOPUOP-ONGXEEELSA-N 0 3 241.766 2.836 20 0 BFADHN CC1CCN(Cc2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000893871577 583237462 /nfs/dbraw/zinc/23/74/62/583237462.db2.gz LIPLRRJNLUPZCB-UHFFFAOYSA-N 0 3 245.326 2.500 20 0 BFADHN Cc1ccnc(N)c1CN(C)C1CCCCC1 ZINC000893871800 583237492 /nfs/dbraw/zinc/23/74/92/583237492.db2.gz QNRNWAZGBOZZBR-UHFFFAOYSA-N 0 3 233.359 2.737 20 0 BFADHN C[C@H]1CCCCN1Cc1ccc2[nH]c(=O)[nH]c2c1 ZINC000893872912 583239243 /nfs/dbraw/zinc/23/92/43/583239243.db2.gz YJMUNYXOWWTHSR-JTQLQIEISA-N 0 3 245.326 2.643 20 0 BFADHN CO[C@@H](C)CNCc1ccc(C(F)F)c(F)c1 ZINC000893910778 583246353 /nfs/dbraw/zinc/24/63/53/583246353.db2.gz KGMPLPGWFOFSDK-QMMMGPOBSA-N 0 3 247.260 2.888 20 0 BFADHN Cc1coc(CN(C)[C@@H]2CCSC2)c1 ZINC000893929764 583250802 /nfs/dbraw/zinc/25/08/02/583250802.db2.gz WATIZXAOORYJEZ-SNVBAGLBSA-N 0 3 211.330 2.525 20 0 BFADHN Cc1ncoc1CN(C)[C@H]1CCC[C@H](C)C1 ZINC000893929609 583250064 /nfs/dbraw/zinc/25/00/64/583250064.db2.gz VDYOXSMJNJKJEA-JQWIXIFHSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1ncoc1CN(C1CC1)C1CCCC1 ZINC000893929617 583250855 /nfs/dbraw/zinc/25/08/55/583250855.db2.gz VKPDVGGKRJOLGJ-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN CO[C@H]1CCCN(C/C=C/c2cccc(F)c2)C1 ZINC000893958491 583262529 /nfs/dbraw/zinc/26/25/29/583262529.db2.gz BAYIFKCORXHKOR-VFQAQYNWSA-N 0 3 249.329 2.950 20 0 BFADHN Cc1ncoc1CN(C)CC1CCCC1 ZINC000893980386 583266278 /nfs/dbraw/zinc/26/62/78/583266278.db2.gz JYQLIQXECMWJDI-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN C[C@@H]1CN(C/C=C\c2cccc(F)c2)CCCO1 ZINC000893995842 583267330 /nfs/dbraw/zinc/26/73/30/583267330.db2.gz QKXQQESLVYTSNB-ACVFHECNSA-N 0 3 249.329 2.950 20 0 BFADHN Cc1n[nH]c(CN2CCC[C@@H](C)[C@@H]2C)c1C ZINC000893988053 583270645 /nfs/dbraw/zinc/27/06/45/583270645.db2.gz YBCLDGLONMZAQU-SKDRFNHKSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1ncoc1CN1CC[C@H](CC(C)C)C1 ZINC000894021049 583274676 /nfs/dbraw/zinc/27/46/76/583274676.db2.gz LFNDWGWGPIVTKR-GFCCVEGCSA-N 0 3 222.332 2.851 20 0 BFADHN COC[C@H]1CCN(C/C=C\c2cccc(F)c2)C1 ZINC000894020817 583275269 /nfs/dbraw/zinc/27/52/69/583275269.db2.gz RFHURTGXKYGIFL-MFBWXBCUSA-N 0 3 249.329 2.807 20 0 BFADHN COC[C@H]1CCN(C/C=C/c2cccc(F)c2)C1 ZINC000894020815 583275285 /nfs/dbraw/zinc/27/52/85/583275285.db2.gz RFHURTGXKYGIFL-KQIUPUNMSA-N 0 3 249.329 2.807 20 0 BFADHN C[C@@H](O)CCN(C)C/C=C\c1cccc(F)c1 ZINC000894034024 583278517 /nfs/dbraw/zinc/27/85/17/583278517.db2.gz PCAGWJAPAGINOC-TXNVCOODSA-N 0 3 237.318 2.542 20 0 BFADHN Cc1noc2ncc(CN3C[C@H](C)[C@H](C)C3)cc12 ZINC000894033965 583278797 /nfs/dbraw/zinc/27/87/97/583278797.db2.gz KRUJJRCHIHZZLT-AOOOYVTPSA-N 0 3 245.326 2.619 20 0 BFADHN COc1ccc(CN[C@H]2CC23CCCC3)o1 ZINC000894034076 583278939 /nfs/dbraw/zinc/27/89/39/583278939.db2.gz JUKREFCHZNKZGR-NSHDSACASA-N 0 3 221.300 2.711 20 0 BFADHN Cc1n[nH]c(CN2C[C@@H](C)CC[C@H]2C)c1C ZINC000894035475 583279535 /nfs/dbraw/zinc/27/95/35/583279535.db2.gz YWYNNPAXNWMXDL-VHSXEESVSA-N 0 3 221.348 2.647 20 0 BFADHN COc1ccc(CN[C@@H]2CC23CCCC3)nc1 ZINC000894035395 583279674 /nfs/dbraw/zinc/27/96/74/583279674.db2.gz UQDIBQUBCCSECN-CYBMUJFWSA-N 0 3 232.327 2.513 20 0 BFADHN COC1CCC(N(C)Cc2cc(C)co2)CC1 ZINC000894026060 583280534 /nfs/dbraw/zinc/28/05/34/583280534.db2.gz GNWCNVGHZYJFJG-UHFFFAOYSA-N 0 3 237.343 2.977 20 0 BFADHN CN(C/C=C/c1cccc(F)c1)CC(C)(C)O ZINC000894027397 583281748 /nfs/dbraw/zinc/28/17/48/583281748.db2.gz QKHSGZFOOSAGER-FNORWQNLSA-N 0 3 237.318 2.542 20 0 BFADHN C[C@@]1(O)CCCN(C/C=C/c2cccc(F)c2)C1 ZINC000894045356 583286559 /nfs/dbraw/zinc/28/65/59/583286559.db2.gz ZTKUYINZKNRTDV-YFCVBGDOSA-N 0 3 249.329 2.686 20 0 BFADHN CC/C=C\CNc1cc(N)ncc1Cl ZINC000894047275 583287853 /nfs/dbraw/zinc/28/78/53/583287853.db2.gz RCGNZPBONWKIOU-ARJAWSKDSA-N 0 3 211.696 2.695 20 0 BFADHN Cc1ncoc1CN1CCC(C(C)C)CC1 ZINC000894053061 583289867 /nfs/dbraw/zinc/28/98/67/583289867.db2.gz HIXGREIMSUHEGQ-UHFFFAOYSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1ccnc(N)c1CN1C2CCCC1CCC2 ZINC000894054690 583291073 /nfs/dbraw/zinc/29/10/73/583291073.db2.gz RZHNAXYYTABRLO-UHFFFAOYSA-N 0 3 245.370 2.879 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1ccc2oc(=O)oc2c1 ZINC000894086331 583295160 /nfs/dbraw/zinc/29/51/60/583295160.db2.gz VLTLRZUESIZOEE-VHSXEESVSA-N 0 3 247.294 2.616 20 0 BFADHN C[C@@H]1CCN(Cc2ccc3oc(=O)oc3c2)[C@H]1C ZINC000894086332 583295756 /nfs/dbraw/zinc/29/57/56/583295756.db2.gz VLTLRZUESIZOEE-ZJUUUORDSA-N 0 3 247.294 2.616 20 0 BFADHN CC[C@H]1CCN(Cc2cnc3onc(C)c3c2)C1 ZINC000894087558 583298764 /nfs/dbraw/zinc/29/87/64/583298764.db2.gz CXYCKVPMXRBQLI-NSHDSACASA-N 0 3 245.326 2.763 20 0 BFADHN Cc1n[nH]c(CN2CC[C@H](C(C)C)C2)c1C ZINC000894088980 583298791 /nfs/dbraw/zinc/29/87/91/583298791.db2.gz NMDLUMWVTBMVSC-LBPRGKRZSA-N 0 3 221.348 2.504 20 0 BFADHN Cc1n[nH]c(CN(C)[C@@H](C)C2(C)CC2)c1C ZINC000894088550 583298884 /nfs/dbraw/zinc/29/88/84/583298884.db2.gz LEVCCHRWURBPML-NSHDSACASA-N 0 3 221.348 2.647 20 0 BFADHN Cc1[nH]nc(CN(C)[C@@H](C)C2(C)CC2)c1C ZINC000894088550 583298887 /nfs/dbraw/zinc/29/88/87/583298887.db2.gz LEVCCHRWURBPML-NSHDSACASA-N 0 3 221.348 2.647 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1ccc2oc(=O)oc2c1 ZINC000894092991 583299265 /nfs/dbraw/zinc/29/92/65/583299265.db2.gz ASGMHUSVAHJGBI-NXEZZACHSA-N 0 3 247.294 2.759 20 0 BFADHN CCc1onc(C)c1CNCC1(SC)CC1 ZINC000894108034 583307496 /nfs/dbraw/zinc/30/74/96/583307496.db2.gz VZEQHEQXAHPKFE-UHFFFAOYSA-N 0 3 240.372 2.531 20 0 BFADHN CCOc1ccc(CNC[C@H]2CCSC2)o1 ZINC000894120804 583310951 /nfs/dbraw/zinc/31/09/51/583310951.db2.gz SZVSHBQPXUSAFW-SNVBAGLBSA-N 0 3 241.356 2.521 20 0 BFADHN CC(C)[C@H]1CCN1Cc1ccc2oc(=O)oc2c1 ZINC000894136702 583313868 /nfs/dbraw/zinc/31/38/68/583313868.db2.gz BUGSJHRJEAYQSW-LLVKDONJSA-N 0 3 247.294 2.616 20 0 BFADHN Cc1ncoc1CN[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000894149779 583318993 /nfs/dbraw/zinc/31/89/93/583318993.db2.gz OPJCYPPTCLAVGI-JFGNBEQYSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ncoc1CNCCCOc1ccccc1 ZINC000894155689 583319787 /nfs/dbraw/zinc/31/97/87/583319787.db2.gz HEGMEMWCYYNNJT-UHFFFAOYSA-N 0 3 246.310 2.542 20 0 BFADHN CO[C@](C)(CN1CCC[C@@](C)(F)C1)C1CC1 ZINC000877557092 583330161 /nfs/dbraw/zinc/33/01/61/583330161.db2.gz FXZVAGCLZWQKCG-CHWSQXEVSA-N 0 3 229.339 2.626 20 0 BFADHN Cc1ccc(CCN2CC3(CC3)C2)cc1 ZINC000877556682 583330868 /nfs/dbraw/zinc/33/08/68/583330868.db2.gz WRHQZWPPODTURG-UHFFFAOYSA-N 0 3 201.313 2.633 20 0 BFADHN Cc1ccc2cc(CNC3CSC3)[nH]c2c1 ZINC000877634420 583340783 /nfs/dbraw/zinc/34/07/83/583340783.db2.gz OUZHSUPDNJZHAX-UHFFFAOYSA-N 0 3 232.352 2.681 20 0 BFADHN CC[C@H](C)CN1CCO[C@@H](C(F)(F)F)CC1 ZINC000877819994 583348678 /nfs/dbraw/zinc/34/86/78/583348678.db2.gz KTAYRZLIFITNHZ-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H]1CSCCN1C[C@H]1CCC2(CCC2)O1 ZINC000878120373 583362384 /nfs/dbraw/zinc/36/23/84/583362384.db2.gz HGYAAWNSDKJCIR-VXGBXAGGSA-N 0 3 241.400 2.525 20 0 BFADHN Cl/C=C\CN1CCC[C@@]2(CCCOC2)C1 ZINC000747531625 583363265 /nfs/dbraw/zinc/36/32/65/583363265.db2.gz DDILRQCDXGCBRU-GCLPIYDQSA-N 0 3 229.751 2.632 20 0 BFADHN CCOc1ccc(CN(C)CCCSC)o1 ZINC000894246759 583389406 /nfs/dbraw/zinc/38/94/06/583389406.db2.gz SQPZUTLNDYPIBS-UHFFFAOYSA-N 0 3 243.372 2.863 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](C)C2CC2)no1 ZINC000389856736 583405334 /nfs/dbraw/zinc/40/53/34/583405334.db2.gz AGWXIZNUIAGGCS-SCZZXKLOSA-N 0 3 208.305 2.680 20 0 BFADHN Cc1cc(CN[C@H]2CSC2(C)C)cnc1F ZINC000894279462 583407800 /nfs/dbraw/zinc/40/78/00/583407800.db2.gz DKSHGEJPMHAFTP-JTQLQIEISA-N 0 3 240.347 2.513 20 0 BFADHN CCc1ccc(CCNCc2ocnc2C)cc1 ZINC000894284402 583410290 /nfs/dbraw/zinc/41/02/90/583410290.db2.gz MDDIJSWTAFRUTL-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN CCC(CC)(CNCc1ocnc1C)SC ZINC000894317267 583421095 /nfs/dbraw/zinc/42/10/95/583421095.db2.gz XIMJYWAVCZBAPJ-UHFFFAOYSA-N 0 3 242.388 2.994 20 0 BFADHN CCO[C@@H](CCNCc1ocnc1C)C(C)C ZINC000894326583 583423737 /nfs/dbraw/zinc/42/37/37/583423737.db2.gz PJQSKYQYCZCHJW-LBPRGKRZSA-N 0 3 240.347 2.524 20 0 BFADHN COc1ccc(CN[C@@H]2COCC2(C)C)c(C)c1 ZINC000894352234 583433291 /nfs/dbraw/zinc/43/32/91/583433291.db2.gz QVDMYUBAEFXOSX-CQSZACIVSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1ncoc1CNc1cc(C)cc(C)n1 ZINC000894358524 583437012 /nfs/dbraw/zinc/43/70/12/583437012.db2.gz HEEKSIHZOWFHQX-UHFFFAOYSA-N 0 3 217.272 2.607 20 0 BFADHN CC1(C)COC[C@H]1N[C@@H](c1cccnc1)C1CC1 ZINC000894367611 583439541 /nfs/dbraw/zinc/43/95/41/583439541.db2.gz HGRCIIZJHLNFDI-ZIAGYGMSSA-N 0 3 246.354 2.547 20 0 BFADHN CCOc1ccc(CN[C@H]2CSC[C@@H]2C)o1 ZINC000894412350 583451940 /nfs/dbraw/zinc/45/19/40/583451940.db2.gz GMUVJGYMGBLQOQ-ONGXEEELSA-N 0 3 241.356 2.519 20 0 BFADHN Cc1ccnc(N)c1CN(C)C[C@@H]1CC=CCC1 ZINC000894445773 583460888 /nfs/dbraw/zinc/46/08/88/583460888.db2.gz ROTRXYSVZOLMLW-CYBMUJFWSA-N 0 3 245.370 2.760 20 0 BFADHN Cc1coc(CN(C)CCc2ccncc2)c1 ZINC000894450126 583461578 /nfs/dbraw/zinc/46/15/78/583461578.db2.gz FVOXVVBCPQERKN-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN Cc1n[nH]c(CN(C)C[C@H]2CC=CCC2)c1C ZINC000894446917 583462166 /nfs/dbraw/zinc/46/21/66/583462166.db2.gz YKDHLFTWTDUBLK-ZDUSSCGKSA-N 0 3 233.359 2.815 20 0 BFADHN Cc1[nH]nc(CN(C)C[C@H]2CC=CCC2)c1C ZINC000894446917 583462171 /nfs/dbraw/zinc/46/21/71/583462171.db2.gz YKDHLFTWTDUBLK-ZDUSSCGKSA-N 0 3 233.359 2.815 20 0 BFADHN Cc1ccnc(N)c1CN1CC[C@H](C)C(C)(C)C1 ZINC000894464120 583465728 /nfs/dbraw/zinc/46/57/28/583465728.db2.gz JOWLVOZKKQOOTD-LBPRGKRZSA-N 0 3 247.386 2.840 20 0 BFADHN Cn1cc(CNC2C(C)(C)C2(C)C)c(C2CC2)n1 ZINC000894480208 583469643 /nfs/dbraw/zinc/46/96/43/583469643.db2.gz VTYSCAUPVBQNFP-UHFFFAOYSA-N 0 3 247.386 2.822 20 0 BFADHN Cc1ncoc1CN[C@H](C)CCC1CC1 ZINC000894477198 583469797 /nfs/dbraw/zinc/46/97/97/583469797.db2.gz FYKYRANDMLZUCA-SECBINFHSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@@H]1CN(C/C=C\c2cccc(F)c2)CC[C@@H]1O ZINC000894497742 583472370 /nfs/dbraw/zinc/47/23/70/583472370.db2.gz PTBNDIFEXYAAIQ-FWQWQBSGSA-N 0 3 249.329 2.542 20 0 BFADHN CC(C)Cc1ccc(CN[C@@H]2CCCOC2)cn1 ZINC000894523414 583477459 /nfs/dbraw/zinc/47/74/59/583477459.db2.gz FFNJXLFAQMECRF-OAHLLOKOSA-N 0 3 248.370 2.549 20 0 BFADHN CN(C/C=C\c1cccc(F)c1)CC1(CO)CC1 ZINC000894534887 583479120 /nfs/dbraw/zinc/47/91/20/583479120.db2.gz RHVSIMFQWPMBOD-HYXAFXHYSA-N 0 3 249.329 2.543 20 0 BFADHN CN(C/C=C\c1cccc(F)c1)CCCCO ZINC000894538996 583479735 /nfs/dbraw/zinc/47/97/35/583479735.db2.gz OCTOHOVHWJZLPY-ALCCZGGFSA-N 0 3 237.318 2.543 20 0 BFADHN CCN(Cc1c2c(nn1C)CCC2)CC(C)(C)C ZINC000894575977 583486901 /nfs/dbraw/zinc/48/69/01/583486901.db2.gz XNPXIVCITPHUOI-UHFFFAOYSA-N 0 3 249.402 2.777 20 0 BFADHN C[C@@H](CF)N[C@H]1C[C@H](OC(C)(C)C)C1(C)C ZINC000894600427 583490016 /nfs/dbraw/zinc/49/00/16/583490016.db2.gz GXUDWWKFARZPGX-DCAQKATOSA-N 0 3 231.355 2.916 20 0 BFADHN C[C@@H](N[C@@H](C)CF)[C@@H]1COc2ccccc2C1 ZINC000894600648 583491045 /nfs/dbraw/zinc/49/10/45/583491045.db2.gz JUJWUOJITPHJOB-LOWVWBTDSA-N 0 3 237.318 2.574 20 0 BFADHN CCOc1ccc(CNCC[C@H](C)F)o1 ZINC000894622742 583496272 /nfs/dbraw/zinc/49/62/72/583496272.db2.gz BPOYJCVVOLGERH-VIFPVBQESA-N 0 3 215.268 2.516 20 0 BFADHN COc1ccc(CN2C[C@@H](C)[C@H]2C)c(C)c1 ZINC000894628622 583497564 /nfs/dbraw/zinc/49/75/64/583497564.db2.gz PQRVFDVOEHVFOY-VXGBXAGGSA-N 0 3 219.328 2.844 20 0 BFADHN COc1ccc(CNC2(C(C)C)CC2)o1 ZINC000894626599 583497652 /nfs/dbraw/zinc/49/76/52/583497652.db2.gz XWBPYMVRHQAYDD-UHFFFAOYSA-N 0 3 209.289 2.566 20 0 BFADHN Cc1ncoc1CNC[C@H]1CCC[C@H]1C ZINC000894651668 583500007 /nfs/dbraw/zinc/50/00/07/583500007.db2.gz HJJFOYCXXWBJQZ-MWLCHTKSSA-N 0 3 208.305 2.509 20 0 BFADHN Cc1ccc(CCN2CC3(C2)CCOC3)cc1C ZINC000894649873 583500588 /nfs/dbraw/zinc/50/05/88/583500588.db2.gz RXMDAZREWVQKDG-UHFFFAOYSA-N 0 3 245.366 2.568 20 0 BFADHN c1ccc2c(c1)CC[C@H]2CN1CC2(CC2)C1 ZINC000894663924 583502354 /nfs/dbraw/zinc/50/23/54/583502354.db2.gz OEKRVBGMPSMXAZ-ZDUSSCGKSA-N 0 3 213.324 2.812 20 0 BFADHN CO[C@@H]1CCN(Cc2cc(C)co2)[C@@H](C)C1 ZINC000894660806 583504091 /nfs/dbraw/zinc/50/40/91/583504091.db2.gz STPRAVGRUNRCDV-NWDGAFQWSA-N 0 3 223.316 2.587 20 0 BFADHN C[C@@H]1Cc2cc(CN3CC[C@@H]3C3CC3)ccc2O1 ZINC000894687329 583506858 /nfs/dbraw/zinc/50/68/58/583506858.db2.gz CEEQNAZFKRGDDZ-IAQYHMDHSA-N 0 3 243.350 2.994 20 0 BFADHN Cc1coc(CN2CCC[C@H]2[C@H]2CCCO2)c1 ZINC000894689227 583508856 /nfs/dbraw/zinc/50/88/56/583508856.db2.gz CURJDMOIOZXHCK-UONOGXRCSA-N 0 3 235.327 2.731 20 0 BFADHN Cc1coc(CN2CCC[C@@H]2[C@H]2CCCO2)c1 ZINC000894689228 583508881 /nfs/dbraw/zinc/50/88/81/583508881.db2.gz CURJDMOIOZXHCK-ZIAGYGMSSA-N 0 3 235.327 2.731 20 0 BFADHN c1nn(CC2CCC2)cc1CN1CC[C@H]1C1CC1 ZINC000894690589 583508895 /nfs/dbraw/zinc/50/88/95/583508895.db2.gz SNCIEXHWJQQVEZ-HNNXBMFYSA-N 0 3 245.370 2.668 20 0 BFADHN COc1ccc(CNC[C@H]2CCCC2(F)F)o1 ZINC000894719289 583512512 /nfs/dbraw/zinc/51/25/12/583512512.db2.gz OUOBMQRQMBYAJO-SECBINFHSA-N 0 3 245.269 2.813 20 0 BFADHN FC1CCN(C[C@@H]2CCC3(CCC3)O2)CC1 ZINC000880239141 583512540 /nfs/dbraw/zinc/51/25/40/583512540.db2.gz WGUCPHHVCDFXCM-LBPRGKRZSA-N 0 3 227.323 2.522 20 0 BFADHN COc1ccc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)o1 ZINC000894736876 583514716 /nfs/dbraw/zinc/51/47/16/583514716.db2.gz VWLQKXOGEHHEMH-HIFRSBDPSA-N 0 3 247.338 2.909 20 0 BFADHN c1csc(NC[C@H]2CCN2C2CCCC2)n1 ZINC000894769520 583516497 /nfs/dbraw/zinc/51/64/97/583516497.db2.gz TXUJTWWCXRRNTD-LLVKDONJSA-N 0 3 237.372 2.572 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCC[C@H]2C(C)C)[n-]1 ZINC000894774728 583517329 /nfs/dbraw/zinc/51/73/29/583517329.db2.gz WJXQIJSLDRVEEV-DLOVCJGASA-N 0 3 236.363 2.588 20 0 BFADHN Cc1cc(F)ncc1CNC1(C2(C)CC2)CC1 ZINC000894760849 583518483 /nfs/dbraw/zinc/51/84/83/583518483.db2.gz NDFASFZMYWVYLX-UHFFFAOYSA-N 0 3 234.318 2.951 20 0 BFADHN CCOc1ccccc1CN1CC[C@H](CF)C1 ZINC000880293013 583523677 /nfs/dbraw/zinc/52/36/77/583523677.db2.gz YFQDXXNOQOMRRE-GFCCVEGCSA-N 0 3 237.318 2.877 20 0 BFADHN CC1(C)[C@H](O)CCN1C/C=C\c1cccc(F)c1 ZINC000894813710 583523689 /nfs/dbraw/zinc/52/36/89/583523689.db2.gz CHLKJMMPBHPIAB-KXQLTZBTSA-N 0 3 249.329 2.684 20 0 BFADHN CCC1CN(Cc2nccc3c2CCCC3)C1 ZINC000894812631 583523707 /nfs/dbraw/zinc/52/37/07/583523707.db2.gz FQLGXZTWGQEYOF-UHFFFAOYSA-N 0 3 230.355 2.802 20 0 BFADHN CC1(C)[C@@H](O)CCN1C/C=C\c1cccc(F)c1 ZINC000894813712 583523866 /nfs/dbraw/zinc/52/38/66/583523866.db2.gz CHLKJMMPBHPIAB-SDQPKGBYSA-N 0 3 249.329 2.684 20 0 BFADHN CCN(Cc1c(C)ccnc1N)CC(C)(C)C ZINC000894818887 583525104 /nfs/dbraw/zinc/52/51/04/583525104.db2.gz SJWSYJICGKOXNJ-UHFFFAOYSA-N 0 3 235.375 2.840 20 0 BFADHN FC[C@@H]1CCN(CCc2ccccc2F)C1 ZINC000880298775 583525909 /nfs/dbraw/zinc/52/59/09/583525909.db2.gz JSWLJFHHRSNYOM-NSHDSACASA-N 0 3 225.282 2.660 20 0 BFADHN CO[C@@]1(C(F)(F)F)CCN(CC2=CCCC2)C1 ZINC000880307104 583528557 /nfs/dbraw/zinc/52/85/57/583528557.db2.gz HQBFDYLHDJCFQG-NSHDSACASA-N 0 3 249.276 2.750 20 0 BFADHN CCc1cccc2cc(CN(C)[C@H](C)CO)oc21 ZINC000668163771 583531555 /nfs/dbraw/zinc/53/15/55/583531555.db2.gz IZENKZCZXPUNQE-LLVKDONJSA-N 0 3 247.338 2.808 20 0 BFADHN Cc1ncoc1CN(C1CCC1)C1CCC1 ZINC000894850390 583531928 /nfs/dbraw/zinc/53/19/28/583531928.db2.gz JKIBOZBPOBRIGI-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1cc2cnccc2o1 ZINC000894861239 583534269 /nfs/dbraw/zinc/53/42/69/583534269.db2.gz CIUDHSDNJRSZQO-VHSXEESVSA-N 0 3 216.284 2.668 20 0 BFADHN COc1ccc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000894865850 583537137 /nfs/dbraw/zinc/53/71/37/583537137.db2.gz OHOIOUJWZWWXCT-ADEWGFFLSA-N 0 3 221.300 2.566 20 0 BFADHN COc1ccc(CN2C[C@@H]3C[C@H]2CS3)c(C)c1 ZINC000894867038 583538180 /nfs/dbraw/zinc/53/81/80/583538180.db2.gz IMQNYXKJGQMYPE-JSGCOSHPSA-N 0 3 249.379 2.693 20 0 BFADHN Fc1cccc2c1CCC[C@H]2NCc1cnc[nH]1 ZINC000894868258 583538247 /nfs/dbraw/zinc/53/82/47/583538247.db2.gz YNEOJBMPEYAOGQ-CQSZACIVSA-N 0 3 245.301 2.716 20 0 BFADHN Cc1coc(CN2CCN(C3CC3)C[C@@H](C)C2)c1 ZINC000894867880 583538255 /nfs/dbraw/zinc/53/82/55/583538255.db2.gz AOAVWNLPXDUMLA-ZDUSSCGKSA-N 0 3 248.370 2.504 20 0 BFADHN COc1ccc(CN[C@@]2(C)CC=CCC2)nc1 ZINC000894868072 583538593 /nfs/dbraw/zinc/53/85/93/583538593.db2.gz YRGCBBWEQUPALG-AWEZNQCLSA-N 0 3 232.327 2.679 20 0 BFADHN CC[C@H](CO)N(C)C/C=C\c1cccc(F)c1 ZINC000894870593 583539729 /nfs/dbraw/zinc/53/97/29/583539729.db2.gz MVPLLPRLAODDAZ-BRLPQQTGSA-N 0 3 237.318 2.542 20 0 BFADHN CC/C=C\CNCc1cnc2onc(C)c2c1 ZINC000894888744 583547449 /nfs/dbraw/zinc/54/74/49/583547449.db2.gz PMEOMFCMJOVNMJ-PLNGDYQASA-N 0 3 231.299 2.587 20 0 BFADHN CCOc1ccc(CN[C@@H]2CS[C@H](C)C2)o1 ZINC000894904536 583553231 /nfs/dbraw/zinc/55/32/31/583553231.db2.gz SPKDQQHJZUUWSJ-ZJUUUORDSA-N 0 3 241.356 2.662 20 0 BFADHN Cc1ncoc1CNC12CCC(CC1)CC2 ZINC000894906387 583553701 /nfs/dbraw/zinc/55/37/01/583553701.db2.gz KCASGQCRBHCZQK-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN C[C@@H](Cc1ccco1)N[C@H]1CCCc2c[nH]nc21 ZINC000857846118 588911727 /nfs/dbraw/zinc/91/17/27/588911727.db2.gz HGFIYMZDOVNECP-GWCFXTLKSA-N 0 3 245.326 2.601 20 0 BFADHN CCCCCN[C@@H]1CCCc2c[nH]nc21 ZINC000857845337 588911761 /nfs/dbraw/zinc/91/17/61/588911761.db2.gz GERXKCBTACZNQH-LLVKDONJSA-N 0 3 207.321 2.567 20 0 BFADHN Cc1coc(CN2CC[C@H](O)CC(C)(C)C2)c1 ZINC000894918576 583555807 /nfs/dbraw/zinc/55/58/07/583555807.db2.gz XFZIKNNJUCFIDN-LBPRGKRZSA-N 0 3 237.343 2.571 20 0 BFADHN COc1ccc(CN2CC[C@H](C3CCC3)C2)o1 ZINC000894949059 583559201 /nfs/dbraw/zinc/55/92/01/583559201.db2.gz OKJNYASRLAGWIZ-LBPRGKRZSA-N 0 3 235.327 2.910 20 0 BFADHN Cc1ncoc1CNC[C@H]1CCC[C@H]1C1CC1 ZINC000894985161 583564528 /nfs/dbraw/zinc/56/45/28/583564528.db2.gz GKNOHEICSRGOFR-OLZOCXBDSA-N 0 3 234.343 2.899 20 0 BFADHN c1cn(C2CC2)c(CNC[C@@H]2C[C@H]2C2CCC2)n1 ZINC000895005818 583566045 /nfs/dbraw/zinc/56/60/45/583566045.db2.gz ISVOZISNDBVPPL-JSGCOSHPSA-N 0 3 245.370 2.744 20 0 BFADHN CC(C)Cc1ccc(CN[C@@H]2CC23CC3)cn1 ZINC000895008984 583567319 /nfs/dbraw/zinc/56/73/19/583567319.db2.gz LYPCNSCOVVWLRC-CQSZACIVSA-N 0 3 230.355 2.922 20 0 BFADHN Cc1cc(CN[C@@H]2CC23CC3)c2c(n1)CCCC2 ZINC000895009088 583567413 /nfs/dbraw/zinc/56/74/13/583567413.db2.gz QFLRKMHMQYQMSX-OAHLLOKOSA-N 0 3 242.366 2.911 20 0 BFADHN CC[C@@H](NCc1ocnc1C)[C@@H]1CC1(C)C ZINC000895023847 583569956 /nfs/dbraw/zinc/56/99/56/583569956.db2.gz FUNGDJHTJOQKIC-WDEREUQCSA-N 0 3 222.332 2.897 20 0 BFADHN CCc1cccc(NC(=O)CN[C@@H]2CC[C@@H]2C)c1 ZINC000895039393 583570459 /nfs/dbraw/zinc/57/04/59/583570459.db2.gz DDDGBGXLJJCUFF-SMDDNHRTSA-N 0 3 246.354 2.576 20 0 BFADHN FC(F)(F)CCCN[C@@H]1CCCc2c[nH]nc21 ZINC000857856690 588913414 /nfs/dbraw/zinc/91/34/14/588913414.db2.gz NSCPQAXSKLAUNW-SECBINFHSA-N 0 3 247.264 2.719 20 0 BFADHN C[C@H](N[C@H]1CCOC2(CCCC2)C1)c1ncc[nH]1 ZINC000334320271 583579844 /nfs/dbraw/zinc/57/98/44/583579844.db2.gz VNLLCTAJPLLGNU-RYUDHWBXSA-N 0 3 249.358 2.552 20 0 BFADHN Cc1ncoc1CNCC1CCC(F)CC1 ZINC000895082877 583583440 /nfs/dbraw/zinc/58/34/40/583583440.db2.gz HWUPTQGGYNAUHQ-UHFFFAOYSA-N 0 3 226.295 2.601 20 0 BFADHN CCN(CCSC)Cc1cc(C)co1 ZINC000895090710 583585977 /nfs/dbraw/zinc/58/59/77/583585977.db2.gz XEWBPDCHXVAXJR-UHFFFAOYSA-N 0 3 213.346 2.773 20 0 BFADHN CN(Cc1cnn(C)c1C1CC1)C(C)(C)C ZINC000895115125 583591447 /nfs/dbraw/zinc/59/14/47/583591447.db2.gz HFTIXSPJNNYFBY-UHFFFAOYSA-N 0 3 221.348 2.528 20 0 BFADHN CC[N@H+](CCSC)Cc1ccc([O-])c(F)c1 ZINC000895123220 583596845 /nfs/dbraw/zinc/59/68/45/583596845.db2.gz GGWQKHFRSGAWLW-UHFFFAOYSA-N 0 3 243.347 2.716 20 0 BFADHN CC[N@@H+](CCSC)Cc1ccc([O-])c(F)c1 ZINC000895123220 583596848 /nfs/dbraw/zinc/59/68/48/583596848.db2.gz GGWQKHFRSGAWLW-UHFFFAOYSA-N 0 3 243.347 2.716 20 0 BFADHN CCc1onc(C)c1CN[C@H]1CCS[C@H]1C ZINC000895126410 583598230 /nfs/dbraw/zinc/59/82/30/583598230.db2.gz WLSZNGHFBKMHMN-ONGXEEELSA-N 0 3 240.372 2.529 20 0 BFADHN Cc1ncoc1CNCC[C@@]1(C)C[C@]1(F)Cl ZINC000895135265 583602057 /nfs/dbraw/zinc/60/20/57/583602057.db2.gz UKKLYNASSXEMPJ-WDEREUQCSA-N 0 3 246.713 2.777 20 0 BFADHN CCN(Cc1cc(C)co1)[C@@H]1CCCOC1 ZINC000895136210 583602446 /nfs/dbraw/zinc/60/24/46/583602446.db2.gz CSMHDFKFGHHRIT-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1coc(CN2CC[C@]3(CC3(F)F)C2)c1 ZINC000895149645 583606164 /nfs/dbraw/zinc/60/61/64/583606164.db2.gz OBCNJBHGXUWLBQ-NSHDSACASA-N 0 3 227.254 2.819 20 0 BFADHN Cc1cc(CN2CCCCC[C@@H]2CO)c(C)o1 ZINC000895151169 583606428 /nfs/dbraw/zinc/60/64/28/583606428.db2.gz IYCDJGWHSHFFDD-CQSZACIVSA-N 0 3 237.343 2.633 20 0 BFADHN CC[C@@H](C)NCc1ncc(C)c(OC)c1C ZINC000020036760 583612330 /nfs/dbraw/zinc/61/23/30/583612330.db2.gz YOEGRKIEZFWUCM-SNVBAGLBSA-N 0 3 222.332 2.595 20 0 BFADHN CC[C@@H](C)CCN[C@H]1CCCc2c[nH]nc21 ZINC000857870181 588916630 /nfs/dbraw/zinc/91/66/30/588916630.db2.gz CYUKGNAMIFEQNC-PWSUYJOCSA-N 0 3 221.348 2.813 20 0 BFADHN COc1ccc(CNC2CC3(CCC3)C2)o1 ZINC000895185212 583618714 /nfs/dbraw/zinc/61/87/14/583618714.db2.gz JONGSNOQFGLLJR-UHFFFAOYSA-N 0 3 221.300 2.711 20 0 BFADHN CC(C)CC1(N[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000857872479 588917037 /nfs/dbraw/zinc/91/70/37/588917037.db2.gz NOTBVNGJNQSEDH-GFCCVEGCSA-N 0 3 233.359 2.955 20 0 BFADHN CCCN(Cc1ccc(OC)o1)C(C)C ZINC000895204916 583625105 /nfs/dbraw/zinc/62/51/05/583625105.db2.gz KKCXTOCRBLLYHO-UHFFFAOYSA-N 0 3 211.305 2.909 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCCCC1CCC1 ZINC000857872672 588918038 /nfs/dbraw/zinc/91/80/38/588918038.db2.gz PQGZSFMJVHZSFG-ZDUSSCGKSA-N 0 3 233.359 2.957 20 0 BFADHN C[C@@H](CN[C@H]1CCCc2c[nH]nc21)CC(F)F ZINC000857872696 588918050 /nfs/dbraw/zinc/91/80/50/588918050.db2.gz QAWWPZPVEJFFBX-SCZZXKLOSA-N 0 3 243.301 2.668 20 0 BFADHN C[C@H](CN[C@@H]1CCCc2c[nH]nc21)CC(F)F ZINC000857872697 588918072 /nfs/dbraw/zinc/91/80/72/588918072.db2.gz QAWWPZPVEJFFBX-WCBMZHEXSA-N 0 3 243.301 2.668 20 0 BFADHN C[C@H](CN[C@H]1CCCc2c[nH]nc21)CC(F)F ZINC000857872698 588918228 /nfs/dbraw/zinc/91/82/28/588918228.db2.gz QAWWPZPVEJFFBX-WPRPVWTQSA-N 0 3 243.301 2.668 20 0 BFADHN CC1=NO[C@@H](CN[C@@H](C)CSC(C)(C)C)C1 ZINC000895306611 583643801 /nfs/dbraw/zinc/64/38/01/583643801.db2.gz HWWBONWBKVWUKP-WDEREUQCSA-N 0 3 244.404 2.661 20 0 BFADHN C/C(=C/c1ccccc1)CNC[C@@H]1CC(C)=NO1 ZINC000895309931 583646439 /nfs/dbraw/zinc/64/64/39/583646439.db2.gz DEIZBEHFOMGTDH-PINLSGDHSA-N 0 3 244.338 2.844 20 0 BFADHN CC1=NO[C@@H](CNCc2cc(C)c(C)cc2C)C1 ZINC000895311360 583647194 /nfs/dbraw/zinc/64/71/94/583647194.db2.gz PNSINIGITUZEMK-OAHLLOKOSA-N 0 3 246.354 2.866 20 0 BFADHN Cc1ncoc1CN[C@@H](C)C1CCC(F)CC1 ZINC000895328448 583651719 /nfs/dbraw/zinc/65/17/19/583651719.db2.gz XACMTVAHYYIYRL-GCVQQVDUSA-N 0 3 240.322 2.989 20 0 BFADHN Fc1cccc(/C=C\CN2CCC3(COC3)C2)c1 ZINC000895333525 583652104 /nfs/dbraw/zinc/65/21/04/583652104.db2.gz AHKCAFOYIHNFMX-RQOWECAXSA-N 0 3 247.313 2.561 20 0 BFADHN Cc1noc2ncc(CNCC3=CCCC3)cc12 ZINC000895332502 583652503 /nfs/dbraw/zinc/65/25/03/583652503.db2.gz KQJSEPZGOVDPMG-UHFFFAOYSA-N 0 3 243.310 2.731 20 0 BFADHN Oc1cc(Cl)cc(CN[C@H]2C=CCC2)c1 ZINC000895338008 583653797 /nfs/dbraw/zinc/65/37/97/583653797.db2.gz OBUROBWCHNZBJX-NSHDSACASA-N 0 3 223.703 2.854 20 0 BFADHN COC(=O)c1ccc(CN[C@H]2C=CCC2)cc1C ZINC000895337365 583654047 /nfs/dbraw/zinc/65/40/47/583654047.db2.gz IYOYSLVLRMKOCT-ZDUSSCGKSA-N 0 3 245.322 2.590 20 0 BFADHN CCOc1ccc(CN[C@H](CC)C2CC2)o1 ZINC000895390870 583664054 /nfs/dbraw/zinc/66/40/54/583664054.db2.gz BKYOFBPAOIFULT-GFCCVEGCSA-N 0 3 223.316 2.957 20 0 BFADHN Cc1ncoc1CNC[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000895406643 583666371 /nfs/dbraw/zinc/66/63/71/583666371.db2.gz VFISBIAIBYRTKV-PTEHBNRSSA-N 0 3 220.316 2.509 20 0 BFADHN OCc1cc(NC[C@]23C[C@H]2CCCC3)ccn1 ZINC000895427195 583667992 /nfs/dbraw/zinc/66/79/92/583667992.db2.gz NKOKMXSTWBFWMX-RISCZKNCSA-N 0 3 232.327 2.566 20 0 BFADHN c1cc2c(cc1CN1CC[C@H]3C[C@H]3C1)CCCO2 ZINC000895432968 583671544 /nfs/dbraw/zinc/67/15/44/583671544.db2.gz HFLOTRHBFFDNGA-ZFWWWQNUSA-N 0 3 243.350 2.853 20 0 BFADHN Cc1cnc(Cl)c(CN2CC[C@@H]3C[C@@H]3C2)c1 ZINC000895434038 583672615 /nfs/dbraw/zinc/67/26/15/583672615.db2.gz RLSJKXNESQEHHN-GHMZBOCLSA-N 0 3 236.746 2.885 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000895434138 583672746 /nfs/dbraw/zinc/67/27/46/583672746.db2.gz QUZRPJVPAZWOMT-NHAGDIPZSA-N 0 3 247.386 2.969 20 0 BFADHN Cc1cc(F)ncc1CN[C@@]1(C)CC1(C)C ZINC000895441513 583675218 /nfs/dbraw/zinc/67/52/18/583675218.db2.gz AEBKOGPEIAYEAN-ZDUSSCGKSA-N 0 3 222.307 2.807 20 0 BFADHN Cc1coc(CN2CCC[C@H](C(C)(C)O)C2)c1 ZINC000895472173 583680041 /nfs/dbraw/zinc/68/00/41/583680041.db2.gz AJKUBKIEQNUNHC-LBPRGKRZSA-N 0 3 237.343 2.571 20 0 BFADHN CN(Cc1cn(C)nc1C1CC1)C[C@@H]1CC1(C)C ZINC000895482274 583680799 /nfs/dbraw/zinc/68/07/99/583680799.db2.gz SUEWUYTWYALDAM-ZDUSSCGKSA-N 0 3 247.386 2.775 20 0 BFADHN CCOc1ccc(CNCC[C@@H]2CC2(F)F)o1 ZINC000895513571 583682660 /nfs/dbraw/zinc/68/26/60/583682660.db2.gz PJVTZSDRZAHEJI-SECBINFHSA-N 0 3 245.269 2.813 20 0 BFADHN CCC[C@@H](C)CCNCc1ocnc1C ZINC000895533327 583685606 /nfs/dbraw/zinc/68/56/06/583685606.db2.gz WFCPVPQIUWMYLH-SNVBAGLBSA-N 0 3 210.321 2.899 20 0 BFADHN COc1cccc(C)c1CN1CCC(F)CC1 ZINC000895547089 583686573 /nfs/dbraw/zinc/68/65/73/583686573.db2.gz LBRITDLDFMXTHM-UHFFFAOYSA-N 0 3 237.318 2.938 20 0 BFADHN Cc1ncoc1CNC[C@@]1(C)CC1(C)C ZINC000895535518 583686830 /nfs/dbraw/zinc/68/68/30/583686830.db2.gz ULIOZEVMEVUWPD-GFCCVEGCSA-N 0 3 208.305 2.509 20 0 BFADHN CCc1ncc(CN2CCC[C@@H](CF)C2)s1 ZINC000895546880 583689802 /nfs/dbraw/zinc/68/98/02/583689802.db2.gz WSAIJVRXJXTDDU-JTQLQIEISA-N 0 3 242.363 2.887 20 0 BFADHN COc1ccccc1CN1CCC[C@@H](CF)C1 ZINC000895546528 583690238 /nfs/dbraw/zinc/69/02/38/583690238.db2.gz OIDUAVQBEDZQQQ-LBPRGKRZSA-N 0 3 237.318 2.877 20 0 BFADHN Cn1cc(CN2C[C@@H]3C[C@@H]3C2)c(C2CCCC2)n1 ZINC000895556873 583690462 /nfs/dbraw/zinc/69/04/62/583690462.db2.gz DOUMYKVRAXNWIY-BETUJISGSA-N 0 3 245.370 2.529 20 0 BFADHN FC[C@H]1CCN(Cc2cc3cccnc3o2)C1 ZINC000895572887 583691924 /nfs/dbraw/zinc/69/19/24/583691924.db2.gz NAKDFAIHYIFNEP-SNVBAGLBSA-N 0 3 234.274 2.619 20 0 BFADHN COc1ccccc1/C=C/CN1CC[C@@H](CF)C1 ZINC000895572763 583691955 /nfs/dbraw/zinc/69/19/55/583691955.db2.gz GDLXSMBZJWPRFW-BPJJOFIESA-N 0 3 249.329 3.000 20 0 BFADHN FC[C@@H]1CCN(CC2=Cc3ccccc3OC2)C1 ZINC000895572855 583692181 /nfs/dbraw/zinc/69/21/81/583692181.db2.gz HDDIJPCUBMGVNL-LBPRGKRZSA-N 0 3 247.313 2.754 20 0 BFADHN c1coc(CN2CC[C@H](c3nccs3)C2)c1 ZINC000895585549 583694304 /nfs/dbraw/zinc/69/43/04/583694304.db2.gz XTQQDJWEBGRSSG-JTQLQIEISA-N 0 3 234.324 2.726 20 0 BFADHN C/C=C/CNCc1c(C)nn(C(C)C)c1C ZINC000895630441 583698272 /nfs/dbraw/zinc/69/82/72/583698272.db2.gz HGSRLFGQVQZBMA-VOTSOKGWSA-N 0 3 221.348 2.747 20 0 BFADHN Cc1coc(CN2CC[C@@H]([C@H]3CCCO3)C2)c1 ZINC000895842617 583734780 /nfs/dbraw/zinc/73/47/80/583734780.db2.gz IEGIZUBVQDEKJW-TZMCWYRMSA-N 0 3 235.327 2.589 20 0 BFADHN COc1ccc(CNCCCCC2CC2)nc1 ZINC000895831752 583735402 /nfs/dbraw/zinc/73/54/02/583735402.db2.gz ARDZQJXWILCISA-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN Cc1occc1CN1CC(C)(C)CC[C@H]1CO ZINC000895924295 583747189 /nfs/dbraw/zinc/74/71/89/583747189.db2.gz NCWAJXMDBYQMPO-ZDUSSCGKSA-N 0 3 237.343 2.571 20 0 BFADHN CO[C@H]1CC[C@H](C)N(Cc2cc(C)co2)C1 ZINC000895926243 583748089 /nfs/dbraw/zinc/74/80/89/583748089.db2.gz BTSOKUXAGOESFJ-RYUDHWBXSA-N 0 3 223.316 2.587 20 0 BFADHN COCC1CN(Cc2cccc(C(C)C)c2)C1 ZINC000895974712 583756881 /nfs/dbraw/zinc/75/68/81/583756881.db2.gz IVVMUYAICGAPSR-UHFFFAOYSA-N 0 3 233.355 2.888 20 0 BFADHN CCc1onc(C)c1CNC[C@H]1C=CCC1 ZINC000895996174 583759511 /nfs/dbraw/zinc/75/95/11/583759511.db2.gz YYASUDFBYDCXCE-NSHDSACASA-N 0 3 220.316 2.601 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@@H]3CCCC[C@H]23)o1 ZINC000896016409 583762897 /nfs/dbraw/zinc/76/28/97/583762897.db2.gz MHCJIIDYSWPOQR-WCFLWFBJSA-N 0 3 235.327 2.957 20 0 BFADHN CCc1onc(C)c1CNC[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C ZINC000896046616 583765499 /nfs/dbraw/zinc/76/54/99/583765499.db2.gz FAYMELSGKZTPIF-MGAJPHDKSA-N 0 3 248.370 2.927 20 0 BFADHN Cn1c(CNC[C@]2(C)CC2(C)C)cnc1Cl ZINC000883252696 583765530 /nfs/dbraw/zinc/76/55/30/583765530.db2.gz UPASIZJINDIFGG-LBPRGKRZSA-N 0 3 241.766 2.599 20 0 BFADHN CN(CCCF)Cc1ccc2c(c1)CCCO2 ZINC000896069192 583767956 /nfs/dbraw/zinc/76/79/56/583767956.db2.gz BKROLTAMMYRXPH-UHFFFAOYSA-N 0 3 237.318 2.803 20 0 BFADHN CN(CCCF)Cc1nccc2c1CCCC2 ZINC000896070455 583768231 /nfs/dbraw/zinc/76/82/31/583768231.db2.gz WBHLTAUIKFHWGH-UHFFFAOYSA-N 0 3 236.334 2.752 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000896398665 583799443 /nfs/dbraw/zinc/79/94/43/583799443.db2.gz MTGKIZMVLQRYIZ-GMTLJSCSSA-N 0 3 234.343 2.680 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)cnc1F ZINC000896398650 583799569 /nfs/dbraw/zinc/79/95/69/583799569.db2.gz MGGUECMBVCCMBS-WRSRJMLGSA-N 0 3 234.318 2.663 20 0 BFADHN C[C@H]1CCN(C/C=C/c2ccc(F)cc2)CCO1 ZINC000896583945 583815356 /nfs/dbraw/zinc/81/53/56/583815356.db2.gz JYHVMFGFAHNQKM-IBUXWKBASA-N 0 3 249.329 2.950 20 0 BFADHN Fc1cc(CNCCC2CC=CC2)c(F)cn1 ZINC000896865433 583842475 /nfs/dbraw/zinc/84/24/75/583842475.db2.gz WPRIDCFRVGROPQ-UHFFFAOYSA-N 0 3 238.281 2.806 20 0 BFADHN Clc1ccc(CNCCC2CC=CC2)nn1 ZINC000896865109 583842663 /nfs/dbraw/zinc/84/26/63/583842663.db2.gz USPKVWSAVOYBJK-UHFFFAOYSA-N 0 3 237.734 2.576 20 0 BFADHN Cn1cccc1CN1CCC(F)(C2CC2)CC1 ZINC000896946908 583863563 /nfs/dbraw/zinc/86/35/63/583863563.db2.gz PGMUXAUTRVZOMC-UHFFFAOYSA-N 0 3 236.334 2.739 20 0 BFADHN FC1(C2CC2)CCN(CC2=CCCOC2)CC1 ZINC000896946853 583863649 /nfs/dbraw/zinc/86/36/49/583863649.db2.gz NKZOHPGUIFRVOG-UHFFFAOYSA-N 0 3 239.334 2.547 20 0 BFADHN CCO[C@H]1CCN(Cc2cccc(C)c2F)C1 ZINC000897067598 583877101 /nfs/dbraw/zinc/87/71/01/583877101.db2.gz MPFSDVFAHZTAEO-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN CC1(C)CCC[C@@](CO)(NCc2ccoc2)C1 ZINC000858250793 588940220 /nfs/dbraw/zinc/94/02/20/588940220.db2.gz GVKJIUSOKUZCGH-CQSZACIVSA-N 0 3 237.343 2.701 20 0 BFADHN CCc1onc(C)c1CNC[C@]12C[C@H]1CCC2 ZINC000897242439 583901156 /nfs/dbraw/zinc/90/11/56/583901156.db2.gz VIENLNLCIRTUSX-RISCZKNCSA-N 0 3 234.343 2.825 20 0 BFADHN c1coc(CN2CCC[C@@H](C3CCOCC3)C2)c1 ZINC000897267813 583906288 /nfs/dbraw/zinc/90/62/88/583906288.db2.gz QGHPWEOEAHBGQF-CQSZACIVSA-N 0 3 249.354 2.918 20 0 BFADHN CC[C@H]([NH2+]Cc1cncc([O-])c1)[C@@H]1CC1(C)C ZINC000897305568 583913039 /nfs/dbraw/zinc/91/30/39/583913039.db2.gz XOHJFTKUQSOOMV-STQMWFEESA-N 0 3 234.343 2.702 20 0 BFADHN CCC[C@@H]([NH2+]Cc1cncc([O-])c1)C1CCC1 ZINC000897314738 583918327 /nfs/dbraw/zinc/91/83/27/583918327.db2.gz AYWMNMKPIKJBJA-CQSZACIVSA-N 0 3 234.343 2.846 20 0 BFADHN C[C@@H](CC1CCCC1)[NH2+]Cc1cncc([O-])c1 ZINC000897315723 583918480 /nfs/dbraw/zinc/91/84/80/583918480.db2.gz PTBOCRAFMOAMKL-NSHDSACASA-N 0 3 234.343 2.846 20 0 BFADHN [O-]c1cncc(C[NH2+][C@H]2CCCC23CCC3)c1 ZINC000897315746 583918991 /nfs/dbraw/zinc/91/89/91/583918991.db2.gz QPJAXBPPRNYQSC-ZDUSSCGKSA-N 0 3 232.327 2.600 20 0 BFADHN C[C@@H]1CCC[C@H]([NH2+]Cc2cncc([O-])c2)[C@H]1C ZINC000897315342 583919010 /nfs/dbraw/zinc/91/90/10/583919010.db2.gz KEKGKJQMHLCGMK-SUNKGSAMSA-N 0 3 234.343 2.702 20 0 BFADHN C[C@H](CC(C)(C)C)[NH2+]Cc1cncc([O-])c1 ZINC000897316185 583919029 /nfs/dbraw/zinc/91/90/29/583919029.db2.gz XPKPGUMOLJCWGK-SNVBAGLBSA-N 0 3 222.332 2.702 20 0 BFADHN [O-]c1cncc(C[NH2+][C@@H]2CCCC[C@H]2C2CC2)c1 ZINC000897316294 583919194 /nfs/dbraw/zinc/91/91/94/583919194.db2.gz YFURONBISYCCPD-LSDHHAIUSA-N 0 3 246.354 2.846 20 0 BFADHN C[C@@H]([NH2+]Cc1cncc([O-])c1)C1CCCCC1 ZINC000897316364 583919511 /nfs/dbraw/zinc/91/95/11/583919511.db2.gz ZNQFXKFLJIDSCZ-LLVKDONJSA-N 0 3 234.343 2.846 20 0 BFADHN [O-]c1cncc(C[NH2+][C@H]2CCCC23CCCC3)c1 ZINC000897316379 583919688 /nfs/dbraw/zinc/91/96/88/583919688.db2.gz ZTXZFOVMTBGWTG-AWEZNQCLSA-N 0 3 246.354 2.990 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)[C@]1(C)CC=CCC1 ZINC000870546199 583922034 /nfs/dbraw/zinc/92/20/34/583922034.db2.gz AAXJYFADWMFGRG-TZMCWYRMSA-N 0 3 239.359 2.616 20 0 BFADHN C/C=C\CNCc1cnc(C(C)C)s1 ZINC000858291193 588942372 /nfs/dbraw/zinc/94/23/72/588942372.db2.gz QABXGYPKTOXNPB-PLNGDYQASA-N 0 3 210.346 2.932 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H](C)c1ccns1 ZINC000858316966 588943050 /nfs/dbraw/zinc/94/30/50/588943050.db2.gz PHKHKCSJJREDST-ZJUUUORDSA-N 0 3 228.361 2.607 20 0 BFADHN Cc1cnc(CN(C2CC2)C2CCCC2)cn1 ZINC000728911703 583936052 /nfs/dbraw/zinc/93/60/52/583936052.db2.gz JRTTUGFTNPZHPB-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN OCc1cc(NCCCc2ccccc2)ccn1 ZINC000858310039 588943708 /nfs/dbraw/zinc/94/37/08/588943708.db2.gz BFKQWCYARGBZIB-UHFFFAOYSA-N 0 3 242.322 2.619 20 0 BFADHN OCc1cc(NCCC2=CCCCC2)ccn1 ZINC000858310711 588943978 /nfs/dbraw/zinc/94/39/78/588943978.db2.gz SHTWQSWVQLJQBL-UHFFFAOYSA-N 0 3 232.327 2.876 20 0 BFADHN C[C@@H]1CCCC[C@H]1Nc1ccnc(CO)c1 ZINC000858312008 588944314 /nfs/dbraw/zinc/94/43/14/588944314.db2.gz RXJWUEHOFFASAM-ZWNOBZJWSA-N 0 3 220.316 2.565 20 0 BFADHN C[C@@H](CNc1ccnc(CO)c1)c1ccccc1 ZINC000858316739 588944449 /nfs/dbraw/zinc/94/44/49/588944449.db2.gz JSAKPICZNQTTRO-LBPRGKRZSA-N 0 3 242.322 2.790 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H](CO)CC(C)C)s1 ZINC000090404270 583949658 /nfs/dbraw/zinc/94/96/58/583949658.db2.gz MTQQVAOGTQCMLL-KOLCDFICSA-N 0 3 242.388 2.509 20 0 BFADHN C[C@H](CCc1ccco1)Nc1ccnc(CO)c1 ZINC000858322324 588945664 /nfs/dbraw/zinc/94/56/64/588945664.db2.gz SZXIIYIKMQCGQQ-LLVKDONJSA-N 0 3 246.310 2.600 20 0 BFADHN CCC[C@H](CNCc1ccnc(F)c1)OCC ZINC000858210394 588939010 /nfs/dbraw/zinc/93/90/10/588939010.db2.gz OYWFPQROCGVEID-GFCCVEGCSA-N 0 3 240.322 2.516 20 0 BFADHN C[C@@H](NCC(C)(C)C1CC1)c1cnccn1 ZINC000897438267 583983564 /nfs/dbraw/zinc/98/35/64/583983564.db2.gz UJWHIDHKUIEKEX-SNVBAGLBSA-N 0 3 219.332 2.563 20 0 BFADHN Cc1noc(C)c1CNCC(C)(C)C1CC1 ZINC000897442662 583984954 /nfs/dbraw/zinc/98/49/54/583984954.db2.gz GUAQQCIAOPCKBO-UHFFFAOYSA-N 0 3 222.332 2.817 20 0 BFADHN CC(C)(CNCc1ccco1)C1CCOCC1 ZINC000897450769 583985877 /nfs/dbraw/zinc/98/58/77/583985877.db2.gz GOBOINJXDQUWAH-UHFFFAOYSA-N 0 3 237.343 2.822 20 0 BFADHN OCc1cc(N[C@@H]2CC[C@H]3CCC[C@H]3C2)ccn1 ZINC000858425349 588953642 /nfs/dbraw/zinc/95/36/42/588953642.db2.gz GTUGDNPBIDHANR-FRRDWIJNSA-N 0 3 246.354 2.955 20 0 BFADHN C[Si](C)(C)CN1CCO[C@@H](c2ccccc2)C1 ZINC000729267140 583988169 /nfs/dbraw/zinc/98/81/69/583988169.db2.gz KKVYAPHOFFFSBG-CQSZACIVSA-N 0 3 249.430 2.937 20 0 BFADHN CCc1cc(CN[C@@H]2CC[C@@H]2C2CCC2)[nH]n1 ZINC000800971488 584000282 /nfs/dbraw/zinc/00/02/82/584000282.db2.gz NIQZLYXUABIECZ-ZIAGYGMSSA-N 0 3 233.359 2.640 20 0 BFADHN Cc1cc(CNCC2(C3(O)CCC3)CC2)c(C)o1 ZINC000897528478 584006096 /nfs/dbraw/zinc/00/60/96/584006096.db2.gz IASSSEQSNCAMAC-UHFFFAOYSA-N 0 3 249.354 2.681 20 0 BFADHN CCCCC[C@H](C)Nc1ccnc(CO)c1 ZINC000858362250 588948948 /nfs/dbraw/zinc/94/89/48/588948948.db2.gz BDNFEUMZTGFOAF-NSHDSACASA-N 0 3 222.332 2.955 20 0 BFADHN Cc1ccoc1CNCC[C@@H]1CCCC[C@@H]1O ZINC000897539317 584011612 /nfs/dbraw/zinc/01/16/12/584011612.db2.gz XUFOPGPZKSWNBS-STQMWFEESA-N 0 3 237.343 2.619 20 0 BFADHN CCC[C@H](CC)Nc1ccnc(CO)c1 ZINC000858369074 588949457 /nfs/dbraw/zinc/94/94/57/588949457.db2.gz GLYOLORUENPYPD-JTQLQIEISA-N 0 3 208.305 2.565 20 0 BFADHN Cc1ccn2cc(CNC3CCCC3)nc2c1 ZINC000729681013 584022400 /nfs/dbraw/zinc/02/24/00/584022400.db2.gz NGWPYRPGGFGPME-UHFFFAOYSA-N 0 3 229.327 2.675 20 0 BFADHN Cc1ccc(CNCC[C@@H](O)C2CCCC2)o1 ZINC000865877128 584033225 /nfs/dbraw/zinc/03/32/25/584033225.db2.gz VXXVXCDAZAMEOD-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN CCCc1ccc(CN2C[C@@H](C)[C@H](O)C2)s1 ZINC000897679734 584036918 /nfs/dbraw/zinc/03/69/18/584036918.db2.gz LGIPHDFQIAULOJ-ZWNOBZJWSA-N 0 3 239.384 2.513 20 0 BFADHN Cc1ncoc1CN[C@H]1CCSC(C)(C)C1 ZINC000897789396 584048318 /nfs/dbraw/zinc/04/83/18/584048318.db2.gz MQTZHBUXCDQYLD-JTQLQIEISA-N 0 3 240.372 2.747 20 0 BFADHN CCc1ccccc1CNc1ccnc(CO)c1 ZINC000858419334 588952996 /nfs/dbraw/zinc/95/29/96/588952996.db2.gz HICYMZZKJRWBAO-UHFFFAOYSA-N 0 3 242.322 2.748 20 0 BFADHN C[C@H](CSCCF)N[C@@H](C)c1cncs1 ZINC000897930180 584061336 /nfs/dbraw/zinc/06/13/36/584061336.db2.gz KDEHLOFBUMHUBZ-BDAKNGLRSA-N 0 3 248.392 2.885 20 0 BFADHN C=Cn1cc(CNC2(CCCC)CC2)cn1 ZINC000897936536 584062100 /nfs/dbraw/zinc/06/21/00/584062100.db2.gz YDLYFUNOXLMTJG-UHFFFAOYSA-N 0 3 219.332 2.796 20 0 BFADHN CCCCC1([NH2+]Cc2nc(C)ccc2[O-])CC1 ZINC000897935506 584062142 /nfs/dbraw/zinc/06/21/42/584062142.db2.gz CCAPMBXZKZGDKJ-UHFFFAOYSA-N 0 3 234.343 2.908 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H]3CCC[C@H]23)o1 ZINC000897953808 584063939 /nfs/dbraw/zinc/06/39/39/584063939.db2.gz TUGNQBNSXDQBBC-WCFLWFBJSA-N 0 3 205.301 2.866 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)nc1 ZINC000897954682 584064540 /nfs/dbraw/zinc/06/45/40/584064540.db2.gz JJOQZSFUUUGXHU-IACUBPJLSA-N 0 3 216.328 2.668 20 0 BFADHN COCc1ccc(CN[C@@H]2C[C@@H]3CCC[C@H]23)o1 ZINC000897954744 584064651 /nfs/dbraw/zinc/06/46/51/584064651.db2.gz KFJAHIGQVHGKMO-LEWSCRJBSA-N 0 3 235.327 2.704 20 0 BFADHN CCOc1cccc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)n1 ZINC000897954868 584064759 /nfs/dbraw/zinc/06/47/59/584064759.db2.gz LVFUGIGRYJDBBL-IACUBPJLSA-N 0 3 246.354 2.759 20 0 BFADHN CCc1cnc(CN[C@@H]2C[C@H]3CCC[C@@H]32)s1 ZINC000897955742 584065406 /nfs/dbraw/zinc/06/54/06/584065406.db2.gz YDKPZPJVRIKVNV-ADEWGFFLSA-N 0 3 236.384 2.984 20 0 BFADHN Cc1cnc(CN[C@@H]2C[C@@H]3CCC[C@H]23)s1 ZINC000897958112 584066267 /nfs/dbraw/zinc/06/62/67/584066267.db2.gz HKHYMZWEGZHSCK-GARJFASQSA-N 0 3 222.357 2.730 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2C[C@H]3CCC[C@@H]32)cc1F ZINC000897958383 584066363 /nfs/dbraw/zinc/06/63/63/584066363.db2.gz JAODGUPXUDBUHL-NTZNESFSSA-N 0 3 235.302 2.810 20 0 BFADHN CCc1nocc1CN[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897958922 584066843 /nfs/dbraw/zinc/06/68/43/584066843.db2.gz PEKKGXYYFWAPOM-UFGOTCBOSA-N 0 3 220.316 2.515 20 0 BFADHN CC(C)Cn1nccc1CN[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897959056 584066858 /nfs/dbraw/zinc/06/68/58/584066858.db2.gz POYAXYKFGGBVJT-AEGPPILISA-N 0 3 247.386 2.817 20 0 BFADHN Fc1cc(CN[C@@H]2C[C@@H]3CCC[C@H]23)c(F)cn1 ZINC000897958816 584066980 /nfs/dbraw/zinc/06/69/80/584066980.db2.gz NVMMEAADPPKZID-PTOFAABTSA-N 0 3 238.281 2.638 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897959961 584067312 /nfs/dbraw/zinc/06/73/12/584067312.db2.gz MKJORWAPVDTEQC-NQBHXWOUSA-N 0 3 234.343 2.824 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)o1 ZINC000897959372 584067524 /nfs/dbraw/zinc/06/75/24/584067524.db2.gz GLXRUPDGZUKTGP-MVWJERBFSA-N 0 3 221.300 2.566 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897960424 584067879 /nfs/dbraw/zinc/06/78/79/584067879.db2.gz SFVWRONALQXCPD-WCFLWFBJSA-N 0 3 232.327 2.830 20 0 BFADHN c1cc(CN[C@@H]2C[C@H]3CCC[C@H]32)n(C2CCC2)n1 ZINC000897960520 584067941 /nfs/dbraw/zinc/06/79/41/584067941.db2.gz VLNGWOKJMRMURP-KCPJHIHWSA-N 0 3 245.370 2.886 20 0 BFADHN c1nc(C2CC2)ncc1CN[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897960109 584068064 /nfs/dbraw/zinc/06/80/64/584068064.db2.gz ZCPMWZGESCFAPB-BFHYXJOUSA-N 0 3 243.354 2.632 20 0 BFADHN c1cc(CN[C@@H]2C[C@H]3CCC[C@@H]32)n(C2CCC2)n1 ZINC000897960519 584068080 /nfs/dbraw/zinc/06/80/80/584068080.db2.gz VLNGWOKJMRMURP-BYCMXARLSA-N 0 3 245.370 2.886 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1C[C@@H]2CCC[C@@H]12)C1CC1 ZINC000897960369 584068151 /nfs/dbraw/zinc/06/81/51/584068151.db2.gz RMPKGYUQCWSSJT-REWJHTLYSA-N 0 3 245.370 2.649 20 0 BFADHN Cc1coc(CN[C@@H]2C[C@@H]3CCC[C@H]23)c1 ZINC000897960357 584068262 /nfs/dbraw/zinc/06/82/62/584068262.db2.gz RDGWXYWLQQRZOH-WCFLWFBJSA-N 0 3 205.301 2.866 20 0 BFADHN Cc1cccnc1[C@@H](N[C@H]1CCOC1)C(C)(C)C ZINC000897995269 584069972 /nfs/dbraw/zinc/06/99/72/584069972.db2.gz NGNZFBJXEFZQBI-GXTWGEPZSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@@H]1c2ccccc2CN1c1ccnc(CO)c1 ZINC000858433229 588954197 /nfs/dbraw/zinc/95/41/97/588954197.db2.gz UIBNIDZDOIQAJI-LLVKDONJSA-N 0 3 240.306 2.655 20 0 BFADHN FC1(F)CC[C@@H](CCNCc2ccccn2)C1 ZINC000898019236 584075473 /nfs/dbraw/zinc/07/54/73/584075473.db2.gz JQMCPNGIXVWBMV-NSHDSACASA-N 0 3 240.297 2.997 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1CCC=CCCC1 ZINC000898031782 584077696 /nfs/dbraw/zinc/07/76/96/584077696.db2.gz IIUCMWBATIVGJF-ZDUSSCGKSA-N 0 3 233.359 2.944 20 0 BFADHN CCC1(CNc2ccnc(CO)c2)CCC1 ZINC000858440021 588955005 /nfs/dbraw/zinc/95/50/05/588955005.db2.gz LCMXGLQRPWAVLW-UHFFFAOYSA-N 0 3 220.316 2.566 20 0 BFADHN Cn1cnc(CN[C@@H]2CCCC23CCCC3)c1 ZINC000898043115 584080248 /nfs/dbraw/zinc/08/02/48/584080248.db2.gz FLMKBYXGCNJZDY-CYBMUJFWSA-N 0 3 233.359 2.623 20 0 BFADHN CC1=C[C@H](C)C[C@H](CNCc2cn(C)cn2)C1 ZINC000898043295 584080358 /nfs/dbraw/zinc/08/03/58/584080358.db2.gz LBORJQXODYKWQL-AAEUAGOBSA-N 0 3 233.359 2.502 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1cn(C)cn1 ZINC000898043662 584081158 /nfs/dbraw/zinc/08/11/58/584081158.db2.gz XDKQZXGKCKKEFF-VXGBXAGGSA-N 0 3 223.364 2.725 20 0 BFADHN CCC[C@H](C)[C@@H](CC)NCc1cnn(CC)n1 ZINC000858444085 588955234 /nfs/dbraw/zinc/95/52/34/588955234.db2.gz QRSMQVSVIRWMOG-WCQYABFASA-N 0 3 238.379 2.602 20 0 BFADHN Oc1cccc(CN[C@@H]2CCOC23CCCC3)c1 ZINC000898112088 584083602 /nfs/dbraw/zinc/08/36/02/584083602.db2.gz JXHCDZCJBHNBEE-CQSZACIVSA-N 0 3 247.338 2.584 20 0 BFADHN CC(C)C[C@@H]1CCCCN1c1ccnc(CO)c1 ZINC000858442904 588955575 /nfs/dbraw/zinc/95/55/75/588955575.db2.gz CNKUKSVPKKANHP-AWEZNQCLSA-N 0 3 248.370 2.979 20 0 BFADHN O[C@@H](CCNCc1coc2ccccc12)C1CC1 ZINC000898203471 584090469 /nfs/dbraw/zinc/09/04/69/584090469.db2.gz VMLWTBFRIHDPCV-AWEZNQCLSA-N 0 3 245.322 2.683 20 0 BFADHN OCc1cc(N[C@@H]2CCCC(F)(F)C2)ccn1 ZINC000858450470 588956079 /nfs/dbraw/zinc/95/60/79/588956079.db2.gz HXFUSHCKHGASOS-SNVBAGLBSA-N 0 3 242.269 2.564 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCC[C@H](O)C2CC2)o1 ZINC000898205251 584091870 /nfs/dbraw/zinc/09/18/70/584091870.db2.gz CDCOOHVYYMMREG-HONMWMINSA-N 0 3 249.354 2.654 20 0 BFADHN CC(C)CC[C@H]1CCCN1c1ccnc(CO)c1 ZINC000858450849 588956113 /nfs/dbraw/zinc/95/61/13/588956113.db2.gz NIXNCFXSHDCXMM-CQSZACIVSA-N 0 3 248.370 2.979 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CC[C@H](O)[C@@H](F)C2)C1 ZINC000898188272 584094131 /nfs/dbraw/zinc/09/41/31/584094131.db2.gz PSWIJPKRCMFSBV-RFGFWPKPSA-N 0 3 243.366 2.608 20 0 BFADHN COc1ncc(CN[C@@H]2CC=CC[C@H]2C)s1 ZINC000898224003 584102081 /nfs/dbraw/zinc/10/20/81/584102081.db2.gz MBTFJYUVIDQBDQ-MWLCHTKSSA-N 0 3 238.356 2.596 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCc1cnn(C)c1Cl ZINC000898223980 584102323 /nfs/dbraw/zinc/10/23/23/584102323.db2.gz LFOKMGLZTZZITO-MWLCHTKSSA-N 0 3 239.750 2.518 20 0 BFADHN OCc1cc(NCC23CCC(CC2)C3)ccn1 ZINC000858458592 588956870 /nfs/dbraw/zinc/95/68/70/588956870.db2.gz ZXIYGSYSBFITNF-UHFFFAOYSA-N 0 3 232.327 2.566 20 0 BFADHN COC1(CNCC2(F)CC2)CCCCCC1 ZINC000898261992 584103284 /nfs/dbraw/zinc/10/32/84/584103284.db2.gz WGZPZTXIGWPVED-UHFFFAOYSA-N 0 3 229.339 2.818 20 0 BFADHN c1cc(CN[C@H](c2ccncc2)C2CC2)c[nH]1 ZINC000898327030 584107491 /nfs/dbraw/zinc/10/74/91/584107491.db2.gz ZBAFUGSDKLCCIE-AWEZNQCLSA-N 0 3 227.311 2.651 20 0 BFADHN OCc1cc(N[C@@H]2CC23CCCCC3)ccn1 ZINC000858465132 588957244 /nfs/dbraw/zinc/95/72/44/588957244.db2.gz BHFKKEZTLPPDKS-CYBMUJFWSA-N 0 3 232.327 2.709 20 0 BFADHN CCC[C@@H](CN[C@@H](c1ccncc1)C1CC1)OC ZINC000898319229 584111021 /nfs/dbraw/zinc/11/10/21/584111021.db2.gz AYJDIHKXZHOOHS-LSDHHAIUSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ccc(CN[C@@H]2COC[C@@H]2C2CC2)c(F)c1 ZINC000898375354 584113521 /nfs/dbraw/zinc/11/35/21/584113521.db2.gz DLIZRSXLJRGOKN-UKRRQHHQSA-N 0 3 249.329 2.649 20 0 BFADHN CC1(C)CC[C@@H](Nc2ccnc(CO)c2)C1 ZINC000858465420 588957701 /nfs/dbraw/zinc/95/77/01/588957701.db2.gz YCEMXCWJUAMMDH-LLVKDONJSA-N 0 3 220.316 2.565 20 0 BFADHN Cc1sccc1CN[C@@H]1COC[C@@H]1C1CC1 ZINC000898380535 584115015 /nfs/dbraw/zinc/11/50/15/584115015.db2.gz PYUXEVXGWDVEHN-CHWSQXEVSA-N 0 3 237.368 2.571 20 0 BFADHN COC(=O)C1=CC[C@H](N[C@H](C)c2ccc(C)o2)C1 ZINC000858476801 588958896 /nfs/dbraw/zinc/95/88/96/588958896.db2.gz RCYJPDZOWUZGJD-PWSUYJOCSA-N 0 3 249.310 2.500 20 0 BFADHN CC1(C)C(C)(C)C1(C)NCc1cccnc1 ZINC000898414467 584131416 /nfs/dbraw/zinc/13/14/16/584131416.db2.gz PMXOKJKDYRRPMK-UHFFFAOYSA-N 0 3 218.344 2.996 20 0 BFADHN CC1(C)C(C)(C)C1(C)NCc1ccncc1 ZINC000898413774 584131755 /nfs/dbraw/zinc/13/17/55/584131755.db2.gz ABJOBPRNHGSMMH-UHFFFAOYSA-N 0 3 218.344 2.996 20 0 BFADHN C[C@]1(Br)C[C@H]1CNC/C=C/Cl ZINC000898429300 584132413 /nfs/dbraw/zinc/13/24/13/584132413.db2.gz MHSYWHSBKYDQMY-HZIBQTDNSA-N 0 3 238.556 2.502 20 0 BFADHN Cl/C=C\CNC[C@H]1CC1(Cl)Cl ZINC000898429378 584132899 /nfs/dbraw/zinc/13/28/99/584132899.db2.gz OAJUPTYZLOZNJJ-KERABAKMSA-N 0 3 214.523 2.522 20 0 BFADHN CCCC[C@@H](COC)NC/C=C\Cl ZINC000898432420 584135165 /nfs/dbraw/zinc/13/51/65/584135165.db2.gz FSSCYKZLVRVMNF-BXKUYDPTSA-N 0 3 205.729 2.534 20 0 BFADHN OCc1ccc(CNC2(C3CCCCC3)CC2)o1 ZINC000898461386 584138145 /nfs/dbraw/zinc/13/81/45/584138145.db2.gz BLFLXEFCNMXNFW-UHFFFAOYSA-N 0 3 249.354 2.974 20 0 BFADHN C[C@H](CCCO)N[C@@H](c1ccccn1)C1CCC1 ZINC000858484630 588959824 /nfs/dbraw/zinc/95/98/24/588959824.db2.gz WQAFQPCBIGDORO-IUODEOHRSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H](CCC1CC1)Nc1ccnc(CO)c1 ZINC000858486938 588960347 /nfs/dbraw/zinc/96/03/47/588960347.db2.gz RJCIZIODZRPKPV-JTQLQIEISA-N 0 3 220.316 2.565 20 0 BFADHN CC(C)C1(C)CCN(c2ccnc(CO)c2)CC1 ZINC000858490949 588960416 /nfs/dbraw/zinc/96/04/16/588960416.db2.gz TXICORLCHLQATM-UHFFFAOYSA-N 0 3 248.370 2.836 20 0 BFADHN CCOC(=O)C1=CC[C@H](N[C@H](C)c2ccoc2)C1 ZINC000858505439 588961049 /nfs/dbraw/zinc/96/10/49/588961049.db2.gz KLLZOVCNFREYEE-MFKMUULPSA-N 0 3 249.310 2.582 20 0 BFADHN C[C@H](Nc1ccnc(CO)c1)c1ccsc1 ZINC000858531215 588963098 /nfs/dbraw/zinc/96/30/98/588963098.db2.gz AUPICWBODHZIJJ-VIFPVBQESA-N 0 3 234.324 2.809 20 0 BFADHN Cc1cccc(-c2ccc([C@H](N)CO)cc2)c1C ZINC000899316508 584196836 /nfs/dbraw/zinc/19/68/36/584196836.db2.gz FWADYDPLYCFNRR-MRXNPFEDSA-N 0 3 241.334 2.963 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](C)c1ccns1 ZINC000858575667 588967791 /nfs/dbraw/zinc/96/77/91/588967791.db2.gz CTKHKYFRNTVDDG-AEJSXWLSSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1C1CC1)c1ccns1 ZINC000858575501 588967857 /nfs/dbraw/zinc/96/78/57/588967857.db2.gz BVVDFTLHEBAFEK-INTQDDNPSA-N 0 3 222.357 2.982 20 0 BFADHN CC[C@@H](Nc1ccnc(CO)c1)[C@H]1CC1(C)C ZINC000858571891 588967994 /nfs/dbraw/zinc/96/79/94/588967994.db2.gz IZZSAUYMKJIUBV-CHWSQXEVSA-N 0 3 234.343 2.811 20 0 BFADHN CC[C@H](Nc1ccnc(CO)c1)[C@@H]1CC1(C)C ZINC000858571894 588968029 /nfs/dbraw/zinc/96/80/29/588968029.db2.gz IZZSAUYMKJIUBV-STQMWFEESA-N 0 3 234.343 2.811 20 0 BFADHN OCc1cc(NCC2CCC(F)CC2)ccn1 ZINC000858602117 588970346 /nfs/dbraw/zinc/97/03/46/588970346.db2.gz QPPOJSGAWOOLRN-UHFFFAOYSA-N 0 3 238.306 2.514 20 0 BFADHN CNC(C)(C)c1cc(-c2ccc(F)cc2)no1 ZINC000901385281 584436262 /nfs/dbraw/zinc/43/62/62/584436262.db2.gz PMCBWGWOUJNZAI-UHFFFAOYSA-N 0 3 234.274 2.935 20 0 BFADHN CNC(C)(C)c1cc(-c2ccccc2F)no1 ZINC000901399399 584438810 /nfs/dbraw/zinc/43/88/10/584438810.db2.gz FUBMNKBDTOCNAL-UHFFFAOYSA-N 0 3 234.274 2.935 20 0 BFADHN CCc1noc(CC)c1CNCC(C)(C)C ZINC000152820491 584508943 /nfs/dbraw/zinc/50/89/43/584508943.db2.gz BEKQLADZDJHMDY-UHFFFAOYSA-N 0 3 224.348 2.935 20 0 BFADHN CCCCCCNCc1cn(CC)cn1 ZINC000902029243 584513296 /nfs/dbraw/zinc/51/32/96/584513296.db2.gz WNBXDYKHMPAPDJ-UHFFFAOYSA-N 0 3 209.337 2.573 20 0 BFADHN CCn1cnc(CNCCc2ccccc2C)c1 ZINC000902035537 584515193 /nfs/dbraw/zinc/51/51/93/584515193.db2.gz PSBNYMJMUDISFD-UHFFFAOYSA-N 0 3 243.354 2.544 20 0 BFADHN CCn1cnc(CNCCC2CCCCC2)c1 ZINC000902048974 584517377 /nfs/dbraw/zinc/51/73/77/584517377.db2.gz LUQPFNVXGPHQMR-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1cn(CC)cn1 ZINC000902053640 584518474 /nfs/dbraw/zinc/51/84/74/584518474.db2.gz LLJGYOYDKCMYHP-OCCSQVGLSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1cnc(CNC[C@@H]2CCC[C@@H](C)C2)c1 ZINC000902096522 584525421 /nfs/dbraw/zinc/52/54/21/584525421.db2.gz JNZWOGMKGKHOOY-CHWSQXEVSA-N 0 3 235.375 2.819 20 0 BFADHN CCn1cnc(CN[C@H]2[C@H](C)CCC[C@@H]2C)c1 ZINC000902110537 584527076 /nfs/dbraw/zinc/52/70/76/584527076.db2.gz BUTSJVPRXQHZIY-IMRBUKKESA-N 0 3 235.375 2.817 20 0 BFADHN CCC[C@@H](CC)NCc1cn(CC)cn1 ZINC000902199007 584537371 /nfs/dbraw/zinc/53/73/71/584537371.db2.gz KQOPUNHTEWVXDY-LLVKDONJSA-N 0 3 209.337 2.571 20 0 BFADHN CCn1cnc(CN[C@H]2CC[C@H](C)CC2)c1 ZINC000902223692 584540099 /nfs/dbraw/zinc/54/00/99/584540099.db2.gz LFMKFWPVLQLOHS-HAQNSBGRSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cnc(CN[C@@H]2CC[C@H]3CCC[C@@H]3C2)c1 ZINC000902280200 584547175 /nfs/dbraw/zinc/54/71/75/584547175.db2.gz YLEMJQIPSVGIJR-MGPQQGTHSA-N 0 3 247.386 2.961 20 0 BFADHN CCn1cnc(CN[C@@H]2CC[C@@H]3CCC[C@@H]3C2)c1 ZINC000902280191 584547400 /nfs/dbraw/zinc/54/74/00/584547400.db2.gz YLEMJQIPSVGIJR-BFHYXJOUSA-N 0 3 247.386 2.961 20 0 BFADHN CCSCC[C@H](C)NCc1cn(CC)cn1 ZINC000902290848 584548506 /nfs/dbraw/zinc/54/85/06/584548506.db2.gz MMXHZXFHPVAWIO-NSHDSACASA-N 0 3 241.404 2.524 20 0 BFADHN CCn1cnc(CN[C@@H]2C[C@@H]2C2CCCCC2)c1 ZINC000902276725 584550882 /nfs/dbraw/zinc/55/08/82/584550882.db2.gz YIQVSPYYENWNJL-HUUCEWRRSA-N 0 3 247.386 2.961 20 0 BFADHN CCn1cnc(CNCC2(CC(C)C)CC2)c1 ZINC000902312357 584555057 /nfs/dbraw/zinc/55/50/57/584555057.db2.gz QKSRJDQGDOMLFT-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN CCn1cnc(CN[C@@H]2CC3CCC2CC3)c1 ZINC000902337221 584564978 /nfs/dbraw/zinc/56/49/78/584564978.db2.gz PTWAHDOECFPJTF-ORHYLEIMSA-N 0 3 233.359 2.571 20 0 BFADHN CCn1cnc(CNC(C(C)C)C(C)C)c1 ZINC000902338548 584565836 /nfs/dbraw/zinc/56/58/36/584565836.db2.gz NGNGZEGLZNSEQV-UHFFFAOYSA-N 0 3 223.364 2.673 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccnc(F)c1 ZINC000859063996 588996315 /nfs/dbraw/zinc/99/63/15/588996315.db2.gz QRPGYRHSVHQFIE-GFCCVEGCSA-N 0 3 222.307 2.985 20 0 BFADHN Cc1ccc2cc([C@@H](C)NCCCON)oc2c1 ZINC000902421573 584592906 /nfs/dbraw/zinc/59/29/06/584592906.db2.gz BFKQXGJIGMUDRP-LLVKDONJSA-N 0 3 248.326 2.672 20 0 BFADHN CC1(C)CCCN1Cc1ccnc(F)c1 ZINC000859118102 588999129 /nfs/dbraw/zinc/99/91/29/588999129.db2.gz DOYFBZQEGGNVQT-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN C[C@H](CC1CCCC1)NCc1csc(N)n1 ZINC000902491067 584617986 /nfs/dbraw/zinc/61/79/86/584617986.db2.gz PAWYSSCGKOSCBB-SECBINFHSA-N 0 3 239.388 2.784 20 0 BFADHN CC(C)(C)[C@@H]1CC[C@@H]1NCc1csc(N)n1 ZINC000902497844 584619356 /nfs/dbraw/zinc/61/93/56/584619356.db2.gz AFYKPZJWALFVRU-ZJUUUORDSA-N 0 3 239.388 2.640 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2csc(N)n2)[C@@H](C)C1 ZINC000902499960 584619765 /nfs/dbraw/zinc/61/97/65/584619765.db2.gz RFPANVKLZTYXME-WCABBAIRSA-N 0 3 239.388 2.640 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2csc(N)n2)[C@H](C)C1 ZINC000902499957 584620133 /nfs/dbraw/zinc/62/01/33/584620133.db2.gz RFPANVKLZTYXME-FXPVBKGRSA-N 0 3 239.388 2.640 20 0 BFADHN C/C=C/C[C@H](CO)NCc1ccccc1NCC ZINC000902488731 584627334 /nfs/dbraw/zinc/62/73/34/584627334.db2.gz NDGHFECSRGNDSV-LYKUJDHUSA-N 0 3 248.370 2.535 20 0 BFADHN CNc1ccccc1CNC[C@H]1CCC=CO1 ZINC000902516790 584629936 /nfs/dbraw/zinc/62/99/36/584629936.db2.gz GPKZZKBZWDWIII-CYBMUJFWSA-N 0 3 232.327 2.511 20 0 BFADHN CCNc1ccccc1CNC[C@H]1CCC=CO1 ZINC000902518619 584630967 /nfs/dbraw/zinc/63/09/67/584630967.db2.gz RIOZXAHTXOSCSS-CQSZACIVSA-N 0 3 246.354 2.901 20 0 BFADHN CCn1cnc(CN[C@@H]2CCC[C@@H](C)CC2)c1 ZINC000902520762 584631645 /nfs/dbraw/zinc/63/16/45/584631645.db2.gz JQHLUCWFJSBEIB-CHWSQXEVSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1cnc(CNC[C@H]2CCC[C@@H]2C2CC2)c1 ZINC000902594644 584635776 /nfs/dbraw/zinc/63/57/76/584635776.db2.gz DIHBLHJFWLWPSQ-UKRRQHHQSA-N 0 3 247.386 2.819 20 0 BFADHN CNc1ccccc1CNC[C@@](C)(OC)C1CC1 ZINC000902571916 584636130 /nfs/dbraw/zinc/63/61/30/584636130.db2.gz PVKUTWHFIMXFCF-OAHLLOKOSA-N 0 3 248.370 2.633 20 0 BFADHN CNc1ccc(C)cc1CN[C@H](C)[C@H](C)OC ZINC000902572448 584636814 /nfs/dbraw/zinc/63/68/14/584636814.db2.gz VISQWWPNBRUWKR-NEPJUHHUSA-N 0 3 236.359 2.550 20 0 BFADHN CCn1cnc(CNC[C@H]2CCCC2(C)C)c1 ZINC000902576160 584637222 /nfs/dbraw/zinc/63/72/22/584637222.db2.gz RBXFWOFDYFBJLP-GFCCVEGCSA-N 0 3 235.375 2.819 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1cn(CC)cn1 ZINC000902577829 584637816 /nfs/dbraw/zinc/63/78/16/584637816.db2.gz JATKOVZIYZTOSD-OLZOCXBDSA-N 0 3 235.375 2.819 20 0 BFADHN COC1CC(CN(C)[C@H](C)c2ccco2)C1 ZINC000859096702 589001343 /nfs/dbraw/zinc/00/13/43/589001343.db2.gz RWKDEWYGFATMCZ-VOMCLLRMSA-N 0 3 223.316 2.697 20 0 BFADHN CC[C@@H](NCc1cn(CC)cn1)[C@@H]1CC1(C)C ZINC000902600195 584640910 /nfs/dbraw/zinc/64/09/10/584640910.db2.gz RZKXFJLBTKAEEO-QWHCGFSZSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1cnc(CNC(C)(C2CC2)C2CC2)c1 ZINC000902621628 584644967 /nfs/dbraw/zinc/64/49/67/584644967.db2.gz MLXHZEMJLMWFCO-UHFFFAOYSA-N 0 3 233.359 2.571 20 0 BFADHN C/C=C/CNCc1ccccc1NCC ZINC000902626531 584645960 /nfs/dbraw/zinc/64/59/60/584645960.db2.gz ZRGMNFUWVQGWKA-HWKANZROSA-N 0 3 204.317 2.784 20 0 BFADHN CNc1ccc(C)cc1CN[C@@H]1C[C@H](C)O[C@H]1C ZINC000902630588 584647018 /nfs/dbraw/zinc/64/70/18/584647018.db2.gz PPWOUKRPKXHFEF-SLEUVZQESA-N 0 3 248.370 2.692 20 0 BFADHN CNc1ccc(C)cc1CN[C@@H]1C[C@@H](C)O[C@H]1C ZINC000902630589 584647201 /nfs/dbraw/zinc/64/72/01/584647201.db2.gz PPWOUKRPKXHFEF-TYNCELHUSA-N 0 3 248.370 2.692 20 0 BFADHN CNc1ccccc1CN[C@]12CCC[C@H]1OCC2 ZINC000902640141 584649010 /nfs/dbraw/zinc/64/90/10/584649010.db2.gz VJDNVCWMMHNMNW-CABCVRRESA-N 0 3 246.354 2.530 20 0 BFADHN COc1ccc([C@@H](C)N[C@H]2CO[C@@H](C)C2)cc1C ZINC000902666410 584653503 /nfs/dbraw/zinc/65/35/03/584653503.db2.gz PJQYEVBEQLFHSG-OUCADQQQSA-N 0 3 249.354 2.832 20 0 BFADHN C[C@@H]1C[C@H](N[C@H](c2ccncc2)C2CC2)[C@@H](C)O1 ZINC000902718656 584658015 /nfs/dbraw/zinc/65/80/15/584658015.db2.gz HOHMOYGMLHWGNI-FIXIBIHLSA-N 0 3 246.354 2.688 20 0 BFADHN C[C@H](N[C@H]1COC[C@@H]1C1CC1)c1ccccc1F ZINC000902723156 584660289 /nfs/dbraw/zinc/66/02/89/584660289.db2.gz PUDNHNMQLWLOLA-ZBINZKHDSA-N 0 3 249.329 2.901 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]3CCC[C@H]3C2)no1 ZINC000902765426 584666930 /nfs/dbraw/zinc/66/69/30/584666930.db2.gz POBMOJFTRDGLLV-ZSBIGDGJSA-N 0 3 220.316 2.651 20 0 BFADHN c1nc(CNC2C[C@@H]3CCC[C@H]3C2)cs1 ZINC000902782289 584670937 /nfs/dbraw/zinc/67/09/37/584670937.db2.gz CIDPKBIUSOXIEY-UWVGGRQHSA-N 0 3 222.357 2.811 20 0 BFADHN C[C@@H](NCCCCC(C)(C)C)c1cn(C)nn1 ZINC000902791648 584673468 /nfs/dbraw/zinc/67/34/68/584673468.db2.gz WSNDGXHNBSPMRS-LLVKDONJSA-N 0 3 238.379 2.682 20 0 BFADHN C[C@H](NC1C[C@@H]2CCC[C@H]2C1)c1ccn(C)n1 ZINC000903017155 584713302 /nfs/dbraw/zinc/71/33/02/584713302.db2.gz KYMQINBJFOKGDE-SRVKXCTJSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1nnsc1CN[C@@H]1C[C@H]2CCC[C@H]2C1 ZINC000902999232 584714854 /nfs/dbraw/zinc/71/48/54/584714854.db2.gz NBBKBFWTNZYPLR-JGPRNRPPSA-N 0 3 237.372 2.515 20 0 BFADHN COc1cccnc1CNC1C[C@H]2CCC[C@@H]2C1 ZINC000903013815 584718080 /nfs/dbraw/zinc/71/80/80/584718080.db2.gz JHTUNIQGSJZICU-VXGBXAGGSA-N 0 3 246.354 2.759 20 0 BFADHN CCCCCCN1CC[C@@H](Nc2ncccn2)C1 ZINC000903028074 584721996 /nfs/dbraw/zinc/72/19/96/584721996.db2.gz YYAPRYQAUOWGCL-CYBMUJFWSA-N 0 3 248.374 2.543 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2nccn2C)[C@@H]1C ZINC000903088909 584725299 /nfs/dbraw/zinc/72/52/99/584725299.db2.gz MVUNMMWGOITTPB-GFQSEFKGSA-N 0 3 235.375 2.580 20 0 BFADHN CC1(C)C[C@@]1(C)CN[C@@H]1CCCc2c[nH]nc21 ZINC000903088450 584725360 /nfs/dbraw/zinc/72/53/60/584725360.db2.gz QWTJGLHAMAXISB-RISCZKNCSA-N 0 3 233.359 2.813 20 0 BFADHN COc1cc(C)cc(CN[C@@H]2CCC[C@@H]2OC)c1 ZINC000903127945 584729679 /nfs/dbraw/zinc/72/96/79/584729679.db2.gz OYNPTJNXMLOMTC-CABCVRRESA-N 0 3 249.354 2.661 20 0 BFADHN CS[C@H](C)CN[C@H](c1ccccn1)C1CC1 ZINC000903127600 584729866 /nfs/dbraw/zinc/72/98/66/584729866.db2.gz IZTZVDHNMVXVFH-MFKMUULPSA-N 0 3 236.384 2.874 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@H]2CCC[C@@H]3C[C@@H]32)[n-]1 ZINC000903132432 584730453 /nfs/dbraw/zinc/73/04/53/584730453.db2.gz YZGGXVBUKBEYFD-USZNOCQGSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)[nH]1 ZINC000903132432 584730454 /nfs/dbraw/zinc/73/04/54/584730454.db2.gz YZGGXVBUKBEYFD-USZNOCQGSA-N 0 3 248.374 2.767 20 0 BFADHN C[C@H](NC[C@@]12C[C@@H]1CCCC2)c1ncc[nH]1 ZINC000903162356 584731594 /nfs/dbraw/zinc/73/15/94/584731594.db2.gz DHQNOTGPKBCAST-GMXVVIOVSA-N 0 3 219.332 2.641 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](N[C@H]2CCn3ccnc32)[C@@H]1C ZINC000903178648 584733153 /nfs/dbraw/zinc/73/31/53/584733153.db2.gz XZBLKCXGPKBAGF-BJJPWKGXSA-N 0 3 247.386 2.988 20 0 BFADHN COc1c(C)cccc1CN[C@@H]1CCC[C@H]1OC ZINC000903196018 584734446 /nfs/dbraw/zinc/73/44/46/584734446.db2.gz FLDPNRVHFOOZAR-ZIAGYGMSSA-N 0 3 249.354 2.661 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](c2ccccn2)C2CC2)C1 ZINC000903199331 584734889 /nfs/dbraw/zinc/73/48/89/584734889.db2.gz KHGOTPIEVAPQCF-UMVBOHGHSA-N 0 3 246.354 2.690 20 0 BFADHN C1=C[C@@H](N[C@H](c2ccccn2)C2CC2)CC1 ZINC000903201486 584735324 /nfs/dbraw/zinc/73/53/24/584735324.db2.gz XVNHKRFNVZOKNU-OCCSQVGLSA-N 0 3 214.312 2.841 20 0 BFADHN FC(F)(F)[C@@H]1C[C@H]1N[C@H]1CCOC12CCCC2 ZINC000903212158 584737008 /nfs/dbraw/zinc/73/70/08/584737008.db2.gz KPJXUUVZNVWBDD-BBBLOLIVSA-N 0 3 249.276 2.629 20 0 BFADHN CC(C)(CN[C@@H]1CCCc2c[nH]nc21)C1CC1 ZINC000903240794 584737943 /nfs/dbraw/zinc/73/79/43/584737943.db2.gz XPRMUSKDGVGMSR-GFCCVEGCSA-N 0 3 233.359 2.813 20 0 BFADHN CO[C@H]1CCC[C@H]1N[C@H]1CCCc2cccnc21 ZINC000903228825 584738540 /nfs/dbraw/zinc/73/85/40/584738540.db2.gz XIRMEZYRJDFFOW-RDBSUJKOSA-N 0 3 246.354 2.616 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1ccco1 ZINC000903252726 584739073 /nfs/dbraw/zinc/73/90/73/584739073.db2.gz HKKBMBQIRZDHHX-PZWNZHSQSA-N 0 3 205.301 2.975 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1N[C@@H]1CCc2cccnc21 ZINC000903252807 584739308 /nfs/dbraw/zinc/73/93/08/584739308.db2.gz HQCGSZRFFWFBIY-RGCYKPLRSA-N 0 3 228.339 2.703 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1ccc(F)cn1 ZINC000903254828 584739385 /nfs/dbraw/zinc/73/93/85/584739385.db2.gz STGTXOJGTGBZTF-KCLTYARCSA-N 0 3 234.318 2.916 20 0 BFADHN C[C@@H](NCC[C@@H]1CCCC[C@@H]1O)c1ccco1 ZINC000903258354 584740030 /nfs/dbraw/zinc/74/00/30/584740030.db2.gz AVJWJQJOBCZCJI-AGIUHOORSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1cncc(F)c1 ZINC000903255389 584740070 /nfs/dbraw/zinc/74/00/70/584740070.db2.gz XAXXAYRZZHRZDT-ABYQHFMPSA-N 0 3 234.318 2.916 20 0 BFADHN CCN1CCC(Nc2cccc(C3CC3)n2)CC1 ZINC000903267257 584741653 /nfs/dbraw/zinc/74/16/53/584741653.db2.gz CHLAHFCLJPPDEX-UHFFFAOYSA-N 0 3 245.370 2.855 20 0 BFADHN Cc1cncc([C@@H](C)N[C@@H]2CCO[C@H]2C2CC2)c1 ZINC000903266568 584741657 /nfs/dbraw/zinc/74/16/57/584741657.db2.gz ZYMBZFVXWCKSQB-DFBGVHRSSA-N 0 3 246.354 2.608 20 0 BFADHN C[C@@H](N[C@H]1CCSC(C)(C)C1)c1ncc[nH]1 ZINC000903282845 584742698 /nfs/dbraw/zinc/74/26/98/584742698.db2.gz NLSPELUPXAEOID-ZJUUUORDSA-N 0 3 239.388 2.735 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCN(C)[C@@H]2C)oc1C ZINC000903300085 584743884 /nfs/dbraw/zinc/74/38/84/584743884.db2.gz FWPZPQAHZFXVOV-DMDPSCGWSA-N 0 3 236.359 2.640 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCN(C)[C@@H]2C)oc1C ZINC000903300088 584744271 /nfs/dbraw/zinc/74/42/71/584744271.db2.gz FWPZPQAHZFXVOV-LOWVWBTDSA-N 0 3 236.359 2.640 20 0 BFADHN CCC[C@H](N[C@H]1CCN(C)[C@@H]1C)c1ccccn1 ZINC000903301583 584744791 /nfs/dbraw/zinc/74/47/91/584744791.db2.gz SCXGRPYGSUOWHQ-IPYPFGDCSA-N 0 3 247.386 2.605 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)o1 ZINC000903289780 584745647 /nfs/dbraw/zinc/74/56/47/584745647.db2.gz SRHQYQNVFZDPFN-KVMADOOQSA-N 0 3 247.338 2.668 20 0 BFADHN C[C@@H](N[C@@H](C)C1(Cl)CC1)c1cncs1 ZINC000903310789 584748245 /nfs/dbraw/zinc/74/82/45/584748245.db2.gz LJZWTIXEZCYOOP-SFYZADRCSA-N 0 3 230.764 2.954 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2C[C@@H]3CCC[C@H]23)on1 ZINC000903316468 584749410 /nfs/dbraw/zinc/74/94/10/584749410.db2.gz IWKOOERVQZQENF-XWLWVQCSSA-N 0 3 236.315 2.522 20 0 BFADHN Cc1ccc([C@@H](NC[C@@H]2CCN2C)C2CCC2)o1 ZINC000903316000 584749722 /nfs/dbraw/zinc/74/97/22/584749722.db2.gz BIKOPCGOELILLF-ZFWWWQNUSA-N 0 3 248.370 2.723 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CCC[C@@H]21)c1cscn1 ZINC000903317260 584750061 /nfs/dbraw/zinc/75/00/61/584750061.db2.gz LTWKYXRRZBLPSF-ZRUFSTJUSA-N 0 3 222.357 2.982 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCC[C@H]12)c1cscn1 ZINC000903317259 584750253 /nfs/dbraw/zinc/75/02/53/584750253.db2.gz LTWKYXRRZBLPSF-XWLWVQCSSA-N 0 3 222.357 2.982 20 0 BFADHN CC[C@H](N[C@@H]1C[C@@H]2CCC[C@@H]12)c1nccn1C ZINC000903316524 584750325 /nfs/dbraw/zinc/75/03/25/584750325.db2.gz JPZZNFVYHZHRID-QNWHQSFQSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H](c2ccccn2)C2CC2)[C@H](C)O1 ZINC000903335377 584751302 /nfs/dbraw/zinc/75/13/02/584751302.db2.gz OOAGGODOCKNLCF-FDRIWYBQSA-N 0 3 246.354 2.688 20 0 BFADHN C[C@H](N[C@@H](c1ccccn1)C1CC1)[C@H]1CCCO1 ZINC000903335918 584751356 /nfs/dbraw/zinc/75/13/56/584751356.db2.gz UTNYEWLBLMVPAH-NILFDRSVSA-N 0 3 246.354 2.690 20 0 BFADHN CC(C)c1ccc(NC2CCN(C)CC2)cn1 ZINC000903338548 584751673 /nfs/dbraw/zinc/75/16/73/584751673.db2.gz ISWCTODVSMDGTN-UHFFFAOYSA-N 0 3 233.359 2.711 20 0 BFADHN C[C@H](NC[C@@H]1CCC=CCCC1)c1cn(C)nn1 ZINC000903347257 584753160 /nfs/dbraw/zinc/75/31/60/584753160.db2.gz ZESAZFDDMNUPAA-QWHCGFSZSA-N 0 3 248.374 2.602 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](c1ncccn1)C1CC1 ZINC000903326576 584753460 /nfs/dbraw/zinc/75/34/60/584753460.db2.gz YMFDSGYVAJWPCD-RTXFEEFZSA-N 0 3 231.343 2.706 20 0 BFADHN CC(C)[C@@H](C)N[C@H](c1ncccn1)C1CC1 ZINC000903329937 584753867 /nfs/dbraw/zinc/75/38/67/584753867.db2.gz GKHFMEWYDFEGEN-PWSUYJOCSA-N 0 3 219.332 2.562 20 0 BFADHN CC(C)C[C@@H](C)N[C@H](c1ncccn1)C1CC1 ZINC000903329942 584754092 /nfs/dbraw/zinc/75/40/92/584754092.db2.gz GLRVCXIKANCMOR-YPMHNXCESA-N 0 3 233.359 2.952 20 0 BFADHN COC(C)(C)[C@H](C)N[C@H](C)c1cnc(C)s1 ZINC000903329945 584754095 /nfs/dbraw/zinc/75/40/95/584754095.db2.gz GBBWFDZZZSPPLC-BDAKNGLRSA-N 0 3 242.388 2.916 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@H]1NCc1cn(C)cn1 ZINC000903350346 584754753 /nfs/dbraw/zinc/75/47/53/584754753.db2.gz ARBJAQCRJGWXLU-YRGRVCCFSA-N 0 3 235.375 2.725 20 0 BFADHN COC(C)(C)[C@H](C)N[C@@H](C)c1cncc(C)c1 ZINC000903332195 584754764 /nfs/dbraw/zinc/75/47/64/584754764.db2.gz QWGCCAFIJPUHAY-RYUDHWBXSA-N 0 3 236.359 2.854 20 0 BFADHN CC[C@H](NCc1cn(C)cn1)C(C)(C)CC ZINC000903350534 584754798 /nfs/dbraw/zinc/75/47/98/584754798.db2.gz CLDMZSXSWNBOBD-LBPRGKRZSA-N 0 3 223.364 2.725 20 0 BFADHN C[C@@H]1CCC[C@H]1N[C@@H](c1ncccn1)C1CC1 ZINC000903332205 584755073 /nfs/dbraw/zinc/75/50/73/584755073.db2.gz LRLDSSWOWKUQLQ-RAIGVLPGSA-N 0 3 231.343 2.706 20 0 BFADHN CCC[C@@H](C)[C@H](CC)NCc1cn(C)cn1 ZINC000903351088 584755246 /nfs/dbraw/zinc/75/52/46/584755246.db2.gz HGLDJIZLBMQSFJ-YPMHNXCESA-N 0 3 223.364 2.725 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2cn(C)cn2)[C@@H]1C ZINC000903350985 584755526 /nfs/dbraw/zinc/75/55/26/584755526.db2.gz GTCXHOPIIUUCCU-CZXHOFHRSA-N 0 3 235.375 2.580 20 0 BFADHN CC(C)C(C(C)C)[C@@H](C)NCc1cn(C)cn1 ZINC000903350822 584755539 /nfs/dbraw/zinc/75/55/39/584755539.db2.gz FMNCYGYSZYDONG-GFCCVEGCSA-N 0 3 237.391 2.826 20 0 BFADHN C[C@@H]1COC[C@H]1N[C@H]1CCCc2c(F)cccc21 ZINC000903393460 584756533 /nfs/dbraw/zinc/75/65/33/584756533.db2.gz NNMKVWFVEFEMNH-WKPIXPDZSA-N 0 3 249.329 2.828 20 0 BFADHN CCOc1cccc([C@H](C)N[C@H]2COC[C@@H]2C)c1 ZINC000903396519 584757422 /nfs/dbraw/zinc/75/74/22/584757422.db2.gz RNMWDSSOXWKETC-HUBLWGQQSA-N 0 3 249.354 2.771 20 0 BFADHN C[C@H](NCC[C@@H](O)C1CC1)c1ccsc1 ZINC000903405741 584758417 /nfs/dbraw/zinc/75/84/17/584758417.db2.gz RAPKVDLCQYPMHZ-JOYOIKCWSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@H](NCC[C@H](O)C1CC1)c1cccc(F)c1 ZINC000903404354 584758452 /nfs/dbraw/zinc/75/84/52/584758452.db2.gz JCVDPSZSQDMWAU-HZMBPMFUSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@@H]1COC[C@H]1N[C@H](c1ccncc1)C1CC1 ZINC000903428924 584761422 /nfs/dbraw/zinc/76/14/22/584761422.db2.gz MSYJYGRQJSEVDF-DFBGVHRSSA-N 0 3 246.354 2.547 20 0 BFADHN C[C@H](NC1(C)C(C)(C)C1(C)C)c1ccn(C)n1 ZINC000903442191 584767122 /nfs/dbraw/zinc/76/71/22/584767122.db2.gz LMZLFYJOXPNRAZ-JTQLQIEISA-N 0 3 235.375 2.895 20 0 BFADHN COC[C@H](NC/C=C/Cl)C1CCCC1 ZINC000903445745 584770062 /nfs/dbraw/zinc/77/00/62/584770062.db2.gz VCMBCGLFLQALMD-ZPMKCOPCSA-N 0 3 217.740 2.534 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2ccnc(F)c2)C1 ZINC000859151638 589010088 /nfs/dbraw/zinc/01/00/88/589010088.db2.gz KPIGUMBDFSEWNK-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H](CC1CCCCC1)NCc1ccc(N)nn1 ZINC000904369070 584872730 /nfs/dbraw/zinc/87/27/30/584872730.db2.gz QYWDWEHDOJGFQB-LLVKDONJSA-N 0 3 248.374 2.507 20 0 BFADHN CCCCCCN(C)CC(=O)N[C@@H](C)CCC ZINC000732265207 584935068 /nfs/dbraw/zinc/93/50/68/584935068.db2.gz OVSZCECFNLIGID-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN CCCCCCN(C)CC(=O)NCC(C)(C)C ZINC000732276013 584935177 /nfs/dbraw/zinc/93/51/77/584935177.db2.gz NFVKOBPBJWXDJG-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CCCCN(CN1C[C@@H](C)CC1=O)[C@@H](C)CC ZINC000733291104 584945865 /nfs/dbraw/zinc/94/58/65/584945865.db2.gz CIVDPODRWYKTRU-STQMWFEESA-N 0 3 240.391 2.713 20 0 BFADHN Cc1cc(NCC[C@H]2CCCC[C@@H]2C)nc(N)n1 ZINC000733993153 584956429 /nfs/dbraw/zinc/95/64/29/584956429.db2.gz HEDZEMADYPTMHT-CMPLNLGQSA-N 0 3 248.374 2.996 20 0 BFADHN Cc1nonc1CN(CC(C)C)C1CCCC1 ZINC000734147453 584957348 /nfs/dbraw/zinc/95/73/48/584957348.db2.gz QCEJKJMWMXFOTM-UHFFFAOYSA-N 0 3 237.347 2.779 20 0 BFADHN Cc1nonc1CN1CCC[C@H]1C1CCCCC1 ZINC000734147586 584957379 /nfs/dbraw/zinc/95/73/79/584957379.db2.gz WGJHLWNAEUCWLF-AWEZNQCLSA-N 0 3 249.358 2.923 20 0 BFADHN COC1CCC(N(C)C/C=C/Cl)CC1 ZINC000734639847 584966065 /nfs/dbraw/zinc/96/60/65/584966065.db2.gz OCKSAQWYFCRSFB-FPYGCLRLSA-N 0 3 217.740 2.628 20 0 BFADHN CCC(O)(CC)CCNCc1cc(C)oc1C ZINC000788078111 585006471 /nfs/dbraw/zinc/00/64/71/585006471.db2.gz QKTFXMGYBMHLAC-UHFFFAOYSA-N 0 3 239.359 2.927 20 0 BFADHN c1cc2c(s1)CCN(C[C@H]1C[C@]13CCOC3)C2 ZINC000885942173 585031242 /nfs/dbraw/zinc/03/12/42/585031242.db2.gz KYYMGMWGZCXPLD-OCCSQVGLSA-N 0 3 249.379 2.533 20 0 BFADHN C/C=C(\C)C(=O)Nc1ccc(CN(C)C)c(C)c1 ZINC000838278596 585053083 /nfs/dbraw/zinc/05/30/83/585053083.db2.gz XFNMTYNADKEOHZ-IZZDOVSWSA-N 0 3 246.354 2.961 20 0 BFADHN O[C@H](CN(Cc1ccc(F)cc1)C1CC1)C1CC1 ZINC000838781362 585069775 /nfs/dbraw/zinc/06/97/75/585069775.db2.gz UQPZGKYIWCYBBX-OAHLLOKOSA-N 0 3 249.329 2.561 20 0 BFADHN C[C@@H]1CCN(C[C@@H](O)C2CC2)[C@@H](c2ccco2)C1 ZINC000838861683 585075915 /nfs/dbraw/zinc/07/59/15/585075915.db2.gz MQVLSCAGIXSWNV-MRVWCRGKSA-N 0 3 249.354 2.824 20 0 BFADHN C[C@@H](NCc1ccc2c(n1)CCC2)C1(C)CC1 ZINC000838915136 585077799 /nfs/dbraw/zinc/07/77/99/585077799.db2.gz UIOLSRSJPBKNMR-LLVKDONJSA-N 0 3 230.355 2.849 20 0 BFADHN CC1(C)CN(C[C@@H](O)C2CC2)[C@@H]1c1ccccc1 ZINC000838900787 585079917 /nfs/dbraw/zinc/07/99/17/585079917.db2.gz ORNLQIBJFGUMDP-HUUCEWRRSA-N 0 3 245.366 2.840 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1ccoc1 ZINC000839168525 585095750 /nfs/dbraw/zinc/09/57/50/585095750.db2.gz BTFDJZIWCJJQTL-CYBMUJFWSA-N 0 3 223.316 2.671 20 0 BFADHN CN(Cc1ccc(F)nc1)C1CC(C)(C)C1 ZINC000839184559 585096773 /nfs/dbraw/zinc/09/67/73/585096773.db2.gz JUASSOSPYMZVFP-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1coc(CNC[C@]2(O)CCCC2(C)C)c1 ZINC000886125377 585106525 /nfs/dbraw/zinc/10/65/25/585106525.db2.gz PVFMSOUPYVNXPH-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN C/C=C/CNCc1ccccc1OCC(F)F ZINC000886171408 585110597 /nfs/dbraw/zinc/11/05/97/585110597.db2.gz GQPQWPUZVLDFDL-NSCUHMNNSA-N 0 3 241.281 2.996 20 0 BFADHN CCCCCCC(=O)N[C@@H]1CCN(C)[C@@H](C)C1 ZINC000741724631 585117101 /nfs/dbraw/zinc/11/71/01/585117101.db2.gz LFKJBAGYQQISCG-QWHCGFSZSA-N 0 3 240.391 2.556 20 0 BFADHN CCCOC(=O)[C@H](C)N1CCC[C@H]1C(C)(C)C ZINC000741785249 585119110 /nfs/dbraw/zinc/11/91/10/585119110.db2.gz PVTFECSKIXRPCB-RYUDHWBXSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H]1CCC[C@H](C)N(CN2CCSC2=O)C1 ZINC000742156678 585122394 /nfs/dbraw/zinc/12/23/94/585122394.db2.gz ZNQJREIRNVXPAJ-MNOVXSKESA-N 0 3 242.388 2.623 20 0 BFADHN C[C@H](CNCc1ccoc1)Oc1cccc(F)c1 ZINC000742381277 585125466 /nfs/dbraw/zinc/12/54/66/585125466.db2.gz XZWQWKKLXFRXDA-LLVKDONJSA-N 0 3 249.285 2.976 20 0 BFADHN CCC[C@@]1(C)CCCN(c2cc(C)nc(N)n2)C1 ZINC000742429823 585125905 /nfs/dbraw/zinc/12/59/05/585125905.db2.gz BCENEVMHCRANJW-AWEZNQCLSA-N 0 3 248.374 2.774 20 0 BFADHN C[C@H]1CCCN1CCNc1nc2ccccc2o1 ZINC000742424982 585126105 /nfs/dbraw/zinc/12/61/05/585126105.db2.gz IVRYHWZBJYPPPX-NSHDSACASA-N 0 3 245.326 2.724 20 0 BFADHN CC(C)C[C@@H]1CCCN1Cn1cc[nH]c1=S ZINC000743360726 585136219 /nfs/dbraw/zinc/13/62/19/585136219.db2.gz XVWGKPFXTZVQQD-NSHDSACASA-N 0 3 239.388 2.640 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N(Cn2cc[nH]c2=S)C1 ZINC000743371605 585136328 /nfs/dbraw/zinc/13/63/28/585136328.db2.gz WXHLQCACDLFHPR-GHMZBOCLSA-N 0 3 239.388 2.640 20 0 BFADHN Cc1cc([C@@H]2CCCN2CC2CCC2)no1 ZINC000743839581 585140432 /nfs/dbraw/zinc/14/04/32/585140432.db2.gz MMQRPNKGGMNIKP-ZDUSSCGKSA-N 0 3 220.316 2.920 20 0 BFADHN Cc1nonc1CN1[C@H](C)C[C@@H]2CCCC[C@@H]21 ZINC000744402894 585149976 /nfs/dbraw/zinc/14/99/76/585149976.db2.gz IPQCXHTVJCIYGB-CDMKHQONSA-N 0 3 235.331 2.531 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cn1cccnc1=S ZINC000744441669 585150383 /nfs/dbraw/zinc/15/03/83/585150383.db2.gz RWFYVXQMUVTCMM-QWRGUYRKSA-N 0 3 237.372 2.690 20 0 BFADHN CCC(C)(C)CC(=O)N[C@H](CN(C)C)C(C)C ZINC000744512559 585151351 /nfs/dbraw/zinc/15/13/51/585151351.db2.gz PVMNACQENQODAR-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN c1ccc(CCN(C[C@H]2CCCO2)C2CC2)cc1 ZINC000746123614 585163485 /nfs/dbraw/zinc/16/34/85/585163485.db2.gz RAOCPVDZXOXOCN-MRXNPFEDSA-N 0 3 245.366 2.873 20 0 BFADHN C[C@@H](NC1CCC=CCC1)c1ncc[nH]1 ZINC000886815227 585178495 /nfs/dbraw/zinc/17/84/95/585178495.db2.gz AAFCTMKBAINKRU-SNVBAGLBSA-N 0 3 205.305 2.559 20 0 BFADHN CC(C)CCCCCC(=O)NC[C@H](C)N(C)C ZINC000747908173 585185752 /nfs/dbraw/zinc/18/57/52/585185752.db2.gz WTMZKRZDCOGOQO-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN CC(C)[C@H](C)N(C)CN1C(=O)C(C)(C)C1(C)C ZINC000749166881 585200259 /nfs/dbraw/zinc/20/02/59/585200259.db2.gz YFKIZYNBPSWSDP-NSHDSACASA-N 0 3 240.391 2.567 20 0 BFADHN C[C@@H]1CC[C@@H](C)C1NCc1cn2ccccc2n1 ZINC000749922599 585208218 /nfs/dbraw/zinc/20/82/18/585208218.db2.gz BUUVVFKPZYVNPG-VXGBXAGGSA-N 0 3 243.354 2.859 20 0 BFADHN C/C=C(/C)C(=O)Nc1ccccc1CN(C)C ZINC000751351569 585227130 /nfs/dbraw/zinc/22/71/30/585227130.db2.gz LQIBWUANMDVREA-WZUFQYTHSA-N 0 3 232.327 2.653 20 0 BFADHN Cc1noc(C)c1[C@H](C)CNCc1ccoc1 ZINC000752107457 585242540 /nfs/dbraw/zinc/24/25/40/585242540.db2.gz PQIZVHSMWTXBOM-SECBINFHSA-N 0 3 234.299 2.778 20 0 BFADHN COCCN[C@H](C)c1cc(C)c(OC)cc1C ZINC000753608847 585264025 /nfs/dbraw/zinc/26/40/25/585264025.db2.gz GBVGCYNHPZYHNT-GFCCVEGCSA-N 0 3 237.343 2.609 20 0 BFADHN COc1cc(C)c([C@@H](C)N[C@H]2CCOC2)cc1C ZINC000753686856 585266421 /nfs/dbraw/zinc/26/64/21/585266421.db2.gz LNUGTZILZDZZHB-OLZOCXBDSA-N 0 3 249.354 2.752 20 0 BFADHN C[C@@H](CNCc1ccon1)Cc1ccccc1 ZINC000753961021 585273744 /nfs/dbraw/zinc/27/37/44/585273744.db2.gz JDTHSAFGOSTERU-GFCCVEGCSA-N 0 3 230.311 2.643 20 0 BFADHN C[C@H]1CCCN(Cc2ccnc(N(C)C)c2)[C@H]1C ZINC000754043520 585276121 /nfs/dbraw/zinc/27/61/21/585276121.db2.gz SGGFPCWZWONQNF-STQMWFEESA-N 0 3 247.386 2.768 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1ccnc(N(C)C)c1 ZINC000754043519 585276212 /nfs/dbraw/zinc/27/62/12/585276212.db2.gz SGGFPCWZWONQNF-QWHCGFSZSA-N 0 3 247.386 2.768 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1ccnc(N(C)C)c1 ZINC000754052820 585276418 /nfs/dbraw/zinc/27/64/18/585276418.db2.gz ZZABATJAZUCWMV-QWHCGFSZSA-N 0 3 247.386 2.768 20 0 BFADHN CC[C@@H]1CC(=O)N(CN(CC)[C@@H](C)C(C)C)C1 ZINC000755913375 585310277 /nfs/dbraw/zinc/31/02/77/585310277.db2.gz OPKZVNAHRCHNRI-QWHCGFSZSA-N 0 3 240.391 2.569 20 0 BFADHN CC[C@H]1CC(=O)N(CN(C)[C@@H](C)C(C)(C)C)C1 ZINC000755914980 585310460 /nfs/dbraw/zinc/31/04/60/585310460.db2.gz WSMOJJLNEUGJHW-RYUDHWBXSA-N 0 3 240.391 2.569 20 0 BFADHN C[C@H](NCc1cn2cccc(F)c2n1)C1(C)CC1 ZINC000756012576 585312987 /nfs/dbraw/zinc/31/29/87/585312987.db2.gz IANZLCBLHHIIJG-JTQLQIEISA-N 0 3 247.317 2.752 20 0 BFADHN Cc1ccc([C@H](N[C@H]2CCN(C)C2)C2CCC2)o1 ZINC000756536960 585320597 /nfs/dbraw/zinc/32/05/97/585320597.db2.gz XYMBSKFTCBJGEY-DZGCQCFKSA-N 0 3 248.370 2.723 20 0 BFADHN CCCCCCC(=O)N1CCC[C@H]1CN(C)C ZINC000756932514 585325110 /nfs/dbraw/zinc/32/51/10/585325110.db2.gz BVXXHJPATYKDAI-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC(C)[C@@H]1CCN1C[C@@H](O)c1ccc(F)cc1 ZINC000759067866 585363971 /nfs/dbraw/zinc/36/39/71/585363971.db2.gz CWVTXQKSPGCKBV-UONOGXRCSA-N 0 3 237.318 2.589 20 0 BFADHN COCCCCN(CCF)Cc1ccccc1 ZINC000759330907 585367068 /nfs/dbraw/zinc/36/70/68/585367068.db2.gz UXAQLUFUFXUWKC-UHFFFAOYSA-N 0 3 239.334 2.885 20 0 BFADHN CC[C@H](CC(F)(F)F)Nc1cc(C)nc(N)n1 ZINC000760600326 585394467 /nfs/dbraw/zinc/39/44/67/585394467.db2.gz DNQJDWURWCNHDF-SSDOTTSWSA-N 0 3 248.252 2.510 20 0 BFADHN CN(Cc1ccc(CF)cc1)C[C@H]1CCCO1 ZINC000760896781 585401379 /nfs/dbraw/zinc/40/13/79/585401379.db2.gz JVAXBJWDGRMBIF-CQSZACIVSA-N 0 3 237.318 2.767 20 0 BFADHN CC[C@H](c1ccccc1)N1CCN(C)[C@@H](C)C1 ZINC000761070854 585405527 /nfs/dbraw/zinc/40/55/27/585405527.db2.gz AMKUYFFBZIYGIQ-DZGCQCFKSA-N 0 3 232.371 2.774 20 0 BFADHN C[C@@H]1CCC[C@@H](CCN2CCN(C)[C@@H](C)C2)C1 ZINC000761077721 585405901 /nfs/dbraw/zinc/40/59/01/585405901.db2.gz OROMYHCDQYWHLI-ILXRZTDVSA-N 0 3 238.419 2.839 20 0 BFADHN C[C@@H]1CCC[C@H](CCN2CCN(C)[C@H](C)C2)C1 ZINC000761077731 585406006 /nfs/dbraw/zinc/40/60/06/585406006.db2.gz OROMYHCDQYWHLI-RBSFLKMASA-N 0 3 238.419 2.839 20 0 BFADHN Cc1ccccc1[C@@H](C)N1CCN(C)[C@@H](C)C1 ZINC000761079851 585406589 /nfs/dbraw/zinc/40/65/89/585406589.db2.gz GBWLNAGSJRUOHE-UONOGXRCSA-N 0 3 232.371 2.692 20 0 BFADHN Fc1cc2cccnc2c(CN2CC[C@@H]3C[C@@H]32)c1 ZINC000761095959 585408840 /nfs/dbraw/zinc/40/88/40/585408840.db2.gz QBMYUSUFEWWLOJ-YGRLFVJLSA-N 0 3 242.297 2.968 20 0 BFADHN C(CN1CC[C@@H]2C[C@@H]21)OC1CCCCCC1 ZINC000761096180 585408868 /nfs/dbraw/zinc/40/88/68/585408868.db2.gz SEGXXSIEYCUTNG-OCCSQVGLSA-N 0 3 223.360 2.820 20 0 BFADHN CC[C@@]1(C)COCCN1CCc1cccs1 ZINC000761108748 585409887 /nfs/dbraw/zinc/40/98/87/585409887.db2.gz AZRSGCODEAXGMZ-ZDUSSCGKSA-N 0 3 239.384 2.792 20 0 BFADHN CC(C)[C@@H](NCc1ccn(C)c1)c1ccccn1 ZINC000763480132 585448935 /nfs/dbraw/zinc/44/89/35/585448935.db2.gz WOMMAUOGURWHMY-OAHLLOKOSA-N 0 3 243.354 2.907 20 0 BFADHN C[C@H](NCCOc1cccnc1)c1ccsc1 ZINC000765998196 585495601 /nfs/dbraw/zinc/49/56/01/585495601.db2.gz KHAZLAFPZMIRFI-NSHDSACASA-N 0 3 248.351 2.873 20 0 BFADHN CC[C@@H]1CCN(Cc2cccc3nsnc32)C1 ZINC000766092514 585497417 /nfs/dbraw/zinc/49/74/17/585497417.db2.gz RZSMNZWVYRJUAK-SNVBAGLBSA-N 0 3 247.367 2.923 20 0 BFADHN CCC1(CC)CN(CCC(C)=O)CCS1 ZINC000766986766 585512620 /nfs/dbraw/zinc/51/26/20/585512620.db2.gz KFJYNFOSFUCFTI-UHFFFAOYSA-N 0 3 229.389 2.573 20 0 BFADHN CCCOc1ccc(CN2CC[C@@H](OC)C2)cc1 ZINC000767415859 585519466 /nfs/dbraw/zinc/51/94/66/585519466.db2.gz SCCWSXZKSWCIRL-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN O=C(CCN1CCC(C(F)(F)F)CC1)C1CC1 ZINC000767410852 585519599 /nfs/dbraw/zinc/51/95/99/585519599.db2.gz FGNJXYLOBRBVCZ-UHFFFAOYSA-N 0 3 249.276 2.630 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)CCC(=O)C1CC1 ZINC000767423750 585519699 /nfs/dbraw/zinc/51/96/99/585519699.db2.gz FEKXRHDKTQSHJQ-NSHDSACASA-N 0 3 247.338 2.754 20 0 BFADHN CC1CCN(Cc2ccc3c(c2)COC3)CC1 ZINC000768144544 585533052 /nfs/dbraw/zinc/53/30/52/585533052.db2.gz UDAAMEYSICIZNA-UHFFFAOYSA-N 0 3 231.339 2.949 20 0 BFADHN Cc1nc2sccn2c1CN(C)[C@@H](C)C1CC1 ZINC000768419932 585538350 /nfs/dbraw/zinc/53/83/50/585538350.db2.gz AGPCUBDUCBTJRO-JTQLQIEISA-N 0 3 249.383 2.935 20 0 BFADHN Cc1cc(CN(CCO)CC(C)(C)C)c(C)o1 ZINC000769337513 585554369 /nfs/dbraw/zinc/55/43/69/585554369.db2.gz JPYGGBQDUPRVQQ-UHFFFAOYSA-N 0 3 239.359 2.737 20 0 BFADHN c1coc([C@H]2CCN(Cc3ccoc3)C2)c1 ZINC000769389733 585555275 /nfs/dbraw/zinc/55/52/75/585555275.db2.gz PLFBYTLQHMLYDE-LBPRGKRZSA-N 0 3 217.268 2.862 20 0 BFADHN Cc1cc(CN2CCN(C3CCC3)CC2)c(C)o1 ZINC000769411005 585555887 /nfs/dbraw/zinc/55/58/87/585555887.db2.gz GKMIJOAGNALAMH-UHFFFAOYSA-N 0 3 248.370 2.567 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NC1CC(C(F)F)C1 ZINC000769518499 585558509 /nfs/dbraw/zinc/55/85/09/585558509.db2.gz FRPRVLVRUDMTLD-STOUBEHRSA-N 0 3 244.285 2.986 20 0 BFADHN CCC(=O)CCN1CC[C@H](CC(F)(F)F)C1 ZINC000769863610 585565456 /nfs/dbraw/zinc/56/54/56/585565456.db2.gz FYDLDTPPPGKQQF-SECBINFHSA-N 0 3 237.265 2.630 20 0 BFADHN CC/C(C)=C(/C)C(=O)OCCN1CCCCC1 ZINC000770414547 585573759 /nfs/dbraw/zinc/57/37/59/585573759.db2.gz IJPFHLICYRAQIA-SEYXRHQNSA-N 0 3 239.359 2.762 20 0 BFADHN CC1=C(C(=O)OCCN2CCCCC2)CCC1 ZINC000770404857 585573896 /nfs/dbraw/zinc/57/38/96/585573896.db2.gz VUADNVCXYQKCMP-UHFFFAOYSA-N 0 3 237.343 2.516 20 0 BFADHN CCCC(=CC(=O)N[C@@H](C)CN(C)C)CCC ZINC000805422636 585591774 /nfs/dbraw/zinc/59/17/74/585591774.db2.gz HOPLKHWWUZBYPR-LBPRGKRZSA-N 0 3 240.391 2.579 20 0 BFADHN CCCCC[C@@H](C)CC(=O)N[C@@H](C)CN(C)C ZINC000805423120 585591893 /nfs/dbraw/zinc/59/18/93/585591893.db2.gz BBPPAKCNXCHTKJ-OLZOCXBDSA-N 0 3 242.407 2.659 20 0 BFADHN CCCCCC(C)(C)C(=O)N[C@@H](C)CN(C)C ZINC000805425558 585592098 /nfs/dbraw/zinc/59/20/98/585592098.db2.gz YONYIBAWGGYXTE-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@H](NCCNc1ccccc1)c1cccnc1 ZINC000771386389 585593371 /nfs/dbraw/zinc/59/33/71/585593371.db2.gz FVKUXFYEWIKMHW-ZDUSSCGKSA-N 0 3 241.338 2.844 20 0 BFADHN Cc1cc(C)c([C@@H](C)N2CCN(C)CC2)c(C)c1 ZINC000771565620 585596344 /nfs/dbraw/zinc/59/63/44/585596344.db2.gz FYPLYZBCMUWCKI-OAHLLOKOSA-N 0 3 246.398 2.920 20 0 BFADHN Cc1ncc(CCN[C@H](C)c2cccnc2)s1 ZINC000771903261 585604155 /nfs/dbraw/zinc/60/41/55/585604155.db2.gz PEUMATZDQHEKSP-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN CCC[C@@H](C)CC(=O)OCCN(CC)CC ZINC000772065589 585608070 /nfs/dbraw/zinc/60/80/70/585608070.db2.gz KDCXNHZSMMAHPN-GFCCVEGCSA-N 0 3 229.364 2.698 20 0 BFADHN CCN(CC)CCOC(=O)c1c(C)cccc1C ZINC000772139316 585609242 /nfs/dbraw/zinc/60/92/42/585609242.db2.gz KJFUQNMYKXZZQE-UHFFFAOYSA-N 0 3 249.354 2.802 20 0 BFADHN CCN(CC)CCOC(=O)/C=C1\CC[C@H](C)C1 ZINC000772184981 585609642 /nfs/dbraw/zinc/60/96/42/585609642.db2.gz QZIIZWGXRDJJNL-OWRWYXLESA-N 0 3 239.359 2.618 20 0 BFADHN CC[C@@H](C)[C@@H](C)C(=O)OCCN(CC)CC ZINC000772256574 585611315 /nfs/dbraw/zinc/61/13/15/585611315.db2.gz NNRZXMITATYSTM-VXGBXAGGSA-N 0 3 229.364 2.554 20 0 BFADHN CCN(CC)CCOC(=O)[C@@H](C)CC(C)C ZINC000772359251 585613040 /nfs/dbraw/zinc/61/30/40/585613040.db2.gz RXWWHQHKNIGGAS-LBPRGKRZSA-N 0 3 229.364 2.554 20 0 BFADHN CCN(CC)CCOC(=O)C[C@H]1CCC[C@H]1C ZINC000772365115 585613277 /nfs/dbraw/zinc/61/32/77/585613277.db2.gz ZKXYUCQTSKYGSF-CHWSQXEVSA-N 0 3 241.375 2.698 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1cccc2c1OCCO2 ZINC000806147215 585618148 /nfs/dbraw/zinc/61/81/48/585618148.db2.gz VIFUACKJGACXHW-AAEUAGOBSA-N 0 3 247.338 2.736 20 0 BFADHN C[C@@H](N[C@H](CO)C1CC1)c1cc2ccccc2o1 ZINC000772989590 585629098 /nfs/dbraw/zinc/62/90/98/585629098.db2.gz WLUTWHXEUYRACZ-ZWNOBZJWSA-N 0 3 245.322 2.854 20 0 BFADHN CC[C@H](Cc1cccs1)NCc1ccn(C)n1 ZINC000773133765 585632212 /nfs/dbraw/zinc/63/22/12/585632212.db2.gz ULFJSVHNAUKOIG-LLVKDONJSA-N 0 3 249.383 2.593 20 0 BFADHN Cc1cccc(F)c1CN[C@H]1CCO[C@@H](C)C1 ZINC000774437038 585659080 /nfs/dbraw/zinc/65/90/80/585659080.db2.gz DFZQRLLXZMYYEY-RYUDHWBXSA-N 0 3 237.318 2.791 20 0 BFADHN CC1(C)CN(C[C@@H]2CCC=CO2)C(C)(C)CO1 ZINC000774885989 585668452 /nfs/dbraw/zinc/66/84/52/585668452.db2.gz UBAGVSSNEOLPFD-LBPRGKRZSA-N 0 3 239.359 2.569 20 0 BFADHN COc1cc(CNCCOC2CCC2)ccc1C ZINC000775003945 585670243 /nfs/dbraw/zinc/67/02/43/585670243.db2.gz AIGUGAASLMQTLW-UHFFFAOYSA-N 0 3 249.354 2.662 20 0 BFADHN CCc1cnccc1[C@@H](C)NC[C@@H]1CCC=CO1 ZINC000775051967 585671052 /nfs/dbraw/zinc/67/10/52/585671052.db2.gz RKDIVRPRULINCF-OCCSQVGLSA-N 0 3 246.354 2.987 20 0 BFADHN CCN(CC)CCOC(=O)C1=CCCCCC1 ZINC000775053762 585671079 /nfs/dbraw/zinc/67/10/79/585671079.db2.gz DWBRORPFNHGRCG-UHFFFAOYSA-N 0 3 239.359 2.762 20 0 BFADHN CC(C)[C@H](NCCOCC1CC1)c1ccccn1 ZINC000775445424 585678069 /nfs/dbraw/zinc/67/80/69/585678069.db2.gz GXFDNWUIMFCYRN-HNNXBMFYSA-N 0 3 248.370 2.795 20 0 BFADHN CC(C)[C@@H](NC[C@H]1CCCCO1)c1ccccn1 ZINC000775447180 585678119 /nfs/dbraw/zinc/67/81/19/585678119.db2.gz XWGGADWESBTHNJ-UKRRQHHQSA-N 0 3 248.370 2.937 20 0 BFADHN Cn1ccnc1[C@@H](NCCC1CCC1)C1CC1 ZINC000775629613 585682024 /nfs/dbraw/zinc/68/20/24/585682024.db2.gz GZWACJBZGYJWLK-ZDUSSCGKSA-N 0 3 233.359 2.651 20 0 BFADHN CC(C)C[C@H]1C[C@H](NCc2ccoc2)CCO1 ZINC000776955233 585706935 /nfs/dbraw/zinc/70/69/35/585706935.db2.gz VSKYXRVAZYMNBA-KGLIPLIRSA-N 0 3 237.343 2.963 20 0 BFADHN Cc1cc(C)nc(N[C@H](C)c2cnccn2)c1 ZINC000777256727 585710121 /nfs/dbraw/zinc/71/01/21/585710121.db2.gz RIBUQHUCRGGJHT-LLVKDONJSA-N 0 3 228.299 2.662 20 0 BFADHN OC[C@@H]1CCCCN1Cc1ccc(CF)cc1 ZINC000777556033 585715291 /nfs/dbraw/zinc/71/52/91/585715291.db2.gz UIPWSSNJUIRMLC-AWEZNQCLSA-N 0 3 237.318 2.503 20 0 BFADHN CC(C)[C@H](C)[NH2+]Cc1nnc(-c2ccccc2)[n-]1 ZINC000777575493 585715546 /nfs/dbraw/zinc/71/55/46/585715546.db2.gz RYDYLRXBSKBOQX-NSHDSACASA-N 0 3 244.342 2.606 20 0 BFADHN COCCN(C)[C@H]1CCc2cc(Cl)ccc21 ZINC000777632531 585717659 /nfs/dbraw/zinc/71/76/59/585717659.db2.gz MSXYNMVQMGFWEN-ZDUSSCGKSA-N 0 3 239.746 2.906 20 0 BFADHN c1cc(CN2CCCSCC2)cc2c1COC2 ZINC000778221562 585727944 /nfs/dbraw/zinc/72/79/44/585727944.db2.gz HNMKPQWYEYVSQN-UHFFFAOYSA-N 0 3 249.379 2.656 20 0 BFADHN C[C@H]1CCN(CCCCCCCO)C[C@@H]1F ZINC000778235776 585728796 /nfs/dbraw/zinc/72/87/96/585728796.db2.gz IVPIVXIWMSVQDT-STQMWFEESA-N 0 3 231.355 2.609 20 0 BFADHN C[C@H]1CCCCN(Cc2ccnc(F)c2)C1 ZINC000859258194 589024915 /nfs/dbraw/zinc/02/49/15/589024915.db2.gz SUSQZVWMCURLHJ-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN C/C=C\COC(=O)C(C)(C)N(C)C1CCCC1 ZINC000779122856 585745569 /nfs/dbraw/zinc/74/55/69/585745569.db2.gz URMBXBNAKADEIM-WAYWQWQTSA-N 0 3 239.359 2.759 20 0 BFADHN C[C@H]1CCCCCN1Cc1ccc(F)nc1 ZINC000779157390 585746286 /nfs/dbraw/zinc/74/62/86/585746286.db2.gz PFUDIFVTHFCFIW-NSHDSACASA-N 0 3 222.307 2.985 20 0 BFADHN C[C@@H]1OCCN(C[C@@H]2CCc3ccccc32)[C@H]1C ZINC000780297632 585777312 /nfs/dbraw/zinc/77/73/12/585777312.db2.gz DRIKTNWVXYHSAX-YDHLFZDLSA-N 0 3 245.366 2.826 20 0 BFADHN COC(CN(Cc1ccccc1C)C1CC1)OC ZINC000781882328 585804711 /nfs/dbraw/zinc/80/47/11/585804711.db2.gz DGAHAAYEXYREGI-UHFFFAOYSA-N 0 3 249.354 2.578 20 0 BFADHN C[C@H](C1CC1)N1CCc2cccc(F)c2C1 ZINC000782181674 585810343 /nfs/dbraw/zinc/81/03/43/585810343.db2.gz HKEDMWXWAWOKSS-SNVBAGLBSA-N 0 3 219.303 2.982 20 0 BFADHN CC(C)c1ccccc1CNCc1cnn(C)c1 ZINC000782539047 585819864 /nfs/dbraw/zinc/81/98/64/585819864.db2.gz DXFMIHAULZWOCC-UHFFFAOYSA-N 0 3 243.354 2.833 20 0 BFADHN Cc1ccc([C@@H]2CCN(CCF)C2)cc1 ZINC000784473868 585860926 /nfs/dbraw/zinc/86/09/26/585860926.db2.gz AJIOYTZLILQQDF-CYBMUJFWSA-N 0 3 207.292 2.754 20 0 BFADHN CO[C@@H]1CN(Cc2cc(C)oc2C)CC[C@H]1C ZINC000784667103 585865200 /nfs/dbraw/zinc/86/52/00/585865200.db2.gz DOCRZJUKRROMGK-QMTHXVAHSA-N 0 3 237.343 2.753 20 0 BFADHN Cc1cc(CN[C@]2(C)CCO[C@@H]2C2CC2)c(C)o1 ZINC000784683212 585865451 /nfs/dbraw/zinc/86/54/51/585865451.db2.gz VHSFRLAQCPQYJK-HUUCEWRRSA-N 0 3 249.354 2.944 20 0 BFADHN Cc1nc(F)ccc1CN1CCC[C@@H]1C1CC1 ZINC000787151101 585902155 /nfs/dbraw/zinc/90/21/55/585902155.db2.gz XKAAOYFWBUNLOE-CYBMUJFWSA-N 0 3 234.318 2.904 20 0 BFADHN CCN(Cc1ccc(F)nc1C)C1CCC1 ZINC000787177303 585902562 /nfs/dbraw/zinc/90/25/62/585902562.db2.gz QEZIJGHGNOLENQ-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN Cc1nc(F)ccc1CN1CC[C@H](C2CC2)C1 ZINC000787192323 585902864 /nfs/dbraw/zinc/90/28/64/585902864.db2.gz PMTYZAHOMIUZHD-ZDUSSCGKSA-N 0 3 234.318 2.761 20 0 BFADHN CCC1(CC)CCCN(CC2OCCO2)CC1 ZINC000787342298 585907407 /nfs/dbraw/zinc/90/74/07/585907407.db2.gz SJQARVSYFMPKRO-UHFFFAOYSA-N 0 3 241.375 2.652 20 0 BFADHN CCN(CCc1ccccn1)C[C@@H]1CC[C@@H](C)O1 ZINC000789335782 585940046 /nfs/dbraw/zinc/94/00/46/585940046.db2.gz LYONBZQLIIECKF-HIFRSBDPSA-N 0 3 248.370 2.514 20 0 BFADHN CCCC1CCC(N(C)Cn2cnnc2)CC1 ZINC000790478685 585965168 /nfs/dbraw/zinc/96/51/68/585965168.db2.gz BNVGUZZSAQEUTE-UHFFFAOYSA-N 0 3 236.363 2.526 20 0 BFADHN O=C(OC1CC1)c1cccc(CN2CCCC2)c1 ZINC000805926033 585966197 /nfs/dbraw/zinc/96/61/97/585966197.db2.gz VBGMHAKJUGJQRJ-UHFFFAOYSA-N 0 3 245.322 2.602 20 0 BFADHN C[C@](O)(CNCc1ccoc1)C1CCCCC1 ZINC000790997405 585971658 /nfs/dbraw/zinc/97/16/58/585971658.db2.gz NWLNXSKWCWPCAU-AWEZNQCLSA-N 0 3 237.343 2.701 20 0 BFADHN Cc1ccccc1[C@H](O)CN1[C@H](C)C[C@@H]1C ZINC000791565878 585983954 /nfs/dbraw/zinc/98/39/54/585983954.db2.gz KIAJSRSMRLEJCO-MBNYWOFBSA-N 0 3 219.328 2.511 20 0 BFADHN Cc1ccc(CN(C)CCCF)cc1F ZINC000792460446 586000888 /nfs/dbraw/zinc/00/08/88/586000888.db2.gz UTSKRGAUTLRACO-UHFFFAOYSA-N 0 3 213.271 2.926 20 0 BFADHN Cc1cc(CNCCc2cccc(C)n2)c(C)o1 ZINC000793202667 586013986 /nfs/dbraw/zinc/01/39/86/586013986.db2.gz OJVVMGUQOGZPGQ-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2ccoc2)CC1 ZINC000793339342 586016919 /nfs/dbraw/zinc/01/69/19/586016919.db2.gz IRYXWBBCGAFRGM-ZDUSSCGKSA-N 0 3 223.316 2.671 20 0 BFADHN Cc1cc([C@H](C)NCc2ccccc2C)n(C)n1 ZINC000793514278 586021271 /nfs/dbraw/zinc/02/12/71/586021271.db2.gz DSVMYVLNRNMGLR-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cc([C@H](C)NCCc2cccs2)n(C)n1 ZINC000793517371 586021307 /nfs/dbraw/zinc/02/13/07/586021307.db2.gz MWQXPQZKIPRQBG-NSHDSACASA-N 0 3 249.383 2.683 20 0 BFADHN Cc1cc([C@@H](C)NCc2ccc(C)s2)n(C)n1 ZINC000793523435 586021818 /nfs/dbraw/zinc/02/18/18/586021818.db2.gz IERTVYCPMPHMDN-LLVKDONJSA-N 0 3 249.383 2.949 20 0 BFADHN Cc1cccc(CCCNCc2ccoc2)n1 ZINC000796450651 586103888 /nfs/dbraw/zinc/10/38/88/586103888.db2.gz VUALUVNCDCIQTP-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN Fc1ccc(CNCC2(C(F)(F)F)CC2)cn1 ZINC000796490125 586105093 /nfs/dbraw/zinc/10/50/93/586105093.db2.gz OLCZSQMDCZXCTD-UHFFFAOYSA-N 0 3 248.223 2.653 20 0 BFADHN Cc1ccncc1CN(C)CC1(O)CCCCC1 ZINC000796509335 586105359 /nfs/dbraw/zinc/10/53/59/586105359.db2.gz KRGCGFBFZSFWOW-UHFFFAOYSA-N 0 3 248.370 2.517 20 0 BFADHN CC1(C)CC[C@@H](CN2CCC(F)(F)CC2)O1 ZINC000796541761 586106321 /nfs/dbraw/zinc/10/63/21/586106321.db2.gz ZIZSMBDJFNEGNY-JTQLQIEISA-N 0 3 233.302 2.675 20 0 BFADHN CCCCOCCN1CCC(F)(F)CC1 ZINC000796539021 586106543 /nfs/dbraw/zinc/10/65/43/586106543.db2.gz SLLFKGVWKNZCSZ-UHFFFAOYSA-N 0 3 221.291 2.534 20 0 BFADHN CC1=C(C)CN(C[C@@H]2CC3(CCC3)C(=O)O2)CC1 ZINC000796594373 586108292 /nfs/dbraw/zinc/10/82/92/586108292.db2.gz SSBMDCDHANJVQC-ZDUSSCGKSA-N 0 3 249.354 2.514 20 0 BFADHN CC1=C[C@H](C)CN(C[C@H](O)c2cccc(F)c2)C1 ZINC000797526490 586128477 /nfs/dbraw/zinc/12/84/77/586128477.db2.gz RIDTYCKEHJJOSS-NHYWBVRUSA-N 0 3 249.329 2.757 20 0 BFADHN CC(=O)OC[C@H](C)N[C@H](c1ccccc1)C(C)C ZINC000798179713 586138542 /nfs/dbraw/zinc/13/85/42/586138542.db2.gz KNYBTUIAGLMLFX-WFASDCNBSA-N 0 3 249.354 2.925 20 0 BFADHN CC(=O)OC[C@H](C)N[C@@H](c1ccccc1)C(C)C ZINC000798179712 586138780 /nfs/dbraw/zinc/13/87/80/586138780.db2.gz KNYBTUIAGLMLFX-SWLSCSKDSA-N 0 3 249.354 2.925 20 0 BFADHN c1ccc([C@H]2CCN(C[C@@H]3CCC=CO3)C2)nc1 ZINC000798963406 586154378 /nfs/dbraw/zinc/15/43/78/586154378.db2.gz BSFMMMUDQQPMMN-KBPBESRZSA-N 0 3 244.338 2.564 20 0 BFADHN CC(C)N(Cc1cnc(Cl)cn1)C(C)C ZINC000799150212 586162134 /nfs/dbraw/zinc/16/21/34/586162134.db2.gz LXSCKYRQSWHHPD-UHFFFAOYSA-N 0 3 227.739 2.749 20 0 BFADHN CCO[C@@H](CN1CCC[C@](C)(F)C1)C1CC1 ZINC000859320523 589031353 /nfs/dbraw/zinc/03/13/53/589031353.db2.gz YYPWCOPLRPTOQR-STQMWFEESA-N 0 3 229.339 2.626 20 0 BFADHN CCCCOCCN1CC[C@@H](c2ccccn2)C1 ZINC000799193293 586165500 /nfs/dbraw/zinc/16/55/00/586165500.db2.gz IBNUJZOTLVNWSD-CQSZACIVSA-N 0 3 248.370 2.688 20 0 BFADHN CCCCOCCN1CC[C@H](c2ccccn2)C1 ZINC000799193291 586166054 /nfs/dbraw/zinc/16/60/54/586166054.db2.gz IBNUJZOTLVNWSD-AWEZNQCLSA-N 0 3 248.370 2.688 20 0 BFADHN CO[C@@H]1CCN(Cc2cc(C)oc2C)[C@H](C)C1 ZINC000799736540 586195253 /nfs/dbraw/zinc/19/52/53/586195253.db2.gz WBRXSRVKSKMJHK-QMTHXVAHSA-N 0 3 237.343 2.896 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@H]1CCC[C@H]2C[C@H]21 ZINC000800987390 586239084 /nfs/dbraw/zinc/23/90/84/586239084.db2.gz WXRSBIKQMXTPFW-XQHKEYJVSA-N 0 3 231.343 2.624 20 0 BFADHN CCC(CC)OC(=O)C1CCN(C2CC2)CC1 ZINC000801875250 586275942 /nfs/dbraw/zinc/27/59/42/586275942.db2.gz QKBOXICMXNNORE-UHFFFAOYSA-N 0 3 239.359 2.593 20 0 BFADHN COCC1(N(C)CCSC(C)(C)C)CC1 ZINC000801936697 586279162 /nfs/dbraw/zinc/27/91/62/586279162.db2.gz XMSAZUMTTLHOCD-UHFFFAOYSA-N 0 3 231.405 2.629 20 0 BFADHN C[C@@H]1[C@H](C)N(C)CCN1CCSC(C)(C)C ZINC000801939208 586281072 /nfs/dbraw/zinc/28/10/72/586281072.db2.gz BVXZWRGFTZESTA-NWDGAFQWSA-N 0 3 244.448 2.543 20 0 BFADHN CCCCN(CN1CC[C@H](C)C1=O)[C@@H](C)CC ZINC000839964683 586304420 /nfs/dbraw/zinc/30/44/20/586304420.db2.gz RASXGTNWMMXLGS-STQMWFEESA-N 0 3 240.391 2.713 20 0 BFADHN CN1CCCC[C@H]1CCC(=O)OC/C=C\Cl ZINC000803854976 586377217 /nfs/dbraw/zinc/37/72/17/586377217.db2.gz BIAXHAIGAUYDNF-OHYQPGSNSA-N 0 3 245.750 2.547 20 0 BFADHN CN1CCCC[C@@H]1CCC(=O)OCCCCF ZINC000803856839 586377634 /nfs/dbraw/zinc/37/76/34/586377634.db2.gz AVAUJMKBDQJXDJ-GFCCVEGCSA-N 0 3 245.338 2.544 20 0 BFADHN CC[C@@H](C)CCC(=O)OCCN1CCCCC1 ZINC000803881869 586377990 /nfs/dbraw/zinc/37/79/90/586377990.db2.gz ZCCBYOQAAQOPDI-CYBMUJFWSA-N 0 3 241.375 2.842 20 0 BFADHN Clc1ccc(CN(C2CC2)C2CC2)cn1 ZINC000859622369 589049792 /nfs/dbraw/zinc/04/97/92/589049792.db2.gz GUGADXLNTIZERF-UHFFFAOYSA-N 0 3 222.719 2.862 20 0 BFADHN C[C@@H]1CCCCCN1CN1C(=O)CCC1(C)C ZINC000808162646 586465206 /nfs/dbraw/zinc/46/52/06/586465206.db2.gz MMVNPUBNQIPXDC-GFCCVEGCSA-N 0 3 238.375 2.609 20 0 BFADHN C[C@H](CCC1CCCC1)NCc1cn(C)cn1 ZINC000808392009 586470969 /nfs/dbraw/zinc/47/09/69/586470969.db2.gz VGCXZIHTTBHXTD-GFCCVEGCSA-N 0 3 235.375 2.869 20 0 BFADHN Cn1cnc(CNCC2(C)CCCCCC2)c1 ZINC000808404393 586471674 /nfs/dbraw/zinc/47/16/74/586471674.db2.gz YQQRKVGDTSMHBO-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN Cc1nc(F)ccc1CNCCC1(F)CCC1 ZINC000808428857 586472329 /nfs/dbraw/zinc/47/23/29/586472329.db2.gz SEJVBLWUTDKDDI-UHFFFAOYSA-N 0 3 240.297 2.901 20 0 BFADHN CC(C)=CCOC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000808520252 586477032 /nfs/dbraw/zinc/47/70/32/586477032.db2.gz NPAYVVNOELMCNT-UPJWGTAASA-N 0 3 239.359 2.757 20 0 BFADHN CCCOC(=O)[C@@H](C)N(CC)C(CC)CC ZINC000808782266 586489878 /nfs/dbraw/zinc/48/98/78/586489878.db2.gz MHKHXGIQKNZBNO-LLVKDONJSA-N 0 3 229.364 2.839 20 0 BFADHN C[C@H](O)c1ncc(CN2[C@@H](C)CC[C@@H]2C)s1 ZINC000809593273 586508367 /nfs/dbraw/zinc/50/83/67/586508367.db2.gz MFWVWVMKGXMHKB-GUBZILKMSA-N 0 3 240.372 2.569 20 0 BFADHN CCOC1CC2(C1)CCN(Cc1ccoc1)C2 ZINC000809707993 586513739 /nfs/dbraw/zinc/51/37/39/586513739.db2.gz USQGUIWWAWWRGY-UHFFFAOYSA-N 0 3 235.327 2.671 20 0 BFADHN O=C1C[C@H]2CC[C@@H](C1)N2Cc1ccc(CF)cc1 ZINC000809982196 586528902 /nfs/dbraw/zinc/52/89/02/586528902.db2.gz LFJYLCHFEFOWQK-OKILXGFUSA-N 0 3 247.313 2.852 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C[C@H]2C(C)=CCC[C@@H]2C)[n-]1 ZINC000813330974 586600563 /nfs/dbraw/zinc/60/05/63/586600563.db2.gz KLQLQNDVBXHRDP-GVXVVHGQSA-N 0 3 248.374 2.756 20 0 BFADHN Cc1nnc([C@H](C)NC[C@H]2C(C)=CCC[C@@H]2C)[nH]1 ZINC000813330974 586600564 /nfs/dbraw/zinc/60/05/64/586600564.db2.gz KLQLQNDVBXHRDP-GVXVVHGQSA-N 0 3 248.374 2.756 20 0 BFADHN COCC(C)(C)N(C)Cc1cc(C)oc1C ZINC000814178381 586616061 /nfs/dbraw/zinc/61/60/61/586616061.db2.gz NOZUTIUKLZUMAO-UHFFFAOYSA-N 0 3 225.332 2.753 20 0 BFADHN c1cc(CN2CCOC[C@@H](C3CCC3)C2)co1 ZINC000814178461 586616109 /nfs/dbraw/zinc/61/61/09/586616109.db2.gz QNOLYDSWGJKUBY-AWEZNQCLSA-N 0 3 235.327 2.528 20 0 BFADHN CCOCSCCN(C)Cc1ccccc1 ZINC000814763555 586632669 /nfs/dbraw/zinc/63/26/69/586632669.db2.gz CIJLZYQQIWXAFA-UHFFFAOYSA-N 0 3 239.384 2.846 20 0 BFADHN CCOc1ccc(CN2C[C@H](C)[C@@H]2C)cc1 ZINC000815164015 586671326 /nfs/dbraw/zinc/67/13/26/586671326.db2.gz UBFOMXDXTGNPEV-RYUDHWBXSA-N 0 3 219.328 2.926 20 0 BFADHN Cc1ccc(OCCN2C[C@@H](C)[C@@H]2C)cc1 ZINC000815163952 586672016 /nfs/dbraw/zinc/67/20/16/586672016.db2.gz SONSUIQJRXTNGH-OLZOCXBDSA-N 0 3 219.328 2.714 20 0 BFADHN Cc1cc(F)ccc1[C@@H](C)NC[C@@H]1CCCO1 ZINC000815553659 586687569 /nfs/dbraw/zinc/68/75/69/586687569.db2.gz GRZDUQUQKOMYJB-YPMHNXCESA-N 0 3 237.318 2.964 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)NC[C@@H]1CCCO1 ZINC000815553656 586687614 /nfs/dbraw/zinc/68/76/14/586687614.db2.gz GRZDUQUQKOMYJB-AAEUAGOBSA-N 0 3 237.318 2.964 20 0 BFADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H]1CCO[C@@H]1C ZINC000815629017 586689772 /nfs/dbraw/zinc/68/97/72/586689772.db2.gz QNRPRUPCVTXUPH-JTNHKYCSSA-N 0 3 237.318 2.962 20 0 BFADHN CC(=O)O[C@H]1CCCN(Cc2ccccc2)[C@@H]1C ZINC000816468718 586735273 /nfs/dbraw/zinc/73/52/73/586735273.db2.gz LUGPYOHYVXVWKA-DOMZBBRYSA-N 0 3 247.338 2.603 20 0 BFADHN C[C@H]1CN(Cc2c[nH]nc2-c2cccs2)[C@H]1C ZINC000816646412 586748863 /nfs/dbraw/zinc/74/88/63/586748863.db2.gz CZWXWIQXVDXWEJ-UWVGGRQHSA-N 0 3 247.367 2.978 20 0 BFADHN C[C@@H]1CN(Cc2ccc(-c3cc[nH]n3)o2)[C@@H]1C ZINC000816646882 586749122 /nfs/dbraw/zinc/74/91/22/586749122.db2.gz KDZRXLYHXWQPNU-NXEZZACHSA-N 0 3 231.299 2.510 20 0 BFADHN COc1ccccc1CN1C[C@@H](C)[C@@H]1C ZINC000816648740 586751354 /nfs/dbraw/zinc/75/13/54/586751354.db2.gz OKNNPMPSPFSMIB-MNOVXSKESA-N 0 3 205.301 2.535 20 0 BFADHN COCCOc1ccccc1CN1C[C@H](C)[C@H]1C ZINC000816648831 586751624 /nfs/dbraw/zinc/75/16/24/586751624.db2.gz PSJNFWSDGASJDH-QWHCGFSZSA-N 0 3 249.354 2.552 20 0 BFADHN C[C@H]1CN(Cc2ccc(N3CCCC3)nc2)[C@H]1C ZINC000816648707 586751749 /nfs/dbraw/zinc/75/17/49/586751749.db2.gz NVWJWLBTHRFDHV-STQMWFEESA-N 0 3 245.370 2.522 20 0 BFADHN COc1ccc(CN2C[C@@H](C)[C@@H]2C)c(C)c1OC ZINC000816649262 586752727 /nfs/dbraw/zinc/75/27/27/586752727.db2.gz WMTOEJMRXIBQHM-PWSUYJOCSA-N 0 3 249.354 2.852 20 0 BFADHN CC(=O)CCN1CCC[C@@H](CC(F)(F)F)C1 ZINC000817281682 586792165 /nfs/dbraw/zinc/79/21/65/586792165.db2.gz NQLGLPGDIBWJGK-JTQLQIEISA-N 0 3 237.265 2.630 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H]1NCc1nn(C)cc1Cl ZINC000817276735 586792357 /nfs/dbraw/zinc/79/23/57/586792357.db2.gz NWKQBVKQYFXUMO-GDGBQDQQSA-N 0 3 241.766 2.598 20 0 BFADHN CCCCc1nc(-c2ccc(CN)cc2F)no1 ZINC000817865562 586830479 /nfs/dbraw/zinc/83/04/79/586830479.db2.gz LIUJLHAHEPJMBO-UHFFFAOYSA-N 0 3 249.289 2.677 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1ccc(Cl)nc1 ZINC000818356861 586888734 /nfs/dbraw/zinc/88/87/34/586888734.db2.gz RTBACIZLBJIIHM-WCBMZHEXSA-N 0 3 222.719 2.938 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1ccnc(Cl)c1 ZINC000818356742 586889212 /nfs/dbraw/zinc/88/92/12/586889212.db2.gz QBOROCOGGUHVQC-WPRPVWTQSA-N 0 3 222.719 2.938 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@H]2C2CCC2)n(C)n1 ZINC000818356967 586889273 /nfs/dbraw/zinc/88/92/73/586889273.db2.gz SZYFTHRIIHTXKP-WCFLWFBJSA-N 0 3 233.359 2.568 20 0 BFADHN Cc1ccccc1[C@H](O)CNCCC(F)(F)F ZINC000819123835 586931902 /nfs/dbraw/zinc/93/19/02/586931902.db2.gz DGJPZQUUYYYJPN-LLVKDONJSA-N 0 3 247.260 2.570 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccccc1F)c1ccc[nH]1 ZINC000819193733 586937240 /nfs/dbraw/zinc/93/72/40/586937240.db2.gz JRKYYMYSJNBMQY-IINYFYTJSA-N 0 3 248.301 2.538 20 0 BFADHN C[C@H](NC[C@H](O)c1ccccc1F)c1ccc[nH]1 ZINC000819193712 586937433 /nfs/dbraw/zinc/93/74/33/586937433.db2.gz JRKYYMYSJNBMQY-HZMBPMFUSA-N 0 3 248.301 2.538 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccc(F)cc1)c1ccc[nH]1 ZINC000819194753 586937680 /nfs/dbraw/zinc/93/76/80/586937680.db2.gz TXJPJLUWDROZLP-IINYFYTJSA-N 0 3 248.301 2.538 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccns1)CC(C)C ZINC000860059800 589077752 /nfs/dbraw/zinc/07/77/52/589077752.db2.gz PPFYYTCEWYQQCV-MNOVXSKESA-N 0 3 242.388 2.855 20 0 BFADHN CN(C)CCSCCC(F)=C(F)F ZINC000863309168 589278450 /nfs/dbraw/zinc/27/84/50/589278450.db2.gz RFMFSPUXIZYNHU-UHFFFAOYSA-N 0 3 213.268 2.749 20 0 BFADHN CCO[C@H](CN[C@H](C)c1ccns1)C1CC1 ZINC000860060126 589078047 /nfs/dbraw/zinc/07/80/47/589078047.db2.gz UFJFSDUUWSVAJZ-MWLCHTKSSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@@H](F)CCN[C@@H](C)c1ccns1 ZINC000860061976 589078496 /nfs/dbraw/zinc/07/84/96/589078496.db2.gz PRWNBXOHAMHSNN-SFYZADRCSA-N 0 3 202.298 2.542 20 0 BFADHN COc1cc([C@@H](C)N[C@H]2CCCC2(C)C)on1 ZINC000820812221 587074230 /nfs/dbraw/zinc/07/42/30/587074230.db2.gz GCGNROVRXXMINQ-KOLCDFICSA-N 0 3 238.331 2.913 20 0 BFADHN CCC[C@H](CC)C(=O)N(CCN(C)C)C(C)C ZINC000821169291 587099964 /nfs/dbraw/zinc/09/99/64/587099964.db2.gz RWPPAVHTNYRHTN-ZDUSSCGKSA-N 0 3 242.407 2.611 20 0 BFADHN CO[C@H]1CC[C@H](N2CCc3sccc3C2)C1 ZINC000821348883 587110495 /nfs/dbraw/zinc/11/04/95/587110495.db2.gz RSIQGWCIFOQWAW-RYUDHWBXSA-N 0 3 237.368 2.674 20 0 BFADHN ClC1(Cl)C[C@@H]1CCN1CC[C@@H]2C[C@@H]21 ZINC000822789975 587186216 /nfs/dbraw/zinc/18/62/16/587186216.db2.gz APTJXQJWCOWFNW-VGMNWLOBSA-N 0 3 220.143 2.665 20 0 BFADHN Cc1ccc(-c2noc([C@H]3CCCN3)n2)c(F)c1 ZINC000823006416 587197596 /nfs/dbraw/zinc/19/75/96/587197596.db2.gz HWHREEJWYDGSLD-LLVKDONJSA-N 0 3 247.273 2.609 20 0 BFADHN Cc1cccc(-c2noc([C@@H]3CCCN3)n2)c1F ZINC000823157980 587205962 /nfs/dbraw/zinc/20/59/62/587205962.db2.gz ZLKZZHVYLSSYLG-JTQLQIEISA-N 0 3 247.273 2.609 20 0 BFADHN CC(C)CCCC(=O)N[C@H](CN(C)C)C(C)C ZINC000823305902 587215645 /nfs/dbraw/zinc/21/56/45/587215645.db2.gz PJDFSNRCLBFHNG-CYBMUJFWSA-N 0 3 242.407 2.515 20 0 BFADHN CCn1nc(C)c(CN[C@@H](C)CCC(C)C)n1 ZINC000823584498 587232110 /nfs/dbraw/zinc/23/21/10/587232110.db2.gz KGKJHCSHFDFTNW-NSHDSACASA-N 0 3 238.379 2.521 20 0 BFADHN C[C@@H](NCc1cc(F)ncc1F)C1(C)CC1 ZINC000823716918 587237630 /nfs/dbraw/zinc/23/76/30/587237630.db2.gz WNPMQHQLNFNBJO-MRVPVSSYSA-N 0 3 226.270 2.638 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H](C)O[C@H]2C)c(C)o1 ZINC000823866450 587244671 /nfs/dbraw/zinc/24/46/71/587244671.db2.gz HZDXCJXMATZOET-XHVZSJERSA-N 0 3 223.316 2.552 20 0 BFADHN CS[C@@H]1CC[C@H](NCc2ccncc2F)C1 ZINC000823949647 587246746 /nfs/dbraw/zinc/24/67/46/587246746.db2.gz PDTHYYHJPHVKFO-WDEREUQCSA-N 0 3 240.347 2.594 20 0 BFADHN C[C@@H](NCc1ccon1)[C@H]1CCCC[C@H]1C ZINC000823966361 587247252 /nfs/dbraw/zinc/24/72/52/587247252.db2.gz MDEZQHLDFBAPIT-WZRBSPASSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@H](NCc1cc(F)ncc1F)C1CCC1 ZINC000824051333 587251626 /nfs/dbraw/zinc/25/16/26/587251626.db2.gz VWRBSDLSSWSTKN-QMMMGPOBSA-N 0 3 226.270 2.638 20 0 BFADHN C[C@H]1CC[C@H](NCc2cc(F)ncc2F)C1 ZINC000824237648 587260249 /nfs/dbraw/zinc/26/02/49/587260249.db2.gz USZZJAGQWRSALM-WPRPVWTQSA-N 0 3 226.270 2.638 20 0 BFADHN CCCN(C(=O)[C@H]1CCCN1C(C)C)C(C)C ZINC000824264975 587264252 /nfs/dbraw/zinc/26/42/52/587264252.db2.gz WSDMTCYURLSLAZ-CYBMUJFWSA-N 0 3 240.391 2.506 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1cc(F)ncc1F ZINC000824331993 587266230 /nfs/dbraw/zinc/26/62/30/587266230.db2.gz CLPJUGIOFYOVJF-UWVGGRQHSA-N 0 3 240.297 2.886 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1ccnc(Cl)c1F ZINC000824376964 587268058 /nfs/dbraw/zinc/26/80/58/587268058.db2.gz QIYFZLHTKPYILW-APPZFPTMSA-N 0 3 228.698 2.762 20 0 BFADHN Cn1nc(C2CC2)cc1CN[C@@H]1CCC1(C)C ZINC000824382313 587268588 /nfs/dbraw/zinc/26/85/88/587268588.db2.gz AOINVTQAVRRJOY-CYBMUJFWSA-N 0 3 233.359 2.576 20 0 BFADHN C[C@@]1(F)CCCN(C[C@H]2CCC=CO2)C1 ZINC000824472450 587271231 /nfs/dbraw/zinc/27/12/31/587271231.db2.gz ROCNVBZMBJVKEQ-VXGBXAGGSA-N 0 3 213.296 2.503 20 0 BFADHN CC(C)C[C@H](C)CN[C@@H]1CCn2ccnc21 ZINC000824526249 587273240 /nfs/dbraw/zinc/27/32/40/587273240.db2.gz JRQRQMYJBQCMNO-NWDGAFQWSA-N 0 3 221.348 2.600 20 0 BFADHN c1cn2c(n1)[C@H](N[C@H]1CCCC13CCC3)CC2 ZINC000824533704 587274631 /nfs/dbraw/zinc/27/46/31/587274631.db2.gz KOYWRRNZEQTVFD-NEPJUHHUSA-N 0 3 231.343 2.640 20 0 BFADHN CCN(Cc1c(C2CCC2)cnn1C)C(C)C ZINC000824547774 587275380 /nfs/dbraw/zinc/27/53/80/587275380.db2.gz BQUOVLXHPMHADI-UHFFFAOYSA-N 0 3 235.375 2.918 20 0 BFADHN C([NH2+]C1CCCCCCC1)c1nnc(C2CC2)[n-]1 ZINC000824602751 587279069 /nfs/dbraw/zinc/27/90/69/587279069.db2.gz DQMAREKQBFHXFG-UHFFFAOYSA-N 0 3 248.374 2.885 20 0 BFADHN C(NC1CCCCCCC1)c1nnc(C2CC2)[nH]1 ZINC000824602751 587279070 /nfs/dbraw/zinc/27/90/70/587279070.db2.gz DQMAREKQBFHXFG-UHFFFAOYSA-N 0 3 248.374 2.885 20 0 BFADHN Cc1csc(CNCc2ccc(F)nc2)c1 ZINC000824750719 587285752 /nfs/dbraw/zinc/28/57/52/587285752.db2.gz NEEQMAIPUVGRKF-UHFFFAOYSA-N 0 3 236.315 2.880 20 0 BFADHN Fc1ccc(CNC[C@@H]2CCCC2(F)F)cn1 ZINC000824799937 587287770 /nfs/dbraw/zinc/28/77/70/587287770.db2.gz RXOZQTMMJDLXGH-JTQLQIEISA-N 0 3 244.260 2.746 20 0 BFADHN c1cc(CN2CCSC[C@H]2C2CC2)co1 ZINC000824914182 587293032 /nfs/dbraw/zinc/29/30/32/587293032.db2.gz OETFVZNKMISYDJ-LBPRGKRZSA-N 0 3 223.341 2.607 20 0 BFADHN CCc1nc(CNC[C@@H]2CC=CCC2)co1 ZINC000825058124 587301221 /nfs/dbraw/zinc/30/12/21/587301221.db2.gz SLMKEPJMPHFNJO-LLVKDONJSA-N 0 3 220.316 2.683 20 0 BFADHN CC1(C2(NCc3cc(F)ncc3F)CC2)CC1 ZINC000825124753 587305279 /nfs/dbraw/zinc/30/52/79/587305279.db2.gz XJIGCCGOWBRZJW-UHFFFAOYSA-N 0 3 238.281 2.782 20 0 BFADHN Cc1cc(CN[C@@H]2CO[C@@H](C3CC3)C2)c(C)o1 ZINC000825279597 587312574 /nfs/dbraw/zinc/31/25/74/587312574.db2.gz VQVIKUQIRVDWMR-UONOGXRCSA-N 0 3 235.327 2.554 20 0 BFADHN Cc1ncc(CN2CCCC3(CCCC3)C2)n1C ZINC000825633751 587328287 /nfs/dbraw/zinc/32/82/87/587328287.db2.gz DEAZKDQLHJJQBL-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2nonc2C)CC1 ZINC000825922431 587345560 /nfs/dbraw/zinc/34/55/60/587345560.db2.gz QWSLQCRCODZATJ-NEPJUHHUSA-N 0 3 237.347 2.827 20 0 BFADHN CCc1nc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)co1 ZINC000826083714 587350509 /nfs/dbraw/zinc/35/05/09/587350509.db2.gz LZSNDCVNRGSHMV-ADEWGFFLSA-N 0 3 220.316 2.515 20 0 BFADHN COc1c(C)cc(CN[C@@H]2CO[C@@H](C)C2)cc1C ZINC000842773592 587353060 /nfs/dbraw/zinc/35/30/60/587353060.db2.gz BNHGWOXPTSNXDR-JSGCOSHPSA-N 0 3 249.354 2.579 20 0 BFADHN c1nn2ccccc2c1CN1C[C@@H]2CCCC[C@@H]21 ZINC000826538890 587354482 /nfs/dbraw/zinc/35/44/82/587354482.db2.gz KQXCINKFLFOHDY-JSGCOSHPSA-N 0 3 241.338 2.709 20 0 BFADHN COCCN(CCF)CC(C(C)C)C(C)C ZINC000827048371 587368741 /nfs/dbraw/zinc/36/87/41/587368741.db2.gz OFQUTTHCDSXWJI-UHFFFAOYSA-N 0 3 233.371 2.833 20 0 BFADHN COCCN(CCF)C[C@@H]1CC(C)=C[C@@H](C)C1 ZINC000827053914 587369289 /nfs/dbraw/zinc/36/92/89/587369289.db2.gz IVHFEEQMWYYCNM-OCCSQVGLSA-N 0 3 243.366 2.897 20 0 BFADHN Fc1c(CN2CC[C@H]3C[C@H]3C2)ccnc1Cl ZINC000827507126 587384568 /nfs/dbraw/zinc/38/45/68/587384568.db2.gz VGJNLEFUSNVBOL-WPRPVWTQSA-N 0 3 240.709 2.716 20 0 BFADHN CC(C)CC(=O)CCN1C[C@H](C)S[C@@H](C)C1 ZINC000827947379 587412513 /nfs/dbraw/zinc/41/25/13/587412513.db2.gz QCQLOKYQZOOUGL-RYUDHWBXSA-N 0 3 243.416 2.818 20 0 BFADHN CC(C)CC1CCN(Cc2cccnc2N)CC1 ZINC000828047704 587417657 /nfs/dbraw/zinc/41/76/57/587417657.db2.gz WEYSOQUGHXACAW-UHFFFAOYSA-N 0 3 247.386 2.922 20 0 BFADHN CC(C)(C)OC1CC(N2CC[C@H](C(F)F)C2)C1 ZINC000828550892 587450148 /nfs/dbraw/zinc/45/01/48/587450148.db2.gz RMRVPGUZXXLHBY-WHXUTIOJSA-N 0 3 247.329 2.920 20 0 BFADHN C[C@@]1(F)CCCN(CCC[C@@H]2CCOC2)C1 ZINC000828581582 587451637 /nfs/dbraw/zinc/45/16/37/587451637.db2.gz PDIAXISAFDXLLX-CHWSQXEVSA-N 0 3 229.339 2.627 20 0 BFADHN CCC[C@@H](O)CN[C@H](c1ccccn1)C(C)C ZINC000828694049 587457455 /nfs/dbraw/zinc/45/74/55/587457455.db2.gz YZHHOQPAMUHAIC-OCCSQVGLSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@H](NC[C@@]1(C)CC1(Cl)Cl)c1cn[nH]c1 ZINC000828857741 587467066 /nfs/dbraw/zinc/46/70/66/587467066.db2.gz GSZDNUVQHQHDKZ-IONNQARKSA-N 0 3 248.157 2.644 20 0 BFADHN CN(C)CCSC[C@@]1(C)CC1(Cl)Cl ZINC000829290484 587491892 /nfs/dbraw/zinc/49/18/92/587491892.db2.gz APPBJXBLSIFPCS-MRVPVSSYSA-N 0 3 242.215 2.865 20 0 BFADHN CC(C)=CCN(C)C[C@@]1(O)CCc2ccccc21 ZINC000829319702 587492524 /nfs/dbraw/zinc/49/25/24/587492524.db2.gz SLNJREKELCRMSY-INIZCTEOSA-N 0 3 245.366 2.718 20 0 BFADHN C[C@@H]1C[C@H](C(C)(C)C)CCN1CC[S@](C)=O ZINC000829906571 587527201 /nfs/dbraw/zinc/52/72/01/587527201.db2.gz NDPHOQXBQCSJID-HSMVNMDESA-N 0 3 245.432 2.512 20 0 BFADHN C[C@@H](NCc1cn(C)cn1)[C@@H]1CCCC[C@H]1C ZINC000830515381 587554825 /nfs/dbraw/zinc/55/48/25/587554825.db2.gz VKUPBZCFTIDUEM-YRGRVCCFSA-N 0 3 235.375 2.725 20 0 BFADHN CCCCC[C@@H](C)NC(=O)CN(C)C(C)(C)C ZINC000830536244 587556781 /nfs/dbraw/zinc/55/67/81/587556781.db2.gz LVPSAZQNWBZCLB-GFCCVEGCSA-N 0 3 242.407 2.802 20 0 BFADHN C[C@H]1CC2(CN1C/C=C\Cl)CCOCC2 ZINC000830617968 587560676 /nfs/dbraw/zinc/56/06/76/587560676.db2.gz XAJCEDGFKCRLSD-SROOVFNMSA-N 0 3 229.751 2.630 20 0 BFADHN CCN(CCSC)C[C@@H]1CC1(Cl)Cl ZINC000830993875 587580489 /nfs/dbraw/zinc/58/04/89/587580489.db2.gz GPLKVXTYWCJPIJ-QMMMGPOBSA-N 0 3 242.215 2.865 20 0 BFADHN CCN(CCSC)Cc1ccc(Cl)nc1 ZINC000830994752 587581030 /nfs/dbraw/zinc/58/10/30/587581030.db2.gz UGVVMRFPYGAOGK-UHFFFAOYSA-N 0 3 244.791 2.920 20 0 BFADHN CCN(CCSC)CCC(=O)CC(C)C ZINC000830999087 587581207 /nfs/dbraw/zinc/58/12/07/587581207.db2.gz DHNQDZRIDUQZFZ-UHFFFAOYSA-N 0 3 231.405 2.677 20 0 BFADHN CC[C@H](N[C@H](C)c1ccc(Cl)nc1)[C@@H](C)O ZINC000834889318 587769602 /nfs/dbraw/zinc/76/96/02/587769602.db2.gz WCYPDDOFMIVCGT-KKZNHRDASA-N 0 3 242.750 2.545 20 0 BFADHN CCC1CN(CCCc2cnccc2C)C1 ZINC000835074617 587780332 /nfs/dbraw/zinc/78/03/32/587780332.db2.gz KPYZVAUMEGSQDN-UHFFFAOYSA-N 0 3 218.344 2.664 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@@H](C)O2)c(C)o1 ZINC000835088813 587781643 /nfs/dbraw/zinc/78/16/43/587781643.db2.gz VCZWYDFYKHBCJO-RNCFNFMXSA-N 0 3 223.316 2.554 20 0 BFADHN CCCCCCC(=O)NCCN(CC)C1CC1 ZINC000101957905 587785430 /nfs/dbraw/zinc/78/54/30/587785430.db2.gz ZIVBYICAVDSNQJ-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN CN(C)Cc1cccc(C(=O)OC2(C)CCC2)c1 ZINC000835212143 587789507 /nfs/dbraw/zinc/78/95/07/587789507.db2.gz JZGMUHXGHQOIBF-UHFFFAOYSA-N 0 3 247.338 2.848 20 0 BFADHN CC1CC(OC(=O)c2cccc(CN(C)C)c2)C1 ZINC000835454036 587802935 /nfs/dbraw/zinc/80/29/35/587802935.db2.gz CEBJJCZUBLAFTJ-UHFFFAOYSA-N 0 3 247.338 2.704 20 0 BFADHN C/C(=C\C(=O)Nc1cc(C)cc(CN)c1)C1CC1 ZINC000837306381 587864659 /nfs/dbraw/zinc/86/46/59/587864659.db2.gz HMOGDVAXGOGAFI-YRNVUSSQSA-N 0 3 244.338 2.749 20 0 BFADHN CCCC(=O)OC[C@@H]1CCCCN1C(C)C ZINC000837336846 587866980 /nfs/dbraw/zinc/86/69/80/587866980.db2.gz OBCNRXXBFAWGTO-LBPRGKRZSA-N 0 3 227.348 2.593 20 0 BFADHN Cc1ccc(CNCCCc2cccs2)nn1 ZINC000840907961 587928789 /nfs/dbraw/zinc/92/87/89/587928789.db2.gz LAMBCXMEYWJKQD-UHFFFAOYSA-N 0 3 247.367 2.569 20 0 BFADHN Cc1occc1CNC[C@@H](O)c1cccc(C)c1 ZINC000840908721 587929433 /nfs/dbraw/zinc/92/94/33/587929433.db2.gz IYIXZKPQOKJYOS-OAHLLOKOSA-N 0 3 245.322 2.720 20 0 BFADHN COC1([C@@H](C)NCc2cc(C)oc2C)CCC1 ZINC000840969566 587933258 /nfs/dbraw/zinc/93/32/58/587933258.db2.gz ITCNKPUIOMITRO-GFCCVEGCSA-N 0 3 237.343 2.944 20 0 BFADHN CCCCC[C@H](C)N[C@H]1CNCCC1(F)F ZINC000841078705 587945152 /nfs/dbraw/zinc/94/51/52/587945152.db2.gz HIWMULUDKCCNSE-QWRGUYRKSA-N 0 3 234.334 2.542 20 0 BFADHN c1cc(CN2CCSC[C@H]2C2CCC2)co1 ZINC000841894220 587982597 /nfs/dbraw/zinc/98/25/97/587982597.db2.gz VZOMIUBACJDAHN-ZDUSSCGKSA-N 0 3 237.368 2.997 20 0 BFADHN CC[C@H](CN[C@H](c1ccccn1)C(C)C)OC ZINC000842154761 588003974 /nfs/dbraw/zinc/00/39/74/588003974.db2.gz WTSJSLAYMQGREE-OCCSQVGLSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@@H](C)C[C@@H](CO)NCc1cc(C)oc1C ZINC000842756894 588059984 /nfs/dbraw/zinc/05/99/84/588059984.db2.gz PXYVZXDCDVZJGP-YGRLFVJLSA-N 0 3 239.359 2.783 20 0 BFADHN Cc1nc(F)ccc1CN[C@@H]1CCS[C@H]1C ZINC000842778232 588062718 /nfs/dbraw/zinc/06/27/18/588062718.db2.gz DUUHFRREFYCQTR-GXSJLCMTSA-N 0 3 240.347 2.513 20 0 BFADHN CCC/C(C)=C/C(=O)Nc1cccc(CN)c1 ZINC000843288801 588097693 /nfs/dbraw/zinc/09/76/93/588097693.db2.gz IDDQNXAQUJHVNV-DHZHZOJOSA-N 0 3 232.327 2.830 20 0 BFADHN CC(C)[C@H]1N(Cc2cncnc2)CC12CC=CC2 ZINC000843431532 588108919 /nfs/dbraw/zinc/10/89/19/588108919.db2.gz GRSAEOVHYRKCFC-CQSZACIVSA-N 0 3 243.354 2.653 20 0 BFADHN FC1(F)C[C@]12CCCN(C[C@H]1CCCCO1)C2 ZINC000844438623 588193337 /nfs/dbraw/zinc/19/33/37/588193337.db2.gz XVEOUSRINFLIBO-NEPJUHHUSA-N 0 3 245.313 2.677 20 0 BFADHN CCCCCCN1C[C@H]2[C@@H](C1)C2(F)F ZINC000844449914 588195010 /nfs/dbraw/zinc/19/50/10/588195010.db2.gz JCPNOXWCKRKFMT-AOOOYVTPSA-N 0 3 203.276 2.764 20 0 BFADHN c1cc(-c2noc([C@H]3CC[C@H](C4CC4)N3)n2)co1 ZINC000844917010 588219416 /nfs/dbraw/zinc/21/94/16/588219416.db2.gz OLIKXVQEUUQFIB-GHMZBOCLSA-N 0 3 245.282 2.533 20 0 BFADHN c1cc(-c2noc([C@@H]3CC[C@@H](C4CC4)N3)n2)co1 ZINC000844917014 588219425 /nfs/dbraw/zinc/21/94/25/588219425.db2.gz OLIKXVQEUUQFIB-QWRGUYRKSA-N 0 3 245.282 2.533 20 0 BFADHN C[C@@H](CN1CCCC1)OC(=O)CCCC1CC1 ZINC000845661708 588240046 /nfs/dbraw/zinc/24/00/46/588240046.db2.gz BTVQUBQZLMXYAT-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN CCC(C)(C)CC(=O)O[C@@H](C)CN1CCCC1 ZINC000845666582 588240425 /nfs/dbraw/zinc/24/04/25/588240425.db2.gz VFBDWRRQRWKJLV-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H](CN1CCCC1)OC(=O)CCC(C)(C)C ZINC000845666777 588240497 /nfs/dbraw/zinc/24/04/97/588240497.db2.gz YSDPQVYFGALFKZ-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)(C)N1CC[C@@H]1COC(=O)c1ccccc1 ZINC000845738596 588243160 /nfs/dbraw/zinc/24/31/60/588243160.db2.gz AFMRWBZHEGWOPK-CYBMUJFWSA-N 0 3 247.338 2.716 20 0 BFADHN CCC[C@@H](C)C(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845739552 588243276 /nfs/dbraw/zinc/24/32/76/588243276.db2.gz JTUVQUPCVZWDBY-VXGBXAGGSA-N 0 3 241.375 2.839 20 0 BFADHN CCC/C=C/C(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845742235 588243308 /nfs/dbraw/zinc/24/33/08/588243308.db2.gz XUNVEYOOWDUGBU-GUOLPTJISA-N 0 3 239.359 2.759 20 0 BFADHN CC[C@]1(C)CCC[C@@H]1NCc1ccon1 ZINC000845942196 588251012 /nfs/dbraw/zinc/25/10/12/588251012.db2.gz MPAUFHPCFOZXNA-NWDGAFQWSA-N 0 3 208.305 2.733 20 0 BFADHN CCC[C@H](C)[C@@H](CO)NCc1cc(C)oc1C ZINC000846019145 588253599 /nfs/dbraw/zinc/25/35/99/588253599.db2.gz XSVGUKGZJIFNKV-IINYFYTJSA-N 0 3 239.359 2.783 20 0 BFADHN CC(C)O[C@@H]1CCCN(C[C@@H]2CCC=CO2)C1 ZINC000846016488 588253692 /nfs/dbraw/zinc/25/36/92/588253692.db2.gz UJUZOIHHTNGVMV-UONOGXRCSA-N 0 3 239.359 2.569 20 0 BFADHN CC[C@@H]1COC(C)(C)CN1C[C@H]1CCC=CO1 ZINC000846027954 588253952 /nfs/dbraw/zinc/25/39/52/588253952.db2.gz RZEOVYGDCCPFGQ-CHWSQXEVSA-N 0 3 239.359 2.569 20 0 BFADHN C[C@@]1(CCNCc2ccccn2)C[C@@]1(F)Cl ZINC000846036649 588254449 /nfs/dbraw/zinc/25/44/49/588254449.db2.gz NCBNVLFNVBEZPQ-NEPJUHHUSA-N 0 3 242.725 2.876 20 0 BFADHN Cc1cc(CN[C@@H](C)COCC2CC2)c(C)o1 ZINC000846073998 588255582 /nfs/dbraw/zinc/25/55/82/588255582.db2.gz VDCCOROICDLHOE-JTQLQIEISA-N 0 3 237.343 2.801 20 0 BFADHN FC1(F)C[C@@]12CCCN(C[C@H]1CCC=CO1)C2 ZINC000846105407 588256580 /nfs/dbraw/zinc/25/65/80/588256580.db2.gz UEAXTKNKEDLXAD-VXGBXAGGSA-N 0 3 243.297 2.800 20 0 BFADHN Cn1ccc(CN2CCC[C@]3(CC3(F)F)C2)c1 ZINC000846104447 588256583 /nfs/dbraw/zinc/25/65/83/588256583.db2.gz HKTSWVXPVUEFPA-LBPRGKRZSA-N 0 3 240.297 2.646 20 0 BFADHN FC1(F)[C@H]2CN(Cc3cccc4[nH]ccc43)C[C@H]21 ZINC000846110148 588257134 /nfs/dbraw/zinc/25/71/34/588257134.db2.gz FVTKXMFBCBGYAO-TXEJJXNPSA-N 0 3 248.276 2.865 20 0 BFADHN CCOC(CN(CCC(C)C)C1CC1)OCC ZINC000106365281 588269035 /nfs/dbraw/zinc/26/90/35/588269035.db2.gz IASISDRHPNXDRK-UHFFFAOYSA-N 0 3 243.391 2.896 20 0 BFADHN NCc1cccc(NC(=O)/C=C\C2CCCC2)c1 ZINC000846662627 588281479 /nfs/dbraw/zinc/28/14/79/588281479.db2.gz VOEPGOPFEKLYMB-HJWRWDBZSA-N 0 3 244.338 2.830 20 0 BFADHN CCCCN(C)CC1(Br)CC1 ZINC000846761261 588286518 /nfs/dbraw/zinc/28/65/18/588286518.db2.gz CIWOOPNSFJUDGA-UHFFFAOYSA-N 0 3 220.154 2.646 20 0 BFADHN C[C@@H]1C[C@H](C)CN(CC2(Br)CC2)C1 ZINC000846768126 588286845 /nfs/dbraw/zinc/28/68/45/588286845.db2.gz CRZXVHIQGHHMFK-AOOOYVTPSA-N 0 3 246.192 2.892 20 0 BFADHN CC(C)CN(C)Cc1cnc(Cl)c(F)c1 ZINC000846780722 588287863 /nfs/dbraw/zinc/28/78/63/588287863.db2.gz DTPIBDSPXHEEPR-UHFFFAOYSA-N 0 3 230.714 2.962 20 0 BFADHN CN(C)Cc1ccc(-c2ccsn2)cc1 ZINC000846781033 588288181 /nfs/dbraw/zinc/28/81/81/588288181.db2.gz YRMPUKRKLYSPAD-UHFFFAOYSA-N 0 3 218.325 2.872 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1CC1(Br)CC1 ZINC000846815486 588290841 /nfs/dbraw/zinc/29/08/41/588290841.db2.gz MLHHUEOLZWMRNK-RKDXNWHRSA-N 0 3 232.165 2.787 20 0 BFADHN BrC1(CN2CCC3(CC3)CC2)CC1 ZINC000846836916 588291740 /nfs/dbraw/zinc/29/17/40/588291740.db2.gz IZMPONHOFUUDKS-UHFFFAOYSA-N 0 3 244.176 2.790 20 0 BFADHN Fc1cccc(F)c1CCCN1CC[C@@H]2C[C@@H]21 ZINC000846838174 588292089 /nfs/dbraw/zinc/29/20/89/588292089.db2.gz WMILOXMVGCVOSL-YGRLFVJLSA-N 0 3 237.293 2.992 20 0 BFADHN C[C@@H]1CCN(CC2(Br)CC2)C[C@H]1C ZINC000846839881 588292425 /nfs/dbraw/zinc/29/24/25/588292425.db2.gz HOJWNJRRVHJSFT-NXEZZACHSA-N 0 3 246.192 2.892 20 0 BFADHN CC1=C(C)CN(Cc2ccc3nonc3c2)CC1 ZINC000846887112 588295652 /nfs/dbraw/zinc/29/56/52/588295652.db2.gz GPIUVAOEDZFIDU-UHFFFAOYSA-N 0 3 243.310 2.765 20 0 BFADHN CCOC(CN(CC)[C@@H]1C=CCCC1)OCC ZINC000846970997 588298999 /nfs/dbraw/zinc/29/89/99/588298999.db2.gz OVPCMXFYKHURPA-CYBMUJFWSA-N 0 3 241.375 2.816 20 0 BFADHN C[C@H](COC(=O)C1=CCCC1)N1CCCCC1 ZINC000847958920 588345264 /nfs/dbraw/zinc/34/52/64/588345264.db2.gz CDXFZJQUCXZJQN-GFCCVEGCSA-N 0 3 237.343 2.514 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cccc(F)n2)CS1 ZINC000848536991 588394313 /nfs/dbraw/zinc/39/43/13/588394313.db2.gz ZBEIJYVRDCTTSC-KOLCDFICSA-N 0 3 240.347 2.594 20 0 BFADHN C[C@@H](CNCc1cc2n(n1)CCCC2)C(C)(C)C ZINC000848537060 588394377 /nfs/dbraw/zinc/39/43/77/588394377.db2.gz YJBYMLFARNMCAI-LBPRGKRZSA-N 0 3 249.402 2.991 20 0 BFADHN CN(Cc1cnc(Cl)n1C)CC(C)(C)C ZINC000848882205 588416034 /nfs/dbraw/zinc/41/60/34/588416034.db2.gz MYJAVYQIWJGKDC-UHFFFAOYSA-N 0 3 229.755 2.551 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1cnc(Cl)n1C ZINC000848880871 588415849 /nfs/dbraw/zinc/41/58/49/588415849.db2.gz JDITWNQSDVIZOL-LLVKDONJSA-N 0 3 241.766 2.694 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cnc(Cl)n1C ZINC000848904236 588418933 /nfs/dbraw/zinc/41/89/33/588418933.db2.gz OAHATEMXQRUCHU-GXSJLCMTSA-N 0 3 241.766 2.694 20 0 BFADHN CCN(Cc1cnc(Cl)n1C)CC(C)(C)C ZINC000848912134 588419748 /nfs/dbraw/zinc/41/97/48/588419748.db2.gz BUMXGIWYJQLXQI-UHFFFAOYSA-N 0 3 243.782 2.942 20 0 BFADHN CC(=O)C1CCN(Cc2ccc(C)cc2)CC1 ZINC000107956704 588421961 /nfs/dbraw/zinc/42/19/61/588421961.db2.gz WLIACFOLQZIVRQ-UHFFFAOYSA-N 0 3 231.339 2.796 20 0 BFADHN Cc1ncc(-c2cccc(OCCN(C)C)c2)o1 ZINC000848952992 588422552 /nfs/dbraw/zinc/42/25/52/588422552.db2.gz XPXRQRZWZNXGSH-UHFFFAOYSA-N 0 3 246.310 2.590 20 0 BFADHN C[C@H](CNCc1cc2n(n1)CCC2)C(C)(C)C ZINC000850138066 588521419 /nfs/dbraw/zinc/52/14/19/588521419.db2.gz ZEHWOLOEULZOHM-LLVKDONJSA-N 0 3 235.375 2.601 20 0 BFADHN CC[C@H](C)CNCc1cc(C(F)(F)F)nn1C ZINC000850328732 588536930 /nfs/dbraw/zinc/53/69/30/588536930.db2.gz XFCNUHRXAKVDOA-QMMMGPOBSA-N 0 3 249.280 2.575 20 0 BFADHN CCC(O)(CC)C(C)(C)CNCc1ccoc1 ZINC000850354184 588538059 /nfs/dbraw/zinc/53/80/59/588538059.db2.gz XGZODVJUKHGGOI-UHFFFAOYSA-N 0 3 239.359 2.947 20 0 BFADHN C1=C[C@H](NCc2c[nH]nc2-c2ccsc2)CC1 ZINC000850358922 588539668 /nfs/dbraw/zinc/53/96/68/588539668.db2.gz ORXPDVXAIDXTRI-LBPRGKRZSA-N 0 3 245.351 2.946 20 0 BFADHN CN(C)c1ccccc1CN[C@H]1C=CCC1 ZINC000850358886 588539718 /nfs/dbraw/zinc/53/97/18/588539718.db2.gz NAGDEUWXKOTOFX-ZDUSSCGKSA-N 0 3 216.328 2.561 20 0 BFADHN C[C@@]1(CNCc2cccnc2Cl)CC1(F)F ZINC000850379481 588542157 /nfs/dbraw/zinc/54/21/57/588542157.db2.gz QNXZBPGEKLJIEX-JTQLQIEISA-N 0 3 246.688 2.870 20 0 BFADHN CC[C@@H]1COC[C@@H]1NCc1ccc2occc2c1 ZINC000850383437 588542508 /nfs/dbraw/zinc/54/25/08/588542508.db2.gz PEIVVJCGQGIDGI-OCCSQVGLSA-N 0 3 245.322 2.947 20 0 BFADHN Cc1cc(CNCC(O)(C2CC2)C2CC2)c(C)o1 ZINC000850386142 588542540 /nfs/dbraw/zinc/54/25/40/588542540.db2.gz GHUDHSQNDUDTDI-UHFFFAOYSA-N 0 3 249.354 2.537 20 0 BFADHN CC[C@H](NCc1coc(C2CC2)n1)C1CC1 ZINC000850382618 588542666 /nfs/dbraw/zinc/54/26/66/588542666.db2.gz YYZWTSXRYDKNHN-LBPRGKRZSA-N 0 3 220.316 2.830 20 0 BFADHN Fc1cccc(CN[C@H]2CCCC23CC3)n1 ZINC000850446697 588547519 /nfs/dbraw/zinc/54/75/19/588547519.db2.gz BTQNYSULCXEADF-NSHDSACASA-N 0 3 220.291 2.643 20 0 BFADHN Fc1cccc(CN[C@H]2CCCSCC2)n1 ZINC000850446604 588547657 /nfs/dbraw/zinc/54/76/57/588547657.db2.gz ACFUGKAQIWQHJC-JTQLQIEISA-N 0 3 240.347 2.596 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000850462478 588548516 /nfs/dbraw/zinc/54/85/16/588548516.db2.gz CDSVUUVDRZOKEE-RYUDHWBXSA-N 0 3 249.354 2.697 20 0 BFADHN CON(C)CCN[C@@H](C)c1cccc(C2CC2)c1 ZINC000850477506 588549614 /nfs/dbraw/zinc/54/96/14/588549614.db2.gz HSSUIOXZDAQODY-LBPRGKRZSA-N 0 3 248.370 2.708 20 0 BFADHN C[C@H]1CC[C@H]1NCc1cccnc1N1CCCC1 ZINC000850502798 588552757 /nfs/dbraw/zinc/55/27/57/588552757.db2.gz ZULZFLNGDAYOSX-GXTWGEPZSA-N 0 3 245.370 2.570 20 0 BFADHN C[C@H](N[C@@H]1C=CCC1)c1cccc(CO)c1 ZINC000850507503 588553502 /nfs/dbraw/zinc/55/35/02/588553502.db2.gz FRRZEZVLSFJCGD-SMDDNHRTSA-N 0 3 217.312 2.548 20 0 BFADHN C1=C[C@@H](N[C@H](c2nc[nH]n2)C2CCCCC2)CC1 ZINC000850509612 588554475 /nfs/dbraw/zinc/55/44/75/588554475.db2.gz SBKFLGUFZHDQHW-OLZOCXBDSA-N 0 3 246.358 2.734 20 0 BFADHN C1=C[C@@H]([NH2+][C@H](c2nnc[n-]2)C2CCCCC2)CC1 ZINC000850509612 588554477 /nfs/dbraw/zinc/55/44/77/588554477.db2.gz SBKFLGUFZHDQHW-OLZOCXBDSA-N 0 3 246.358 2.734 20 0 BFADHN C1=C[C@@H](N[C@H](c2nnc[nH]2)C2CCCCC2)CC1 ZINC000850509612 588554480 /nfs/dbraw/zinc/55/44/80/588554480.db2.gz SBKFLGUFZHDQHW-OLZOCXBDSA-N 0 3 246.358 2.734 20 0 BFADHN COC(C)(C)[C@H](C)N[C@H]1C[C@@H](O)c2ccccc21 ZINC000850524834 588556782 /nfs/dbraw/zinc/55/67/82/588556782.db2.gz DSAPWIRLIPBLLJ-LEWSCRJBSA-N 0 3 249.354 2.568 20 0 BFADHN CC1CC(N[C@@H]2C[C@H](O)c3ccccc32)C1 ZINC000850528006 588557531 /nfs/dbraw/zinc/55/75/31/588557531.db2.gz IJAWQQMMJUXJQK-FEZLSPKCSA-N 0 3 217.312 2.553 20 0 BFADHN CC[C@H](N[C@@H]1COC[C@H]1CC)c1ccncc1 ZINC000850539189 588558562 /nfs/dbraw/zinc/55/85/62/588558562.db2.gz BLSOCMDEHSGPOE-KWCYVHTRSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@@H]1COC[C@@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000850540457 588558843 /nfs/dbraw/zinc/55/88/43/588558843.db2.gz NFQKDOGRSDWZDI-KMUNFCNLSA-N 0 3 249.329 2.828 20 0 BFADHN C[C@H](NCCCC1CCC1)c1ncc[nH]1 ZINC000850535819 588558854 /nfs/dbraw/zinc/55/88/54/588558854.db2.gz BYYGVWGYWYFPIT-JTQLQIEISA-N 0 3 207.321 2.641 20 0 BFADHN Cc1cc([C@H](C)NCCCc2ccco2)n(C)n1 ZINC000850570305 588561178 /nfs/dbraw/zinc/56/11/78/588561178.db2.gz ULIDWFJLSFZBCD-LBPRGKRZSA-N 0 3 247.342 2.605 20 0 BFADHN CO[C@H]1CC[C@H](N(C)Cc2ccsc2)C1 ZINC000851716736 588616469 /nfs/dbraw/zinc/61/64/69/588616469.db2.gz QEOSAGBHADOGPT-RYUDHWBXSA-N 0 3 225.357 2.747 20 0 BFADHN CC(C)N(Cc1ccccc1)C[C@@H]1CCC(=O)O1 ZINC000851724706 588617472 /nfs/dbraw/zinc/61/74/72/588617472.db2.gz FIQQHAZSTUPJFZ-AWEZNQCLSA-N 0 3 247.338 2.603 20 0 BFADHN Cc1noc(C)c1CN(C)C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000851726056 588617992 /nfs/dbraw/zinc/61/79/92/588617992.db2.gz KEUVTZSUSANYGL-CLLJXQQHSA-N 0 3 234.343 2.769 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1cccc(F)n1 ZINC000851735612 588620571 /nfs/dbraw/zinc/62/05/71/588620571.db2.gz ZDUMCGKDAXYCED-LBPRGKRZSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CCCN(Cc2cccc(F)n2)CC1 ZINC000851738166 588621058 /nfs/dbraw/zinc/62/10/58/588621058.db2.gz BHIPZFARZSBEHG-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN CCC1(C)CCN(C[C@@H](O)c2ccccn2)CC1 ZINC000851746271 588621929 /nfs/dbraw/zinc/62/19/29/588621929.db2.gz RHBFNJCLDCRPQT-CQSZACIVSA-N 0 3 248.370 2.627 20 0 BFADHN O[C@@H](CN1C2CCCC1CCC2)c1ccccn1 ZINC000851786287 588628724 /nfs/dbraw/zinc/62/87/24/588628724.db2.gz XFMJOIIDVNSLOZ-PIMMBPRGSA-N 0 3 246.354 2.522 20 0 BFADHN COc1cc(CN2C[C@@H](C)CC2(C)C)sn1 ZINC000851800417 588630808 /nfs/dbraw/zinc/63/08/08/588630808.db2.gz YVGKEMUEXQUPLP-VIFPVBQESA-N 0 3 240.372 2.772 20 0 BFADHN CC1(C)OC[C@@H](CN2CC[C@@H]2c2ccccc2)O1 ZINC000851800147 588630845 /nfs/dbraw/zinc/63/08/45/588630845.db2.gz IPUUTPZJFSSALK-ZIAGYGMSSA-N 0 3 247.338 2.585 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cccc(F)n1 ZINC000851809662 588632046 /nfs/dbraw/zinc/63/20/46/588632046.db2.gz WZRMFHYZJQRLLN-AOOOYVTPSA-N 0 3 208.280 2.594 20 0 BFADHN CC(C)(C)[C@H]1CCCC[C@H]1NCc1cnon1 ZINC000851838317 588633932 /nfs/dbraw/zinc/63/39/32/588633932.db2.gz HMSGSDYNDHVHKY-NWDGAFQWSA-N 0 3 237.347 2.764 20 0 BFADHN CC(C)(C)[C@@H]1CCCC[C@H]1NCc1cnon1 ZINC000851838319 588634022 /nfs/dbraw/zinc/63/40/22/588634022.db2.gz HMSGSDYNDHVHKY-VXGBXAGGSA-N 0 3 237.347 2.764 20 0 BFADHN Fc1ccc(C2(NCCOC(F)F)CC2)cc1 ZINC000851839989 588634345 /nfs/dbraw/zinc/63/43/45/588634345.db2.gz KFJTXSKFWRMSED-UHFFFAOYSA-N 0 3 245.244 2.644 20 0 BFADHN CC(C)N(Cc1cccc(F)n1)C1CCC1 ZINC000851847201 588634598 /nfs/dbraw/zinc/63/45/98/588634598.db2.gz BXUOSQJCWQLLDL-UHFFFAOYSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@H]1CCN(Cc2cccc(F)n2)C[C@H]1C ZINC000851886797 588640365 /nfs/dbraw/zinc/64/03/65/588640365.db2.gz SIJIIZCVHYTEEL-WDEREUQCSA-N 0 3 222.307 2.699 20 0 BFADHN FC(F)OCCN1CCCC2(CCCC2)C1 ZINC000851967794 588648832 /nfs/dbraw/zinc/64/88/32/588648832.db2.gz FWJNZPUGXYTBFG-UHFFFAOYSA-N 0 3 233.302 2.882 20 0 BFADHN Cc1ccccc1C(C)(C)NC[C@@H]1CCC(=O)O1 ZINC000852092690 588661442 /nfs/dbraw/zinc/66/14/42/588661442.db2.gz XWOHEXSDMVRXHA-LBPRGKRZSA-N 0 3 247.338 2.525 20 0 BFADHN CCC[C@@H](C)[C@@H](CO)NCC=C(Cl)Cl ZINC000852210854 588672543 /nfs/dbraw/zinc/67/25/43/588672543.db2.gz LTFDDJZDLCRQHR-RKDXNWHRSA-N 0 3 240.174 2.692 20 0 BFADHN CO[C@@H]1CC[C@H](N2CCC[C@]3(CC3(F)F)C2)C1 ZINC000852213454 588673030 /nfs/dbraw/zinc/67/30/30/588673030.db2.gz LSSSUTUPMILZTH-TUAOUCFPSA-N 0 3 245.313 2.675 20 0 BFADHN CCCCCCNC(=O)CN(CC)[C@@H](C)CC ZINC000852294206 588676827 /nfs/dbraw/zinc/67/68/27/588676827.db2.gz UVBOBDFLERKENI-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN C[C@@H](NC[Si](C)(C)C)c1cscn1 ZINC000852417806 588681886 /nfs/dbraw/zinc/68/18/86/588681886.db2.gz NZKMHBBJULGPKJ-MRVPVSSYSA-N 0 3 214.410 2.671 20 0 BFADHN FCC[C@@H]1CCCN(C/C=C\Cl)C1 ZINC000852533468 588688253 /nfs/dbraw/zinc/68/82/53/588688253.db2.gz UXFKEHWYDNYAEC-ODTOEKCJSA-N 0 3 205.704 2.811 20 0 BFADHN Cl/C=C(/Cl)CN1CC[C@@H]2C[C@@H]2C1 ZINC000852633345 588693367 /nfs/dbraw/zinc/69/33/67/588693367.db2.gz ABMRYTXBLIAYJW-AWIPERHVSA-N 0 3 206.116 2.647 20 0 BFADHN Fc1cc(CN2CC[C@@H]3C[C@@H]3C2)cnc1Cl ZINC000852635460 588693501 /nfs/dbraw/zinc/69/35/01/588693501.db2.gz UGIQIKKJSZGWQS-NXEZZACHSA-N 0 3 240.709 2.716 20 0 BFADHN Cc1cc(CN2CCC[C@H](C3CCC3)C2)n(C)n1 ZINC000852673025 588694933 /nfs/dbraw/zinc/69/49/33/588694933.db2.gz KSVMPWAPEXXTQC-AWEZNQCLSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1oncc1CNC1(CC(C)C)CC1 ZINC000852682505 588695383 /nfs/dbraw/zinc/69/53/83/588695383.db2.gz DEWOWRKNNXSAPM-UHFFFAOYSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1C/C=C(/C)C(=O)OC ZINC000852712821 588697557 /nfs/dbraw/zinc/69/75/57/588697557.db2.gz XHVIBNIIRVXVND-POVPLDHISA-N 0 3 239.359 2.759 20 0 BFADHN CC(=O)CCN(C)C[C@H]1CCc2ccccc21 ZINC000852725312 588697967 /nfs/dbraw/zinc/69/79/67/588697967.db2.gz BAZSTLIIRKYHHY-CQSZACIVSA-N 0 3 231.339 2.627 20 0 BFADHN CCC(=O)CCN1CC2CC1(c1ccccc1)C2 ZINC000852761730 588700871 /nfs/dbraw/zinc/70/08/71/588700871.db2.gz SOSCABYUTFQLEP-UHFFFAOYSA-N 0 3 243.350 2.977 20 0 BFADHN CC12CC(C1)CN2C[C@H]1CC1(Cl)Cl ZINC000852785304 588702151 /nfs/dbraw/zinc/70/21/51/588702151.db2.gz GBALGJCZSGJIMB-QJAFJHJLSA-N 0 3 220.143 2.665 20 0 BFADHN O=C(OCC12CCCN(CCC1)C2)C1=CCCC1 ZINC000861359716 589161488 /nfs/dbraw/zinc/16/14/88/589161488.db2.gz SLMCOEXDEUXBBZ-UHFFFAOYSA-N 0 3 249.354 2.516 20 0 BFADHN CCN(Cc1cnc(C2CC2)o1)C(C)C ZINC000862216708 589194517 /nfs/dbraw/zinc/19/45/17/589194517.db2.gz QMHRIPKPNLOQJI-UHFFFAOYSA-N 0 3 208.305 2.782 20 0 BFADHN Cc1c(CN2CC[C@H](C)[C@@H]2C)ccnc1F ZINC000862251734 589197403 /nfs/dbraw/zinc/19/74/03/589197403.db2.gz MFAAROCSHSBERH-ONGXEEELSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1c(CN2[C@H](C)CC[C@H]2C)ccnc1F ZINC000862251807 589197620 /nfs/dbraw/zinc/19/76/20/589197620.db2.gz NQHGDRDMFPBMJS-NXEZZACHSA-N 0 3 222.307 2.902 20 0 BFADHN Cc1c(CN(C)CCC2CC2)ccnc1F ZINC000862253250 589197776 /nfs/dbraw/zinc/19/77/76/589197776.db2.gz KLUPTPIUTUOEBG-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN CSCC[C@@H](C)NCc1cnc(C2CC2)o1 ZINC000862281425 589199170 /nfs/dbraw/zinc/19/91/70/589199170.db2.gz YSXQYAFWYIWHND-SECBINFHSA-N 0 3 240.372 2.783 20 0 BFADHN C[C@@]1(F)CCCN(Cc2cccc3n[nH]cc32)C1 ZINC000862382024 589206478 /nfs/dbraw/zinc/20/64/78/589206478.db2.gz NRJRJKGCNKZAFQ-CQSZACIVSA-N 0 3 247.317 2.887 20 0 BFADHN Cc1c(CNC2(C3(C)CC3)CC2)ccnc1F ZINC000862387453 589207260 /nfs/dbraw/zinc/20/72/60/589207260.db2.gz MDPSYCKWUPQPJG-UHFFFAOYSA-N 0 3 234.318 2.951 20 0 BFADHN Fc1cc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)ccn1 ZINC000862387504 589207508 /nfs/dbraw/zinc/20/75/08/589207508.db2.gz LBCPXCQALUKBQU-ZFWWWQNUSA-N 0 3 246.329 2.841 20 0 BFADHN Cc1ncc(CNCC[C@@]2(C)C[C@]2(F)Cl)o1 ZINC000862401861 589209470 /nfs/dbraw/zinc/20/94/70/589209470.db2.gz VIWULSXAJQUXLG-WDEREUQCSA-N 0 3 246.713 2.777 20 0 BFADHN Cc1c(CN[C@]2(C)CC2(C)C)ccnc1F ZINC000862418958 589212021 /nfs/dbraw/zinc/21/20/21/589212021.db2.gz MIOCCOCICLATKR-CYBMUJFWSA-N 0 3 222.307 2.807 20 0 BFADHN Oc1ccc([C@H]2CCN(Cc3ccoc3)C2)cc1 ZINC000862540822 589218714 /nfs/dbraw/zinc/21/87/14/589218714.db2.gz KOZSEMWZGPOCLA-AWEZNQCLSA-N 0 3 243.306 2.975 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2ccc(C)nn2)C1 ZINC000862550177 589220642 /nfs/dbraw/zinc/22/06/42/589220642.db2.gz JOBZEQZEVRTOIW-NQHOJNORSA-N 0 3 245.370 2.963 20 0 BFADHN Cc1ccc(-c2ccc3c(c2)CCNC3)cn1 ZINC000863699407 589311751 /nfs/dbraw/zinc/31/17/51/589311751.db2.gz BNMCIFQREVMGDK-UHFFFAOYSA-N 0 3 224.307 2.703 20 0 BFADHN CCOCCN[C@H]1c2ccccc2NC1(C)C ZINC000863984265 589333168 /nfs/dbraw/zinc/33/31/68/589333168.db2.gz PQVPEOJQASOUSN-ZDUSSCGKSA-N 0 3 234.343 2.558 20 0 BFADHN C[C@]1(CCNCc2ccns2)C[C@@]1(F)Cl ZINC000864051722 589335279 /nfs/dbraw/zinc/33/52/79/589335279.db2.gz PYNHFTUVYBINTB-UWVGGRQHSA-N 0 3 248.754 2.937 20 0 BFADHN COc1c(C)cc(CN[C@@]2(C)CCOC2)cc1C ZINC000120139456 589382882 /nfs/dbraw/zinc/38/28/82/589382882.db2.gz BJKAQGGLUGMVEF-HNNXBMFYSA-N 0 3 249.354 2.581 20 0 BFADHN Cc1c(Cl)cccc1CNc1nccn1C ZINC000865293106 589420297 /nfs/dbraw/zinc/42/02/97/589420297.db2.gz YVDRZQMVOWPVTQ-UHFFFAOYSA-N 0 3 235.718 2.994 20 0 BFADHN CN(C)CCSCC1CC2(CCC2)C1 ZINC000865359355 589425200 /nfs/dbraw/zinc/42/52/00/589425200.db2.gz HXWNHMKHEIVOSR-UHFFFAOYSA-N 0 3 213.390 2.862 20 0 BFADHN CCCCCCNCc1cn(C2CC2)cn1 ZINC000865397849 589431733 /nfs/dbraw/zinc/43/17/33/589431733.db2.gz OJTVGPPEFOHTFT-UHFFFAOYSA-N 0 3 221.348 2.888 20 0 BFADHN CCC[C@H](C)NCc1cc2c(cc[nH]c2=O)o1 ZINC000865398281 589431792 /nfs/dbraw/zinc/43/17/92/589431792.db2.gz YMTOIXCGQUUVAW-VIFPVBQESA-N 0 3 234.299 2.812 20 0 BFADHN Fc1cc(OC(F)F)ccc1CNCC1CC1 ZINC000865410063 589434321 /nfs/dbraw/zinc/43/43/21/589434321.db2.gz GNAQJLNUMHTZDC-UHFFFAOYSA-N 0 3 245.244 2.927 20 0 BFADHN C[C@@H](NCc1ccnn1CC1CCC1)C1(C)CC1 ZINC000865435599 589435843 /nfs/dbraw/zinc/43/58/43/589435843.db2.gz QALJYVZHWQKPKC-GFCCVEGCSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@H](NCc1ccnn1CC1CCC1)C1(C)CC1 ZINC000865435600 589436141 /nfs/dbraw/zinc/43/61/41/589436141.db2.gz QALJYVZHWQKPKC-LBPRGKRZSA-N 0 3 247.386 2.961 20 0 BFADHN CCC[C@@H](CC)NCc1cn(C2CC2)cn1 ZINC000865451059 589436725 /nfs/dbraw/zinc/43/67/25/589436725.db2.gz YBZDOZCVQJEFFJ-LLVKDONJSA-N 0 3 221.348 2.886 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1cc2c(cc[nH]c2=O)o1 ZINC000865452694 589437100 /nfs/dbraw/zinc/43/71/00/589437100.db2.gz NJVNWVSFLNRATH-BXKDBHETSA-N 0 3 246.310 2.812 20 0 BFADHN Cc1c(Cl)cccc1CNC(C)(C)CO ZINC000865457805 589437397 /nfs/dbraw/zinc/43/73/97/589437397.db2.gz SLXIJOILWXAGMM-UHFFFAOYSA-N 0 3 227.735 2.509 20 0 BFADHN CC1(CNCc2cc3c(cc[nH]c3=O)o2)CCC1 ZINC000865484995 589440217 /nfs/dbraw/zinc/44/02/17/589440217.db2.gz NWOVLXLMFDKWRK-UHFFFAOYSA-N 0 3 246.310 2.813 20 0 BFADHN CC(C)C1(CNCc2cn(C3CC3)cn2)CC1 ZINC000865487870 589440472 /nfs/dbraw/zinc/44/04/72/589440472.db2.gz VCTJMDQYEXUONA-UHFFFAOYSA-N 0 3 233.359 2.744 20 0 BFADHN O=c1[nH]ccc2oc(CNCCC3CCC3)cc21 ZINC000865488862 589440620 /nfs/dbraw/zinc/44/06/20/589440620.db2.gz JDMCKDDZXULHLW-UHFFFAOYSA-N 0 3 246.310 2.813 20 0 BFADHN CS[C@@H](C)CNCc1cnc(Cl)c(F)c1 ZINC000865508933 589442153 /nfs/dbraw/zinc/44/21/53/589442153.db2.gz UIBPPFKLISMYCB-ZETCQYMHSA-N 0 3 248.754 2.715 20 0 BFADHN CC(C)(C)Oc1cccc(CN[C@@H]2CCOC2)c1 ZINC000865508084 589442172 /nfs/dbraw/zinc/44/21/72/589442172.db2.gz LPTPZKBTVFVKNC-CYBMUJFWSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)C(C)(C)CNCc1cn(C2CC2)cn1 ZINC000865538756 589444550 /nfs/dbraw/zinc/44/45/50/589444550.db2.gz MWBPFCBYWPRDLK-UHFFFAOYSA-N 0 3 235.375 2.990 20 0 BFADHN CC(C)=CCCNCc1cn(C2CC2)cn1 ZINC000865543245 589444839 /nfs/dbraw/zinc/44/48/39/589444839.db2.gz XAIGBGBYNYLJCN-UHFFFAOYSA-N 0 3 219.332 2.664 20 0 BFADHN Fc1cc(CN[C@@H]2CC23CC3)cnc1Cl ZINC000865609306 589451231 /nfs/dbraw/zinc/45/12/31/589451231.db2.gz LCGLSCITPHEAFN-SECBINFHSA-N 0 3 226.682 2.516 20 0 BFADHN C/C=C\CNCc1cc(F)ccc1N(C)C ZINC000865642564 589451440 /nfs/dbraw/zinc/45/14/40/589451440.db2.gz JGLIVSPYIUCLMI-PLNGDYQASA-N 0 3 222.307 2.557 20 0 BFADHN FC(F)c1ccc(CN[C@H]2C=CCC2)cn1 ZINC000865622532 589452285 /nfs/dbraw/zinc/45/22/85/589452285.db2.gz CBWZTJHBVBWFPY-JTQLQIEISA-N 0 3 224.254 2.827 20 0 BFADHN CC(C)CC1(NCc2cn(C3CC3)cn2)CC1 ZINC000865632947 589452730 /nfs/dbraw/zinc/45/27/30/589452730.db2.gz VZXOXVOLCFSGBO-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN CC(C)=CCNCc1cccc2c1CCOC2 ZINC000865724270 589458504 /nfs/dbraw/zinc/45/85/04/589458504.db2.gz NLXLXUXPKDCFJI-UHFFFAOYSA-N 0 3 231.339 2.815 20 0 BFADHN Cc1c[nH]nc1CNCC1CCC2(CC2)CC1 ZINC000865722003 589458611 /nfs/dbraw/zinc/45/86/11/589458611.db2.gz KTHKZTGZHIGOEW-UHFFFAOYSA-N 0 3 233.359 2.778 20 0 BFADHN C[C@H](CC1CCC1)NCc1cn(C)nc1Cl ZINC000865732820 589458855 /nfs/dbraw/zinc/45/88/55/589458855.db2.gz KWVJZBOSJBLJLT-SECBINFHSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@H](CC1CCC1)NCc1cn(C2CC2)cn1 ZINC000865732831 589458857 /nfs/dbraw/zinc/45/88/57/589458857.db2.gz LKPNBSPMBFAHTG-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN c1cc(CN[C@@H](C2CCC2)[C@H]2CCCO2)co1 ZINC000865737081 589459352 /nfs/dbraw/zinc/45/93/52/589459352.db2.gz PJKYONVYVDFGPR-KGLIPLIRSA-N 0 3 235.327 2.717 20 0 BFADHN c1cc(CN[C@H](C2CCC2)[C@@H]2CCCO2)co1 ZINC000865737082 589459571 /nfs/dbraw/zinc/45/95/71/589459571.db2.gz PJKYONVYVDFGPR-UONOGXRCSA-N 0 3 235.327 2.717 20 0 BFADHN CC(C)(NCc1ccncc1)[C@H]1CCCCO1 ZINC000865746933 589460698 /nfs/dbraw/zinc/46/06/98/589460698.db2.gz RCRMFSIOXZCDOG-CYBMUJFWSA-N 0 3 234.343 2.519 20 0 BFADHN CC(C)(NCc1cccnc1)[C@@H]1CCCCO1 ZINC000865747175 589460735 /nfs/dbraw/zinc/46/07/35/589460735.db2.gz RVEZRHQXBNBWOK-ZDUSSCGKSA-N 0 3 234.343 2.519 20 0 BFADHN c1coc(CNC[C@H]2CCC23CCOCC3)c1 ZINC000865777916 589461504 /nfs/dbraw/zinc/46/15/04/589461504.db2.gz DIHBARWLZPQQAU-GFCCVEGCSA-N 0 3 235.327 2.576 20 0 BFADHN Cc1ccc(CNC[C@H]2CCC23CCOCC3)o1 ZINC000865779339 589461652 /nfs/dbraw/zinc/46/16/52/589461652.db2.gz VJPIFHAVIGUELW-CYBMUJFWSA-N 0 3 249.354 2.884 20 0 BFADHN c1nc(CNCCCCC2CC2)cn1C1CC1 ZINC000865786152 589461860 /nfs/dbraw/zinc/46/18/60/589461860.db2.gz BLBFMDJVBNDHQK-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN CC(F)(F)C(C)(C)CNCc1ccncc1F ZINC000865788883 589461999 /nfs/dbraw/zinc/46/19/99/589461999.db2.gz ICVAHTYWUMKMMF-UHFFFAOYSA-N 0 3 246.276 2.992 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2nn(C)cc2C(F)F)C1 ZINC000865808182 589462659 /nfs/dbraw/zinc/46/26/59/589462659.db2.gz CMFXAZYIEXBMRS-RKDXNWHRSA-N 0 3 243.301 2.636 20 0 BFADHN CN1Cc2ccccc2[C@@H]1CNCc1ccco1 ZINC000865807521 589462731 /nfs/dbraw/zinc/46/27/31/589462731.db2.gz TWTJIBUSMCOWPZ-HNNXBMFYSA-N 0 3 242.322 2.556 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1ccc(C(C)(C)C)o1 ZINC000865815437 589462817 /nfs/dbraw/zinc/46/28/17/589462817.db2.gz YSGLBSNUCRNYRA-CMPLNLGQSA-N 0 3 237.343 2.844 20 0 BFADHN C[C@@H](NCc1noc2cc(F)ccc12)C1CC1 ZINC000865836811 589464056 /nfs/dbraw/zinc/46/40/56/589464056.db2.gz SWZNCTGVMSBCDC-MRVPVSSYSA-N 0 3 234.274 2.855 20 0 BFADHN Cc1sccc1CN[C@]12CCC[C@H]1OCC2 ZINC000865858097 589465268 /nfs/dbraw/zinc/46/52/68/589465268.db2.gz ATMOKCVJVXUKFN-OLZOCXBDSA-N 0 3 237.368 2.858 20 0 BFADHN Cc1ccoc1CNCC[C@@H](O)C1CCCC1 ZINC000865875310 589466578 /nfs/dbraw/zinc/46/65/78/589466578.db2.gz NQZUMBBADVZXIR-CYBMUJFWSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC[C@@H]2O)c(C)o1 ZINC000120911443 589476593 /nfs/dbraw/zinc/47/65/93/589476593.db2.gz VMVLADNRBYMLHV-OCCSQVGLSA-N 0 3 237.343 2.537 20 0 BFADHN CCc1cc(N2CC[C@@H]3CSC[C@@H]3C2)ccn1 ZINC000866202247 589479872 /nfs/dbraw/zinc/47/98/72/589479872.db2.gz TVMUVEOKOUJBSF-NEPJUHHUSA-N 0 3 248.395 2.833 20 0 BFADHN COCCN[C@@H]1c2cc(F)ccc2CC[C@@H]1C ZINC000866214419 589480881 /nfs/dbraw/zinc/48/08/81/589480881.db2.gz OKCRYMMONJLAEM-HZMBPMFUSA-N 0 3 237.318 2.685 20 0 BFADHN CC(C)[C@@H](NC[C@@]1(C)CCCO1)c1ccccn1 ZINC000866282038 589484054 /nfs/dbraw/zinc/48/40/54/589484054.db2.gz WHMCWTPDAOAILZ-HUUCEWRRSA-N 0 3 248.370 2.937 20 0 BFADHN CO[C@H]1CCCC[C@@H]1NCc1cc(C)oc1C ZINC000121021405 589487175 /nfs/dbraw/zinc/48/71/75/589487175.db2.gz ZOTTVHUBQSHLOF-KBPBESRZSA-N 0 3 237.343 2.944 20 0 BFADHN CC(C)[C@H](NC[C@H](O)C1CCC1)c1ccccn1 ZINC000866369563 589488579 /nfs/dbraw/zinc/48/85/79/589488579.db2.gz MGHIZOKBHLMQJU-GJZGRUSLSA-N 0 3 248.370 2.529 20 0 BFADHN CC(C)[C@@H](N[C@@H](C)C1(CO)CC1)c1ccccn1 ZINC000866388236 589489379 /nfs/dbraw/zinc/48/93/79/589489379.db2.gz DSBMHPMSLWCOAN-GXTWGEPZSA-N 0 3 248.370 2.529 20 0 BFADHN C1=C[C@@H](N[C@H]2CCCc3ncccc32)CC1 ZINC000866389423 589489427 /nfs/dbraw/zinc/48/94/27/589489427.db2.gz SJTIFTSRPDUXLT-RISCZKNCSA-N 0 3 214.312 2.767 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)o1 ZINC000866448029 589493306 /nfs/dbraw/zinc/49/33/06/589493306.db2.gz RVJSLOONCSPOSG-SFJACZDSSA-N 0 3 247.338 2.662 20 0 BFADHN COC1([C@@H](C)N[C@@H]2CCCC[C@@H]2F)CCC1 ZINC000866451443 589494306 /nfs/dbraw/zinc/49/43/06/589494306.db2.gz KUKVNBOBFAWARF-GRYCIOLGSA-N 0 3 229.339 2.814 20 0 BFADHN Cc1ccc(CN[C@H]2CCC23CCOCC3)o1 ZINC000866477651 589495682 /nfs/dbraw/zinc/49/56/82/589495682.db2.gz CWZDNPIFEXXUAI-ZDUSSCGKSA-N 0 3 235.327 2.637 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2cncs2)C1 ZINC000866486750 589496186 /nfs/dbraw/zinc/49/61/86/589496186.db2.gz PNGGALULYVLDEG-IWSPIJDZSA-N 0 3 228.386 2.688 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2ccccn2)C1 ZINC000866486600 589496218 /nfs/dbraw/zinc/49/62/18/589496218.db2.gz LWZUACMTOZSPND-DCAQKATOSA-N 0 3 222.357 2.626 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2cnccc2C)C1 ZINC000866486873 589496586 /nfs/dbraw/zinc/49/65/86/589496586.db2.gz QXXHRCIQYLCCRD-SRVKXCTJSA-N 0 3 236.384 2.935 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1nn(C)cc1C(F)F ZINC000866563473 589500475 /nfs/dbraw/zinc/50/04/75/589500475.db2.gz MNXCJFYMUAEBMR-RKDXNWHRSA-N 0 3 245.317 2.882 20 0 BFADHN C[C@H](CC1CC1)NCc1nn(C)cc1C(F)F ZINC000866567188 589500635 /nfs/dbraw/zinc/50/06/35/589500635.db2.gz MGZDKHZVDOLNJK-MRVPVSSYSA-N 0 3 243.301 2.636 20 0 BFADHN CC(C)(C)c1ccc(CN[C@@H]2CCCOC2)o1 ZINC000866574748 589501401 /nfs/dbraw/zinc/50/14/01/589501401.db2.gz YCVTVIBCLNPSTP-LLVKDONJSA-N 0 3 237.343 2.846 20 0 BFADHN CC[C@H](C[C@@H](C)O)N[C@H](C)c1cnc(C)s1 ZINC000866634670 589506134 /nfs/dbraw/zinc/50/61/34/589506134.db2.gz IEVIYJPPCWNGFQ-FXPVBKGRSA-N 0 3 242.388 2.652 20 0 BFADHN C[C@@H](NCC[C@H](O)C1CCCC1)c1ccco1 ZINC000866636763 589506542 /nfs/dbraw/zinc/50/65/42/589506542.db2.gz OQOKOANXHWQAMO-YPMHNXCESA-N 0 3 237.343 2.871 20 0 BFADHN CC(C)(C)C(=O)N1c2ccccc2[C@@H](N)C1(C)C ZINC000867991020 589542587 /nfs/dbraw/zinc/54/25/87/589542587.db2.gz JFGWKJWLUVGBFQ-GFCCVEGCSA-N 0 3 246.354 2.858 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1C[C@H](O)c1ccccc1F ZINC000123181082 589671672 /nfs/dbraw/zinc/67/16/72/589671672.db2.gz IVENYRLIANYXDF-GYSYKLTISA-N 0 3 237.318 2.732 20 0 BFADHN CCCC[C@](C)(F)C(=O)O[C@H](CC)CN(C)C ZINC000870548993 589673610 /nfs/dbraw/zinc/67/36/10/589673610.db2.gz SQDONCDASRBVEW-YPMHNXCESA-N 0 3 247.354 2.788 20 0 BFADHN CCCC[C@@](C)(F)C(=O)O[C@H](CC)CN(C)C ZINC000870548991 589673643 /nfs/dbraw/zinc/67/36/43/589673643.db2.gz SQDONCDASRBVEW-DGCLKSJQSA-N 0 3 247.354 2.788 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)CCc1ccccc1 ZINC000870552479 589674753 /nfs/dbraw/zinc/67/47/53/589674753.db2.gz RUBYHDILDKQTGV-CQSZACIVSA-N 0 3 249.354 2.503 20 0 BFADHN CO[C@H]1C[C@@H](COc2cc(C)nc(C)c2C)C1 ZINC000871752615 589805097 /nfs/dbraw/zinc/80/50/97/589805097.db2.gz CRHMZYKVSWYVNV-BETUJISGSA-N 0 3 235.327 2.811 20 0 BFADHN C[C@H](NCCC[C@@H]1C=CCC1)c1cnccn1 ZINC000871932258 589833903 /nfs/dbraw/zinc/83/39/03/589833903.db2.gz SNXQGWPQFHXMEO-QWHCGFSZSA-N 0 3 231.343 2.874 20 0 BFADHN CC[C@H](C)[NH2+][C@H](C)c1cccc([O-])c1F ZINC000872016490 589855865 /nfs/dbraw/zinc/85/58/65/589855865.db2.gz KAKICOZAKXMIBM-DTWKUNHWSA-N 0 3 211.280 2.980 20 0 BFADHN CC[C@@H](CCC(F)(F)F)NCc1ccon1 ZINC000872041269 589859278 /nfs/dbraw/zinc/85/92/78/589859278.db2.gz ROWKDCQSRIKIRX-QMMMGPOBSA-N 0 3 236.237 2.885 20 0 BFADHN C[C@@H]([NH2+]CCC1CC1)c1cccc([O-])c1F ZINC000872047911 589860422 /nfs/dbraw/zinc/86/04/22/589860422.db2.gz GSXRCAXSGFXNRU-SECBINFHSA-N 0 3 223.291 2.982 20 0 BFADHN C[C@H]([NH2+]CC1(C)CC1)c1cccc([O-])c1F ZINC000872050281 589860663 /nfs/dbraw/zinc/86/06/63/589860663.db2.gz YAJOGMMBFDCRKN-VIFPVBQESA-N 0 3 223.291 2.982 20 0 BFADHN C[C@@H]([NH2+]C1CC(C)C1)c1cccc([O-])c1F ZINC000872047155 589860808 /nfs/dbraw/zinc/86/08/08/589860808.db2.gz BTCNTSNMIPXBNN-HWOCKDDLSA-N 0 3 223.291 2.980 20 0 BFADHN CC[C@@H](CCC(F)(F)F)NCc1ccn(C)n1 ZINC000872054401 589862036 /nfs/dbraw/zinc/86/20/36/589862036.db2.gz VJWCNQGYYWWQEA-VIFPVBQESA-N 0 3 249.280 2.631 20 0 BFADHN CC[C@H](CCC(F)(F)F)NCc1ccn(C)n1 ZINC000872054400 589862071 /nfs/dbraw/zinc/86/20/71/589862071.db2.gz VJWCNQGYYWWQEA-SECBINFHSA-N 0 3 249.280 2.631 20 0 BFADHN C[C@@H](F)CC[NH2+][C@@H](C)c1cccc([O-])c1F ZINC000872072107 589862681 /nfs/dbraw/zinc/86/26/81/589862681.db2.gz FCOBWXGMCJYXNJ-BDAKNGLRSA-N 0 3 229.270 2.930 20 0 BFADHN FCCN[C@H]1CCCCc2ccccc2C1 ZINC000872069252 589864145 /nfs/dbraw/zinc/86/41/45/589864145.db2.gz NVLVOPYAANQEBN-AWEZNQCLSA-N 0 3 221.319 2.883 20 0 BFADHN C[C@@H](F)CCN[C@H](C)c1cc(O)ccc1F ZINC000872072038 589864443 /nfs/dbraw/zinc/86/44/43/589864443.db2.gz CGGQJQFMQVUNLD-RKDXNWHRSA-N 0 3 229.270 2.930 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1cc(O)ccc1F ZINC000872082245 589866359 /nfs/dbraw/zinc/86/63/59/589866359.db2.gz SNXPQOJEAGAULK-CHWFTXMASA-N 0 3 235.302 2.980 20 0 BFADHN CC[C@@H](NC[C@@H]1CC(C)(C)CO1)c1ccncc1 ZINC000872106251 589872819 /nfs/dbraw/zinc/87/28/19/589872819.db2.gz QJTMGJXAMUZGCM-UONOGXRCSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CCC12CCC2 ZINC000872131954 589877234 /nfs/dbraw/zinc/87/72/34/589877234.db2.gz AVYIWYKHAWCLBJ-RYUDHWBXSA-N 0 3 231.343 2.768 20 0 BFADHN Cc1cc(F)ccc1[C@@H](O)CNCC(C)(C)F ZINC000872217091 589895770 /nfs/dbraw/zinc/89/57/70/589895770.db2.gz MWUQKGUUSISZNH-LBPRGKRZSA-N 0 3 243.297 2.505 20 0 BFADHN CC(C)CC[C@@H](O)CN[C@@H]1CCCC[C@@H]1F ZINC000872217953 589896009 /nfs/dbraw/zinc/89/60/09/589896009.db2.gz DBRMFYZSHJFOLU-FRRDWIJNSA-N 0 3 231.355 2.654 20 0 BFADHN CC(C)CC[C@H](O)CN[C@@H]1CCCC[C@@H]1F ZINC000872217955 589896235 /nfs/dbraw/zinc/89/62/35/589896235.db2.gz DBRMFYZSHJFOLU-RWMBFGLXSA-N 0 3 231.355 2.654 20 0 BFADHN CCCCCCN1CCO[C@@H](C(F)F)CC1 ZINC000872268684 589902902 /nfs/dbraw/zinc/90/29/02/589902902.db2.gz IHVGIKMOUNJHCX-LLVKDONJSA-N 0 3 235.318 2.923 20 0 BFADHN CC/C=C/CCN1CCN(C)c2ncccc2C1 ZINC000872320070 589911693 /nfs/dbraw/zinc/91/16/93/589911693.db2.gz YUCPXWWDVURNOO-SNAWJCMRSA-N 0 3 245.370 2.690 20 0 BFADHN C[C@@H](CF)NCc1cccc2ncccc12 ZINC000873128332 589985467 /nfs/dbraw/zinc/98/54/67/589985467.db2.gz KQFFEJXQDIOKIV-JTQLQIEISA-N 0 3 218.275 2.682 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CCC[C@H]2C=CCC2)n1 ZINC000873153856 589987457 /nfs/dbraw/zinc/98/74/57/589987457.db2.gz JQOBJAZUTSYWHZ-ZDUSSCGKSA-N 0 3 246.354 2.932 20 0 BFADHN CCn1ccnc1CNCCC[C@@H]1C=CCC1 ZINC000873154072 589987589 /nfs/dbraw/zinc/98/75/89/589987589.db2.gz QMINNNLRQYNZLX-CYBMUJFWSA-N 0 3 233.359 2.739 20 0 BFADHN c1csc(CNCCC[C@@H]2C=CCC2)n1 ZINC000873153612 589987610 /nfs/dbraw/zinc/98/76/10/589987610.db2.gz ARQIGYGYHYVADX-LLVKDONJSA-N 0 3 222.357 2.979 20 0 BFADHN Cc1cc(CNCCC[C@H]2C=CCC2)n(C)n1 ZINC000873154443 589988525 /nfs/dbraw/zinc/98/85/25/589988525.db2.gz WXMKJYGKQALXIW-ZDUSSCGKSA-N 0 3 233.359 2.565 20 0 BFADHN CC[C@@H](NCc1ccoc1)[C@H](O)c1ccccc1 ZINC000873194923 589991281 /nfs/dbraw/zinc/99/12/81/589991281.db2.gz WPVDLAKUSHGUSR-HUUCEWRRSA-N 0 3 245.322 2.881 20 0 BFADHN CCC[C@@H](C)CN1CCOc2cc(N)ccc2C1 ZINC000873220834 589998669 /nfs/dbraw/zinc/99/86/69/589998669.db2.gz YTYWYJAWIGTLHE-GFCCVEGCSA-N 0 3 248.370 2.899 20 0 BFADHN CCC[C@H](C)CN1CCOc2cc(N)ccc2C1 ZINC000873220835 589998719 /nfs/dbraw/zinc/99/87/19/589998719.db2.gz YTYWYJAWIGTLHE-LBPRGKRZSA-N 0 3 248.370 2.899 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)C1CCC=CCC1 ZINC000873550315 590056757 /nfs/dbraw/zinc/05/67/57/590056757.db2.gz GYWODYSAQUCTLB-CYBMUJFWSA-N 0 3 239.359 2.616 20 0 BFADHN Cc1ccc(NC(=O)[C@H]2C[C@@H](C)CCN2)c(C)c1 ZINC000125991284 590202652 /nfs/dbraw/zinc/20/26/52/590202652.db2.gz GZYYGNYVACDYLI-SMDDNHRTSA-N 0 3 246.354 2.630 20 0 BFADHN C[C@@H]1CCCN(Cc2cc3c(cc[nH]c3=O)o2)C1 ZINC000876525614 590208238 /nfs/dbraw/zinc/20/82/38/590208238.db2.gz LSFZADMAEFGHAO-SNVBAGLBSA-N 0 3 246.310 2.765 20 0 BFADHN CCC[C@H](CC)C(=O)NC[C@H](N)c1ccccc1 ZINC000876526419 590208314 /nfs/dbraw/zinc/20/83/14/590208314.db2.gz YXHNNDZPZTWOCB-JSGCOSHPSA-N 0 3 248.370 2.629 20 0 BFADHN CCN(Cc1cnc(CCOC)s1)C(C)C ZINC000876561249 590215483 /nfs/dbraw/zinc/21/54/83/590215483.db2.gz OWXSIZXCBZDJTH-UHFFFAOYSA-N 0 3 242.388 2.562 20 0 BFADHN CCN(C)Cc1cnc2c(F)cccc2c1 ZINC000876561265 590215968 /nfs/dbraw/zinc/21/59/68/590215968.db2.gz PQJUTQBVLRMQOM-UHFFFAOYSA-N 0 3 218.275 2.826 20 0 BFADHN CCN(Cc1cncc(OC)c1C)C(C)C ZINC000876562559 590216126 /nfs/dbraw/zinc/21/61/26/590216126.db2.gz ZIWMOSYVYBRBHK-UHFFFAOYSA-N 0 3 222.332 2.629 20 0 BFADHN CCN(Cc1cnn(C)c1)CC1(C)CCCC1 ZINC000876635118 590223964 /nfs/dbraw/zinc/22/39/64/590223964.db2.gz MQHGRHJCEHEEDS-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN CC[C@H]1CCCN1Cc1cc2c(cc[nH]c2=O)o1 ZINC000876633966 590224459 /nfs/dbraw/zinc/22/44/59/590224459.db2.gz ZAGGQLMTGLQSMZ-JTQLQIEISA-N 0 3 246.310 2.908 20 0 BFADHN CCCn1cc(CN(CC)[C@H](C)C(C)C)nn1 ZINC000876643564 590225653 /nfs/dbraw/zinc/22/56/53/590225653.db2.gz GQGMJVAYQIHQSE-GFCCVEGCSA-N 0 3 238.379 2.555 20 0 BFADHN C1CN[C@H](c2nc(C3CCCCC3)no2)C1 ZINC000021813552 590235498 /nfs/dbraw/zinc/23/54/98/590235498.db2.gz FYNNUNVZSDQMPV-JTQLQIEISA-N 0 3 221.304 2.542 20 0 BFADHN CC1(C)CCN1Cc1ccc2nccnc2c1 ZINC000876744001 590236677 /nfs/dbraw/zinc/23/66/77/590236677.db2.gz PPSYKUDSRRKOGK-UHFFFAOYSA-N 0 3 227.311 2.614 20 0 BFADHN C[C@H]1CN(Cc2cc3c(cc[nH]c3=O)o2)C[C@@H]1C ZINC000876747561 590237149 /nfs/dbraw/zinc/23/71/49/590237149.db2.gz GYIWIPQKYMDXJU-UWVGGRQHSA-N 0 3 246.310 2.621 20 0 BFADHN CC[C@@H]1CCN(Cc2cc3c(cc[nH]c3=O)o2)C1 ZINC000876807084 590245010 /nfs/dbraw/zinc/24/50/10/590245010.db2.gz YNHDQTMWAIDWMH-SNVBAGLBSA-N 0 3 246.310 2.765 20 0 BFADHN CC(C)[C@H]1CCN1C[C@H]1CC1(Cl)Cl ZINC000876880106 590252856 /nfs/dbraw/zinc/25/28/56/590252856.db2.gz ZOXXKNWCLCCTTK-RKDXNWHRSA-N 0 3 222.159 2.911 20 0 BFADHN C[C@@H]1CCCC[C@H]1NCc1ccc(Cl)nn1 ZINC000876895189 590255196 /nfs/dbraw/zinc/25/51/96/590255196.db2.gz WNQNHORLVSLPRA-MWLCHTKSSA-N 0 3 239.750 2.798 20 0 BFADHN CCC(CC)CNCc1ccc(Cl)nn1 ZINC000876915672 590256411 /nfs/dbraw/zinc/25/64/11/590256411.db2.gz XLXZGPFXFBCBRO-UHFFFAOYSA-N 0 3 227.739 2.656 20 0 BFADHN C[C@@H](Cc1ccco1)NCc1cc(C2CC2)no1 ZINC000876917203 590256997 /nfs/dbraw/zinc/25/69/97/590256997.db2.gz OUJRMHWVLKVOGG-JTQLQIEISA-N 0 3 246.310 2.866 20 0 BFADHN ClC1(Cl)C[C@@H]1CNCc1ccoc1 ZINC000876961144 590261679 /nfs/dbraw/zinc/26/16/79/590261679.db2.gz CCCHJMJIGQZPGP-MRVPVSSYSA-N 0 3 220.099 2.563 20 0 BFADHN COc1cnc(F)c(CN[C@H]2CCC[C@H]2C)c1 ZINC000877025584 590271088 /nfs/dbraw/zinc/27/10/88/590271088.db2.gz PBGLVTNBJGZJNL-SKDRFNHKSA-N 0 3 238.306 2.508 20 0 BFADHN C[C@H](CNCc1ccc(Cl)nn1)C(C)(C)C ZINC000877042250 590273431 /nfs/dbraw/zinc/27/34/31/590273431.db2.gz NRHHXHISXQZFHH-SECBINFHSA-N 0 3 241.766 2.902 20 0 BFADHN C[C@@H](NCc1ccc(Cl)nn1)C1CCCC1 ZINC000877047156 590274454 /nfs/dbraw/zinc/27/44/54/590274454.db2.gz YHIRJJAJXAZBDB-SECBINFHSA-N 0 3 239.750 2.798 20 0 BFADHN Cc1cccc(F)c1CNCCC[C@H]1CCO1 ZINC000877069034 590277346 /nfs/dbraw/zinc/27/73/46/590277346.db2.gz WCILQUOHERVMGF-LBPRGKRZSA-N 0 3 237.318 2.793 20 0 BFADHN CC(C)C1(CNCc2ccc(Cl)nn2)CC1 ZINC000877076690 590278841 /nfs/dbraw/zinc/27/88/41/590278841.db2.gz PAHWGTHRLNXWLH-UHFFFAOYSA-N 0 3 239.750 2.656 20 0 BFADHN COc1ccc(CNC[C@H]2CCCCO2)c(C)c1 ZINC000877110363 590286838 /nfs/dbraw/zinc/28/68/38/590286838.db2.gz RTVFKYQZBDRKAW-OAHLLOKOSA-N 0 3 249.354 2.662 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@H](C)c1ccc[nH]1)OC ZINC000877124603 590289381 /nfs/dbraw/zinc/28/93/81/590289381.db2.gz OXKRZGDAGLCMIX-DMDPSCGWSA-N 0 3 224.348 2.726 20 0 BFADHN C[C@H](NC[C@@H]1CC1(Cl)Cl)c1ccc[nH]1 ZINC000877125109 590289490 /nfs/dbraw/zinc/28/94/90/590289490.db2.gz XZKCDULWASYATB-YUMQZZPRSA-N 0 3 233.142 2.859 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CC1(F)F)c1ccc[nH]1 ZINC000877124889 590289725 /nfs/dbraw/zinc/28/97/25/590289725.db2.gz SJVXEDSCBJUHFR-XHNCKOQMSA-N 0 3 214.259 2.709 20 0 BFADHN COc1ncc(CNC23CCC(CC2)C3)s1 ZINC000877308871 590311266 /nfs/dbraw/zinc/31/12/66/590311266.db2.gz DCIGFSVICHYPQV-UHFFFAOYSA-N 0 3 238.356 2.574 20 0 BFADHN CC(C)=CCCNCc1ccc(Cl)nn1 ZINC000877472003 590335178 /nfs/dbraw/zinc/33/51/78/590335178.db2.gz HDZKXEICLLIGTA-UHFFFAOYSA-N 0 3 225.723 2.576 20 0 BFADHN Cc1nocc1CN[C@@H]1CC[C@@H]1C(C)C ZINC000877564105 590359897 /nfs/dbraw/zinc/35/98/97/590359897.db2.gz YKBHPMSEFQNDFG-VXGBXAGGSA-N 0 3 208.305 2.507 20 0 BFADHN CSC(C)(C)CCN1CCC[C@@H](F)C1 ZINC000877617069 590374656 /nfs/dbraw/zinc/37/46/56/590374656.db2.gz RUMKIHHQXIDXIO-SNVBAGLBSA-N 0 3 219.369 2.952 20 0 BFADHN Cn1c(CN[C@H]2C=CCC2)cc2ccccc21 ZINC000877624903 590376905 /nfs/dbraw/zinc/37/69/05/590376905.db2.gz UNODZXCDLNUGBF-ZDUSSCGKSA-N 0 3 226.323 2.987 20 0 BFADHN c1cc(OCC2CC2)ccc1CNC1CSC1 ZINC000877634421 590379990 /nfs/dbraw/zinc/37/99/90/590379990.db2.gz JUQQJCCSDHNFCG-UHFFFAOYSA-N 0 3 249.379 2.680 20 0 BFADHN CCn1nccc1CNCCC(C)(C)SC ZINC000877644630 590382533 /nfs/dbraw/zinc/38/25/33/590382533.db2.gz XOHFVBAEISCLRA-UHFFFAOYSA-N 0 3 241.404 2.524 20 0 BFADHN Cc1c(Cl)cccc1CN(C)C1(CO)CC1 ZINC000877641916 590383093 /nfs/dbraw/zinc/38/30/93/590383093.db2.gz KZUNCXKUDPORDR-UHFFFAOYSA-N 0 3 239.746 2.605 20 0 BFADHN ClC1(Cl)C[C@H]1CN1CC(CC2CC2)C1 ZINC000877646778 590383847 /nfs/dbraw/zinc/38/38/47/590383847.db2.gz NVVKBLQLNQHIQQ-JTQLQIEISA-N 0 3 234.170 2.912 20 0 BFADHN Cc1ncccc1CNC[C@H]1CC1(Cl)Cl ZINC000877655859 590385799 /nfs/dbraw/zinc/38/57/99/590385799.db2.gz NBSSCZKCOFUNSA-SNVBAGLBSA-N 0 3 245.153 2.673 20 0 BFADHN CC(C)(F)CNC[C@H]1CC1(Cl)Cl ZINC000877663828 590388444 /nfs/dbraw/zinc/38/84/44/590388444.db2.gz ZWJUYGDGAWRBCL-ZCFIWIBFSA-N 0 3 214.111 2.518 20 0 BFADHN Fc1cc(CN[C@H]2CCCC23CC3)c(F)cn1 ZINC000877663643 590388570 /nfs/dbraw/zinc/38/85/70/590388570.db2.gz DQZQHAFDCFNOSI-NSHDSACASA-N 0 3 238.281 2.782 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@@H]1CCCC[C@@H]1F)OC ZINC000877681745 590389936 /nfs/dbraw/zinc/38/99/36/590389936.db2.gz BVVNHQVUEVFERD-WUHRBBMRSA-N 0 3 231.355 2.918 20 0 BFADHN CC[C@H](C)[C@H](CN[C@@H]1CCCC[C@@H]1F)OC ZINC000877681744 590390126 /nfs/dbraw/zinc/39/01/26/590390126.db2.gz BVVNHQVUEVFERD-RVMXOQNASA-N 0 3 231.355 2.918 20 0 BFADHN FCCC1CCN(Cc2ccoc2)CC1 ZINC000877674226 590390293 /nfs/dbraw/zinc/39/02/93/590390293.db2.gz ODXMNJBTVHJMMH-UHFFFAOYSA-N 0 3 211.280 2.851 20 0 BFADHN CC[C@@]1(O)CCCN(Cc2cc(C)oc2C)C1 ZINC000877691737 590392797 /nfs/dbraw/zinc/39/27/97/590392797.db2.gz UTNWEHRRFUXRFV-CQSZACIVSA-N 0 3 237.343 2.633 20 0 BFADHN CCC[C@@H](C)CNCc1cc(C(=O)OC)co1 ZINC000877699475 590394006 /nfs/dbraw/zinc/39/40/06/590394006.db2.gz MHRMTEKIBHXNKO-SNVBAGLBSA-N 0 3 239.315 2.592 20 0 BFADHN COC[C@@H](C)CNCc1ccc(C(C)(C)C)o1 ZINC000877734950 590403719 /nfs/dbraw/zinc/40/37/19/590403719.db2.gz LAOHQYWQTDROMA-NSHDSACASA-N 0 3 239.359 2.949 20 0 BFADHN Cc1ccc(CN2CC[C@H]3CSC[C@H]3C2)nc1 ZINC000877737100 590405504 /nfs/dbraw/zinc/40/55/04/590405504.db2.gz CRJGMDWQVXDMKV-QWHCGFSZSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1ccncc1CN1CC[C@H]2CSC[C@@H]2C1 ZINC000877738532 590407364 /nfs/dbraw/zinc/40/73/64/590407364.db2.gz OKNVMKSXJQMZHU-JSGCOSHPSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1cc(CN2C[C@@H](O)CC(C)(C)C2)cs1 ZINC000877752807 590416291 /nfs/dbraw/zinc/41/62/91/590416291.db2.gz SRSDIXHANZZFML-LBPRGKRZSA-N 0 3 239.384 2.649 20 0 BFADHN CSC(C)(C)CCN[C@H](C)c1ccnn1C ZINC000877763447 590419348 /nfs/dbraw/zinc/41/93/48/590419348.db2.gz YFBKBSCQNHOWHR-SNVBAGLBSA-N 0 3 241.404 2.602 20 0 BFADHN Cc1cn[nH]c1CN1CC([C@@H]2CCC[C@@H](C)C2)C1 ZINC000877764291 590420583 /nfs/dbraw/zinc/42/05/83/590420583.db2.gz ZFKIUTFDGGOVSU-DGCLKSJQSA-N 0 3 247.386 2.976 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1noc2c1CC(C)(C)CC2 ZINC000877809933 590426189 /nfs/dbraw/zinc/42/61/89/590426189.db2.gz KAYOSUDCYQGYET-MNOVXSKESA-N 0 3 248.370 2.935 20 0 BFADHN C[C@@H]1CN(CC2CC3(CCC3)C2)[C@@H](C)CO1 ZINC000877920558 590447538 /nfs/dbraw/zinc/44/75/38/590447538.db2.gz QLAXDTOFSJGNRF-NWDGAFQWSA-N 0 3 223.360 2.676 20 0 BFADHN CC[C@H](C(=O)Nc1ccc(C)cc1)N1CCCC1 ZINC000877935774 590452417 /nfs/dbraw/zinc/45/24/17/590452417.db2.gz VPVPXPLBLZWBIY-CQSZACIVSA-N 0 3 246.354 2.808 20 0 BFADHN COCCN(CCCC1CC1)Cc1ccco1 ZINC000877957764 590457829 /nfs/dbraw/zinc/45/78/29/590457829.db2.gz NWSPJZICOKPQSF-UHFFFAOYSA-N 0 3 237.343 2.918 20 0 BFADHN CSCCN[C@H](C)c1ccc(Cl)nc1 ZINC000126813754 590460689 /nfs/dbraw/zinc/46/06/89/590460689.db2.gz NMOFOKBSTDMXEV-MRVPVSSYSA-N 0 3 230.764 2.749 20 0 BFADHN Cc1conc1CN(CC(C)C)C(C)C ZINC000877966911 590461232 /nfs/dbraw/zinc/46/12/32/590461232.db2.gz ZGAOECHFFYOFLY-UHFFFAOYSA-N 0 3 210.321 2.849 20 0 BFADHN C(C1CC1)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC000877975250 590464247 /nfs/dbraw/zinc/46/42/47/590464247.db2.gz HZYCTSKGZGUFPR-UHFFFAOYSA-N 0 3 248.414 2.594 20 0 BFADHN Cc1conc1CN1CCC[C@H]1C(C)C ZINC000877996456 590468511 /nfs/dbraw/zinc/46/85/11/590468511.db2.gz SNIXGGAEVRLNOP-LBPRGKRZSA-N 0 3 208.305 2.603 20 0 BFADHN COCCCN(C)Cc1ccc(C)c(Cl)n1 ZINC000877997940 590468903 /nfs/dbraw/zinc/46/89/03/590468903.db2.gz DRBOUUVANBMSQU-UHFFFAOYSA-N 0 3 242.750 2.512 20 0 BFADHN CN(CCCSC(C)(C)C)[C@H]1CCOC1 ZINC000878084631 590489450 /nfs/dbraw/zinc/48/94/50/590489450.db2.gz WGTFKERONNRXFR-NSHDSACASA-N 0 3 231.405 2.629 20 0 BFADHN c1cnc(CCN2CCC3(CCCC3)CC2)cn1 ZINC000878122105 590498823 /nfs/dbraw/zinc/49/88/23/590498823.db2.gz SFGZQRREVCEBRZ-UHFFFAOYSA-N 0 3 245.370 2.675 20 0 BFADHN Cc1conc1CN1CCCC(C)(C)CC1 ZINC000878131982 590503997 /nfs/dbraw/zinc/50/39/97/590503997.db2.gz GYNGXXOBRKYOMH-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1conc1CN1C[C@H](C)CC1(C)C ZINC000878158239 590508627 /nfs/dbraw/zinc/50/86/27/590508627.db2.gz CCKKXMFYXXAKGM-SECBINFHSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1nocc1C ZINC000878155347 590509554 /nfs/dbraw/zinc/50/95/54/590509554.db2.gz OKHKOEVGBGBLRE-ONGXEEELSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cc2ccco2)nc1 ZINC000126964802 590525255 /nfs/dbraw/zinc/52/52/55/590525255.db2.gz VGRWWNZAYVIIII-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN CN(CCCSC(C)(C)C)Cc1cn[nH]c1 ZINC000878263222 590530526 /nfs/dbraw/zinc/53/05/26/590530526.db2.gz BGFYWQWKMFLMAF-UHFFFAOYSA-N 0 3 241.404 2.763 20 0 BFADHN C=C/C=C\CCN(C)C1(C(=O)OC)CCCC1 ZINC000878273936 590532656 /nfs/dbraw/zinc/53/26/56/590532656.db2.gz TVYWKZSOAIFYTF-WAYWQWQTSA-N 0 3 237.343 2.536 20 0 BFADHN C[C@@H]1COCCCN1CC[C@@H]1CCCC1(F)F ZINC000878286722 590534076 /nfs/dbraw/zinc/53/40/76/590534076.db2.gz RZTLLTWBETXSCQ-NEPJUHHUSA-N 0 3 247.329 2.923 20 0 BFADHN C=C/C=C/CCN1CCO[C@H](CCC)C1 ZINC000878306635 590535915 /nfs/dbraw/zinc/53/59/15/590535915.db2.gz COFBADFASUTPNV-URWSZGRFSA-N 0 3 209.333 2.620 20 0 BFADHN CC1=CCN(C[C@H]2CCCC(C)(C)O2)CC1 ZINC000878300330 590537768 /nfs/dbraw/zinc/53/77/68/590537768.db2.gz GPWXOUUFDPZNPK-CYBMUJFWSA-N 0 3 223.360 2.986 20 0 BFADHN C[C@H]1CN(CCCSC(C)(C)C)CCN1C ZINC000878315941 590541993 /nfs/dbraw/zinc/54/19/93/590541993.db2.gz DPMVTPNCTPPVBN-LBPRGKRZSA-N 0 3 244.448 2.544 20 0 BFADHN COc1ccccc1[C@H](CO)NCCCC1CC1 ZINC000878397485 590560101 /nfs/dbraw/zinc/56/01/01/590560101.db2.gz UEFKHPAOQKBDRP-AWEZNQCLSA-N 0 3 249.354 2.508 20 0 BFADHN C=C/C=C\CCN[C@H](C)c1nnc2ccccn21 ZINC000878413716 590562967 /nfs/dbraw/zinc/56/29/67/590562967.db2.gz FTFIGRCHGSXZSB-QMAVJUDZSA-N 0 3 242.326 2.512 20 0 BFADHN CCN(C#N)CCN[C@@H](C)c1ccc(C)s1 ZINC000878416229 590563703 /nfs/dbraw/zinc/56/37/03/590563703.db2.gz DVNSDZUVGUFXCK-NSHDSACASA-N 0 3 237.372 2.510 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1nocc1C ZINC000878451982 590569761 /nfs/dbraw/zinc/56/97/61/590569761.db2.gz IGTKBSZADCOUFN-SKDRFNHKSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ccc2c(c1)CCN(CC[C@@H](O)C(C)C)C2 ZINC000878497498 590581046 /nfs/dbraw/zinc/58/10/46/590581046.db2.gz BJQJQUCZPMVRDX-MRXNPFEDSA-N 0 3 247.382 2.760 20 0 BFADHN Cc1ccc2c(c1)CCN(CC[C@H](O)C(C)C)C2 ZINC000878497497 590581111 /nfs/dbraw/zinc/58/11/11/590581111.db2.gz BJQJQUCZPMVRDX-INIZCTEOSA-N 0 3 247.382 2.760 20 0 BFADHN FC1(F)CCN(C[C@@H]2C[C@@H]3CCC[C@@H]3O2)CC1 ZINC000878541709 590589503 /nfs/dbraw/zinc/58/95/03/590589503.db2.gz FVKSHGALODGATA-SRVKXCTJSA-N 0 3 245.313 2.675 20 0 BFADHN Cc1ccc(CN(CCO)CCCC2CC2)o1 ZINC000878544571 590589773 /nfs/dbraw/zinc/58/97/73/590589773.db2.gz LBPAOPFPPXAWTB-UHFFFAOYSA-N 0 3 237.343 2.573 20 0 BFADHN CC1=CCCN(C[C@@H]2C[C@@H]3CCC[C@H]3O2)C1 ZINC000878548097 590590021 /nfs/dbraw/zinc/59/00/21/590590021.db2.gz GGIAEUCEQDEEHC-MELADBBJSA-N 0 3 221.344 2.596 20 0 BFADHN C[C@@H](NCC1CC2(CCC2)C1)c1ccn(C)n1 ZINC000878704610 590612343 /nfs/dbraw/zinc/61/23/43/590612343.db2.gz DCURTJGAWMXUKI-LLVKDONJSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1conc1CN1CC[C@@H](C2CCC2)C1 ZINC000878716941 590614849 /nfs/dbraw/zinc/61/48/49/590614849.db2.gz TUHUILVXQUGHGF-GFCCVEGCSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1ccccc1C1(NCC[C@H](O)C(C)C)CC1 ZINC000878759559 590619450 /nfs/dbraw/zinc/61/94/50/590619450.db2.gz CWNUJMOKMZZIQY-HNNXBMFYSA-N 0 3 247.382 2.981 20 0 BFADHN C=C/C=C/CCN[C@@H](CCO)c1ccccc1F ZINC000878765081 590619811 /nfs/dbraw/zinc/61/98/11/590619811.db2.gz WIUXMWXBMNLRHM-BWPKMQGJSA-N 0 3 249.329 2.971 20 0 BFADHN C=C/C=C\CCN[C@H](CCO)c1ccccc1F ZINC000878765080 590619951 /nfs/dbraw/zinc/61/99/51/590619951.db2.gz WIUXMWXBMNLRHM-ABCZVMIZSA-N 0 3 249.329 2.971 20 0 BFADHN C=C/C=C\CCN1C[C@@H](C)OC2(CCC2)C1 ZINC000878771017 590620226 /nfs/dbraw/zinc/62/02/26/590620226.db2.gz ZYCJXTVWCVBROS-DSYXLKISSA-N 0 3 221.344 2.762 20 0 BFADHN COC(C)(C)CCN1CCC=C(Cl)C1 ZINC000878791064 590623080 /nfs/dbraw/zinc/62/30/80/590623080.db2.gz CGRBEDMUGRRCGB-UHFFFAOYSA-N 0 3 217.740 2.630 20 0 BFADHN C=C/C=C/CCN1CC2(CCC2)OC[C@H]1C ZINC000878918223 590652485 /nfs/dbraw/zinc/65/24/85/590652485.db2.gz JIPUAFLAWQZOIK-MUBLQREKSA-N 0 3 221.344 2.762 20 0 BFADHN C=C/C=C/CCN1CCC(c2ccon2)CC1 ZINC000878994432 590672988 /nfs/dbraw/zinc/67/29/88/590672988.db2.gz QETBJWQEZBRHOW-ONEGZZNKSA-N 0 3 232.327 2.986 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@H]2CCCO2)c(C)o1 ZINC000127587029 590715952 /nfs/dbraw/zinc/71/59/52/590715952.db2.gz AVBMXJHMGBSYES-GXFFZTMASA-N 0 3 223.316 2.554 20 0 BFADHN CO[C@H]1CC[C@@H](C)N(Cc2cccc(F)c2)C1 ZINC000879318253 590738721 /nfs/dbraw/zinc/73/87/21/590738721.db2.gz RQHBVLCVDSGWIB-RISCZKNCSA-N 0 3 237.318 2.825 20 0 BFADHN CO[C@H]1CC[C@H](C)N(CCOc2ccccc2)C1 ZINC000879319814 590740570 /nfs/dbraw/zinc/74/05/70/590740570.db2.gz XZFVCRGBBFFQFM-ZFWWWQNUSA-N 0 3 249.354 2.565 20 0 BFADHN CO[C@@H]1CC[C@@H](C)N(CCOc2ccccc2)C1 ZINC000879319813 590740768 /nfs/dbraw/zinc/74/07/68/590740768.db2.gz XZFVCRGBBFFQFM-UKRRQHHQSA-N 0 3 249.354 2.565 20 0 BFADHN CO[C@@H]1CC[C@H](C)N(CCOc2ccccc2)C1 ZINC000879319811 590741234 /nfs/dbraw/zinc/74/12/34/590741234.db2.gz XZFVCRGBBFFQFM-DZGCQCFKSA-N 0 3 249.354 2.565 20 0 BFADHN CCc1ccc(CN2C[C@@H](OC)CC[C@@H]2C)nc1 ZINC000879325432 590746111 /nfs/dbraw/zinc/74/61/11/590746111.db2.gz NJFOVHODDOGWLI-WFASDCNBSA-N 0 3 248.370 2.643 20 0 BFADHN Cc1cncc(CNC(C)(C)[C@@H]2CCCCO2)c1 ZINC000879405470 590761671 /nfs/dbraw/zinc/76/16/71/590761671.db2.gz CIKWXHMXJTZBKN-AWEZNQCLSA-N 0 3 248.370 2.827 20 0 BFADHN CCN1CCC(c2nc(C3CCC3)no2)CC1 ZINC000879551571 590799578 /nfs/dbraw/zinc/79/95/78/590799578.db2.gz WFHLRIXBOUGISD-UHFFFAOYSA-N 0 3 235.331 2.536 20 0 BFADHN CCCCN1Cc2cccnc2N2CCC[C@H]2C1 ZINC000879690167 590850287 /nfs/dbraw/zinc/85/02/87/590850287.db2.gz JGVNNXAZZUQNEQ-AWEZNQCLSA-N 0 3 245.370 2.666 20 0 BFADHN CC(=O)CCN(C)Cc1ccc2cc[nH]c2c1 ZINC000879727518 590857441 /nfs/dbraw/zinc/85/74/41/590857441.db2.gz ILCQXNBPCILYRC-UHFFFAOYSA-N 0 3 230.311 2.579 20 0 BFADHN CCOCCCN1CCC[C@H]1c1cncc(C)c1 ZINC000879750041 590862186 /nfs/dbraw/zinc/86/21/86/590862186.db2.gz MASZQYACZFPSRP-HNNXBMFYSA-N 0 3 248.370 2.954 20 0 BFADHN Cc1cncc([C@@H]2CCCN2CCC[C@H](C)O)c1 ZINC000879751434 590862248 /nfs/dbraw/zinc/86/22/48/590862248.db2.gz IOXIHTPDKMHSKZ-ZFWWWQNUSA-N 0 3 248.370 2.688 20 0 BFADHN C[C@@H](c1ccncc1)N1CCC[C@H](C(C)(C)O)C1 ZINC000879961757 590899280 /nfs/dbraw/zinc/89/92/80/590899280.db2.gz HSWTTXSFQINPDP-JSGCOSHPSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC[C@H](C(C)(C)O)C1 ZINC000879963424 590899548 /nfs/dbraw/zinc/89/95/48/590899548.db2.gz WIPZTQPKFJDGAU-STQMWFEESA-N 0 3 248.370 2.626 20 0 BFADHN C[C@]1(C(F)(F)F)CCN(CCCF)C1 ZINC000880002309 590906156 /nfs/dbraw/zinc/90/61/56/590906156.db2.gz XNWZKVASVBJTII-QMMMGPOBSA-N 0 3 213.218 2.620 20 0 BFADHN CCC1(CC)CCCN1Cc1nonc1C ZINC000880094162 590924787 /nfs/dbraw/zinc/92/47/87/590924787.db2.gz VLOCRFGCWJGZGN-UHFFFAOYSA-N 0 3 223.320 2.533 20 0 BFADHN CCC1(CC)CCCN1Cc1cc(C)n(C)n1 ZINC000880093949 590925046 /nfs/dbraw/zinc/92/50/46/590925046.db2.gz QGMGECBKYMJZGE-UHFFFAOYSA-N 0 3 235.375 2.883 20 0 BFADHN COCC1(N(C)Cc2ccsc2)CCC1 ZINC000880184998 590952660 /nfs/dbraw/zinc/95/26/60/590952660.db2.gz ARXFZNKTFYPMKA-UHFFFAOYSA-N 0 3 225.357 2.749 20 0 BFADHN COCC1(N(C)Cc2ccc(OC)cc2)CCC1 ZINC000880186500 590953588 /nfs/dbraw/zinc/95/35/88/590953588.db2.gz ZGCPSSMYOJHBQM-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN C[C@H](c1ccncc1)N1CCC[C@H](CF)C1 ZINC000880231948 590962910 /nfs/dbraw/zinc/96/29/10/590962910.db2.gz BXZNBMXMGAEVDQ-VXGBXAGGSA-N 0 3 222.307 2.824 20 0 BFADHN FC[C@@H]1CCCN(CCC(F)(F)F)C1 ZINC000880232999 590964917 /nfs/dbraw/zinc/96/49/17/590964917.db2.gz SPFPBWFZTAAFLN-QMMMGPOBSA-N 0 3 213.218 2.620 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC[C@@H](CF)C1 ZINC000880233922 590965113 /nfs/dbraw/zinc/96/51/13/590965113.db2.gz BBLXTCKAQQWEIZ-RYUDHWBXSA-N 0 3 222.307 2.824 20 0 BFADHN FC1CCN(Cc2cccc3c2CCOC3)CC1 ZINC000880238623 590971116 /nfs/dbraw/zinc/97/11/16/590971116.db2.gz JDDYPKACMJPOJQ-UHFFFAOYSA-N 0 3 249.329 2.693 20 0 BFADHN CC1(C)CCC[C@@H](CN2CCC(F)CC2)O1 ZINC000880239126 590971808 /nfs/dbraw/zinc/97/18/08/590971808.db2.gz VVTJUQOKCXRVCJ-LBPRGKRZSA-N 0 3 229.339 2.768 20 0 BFADHN COc1ccc(CCN2CCC(F)CC2)cc1 ZINC000880243515 590976293 /nfs/dbraw/zinc/97/62/93/590976293.db2.gz LDPXFCTZZPUBJP-UHFFFAOYSA-N 0 3 237.318 2.672 20 0 BFADHN Fc1cccc(OCCN2CCC(F)CC2)c1 ZINC000880243459 590976362 /nfs/dbraw/zinc/97/63/62/590976362.db2.gz ZWIHWXQSRLMVCF-UHFFFAOYSA-N 0 3 241.281 2.638 20 0 BFADHN FC[C@H]1CCN(Cc2ccccc2F)C1 ZINC000880291049 590982544 /nfs/dbraw/zinc/98/25/44/590982544.db2.gz IERNGXAGMUHRPN-SNVBAGLBSA-N 0 3 211.255 2.617 20 0 BFADHN Cc1cccc(OCCN2CC[C@H](CF)C2)c1 ZINC000880291406 590985149 /nfs/dbraw/zinc/98/51/49/590985149.db2.gz FFRPWLFMQDURRU-CYBMUJFWSA-N 0 3 237.318 2.665 20 0 BFADHN Cc1cccc(OCCN2CC[C@@H](CF)C2)c1 ZINC000880291408 590985354 /nfs/dbraw/zinc/98/53/54/590985354.db2.gz FFRPWLFMQDURRU-ZDUSSCGKSA-N 0 3 237.318 2.665 20 0 BFADHN FC[C@H]1CCN(Cc2ccc3c(c2)COC3)C1 ZINC000880299912 590995591 /nfs/dbraw/zinc/99/55/91/590995591.db2.gz ZZXYVWKVIDYJDB-GFCCVEGCSA-N 0 3 235.302 2.508 20 0 BFADHN CO[C@]1(C(F)(F)F)CCN([C@H]2C=CCCC2)C1 ZINC000880303914 590999160 /nfs/dbraw/zinc/99/91/60/590999160.db2.gz STPJRKYOPDOSCK-WDEREUQCSA-N 0 3 249.276 2.748 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cccc(Cl)n2)C1 ZINC000128365352 591010428 /nfs/dbraw/zinc/01/04/28/591010428.db2.gz WUAVJSIPGZVQRQ-ZJUUUORDSA-N 0 3 224.735 2.965 20 0 BFADHN CCOCCN1CCc2ccsc2[C@@H]1C ZINC000880375962 591016846 /nfs/dbraw/zinc/01/68/46/591016846.db2.gz APZFNXUQQWQNRF-JTQLQIEISA-N 0 3 225.357 2.704 20 0 BFADHN CC(C)=CCN1CCC2(CCCCO2)CC1 ZINC000880454996 591049035 /nfs/dbraw/zinc/04/90/35/591049035.db2.gz RMQJPWUVICFCDW-UHFFFAOYSA-N 0 3 223.360 2.988 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@]23CCCO3)cn1 ZINC000880504649 591079807 /nfs/dbraw/zinc/07/98/07/591079807.db2.gz YASVOUZTCRHVJE-CABCVRRESA-N 0 3 246.354 2.581 20 0 BFADHN C[C@@H](CN1CCc2ncncc2C1)CC(C)(C)C ZINC000880598308 591108420 /nfs/dbraw/zinc/10/84/20/591108420.db2.gz NKWZNXIEQQNVEO-GFCCVEGCSA-N 0 3 247.386 2.907 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@@H]1CC[C@@H](C)O1 ZINC000128555019 591119758 /nfs/dbraw/zinc/11/97/58/591119758.db2.gz HLZVEYXLYGJEEB-UPJWGTAASA-N 0 3 249.354 2.913 20 0 BFADHN CC(C)[C@@H](N)c1cn(CC2CC3(CCC3)C2)nn1 ZINC000881426521 591203026 /nfs/dbraw/zinc/20/30/26/591203026.db2.gz JULNPTRCEFVYMD-CYBMUJFWSA-N 0 3 248.374 2.514 20 0 BFADHN CO[C@@H]1CCC[C@@H]1N[C@@H]1CCc2c1cccc2F ZINC000211902230 591275216 /nfs/dbraw/zinc/27/52/16/591275216.db2.gz GTEUCTBSZPANAW-QLFBSQMISA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H](SCCN(C)C)c1ccc(F)nc1 ZINC000882138356 591304775 /nfs/dbraw/zinc/30/47/75/591304775.db2.gz FSKPNUUODNCKFV-SECBINFHSA-N 0 3 228.336 2.577 20 0 BFADHN Cc1nc(CNCCC2CCC2)cs1 ZINC000130337663 591459138 /nfs/dbraw/zinc/45/91/38/591459138.db2.gz GJGVHSQGFPQBKN-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN CCC[C@@H](C)NCc1nc(C(C)C)c[nH]1 ZINC000882877253 591460305 /nfs/dbraw/zinc/46/03/05/591460305.db2.gz HGDAFLFKIXWTEH-SNVBAGLBSA-N 0 3 209.337 2.811 20 0 BFADHN CC(C)C[C@@H]1CCCCN1Cc1cncnc1 ZINC000130587266 591485643 /nfs/dbraw/zinc/48/56/43/591485643.db2.gz NHFZTMMTQSLDBT-AWEZNQCLSA-N 0 3 233.359 2.877 20 0 BFADHN CSCC[C@H](C)NCc1nc(C(C)C)c[nH]1 ZINC000883015628 591514803 /nfs/dbraw/zinc/51/48/03/591514803.db2.gz XIGRGHMKEAECRV-JTQLQIEISA-N 0 3 241.404 2.764 20 0 BFADHN CC(C)c1c[nH]c(CNCCC2CCC2)n1 ZINC000883037433 591522715 /nfs/dbraw/zinc/52/27/15/591522715.db2.gz XNYFLSULOHRYBU-UHFFFAOYSA-N 0 3 221.348 2.813 20 0 BFADHN CC(C)c1c[nH]c(CN[C@H](C)C2CCC2)n1 ZINC000883076914 591535592 /nfs/dbraw/zinc/53/55/92/591535592.db2.gz RBGDMGDAYDNFAW-SNVBAGLBSA-N 0 3 221.348 2.811 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2C[C@@H]3CC[C@@H](C3)C2)[n-]1 ZINC000883132801 591546894 /nfs/dbraw/zinc/54/68/94/591546894.db2.gz DZBMUKYABKBCPS-ZSBIGDGJSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2C[C@@H]3CC[C@@H](C3)C2)[nH]1 ZINC000883132801 591546896 /nfs/dbraw/zinc/54/68/96/591546896.db2.gz DZBMUKYABKBCPS-ZSBIGDGJSA-N 0 3 248.374 2.596 20 0 BFADHN COc1cccnc1CN[C@@H]1C[C@@H]2CC[C@@H](C2)C1 ZINC000883139222 591549077 /nfs/dbraw/zinc/54/90/77/591549077.db2.gz FRELCERBPHWPMA-CLLJXQQHSA-N 0 3 246.354 2.759 20 0 BFADHN CCc1nc(CN[C@@H]2C[C@@H]3CC[C@@H](C3)C2)co1 ZINC000883137211 591549101 /nfs/dbraw/zinc/54/91/01/591549101.db2.gz ZOWUXGMXUQRPPG-ZSBIGDGJSA-N 0 3 234.343 2.905 20 0 BFADHN COc1cccnc1CN[C@H]1C[C@@H]2CC[C@@H](C2)C1 ZINC000883139223 591549424 /nfs/dbraw/zinc/54/94/24/591549424.db2.gz FRELCERBPHWPMA-ITGUQSILSA-N 0 3 246.354 2.759 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@H](C)CC(F)F)n1 ZINC000883152248 591554586 /nfs/dbraw/zinc/55/45/86/591554586.db2.gz PCZGTWLDKVZIOM-SECBINFHSA-N 0 3 245.317 2.914 20 0 BFADHN C1=C[C@@H](NCc2cccc3c2CCOC3)CC1 ZINC000883166712 591557925 /nfs/dbraw/zinc/55/79/25/591557925.db2.gz HHWQYGDAONWSEY-CQSZACIVSA-N 0 3 229.323 2.568 20 0 BFADHN CC[C@@H](N[C@H](C)CO)c1sccc1Cl ZINC000883175439 591562065 /nfs/dbraw/zinc/56/20/65/591562065.db2.gz HJFQPOAYQYPBNQ-VXNVDRBHSA-N 0 3 233.764 2.823 20 0 BFADHN C[C@@H](N[C@@H]1CCCC2(CC2)C1)c1ccn(C)n1 ZINC000883228738 591579964 /nfs/dbraw/zinc/57/99/64/591579964.db2.gz SPPVZGBBTVSAAY-VXGBXAGGSA-N 0 3 233.359 2.794 20 0 BFADHN C1=C[C@@H](CNCc2cnc(C3CC3)o2)CC1 ZINC000883236544 591582114 /nfs/dbraw/zinc/58/21/14/591582114.db2.gz DQYSNZGWYLMCFQ-SNVBAGLBSA-N 0 3 218.300 2.608 20 0 BFADHN Clc1ncccc1CNC[C@H]1C=CCC1 ZINC000883239094 591583287 /nfs/dbraw/zinc/58/32/87/591583287.db2.gz VXUBKNNKSJJMSP-JTQLQIEISA-N 0 3 222.719 2.791 20 0 BFADHN COc1ccc(F)c(CNC[C@@H]2C=CCC2)c1 ZINC000883237557 591583351 /nfs/dbraw/zinc/58/33/51/591583351.db2.gz FDMALUBVGPCOTA-LLVKDONJSA-N 0 3 235.302 2.890 20 0 BFADHN C1=C[C@@H](CNCc2ccc(-c3cc[nH]n3)o2)CC1 ZINC000883237752 591583630 /nfs/dbraw/zinc/58/36/30/591583630.db2.gz JNMOHGDFFBLGPZ-LLVKDONJSA-N 0 3 243.310 2.726 20 0 BFADHN C[C@H](NC[C@@H]1C=CCC1)c1cn2ccccc2n1 ZINC000883245242 591586432 /nfs/dbraw/zinc/58/64/32/591586432.db2.gz HYSIDLZSUZCTOO-QWHCGFSZSA-N 0 3 241.338 2.951 20 0 BFADHN C[C@@H](NC[C@@H]1C=CCC1)c1cc2n(n1)CCCC2 ZINC000883246082 591587225 /nfs/dbraw/zinc/58/72/25/591587225.db2.gz ZWEUNNSKINAUMP-CHWSQXEVSA-N 0 3 245.370 2.836 20 0 BFADHN Cc1nc(C)c(CNCC2(CC3CC3)CC2)o1 ZINC000883248868 591589377 /nfs/dbraw/zinc/58/93/77/591589377.db2.gz JOVZZQZJDIJWLZ-UHFFFAOYSA-N 0 3 234.343 2.961 20 0 BFADHN CCC[C@@H](C)CCNCc1nc(C)c[nH]1 ZINC000883250944 591591190 /nfs/dbraw/zinc/59/11/90/591591190.db2.gz WDJSHMZGNUSNJD-SNVBAGLBSA-N 0 3 209.337 2.634 20 0 BFADHN CCC[C@H](C)CCNCc1cn(C)nc1Cl ZINC000883250560 591591425 /nfs/dbraw/zinc/59/14/25/591591425.db2.gz DJYGECSLACJNND-JTQLQIEISA-N 0 3 243.782 2.989 20 0 BFADHN CCc1nocc1CNC[C@]1(C)CC1(C)C ZINC000883252294 591592375 /nfs/dbraw/zinc/59/23/75/591592375.db2.gz BUMVNGCOJMZRFX-ZDUSSCGKSA-N 0 3 222.332 2.763 20 0 BFADHN CCC[C@@H](C)CCNCc1ccccn1 ZINC000883251563 591592436 /nfs/dbraw/zinc/59/24/36/591592436.db2.gz UNAPYFOVJJPHDW-GFCCVEGCSA-N 0 3 206.333 2.998 20 0 BFADHN CCC[C@H](C)CCNCc1ccccn1 ZINC000883251564 591592842 /nfs/dbraw/zinc/59/28/42/591592842.db2.gz UNAPYFOVJJPHDW-LBPRGKRZSA-N 0 3 206.333 2.998 20 0 BFADHN Cn1c(CNC[C@@]2(C)CC2(C)C)cnc1Cl ZINC000883252695 591593059 /nfs/dbraw/zinc/59/30/59/591593059.db2.gz UPASIZJINDIFGG-GFCCVEGCSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@@H](NCC1(CC2CC2)CC1)c1ccn(C)n1 ZINC000883254217 591593805 /nfs/dbraw/zinc/59/38/05/591593805.db2.gz MVISYAFWRNGRFY-LLVKDONJSA-N 0 3 233.359 2.651 20 0 BFADHN CC(C)(CN[C@H]1CCn2ccnc21)CC(F)F ZINC000883259978 591596960 /nfs/dbraw/zinc/59/69/60/591596960.db2.gz GKJJZNZMDARSOB-VIFPVBQESA-N 0 3 243.301 2.599 20 0 BFADHN C[C@@H](NCC(C)(C)CC(F)F)c1nccn1C ZINC000883259685 591597010 /nfs/dbraw/zinc/59/70/10/591597010.db2.gz URWRJEYWPZFPRO-SECBINFHSA-N 0 3 245.317 2.752 20 0 BFADHN C=C/C=C/CCNCc1cn(C(C)C)nc1C ZINC000883259885 591597053 /nfs/dbraw/zinc/59/70/53/591597053.db2.gz CDUPLVQVFOQQDV-VOTSOKGWSA-N 0 3 233.359 2.994 20 0 BFADHN C=C/C=C/CCNCc1cnc(C2CC2)nc1 ZINC000883260188 591597413 /nfs/dbraw/zinc/59/74/13/591597413.db2.gz MKRCTIZUVGJGQL-ONEGZZNKSA-N 0 3 229.327 2.576 20 0 BFADHN Cc1cc([C@H](C)NC[C@]2(C)CC2(C)C)nn1C ZINC000883260995 591598596 /nfs/dbraw/zinc/59/85/96/591598596.db2.gz MYNPGLLRUDUBAV-FZMZJTMJSA-N 0 3 235.375 2.815 20 0 BFADHN Cc1cc([C@H](C)NC[C@@]2(C)CC2(C)C)nn1C ZINC000883260997 591598707 /nfs/dbraw/zinc/59/87/07/591598707.db2.gz MYNPGLLRUDUBAV-SMDDNHRTSA-N 0 3 235.375 2.815 20 0 BFADHN CC[C@H](NC1(c2ncccn2)CCC1)[C@H]1C[C@@H]1C ZINC000883311840 591610632 /nfs/dbraw/zinc/61/06/32/591610632.db2.gz MFEIBTADUQQNIO-AVGNSLFASA-N 0 3 245.370 2.880 20 0 BFADHN C[C@H]1CCC[C@@H]1NC1(c2ncccn2)CCC1 ZINC000883313429 591612316 /nfs/dbraw/zinc/61/23/16/591612316.db2.gz CYAZSAXBBMFFAT-RYUDHWBXSA-N 0 3 231.343 2.634 20 0 BFADHN COC[C@H]1C[C@@H](N[C@@H](C)c2cnc(C)s2)C1 ZINC000883320755 591615024 /nfs/dbraw/zinc/61/50/24/591615024.db2.gz VGJQEZDUJQEENK-INTQDDNPSA-N 0 3 240.372 2.527 20 0 BFADHN CCN1CC[C@H]1CNCc1cscc1Cl ZINC000883359663 591628585 /nfs/dbraw/zinc/62/85/85/591628585.db2.gz LKDXFYGVAUGWRU-JTQLQIEISA-N 0 3 244.791 2.585 20 0 BFADHN CC[C@H]1CCCN(C/C=C(\C)C(=O)OC)CC1 ZINC000214566265 591634370 /nfs/dbraw/zinc/63/43/70/591634370.db2.gz LFVBUNXZNCKPDW-WHGQRRHOSA-N 0 3 239.359 2.618 20 0 BFADHN F[C@H]1CCc2ccccc2[C@@H]1NC1CCOCC1 ZINC000883376235 591637603 /nfs/dbraw/zinc/63/76/03/591637603.db2.gz GQEBAUFQPKVZJY-GJZGRUSLSA-N 0 3 249.329 2.781 20 0 BFADHN CC1(CN[C@@H]2c3ccccc3CC[C@H]2F)COC1 ZINC000883381702 591638066 /nfs/dbraw/zinc/63/80/66/591638066.db2.gz RLJYSWHJWJGYSS-ZIAGYGMSSA-N 0 3 249.329 2.638 20 0 BFADHN CC1(CN[C@H]2c3ccccc3CC[C@@H]2F)COC1 ZINC000883381699 591638281 /nfs/dbraw/zinc/63/82/81/591638281.db2.gz RLJYSWHJWJGYSS-KBPBESRZSA-N 0 3 249.329 2.638 20 0 BFADHN Cc1ccc(CNC2CCC3(COC3)CC2)o1 ZINC000883391496 591643879 /nfs/dbraw/zinc/64/38/79/591643879.db2.gz LWKHZYYGXLPTIN-UHFFFAOYSA-N 0 3 235.327 2.637 20 0 BFADHN Cc1ccc([C@@H](C)NCCCCCCO)o1 ZINC000133031848 591756904 /nfs/dbraw/zinc/75/69/04/591756904.db2.gz WERKKBQFOKMFKS-GFCCVEGCSA-N 0 3 225.332 2.791 20 0 BFADHN Cc1nnc(CNCC2CCCCC2)s1 ZINC000134663247 591946029 /nfs/dbraw/zinc/94/60/29/591946029.db2.gz YTDHBJANXOHWFN-UHFFFAOYSA-N 0 3 225.361 2.516 20 0 BFADHN Cc1nnc(CNC2C[C@@H](C)C[C@H](C)C2)s1 ZINC000134663361 591946335 /nfs/dbraw/zinc/94/63/35/591946335.db2.gz JRSFYJPUUKXBQL-IUCAKERBSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1nnc(CN[C@@H]2CCC[C@H](C)C2)s1 ZINC000134664660 591946383 /nfs/dbraw/zinc/94/63/83/591946383.db2.gz ODTMJXPLTJXPPK-WCBMZHEXSA-N 0 3 225.361 2.515 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2nnc(C)s2)C1 ZINC000134664181 591946614 /nfs/dbraw/zinc/94/66/14/591946614.db2.gz RQVMSCZSBVFFDG-GHMZBOCLSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1nnc(CNC[C@@H]2CCCC[C@H]2C)s1 ZINC000134865364 591953117 /nfs/dbraw/zinc/95/31/17/591953117.db2.gz FPVAVGGQMPDQOB-KOLCDFICSA-N 0 3 239.388 2.762 20 0 BFADHN CSC[C@@H]1CCCN1C/C=C/Cl ZINC000135458418 592013715 /nfs/dbraw/zinc/01/37/15/592013715.db2.gz LLIOUOLWMJAIFA-SGRBOOSSSA-N 0 3 205.754 2.566 20 0 BFADHN CCc1cc(N2CC[C@@H]([C@H]3CCCO3)C2)ccn1 ZINC000884742689 592016329 /nfs/dbraw/zinc/01/63/29/592016329.db2.gz UTHJJGGZQYPOIO-IUODEOHRSA-N 0 3 246.354 2.649 20 0 BFADHN CCc1cc(N2CC[C@H]([C@@H]3CCCO3)C2)ccn1 ZINC000884742692 592016428 /nfs/dbraw/zinc/01/64/28/592016428.db2.gz UTHJJGGZQYPOIO-WFASDCNBSA-N 0 3 246.354 2.649 20 0 BFADHN COc1ccc(CNCC(C)C)c(F)c1OC ZINC000885891850 592106338 /nfs/dbraw/zinc/10/63/38/592106338.db2.gz QJKFCRWKGIIJJH-UHFFFAOYSA-N 0 3 241.306 2.589 20 0 BFADHN Cc1coc(CNC[C@@H](O)c2ccc(F)cc2)c1 ZINC000885917687 592108611 /nfs/dbraw/zinc/10/86/11/592108611.db2.gz RNMVWPNIRFYALS-CQSZACIVSA-N 0 3 249.285 2.550 20 0 BFADHN COc1cc(C)cc(CNC[C@H]2C[C@H]2C)c1OC ZINC000885923247 592108647 /nfs/dbraw/zinc/10/86/47/592108647.db2.gz UVBVANVJPFJLAX-VXGBXAGGSA-N 0 3 249.354 2.758 20 0 BFADHN CCN(Cc1ccccc1)C[C@](C)(O)C(F)F ZINC000885930776 592109846 /nfs/dbraw/zinc/10/98/46/592109846.db2.gz HBBYHHKCVWRNCA-ZDUSSCGKSA-N 0 3 243.297 2.525 20 0 BFADHN C[C@@H]1CN(CC[C@@H]2C[C@H]2C2CC2)[C@@H](C)CO1 ZINC000885940357 592110598 /nfs/dbraw/zinc/11/05/98/592110598.db2.gz HLXWHTINJGCXNU-UNJBNNCHSA-N 0 3 223.360 2.532 20 0 BFADHN Cc1coc(CNC[C@@H](O)c2ccc(C)cc2)c1 ZINC000885935340 592111250 /nfs/dbraw/zinc/11/12/50/592111250.db2.gz IKXNCHPWGMVZQA-OAHLLOKOSA-N 0 3 245.322 2.720 20 0 BFADHN Cc1coc(CNC[C@@H](O)C2CCCCC2)c1 ZINC000885967441 592117574 /nfs/dbraw/zinc/11/75/74/592117574.db2.gz PDICZNGAIJPDSI-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1coc(CN[C@@H]2[C@@H]3CCCO[C@@H]3C2(C)C)c1 ZINC000885999310 592126343 /nfs/dbraw/zinc/12/63/43/592126343.db2.gz HCXJCNZZFYDXGI-MJBXVCDLSA-N 0 3 249.354 2.881 20 0 BFADHN CO[C@H](CNCc1cc(C)co1)C(C)(C)C ZINC000886006062 592128279 /nfs/dbraw/zinc/12/82/79/592128279.db2.gz MYVSLKWBDALDKB-GFCCVEGCSA-N 0 3 225.332 2.739 20 0 BFADHN Cc1coc(CN[C@@H](C)Cc2ccncc2)c1 ZINC000886010947 592129771 /nfs/dbraw/zinc/12/97/71/592129771.db2.gz SXRIPYCRSMWBAL-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN C[C@@H]1c2ccccc2CN1C[C@@H]1C[C@]12CCOC2 ZINC000886030707 592136366 /nfs/dbraw/zinc/13/63/66/592136366.db2.gz SEEMJYPLZQCSND-INWMFGNUSA-N 0 3 243.350 2.990 20 0 BFADHN Cc1coc(CN[C@H](C)C[C@@H](O)c2ccco2)c1 ZINC000886052129 592141301 /nfs/dbraw/zinc/14/13/01/592141301.db2.gz LKLQZQULAYQJHF-DGCLKSJQSA-N 0 3 249.310 2.783 20 0 BFADHN Cc1coc(CN[C@@H]2CCO[C@@H](C(C)C)C2)c1 ZINC000886055111 592141401 /nfs/dbraw/zinc/14/14/01/592141401.db2.gz SAGVAHIGUNMPFQ-TZMCWYRMSA-N 0 3 237.343 2.881 20 0 BFADHN Cc1coc(CNc2cccc(N(C)C)n2)c1 ZINC000886059607 592141676 /nfs/dbraw/zinc/14/16/76/592141676.db2.gz JTOKYRBOSPPYGK-UHFFFAOYSA-N 0 3 231.299 2.661 20 0 BFADHN Cc1coc(CNCC[C@H]2CCO[C@H](C)C2)c1 ZINC000886062492 592141756 /nfs/dbraw/zinc/14/17/56/592141756.db2.gz PZSZUCKVIGPYOH-OLZOCXBDSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1coc(CN[C@H]2CCO[C@@H](C3CC3)C2)c1 ZINC000886064842 592142232 /nfs/dbraw/zinc/14/22/32/592142232.db2.gz JOBKOIRPVNOFRM-GXTWGEPZSA-N 0 3 235.327 2.635 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NC/C=C\c1cccc(F)c1 ZINC000886073075 592142834 /nfs/dbraw/zinc/14/28/34/592142834.db2.gz PUKLPCJLMRPPLG-GNXSNYGWSA-N 0 3 249.329 2.588 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NC/C=C/c1cccc(F)c1 ZINC000886073074 592142957 /nfs/dbraw/zinc/14/29/57/592142957.db2.gz PUKLPCJLMRPPLG-CNPQOGTOSA-N 0 3 249.329 2.588 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NC/C=C/c1cccc(F)c1 ZINC000886073076 592143002 /nfs/dbraw/zinc/14/30/02/592143002.db2.gz PUKLPCJLMRPPLG-IOKDEZFASA-N 0 3 249.329 2.588 20 0 BFADHN CC1=CCN(C[C@H]2CC[C@@H](C3CC3)O2)CC1 ZINC000886086863 592144992 /nfs/dbraw/zinc/14/49/92/592144992.db2.gz NDTSIJWRWSUOBR-KGLIPLIRSA-N 0 3 221.344 2.596 20 0 BFADHN CN(CC[C@@H]1C[C@H]1C1CC1)Cc1cccnc1 ZINC000886085570 592145809 /nfs/dbraw/zinc/14/58/09/592145809.db2.gz AQCSQLGVTRHZLS-CABCVRRESA-N 0 3 230.355 2.950 20 0 BFADHN CC(C)(C)C1=CCN(C[C@@](C)(O)C(F)F)CC1 ZINC000886090502 592146471 /nfs/dbraw/zinc/14/64/71/592146471.db2.gz ZXBTVAPVJQCTSI-CYBMUJFWSA-N 0 3 247.329 2.681 20 0 BFADHN C[C@H](c1ccccn1)N(C)C[C@@H]1C[C@]12CCOC2 ZINC000886092075 592146896 /nfs/dbraw/zinc/14/68/96/592146896.db2.gz NEZFBVQAOPKLPN-IPYPFGDCSA-N 0 3 246.354 2.501 20 0 BFADHN Cc1coc(CN[C@H]2Cc3cccc(O)c3C2)c1 ZINC000886092459 592148158 /nfs/dbraw/zinc/14/81/58/592148158.db2.gz XMPZVKUKKDJREX-LBPRGKRZSA-N 0 3 243.306 2.551 20 0 BFADHN COc1cccc(CCNCc2ccoc2)c1 ZINC000218112351 592152650 /nfs/dbraw/zinc/15/26/50/592152650.db2.gz BWUZODIMPVTOHZ-UHFFFAOYSA-N 0 3 231.295 2.621 20 0 BFADHN Cc1coc(CN[C@H](C)[C@H](O)c2ccccc2)c1 ZINC000886118729 592157515 /nfs/dbraw/zinc/15/75/15/592157515.db2.gz ONUYLOJBRLLYQI-DOMZBBRYSA-N 0 3 245.322 2.800 20 0 BFADHN C[C@@H](CF)NC/C=C/c1cccc(F)c1 ZINC000886125294 592158779 /nfs/dbraw/zinc/15/87/79/592158779.db2.gz NFKNYJVTFDRQDH-GFAPAMAISA-N 0 3 211.255 2.787 20 0 BFADHN Cc1coc(CNCCCC2CCOCC2)c1 ZINC000886127760 592159314 /nfs/dbraw/zinc/15/93/14/592159314.db2.gz RTXZLHMYCSRFAB-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN COc1cc(C)cc(CN[C@H]2CC23CC3)c1OC ZINC000886149480 592163341 /nfs/dbraw/zinc/16/33/41/592163341.db2.gz PBUQBZWUBWDIMI-ZDUSSCGKSA-N 0 3 247.338 2.654 20 0 BFADHN CC(C)[C@@H](O)CCN(C)Cc1ccccc1 ZINC000137257742 592164956 /nfs/dbraw/zinc/16/49/56/592164956.db2.gz UFLYSJZFHGLEQL-AWEZNQCLSA-N 0 3 221.344 2.525 20 0 BFADHN Cc1coc(CNCCc2cnccc2C)c1 ZINC000886157859 592165569 /nfs/dbraw/zinc/16/55/69/592165569.db2.gz MEIKQPDJDUNOPH-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1coc(CNC[C@@H]2CCN2C2CCCC2)c1 ZINC000886171435 592168722 /nfs/dbraw/zinc/16/87/22/592168722.db2.gz HCGPMAZIYFXTBS-AWEZNQCLSA-N 0 3 248.370 2.695 20 0 BFADHN CS[C@H]1C[C@H](NCc2cc(C)co2)C1 ZINC000886176998 592170246 /nfs/dbraw/zinc/17/02/46/592170246.db2.gz QMXBBSMDZBXTRJ-HOMQSWHASA-N 0 3 211.330 2.572 20 0 BFADHN CC1=C(C)CN(C[C@H]2CC[C@@H](C3CC3)O2)CC1 ZINC000886184272 592171915 /nfs/dbraw/zinc/17/19/15/592171915.db2.gz YEYKHWJCBGIZNG-CABCVRRESA-N 0 3 235.371 2.986 20 0 BFADHN CC(C)[C@H](Cc1ccccc1)NCc1ncc[nH]1 ZINC000886217924 592179598 /nfs/dbraw/zinc/17/95/98/592179598.db2.gz KHWQRVZDEUDELH-AWEZNQCLSA-N 0 3 243.354 2.767 20 0 BFADHN C[C@@H](NCC[C@@H]1C[C@H]1C1CC1)c1ccn(C)n1 ZINC000886243348 592183946 /nfs/dbraw/zinc/18/39/46/592183946.db2.gz WEOWCQWSGXLVDV-RTXFEEFZSA-N 0 3 233.359 2.507 20 0 BFADHN CCN(CCSC)C[C@@H]1CC[C@@H](C2CC2)O1 ZINC000886267135 592191006 /nfs/dbraw/zinc/19/10/06/592191006.db2.gz GSOXHSUUHNEKFY-STQMWFEESA-N 0 3 243.416 2.629 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNCc1cnc(C2CC2)o1 ZINC000886280550 592198103 /nfs/dbraw/zinc/19/81/03/592198103.db2.gz GNQUXTKZUDFILW-QIRAZROLSA-N 0 3 246.354 2.934 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)n1 ZINC000886280487 592198519 /nfs/dbraw/zinc/19/85/19/592198519.db2.gz FTFKTSHRLWQBMO-XQHKEYJVSA-N 0 3 247.386 2.915 20 0 BFADHN CC12CC(C1)CN2CCSC(F)(F)F ZINC000886289207 592201646 /nfs/dbraw/zinc/20/16/46/592201646.db2.gz PPCDXCPFRSCOAI-UHFFFAOYSA-N 0 3 225.279 2.724 20 0 BFADHN C([C@@H]1CC[C@@H](C2CC2)O1)N(C1CC1)C1CC1 ZINC000886296897 592201712 /nfs/dbraw/zinc/20/17/12/592201712.db2.gz DAHQZMQHEQGYJL-KBPBESRZSA-N 0 3 221.344 2.571 20 0 BFADHN Fc1ccccc1CNC[C@@H]1CC2(CCC2)CO1 ZINC000886290383 592201902 /nfs/dbraw/zinc/20/19/02/592201902.db2.gz CQUJNXGYYSRIPO-ZDUSSCGKSA-N 0 3 249.329 2.875 20 0 BFADHN C[C@H]1c2ccccc2CN1C[C@H]1C[C@]12CCOC2 ZINC000886295924 592201968 /nfs/dbraw/zinc/20/19/68/592201968.db2.gz SEEMJYPLZQCSND-BJJXKVORSA-N 0 3 243.350 2.990 20 0 BFADHN FC[C@@H]1CCN(CCSC(F)(F)F)C1 ZINC000886316622 592206988 /nfs/dbraw/zinc/20/69/88/592206988.db2.gz IBIJIVYYGQVIJH-ZETCQYMHSA-N 0 3 231.258 2.531 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCC1CCC1 ZINC000219199139 592213828 /nfs/dbraw/zinc/21/38/28/592213828.db2.gz JRYMTDYJFKHXEI-SNVBAGLBSA-N 0 3 219.332 2.626 20 0 BFADHN c1nc(CNC2CCC=CCC2)cn1C1CC1 ZINC000886376626 592221224 /nfs/dbraw/zinc/22/12/24/592221224.db2.gz LGHGKPGEZDSFMX-UHFFFAOYSA-N 0 3 231.343 2.806 20 0 BFADHN CC(C)[C@H](NCc1ccsc1)c1cnn(C)c1 ZINC000886502500 592238592 /nfs/dbraw/zinc/23/85/92/592238592.db2.gz APLKEVDOLHSXMU-ZDUSSCGKSA-N 0 3 249.383 2.969 20 0 BFADHN CCCC[C@@H](COC)N[C@@H]1CCc2cccnc21 ZINC000886530126 592246856 /nfs/dbraw/zinc/24/68/56/592246856.db2.gz DQDIIRKKZPZOKK-UONOGXRCSA-N 0 3 248.370 2.864 20 0 BFADHN C[C@H](NCc1ncc(Cl)n1C)C1CCCC1 ZINC000069804966 592246898 /nfs/dbraw/zinc/24/68/98/592246898.db2.gz ITARUVPLJBPLAE-VIFPVBQESA-N 0 3 241.766 2.742 20 0 BFADHN CO[C@H](CN[C@H]1CCc2cccnc21)C(C)(C)C ZINC000886534832 592251370 /nfs/dbraw/zinc/25/13/70/592251370.db2.gz PKXMXRUSGCXCAE-QWHCGFSZSA-N 0 3 248.370 2.720 20 0 BFADHN C[C@@H]1CCN(Cc2c[nH]cn2)[C@H]1c1ccccc1 ZINC000886540629 592253836 /nfs/dbraw/zinc/25/38/36/592253836.db2.gz IRYSYZDJLVBJKR-IUODEOHRSA-N 0 3 241.338 2.993 20 0 BFADHN C[C@@H]1CCN(Cc2cnc[nH]2)[C@H]1c1ccccc1 ZINC000886540629 592253840 /nfs/dbraw/zinc/25/38/40/592253840.db2.gz IRYSYZDJLVBJKR-IUODEOHRSA-N 0 3 241.338 2.993 20 0 BFADHN C[C@@H]1CCN(Cc2cn[nH]c2)[C@@H]1c1ccccc1 ZINC000886542856 592255773 /nfs/dbraw/zinc/25/57/73/592255773.db2.gz GYVCCWYMHWLOGV-DOMZBBRYSA-N 0 3 241.338 2.993 20 0 BFADHN C[C@H]1CCN(Cc2cn[nH]c2)[C@@H]1c1ccccc1 ZINC000886542859 592255955 /nfs/dbraw/zinc/25/59/55/592255955.db2.gz GYVCCWYMHWLOGV-WFASDCNBSA-N 0 3 241.338 2.993 20 0 BFADHN CC(C)=CCN[C@@H](c1cnn(C)c1)C(C)C ZINC000886598648 592272700 /nfs/dbraw/zinc/27/27/00/592272700.db2.gz GSGLSOSQVOLVGY-CYBMUJFWSA-N 0 3 221.348 2.673 20 0 BFADHN CCc1csc(NC[C@@H](C)N(C)C2CC2)n1 ZINC000220117970 592279430 /nfs/dbraw/zinc/27/94/30/592279430.db2.gz WRLHXUCCRAAAEL-SECBINFHSA-N 0 3 239.388 2.600 20 0 BFADHN CO[C@@H](CN[C@@H]1CCc2cccnc21)CC(C)C ZINC000886626924 592280747 /nfs/dbraw/zinc/28/07/47/592280747.db2.gz OGEVNRJRQXGOHE-ZIAGYGMSSA-N 0 3 248.370 2.720 20 0 BFADHN CC1(C)C[C@@]1(C)N[C@@H]1CCc2cccnc21 ZINC000886639591 592285515 /nfs/dbraw/zinc/28/55/15/592285515.db2.gz GVCDHSDTXJXRCI-BXUZGUMPSA-N 0 3 216.328 2.847 20 0 BFADHN CC1(C)C[C@]1(C)N[C@@H]1CCc2cccnc21 ZINC000886639593 592286376 /nfs/dbraw/zinc/28/63/76/592286376.db2.gz GVCDHSDTXJXRCI-RISCZKNCSA-N 0 3 216.328 2.847 20 0 BFADHN C[C@H](NC1CCC=CCC1)c1cc2n(n1)CCC2 ZINC000886815888 592338546 /nfs/dbraw/zinc/33/85/46/592338546.db2.gz LEFPVXXOIPVWNE-LBPRGKRZSA-N 0 3 245.370 2.979 20 0 BFADHN CCn1ccnc1[C@H](C)NC1(C2CC2)CCC1 ZINC000886824677 592347827 /nfs/dbraw/zinc/34/78/27/592347827.db2.gz DWBOIHHSAMWORG-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN CCCCCN1CCc2cc(O)c(OC)cc2C1 ZINC000887104963 592444757 /nfs/dbraw/zinc/44/47/57/592444757.db2.gz AMMGKSUIEIUCHN-UHFFFAOYSA-N 0 3 249.354 2.949 20 0 BFADHN CN(CCCF)Cc1ccc(-n2cccn2)cc1 ZINC000887135899 592449240 /nfs/dbraw/zinc/44/92/40/592449240.db2.gz BMNFDFLVWVKTHH-UHFFFAOYSA-N 0 3 247.317 2.664 20 0 BFADHN CN(CCCF)Cc1ccc(CF)cc1 ZINC000887141264 592457827 /nfs/dbraw/zinc/45/78/27/592457827.db2.gz LVGFEBWTUTXGPR-UHFFFAOYSA-N 0 3 213.271 2.948 20 0 BFADHN C(NC1(C2CC2)CCC1)c1noc2c1CCCC2 ZINC000887146302 592461283 /nfs/dbraw/zinc/46/12/83/592461283.db2.gz PUZCFYIPIJNDKP-UHFFFAOYSA-N 0 3 246.354 2.976 20 0 BFADHN CC(C)C[C@H](O)CN[C@@H](C)c1ccccc1F ZINC000222935640 592511131 /nfs/dbraw/zinc/51/11/31/592511131.db2.gz XNPFMZMEWXDGRF-RYUDHWBXSA-N 0 3 239.334 2.883 20 0 BFADHN c1[nH]nc2c1[C@H](NCc1ccccc1)CCC2 ZINC000336116248 634605310 /nfs/dbraw/zinc/60/53/10/634605310.db2.gz JGHOUTOKKHNCOG-CYBMUJFWSA-N 0 3 227.311 2.577 20 0 BFADHN CCn1cc(CN[C@H](C)CC(F)(F)F)c(C)n1 ZINC000893634289 635358057 /nfs/dbraw/zinc/35/80/57/635358057.db2.gz PYGGGOXYVRQQHZ-MRVPVSSYSA-N 0 3 249.280 2.642 20 0 BFADHN COc1ccc(CNC/C=C\Cl)cc1 ZINC000308417429 635559693 /nfs/dbraw/zinc/55/96/93/635559693.db2.gz JUBCDALNLHZQIN-UQCOIBPSSA-N 0 3 211.692 2.537 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2ncccn2)CC1 ZINC000235325616 635573041 /nfs/dbraw/zinc/57/30/41/635573041.db2.gz VJQOKZGIHLZQKY-VXGBXAGGSA-N 0 3 219.332 2.535 20 0 BFADHN CC(C)N(C)Cc1cn(C)nc1C1CCCC1 ZINC000891449823 631345088 /nfs/dbraw/zinc/34/50/88/631345088.db2.gz BEFCVWYYKSNQCQ-UHFFFAOYSA-N 0 3 235.375 2.918 20 0 BFADHN CCCCCN1CCOc2ccccc2[C@H]1CO ZINC000933383354 631345411 /nfs/dbraw/zinc/34/54/11/631345411.db2.gz OOKGBHPHEHKURO-CQSZACIVSA-N 0 3 249.354 2.605 20 0 BFADHN C/C=C\CN[C@H](C)c1ccnc(Cl)c1 ZINC000308506016 631413099 /nfs/dbraw/zinc/41/30/99/631413099.db2.gz ISNSDWXHFKGJFQ-ZBJFTSOASA-N 0 3 210.708 2.962 20 0 BFADHN COc1ccc(CN(C)CC(C)(C)C)o1 ZINC000891749520 631471830 /nfs/dbraw/zinc/47/18/30/631471830.db2.gz ZLIPYZJMFMIDFQ-UHFFFAOYSA-N 0 3 211.305 2.766 20 0 BFADHN CCC1CCN(Cc2c3c(nn2C)CCC3)CC1 ZINC000891748434 631471768 /nfs/dbraw/zinc/47/17/68/631471768.db2.gz RHIHEEUIKKDNOZ-UHFFFAOYSA-N 0 3 247.386 2.531 20 0 BFADHN Cc1cc(N[C@@H](C)C2CCCCC2)nc(N)n1 ZINC000090794910 631531176 /nfs/dbraw/zinc/53/11/76/631531176.db2.gz KIESKKSQANAIRB-JTQLQIEISA-N 0 3 234.347 2.748 20 0 BFADHN CO[C@]1(C)C[C@H](N(C)Cc2ccoc2)C1(C)C ZINC000093871871 631572063 /nfs/dbraw/zinc/57/20/63/631572063.db2.gz NZHBRLISSMXXKE-GXTWGEPZSA-N 0 3 237.343 2.915 20 0 BFADHN Cc1ccc(CN2CCCSC[C@@H]2C)nc1 ZINC000934303689 631770366 /nfs/dbraw/zinc/77/03/66/631770366.db2.gz KEDLJAFAGCAJDE-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN CCCC[C@@H](C)[C@H](C)NCc1ccon1 ZINC000308970822 631774294 /nfs/dbraw/zinc/77/42/94/631774294.db2.gz ZHDSVSALAMNMBY-MNOVXSKESA-N 0 3 210.321 2.979 20 0 BFADHN C[C@@H](N(C)Cc1c2c(nn1C)CCC2)C1(C)CC1 ZINC000892218497 631791849 /nfs/dbraw/zinc/79/18/49/631791849.db2.gz LBGYVDZNWLDYGF-LLVKDONJSA-N 0 3 247.386 2.529 20 0 BFADHN C[C@H](NC[C@@H]1CC1(Cl)Cl)c1ccccn1 ZINC000309022279 631802536 /nfs/dbraw/zinc/80/25/36/631802536.db2.gz XWHIQZHFRHQVFU-IUCAKERBSA-N 0 3 245.153 2.926 20 0 BFADHN C[C@@H](NC[C@H]1CC1(Cl)Cl)c1ccccn1 ZINC000309022280 631802716 /nfs/dbraw/zinc/80/27/16/631802716.db2.gz XWHIQZHFRHQVFU-RKDXNWHRSA-N 0 3 245.153 2.926 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1cccc(Cl)n1 ZINC000309157547 631913611 /nfs/dbraw/zinc/91/36/11/631913611.db2.gz XUCLFUZASSHZFE-SCZZXKLOSA-N 0 3 242.775 2.576 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1cccc(Cl)n1 ZINC000309157548 631915144 /nfs/dbraw/zinc/91/51/44/631915144.db2.gz XUCLFUZASSHZFE-WCBMZHEXSA-N 0 3 242.775 2.576 20 0 BFADHN CCCC[C@H](CC)CN1CC[C@@H](O)[C@H](F)C1 ZINC000934565510 631931283 /nfs/dbraw/zinc/93/12/83/631931283.db2.gz COLZYIWAGRLMMJ-YNEHKIRRSA-N 0 3 231.355 2.608 20 0 BFADHN CC[C@H]1CCCN(c2ccncc2CO)CC1 ZINC000126518011 632122844 /nfs/dbraw/zinc/12/28/44/632122844.db2.gz HTBJRIFBMWTKDP-LBPRGKRZSA-N 0 3 234.343 2.590 20 0 BFADHN C[C@@H](F)CC[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000926485418 632123136 /nfs/dbraw/zinc/12/31/36/632123136.db2.gz KLLOFQVROHOSPA-RKDXNWHRSA-N 0 3 229.270 2.930 20 0 BFADHN Cc1ccc(CN(C)c2ccncc2CO)cc1 ZINC000126413850 635817531 /nfs/dbraw/zinc/81/75/31/635817531.db2.gz HHJYARPLUOCISY-UHFFFAOYSA-N 0 3 242.322 2.519 20 0 BFADHN COc1ccccc1OCCN(C)CC=C(C)C ZINC000052452347 632412219 /nfs/dbraw/zinc/41/22/19/632412219.db2.gz QTGINBFHPLLVIC-UHFFFAOYSA-N 0 3 249.354 2.972 20 0 BFADHN CC[C@H](N[C@@H](C)c1nonc1C)C1CCCC1 ZINC000926544309 632471659 /nfs/dbraw/zinc/47/16/59/632471659.db2.gz YSKXSRHWQDCFPU-CABZTGNLSA-N 0 3 237.347 2.997 20 0 BFADHN C[C@H](N[C@@H](C)c1cccnc1)c1ccc[nH]1 ZINC000309555745 632492964 /nfs/dbraw/zinc/49/29/64/632492964.db2.gz JOZYIOSLWIENBV-QWRGUYRKSA-N 0 3 215.300 2.822 20 0 BFADHN Cc1cccc([C@@H](C)NC2COC(C)(C)OC2)c1 ZINC000235194184 632506285 /nfs/dbraw/zinc/50/62/85/632506285.db2.gz XJRKYCJSKVUQOA-GFCCVEGCSA-N 0 3 249.354 2.797 20 0 BFADHN C[C@H](NC1CC1)c1cccc(Br)n1 ZINC000924529367 632707702 /nfs/dbraw/zinc/70/77/02/632707702.db2.gz ANHQOZFEAJQWGJ-ZETCQYMHSA-N 0 3 241.132 2.657 20 0 BFADHN C[C@@H]1C[C@H](C)[N@H+](Cc2ccc([O-])c(F)c2)C1 ZINC000235406889 632707720 /nfs/dbraw/zinc/70/77/20/632707720.db2.gz YEEPZYHEZWMIIX-ZJUUUORDSA-N 0 3 223.291 2.762 20 0 BFADHN C[C@@H]1C[C@H](C)[N@@H+](Cc2ccc([O-])c(F)c2)C1 ZINC000235406889 632707724 /nfs/dbraw/zinc/70/77/24/632707724.db2.gz YEEPZYHEZWMIIX-ZJUUUORDSA-N 0 3 223.291 2.762 20 0 BFADHN CCCCCC[C@H](C)NC(=O)[C@@H](N)CC1CC1 ZINC000310255757 632712281 /nfs/dbraw/zinc/71/22/81/632712281.db2.gz PFSUPXBBXCVENW-AAEUAGOBSA-N 0 3 240.391 2.589 20 0 BFADHN CCOC(=O)/C=C\CN[C@H](C)c1ccc(C)cc1 ZINC000310527597 632754297 /nfs/dbraw/zinc/75/42/97/632754297.db2.gz HIMXTRPPSYIMMJ-CFHLNLSMSA-N 0 3 247.338 2.765 20 0 BFADHN CCOC(=O)/C=C\CN[C@@H](CC)c1ccccc1 ZINC000310528587 632756185 /nfs/dbraw/zinc/75/61/85/632756185.db2.gz SUJADZMANFTVLW-MSKHEQNASA-N 0 3 247.338 2.847 20 0 BFADHN CC[C@@H](C)[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000924537785 632775416 /nfs/dbraw/zinc/77/54/16/632775416.db2.gz NRHMKWWDPJXCGY-RKDXNWHRSA-N 0 3 211.280 2.980 20 0 BFADHN Cc1nonc1[C@H](C)NCC1CCCCC1 ZINC000924538389 632778280 /nfs/dbraw/zinc/77/82/80/632778280.db2.gz JDYJGEVHGHGJAI-VIFPVBQESA-N 0 3 223.320 2.609 20 0 BFADHN C[C@H]1C[C@H](C)[N@H+](Cc2ccc([O-])c(F)c2)C1 ZINC000235672529 632813121 /nfs/dbraw/zinc/81/31/21/632813121.db2.gz YEEPZYHEZWMIIX-UWVGGRQHSA-N 0 3 223.291 2.762 20 0 BFADHN C[C@H]1C[C@H](C)[N@@H+](Cc2ccc([O-])c(F)c2)C1 ZINC000235672529 632813123 /nfs/dbraw/zinc/81/31/23/632813123.db2.gz YEEPZYHEZWMIIX-UWVGGRQHSA-N 0 3 223.291 2.762 20 0 BFADHN CC[C@H](C)CCN[C@H](C)c1cn(C(C)C)nn1 ZINC000926686403 632820956 /nfs/dbraw/zinc/82/09/56/632820956.db2.gz WAOYTFJWDRIMCP-NWDGAFQWSA-N 0 3 238.379 2.946 20 0 BFADHN CC[C@@H](N[C@@H](C)c1cn(C(C)C)nn1)C1CC1 ZINC000926707776 632848649 /nfs/dbraw/zinc/84/86/49/632848649.db2.gz SMQTVPJQBSKUAB-CMPLNLGQSA-N 0 3 236.363 2.698 20 0 BFADHN CC(C)n1cc([C@H](C)NCC2CC(C)C2)nn1 ZINC000926738377 632926848 /nfs/dbraw/zinc/92/68/48/632926848.db2.gz VSJQJDKOQCYRLT-CXQJBGSLSA-N 0 3 236.363 2.556 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccnn1C1CCC1 ZINC000926770602 633016390 /nfs/dbraw/zinc/01/63/90/633016390.db2.gz SAUATUFTJQMCLD-UFFNRZRYSA-N 0 3 219.332 2.835 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccnn1CC1CCC1 ZINC000926770950 633017407 /nfs/dbraw/zinc/01/74/07/633017407.db2.gz WWSGSCCUWMOAFX-PCAWENJQSA-N 0 3 233.359 2.910 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CC[C@H](C)C[C@@H]1C ZINC000925055519 635877770 /nfs/dbraw/zinc/87/77/70/635877770.db2.gz VHFIYBRUPGZNLG-QFOLPQNPSA-N 0 3 237.347 2.853 20 0 BFADHN CCn1nc(C)c([C@@H](C)N[C@@H]2CCCC23CC3)n1 ZINC000926779751 633051785 /nfs/dbraw/zinc/05/17/85/633051785.db2.gz IQEILBVNTPGMGZ-ZYHUDNBSSA-N 0 3 248.374 2.590 20 0 BFADHN CCC(CC)(CNCc1cc(C)no1)SC ZINC000309481228 633153342 /nfs/dbraw/zinc/15/33/42/633153342.db2.gz VZJXNDQLSSZTOL-UHFFFAOYSA-N 0 3 242.388 2.994 20 0 BFADHN CC[C@]1(C)CCCN(c2ccncc2CO)C1 ZINC000892724246 633193021 /nfs/dbraw/zinc/19/30/21/633193021.db2.gz TYNNYPGUUQNBCR-CQSZACIVSA-N 0 3 234.343 2.590 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H]2CC(C)C)o1 ZINC000894854663 635953667 /nfs/dbraw/zinc/95/36/67/635953667.db2.gz LDNOLHALLHORAS-ZYHUDNBSSA-N 0 3 223.316 2.812 20 0 BFADHN Cc1ccc([C@@H](C)Nc2cc(C)nc(N)n2)s1 ZINC000048921578 635974055 /nfs/dbraw/zinc/97/40/55/635974055.db2.gz BAAXVECQRUWFOU-SECBINFHSA-N 0 3 248.355 2.910 20 0 BFADHN CCCCCC[C@H](C)NCc1cn(C)cn1 ZINC000309789209 633746151 /nfs/dbraw/zinc/74/61/51/633746151.db2.gz UBBKLBWULYNXQF-LBPRGKRZSA-N 0 3 223.364 2.869 20 0 BFADHN COCC1(N[C@H](C)c2ccc(Cl)nc2)CC1 ZINC000309458654 633923278 /nfs/dbraw/zinc/92/32/78/633923278.db2.gz NHCWLBKTELVLAC-SECBINFHSA-N 0 3 240.734 2.565 20 0 BFADHN CCCC1(CN[C@@H](C)c2cc(OC)no2)CC1 ZINC000925533946 633929994 /nfs/dbraw/zinc/92/99/94/633929994.db2.gz RABMBTCTHVARHT-JTQLQIEISA-N 0 3 238.331 2.914 20 0 BFADHN CN(CCNCc1ccoc1)Cc1ccccc1 ZINC000053345118 634170665 /nfs/dbraw/zinc/17/06/65/634170665.db2.gz INJNBTXVLNKKNU-UHFFFAOYSA-N 0 3 244.338 2.501 20 0 BFADHN Cc1ccccc1OCCNCc1ccoc1 ZINC000053566715 634246891 /nfs/dbraw/zinc/24/68/91/634246891.db2.gz SANVUMSOKJNFLE-UHFFFAOYSA-N 0 3 231.295 2.757 20 0 BFADHN c1cc2c(s1)CCN(C[C@H]1CCC=CO1)C2 ZINC000193658505 634285869 /nfs/dbraw/zinc/28/58/69/634285869.db2.gz UBNURVRPWARYQE-GFCCVEGCSA-N 0 3 235.352 2.799 20 0 BFADHN Cc1ccccc1CN[C@H]1CCCc2n[nH]cc21 ZINC000336352282 635129786 /nfs/dbraw/zinc/12/97/86/635129786.db2.gz DSTMLIOPYLNCHX-AWEZNQCLSA-N 0 3 241.338 2.885 20 0 BFADHN C[C@@H](N)c1cn(C[C@@H](C)C2CCCCC2)nn1 ZINC000310131216 635174375 /nfs/dbraw/zinc/17/43/75/635174375.db2.gz ACKYUKQBSZKCFA-GHMZBOCLSA-N 0 3 236.363 2.514 20 0 BFADHN Cc1occc1CN[C@H](C)[C@@H](O)c1ccccc1 ZINC000840946196 635293736 /nfs/dbraw/zinc/29/37/36/635293736.db2.gz IFJCSEJRMIJICV-IAQYHMDHSA-N 0 3 245.322 2.800 20 0 BFADHN C[C@@H]1CN(Cc2nccn2C2CC2)[C@@H](C)[C@H]1C ZINC000894955619 636009765 /nfs/dbraw/zinc/00/97/65/636009765.db2.gz SDKBZPKYJRFMOX-WOPDTQHZSA-N 0 3 233.359 2.694 20 0 BFADHN C[C@H]1CCC(C)(C)C[C@@H]1N1CCc2n[nH]cc2C1 ZINC000895389171 636140872 /nfs/dbraw/zinc/14/08/72/636140872.db2.gz NOCPKDYBMDJVKG-FZMZJTMJSA-N 0 3 247.386 2.983 20 0 BFADHN CC(C)C1(CNCc2cc(C3CC3)n(C)n2)CC1 ZINC000897230113 636278972 /nfs/dbraw/zinc/27/89/72/636278972.db2.gz FBBSWCHKXZTZGC-UHFFFAOYSA-N 0 3 247.386 2.823 20 0 BFADHN CC[C@H](N[C@H](C)[C@@H](C)O)c1cc(F)ccc1F ZINC000341981722 636311870 /nfs/dbraw/zinc/31/18/70/636311870.db2.gz JOFVVGUEYVHWFF-KKFJDGPESA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@H](N[C@@H](C)[C@H](C)O)c1cc(F)ccc1F ZINC000341981724 636313121 /nfs/dbraw/zinc/31/31/21/636313121.db2.gz JOFVVGUEYVHWFF-RVBZMBCESA-N 0 3 243.297 2.775 20 0 BFADHN CCCC[C@@H](CC)CNc1cc(C)nc(N)n1 ZINC000221086033 636341237 /nfs/dbraw/zinc/34/12/37/636341237.db2.gz LBLDRHSLRAATLA-LLVKDONJSA-N 0 3 236.363 2.996 20 0 BFADHN CCOCCCN[C@@H](C)c1cc(O)ccc1F ZINC000872017108 636551671 /nfs/dbraw/zinc/55/16/71/636551671.db2.gz STSRSWDWSQPABA-JTQLQIEISA-N 0 3 241.306 2.609 20 0 BFADHN Cc1cc(NC[C@H]2CCCC[C@H]2C)nc(N)n1 ZINC000109310886 636555568 /nfs/dbraw/zinc/55/55/68/636555568.db2.gz ZKQGZDBQIKAZQK-MWLCHTKSSA-N 0 3 234.347 2.605 20 0 BFADHN Cc1cccc(NC(=O)[C@@H]2CCCN2C(C)C)c1 ZINC000929125356 636814769 /nfs/dbraw/zinc/81/47/69/636814769.db2.gz QKZKLKWLYYQNPR-AWEZNQCLSA-N 0 3 246.354 2.806 20 0 BFADHN Cc1ccc([C@@H](C)NCCc2cc(C)n(C)n2)o1 ZINC000926915764 636942270 /nfs/dbraw/zinc/94/22/70/636942270.db2.gz LYMGJBXOGIDILT-GFCCVEGCSA-N 0 3 247.342 2.523 20 0 BFADHN Cc1ccc([C@H](C)NCCc2cc(C)n(C)n2)o1 ZINC000926915767 636942711 /nfs/dbraw/zinc/94/27/11/636942711.db2.gz LYMGJBXOGIDILT-LBPRGKRZSA-N 0 3 247.342 2.523 20 0 BFADHN CC[C@H](NCc1cnn(C)c1)c1cccs1 ZINC000041054705 636960262 /nfs/dbraw/zinc/96/02/62/636960262.db2.gz WJIKQXZFDMQIOJ-NSHDSACASA-N 0 3 235.356 2.723 20 0 BFADHN CC(C)n1cc(CNCCC[C@@H]2C=CCC2)nn1 ZINC000873154475 636977312 /nfs/dbraw/zinc/97/73/12/636977312.db2.gz XQSIFNYASBWQDU-CYBMUJFWSA-N 0 3 248.374 2.695 20 0 BFADHN CC(C)n1cc(CNCCC[C@H]2C=CCC2)nn1 ZINC000873154476 636978178 /nfs/dbraw/zinc/97/81/78/636978178.db2.gz XQSIFNYASBWQDU-ZDUSSCGKSA-N 0 3 248.374 2.695 20 0 BFADHN C[C@@H](NC[C@]12C[C@H]1CCC2)c1cscn1 ZINC000927117450 637036813 /nfs/dbraw/zinc/03/68/13/637036813.db2.gz BKIZFTJXDHTMGH-FOGDFJRCSA-N 0 3 222.357 2.984 20 0 BFADHN C[C@@H](NC1([C@@H]2CCCCO2)CC1)c1cccnc1 ZINC000927161639 637071002 /nfs/dbraw/zinc/07/10/02/637071002.db2.gz QNZHDBGEJCHZKU-OCCSQVGLSA-N 0 3 246.354 2.834 20 0 BFADHN Cc1cccc(CN(C)c2ccnc(CO)c2)c1 ZINC000042435857 637246020 /nfs/dbraw/zinc/24/60/20/637246020.db2.gz JXNBLUANHPXCST-UHFFFAOYSA-N 0 3 242.322 2.519 20 0 BFADHN CN[C@@H](C)c1cc(-c2ccc(C)cc2)no1 ZINC000901399584 637246934 /nfs/dbraw/zinc/24/69/34/637246934.db2.gz GMTLZEQTWPOLOY-JTQLQIEISA-N 0 3 216.284 2.930 20 0 BFADHN CO[C@@H]1CCC[C@@H]1N[C@@H]1COc2ccc(C)cc21 ZINC000903123892 637344612 /nfs/dbraw/zinc/34/46/12/637344612.db2.gz CLNGQFBQAHSNEJ-GZBFAFLISA-N 0 3 247.338 2.586 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2COC[C@H]2C2CC2)o1 ZINC000903437165 637360181 /nfs/dbraw/zinc/36/01/81/637360181.db2.gz LYQDDMURRNMUIP-DRZSPHRISA-N 0 3 235.327 2.664 20 0 BFADHN C[C@H]([NH2+]C[C@@H]1C[C@H]1C)c1cc([O-])cc(F)c1 ZINC000924799318 637439474 /nfs/dbraw/zinc/43/94/74/637439474.db2.gz URYUOHDNCVJEJQ-YWVKMMECSA-N 0 3 223.291 2.838 20 0 BFADHN Cc1coc(-c2ccc(CN(C)C)s2)n1 ZINC000904859964 637499017 /nfs/dbraw/zinc/49/90/17/637499017.db2.gz UIQRLEBPUAJRBC-UHFFFAOYSA-N 0 3 222.313 2.773 20 0 BFADHN Cc1nc(-c2ccc(CN(C)C)s2)c[nH]1 ZINC000904864560 637512936 /nfs/dbraw/zinc/51/29/36/637512936.db2.gz KILRGAPEBSZSKR-UHFFFAOYSA-N 0 3 221.329 2.508 20 0 BFADHN CC[C@H](NCc1cn(C2CC2)nn1)[C@@H]1CC1(C)C ZINC000906313623 638140929 /nfs/dbraw/zinc/14/09/29/638140929.db2.gz DBUOQFHARKAZPZ-STQMWFEESA-N 0 3 248.374 2.527 20 0 BFADHN CCCC[NH2+][C@@H](C)c1nnc([C@@H](C)CC)[n-]1 ZINC000906527783 638193684 /nfs/dbraw/zinc/19/36/84/638193684.db2.gz NIYOZKCPABZDPS-UWVGGRQHSA-N 0 3 224.352 2.769 20 0 BFADHN C[C@@H]1COCCN([C@@H]2C[C@@H]2c2cccc(F)c2)C1 ZINC000906586700 638213030 /nfs/dbraw/zinc/21/30/30/638213030.db2.gz IXLPGDVUYOQCCI-NILFDRSVSA-N 0 3 249.329 2.650 20 0 BFADHN CCO[C@H]1CCN([C@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000906595994 638221858 /nfs/dbraw/zinc/22/18/58/638221858.db2.gz LBGPGBBCUIBJCS-KKUMJFAQSA-N 0 3 249.329 2.792 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(CF)cc2)CCO1 ZINC000932349841 711457323 /nfs/dbraw/zinc/45/73/23/711457323.db2.gz ZOCKAPGCFLPJNZ-GFCCVEGCSA-N 0 3 237.318 2.767 20 0 BFADHN Cc1cc(CN2CCC(C)=C(C)C2)c(C)nn1 ZINC000930298132 639686439 /nfs/dbraw/zinc/68/64/39/639686439.db2.gz JGUILEMHQZPBJD-UHFFFAOYSA-N 0 3 231.343 2.636 20 0 BFADHN CC1=C(c2ccco2)CN(CCOC2CC2)CC1 ZINC000930350105 639724696 /nfs/dbraw/zinc/72/46/96/639724696.db2.gz DTYKPWKQQYFHFJ-UHFFFAOYSA-N 0 3 247.338 2.938 20 0 BFADHN CC1CC(CN2CCOc3cc(O)ccc3C2)C1 ZINC000930460765 639761263 /nfs/dbraw/zinc/76/12/63/639761263.db2.gz PVTXGHNVSFKJGO-UHFFFAOYSA-N 0 3 247.338 2.633 20 0 BFADHN C[C@@H]1CN(CCc2ccns2)CCC1(F)F ZINC000930511439 639792474 /nfs/dbraw/zinc/79/24/74/639792474.db2.gz CXMCDBSRESNVGO-SECBINFHSA-N 0 3 246.326 2.663 20 0 BFADHN CC(C)(NCCOC1CC1)c1ccccc1F ZINC000930554051 639810150 /nfs/dbraw/zinc/81/01/50/639810150.db2.gz GDRNITJRFUAFQZ-UHFFFAOYSA-N 0 3 237.318 2.829 20 0 BFADHN C[C@H](N[C@H]1CC2CCC1CC2)c1ncccn1 ZINC000930565750 639812141 /nfs/dbraw/zinc/81/21/41/639812141.db2.gz BDMOWLKXPRZXCD-WTIISPKJSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@@H](N[C@@H]1CC2CCC1CC2)c1ncccn1 ZINC000930565749 639812175 /nfs/dbraw/zinc/81/21/75/639812175.db2.gz BDMOWLKXPRZXCD-IKWCTNDRSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@]1(F)CCCN(CCCc2cccnc2)C1 ZINC000930596785 639816066 /nfs/dbraw/zinc/81/60/66/639816066.db2.gz XHGTXYDRSKNQMV-AWEZNQCLSA-N 0 3 236.334 2.838 20 0 BFADHN C[C@@]1(F)CCCN(CCCc2cccnc2)C1 ZINC000930596786 639816215 /nfs/dbraw/zinc/81/62/15/639816215.db2.gz XHGTXYDRSKNQMV-CQSZACIVSA-N 0 3 236.334 2.838 20 0 BFADHN COc1cccc2c1CN([C@@H](C)C1CC1)CC2 ZINC000930651776 639845692 /nfs/dbraw/zinc/84/56/92/639845692.db2.gz BBERPANBMVOVLX-NSHDSACASA-N 0 3 231.339 2.852 20 0 BFADHN N[C@H](C(=O)Nc1ccccc1)C1CCCCCC1 ZINC000911895627 639872789 /nfs/dbraw/zinc/87/27/89/639872789.db2.gz WOCXXBHLGZFZNT-AWEZNQCLSA-N 0 3 246.354 2.923 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@H](C2CCCC2)C1 ZINC000930747704 639894057 /nfs/dbraw/zinc/89/40/57/639894057.db2.gz RLCUCZUQMABQCC-AWEZNQCLSA-N 0 3 247.386 2.741 20 0 BFADHN CCC(CC)NC(=O)[C@@H](N)C1CCCCCC1 ZINC000911937259 639897810 /nfs/dbraw/zinc/89/78/10/639897810.db2.gz NJUZTIZVYGZOHL-ZDUSSCGKSA-N 0 3 240.391 2.589 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C)C[C@H]2C)o1 ZINC000930761647 639902473 /nfs/dbraw/zinc/90/24/73/639902473.db2.gz UZAZHCGVLOJCSF-GHMZBOCLSA-N 0 3 222.332 2.857 20 0 BFADHN Cc1cc(C)nc(CN2CC[C@@H](OC(C)C)C2)c1 ZINC000930811063 639912777 /nfs/dbraw/zinc/91/27/77/639912777.db2.gz LOUVVQFYJZFJDA-OAHLLOKOSA-N 0 3 248.370 2.698 20 0 BFADHN CCc1ncc(CN2CCC23CCCC3)o1 ZINC000930844739 639929999 /nfs/dbraw/zinc/92/99/99/639929999.db2.gz JMMHRFHUSPIIHE-UHFFFAOYSA-N 0 3 220.316 2.756 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2nn(C)cc2C)C1 ZINC000931021501 640026449 /nfs/dbraw/zinc/02/64/49/640026449.db2.gz LGRUWJDTTRHSGQ-CHWSQXEVSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cn(C)nc1CN1CCC[C@@H](C2CCC2)C1 ZINC000931060955 640047897 /nfs/dbraw/zinc/04/78/97/640047897.db2.gz ZYWRIVFMLVSJDQ-CQSZACIVSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@H](c1ccc(F)nc1)N1CC[C@H]2C[C@H]2C1 ZINC000931059836 640047943 /nfs/dbraw/zinc/04/79/43/640047943.db2.gz SKWSYFLGMGOLQX-SCVCMEIPSA-N 0 3 220.291 2.624 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1nn(C)cc1C ZINC000931066493 640054020 /nfs/dbraw/zinc/05/40/20/640054020.db2.gz FSMLBMUYOCHYJW-QWHCGFSZSA-N 0 3 235.375 2.882 20 0 BFADHN CCc1ncc(CN(C)C[C@@H]2CC2(C)C)o1 ZINC000931083817 640063354 /nfs/dbraw/zinc/06/33/54/640063354.db2.gz ZZKPRVDEJPQWNL-JTQLQIEISA-N 0 3 222.332 2.715 20 0 BFADHN CC(C)C[C@@H](C)C[N@@H+]1CCC[C@H]1c1nnc[n-]1 ZINC000931140797 640070877 /nfs/dbraw/zinc/07/08/77/640070877.db2.gz ZGLKHABMUYDYDK-NEPJUHHUSA-N 0 3 236.363 2.624 20 0 BFADHN CC(C)C[C@@H](C)C[N@H+]1CCC[C@H]1c1nnc[n-]1 ZINC000931140797 640070885 /nfs/dbraw/zinc/07/08/85/640070885.db2.gz ZGLKHABMUYDYDK-NEPJUHHUSA-N 0 3 236.363 2.624 20 0 BFADHN CC(C)C[C@@H](C)CN1CCC[C@H]1c1nc[nH]n1 ZINC000931140797 640070893 /nfs/dbraw/zinc/07/08/93/640070893.db2.gz ZGLKHABMUYDYDK-NEPJUHHUSA-N 0 3 236.363 2.624 20 0 BFADHN CCC(C)(C)CC[N@@H+]1Cc2nc(C)[n-]c(=O)c2C1 ZINC000931311295 640147442 /nfs/dbraw/zinc/14/74/42/640147442.db2.gz HCSUVVKDYYCQNP-UHFFFAOYSA-N 0 3 249.358 2.633 20 0 BFADHN CCC(C)(C)CC[N@H+]1Cc2nc(C)[n-]c(=O)c2C1 ZINC000931311295 640147445 /nfs/dbraw/zinc/14/74/45/640147445.db2.gz HCSUVVKDYYCQNP-UHFFFAOYSA-N 0 3 249.358 2.633 20 0 BFADHN CC(C)CN[C@@H](C)c1cn(-c2ccccc2)nn1 ZINC000150838957 640161833 /nfs/dbraw/zinc/16/18/33/640161833.db2.gz NCELQFKFHKVSRK-LBPRGKRZSA-N 0 3 244.342 2.574 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2nn(C)cc2C)C1 ZINC000931337626 640164772 /nfs/dbraw/zinc/16/47/72/640164772.db2.gz LRMAKCZBWKFGRR-WSNITJDQSA-N 0 3 247.386 2.907 20 0 BFADHN CC[C@@H](CO)NCc1c(C)cccc1Cl ZINC000931772225 640365059 /nfs/dbraw/zinc/36/50/59/640365059.db2.gz JCJNINONFOVQEF-JTQLQIEISA-N 0 3 227.735 2.509 20 0 BFADHN CC[C@H](CO)NCc1c(C)cccc1Cl ZINC000931772226 640365421 /nfs/dbraw/zinc/36/54/21/640365421.db2.gz JCJNINONFOVQEF-SNVBAGLBSA-N 0 3 227.735 2.509 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCS[C@@H](C(F)(F)F)C1 ZINC000932072872 640422040 /nfs/dbraw/zinc/42/20/40/640422040.db2.gz HTKVCYFWFBGFIH-HRDYMLBCSA-N 0 3 239.306 2.622 20 0 BFADHN FC(F)(F)[C@@H]1CN(CCC2CC2)CCS1 ZINC000932072247 640421640 /nfs/dbraw/zinc/42/16/40/640421640.db2.gz BVJFDNXJCCJUFA-VIFPVBQESA-N 0 3 239.306 2.766 20 0 BFADHN Cn1nccc1[C@@H]1CCCN1CC1=CCCC1 ZINC000932260384 640459948 /nfs/dbraw/zinc/45/99/48/640459948.db2.gz IVGAOMJFCVNPGN-AWEZNQCLSA-N 0 3 231.343 2.667 20 0 BFADHN CC(C)=CCN1CCC[C@H]1c1ccnn1C ZINC000932263151 640462853 /nfs/dbraw/zinc/46/28/53/640462853.db2.gz BQCLADDMDXITSK-ZDUSSCGKSA-N 0 3 219.332 2.523 20 0 BFADHN Cc1cc(CN2CCO[C@@H](C)CC2)cs1 ZINC000932337372 640481880 /nfs/dbraw/zinc/48/18/80/640481880.db2.gz XLSLQCPZCPJIEL-JTQLQIEISA-N 0 3 225.357 2.667 20 0 BFADHN C[C@H]1CCN(C/C=C\c2ccccc2)CCO1 ZINC000932348168 640490825 /nfs/dbraw/zinc/49/08/25/640490825.db2.gz CVIRHTSQRNMLMV-PODYEWJSSA-N 0 3 231.339 2.811 20 0 BFADHN COc1ccc(C)cc1CN1CCO[C@@H](C)CC1 ZINC000932350690 640494784 /nfs/dbraw/zinc/49/47/84/640494784.db2.gz VPZNETFFNLJQGU-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN CC(C)(C)OCCN[C@H](c1ccccn1)C1CC1 ZINC000932377095 640504574 /nfs/dbraw/zinc/50/45/74/640504574.db2.gz FJTRFBKKBFIDLW-AWEZNQCLSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)COCCN[C@H](c1ccccn1)C1CC1 ZINC000932383380 640510862 /nfs/dbraw/zinc/51/08/62/640510862.db2.gz DGGNMGLVUUMCSE-HNNXBMFYSA-N 0 3 248.370 2.795 20 0 BFADHN CC/C=C\CCN1CCn2cccc2C1 ZINC000932526689 640567663 /nfs/dbraw/zinc/56/76/63/640567663.db2.gz VRGDDGMZHAYPLE-ARJAWSKDSA-N 0 3 204.317 2.660 20 0 BFADHN FC1(C2CC2)CCN(Cc2ccncc2)CC1 ZINC000932630316 640591641 /nfs/dbraw/zinc/59/16/41/640591641.db2.gz HCBUXFVQGUTUME-UHFFFAOYSA-N 0 3 234.318 2.796 20 0 BFADHN CCOc1ccc(CN2CC[C@H](OCC)C2)cc1 ZINC000932977476 640661134 /nfs/dbraw/zinc/66/11/34/640661134.db2.gz SSQCTSSFMCHPRS-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN CCO[C@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC000932977450 640661341 /nfs/dbraw/zinc/66/13/41/640661341.db2.gz LRVLXWJVCACZIJ-RISCZKNCSA-N 0 3 237.318 2.998 20 0 BFADHN CC(C)CN(Cc1ccc(Cl)nn1)C1CC1 ZINC000167402090 640717280 /nfs/dbraw/zinc/71/72/80/640717280.db2.gz AKKUZXGCBHQGNE-UHFFFAOYSA-N 0 3 239.750 2.750 20 0 BFADHN Cc1cn[nH]c1CN(C)Cc1c(C)cccc1C ZINC000933763080 640765818 /nfs/dbraw/zinc/76/58/18/640765818.db2.gz OVAHWWGYOQAVBH-UHFFFAOYSA-N 0 3 243.354 2.967 20 0 BFADHN COC(=O)/C(C)=C/CN[C@@H](C)c1cccc(C)c1 ZINC000227349479 640829141 /nfs/dbraw/zinc/82/91/41/640829141.db2.gz ZOSXWBCYSIKRTA-RPHSKFLZSA-N 0 3 247.338 2.765 20 0 BFADHN Cc1cc(N[C@@H]2CCC[C@@H](C)CC2)nc(N)n1 ZINC000227538978 640838756 /nfs/dbraw/zinc/83/87/56/640838756.db2.gz JEXPYEIZHFOOAO-MWLCHTKSSA-N 0 3 234.347 2.748 20 0 BFADHN CO[C@@H]1CC[C@@H]1N(C)Cc1c(C)cc(C)nc1C ZINC000934104647 641067454 /nfs/dbraw/zinc/06/74/54/641067454.db2.gz KKUDFDAXZYMMQH-LSDHHAIUSA-N 0 3 248.370 2.616 20 0 BFADHN CO[C@H]1CC[C@H]1N(C)Cc1cc(F)ccc1C ZINC000934109589 641073442 /nfs/dbraw/zinc/07/34/42/641073442.db2.gz BDLPVHFQNZGONM-KGLIPLIRSA-N 0 3 237.318 2.743 20 0 BFADHN Cc1ccc([C@@H](C)NC2COC(C)(C)OC2)cc1 ZINC000230681328 641082415 /nfs/dbraw/zinc/08/24/15/641082415.db2.gz QHBUEXAFWGVKEG-GFCCVEGCSA-N 0 3 249.354 2.797 20 0 BFADHN COc1ccc(C)cc1CN(C)[C@H]1CC[C@@H]1OC ZINC000934123812 641087217 /nfs/dbraw/zinc/08/72/17/641087217.db2.gz AUAAUDZDEOWGLZ-ZFWWWQNUSA-N 0 3 249.354 2.613 20 0 BFADHN CO[C@H]1CC[C@@H]1N(C)Cc1ccc(F)c(F)c1 ZINC000934129982 641088704 /nfs/dbraw/zinc/08/87/04/641088704.db2.gz JSFXRMNCNBLYBM-STQMWFEESA-N 0 3 241.281 2.574 20 0 BFADHN CCCCC1(NCc2cnoc2C)CC1 ZINC000934205183 641101304 /nfs/dbraw/zinc/10/13/04/641101304.db2.gz KCQYOVRBJWNCRR-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN CCCCC1(NCc2nccn2CC)CC1 ZINC000934205770 641102992 /nfs/dbraw/zinc/10/29/92/641102992.db2.gz KSTUADUEOPNRTO-UHFFFAOYSA-N 0 3 221.348 2.715 20 0 BFADHN C[C@@H](Cc1cccnc1)NCC=C(Cl)Cl ZINC000934229804 641107907 /nfs/dbraw/zinc/10/79/07/641107907.db2.gz KXPCOVQURYLMNV-VIFPVBQESA-N 0 3 245.153 2.921 20 0 BFADHN S=c1[nH]ccn1CN1CCC[C@@H]1C1CCC1 ZINC000173338872 641171909 /nfs/dbraw/zinc/17/19/09/641171909.db2.gz VYDWPCSGFAGGFU-LLVKDONJSA-N 0 3 237.372 2.768 20 0 BFADHN Cc1nnc([C@@H](C)N[C@@H]2CC=CC[C@H]2C)s1 ZINC000934621304 641218332 /nfs/dbraw/zinc/21/83/32/641218332.db2.gz ZKORZFDXUXXCEJ-FXPVBKGRSA-N 0 3 237.372 2.852 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCc1cscn1 ZINC000934628341 641222065 /nfs/dbraw/zinc/22/20/65/641222065.db2.gz QTJHPFCRWWBNKD-MWLCHTKSSA-N 0 3 208.330 2.587 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCc1ncc(Cl)n1C ZINC000934627527 641223677 /nfs/dbraw/zinc/22/36/77/641223677.db2.gz SHQKJLVAVXPMOY-NXEZZACHSA-N 0 3 239.750 2.518 20 0 BFADHN CC[C@H](CSC)[NH2+]Cc1ccc([O-])c(F)c1 ZINC000232423534 641276099 /nfs/dbraw/zinc/27/60/99/641276099.db2.gz GPIJSCRKJTXUPV-SNVBAGLBSA-N 0 3 243.347 2.763 20 0 BFADHN CCCCCC[C@@H](C)NCc1ncnn1CC ZINC000088590397 641370447 /nfs/dbraw/zinc/37/04/47/641370447.db2.gz XJTYZSCHXAIFJY-GFCCVEGCSA-N 0 3 238.379 2.747 20 0 BFADHN COc1cc([C@@H](C)NCC2(C)CCCC2)on1 ZINC000925383420 641402355 /nfs/dbraw/zinc/40/23/55/641402355.db2.gz UILWKQGOHXBNFY-SNVBAGLBSA-N 0 3 238.331 2.914 20 0 BFADHN C[C@H]1[C@H](c2ccccc2)CCN1CCF ZINC000759347365 641422644 /nfs/dbraw/zinc/42/26/44/641422644.db2.gz TVNYQMCOTVTTLS-WCQYABFASA-N 0 3 207.292 2.834 20 0 BFADHN CC1(C)COC[C@@H]1N[C@H]1CSc2ccccc21 ZINC000313063134 641531644 /nfs/dbraw/zinc/53/16/44/641531644.db2.gz KGDVXWTWJPORRQ-AAEUAGOBSA-N 0 3 249.379 2.848 20 0 BFADHN CCCC[C@@H](CC)CNC(=O)[C@@H](N)C(C)(C)C ZINC000040806558 641537793 /nfs/dbraw/zinc/53/77/93/641537793.db2.gz XNTBYYMDIZVRLM-VXGBXAGGSA-N 0 3 242.407 2.692 20 0 BFADHN Cc1cc(NCc2ccc(Cl)cc2)nc(N)n1 ZINC000043531884 641566429 /nfs/dbraw/zinc/56/64/29/641566429.db2.gz CHKJQWOIPVNVLG-UHFFFAOYSA-N 0 3 248.717 2.633 20 0 BFADHN OCc1cc(N2CCC[C@H]2C2CCCC2)ccn1 ZINC000045086291 641609643 /nfs/dbraw/zinc/60/96/43/641609643.db2.gz HRWKXQFWPBPIGB-HNNXBMFYSA-N 0 3 246.354 2.733 20 0 BFADHN C[C@@H](NCc1ccn(C)c1)c1ccnc(Cl)c1 ZINC000158533646 641638263 /nfs/dbraw/zinc/63/82/63/641638263.db2.gz WRJDZNWPVDPHQM-SNVBAGLBSA-N 0 3 249.745 2.924 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1cccnc1Cl ZINC000159133248 641668157 /nfs/dbraw/zinc/66/81/57/641668157.db2.gz GQWQEEPKWKVCOW-UWVGGRQHSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H]1CN(Cc2ccc(F)nc2)CC[C@@H]1C ZINC000189370736 641804637 /nfs/dbraw/zinc/80/46/37/641804637.db2.gz CTLDFXUDKNXROP-QWRGUYRKSA-N 0 3 222.307 2.699 20 0 BFADHN CCCCCCC(=O)N(C)[C@H]1CCCN(C)C1 ZINC000153797133 641890671 /nfs/dbraw/zinc/89/06/71/641890671.db2.gz HCRFCLAFKAQEPX-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1nc(SC[C@H]2CCCN2C)sc1C ZINC000917103686 642010218 /nfs/dbraw/zinc/01/02/18/642010218.db2.gz ULTGXLNOJOJWBB-SNVBAGLBSA-N 0 3 242.413 2.946 20 0 BFADHN CN(CCc1cccc(F)c1)Cc1cccnc1 ZINC000930090885 642375598 /nfs/dbraw/zinc/37/55/98/642375598.db2.gz ZITCKKGFAGPEFT-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN COCCN(C/C=C\Cl)C1CCCC1 ZINC000919771094 642390033 /nfs/dbraw/zinc/39/00/33/642390033.db2.gz ZGQGMXCFUNTMQG-DAXSKMNVSA-N 0 3 217.740 2.630 20 0 BFADHN C[C@H]([NH2+][C@@H]1CCSC1)c1cc([O-])cc(F)c1 ZINC000925480626 642518777 /nfs/dbraw/zinc/51/87/77/642518777.db2.gz MVCRICDCPGTRJV-GZMMTYOYSA-N 0 3 241.331 2.687 20 0 BFADHN Cc1c(Cl)ccc(CNC[C@@H](C)O)c1Cl ZINC000921469521 642622251 /nfs/dbraw/zinc/62/22/51/642622251.db2.gz IVCCOGMZMHPSMI-SSDOTTSWSA-N 0 3 248.153 2.772 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@@H](C)CC ZINC000921474060 642632534 /nfs/dbraw/zinc/63/25/34/642632534.db2.gz LSAYNGLCNVFRFE-ZETCQYMHSA-N 0 3 215.728 2.514 20 0 BFADHN CCc1[nH]nc(Cl)c1CNC1CCCC1 ZINC000921473707 642633904 /nfs/dbraw/zinc/63/39/04/642633904.db2.gz YKSFHTOLFALVDB-UHFFFAOYSA-N 0 3 227.739 2.658 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](O)CC(C)C)o1 ZINC000305111803 642642011 /nfs/dbraw/zinc/64/20/11/642642011.db2.gz GGLKYSYEZCNDQI-RYUDHWBXSA-N 0 3 239.359 2.900 20 0 BFADHN Cc1ccoc1CN[C@@H](C)C[C@H](O)c1ccco1 ZINC000305709772 642676458 /nfs/dbraw/zinc/67/64/58/642676458.db2.gz FMTAONDYNVFFBB-RYUDHWBXSA-N 0 3 249.310 2.783 20 0 BFADHN Cc1ccc(CN[C@@H](C)C[C@@H](O)c2ccco2)o1 ZINC000305745778 642685689 /nfs/dbraw/zinc/68/56/89/642685689.db2.gz PHZYAUVYYVRTFW-GXFFZTMASA-N 0 3 249.310 2.783 20 0 BFADHN C[C@H](C[C@@H](O)c1ccccc1)NCc1ccco1 ZINC000305754513 642687345 /nfs/dbraw/zinc/68/73/45/642687345.db2.gz WHGQHBAGGNXQEH-IUODEOHRSA-N 0 3 245.322 2.881 20 0 BFADHN CC[C@@H]1CC[N@H+](Cc2ccc([O-])c(F)c2)C1 ZINC000233933432 642709250 /nfs/dbraw/zinc/70/92/50/642709250.db2.gz VYXVRWIKYRTVMN-SNVBAGLBSA-N 0 3 223.291 2.763 20 0 BFADHN CC[C@@H]1CC[N@@H+](Cc2ccc([O-])c(F)c2)C1 ZINC000233933432 642709270 /nfs/dbraw/zinc/70/92/70/642709270.db2.gz VYXVRWIKYRTVMN-SNVBAGLBSA-N 0 3 223.291 2.763 20 0 BFADHN COCC[C@H](C)N1CCc2c(F)cccc2C1 ZINC000270214836 642888304 /nfs/dbraw/zinc/88/83/04/642888304.db2.gz RIKSAGUQXMUHAE-NSHDSACASA-N 0 3 237.318 2.609 20 0 BFADHN C[C@H](CC(F)(F)F)N[C@@H]1CCCc2n[nH]cc21 ZINC000353684972 642927028 /nfs/dbraw/zinc/92/70/28/642927028.db2.gz HZCIVYMSHZYAED-VXNVDRBHSA-N 0 3 247.264 2.718 20 0 BFADHN c1ccc([C@@H]2CCCCN2CC2OCCO2)cc1 ZINC000273814034 642966863 /nfs/dbraw/zinc/96/68/63/642966863.db2.gz DSDRMAPHFKCUQW-AWEZNQCLSA-N 0 3 247.338 2.587 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](O)c2ccco2)c(C)o1 ZINC000070141826 642975894 /nfs/dbraw/zinc/97/58/94/642975894.db2.gz SEDUDGARTDUEAC-GWCFXTLKSA-N 0 3 249.310 2.874 20 0 BFADHN CSCCCCCCN[C@@H](C)c1ncc[nH]1 ZINC000286306653 643127835 /nfs/dbraw/zinc/12/78/35/643127835.db2.gz XHUGAUWUCMEVAP-NSHDSACASA-N 0 3 241.404 2.984 20 0 BFADHN CSC[C@H]1CCCN1C/C=C\Cl ZINC000254945395 643174393 /nfs/dbraw/zinc/17/43/93/643174393.db2.gz LLIOUOLWMJAIFA-AZVKUDOFSA-N 0 3 205.754 2.566 20 0 BFADHN CC(=O)[C@H]1CCCN(Cc2cc(F)ccc2C)C1 ZINC000097849470 643197457 /nfs/dbraw/zinc/19/74/57/643197457.db2.gz HSBCFSZAILVKMA-ZDUSSCGKSA-N 0 3 249.329 2.935 20 0 BFADHN Cc1csc([C@H](C)NCCCCCCO)n1 ZINC000184218200 643198142 /nfs/dbraw/zinc/19/81/42/643198142.db2.gz NNLDOTRYYMVOKV-NSHDSACASA-N 0 3 242.388 2.655 20 0 BFADHN COc1ccccc1CCN(C)Cc1ccoc1 ZINC000093105303 643336170 /nfs/dbraw/zinc/33/61/70/643336170.db2.gz LMUCIRWGMIAQRZ-UHFFFAOYSA-N 0 3 245.322 2.963 20 0 BFADHN CCOC(=O)[C@@H](CC)N1CCCCCCC1 ZINC000082760970 643376665 /nfs/dbraw/zinc/37/66/65/643376665.db2.gz HWSQXKWOKATTKZ-GFCCVEGCSA-N 0 3 227.348 2.594 20 0 BFADHN C[C@H]1CC[C@H]1NCc1cccnc1Cl ZINC000307528326 643497644 /nfs/dbraw/zinc/49/76/44/643497644.db2.gz GLRDXJLYISGSQC-WCBMZHEXSA-N 0 3 210.708 2.623 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1cccnc1Cl ZINC000307528328 643498042 /nfs/dbraw/zinc/49/80/42/643498042.db2.gz GLRDXJLYISGSQC-WPRPVWTQSA-N 0 3 210.708 2.623 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1c(Cl)n[nH]c1C1CC1 ZINC000921528601 643588158 /nfs/dbraw/zinc/58/81/58/643588158.db2.gz GQSJMPDALJJVNO-IONNQARKSA-N 0 3 239.750 2.686 20 0 BFADHN Cc1nn(C)c(CN[C@@H]2CC=CCC2)c1Cl ZINC000084270927 643656365 /nfs/dbraw/zinc/65/63/65/643656365.db2.gz UGDHSGMFEQCOSD-SNVBAGLBSA-N 0 3 239.750 2.580 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H]2CCC=CO2)o1 ZINC000050268223 643671712 /nfs/dbraw/zinc/67/17/12/643671712.db2.gz RHWACYUWKIFVEH-RYUDHWBXSA-N 0 3 221.300 2.931 20 0 BFADHN FC(F)(F)CCNCc1cnn(C2CCC2)c1 ZINC000921804843 643797373 /nfs/dbraw/zinc/79/73/73/643797373.db2.gz NYLHDUTUWBLZAA-UHFFFAOYSA-N 0 3 247.264 2.650 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H](O)c2ccccc2)o1 ZINC000035188399 643913704 /nfs/dbraw/zinc/91/37/04/643913704.db2.gz WLMBUGCLKNHRDA-OCCSQVGLSA-N 0 3 245.322 2.972 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000922256853 643927601 /nfs/dbraw/zinc/92/76/01/643927601.db2.gz ATAQXJMXWRKJSB-VROVMSAKSA-N 0 3 239.750 2.514 20 0 BFADHN CC(C)(/C=C\Cl)NCc1cccc2nccn21 ZINC000922648539 644053383 /nfs/dbraw/zinc/05/33/83/644053383.db2.gz NAEBRYIRYHUIAS-SREVYHEPSA-N 0 3 249.745 2.955 20 0 BFADHN Cc1ccc2oc(CN[C@@H]3COC[C@H]3C)cc2c1 ZINC000922642535 644058076 /nfs/dbraw/zinc/05/80/76/644058076.db2.gz XRBIUMMJOGOMHY-BXUZGUMPSA-N 0 3 245.322 2.866 20 0 BFADHN COc1ccc([C@H](C)NCc2cn[nH]c2)cc1C ZINC000922674733 644065910 /nfs/dbraw/zinc/06/59/10/644065910.db2.gz MIGGCJCVIJTYRE-NSHDSACASA-N 0 3 245.326 2.578 20 0 BFADHN c1cc(CNC[C@@H]2CCCC3(CCC3)O2)co1 ZINC000922810619 644099507 /nfs/dbraw/zinc/09/95/07/644099507.db2.gz GTXKNYSQAOWVBM-ZDUSSCGKSA-N 0 3 235.327 2.861 20 0 BFADHN Cc1cnc(CN[C@@H]2CCS[C@@H](C)C2)s1 ZINC000922838715 644117979 /nfs/dbraw/zinc/11/79/79/644117979.db2.gz NXVSUAUDVDLCHC-WCBMZHEXSA-N 0 3 242.413 2.825 20 0 BFADHN CC[C@H]1C[C@H](N[C@H]2CCCc3cccnc32)CO1 ZINC000922884355 644125249 /nfs/dbraw/zinc/12/52/49/644125249.db2.gz AKJZLLUMAYFJTK-IHRRRGAJSA-N 0 3 246.354 2.616 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H]1CCCc2cccnc21 ZINC000922884153 644126705 /nfs/dbraw/zinc/12/67/05/644126705.db2.gz OIPDTYVYTQLGOB-OCCSQVGLSA-N 0 3 248.370 2.862 20 0 BFADHN CCc1ccccc1CNc1cc(C)nc(N)n1 ZINC000086485521 644141111 /nfs/dbraw/zinc/14/11/11/644141111.db2.gz KCRGSEVGEGPSIS-UHFFFAOYSA-N 0 3 242.326 2.542 20 0 BFADHN c1nc(CNCC23CC4CC(CC(C4)C2)C3)co1 ZINC000192280478 644141823 /nfs/dbraw/zinc/14/18/23/644141823.db2.gz HBTORTHCHWDSDC-UHFFFAOYSA-N 0 3 246.354 2.981 20 0 BFADHN Cc1cc(N2CCCCCCCC2)nc(N)n1 ZINC000322029198 644183881 /nfs/dbraw/zinc/18/38/81/644183881.db2.gz HHDGYJHTCRGAIV-UHFFFAOYSA-N 0 3 234.347 2.528 20 0 BFADHN CC(C)C[C@@H](C)NCc1cc(C2CC2)n(C)n1 ZINC000922957179 644199983 /nfs/dbraw/zinc/19/99/83/644199983.db2.gz PBHVHIMRRGFKAA-LLVKDONJSA-N 0 3 235.375 2.822 20 0 BFADHN CC(C)n1cc(CNCC2CCC=CCC2)nn1 ZINC000922966740 644202767 /nfs/dbraw/zinc/20/27/67/644202767.db2.gz WENBYHNZFQVZJH-UHFFFAOYSA-N 0 3 248.374 2.695 20 0 BFADHN C[C@@H]1CCC[C@@H]([NH2+]Cc2cncc([O-])c2)CC1 ZINC000922976558 644211156 /nfs/dbraw/zinc/21/11/56/644211156.db2.gz ZXHBMWIZKLJMOA-DGCLKSJQSA-N 0 3 234.343 2.846 20 0 BFADHN C[C@H]1CCC[C@@H]([NH2+]Cc2cncc([O-])c2)CC1 ZINC000922976559 644211517 /nfs/dbraw/zinc/21/15/17/644211517.db2.gz ZXHBMWIZKLJMOA-WCQYABFASA-N 0 3 234.343 2.846 20 0 BFADHN CCCOC(=O)[C@H](C)N(CC1CCC1)C(C)C ZINC000193201308 644222808 /nfs/dbraw/zinc/22/28/08/644222808.db2.gz DLMHEMUBMISEQW-LBPRGKRZSA-N 0 3 241.375 2.839 20 0 BFADHN O[C@@H](CNC/C=C/c1ccccc1)c1ccco1 ZINC000080189846 644237416 /nfs/dbraw/zinc/23/74/16/644237416.db2.gz GAMKSYFPNNQCGE-PXYYCUNGSA-N 0 3 243.306 2.616 20 0 BFADHN Cc1cc(CN[C@]23C[C@H]2COC32CCC2)c(C)o1 ZINC000923032186 644239979 /nfs/dbraw/zinc/23/99/79/644239979.db2.gz VEVRVFJZRJDAMC-DZGCQCFKSA-N 0 3 247.338 2.698 20 0 BFADHN COCC[C@@H](C)N(C)Cc1ccc(Cl)nc1 ZINC000088524929 644521375 /nfs/dbraw/zinc/52/13/75/644521375.db2.gz KZPPLYYUPSZLPR-SNVBAGLBSA-N 0 3 242.750 2.592 20 0 BFADHN C[C@H](NCCC1CCC1)c1nccs1 ZINC000090233526 644584541 /nfs/dbraw/zinc/58/45/41/644584541.db2.gz CDWCXIWJUUEKMA-VIFPVBQESA-N 0 3 210.346 2.984 20 0 BFADHN CCCCCCN(CCO)Cc1ccco1 ZINC000091917038 644643348 /nfs/dbraw/zinc/64/33/48/644643348.db2.gz ATURXZUFKYARFE-UHFFFAOYSA-N 0 3 225.332 2.654 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@@H]1NCc1ccon1 ZINC000093946507 644705435 /nfs/dbraw/zinc/70/54/35/644705435.db2.gz MAVWFLXSXYLYRF-LOWVWBTDSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1cc(N(C)C2CCC(C)(C)CC2)nc(N)n1 ZINC000095016413 644737065 /nfs/dbraw/zinc/73/70/65/644737065.db2.gz FYSQQLZPPGVGFU-UHFFFAOYSA-N 0 3 248.374 2.772 20 0 BFADHN Cc1ccc2c(c1)[C@@H](N[C@H]1CCCSC1)CO2 ZINC000783517194 644755956 /nfs/dbraw/zinc/75/59/56/644755956.db2.gz GJYBWNWBKHBENF-AAEUAGOBSA-N 0 3 249.379 2.914 20 0 BFADHN Cc1cc(N[C@@H]2CC[C@H](C)C[C@H]2C)nc(N)n1 ZINC000252674632 644875271 /nfs/dbraw/zinc/87/52/71/644875271.db2.gz SXGTYLLQZGRRMM-IQJOONFLSA-N 0 3 234.347 2.604 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@H]1NCc1ccon1 ZINC000252740184 644897520 /nfs/dbraw/zinc/89/75/20/644897520.db2.gz MAVWFLXSXYLYRF-DMDPSCGWSA-N 0 3 222.332 2.979 20 0 BFADHN CCc1noc(C)c1[C@@H](C)NC[C@H](CC)OC ZINC000810261249 644897627 /nfs/dbraw/zinc/89/76/27/644897627.db2.gz UKZHOTOVWGRLBV-KOLCDFICSA-N 0 3 240.347 2.621 20 0 BFADHN c1cnc(CN2CC[C@@H](C3CCCCC3)C2)cn1 ZINC000827125703 645073460 /nfs/dbraw/zinc/07/34/60/645073460.db2.gz PBOSPIAYOXHKHJ-CQSZACIVSA-N 0 3 245.370 2.879 20 0 BFADHN CC[C@H](C(=O)N1CCCCCCCC1)N(C)C ZINC000836922671 645181838 /nfs/dbraw/zinc/18/18/38/645181838.db2.gz FGUITEFYLXTNNP-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1COC(C)(C)C1 ZINC000841863760 645233264 /nfs/dbraw/zinc/23/32/64/645233264.db2.gz FAOFNSRZOKZHEE-NSHDSACASA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)n1cc([C@@H](C)NC[C@@H]2C[C@H]2C2CC2)nn1 ZINC000926552685 645275258 /nfs/dbraw/zinc/27/52/58/645275258.db2.gz XDIRYCWIKJOXEC-WXHSDQCUSA-N 0 3 248.374 2.556 20 0 BFADHN Cc1cc(CN[C@H](C)C2(Cl)CC2)cc(C)n1 ZINC000926602046 645309370 /nfs/dbraw/zinc/30/93/70/645309370.db2.gz QYJPSNBLKUOBQY-LLVKDONJSA-N 0 3 238.762 2.948 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@@H]1CCC[C@H](C2CC2)C1 ZINC000926616279 645317312 /nfs/dbraw/zinc/31/73/12/645317312.db2.gz HPETXRCONTVNBX-JIMOISOXSA-N 0 3 249.358 2.997 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@H](C)c1cc(CO)on1 ZINC000926684927 645356113 /nfs/dbraw/zinc/35/61/13/645356113.db2.gz FXUHGLNTHPVTFV-ZJUUUORDSA-N 0 3 240.347 2.642 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H](C)O1)c1ccns1 ZINC000926703467 645370077 /nfs/dbraw/zinc/37/00/77/645370077.db2.gz UIWAVENVSHCLBU-VWYCJHECSA-N 0 3 240.372 2.751 20 0 BFADHN CC(C)[C@@H](N[C@@H](C)c1ncco1)C1CC1 ZINC000926721487 645384754 /nfs/dbraw/zinc/38/47/54/645384754.db2.gz YGSZLEOZXIIRFW-GXSJLCMTSA-N 0 3 208.305 2.760 20 0 BFADHN COc1ccnc([C@@H](C)N[C@@]2(C)CC2(C)C)c1 ZINC000926720992 645384982 /nfs/dbraw/zinc/38/49/82/645384982.db2.gz FTCNDGYASPKKSX-YGRLFVJLSA-N 0 3 234.343 2.929 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](c1ccccn1)C1CCC1 ZINC000926728662 645389643 /nfs/dbraw/zinc/38/96/43/645389643.db2.gz UVBGELHQGNAAFN-KFWWJZLASA-N 0 3 246.354 2.690 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NCC1CC(C)C1 ZINC000926737114 645395624 /nfs/dbraw/zinc/39/56/24/645395624.db2.gz LOXFZLIGJMIJPG-NHNAUAITSA-N 0 3 234.343 2.996 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1ccns1)OCC ZINC000926743642 645397937 /nfs/dbraw/zinc/39/79/37/645397937.db2.gz YAZISQWBMGBLCZ-WDEREUQCSA-N 0 3 242.388 2.999 20 0 BFADHN CC(C)CC[C@H](CO)N[C@@H](C)c1ccns1 ZINC000926752628 645404148 /nfs/dbraw/zinc/40/41/48/645404148.db2.gz HGMOPLBCHDSWCS-WDEREUQCSA-N 0 3 242.388 2.591 20 0 BFADHN COc1cc([C@H](C)N[C@H]2CCCC23CC3)on1 ZINC000926776741 645422883 /nfs/dbraw/zinc/42/28/83/645422883.db2.gz FOAZCCSLTLVMRL-ONGXEEELSA-N 0 3 236.315 2.667 20 0 BFADHN C[C@@H]1OC[C@H](NCc2cscc2Cl)[C@@H]1C ZINC000926865795 645462583 /nfs/dbraw/zinc/46/25/83/645462583.db2.gz RDRDJUHGGCXSAN-FYBVGQRMSA-N 0 3 245.775 2.915 20 0 BFADHN C[C@@H](NCc1cscc1Cl)C(C)(C)CO ZINC000926867673 645466557 /nfs/dbraw/zinc/46/65/57/645466557.db2.gz KVHJHYDTQXKMIX-MRVPVSSYSA-N 0 3 247.791 2.898 20 0 BFADHN COC[C@H](CN[C@H](C)c1cc(C)on1)C(C)C ZINC000927097099 645547855 /nfs/dbraw/zinc/54/78/55/645547855.db2.gz RNWLZFZGQYJWLZ-NEPJUHHUSA-N 0 3 240.347 2.552 20 0 BFADHN COC[C@@H](CN[C@H](C)c1cc(C)on1)C(C)C ZINC000927097102 645549972 /nfs/dbraw/zinc/54/99/72/645549972.db2.gz RNWLZFZGQYJWLZ-VXGBXAGGSA-N 0 3 240.347 2.552 20 0 BFADHN C[C@H](NC1([C@@H]2CCCCO2)CC1)c1ccccn1 ZINC000927158884 645577505 /nfs/dbraw/zinc/57/75/05/645577505.db2.gz CSMBNAVIEAIMKS-JSGCOSHPSA-N 0 3 246.354 2.834 20 0 BFADHN C[C@H](N[C@H]1C[C@H](CO)C1)c1ccccc1Cl ZINC000927154135 645578749 /nfs/dbraw/zinc/57/87/49/645578749.db2.gz ZUZQLBRADVHZNK-DCAQKATOSA-N 0 3 239.746 2.762 20 0 BFADHN CO[C@H]1C[C@@H](CN2CC[C@@H]2c2ccc(F)cc2)C1 ZINC000878921920 645825899 /nfs/dbraw/zinc/82/58/99/645825899.db2.gz YAEAEGOABQYQRA-BYCMXARLSA-N 0 3 249.329 2.998 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C ZINC000886693501 645890357 /nfs/dbraw/zinc/89/03/57/645890357.db2.gz CIUBSDGOQSIQQI-HTOAHKCRSA-N 0 3 247.386 2.846 20 0 BFADHN c1c(CNC2(C3CC3)CCC2)onc1C1CC1 ZINC000887148593 645894620 /nfs/dbraw/zinc/89/46/20/645894620.db2.gz LHTGACHNDDORNS-UHFFFAOYSA-N 0 3 232.327 2.974 20 0 BFADHN CN(CCCc1cccnc1)Cc1ccco1 ZINC000929137617 645966097 /nfs/dbraw/zinc/96/60/97/645966097.db2.gz FPXWTZPPLSLSSQ-UHFFFAOYSA-N 0 3 230.311 2.739 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnc(CC)o1 ZINC000929252012 646031397 /nfs/dbraw/zinc/03/13/97/646031397.db2.gz JKGQFVBJJHPXHM-SNVBAGLBSA-N 0 3 210.321 2.857 20 0 BFADHN Cc1cn(C)nc1CN(C)[C@@H](C)C(C)(C)C ZINC000929249332 646033435 /nfs/dbraw/zinc/03/34/35/646033435.db2.gz HPEYINRPUSFZHM-NSHDSACASA-N 0 3 223.364 2.595 20 0 BFADHN CCCCN(Cc1cnn(CCF)c1)C1CC1 ZINC000929265828 646045395 /nfs/dbraw/zinc/04/53/95/646045395.db2.gz BEHSXXBLDHBRSF-UHFFFAOYSA-N 0 3 239.338 2.617 20 0 BFADHN CCC[C@@H](C)N[C@H](C)c1cn(C(C)C)nn1 ZINC000924528541 646048868 /nfs/dbraw/zinc/04/88/68/646048868.db2.gz NKFXCPPNHLPTIE-GHMZBOCLSA-N 0 3 224.352 2.698 20 0 BFADHN CCCCCC[C@@H](C)N[C@@H](C)c1cnn(C)n1 ZINC000924527712 646048991 /nfs/dbraw/zinc/04/89/91/646048991.db2.gz JJWDOFGEKNXORM-NEPJUHHUSA-N 0 3 238.379 2.825 20 0 BFADHN CCCCCC[C@H](C)N[C@@H](C)c1cnn(C)n1 ZINC000924527718 646049024 /nfs/dbraw/zinc/04/90/24/646049024.db2.gz JJWDOFGEKNXORM-RYUDHWBXSA-N 0 3 238.379 2.825 20 0 BFADHN CCCCCC[C@H](C)N[C@H](C)c1cnn(C)n1 ZINC000924527715 646049175 /nfs/dbraw/zinc/04/91/75/646049175.db2.gz JJWDOFGEKNXORM-NWDGAFQWSA-N 0 3 238.379 2.825 20 0 BFADHN CC(C)C[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000924530846 646051073 /nfs/dbraw/zinc/05/10/73/646051073.db2.gz GXDIWHQHYFQLHT-VIFPVBQESA-N 0 3 211.280 2.838 20 0 BFADHN CCC(CC)N[C@@H](C)c1cn(C(C)C)nn1 ZINC000924534115 646052042 /nfs/dbraw/zinc/05/20/42/646052042.db2.gz IYEATEUEHGRQSM-JTQLQIEISA-N 0 3 224.352 2.698 20 0 BFADHN C[C@H](NC1CCCCCC1)c1cc(CO)on1 ZINC000924535741 646055700 /nfs/dbraw/zinc/05/57/00/646055700.db2.gz OAVWKJCXDOYGMU-JTQLQIEISA-N 0 3 238.331 2.540 20 0 BFADHN CCCCCCN[C@@H](C)c1cc(OC)no1 ZINC000924540119 646059358 /nfs/dbraw/zinc/05/93/58/646059358.db2.gz VVEFZCQFOGOVOS-JTQLQIEISA-N 0 3 226.320 2.914 20 0 BFADHN CSCCN[C@H]1CCc2c1nccc2Cl ZINC000924550073 646066157 /nfs/dbraw/zinc/06/61/57/646066157.db2.gz BQDXWTHYEKTSFZ-JTQLQIEISA-N 0 3 242.775 2.675 20 0 BFADHN CC(C)[C@@H](C)N[C@H](C)c1cn(C(C)C)nn1 ZINC000924569075 646070298 /nfs/dbraw/zinc/07/02/98/646070298.db2.gz FZFTXWVVEDSHHQ-GHMZBOCLSA-N 0 3 224.352 2.554 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N[C@@H](C)c1nonc1C ZINC000924620097 646079094 /nfs/dbraw/zinc/07/90/94/646079094.db2.gz LNWZCPRSFSUGOL-MVWJERBFSA-N 0 3 237.347 2.997 20 0 BFADHN Cc1cccc2c1[C@@H](NCc1ccnn1C)CC2 ZINC000924643377 646083650 /nfs/dbraw/zinc/08/36/50/646083650.db2.gz JSRLMZZJKSLSRU-AWEZNQCLSA-N 0 3 241.338 2.506 20 0 BFADHN CC[C@H](N[C@@H](C)c1cn(C(C)C)nn1)C(C)C ZINC000924799739 646109852 /nfs/dbraw/zinc/10/98/52/646109852.db2.gz NXTMEXCYVRENEG-RYUDHWBXSA-N 0 3 238.379 2.944 20 0 BFADHN CC[C@@H](N[C@H](C)c1cn(C(C)C)nn1)C(C)C ZINC000924799740 646110622 /nfs/dbraw/zinc/11/06/22/646110622.db2.gz NXTMEXCYVRENEG-VXGBXAGGSA-N 0 3 238.379 2.944 20 0 BFADHN COCC[C@@H](C)[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000924892782 646126727 /nfs/dbraw/zinc/12/67/27/646126727.db2.gz XKRSOHLGXBADKB-ZJUUUORDSA-N 0 3 241.306 2.607 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@@H](C)C1(Cl)CC1 ZINC000924948751 646136831 /nfs/dbraw/zinc/13/68/31/646136831.db2.gz JBEBCOFJGDPYRB-WPRPVWTQSA-N 0 3 241.766 2.539 20 0 BFADHN Cc1nonc1[C@H](C)NC1C[C@@H](C)C[C@H](C)C1 ZINC000925115247 646188139 /nfs/dbraw/zinc/18/81/39/646188139.db2.gz ISLSZSXVOJUAEE-GUBZILKMSA-N 0 3 237.347 2.853 20 0 BFADHN CC[C@H](C)CN(C)[C@H](C)c1snnc1C ZINC000929618805 646204682 /nfs/dbraw/zinc/20/46/82/646204682.db2.gz JGGHNJPRUIPHPZ-WCBMZHEXSA-N 0 3 227.377 2.885 20 0 BFADHN CC[C@@H]1CN(CCCc2cccnc2)C[C@H](C)O1 ZINC000929621773 646205735 /nfs/dbraw/zinc/20/57/35/646205735.db2.gz RKINOHIQVGDVTO-DZGCQCFKSA-N 0 3 248.370 2.514 20 0 BFADHN CCc1onc(C)c1CN(CC)C1CCC1 ZINC000929680359 646222075 /nfs/dbraw/zinc/22/20/75/646222075.db2.gz OWSLLLNFYCBWNF-UHFFFAOYSA-N 0 3 222.332 2.920 20 0 BFADHN C[C@H]1SCCN(CCc2ccns2)[C@H]1C ZINC000929674451 646234078 /nfs/dbraw/zinc/23/40/78/646234078.db2.gz KKGFQVPMDLVKML-VHSXEESVSA-N 0 3 242.413 2.511 20 0 BFADHN CCO[C@H]1CCCN(Cc2cc(C)cc(C)n2)C1 ZINC000929678243 646237053 /nfs/dbraw/zinc/23/70/53/646237053.db2.gz IJQVRHFURZUBDL-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN CCc1ncc(CN(CC)C2CCC2)o1 ZINC000929681535 646240266 /nfs/dbraw/zinc/24/02/66/646240266.db2.gz XCOKHSLOSZSHDX-UHFFFAOYSA-N 0 3 208.305 2.611 20 0 BFADHN CCc1ncc(CN2CCC[C@@H](C)[C@@H]2C)o1 ZINC000929704208 646259353 /nfs/dbraw/zinc/25/93/53/646259353.db2.gz PDCOXSWIAURIID-MNOVXSKESA-N 0 3 222.332 2.857 20 0 BFADHN Cc1cn(C)nc1CN1CCCC(C)(C)CC1 ZINC000929709628 646264041 /nfs/dbraw/zinc/26/40/41/646264041.db2.gz DYORVIYGWPLRGY-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1nc(C)c(CN(C)CCc2cccs2)[nH]1 ZINC000929730691 646277346 /nfs/dbraw/zinc/27/73/46/646277346.db2.gz ILRKXRGEBWRYEW-UHFFFAOYSA-N 0 3 249.383 2.763 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@H]2CC=CCC2)nn1 ZINC000925437934 646284061 /nfs/dbraw/zinc/28/40/61/646284061.db2.gz RZXGHOGTRJYXIL-NEPJUHHUSA-N 0 3 234.347 2.618 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@@H](CC(C)C)C1 ZINC000929763823 646294216 /nfs/dbraw/zinc/29/42/16/646294216.db2.gz WNQOCFFNRPKWCD-ZDUSSCGKSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@H]([NH2+]C1CC(C)C1)c1cc([O-])cc(F)c1 ZINC000925486484 646297991 /nfs/dbraw/zinc/29/79/91/646297991.db2.gz HIGUQXZDBTXREZ-XEVUQIKYSA-N 0 3 223.291 2.980 20 0 BFADHN CC[C@@H](C)CN(CC)[C@H](C)c1ncccn1 ZINC000929786738 646314824 /nfs/dbraw/zinc/31/48/24/646314824.db2.gz ZBQHGDDVKJAUDE-VXGBXAGGSA-N 0 3 221.348 2.906 20 0 BFADHN C[C@@H]1C[C@@H](NCC2(C)CCCCC2)c2ncnn21 ZINC000925522875 646315540 /nfs/dbraw/zinc/31/55/40/646315540.db2.gz IXHVXPIVFMRSTH-VXGBXAGGSA-N 0 3 248.374 2.844 20 0 BFADHN Cc1nnsc1[C@H](C)N1CC[C@@H](C(C)C)C1 ZINC000929819973 646336394 /nfs/dbraw/zinc/33/63/94/646336394.db2.gz AHUMQIAVIGJHMS-WDEREUQCSA-N 0 3 239.388 2.885 20 0 BFADHN Cc1nnsc1[C@H](C)N1CC[C@H](C(C)C)C1 ZINC000929819972 646337169 /nfs/dbraw/zinc/33/71/69/646337169.db2.gz AHUMQIAVIGJHMS-QWRGUYRKSA-N 0 3 239.388 2.885 20 0 BFADHN CCN(CCc1ccns1)Cc1ccccn1 ZINC000929822409 646338568 /nfs/dbraw/zinc/33/85/68/646338568.db2.gz ANQQOJOKCJWDEB-UHFFFAOYSA-N 0 3 247.367 2.603 20 0 BFADHN CC[C@H]1CCN([C@H](C)c2snnc2C)C1 ZINC000929824419 646341542 /nfs/dbraw/zinc/34/15/42/646341542.db2.gz WPJJPHIJQWOXTQ-ZJUUUORDSA-N 0 3 225.361 2.639 20 0 BFADHN Cc1cn(C)nc1CN1CCC[C@H](CC(C)C)C1 ZINC000929835842 646349165 /nfs/dbraw/zinc/34/91/65/646349165.db2.gz STSNOQJGBAYPCM-CQSZACIVSA-N 0 3 249.402 2.987 20 0 BFADHN COc1cc([C@H](C)N[C@@H](C)CC(C)C)on1 ZINC000925599608 646353685 /nfs/dbraw/zinc/35/36/85/646353685.db2.gz ARIOXCIOLZANEQ-UWVGGRQHSA-N 0 3 226.320 2.768 20 0 BFADHN COC/C(C)=C\CN1CCC(C(F)F)CC1 ZINC000929845041 646354139 /nfs/dbraw/zinc/35/41/39/646354139.db2.gz ACZDMLTYKBCSNO-KMKOMSMNSA-N 0 3 233.302 2.556 20 0 BFADHN COC/C(C)=C/CN1CCC[C@H]1c1ccc[nH]1 ZINC000929883957 646368272 /nfs/dbraw/zinc/36/82/72/646368272.db2.gz COKDYHRMTJDNEZ-GMMCIKNFSA-N 0 3 234.343 2.744 20 0 BFADHN COC/C(C)=C\CN1CCC[C@@H]1c1ccc[nH]1 ZINC000929883966 646368717 /nfs/dbraw/zinc/36/87/17/646368717.db2.gz COKDYHRMTJDNEZ-YRBHFNIASA-N 0 3 234.343 2.744 20 0 BFADHN COc1cc([C@@H](C)N[C@H](C)C2CCC2)on1 ZINC000925634972 646378732 /nfs/dbraw/zinc/37/87/32/646378732.db2.gz LDVTZVGDHHBUOY-RKDXNWHRSA-N 0 3 224.304 2.522 20 0 BFADHN CC[C@]1(C)COCCN1CCCc1cccnc1 ZINC000930123180 646448059 /nfs/dbraw/zinc/44/80/59/646448059.db2.gz AZSVYLVBBBZFMF-OAHLLOKOSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@@H](NCc1cc(N)ccn1)c1ccsc1 ZINC000925766804 646467991 /nfs/dbraw/zinc/46/79/91/646467991.db2.gz MCHJLVJXXHDPFA-CYBMUJFWSA-N 0 3 247.367 2.966 20 0 BFADHN C[C@@H](NCc1cc(N)ccn1)c1cccs1 ZINC000925772585 646473718 /nfs/dbraw/zinc/47/37/18/646473718.db2.gz UTEQZQKAZLGRMM-SECBINFHSA-N 0 3 233.340 2.576 20 0 BFADHN C[C@@H](N[C@@H]1CC2CCC1CC2)c1ncco1 ZINC000925899547 646536218 /nfs/dbraw/zinc/53/62/18/646536218.db2.gz SYFJKTIRPRXDKP-HBIQZDMRSA-N 0 3 220.316 2.904 20 0 BFADHN C[C@@H](N[C@@H]1CCC(F)(F)C1)c1ccns1 ZINC000925928346 646544702 /nfs/dbraw/zinc/54/47/02/646544702.db2.gz BOIGEKANYKUWBV-HTQZYQBOSA-N 0 3 232.299 2.982 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2CC[C@@H](C)C2)nn1 ZINC000926233877 646602594 /nfs/dbraw/zinc/60/25/94/646602594.db2.gz XUKLHCHRBWPVFT-GRYCIOLGSA-N 0 3 236.363 2.698 20 0 BFADHN Cc1nonc1[C@H](C)N[C@H]1CCC[C@@H](C)CC1 ZINC000926243296 646603340 /nfs/dbraw/zinc/60/33/40/646603340.db2.gz KDQUOSWHLWACOM-SCVCMEIPSA-N 0 3 237.347 2.997 20 0 BFADHN C[C@H](NCCF)c1cc2ccccc2[nH]1 ZINC000926348834 646623778 /nfs/dbraw/zinc/62/37/78/646623778.db2.gz QGHMNTSLNKMFBV-VIFPVBQESA-N 0 3 206.264 2.788 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2CCC2(C)C)on1 ZINC000926423487 646635972 /nfs/dbraw/zinc/63/59/72/646635972.db2.gz LXECBXRFFRQOGH-WCBMZHEXSA-N 0 3 224.304 2.522 20 0 BFADHN C[C@H]1COC[C@H]1NCc1ccc(C2CC2)cc1F ZINC000926463408 646649897 /nfs/dbraw/zinc/64/98/97/646649897.db2.gz AWGFMAGJFVYZSL-ZUZCIYMTSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NCCCCF ZINC000926464832 646650491 /nfs/dbraw/zinc/65/04/91/646650491.db2.gz XYWHNDGJLLFOGU-SNVBAGLBSA-N 0 3 226.295 2.700 20 0 BFADHN COc1cc([C@@H](C)NC2(C(C)C)CC2)on1 ZINC000926488803 646659269 /nfs/dbraw/zinc/65/92/69/646659269.db2.gz BVHQIJSDOKQTNU-SECBINFHSA-N 0 3 224.304 2.522 20 0 BFADHN COc1cc([C@H](C)NC2(C(C)C)CC2)on1 ZINC000926488804 646659447 /nfs/dbraw/zinc/65/94/47/646659447.db2.gz BVHQIJSDOKQTNU-VIFPVBQESA-N 0 3 224.304 2.522 20 0 BFADHN CC(C)(C)[C@@H](NCc1nnc(C2CC2)[nH]1)C1CC1 ZINC000926505284 646665813 /nfs/dbraw/zinc/66/58/13/646665813.db2.gz GMPYUYQYJKFSSR-LBPRGKRZSA-N 0 3 248.374 2.596 20 0 BFADHN Cn1c(Cl)cnc1CNCCC1CC=CC1 ZINC000724192801 711568442 /nfs/dbraw/zinc/56/84/42/711568442.db2.gz AZMHJNAVOUEZFY-UHFFFAOYSA-N 0 3 239.750 2.519 20 0 BFADHN Cc1nc(CNCCC2CC=CC2)cs1 ZINC000724207407 711570167 /nfs/dbraw/zinc/57/01/67/711570167.db2.gz MURYRFDCGPVGGY-UHFFFAOYSA-N 0 3 222.357 2.897 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@H](CCF)C2)[nH]1 ZINC000724778074 711613223 /nfs/dbraw/zinc/61/32/23/711613223.db2.gz QXGGFULACCNLAC-GFCCVEGCSA-N 0 3 239.338 2.598 20 0 BFADHN Cc1csc(CNCC[C@@H]2CC2(F)F)n1 ZINC000701135391 712016544 /nfs/dbraw/zinc/01/65/44/712016544.db2.gz PGBGRYSDJYIBGA-MRVPVSSYSA-N 0 3 232.299 2.586 20 0 BFADHN Clc1ccc(CNC[C@H]2CCCS2)nc1 ZINC000703906330 712094169 /nfs/dbraw/zinc/09/41/69/712094169.db2.gz QHTVYBRBPSFQDA-LLVKDONJSA-N 0 3 242.775 2.720 20 0 BFADHN Clc1ccc(CNC[C@@H]2CCCS2)nc1 ZINC000703906332 712094229 /nfs/dbraw/zinc/09/42/29/712094229.db2.gz QHTVYBRBPSFQDA-NSHDSACASA-N 0 3 242.775 2.720 20 0 BFADHN CC1(C)CO[C@@H](CNCc2ccc(Cl)o2)C1 ZINC000708420961 712194158 /nfs/dbraw/zinc/19/41/58/712194158.db2.gz FUPVEZUINMBLAM-SNVBAGLBSA-N 0 3 243.734 2.838 20 0 BFADHN CC(F)(F)CCN[C@H]1CCc2cccnc21 ZINC000379279703 712372646 /nfs/dbraw/zinc/37/26/46/712372646.db2.gz CCBBPFMLGYGICP-JTQLQIEISA-N 0 3 226.270 2.704 20 0 BFADHN Cc1nc(CNCC[C@@H]2CCCS2)cs1 ZINC000715841996 712533166 /nfs/dbraw/zinc/53/31/66/712533166.db2.gz SGKGEGFQWOFATA-NSHDSACASA-N 0 3 242.413 2.827 20 0 BFADHN CCCn1cc([C@H](C)NCCC=C(C)C)nn1 ZINC000715891092 712540456 /nfs/dbraw/zinc/54/04/56/712540456.db2.gz ACRUQOXRWXQLSH-LBPRGKRZSA-N 0 3 236.363 2.695 20 0 BFADHN C[C@H](NC[C@@H]1CC(C)(C)CO1)c1ccns1 ZINC000715898967 712541325 /nfs/dbraw/zinc/54/13/25/712541325.db2.gz KAVULKFECOMUKU-UWVGGRQHSA-N 0 3 240.372 2.609 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1ccns1)OC ZINC000716048046 712548393 /nfs/dbraw/zinc/54/83/93/712548393.db2.gz OKLSCGWHCZDHMD-MWLCHTKSSA-N 0 3 228.361 2.609 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1ccns1)OC ZINC000716048040 712548467 /nfs/dbraw/zinc/54/84/67/712548467.db2.gz OKLSCGWHCZDHMD-GXSJLCMTSA-N 0 3 228.361 2.609 20 0 BFADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1ccns1 ZINC000715998471 712551944 /nfs/dbraw/zinc/55/19/44/712551944.db2.gz NQLUTPCGYXMCIZ-UWVGGRQHSA-N 0 3 240.372 2.751 20 0 BFADHN C[C@H](NCCOC1CCCC1)c1ccns1 ZINC000716014331 712552627 /nfs/dbraw/zinc/55/26/27/712552627.db2.gz KBCJLIREVIDDMT-JTQLQIEISA-N 0 3 240.372 2.753 20 0 BFADHN CC[C@H](C[C@@H](C)O)NCc1cc(F)ccc1F ZINC000716847632 712578400 /nfs/dbraw/zinc/57/84/00/712578400.db2.gz BBHQNVVBBBZGCW-BXKDBHETSA-N 0 3 243.297 2.604 20 0 BFADHN Cc1cc(CNCC[C@@H]2CC[C@@H]3C[C@@H]32)on1 ZINC000717055527 712626034 /nfs/dbraw/zinc/62/60/34/712626034.db2.gz QQUWDERXAAUEBC-DMDPSCGWSA-N 0 3 220.316 2.509 20 0 BFADHN C[C@H]1CC[C@H]1NCc1c(Cl)nc(Cl)n1C ZINC000380072582 712684918 /nfs/dbraw/zinc/68/49/18/712684918.db2.gz DNMYERNSAHMPJJ-NKWVEPMBSA-N 0 3 248.157 2.615 20 0 BFADHN CC[C@@H](CSC)NCc1cc(C)co1 ZINC000718143916 712748529 /nfs/dbraw/zinc/74/85/29/712748529.db2.gz IKPHPNVFBQCSNU-JTQLQIEISA-N 0 3 213.346 2.819 20 0 BFADHN Cc1conc1CN[C@H]1CCCC[C@H]1C ZINC000720343992 712831942 /nfs/dbraw/zinc/83/19/42/712831942.db2.gz DUBHVSFZBBAIPL-KOLCDFICSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1nocc1C ZINC000720369155 712836314 /nfs/dbraw/zinc/83/63/14/712836314.db2.gz JAJOKSHJHDDEAG-WDEREUQCSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H](NCc1nc2c(s1)CCC2)C1(C)CC1 ZINC000381455901 710638413 /nfs/dbraw/zinc/63/84/13/710638413.db2.gz IJDDSAHFLFVNCO-VIFPVBQESA-N 0 3 236.384 2.910 20 0 BFADHN CCC(CC)NCc1ccsc1C(=O)OC ZINC000381533157 710650955 /nfs/dbraw/zinc/65/09/55/710650955.db2.gz DBHNBVVWLSCNJT-UHFFFAOYSA-N 0 3 241.356 2.813 20 0 BFADHN CO[C@H]1CCC[C@H]1NCc1c(C)cccc1F ZINC000903103450 710891703 /nfs/dbraw/zinc/89/17/03/710891703.db2.gz IAYSWFKFHOTGLX-KGLIPLIRSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ccc(C[C@@H](C)NCc2cn(C)cn2)s1 ZINC000383900956 710986672 /nfs/dbraw/zinc/98/66/72/710986672.db2.gz NQAZJMFLUFSGIO-SNVBAGLBSA-N 0 3 249.383 2.511 20 0 BFADHN Cc1cc(CN[C@H](C)CSC(C)(C)C)[nH]n1 ZINC000390465780 711119130 /nfs/dbraw/zinc/11/91/30/711119130.db2.gz HRXCUIMQVURRNX-SNVBAGLBSA-N 0 3 241.404 2.728 20 0 BFADHN C[C@@H]1SCC[C@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000390513648 711122872 /nfs/dbraw/zinc/12/28/72/711122872.db2.gz CKIXJIOKYBVPQD-GZMMTYOYSA-N 0 3 241.331 2.515 20 0 BFADHN Fc1ncccc1CN[C@@H]1CCC12CCC2 ZINC000390798282 711135037 /nfs/dbraw/zinc/13/50/37/711135037.db2.gz VDPRAQRDHGWUGZ-LLVKDONJSA-N 0 3 220.291 2.643 20 0 BFADHN Cc1cc(CNC/C=C\Cl)cs1 ZINC000394493662 711193801 /nfs/dbraw/zinc/19/38/01/711193801.db2.gz SOYZXYHYTWGQPZ-IHWYPQMZSA-N 0 3 201.722 2.899 20 0 BFADHN Cc1cnn(C)c1CNCc1c(C)cccc1C ZINC000394066517 711183786 /nfs/dbraw/zinc/18/37/86/711183786.db2.gz MJDMDVDJABHSAW-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN CC(C)=CCCNCc1cnn(C)c1Cl ZINC000394460266 711192282 /nfs/dbraw/zinc/19/22/82/711192282.db2.gz YOZSUZMSWLBGLK-UHFFFAOYSA-N 0 3 227.739 2.519 20 0 BFADHN Cn1c(Cl)cnc1CN[C@@]1(C)CC=CCC1 ZINC000398470151 711410722 /nfs/dbraw/zinc/41/07/22/711410722.db2.gz NBPIACNYCBQKSG-LBPRGKRZSA-N 0 3 239.750 2.662 20 0 BFADHN CC(C)(C)OCCNCc1ccc(Cl)nc1 ZINC000381140176 710604737 /nfs/dbraw/zinc/60/47/37/710604737.db2.gz MKSUSYWPITUGME-UHFFFAOYSA-N 0 3 242.750 2.640 20 0 BFADHN CCCC[C@@H](CC)[NH2+][C@@H](C)c1nnc(C)[n-]1 ZINC000392383145 711144629 /nfs/dbraw/zinc/14/46/29/711144629.db2.gz NMIPNRLTKZXSPX-GXSJLCMTSA-N 0 3 224.352 2.733 20 0 BFADHN CC[C@@H]([NH2+][C@H](C)c1nnc(C)[n-]1)C1CCCC1 ZINC000392363433 711144809 /nfs/dbraw/zinc/14/48/09/711144809.db2.gz DKOWLBGIABBZRM-BXKDBHETSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCC[C@H](C)CC2)[n-]1 ZINC000392390651 711146583 /nfs/dbraw/zinc/14/65/83/711146583.db2.gz UMRWDXDSHKGARF-JBLDHEPKSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1nn(C)c(CNC2CC(C)(C)C2)c1Cl ZINC000395168183 711204837 /nfs/dbraw/zinc/20/48/37/711204837.db2.gz FFIQUDMVXZUHLO-UHFFFAOYSA-N 0 3 241.766 2.660 20 0 BFADHN CC(C)=CCCNCc1c(Cl)c(C)nn1C ZINC000397623409 711387527 /nfs/dbraw/zinc/38/75/27/711387527.db2.gz HNJKFJLBCITBKR-UHFFFAOYSA-N 0 3 241.766 2.828 20 0 BFADHN CCC1(CNCc2cnn(C)c2Cl)CCC1 ZINC000382193024 711474070 /nfs/dbraw/zinc/47/40/70/711474070.db2.gz CQLDOJLMRSLERN-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCC2(C)C)o1 ZINC000382334005 711475144 /nfs/dbraw/zinc/47/51/44/711475144.db2.gz XKSQMJFBPNHKPR-LLVKDONJSA-N 0 3 208.305 2.570 20 0 BFADHN Cc1cc(C)nc(CN[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)c1 ZINC000723659892 711532289 /nfs/dbraw/zinc/53/22/89/711532289.db2.gz OCCGUEKZPGSHEB-ABHRYQDASA-N 0 3 248.370 2.600 20 0 BFADHN c1csc(CNCCC2CC=CC2)n1 ZINC000724202616 711569550 /nfs/dbraw/zinc/56/95/50/711569550.db2.gz MSJULDZWTQLZCW-UHFFFAOYSA-N 0 3 208.330 2.589 20 0 BFADHN COC(=O)c1occc1CNCCC1CC=CC1 ZINC000724205623 711569932 /nfs/dbraw/zinc/56/99/32/711569932.db2.gz QUFKETAOHAGTIA-UHFFFAOYSA-N 0 3 249.310 2.512 20 0 BFADHN CCCCC1(NCc2cc(C)n(C)n2)CC1 ZINC000934200747 711574571 /nfs/dbraw/zinc/57/45/71/711574571.db2.gz NRMPVUINGOOSPV-UHFFFAOYSA-N 0 3 221.348 2.541 20 0 BFADHN CCn1cc([C@@H](C)N[C@H](C)C2(Cl)CC2)cn1 ZINC000926541406 711633644 /nfs/dbraw/zinc/63/36/44/711633644.db2.gz JENXTVJULCOKPJ-NXEZZACHSA-N 0 3 241.766 2.714 20 0 BFADHN Cc1coc(CNCCSC(C)C)c1 ZINC000718147748 711645763 /nfs/dbraw/zinc/64/57/63/711645763.db2.gz ZDGYBLIIKUGHAT-UHFFFAOYSA-N 0 3 213.346 2.819 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@@H](C)c1cccnc1Cl ZINC000393796951 711678510 /nfs/dbraw/zinc/67/85/10/711678510.db2.gz KFMHRDSDIYVKJW-UTLUCORTSA-N 0 3 242.750 2.545 20 0 BFADHN COc1cccc(CNCC(C)(C)F)c1 ZINC000107151748 711715153 /nfs/dbraw/zinc/71/51/53/711715153.db2.gz JVEKNRBQWCYROE-UHFFFAOYSA-N 0 3 211.280 2.533 20 0 BFADHN COc1ccc(CNCC(C)(C)F)cc1 ZINC000107151751 711715161 /nfs/dbraw/zinc/71/51/61/711715161.db2.gz UZENBNXGAVRFIL-UHFFFAOYSA-N 0 3 211.280 2.533 20 0 BFADHN CCc1ccc(CNC[C@@H]2CC[C@@H](C3CC3)O2)o1 ZINC000722314688 711734737 /nfs/dbraw/zinc/73/47/37/711734737.db2.gz DJTDCCAWMOEVAC-GJZGRUSLSA-N 0 3 249.354 2.889 20 0 BFADHN Cc1occc1CNC[C@@H]1CC[C@H](C2CC2)O1 ZINC000722320103 711735281 /nfs/dbraw/zinc/73/52/81/711735281.db2.gz CUIIIAZBRHZKIG-UONOGXRCSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1occc1CNC[C@H]1CC[C@@H](C2CC2)O1 ZINC000722320099 711735346 /nfs/dbraw/zinc/73/53/46/711735346.db2.gz CUIIIAZBRHZKIG-KGLIPLIRSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@H](NC[C@@]1(C)CCCCO1)c1ccns1 ZINC000927010779 711800275 /nfs/dbraw/zinc/80/02/75/711800275.db2.gz BUJQRDFLSQKJHN-CMPLNLGQSA-N 0 3 240.372 2.753 20 0 BFADHN CCOc1cccc(CNC/C=C\Cl)c1 ZINC000379642720 711805387 /nfs/dbraw/zinc/80/53/87/711805387.db2.gz IBCFLLXXSAZDOB-DAXSKMNVSA-N 0 3 225.719 2.927 20 0 BFADHN Cc1noc(-c2ccc(CN3CCCCC3)o2)n1 ZINC000923761918 711882241 /nfs/dbraw/zinc/88/22/41/711882241.db2.gz WQGGUFMFMIHNKO-UHFFFAOYSA-N 0 3 247.298 2.624 20 0 BFADHN Cc1ncc(CNC[C@]2(C)CC2(C)C)o1 ZINC000700833788 712007512 /nfs/dbraw/zinc/00/75/12/712007512.db2.gz BGOIPAADEVLWEB-LBPRGKRZSA-N 0 3 208.305 2.509 20 0 BFADHN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1NCC=C(Cl)Cl ZINC000701024644 712012366 /nfs/dbraw/zinc/01/23/66/712012366.db2.gz HGQFRIQRBRGGKJ-OORONAJNSA-N 0 3 238.158 2.707 20 0 BFADHN Cc1nc(CNC[C@]2(C)CC2(C)C)cs1 ZINC000701981203 712034330 /nfs/dbraw/zinc/03/43/30/712034330.db2.gz QJLQYAGCLQDLKH-LBPRGKRZSA-N 0 3 224.373 2.977 20 0 BFADHN CCc1nnc(CNC(C)(C)C2CCC2)s1 ZINC000702669374 712059151 /nfs/dbraw/zinc/05/91/51/712059151.db2.gz JHLRKXSHKYDXPW-UHFFFAOYSA-N 0 3 239.388 2.769 20 0 BFADHN CCc1nnc(CNC[C@H]2CCC[C@@H]2C)s1 ZINC000702697492 712059907 /nfs/dbraw/zinc/05/99/07/712059907.db2.gz ZVURZOJGCNRVDD-VHSXEESVSA-N 0 3 239.388 2.626 20 0 BFADHN Cc1ccc(N(C)C(=O)[C@@H](N)CCC(C)C)cc1 ZINC000704499734 712111429 /nfs/dbraw/zinc/11/14/29/712111429.db2.gz BBODNZQVYCDRBT-AWEZNQCLSA-N 0 3 248.370 2.721 20 0 BFADHN Cc1ncccc1CN[C@@H](C)C1(Cl)CC1 ZINC000705527133 712132698 /nfs/dbraw/zinc/13/26/98/712132698.db2.gz GXMCHBYSSRNDTD-JTQLQIEISA-N 0 3 224.735 2.640 20 0 BFADHN COc1cc(CNC2CCCCCCC2)on1 ZINC000705581795 712133504 /nfs/dbraw/zinc/13/35/04/712133504.db2.gz PHEHTHXMJWEUBN-UHFFFAOYSA-N 0 3 238.331 2.886 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cc(Cl)n(C)n1 ZINC000706152725 712140977 /nfs/dbraw/zinc/14/09/77/712140977.db2.gz UFNGTDGQSNWEQJ-IUCAKERBSA-N 0 3 229.755 2.598 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cc(Cl)n(C)n1 ZINC000706189119 712142335 /nfs/dbraw/zinc/14/23/35/712142335.db2.gz QXBCBFKWIZKHTB-MWLCHTKSSA-N 0 3 241.766 2.742 20 0 BFADHN Cn1c(Cl)cnc1CNC[C@]1(C)CC1(C)C ZINC000706419781 712145878 /nfs/dbraw/zinc/14/58/78/712145878.db2.gz PTKIWKBORZOQHH-LBPRGKRZSA-N 0 3 241.766 2.599 20 0 BFADHN Cc1cc(NCC[C@H]2CC=CCC2)nc(N)n1 ZINC000706919929 712158458 /nfs/dbraw/zinc/15/84/58/712158458.db2.gz DDDDSTIJLZGTTD-NSHDSACASA-N 0 3 232.331 2.526 20 0 BFADHN CS[C@H](C)CNCc1ccc(Cl)cn1 ZINC000707363927 712169502 /nfs/dbraw/zinc/16/95/02/712169502.db2.gz MXLGNLMREYCBAQ-MRVPVSSYSA-N 0 3 230.764 2.576 20 0 BFADHN Cc1nonc1CN[C@H]1C[C@@H](C)CC(C)(C)C1 ZINC000708252569 712184752 /nfs/dbraw/zinc/18/47/52/712184752.db2.gz SJDKBQCGVSKVRC-KOLCDFICSA-N 0 3 237.347 2.682 20 0 BFADHN C[C@@H](NC[C@@H](C)CC(C)(C)C)c1nncn1C ZINC000709104908 712208791 /nfs/dbraw/zinc/20/87/91/712208791.db2.gz MDOLHIDOEXOZBL-WDEREUQCSA-N 0 3 238.379 2.538 20 0 BFADHN C[C@@H](CN[C@H](C)c1nncn1C)CC(C)(C)C ZINC000709104905 712208813 /nfs/dbraw/zinc/20/88/13/712208813.db2.gz MDOLHIDOEXOZBL-GHMZBOCLSA-N 0 3 238.379 2.538 20 0 BFADHN C[C@H](Cc1cccs1)N[C@H](C)c1cn(C)cn1 ZINC000709916743 712233076 /nfs/dbraw/zinc/23/30/76/712233076.db2.gz BJIHQJHEVFTFDB-GHMZBOCLSA-N 0 3 249.383 2.763 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H](C)c1cn(C)cn1 ZINC000709939906 712234288 /nfs/dbraw/zinc/23/42/88/712234288.db2.gz QEIOTTINZQKYCL-IJLUTSLNSA-N 0 3 221.348 2.505 20 0 BFADHN CC(C)CC1(CN[C@H](C)c2cn(C)cn2)CC1 ZINC000709949902 712234471 /nfs/dbraw/zinc/23/44/71/712234471.db2.gz QMUJQOJTVIXKKV-GFCCVEGCSA-N 0 3 235.375 2.897 20 0 BFADHN CSCC[C@H](C)NCc1nccn1C(C)C ZINC000710262609 712250155 /nfs/dbraw/zinc/25/01/55/712250155.db2.gz PQMAQHMRQZLKOV-NSHDSACASA-N 0 3 241.404 2.695 20 0 BFADHN C[C@H](N[C@@H]1COC[C@H]1C)c1cccc(F)c1F ZINC000710856146 712259261 /nfs/dbraw/zinc/25/92/61/712259261.db2.gz XXPNFTMUWMRHKE-VDDIYKPWSA-N 0 3 241.281 2.650 20 0 BFADHN COC1(OC)CC[C@H]1N[C@H](C)c1ccccc1C ZINC000710987113 712272324 /nfs/dbraw/zinc/27/23/24/712272324.db2.gz HHSISFVLQKEMCK-TZMCWYRMSA-N 0 3 249.354 2.797 20 0 BFADHN Clc1ccc(CCN2CC3(CC3)C2)cc1 ZINC000459571171 712284466 /nfs/dbraw/zinc/28/44/66/712284466.db2.gz OIAHCESETRTNPA-UHFFFAOYSA-N 0 3 221.731 2.978 20 0 BFADHN Nc1c(F)cccc1CN1CCC[C@@H](CF)C1 ZINC000711431128 712300162 /nfs/dbraw/zinc/30/01/62/712300162.db2.gz QQRZBIMUZJHRMD-JTQLQIEISA-N 0 3 240.297 2.589 20 0 BFADHN C[C@H](CCC1CC1)NCc1nccn1C1CC1 ZINC000711727409 712323304 /nfs/dbraw/zinc/32/33/04/712323304.db2.gz XDBYQIRHSLPLIL-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2nccn2C2CC2)C1 ZINC000711745125 712325513 /nfs/dbraw/zinc/32/55/13/712325513.db2.gz GCCIWWADJJCWIC-NEPJUHHUSA-N 0 3 233.359 2.886 20 0 BFADHN FC1(CCNCc2nccn2C2CC2)CCC1 ZINC000711776020 712327856 /nfs/dbraw/zinc/32/78/56/712327856.db2.gz ZVJXAVLBZQPLAH-UHFFFAOYSA-N 0 3 237.322 2.590 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@@H]3CCCC[C@H]23)o1 ZINC000712467189 712430570 /nfs/dbraw/zinc/43/05/70/712430570.db2.gz MTAAPIMABBYBCA-WCFLWFBJSA-N 0 3 220.316 2.651 20 0 BFADHN CCN1CC[C@H]1CNCc1sccc1Cl ZINC000712588792 712435626 /nfs/dbraw/zinc/43/56/26/712435626.db2.gz YDOXBHIIBZPWQB-VIFPVBQESA-N 0 3 244.791 2.585 20 0 BFADHN C[C@@H]1C[C@H](NCC[C@@H]2C[C@H]2C2CC2)c2nccn21 ZINC000712627094 712438508 /nfs/dbraw/zinc/43/85/08/712438508.db2.gz AWFFNZNBKNAVMW-ZZVYKPCYSA-N 0 3 245.370 2.915 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CC[C@@H]2C[C@H]2C2CC2)n1 ZINC000712675594 712440254 /nfs/dbraw/zinc/44/02/54/712440254.db2.gz RGAIUKHTHVOAII-OLZOCXBDSA-N 0 3 246.354 2.621 20 0 BFADHN CCN1CC[C@@H]1CNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000712526937 712440829 /nfs/dbraw/zinc/44/08/29/712440829.db2.gz FZSMLEFJJMGRQF-OUCADQQQSA-N 0 3 248.370 2.587 20 0 BFADHN Cc1cc2ccccc2nc1NC[C@@H]1CCN1C ZINC000712525114 712440881 /nfs/dbraw/zinc/44/08/81/712440881.db2.gz BJSDQIVUAXMTIC-ZDUSSCGKSA-N 0 3 241.338 2.659 20 0 BFADHN C[C@H]1C[C@H](NCC[C@@H]2C[C@H]2C2CC2)c2nccn21 ZINC000712693059 712448174 /nfs/dbraw/zinc/44/81/74/712448174.db2.gz AWFFNZNBKNAVMW-GHYVTOPFSA-N 0 3 245.370 2.915 20 0 BFADHN Cc1csc(CNCC[C@@H]2C[C@H]2C2CC2)n1 ZINC000712705961 712448636 /nfs/dbraw/zinc/44/86/36/712448636.db2.gz WRQLWQOLISGDME-NEPJUHHUSA-N 0 3 236.384 2.977 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1scnc1Cl ZINC000713500040 712463382 /nfs/dbraw/zinc/46/33/82/712463382.db2.gz BVVPUJCGMUDCOG-NKWVEPMBSA-N 0 3 248.804 2.638 20 0 BFADHN C=C/C=C/CCNCc1ncc(C)s1 ZINC000713500707 712463519 /nfs/dbraw/zinc/46/35/19/712463519.db2.gz XYJQRJJFOKSQOD-SNAWJCMRSA-N 0 3 208.330 2.673 20 0 BFADHN C=C/C=C/CCNCc1nc(C)c(C)s1 ZINC000713504272 712463857 /nfs/dbraw/zinc/46/38/57/712463857.db2.gz VDTPHTALBYYNAY-AATRIKPKSA-N 0 3 222.357 2.982 20 0 BFADHN C=C/C=C/CCNCc1oc(C)nc1C ZINC000713676744 712468807 /nfs/dbraw/zinc/46/88/07/712468807.db2.gz FLEUCUGYIRRQCE-AATRIKPKSA-N 0 3 206.289 2.513 20 0 BFADHN CC1(C)CCC[C@@H](CNCc2ccoc2)O1 ZINC000714372754 712484479 /nfs/dbraw/zinc/48/44/79/712484479.db2.gz PEVXHLOJBRBWPQ-LBPRGKRZSA-N 0 3 223.316 2.717 20 0 BFADHN CCCn1cc(CN[C@H](C)C[C@@H](C)CC)nn1 ZINC000714461221 712490878 /nfs/dbraw/zinc/49/08/78/712490878.db2.gz UFGBLVFPEWWZPP-NWDGAFQWSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1ccoc1CNC[C@H]1CC[C@H](C2CC2)O1 ZINC000715406137 712517306 /nfs/dbraw/zinc/51/73/06/712517306.db2.gz YGVPENWQLCQXAN-CHWSQXEVSA-N 0 3 235.327 2.635 20 0 BFADHN CCCn1cc([C@H](C)NC[C@H]2CC=CCC2)nn1 ZINC000715835870 712534668 /nfs/dbraw/zinc/53/46/68/712534668.db2.gz LOYPWPDYGWLKGJ-STQMWFEESA-N 0 3 248.374 2.695 20 0 BFADHN C[C@@H](N[C@@H](C)CCC(C)(C)C)c1cn(C)nn1 ZINC000715838493 712535145 /nfs/dbraw/zinc/53/51/45/712535145.db2.gz RQCYXSFJTCOTQO-WDEREUQCSA-N 0 3 238.379 2.681 20 0 BFADHN C[C@@H](CCC(C)(C)C)N[C@@H](C)c1cn(C)nn1 ZINC000715838491 712535157 /nfs/dbraw/zinc/53/51/57/712535157.db2.gz RQCYXSFJTCOTQO-QWRGUYRKSA-N 0 3 238.379 2.681 20 0 BFADHN CCCn1cc([C@@H](C)N[C@@H](C)C2(C)CC2)nn1 ZINC000715864608 712537355 /nfs/dbraw/zinc/53/73/55/712537355.db2.gz ZKOXKIJIEVGKGY-MNOVXSKESA-N 0 3 236.363 2.527 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H]2CCC[C@H]2C)nn1 ZINC000715924561 712542548 /nfs/dbraw/zinc/54/25/48/712542548.db2.gz WLGNSDSGRUUVDU-GRYCIOLGSA-N 0 3 236.363 2.527 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccccc1)c1ccns1 ZINC000715933816 712543555 /nfs/dbraw/zinc/54/35/55/712543555.db2.gz UNLHCNCKKOYUSS-ZYHUDNBSSA-N 0 3 248.351 2.527 20 0 BFADHN C[C@H](NC[C@H](O)c1ccccc1)c1ccns1 ZINC000715933811 712543617 /nfs/dbraw/zinc/54/36/17/712543617.db2.gz UNLHCNCKKOYUSS-JQWIXIFHSA-N 0 3 248.351 2.527 20 0 BFADHN CCCn1cc([C@@H](C)N[C@H](C)C(C)(C)C)nn1 ZINC000715933083 712543852 /nfs/dbraw/zinc/54/38/52/712543852.db2.gz GXCWAYLDVWTSSB-GHMZBOCLSA-N 0 3 238.379 2.773 20 0 BFADHN CCCn1cc([C@H](C)N[C@H](C)[C@H](C)CC)nn1 ZINC000715937161 712544307 /nfs/dbraw/zinc/54/43/07/712544307.db2.gz SSVADFWNEVWDEF-UTUOFQBUSA-N 0 3 238.379 2.773 20 0 BFADHN CCCn1cc([C@@H](C)N[C@@H](CC)C2CC2)nn1 ZINC000715937472 712544370 /nfs/dbraw/zinc/54/43/70/712544370.db2.gz UUGXDAJIQLABCE-PWSUYJOCSA-N 0 3 236.363 2.527 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1ccns1 ZINC000716022081 712546896 /nfs/dbraw/zinc/54/68/96/712546896.db2.gz MEQGIGVBWLFOAY-VIFPVBQESA-N 0 3 228.361 2.609 20 0 BFADHN c1cn(C2CC2)c(CNCCCCC2CC2)n1 ZINC000716371783 712557107 /nfs/dbraw/zinc/55/71/07/712557107.db2.gz OXAHKQOCFYSEIQ-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNCc1nccn1C1CC1 ZINC000716667094 712569104 /nfs/dbraw/zinc/56/91/04/712569104.db2.gz ZWUOYEWQPYBKCH-MHDGFBEUSA-N 0 3 245.370 2.600 20 0 BFADHN Cc1ccc(C(=O)CCN(C)CCCF)cc1 ZINC000716823012 712576793 /nfs/dbraw/zinc/57/67/93/712576793.db2.gz ZOJNJSASVKACOY-UHFFFAOYSA-N 0 3 237.318 2.859 20 0 BFADHN CC[C@@H](C[C@@H](C)O)Nc1ccnc2ccccc21 ZINC000716908135 712581582 /nfs/dbraw/zinc/58/15/82/712581582.db2.gz OYGBNZXIXJDGBU-NEPJUHHUSA-N 0 3 244.338 2.618 20 0 BFADHN Cc1nc(CNCC[C@@H]2CC[C@@H]3C[C@@H]32)cs1 ZINC000717084227 712629941 /nfs/dbraw/zinc/62/99/41/712629941.db2.gz ZGBBEMGPRLGKNZ-DMDPSCGWSA-N 0 3 236.384 2.977 20 0 BFADHN OC[C@H]1C=CCN1Cc1ccc2ccccc2c1 ZINC000880484186 712633405 /nfs/dbraw/zinc/63/34/05/712633405.db2.gz MJMKWSCHKRGKHF-MRXNPFEDSA-N 0 3 239.318 2.573 20 0 BFADHN Cc1ncc(CNCC[C@@H]2CC[C@H]3C[C@H]32)o1 ZINC000717157912 712638010 /nfs/dbraw/zinc/63/80/10/712638010.db2.gz CSABUOHYRUSFIS-GVXVVHGQSA-N 0 3 220.316 2.509 20 0 BFADHN C[C@H](NC[C@H]1CCC=CO1)c1cncc(F)c1 ZINC000379973919 712642938 /nfs/dbraw/zinc/64/29/38/712642938.db2.gz PLANBSTZPBZJRU-GXFFZTMASA-N 0 3 236.290 2.564 20 0 BFADHN COC(CN[C@@H](C)c1cc(C)sc1C)OC ZINC000037473078 712644275 /nfs/dbraw/zinc/64/42/75/712644275.db2.gz SSNMZMWBVKUSHX-VIFPVBQESA-N 0 3 243.372 2.634 20 0 BFADHN CC(C)n1ccc(CNC2(C3CC3)CCC2)n1 ZINC000717815477 712680684 /nfs/dbraw/zinc/68/06/84/712680684.db2.gz HNIVXINLULXFLF-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C2(C3CC3)CCC2)n1 ZINC000717811728 712681000 /nfs/dbraw/zinc/68/10/00/712681000.db2.gz SEIHSEORQKAXFG-UHFFFAOYSA-N 0 3 232.327 2.518 20 0 BFADHN C[C@@H](NC[C@@H]1CC1(Cl)Cl)c1cccnc1 ZINC000380101214 712685891 /nfs/dbraw/zinc/68/58/91/712685891.db2.gz LWONQHAEKAERAS-SCZZXKLOSA-N 0 3 245.153 2.926 20 0 BFADHN C[C@H]1CC[C@H]1NCc1nc(C2CC2)cs1 ZINC000380098263 712685951 /nfs/dbraw/zinc/68/59/51/712685951.db2.gz KXKKOVYXOGRXGV-WCBMZHEXSA-N 0 3 222.357 2.909 20 0 BFADHN CC/C=C\CNCc1cccc([N+](=O)[O-])c1C ZINC000385037299 712707974 /nfs/dbraw/zinc/70/79/74/712707974.db2.gz QSDFFFKFPQQKIP-PLNGDYQASA-N 0 3 234.299 2.959 20 0 BFADHN Cc1coc(CNC[C@@H]2CCC(C)(C)CO2)c1 ZINC000718030978 712736545 /nfs/dbraw/zinc/73/65/45/712736545.db2.gz PQVIVRVXFUMXBL-LBPRGKRZSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1coc(CNCC2(O)CCCCCC2)c1 ZINC000718028004 712736947 /nfs/dbraw/zinc/73/69/47/712736947.db2.gz ZKICXKCHMSPEIL-UHFFFAOYSA-N 0 3 237.343 2.763 20 0 BFADHN Cc1cc(CNC2CCC=CCC2)no1 ZINC000718035479 712737065 /nfs/dbraw/zinc/73/70/65/712737065.db2.gz URJHNWMEJZDLNY-UHFFFAOYSA-N 0 3 206.289 2.571 20 0 BFADHN CCOC[C@@H](NCc1cc(C)co1)C(C)C ZINC000718054453 712739092 /nfs/dbraw/zinc/73/90/92/712739092.db2.gz VXOKJYQDRPRSQA-CYBMUJFWSA-N 0 3 225.332 2.739 20 0 BFADHN COC(=O)c1occc1CNC1CCC=CCC1 ZINC000718050617 712739412 /nfs/dbraw/zinc/73/94/12/712739412.db2.gz ANQSAEJGFFHBPS-UHFFFAOYSA-N 0 3 249.310 2.655 20 0 BFADHN Cc1coc(CN[C@H](CO)C2CCCCC2)c1 ZINC000718052468 712739503 /nfs/dbraw/zinc/73/95/03/712739503.db2.gz KKKWKBJXPWCJHO-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN CCC[C@@H](CNCc1cc(C)co1)OCC ZINC000718095574 712742103 /nfs/dbraw/zinc/74/21/03/712742103.db2.gz UJMWUDPALTVWJF-LBPRGKRZSA-N 0 3 225.332 2.883 20 0 BFADHN Cc1coc(CNCCc2ccc(C)o2)c1 ZINC000718122621 712745924 /nfs/dbraw/zinc/74/59/24/712745924.db2.gz SVAOYHZNQAAZJE-UHFFFAOYSA-N 0 3 219.284 2.822 20 0 BFADHN CO[C@H]1CCC[C@H](NCc2nccs2)CC1 ZINC000718748405 712780176 /nfs/dbraw/zinc/78/01/76/712780176.db2.gz GZBYLMJIOYFWDU-QWRGUYRKSA-N 0 3 240.372 2.580 20 0 BFADHN C[C@H](NC[C@@H](O)c1cccc(F)c1)c1ccco1 ZINC000037890619 712781213 /nfs/dbraw/zinc/78/12/13/712781213.db2.gz DMNYJNMGLLXSEB-GXFFZTMASA-N 0 3 249.285 2.803 20 0 BFADHN Cc1conc1CN[C@H]1CCCC1(C)C ZINC000720345570 712832209 /nfs/dbraw/zinc/83/22/09/712832209.db2.gz QJVKRPUSLFFJHX-NSHDSACASA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1nocc1C ZINC000720369152 712836206 /nfs/dbraw/zinc/83/62/06/712836206.db2.gz JAJOKSHJHDDEAG-GHMZBOCLSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@@H]1CCC(C)(C)C[C@H]1NCc1ccon1 ZINC000720409886 712840513 /nfs/dbraw/zinc/84/05/13/712840513.db2.gz MUNIELUDYQOFHM-ZYHUDNBSSA-N 0 3 222.332 2.979 20 0 BFADHN c1ccc2c(c1)nccc2NC[C@H]1CCC=CO1 ZINC000380238941 712843066 /nfs/dbraw/zinc/84/30/66/712843066.db2.gz UTAYIWTXDNSOMN-GFCCVEGCSA-N 0 3 240.306 2.761 20 0 BFADHN Cc1ccoc1CNC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000720820882 712868802 /nfs/dbraw/zinc/86/88/02/712868802.db2.gz OOTWBISJRCLSAF-AGIUHOORSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@@H](NCC1(C)CC(F)(F)C1)c1nccn1C ZINC000720927151 712879656 /nfs/dbraw/zinc/87/96/56/712879656.db2.gz HXKOIIAMFWGTOY-SECBINFHSA-N 0 3 243.301 2.506 20 0 BFADHN Cc1ncsc1CNC1(C)CC(F)(F)C1 ZINC000720937286 712880352 /nfs/dbraw/zinc/88/03/52/712880352.db2.gz LPQJWLGQMOEQDA-UHFFFAOYSA-N 0 3 232.299 2.729 20 0 BFADHN CCc1cnc(CNCCC2CCC2)s1 ZINC000380345939 712891748 /nfs/dbraw/zinc/89/17/48/712891748.db2.gz TWDKBVMWHVABIG-UHFFFAOYSA-N 0 3 224.373 2.985 20 0 BFADHN CC(C)CCCN1CCC(=O)[C@@H](C)CC1 ZINC001208734529 957153014 /nfs/dbraw/zinc/15/30/14/957153014.db2.gz JOWULJVEZKVZSY-LBPRGKRZSA-N 0 3 211.349 2.724 20 0 BFADHN CCC[C@H](C)[C@@H](CC)OC[C@@H]1COC(C)(C)N1 ZINC001217923706 959767332 /nfs/dbraw/zinc/76/73/32/959767332.db2.gz DAPCMEYEVBTXGQ-YNEHKIRRSA-N 0 3 243.391 2.942 20 0 BFADHN Cc1cc(CN2CCC(C(C)(C)C)CC2)n[nH]1 ZINC001203069767 960613126 /nfs/dbraw/zinc/61/31/26/960613126.db2.gz CUFKQKUZXCHEQG-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN COc1cc(C)cc(CN2CC[C@H]2C)c1 ZINC001143149190 961890716 /nfs/dbraw/zinc/89/07/16/961890716.db2.gz ADQAGJCRISRLDE-LLVKDONJSA-N 0 3 205.301 2.598 20 0 BFADHN Cc1cc(F)cc(CN2CCOC[C@@H](C)C2)c1 ZINC001143580714 963172734 /nfs/dbraw/zinc/17/27/34/963172734.db2.gz SYUDVHVQETXUOW-LBPRGKRZSA-N 0 3 237.318 2.602 20 0 BFADHN CC(C)=CCC[C@H](C)CCN1C[C@@H](F)[C@@H](N)C1 ZINC001204809831 963786198 /nfs/dbraw/zinc/78/61/98/963786198.db2.gz LESVDZVNQANAQP-MJBXVCDLSA-N 0 3 242.382 2.740 20 0 BFADHN COc1cc(CN(C)CCSC)ccc1F ZINC000093509652 964161166 /nfs/dbraw/zinc/16/11/66/964161166.db2.gz DCLKKKISUXXQPK-UHFFFAOYSA-N 0 3 243.347 2.629 20 0 BFADHN C[C@@H](Cc1cccc(F)c1)N1CCSCC1 ZINC001168478674 965875555 /nfs/dbraw/zinc/87/55/55/965875555.db2.gz ICLILGGWIGOHFM-NSHDSACASA-N 0 3 239.359 2.806 20 0 BFADHN CCCO[C@@H]1CCN(Cc2cccs2)C1 ZINC001204015520 966856052 /nfs/dbraw/zinc/85/60/52/966856052.db2.gz VWYGHDOXZKJRIF-LLVKDONJSA-N 0 3 225.357 2.749 20 0 BFADHN CN1CCC=C(Cc2cc(F)cc(F)c2)C1 ZINC001250095346 967364061 /nfs/dbraw/zinc/36/40/61/967364061.db2.gz NCAXRKSWKZJFOP-UHFFFAOYSA-N 0 3 223.266 2.769 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccc(F)c(C)c2)C1 ZINC001205198864 967426231 /nfs/dbraw/zinc/42/62/31/967426231.db2.gz VLOCVVDMSQTINX-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN COc1c(C)ccc(F)c1CCN1CCCC1 ZINC001250166763 967465974 /nfs/dbraw/zinc/46/59/74/967465974.db2.gz VPYOEDCRAJHTHL-UHFFFAOYSA-N 0 3 237.318 2.781 20 0 BFADHN CC(C)(F)CCNCc1ccc(Cl)cn1 ZINC000703886918 967881127 /nfs/dbraw/zinc/88/11/27/967881127.db2.gz PZCHGZNUQDDVML-UHFFFAOYSA-N 0 3 230.714 2.963 20 0 BFADHN CC(C)=CCC[C@@H](C)N[C@@H](C)c1nnc(C)[nH]1 ZINC000821826781 968497748 /nfs/dbraw/zinc/49/77/48/968497748.db2.gz DPLPGEJBIGZXMT-MNOVXSKESA-N 0 3 236.363 2.899 20 0 BFADHN CN(Cc1cncs1)CC1CCSCC1 ZINC001207756769 968874794 /nfs/dbraw/zinc/87/47/94/968874794.db2.gz NZMDOMAXOHKIKJ-UHFFFAOYSA-N 0 3 242.413 2.718 20 0 BFADHN CCN(Cc1ccccc1F)CC1(C)COC1 ZINC001207801069 968889627 /nfs/dbraw/zinc/88/96/27/968889627.db2.gz DJYVVAKJFGRBOY-UHFFFAOYSA-N 0 3 237.318 2.684 20 0 BFADHN OC[C@@H]1C[C@@H](F)CN(CCCC2CCCC2)C1 ZINC001208087224 968988948 /nfs/dbraw/zinc/98/89/48/968988948.db2.gz CLPAGQNHMCQBEN-ZIAGYGMSSA-N 0 3 243.366 2.609 20 0 BFADHN CC/C=C\CCCN1CCOC[C@H]1CC ZINC001208136659 969040309 /nfs/dbraw/zinc/04/03/09/969040309.db2.gz XAVNBFKITVZHQW-CFHLNLSMSA-N 0 3 211.349 2.844 20 0 BFADHN CS[C@@H](C)CCN1CC[C@@H](C)[C@H](F)C1 ZINC001208138770 969055820 /nfs/dbraw/zinc/05/58/20/969055820.db2.gz NBFGULDHOSBCEQ-OUAUKWLOSA-N 0 3 219.369 2.808 20 0 BFADHN CS[C@H](C)CCN1CC2(C1)CC(F)(F)C2 ZINC001208140719 969062541 /nfs/dbraw/zinc/06/25/41/969062541.db2.gz UTPNDNUEUNXCCO-SECBINFHSA-N 0 3 235.343 2.859 20 0 BFADHN C[C@H](CCN1CC(N(C)C)C1)CC(C)(C)C ZINC001208654939 969179593 /nfs/dbraw/zinc/17/95/93/969179593.db2.gz OIAKIHXOQDMMIR-GFCCVEGCSA-N 0 3 226.408 2.695 20 0 BFADHN CC[C@H]1COCCN1C1CCC(F)(F)CC1 ZINC001254225219 969540271 /nfs/dbraw/zinc/54/02/71/969540271.db2.gz MNGBSFVEQFCYDP-JTQLQIEISA-N 0 3 233.302 2.675 20 0 BFADHN CCCC[C@@H](C)NC1(c2cccnn2)CC1 ZINC001255313743 970083015 /nfs/dbraw/zinc/08/30/15/970083015.db2.gz RDGTZUGZGRPQOB-LLVKDONJSA-N 0 3 219.332 2.634 20 0 BFADHN CCc1cc(Nc2ccoc2C)ccn1 ZINC001211239595 970184879 /nfs/dbraw/zinc/18/48/79/970184879.db2.gz OAHHLAKAIZFWSI-UHFFFAOYSA-N 0 3 202.257 2.711 20 0 BFADHN CN(Cc1cncs1)[C@H]1CCOC(C)(C)C1 ZINC001255554207 970330490 /nfs/dbraw/zinc/33/04/90/970330490.db2.gz RWQZSTJKGHKOAZ-JTQLQIEISA-N 0 3 240.372 2.533 20 0 BFADHN CC[C@@H]1C[C@H](N2CC[C@@](C)(F)[C@@H](F)C2)CCO1 ZINC001170208635 970578503 /nfs/dbraw/zinc/57/85/03/970578503.db2.gz QEUFRSSDNQUUNY-FVCCEPFGSA-N 0 3 247.329 2.716 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)Nc1ccccc1F ZINC000400900359 970641244 /nfs/dbraw/zinc/64/12/44/970641244.db2.gz MWSFYQXESNPQJE-GXSJLCMTSA-N 0 3 238.306 2.528 20 0 BFADHN CCc1cccc(CC)c1NCC1CN(C)C1 ZINC001170258222 970647966 /nfs/dbraw/zinc/64/79/66/970647966.db2.gz HAADRBIBNNJWLB-UHFFFAOYSA-N 0 3 232.371 2.785 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N1CCCC[C@@H]1CC ZINC000400972840 970655310 /nfs/dbraw/zinc/65/53/10/970655310.db2.gz OWQULVFTRSAYPU-RWMBFGLXSA-N 0 3 240.391 2.541 20 0 BFADHN CC1(O)CN(C2CCC3(CCCCC3)CC2)C1 ZINC001173118635 975028904 /nfs/dbraw/zinc/02/89/04/975028904.db2.gz ZPZMKJCOLWNGIC-UHFFFAOYSA-N 0 3 237.387 2.946 20 0 BFADHN CC[C@H]1CC[C@@H](Nc2ccc(CN)nc2)C1 ZINC001173274896 975153915 /nfs/dbraw/zinc/15/39/15/975153915.db2.gz QQYADQRUQZBGOE-WDEREUQCSA-N 0 3 219.332 2.531 20 0 BFADHN CCSC[C@@H](C)N1CC2(C1)CC(F)(F)C2 ZINC001173301297 975195304 /nfs/dbraw/zinc/19/53/04/975195304.db2.gz QNUAVKXIFBMYNQ-SECBINFHSA-N 0 3 235.343 2.859 20 0 BFADHN CCSC[C@@H](C)N1CCCC[C@@H]1C(C)=O ZINC001173311006 975220242 /nfs/dbraw/zinc/22/02/42/975220242.db2.gz IZPDRVKOVQSRCS-ZYHUDNBSSA-N 0 3 229.389 2.572 20 0 BFADHN CC[C@H]1CC[C@H](N2CCC(=O)C[C@@H]2C)C1 ZINC001173286444 975246359 /nfs/dbraw/zinc/24/63/59/975246359.db2.gz GUCIMVJWIZDLHE-SRVKXCTJSA-N 0 3 209.333 2.619 20 0 BFADHN CCN1CCC(Oc2cc(Cl)sn2)CC1 ZINC001227377515 975377208 /nfs/dbraw/zinc/37/72/08/975377208.db2.gz HEGQCRYWRIEPAA-UHFFFAOYSA-N 0 3 246.763 2.660 20 0 BFADHN Cc1nc2ccc(NCC(F)(F)F)cc2[nH]1 ZINC000259235004 975681394 /nfs/dbraw/zinc/68/13/94/975681394.db2.gz YRMLXRAULBEOEJ-UHFFFAOYSA-N 0 3 229.205 2.846 20 0 BFADHN Cc1ncc(Nc2cc(C)ccc2F)n1C ZINC001174111482 976319114 /nfs/dbraw/zinc/31/91/14/976319114.db2.gz PTPRLXNHAJZMQP-UHFFFAOYSA-N 0 3 219.263 2.920 20 0 BFADHN Cc1cnccc1Nc1ccnc(Cl)c1 ZINC001174536223 976815451 /nfs/dbraw/zinc/81/54/51/976815451.db2.gz NMAPORYSTHHSGE-UHFFFAOYSA-N 0 3 219.675 2.604 20 0 BFADHN Cc1cnccc1Nc1ncc(Cl)cc1F ZINC001174536573 976815873 /nfs/dbraw/zinc/81/58/73/976815873.db2.gz ZINFZPFXKRWIBL-UHFFFAOYSA-N 0 3 237.665 2.743 20 0 BFADHN CCN1CC[C@H](Oc2cc(Cl)ccc2O)C1 ZINC001229543674 976894475 /nfs/dbraw/zinc/89/44/75/976894475.db2.gz ANIICRZPGBCKAN-JTQLQIEISA-N 0 3 241.718 2.519 20 0 BFADHN CN1CCC[C@H](Oc2ccc(N=O)cc2)C1 ZINC001231291640 977557665 /nfs/dbraw/zinc/55/76/65/977557665.db2.gz LMPXPYWKCDQYEL-LBPRGKRZSA-N 0 3 220.272 2.558 20 0 BFADHN COCc1ccc(CN2CCC[C@H](F)CC2)o1 ZINC001231691696 977696630 /nfs/dbraw/zinc/69/66/30/977696630.db2.gz LBHPHTSMCVSCRT-NSHDSACASA-N 0 3 241.306 2.750 20 0 BFADHN CCOc1c(F)cccc1Nc1ncc[nH]1 ZINC001176091005 977894902 /nfs/dbraw/zinc/89/49/02/977894902.db2.gz UYHDHHLCAXVEDP-UHFFFAOYSA-N 0 3 221.235 2.691 20 0 BFADHN CCCCN(CC)Cc1cc(F)ccn1 ZINC001232491645 978142991 /nfs/dbraw/zinc/14/29/91/978142991.db2.gz ULUDRMSLQLBSRH-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN CCCn1cnc(CN2CCC[C@H]2CC)c1 ZINC001232798996 978291396 /nfs/dbraw/zinc/29/13/96/978291396.db2.gz SCNQQHJCJXCOSQ-CYBMUJFWSA-N 0 3 221.348 2.668 20 0 BFADHN CSCCN(C)Cc1ccc2ncoc2c1 ZINC001232944063 978355596 /nfs/dbraw/zinc/35/55/96/978355596.db2.gz NARAFADVXVJRHM-UHFFFAOYSA-N 0 3 236.340 2.623 20 0 BFADHN Cc1cc(C)c(CN2CCC(CF)CC2)cn1 ZINC001233007540 978399977 /nfs/dbraw/zinc/39/99/77/978399977.db2.gz OJAHYBULNZJHHV-UHFFFAOYSA-N 0 3 236.334 2.880 20 0 BFADHN Cc1cc(CN2CCSCC2)sc1C ZINC001233655951 978662920 /nfs/dbraw/zinc/66/29/20/978662920.db2.gz PQUDFBQHDFYTTC-UHFFFAOYSA-N 0 3 227.398 2.914 20 0 BFADHN COC[C@H]1CCCC[N@@H+]1Cc1occc1[S-] ZINC001237179775 979828294 /nfs/dbraw/zinc/82/82/94/979828294.db2.gz GAACOKDDSJZFQG-SNVBAGLBSA-N 0 3 241.356 2.569 20 0 BFADHN COC[C@H]1CCCC[N@H+]1Cc1occc1[S-] ZINC001237179775 979828298 /nfs/dbraw/zinc/82/82/98/979828298.db2.gz GAACOKDDSJZFQG-SNVBAGLBSA-N 0 3 241.356 2.569 20 0 BFADHN CC[N@H+](Cc1occc1[S-])C1CCOCC1 ZINC001237177235 979829773 /nfs/dbraw/zinc/82/97/73/979829773.db2.gz ARROGPUOZJBRCJ-UHFFFAOYSA-N 0 3 241.356 2.569 20 0 BFADHN CC[N@@H+](Cc1occc1[S-])C1CCOCC1 ZINC001237177235 979829778 /nfs/dbraw/zinc/82/97/78/979829778.db2.gz ARROGPUOZJBRCJ-UHFFFAOYSA-N 0 3 241.356 2.569 20 0 BFADHN [S-]c1ccoc1C[N@@H+]1CCC[C@H]2C[C@H]21 ZINC001237186585 979837743 /nfs/dbraw/zinc/83/77/43/979837743.db2.gz MJWOHZXHXJKBJJ-DTWKUNHWSA-N 0 3 209.314 2.553 20 0 BFADHN [S-]c1ccoc1C[N@H+]1CCC[C@H]2C[C@H]21 ZINC001237186585 979837745 /nfs/dbraw/zinc/83/77/45/979837745.db2.gz MJWOHZXHXJKBJJ-DTWKUNHWSA-N 0 3 209.314 2.553 20 0 BFADHN Cc1nc(CN2CCC[C@H]2C)ccc1F ZINC001237815821 980066439 /nfs/dbraw/zinc/06/64/39/980066439.db2.gz XVOMCRHVOAUQGO-SECBINFHSA-N 0 3 208.280 2.513 20 0 BFADHN COc1ccoc1CN1C[C@@H](C)C[C@H](C)C1 ZINC001237874871 980105201 /nfs/dbraw/zinc/10/52/01/980105201.db2.gz OUVSWZTYTWZDHB-QWRGUYRKSA-N 0 3 223.316 2.766 20 0 BFADHN CCN(C)Cc1cnc(OC(C)C)c(C)c1 ZINC001238376120 980246492 /nfs/dbraw/zinc/24/64/92/980246492.db2.gz WXRQEBPSBQPNCH-UHFFFAOYSA-N 0 3 222.332 2.629 20 0 BFADHN Cc1cc(F)cc(-c2ccnc(CN)c2)c1 ZINC001240594694 981492923 /nfs/dbraw/zinc/49/29/23/981492923.db2.gz ZXNKRRBIWKAQGT-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN Cc1cc(F)ccc1CN(C)CCOC1CC1 ZINC001351398793 982310875 /nfs/dbraw/zinc/31/08/75/982310875.db2.gz JFMAUTAJVYWGGR-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN CCOc1ccc(C2=CCN(C)CC2)cc1F ZINC001241330490 982491518 /nfs/dbraw/zinc/49/15/18/982491518.db2.gz SVZONWGFFSVDPM-UHFFFAOYSA-N 0 3 235.302 2.943 20 0 BFADHN CCCC[C@H](CC)CN1CC(OCC)C1 ZINC001201563147 983333243 /nfs/dbraw/zinc/33/32/43/983333243.db2.gz LGJHARRRRMXWFR-LBPRGKRZSA-N 0 3 213.365 2.924 20 0 BFADHN CCN1CCSC[C@H]1c1ccc(OC)cc1 ZINC001201583111 983346290 /nfs/dbraw/zinc/34/62/90/983346290.db2.gz VKPBZWBHPUMPLJ-ZDUSSCGKSA-N 0 3 237.368 2.805 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+]CC2CCCCC2)[n-]1 ZINC001201803599 983477100 /nfs/dbraw/zinc/47/71/00/983477100.db2.gz XNYNJLLFCCPHCA-SNVBAGLBSA-N 0 3 236.363 2.598 20 0 BFADHN Cc1csc(CN2CC3(C2)CCCOC3)c1 ZINC001137153556 983992711 /nfs/dbraw/zinc/99/27/11/983992711.db2.gz YROVTVGXIGFLFU-UHFFFAOYSA-N 0 3 237.368 2.669 20 0 BFADHN CCOCC[C@@H](C)N[C@@H](C)c1csc(C)n1 ZINC001172412524 974678522 /nfs/dbraw/zinc/67/85/22/974678522.db2.gz XYBZHGGDPFYOPJ-ZJUUUORDSA-N 0 3 242.388 2.917 20 0 BFADHN CC(C)CC[C@@H](C)n1ncc2c1CCNC2 ZINC001256364741 970765531 /nfs/dbraw/zinc/76/55/31/970765531.db2.gz VUCBASZGWXPOFT-LLVKDONJSA-N 0 3 221.348 2.526 20 0 BFADHN CC[C@@H]1COCCN1[C@H](C)CCOCC(C)C ZINC001172475341 974769844 /nfs/dbraw/zinc/76/98/44/974769844.db2.gz IFIAIGDBEOWFAS-ZIAGYGMSSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)OCC[C@@H](C)NCc1ccncc1F ZINC001172439106 974796163 /nfs/dbraw/zinc/79/61/63/974796163.db2.gz YHTAEQUPWAUFIN-LLVKDONJSA-N 0 3 240.322 2.514 20 0 BFADHN CC(C)COCC[C@H](C)n1ccc([C@@H](C)N)n1 ZINC001172457466 974821229 /nfs/dbraw/zinc/82/12/29/974821229.db2.gz AKYUJONLXAAZPT-NWDGAFQWSA-N 0 3 239.363 2.527 20 0 BFADHN CC[C@@H](C)N(C)Cc1c(F)cc(O)cc1F ZINC001144545129 972857456 /nfs/dbraw/zinc/85/74/56/972857456.db2.gz SSQWIBXLXSNPCY-MRVPVSSYSA-N 0 3 229.270 2.901 20 0 BFADHN CC(C)CC[C@@H](C)N1CCOCC12CC2 ZINC001256373114 970789048 /nfs/dbraw/zinc/78/90/48/970789048.db2.gz PFRRPQAMMPXSIA-GFCCVEGCSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)N(CCc1ccccc1)Cc1c[nH]cn1 ZINC001256401168 970809267 /nfs/dbraw/zinc/80/92/67/970809267.db2.gz DSRCKZKLJPJAPT-UHFFFAOYSA-N 0 3 243.354 2.863 20 0 BFADHN C[C@@H](Cc1ccccn1)N1CCC(F)(F)CC1 ZINC001256410548 970819030 /nfs/dbraw/zinc/81/90/30/970819030.db2.gz VIJSKUPKCCICST-NSHDSACASA-N 0 3 240.297 2.744 20 0 BFADHN C[C@H](Cc1ccccn1)N1CCC(F)(F)CC1 ZINC001256410547 970818389 /nfs/dbraw/zinc/81/83/89/970818389.db2.gz VIJSKUPKCCICST-LLVKDONJSA-N 0 3 240.297 2.744 20 0 BFADHN Cc1cc(F)cc(C)c1Nc1nccn1C ZINC001215962387 970880417 /nfs/dbraw/zinc/88/04/17/970880417.db2.gz KRRQRQIYZWYVBX-UHFFFAOYSA-N 0 3 219.263 2.920 20 0 BFADHN CO[C@H]1C[C@H](NCC=C(Cl)Cl)C1(C)C ZINC000403337575 970918014 /nfs/dbraw/zinc/91/80/14/970918014.db2.gz BTALZNXCQVTDME-YUMQZZPRSA-N 0 3 238.158 2.709 20 0 BFADHN C[C@H](Cc1ccncc1)N1CCC[C@@](C)(F)C1 ZINC001256737023 970966322 /nfs/dbraw/zinc/96/63/22/970966322.db2.gz WHMHWKLWVJLQNI-TZMCWYRMSA-N 0 3 236.334 2.837 20 0 BFADHN C[C@H](Cc1ccncc1)N1CCC(F)(F)CC1 ZINC001256737254 970967895 /nfs/dbraw/zinc/96/78/95/970967895.db2.gz YSRVBXXAIWJHGO-LLVKDONJSA-N 0 3 240.297 2.744 20 0 BFADHN F[C@@H]1CCN([C@@H]2CCO[C@H](c3ccccc3)C2)C1 ZINC001256807188 970992099 /nfs/dbraw/zinc/99/20/99/970992099.db2.gz OMLPTMDOHXQRRU-KFWWJZLASA-N 0 3 249.329 2.951 20 0 BFADHN CCOc1ccc(C)c(Nc2nccn2C)c1 ZINC001216233311 970994522 /nfs/dbraw/zinc/99/45/22/970994522.db2.gz VUDVMQPORUFLCI-UHFFFAOYSA-N 0 3 231.299 2.871 20 0 BFADHN CSc1ncc(C)cc1Nc1ccncc1C ZINC001216238920 971000161 /nfs/dbraw/zinc/00/01/61/971000161.db2.gz CWKZKNRILYELNW-UHFFFAOYSA-N 0 3 245.351 2.981 20 0 BFADHN CCC1CCC(N2C[C@@H](OC)[C@@H](F)C2)CC1 ZINC001256870702 971005199 /nfs/dbraw/zinc/00/51/99/971005199.db2.gz QOGXRQGLUWCPOF-IFWUJCSASA-N 0 3 229.339 2.624 20 0 BFADHN Cc1cc(C2=CNCCC2)cnc1OC(C)C ZINC001243950929 971039830 /nfs/dbraw/zinc/03/98/30/971039830.db2.gz ACEOVKVIVCBDQD-UHFFFAOYSA-N 0 3 232.327 2.902 20 0 BFADHN Cc1nc2cc(C3=CNCCC3)ccc2o1 ZINC001243953067 971053155 /nfs/dbraw/zinc/05/31/55/971053155.db2.gz SZKYEUWBTXCXNI-UHFFFAOYSA-N 0 3 214.268 2.861 20 0 BFADHN CCc1cc(Nc2cccc(O)c2OC)ccn1 ZINC001216349516 971060311 /nfs/dbraw/zinc/06/03/11/971060311.db2.gz VGEFWGBFFWBUHQ-UHFFFAOYSA-N 0 3 244.294 2.524 20 0 BFADHN CCCOc1ccc(Nc2ccncc2C)cn1 ZINC001216584539 971135052 /nfs/dbraw/zinc/13/50/52/971135052.db2.gz WRSCMUQVRDVTKB-UHFFFAOYSA-N 0 3 243.310 2.739 20 0 BFADHN CCC[C@@H](CC)N1CCC(=O)[C@@H](F)CC1 ZINC001257257052 971145181 /nfs/dbraw/zinc/14/51/81/971145181.db2.gz NVASGHFDSRXRJI-MNOVXSKESA-N 0 3 215.312 2.568 20 0 BFADHN CCC[C@@H](CC)N1CCCC[C@@H]1C(=O)OCC ZINC001257264973 971163834 /nfs/dbraw/zinc/16/38/34/971163834.db2.gz XQIABEDNZLBXBY-CHWSQXEVSA-N 0 3 241.375 2.983 20 0 BFADHN CCC(CC)N1CCc2ncsc2C1 ZINC001257301061 971189769 /nfs/dbraw/zinc/18/97/69/971189769.db2.gz NZDZNWGOEKCNPT-UHFFFAOYSA-N 0 3 210.346 2.690 20 0 BFADHN CCC[C@H](C)NCC(=O)c1ccccc1OC ZINC001257308846 971199419 /nfs/dbraw/zinc/19/94/19/971199419.db2.gz KIXSEHZDYIBHLK-NSHDSACASA-N 0 3 235.327 2.656 20 0 BFADHN CCC[C@H](C)NCc1cn(CC2CC2)cn1 ZINC001257312305 971205535 /nfs/dbraw/zinc/20/55/35/971205535.db2.gz BKWQBAFGBPGLCW-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN CCC[C@H](C)NCc1cc(OC2CCC2)ncn1 ZINC001257312112 971205767 /nfs/dbraw/zinc/20/57/67/971205767.db2.gz UKUZZPIAHYUNMK-NSHDSACASA-N 0 3 249.358 2.686 20 0 BFADHN CCC[C@H](C)NCc1nccn1CC(F)(F)F ZINC001257312087 971207420 /nfs/dbraw/zinc/20/74/20/971207420.db2.gz UDFQFEOTJMBJLE-VIFPVBQESA-N 0 3 249.280 2.724 20 0 BFADHN CCC[C@H](C)N1CC[C@](C)(F)[C@@H](F)C1 ZINC001257317716 971219082 /nfs/dbraw/zinc/21/90/82/971219082.db2.gz SROVGZDOELWTNS-DCAQKATOSA-N 0 3 205.292 2.947 20 0 BFADHN CCC[C@H](C)N1CCc2nc(Cl)ncc2C1 ZINC001257320414 971219113 /nfs/dbraw/zinc/21/91/13/971219113.db2.gz IAIGMOKGMRIIJF-VIFPVBQESA-N 0 3 239.750 2.677 20 0 BFADHN CCCC(CCC)N(CC)[C@@H](C)C(=O)OC ZINC001257339522 971246235 /nfs/dbraw/zinc/24/62/35/971246235.db2.gz WAILWEMCLYRTEC-NSHDSACASA-N 0 3 229.364 2.839 20 0 BFADHN CC(C)COc1cc(CNC2CCCC2)ncn1 ZINC001257346785 971257454 /nfs/dbraw/zinc/25/74/54/971257454.db2.gz XGGOUJICEOWDHM-UHFFFAOYSA-N 0 3 249.358 2.544 20 0 BFADHN CCCCCC[C@H](C)N1CC[C@@H](O)[C@H](F)C1 ZINC001257363189 971279792 /nfs/dbraw/zinc/27/97/92/971279792.db2.gz KJKXWEMSOMTQFM-YNEHKIRRSA-N 0 3 231.355 2.750 20 0 BFADHN CCCCCC[C@H](C)N1C[C@H]2C[C@@H](C1)O2 ZINC001257367594 971281397 /nfs/dbraw/zinc/28/13/97/971281397.db2.gz DGOYLRBLJCMBCI-RWMBFGLXSA-N 0 3 211.349 2.818 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CO[C@H](C)[C@@H]1C ZINC000405762683 971304113 /nfs/dbraw/zinc/30/41/13/971304113.db2.gz ONIBDLFIHXUWGL-OUCADQQQSA-N 0 3 249.354 2.598 20 0 BFADHN CCCCCC[C@@H](CC)N1CC(C)(O)C1 ZINC001170592372 971307891 /nfs/dbraw/zinc/30/78/91/971307891.db2.gz IWZFXJWQQAQQDC-GFCCVEGCSA-N 0 3 213.365 2.802 20 0 BFADHN CC[C@@H](C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001257443613 971359473 /nfs/dbraw/zinc/35/94/73/971359473.db2.gz OYRAOIJQYRJURN-SSDOTTSWSA-N 0 3 247.264 2.519 20 0 BFADHN CC(=O)c1ccc(F)c(-c2cnccc2N)c1F ZINC001244619136 971369124 /nfs/dbraw/zinc/36/91/24/971369124.db2.gz OWNTWLGKVCEIRR-UHFFFAOYSA-N 0 3 248.232 2.642 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N1CC[C@@H](O)[C@H](F)C1 ZINC001257479591 971404607 /nfs/dbraw/zinc/40/46/07/971404607.db2.gz ZCGXGKSAINPASQ-AAVRWANBSA-N 0 3 245.382 2.996 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N[C@H]1COC[C@@H]1F ZINC001257478858 971402103 /nfs/dbraw/zinc/40/21/03/971402103.db2.gz XNZWFLFDGCAHTC-RNJOBUHISA-N 0 3 231.355 2.918 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N1CC[C@@H](O)[C@@H](F)C1 ZINC001257479598 971403390 /nfs/dbraw/zinc/40/33/90/971403390.db2.gz ZCGXGKSAINPASQ-ZOBORPQBSA-N 0 3 245.382 2.996 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N1CC[C@@H](O)[C@H](F)C1 ZINC001257479592 971403492 /nfs/dbraw/zinc/40/34/92/971403492.db2.gz ZCGXGKSAINPASQ-IGQOVBAYSA-N 0 3 245.382 2.996 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N(C)C1COC1 ZINC001257482160 971405536 /nfs/dbraw/zinc/40/55/36/971405536.db2.gz OTILCCMQBIPEEW-RYUDHWBXSA-N 0 3 213.365 2.922 20 0 BFADHN CC[C@@H](OC[C@H]1COC(C)(C)N1)c1ccccc1 ZINC001217821232 971418145 /nfs/dbraw/zinc/41/81/45/971418145.db2.gz FPXYQRXQDYWBQE-UONOGXRCSA-N 0 3 249.354 2.879 20 0 BFADHN CCCCC[C@@H](C)N1CC[C@@](F)(C(=O)OC)C1 ZINC001257508524 971428396 /nfs/dbraw/zinc/42/83/96/971428396.db2.gz HPFOKAZGELSITH-YPMHNXCESA-N 0 3 245.338 2.542 20 0 BFADHN CCCCC[C@@H](C)N1C[C@@H](OC)[C@@H](F)C1 ZINC001257510135 971429714 /nfs/dbraw/zinc/42/97/14/971429714.db2.gz AUMPUOPXQNGLFB-GRYCIOLGSA-N 0 3 217.328 2.624 20 0 BFADHN CCCCC[C@@H](C)N1CCOC2(CC2)C1 ZINC001257519192 971441389 /nfs/dbraw/zinc/44/13/89/971441389.db2.gz CWVUEHQVNIKHHM-GFCCVEGCSA-N 0 3 211.349 2.820 20 0 BFADHN Cc1cccc([C@H](C)OC[C@@H]2COC(C)(C)N2)c1 ZINC001217879023 971475659 /nfs/dbraw/zinc/47/56/59/971475659.db2.gz MMAAPFLTHPKMKG-GXTWGEPZSA-N 0 3 249.354 2.797 20 0 BFADHN CC(C)=CCC[C@H](C)OC[C@H]1COC(C)(C)N1 ZINC001217879761 971477335 /nfs/dbraw/zinc/47/73/35/971477335.db2.gz GGZKUBAYLUWHTF-STQMWFEESA-N 0 3 241.375 2.862 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCCSC2)cn1 ZINC001257681142 971487437 /nfs/dbraw/zinc/48/74/37/971487437.db2.gz HYLCOPQGLIPPAZ-CYBMUJFWSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1cc2c(cn1)CN([C@@H]1CCCSC1)C2 ZINC001257681070 971488132 /nfs/dbraw/zinc/48/81/32/971488132.db2.gz NKCRYRRKHSRACV-CYBMUJFWSA-N 0 3 234.368 2.601 20 0 BFADHN CC(C)C[C@H](C)C[C@@H](C)n1ccc(CN)n1 ZINC001258051424 971572865 /nfs/dbraw/zinc/57/28/65/971572865.db2.gz KOYILULMXOPGMZ-NWDGAFQWSA-N 0 3 223.364 2.975 20 0 BFADHN CCCc1cccc(O[C@@H]2CCNC[C@@H]2F)c1 ZINC001218065071 971594346 /nfs/dbraw/zinc/59/43/46/971594346.db2.gz CRYSCTYAEGHPNF-UONOGXRCSA-N 0 3 237.318 2.718 20 0 BFADHN Cc1cc(Cl)cc(O[C@@H]2CCNC[C@H]2F)c1 ZINC001218066793 971594652 /nfs/dbraw/zinc/59/46/52/971594652.db2.gz NZGQCVLNUNUJIW-VXGBXAGGSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1cc(O[C@H]2CCNC[C@H]2F)ccc1Cl ZINC001218067066 971595418 /nfs/dbraw/zinc/59/54/18/971595418.db2.gz QLQIOJFHDGFSLA-NEPJUHHUSA-N 0 3 243.709 2.727 20 0 BFADHN F[C@H]1CNCC[C@H]1Oc1ccc2ccccc2c1 ZINC001218063525 971595634 /nfs/dbraw/zinc/59/56/34/971595634.db2.gz BPQYIZREIJRKRI-LSDHHAIUSA-N 0 3 245.297 2.919 20 0 BFADHN CCc1cccc(C)c1O[C@H]1CCNC[C@@H]1F ZINC001218067368 971595721 /nfs/dbraw/zinc/59/57/21/971595721.db2.gz AKMJSFMAKBZJJO-STQMWFEESA-N 0 3 237.318 2.636 20 0 BFADHN Cc1cc(C)c(C)c(O[C@H]2CCNC[C@H]2F)c1 ZINC001218067892 971595769 /nfs/dbraw/zinc/59/57/69/971595769.db2.gz VABZZCULZIKIRT-OLZOCXBDSA-N 0 3 237.318 2.691 20 0 BFADHN F[C@H]1CNCC[C@H]1Oc1cccc2ccccc21 ZINC001218064762 971593043 /nfs/dbraw/zinc/59/30/43/971593043.db2.gz OZDFQLKDTWNKIQ-DZGCQCFKSA-N 0 3 245.297 2.919 20 0 BFADHN Cc1cc(C)c(O[C@H]2CCNC[C@@H]2F)c(C)c1 ZINC001218064786 971593096 /nfs/dbraw/zinc/59/30/96/971593096.db2.gz AXOLMRIDJWVEEB-STQMWFEESA-N 0 3 237.318 2.691 20 0 BFADHN NCc1ccc(-c2cc(Cl)ccc2O)cn1 ZINC001245051293 971607716 /nfs/dbraw/zinc/60/77/16/971607716.db2.gz VYEURRZALICGJQ-UHFFFAOYSA-N 0 3 234.686 2.566 20 0 BFADHN CCC[C@H](C)Oc1cccc2c1CNC2 ZINC001218212893 971630352 /nfs/dbraw/zinc/63/03/52/971630352.db2.gz ASUBXWXGBWQVGQ-JTQLQIEISA-N 0 3 205.301 2.857 20 0 BFADHN CO[C@H]1CCCC[C@@H]1NCC=C(Cl)Cl ZINC000407048229 971713277 /nfs/dbraw/zinc/71/32/77/971713277.db2.gz AWYRAJVAMMUWHP-IUCAKERBSA-N 0 3 238.158 2.853 20 0 BFADHN F[C@@H]1CCCC[C@@H]1Oc1cccc2c1CNC2 ZINC001218357232 971727679 /nfs/dbraw/zinc/72/76/79/971727679.db2.gz JGQCGJBJMGXQHW-OCCSQVGLSA-N 0 3 235.302 2.949 20 0 BFADHN F[C@@H]1CCCC[C@H]1Oc1cccc2c1CNC2 ZINC001218357233 971728263 /nfs/dbraw/zinc/72/82/63/971728263.db2.gz JGQCGJBJMGXQHW-TZMCWYRMSA-N 0 3 235.302 2.949 20 0 BFADHN C1=CCN([C@@H]2CSc3ccccc3C2)C1 ZINC001172193836 974480291 /nfs/dbraw/zinc/48/02/91/974480291.db2.gz PEPYCWIISLUVQU-LBPRGKRZSA-N 0 3 217.337 2.575 20 0 BFADHN c1c2cccnc2[nH]c1-c1nccc2c1CCN2 ZINC001245398694 971806720 /nfs/dbraw/zinc/80/67/20/971806720.db2.gz ADSXRILFXQYVKR-UHFFFAOYSA-N 0 3 236.278 2.593 20 0 BFADHN Cc1c2[nH]cnc2ccc1N[C@H]1CCOC[C@H]1C ZINC001170817168 971898903 /nfs/dbraw/zinc/89/89/03/971898903.db2.gz FOKTYGIGDGPOCI-KOLCDFICSA-N 0 3 245.326 2.708 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1C[C@@H](C)O[C@H](C)C1 ZINC001172334370 974599157 /nfs/dbraw/zinc/59/91/57/974599157.db2.gz BMXLHRZULDUHFT-IGQOVBAYSA-N 0 3 243.391 2.689 20 0 BFADHN c1c[nH]c(CN2CCCc3ccccc3C2)c1 ZINC000190643534 972038535 /nfs/dbraw/zinc/03/85/35/972038535.db2.gz CFFWYCGCPDNNIT-UHFFFAOYSA-N 0 3 226.323 2.963 20 0 BFADHN C[C@@H]1CC[C@@H](N2CC3(C2)CCCO3)C[C@H]1C ZINC001172364887 974640236 /nfs/dbraw/zinc/64/02/36/974640236.db2.gz HCSCMKHLXZOTEN-JHJVBQTASA-N 0 3 223.360 2.676 20 0 BFADHN CCC(=O)NCCN(C)[C@@H](C)c1cccc(C)c1 ZINC001266247729 956874140 /nfs/dbraw/zinc/87/41/40/956874140.db2.gz URAASMWDKOMEIL-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN CC(C)[C@H](N)c1cn(C[C@H](C)C(C)(C)C)nn1 ZINC000710830004 956881750 /nfs/dbraw/zinc/88/17/50/956881750.db2.gz PPXCJSQBHJJTHX-JQWIXIFHSA-N 0 3 238.379 2.616 20 0 BFADHN CS[C@H](C)CCN1CCC[C@H](F)C1 ZINC001208144680 957013207 /nfs/dbraw/zinc/01/32/07/957013207.db2.gz YVLYODDWMGKYCO-ZJUUUORDSA-N 0 3 205.342 2.562 20 0 BFADHN CC1=C[C@H](C)[C@@H](CN2CCC(=O)[C@@H](C)C2)CC1 ZINC001208159348 957038394 /nfs/dbraw/zinc/03/83/94/957038394.db2.gz FOGYHXCWVOIZBN-MELADBBJSA-N 0 3 235.371 2.890 20 0 BFADHN CC/C=C\CCN1CC2(C1)C[C@H](F)CS2 ZINC001208729563 957148024 /nfs/dbraw/zinc/14/80/24/957148024.db2.gz CNIFKFSYENBVEE-BYCRGOAPSA-N 0 3 229.364 2.872 20 0 BFADHN Cc1ncc(Cl)cc1NC1=CCN(C)CC1 ZINC001208742802 957158263 /nfs/dbraw/zinc/15/82/63/957158263.db2.gz XWDJBWAVPMVLGI-UHFFFAOYSA-N 0 3 237.734 2.675 20 0 BFADHN CN1CC=C(Nc2cnc(Cl)c(F)c2)CC1 ZINC001208795266 957170126 /nfs/dbraw/zinc/17/01/26/957170126.db2.gz YNTBGDWOFCELSC-UHFFFAOYSA-N 0 3 241.697 2.505 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCc2ncc(Cl)cc2C1 ZINC001209426599 957448694 /nfs/dbraw/zinc/44/86/94/957448694.db2.gz FFBYXRFIJBGWCZ-ZJUUUORDSA-N 0 3 236.746 2.749 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCO[C@@H](Cc2ccccc2)C1 ZINC001209430397 957459007 /nfs/dbraw/zinc/45/90/07/957459007.db2.gz LQPQPAGYHRXZKE-IMJJTQAJSA-N 0 3 245.366 2.586 20 0 BFADHN CC(=O)c1ccc2c(c1)CN(C[C@@H]1C[C@@H]1C)CC2 ZINC001209433535 957465942 /nfs/dbraw/zinc/46/59/42/957465942.db2.gz UXBSCCBQBHIKSU-NHYWBVRUSA-N 0 3 243.350 2.903 20 0 BFADHN c1sc(C2CC2)nc1CNCC1CC1 ZINC000069876680 957478409 /nfs/dbraw/zinc/47/84/09/957478409.db2.gz NJYCKFDFSKNHFV-UHFFFAOYSA-N 0 3 208.330 2.520 20 0 BFADHN Cc1ccc(CN2CCC(CF)CC2)[nH]1 ZINC001209574864 957509751 /nfs/dbraw/zinc/50/97/51/957509751.db2.gz YAYWMCLHLDDMRP-UHFFFAOYSA-N 0 3 210.296 2.505 20 0 BFADHN CCCCOCCNCc1cccc2c1OCC2 ZINC000693093562 957668916 /nfs/dbraw/zinc/66/89/16/957668916.db2.gz WKVVKAOJJTWIAR-UHFFFAOYSA-N 0 3 249.354 2.528 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cscn2)CCS1 ZINC000888078000 957751858 /nfs/dbraw/zinc/75/18/58/957751858.db2.gz ZRFAUJYSAMRPKR-BDAKNGLRSA-N 0 3 228.386 2.517 20 0 BFADHN F[C@@H]1CCC2(C1)CCN(Cc1c[nH]cn1)CC2 ZINC001136871838 972289874 /nfs/dbraw/zinc/28/98/74/972289874.db2.gz MDMJLCFYUBLJPD-LLVKDONJSA-N 0 3 237.322 2.514 20 0 BFADHN C[C@H]1C[C@@H](NCc2cscn2)CCS1 ZINC000888078002 957754551 /nfs/dbraw/zinc/75/45/51/957754551.db2.gz ZRFAUJYSAMRPKR-IUCAKERBSA-N 0 3 228.386 2.517 20 0 BFADHN Cc1c(F)cccc1CN1CC[C@@H]2CCO[C@H]2C1 ZINC001204508740 957773843 /nfs/dbraw/zinc/77/38/43/957773843.db2.gz ROQSQZHTJZRQTR-DOMZBBRYSA-N 0 3 249.329 2.745 20 0 BFADHN CCC1CCN(Cc2cccc(N(C)C)n2)CC1 ZINC001167342278 957804867 /nfs/dbraw/zinc/80/48/67/957804867.db2.gz VPEDXINSFYTDDG-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN CCCCN(C)Cc1cc(Cl)ccn1 ZINC000086129473 957978330 /nfs/dbraw/zinc/97/83/30/957978330.db2.gz YBRSNWJFXAVRRI-UHFFFAOYSA-N 0 3 212.724 2.967 20 0 BFADHN Cc1cc(CN2CCN3CCCC[C@H]3C2)oc1C ZINC001204535707 957996315 /nfs/dbraw/zinc/99/63/15/957996315.db2.gz XZUPQZXHEYUMEH-AWEZNQCLSA-N 0 3 248.370 2.567 20 0 BFADHN COc1ncc(CN2CCC[C@@H](C)C2)cc1C ZINC001136998625 972312911 /nfs/dbraw/zinc/31/29/11/972312911.db2.gz AMIXTOJGVNRARM-LLVKDONJSA-N 0 3 234.343 2.631 20 0 BFADHN COc1ncc(CN2CCCCC23CC3)cc1C ZINC001137007812 972323385 /nfs/dbraw/zinc/32/33/85/972323385.db2.gz LRXSFVBUYCSFAC-UHFFFAOYSA-N 0 3 246.354 2.917 20 0 BFADHN c1cc(CN2CCC[C@@H]3C[C@@H]32)cc2c1COC2 ZINC001137028830 972333600 /nfs/dbraw/zinc/33/36/00/972333600.db2.gz HKPSJXBCKFCKRK-DOMZBBRYSA-N 0 3 229.323 2.701 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C[C@@H]2C)cn1 ZINC001137036504 972341431 /nfs/dbraw/zinc/34/14/31/972341431.db2.gz RWVRULPIMZHUOH-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN F[C@@H]1C[C@H]1N[C@@H]1CCc2cc(Cl)ccc2C1 ZINC001171099737 972356490 /nfs/dbraw/zinc/35/64/90/972356490.db2.gz KPXDUCFMKOZGFW-JHJVBQTASA-N 0 3 239.721 2.897 20 0 BFADHN COc1ccc(CN(C)C)cc1OC(F)(F)F ZINC001143867811 972370197 /nfs/dbraw/zinc/37/01/97/972370197.db2.gz KFHLFVUXQWLPLY-UHFFFAOYSA-N 0 3 249.232 2.655 20 0 BFADHN Cc1cnc(CN[C@H]2CCCC(C)(C)C2)nc1 ZINC000707902348 958808216 /nfs/dbraw/zinc/80/82/16/958808216.db2.gz XONWZJXSZLGTLU-LBPRGKRZSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1cnc(CN[C@@H]2CCCC(C)(C)C2)nc1 ZINC000707902347 958808892 /nfs/dbraw/zinc/80/88/92/958808892.db2.gz XONWZJXSZLGTLU-GFCCVEGCSA-N 0 3 233.359 2.843 20 0 BFADHN CCOCC[C@H](C)Nc1cccc(F)c1CN ZINC001172409090 974669252 /nfs/dbraw/zinc/66/92/52/974669252.db2.gz PDPLTLGBSVUVAA-JTQLQIEISA-N 0 3 240.322 2.511 20 0 BFADHN Cl/C=C\CN1CCC([C@H]2CCCO2)CC1 ZINC000588551551 959108324 /nfs/dbraw/zinc/10/83/24/959108324.db2.gz MVAAFAHGBVEKSL-DBGMKRSLSA-N 0 3 229.751 2.630 20 0 BFADHN Cc1nc(CN2CCC[C@@H]3CCCC[C@H]32)c[nH]1 ZINC000724775815 959440965 /nfs/dbraw/zinc/44/09/65/959440965.db2.gz JJRUBYOFRRUCNZ-GXTWGEPZSA-N 0 3 233.359 2.873 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@H](C)c1nc(C)cs1 ZINC000301012283 959589744 /nfs/dbraw/zinc/58/97/44/959589744.db2.gz OJVBIVIZXZMDLP-NXEZZACHSA-N 0 3 242.388 2.916 20 0 BFADHN CCn1ccnc1CNCC(C)(C)CC(F)F ZINC000694021339 959674850 /nfs/dbraw/zinc/67/48/50/959674850.db2.gz GMLNCNYIRRLGJF-UHFFFAOYSA-N 0 3 245.317 2.674 20 0 BFADHN Cc1ccc([C@H](C)OC[C@H]2COC(C)(C)N2)cc1 ZINC001217887828 959710659 /nfs/dbraw/zinc/71/06/59/959710659.db2.gz KCOVOGFJNTVBMT-JSGCOSHPSA-N 0 3 249.354 2.797 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1ncc(Cl)n1C ZINC000695135684 960115371 /nfs/dbraw/zinc/11/53/71/960115371.db2.gz MSJIYXBJCJKEKA-SECBINFHSA-N 0 3 243.782 2.988 20 0 BFADHN CC(C)n1ncnc1CN[C@H]1CCCC2(CC2)C1 ZINC000695687837 960369957 /nfs/dbraw/zinc/36/99/57/960369957.db2.gz DNIHVHDACDCLJB-LBPRGKRZSA-N 0 3 248.374 2.671 20 0 BFADHN CN(C)C1CN(Cc2csc3ccccc23)C1 ZINC001140636174 960472850 /nfs/dbraw/zinc/47/28/50/960472850.db2.gz BUSRULAUQCZISK-UHFFFAOYSA-N 0 3 246.379 2.647 20 0 BFADHN CC1(C)CCC2(CCN(Cc3cc[nH]c3)CC2)O1 ZINC001140708307 960523216 /nfs/dbraw/zinc/52/32/16/960523216.db2.gz YOZIYFPFXSQXLK-UHFFFAOYSA-N 0 3 248.370 2.938 20 0 BFADHN C[C@H](NC1CC2(CC2(F)F)C1)c1nccs1 ZINC001327228004 972509645 /nfs/dbraw/zinc/50/96/45/972509645.db2.gz MAGIEKCGHOIGIY-JEUQWKINSA-N 0 3 244.310 2.982 20 0 BFADHN COc1cc(CNCC=C(C)C)ccc1F ZINC000393810463 960528532 /nfs/dbraw/zinc/52/85/32/960528532.db2.gz ULGKJQJDFOFZAM-UHFFFAOYSA-N 0 3 223.291 2.890 20 0 BFADHN CO[C@@H](C)C1CN(Cc2coc3ccccc23)C1 ZINC001140785089 960571984 /nfs/dbraw/zinc/57/19/84/960571984.db2.gz MYCATHZVLONNIU-NSHDSACASA-N 0 3 245.322 2.900 20 0 BFADHN C[C@]1(F)CCCN(Cc2cnc3cc[nH]cc2-3)C1 ZINC001140802465 960586329 /nfs/dbraw/zinc/58/63/29/960586329.db2.gz WGVHSMRMKUSWGR-AWEZNQCLSA-N 0 3 247.317 2.887 20 0 BFADHN C[C@]1(F)CCCN(Cc2c[nH]c3ccncc23)C1 ZINC001140802465 960586338 /nfs/dbraw/zinc/58/63/38/960586338.db2.gz WGVHSMRMKUSWGR-AWEZNQCLSA-N 0 3 247.317 2.887 20 0 BFADHN CCCO[C@@H]1CCN(Cc2[nH]cc(C)c2C)C1 ZINC001140859612 960635468 /nfs/dbraw/zinc/63/54/68/960635468.db2.gz BNSHWMIWKWHLNY-CYBMUJFWSA-N 0 3 236.359 2.632 20 0 BFADHN c1ncc2c(n1)CCN(C1CCCCCCC1)C2 ZINC000585380313 960668452 /nfs/dbraw/zinc/66/84/52/960668452.db2.gz WASLLJINPDTAIJ-UHFFFAOYSA-N 0 3 245.370 2.948 20 0 BFADHN C1=CCC(NCc2nc(-c3ccccc3)c[nH]2)C1 ZINC001327266262 972527371 /nfs/dbraw/zinc/52/73/71/972527371.db2.gz VUJKXXCWAGBYFB-UHFFFAOYSA-N 0 3 239.322 2.885 20 0 BFADHN CC[C@H](C)N(C)Cc1c[nH]nc1C(F)(F)F ZINC001141014257 960816028 /nfs/dbraw/zinc/81/60/28/960816028.db2.gz FZRGAUJQYMOJPV-ZETCQYMHSA-N 0 3 235.253 2.659 20 0 BFADHN Oc1cccc2ccc(CN3CCCCC3)nc12 ZINC001141019215 960822830 /nfs/dbraw/zinc/82/28/30/960822830.db2.gz YFSNNRNKEFIQMM-UHFFFAOYSA-N 0 3 242.322 2.926 20 0 BFADHN Cc1ncoc1CN1CCC[C@](C)(F)CC1 ZINC001141051745 960845127 /nfs/dbraw/zinc/84/51/27/960845127.db2.gz OOMOQZJARRIPBW-LBPRGKRZSA-N 0 3 226.295 2.697 20 0 BFADHN CCCCc1nc(CN(C)C2CC(C)C2)c[nH]1 ZINC001141067928 960861652 /nfs/dbraw/zinc/86/16/52/960861652.db2.gz RYHFEYAFGXMTJA-UHFFFAOYSA-N 0 3 235.375 2.983 20 0 BFADHN CCN(Cc1ccc(C)cc1F)CC(C)(C)O ZINC001141195767 960923618 /nfs/dbraw/zinc/92/36/18/960923618.db2.gz CKDDGOMTURGSCK-UHFFFAOYSA-N 0 3 239.334 2.727 20 0 BFADHN C[C@@H](O)CN(C)Cc1ccc(C(F)F)c(F)c1 ZINC000893547020 960935303 /nfs/dbraw/zinc/93/53/03/960935303.db2.gz VCEGVSFXXMZWIQ-MRVPVSSYSA-N 0 3 247.260 2.576 20 0 BFADHN c1cnc2c(c1)CCC[C@@H]2NCCOC1CCC1 ZINC000690687443 961173457 /nfs/dbraw/zinc/17/34/57/961173457.db2.gz GOQXEXBANKBJAZ-AWEZNQCLSA-N 0 3 246.354 2.618 20 0 BFADHN Fc1cc(F)cc(CNCCOC2CCC2)c1 ZINC000690688445 961174763 /nfs/dbraw/zinc/17/47/63/961174763.db2.gz LNNHTBUQTKUCIW-UHFFFAOYSA-N 0 3 241.281 2.624 20 0 BFADHN Cn1cc2c(cccc2CN2CCC[C@@H]2CF)n1 ZINC001142472959 961447489 /nfs/dbraw/zinc/44/74/89/961447489.db2.gz AULDBXVPQXVXNK-GFCCVEGCSA-N 0 3 247.317 2.507 20 0 BFADHN Fc1cc(F)c(CN[C@H]2C[C@H]3C[C@H]3C2)c(F)c1 ZINC001167903323 961703464 /nfs/dbraw/zinc/70/34/64/961703464.db2.gz UYRKEHKLLDDUTC-MBTKJCJQSA-N 0 3 241.256 2.992 20 0 BFADHN c1cc2c(nccc2CN2CC3CCC2CC3)[nH]1 ZINC001142879190 961813147 /nfs/dbraw/zinc/81/31/47/961813147.db2.gz LXBCMAPRYMJBAL-UHFFFAOYSA-N 0 3 241.338 2.937 20 0 BFADHN Fc1cnc(Cl)c(CN[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC001167911216 961816261 /nfs/dbraw/zinc/81/62/61/961816261.db2.gz ARBKIABADOZVJP-AKZRSSKFSA-N 0 3 240.709 2.762 20 0 BFADHN c1cn2cccc(CN3CC4(C3)CCCC4)c2n1 ZINC001142948982 961849876 /nfs/dbraw/zinc/84/98/76/961849876.db2.gz WQIFCABIMOFTCH-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN FC[C@@H]1CCCN(Cc2ccc3nccn3c2)C1 ZINC001143028844 961870923 /nfs/dbraw/zinc/87/09/23/961870923.db2.gz JBBBYABOXCWSLN-LBPRGKRZSA-N 0 3 247.317 2.516 20 0 BFADHN Fc1ccc(CN2CC[C@H]3CCC[C@H]3C2)cn1 ZINC001137736162 961876637 /nfs/dbraw/zinc/87/66/37/961876637.db2.gz BNZWOJWWNQLMBU-OLZOCXBDSA-N 0 3 234.318 2.843 20 0 BFADHN c1c2cccnc2[nH]c1CN1CCC2(CC2)CC1 ZINC001143217522 961947119 /nfs/dbraw/zinc/94/71/19/961947119.db2.gz WLFPEOUGGGIWOT-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN CC1(F)CCN(Cc2cc3cccnc3[nH]2)CC1 ZINC001143211613 961950760 /nfs/dbraw/zinc/95/07/60/961950760.db2.gz GZHPTYIABRVTND-UHFFFAOYSA-N 0 3 247.317 2.887 20 0 BFADHN F[C@H]1CN([C@H]2C[C@H]3C[C@H]3C2)CCCC1(F)F ZINC001167930726 962055845 /nfs/dbraw/zinc/05/58/45/962055845.db2.gz KOCRRQVRNFMKDB-ZDCRXTMVSA-N 0 3 233.277 2.854 20 0 BFADHN F[C@@H]1CN([C@@H]2C[C@H]3C[C@H]3C2)CCCC1(F)F ZINC001167930716 962059118 /nfs/dbraw/zinc/05/91/18/962059118.db2.gz KOCRRQVRNFMKDB-LMLFDSFASA-N 0 3 233.277 2.854 20 0 BFADHN CN1CCC[C@H](Oc2cnc3sccc3c2)C1 ZINC001225452389 962155206 /nfs/dbraw/zinc/15/52/06/962155206.db2.gz YFRBCVLGWNRLTE-NSHDSACASA-N 0 3 248.351 2.769 20 0 BFADHN [O-]c1ccc(C[NH+]2CCCCCCC2)nc1 ZINC000132507300 962439747 /nfs/dbraw/zinc/43/97/47/962439747.db2.gz BOYJETZFIYNZKC-UHFFFAOYSA-N 0 3 220.316 2.553 20 0 BFADHN C[C@H]1CCCCC[N@@H+]1Cc1ccc([O-])cn1 ZINC000132742831 962474535 /nfs/dbraw/zinc/47/45/35/962474535.db2.gz ZPNDUMUUJOBQAN-NSHDSACASA-N 0 3 220.316 2.552 20 0 BFADHN C[C@H]1CCCCC[N@H+]1Cc1ccc([O-])cn1 ZINC000132742831 962474548 /nfs/dbraw/zinc/47/45/48/962474548.db2.gz ZPNDUMUUJOBQAN-NSHDSACASA-N 0 3 220.316 2.552 20 0 BFADHN N[C@H]1CN(CCC2CCCCC2)CCC1(F)F ZINC001206649767 962629836 /nfs/dbraw/zinc/62/98/36/962629836.db2.gz YWJZOSATXDYTGH-LBPRGKRZSA-N 0 3 246.345 2.625 20 0 BFADHN N[C@@H]1CN(CCC2CCCCC2)CCC1(F)F ZINC001206649766 962632474 /nfs/dbraw/zinc/63/24/74/962632474.db2.gz YWJZOSATXDYTGH-GFCCVEGCSA-N 0 3 246.345 2.625 20 0 BFADHN CC[C@@H]1CCCN(Cc2ncccc2OC)C1 ZINC001206650295 962639850 /nfs/dbraw/zinc/63/98/50/962639850.db2.gz FGOPYJUBZCNAFQ-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN FC1(F)CSC2(CN([C@@H]3C[C@H]4C[C@H]4C3)C2)C1 ZINC001167951393 962670393 /nfs/dbraw/zinc/67/03/93/962670393.db2.gz JIMLKGIEQFAEFS-ILWJIGKKSA-N 0 3 245.338 2.612 20 0 BFADHN Nc1ccc(F)cc1CN1CCC[C@H](CF)C1 ZINC000711505154 962720995 /nfs/dbraw/zinc/72/09/95/962720995.db2.gz NISNPOBSBAJGBU-SNVBAGLBSA-N 0 3 240.297 2.589 20 0 BFADHN Cc1cc(NC[C@@H]2CCN2C)nc2ccccc12 ZINC000712537353 962915580 /nfs/dbraw/zinc/91/55/80/962915580.db2.gz YNXWKKXKLOKTQE-LBPRGKRZSA-N 0 3 241.338 2.659 20 0 BFADHN COc1ccnc(NC[C@H]2CCC(F)(F)C2)c1 ZINC001155165963 962995191 /nfs/dbraw/zinc/99/51/91/962995191.db2.gz CGVMDWWEEUDQQK-VIFPVBQESA-N 0 3 242.269 2.938 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1csnc1OC ZINC000712891457 963002556 /nfs/dbraw/zinc/00/25/56/963002556.db2.gz VYQWFODNRCYBOW-MWLCHTKSSA-N 0 3 240.372 2.820 20 0 BFADHN CN(C)Cc1ccnc(NC(=N)C(C)(C)C)c1 ZINC001155181796 963004062 /nfs/dbraw/zinc/00/40/62/963004062.db2.gz QUQSHQDOVQIGGG-UHFFFAOYSA-N 0 3 234.347 2.578 20 0 BFADHN CCCN(CCC)c1cc(CN(C)C)ccn1 ZINC001155182107 963013584 /nfs/dbraw/zinc/01/35/84/963013584.db2.gz HHCUJWXZCVVKAS-UHFFFAOYSA-N 0 3 235.375 2.770 20 0 BFADHN CCN(CC(C)C)Cn1ncc(Cl)c1C ZINC000178960402 963233857 /nfs/dbraw/zinc/23/38/57/963233857.db2.gz SLZYFERJVSSLEQ-UHFFFAOYSA-N 0 3 229.755 2.780 20 0 BFADHN Cc1cncc(CN2CCC3(CCC3)CC2)n1 ZINC001203163768 963543319 /nfs/dbraw/zinc/54/33/19/963543319.db2.gz HTBZGOYPUYOCAC-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN CC[C@@H](C)Nc1ncccc1CN1CCCC1 ZINC001157237267 963560148 /nfs/dbraw/zinc/56/01/48/963560148.db2.gz FSZZYLXPMJVWFX-GFCCVEGCSA-N 0 3 233.359 2.888 20 0 BFADHN CCOCC1CCN(Cc2ncccc2C)CC1 ZINC000093173967 963627848 /nfs/dbraw/zinc/62/78/48/963627848.db2.gz SCJNXWXMEWKSPB-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1ncc(Nc2cc(C)c(O)c(C)c2)n1C ZINC001211908504 963829140 /nfs/dbraw/zinc/82/91/40/963829140.db2.gz CXZFXBGTXDQNIH-UHFFFAOYSA-N 0 3 231.299 2.795 20 0 BFADHN C=Cc1ccc(N2CCN(C(C)C)[C@@H](C)C2)nc1 ZINC001158495488 963881119 /nfs/dbraw/zinc/88/11/19/963881119.db2.gz SUOXQRHXOAMEJZ-ZDUSSCGKSA-N 0 3 245.370 2.644 20 0 BFADHN Cc1cc(C)nc(N2CCN(C(C)C)[C@@H](C)C2)c1 ZINC001158493821 963881326 /nfs/dbraw/zinc/88/13/26/963881326.db2.gz NFJRYBPAYCDVOU-AWEZNQCLSA-N 0 3 247.386 2.617 20 0 BFADHN CC1CCC(Nc2ccc(CN)nc2)CC1 ZINC001168203551 963979415 /nfs/dbraw/zinc/97/94/15/963979415.db2.gz UYGFSTKCMOAPNY-UHFFFAOYSA-N 0 3 219.332 2.531 20 0 BFADHN CCCOC1CN(C2CCC(C)CC2)C1 ZINC001168218500 963992479 /nfs/dbraw/zinc/99/24/79/963992479.db2.gz VRWYPTCWHFHZRW-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN CC(=O)c1sccc1NC1=CNCCC1 ZINC001159290432 964053710 /nfs/dbraw/zinc/05/37/10/964053710.db2.gz TYHHXUJDPZJFTL-UHFFFAOYSA-N 0 3 222.313 2.587 20 0 BFADHN CC(C)c1ccc(Nc2ccncc2CN)cc1 ZINC001159427508 964084058 /nfs/dbraw/zinc/08/40/58/964084058.db2.gz YSVBRHTWGQTRHS-UHFFFAOYSA-N 0 3 241.338 2.829 20 0 BFADHN Cc1nc2ccc(NCC(F)F)cc2[nH]1 ZINC001161813507 964767822 /nfs/dbraw/zinc/76/78/22/964767822.db2.gz JUFKPTLWWGFWKH-UHFFFAOYSA-N 0 3 211.215 2.548 20 0 BFADHN CC[C@@H](C)NCc1c(Cl)nc(Cl)n1C ZINC000379651769 964793799 /nfs/dbraw/zinc/79/37/99/964793799.db2.gz FUWXPAXLVLOTIQ-ZCFIWIBFSA-N 0 3 236.146 2.615 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2C[C@@]23CCCOC3)c1 ZINC001162367738 964943295 /nfs/dbraw/zinc/94/32/95/964943295.db2.gz GSSOTEQYBGSSQZ-TZMCWYRMSA-N 0 3 232.327 2.679 20 0 BFADHN Cc1cc(C)nc(N[C@H]2C[C@@]23CCCOC3)c1 ZINC001162367735 964943508 /nfs/dbraw/zinc/94/35/08/964943508.db2.gz GSSOTEQYBGSSQZ-GXTWGEPZSA-N 0 3 232.327 2.679 20 0 BFADHN Cc1c2[nH]cnc2ccc1N[C@@H](CO)CC(C)C ZINC001162369147 964945039 /nfs/dbraw/zinc/94/50/39/964945039.db2.gz SYTYVPUHXDMMGJ-LLVKDONJSA-N 0 3 247.342 2.690 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CNc1cncn1C ZINC001162526791 965026475 /nfs/dbraw/zinc/02/64/75/965026475.db2.gz SFELNIUYYQNFIU-GHMZBOCLSA-N 0 3 207.321 2.658 20 0 BFADHN CCc1cc(C)cc(CC)c1-n1nccc1CN ZINC001162593623 965069606 /nfs/dbraw/zinc/06/96/06/965069606.db2.gz MLVRUKSTVIHGPZ-UHFFFAOYSA-N 0 3 243.354 2.764 20 0 BFADHN Nc1cccc(N[C@H]2CCCc3ccncc32)n1 ZINC001163166771 965278365 /nfs/dbraw/zinc/27/83/65/965278365.db2.gz OBBPOZQXJNGRPG-LBPRGKRZSA-N 0 3 240.310 2.548 20 0 BFADHN Cc1cccnc1N[C@H]1CNCc2ccsc21 ZINC001163219959 965306486 /nfs/dbraw/zinc/30/64/86/965306486.db2.gz IOTYMBBACGSNOD-NSHDSACASA-N 0 3 245.351 2.708 20 0 BFADHN Cc1ccncc1N[C@@H]1CNCc2ccsc21 ZINC001163226947 965307160 /nfs/dbraw/zinc/30/71/60/965307160.db2.gz NXSQXWZXCQDEOF-GFCCVEGCSA-N 0 3 245.351 2.708 20 0 BFADHN CSc1ccc(CN2CCC[C@H]2C)cn1 ZINC001232325289 965345869 /nfs/dbraw/zinc/34/58/69/965345869.db2.gz YLSZMTACKSZYSQ-SNVBAGLBSA-N 0 3 222.357 2.788 20 0 BFADHN Cc1ncc(CN2Cc3ccccc3[C@H](C)C2)[nH]1 ZINC001232458876 965358029 /nfs/dbraw/zinc/35/80/29/965358029.db2.gz UUINEVLFRYEWNU-LLVKDONJSA-N 0 3 241.338 2.837 20 0 BFADHN COc1cccc(C)c1N[C@H]1CN2CCC1CC2 ZINC001163394262 965394259 /nfs/dbraw/zinc/39/42/59/965394259.db2.gz DFHRYKXMWREVBM-ZDUSSCGKSA-N 0 3 246.354 2.510 20 0 BFADHN COC[C@@H]1CCCCN1Cc1cnc(C)cc1C ZINC001233008982 965450931 /nfs/dbraw/zinc/45/09/31/965450931.db2.gz XWYMBYLKZWGNCA-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1cc(C)cc(CNc2cccc(CN)n2)c1 ZINC001163752962 965569389 /nfs/dbraw/zinc/56/93/89/965569389.db2.gz CWQOJLQGMHWUTH-UHFFFAOYSA-N 0 3 241.338 2.769 20 0 BFADHN CSc1cc(-n2nc(CN)cc2C)ccc1C ZINC001163988344 965683421 /nfs/dbraw/zinc/68/34/21/965683421.db2.gz MJXMSXMPLGZRRD-UHFFFAOYSA-N 0 3 247.367 2.670 20 0 BFADHN C[C@@H]1CCN(c2cccc(N)n2)CCC1(F)F ZINC001164110002 965738113 /nfs/dbraw/zinc/73/81/13/965738113.db2.gz FIMUKTCFEQZDSI-SECBINFHSA-N 0 3 241.285 2.535 20 0 BFADHN CC1(C)C[C@H](CNc2c[nH]cc3ccnc2-3)O1 ZINC001168457308 965761373 /nfs/dbraw/zinc/76/13/73/965761373.db2.gz XQRCSHLJHNSKAV-SNVBAGLBSA-N 0 3 231.299 2.542 20 0 BFADHN CC1(C)C[C@H](CNc2cncc3cc[nH]c32)O1 ZINC001168457308 965761389 /nfs/dbraw/zinc/76/13/89/965761389.db2.gz XQRCSHLJHNSKAV-SNVBAGLBSA-N 0 3 231.299 2.542 20 0 BFADHN COc1cc(C)c(O[C@@H]2CCCN(C)C2)c(C)c1 ZINC001234275169 965777891 /nfs/dbraw/zinc/77/78/91/965777891.db2.gz PVQINQSKKPECBL-CYBMUJFWSA-N 0 3 249.354 2.785 20 0 BFADHN CCc1cccnc1OC1CCN(C2CC2)CC1 ZINC001234577299 965849134 /nfs/dbraw/zinc/84/91/34/965849134.db2.gz IAQCDPRCBXMOJH-UHFFFAOYSA-N 0 3 246.354 2.650 20 0 BFADHN CCc1cc(CN(C)C[C@@H]2CC2(C)C)on1 ZINC001203225969 965920026 /nfs/dbraw/zinc/92/00/26/965920026.db2.gz AYPQSYVZKXIYEX-JTQLQIEISA-N 0 3 222.332 2.715 20 0 BFADHN CC[C@H]1COC[C@@H]1NCc1cc(C)ccc1OC ZINC000699529713 965942707 /nfs/dbraw/zinc/94/27/07/965942707.db2.gz UTDHSRJCFLHUSM-JSGCOSHPSA-N 0 3 249.354 2.518 20 0 BFADHN CCc1ccc(CN2CCC[C@@H]3C[C@@H]32)o1 ZINC001204394662 965950520 /nfs/dbraw/zinc/95/05/20/965950520.db2.gz OXLCCHNPHMKHTA-MFKMUULPSA-N 0 3 205.301 2.826 20 0 BFADHN CC(C)N(CC1CC1)c1cc(CN(C)C)ccn1 ZINC001165057405 965955055 /nfs/dbraw/zinc/95/50/55/965955055.db2.gz BPHVLSPTRWGRGA-UHFFFAOYSA-N 0 3 247.386 2.768 20 0 BFADHN Cc1cnc(N2CCN(C(C)C)[C@H](C)C2)c(C)c1 ZINC001165199250 965980076 /nfs/dbraw/zinc/98/00/76/965980076.db2.gz LUMGFXCMQWUNBP-CQSZACIVSA-N 0 3 247.386 2.617 20 0 BFADHN C[C@H](C(=O)Nc1cccc2c1CNC2)C(C)(C)C ZINC000829142239 966043132 /nfs/dbraw/zinc/04/31/32/966043132.db2.gz GPSOEGKOKUWXHV-SNVBAGLBSA-N 0 3 246.354 2.911 20 0 BFADHN Cc1cc2cc(N[C@H](C)CCO)ccc2cn1 ZINC001165967480 966216964 /nfs/dbraw/zinc/21/69/64/966216964.db2.gz XMTAWMJMOBFEIK-SNVBAGLBSA-N 0 3 230.311 2.726 20 0 BFADHN Cc1cncc(CN2[C@@H](C)CCC[C@@H]2C)n1 ZINC001203162078 966535156 /nfs/dbraw/zinc/53/51/56/966535156.db2.gz PWVHXYHXQBRRSS-RYUDHWBXSA-N 0 3 219.332 2.548 20 0 BFADHN CCCN(CCC)Cc1cc(CC)no1 ZINC001203214505 966559807 /nfs/dbraw/zinc/55/98/07/966559807.db2.gz ZYEYBMHIDWMNGW-UHFFFAOYSA-N 0 3 210.321 2.859 20 0 BFADHN CCc1nc(C)c(CN(C)C[C@@H]2CC2(C)C)[nH]1 ZINC001203246621 966582842 /nfs/dbraw/zinc/58/28/42/966582842.db2.gz IXJNFBBVWUKORE-NSHDSACASA-N 0 3 235.375 2.758 20 0 BFADHN CCCCN1CCN(Cc2ccc(C)o2)CC1 ZINC001203293793 966605363 /nfs/dbraw/zinc/60/53/63/966605363.db2.gz DEUPDKKCYRAVHQ-UHFFFAOYSA-N 0 3 236.359 2.506 20 0 BFADHN COc1ccc(OC)c(CN2CCCC23CC3)c1 ZINC001203518672 966666931 /nfs/dbraw/zinc/66/69/31/966666931.db2.gz NTCCBAOHUKYGRJ-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN COc1ccc(OC)c(CN2CCC[C@@H]3C[C@@H]32)c1 ZINC001203531761 966670431 /nfs/dbraw/zinc/67/04/31/966670431.db2.gz LWSKEIOCMFSIMB-RISCZKNCSA-N 0 3 247.338 2.688 20 0 BFADHN CC(=O)[C@@H]1CCCN1Cc1ccccc1C ZINC001203712055 966744501 /nfs/dbraw/zinc/74/45/01/966744501.db2.gz FGGRPYURNUSTMK-AWEZNQCLSA-N 0 3 217.312 2.548 20 0 BFADHN Cc1ccccc1CN1CCOC[C@H]1C(C)C ZINC001203709889 966745134 /nfs/dbraw/zinc/74/51/34/966745134.db2.gz UGKLWVUHNNVRMT-HNNXBMFYSA-N 0 3 233.355 2.852 20 0 BFADHN Cc1ccccc1CN1CCOC[C@@H]1C(C)C ZINC001203708987 966747206 /nfs/dbraw/zinc/74/72/06/966747206.db2.gz UGKLWVUHNNVRMT-OAHLLOKOSA-N 0 3 233.355 2.852 20 0 BFADHN CC(C)O[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC001203789434 966779200 /nfs/dbraw/zinc/77/92/00/966779200.db2.gz HLJNYZZJXDMXPO-AWEZNQCLSA-N 0 3 237.318 2.825 20 0 BFADHN O[C@@H]1CCCCN(Cc2cccc(Cl)c2)C1 ZINC001203797762 966784679 /nfs/dbraw/zinc/78/46/79/966784679.db2.gz QBNAQCCAVBJUBU-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN COc1ccc(CN2CCC[C@H]3C[C@H]32)cc1OC ZINC001203840094 966794083 /nfs/dbraw/zinc/79/40/83/966794083.db2.gz JTZNLTUSNIHAMM-QWHCGFSZSA-N 0 3 247.338 2.688 20 0 BFADHN CCOc1ccc(CN2CC([C@@H](C)OC)C2)cc1 ZINC001203971086 966832057 /nfs/dbraw/zinc/83/20/57/966832057.db2.gz WIRQOEUGMNTKHD-GFCCVEGCSA-N 0 3 249.354 2.552 20 0 BFADHN O=C1CCC2(CC1)CCN(Cc1ccc[nH]1)CC2 ZINC001204009753 966848771 /nfs/dbraw/zinc/84/87/71/966848771.db2.gz DBFRMXCQKHQZOC-UHFFFAOYSA-N 0 3 246.354 2.740 20 0 BFADHN c1csc(CN2CCC[C@]3(CCO3)C2)c1 ZINC001204015731 966853986 /nfs/dbraw/zinc/85/39/86/966853986.db2.gz JZVZIEWAJJERNV-LBPRGKRZSA-N 0 3 223.341 2.503 20 0 BFADHN CO[C@H](C)C1CN(Cc2ccc(C)s2)C1 ZINC001204033993 966860808 /nfs/dbraw/zinc/86/08/08/966860808.db2.gz CPIWXJSQTPKCQG-SNVBAGLBSA-N 0 3 225.357 2.523 20 0 BFADHN CC(C)N1CCN(Cc2ccsc2)C[C@@H]1C ZINC001204045938 966867231 /nfs/dbraw/zinc/86/72/31/966867231.db2.gz UIBXBWDKAKUBDB-LBPRGKRZSA-N 0 3 238.400 2.663 20 0 BFADHN c1cc(CNC[C@@H]2OCc3ccccc32)sn1 ZINC001204064640 966875725 /nfs/dbraw/zinc/87/57/25/966875725.db2.gz ZFOPQKYSXCRRCS-ZDUSSCGKSA-N 0 3 246.335 2.504 20 0 BFADHN COCCN(Cc1ccc(F)c(F)c1)C(C)C ZINC001204223103 966931645 /nfs/dbraw/zinc/93/16/45/966931645.db2.gz AZVZVSXXVNMBHE-UHFFFAOYSA-N 0 3 243.297 2.822 20 0 BFADHN COc1ccc(CN(C)C2CC2)c(OC)c1C ZINC001204254613 966947235 /nfs/dbraw/zinc/94/72/35/966947235.db2.gz CKONFOGFIVCHRJ-UHFFFAOYSA-N 0 3 235.327 2.606 20 0 BFADHN COc1cccc(OC)c1CN1C[C@H]2CC[C@@H]1C2 ZINC001204252384 966947573 /nfs/dbraw/zinc/94/75/73/966947573.db2.gz DUPPVSKKPLAUPJ-NWDGAFQWSA-N 0 3 247.338 2.688 20 0 BFADHN CCc1ccc(CN2CC[C@@]3(CCCO3)C2)o1 ZINC001204391636 966984960 /nfs/dbraw/zinc/98/49/60/966984960.db2.gz SHRIXUKSSHZYCV-AWEZNQCLSA-N 0 3 235.327 2.597 20 0 BFADHN CCc1ccc(CN2CC[C@]3(CCCO3)C2)o1 ZINC001204391640 966988576 /nfs/dbraw/zinc/98/85/76/966988576.db2.gz SHRIXUKSSHZYCV-CQSZACIVSA-N 0 3 235.327 2.597 20 0 BFADHN Cc1c(F)cccc1CN1CCOC[C@H](C)C1 ZINC001204507272 967014341 /nfs/dbraw/zinc/01/43/41/967014341.db2.gz VWTGCDBMCVIKHA-LLVKDONJSA-N 0 3 237.318 2.602 20 0 BFADHN CCO[C@@H]1CCN(Cc2cccc(F)c2C)C1 ZINC001204514522 967017486 /nfs/dbraw/zinc/01/74/86/967017486.db2.gz XUZHFYXOOWBOKH-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN CO[C@H](C)C1CN(Cc2cccc(F)c2C)C1 ZINC001204513800 967019551 /nfs/dbraw/zinc/01/95/51/967019551.db2.gz MIGNIPRMEBYABP-LLVKDONJSA-N 0 3 237.318 2.601 20 0 BFADHN Cc1cc(Nc2ccnc(C3CC3)c2)cnc1N ZINC001249786289 967066380 /nfs/dbraw/zinc/06/63/80/967066380.db2.gz QAXLLHPHIPINJC-UHFFFAOYSA-N 0 3 240.310 2.988 20 0 BFADHN Fc1cccnc1CN1CCC[C@H]1C1CCC1 ZINC001204601683 967094812 /nfs/dbraw/zinc/09/48/12/967094812.db2.gz JEMADGAYNYDETP-AWEZNQCLSA-N 0 3 234.318 2.985 20 0 BFADHN CCCCN(C)Cc1cnc(Cl)c(OC)c1 ZINC001249913463 967150471 /nfs/dbraw/zinc/15/04/71/967150471.db2.gz ZRDNTIHLPBSQOK-UHFFFAOYSA-N 0 3 242.750 2.976 20 0 BFADHN CCN(Cc1ccccn1)C[C@]1(C)CCCCO1 ZINC001204873487 967213546 /nfs/dbraw/zinc/21/35/46/967213546.db2.gz BNEYECQRYBSZJP-HNNXBMFYSA-N 0 3 248.370 2.863 20 0 BFADHN CCOc1cc(CN2CCC(C)CC2)ccn1 ZINC001249997971 967249010 /nfs/dbraw/zinc/24/90/10/967249010.db2.gz HIGLTDKBVQPUCK-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCOc1cc(CN2C[C@H](C)CC23CC3)ccn1 ZINC001250013698 967271994 /nfs/dbraw/zinc/27/19/94/967271994.db2.gz HQRQVWYBHNVVKN-GFCCVEGCSA-N 0 3 246.354 2.855 20 0 BFADHN CC[C@H](C)C[C@@H](C)Nc1ccc2c(n1)CNCC2 ZINC001168695080 967409194 /nfs/dbraw/zinc/40/91/94/967409194.db2.gz OFLDMNJYINAIQB-NWDGAFQWSA-N 0 3 247.386 2.964 20 0 BFADHN CSc1cnc(F)c(Nc2ccncc2C)c1 ZINC001250137365 967422813 /nfs/dbraw/zinc/42/28/13/967422813.db2.gz SORPTKPPVHIZIM-UHFFFAOYSA-N 0 3 249.314 2.812 20 0 BFADHN COc1ccc(Cl)cc1CCN1CCCC1 ZINC001250168124 967466812 /nfs/dbraw/zinc/46/68/12/967466812.db2.gz NBHOKLKQASIHCV-UHFFFAOYSA-N 0 3 239.746 2.987 20 0 BFADHN Cc1cc(CC[NH+]2CCCCC2)cc([O-])c1F ZINC001250241784 967551798 /nfs/dbraw/zinc/55/17/98/967551798.db2.gz IBWDHJAKZSYNTB-UHFFFAOYSA-N 0 3 237.318 2.868 20 0 BFADHN FCCCCC1=CC[C@H](N2CCOCC2)CC1 ZINC001250264978 967581103 /nfs/dbraw/zinc/58/11/03/967581103.db2.gz OFQIDKOXVLBUHW-AWEZNQCLSA-N 0 3 241.350 2.937 20 0 BFADHN CCN(Cc1cccn1C1CC1)C1CC1 ZINC001117784020 967777863 /nfs/dbraw/zinc/77/78/63/967777863.db2.gz MBNBKUZNKZDHAP-UHFFFAOYSA-N 0 3 204.317 2.807 20 0 BFADHN c1nocc1CN1CC[C@H](Cc2ccccc2)C1 ZINC001205696732 967784981 /nfs/dbraw/zinc/78/49/81/967784981.db2.gz FRWDMDJCOXGTQC-CQSZACIVSA-N 0 3 242.322 2.739 20 0 BFADHN c1nocc1CN1CCC2(C1)CCCCC2 ZINC001205762467 967814460 /nfs/dbraw/zinc/81/44/60/967814460.db2.gz NAAHAMAHHUGRJB-UHFFFAOYSA-N 0 3 220.316 2.831 20 0 BFADHN Cc1cccnc1CN1CCC[C@@H](C(F)F)C1 ZINC001205799654 967823965 /nfs/dbraw/zinc/82/39/65/967823965.db2.gz DDCKKHAPLALSCG-LLVKDONJSA-N 0 3 240.297 2.867 20 0 BFADHN CSCCc1nc2ccc(N(C)C)cc2[nH]1 ZINC001250426415 967843238 /nfs/dbraw/zinc/84/32/38/967843238.db2.gz DBDRZQRTLYVNDX-UHFFFAOYSA-N 0 3 235.356 2.534 20 0 BFADHN Cc1cnccc1CN1CCC2(CCCO2)CC1 ZINC001205858133 967859019 /nfs/dbraw/zinc/85/90/19/967859019.db2.gz YWRCJPPACLEZRB-UHFFFAOYSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1cnccc1CN1CCCCC12CC2 ZINC001205862560 967859315 /nfs/dbraw/zinc/85/93/15/967859315.db2.gz HGPRSWLQDNGXIX-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN Fc1cccc(N[C@H]2CNCc3ccsc32)n1 ZINC001163227674 968011806 /nfs/dbraw/zinc/01/18/06/968011806.db2.gz BMTUYMPVDSELCG-VIFPVBQESA-N 0 3 249.314 2.539 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2C=CCC2)c1 ZINC000698174851 968053482 /nfs/dbraw/zinc/05/34/82/968053482.db2.gz ISOSZIYWIRCBTK-GFCCVEGCSA-N 0 3 205.276 2.942 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@H]3C[C@H]32)s1 ZINC001206185240 968115371 /nfs/dbraw/zinc/11/53/71/968115371.db2.gz HJJOMNMQIIRWAM-WDEREUQCSA-N 0 3 222.357 2.744 20 0 BFADHN CCCCc1cccc(-n2nc(CN)cc2C)c1 ZINC001163985855 968152350 /nfs/dbraw/zinc/15/23/50/968152350.db2.gz GXUIIBVFNXLLRE-UHFFFAOYSA-N 0 3 243.354 2.982 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1nc(CO)cs1 ZINC000723184958 968209769 /nfs/dbraw/zinc/20/97/69/968209769.db2.gz FAXWSRMMMHCPRN-VHSXEESVSA-N 0 3 242.388 2.550 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1nc(CO)cs1 ZINC000723184953 968211534 /nfs/dbraw/zinc/21/15/34/968211534.db2.gz FAXWSRMMMHCPRN-NXEZZACHSA-N 0 3 242.388 2.550 20 0 BFADHN CCCn1cncc1CN1CC[C@@H](C)C[C@H]1C ZINC001206370970 968257375 /nfs/dbraw/zinc/25/73/75/968257375.db2.gz KFKQBCPLPLKARA-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN CCCN(CCC)Cc1ccncc1OC ZINC001206438987 968306241 /nfs/dbraw/zinc/30/62/41/968306241.db2.gz ZNVKONFRWBGPSK-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CC[C@@H](O)CNc1ccnc(-c2ccccc2)c1 ZINC001251759483 968315036 /nfs/dbraw/zinc/31/50/36/968315036.db2.gz PKCZXWGKESJKQI-CQSZACIVSA-N 0 3 242.322 2.931 20 0 BFADHN C1=C[C@@H](N[C@H]2CCCc3occc32)CC1 ZINC000698326100 968328655 /nfs/dbraw/zinc/32/86/55/968328655.db2.gz GDZMJPXLHPVYSQ-PWSUYJOCSA-N 0 3 203.285 2.965 20 0 BFADHN COc1cnccc1CN1CCC[C@H](C)[C@H]1C ZINC001206473351 968330697 /nfs/dbraw/zinc/33/06/97/968330697.db2.gz KWSZDBTUSBBILT-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cnccc1CN1C[C@H](C)C[C@@H](C)C1 ZINC001206480232 968332892 /nfs/dbraw/zinc/33/28/92/968332892.db2.gz OQHOEUQPOPHMHQ-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN COc1cnccc1CN1C2CCCC1CCC2 ZINC001206487461 968339257 /nfs/dbraw/zinc/33/92/57/968339257.db2.gz PZKSHRZSCXKSEK-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN C[C@H](CN(C)CCc1ccccn1)C(F)(F)F ZINC000348304562 968344709 /nfs/dbraw/zinc/34/47/09/968344709.db2.gz UGALUDNFXPJSBD-SNVBAGLBSA-N 0 3 246.276 2.754 20 0 BFADHN COc1ncccc1CN1CC[C@@H](C)C[C@@H]1C ZINC001206585276 968404813 /nfs/dbraw/zinc/40/48/13/968404813.db2.gz WJIONDKVUSVRFO-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccc(C)cc2OC)C1 ZINC001206783253 968470376 /nfs/dbraw/zinc/47/03/76/968470376.db2.gz YXVGCKIGMLLEDX-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1ncc(CN2CCCC23CC3)s1 ZINC001207058801 968590629 /nfs/dbraw/zinc/59/06/29/968590629.db2.gz MAZZYOOKSXHEFM-UHFFFAOYSA-N 0 3 208.330 2.580 20 0 BFADHN CCCC[C@H](O)CN[C@H](C)c1ccc(C)cn1 ZINC001252111277 968594559 /nfs/dbraw/zinc/59/45/59/968594559.db2.gz RCTSFWCXQHOXQY-OLZOCXBDSA-N 0 3 236.359 2.592 20 0 BFADHN CCc1nccc(CN2C[C@H](C)C[C@H](C)C2)n1 ZINC001207088956 968621688 /nfs/dbraw/zinc/62/16/88/968621688.db2.gz ZCHUJJJPYQGJNC-TXEJJXNPSA-N 0 3 233.359 2.517 20 0 BFADHN CCn1ccnc1CN1CCC[C@@H](C)[C@H](C)C1 ZINC001207140162 968650826 /nfs/dbraw/zinc/65/08/26/968650826.db2.gz FKJUGLJEQRRYCJ-CHWSQXEVSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1ncccc1CN1CC[C@]2(C1)CCCCO2 ZINC001207148180 968656836 /nfs/dbraw/zinc/65/68/36/968656836.db2.gz SFZGKBCEBOWKMU-HNNXBMFYSA-N 0 3 246.354 2.535 20 0 BFADHN CCCCNc1cc(Cl)nc2c1CCNC2 ZINC001154828216 968681959 /nfs/dbraw/zinc/68/19/59/968681959.db2.gz SRXUQBDOINTWRW-UHFFFAOYSA-N 0 3 239.750 2.593 20 0 BFADHN c1ccc(COCCN2CC3(CCC3)C2)cc1 ZINC001207227709 968688506 /nfs/dbraw/zinc/68/85/06/968688506.db2.gz CGRCSRKFCORTDD-UHFFFAOYSA-N 0 3 231.339 2.689 20 0 BFADHN COc1ccc(CCN2C[C@@H](C)[C@@H](F)C2)cc1 ZINC001207472657 968791704 /nfs/dbraw/zinc/79/17/04/968791704.db2.gz SUSYEQUEIWHYEY-RISCZKNCSA-N 0 3 237.318 2.528 20 0 BFADHN Fc1ccccc1CCCN1C[C@H]2CC[C@@H](C1)O2 ZINC001207490864 968803665 /nfs/dbraw/zinc/80/36/65/968803665.db2.gz ZPZQEMQOITVXQT-OKILXGFUSA-N 0 3 249.329 2.622 20 0 BFADHN Fc1ccccc1CCCN1CCOC2(CC2)C1 ZINC001207495735 968805346 /nfs/dbraw/zinc/80/53/46/968805346.db2.gz CBOZYFIHFSHAQY-UHFFFAOYSA-N 0 3 249.329 2.623 20 0 BFADHN Cc1cccc(CCN2CCOC[C@H]2C(C)C)c1 ZINC001207538199 968818022 /nfs/dbraw/zinc/81/80/22/968818022.db2.gz MVXLHLDEZYBZCY-INIZCTEOSA-N 0 3 247.382 2.894 20 0 BFADHN CC(=O)[C@@H]1CCCN1CCc1cccc(C)c1 ZINC001207554931 968819752 /nfs/dbraw/zinc/81/97/52/968819752.db2.gz UUHHLIFIMBDYPS-HNNXBMFYSA-N 0 3 231.339 2.591 20 0 BFADHN c1ccc(CCCCN2CCCC23COC3)cc1 ZINC001207610797 968829284 /nfs/dbraw/zinc/82/92/84/968829284.db2.gz NHARWNURXWMJDA-UHFFFAOYSA-N 0 3 245.366 2.874 20 0 BFADHN CN(CCCCc1ccccc1)C1(C)COC1 ZINC001207616672 968838891 /nfs/dbraw/zinc/83/88/91/968838891.db2.gz HOIUFEBWFIOHBW-UHFFFAOYSA-N 0 3 233.355 2.730 20 0 BFADHN C[C@]1(F)CCN(CC2CCSCC2)C[C@@H]1F ZINC001207696598 968864709 /nfs/dbraw/zinc/86/47/09/968864709.db2.gz IZDSYUYSGPLLOY-RYUDHWBXSA-N 0 3 249.370 2.902 20 0 BFADHN COc1cc(-c2ccc(CN)nc2)ccc1C ZINC001241033031 968867491 /nfs/dbraw/zinc/86/74/91/968867491.db2.gz DGIYZTWTPDOVHF-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN Cc1ccc([C@@H]2CCCN2C[C@@H]2CCCO2)cn1 ZINC001207887387 968915360 /nfs/dbraw/zinc/91/53/60/968915360.db2.gz HUVWZICAJHWEGB-GJZGRUSLSA-N 0 3 246.354 2.706 20 0 BFADHN CN1CC=C(c2ccc3nc(N)sc3c2)CC1 ZINC001241328204 968978672 /nfs/dbraw/zinc/97/86/72/968978672.db2.gz ZLWQMACOTOYLSZ-UHFFFAOYSA-N 0 3 245.351 2.597 20 0 BFADHN CCC[C@H](O)CNC1(c2ccccc2F)CC1 ZINC001252803736 968988302 /nfs/dbraw/zinc/98/83/02/968988302.db2.gz XSUKPHMBYHEQDU-NSHDSACASA-N 0 3 237.318 2.565 20 0 BFADHN CCC[C@@H](O)CN[C@H](CC)c1ccc(C)o1 ZINC001252803644 968988762 /nfs/dbraw/zinc/98/87/62/968988762.db2.gz VKZKVSFCKRWSIC-VXGBXAGGSA-N 0 3 225.332 2.790 20 0 BFADHN CS[C@H](C)CCN(C)Cc1ccccn1 ZINC001208136708 969039686 /nfs/dbraw/zinc/03/96/86/969039686.db2.gz CESCGMCYKUEHHH-LLVKDONJSA-N 0 3 224.373 2.655 20 0 BFADHN CC/C=C\CCCN1C[C@H]2CC[C@@H]1CO2 ZINC001208138275 969050299 /nfs/dbraw/zinc/05/02/99/969050299.db2.gz NGXPFNWQSRYJFO-FGTRRKKMSA-N 0 3 209.333 2.596 20 0 BFADHN CCOc1cc(C)c(C2=CCCN(C)C2)cn1 ZINC001241570484 969062922 /nfs/dbraw/zinc/06/29/22/969062922.db2.gz KTEXWKHDTHQEJA-UHFFFAOYSA-N 0 3 232.327 2.508 20 0 BFADHN Cc1c2cc[nH]c2ncc1C1=CCCN(C)C1 ZINC001241570249 969064429 /nfs/dbraw/zinc/06/44/29/969064429.db2.gz ZDKWOMCBOACSNV-UHFFFAOYSA-N 0 3 227.311 2.542 20 0 BFADHN CN1CCC=C(c2ccnc3ccccc32)C1 ZINC001241569004 969065044 /nfs/dbraw/zinc/06/50/44/969065044.db2.gz OZCJALMCWCWXQC-UHFFFAOYSA-N 0 3 224.307 2.954 20 0 BFADHN CS[C@H](C)CCN1CC(c2cccnc2)C1 ZINC001208154637 969079530 /nfs/dbraw/zinc/07/95/30/969079530.db2.gz MXSKIDZVIVDAAX-LLVKDONJSA-N 0 3 236.384 2.622 20 0 BFADHN CS[C@@H](C)CCN1CC(c2cccnc2)C1 ZINC001208154639 969081564 /nfs/dbraw/zinc/08/15/64/969081564.db2.gz MXSKIDZVIVDAAX-NSHDSACASA-N 0 3 236.384 2.622 20 0 BFADHN CC1=C[C@@H](C)[C@@H](CN2CC3(C2)CCCO3)CC1 ZINC001208162914 969095861 /nfs/dbraw/zinc/09/58/61/969095861.db2.gz SQKGFJRKNVLCFV-ZIAGYGMSSA-N 0 3 235.371 2.844 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@H]2OCCC[C@@H]2C1 ZINC001253182524 969103840 /nfs/dbraw/zinc/10/38/40/969103840.db2.gz QIFSNJFKBMAAFR-BPLDGKMQSA-N 0 3 246.354 2.644 20 0 BFADHN CC1=C[C@H](C)[C@@H](CN(C2CC2)C2COC2)CC1 ZINC001208180632 969111887 /nfs/dbraw/zinc/11/18/87/969111887.db2.gz HTTKDMCOMGBEKD-QWHCGFSZSA-N 0 3 235.371 2.842 20 0 BFADHN Cc1nnc(-c2ccc(CN3CCCCC3)o2)o1 ZINC001253437247 969174219 /nfs/dbraw/zinc/17/42/19/969174219.db2.gz QYAYORXEBOBNND-UHFFFAOYSA-N 0 3 247.298 2.624 20 0 BFADHN Cc1ccn2cc(CN(C)CCC3CC3)nc2c1 ZINC001208686867 969195995 /nfs/dbraw/zinc/19/59/95/969195995.db2.gz SHRMNKIPTLHSLC-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1ncc(CN(C)CCC2CCCC2)cn1 ZINC001208695751 969197066 /nfs/dbraw/zinc/19/70/66/969197066.db2.gz FPQZVVZUBNUFJZ-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN COC(=O)[C@H](C(C)C)N(C)CCC1CCCC1 ZINC001208695710 969197888 /nfs/dbraw/zinc/19/78/88/969197888.db2.gz BSMAPQILBGEEMH-ZDUSSCGKSA-N 0 3 241.375 2.696 20 0 BFADHN CC/C=C\CCN1CCC(=O)[C@H](C)CC1 ZINC001208725818 969213687 /nfs/dbraw/zinc/21/36/87/969213687.db2.gz QFZQRFJZEXJXAF-QMAVJUDZSA-N 0 3 209.333 2.644 20 0 BFADHN CC/C=C\CCN1CCc2cccnc2C1 ZINC001208729803 969216143 /nfs/dbraw/zinc/21/61/43/969216143.db2.gz LDVLSGVFCWEZTL-ARJAWSKDSA-N 0 3 216.328 2.796 20 0 BFADHN CCCCC[C@H](O)CN[C@@H](C)C(=O)CCCC ZINC001253554616 969226351 /nfs/dbraw/zinc/22/63/51/969226351.db2.gz LUSLSPVNKOMRON-STQMWFEESA-N 0 3 243.391 2.665 20 0 BFADHN CN1CC=C(Nc2ccc(C3CC3)nc2)CC1 ZINC001208793679 969238056 /nfs/dbraw/zinc/23/80/56/969238056.db2.gz JVJRKEVDBUVCOE-UHFFFAOYSA-N 0 3 229.327 2.590 20 0 BFADHN CN1CC=C(Nc2cccc(C3CC3)n2)CC1 ZINC001208802633 969246381 /nfs/dbraw/zinc/24/63/81/969246381.db2.gz OUZSPSCNXQZQMI-UHFFFAOYSA-N 0 3 229.327 2.590 20 0 BFADHN Cc1ccc(NC2=CCN(C)CC2)c(F)c1 ZINC001208839109 969249601 /nfs/dbraw/zinc/24/96/01/969249601.db2.gz WNCYUFHWXUNHDD-UHFFFAOYSA-N 0 3 220.291 2.765 20 0 BFADHN CN1CC=C(Nc2cccc3ncsc32)CC1 ZINC001208844635 969257274 /nfs/dbraw/zinc/25/72/74/969257274.db2.gz SFAHHNREKUQVSK-UHFFFAOYSA-N 0 3 245.351 2.928 20 0 BFADHN CCOC1CCN(C[C@@H](C)C(F)(F)F)CC1 ZINC001208892531 969281860 /nfs/dbraw/zinc/28/18/60/969281860.db2.gz DSGJNVSRZJSDDP-SECBINFHSA-N 0 3 239.281 2.686 20 0 BFADHN CN(Cc1cncnc1)CC1(C)CCCCC1 ZINC001208908320 969294664 /nfs/dbraw/zinc/29/46/64/969294664.db2.gz RZPSOLAJUMDKPX-UHFFFAOYSA-N 0 3 233.359 2.879 20 0 BFADHN CCC(=O)C(C)(C)CN1CCC(F)(F)CC1 ZINC001208916388 969299849 /nfs/dbraw/zinc/29/98/49/969299849.db2.gz RFLVYUIVCRMNBI-UHFFFAOYSA-N 0 3 233.302 2.723 20 0 BFADHN CN1CC=C(Nc2cccc3c2CCCO3)CC1 ZINC001208979084 969315313 /nfs/dbraw/zinc/31/53/13/969315313.db2.gz HBSPIIAYZBHWET-UHFFFAOYSA-N 0 3 244.338 2.643 20 0 BFADHN CC[C@@H](C)[C@@H](C(=O)OC)N(CC)CCC1CC1 ZINC001169014180 969342216 /nfs/dbraw/zinc/34/22/16/969342216.db2.gz MLYLXMCCXYTBOK-YPMHNXCESA-N 0 3 241.375 2.696 20 0 BFADHN COCCN1CC[C@H](c2ccccc2Cl)C1 ZINC001209212743 969401489 /nfs/dbraw/zinc/40/14/89/969401489.db2.gz PGQJZFKBGBSZHO-NSHDSACASA-N 0 3 239.746 2.776 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C(C)C)CC2)[nH]n1 ZINC001254010708 969446851 /nfs/dbraw/zinc/44/68/51/969446851.db2.gz KTCOTUHWZBBIQE-CYBMUJFWSA-N 0 3 235.375 2.976 20 0 BFADHN COC(=O)[C@H]1CCCCCN1CCCC1CC1 ZINC001169154121 969475048 /nfs/dbraw/zinc/47/50/48/969475048.db2.gz UOCBYWKYTAPMBX-CYBMUJFWSA-N 0 3 239.359 2.594 20 0 BFADHN CCOc1ccc(-n2ccc([C@@H](C)N)n2)cc1C ZINC001169232246 969508906 /nfs/dbraw/zinc/50/89/06/969508906.db2.gz BUZIKPFQGIIKGY-LLVKDONJSA-N 0 3 245.326 2.599 20 0 BFADHN C[C@H](N)c1ccn(-c2cccc3[nH]cc(F)c32)n1 ZINC001169234866 969520453 /nfs/dbraw/zinc/52/04/53/969520453.db2.gz LVYXFNWBROFSCT-QMMMGPOBSA-N 0 3 244.273 2.512 20 0 BFADHN CC1CCN(Cc2cc3c(cn2)OCCC3)CC1 ZINC001209499789 969525360 /nfs/dbraw/zinc/52/53/60/969525360.db2.gz LQVKVNPJOLNPNH-UHFFFAOYSA-N 0 3 246.354 2.639 20 0 BFADHN COCC1CN(Cc2c[nH]c3ccc(C)cc23)C1 ZINC001209490477 969526400 /nfs/dbraw/zinc/52/64/00/969526400.db2.gz ZAWPXWKTPRIIBO-UHFFFAOYSA-N 0 3 244.338 2.555 20 0 BFADHN C[C@H](N)c1nccn1C1CCC(F)(F)CC1 ZINC001254207381 969536404 /nfs/dbraw/zinc/53/64/04/969536404.db2.gz RXDLCTGPHZHEIM-QMMMGPOBSA-N 0 3 229.274 2.653 20 0 BFADHN C[C@@H]1CN(C2CCC(F)(F)CC2)C[C@@H](C)O1 ZINC001254216471 969540846 /nfs/dbraw/zinc/54/08/46/969540846.db2.gz JPPICQCOFJTFMI-NXEZZACHSA-N 0 3 233.302 2.674 20 0 BFADHN Cn1cncc1N[C@@H](C1CCC1)C(F)(F)F ZINC001169287143 969552258 /nfs/dbraw/zinc/55/22/58/969552258.db2.gz PDYFRDJGCQSSMZ-VIFPVBQESA-N 0 3 233.237 2.563 20 0 BFADHN Cc1[nH]c2ncccc2c1CN1CCC[C@@H]2C[C@@H]21 ZINC001209592574 969566633 /nfs/dbraw/zinc/56/66/33/969566633.db2.gz HUPAPGJWQSLXOO-RISCZKNCSA-N 0 3 241.338 2.856 20 0 BFADHN COc1cc(CN2CC[C@@H](C)C[C@@H]2C)ccn1 ZINC001209642971 969568558 /nfs/dbraw/zinc/56/85/58/969568558.db2.gz YIHPIFPVIQCCPZ-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@]1(F)CCCN([C@H]2C[C@@H]3CC(=O)C[C@@H]3C2)C1 ZINC001254944611 969833498 /nfs/dbraw/zinc/83/34/98/969833498.db2.gz CBBXTIWGHDGZKU-SFTQSGBHSA-N 0 3 239.334 2.568 20 0 BFADHN F[C@@H]1CCCN(C2Cc3ccccc3C2)C1 ZINC001255024181 969850730 /nfs/dbraw/zinc/85/07/30/969850730.db2.gz FHHUKQNIHPYVPV-CYBMUJFWSA-N 0 3 219.303 2.588 20 0 BFADHN CCc1cc(Nc2cc(O)ccc2F)ccn1 ZINC001210799725 970041780 /nfs/dbraw/zinc/04/17/80/970041780.db2.gz AFGQRGXQJKOUKA-UHFFFAOYSA-N 0 3 232.258 2.654 20 0 BFADHN C=C(Nc1cnc(C)n1C)c1ccccc1 ZINC001210984922 970091531 /nfs/dbraw/zinc/09/15/31/970091531.db2.gz FFSGIJJVMSYYCA-UHFFFAOYSA-N 0 3 213.284 2.811 20 0 BFADHN CCCC[C@@H](C)N(C)[C@H](C(=O)OC)C(C)C ZINC001255332083 970114837 /nfs/dbraw/zinc/11/48/37/970114837.db2.gz ISPUXSXLACSIIL-NEPJUHHUSA-N 0 3 229.364 2.695 20 0 BFADHN C=C(c1ccccc1)c1ccnc(CN)c1 ZINC001242893770 970129182 /nfs/dbraw/zinc/12/91/82/970129182.db2.gz XCTRVCZYBHSPPG-UHFFFAOYSA-N 0 3 210.280 2.602 20 0 BFADHN Cc1ccc(-c2cccc(CN(C)C)n2)nc1 ZINC001242905098 970136880 /nfs/dbraw/zinc/13/68/80/970136880.db2.gz AQJZRPZHOYQNJM-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN COc1ncc(-c2nccc(N)c2C)cc1Cl ZINC001242931306 970156055 /nfs/dbraw/zinc/15/60/55/970156055.db2.gz UCZRGHIUZFOPLQ-UHFFFAOYSA-N 0 3 249.701 2.696 20 0 BFADHN CC(C)CCC[C@@H](C)N[C@H]1COC[C@@H]1F ZINC001170073804 970167869 /nfs/dbraw/zinc/16/78/69/970167869.db2.gz NTSQFKMLKPGLJK-WOPDTQHZSA-N 0 3 217.328 2.528 20 0 BFADHN CC(C)CCC[C@@H](C)N1CCOC[C@@H]1C ZINC001170077294 970177939 /nfs/dbraw/zinc/17/79/39/970177939.db2.gz IGHWZGIPWJKPMX-OLZOCXBDSA-N 0 3 213.365 2.922 20 0 BFADHN CC(C)CCC[C@@H](C)N1CCO[C@@H](C)C1 ZINC001170078514 970185125 /nfs/dbraw/zinc/18/51/25/970185125.db2.gz SRBZQUNIAVAURY-OLZOCXBDSA-N 0 3 213.365 2.922 20 0 BFADHN COC1CCC(N2CC[C@](C)(F)[C@@H](F)C2)CC1 ZINC001255457563 970187989 /nfs/dbraw/zinc/18/79/89/970187989.db2.gz WWFVZOMPNPSTQT-TYUFSLCMSA-N 0 3 247.329 2.716 20 0 BFADHN Cc1cnccc1Nc1ccc2c(c1)NCC2 ZINC001213143761 970277187 /nfs/dbraw/zinc/27/71/87/970277187.db2.gz IOKIYHHUTPJUDS-UHFFFAOYSA-N 0 3 225.295 2.524 20 0 BFADHN CC(C)N(C(=O)[C@@H](N)C1CCCCC1)C(C)C ZINC001157458568 970325711 /nfs/dbraw/zinc/32/57/11/970325711.db2.gz JNZHPTFKEXGOTD-ZDUSSCGKSA-N 0 3 240.391 2.539 20 0 BFADHN C[C@@H]1CC[C@@H](NCC(=O)c2cccc(F)c2)C1 ZINC001170117114 970339062 /nfs/dbraw/zinc/33/90/62/970339062.db2.gz IMUADKLIZFFNGP-ZWNOBZJWSA-N 0 3 235.302 2.787 20 0 BFADHN C[C@H](CC(F)(F)F)N(C)C[C@H]1CCCCO1 ZINC001255657810 970437208 /nfs/dbraw/zinc/43/72/08/970437208.db2.gz KYHUYDLBJVBXER-NXEZZACHSA-N 0 3 239.281 2.828 20 0 BFADHN C[C@H](CSc1ccc(F)cc1)NCCF ZINC001255732963 970468175 /nfs/dbraw/zinc/46/81/75/970468175.db2.gz PPKPLUUPMREEFP-SECBINFHSA-N 0 3 231.311 2.865 20 0 BFADHN COc1cc2c(cn1)CCN([C@H]1CC[C@H](C)C1)C2 ZINC001170160898 970501337 /nfs/dbraw/zinc/50/13/37/970501337.db2.gz KCSWEVUQKCFPKJ-FZMZJTMJSA-N 0 3 246.354 2.637 20 0 BFADHN C[C@H](Cc1ccccc1F)NC1(CF)CC1 ZINC001255829135 970502568 /nfs/dbraw/zinc/50/25/68/970502568.db2.gz ISYHHIWBYHASOB-SNVBAGLBSA-N 0 3 225.282 2.848 20 0 BFADHN Cc1oncc1CN[C@H](C)Cc1ccccc1F ZINC001255830292 970508221 /nfs/dbraw/zinc/50/82/21/970508221.db2.gz SQKFBGCHEKBIGP-SNVBAGLBSA-N 0 3 248.301 2.843 20 0 BFADHN Cc1cc(CN)nn1[C@@H](C)Cc1ccc(F)cc1 ZINC001255856358 970520796 /nfs/dbraw/zinc/52/07/96/970520796.db2.gz JWEHOHBLGRGZMH-JTQLQIEISA-N 0 3 247.317 2.593 20 0 BFADHN Cc1ccc(NCC2CN(C)C2)c2ncccc12 ZINC001170256451 970643271 /nfs/dbraw/zinc/64/32/71/970643271.db2.gz MYSAWAPYXUIRRS-UHFFFAOYSA-N 0 3 241.338 2.517 20 0 BFADHN C[C@H](Cc1ccccc1Cl)N1CCC1 ZINC001170265479 970646547 /nfs/dbraw/zinc/64/65/47/970646547.db2.gz GZMZPHWYNURJDF-SNVBAGLBSA-N 0 3 209.720 2.977 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N(CC)C1CCCC1 ZINC000400952475 970648048 /nfs/dbraw/zinc/64/80/48/970648048.db2.gz JKMJRINWCVQTDB-WCQYABFASA-N 0 3 240.391 2.541 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)NC1CCCCCC1 ZINC000400956268 970650280 /nfs/dbraw/zinc/65/02/80/970650280.db2.gz KKJQTZWAJFJXSU-WCQYABFASA-N 0 3 240.391 2.589 20 0 BFADHN Cc1cc2c(ccnc2NCC2CN(C)C2)s1 ZINC001170249270 970651672 /nfs/dbraw/zinc/65/16/72/970651672.db2.gz AAWSRTHVJVWPRZ-UHFFFAOYSA-N 0 3 247.367 2.578 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N1CCCC[C@H]1CC ZINC000400972843 970653718 /nfs/dbraw/zinc/65/37/18/970653718.db2.gz OWQULVFTRSAYPU-XQQFMLRXSA-N 0 3 240.391 2.541 20 0 BFADHN C[C@H](Cc1ccccc1Cl)n1ccc(CN)n1 ZINC001170249790 970654475 /nfs/dbraw/zinc/65/44/75/970654475.db2.gz BORHAFFAQKODDN-SNVBAGLBSA-N 0 3 249.745 2.799 20 0 BFADHN Cc1cc(CN)nn1[C@@H](C)CCC(C)C ZINC001256353374 970748746 /nfs/dbraw/zinc/74/87/46/970748746.db2.gz RRZLXBSOWCNGOL-JTQLQIEISA-N 0 3 209.337 2.647 20 0 BFADHN CC(C)CC[C@@H](C)NC1(c2cccnn2)CC1 ZINC001256354982 970749998 /nfs/dbraw/zinc/74/99/98/970749998.db2.gz QGUSGPUFZHYXQR-GFCCVEGCSA-N 0 3 233.359 2.880 20 0 BFADHN COC(=O)[C@@H]1CCCCN1[C@H](C)CCC(C)C ZINC001256362686 970760668 /nfs/dbraw/zinc/76/06/68/970760668.db2.gz BKERZKFAVBUIIW-OLZOCXBDSA-N 0 3 241.375 2.839 20 0 BFADHN CCOC1CCN(Cc2cccc(O)c2C)CC1 ZINC001144117329 972588674 /nfs/dbraw/zinc/58/86/74/972588674.db2.gz WOQUEQUVOYUNLH-UHFFFAOYSA-N 0 3 249.354 2.702 20 0 BFADHN CCCO[C@H]1CCN(Cc2cccc(O)c2C)C1 ZINC001144117137 972590072 /nfs/dbraw/zinc/59/00/72/972590072.db2.gz TWOHSLONAJEFFO-AWEZNQCLSA-N 0 3 249.354 2.702 20 0 BFADHN CCCO[C@@H]1CCN(Cc2cccc(O)c2C)C1 ZINC001144117138 972591709 /nfs/dbraw/zinc/59/17/09/972591709.db2.gz TWOHSLONAJEFFO-CQSZACIVSA-N 0 3 249.354 2.702 20 0 BFADHN CCCN(Cc1nc[nH]n1)CC1CCCCC1 ZINC001327512043 972606442 /nfs/dbraw/zinc/60/64/42/972606442.db2.gz MEYMLKKAMFFUJM-UHFFFAOYSA-N 0 3 236.363 2.597 20 0 BFADHN CCCN(Cc1nnc[nH]1)CC1CCCCC1 ZINC001327512043 972606448 /nfs/dbraw/zinc/60/64/48/972606448.db2.gz MEYMLKKAMFFUJM-UHFFFAOYSA-N 0 3 236.363 2.597 20 0 BFADHN C[C@@H]1CCC[N@H+](Cc2ccc([O-])cn2)C[C@H]1C ZINC001144253386 972719397 /nfs/dbraw/zinc/71/93/97/972719397.db2.gz VOEDJHAKJMLICF-VXGBXAGGSA-N 0 3 234.343 2.655 20 0 BFADHN C[C@@H]1CCC[N@@H+](Cc2ccc([O-])cn2)C[C@H]1C ZINC001144253386 972719404 /nfs/dbraw/zinc/71/94/04/972719404.db2.gz VOEDJHAKJMLICF-VXGBXAGGSA-N 0 3 234.343 2.655 20 0 BFADHN COc1ccc(CN2CCCCC23CC3)nc1 ZINC001144430243 972812220 /nfs/dbraw/zinc/81/22/20/972812220.db2.gz AMURREFPKGWHJQ-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN CCC[C@H](O)CN(C)Cc1cc2ccccc2[nH]1 ZINC001327985203 972844345 /nfs/dbraw/zinc/84/43/45/972844345.db2.gz OCIUIXMVCOQZER-AWEZNQCLSA-N 0 3 246.354 2.761 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2ncn3ccccc23)C1 ZINC001144624244 972887502 /nfs/dbraw/zinc/88/75/02/972887502.db2.gz ASQNJBPPKZWLMZ-STQMWFEESA-N 0 3 243.354 2.812 20 0 BFADHN CN(CCC1CC1)Cc1ncn2ccccc12 ZINC001144625391 972890717 /nfs/dbraw/zinc/89/07/17/972890717.db2.gz LPMASORMBRPHTG-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CN(Cc1ncn2ccccc12)CC(C)(C)C ZINC001144622615 972893510 /nfs/dbraw/zinc/89/35/10/972893510.db2.gz KVQDWPZNZKFJHB-UHFFFAOYSA-N 0 3 231.343 2.812 20 0 BFADHN CC[C@@H](C)N(C)Cc1ncn2ccccc12 ZINC001144616629 972895594 /nfs/dbraw/zinc/89/55/94/972895594.db2.gz SNYWNCMTHLYUJR-LLVKDONJSA-N 0 3 217.316 2.565 20 0 BFADHN C[C@@H]1CN(Cc2cccc3cc[nH]c32)C[C@@H]1F ZINC001144709810 972965419 /nfs/dbraw/zinc/96/54/19/972965419.db2.gz ICAXKYONIMAOKF-MFKMUULPSA-N 0 3 232.302 2.958 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N1C[C@@H](N)[C@@H](F)C1 ZINC001246820633 973125028 /nfs/dbraw/zinc/12/50/28/973125028.db2.gz PASVONVDTPLVSS-ZDEQEGDKSA-N 0 3 230.371 2.572 20 0 BFADHN CN[C@@H]1CCN1[C@@H]1CCC[C@H](c2ccccc2)C1 ZINC001246894847 973346141 /nfs/dbraw/zinc/34/61/41/973346141.db2.gz CKICKJANZUVRGA-XHSDSOJGSA-N 0 3 244.382 2.964 20 0 BFADHN Cc1ccc2nc([C@H](C)N)n(C(C)C)c2c1 ZINC001247043893 973794059 /nfs/dbraw/zinc/79/40/59/973794059.db2.gz ACIGEMITQCTMSO-JTQLQIEISA-N 0 3 217.316 2.945 20 0 BFADHN CCc1cccc(-c2noc([C@H]3CCCN3)n2)c1 ZINC001247498843 973975311 /nfs/dbraw/zinc/97/53/11/973975311.db2.gz UXFLAQPWESFRFR-GFCCVEGCSA-N 0 3 243.310 2.724 20 0 BFADHN CC(=O)c1ccc(CN2CC[C@@H]3C[C@@H]3C2)cc1 ZINC001329910803 974021042 /nfs/dbraw/zinc/02/10/42/974021042.db2.gz HQJPPRRJOGLULN-HUUCEWRRSA-N 0 3 229.323 2.731 20 0 BFADHN CC(=O)CN1CC[C@H]([C@H]2CCCC2(C)C)C1 ZINC001171459139 974076035 /nfs/dbraw/zinc/07/60/35/974076035.db2.gz RSKDRIQZPNJDQR-QWHCGFSZSA-N 0 3 223.360 2.724 20 0 BFADHN Cn1cncc1NCC1(c2ccccc2)CC1 ZINC001171466749 974081284 /nfs/dbraw/zinc/08/12/84/974081284.db2.gz QHRXVAVVKQTGJD-UHFFFAOYSA-N 0 3 227.311 2.564 20 0 BFADHN Cc1cccc2c1CC[C@@H](N1C=C(N)C=CC1)C2 ZINC001171556129 974098887 /nfs/dbraw/zinc/09/88/87/974098887.db2.gz BMYBEMCEKCOTIG-OAHLLOKOSA-N 0 3 240.350 2.524 20 0 BFADHN CC(=O)CN(C)[C@@H](C)CCc1ccc(C)cc1 ZINC001171671013 974128006 /nfs/dbraw/zinc/12/80/06/974128006.db2.gz NZKHGJXGNYDXAO-ZDUSSCGKSA-N 0 3 233.355 2.837 20 0 BFADHN Cc1ccc(CC[C@@H](C)N2C[C@@H]3C[C@H]2CO3)cc1 ZINC001171681197 974134436 /nfs/dbraw/zinc/13/44/36/974134436.db2.gz YNKHQVQZNGUZQL-KBMXLJTQSA-N 0 3 245.366 2.789 20 0 BFADHN Cc1cc(CN2CC3(CCC3)[C@@H]2C(C)C)n[nH]1 ZINC001330214043 974161643 /nfs/dbraw/zinc/16/16/43/974161643.db2.gz VGVJMSGQRQAOHX-ZDUSSCGKSA-N 0 3 233.359 2.729 20 0 BFADHN CC(C)N1CC[C@@H](Oc2ccccc2F)C1 ZINC001225200552 974219379 /nfs/dbraw/zinc/21/93/79/974219379.db2.gz KOZVZKBLFPTENT-LLVKDONJSA-N 0 3 223.291 2.687 20 0 BFADHN CCOCCN(CC)Cc1cccn1C1CC1 ZINC001330527568 974292502 /nfs/dbraw/zinc/29/25/02/974292502.db2.gz BTFNEYYNUHFNSN-UHFFFAOYSA-N 0 3 236.359 2.681 20 0 BFADHN CCc1nocc1CN1CC2CCC1CC2 ZINC001330559921 974315427 /nfs/dbraw/zinc/31/54/27/974315427.db2.gz SFRULDDPKSLJKD-UHFFFAOYSA-N 0 3 220.316 2.611 20 0 BFADHN CCN(Cc1nnc(-c2ccco2)o1)C(C)(C)C ZINC001330600679 974348603 /nfs/dbraw/zinc/34/86/03/974348603.db2.gz FRBPILRZRFXNCF-UHFFFAOYSA-N 0 3 249.314 2.950 20 0 BFADHN CS[C@@H]1CC[C@H](N(C)Cc2ccns2)C1 ZINC001330659274 974392097 /nfs/dbraw/zinc/39/20/97/974392097.db2.gz XPUDUHBVIAQBSS-VHSXEESVSA-N 0 3 242.413 2.859 20 0 BFADHN CC(=O)C(C)(C)N[C@@H]1CSc2ccccc2C1 ZINC001172190287 974398945 /nfs/dbraw/zinc/39/89/45/974398945.db2.gz JIRKWTPMKFXIBS-LBPRGKRZSA-N 0 3 249.379 2.661 20 0 BFADHN Cc1cccc([C@H](C)N[C@H]2COC(C)(C)C2)n1 ZINC001172275426 974490268 /nfs/dbraw/zinc/49/02/68/974490268.db2.gz QKOWHJOFLRKIQP-NWDGAFQWSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2COC(C)(C)C2)nc1 ZINC001172275431 974490518 /nfs/dbraw/zinc/49/05/18/974490518.db2.gz QPFFGCXKIJIHLZ-RYUDHWBXSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@@H]1CC[C@H](N(C)Cc2cnccn2)C[C@@H]1C ZINC001172373783 974568047 /nfs/dbraw/zinc/56/80/47/974568047.db2.gz NLOXQWBTXKUFBA-DYEKYZERSA-N 0 3 233.359 2.733 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCc3nn(C)cc3C2)C[C@@H]1C ZINC001172373286 974568250 /nfs/dbraw/zinc/56/82/50/974568250.db2.gz LCZVPQKNOWMLSG-MBNYWOFBSA-N 0 3 247.386 2.603 20 0 BFADHN C[C@@H]1CC[C@H](N2CCc3c(cnn3C)C2)C[C@H]1C ZINC001172379862 974583762 /nfs/dbraw/zinc/58/37/62/974583762.db2.gz PVLBKBSRGLGBTF-BZPMIXESSA-N 0 3 247.386 2.603 20 0 BFADHN CC(=O)c1ccc(CN2CC[C@@H](CF)C2)cc1 ZINC001331179501 974633277 /nfs/dbraw/zinc/63/32/77/974633277.db2.gz KTWMDHFFUCIQCG-ZDUSSCGKSA-N 0 3 235.302 2.681 20 0 BFADHN C[C@@H]1CC[C@@H](N2Cc3cncnc3C2)C[C@H]1C ZINC001172369034 974647879 /nfs/dbraw/zinc/64/78/79/974647879.db2.gz YPCICMSGVKORBZ-NQBHXWOUSA-N 0 3 231.343 2.617 20 0 BFADHN CCOCC[C@@H](C)NCc1cc(C(C)C)no1 ZINC001172410695 974674171 /nfs/dbraw/zinc/67/41/71/974674171.db2.gz HYFIMYPYMROTFZ-LLVKDONJSA-N 0 3 240.347 2.703 20 0 BFADHN CC(=O)c1cccc(O[C@@H]2CCN(C(C)C)C2)c1 ZINC001225811926 974691207 /nfs/dbraw/zinc/69/12/07/974691207.db2.gz JDWIVNVBTGTRHG-OAHLLOKOSA-N 0 3 247.338 2.751 20 0 BFADHN CCN1CC[C@H](Oc2cc(F)c(F)c(F)c2)C1 ZINC001225910473 974724998 /nfs/dbraw/zinc/72/49/98/974724998.db2.gz BLTPMZXMQDSEDD-QMMMGPOBSA-N 0 3 245.244 2.577 20 0 BFADHN CCn1cncc1CN1CC[C@@H]2CCCC[C@H]2C1 ZINC001331450191 974726799 /nfs/dbraw/zinc/72/67/99/974726799.db2.gz CAWZSXIVLRMIIZ-KBPBESRZSA-N 0 3 247.386 2.915 20 0 BFADHN CCN1CCC[C@@H](Oc2cccc(F)c2F)C1 ZINC001225924621 974732961 /nfs/dbraw/zinc/73/29/61/974732961.db2.gz PODNMQMXABJHBC-SNVBAGLBSA-N 0 3 241.281 2.828 20 0 BFADHN Cc1ccc(OC2CCN(C)CC2)c(F)c1F ZINC001225942859 974739733 /nfs/dbraw/zinc/73/97/33/974739733.db2.gz RXJHCXVQMCSHJQ-UHFFFAOYSA-N 0 3 241.281 2.746 20 0 BFADHN Cc1ccc(F)cc1O[C@H]1CN2CCC1CC2 ZINC001225988723 974750255 /nfs/dbraw/zinc/75/02/55/974750255.db2.gz YDWQBIYRNZVRAA-AWEZNQCLSA-N 0 3 235.302 2.607 20 0 BFADHN CC[C@@H](CC(C)C)n1ccc([C@@H](C)N)n1 ZINC001172396623 974751993 /nfs/dbraw/zinc/75/19/93/974751993.db2.gz VWAOBKGNJDUZPN-MNOVXSKESA-N 0 3 209.337 2.900 20 0 BFADHN CC(C)COCC[C@@H](C)N1C[C@H](C)O[C@@H](C)C1 ZINC001172475912 974772422 /nfs/dbraw/zinc/77/24/22/974772422.db2.gz NKNFITKDTTZQKA-RDBSUJKOSA-N 0 3 243.391 2.547 20 0 BFADHN CC(C)OCC[C@H](C)NCc1cccnc1F ZINC001172439089 974795224 /nfs/dbraw/zinc/79/52/24/974795224.db2.gz YAQKUAQUWOJCRS-NSHDSACASA-N 0 3 240.322 2.514 20 0 BFADHN CC(C)OCC[C@H](C)N1CCc2cccnc2C1 ZINC001172454459 974816071 /nfs/dbraw/zinc/81/60/71/974816071.db2.gz KAMDHJZOTCVTJL-ZDUSSCGKSA-N 0 3 248.370 2.643 20 0 BFADHN CC(=O)[C@H]1CCCCN1[C@H](C)CCOC(C)C ZINC001172457917 974821158 /nfs/dbraw/zinc/82/11/58/974821158.db2.gz WOACIOAIJRZDQA-TZMCWYRMSA-N 0 3 241.375 2.634 20 0 BFADHN CC(C)OCC[C@@H](C)N1CCO[C@@H](C(C)C)C1 ZINC001172456794 974822266 /nfs/dbraw/zinc/82/22/66/974822266.db2.gz OZSHENZHGKSADP-ZIAGYGMSSA-N 0 3 243.391 2.547 20 0 BFADHN CN1CCC[C@@H](Oc2nsc3ccccc32)C1 ZINC001226433022 974897141 /nfs/dbraw/zinc/89/71/41/974897141.db2.gz OLXLZODGRUTQDD-SNVBAGLBSA-N 0 3 248.351 2.769 20 0 BFADHN CN1CCC[C@H](Oc2nsc3ccccc32)C1 ZINC001226433021 974897455 /nfs/dbraw/zinc/89/74/55/974897455.db2.gz OLXLZODGRUTQDD-JTQLQIEISA-N 0 3 248.351 2.769 20 0 BFADHN C[C@H]1CC[C@@H](Oc2ccnc(N)c2)CC1 ZINC001226653996 974997035 /nfs/dbraw/zinc/99/70/35/974997035.db2.gz VWECXBKNRBDXIY-AOOOYVTPSA-N 0 3 206.289 2.621 20 0 BFADHN Nc1cc(O[C@H](c2cccnc2)C2CC2)ccn1 ZINC001226654053 974999043 /nfs/dbraw/zinc/99/90/43/974999043.db2.gz WNQKYGHRPWCABI-AWEZNQCLSA-N 0 3 241.294 2.589 20 0 BFADHN Nc1cc(O[C@@H]2CCc3ccccc3C2)ccn1 ZINC001226655108 975001370 /nfs/dbraw/zinc/00/13/70/975001370.db2.gz DUPLKOAJFIVYAY-CYBMUJFWSA-N 0 3 240.306 2.600 20 0 BFADHN CO[C@H]1CCC[C@H](N(C)Cc2cncs2)C1 ZINC001172947989 975002060 /nfs/dbraw/zinc/00/20/60/975002060.db2.gz FSGVXFLCKSDXEH-QWRGUYRKSA-N 0 3 240.372 2.533 20 0 BFADHN Nc1cc(O[C@@H]2CCc3cc(F)ccc32)ccn1 ZINC001226654302 975002704 /nfs/dbraw/zinc/00/27/04/975002704.db2.gz XUEQHXWXKBMVHF-CYBMUJFWSA-N 0 3 244.269 2.869 20 0 BFADHN CN1CCC[C@@H](Oc2cnc3ccccc3c2)C1 ZINC001226661482 975007750 /nfs/dbraw/zinc/00/77/50/975007750.db2.gz OGSCJYCOLOXENN-CYBMUJFWSA-N 0 3 242.322 2.708 20 0 BFADHN CCc1ccc(C[C@@H](C)n2ccc(CN)n2)cc1 ZINC001173235767 975078146 /nfs/dbraw/zinc/07/81/46/975078146.db2.gz OSYMGYULIMAKDI-GFCCVEGCSA-N 0 3 243.354 2.708 20 0 BFADHN CCCCCCNC(=O)[C@H](N)C1CCCCC1 ZINC001332415654 975102015 /nfs/dbraw/zinc/10/20/15/975102015.db2.gz INCXFIGQHMMWHW-CYBMUJFWSA-N 0 3 240.391 2.591 20 0 BFADHN CN1CCC(Oc2cccc(F)c2Cl)CC1 ZINC001226828810 975108226 /nfs/dbraw/zinc/10/82/26/975108226.db2.gz AJWDVVYPMVCIGD-UHFFFAOYSA-N 0 3 243.709 2.952 20 0 BFADHN CC[C@H]1CC[C@H](NCc2nc(C)oc2C)C1 ZINC001173261711 975111493 /nfs/dbraw/zinc/11/14/93/975111493.db2.gz ULHDEURNWDSUOC-RYUDHWBXSA-N 0 3 222.332 2.960 20 0 BFADHN CC[C@@H]1CC[C@@H](n2ccc([C@H](C)N)n2)C1 ZINC001173265035 975125010 /nfs/dbraw/zinc/12/50/10/975125010.db2.gz YPOJIJUKQGXAHO-HBNTYKKESA-N 0 3 207.321 2.654 20 0 BFADHN CCCN(CC(=O)OCC)[C@H]1CC[C@@H](CC)C1 ZINC001173293006 975168934 /nfs/dbraw/zinc/16/89/34/975168934.db2.gz JGHWWANMPNLTQE-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN CCc1ccc(C[C@H](C)N(C)C2(C)COC2)cc1 ZINC001173242944 975170649 /nfs/dbraw/zinc/17/06/49/975170649.db2.gz IXROJIGHDGCZJJ-ZDUSSCGKSA-N 0 3 247.382 2.901 20 0 BFADHN CCSC[C@H](C)N1CC2(C1)C[C@H](F)CS2 ZINC001173306014 975212771 /nfs/dbraw/zinc/21/27/71/975212771.db2.gz CVKHSPFJGNPCLK-UWVGGRQHSA-N 0 3 249.420 2.657 20 0 BFADHN Cc1cc(O[C@H]2CCN(C)C2)nc2ccccc12 ZINC001227048716 975236940 /nfs/dbraw/zinc/23/69/40/975236940.db2.gz KXHGRSPMMGJKKT-LBPRGKRZSA-N 0 3 242.322 2.626 20 0 BFADHN CCCc1ccc(O)cc1O[C@H]1CCCN(C)C1 ZINC001227150527 975296531 /nfs/dbraw/zinc/29/65/31/975296531.db2.gz OWINKAZFSYCBHY-AWEZNQCLSA-N 0 3 249.354 2.818 20 0 BFADHN Fc1cc2c(cc1F)CC(N1CCCCC1)C2 ZINC001173479746 975383423 /nfs/dbraw/zinc/38/34/23/975383423.db2.gz MGIJVGZHUJGRFR-UHFFFAOYSA-N 0 3 237.293 2.918 20 0 BFADHN CN1CC[C@H](Oc2cc(C(C)(C)C)ccc2O)C1 ZINC001227424673 975394154 /nfs/dbraw/zinc/39/41/54/975394154.db2.gz PVJHPHQCZNJDQF-LBPRGKRZSA-N 0 3 249.354 2.773 20 0 BFADHN CCc1ccccc1O[C@H]1CN2CCC1CC2 ZINC001227680135 975602481 /nfs/dbraw/zinc/60/24/81/975602481.db2.gz BOZQMGGNIIREPE-HNNXBMFYSA-N 0 3 231.339 2.722 20 0 BFADHN CC1(N[C@@H]2CCc3c2nccc3Cl)CC1 ZINC001333597340 975879416 /nfs/dbraw/zinc/87/94/16/975879416.db2.gz QCJYXNCBLXLKIP-SNVBAGLBSA-N 0 3 222.719 2.864 20 0 BFADHN COc1ccc(F)cc1Nc1cnc(C)n1C ZINC001173956213 975990557 /nfs/dbraw/zinc/99/05/57/975990557.db2.gz AIYFYUVILLQWHI-UHFFFAOYSA-N 0 3 235.262 2.620 20 0 BFADHN CC(=O)c1ccc(O[C@H](C)CN(C)C)cc1C ZINC001228217663 976000735 /nfs/dbraw/zinc/00/07/35/976000735.db2.gz ZBRGDCCMQYPKSS-LLVKDONJSA-N 0 3 235.327 2.527 20 0 BFADHN Cc1ncc(Nc2ccc(F)cc2C)n1C ZINC001173967958 976021199 /nfs/dbraw/zinc/02/11/99/976021199.db2.gz KDQFJHWLYLJEAG-UHFFFAOYSA-N 0 3 219.263 2.920 20 0 BFADHN CCc1cc(Nc2cccc(OC)c2F)ccn1 ZINC001173971093 976026699 /nfs/dbraw/zinc/02/66/99/976026699.db2.gz HYGLCHSKSLEVSH-UHFFFAOYSA-N 0 3 246.285 2.957 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2CCC[C@H](F)C2)cc1F ZINC001333835805 976110753 /nfs/dbraw/zinc/11/07/53/976110753.db2.gz XCYUICBNZLMLNA-WDEREUQCSA-N 0 3 241.281 2.902 20 0 BFADHN CCN1CCC[C@@H](Oc2ccc(C)cc2F)C1 ZINC001228382980 976172615 /nfs/dbraw/zinc/17/26/15/976172615.db2.gz OHKVKWIFHIVZSN-GFCCVEGCSA-N 0 3 237.318 2.997 20 0 BFADHN Cc1ncc(Nc2ccc(C)c(F)c2)n1C ZINC001174019659 976218353 /nfs/dbraw/zinc/21/83/53/976218353.db2.gz LARBVBUYZHCJBR-UHFFFAOYSA-N 0 3 219.263 2.920 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@H]1C)c1ncccn1 ZINC001334084337 976276918 /nfs/dbraw/zinc/27/69/18/976276918.db2.gz UCRGKHCZLKVANP-FVCCEPFGSA-N 0 3 233.359 2.952 20 0 BFADHN CCc1cc(CNCCCC(F)(F)F)on1 ZINC001334156171 976337888 /nfs/dbraw/zinc/33/78/88/976337888.db2.gz OTWZXHXGFYWMEK-UHFFFAOYSA-N 0 3 236.237 2.669 20 0 BFADHN CCc1ccc(O[C@H](C)CN(C)C)c(C(C)=O)c1 ZINC001228642449 976403560 /nfs/dbraw/zinc/40/35/60/976403560.db2.gz UYUYEZZHTKGMIV-LLVKDONJSA-N 0 3 249.354 2.781 20 0 BFADHN COC/C(C)=C/CN[C@H](COC)c1ccccc1 ZINC001334645849 976576715 /nfs/dbraw/zinc/57/67/15/976576715.db2.gz ZKHBLYPFYALFNU-BMQCOBNYSA-N 0 3 249.354 2.556 20 0 BFADHN Cc1cnccc1Nc1cnn(CCC(C)C)c1 ZINC001174412148 976704132 /nfs/dbraw/zinc/70/41/32/976704132.db2.gz HJVPRYLHBPEXPW-UHFFFAOYSA-N 0 3 244.342 2.798 20 0 BFADHN Cc1ncc(Nc2cnn(CCC(C)C)c2)n1C ZINC001174414405 976707260 /nfs/dbraw/zinc/70/72/60/976707260.db2.gz QVCJCZBSQUJWPG-UHFFFAOYSA-N 0 3 247.346 2.715 20 0 BFADHN CCc1ccc(Nc2ccncc2C)cn1 ZINC001174536650 976817635 /nfs/dbraw/zinc/81/76/35/976817635.db2.gz RGVRJEPYSJQRHH-UHFFFAOYSA-N 0 3 213.284 2.513 20 0 BFADHN CC(=O)c1sccc1Nc1ccncc1C ZINC001174536872 976820487 /nfs/dbraw/zinc/82/04/87/976820487.db2.gz XUEDSZDGSMXOSN-UHFFFAOYSA-N 0 3 232.308 2.820 20 0 BFADHN COc1c(F)cccc1Nc1ccncc1C ZINC001174537552 976820914 /nfs/dbraw/zinc/82/09/14/976820914.db2.gz KMRBPNJVBHOKSS-UHFFFAOYSA-N 0 3 232.258 2.703 20 0 BFADHN Cc1cnccc1Nc1cnc(Cl)c(F)c1 ZINC001174536948 976821275 /nfs/dbraw/zinc/82/12/75/976821275.db2.gz ZWNYHLWJIQKJLY-UHFFFAOYSA-N 0 3 237.665 2.743 20 0 BFADHN Cc1cnccc1Nc1cc(O)ccc1Cl ZINC001174539264 976824520 /nfs/dbraw/zinc/82/45/20/976824520.db2.gz ABYGGUVGORNEOB-UHFFFAOYSA-N 0 3 234.686 2.915 20 0 BFADHN Cc1cnccc1N(C)c1cccc(N)c1 ZINC001174538143 976825481 /nfs/dbraw/zinc/82/54/81/976825481.db2.gz BYZUUZHIVVZBJP-UHFFFAOYSA-N 0 3 213.284 2.740 20 0 BFADHN Cc1cnccc1N1CCc2c1cccc2N ZINC001174538991 976827265 /nfs/dbraw/zinc/82/72/65/976827265.db2.gz XPVMUKJZEYVKSA-UHFFFAOYSA-N 0 3 225.295 2.666 20 0 BFADHN Cc1cnccc1Nc1cc(F)cc(F)c1F ZINC001174539705 976829627 /nfs/dbraw/zinc/82/96/27/976829627.db2.gz PZOQGYLWWGWDDU-UHFFFAOYSA-N 0 3 238.212 2.973 20 0 BFADHN Cc1cnccc1Nc1cccc(Cl)c1CO ZINC001174540217 976831924 /nfs/dbraw/zinc/83/19/24/976831924.db2.gz YJEPCZLDKBXJAX-UHFFFAOYSA-N 0 3 248.713 2.701 20 0 BFADHN Cc1cnccc1Nc1cc(C)c(N)cc1C ZINC001174539917 976832609 /nfs/dbraw/zinc/83/26/09/976832609.db2.gz UMZSNYJAWNZBBS-UHFFFAOYSA-N 0 3 227.311 2.755 20 0 BFADHN CC(=O)c1cc(C)cc(C)c1O[C@@H](C)CN(C)C ZINC001229358109 976842198 /nfs/dbraw/zinc/84/21/98/976842198.db2.gz MLCWIOJZKURICI-LBPRGKRZSA-N 0 3 249.354 2.835 20 0 BFADHN Cc1cc(Nc2ncnc3sccc32)ccn1 ZINC001174651476 976933728 /nfs/dbraw/zinc/93/37/28/976933728.db2.gz KFQWFQFLOPHHTP-UHFFFAOYSA-N 0 3 242.307 2.560 20 0 BFADHN CCc1cccnc1Nc1ccnc(C)c1 ZINC001174653853 976940320 /nfs/dbraw/zinc/94/03/20/976940320.db2.gz NAOLWFVCRCXNNO-UHFFFAOYSA-N 0 3 213.284 2.513 20 0 BFADHN Cc1cc(Nc2cnc(C3CC3)s2)ccn1 ZINC001174652719 976940488 /nfs/dbraw/zinc/94/04/88/976940488.db2.gz CVXFOAIGBCNUKA-UHFFFAOYSA-N 0 3 231.324 2.889 20 0 BFADHN Cc1ccc(C)c(Nc2ccnc(C)c2)n1 ZINC001174654235 976943103 /nfs/dbraw/zinc/94/31/03/976943103.db2.gz XNQJOKFYVGKNAL-UHFFFAOYSA-N 0 3 213.284 2.567 20 0 BFADHN C[C@@H]1COCC[C@H]1Oc1ccccc1CN(C)C ZINC001229701618 976946523 /nfs/dbraw/zinc/94/65/23/976946523.db2.gz KMQDYVSPLHIDEE-TZMCWYRMSA-N 0 3 249.354 2.552 20 0 BFADHN CN(C)Cc1ccccc1OC1CC=CC1 ZINC001229699240 976946608 /nfs/dbraw/zinc/94/66/08/976946608.db2.gz LMXWDBAYWVWUJR-UHFFFAOYSA-N 0 3 217.312 2.846 20 0 BFADHN CN(C)Cc1ccccc1OC[C@H]1CCCCO1 ZINC001229698524 976950393 /nfs/dbraw/zinc/95/03/93/976950393.db2.gz CMVQOHOSSMANMF-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1cc(Nc2cccc([O-])c2Cl)cc[nH+]1 ZINC001174657077 976952061 /nfs/dbraw/zinc/95/20/61/976952061.db2.gz NBKXDMMQCSGBQC-UHFFFAOYSA-N 0 3 234.686 2.915 20 0 BFADHN Cc1cc(-n2ncc3cc(N)cc(C)c32)ccn1 ZINC001174660223 976952608 /nfs/dbraw/zinc/95/26/08/976952608.db2.gz KVTBUKOLDNPSFS-UHFFFAOYSA-N 0 3 238.294 2.620 20 0 BFADHN C[C@H]1C[C@@H](Oc2ccccc2CN(C)C)CCO1 ZINC001229701024 976953263 /nfs/dbraw/zinc/95/32/63/976953263.db2.gz HCBZFBUZXHCHLO-JSGCOSHPSA-N 0 3 249.354 2.695 20 0 BFADHN C[C@H]1COCC[C@@H]1Oc1ccccc1CN(C)C ZINC001229701616 976956241 /nfs/dbraw/zinc/95/62/41/976956241.db2.gz KMQDYVSPLHIDEE-JSGCOSHPSA-N 0 3 249.354 2.552 20 0 BFADHN CCCN(Cc1cnn(C)c1C1CC1)C1CC1 ZINC001335407715 977033044 /nfs/dbraw/zinc/03/30/44/977033044.db2.gz XZMGLOLUCFCMEJ-UHFFFAOYSA-N 0 3 233.359 2.672 20 0 BFADHN Cc1ncc(Nc2cccc(N(C)C)c2)n1C ZINC001174964367 977192986 /nfs/dbraw/zinc/19/29/86/977192986.db2.gz AHPIXTKEKLCPQK-UHFFFAOYSA-N 0 3 230.315 2.538 20 0 BFADHN CCOc1cccc(O[C@@H]2CN3CCC2CC3)c1 ZINC001230554639 977251308 /nfs/dbraw/zinc/25/13/08/977251308.db2.gz QOQNSMDCIFNYLY-OAHLLOKOSA-N 0 3 247.338 2.558 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@]2(CC[C@@H](C)C2)C1 ZINC001335748778 977257362 /nfs/dbraw/zinc/25/73/62/977257362.db2.gz QXLAZXSUQYSUNK-DOMZBBRYSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@H]1C)c1ncccn1 ZINC001335766768 977276021 /nfs/dbraw/zinc/27/60/21/977276021.db2.gz YRYGUFYXXUVEMF-GRYCIOLGSA-N 0 3 219.332 2.706 20 0 BFADHN CCOc1ccc(Nc2nccn2C)cc1C ZINC001175165839 977321230 /nfs/dbraw/zinc/32/12/30/977321230.db2.gz ACWNDKHIDMSPMJ-UHFFFAOYSA-N 0 3 231.299 2.871 20 0 BFADHN CCCCCC(=O)N(C)C[C@@H]1CCN1C(C)C ZINC001230781062 977330037 /nfs/dbraw/zinc/33/00/37/977330037.db2.gz HHKACMHRZKMZDZ-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN CCCCCC(=O)N(C)C[C@@H]1CCN1CCC ZINC001230781688 977330672 /nfs/dbraw/zinc/33/06/72/977330672.db2.gz MPWRKLLPTAJQEK-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC(=O)CN(C)C[C@H](C)C1CCCCC1 ZINC001175239144 977340070 /nfs/dbraw/zinc/34/00/70/977340070.db2.gz QBMATLDELDXJKK-NSHDSACASA-N 0 3 211.349 2.724 20 0 BFADHN CCC[C@@H](Oc1nncc2n[nH]cc21)C(C)C ZINC001230900320 977366762 /nfs/dbraw/zinc/36/67/62/977366762.db2.gz GNDVDJXYYDSLDK-LLVKDONJSA-N 0 3 234.303 2.556 20 0 BFADHN C[C@@H]1CCC[C@H](Oc2nncc3n[nH]cc32)[C@@H]1C ZINC001230900456 977366863 /nfs/dbraw/zinc/36/68/63/977366863.db2.gz INKZVNXLJKUJIL-LNLATYFQSA-N 0 3 246.314 2.556 20 0 BFADHN CC[C@@H](C)CC[C@H](C)Oc1nncc2n[nH]cc21 ZINC001230902118 977371108 /nfs/dbraw/zinc/37/11/08/977371108.db2.gz YSLWCPWASIRKOE-ZJUUUORDSA-N 0 3 248.330 2.947 20 0 BFADHN CCN1CCC[C@@H](Oc2c(C)cccc2F)C1 ZINC001231030157 977432614 /nfs/dbraw/zinc/43/26/14/977432614.db2.gz GACNXXCTSXTTBU-GFCCVEGCSA-N 0 3 237.318 2.997 20 0 BFADHN CNc1cccc(C)c1NC1=CCCN(C)C1 ZINC001175582709 977510929 /nfs/dbraw/zinc/51/09/29/977510929.db2.gz KQILVAJZIGUVNV-UHFFFAOYSA-N 0 3 231.343 2.668 20 0 BFADHN COc1cc(C)c(NC2=CCCN(C)C2)cc1C ZINC001175582449 977514585 /nfs/dbraw/zinc/51/45/85/977514585.db2.gz CNAPTGNUSIJALU-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN CN1CCC=C(Nc2ccc3cccnc3c2)C1 ZINC001175577181 977590933 /nfs/dbraw/zinc/59/09/33/977590933.db2.gz ZKBOWPOAMOCMCG-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN Cc1cc(NC2=CCCN(C)C2)c(C)cc1O ZINC001175576837 977591948 /nfs/dbraw/zinc/59/19/48/977591948.db2.gz UUIPKNFPEWMYCD-UHFFFAOYSA-N 0 3 232.327 2.640 20 0 BFADHN Cc1cc(F)cc(NC2=CCCN(C)C2)c1 ZINC001175579101 977593743 /nfs/dbraw/zinc/59/37/43/977593743.db2.gz NJTWBALAXVMWSQ-UHFFFAOYSA-N 0 3 220.291 2.765 20 0 BFADHN Cc1nc2c(cccc2NC2=CCCN(C)C2)o1 ZINC001175579160 977599630 /nfs/dbraw/zinc/59/96/30/977599630.db2.gz OLIVLBVBHQGUFW-UHFFFAOYSA-N 0 3 243.310 2.768 20 0 BFADHN CC(C)Oc1ccc(CN2C3CCC2CC3)cn1 ZINC001231535499 977628750 /nfs/dbraw/zinc/62/87/50/977628750.db2.gz JHBQPDOCRJEKPV-UHFFFAOYSA-N 0 3 246.354 2.996 20 0 BFADHN CCc1ccc(CN(C)C2CC(C)C2)nc1 ZINC001231637278 977653206 /nfs/dbraw/zinc/65/32/06/977653206.db2.gz FGGPCMCZFVIIKU-UHFFFAOYSA-N 0 3 218.344 2.874 20 0 BFADHN CCc1ccc(CN2CCC3(CC3)C2)nc1 ZINC001231637958 977656248 /nfs/dbraw/zinc/65/62/48/977656248.db2.gz FSQLGGIOJHBWMU-UHFFFAOYSA-N 0 3 216.328 2.630 20 0 BFADHN CCOc1ccc(CN2CCCC[C@@H]2C)cn1 ZINC001231648974 977660013 /nfs/dbraw/zinc/66/00/13/977660013.db2.gz FOGKIJUWRZNJCH-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@@H](C)[C@@H](C)C2)n1 ZINC001231670014 977683467 /nfs/dbraw/zinc/68/34/67/977683467.db2.gz VVHSOEXHXGMYFQ-NEPJUHHUSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@@H](C)[C@@H](C)C2)n1 ZINC001231670014 977683479 /nfs/dbraw/zinc/68/34/79/977683479.db2.gz VVHSOEXHXGMYFQ-NEPJUHHUSA-N 0 3 248.370 2.964 20 0 BFADHN COCc1ccc(CN2CC[C@](C)(CF)C2)o1 ZINC001231691266 977690353 /nfs/dbraw/zinc/69/03/53/977690353.db2.gz DKNVVYSVHMWZGQ-CYBMUJFWSA-N 0 3 241.306 2.608 20 0 BFADHN CCCn1nc(C)cc1Nc1ccnc(CC)c1 ZINC001175801775 977723001 /nfs/dbraw/zinc/72/30/01/977723001.db2.gz SSGRFKGPVXCGSY-UHFFFAOYSA-N 0 3 244.342 2.724 20 0 BFADHN CCC(CC)N(C)Cc1cc(F)cnc1OC ZINC001231747806 977730281 /nfs/dbraw/zinc/73/02/81/977730281.db2.gz ZZPZXEXGQAXRQX-UHFFFAOYSA-N 0 3 240.322 2.850 20 0 BFADHN CCOc1ncccc1CN1C[C@H]2CCC[C@@H]2C1 ZINC001231760832 977732199 /nfs/dbraw/zinc/73/21/99/977732199.db2.gz OLWFDTCNZYPMFK-CHWSQXEVSA-N 0 3 246.354 2.712 20 0 BFADHN CCOc1ncccc1CN1CCC[C@H]1CC ZINC001231765487 977736543 /nfs/dbraw/zinc/73/65/43/977736543.db2.gz VPYRBTMIIWAKRN-CYBMUJFWSA-N 0 3 234.343 2.855 20 0 BFADHN CC(C)Cc1ccc(CN2CC(N(C)C)C2)cc1 ZINC001231787037 977746882 /nfs/dbraw/zinc/74/68/82/977746882.db2.gz SFGPGAANGLQNFM-UHFFFAOYSA-N 0 3 246.398 2.631 20 0 BFADHN CC(C)c1ccccc1CN1CC2(CN(C)C2)C1 ZINC001231878970 977783375 /nfs/dbraw/zinc/78/33/75/977783375.db2.gz XBDSMTKCEPLKFP-UHFFFAOYSA-N 0 3 244.382 2.557 20 0 BFADHN Cc1cc(CN2C[C@H]3CC[C@@H]2C3)cnc1F ZINC001231927604 977804372 /nfs/dbraw/zinc/80/43/72/977804372.db2.gz TVRMQVAVDVDCSB-CMPLNLGQSA-N 0 3 220.291 2.513 20 0 BFADHN Cc1cc(F)ncc1CN1CC2CCC1CC2 ZINC001231983539 977821881 /nfs/dbraw/zinc/82/18/81/977821881.db2.gz ZGDUBNPLKCFAKI-UHFFFAOYSA-N 0 3 234.318 2.904 20 0 BFADHN Cc1cc([C@H](C)Nc2ccnc(CO)c2)c(C)o1 ZINC001336659708 977836336 /nfs/dbraw/zinc/83/63/36/977836336.db2.gz VBUWVNCCFPWMPU-JTQLQIEISA-N 0 3 246.310 2.957 20 0 BFADHN C[C@@H]1CCC[N@H+](Cc2cncc([O-])c2)C[C@H]1C ZINC001232038545 977862077 /nfs/dbraw/zinc/86/20/77/977862077.db2.gz GLNBFMOYUSTHQS-VXGBXAGGSA-N 0 3 234.343 2.655 20 0 BFADHN C[C@@H]1CCC[N@@H+](Cc2cncc([O-])c2)C[C@H]1C ZINC001232038545 977862081 /nfs/dbraw/zinc/86/20/81/977862081.db2.gz GLNBFMOYUSTHQS-VXGBXAGGSA-N 0 3 234.343 2.655 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CC[C@H]3CCCC[C@H]3C2)c1 ZINC001232042537 977865069 /nfs/dbraw/zinc/86/50/69/977865069.db2.gz UNYMUVHLJBKPDC-KGLIPLIRSA-N 0 3 246.354 2.799 20 0 BFADHN [O-]c1cncc(C[N@H+]2CC[C@H]3CCCC[C@H]3C2)c1 ZINC001232042537 977865072 /nfs/dbraw/zinc/86/50/72/977865072.db2.gz UNYMUVHLJBKPDC-KGLIPLIRSA-N 0 3 246.354 2.799 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CCC[C@@H]3CCCC[C@@H]32)c1 ZINC001232042159 977868304 /nfs/dbraw/zinc/86/83/04/977868304.db2.gz OJXALYHQRCEONG-ZFWWWQNUSA-N 0 3 246.354 2.942 20 0 BFADHN [O-]c1cncc(C[N@H+]2CCC[C@@H]3CCCC[C@@H]32)c1 ZINC001232042159 977868310 /nfs/dbraw/zinc/86/83/10/977868310.db2.gz OJXALYHQRCEONG-ZFWWWQNUSA-N 0 3 246.354 2.942 20 0 BFADHN Cc1nc([C@@H]2CCCN2CC2CCC2)[nH]c1C ZINC001176078547 977872496 /nfs/dbraw/zinc/87/24/96/977872496.db2.gz SCYVGPHYYRKZRY-ZDUSSCGKSA-N 0 3 233.359 2.964 20 0 BFADHN CCCCOc1cc(Nc2ncc[nH]2)ccn1 ZINC001176081898 977876462 /nfs/dbraw/zinc/87/64/62/977876462.db2.gz JCNSHGSFGRPXHI-UHFFFAOYSA-N 0 3 232.287 2.727 20 0 BFADHN Fc1ccc2cc(Nc3ncc[nH]3)cnc2c1F ZINC001176082177 977880297 /nfs/dbraw/zinc/88/02/97/977880297.db2.gz XXWZTFHCBFSLGD-UHFFFAOYSA-N 0 3 246.220 2.980 20 0 BFADHN CC(C)c1cncc(Nc2ncc[nH]2)c1 ZINC001176082697 977882429 /nfs/dbraw/zinc/88/24/29/977882429.db2.gz SFSUWRVXGJBNRY-UHFFFAOYSA-N 0 3 202.261 2.672 20 0 BFADHN COc1cc(Cl)ccc1Nc1ncc[nH]1 ZINC001176084853 977887911 /nfs/dbraw/zinc/88/79/11/977887911.db2.gz RPSQROLTPKVAIT-UHFFFAOYSA-N 0 3 223.663 2.815 20 0 BFADHN Nc1cc(F)cc(Nc2ncc[nH]2)c1Cl ZINC001176086447 977890741 /nfs/dbraw/zinc/89/07/41/977890741.db2.gz BPLVCDGWEKQNFX-UHFFFAOYSA-N 0 3 226.642 2.528 20 0 BFADHN CC(C)N(Cc1cnsc1)CC1CC1 ZINC001232226908 977957578 /nfs/dbraw/zinc/95/75/78/977957578.db2.gz FMMHBXIFFTWDFF-UHFFFAOYSA-N 0 3 210.346 2.764 20 0 BFADHN COCc1cccc(CN2CC[C@@H](C)C[C@H]2C)n1 ZINC001232255578 977982185 /nfs/dbraw/zinc/98/21/85/977982185.db2.gz RETBNSJASKTKEH-CHWSQXEVSA-N 0 3 248.370 2.848 20 0 BFADHN COCc1cccc(CN2CC3CCC2CC3)n1 ZINC001232255420 977983133 /nfs/dbraw/zinc/98/31/33/977983133.db2.gz OSICDLQSCNIYOA-UHFFFAOYSA-N 0 3 246.354 2.602 20 0 BFADHN C[C@H]1CCC[N@@H+]1Cc1ccc([O-])c2ncccc12 ZINC001232275525 977986588 /nfs/dbraw/zinc/98/65/88/977986588.db2.gz SWIWKXVSZHEQTD-NSHDSACASA-N 0 3 242.322 2.925 20 0 BFADHN C[C@H]1CCC[N@H+]1Cc1ccc([O-])c2ncccc12 ZINC001232275525 977986597 /nfs/dbraw/zinc/98/65/97/977986597.db2.gz SWIWKXVSZHEQTD-NSHDSACASA-N 0 3 242.322 2.925 20 0 BFADHN CN(C)C1(C)CN(Cc2cc(Cl)cs2)C1 ZINC001232281328 977993783 /nfs/dbraw/zinc/99/37/83/977993783.db2.gz IEWXJUKWVQOOEN-UHFFFAOYSA-N 0 3 244.791 2.537 20 0 BFADHN CCOc1ncc(CN2CC[C@@H](C)C2)cc1C ZINC001232305707 977997202 /nfs/dbraw/zinc/99/72/02/977997202.db2.gz YOQKWPORBDIBPI-LLVKDONJSA-N 0 3 234.343 2.631 20 0 BFADHN CCOc1ncc(CN2CC3CC(C3)C2)cc1C ZINC001232312165 977997432 /nfs/dbraw/zinc/99/74/32/977997432.db2.gz RGXMPWWKTHHYSJ-UHFFFAOYSA-N 0 3 246.354 2.631 20 0 BFADHN CCOc1ncc(CN2CCC[C@@H]3C[C@@H]32)cc1C ZINC001232312616 978000966 /nfs/dbraw/zinc/00/09/66/978000966.db2.gz WKCDSALNAZJPPZ-KGLIPLIRSA-N 0 3 246.354 2.773 20 0 BFADHN CCOc1ccc(CN2C3CCC2CC3)c(C)n1 ZINC001232313172 978007055 /nfs/dbraw/zinc/00/70/55/978007055.db2.gz PYIRTDHKKYDLSI-UHFFFAOYSA-N 0 3 246.354 2.916 20 0 BFADHN COc1cc(C)c(CN2CCC23CCCC3)cn1 ZINC001232350126 978030835 /nfs/dbraw/zinc/03/08/35/978030835.db2.gz KXNBCNSYIXJFRW-UHFFFAOYSA-N 0 3 246.354 2.917 20 0 BFADHN COc1cc(C)c(CN2CCCC[C@H]2C)cn1 ZINC001232349487 978031594 /nfs/dbraw/zinc/03/15/94/978031594.db2.gz YWKHORJRYQVMHR-GFCCVEGCSA-N 0 3 234.343 2.773 20 0 BFADHN COc1cc(C)c(CN2CCC(C)CC2)cn1 ZINC001232349110 978035366 /nfs/dbraw/zinc/03/53/66/978035366.db2.gz VTRPRJXJXSZARY-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN CN(Cc1[nH]nc2c1CCC2)CC1CCCCC1 ZINC001232429289 978070231 /nfs/dbraw/zinc/07/02/31/978070231.db2.gz JNSWMJRUMXKXCO-UHFFFAOYSA-N 0 3 247.386 2.911 20 0 BFADHN CCN(Cc1cnc(C)[nH]1)Cc1ccc(F)cc1 ZINC001232465107 978110712 /nfs/dbraw/zinc/11/07/12/978110712.db2.gz UENJXDOCCJJBFR-UHFFFAOYSA-N 0 3 247.317 2.879 20 0 BFADHN Cc1cccc(F)c1CN1CCC[C@@]2(CCO2)C1 ZINC001232483068 978132335 /nfs/dbraw/zinc/13/23/35/978132335.db2.gz ARURZQYJIGWERB-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1cccc(F)c1CN1CCC[C@@]12CCOC2 ZINC001232483182 978133662 /nfs/dbraw/zinc/13/36/62/978133662.db2.gz CSWUPNLMSREFAC-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1cccc(F)c1CN1C[C@H]2OC[C@@H](C)[C@H]2C1 ZINC001232483544 978136458 /nfs/dbraw/zinc/13/64/58/978136458.db2.gz KTANYIGQNSYUFS-UXIGCNINSA-N 0 3 249.329 2.601 20 0 BFADHN Cc1ncc(Nc2cc(O)cc(Cl)c2)n1C ZINC001176625382 978142132 /nfs/dbraw/zinc/14/21/32/978142132.db2.gz RXUKWBMIPKMEEW-UHFFFAOYSA-N 0 3 237.690 2.831 20 0 BFADHN C[C@H]1CCCCN(Cc2cc(F)ccn2)C1 ZINC001232491126 978144823 /nfs/dbraw/zinc/14/48/23/978144823.db2.gz UVWYYAAVXQNANX-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN CN(Cc1cc(F)ccn1)C[C@@H]1CC1(C)C ZINC001232493012 978147047 /nfs/dbraw/zinc/14/70/47/978147047.db2.gz ALLYZYRANIECBD-JTQLQIEISA-N 0 3 222.307 2.699 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cc(F)ccn2)C1 ZINC001232493846 978149470 /nfs/dbraw/zinc/14/94/70/978149470.db2.gz DIBAQRFBZLBHOC-QWRGUYRKSA-N 0 3 222.307 2.699 20 0 BFADHN c1cc2cc(CN3C4CCC3CC4)ncc2o1 ZINC001232553200 978176450 /nfs/dbraw/zinc/17/64/50/978176450.db2.gz JPKJHQMBGKILND-UHFFFAOYSA-N 0 3 228.295 2.955 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3cc4ccoc4cn3)C[C@@H]21 ZINC001232554495 978183483 /nfs/dbraw/zinc/18/34/83/978183483.db2.gz WUAYDPZBIZWDMD-BETUJISGSA-N 0 3 242.322 2.916 20 0 BFADHN CCCCN(C)Cc1cc(OCC)ccn1 ZINC001232578433 978184978 /nfs/dbraw/zinc/18/49/78/978184978.db2.gz BJLSAENLRZMCGD-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN COc1cccc(C)c1CN1CC[C@H]1C ZINC001232621087 978202152 /nfs/dbraw/zinc/20/21/52/978202152.db2.gz XEWROUCNASQNPL-LLVKDONJSA-N 0 3 205.301 2.598 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc3ncsc3c2)C1 ZINC001232738736 978255653 /nfs/dbraw/zinc/25/56/53/978255653.db2.gz HBHQYZHKZAHLRR-LLVKDONJSA-N 0 3 248.351 2.517 20 0 BFADHN Cc1cc(C)nc(N[C@@H](CO)CC(C)C)c1 ZINC000721889857 978257781 /nfs/dbraw/zinc/25/77/81/978257781.db2.gz DQNYKUWVSVTPKK-GFCCVEGCSA-N 0 3 222.332 2.517 20 0 BFADHN CCCn1cnc(CN2C[C@@H](C)C[C@H](C)C2)c1 ZINC001232804490 978297752 /nfs/dbraw/zinc/29/77/52/978297752.db2.gz ZYMCNTXBRXETJR-STQMWFEESA-N 0 3 235.375 2.771 20 0 BFADHN COc1cc(CN2CCCCC2)c(OC)cc1C ZINC001232832706 978302635 /nfs/dbraw/zinc/30/26/35/978302635.db2.gz IMIUMLAHWCPNQB-UHFFFAOYSA-N 0 3 249.354 2.998 20 0 BFADHN Cc1cc(O)cc(CN2CCC(CF)CC2)c1 ZINC001232884628 978324435 /nfs/dbraw/zinc/32/44/35/978324435.db2.gz REKNKHQLHDSIAM-UHFFFAOYSA-N 0 3 237.318 2.882 20 0 BFADHN CCN(CC)Cc1ccc(OC(F)F)nc1 ZINC001232952112 978353110 /nfs/dbraw/zinc/35/31/10/978353110.db2.gz DYIDUUQXVMYOGH-UHFFFAOYSA-N 0 3 230.258 2.525 20 0 BFADHN C[N@H+](Cc1cccc([O-])c1F)C1CCCC1 ZINC001233052901 978446004 /nfs/dbraw/zinc/44/60/04/978446004.db2.gz DHOVMACNPPGMHO-UHFFFAOYSA-N 0 3 223.291 2.906 20 0 BFADHN C[N@@H+](Cc1cccc([O-])c1F)C1CCCC1 ZINC001233052901 978446020 /nfs/dbraw/zinc/44/60/20/978446020.db2.gz DHOVMACNPPGMHO-UHFFFAOYSA-N 0 3 223.291 2.906 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cnc(C)c(C)c2)C1 ZINC001233164411 978486708 /nfs/dbraw/zinc/48/67/08/978486708.db2.gz UGSNKEBFGVHAJC-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CCO[C@@H]1CCCN(Cc2ccc(C)c(O)c2)C1 ZINC001233233310 978502500 /nfs/dbraw/zinc/50/25/00/978502500.db2.gz DUCZCQKSGBOZCQ-CQSZACIVSA-N 0 3 249.354 2.702 20 0 BFADHN Cc1cnsc1CN1CCC[C@@H](C)C1 ZINC001233318295 978551727 /nfs/dbraw/zinc/55/17/27/978551727.db2.gz YGVUXGUMFWSWSF-SECBINFHSA-N 0 3 210.346 2.683 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCCCO2)cc1O ZINC001233233925 978507396 /nfs/dbraw/zinc/50/73/96/978507396.db2.gz VSKYXFOYRQTXJI-AWEZNQCLSA-N 0 3 249.354 2.702 20 0 BFADHN CO[C@@H]1CCN(Cc2cc(Cl)ccc2C)C1 ZINC001233248581 978509566 /nfs/dbraw/zinc/50/95/66/978509566.db2.gz QTBYPMQFHTXHPJ-CYBMUJFWSA-N 0 3 239.746 2.869 20 0 BFADHN Cc1cnsc1CN1CCCC[C@H]1C ZINC001233318101 978553590 /nfs/dbraw/zinc/55/35/90/978553590.db2.gz PWUGUAADINCYTC-SNVBAGLBSA-N 0 3 210.346 2.826 20 0 BFADHN Cc1ncc(CN(C)CCc2ccc(C)cc2)o1 ZINC001233367048 978580845 /nfs/dbraw/zinc/58/08/45/978580845.db2.gz HICJBUCYZVHNBM-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN CC[NH+](CC)C[C@H](C)Oc1cc([O-])cc(F)c1 ZINC001233818416 978705506 /nfs/dbraw/zinc/70/55/06/978705506.db2.gz AWFWYHOSQQESLI-JTQLQIEISA-N 0 3 241.306 2.640 20 0 BFADHN O=C1CCC[C@H]2CN(Cc3ccccc3F)C[C@H]12 ZINC001203360173 978726880 /nfs/dbraw/zinc/72/68/80/978726880.db2.gz UJACRHCDUYTKPD-AAEUAGOBSA-N 0 3 247.313 2.627 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2C[C@@H]3CC[C@H]2O3)c1 ZINC001179834528 978761535 /nfs/dbraw/zinc/76/15/35/978761535.db2.gz QSBPCMPPSHHBIC-IACUBPJLSA-N 0 3 235.302 2.544 20 0 BFADHN CN1CCC[C@H](Oc2ccc(C(C)(C)C)nc2)C1 ZINC001234176471 978785865 /nfs/dbraw/zinc/78/58/65/978785865.db2.gz JLCJCGWHYXHIMR-ZDUSSCGKSA-N 0 3 248.370 2.852 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H]3CCC[C@@H]3C2)[nH]1 ZINC001234608862 978872955 /nfs/dbraw/zinc/87/29/55/978872955.db2.gz MCNMPJKMHQFJNW-QWHCGFSZSA-N 0 3 233.359 2.649 20 0 BFADHN CCCCN(CC)Cc1cncn1CCC ZINC001180437831 978877216 /nfs/dbraw/zinc/87/72/16/978877216.db2.gz QXOMXTBHHDZRDD-UHFFFAOYSA-N 0 3 223.364 2.915 20 0 BFADHN CCc1ccc2c(c1)[C@@H](NC[C@@H](C)OC)CCO2 ZINC001180645886 978926448 /nfs/dbraw/zinc/92/64/48/978926448.db2.gz GGVJDXGSVUPYCI-RISCZKNCSA-N 0 3 249.354 2.697 20 0 BFADHN CN(Cc1cc2cccc(F)c2[nH]1)[C@H]1CCOC1 ZINC001235248097 979008462 /nfs/dbraw/zinc/00/84/62/979008462.db2.gz WSGAWLMYSZIPQE-LBPRGKRZSA-N 0 3 248.301 2.528 20 0 BFADHN CCOc1cc(O)cc(CN2C[C@H]3CC[C@@H]2C3)c1 ZINC001235404478 979037302 /nfs/dbraw/zinc/03/73/02/979037302.db2.gz GBTXHMKCZYKSIC-WCQYABFASA-N 0 3 247.338 2.775 20 0 BFADHN CCN(C)Cc1cnc(OC(C)C)cc1C ZINC001235419199 979042926 /nfs/dbraw/zinc/04/29/26/979042926.db2.gz YZKVZXZHSWAMEY-UHFFFAOYSA-N 0 3 222.332 2.629 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@H]2CC[C@@H]1C2 ZINC001235817480 979160688 /nfs/dbraw/zinc/16/06/88/979160688.db2.gz MMIGWABBLSMAPN-CMPLNLGQSA-N 0 3 220.291 2.513 20 0 BFADHN c1cc2nsnc2c(CN2CC[C@@H]2C2CC2)c1 ZINC001181912956 979369399 /nfs/dbraw/zinc/36/93/99/979369399.db2.gz KNQXMKCABNBYIP-GFCCVEGCSA-N 0 3 245.351 2.676 20 0 BFADHN CN[C@H]1CCN1CC[C@H]1CC=C(C)C1(C)C ZINC001236165388 979420675 /nfs/dbraw/zinc/42/06/75/979420675.db2.gz DIQHRPCDMAQSIY-CHWSQXEVSA-N 0 3 222.376 2.620 20 0 BFADHN Cc1cc(Cl)c(Cl)cc1CN(C)CCO ZINC001236259041 979481478 /nfs/dbraw/zinc/48/14/78/979481478.db2.gz NAVXPLRHOHZESZ-UHFFFAOYSA-N 0 3 248.153 2.726 20 0 BFADHN Nc1ccc(-c2ccc(C3=NCCC3)cc2)cn1 ZINC001236312399 979516294 /nfs/dbraw/zinc/51/62/94/979516294.db2.gz OTKAPJDJUXLAGT-UHFFFAOYSA-N 0 3 237.306 2.914 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)Nc1ccc(C(C)C)nc1 ZINC001341753016 979545247 /nfs/dbraw/zinc/54/52/47/979545247.db2.gz PBLVIIQACFNKAG-MFKMUULPSA-N 0 3 249.358 2.517 20 0 BFADHN CCN(Cc1cc(C)nc(C)c1)[C@H]1CCCOC1 ZINC001182724857 979619938 /nfs/dbraw/zinc/61/99/38/979619938.db2.gz CPYIBSCBAZLNLD-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1cc(C)c(Cl)c(-c2cc(CN)ncn2)c1 ZINC001236547116 979629912 /nfs/dbraw/zinc/62/99/12/979629912.db2.gz FVZIKWWHUMBPBY-UHFFFAOYSA-N 0 3 247.729 2.873 20 0 BFADHN CCCCCN(C)Cc1oc(C)nc1C ZINC001236594703 979671535 /nfs/dbraw/zinc/67/15/35/979671535.db2.gz KHDXVEATEFUFSD-UHFFFAOYSA-N 0 3 210.321 2.913 20 0 BFADHN CN(Cc1cc(F)cc2c1OCCC2)CC1CC1 ZINC001236617688 979680777 /nfs/dbraw/zinc/68/07/77/979680777.db2.gz PKOKZAVYNPNPGY-UHFFFAOYSA-N 0 3 249.329 2.993 20 0 BFADHN CCN(C)Cc1cc(Cl)cc(C(=O)OC)c1 ZINC001236763724 979722153 /nfs/dbraw/zinc/72/21/53/979722153.db2.gz QIISKXHNVMWRHK-UHFFFAOYSA-N 0 3 241.718 2.578 20 0 BFADHN Cc1cc(Br)sc1CN(C)C ZINC001236823960 979748973 /nfs/dbraw/zinc/74/89/73/979748973.db2.gz DQJKMGWPJFAISM-UHFFFAOYSA-N 0 3 234.162 2.881 20 0 BFADHN CCOc1cncc(CN2CCCC23CC3)c1 ZINC001236835714 979750137 /nfs/dbraw/zinc/75/01/37/979750137.db2.gz QKMFSDXEQRTUHG-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN CCOc1cncc(CN2C[C@H](C)CC23CC3)c1 ZINC001236845205 979758070 /nfs/dbraw/zinc/75/80/70/979758070.db2.gz HCVYEQPQTICILB-GFCCVEGCSA-N 0 3 246.354 2.855 20 0 BFADHN O[C@@H]1CCCN(Cc2ccc(F)c3ccoc32)C1 ZINC001236892634 979780637 /nfs/dbraw/zinc/78/06/37/979780637.db2.gz FHXOHMUHFUCNAY-LLVKDONJSA-N 0 3 249.285 2.529 20 0 BFADHN Fc1ccc(CN2CC=CC2)c2occc21 ZINC001236890264 979782329 /nfs/dbraw/zinc/78/23/29/979782329.db2.gz JRTFPWKKXMMUED-UHFFFAOYSA-N 0 3 217.243 2.944 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(F)c3ccoc32)C1 ZINC001236890726 979782855 /nfs/dbraw/zinc/78/28/55/979782855.db2.gz MXTFPNGGMWOOAB-NSHDSACASA-N 0 3 249.285 2.793 20 0 BFADHN CC[C@@H](C)[C@H](C)C(=O)Nc1cccc2c1CNC2 ZINC001342395879 979792678 /nfs/dbraw/zinc/79/26/78/979792678.db2.gz DCSSKKNSQBLKLI-MNOVXSKESA-N 0 3 246.354 2.911 20 0 BFADHN CC[C@H](C)[C@@H](C)C(=O)Nc1cccc2c1CNC2 ZINC001342395884 979792968 /nfs/dbraw/zinc/79/29/68/979792968.db2.gz DCSSKKNSQBLKLI-WDEREUQCSA-N 0 3 246.354 2.911 20 0 BFADHN CC1(C)CC[N@H+](Cc2occc2[S-])C1 ZINC001237177728 979828148 /nfs/dbraw/zinc/82/81/48/979828148.db2.gz FTKYPKDOEFSJQO-UHFFFAOYSA-N 0 3 211.330 2.800 20 0 BFADHN CC1(C)CC[N@@H+](Cc2occc2[S-])C1 ZINC001237177728 979828151 /nfs/dbraw/zinc/82/81/51/979828151.db2.gz FTKYPKDOEFSJQO-UHFFFAOYSA-N 0 3 211.330 2.800 20 0 BFADHN [S-]c1ccoc1C[N@@H+]1CCC[C@@H]2C[C@@H]21 ZINC001237186584 979835342 /nfs/dbraw/zinc/83/53/42/979835342.db2.gz MJWOHZXHXJKBJJ-BDAKNGLRSA-N 0 3 209.314 2.553 20 0 BFADHN [S-]c1ccoc1C[N@H+]1CCC[C@@H]2C[C@@H]21 ZINC001237186584 979835345 /nfs/dbraw/zinc/83/53/45/979835345.db2.gz MJWOHZXHXJKBJJ-BDAKNGLRSA-N 0 3 209.314 2.553 20 0 BFADHN CCc1ccc(CN2CCNC3=CCCC[C@@H]32)o1 ZINC001237218593 979849849 /nfs/dbraw/zinc/84/98/49/979849849.db2.gz JKICDDGJBCYMOL-HNNXBMFYSA-N 0 3 246.354 2.684 20 0 BFADHN Fc1ccccc1CN1CCNC2=CCCC[C@@H]21 ZINC001237221453 979850566 /nfs/dbraw/zinc/85/05/66/979850566.db2.gz WUURHEKVKXLNTE-HNNXBMFYSA-N 0 3 246.329 2.667 20 0 BFADHN COc1nc(Cl)ccc1CN(C)CC(C)C ZINC001237241421 979856092 /nfs/dbraw/zinc/85/60/92/979856092.db2.gz LHFAQLGVXOTNOA-UHFFFAOYSA-N 0 3 242.750 2.831 20 0 BFADHN Oc1cc(F)cc(CN2CCC3(CC3)CC2)c1 ZINC001237277508 979859630 /nfs/dbraw/zinc/85/96/30/979859630.db2.gz OAVUAHHUBZMQLK-UHFFFAOYSA-N 0 3 235.302 2.907 20 0 BFADHN COc1ccc2c(c1)[nH]nc2CN(C)CC(C)C ZINC001237394669 979885411 /nfs/dbraw/zinc/88/54/11/979885411.db2.gz CTHWAVILQLOONX-UHFFFAOYSA-N 0 3 247.342 2.659 20 0 BFADHN CC(=O)Nc1ccccc1CN1CCC[C@H]2C[C@H]21 ZINC001237418086 979888822 /nfs/dbraw/zinc/88/88/22/979888822.db2.gz NJSDSLMYUVAGNS-SWLSCSKDSA-N 0 3 244.338 2.629 20 0 BFADHN CC[C@H]1CCCN1Cc1cc2ccccn2n1 ZINC001237445480 979895255 /nfs/dbraw/zinc/89/52/55/979895255.db2.gz HOFZPYYHPNZXAO-ZDUSSCGKSA-N 0 3 229.327 2.709 20 0 BFADHN CC(C)c1cccc(CN2CCN(C)[C@H](C)C2)c1 ZINC001237463930 979906949 /nfs/dbraw/zinc/90/69/49/979906949.db2.gz YVANTFGXGLMDLM-CQSZACIVSA-N 0 3 246.398 2.946 20 0 BFADHN c1cc2cc(CN3CC4CCC3CC4)ccn2n1 ZINC001237541626 979937768 /nfs/dbraw/zinc/93/77/68/979937768.db2.gz DKLIHCWDQHPQIH-UHFFFAOYSA-N 0 3 241.338 2.709 20 0 BFADHN CCCCCN(C)Cc1cncn1CC ZINC001237592266 979948508 /nfs/dbraw/zinc/94/85/08/979948508.db2.gz WEWUAOHKUWSCJD-UHFFFAOYSA-N 0 3 209.337 2.525 20 0 BFADHN CCCN(C)Cc1ccnc(OC(F)F)c1 ZINC001237610182 979974260 /nfs/dbraw/zinc/97/42/60/979974260.db2.gz FFIOJNIGLDICRG-UHFFFAOYSA-N 0 3 230.258 2.525 20 0 BFADHN CCN(Cc1ccnc(OC(F)F)c1)C1CC1 ZINC001237612595 979976953 /nfs/dbraw/zinc/97/69/53/979976953.db2.gz VEAUZZWVKVHNNW-UHFFFAOYSA-N 0 3 242.269 2.667 20 0 BFADHN CCc1[nH]ncc1CN(C)Cc1ccccc1F ZINC001237651283 980007375 /nfs/dbraw/zinc/00/73/75/980007375.db2.gz ONSPDAHSUAMOPT-UHFFFAOYSA-N 0 3 247.317 2.743 20 0 BFADHN CO[C@H]1CCN(Cc2cccc(Cl)c2C)C1 ZINC001237732407 980036988 /nfs/dbraw/zinc/03/69/88/980036988.db2.gz UWRRBHRXMROIEL-LBPRGKRZSA-N 0 3 239.746 2.869 20 0 BFADHN Cc1nc(CN(C)CCC2CC2)ccc1F ZINC001237816607 980065403 /nfs/dbraw/zinc/06/54/03/980065403.db2.gz CNVHLFBUXXWDLP-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN COc1ccoc1CN1CCCC[C@@H]1C ZINC001237862590 980099176 /nfs/dbraw/zinc/09/91/76/980099176.db2.gz DDCBQUMBOQRRHR-JTQLQIEISA-N 0 3 209.289 2.663 20 0 BFADHN COc1ccoc1CN1CCC[C@@H](C)[C@H]1C ZINC001237871812 980106350 /nfs/dbraw/zinc/10/63/50/980106350.db2.gz MYFLHQKPVVEUDN-GHMZBOCLSA-N 0 3 223.316 2.909 20 0 BFADHN COc1ccoc1CN1CC[C@@H](C)C[C@H]1C ZINC001237875266 980108130 /nfs/dbraw/zinc/10/81/30/980108130.db2.gz UFSDKOYJQHEKSW-GHMZBOCLSA-N 0 3 223.316 2.909 20 0 BFADHN COc1ccoc1CN1CC[C@@H](C)C[C@@H]1C ZINC001237875267 980109355 /nfs/dbraw/zinc/10/93/55/980109355.db2.gz UFSDKOYJQHEKSW-MNOVXSKESA-N 0 3 223.316 2.909 20 0 BFADHN CN(Cc1cccc(N2CCCCC2)n1)C1CC1 ZINC001237999418 980125109 /nfs/dbraw/zinc/12/51/09/980125109.db2.gz FQHUOFOUBCGRMK-UHFFFAOYSA-N 0 3 245.370 2.666 20 0 BFADHN Clc1ccc2c(c1)n[nH]c2CN1CCCC1 ZINC001237991616 980125399 /nfs/dbraw/zinc/12/53/99/980125399.db2.gz CJHGEGWEHVHJQU-UHFFFAOYSA-N 0 3 235.718 2.812 20 0 BFADHN CCOc1cc(CN2CC[C@H](OC)C2)ccc1C ZINC001238067596 980136264 /nfs/dbraw/zinc/13/62/64/980136264.db2.gz JXMURWYFOAAIPE-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CCOc1cc(CN2CC[C@@H](OC)C2)ccc1C ZINC001238067597 980138371 /nfs/dbraw/zinc/13/83/71/980138371.db2.gz JXMURWYFOAAIPE-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CCOc1cc(CN2CC[C@@H]2C)ccc1C ZINC001238067992 980139659 /nfs/dbraw/zinc/13/96/59/980139659.db2.gz MIHCCIIODYPNTH-LBPRGKRZSA-N 0 3 219.328 2.988 20 0 BFADHN CCOCC1CCN(Cc2ccc(C)nc2)CC1 ZINC000153904233 980162211 /nfs/dbraw/zinc/16/22/11/980162211.db2.gz YBWKOAMFTNVVKB-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1c(C)c(CN2CC[C@@H](O)C2)ccc1Cl ZINC001238213066 980223539 /nfs/dbraw/zinc/22/35/39/980223539.db2.gz DDGLMKVYWRGGGU-GFCCVEGCSA-N 0 3 239.746 2.523 20 0 BFADHN Cc1cnc(CN2CC[C@](C)(CF)C2)c(C)c1 ZINC001238425043 980250830 /nfs/dbraw/zinc/25/08/30/980250830.db2.gz DNWWFKWKSYKHSM-CQSZACIVSA-N 0 3 236.334 2.880 20 0 BFADHN COc1ccc(-c2ccncc2)cc1CN(C)C ZINC001238598852 980292553 /nfs/dbraw/zinc/29/25/53/980292553.db2.gz CPVACXLGEWQPHF-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN COc1cc(C)cc(F)c1CN1CC[C@@H](C)C1 ZINC001238588980 980293152 /nfs/dbraw/zinc/29/31/52/980293152.db2.gz RHFDIQRKRFUNQD-SNVBAGLBSA-N 0 3 237.318 2.985 20 0 BFADHN NCc1cc(-c2cccc(Cl)c2F)ccn1 ZINC001238958824 980395267 /nfs/dbraw/zinc/39/52/67/980395267.db2.gz QVYLDRLPJDOQNY-UHFFFAOYSA-N 0 3 236.677 3.000 20 0 BFADHN OCCc1cccc(-c2cncc3cc[nH]c32)c1 ZINC001239031229 980417517 /nfs/dbraw/zinc/41/75/17/980417517.db2.gz OKXYBMUQEULJHD-UHFFFAOYSA-N 0 3 238.290 2.765 20 0 BFADHN CS[C@H]1CC[C@H](N(C)Cc2cc(C)no2)C1 ZINC000075921938 980626643 /nfs/dbraw/zinc/62/66/43/980626643.db2.gz OWHCPSDDRQTKNT-JQWIXIFHSA-N 0 3 240.372 2.699 20 0 BFADHN CN(C)Cc1ccnc(-c2cccc(F)c2)c1 ZINC001239534328 980709258 /nfs/dbraw/zinc/70/92/58/980709258.db2.gz RHMOBUHDAOILCH-UHFFFAOYSA-N 0 3 230.286 2.949 20 0 BFADHN c1c(CN(CC2CC2)C2CC2)nc2ccccn12 ZINC000076498137 980735901 /nfs/dbraw/zinc/73/59/01/980735901.db2.gz YRXYJYRZWPAAES-UHFFFAOYSA-N 0 3 241.338 2.709 20 0 BFADHN CCOc1ccccc1-c1ccc(CN)nc1 ZINC001239558801 980740544 /nfs/dbraw/zinc/74/05/44/980740544.db2.gz ITEQWDPBRNAKQQ-UHFFFAOYSA-N 0 3 228.295 2.606 20 0 BFADHN CCCN(CC)Cc1[nH]c(CC)nc1C ZINC001203234629 980799315 /nfs/dbraw/zinc/79/93/15/980799315.db2.gz OCPWUVFLNMRXHZ-UHFFFAOYSA-N 0 3 209.337 2.512 20 0 BFADHN CNCc1cc(-c2ccc(C(C)C)cc2)ncn1 ZINC001239625294 980815150 /nfs/dbraw/zinc/81/51/50/980815150.db2.gz MWCGTBIOFVAYNU-UHFFFAOYSA-N 0 3 241.338 2.986 20 0 BFADHN CC(=O)c1ccc(-c2cc(N)ccn2)cc1 ZINC001239627170 980816674 /nfs/dbraw/zinc/81/66/74/980816674.db2.gz ICKOPVHHZSUSMC-UHFFFAOYSA-N 0 3 212.252 2.533 20 0 BFADHN CC(=O)c1cccc(-c2ccc([C@H](C)N)nc2)c1 ZINC001239638375 980825579 /nfs/dbraw/zinc/82/55/79/980825579.db2.gz QUYBSMALQZDINJ-JTQLQIEISA-N 0 3 240.306 2.971 20 0 BFADHN CCc1nc(C)c(CN2CCC[C@H](F)CC2)[nH]1 ZINC001203235126 980827943 /nfs/dbraw/zinc/82/79/43/980827943.db2.gz QWOQDCDMSJOWHX-NSHDSACASA-N 0 3 239.338 2.605 20 0 BFADHN F[C@@H]1CCC[C@H](N[C@@H]2CCCn3ccnc32)C1 ZINC001346503870 980854437 /nfs/dbraw/zinc/85/44/37/980854437.db2.gz IOUZMSRDVBPSLE-GRYCIOLGSA-N 0 3 237.322 2.588 20 0 BFADHN CC(C)CN(C)[C@H](C(=O)OC(C)(C)C)C1CC1 ZINC001190596947 980895345 /nfs/dbraw/zinc/89/53/45/980895345.db2.gz HOIGIDFZQOPBDQ-LBPRGKRZSA-N 0 3 241.375 2.695 20 0 BFADHN CC(=O)Nc1ccccc1-c1nccc(N)c1C ZINC001239779068 980912006 /nfs/dbraw/zinc/91/20/06/980912006.db2.gz GHEBRUVZVOCHKX-UHFFFAOYSA-N 0 3 241.294 2.598 20 0 BFADHN Cc1ccc(-c2ccnc(CN)c2)cc1F ZINC001239823138 980968984 /nfs/dbraw/zinc/96/89/84/980968984.db2.gz AVKMGRQBVRZXAI-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN CCCC1CCC(N(C)Cc2nnc[nH]2)CC1 ZINC001347114596 980975713 /nfs/dbraw/zinc/97/57/13/980975713.db2.gz UKAPPYOCHBFQHB-UHFFFAOYSA-N 0 3 236.363 2.595 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001347131052 980983486 /nfs/dbraw/zinc/98/34/86/980983486.db2.gz YRODQWGMXLEMSR-KGLIPLIRSA-N 0 3 247.386 2.741 20 0 BFADHN COCc1ccccc1-c1cc(N)c(C)cn1 ZINC001239949286 981055713 /nfs/dbraw/zinc/05/57/13/981055713.db2.gz CLYGDLPXDFPMPJ-UHFFFAOYSA-N 0 3 228.295 2.786 20 0 BFADHN Cc1n[nH]c(C)c1-c1ccc2c(N)ccnc2c1 ZINC001240128606 981127522 /nfs/dbraw/zinc/12/75/22/981127522.db2.gz MRDPJGNTAGXJTI-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN CN(C)c1ccnc(-c2ccc3n[nH]cc3c2)c1 ZINC001240209475 981157257 /nfs/dbraw/zinc/15/72/57/981157257.db2.gz DNELPAAQAZKDBR-UHFFFAOYSA-N 0 3 238.294 2.691 20 0 BFADHN Cc1cc(CN2CC[C@H](CC(C)(C)C)C2)[nH]n1 ZINC001347793600 981175313 /nfs/dbraw/zinc/17/53/13/981175313.db2.gz LUUHLZCMZIRFIM-GFCCVEGCSA-N 0 3 235.375 2.976 20 0 BFADHN NCc1cc(-c2ccc3ncsc3c2)ccn1 ZINC001240347093 981309084 /nfs/dbraw/zinc/30/90/84/981309084.db2.gz RSSJLGLPLBSUCT-UHFFFAOYSA-N 0 3 241.319 2.817 20 0 BFADHN CC(C)OC(=O)Nc1ccccc1CN(C)C ZINC001193491901 981349679 /nfs/dbraw/zinc/34/96/79/981349679.db2.gz KXXNBWNAJLZTDF-UHFFFAOYSA-N 0 3 236.315 2.705 20 0 BFADHN Cc1c(N)ccnc1-c1ccnc2[nH]ccc21 ZINC001240410768 981358788 /nfs/dbraw/zinc/35/87/88/981358788.db2.gz GLLFDRMIVIKKEY-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Cc1n[nH]cc1-c1ccc(C2=NCCC2)cc1 ZINC001240517877 981446065 /nfs/dbraw/zinc/44/60/65/981446065.db2.gz CGCYBONZAJGTGE-UHFFFAOYSA-N 0 3 225.295 2.968 20 0 BFADHN CN(C)Cc1cccc(-c2ccc(N)cc2F)n1 ZINC001240525762 981461836 /nfs/dbraw/zinc/46/18/36/981461836.db2.gz XEYTWLQPIYWOEI-UHFFFAOYSA-N 0 3 245.301 2.532 20 0 BFADHN c1[nH]nc2cc(-c3nccc4c3CCN4)ccc12 ZINC001240553109 981471903 /nfs/dbraw/zinc/47/19/03/981471903.db2.gz QQBZBHMXRVFBNB-UHFFFAOYSA-N 0 3 236.278 2.593 20 0 BFADHN Cn1ccc2ccc(-c3cccc(CN)n3)cc21 ZINC001240570233 981483826 /nfs/dbraw/zinc/48/38/26/981483826.db2.gz HFBDATSDVNVKOD-UHFFFAOYSA-N 0 3 237.306 2.699 20 0 BFADHN Cc1cc(O)ccc1-c1cncc(CN(C)C)c1 ZINC001240646632 981542374 /nfs/dbraw/zinc/54/23/74/981542374.db2.gz KGLQTBRSYUEADF-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN COc1cc(-c2cc(N)ccn2)cc(F)c1F ZINC001240657925 981568068 /nfs/dbraw/zinc/56/80/68/981568068.db2.gz BZHRVOLCPKBVAA-UHFFFAOYSA-N 0 3 236.221 2.618 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@@H]3OCC[C@H]3C2)c1 ZINC001205655148 981592966 /nfs/dbraw/zinc/59/29/66/981592966.db2.gz KKOWRHJUXNBCLQ-WFASDCNBSA-N 0 3 249.329 2.745 20 0 BFADHN CC[C@H](C)[C@H](C)N1C[C@H](C)[S@@](=O)[C@@H](CC)C1 ZINC001194241379 981613480 /nfs/dbraw/zinc/61/34/80/981613480.db2.gz RBYHAFKQDLAVES-AYZOYYRMSA-N 0 3 245.432 2.652 20 0 BFADHN Cc1cc(-c2cncc(CN(C)C)c2)ccn1 ZINC001240718049 981738372 /nfs/dbraw/zinc/73/83/72/981738372.db2.gz BPRPKPWFFDTTTQ-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN CNCc1cc(-c2ccc(C(F)F)cc2)ncn1 ZINC001240766773 981808608 /nfs/dbraw/zinc/80/86/08/981808608.db2.gz AGRROAMAMJIIHO-UHFFFAOYSA-N 0 3 249.264 2.801 20 0 BFADHN NCc1cccc(-c2ccc3c(c2)CCCO3)n1 ZINC001240772023 981815262 /nfs/dbraw/zinc/81/52/62/981815262.db2.gz NTMRIGQIBDTJPK-UHFFFAOYSA-N 0 3 240.306 2.532 20 0 BFADHN c1ccc([C@@H]2CCCN(CC3=NOCC3)C2)cc1 ZINC001194533912 981830604 /nfs/dbraw/zinc/83/06/04/981830604.db2.gz LTLHEYYVRYHLHI-CQSZACIVSA-N 0 3 244.338 2.642 20 0 BFADHN Cc1cncc(-c2cccc(CN(C)C)n2)c1 ZINC001240802160 981851626 /nfs/dbraw/zinc/85/16/26/981851626.db2.gz NLJIHNAXUYESBR-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN COc1ccc(-c2ccnc(CN)c2)cc1C ZINC001240865220 981910859 /nfs/dbraw/zinc/91/08/59/981910859.db2.gz JVJUHZLBHFANNR-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN Cc1n[nH]c(CN2CCC[C@H]3CCC[C@H]32)c1C ZINC001350309643 981917587 /nfs/dbraw/zinc/91/75/87/981917587.db2.gz KYHWVVLGHSFXLX-TZMCWYRMSA-N 0 3 233.359 2.791 20 0 BFADHN CCOc1cc(F)cc(-c2ccc(CN)nc2)c1 ZINC001241024916 982119280 /nfs/dbraw/zinc/11/92/80/982119280.db2.gz MEZSHFRYKIMZFT-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN COc1cc(F)cc(-c2nccc3c2CCN3)c1 ZINC001241026603 982121335 /nfs/dbraw/zinc/12/13/35/982121335.db2.gz ZXKIEMQJRSHSNK-UHFFFAOYSA-N 0 3 244.269 2.864 20 0 BFADHN CN1CCc2ccc(-c3ccncc3F)cc2C1 ZINC001241228030 982378552 /nfs/dbraw/zinc/37/85/52/982378552.db2.gz GJURLDKFHALWQW-UHFFFAOYSA-N 0 3 242.297 2.876 20 0 BFADHN CCOc1cccc(F)c1-c1cccc(CN)n1 ZINC001241248859 982389725 /nfs/dbraw/zinc/38/97/25/982389725.db2.gz KDZCXCZUJFRUFQ-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN C[NH+](C)Cc1ccnc(-c2cc([O-])cc(F)c2)c1 ZINC001241261255 982398686 /nfs/dbraw/zinc/39/86/86/982398686.db2.gz IBLGLCLSWAUPGV-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN CN(C)Cc1ccc(-c2cc(O)cc(F)c2)nc1 ZINC001241262726 982400586 /nfs/dbraw/zinc/40/05/86/982400586.db2.gz RROVVKHNZIZTRI-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN [NH3+]CCc1cccc(-c2cc([O-])cc(F)c2)c1 ZINC001241277646 982407504 /nfs/dbraw/zinc/40/75/04/982407504.db2.gz NNCKJDVLJUDZCS-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN CC(=O)c1ccc(F)c(-c2cnccc2N)c1 ZINC001241300116 982437498 /nfs/dbraw/zinc/43/74/98/982437498.db2.gz ATTSBZABRZDLBH-UHFFFAOYSA-N 0 3 230.242 2.503 20 0 BFADHN CN1CC=C(c2cc(C(F)F)ccn2)CC1 ZINC001241309440 982450884 /nfs/dbraw/zinc/45/08/84/982450884.db2.gz RJEVADXTMARNRX-UHFFFAOYSA-N 0 3 224.254 2.738 20 0 BFADHN CC(C)COc1cccc(C2=CCN(C)CC2)n1 ZINC001241310793 982454086 /nfs/dbraw/zinc/45/40/86/982454086.db2.gz LLHUCDGWBNVWKN-UHFFFAOYSA-N 0 3 246.354 2.835 20 0 BFADHN Cc1cnc(C2=CCN(C)CC2)c2[nH]ccc21 ZINC001241310321 982459439 /nfs/dbraw/zinc/45/94/39/982459439.db2.gz AHLVRDRIBUMKHE-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CC(=O)Nc1ccc(C)c(C2=CCN(C)CC2)c1 ZINC001241319334 982474249 /nfs/dbraw/zinc/47/42/49/982474249.db2.gz IBKGPGNPUFKNPS-UHFFFAOYSA-N 0 3 244.338 2.672 20 0 BFADHN COC(=O)c1ccc(C2=CCN(C)CC2)c(C)c1 ZINC001241319109 982477953 /nfs/dbraw/zinc/47/79/53/982477953.db2.gz BLFFAZZURPQVAH-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN CC(C)Oc1cc(C2=CCN(C)CC2)ccn1 ZINC001241317407 982478342 /nfs/dbraw/zinc/47/83/42/982478342.db2.gz NNCAWABMLAXKMQ-UHFFFAOYSA-N 0 3 232.327 2.588 20 0 BFADHN CN1CC=C(c2cccc3oncc32)CC1 ZINC001241323106 982485994 /nfs/dbraw/zinc/48/59/94/982485994.db2.gz YWMCRUGNKDLAFE-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN CCOc1ncc(C2=CCN(C)CC2)cc1C ZINC001241327859 982489334 /nfs/dbraw/zinc/48/93/34/982489334.db2.gz STDDZPNSGMMFLE-UHFFFAOYSA-N 0 3 232.327 2.508 20 0 BFADHN CN1CC=C(c2ccc(-c3ncc[nH]3)cc2)CC1 ZINC001241328035 982489606 /nfs/dbraw/zinc/48/96/06/982489606.db2.gz VXUAFTODNKCLOZ-UHFFFAOYSA-N 0 3 239.322 2.796 20 0 BFADHN CCOc1ccc(C2=CCN(C)CC2)cc1OC ZINC001241331846 982495752 /nfs/dbraw/zinc/49/57/52/982495752.db2.gz ASSJCGRPFKVUPH-UHFFFAOYSA-N 0 3 247.338 2.813 20 0 BFADHN CN1CC=C(c2cc(OC(C)(C)C)ccn2)CC1 ZINC001241331808 982497607 /nfs/dbraw/zinc/49/76/07/982497607.db2.gz DSZLYRLVEPBNOJ-UHFFFAOYSA-N 0 3 246.354 2.978 20 0 BFADHN CCc1cncc(-c2cc(N)c(C)cn2)c1 ZINC001241369434 982529444 /nfs/dbraw/zinc/52/94/44/982529444.db2.gz IXKSZHDFGPQKMD-UHFFFAOYSA-N 0 3 213.284 2.597 20 0 BFADHN CC(C)CCCN1CCN(C)c2ncccc2C1 ZINC001197212710 982542319 /nfs/dbraw/zinc/54/23/19/982542319.db2.gz VOOOKKHPCKBNHO-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN Cc1nc(-c2cnc3n[nH]cc3c2)cc2[nH]ccc21 ZINC001241422910 982574750 /nfs/dbraw/zinc/57/47/50/982574750.db2.gz BKTXTWASYHGIPF-UHFFFAOYSA-N 0 3 249.277 2.810 20 0 BFADHN Cc1cnc(-c2cccc3c2cnn3C)cc1N ZINC001241449074 982603863 /nfs/dbraw/zinc/60/38/63/982603863.db2.gz AQUQYCCNKCEYIS-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN CO[C@@H](CN1CC(c2ccc(F)cc2)C1)C1CC1 ZINC001352865587 982614638 /nfs/dbraw/zinc/61/46/38/982614638.db2.gz ZXSBVIVIJYTGHC-HNNXBMFYSA-N 0 3 249.329 2.650 20 0 BFADHN c1ccc(CN2CC(c3cccnc3)C2)cc1 ZINC001203314958 982669870 /nfs/dbraw/zinc/66/98/70/982669870.db2.gz WGECIPZOIKKWSC-UHFFFAOYSA-N 0 3 224.307 2.681 20 0 BFADHN CCC[C@@H]1CCCN1Cc1ccc(F)cn1 ZINC001198431285 982723839 /nfs/dbraw/zinc/72/38/39/982723839.db2.gz WTHXMBBIKJONBN-CYBMUJFWSA-N 0 3 222.307 2.985 20 0 BFADHN COC(=O)c1ccc(C2=CCCN(C)C2)c(C)c1 ZINC001241569692 982815373 /nfs/dbraw/zinc/81/53/73/982815373.db2.gz MDWQGPZSMTWEFF-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN COc1c(C)cccc1C1=CCCN(C)C1 ZINC001241570880 982825078 /nfs/dbraw/zinc/82/50/78/982825078.db2.gz PMUQLEPZRIUJHY-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN Cc1c(N)ccnc1-c1cccc2nn(C)cc21 ZINC001241579552 982828791 /nfs/dbraw/zinc/82/87/91/982828791.db2.gz CPESHTILECUZOH-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN CCSCCN1CCc2cc(O)ccc2C1 ZINC001198828033 982837268 /nfs/dbraw/zinc/83/72/68/982837268.db2.gz JFCDCZQCABMFHR-UHFFFAOYSA-N 0 3 237.368 2.503 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1ocnc1C ZINC001353593554 982906622 /nfs/dbraw/zinc/90/66/22/982906622.db2.gz PTDFZGFTQNZJJZ-SNVBAGLBSA-N 0 3 210.321 2.994 20 0 BFADHN CCc1cc(CNCc2c(C)cccc2C)n[nH]1 ZINC001200390697 982911340 /nfs/dbraw/zinc/91/13/40/982911340.db2.gz OTTQBHAQFIKZDE-UHFFFAOYSA-N 0 3 243.354 2.879 20 0 BFADHN CCc1cc(CNCc2c(C)cccc2C)[nH]n1 ZINC001200390697 982911348 /nfs/dbraw/zinc/91/13/48/982911348.db2.gz OTTQBHAQFIKZDE-UHFFFAOYSA-N 0 3 243.354 2.879 20 0 BFADHN NCc1cc(-c2ccc3ccncc3c2)ccn1 ZINC001241677037 982929186 /nfs/dbraw/zinc/92/91/86/982929186.db2.gz WJSIXLFTKIWWED-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN c1nc2cc(-c3ccc4cncn4c3)ccc2[nH]1 ZINC001241691096 982943993 /nfs/dbraw/zinc/94/39/93/982943993.db2.gz IQQMXHKZNWVVJW-UHFFFAOYSA-N 0 3 234.262 2.878 20 0 BFADHN c1nc2ccc(-c3ccc4cncn4c3)cc2[nH]1 ZINC001241691096 982944002 /nfs/dbraw/zinc/94/40/02/982944002.db2.gz IQQMXHKZNWVVJW-UHFFFAOYSA-N 0 3 234.262 2.878 20 0 BFADHN C[C@@]1(C(=O)Nc2ccc3c(c2)CNC3)C=CCC1 ZINC001353667043 982961315 /nfs/dbraw/zinc/96/13/15/982961315.db2.gz SNDNUZRLQNOTPS-OAHLLOKOSA-N 0 3 242.322 2.585 20 0 BFADHN C[C@@H](NC[C@H](C)O)c1cccc(F)c1Cl ZINC001251975511 983062369 /nfs/dbraw/zinc/06/23/69/983062369.db2.gz STLHYXGAHRRENA-JGVFFNPUSA-N 0 3 231.698 2.511 20 0 BFADHN COC(=O)[C@H](C(C)C)N(C)CC1CCCCC1 ZINC001201401487 983203104 /nfs/dbraw/zinc/20/31/04/983203104.db2.gz JURQCZDFBIGVLR-ZDUSSCGKSA-N 0 3 241.375 2.696 20 0 BFADHN CO[C@@H](C)c1cccc(-c2cccc(CN)n2)c1 ZINC001242017406 983219329 /nfs/dbraw/zinc/21/93/29/983219329.db2.gz RHSVRYJZAXTTCA-NSHDSACASA-N 0 3 242.322 2.915 20 0 BFADHN c1c[nH]c(-c2cccc(C3=NCCC3)c2)n1 ZINC001242019160 983222443 /nfs/dbraw/zinc/22/24/43/983222443.db2.gz JAZXGUGNGIRPTR-UHFFFAOYSA-N 0 3 211.268 2.660 20 0 BFADHN Cc1cccc(N2CCN(CC(C)(C)C)CC2)n1 ZINC001201426571 983223100 /nfs/dbraw/zinc/22/31/00/983223100.db2.gz LUMUFEOGQVCZCG-UHFFFAOYSA-N 0 3 247.386 2.558 20 0 BFADHN CC(C)=CCC[C@@H](C)CN1CC[C@@H](O)[C@H](F)C1 ZINC001201488030 983259580 /nfs/dbraw/zinc/25/95/80/983259580.db2.gz WTBHIZSNIZFSPB-MGPQQGTHSA-N 0 3 243.366 2.774 20 0 BFADHN CC[C@@H](C)CN1CCc2nc(Cl)ncc2C1 ZINC001201511712 983275865 /nfs/dbraw/zinc/27/58/65/983275865.db2.gz UZKNVKVCCKWLQO-SECBINFHSA-N 0 3 239.750 2.534 20 0 BFADHN CCC(CC)CN(C)[C@H](C(=O)OC)[C@@H](C)CC ZINC001201534976 983289418 /nfs/dbraw/zinc/28/94/18/983289418.db2.gz GCQBDKGPRQDJCY-AAEUAGOBSA-N 0 3 243.391 2.942 20 0 BFADHN CCC[C@H](C)CN1CCC(=O)C(C)(C)C1 ZINC001201552248 983307589 /nfs/dbraw/zinc/30/75/89/983307589.db2.gz LDNZYFZWCBVAQF-NSHDSACASA-N 0 3 211.349 2.724 20 0 BFADHN CC[C@@H](C)CN(C)[C@H](C(=O)OC)[C@@H](C)CC ZINC001201517213 983314105 /nfs/dbraw/zinc/31/41/05/983314105.db2.gz NXUCKZCMQSDORQ-WOPDTQHZSA-N 0 3 229.364 2.552 20 0 BFADHN CCCCN(CC(=O)OCC)C[C@@H](C)CC ZINC001201517319 983314736 /nfs/dbraw/zinc/31/47/36/983314736.db2.gz QQJIEZLRMSWBDV-LBPRGKRZSA-N 0 3 229.364 2.698 20 0 BFADHN CC[C@H](C)CN1CCc2cnc(C3CC3)nc2C1 ZINC001201520611 983318770 /nfs/dbraw/zinc/31/87/70/983318770.db2.gz GJEQQIKMZBTKJK-NSHDSACASA-N 0 3 245.370 2.758 20 0 BFADHN CCCCOC1CN(CC(CC)CC)C1 ZINC001201530846 983327348 /nfs/dbraw/zinc/32/73/48/983327348.db2.gz CXMQYZNHHUZUKS-UHFFFAOYSA-N 0 3 213.365 2.924 20 0 BFADHN CCCC[C@@H](CC)CN1CC[C@@H](O)[C@@H](F)C1 ZINC001201562360 983330568 /nfs/dbraw/zinc/33/05/68/983330568.db2.gz COLZYIWAGRLMMJ-FRRDWIJNSA-N 0 3 231.355 2.608 20 0 BFADHN CCCC[C@H](CC)CN1C[C@H](F)[C@@H](OC)C1 ZINC001201563131 983331345 /nfs/dbraw/zinc/33/13/45/983331345.db2.gz KYORAFOBWJAYJI-AVGNSLFASA-N 0 3 231.355 2.872 20 0 BFADHN NCc1cccc(/C=C/Cc2ccccc2)n1 ZINC001242138735 983368935 /nfs/dbraw/zinc/36/89/35/983368935.db2.gz VLQXLKQCVJSUPB-RUDMXATFSA-N 0 3 224.307 2.796 20 0 BFADHN CC(C)CCN1CCc2cc3c(cc2C1)OCO3 ZINC001201613673 983379477 /nfs/dbraw/zinc/37/94/77/983379477.db2.gz YJKWOVCRFYLPKH-UHFFFAOYSA-N 0 3 247.338 2.820 20 0 BFADHN CC(C)[C@@H]1COCCN1CCCc1ccccc1 ZINC001201673762 983388086 /nfs/dbraw/zinc/38/80/86/983388086.db2.gz LRFRGGGNQDEEAV-INIZCTEOSA-N 0 3 247.382 2.976 20 0 BFADHN CCCCN1CCc2cc3c(cc2C1)OCO3 ZINC001201703964 983415254 /nfs/dbraw/zinc/41/52/54/983415254.db2.gz DIKWHJQSFHPLRV-UHFFFAOYSA-N 0 3 233.311 2.574 20 0 BFADHN [O-]c1cc(F)ccc1-c1ccc2c(c1)C[NH2+]CC2 ZINC001242217952 983415981 /nfs/dbraw/zinc/41/59/81/983415981.db2.gz NXSNCKFREIEYNF-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN C[NH2+]Cc1ccccc1-c1ccc(F)cc1[O-] ZINC001242219363 983418897 /nfs/dbraw/zinc/41/88/97/983418897.db2.gz GDJHZFUQFNMVLJ-UHFFFAOYSA-N 0 3 231.270 2.918 20 0 BFADHN CCCCCN1CCN(C)c2nc(C)ccc2C1 ZINC001201730494 983419827 /nfs/dbraw/zinc/41/98/27/983419827.db2.gz JOSBCXSHGBLHNZ-UHFFFAOYSA-N 0 3 247.386 2.832 20 0 BFADHN [NH3+]CCc1ccc(-c2ccc(F)cc2[O-])cc1 ZINC001242219229 983420153 /nfs/dbraw/zinc/42/01/53/983420153.db2.gz OFDASGGNMSWSHM-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN [O-]c1cc(F)ccc1-c1cccc2c1C[NH2+]CC2 ZINC001242219140 983420687 /nfs/dbraw/zinc/42/06/87/983420687.db2.gz YOHLFZPARNVMKQ-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN Cc1cccc(-c2ccnc(CN)c2)c1F ZINC001242238757 983448790 /nfs/dbraw/zinc/44/87/90/983448790.db2.gz TVKDJUSMZFYGLI-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN NCc1cccc(-c2ccc3cccnc3c2)n1 ZINC001242247617 983457341 /nfs/dbraw/zinc/45/73/41/983457341.db2.gz AJTQUZXLXUJRKX-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN NCc1cc(-c2ccc3cnccc3c2)ccn1 ZINC001242247449 983459073 /nfs/dbraw/zinc/45/90/73/983459073.db2.gz UGKPSHRIWKUQQJ-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN CC(C)=CCC[C@H](C)CCN1C[C@@H](O)[C@@H](F)C1 ZINC001201977750 983512559 /nfs/dbraw/zinc/51/25/59/983512559.db2.gz XYRUDJSZNPPPTG-MELADBBJSA-N 0 3 243.366 2.774 20 0 BFADHN CC1(C)CC=C(c2cc3c(nn2)CCNC3)CC1 ZINC001242328077 983562023 /nfs/dbraw/zinc/56/20/23/983562023.db2.gz QTWPPLBABWAKBP-UHFFFAOYSA-N 0 3 243.354 2.716 20 0 BFADHN CCCCN(CC(=O)OCC)CC1CCCC1 ZINC001202177899 983583597 /nfs/dbraw/zinc/58/35/97/983583597.db2.gz FNMMZCQZNZUJSB-UHFFFAOYSA-N 0 3 241.375 2.842 20 0 BFADHN OCc1ccc(F)cc1-c1cncc2cc[nH]c21 ZINC001242342313 983591803 /nfs/dbraw/zinc/59/18/03/983591803.db2.gz MYKGKGTZMKWHNX-UHFFFAOYSA-N 0 3 242.253 2.861 20 0 BFADHN COc1cc2c(cn1)CCN(CC1CCCC1)C2 ZINC001202204788 983601435 /nfs/dbraw/zinc/60/14/35/983601435.db2.gz HVIMTAQQKRZEGU-UHFFFAOYSA-N 0 3 246.354 2.639 20 0 BFADHN FC(F)(F)CCN1C[C@H]2[C@H](CC2(F)F)C1 ZINC001202308256 983619740 /nfs/dbraw/zinc/61/97/40/983619740.db2.gz YBGDLFQASLSZTL-RQJHMYQMSA-N 0 3 229.192 2.526 20 0 BFADHN CCCOC1CCN(CCC(F)(F)F)CC1 ZINC001202349094 983628742 /nfs/dbraw/zinc/62/87/42/983628742.db2.gz UIJKZZIHCQCMAK-UHFFFAOYSA-N 0 3 239.281 2.830 20 0 BFADHN CC1(CCC(=O)Nc2ccc3c(c2)CNC3)CC1 ZINC001357473934 983724592 /nfs/dbraw/zinc/72/45/92/983724592.db2.gz JCSBHDOKUVKBQD-UHFFFAOYSA-N 0 3 244.338 2.809 20 0 BFADHN CN(C)Cc1ccccc1NC(=O)NC(C)(C)C ZINC001202482612 983751025 /nfs/dbraw/zinc/75/10/25/983751025.db2.gz CNXGZSIUXIGFGY-UHFFFAOYSA-N 0 3 249.358 2.668 20 0 BFADHN C[C@H](NC1CC(C)C1)c1cn2c(n1)CCCC2 ZINC000925491274 983798074 /nfs/dbraw/zinc/79/80/74/983798074.db2.gz QLICURVIQAAFKM-CXQJBGSLSA-N 0 3 233.359 2.669 20 0 BFADHN CC(C)n1nccc1-c1cncc(CN(C)C)c1 ZINC001242557753 983880957 /nfs/dbraw/zinc/88/09/57/983880957.db2.gz YAQPUAVQDYMQQG-UHFFFAOYSA-N 0 3 244.342 2.588 20 0 BFADHN CC[C@@H]1Cc2ccccc2CN1CC1=NOCC1 ZINC001559728396 983931662 /nfs/dbraw/zinc/93/16/62/983931662.db2.gz PTBCSRXAPKKLNR-OAHLLOKOSA-N 0 3 244.338 2.600 20 0 BFADHN Cc1cccc2c(CN3CCCCC3)[nH]nc21 ZINC001137142432 983969244 /nfs/dbraw/zinc/96/92/44/983969244.db2.gz ZSGGMERPMUKAGC-UHFFFAOYSA-N 0 3 229.327 2.857 20 0 BFADHN Cc1cccc2c(CN3CC4CC(C4)C3)[nH]nc21 ZINC001137150739 983978452 /nfs/dbraw/zinc/97/84/52/983978452.db2.gz UUPSHXUERDRVEM-UHFFFAOYSA-N 0 3 241.338 2.713 20 0 BFADHN C[C@@H](c1ccccn1)N1CCN(C(C)(C)C)CC1 ZINC000201326551 983986264 /nfs/dbraw/zinc/98/62/64/983986264.db2.gz ANWHMGCZXTXDOG-ZDUSSCGKSA-N 0 3 247.386 2.559 20 0 BFADHN C[C@H]1CCCN(CCOC(=O)c2ccccc2)C1 ZINC000001997773 984003562 /nfs/dbraw/zinc/00/35/62/984003562.db2.gz LQFBXEZIAGFFFG-ZDUSSCGKSA-N 0 3 247.338 2.575 20 0 BFADHN Cc1noc([C@@H]2CCCN2[C@H]2CC[C@@H](C)C2)n1 ZINC000339196546 984008002 /nfs/dbraw/zinc/00/80/02/984008002.db2.gz AORNHCKDCLAGTC-USWWRNFRSA-N 0 3 235.331 2.704 20 0 BFADHN CCc1cc(OC)ccc1-c1ccnc(CN)c1 ZINC001242699936 984054573 /nfs/dbraw/zinc/05/45/73/984054573.db2.gz UQYJBGNTAWHSBL-UHFFFAOYSA-N 0 3 242.322 2.778 20 0 BFADHN C[C@]1(F)CCN(CC2CCC2)C[C@H]1F ZINC001202705097 984157710 /nfs/dbraw/zinc/15/77/10/984157710.db2.gz BLCQGQCZRJANND-MNOVXSKESA-N 0 3 203.276 2.559 20 0 BFADHN C[C@H]1CCC(C)(C)C[C@H]1NCc1ncccn1 ZINC000717441347 984196844 /nfs/dbraw/zinc/19/68/44/984196844.db2.gz ZKRSRALZESZDNA-NWDGAFQWSA-N 0 3 233.359 2.781 20 0 BFADHN Cc1ccc(-c2cncc(CN(C)C)c2)nc1 ZINC001242906781 984278133 /nfs/dbraw/zinc/27/81/33/984278133.db2.gz VIQNCJOZUXFSSB-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN CCCOc1ccccc1-c1ccc(CN)nc1 ZINC001243125898 984591335 /nfs/dbraw/zinc/59/13/35/984591335.db2.gz ZHLZEMUJCFRVSY-UHFFFAOYSA-N 0 3 242.322 2.996 20 0 BFADHN C[C@@H](Cc1ccncc1)N1CCCC[C@H](F)C1 ZINC001256735695 984803053 /nfs/dbraw/zinc/80/30/53/984803053.db2.gz JAFSDCWCWHIYMF-JSGCOSHPSA-N 0 3 236.334 2.837 20 0 BFADHN Nc1ccc(F)c(-c2c[nH]cc3ccnc2-3)c1 ZINC001243284384 984810732 /nfs/dbraw/zinc/81/07/32/984810732.db2.gz LFTBNFCTOUTWIA-UHFFFAOYSA-N 0 3 227.242 2.951 20 0 BFADHN c1n[nH]nc1[C@H]1CCCCN1CCC1CCC1 ZINC001578052811 984835777 /nfs/dbraw/zinc/83/57/77/984835777.db2.gz HLFSGNCKZIINMC-CYBMUJFWSA-N 0 3 234.347 2.522 20 0 BFADHN Cn1c(-c2ccc(CN)nc2)cc2ccccc21 ZINC001243353509 984839790 /nfs/dbraw/zinc/83/97/90/984839790.db2.gz WFTAYTKRMGNNKW-UHFFFAOYSA-N 0 3 237.306 2.699 20 0 BFADHN CN(C)Cc1cccc(-c2ccncc2Cl)n1 ZINC001243384574 984871015 /nfs/dbraw/zinc/87/10/15/984871015.db2.gz OJGUCXFGQOTHFX-UHFFFAOYSA-N 0 3 247.729 2.859 20 0 BFADHN Cc1cc(-c2cc(CN(C)C)ccn2)cnc1F ZINC001243396906 984887305 /nfs/dbraw/zinc/88/73/05/984887305.db2.gz GUJVOHLKIHVTPI-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN F[C@H]1CN(C2CCCC2)CCCC1(F)F ZINC001257350332 984919953 /nfs/dbraw/zinc/91/99/53/984919953.db2.gz JHIPDPVRNSYMAL-JTQLQIEISA-N 0 3 221.266 2.998 20 0 BFADHN CCCCOC(=O)CC[C@@H](C)N1CC(C)(C)C1 ZINC001257393390 984950966 /nfs/dbraw/zinc/95/09/66/984950966.db2.gz PYAGETCBKPEEAF-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN CC[C@H](C)N[C@H](C(C)=O)c1ccccc1 ZINC001257429677 984999273 /nfs/dbraw/zinc/99/92/73/984999273.db2.gz XCTSXCZJTFFTRR-GXFFZTMASA-N 0 3 205.301 2.705 20 0 BFADHN CC[C@@H](C)NCc1cn(CC2CCC2)cn1 ZINC001257434863 985008229 /nfs/dbraw/zinc/00/82/29/985008229.db2.gz XHVCWCHNOKCPAC-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN CC[C@H](C)NCc1cn(CC2CCC2)cn1 ZINC001257434864 985008368 /nfs/dbraw/zinc/00/83/68/985008368.db2.gz XHVCWCHNOKCPAC-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN [O-]c1cccc(-c2cccc3c2CC[NH2+]C3)c1F ZINC001243547369 985097077 /nfs/dbraw/zinc/09/70/77/985097077.db2.gz QETQNQUGSCGSCD-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N1C[C@@H](F)C[C@H]1CO ZINC001257477906 985105280 /nfs/dbraw/zinc/10/52/80/985105280.db2.gz PKLTYLHUGSCDRG-XUXIUFHCSA-N 0 3 245.382 2.996 20 0 BFADHN CSc1ccc(-c2cccc(CN)n2)c(C)n1 ZINC001243569065 985126669 /nfs/dbraw/zinc/12/66/69/985126669.db2.gz OPMBCAZAPQYMKP-UHFFFAOYSA-N 0 3 245.351 2.633 20 0 BFADHN Cc1nocc1-c1ncccc1CN1CCCC1 ZINC001243674589 985167455 /nfs/dbraw/zinc/16/74/55/985167455.db2.gz OYZCXINBMWELEV-UHFFFAOYSA-N 0 3 243.310 2.641 20 0 BFADHN c1ccc(OCN[C@@H]2CCCSC2)cc1 ZINC001257661232 985244100 /nfs/dbraw/zinc/24/41/00/985244100.db2.gz GSXITHIAQPMCLC-LLVKDONJSA-N 0 3 223.341 2.508 20 0 BFADHN C[C@]1(F)CCN([C@H]2CCCSC2)C[C@H]1F ZINC001257671694 985247810 /nfs/dbraw/zinc/24/78/10/985247810.db2.gz QGLIDRWIEXOONT-AXFHLTTASA-N 0 3 235.343 2.654 20 0 BFADHN c1cc2c(o1)CCN([C@H]1CCCSC1)C2 ZINC001257680679 985262405 /nfs/dbraw/zinc/26/24/05/985262405.db2.gz KJHJFSVIPLYOOI-NSHDSACASA-N 0 3 223.341 2.533 20 0 BFADHN CCNC(=O)CN(C)[C@H](C)C[C@H](C)CC(C)C ZINC001258062641 985364350 /nfs/dbraw/zinc/36/43/50/985364350.db2.gz LATPUDBBWRQPRS-CHWSQXEVSA-N 0 3 242.407 2.515 20 0 BFADHN Cn1ccnc1CNCCCCC(C)(C)C ZINC000163876884 985449424 /nfs/dbraw/zinc/44/94/24/985449424.db2.gz NGWDFSAPVBWAMN-UHFFFAOYSA-N 0 3 223.364 2.726 20 0 BFADHN C[C@H](N[C@H]1COC[C@H]1C)c1ccccc1F ZINC000688003072 985466459 /nfs/dbraw/zinc/46/64/59/985466459.db2.gz AMRNCVWRPRXREF-NRUUGDAUSA-N 0 3 223.291 2.511 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2COC[C@@H]2C)c(C)o1 ZINC000688006535 985476752 /nfs/dbraw/zinc/47/67/52/985476752.db2.gz OQQFMWIJDDMGLA-FWDPORAESA-N 0 3 223.316 2.582 20 0 BFADHN NCc1cc(-c2cc(C3CC3)cnc2F)ccn1 ZINC001243859185 985504854 /nfs/dbraw/zinc/50/48/54/985504854.db2.gz OUBQJLRSXKQJKG-UHFFFAOYSA-N 0 3 243.285 2.619 20 0 BFADHN C[C@@H](N[C@@H]1COC2(CCC2)C1)c1cncs1 ZINC000688267676 985593450 /nfs/dbraw/zinc/59/34/50/985593450.db2.gz OUZQOKRRNGYIHZ-ZJUUUORDSA-N 0 3 238.356 2.505 20 0 BFADHN Cc1cc2[nH]ccc2c(C2=CNCCC2)n1 ZINC001243948622 985626767 /nfs/dbraw/zinc/62/67/67/985626767.db2.gz BLVMEVRKBLWKJG-UHFFFAOYSA-N 0 3 213.284 2.596 20 0 BFADHN CCOC(=O)c1ccc(C2=CNCCC2)c(C)c1 ZINC001243948775 985629641 /nfs/dbraw/zinc/62/96/41/985629641.db2.gz BBOKPMUUWSGTHK-UHFFFAOYSA-N 0 3 245.322 2.896 20 0 BFADHN c1ccc2c(c1)nccc2C1=CNCCC1 ZINC001243949547 985634066 /nfs/dbraw/zinc/63/40/66/985634066.db2.gz MJEAHXSYZGSDCZ-UHFFFAOYSA-N 0 3 210.280 2.959 20 0 BFADHN Cc1cc2c(nccc2C2=CNCCC2)[nH]1 ZINC001243949816 985644679 /nfs/dbraw/zinc/64/46/79/985644679.db2.gz JALRACXFNYPUIL-UHFFFAOYSA-N 0 3 213.284 2.596 20 0 BFADHN CCCn1ncc(CN2CCC(C)CC2)c1C ZINC001138637007 985649285 /nfs/dbraw/zinc/64/92/85/985649285.db2.gz SOARTZRFSAGJMC-UHFFFAOYSA-N 0 3 235.375 2.833 20 0 BFADHN COC(=O)c1ccc(C)c(C2=CNCCC2)c1 ZINC001243950480 985651043 /nfs/dbraw/zinc/65/10/43/985651043.db2.gz VJXMACBIDSIPOU-UHFFFAOYSA-N 0 3 231.295 2.506 20 0 BFADHN Cc1ncc2ccc(C3=CNCCC3)cc2n1 ZINC001243950829 985651851 /nfs/dbraw/zinc/65/18/51/985651851.db2.gz NYWLZALMVJTROI-UHFFFAOYSA-N 0 3 225.295 2.663 20 0 BFADHN COC(=O)c1ccc(C2=CNCCC2)c(C)c1F ZINC001243951833 985654035 /nfs/dbraw/zinc/65/40/35/985654035.db2.gz ZMFNSRQXIWWTJK-UHFFFAOYSA-N 0 3 249.285 2.645 20 0 BFADHN CC(C)COc1cc(C2=CNCCC2)ccn1 ZINC001243951644 985657402 /nfs/dbraw/zinc/65/74/02/985657402.db2.gz KLWYZJVDAHWKGP-UHFFFAOYSA-N 0 3 232.327 2.841 20 0 BFADHN COc1ccc(-c2cccc(CN)n2)c(C)c1C ZINC001243988938 985721134 /nfs/dbraw/zinc/72/11/34/985721134.db2.gz SHOUPTVBPYRDSU-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN CSC(C)(C)C[C@H](C)N1C[C@H]2CC[C@@H]1CO2 ZINC001258345594 985820616 /nfs/dbraw/zinc/82/06/16/985820616.db2.gz AWFUNHIHRRLUQK-QJPTWQEYSA-N 0 3 243.416 2.770 20 0 BFADHN COC(=O)CC[C@@H](C)N1CCCC[C@@](C)(F)C1 ZINC001258366609 985849769 /nfs/dbraw/zinc/84/97/69/985849769.db2.gz SWAUETBBRQZYEC-DGCLKSJQSA-N 0 3 245.338 2.542 20 0 BFADHN Cc1cc(C)c(-c2cncc(CN(C)C)c2)cn1 ZINC001244148354 985855120 /nfs/dbraw/zinc/85/51/20/985855120.db2.gz YKLCNGZTRNMEKJ-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN CN(CCC1CC1)Cc1cccc2ccnn21 ZINC001138808347 985859951 /nfs/dbraw/zinc/85/99/51/985859951.db2.gz SDJIMDFPLSKIRO-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN Clc1cc(CN2CCC[C@H]3C[C@H]32)ccn1 ZINC001138819383 985868186 /nfs/dbraw/zinc/86/81/86/985868186.db2.gz AFEFFUXNGUNDFF-WDEREUQCSA-N 0 3 222.719 2.719 20 0 BFADHN CCCCC[C@H](CC)N1CCC[C@H]1C(=O)OC ZINC001258430770 985868471 /nfs/dbraw/zinc/86/84/71/985868471.db2.gz BOTNJSBJKCKSJS-STQMWFEESA-N 0 3 241.375 2.983 20 0 BFADHN c1cc2cc(CN3CC(C4COC4)C3)ccc2o1 ZINC001138822727 985876038 /nfs/dbraw/zinc/87/60/38/985876038.db2.gz MLVYMJYYWLYASV-UHFFFAOYSA-N 0 3 243.306 2.511 20 0 BFADHN COCc1ccc(C)c(-c2cccc(CN)n2)c1 ZINC001244167629 985876574 /nfs/dbraw/zinc/87/65/74/985876574.db2.gz ZQUKTLWQJRTOGS-UHFFFAOYSA-N 0 3 242.322 2.662 20 0 BFADHN Cc1nc(CN[C@@H](C)CC2CC2)c(C)o1 ZINC001258448616 985892063 /nfs/dbraw/zinc/89/20/63/985892063.db2.gz WYNLEQPGGFFAHB-QMMMGPOBSA-N 0 3 208.305 2.570 20 0 BFADHN C[C@H](CC1CC1)N1CC[C@](C)(F)[C@@H](F)C1 ZINC001258466153 985914926 /nfs/dbraw/zinc/91/49/26/985914926.db2.gz VAQUXFSTRTYHBM-USWWRNFRSA-N 0 3 217.303 2.947 20 0 BFADHN Cc1cnc(CN2CC=CC23CCCCC3)cn1 ZINC001460979115 985974269 /nfs/dbraw/zinc/97/42/69/985974269.db2.gz QQWFPWQKEZDJCW-UHFFFAOYSA-N 0 3 243.354 2.860 20 0 BFADHN c1nscc1CN[C@H]1C[C@H]1Cc1ccccc1 ZINC001461219537 986024602 /nfs/dbraw/zinc/02/46/02/986024602.db2.gz ASZIASLQCKZHQX-KGLIPLIRSA-N 0 3 244.363 2.864 20 0 BFADHN CO[C@@H](CNCc1ccccc1F)C(C)(C)C ZINC001461247003 986025934 /nfs/dbraw/zinc/02/59/34/986025934.db2.gz HESFWVDQDGBQJN-ZDUSSCGKSA-N 0 3 239.334 2.976 20 0 BFADHN Nc1nc2cc(-c3cc(F)ccc3N)ccc2[nH]1 ZINC001244397027 986050489 /nfs/dbraw/zinc/05/04/89/986050489.db2.gz JGPLRYCCSDITJI-UHFFFAOYSA-N 0 3 242.257 2.533 20 0 BFADHN Nc1nc2ccc(-c3cc(F)ccc3N)cc2[nH]1 ZINC001244397027 986050498 /nfs/dbraw/zinc/05/04/98/986050498.db2.gz JGPLRYCCSDITJI-UHFFFAOYSA-N 0 3 242.257 2.533 20 0 BFADHN Cc1ccc(O)c(-c2cncc(CN(C)C)c2)c1 ZINC001244405602 986074300 /nfs/dbraw/zinc/07/43/00/986074300.db2.gz PGEWGBBLWKBBDL-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN Cc1ccc(O)cc1-c1nccc(N)c1C ZINC001244446259 986096427 /nfs/dbraw/zinc/09/64/27/986096427.db2.gz MTSRQKPYAXWZFP-UHFFFAOYSA-N 0 3 214.268 2.653 20 0 BFADHN Cc1ccc(O)cc1-c1cncc(CN(C)C)c1 ZINC001244449462 986107667 /nfs/dbraw/zinc/10/76/67/986107667.db2.gz IPHOOLCCOJOPAV-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN FC(F)CCNCc1cnc(C2CC2)s1 ZINC001461818687 986182075 /nfs/dbraw/zinc/18/20/75/986182075.db2.gz GKIGQRPKDRCBAW-UHFFFAOYSA-N 0 3 232.299 2.765 20 0 BFADHN CCCn1cncc1CN1CCC[C@H](C)[C@@H]1C ZINC001461850736 986198478 /nfs/dbraw/zinc/19/84/78/986198478.db2.gz QGRHOBOUWYQRRB-STQMWFEESA-N 0 3 235.375 2.914 20 0 BFADHN CCCn1cncc1CN1CCC[C@H](C)[C@H]1C ZINC001461850734 986207180 /nfs/dbraw/zinc/20/71/80/986207180.db2.gz QGRHOBOUWYQRRB-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN CC[C@H](C)C[C@H](CC)N1CCC(=O)CC1 ZINC001258789108 986215191 /nfs/dbraw/zinc/21/51/91/986215191.db2.gz VWGRSSMSGWWITO-RYUDHWBXSA-N 0 3 211.349 2.866 20 0 BFADHN COc1c(C)ccc(F)c1-c1cccc(CN)n1 ZINC001244522406 986229185 /nfs/dbraw/zinc/22/91/85/986229185.db2.gz BGTZALJSZCBDEU-UHFFFAOYSA-N 0 3 246.285 2.663 20 0 BFADHN Cc1cc(-c2ccc(C[NH3+])nc2)cc(Cl)c1[O-] ZINC001244538680 986230718 /nfs/dbraw/zinc/23/07/18/986230718.db2.gz VXBZZQHFPZPZGJ-UHFFFAOYSA-N 0 3 248.713 2.875 20 0 BFADHN CC(C)(C)C1=CCN(Cc2ccc(=O)[nH]c2)CC1 ZINC001461929057 986231254 /nfs/dbraw/zinc/23/12/54/986231254.db2.gz VYSPBOIIYDFBPM-UHFFFAOYSA-N 0 3 246.354 2.965 20 0 BFADHN C[C@@H]1CCC[C@@H](NC2(c3cnccn3)CC2)C1 ZINC001258815080 986272839 /nfs/dbraw/zinc/27/28/39/986272839.db2.gz YTGPSPKLKAGMNB-VXGBXAGGSA-N 0 3 231.343 2.634 20 0 BFADHN CCC1CN(Cc2ccc3c(c2)C[C@@H](C)O3)C1 ZINC001462308262 986339538 /nfs/dbraw/zinc/33/95/38/986339538.db2.gz DPRMABJKIYDLTC-LLVKDONJSA-N 0 3 231.339 2.852 20 0 BFADHN CSc1ncc(C)cc1-c1cccc(CN)n1 ZINC001244878094 986410977 /nfs/dbraw/zinc/41/09/77/986410977.db2.gz NPTAJRLFUWDYIS-UHFFFAOYSA-N 0 3 245.351 2.633 20 0 BFADHN CCC1(CC)CCCN(c2ccnc(CO)c2)C1 ZINC000689233497 986441091 /nfs/dbraw/zinc/44/10/91/986441091.db2.gz LKAWPYALFQPYHJ-UHFFFAOYSA-N 0 3 248.370 2.981 20 0 BFADHN Cc1c(O)cccc1-c1cncc(CN(C)C)c1 ZINC001244959781 986525363 /nfs/dbraw/zinc/52/53/63/986525363.db2.gz BDUWISLNKYUUJR-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN c1ccc2cc(CN[C@@H]3CCCOC3)ncc2c1 ZINC001254367539 986591882 /nfs/dbraw/zinc/59/18/82/986591882.db2.gz JFSHTPIQFFLQHC-CQSZACIVSA-N 0 3 242.322 2.503 20 0 BFADHN CCCOc1ccc(-c2cc(N)ccn2)cn1 ZINC001245230187 986752381 /nfs/dbraw/zinc/75/23/81/986752381.db2.gz XVHBZAFTDAWJBX-UHFFFAOYSA-N 0 3 229.283 2.515 20 0 BFADHN C[C@H]1CCN1Cc1cc2ccccc2[nH]1 ZINC001137313888 986755895 /nfs/dbraw/zinc/75/58/95/986755895.db2.gz YNWGXFUJKJEWOE-JTQLQIEISA-N 0 3 200.285 2.762 20 0 BFADHN Cc1cc(C)c(CN2CC[C@@H]3OCCC[C@H]3C2)[nH]1 ZINC001137321144 986763479 /nfs/dbraw/zinc/76/34/79/986763479.db2.gz CXKLBYVKWHIMDO-ZFWWWQNUSA-N 0 3 248.370 2.632 20 0 BFADHN C[C@H]1CCN1Cc1c[nH]c2ccc(F)cc12 ZINC001137335128 986799637 /nfs/dbraw/zinc/79/96/37/986799637.db2.gz HIMJHRBBJSUTIK-VIFPVBQESA-N 0 3 218.275 2.901 20 0 BFADHN COc1c(C)cccc1-c1ccnc(CN)c1 ZINC001245363524 986823329 /nfs/dbraw/zinc/82/33/29/986823329.db2.gz HUKOUIDEMOCOOG-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN Cc1cnc(-c2cc3cccnc3[nH]2)cc1N ZINC001245400120 986839904 /nfs/dbraw/zinc/83/99/04/986839904.db2.gz LBZQHILHBHFZEV-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Cc1cnc(-c2ccc(N)cc2Cl)cc1N ZINC001245505209 986885120 /nfs/dbraw/zinc/88/51/20/986885120.db2.gz DUGJAVCMQSXRHP-UHFFFAOYSA-N 0 3 233.702 2.875 20 0 BFADHN CCCC[C@H](C)N[C@@H]1c2ccccc2CNC1=O ZINC001255315016 986911327 /nfs/dbraw/zinc/91/13/27/986911327.db2.gz ZVXBBNRMBYTUQZ-SMDDNHRTSA-N 0 3 246.354 2.526 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2cnc(N)c(C)c2)n1 ZINC001245536645 986913609 /nfs/dbraw/zinc/91/36/09/986913609.db2.gz WLWUZVOLCMMRRP-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN CCCC[C@@H](C)N1CCC(=O)C(C)(C)C1 ZINC001255329835 986931586 /nfs/dbraw/zinc/93/15/86/986931586.db2.gz PYHGHQBPEKMBHJ-LLVKDONJSA-N 0 3 211.349 2.866 20 0 BFADHN Cc1cc(F)c(-c2ccc(CN)nc2)cc1F ZINC001245544640 986934884 /nfs/dbraw/zinc/93/48/84/986934884.db2.gz KPPGXKBPRIOJGC-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN COC[C@H](C)NC1(c2ccccc2OC)CCC1 ZINC001255561850 987015327 /nfs/dbraw/zinc/01/53/27/987015327.db2.gz LBUBJKGSOCWLBF-LBPRGKRZSA-N 0 3 249.354 2.699 20 0 BFADHN [O-]c1cccc(F)c1-c1cccc2c1C[NH2+]CC2 ZINC001245654304 987024169 /nfs/dbraw/zinc/02/41/69/987024169.db2.gz BZYCYHLJOHRKAS-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN [NH3+]CCc1ccccc1-c1c([O-])cccc1F ZINC001245656868 987040390 /nfs/dbraw/zinc/04/03/90/987040390.db2.gz WMAPZHSGSJSZLA-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN C[NH2+]Cc1ccc(-c2c([O-])cccc2F)cc1 ZINC001245657289 987044908 /nfs/dbraw/zinc/04/49/08/987044908.db2.gz ZYFBOHRMRBWVRZ-UHFFFAOYSA-N 0 3 231.270 2.918 20 0 BFADHN Cc1c(F)ccc(-c2ccnc(CN)c2)c1F ZINC001245693148 987064786 /nfs/dbraw/zinc/06/47/86/987064786.db2.gz RNHPYWIVTFXWPN-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN Cc1nc(CNC[C@@]2(C)CC2(F)F)sc1C ZINC000689702796 987080480 /nfs/dbraw/zinc/08/04/80/987080480.db2.gz FADYNEUYCRIWSH-SNVBAGLBSA-N 0 3 246.326 2.895 20 0 BFADHN CC(C)CC[C@H](C)n1ccc([C@H](C)N)n1 ZINC001256355888 987175182 /nfs/dbraw/zinc/17/51/82/987175182.db2.gz DVAYJGPMDPFCAG-QWRGUYRKSA-N 0 3 209.337 2.900 20 0 BFADHN CCCCC[C@@H](C)NCc1nc(C)c[nH]1 ZINC000693464200 987311283 /nfs/dbraw/zinc/31/12/83/987311283.db2.gz BYGUBPAHNNLDDI-SNVBAGLBSA-N 0 3 209.337 2.777 20 0 BFADHN CCCN(CCOC)Cc1cccc(C)c1F ZINC001137672618 987384679 /nfs/dbraw/zinc/38/46/79/987384679.db2.gz PPAWTPXNWTVLGH-UHFFFAOYSA-N 0 3 239.334 2.993 20 0 BFADHN CC[C@H]1CCN(Cc2ccc(F)cn2)[C@@H]1C ZINC001473402027 987422081 /nfs/dbraw/zinc/42/20/81/987422081.db2.gz GWASFGAKVHWEQL-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@H]1CCN(Cc2cc(OC)ns2)[C@H]1C ZINC001473401972 987422395 /nfs/dbraw/zinc/42/23/95/987422395.db2.gz GMQHRBFYLCBVAH-UWVGGRQHSA-N 0 3 240.372 2.772 20 0 BFADHN Cc1cnc(CN2CC[C@@H]3CCCC[C@@H]3C2)cn1 ZINC001115983951 987422451 /nfs/dbraw/zinc/42/24/51/987422451.db2.gz FSBYMFYKMAIDBC-UONOGXRCSA-N 0 3 245.370 2.797 20 0 BFADHN C[C@H]1CN([C@H]2CCc3c2cccc3F)CCCO1 ZINC001116328105 987519729 /nfs/dbraw/zinc/51/97/29/987519729.db2.gz VNQLWFGNLBYJCY-NHYWBVRUSA-N 0 3 249.329 2.924 20 0 BFADHN CCCC1CCN(Cc2cn(C)nc2C)CC1 ZINC001116443516 987577328 /nfs/dbraw/zinc/57/73/28/987577328.db2.gz YJIOJFVMIUETCU-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN C[C@@H]1CCC(F)(F)CN1CCN1CCCCC1 ZINC001473880255 987602922 /nfs/dbraw/zinc/60/29/22/987602922.db2.gz VJDFHVZMODUTNQ-GFCCVEGCSA-N 0 3 246.345 2.592 20 0 BFADHN CCn1ccc(CNCCCC2CCCC2)n1 ZINC001473909239 987617326 /nfs/dbraw/zinc/61/73/26/987617326.db2.gz WVFHRDJOHYNQRH-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN C[C@@H](CCc1ccccc1)CNCc1cc[nH]n1 ZINC001473922119 987620935 /nfs/dbraw/zinc/62/09/35/987620935.db2.gz YMQNVAXGZONXSL-ZDUSSCGKSA-N 0 3 243.354 2.768 20 0 BFADHN CCn1ccnc1CNC[C@@H]1C[C@@H]1C1CCCC1 ZINC001474301654 987682261 /nfs/dbraw/zinc/68/22/61/987682261.db2.gz NLBAHHJLIJSWKL-UONOGXRCSA-N 0 3 247.386 2.819 20 0 BFADHN Cc1nc(CNC[C@H]2C[C@@H]2C2CCCC2)[nH]c1C ZINC001474301001 987683042 /nfs/dbraw/zinc/68/30/42/987683042.db2.gz BWVFXDFMCCBDNC-ZIAGYGMSSA-N 0 3 247.386 2.942 20 0 BFADHN Clc1ccccc1CN[C@@H]1C[C@@H]2CC[C@H]1O2 ZINC001474305315 987683135 /nfs/dbraw/zinc/68/31/35/987683135.db2.gz JCXLALSZNKRXNP-CYZMBNFOSA-N 0 3 237.730 2.750 20 0 BFADHN COc1ccc(F)cc1CN[C@@H]1C[C@H]1C(C)C ZINC001474307743 987685343 /nfs/dbraw/zinc/68/53/43/987685343.db2.gz YGPBJDPRNDNXSG-QWHCGFSZSA-N 0 3 237.318 2.968 20 0 BFADHN Cc1cccc(F)c1CN[C@@H](C)Cn1cccn1 ZINC001116736194 987686746 /nfs/dbraw/zinc/68/67/46/987686746.db2.gz MQLHEWYGQFRYDN-LBPRGKRZSA-N 0 3 247.317 2.509 20 0 BFADHN CC[C@@H]1CCN(Cc2ccn(CC)n2)[C@@H]1C ZINC001474460172 987727302 /nfs/dbraw/zinc/72/73/02/987727302.db2.gz VBPQDAPDFKRBAF-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@H]1CCN(Cc2ccn(CC)n2)[C@H]1C ZINC001474460171 987727996 /nfs/dbraw/zinc/72/79/96/987727996.db2.gz VBPQDAPDFKRBAF-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN COc1nc(CNCC2=CCCCC2)ccc1C ZINC001474553329 987758800 /nfs/dbraw/zinc/75/88/00/987758800.db2.gz ZOFYEPCHBHNQBX-UHFFFAOYSA-N 0 3 246.354 2.989 20 0 BFADHN Fc1nccc(Cl)c1CNCC1CC=CC1 ZINC001474630879 987785992 /nfs/dbraw/zinc/78/59/92/987785992.db2.gz UDJASAVLBGMXIN-UHFFFAOYSA-N 0 3 240.709 2.930 20 0 BFADHN CCNc1ccccc1CN1CC(C)(C)C1 ZINC001474667481 987794720 /nfs/dbraw/zinc/79/47/20/987794720.db2.gz CCGVTDVGNSZXDI-UHFFFAOYSA-N 0 3 218.344 2.960 20 0 BFADHN CC1(CN2CCN(c3cccc(F)c3)CC2)CC1 ZINC001474672031 987799133 /nfs/dbraw/zinc/79/91/33/987799133.db2.gz KWRDGZRAMLXMAA-UHFFFAOYSA-N 0 3 248.345 2.748 20 0 BFADHN CCCC[C@@H](COC)NCc1cc(C)ccn1 ZINC001474689637 987800293 /nfs/dbraw/zinc/80/02/93/987800293.db2.gz ANBASAYJWOOOLK-ZDUSSCGKSA-N 0 3 236.359 2.685 20 0 BFADHN CC(C)(C)C1CCC(N2C[C@@H](F)[C@@H](N)C2)CC1 ZINC001246529725 987886007 /nfs/dbraw/zinc/88/60/07/987886007.db2.gz LKJFDHVKCVUXFL-TUUUFIMRSA-N 0 3 242.382 2.572 20 0 BFADHN COC(=O)CN(CC(C)C)C[C@H](C)C(C)(C)C ZINC001321473773 987915208 /nfs/dbraw/zinc/91/52/08/987915208.db2.gz KJMQWUHWGYWPJV-LBPRGKRZSA-N 0 3 243.391 2.800 20 0 BFADHN COc1ccc(-c2ccccn2)cc1CN(C)C ZINC001321702022 987974079 /nfs/dbraw/zinc/97/40/79/987974079.db2.gz XZGMEJXRUXIDIE-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN Cc1cnc2ccccc2c1N[C@H]1CO[C@@H](C)C1 ZINC001118126305 988024776 /nfs/dbraw/zinc/02/47/76/988024776.db2.gz LCYVKSWFTPZAJE-NWDGAFQWSA-N 0 3 242.322 2.554 20 0 BFADHN CN[C@@H]1CCN1[C@@H]1CCC[C@@H](c2ccccc2)C1 ZINC001246894844 988665359 /nfs/dbraw/zinc/66/53/59/988665359.db2.gz CKICKJANZUVRGA-OAGGEKHMSA-N 0 3 244.382 2.964 20 0 BFADHN CN[C@H]1CCN1[C@H](C)Cc1ccccc1Cl ZINC001246896562 988668919 /nfs/dbraw/zinc/66/89/19/988668919.db2.gz HGTJDRWHCZCWPX-ZWNOBZJWSA-N 0 3 238.762 2.522 20 0 BFADHN CN[C@@H]1CCN1[C@@H](C)Cc1cccc(Cl)c1 ZINC001246897175 988670215 /nfs/dbraw/zinc/67/02/15/988670215.db2.gz IKTVSVDLWFNDDP-GWCFXTLKSA-N 0 3 238.762 2.522 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N1CC[C@H]1NC ZINC001246896517 988671437 /nfs/dbraw/zinc/67/14/37/988671437.db2.gz GMXQDSYUYZVMBV-XQQFMLRXSA-N 0 3 212.381 2.843 20 0 BFADHN CN[C@@H]1CCN1[C@H](C)Cc1ccc(Cl)cc1 ZINC001246899877 988675250 /nfs/dbraw/zinc/67/52/50/988675250.db2.gz QTRGLPJXRIKEAB-MFKMUULPSA-N 0 3 238.762 2.522 20 0 BFADHN CN[C@H]1CCN1[C@H](C)Cc1ccc(Cl)cc1 ZINC001246899880 988675422 /nfs/dbraw/zinc/67/54/22/988675422.db2.gz QTRGLPJXRIKEAB-ZWNOBZJWSA-N 0 3 238.762 2.522 20 0 BFADHN c1c2c(cc3[nH]c([C@H]4CCCCN4)nc13)CCC2 ZINC001247009918 988836486 /nfs/dbraw/zinc/83/64/86/988836486.db2.gz FDLPCSPBLYADKT-GFCCVEGCSA-N 0 3 241.338 2.866 20 0 BFADHN CCCCc1ccc2[nH]c([C@H](C)N)nc2c1 ZINC001247010683 988840323 /nfs/dbraw/zinc/84/03/23/988840323.db2.gz BLQZIGZVRWEZDG-VIFPVBQESA-N 0 3 217.316 2.925 20 0 BFADHN CCCCc1ccc2nc([C@H](C)N)[nH]c2c1 ZINC001247010683 988840327 /nfs/dbraw/zinc/84/03/27/988840327.db2.gz BLQZIGZVRWEZDG-VIFPVBQESA-N 0 3 217.316 2.925 20 0 BFADHN C[C@H](N)c1nc2c(cccc2C(F)(F)F)[nH]1 ZINC001247011739 988841020 /nfs/dbraw/zinc/84/10/20/988841020.db2.gz PRQKGJKWQIBFCU-YFKPBYRVSA-N 0 3 229.205 2.601 20 0 BFADHN CCCCc1ccc2[nH]c([C@@H](C)N)nc2c1 ZINC001247010682 988841782 /nfs/dbraw/zinc/84/17/82/988841782.db2.gz BLQZIGZVRWEZDG-SECBINFHSA-N 0 3 217.316 2.925 20 0 BFADHN CCCCc1ccc2nc([C@@H](C)N)[nH]c2c1 ZINC001247010682 988841785 /nfs/dbraw/zinc/84/17/85/988841785.db2.gz BLQZIGZVRWEZDG-SECBINFHSA-N 0 3 217.316 2.925 20 0 BFADHN C[C@H](N)c1nc2c(cc(F)cc2C(F)(F)F)[nH]1 ZINC001247013443 988841870 /nfs/dbraw/zinc/84/18/70/988841870.db2.gz XLGUKHONVRCAGJ-BYPYZUCNSA-N 0 3 247.195 2.741 20 0 BFADHN CCCC1CCN(Cc2nccnc2C)CC1 ZINC001119247917 988861940 /nfs/dbraw/zinc/86/19/40/988861940.db2.gz AEDUBNGTNKSYMC-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN Cc1nn(C)c(C)c1CN1CC(C)(C)C1(C)C ZINC001324744133 988958583 /nfs/dbraw/zinc/95/85/83/988958583.db2.gz WWOYMZIECSWLMK-UHFFFAOYSA-N 0 3 235.375 2.657 20 0 BFADHN CCN(Cc1cccn1C1CC1)C[C@@H]1CCCO1 ZINC001325111923 989086079 /nfs/dbraw/zinc/08/60/79/989086079.db2.gz PICBCDPQNARNOI-HNNXBMFYSA-N 0 3 248.370 2.824 20 0 BFADHN Cc1cc(CN2CCC[C@@]3(CCO3)C2)cs1 ZINC001248803544 989149574 /nfs/dbraw/zinc/14/95/74/989149574.db2.gz DNXKOWUWMDBADZ-CYBMUJFWSA-N 0 3 237.368 2.811 20 0 BFADHN Fc1ccc(CN2CC=CC2)c2[nH]ccc21 ZINC001248815485 989171788 /nfs/dbraw/zinc/17/17/88/989171788.db2.gz ZTUQSOLIKRYKHB-UHFFFAOYSA-N 0 3 216.259 2.679 20 0 BFADHN Cc1nc(CN(C)CC2CC2)ccc1Cl ZINC001248869601 989210523 /nfs/dbraw/zinc/21/05/23/989210523.db2.gz YUMKCRAMOOYSMQ-UHFFFAOYSA-N 0 3 224.735 2.885 20 0 BFADHN Cc1cc(CN(C)C2CCC(F)(F)CC2)[nH]n1 ZINC001325749673 989253925 /nfs/dbraw/zinc/25/39/25/989253925.db2.gz GAZRHOHDYHQVLG-UHFFFAOYSA-N 0 3 243.301 2.728 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]1C)c1cn2c(n1)CCCC2 ZINC001119635934 989269266 /nfs/dbraw/zinc/26/92/66/989269266.db2.gz GMIXKNYWVDJFCL-SDDRHHMPSA-N 0 3 233.359 2.669 20 0 BFADHN CC(C)(C)c1c[nH]c(CN2CCCC2)c1 ZINC001249476573 989288963 /nfs/dbraw/zinc/28/89/63/989288963.db2.gz XPLCUWPATKWTOR-UHFFFAOYSA-N 0 3 206.333 2.908 20 0 BFADHN CN(Cc1cc(F)cc2cc[nH]c21)[C@@H]1CCOC1 ZINC001249524573 989299514 /nfs/dbraw/zinc/29/95/14/989299514.db2.gz CPJLSONYDVTMGB-CYBMUJFWSA-N 0 3 248.301 2.528 20 0 BFADHN CC1(C)CC(CN[C@H](c2ncccn2)C2CC2)C1 ZINC001119843973 989438074 /nfs/dbraw/zinc/43/80/74/989438074.db2.gz VPEVIRCJDDWLAA-ZDUSSCGKSA-N 0 3 245.370 2.954 20 0 BFADHN CCC[C@H](CC)NC(=O)[C@@H](N)C1CCCCC1 ZINC001326302674 989475674 /nfs/dbraw/zinc/47/56/74/989475674.db2.gz IQGYJMDOCLNDRB-STQMWFEESA-N 0 3 240.391 2.589 20 0 BFADHN CCOc1ccc(CNC2CC(C)C2)o1 ZINC001119954868 989506456 /nfs/dbraw/zinc/50/64/56/989506456.db2.gz WEWDZKWULQUBSB-UHFFFAOYSA-N 0 3 209.289 2.566 20 0 BFADHN CC(C)c1ccccc1CNCC1(C)OCCO1 ZINC001326846957 989752816 /nfs/dbraw/zinc/75/28/16/989752816.db2.gz NWQOFQSZSPKTFY-UHFFFAOYSA-N 0 3 249.354 2.663 20 0 BFADHN CC1(C(=O)Nc2cccc3c2CNC3)CC=CC1 ZINC001122137506 990253115 /nfs/dbraw/zinc/25/31/15/990253115.db2.gz RMSDBTXUSUVKPW-UHFFFAOYSA-N 0 3 242.322 2.585 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)Nc1cncc(C(C)C)c1 ZINC001122370976 990358116 /nfs/dbraw/zinc/35/81/16/990358116.db2.gz WSEAIONDKCYWAZ-GWCFXTLKSA-N 0 3 249.358 2.517 20 0 BFADHN Cc1ccnc(N[C@H]2CCN(C3CCC3)C2)c1F ZINC001161176692 990836817 /nfs/dbraw/zinc/83/68/17/990836817.db2.gz BBASRNZJFWJOOE-NSHDSACASA-N 0 3 249.333 2.568 20 0 BFADHN C1=CCN(Cc2cccc(-c3cncnc3)c2)C1 ZINC001137763394 990848832 /nfs/dbraw/zinc/84/88/32/990848832.db2.gz OBENCIYSODVZRU-UHFFFAOYSA-N 0 3 237.306 2.515 20 0 BFADHN CN(C)Cc1cc(F)cc(C(F)(F)F)c1 ZINC001137859117 990862509 /nfs/dbraw/zinc/86/25/09/990862509.db2.gz JJPXZIHTSLKASB-UHFFFAOYSA-N 0 3 221.197 2.906 20 0 BFADHN CCC1CCN(Cc2cc(O)cc(O)c2)CC1 ZINC001138323814 990914095 /nfs/dbraw/zinc/91/40/95/990914095.db2.gz CEEKHHSQNSJRAT-UHFFFAOYSA-N 0 3 235.327 2.720 20 0 BFADHN Oc1cc(O)cc(CN2CCC3(CCCC3)C2)c1 ZINC001138329684 990918760 /nfs/dbraw/zinc/91/87/60/990918760.db2.gz DRIVFZIOMZZGJR-UHFFFAOYSA-N 0 3 247.338 2.864 20 0 BFADHN C[C@H]1CCN(Cc2cc(O)cc(O)c2)[C@@H](C)C1 ZINC001138329609 990919302 /nfs/dbraw/zinc/91/93/02/990919302.db2.gz ALVPXCLTXUTHHH-QWRGUYRKSA-N 0 3 235.327 2.718 20 0 BFADHN COc1ccc(F)cc1CN1C[C@H]2CC[C@@H]1C2 ZINC001138374947 990923922 /nfs/dbraw/zinc/92/39/22/990923922.db2.gz KUGIWIMSDKWFDY-GXFFZTMASA-N 0 3 235.302 2.819 20 0 BFADHN CC(C)=CCC[C@@H](C)n1ccc(CN)n1 ZINC001258079164 990976612 /nfs/dbraw/zinc/97/66/12/990976612.db2.gz LOKDKXYVKARTQO-LLVKDONJSA-N 0 3 207.321 2.649 20 0 BFADHN Cc1c2ccccc2[nH]c1CN(C)[C@H]1CCOC1 ZINC001138401644 990939829 /nfs/dbraw/zinc/93/98/29/990939829.db2.gz SEHMPSLRBDJZOF-LBPRGKRZSA-N 0 3 244.338 2.697 20 0 BFADHN CO[C@@H]1CCN(Cc2cc3cc(C)ccc3[nH]2)C1 ZINC001138419582 990945503 /nfs/dbraw/zinc/94/55/03/990945503.db2.gz VICOHDFJEQCMOJ-CQSZACIVSA-N 0 3 244.338 2.697 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2CC[C@@H]2C)cc1 ZINC001138447559 990947164 /nfs/dbraw/zinc/94/71/64/990947164.db2.gz WHAMFTOSTBMULY-LBPRGKRZSA-N 0 3 241.338 2.979 20 0 BFADHN CC(=O)CN(C)[C@H](C)C[C@H](C)CC(C)C ZINC001258062310 990955976 /nfs/dbraw/zinc/95/59/76/990955976.db2.gz JRVRUHPKFDQGAG-VXGBXAGGSA-N 0 3 213.365 2.968 20 0 BFADHN COc1ccc(C)cc1CN1CC[C@H]1C ZINC001138485225 990957606 /nfs/dbraw/zinc/95/76/06/990957606.db2.gz KQXMXTGLNYIFOH-LLVKDONJSA-N 0 3 205.301 2.598 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2cc(C)co2)C1(C)C ZINC001308286947 990957829 /nfs/dbraw/zinc/95/78/29/990957829.db2.gz XCMWNONBWZZGSE-GXTWGEPZSA-N 0 3 237.343 2.881 20 0 BFADHN CC(C)C[C@H](C)C[C@@H](C)N1CC(C)(O)C1 ZINC001258064207 990961497 /nfs/dbraw/zinc/96/14/97/990961497.db2.gz OYKGQSBQVSCZHB-NWDGAFQWSA-N 0 3 213.365 2.514 20 0 BFADHN CCn1ccc(CNCC2(CC)CCCC2)n1 ZINC001308330135 990970374 /nfs/dbraw/zinc/97/03/74/990970374.db2.gz DUDVICUMQJNULV-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN COc1cccc(CN[C@@H](C)c2ccc[nH]2)c1 ZINC001308359119 990974009 /nfs/dbraw/zinc/97/40/09/990974009.db2.gz UNCDMHHIKCKUBK-NSHDSACASA-N 0 3 230.311 2.874 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CCC2(CC2)C1 ZINC001138577066 990974271 /nfs/dbraw/zinc/97/42/71/990974271.db2.gz MZVZLVULKYGUEM-UHFFFAOYSA-N 0 3 233.359 2.693 20 0 BFADHN C[C@@H]1CCN1Cc1ccccc1N1CCCC1 ZINC001138609629 990977656 /nfs/dbraw/zinc/97/76/56/990977656.db2.gz AKMWAOIEXVWNMQ-CYBMUJFWSA-N 0 3 230.355 2.881 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CCOC[C@H]1C ZINC001258085284 990989320 /nfs/dbraw/zinc/98/93/20/990989320.db2.gz HJBHDHOZCWOFOY-CHWSQXEVSA-N 0 3 211.349 2.842 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CCO[C@@H](C)C1 ZINC001258088722 990996612 /nfs/dbraw/zinc/99/66/12/990996612.db2.gz OAMHDARDAOWRIE-OLZOCXBDSA-N 0 3 211.349 2.842 20 0 BFADHN CC(C)=CCC[C@H](C)N(C1CC1)C1COC1 ZINC001258099367 991010066 /nfs/dbraw/zinc/01/00/66/991010066.db2.gz LHKMWAKDFJIXNH-LBPRGKRZSA-N 0 3 223.360 2.985 20 0 BFADHN C[C@H]1CC(NC2(c3cnccn3)CC2)C[C@H](C)C1 ZINC001258157276 991044489 /nfs/dbraw/zinc/04/44/89/991044489.db2.gz ALOBTRKVDZICES-VXGBXAGGSA-N 0 3 245.370 2.880 20 0 BFADHN Cc1csc2nc(C)c(CN(C)CC3CC3)n12 ZINC001308580689 991049224 /nfs/dbraw/zinc/04/92/24/991049224.db2.gz XKSIOKGUBQAWQM-UHFFFAOYSA-N 0 3 249.383 2.854 20 0 BFADHN CCCN(CCOC)Cc1ccnc(Cl)c1 ZINC001138818335 991067405 /nfs/dbraw/zinc/06/74/05/991067405.db2.gz KRVPIQGCJPTCAI-UHFFFAOYSA-N 0 3 242.750 2.593 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)[C@H](C)C2)nn1C ZINC001138826508 991076344 /nfs/dbraw/zinc/07/63/44/991076344.db2.gz BKCZIYBOJQPISI-NWDGAFQWSA-N 0 3 235.375 2.597 20 0 BFADHN CCCCN(CCC)Cc1cc(C)n(C)n1 ZINC001138827788 991080479 /nfs/dbraw/zinc/08/04/79/991080479.db2.gz FQCXNGVXLABULI-UHFFFAOYSA-N 0 3 223.364 2.741 20 0 BFADHN C[C@H](Cc1ccco1)N[C@@H](C)c1ccno1 ZINC001258184653 991088711 /nfs/dbraw/zinc/08/87/11/991088711.db2.gz HSLQEEFOYBHFGU-ZJUUUORDSA-N 0 3 220.272 2.549 20 0 BFADHN Cc1c[nH]c(CN2CCC[C@H](C)[C@H](C)C2)n1 ZINC001138935094 991098101 /nfs/dbraw/zinc/09/81/01/991098101.db2.gz BUGBNGCJYGXCKS-WDEREUQCSA-N 0 3 221.348 2.586 20 0 BFADHN C[C@@H](Cc1ccco1)N1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001258188869 991099893 /nfs/dbraw/zinc/09/98/93/991099893.db2.gz NSYWSHIMLUOXHT-XRRVDJEJSA-N 0 3 223.291 2.500 20 0 BFADHN CCCN(Cc1nc(C)c[nH]1)[C@@H](C)CC ZINC001138935199 991106122 /nfs/dbraw/zinc/10/61/22/991106122.db2.gz GWCOMGKAOYFPJE-NSHDSACASA-N 0 3 209.337 2.729 20 0 BFADHN CC(C)Oc1cccc(CN2CC=CC2)c1 ZINC001138985562 991118485 /nfs/dbraw/zinc/11/84/85/991118485.db2.gz TYMSDIXKNVQGFW-UHFFFAOYSA-N 0 3 217.312 2.846 20 0 BFADHN CC[C@@H](C)[C@H](C(=O)OC)N(C)CC(C)(C)C ZINC001559518167 991134268 /nfs/dbraw/zinc/13/42/68/991134268.db2.gz MGNGXYZADSHPON-GHMZBOCLSA-N 0 3 229.364 2.552 20 0 BFADHN CSCC[C@H](C)N1CC(c2cccnc2)C1 ZINC001258225663 991161791 /nfs/dbraw/zinc/16/17/91/991161791.db2.gz IBXASOLPZWXFEN-NSHDSACASA-N 0 3 236.384 2.622 20 0 BFADHN C[C@@H]1CN(Cc2cccc3c2ccn3C)C[C@@H]1F ZINC001139158015 991175616 /nfs/dbraw/zinc/17/56/16/991175616.db2.gz WMBIYEAHWXYEJX-RISCZKNCSA-N 0 3 246.329 2.968 20 0 BFADHN Cc1cc(CN)nn1C1CCCCCCC1 ZINC001258233807 991175312 /nfs/dbraw/zinc/17/53/12/991175312.db2.gz XXHUBXNRFJXVLH-UHFFFAOYSA-N 0 3 221.348 2.936 20 0 BFADHN CCN(CC(=O)OC)C1CCCCCCC1 ZINC001258242428 991186703 /nfs/dbraw/zinc/18/67/03/991186703.db2.gz XODDNGATZGWRKP-UHFFFAOYSA-N 0 3 227.348 2.594 20 0 BFADHN CCOC1CN([C@@H]2CCCC(C)(C)C2)C1 ZINC001258285876 991220776 /nfs/dbraw/zinc/22/07/76/991220776.db2.gz OGCQZWVKGVXPJK-LLVKDONJSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@@H](NCC1=NOCC1)c1ccc(C)cc1 ZINC001559711524 991223775 /nfs/dbraw/zinc/22/37/75/991223775.db2.gz LOZZMTDJHQOBPG-CQSZACIVSA-N 0 3 232.327 2.812 20 0 BFADHN CC[C@H]1Cc2ccccc2CN1CC1=NOCC1 ZINC001559728395 991228459 /nfs/dbraw/zinc/22/84/59/991228459.db2.gz PTBCSRXAPKKLNR-HNNXBMFYSA-N 0 3 244.338 2.600 20 0 BFADHN CCN([C@@H](C)C(=O)OC)[C@@H]1CCCC(C)(C)C1 ZINC001258298087 991236576 /nfs/dbraw/zinc/23/65/76/991236576.db2.gz KGXHJSSPFFKGFT-NWDGAFQWSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@]1(F)CCCCN(Cc2ccc(=O)[nH]c2)C1 ZINC001139463537 991248067 /nfs/dbraw/zinc/24/80/67/991248067.db2.gz AMQTTZPQMDNENM-ZDUSSCGKSA-N 0 3 238.306 2.501 20 0 BFADHN CC(C)[C@@H]1C[C@H]1NCc1cc(C2CC2)no1 ZINC001308987391 991253973 /nfs/dbraw/zinc/25/39/73/991253973.db2.gz LGPZQVXKVXGWAV-WCQYABFASA-N 0 3 220.316 2.686 20 0 BFADHN CN(Cc1cn2c(cccc2F)n1)CC(C)(C)C ZINC001139570750 991271452 /nfs/dbraw/zinc/27/14/52/991271452.db2.gz YVFNPPABFNKUNY-UHFFFAOYSA-N 0 3 249.333 2.951 20 0 BFADHN CC[C@H](C)N(C)Cc1cn2c(cccc2F)n1 ZINC001139567961 991275426 /nfs/dbraw/zinc/27/54/26/991275426.db2.gz GAXUKDJIHPDBJP-JTQLQIEISA-N 0 3 235.306 2.704 20 0 BFADHN CN(Cc1cn2c(cccc2F)n1)C(C)(C)C ZINC001139567359 991275896 /nfs/dbraw/zinc/27/58/96/991275896.db2.gz RMHVQLRQSWUPMN-UHFFFAOYSA-N 0 3 235.306 2.704 20 0 BFADHN Cc1nc2cc(C)ccn2c1CN1CCCCC1 ZINC001139673789 991309703 /nfs/dbraw/zinc/30/97/03/991309703.db2.gz DYYSDSRKJMACRH-UHFFFAOYSA-N 0 3 243.354 2.937 20 0 BFADHN Cc1cc(O)cc(C)c1CN1CC2(CSC2)C1 ZINC001139716881 991339901 /nfs/dbraw/zinc/33/99/01/991339901.db2.gz JYANDNVMALXHMM-UHFFFAOYSA-N 0 3 249.379 2.558 20 0 BFADHN CCC1(CN(Cc2ccco2)C2CC2)COC1 ZINC001202965273 991348612 /nfs/dbraw/zinc/34/86/12/991348612.db2.gz XSSXWFGCLBJBMQ-UHFFFAOYSA-N 0 3 235.327 2.671 20 0 BFADHN c1cnc2cc(CN3CCC4(CC4)C3)cnc2c1 ZINC001139738534 991352393 /nfs/dbraw/zinc/35/23/93/991352393.db2.gz RSERBOACCPBZQD-UHFFFAOYSA-N 0 3 239.322 2.616 20 0 BFADHN CCCCC[C@H](CC)n1cncc1CN ZINC001258430054 991359569 /nfs/dbraw/zinc/35/95/69/991359569.db2.gz IATJBMZIURCASA-NSHDSACASA-N 0 3 209.337 2.873 20 0 BFADHN O[C@@H]1CCCN(Cc2ccccc2C(F)F)C1 ZINC001139796727 991371002 /nfs/dbraw/zinc/37/10/02/991371002.db2.gz WFZCXPHDVCLCEK-LLVKDONJSA-N 0 3 241.281 2.581 20 0 BFADHN CO[C@@H]1CCN(Cc2ccccc2C(F)F)C1 ZINC001139795401 991371792 /nfs/dbraw/zinc/37/17/92/991371792.db2.gz GZHUWVNRPUIYMG-LLVKDONJSA-N 0 3 241.281 2.845 20 0 BFADHN CO[C@H]1CCN(Cc2ccccc2C(F)F)C1 ZINC001139795403 991372906 /nfs/dbraw/zinc/37/29/06/991372906.db2.gz GZHUWVNRPUIYMG-NSHDSACASA-N 0 3 241.281 2.845 20 0 BFADHN C[C@@H]1C[C@H](O)CN1Cc1ccccc1C(F)F ZINC001139796456 991372990 /nfs/dbraw/zinc/37/29/90/991372990.db2.gz YGDGOQGWNFQFQY-KOLCDFICSA-N 0 3 241.281 2.579 20 0 BFADHN CN(CCc1ccccc1)Cc1ccnc(F)c1 ZINC001139831139 991384105 /nfs/dbraw/zinc/38/41/05/991384105.db2.gz VVFBVYQXAGXWAX-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN COCc1ccc(CN(C)CCSC)s1 ZINC001139831309 991386442 /nfs/dbraw/zinc/38/64/42/991386442.db2.gz LMCOXPDSQYKDMK-UHFFFAOYSA-N 0 3 245.413 2.689 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1ccnc(F)c1 ZINC001139834064 991389113 /nfs/dbraw/zinc/38/91/13/991389113.db2.gz YRDPICYNOTYKND-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CCCN(Cc2ccnc(F)c2)[C@@H]1C ZINC001139834061 991391908 /nfs/dbraw/zinc/39/19/08/991391908.db2.gz YRDPICYNOTYKND-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN CCCCC[C@@H](CC)N1CC(N(C)C(C)=O)C1 ZINC001258446956 991392159 /nfs/dbraw/zinc/39/21/59/991392159.db2.gz WBZQQGCFNLFXGS-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1ccc(CN2CCC3(CCCO3)CC2)nc1 ZINC001139838736 991393259 /nfs/dbraw/zinc/39/32/59/991393259.db2.gz PCTBSWZKZVEYDC-UHFFFAOYSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1nc([C@@H]2CCCN2CC2CC2)[nH]c1C ZINC001560137919 991395198 /nfs/dbraw/zinc/39/51/98/991395198.db2.gz LXHZTMIKJZZMIP-LBPRGKRZSA-N 0 3 219.332 2.573 20 0 BFADHN COc1ccccc1C(=O)CN[C@@H](C)CC1CC1 ZINC001258451329 991401236 /nfs/dbraw/zinc/40/12/36/991401236.db2.gz JXWQWQXGAOFGSI-NSHDSACASA-N 0 3 247.338 2.656 20 0 BFADHN c1ccc2nc(CN[C@H]3C=CCCC3)cnc2c1 ZINC001329155635 991406918 /nfs/dbraw/zinc/40/69/18/991406918.db2.gz AXBNWKPEKGFUDN-LBPRGKRZSA-N 0 3 239.322 2.828 20 0 BFADHN Cc1ccc(CN2CC[C@@](C)(CF)C2)cn1 ZINC001139929309 991415988 /nfs/dbraw/zinc/41/59/88/991415988.db2.gz VNAQHXYIWXVFLX-ZDUSSCGKSA-N 0 3 222.307 2.572 20 0 BFADHN c1nc(CN2CCC[C@@H](C3CCCC3)C2)c[nH]1 ZINC001560226198 991427907 /nfs/dbraw/zinc/42/79/07/991427907.db2.gz MUTOZIWWGYIFPD-CYBMUJFWSA-N 0 3 233.359 2.812 20 0 BFADHN C[C@@H](CC1CC1)N1CCc2ncsc2C1 ZINC001258467847 991428740 /nfs/dbraw/zinc/42/87/40/991428740.db2.gz OFHPEXSKYCRPEN-VIFPVBQESA-N 0 3 222.357 2.690 20 0 BFADHN COC(=O)CN([C@@H](C)CC1CC1)C1CCCC1 ZINC001258472509 991439633 /nfs/dbraw/zinc/43/96/33/991439633.db2.gz JJTFLVCYVPSRJC-NSHDSACASA-N 0 3 239.359 2.593 20 0 BFADHN CCn1cnc(Cl)c1CNC1CCCC1 ZINC001560249562 991446447 /nfs/dbraw/zinc/44/64/47/991446447.db2.gz SDNCBLXHAGWODT-UHFFFAOYSA-N 0 3 227.739 2.589 20 0 BFADHN CC(C)(CCNCc1cc[nH]n1)c1ccccc1 ZINC001560290292 991447890 /nfs/dbraw/zinc/44/78/90/991447890.db2.gz SESVADSYQQCJRX-UHFFFAOYSA-N 0 3 243.354 2.867 20 0 BFADHN CCOC(=O)CC[C@@H](C)N1CCCC[C@H](F)C1 ZINC001258490517 991463468 /nfs/dbraw/zinc/46/34/68/991463468.db2.gz YFVHXOJGLLSCLF-NEPJUHHUSA-N 0 3 245.338 2.542 20 0 BFADHN COc1nsc(CN[C@@H]2CC[C@@H]2C)c1Cl ZINC001560466201 991480698 /nfs/dbraw/zinc/48/06/98/991480698.db2.gz YWAKJCMLFLRESU-NKWVEPMBSA-N 0 3 246.763 2.693 20 0 BFADHN CC(C)CN(C)Cc1cc(O)ccc1F ZINC001140050431 991502239 /nfs/dbraw/zinc/50/22/39/991502239.db2.gz KLMLSRQKMMQXFC-UHFFFAOYSA-N 0 3 211.280 2.619 20 0 BFADHN CC[C@H]1CCCN1Cc1cc(O)ccc1F ZINC001140049201 991497836 /nfs/dbraw/zinc/49/78/36/991497836.db2.gz ZADUFZYHUVLDEE-NSHDSACASA-N 0 3 223.291 2.906 20 0 BFADHN Fc1cccc(CN(CC2CC2)C2CC2)n1 ZINC001140135675 991538792 /nfs/dbraw/zinc/53/87/92/991538792.db2.gz NCTULHSEIPERAJ-UHFFFAOYSA-N 0 3 220.291 2.595 20 0 BFADHN CCn1ccc(CN2C[C@H](C)CCC[C@H]2C)n1 ZINC001560687477 991559292 /nfs/dbraw/zinc/55/92/92/991559292.db2.gz MBNIGROQRDCCHF-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@@H](Cc1cccnc1)N1CCCC[C@H](F)C1 ZINC001258622641 991560162 /nfs/dbraw/zinc/56/01/62/991560162.db2.gz QAZYXUGPHMYWIY-JSGCOSHPSA-N 0 3 236.334 2.837 20 0 BFADHN CN(Cc1cn(C2CC2)cn1)C1CCCCC1 ZINC001560714718 991573145 /nfs/dbraw/zinc/57/31/45/991573145.db2.gz XQESOHCONUEUIZ-UHFFFAOYSA-N 0 3 233.359 2.983 20 0 BFADHN CCC[C@@H](Cc1ccccc1)n1cncc1CN ZINC001258649482 991583253 /nfs/dbraw/zinc/58/32/53/991583253.db2.gz INECNHNLWXMGNB-AWEZNQCLSA-N 0 3 243.354 2.926 20 0 BFADHN Cc1cc(CN2CCC=C(C)C2)cc(C)n1 ZINC001560790480 991584875 /nfs/dbraw/zinc/58/48/75/991584875.db2.gz FUQXSCWFPPCDSC-UHFFFAOYSA-N 0 3 216.328 2.850 20 0 BFADHN Fc1cccnc1CN[C@H]1CC[C@@H]2CCC[C@@H]21 ZINC001560804499 991607610 /nfs/dbraw/zinc/60/76/10/991607610.db2.gz FIVSJUYXHRCHJD-GVXVVHGQSA-N 0 3 234.318 2.889 20 0 BFADHN CCCCC[C@H](CCC)N1CC(=O)N[C@H](C)C1 ZINC001258668560 991611069 /nfs/dbraw/zinc/61/10/69/991611069.db2.gz WMBRYZVZGNMSKG-OLZOCXBDSA-N 0 3 240.391 2.556 20 0 BFADHN CC[C@@H]1CN(CC)CCN1Cc1cc(C)co1 ZINC001560831527 991613895 /nfs/dbraw/zinc/61/38/95/991613895.db2.gz LGEYIPKZZFSRKA-CYBMUJFWSA-N 0 3 236.359 2.504 20 0 BFADHN CCOC1CN(C[C@H]2C(C)=CCC[C@@H]2C)C1 ZINC001560874838 991631995 /nfs/dbraw/zinc/63/19/95/991631995.db2.gz PZGMBLPXGIZNLY-JSGCOSHPSA-N 0 3 223.360 2.700 20 0 BFADHN CCOC1CN(C[C@@H]2C(C)=CCC[C@H]2C)C1 ZINC001560874840 991633328 /nfs/dbraw/zinc/63/33/28/991633328.db2.gz PZGMBLPXGIZNLY-TZMCWYRMSA-N 0 3 223.360 2.700 20 0 BFADHN COc1cc(F)cc(CN2CCCC23CC3)c1 ZINC001140477676 991650742 /nfs/dbraw/zinc/65/07/42/991650742.db2.gz JQCOHMFUFUMXCN-UHFFFAOYSA-N 0 3 235.302 2.963 20 0 BFADHN COc1cncc(CN2C[C@H]3CCCC[C@H]32)c1C ZINC001560918948 991651524 /nfs/dbraw/zinc/65/15/24/991651524.db2.gz NBYRFVBFIOOFBN-TZMCWYRMSA-N 0 3 246.354 2.773 20 0 BFADHN CC(C)(F)CNCc1cccn1C(F)F ZINC001560962151 991670111 /nfs/dbraw/zinc/67/01/11/991670111.db2.gz SUAYFUSNCHEEGT-UHFFFAOYSA-N 0 3 220.238 2.721 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1cc(C)ccn1)OC ZINC001560989863 991680072 /nfs/dbraw/zinc/68/00/72/991680072.db2.gz DKCSYXPYAOGQHH-GXTWGEPZSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@@H](Cc1cccc(Cl)c1)N[C@@H]1C[C@H]1F ZINC001258739493 991689759 /nfs/dbraw/zinc/68/97/59/991689759.db2.gz CGDSTLKJTSIFFB-XXILOJSOSA-N 0 3 227.710 2.971 20 0 BFADHN CCCC[C@@H](CCC)N(C)[C@@H](C)C(=O)OC ZINC001258767206 991692650 /nfs/dbraw/zinc/69/26/50/991692650.db2.gz PLMWCJHSQDQGCA-NWDGAFQWSA-N 0 3 229.364 2.839 20 0 BFADHN Cc1cccc2c1CCN(CCOCC1CC1)C2 ZINC001318687589 991698679 /nfs/dbraw/zinc/69/86/79/991698679.db2.gz MLAFRORJLXOOFE-UHFFFAOYSA-N 0 3 245.366 2.780 20 0 BFADHN CCCC[C@H](CCC)N1CC(OCCOC)C1 ZINC001258764239 991719896 /nfs/dbraw/zinc/71/98/96/991719896.db2.gz MOXBFTDSTKLUKX-ZDUSSCGKSA-N 0 3 243.391 2.693 20 0 BFADHN CC[C@H](C)C[C@H](CC)n1ncc2c1CCNC2 ZINC001258789683 991772410 /nfs/dbraw/zinc/77/24/10/991772410.db2.gz CUCAMSIKGGSZRJ-AAEUAGOBSA-N 0 3 235.375 2.916 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N1CCc2nn(C)cc2C1 ZINC001258794321 991778202 /nfs/dbraw/zinc/77/82/02/991778202.db2.gz KOTCPRHULGANDQ-GXTWGEPZSA-N 0 3 249.402 2.993 20 0 BFADHN CN(Cc1ccnn1CC1CC1)CC1CCC1 ZINC001474734673 991783337 /nfs/dbraw/zinc/78/33/37/991783337.db2.gz CETOZYIQBQSQBP-UHFFFAOYSA-N 0 3 233.359 2.525 20 0 BFADHN CC[C@@H](C)C[C@H](CC)N1CCc2c(cnn2C)C1 ZINC001258796977 991789673 /nfs/dbraw/zinc/78/96/73/991789673.db2.gz IGMCZPCBUOMLHI-OCCSQVGLSA-N 0 3 249.402 2.993 20 0 BFADHN CC[C@@H](C)C[C@H](CC)N(CC)CC(=O)OC ZINC001258800755 991799459 /nfs/dbraw/zinc/79/94/59/991799459.db2.gz VGDXNWURWKQLOR-NEPJUHHUSA-N 0 3 229.364 2.696 20 0 BFADHN C[C@@H]1CCC[C@H](N2CCC(=O)[C@@H](F)CC2)C1 ZINC001258819197 991835069 /nfs/dbraw/zinc/83/50/69/991835069.db2.gz PCAALUHCYGXUGX-WOPDTQHZSA-N 0 3 227.323 2.568 20 0 BFADHN C[C@@H]1CCC[C@H](N2CC3(C2)CCCCO3)C1 ZINC001258819154 991837312 /nfs/dbraw/zinc/83/73/12/991837312.db2.gz OVTOZILWBVDPRK-OLZOCXBDSA-N 0 3 223.360 2.820 20 0 BFADHN C[C@@H]1CCC[C@H](N2CCC(=O)[C@H](F)CC2)C1 ZINC001258819192 991837892 /nfs/dbraw/zinc/83/78/92/991837892.db2.gz PCAALUHCYGXUGX-GRYCIOLGSA-N 0 3 227.323 2.568 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1CC(=O)OC(C)C ZINC001319320805 991839576 /nfs/dbraw/zinc/83/95/76/991839576.db2.gz NOINDGOVGSZNJA-QWHCGFSZSA-N 0 3 241.375 2.839 20 0 BFADHN Cc1cnc2ccccc2c1N(C)CC[C@H](C)O ZINC001319314032 991839713 /nfs/dbraw/zinc/83/97/13/991839713.db2.gz LXSVTKURNHMYGX-LBPRGKRZSA-N 0 3 244.338 2.750 20 0 BFADHN CCCOC1CN([C@H]2CCC[C@@H](C)C2)C1 ZINC001258823388 991846235 /nfs/dbraw/zinc/84/62/35/991846235.db2.gz LAISARFGRNMHIM-NEPJUHHUSA-N 0 3 211.349 2.676 20 0 BFADHN CCC[C@H]1[C@H](C)CCCN1CC(=O)OC(C)C ZINC001319380814 991851913 /nfs/dbraw/zinc/85/19/13/991851913.db2.gz MJRFMCFBJIMJGK-OLZOCXBDSA-N 0 3 241.375 2.839 20 0 BFADHN CC[C@@H](Cc1ccccc1)N1CCOCC12CC2 ZINC001258852500 991884807 /nfs/dbraw/zinc/88/48/07/991884807.db2.gz NKLUSDGGSWDGLS-HNNXBMFYSA-N 0 3 245.366 2.873 20 0 BFADHN COc1ccccc1C[C@H](C)N1CC(C)(C)C1 ZINC001258886849 991887527 /nfs/dbraw/zinc/88/75/27/991887527.db2.gz VINICEFJXCVKKX-LBPRGKRZSA-N 0 3 233.355 2.968 20 0 BFADHN CCN(CCc1cn[nH]c1)Cc1ccccc1F ZINC001261297749 992259641 /nfs/dbraw/zinc/25/96/41/992259641.db2.gz NDPDEQFUTQPSFM-UHFFFAOYSA-N 0 3 247.317 2.613 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@H]3CCCC[C@@H]3C2)n[nH]1 ZINC001261359462 992272831 /nfs/dbraw/zinc/27/28/31/992272831.db2.gz FUIVDVAUKBKBEI-CYZMBNFOSA-N 0 3 248.374 2.686 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3CCCC[C@H]3C2)nn1 ZINC001261367153 992277571 /nfs/dbraw/zinc/27/75/71/992277571.db2.gz UTUSFRGFSVUVRA-KBPBESRZSA-N 0 3 245.370 2.797 20 0 BFADHN Fc1ccc([C@@H]2CCN2CC[C@H]2CCOC2)cc1 ZINC001261640307 992436127 /nfs/dbraw/zinc/43/61/27/992436127.db2.gz CAFGSKKRYPYWMH-WFASDCNBSA-N 0 3 249.329 2.999 20 0 BFADHN C[C@@H]1CCN(Cc2cccn2C2CC2)C[C@H](C)O1 ZINC001261688432 992464463 /nfs/dbraw/zinc/46/44/63/992464463.db2.gz NMUUSLLVYXZMQJ-OLZOCXBDSA-N 0 3 248.370 2.822 20 0 BFADHN COC1(CN[C@@H]2c3ccccc3O[C@@H]2C)CCC1 ZINC001262010603 992594649 /nfs/dbraw/zinc/59/46/49/992594649.db2.gz KERGPVJHLWDFCN-RISCZKNCSA-N 0 3 247.338 2.667 20 0 BFADHN CS[C@@H]1CC[C@@H](N(C)Cc2ocnc2C)C1 ZINC001262109039 992680936 /nfs/dbraw/zinc/68/09/36/992680936.db2.gz HRJTUJHFBYYUKM-GHMZBOCLSA-N 0 3 240.372 2.699 20 0 BFADHN COCC1=CCN(CCCC(F)(F)F)CC1 ZINC001262354081 992856570 /nfs/dbraw/zinc/85/65/70/992856570.db2.gz XWFHRXBLUQSHPL-UHFFFAOYSA-N 0 3 237.265 2.607 20 0 BFADHN Cc1ccnc(-c2ccc(CN(C)C)s2)n1 ZINC001262487984 992893112 /nfs/dbraw/zinc/89/31/12/992893112.db2.gz MIINSBZKPQUMHM-UHFFFAOYSA-N 0 3 233.340 2.575 20 0 BFADHN COc1ccc(CNC/C=C\C2CC2)c(OC)c1 ZINC000379150116 993223958 /nfs/dbraw/zinc/22/39/58/993223958.db2.gz BPAOMGMUUSVCRX-ARJAWSKDSA-N 0 3 247.338 2.760 20 0 BFADHN CCC(C)(C)c1ccc(NC(=O)CNC)cc1 ZINC001576947962 993461915 /nfs/dbraw/zinc/46/19/15/993461915.db2.gz IONHWIPTKLEZTL-UHFFFAOYSA-N 0 3 234.343 2.532 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC001576981181 993477682 /nfs/dbraw/zinc/47/76/82/993477682.db2.gz NIBUGABGECOBMU-NDBYEHHHSA-N 0 3 240.391 2.539 20 0 BFADHN CCCC[C@@H](N)C(=O)N(C)c1cccc(CC)c1 ZINC001577400747 993642404 /nfs/dbraw/zinc/64/24/04/993642404.db2.gz HWAGYHJVVWQRBU-CQSZACIVSA-N 0 3 248.370 2.729 20 0 BFADHN Cc1nc2c(n1[C@H]1C[C@H](C)C[C@H](C)C1)CNCC2 ZINC000385416048 993660253 /nfs/dbraw/zinc/66/02/53/993660253.db2.gz VIVPRSVVJCNSCZ-PJXYFTJBSA-N 0 3 247.386 2.834 20 0 BFADHN CCC1CCC(n2c(C)nc3c2CNCC3)CC1 ZINC000386512530 993688270 /nfs/dbraw/zinc/68/82/70/993688270.db2.gz MMGDSMYEFYOYQH-UHFFFAOYSA-N 0 3 247.386 2.979 20 0 BFADHN Cn1ccc2cc(-c3ccnc(CN)c3)ccc21 ZINC000606592330 993713567 /nfs/dbraw/zinc/71/35/67/993713567.db2.gz ZUJITCMAHYAGPI-UHFFFAOYSA-N 0 3 237.306 2.699 20 0 BFADHN COc1ccc(CN(C)C)cc1C(F)(F)F ZINC000390067722 993777715 /nfs/dbraw/zinc/77/77/15/993777715.db2.gz DOXABVWLNICSRJ-UHFFFAOYSA-N 0 3 233.233 2.776 20 0 BFADHN Cc1cnccc1Nc1ccc(N)cc1Cl ZINC000700407256 993835091 /nfs/dbraw/zinc/83/50/91/993835091.db2.gz PCPZLZSZKNQUJV-UHFFFAOYSA-N 0 3 233.702 2.791 20 0 BFADHN CCCCCCNC(=O)C1(N(C)C)CCCC1 ZINC001290537329 994542028 /nfs/dbraw/zinc/54/20/28/994542028.db2.gz VROUTRZFZNYEEW-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN C[C@@H](O)CN(Cc1ccccc1F)C1CCC1 ZINC001307579126 995409006 /nfs/dbraw/zinc/40/90/06/995409006.db2.gz IBOUOQSDMWVORT-LLVKDONJSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@H]1CCCN(CCSC(F)(F)F)C1 ZINC001307724983 995443156 /nfs/dbraw/zinc/44/31/56/995443156.db2.gz IDZJKANSQZGQFP-QMMMGPOBSA-N 0 3 227.295 2.971 20 0 BFADHN CCCN(CC1CC(C)C1)[C@H](C)C(=O)OCC ZINC001307737099 995448852 /nfs/dbraw/zinc/44/88/52/995448852.db2.gz OMCZDYVUULSJKJ-OTTFEQOBSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H](CN1CCO[C@@H](C)C1)CC(C)(C)C ZINC001307807408 995470038 /nfs/dbraw/zinc/47/00/38/995470038.db2.gz QVIJZYNRHAYVBO-RYUDHWBXSA-N 0 3 213.365 2.779 20 0 BFADHN CCCCOC(=O)[C@H](C)N1C[C@H](C)[C@@H](C)[C@H]1C ZINC001307852579 995486640 /nfs/dbraw/zinc/48/66/40/995486640.db2.gz IEBYSUNTYOCRHU-LOWDOPEQSA-N 0 3 241.375 2.695 20 0 BFADHN CC[C@@H]1C[C@H]1CN1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC001307947501 995506860 /nfs/dbraw/zinc/50/68/60/995506860.db2.gz UAXPYACMEAVZNA-HNCHTBHHSA-N 0 3 247.329 2.777 20 0 BFADHN c1csc(CNCc2cccc3nccn32)c1 ZINC001308176135 995592567 /nfs/dbraw/zinc/59/25/67/995592567.db2.gz GWXZNUPPVADZOP-UHFFFAOYSA-N 0 3 243.335 2.686 20 0 BFADHN CC(C)(NC[Si](C)(C)C)c1nccs1 ZINC000172353063 192025138 /nfs/dbraw/zinc/02/51/38/192025138.db2.gz FQWFVCCNHFDJCO-UHFFFAOYSA-N 0 3 228.437 2.845 20 0 BFADHN CCC(C)(CC)NCc1cc(C(=O)OC)co1 ZINC000086239324 168311668 /nfs/dbraw/zinc/31/16/68/168311668.db2.gz CUSDBBDNYUCPHI-UHFFFAOYSA-N 0 3 239.315 2.735 20 0 BFADHN CCC(C)(CC)NCc1ncc(Cl)n1C ZINC000228125351 168311681 /nfs/dbraw/zinc/31/16/81/168311681.db2.gz YRFZGJCPRONNIE-UHFFFAOYSA-N 0 3 229.755 2.742 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1nccn1C(F)F ZINC000268373053 398137533 /nfs/dbraw/zinc/13/75/33/398137533.db2.gz WLDNDAZZZIVTEQ-UWVGGRQHSA-N 0 3 243.301 2.947 20 0 BFADHN CCc1ccc(CNC[C@H](OC)C(C)C)o1 ZINC000268442637 398138090 /nfs/dbraw/zinc/13/80/90/398138090.db2.gz SFWQVSPAPJHSGZ-ZDUSSCGKSA-N 0 3 225.332 2.603 20 0 BFADHN OC[C@@H]1CCC[C@@H]1NCc1cscc1Cl ZINC000389841584 398140787 /nfs/dbraw/zinc/14/07/87/398140787.db2.gz JWGXMFYERZUAJP-KWQFWETISA-N 0 3 245.775 2.652 20 0 BFADHN CC[C@H](N[C@@H](C)CCO)c1ccc(F)cc1F ZINC000151956648 136212279 /nfs/dbraw/zinc/21/22/79/136212279.db2.gz YIDAPTBFUZTENE-ZANVPECISA-N 0 3 243.297 2.776 20 0 BFADHN CC(C)[C@@H]1C[C@H](NCc2ccoc2)CCO1 ZINC000161530164 349461309 /nfs/dbraw/zinc/46/13/09/349461309.db2.gz YLOVROXLPLUIPU-OLZOCXBDSA-N 0 3 223.316 2.573 20 0 BFADHN CCc1cc(N2CCC[C@H](OC)CC2)ccn1 ZINC000649323376 398144756 /nfs/dbraw/zinc/14/47/56/398144756.db2.gz HFIMWDNLUFCSAF-AWEZNQCLSA-N 0 3 234.343 2.649 20 0 BFADHN CCC(CC)(CNCc1ccco1)OC ZINC000291681695 168325775 /nfs/dbraw/zinc/32/57/75/168325775.db2.gz HSRWMMJSWGMLSX-UHFFFAOYSA-N 0 3 211.305 2.574 20 0 BFADHN COC1([C@@H](C)NCc2ccoc2)CCCC1 ZINC000421823738 398146290 /nfs/dbraw/zinc/14/62/90/398146290.db2.gz FBHCYNFMQOGPCN-LLVKDONJSA-N 0 3 223.316 2.717 20 0 BFADHN CC[C@@H](NCCCC(C)(F)F)c1nccn1C ZINC000297361473 398144393 /nfs/dbraw/zinc/14/43/93/398144393.db2.gz PTNCFFTUFGXNGG-SNVBAGLBSA-N 0 3 245.317 2.896 20 0 BFADHN CC(C)C[C@@H](C)CN(C)C[C@H](O)C(F)(F)F ZINC000337190039 187323777 /nfs/dbraw/zinc/32/37/77/187323777.db2.gz SGOLTNVNSFXISQ-ZJUUUORDSA-N 0 3 241.297 2.524 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H]1CCC12CCC2 ZINC000337472921 187324768 /nfs/dbraw/zinc/32/47/68/187324768.db2.gz OFDIHYHNUAJPOB-ZDUSSCGKSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@H]1O)c1ccc(F)c(Cl)c1 ZINC000378725200 346816062 /nfs/dbraw/zinc/81/60/62/346816062.db2.gz IIBGJUCIVYCPEZ-NZXMKCKXSA-N 0 3 243.709 2.653 20 0 BFADHN Cc1cc(CN2CC[C@H](CC3CC3)C2)on1 ZINC000339679014 178003703 /nfs/dbraw/zinc/00/37/03/178003703.db2.gz QXCPAGWEXQYZNR-GFCCVEGCSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1cc(CN2CC[C@H](CO)C[C@@H]2C)cs1 ZINC000295584752 178004469 /nfs/dbraw/zinc/00/44/69/178004469.db2.gz BQKQWBYFFDQCCW-JQWIXIFHSA-N 0 3 239.384 2.649 20 0 BFADHN Cc1cc(CN2C[C@@H]3C[C@H]2CS3)cc(C)c1O ZINC000335152610 178005021 /nfs/dbraw/zinc/00/50/21/178005021.db2.gz BAAVNAJFHSJAOJ-STQMWFEESA-N 0 3 249.379 2.699 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](CO)C2)cs1 ZINC000335609828 178006396 /nfs/dbraw/zinc/00/63/96/178006396.db2.gz FUOBNICYHUEFDN-PWSUYJOCSA-N 0 3 239.384 2.507 20 0 BFADHN Cc1cc(CN2C[C@H](C)CC2(C)C)on1 ZINC000266909904 178007968 /nfs/dbraw/zinc/00/79/68/178007968.db2.gz CRTKJNNZOWEMFI-SECBINFHSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(CN2[C@@H]3CC[C@H]2CC(O)C3)ccc1F ZINC000126652603 178009369 /nfs/dbraw/zinc/00/93/69/178009369.db2.gz BDIAWWFWTKVPAW-PBWFPOADSA-N 0 3 249.329 2.622 20 0 BFADHN C[C@H](CCO)N(C)Cc1cccc(C(F)F)c1 ZINC000649871251 398154583 /nfs/dbraw/zinc/15/45/83/398154583.db2.gz WGSPIQMBYBPZSI-SNVBAGLBSA-N 0 3 243.297 2.827 20 0 BFADHN Cc1cc(CNC[C@@H](C)c2ccncc2)sn1 ZINC000638720851 398159544 /nfs/dbraw/zinc/15/95/44/398159544.db2.gz VBELYWSRPVVTAP-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cc(CNC2[C@@H](C)CCC[C@@H]2C)on1 ZINC000044985464 178019457 /nfs/dbraw/zinc/01/94/57/178019457.db2.gz IBBKWCMFDXAKOQ-UWVGGRQHSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CNCCOC(C)C)cc(C)c1O ZINC000160026350 178020092 /nfs/dbraw/zinc/02/00/92/178020092.db2.gz GQEPNMAFYUXXHA-UHFFFAOYSA-N 0 3 237.343 2.524 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC[C@H]2C)no1 ZINC000336739625 178023261 /nfs/dbraw/zinc/02/32/61/178023261.db2.gz LMPBWNXCNLIPTM-PWSUYJOCSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1cc(CNC[C@H](C)c2ccccc2)on1 ZINC000044301042 178024124 /nfs/dbraw/zinc/02/41/24/178024124.db2.gz ITLGYCQATUCQMY-NSHDSACASA-N 0 3 230.311 2.876 20 0 BFADHN Cc1cc(CNCc2cccn2C)ccc1F ZINC000161566674 178026357 /nfs/dbraw/zinc/02/63/57/178026357.db2.gz UKVSNYOISHZPTQ-UHFFFAOYSA-N 0 3 232.302 2.762 20 0 BFADHN Cc1cc(CN[C@@H]2CCCCC2(C)C)nn1C ZINC000360339142 178032948 /nfs/dbraw/zinc/03/29/48/178032948.db2.gz SJDUMJSATKIXFA-CYBMUJFWSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H]2F)cc(C)c1O ZINC000354648572 178033135 /nfs/dbraw/zinc/03/31/35/178033135.db2.gz DWEHJTPNLROTHR-CHWSQXEVSA-N 0 3 237.318 2.989 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC[C@H]2C)nc(C)n1 ZINC000336329999 178033246 /nfs/dbraw/zinc/03/32/46/178033246.db2.gz FZBMDQRPGAWMAH-QMTHXVAHSA-N 0 3 233.359 2.762 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H](C)O[C@H]2C)ccc1F ZINC000294789560 178034993 /nfs/dbraw/zinc/03/49/93/178034993.db2.gz OJQGNNJNGJLULL-UHIISALHSA-N 0 3 237.318 2.790 20 0 BFADHN Cc1cc(CN[C@@H]2COCC2(C)C)cs1 ZINC000312981490 178035081 /nfs/dbraw/zinc/03/50/81/178035081.db2.gz HRUFPPYMJISTFO-LLVKDONJSA-N 0 3 225.357 2.571 20 0 BFADHN Cc1cc(CN[C@@H]2CCc3ccccc3C2)no1 ZINC000044296456 178035638 /nfs/dbraw/zinc/03/56/38/178035638.db2.gz PPFNFKUIMGYNFR-CQSZACIVSA-N 0 3 242.322 2.630 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1c(C)cc(C)cc1C ZINC000576218855 365525500 /nfs/dbraw/zinc/52/55/00/365525500.db2.gz MRJWKYZUPAWTBW-LSDHHAIUSA-N 0 3 233.355 2.879 20 0 BFADHN Cc1cc(CN[C@H](CO)CC2CCC2)cs1 ZINC000296951249 178038538 /nfs/dbraw/zinc/03/85/38/178038538.db2.gz UAKZGRIKHUDXLD-ZDUSSCGKSA-N 0 3 239.384 2.697 20 0 BFADHN Cc1cc(CN[C@H]2C[C@H]3CC[C@@H]2O3)ccc1F ZINC000153013297 178041394 /nfs/dbraw/zinc/04/13/94/178041394.db2.gz HVYMIXALGGJTBS-XBFCOCLRSA-N 0 3 235.302 2.544 20 0 BFADHN CCCn1cc(CN[C@@H]2C[C@H]2C2CCC2)cn1 ZINC000424206140 398175425 /nfs/dbraw/zinc/17/54/25/398175425.db2.gz WRCSRVZHOZDOKC-UONOGXRCSA-N 0 3 233.359 2.571 20 0 BFADHN Cc1cc(CN[C@H]2C[C@@H]2C(F)F)ccc1F ZINC000348192743 178042175 /nfs/dbraw/zinc/04/21/75/178042175.db2.gz YKIIJSFNXMNVEV-ONGXEEELSA-N 0 3 229.245 2.877 20 0 BFADHN Cc1cc(CN[C@H]2COCC2(C)C)cs1 ZINC000312981491 178042389 /nfs/dbraw/zinc/04/23/89/178042389.db2.gz HRUFPPYMJISTFO-NSHDSACASA-N 0 3 225.357 2.571 20 0 BFADHN COCC1(CN(C)Cc2ccc(C)cn2)CCC1 ZINC000649904325 398176948 /nfs/dbraw/zinc/17/69/48/398176948.db2.gz TYMVBGSBHJMMDB-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN C[C@@H](O)CCNCc1cccc(C(F)(F)F)c1 ZINC000078781412 398177589 /nfs/dbraw/zinc/17/75/89/398177589.db2.gz PFBRTYQJYKBUED-SECBINFHSA-N 0 3 247.260 2.566 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@@H]1C[C@H]1C1CCC1 ZINC000424290106 398179526 /nfs/dbraw/zinc/17/95/26/398179526.db2.gz KPTJOIGBCZEHNO-XBFCOCLRSA-N 0 3 247.386 2.990 20 0 BFADHN FC1(F)C[C@@]12CCN(Cc1cccs1)C2 ZINC000649906722 398181535 /nfs/dbraw/zinc/18/15/35/398181535.db2.gz BBZGUVQQVASTDA-SNVBAGLBSA-N 0 3 229.295 2.979 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cnc(OC)s1 ZINC000380020810 398182174 /nfs/dbraw/zinc/18/21/74/398182174.db2.gz ODLWREMQASYKJX-BDAKNGLRSA-N 0 3 228.361 2.676 20 0 BFADHN COc1cc2c(cc1CNC1CCC1)O[C@@H](C)C2 ZINC000107322819 398182217 /nfs/dbraw/zinc/18/22/17/398182217.db2.gz WJSLYMZTKXCBNR-JTQLQIEISA-N 0 3 247.338 2.661 20 0 BFADHN CCC[C@@H](C)NCc1cccc2c1OCO2 ZINC000036167577 398160065 /nfs/dbraw/zinc/16/00/65/398160065.db2.gz YHUAILHDBVTYMH-SNVBAGLBSA-N 0 3 221.300 2.694 20 0 BFADHN CCC[C@H](O)CN(Cc1ccc(C)o1)C1CC1 ZINC000035717746 398162718 /nfs/dbraw/zinc/16/27/18/398162718.db2.gz IPBRSSHXQPRRKT-ZDUSSCGKSA-N 0 3 237.343 2.713 20 0 BFADHN Cc1cc(Cl)ccc1CN(C)[C@@H]1CCOC1 ZINC000136187059 178067136 /nfs/dbraw/zinc/06/71/36/178067136.db2.gz ATRHJOYTGDDJSN-CYBMUJFWSA-N 0 3 239.746 2.869 20 0 BFADHN Cc1cc(Cl)nc(CN[C@@H]2CCSC2)c1 ZINC000282763475 178071565 /nfs/dbraw/zinc/07/15/65/178071565.db2.gz OKOZUWFEAOWURY-SECBINFHSA-N 0 3 242.775 2.639 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H]2C(F)F)cc1F ZINC000342196011 398189276 /nfs/dbraw/zinc/18/92/76/398189276.db2.gz AGRLZQJHUPQROO-PSASIEDQSA-N 0 3 245.244 2.578 20 0 BFADHN COC1(C)CCN(CCOCCC(C)C)CC1 ZINC000342143626 398189385 /nfs/dbraw/zinc/18/93/85/398189385.db2.gz AFOKRYGTKKTVEI-UHFFFAOYSA-N 0 3 243.391 2.550 20 0 BFADHN Cc1cc(F)ccc1CN[C@H]1C[C@@H]1C(F)F ZINC000348180468 178086591 /nfs/dbraw/zinc/08/65/91/178086591.db2.gz HQIAWGMNQKUVNG-QWRGUYRKSA-N 0 3 229.245 2.877 20 0 BFADHN CC/C=C\CCN[C@@H](CCO)c1ccco1 ZINC000336747151 398190376 /nfs/dbraw/zinc/19/03/76/398190376.db2.gz XADLXAQJAQLNJB-MVZIDQBPSA-N 0 3 223.316 2.649 20 0 BFADHN C[C@@H](CN(C)Cc1cncnc1)c1ccccc1 ZINC000271174110 538923861 /nfs/dbraw/zinc/92/38/61/538923861.db2.gz VZXPRPYJWUVGDZ-ZDUSSCGKSA-N 0 3 241.338 2.712 20 0 BFADHN Cc1cc(N2CC[C@@](C)(O)C2)c2ccccc2n1 ZINC000230475326 178118570 /nfs/dbraw/zinc/11/85/70/178118570.db2.gz JCPVBPFGUMQLLN-OAHLLOKOSA-N 0 3 242.322 2.504 20 0 BFADHN CCC[C@]1(NCc2ccc(Cl)o2)CCOC1 ZINC000336750769 398202018 /nfs/dbraw/zinc/20/20/18/398202018.db2.gz ZTOTZKMEUCNTHX-LBPRGKRZSA-N 0 3 243.734 2.982 20 0 BFADHN Cc1cccc(CN2CCC3(CC3)CC2)n1 ZINC000649400043 398202287 /nfs/dbraw/zinc/20/22/87/398202287.db2.gz NBCBEEZOXFYYHV-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN Fc1cc(F)cc(CNC2CC=CC2)c1 ZINC000162838374 538925062 /nfs/dbraw/zinc/92/50/62/538925062.db2.gz GXIOCONWYHTXHJ-UHFFFAOYSA-N 0 3 209.239 2.773 20 0 BFADHN COc1ncc(CNCC2(C(C)C)CC2)s1 ZINC000380671848 398205171 /nfs/dbraw/zinc/20/51/71/398205171.db2.gz BNRQKAKJQZCMBN-UHFFFAOYSA-N 0 3 240.372 2.678 20 0 BFADHN CS[C@H]1CCN(Cc2ccc(C#N)cc2C)C1 ZINC000382954322 398205781 /nfs/dbraw/zinc/20/57/81/398205781.db2.gz PLNNWJIRJTVXCT-AWEZNQCLSA-N 0 3 246.379 2.804 20 0 BFADHN COc1ncc(CNC2(C)CCCCC2)s1 ZINC000380766756 398207954 /nfs/dbraw/zinc/20/79/54/398207954.db2.gz XUECZCLXBDWEKA-UHFFFAOYSA-N 0 3 240.372 2.964 20 0 BFADHN C[C@@H](CCCC(F)(F)F)NCc1cncnc1 ZINC000494843897 538926931 /nfs/dbraw/zinc/92/69/31/538926931.db2.gz CEPYQDYIRUJBGK-VIFPVBQESA-N 0 3 247.264 2.687 20 0 BFADHN CC[C@@H](C)N[C@@H](c1cnn(C)c1)c1ccccc1 ZINC000273313747 538926964 /nfs/dbraw/zinc/92/69/64/538926964.db2.gz XJQSQHZECLLEOV-IUODEOHRSA-N 0 3 243.354 2.898 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](C)CC[C@@H]1C)c1ccn(C)n1 ZINC000414173903 398218950 /nfs/dbraw/zinc/21/89/50/398218950.db2.gz GYVYOJVRSRRKEC-CZXHOFHRSA-N 0 3 235.375 2.895 20 0 BFADHN CCO[C@@H]1CCN([C@@H](C)c2ccncc2)C[C@H]1C ZINC000649990050 398209963 /nfs/dbraw/zinc/20/99/63/398209963.db2.gz NLLQYJXWONMWBQ-VNHYZAJKSA-N 0 3 248.370 2.890 20 0 BFADHN Cc1cc(NC2CCN(C)CC2)ccc1F ZINC000061117426 178154123 /nfs/dbraw/zinc/15/41/23/178154123.db2.gz AMQWGQYPYYKFSX-UHFFFAOYSA-N 0 3 222.307 2.640 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCC[C@H](CO)C1 ZINC000175731205 365581079 /nfs/dbraw/zinc/58/10/79/365581079.db2.gz AYDVWVWCUOYJDH-RYUDHWBXSA-N 0 3 237.318 2.591 20 0 BFADHN Cc1cc(NC[C@@H](C)CO)c2ccccc2n1 ZINC000108877618 178164199 /nfs/dbraw/zinc/16/41/99/178164199.db2.gz BTXKEWRWNVICEA-SNVBAGLBSA-N 0 3 230.311 2.584 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@@H]1CC[C@@H](F)C1 ZINC000342315738 136222782 /nfs/dbraw/zinc/22/27/82/136222782.db2.gz ZQDPRAPQCKZTSW-CHWSQXEVSA-N 0 3 239.338 2.753 20 0 BFADHN CCC(CC)CN(C)[C@@H](C)C(=O)NC(C)(C)C ZINC000362528866 168346336 /nfs/dbraw/zinc/34/63/36/168346336.db2.gz OSLMNZPWDWUBMJ-NSHDSACASA-N 0 3 242.407 2.658 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@H]1CC[C@@H](F)C1 ZINC000342315740 136222849 /nfs/dbraw/zinc/22/28/49/136222849.db2.gz ZQDPRAPQCKZTSW-OLZOCXBDSA-N 0 3 239.338 2.753 20 0 BFADHN Cc1cc(NC[C@H](C)CO)c2ccccc2n1 ZINC000108877620 178169209 /nfs/dbraw/zinc/16/92/09/178169209.db2.gz BTXKEWRWNVICEA-JTQLQIEISA-N 0 3 230.311 2.584 20 0 BFADHN Cc1noc(C)c1C[C@H](C)NCc1ccoc1C ZINC000414362717 398220139 /nfs/dbraw/zinc/22/01/39/398220139.db2.gz WIPNOZFQOLCJMY-VIFPVBQESA-N 0 3 248.326 2.914 20 0 BFADHN Fc1ccc(CNCCc2cscn2)cc1 ZINC000082626082 136221804 /nfs/dbraw/zinc/22/18/04/136221804.db2.gz WLXJOPVEKSPDRC-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN Cc1cc(N[C@@H](C)c2ccccc2)nc(N)n1 ZINC000013863984 178178256 /nfs/dbraw/zinc/17/82/56/178178256.db2.gz VCWNUMXQBNTHJS-JTQLQIEISA-N 0 3 228.299 2.540 20 0 BFADHN Cc1cnc([C@@H](C)N2C[C@@H]3CCC[C@@H]3C2)cn1 ZINC000428214431 398222495 /nfs/dbraw/zinc/22/24/95/398222495.db2.gz ZGXLMDCHHDZWLJ-UPJWGTAASA-N 0 3 231.343 2.578 20 0 BFADHN Cc1cc(N[C@@H](C)[C@@H](C)CO)c2ccccc2n1 ZINC000077227743 178178446 /nfs/dbraw/zinc/17/84/46/178178446.db2.gz NDUJSJCKVSREKD-JQWIXIFHSA-N 0 3 244.338 2.972 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@H]3CCC[C@@H]3C2)cn1 ZINC000428214432 398222846 /nfs/dbraw/zinc/22/28/46/398222846.db2.gz ZGXLMDCHHDZWLJ-YNEHKIRRSA-N 0 3 231.343 2.578 20 0 BFADHN Cc1cc(N[C@@H]2CCOC2)c2ccccc2n1 ZINC000131602501 178182634 /nfs/dbraw/zinc/18/26/34/178182634.db2.gz UHNSKIDWLQCVRP-LLVKDONJSA-N 0 3 228.295 2.744 20 0 BFADHN Cc1cc(N[C@H](C)[C@H](C)CO)c2ccccc2n1 ZINC000077227746 178185915 /nfs/dbraw/zinc/18/59/15/178185915.db2.gz NDUJSJCKVSREKD-ZYHUDNBSSA-N 0 3 244.338 2.972 20 0 BFADHN CC1(C)C[C@@H]1NCc1cnc2ccc(Cl)cn12 ZINC000265653974 187332510 /nfs/dbraw/zinc/33/25/10/187332510.db2.gz OTNUYOBTJJUFHH-NSHDSACASA-N 0 3 249.745 2.876 20 0 BFADHN C/C=C\CNCc1ccccc1OC(F)F ZINC000394978215 398227128 /nfs/dbraw/zinc/22/71/28/398227128.db2.gz UUJUPCKVYHPMLN-IHWYPQMZSA-N 0 3 227.254 2.954 20 0 BFADHN CCC(CC)CN1CCC[C@H]1c1ncc[nH]1 ZINC000375355865 168351902 /nfs/dbraw/zinc/35/19/02/168351902.db2.gz XYYXUAJXXVBMBZ-LBPRGKRZSA-N 0 3 221.348 2.983 20 0 BFADHN c1cc2c(cccc2CN2CC[C@]23CCOC3)[nH]1 ZINC000421399061 192328780 /nfs/dbraw/zinc/32/87/80/192328780.db2.gz PKDQIBUPBXHEFP-OAHLLOKOSA-N 0 3 242.322 2.533 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](CCO)CC2)o1 ZINC000421394879 192329122 /nfs/dbraw/zinc/32/91/22/192329122.db2.gz HDNCGHCWBOKQIK-CYBMUJFWSA-N 0 3 237.343 2.573 20 0 BFADHN C=Cn1cc(CN2CC[C@@H](C3CCCC3)C2)cn1 ZINC000421398401 192329243 /nfs/dbraw/zinc/32/92/43/192329243.db2.gz ZBYLGEQOOCEOQU-OAHLLOKOSA-N 0 3 245.370 2.996 20 0 BFADHN c1cc2cccc(CN3CC[C@]34CCOC4)c2o1 ZINC000421401964 192330243 /nfs/dbraw/zinc/33/02/43/192330243.db2.gz MFTRBTZSMARIFR-OAHLLOKOSA-N 0 3 243.306 2.798 20 0 BFADHN C[C@@H](CN1CC[C@]12CCOC2)c1ccc(F)cc1 ZINC000421404753 192330815 /nfs/dbraw/zinc/33/08/15/192330815.db2.gz QEBCJQYGNDHEMI-SWLSCSKDSA-N 0 3 249.329 2.794 20 0 BFADHN c1cc(C2CC2)ccc1CN1CC[C@@]12CCOC2 ZINC000421405090 192331209 /nfs/dbraw/zinc/33/12/09/192331209.db2.gz QMNDOLNQJIDPGN-INIZCTEOSA-N 0 3 243.350 2.929 20 0 BFADHN Cc1cccc(CN2CC[C@@]23CCOC3)c1C ZINC000421401685 192331435 /nfs/dbraw/zinc/33/14/35/192331435.db2.gz URMMYRVBYLHNOY-HNNXBMFYSA-N 0 3 231.339 2.668 20 0 BFADHN c1cc(CN2CC[C@]23CCOC3)cc(C2CC2)c1 ZINC000421401413 192331675 /nfs/dbraw/zinc/33/16/75/192331675.db2.gz LMZKYEBCVULDJQ-MRXNPFEDSA-N 0 3 243.350 2.929 20 0 BFADHN Cc1cc([C@@H](C)NCCC(C)(C)CO)oc1C ZINC000296532718 178223102 /nfs/dbraw/zinc/22/31/02/178223102.db2.gz QOABBRGTYKYLMT-LLVKDONJSA-N 0 3 239.359 2.956 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@H](C)CCO)oc1C ZINC000297355553 178225476 /nfs/dbraw/zinc/22/54/76/178225476.db2.gz PKQVRDXNPXOSCA-CMPLNLGQSA-N 0 3 239.359 2.956 20 0 BFADHN Cc1cc([C@@H](C)NCCC[C@H](C)O)oc1C ZINC000282761711 178225559 /nfs/dbraw/zinc/22/55/59/178225559.db2.gz OIBQDBVPPNQDPH-WDEREUQCSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2CCCN2C)oc1C ZINC000337517996 178225911 /nfs/dbraw/zinc/22/59/11/178225911.db2.gz NHFPTTMRUYDNLJ-DGCLKSJQSA-N 0 3 236.359 2.641 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CCOC2)oc1C ZINC000282329322 178227034 /nfs/dbraw/zinc/22/70/34/178227034.db2.gz URIKNCYLYGYWMH-PWSUYJOCSA-N 0 3 223.316 2.584 20 0 BFADHN Cc1cc([C@@H](C)NCCc2ccn(C)n2)oc1C ZINC000337417845 178227611 /nfs/dbraw/zinc/22/76/11/178227611.db2.gz DUHFERFWBRQUEN-LLVKDONJSA-N 0 3 247.342 2.523 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2C[C@@H](O)C2)c(C)s1 ZINC000295902867 178230391 /nfs/dbraw/zinc/23/03/91/178230391.db2.gz IOYUNJTXSNXEJR-IEBDPFPHSA-N 0 3 225.357 2.539 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCOC2)c(C)s1 ZINC000070966958 178231653 /nfs/dbraw/zinc/23/16/53/178231653.db2.gz CSYZZNKAOTYFSV-MWLCHTKSSA-N 0 3 225.357 2.804 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CC3CCC2CC3)nn1C ZINC000337401401 178232765 /nfs/dbraw/zinc/23/27/65/178232765.db2.gz DCIYMYAOVPCSBD-LPXQKFACSA-N 0 3 247.386 2.958 20 0 BFADHN Cc1cccc(CCN[C@H](C)c2cncs2)n1 ZINC000280177976 538936241 /nfs/dbraw/zinc/93/62/41/538936241.db2.gz RNKWRJKXQQECMZ-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cc([C@H](C)NCC2(C)COC2)oc1C ZINC000282105860 178247018 /nfs/dbraw/zinc/24/70/18/178247018.db2.gz IVHTXINGNUKGKU-JTQLQIEISA-N 0 3 223.316 2.584 20 0 BFADHN Cc1cc([C@H](C)NCCC2CC(O)C2)oc1C ZINC000337495449 178247215 /nfs/dbraw/zinc/24/72/15/178247215.db2.gz YCXOTAYGDKFQID-PKSQDBQZSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)NCC23CCC(CC2)C3)nn1C ZINC000337481959 178247481 /nfs/dbraw/zinc/24/74/81/178247481.db2.gz QZIBRUGOKYXLBX-OPFPJEHXSA-N 0 3 247.386 2.959 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](C)C[C@H](C)O)c(C)o1 ZINC000278825038 178248152 /nfs/dbraw/zinc/24/81/52/178248152.db2.gz SUGGCVBTLXNUNU-NHCYSSNCSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCCO2)ccc1F ZINC000268723014 178248345 /nfs/dbraw/zinc/24/83/45/178248345.db2.gz PMPDMNDPVHHSQZ-AAEUAGOBSA-N 0 3 237.318 2.964 20 0 BFADHN Cc1cc([C@H](C)NCCc2cn[nH]c2)c(C)o1 ZINC000289638731 178248462 /nfs/dbraw/zinc/24/84/62/178248462.db2.gz FNWAQOODBPYHRB-JTQLQIEISA-N 0 3 233.315 2.513 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](O)C(C)C)c(C)o1 ZINC000229972834 178248675 /nfs/dbraw/zinc/24/86/75/178248675.db2.gz BYUQGXNVVJREEZ-GXFFZTMASA-N 0 3 225.332 2.564 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](C)C[C@@H](C)O)c(C)o1 ZINC000278825057 178249267 /nfs/dbraw/zinc/24/92/67/178249267.db2.gz SUGGCVBTLXNUNU-UMNHJUIQSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](C)C[C@H](C)O)c(C)o1 ZINC000278825048 178250003 /nfs/dbraw/zinc/25/00/03/178250003.db2.gz SUGGCVBTLXNUNU-SCVCMEIPSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CC[C@H](O)C2)oc1C ZINC000282819486 178250771 /nfs/dbraw/zinc/25/07/71/178250771.db2.gz RSZMVQMXDMRBDW-UHTWSYAYSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1ccc(CNCC(C)(C)[C@@H](O)C(C)C)o1 ZINC000269946285 187334598 /nfs/dbraw/zinc/33/45/98/187334598.db2.gz QFWPGZRUNHJHHB-ZDUSSCGKSA-N 0 3 239.359 2.721 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@H](O)C2(C)C)cs1 ZINC000294100870 178255105 /nfs/dbraw/zinc/25/51/05/178255105.db2.gz BXLGSQNTFKXKBE-WCQGTBRESA-N 0 3 239.384 2.867 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@@H](O)C2(C)C)oc1C ZINC000282471141 178256166 /nfs/dbraw/zinc/25/61/66/178256166.db2.gz GMBOYUGRKCFTKD-ZWKOPEQDSA-N 0 3 237.343 2.706 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)C[C@H](C)O)oc1C ZINC000309949188 178256178 /nfs/dbraw/zinc/25/61/78/178256178.db2.gz FKHFNKLKUXAYKO-VWYCJHECSA-N 0 3 225.332 2.706 20 0 BFADHN Cc1cc([C@H](C)N[C@H](CO)CC(C)C)c(C)o1 ZINC000040775144 178258134 /nfs/dbraw/zinc/25/81/34/178258134.db2.gz ILGZKLGLDFLRNT-AAEUAGOBSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)N[C@H](CO)C(C)C)c(C)o1 ZINC000086122429 178258598 /nfs/dbraw/zinc/25/85/98/178258598.db2.gz FAASINPJNRXCRY-GXFFZTMASA-N 0 3 225.332 2.564 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@@H](O)C2(C)C)oc1C ZINC000282471134 178259400 /nfs/dbraw/zinc/25/94/00/178259400.db2.gz GMBOYUGRKCFTKD-TVYUQYBPSA-N 0 3 237.343 2.706 20 0 BFADHN COC[C@@H](CC(C)C)NCc1cnc(C)s1 ZINC000336775574 398239545 /nfs/dbraw/zinc/23/95/45/398239545.db2.gz ZJJNYAIFNGOQGC-LLVKDONJSA-N 0 3 242.388 2.602 20 0 BFADHN CCC(CC)CNCc1cn2ccccc2n1 ZINC000130687226 168361695 /nfs/dbraw/zinc/36/16/95/168361695.db2.gz LZEFWFMCKCIZIT-UHFFFAOYSA-N 0 3 231.343 2.860 20 0 BFADHN CCC(CC)CNCc1ncnn1C(C)(C)C ZINC000337288627 168362624 /nfs/dbraw/zinc/36/26/24/168362624.db2.gz NISUQPYYKOJTKN-UHFFFAOYSA-N 0 3 238.379 2.559 20 0 BFADHN Cc1cc2[nH]c([C@@H](C)N)nc2cc1Cl ZINC000057340818 178276213 /nfs/dbraw/zinc/27/62/13/178276213.db2.gz PYWXMTQXQMSQJG-ZCFIWIBFSA-N 0 3 209.680 2.544 20 0 BFADHN Cc1cc2nc([C@@H](C)N)[nH]c2cc1Cl ZINC000057340818 178276217 /nfs/dbraw/zinc/27/62/17/178276217.db2.gz PYWXMTQXQMSQJG-ZCFIWIBFSA-N 0 3 209.680 2.544 20 0 BFADHN CCC(CC)CN[C@H](COC)c1ccco1 ZINC000133360828 168364146 /nfs/dbraw/zinc/36/41/46/168364146.db2.gz URLJOHARYYLRPC-GFCCVEGCSA-N 0 3 225.332 2.993 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1Cl)[C@H](C)O ZINC000336775829 398240480 /nfs/dbraw/zinc/24/04/80/398240480.db2.gz CUGWLBGBQCMKOP-VGMNWLOBSA-N 0 3 245.725 2.899 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1Cc1ccccc1)c1ncc[nH]1 ZINC000286174733 538943337 /nfs/dbraw/zinc/94/33/37/538943337.db2.gz QBDOEQIDUACGCN-IACUBPJLSA-N 0 3 241.338 2.692 20 0 BFADHN Cc1ccccc1C[C@@H](C)N[C@@H](C)c1ncc[nH]1 ZINC000286295084 538944115 /nfs/dbraw/zinc/94/41/15/538944115.db2.gz WJXOAEZMLTYHKO-OLZOCXBDSA-N 0 3 243.354 3.000 20 0 BFADHN C[C@H](NC[C@H]1CCCC(F)(F)C1)c1ncc[nH]1 ZINC000287282015 538944295 /nfs/dbraw/zinc/94/42/95/538944295.db2.gz CWZUQYXPZNZOAC-UWVGGRQHSA-N 0 3 243.301 2.886 20 0 BFADHN Cc1ccc(-c2ccc(CN[C@@H](C)CO)o2)cc1 ZINC000268678653 178324483 /nfs/dbraw/zinc/32/44/83/178324483.db2.gz NREQPRHOHWICCY-LBPRGKRZSA-N 0 3 245.322 2.725 20 0 BFADHN C[C@H]1CCC[C@H](C(=O)Nc2cccc(CN)c2)C1 ZINC000383159105 398257454 /nfs/dbraw/zinc/25/74/54/398257454.db2.gz IRQCSCLGAWLICC-AAEUAGOBSA-N 0 3 246.354 2.910 20 0 BFADHN C[C@H]1CC[C@@H](NCc2nn(C)c3ccccc23)C1 ZINC000169062445 538946485 /nfs/dbraw/zinc/94/64/85/538946485.db2.gz SZOKFVOVRJGSHI-NWDGAFQWSA-N 0 3 243.354 2.852 20 0 BFADHN Cc1ccc(-c2noc([C@@H]3CCCN3)n2)cc1F ZINC000020549522 178343302 /nfs/dbraw/zinc/34/33/02/178343302.db2.gz LQQINWMZQRCQKF-NSHDSACASA-N 0 3 247.273 2.609 20 0 BFADHN COC(=O)c1csc(CN2CCC[C@@H]2C)c1 ZINC000602466926 349540251 /nfs/dbraw/zinc/54/02/51/349540251.db2.gz FXEZVSZLZJHYFP-VIFPVBQESA-N 0 3 239.340 2.519 20 0 BFADHN Cc1ccc2c(c1)CN(CC[C@@H]1CCOC1)C2 ZINC000334538711 136230974 /nfs/dbraw/zinc/23/09/74/136230974.db2.gz IZDVRUKOKXHIGB-CYBMUJFWSA-N 0 3 231.339 2.737 20 0 BFADHN Cc1cc(-c2nc3c(s2)CNCC3)cs1 ZINC000382096957 398255836 /nfs/dbraw/zinc/25/58/36/398255836.db2.gz ALOAGDCQPZHMMQ-UHFFFAOYSA-N 0 3 236.365 2.826 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CNC2CC2)cc1 ZINC000029654864 178332174 /nfs/dbraw/zinc/33/21/74/178332174.db2.gz XXBNBGHJKCUGRU-UHFFFAOYSA-N 0 3 227.311 2.637 20 0 BFADHN C[C@@H](CN[C@H]1CCn2ccnc21)c1ccccc1 ZINC000345341231 136232832 /nfs/dbraw/zinc/23/28/32/136232832.db2.gz DFWYYXAINOZWCS-JSGCOSHPSA-N 0 3 241.338 2.721 20 0 BFADHN CC[C@H]1CCCCN1C[C@H](O)CC(F)(F)F ZINC000188664377 259314268 /nfs/dbraw/zinc/31/42/68/259314268.db2.gz ZWHUKWNFLGFGRX-VHSXEESVSA-N 0 3 239.281 2.564 20 0 BFADHN CSc1ccc([C@@H](C)N[C@@H]2CC[C@H]2O)cc1 ZINC000378774165 346831402 /nfs/dbraw/zinc/83/14/02/346831402.db2.gz HAIWNPGZWBOZHK-OASPWFOLSA-N 0 3 237.368 2.582 20 0 BFADHN CSc1ccc([C@H](C)N[C@@H]2CC[C@H]2O)cc1 ZINC000378774167 346831886 /nfs/dbraw/zinc/83/18/86/346831886.db2.gz HAIWNPGZWBOZHK-ZWKOPEQDSA-N 0 3 237.368 2.582 20 0 BFADHN Cc1nnsc1CNCC1C(C)(C)C1(C)C ZINC000381675895 398268061 /nfs/dbraw/zinc/26/80/61/398268061.db2.gz XJGDNNNQIFEBNS-UHFFFAOYSA-N 0 3 239.388 2.618 20 0 BFADHN CC(C)C1CCC(CN2CCN(C)CC2)CC1 ZINC000205006378 538954856 /nfs/dbraw/zinc/95/48/56/538954856.db2.gz LTACJTAXXCCPKF-UHFFFAOYSA-N 0 3 238.419 2.696 20 0 BFADHN COC[C@@H](CC(C)C)N[C@H]1CCC[C@H]1F ZINC000378790085 346837167 /nfs/dbraw/zinc/83/71/67/346837167.db2.gz HZWIKZTUOWGZKK-UTUOFQBUSA-N 0 3 217.328 2.528 20 0 BFADHN CC1(C)C[C@@H](N[C@@H]2CCC[C@@H]2F)C(C)(C)O1 ZINC000378806316 346838735 /nfs/dbraw/zinc/83/87/35/346838735.db2.gz WMLCHIGYNFQKGS-HBNTYKKESA-N 0 3 229.339 2.813 20 0 BFADHN CC[C@](C)(CCO)NCc1ccc(Cl)o1 ZINC000166041007 136233757 /nfs/dbraw/zinc/23/37/57/136233757.db2.gz VNLWFNPQBZZBFE-LLVKDONJSA-N 0 3 231.723 2.574 20 0 BFADHN Cc1c(CN2CCC(C)(C(C)C)CC2)cnn1C ZINC000426772188 398268979 /nfs/dbraw/zinc/26/89/79/398268979.db2.gz IOZNDOXWMPUVQK-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN CCc1ccccc1CN1CCSCC1 ZINC000188840316 346842649 /nfs/dbraw/zinc/84/26/49/346842649.db2.gz WUMXSNJWLNLCTJ-UHFFFAOYSA-N 0 3 221.369 2.798 20 0 BFADHN C/C=C(\C)C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000270360649 187335121 /nfs/dbraw/zinc/33/51/21/187335121.db2.gz GPXDGJANNNQXBY-WUXMJOGZSA-N 0 3 246.354 2.961 20 0 BFADHN CCc1ccccc1CN1CCN(C2CC2)CC1 ZINC000188888053 346847389 /nfs/dbraw/zinc/84/73/89/346847389.db2.gz PVGKQXOMKSSRFK-UHFFFAOYSA-N 0 3 244.382 2.529 20 0 BFADHN CCc1nn(C)cc1CN1CCC(C(C)C)CC1 ZINC000180467265 538958300 /nfs/dbraw/zinc/95/83/00/538958300.db2.gz YSWWWXHVBCBXQI-UHFFFAOYSA-N 0 3 249.402 2.851 20 0 BFADHN C[C@H](NC[C@H]1CCCS1)c1cccnc1 ZINC000087472994 259316484 /nfs/dbraw/zinc/31/64/84/259316484.db2.gz YRYPKLQNCAOTFR-CMPLNLGQSA-N 0 3 222.357 2.628 20 0 BFADHN CCSCC[C@@H](C)N(C)Cc1cnc(C)o1 ZINC000600121905 342679447 /nfs/dbraw/zinc/67/94/47/342679447.db2.gz XQZNZVSEZVBETI-SNVBAGLBSA-N 0 3 242.388 2.947 20 0 BFADHN CCCCOCCCNCc1ccncc1F ZINC000449063554 398274431 /nfs/dbraw/zinc/27/44/31/398274431.db2.gz QIRKGIHSZHMRGT-UHFFFAOYSA-N 0 3 240.322 2.517 20 0 BFADHN FC1(F)CC(CN2CCOC[C@@H]2C2CCC2)C1 ZINC000335436784 136235129 /nfs/dbraw/zinc/23/51/29/136235129.db2.gz YRANHZDURSMZIB-GFCCVEGCSA-N 0 3 245.313 2.533 20 0 BFADHN CCO[C@@H]1CCCN([C@@H](C)c2cccnc2)C1 ZINC000602579187 349607786 /nfs/dbraw/zinc/60/77/86/349607786.db2.gz XZPIQJKDEVHQDO-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN CC1CCC(N(C)Cc2cc3n(n2)CCC3)CC1 ZINC000649478750 398276552 /nfs/dbraw/zinc/27/65/52/398276552.db2.gz OFPMGHVSRAHACD-UHFFFAOYSA-N 0 3 247.386 2.840 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cc2n(n1)CCCC2 ZINC000649480041 398277339 /nfs/dbraw/zinc/27/73/39/398277339.db2.gz RGXPXCGZTXHAFZ-GFCCVEGCSA-N 0 3 235.375 2.696 20 0 BFADHN CC(C)c1ncc(CN[C@H]2CCCOC2)s1 ZINC000165922292 361847540 /nfs/dbraw/zinc/84/75/40/361847540.db2.gz MNBDVUVKABAZPM-JTQLQIEISA-N 0 3 240.372 2.535 20 0 BFADHN CCC1(CNCc2ccncc2F)CCC1 ZINC000449176805 398281108 /nfs/dbraw/zinc/28/11/08/398281108.db2.gz NQMXOIHWNCBCSV-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2ccno2)C1 ZINC000231882970 342742767 /nfs/dbraw/zinc/74/27/67/342742767.db2.gz LCOGXJMDGXMMLX-GHMZBOCLSA-N 0 3 208.305 2.733 20 0 BFADHN CCCOc1ccc(CNc2nccn2C)cc1 ZINC000518127896 398279071 /nfs/dbraw/zinc/27/90/71/398279071.db2.gz CNGCKYDUXMRBRU-UHFFFAOYSA-N 0 3 245.326 2.821 20 0 BFADHN CC(C)CCc1ccccc1NC(=O)[C@@H](C)N ZINC000517743403 342746538 /nfs/dbraw/zinc/74/65/38/342746538.db2.gz UVYUPZVOWMLZNG-LLVKDONJSA-N 0 3 234.343 2.561 20 0 BFADHN CC(C)N(C(=O)[C@H](C)N1CCCCC1)C(C)C ZINC000070737699 342726428 /nfs/dbraw/zinc/72/64/28/342726428.db2.gz WPMABEUNFCLJHS-ZDUSSCGKSA-N 0 3 240.391 2.506 20 0 BFADHN CCCC[C@@H](COC)NCc1ccncc1F ZINC000449160352 398280636 /nfs/dbraw/zinc/28/06/36/398280636.db2.gz LQRYXDBWRXRDFW-LBPRGKRZSA-N 0 3 240.322 2.516 20 0 BFADHN C[C@@H](NCC1(CO)CCC1)c1ccccc1F ZINC000184537162 538969023 /nfs/dbraw/zinc/96/90/23/538969023.db2.gz AWOGHRAZSJGIPR-LLVKDONJSA-N 0 3 237.318 2.639 20 0 BFADHN C[C@H](NCC1(CO)CCC1)c1ccccc1F ZINC000184537140 538969071 /nfs/dbraw/zinc/96/90/71/538969071.db2.gz AWOGHRAZSJGIPR-NSHDSACASA-N 0 3 237.318 2.639 20 0 BFADHN CCCC[C@@H](C)N(C)C(=O)CN(C)C1CCC1 ZINC000629993500 361878532 /nfs/dbraw/zinc/87/85/32/361878532.db2.gz DOTOWBDVJXFVAY-GFCCVEGCSA-N 0 3 240.391 2.508 20 0 BFADHN CCCCN(C)CCC(=O)c1ccccc1 ZINC000005596260 342766693 /nfs/dbraw/zinc/76/66/93/342766693.db2.gz UFTKGIOUMSZNJW-UHFFFAOYSA-N 0 3 219.328 2.991 20 0 BFADHN CSCCNCc1ccsc1C ZINC000379315753 342770808 /nfs/dbraw/zinc/77/08/08/342770808.db2.gz UQFXMOYHEGTPMP-UHFFFAOYSA-N 0 3 201.360 2.509 20 0 BFADHN Cc1ccc(N[C@H]2CO[C@H](C)C2)c(CN(C)C)c1 ZINC000647195214 398282141 /nfs/dbraw/zinc/28/21/41/398282141.db2.gz DSBXBRNCZRNDHW-TZMCWYRMSA-N 0 3 248.370 2.646 20 0 BFADHN Fc1cnccc1CNCC1(C2CC2)CCC1 ZINC000449196205 398282338 /nfs/dbraw/zinc/28/23/38/398282338.db2.gz WWHQZHZFTCPLRN-UHFFFAOYSA-N 0 3 234.318 2.891 20 0 BFADHN CN(C)Cc1cccc(NCCCCF)c1 ZINC000634573878 342773328 /nfs/dbraw/zinc/77/33/28/342773328.db2.gz KLASCNZGRLDCRP-UHFFFAOYSA-N 0 3 224.323 2.910 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)C[C@@H]2CC=CCC2)n1 ZINC000346338650 398282999 /nfs/dbraw/zinc/28/29/99/398282999.db2.gz RRTRDNQRSLDDPO-CYBMUJFWSA-N 0 3 246.354 2.884 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)C[C@@H]2CC=CCC2)n1 ZINC000346338650 398283003 /nfs/dbraw/zinc/28/30/03/398283003.db2.gz RRTRDNQRSLDDPO-CYBMUJFWSA-N 0 3 246.354 2.884 20 0 BFADHN OCC1(CNCc2coc3ccccc23)CCC1 ZINC000184370680 538968532 /nfs/dbraw/zinc/96/85/32/538968532.db2.gz RMZTVOYIUSSBGJ-UHFFFAOYSA-N 0 3 245.322 2.685 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cncnc1 ZINC000184234920 538968584 /nfs/dbraw/zinc/96/85/84/538968584.db2.gz UTWBDCUYLQKZHY-ZDUSSCGKSA-N 0 3 219.332 2.631 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCC2(CO)CCC2)o1 ZINC000184376254 538968679 /nfs/dbraw/zinc/96/86/79/538968679.db2.gz YDMICCBRTZZDDQ-AAEUAGOBSA-N 0 3 249.354 2.655 20 0 BFADHN CCC(CC)NCc1cn2ccccc2n1 ZINC000020037377 168389365 /nfs/dbraw/zinc/38/93/65/168389365.db2.gz SUESOPFZIRCUIB-UHFFFAOYSA-N 0 3 217.316 2.613 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cc(C)on1 ZINC000075023085 342791683 /nfs/dbraw/zinc/79/16/83/342791683.db2.gz LIHPUHWYXLOTGE-SKDRFNHKSA-N 0 3 208.305 2.603 20 0 BFADHN CC(C)c1ccc([C@H](NCC(N)=O)C(C)C)cc1 ZINC000007803786 342793780 /nfs/dbraw/zinc/79/37/80/342793780.db2.gz LRTVCMOTJNVTPR-OAHLLOKOSA-N 0 3 248.370 2.582 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@@H]1NCc1cc[nH]n1 ZINC000309711793 342794586 /nfs/dbraw/zinc/79/45/86/342794586.db2.gz QWIUWAIUYMKWJQ-WZRBSPASSA-N 0 3 221.348 2.714 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@@H]1NCc1cc[nH]n1 ZINC000309711790 342794630 /nfs/dbraw/zinc/79/46/30/342794630.db2.gz QWIUWAIUYMKWJQ-LOWVWBTDSA-N 0 3 221.348 2.714 20 0 BFADHN Cc1ccc(CNC[C@H]2CCC(C)(C)O2)o1 ZINC000166103064 398286201 /nfs/dbraw/zinc/28/62/01/398286201.db2.gz FZCPHCCCNLXACS-GFCCVEGCSA-N 0 3 223.316 2.635 20 0 BFADHN C[C@H](NCc1cscc1Cl)C(C)(C)O ZINC000379568427 538978409 /nfs/dbraw/zinc/97/84/09/538978409.db2.gz FKCQCGWJSNEOFB-ZETCQYMHSA-N 0 3 233.764 2.651 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1ccccn1 ZINC000335437683 398287770 /nfs/dbraw/zinc/28/77/70/398287770.db2.gz QVFLKUCCQQWSSN-NSHDSACASA-N 0 3 204.317 2.702 20 0 BFADHN CCN1CCN(C/C(C)=C\c2ccccc2)CC1 ZINC000022873442 342816507 /nfs/dbraw/zinc/81/65/07/342816507.db2.gz QCCYQSLOIUJWES-SQFISAMPSA-N 0 3 244.382 2.727 20 0 BFADHN CC[C@]1(NCc2ccc(F)c(C)c2)CCOC1 ZINC000378735673 538973301 /nfs/dbraw/zinc/97/33/01/538973301.db2.gz GEJBERSIGFKHIW-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1csc(-c2cccc3c2CNC3)n1 ZINC000630052047 361919468 /nfs/dbraw/zinc/91/94/68/361919468.db2.gz HSAMMPPTCYCZHW-UHFFFAOYSA-N 0 3 216.309 2.722 20 0 BFADHN CC[C@@]1(NCc2ccc(F)cc2F)CCOC1 ZINC000378664787 538973632 /nfs/dbraw/zinc/97/36/32/538973632.db2.gz ACUMKNWCFRILPM-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN CCOC1CCN(Cc2ccc(C)nc2C)CC1 ZINC000186731282 538974623 /nfs/dbraw/zinc/97/46/23/538974623.db2.gz IBEDMHNNNUPXJF-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN C[C@@H](NCc1ccc(F)cc1)[C@@H]1CCCCO1 ZINC000379264337 538976417 /nfs/dbraw/zinc/97/64/17/538976417.db2.gz POZDUFNCLCHGIA-RISCZKNCSA-N 0 3 237.318 2.873 20 0 BFADHN C[C@@H](NCc1ccccc1F)[C@H]1CCCCO1 ZINC000379255581 538976655 /nfs/dbraw/zinc/97/66/55/538976655.db2.gz IVRKWPMVUDHULD-BXUZGUMPSA-N 0 3 237.318 2.873 20 0 BFADHN O[C@@H]1CC[C@@H](CNCc2cscc2Cl)C1 ZINC000380189797 538986773 /nfs/dbraw/zinc/98/67/73/538986773.db2.gz OJAHXRWVCUNYEO-PSASIEDQSA-N 0 3 245.775 2.652 20 0 BFADHN C[C@H](CO)CN[C@@H](C)c1csc(Cl)c1 ZINC000191204270 398292943 /nfs/dbraw/zinc/29/29/43/398292943.db2.gz WYGXEQMZPUTHAT-YUMQZZPRSA-N 0 3 233.764 2.681 20 0 BFADHN C[C@H](NCc1ccsc1)[C@@H]1CCCCO1 ZINC000380354002 538989592 /nfs/dbraw/zinc/98/95/92/538989592.db2.gz WFOLNHVCFMVGIQ-JQWIXIFHSA-N 0 3 225.357 2.795 20 0 BFADHN COCCCCN[C@@H](C)c1nc(C)sc1C ZINC000044656717 538989700 /nfs/dbraw/zinc/98/97/00/538989700.db2.gz DGNJDDQCEACMBU-VIFPVBQESA-N 0 3 242.388 2.837 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1cc2n(n1)CCCC2 ZINC000649496615 398290924 /nfs/dbraw/zinc/29/09/24/398290924.db2.gz DEZZRRWYLJHNSI-HNNXBMFYSA-N 0 3 247.386 2.840 20 0 BFADHN Cc1ccc(CN2CC3(CC3)C2)c(C)c1 ZINC000449369059 398291512 /nfs/dbraw/zinc/29/15/12/398291512.db2.gz AFVYBINQLVWMQQ-UHFFFAOYSA-N 0 3 201.313 2.899 20 0 BFADHN Cc1cccc(C)c1CN1CC2(CC2)C1 ZINC000449369580 398291724 /nfs/dbraw/zinc/29/17/24/398291724.db2.gz DWMGZCNAZADTKX-UHFFFAOYSA-N 0 3 201.313 2.899 20 0 BFADHN CC[C@H](NCc1cn(C)cn1)C1CCCCC1 ZINC000379966144 538982833 /nfs/dbraw/zinc/98/28/33/538982833.db2.gz LUFGLDNKFSMGNX-AWEZNQCLSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1cncc(CN(C)CCC(C)C)c1 ZINC000189796507 538985419 /nfs/dbraw/zinc/98/54/19/538985419.db2.gz DCJLQKFMQPXZLI-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN CCC1(C)CCC(NCc2ncc[nH]2)CC1 ZINC000381495274 539002028 /nfs/dbraw/zinc/00/20/28/539002028.db2.gz BGNLKDKUCWEGOE-UHFFFAOYSA-N 0 3 221.348 2.858 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1nc2c(s1)CCCC2 ZINC000381504406 539002912 /nfs/dbraw/zinc/00/29/12/539002912.db2.gz PQTQYDHHNIHDJN-UWVGGRQHSA-N 0 3 236.384 2.910 20 0 BFADHN Cc1nnc(CN[C@H]2CCCCC[C@@H]2C)s1 ZINC000381526364 539003417 /nfs/dbraw/zinc/00/34/17/539003417.db2.gz WWMWASLRYUUGIX-ONGXEEELSA-N 0 3 239.388 2.905 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](C)C(C)(C)O)o1 ZINC000381621569 539005314 /nfs/dbraw/zinc/00/53/14/539005314.db2.gz AGBZRVOXVQEQAJ-NXEZZACHSA-N 0 3 225.332 2.652 20 0 BFADHN C[C@@H](NCC1COC1)c1ccc(F)cc1Cl ZINC000381705637 539005613 /nfs/dbraw/zinc/00/56/13/539005613.db2.gz JCXPTYSVKRJXOK-MRVPVSSYSA-N 0 3 243.709 2.776 20 0 BFADHN OC[C@@H](CC1CC1)NCc1ccccc1Cl ZINC000381846853 539007738 /nfs/dbraw/zinc/00/77/38/539007738.db2.gz RSTAJGJICOCLKM-GFCCVEGCSA-N 0 3 239.746 2.591 20 0 BFADHN C[C@@H](NCc1cccc(F)c1)[C@@H]1CCCCO1 ZINC000383053978 539011128 /nfs/dbraw/zinc/01/11/28/539011128.db2.gz BGEZGWCOAIXNFW-RISCZKNCSA-N 0 3 237.318 2.873 20 0 BFADHN Cc1cc(CN[C@H]2CCC23CCCC3)[nH]n1 ZINC000381364747 538999560 /nfs/dbraw/zinc/99/95/60/538999560.db2.gz GMTOMPINBPYLSM-LBPRGKRZSA-N 0 3 219.332 2.531 20 0 BFADHN C[C@H](CF)NC[C@H]1CCOc2ccccc21 ZINC000390208751 539020495 /nfs/dbraw/zinc/02/04/95/539020495.db2.gz UDJCYDOALGBYEC-GHMZBOCLSA-N 0 3 223.291 2.500 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC(F)(F)C2)nc1 ZINC000390289133 539020876 /nfs/dbraw/zinc/02/08/76/539020876.db2.gz XYKDCLLIMFADAD-SNVBAGLBSA-N 0 3 226.270 2.667 20 0 BFADHN Cc1ccncc1CN[C@H]1CCC(F)(F)C1 ZINC000390277162 539020964 /nfs/dbraw/zinc/02/09/64/539020964.db2.gz UCEINJUWYSGDCU-NSHDSACASA-N 0 3 226.270 2.667 20 0 BFADHN Cc1ccncc1[C@H](C)NCc1cc[nH]c1 ZINC000390406859 539021351 /nfs/dbraw/zinc/02/13/51/539021351.db2.gz BUPNLRQJXBCJHU-NSHDSACASA-N 0 3 215.300 2.569 20 0 BFADHN CC(C)=CCNCc1n[nH]c2ccccc12 ZINC000390375415 539021694 /nfs/dbraw/zinc/02/16/94/539021694.db2.gz XLQDXQPLTMOFJI-UHFFFAOYSA-N 0 3 215.300 2.619 20 0 BFADHN CC(C)=CCNCc1[nH]nc2ccccc21 ZINC000390375415 539021695 /nfs/dbraw/zinc/02/16/95/539021695.db2.gz XLQDXQPLTMOFJI-UHFFFAOYSA-N 0 3 215.300 2.619 20 0 BFADHN c1csc([C@@H](NCc2cn[nH]c2)C2CC2)c1 ZINC000042331486 361980905 /nfs/dbraw/zinc/98/09/05/361980905.db2.gz AZWZKIOJINFAHD-LBPRGKRZSA-N 0 3 233.340 2.712 20 0 BFADHN C/C=C/CN[C@H]1CCN(C(C)=O)c2ccccc21 ZINC000624199459 342842515 /nfs/dbraw/zinc/84/25/15/342842515.db2.gz PMSHPRWUPQIODD-XGACYXMMSA-N 0 3 244.338 2.650 20 0 BFADHN Cc1nnc(CN[C@@H]2CCC[C@H](C)CC2)s1 ZINC000391860454 398296969 /nfs/dbraw/zinc/29/69/69/398296969.db2.gz XLJSWUBUZZGCEP-GXSJLCMTSA-N 0 3 239.388 2.905 20 0 BFADHN CC[C@@H]1CCC[C@@H](CNCc2cocn2)C1 ZINC000390797827 539027501 /nfs/dbraw/zinc/02/75/01/539027501.db2.gz UPAUKOPVMXFNPP-VXGBXAGGSA-N 0 3 222.332 2.981 20 0 BFADHN CC[C@@H]1CCC[C@H](CNCc2cocn2)C1 ZINC000390797824 539027617 /nfs/dbraw/zinc/02/76/17/539027617.db2.gz UPAUKOPVMXFNPP-NEPJUHHUSA-N 0 3 222.332 2.981 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1ccc(C)nn1 ZINC000335633194 136241763 /nfs/dbraw/zinc/24/17/63/136241763.db2.gz LAVJKAYZYJOMHL-CQSZACIVSA-N 0 3 233.359 2.940 20 0 BFADHN CC(C)(CC(F)(F)F)NCc1cncs1 ZINC000389932064 539018871 /nfs/dbraw/zinc/01/88/71/539018871.db2.gz LKNPXFAZLWDKPU-UHFFFAOYSA-N 0 3 238.278 2.964 20 0 BFADHN CCCCCn1cc([C@H](N)C(C)(C)C)nn1 ZINC000640752069 361993655 /nfs/dbraw/zinc/99/36/55/361993655.db2.gz BIWRBJJGSFQPIY-NSHDSACASA-N 0 3 224.352 2.514 20 0 BFADHN CC(C)[C@H](N)C(=O)Nc1ccccc1C(C)(C)C ZINC000011958610 342845170 /nfs/dbraw/zinc/84/51/70/342845170.db2.gz PBISMFUGFOPDRW-ZDUSSCGKSA-N 0 3 248.370 2.906 20 0 BFADHN Fc1cnccc1CNC[C@H]1CCCC1(F)F ZINC000449492310 398301139 /nfs/dbraw/zinc/30/11/39/398301139.db2.gz IYIWMQJMGSKEGP-SNVBAGLBSA-N 0 3 244.260 2.746 20 0 BFADHN CC(C)Oc1ccnc(CN2CC[C@H](C)C2)c1 ZINC000649504237 398301184 /nfs/dbraw/zinc/30/11/84/398301184.db2.gz QGRWTOGFHJMMDF-LBPRGKRZSA-N 0 3 234.343 2.711 20 0 BFADHN CC(C)=CCN1CCc2ccc(O)cc2CC1 ZINC000144087381 362002338 /nfs/dbraw/zinc/00/23/38/362002338.db2.gz HHITUWUJOZXFEE-UHFFFAOYSA-N 0 3 231.339 2.759 20 0 BFADHN CCC[C@H](C)CCCN1CCCC[C@@H]1C(N)=O ZINC000625068968 342848349 /nfs/dbraw/zinc/84/83/49/342848349.db2.gz QFVLCRAWPHUHGV-QWHCGFSZSA-N 0 3 240.391 2.543 20 0 BFADHN CCCn1nccc1CN[C@H](CC)CSC ZINC000164870334 342853508 /nfs/dbraw/zinc/85/35/08/342853508.db2.gz GITDNKZGZZYGEA-LLVKDONJSA-N 0 3 241.404 2.524 20 0 BFADHN CCC[C@@H](C)CCCN1CCN(C2CC2)CC1 ZINC000625109390 342861123 /nfs/dbraw/zinc/86/11/23/342861123.db2.gz YKAODWSQPPZLIL-CQSZACIVSA-N 0 3 238.419 2.983 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2ccc(CO)o2)C1 ZINC000527363304 398304422 /nfs/dbraw/zinc/30/44/22/398304422.db2.gz SRXPCAANDFVGBK-LBPRGKRZSA-N 0 3 237.343 2.640 20 0 BFADHN CCO[C@@H](CN(C)Cc1ccoc1C)C1CC1 ZINC000625103472 342858644 /nfs/dbraw/zinc/85/86/44/342858644.db2.gz OQRYFYWDMIJRAO-AWEZNQCLSA-N 0 3 237.343 2.835 20 0 BFADHN CCN(C)CCN[C@H](C)c1cc(F)ccc1F ZINC000054109849 259327530 /nfs/dbraw/zinc/32/75/30/259327530.db2.gz ITBHAYWELKATBY-SNVBAGLBSA-N 0 3 242.313 2.567 20 0 BFADHN CCO[C@H](CN1Cc2ccccc2C1)C1CC1 ZINC000625148807 342894062 /nfs/dbraw/zinc/89/40/62/342894062.db2.gz AACDBYAXOMLCJI-OAHLLOKOSA-N 0 3 231.339 2.817 20 0 BFADHN CCN(Cc1ccoc1)C[C@@H]1CCO[C@@H]1C ZINC000639470799 398315912 /nfs/dbraw/zinc/31/59/12/398315912.db2.gz SVSUDUAWSNNNHW-YPMHNXCESA-N 0 3 223.316 2.527 20 0 BFADHN Cc1ccc(CNC[C@H](O)C(C)C)c(Cl)c1 ZINC000232735823 342879422 /nfs/dbraw/zinc/87/94/22/342879422.db2.gz AOAVVSHKAJRTGZ-ZDUSSCGKSA-N 0 3 241.762 2.755 20 0 BFADHN Cc1cc(OCC[C@@H]2CCOC2)c(C)c(C)n1 ZINC000584301705 398320994 /nfs/dbraw/zinc/32/09/94/398320994.db2.gz BBYOPHKZNRNDND-ZDUSSCGKSA-N 0 3 235.327 2.812 20 0 BFADHN Cc1cc(CN[C@@H]2c3ccccc3C[C@@H]2C)n[nH]1 ZINC000600815620 398313103 /nfs/dbraw/zinc/31/31/03/398313103.db2.gz HIJIFLJZRVFBCX-BONVTDFDSA-N 0 3 241.338 2.741 20 0 BFADHN Cc1cc(CN[C@@H]2c3ccccc3C[C@@H]2C)[nH]n1 ZINC000600815620 398313106 /nfs/dbraw/zinc/31/31/06/398313106.db2.gz HIJIFLJZRVFBCX-BONVTDFDSA-N 0 3 241.338 2.741 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2cccc(Cl)c2)O1 ZINC000148682909 398313583 /nfs/dbraw/zinc/31/35/83/398313583.db2.gz FRFOPUSRBYCDDU-ZWNOBZJWSA-N 0 3 239.746 2.997 20 0 BFADHN Cc1ccc(CCNCc2ccncc2F)cc1 ZINC000449143735 398314529 /nfs/dbraw/zinc/31/45/29/398314529.db2.gz QXKMIQHGMDMQSP-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN CC1(CN[C@H]2COCc3ccccc32)CC1 ZINC000232936426 342892321 /nfs/dbraw/zinc/89/23/21/342892321.db2.gz SRUMVBYBZZDYKH-ZDUSSCGKSA-N 0 3 217.312 2.648 20 0 BFADHN Cc1ccc(CN[C@@H]2CSC[C@H]2C)c(F)c1 ZINC000631135552 342908615 /nfs/dbraw/zinc/90/86/15/342908615.db2.gz WLLLLDQXUDCJEQ-ZWNOBZJWSA-N 0 3 239.359 2.975 20 0 BFADHN CN(C)Cc1ccccc1NC(=O)C1CCCC1 ZINC000024644578 342922984 /nfs/dbraw/zinc/92/29/84/342922984.db2.gz CCJYWIXWAKNNTM-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CC[C@](C)(CO)NCc1ccc(C)cc1Cl ZINC000234128598 342917226 /nfs/dbraw/zinc/91/72/26/342917226.db2.gz WWQOIXDSFNLVBF-CYBMUJFWSA-N 0 3 241.762 2.899 20 0 BFADHN CCC[C@@H](C)CCCN(CC(N)=O)C(C)(C)C ZINC000625222093 342918563 /nfs/dbraw/zinc/91/85/63/342918563.db2.gz IWFGOQCZYXSABN-GFCCVEGCSA-N 0 3 242.407 2.789 20 0 BFADHN CCOC[C@@H](N[C@@H](C)c1ccoc1)C(C)C ZINC000189361167 346873964 /nfs/dbraw/zinc/87/39/64/346873964.db2.gz UZCKYBNCJQSICG-WCQYABFASA-N 0 3 225.332 2.991 20 0 BFADHN CC[C@@H](C)c1nnc([C@@H](C)[NH2+]C2CCCC2)[n-]1 ZINC000488501161 529431811 /nfs/dbraw/zinc/43/18/11/529431811.db2.gz FPZVPMQGZSAWHE-NXEZZACHSA-N 0 3 236.363 2.911 20 0 BFADHN CC[C@@H](C)c1nnc([C@@H](C)NC2CCCC2)[nH]1 ZINC000488501161 529431813 /nfs/dbraw/zinc/43/18/13/529431813.db2.gz FPZVPMQGZSAWHE-NXEZZACHSA-N 0 3 236.363 2.911 20 0 BFADHN COC(=O)c1csc(CNCC=C(C)C)c1 ZINC000602630425 349647571 /nfs/dbraw/zinc/64/75/71/349647571.db2.gz BLZUBVIMFXEFMT-UHFFFAOYSA-N 0 3 239.340 2.591 20 0 BFADHN CC[C@@H](CNC/C=C/c1ccc(F)cc1)OC ZINC000353071512 398327132 /nfs/dbraw/zinc/32/71/32/398327132.db2.gz KALRGBDGRPWXJT-NNTXTVRGSA-N 0 3 237.318 2.854 20 0 BFADHN C[C@@H]1C[C@@H](C)[C@H](C)N(Cc2ccon2)C1 ZINC000351626225 136248863 /nfs/dbraw/zinc/24/88/63/136248863.db2.gz BVNRRMMQZPRWES-MXWKQRLJSA-N 0 3 208.305 2.541 20 0 BFADHN Cc1cc(CN2CCCCC(C)(C)C2)on1 ZINC000351650487 136249196 /nfs/dbraw/zinc/24/91/96/136249196.db2.gz OURCUVCYIAGLBL-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN c1cc(CCN[C@@H]2CCCc3scnc32)co1 ZINC000624012557 346879789 /nfs/dbraw/zinc/87/97/89/346879789.db2.gz ANDARXPXNPZTSV-LLVKDONJSA-N 0 3 248.351 2.946 20 0 BFADHN CC[C@H](O)[C@H](CC)N[C@@H](C)c1ccc(C)o1 ZINC000313092257 259330957 /nfs/dbraw/zinc/33/09/57/259330957.db2.gz PKKATCLYBFNGDR-SRVKXCTJSA-N 0 3 225.332 2.788 20 0 BFADHN c1c[nH]c(CN[C@@H]2CCCC23CCCC3)n1 ZINC000392910940 398336064 /nfs/dbraw/zinc/33/60/64/398336064.db2.gz YQCROWYQDBWJEF-LLVKDONJSA-N 0 3 219.332 2.612 20 0 BFADHN c1c[nH]c(CN[C@H]2CCCC23CCCC3)n1 ZINC000392910943 398336066 /nfs/dbraw/zinc/33/60/66/398336066.db2.gz YQCROWYQDBWJEF-NSHDSACASA-N 0 3 219.332 2.612 20 0 BFADHN C[C@H]1CN(C[C@]2(C)CCCS2)CC(C)(C)O1 ZINC000628154377 398336156 /nfs/dbraw/zinc/33/61/56/398336156.db2.gz VBLHZGRQQGQYKN-AAEUAGOBSA-N 0 3 243.416 2.771 20 0 BFADHN CC(C)N[C@@H](CO)c1cccc(C(F)(F)F)c1 ZINC000038115765 398336286 /nfs/dbraw/zinc/33/62/86/398336286.db2.gz GEJNVWVYLMSREP-NSHDSACASA-N 0 3 247.260 2.737 20 0 BFADHN CC(C)N[C@H](CO)c1cccc(C(F)(F)F)c1 ZINC000038115766 398336289 /nfs/dbraw/zinc/33/62/89/398336289.db2.gz GEJNVWVYLMSREP-LLVKDONJSA-N 0 3 247.260 2.737 20 0 BFADHN C[C@H]1c2ccsc2CCN1C[C@@H]1CCCO1 ZINC000078731875 398337319 /nfs/dbraw/zinc/33/73/19/398337319.db2.gz DGLRZNZEKMJBHH-QWRGUYRKSA-N 0 3 237.368 2.846 20 0 BFADHN CC[C@@H](NCC1(C(F)F)CC1)c1ccn(C)n1 ZINC000358996312 398337566 /nfs/dbraw/zinc/33/75/66/398337566.db2.gz DLZUPCDZJLEPPV-SECBINFHSA-N 0 3 243.301 2.506 20 0 BFADHN COCc1ccc(CN[C@@H](C)CC(C)C)o1 ZINC000125804807 398339449 /nfs/dbraw/zinc/33/94/49/398339449.db2.gz AGLUQSDATXEBNC-NSHDSACASA-N 0 3 225.332 2.950 20 0 BFADHN C[C@H](N[C@@H]1CCCc2occc21)[C@H]1CCCO1 ZINC000123920213 398338618 /nfs/dbraw/zinc/33/86/18/398338618.db2.gz CRZAKVYOCQQHSI-CYZMBNFOSA-N 0 3 235.327 2.814 20 0 BFADHN COC[C@H](NCCCCF)c1ccc(F)cc1 ZINC000351728767 136249959 /nfs/dbraw/zinc/24/99/59/136249959.db2.gz AICNJSATGOXYOW-ZDUSSCGKSA-N 0 3 243.297 2.853 20 0 BFADHN C[C@@H](O)CCCNCc1ccsc1Cl ZINC000308588160 346885534 /nfs/dbraw/zinc/88/55/34/346885534.db2.gz JEVKXMLFKDDQEQ-MRVPVSSYSA-N 0 3 233.764 2.652 20 0 BFADHN CC/C=C\CCN(C)Cc1cnn(C)c1C ZINC000562500275 323022055 /nfs/dbraw/zinc/02/20/55/323022055.db2.gz CGJOVAPZBIUFRP-SREVYHEPSA-N 0 3 221.348 2.517 20 0 BFADHN CCc1ccc([C@H](CO)NCC[C@H](C)F)cc1 ZINC000351750870 136250529 /nfs/dbraw/zinc/25/05/29/136250529.db2.gz BZVVIKCJAHIORE-FZMZJTMJSA-N 0 3 239.334 2.620 20 0 BFADHN CC(C)CCN(C)C(=O)C[C@H](N)c1ccccc1 ZINC000037817912 398334668 /nfs/dbraw/zinc/33/46/68/398334668.db2.gz ZJQLYQZWTJSWGQ-AWEZNQCLSA-N 0 3 248.370 2.581 20 0 BFADHN CCc1cccnc1[C@H](C)NCC[C@H](C)OC ZINC000356850311 342939088 /nfs/dbraw/zinc/93/90/88/342939088.db2.gz QDPATAYJANQPKQ-RYUDHWBXSA-N 0 3 236.359 2.720 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@@]1(C)CCCO1 ZINC000356869892 342946362 /nfs/dbraw/zinc/94/63/62/342946362.db2.gz NZSDJFIVMCYXQS-IUODEOHRSA-N 0 3 248.370 2.864 20 0 BFADHN COC[C@H](C)CN1Cc2ccccc2O[C@@H](C)C1 ZINC000576807875 365689902 /nfs/dbraw/zinc/68/99/02/365689902.db2.gz ZJMVMXFVIRIBLP-OLZOCXBDSA-N 0 3 249.354 2.552 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H]2C[C@H](O)C2(C)C)o1 ZINC000129424302 398340109 /nfs/dbraw/zinc/34/01/09/398340109.db2.gz ADJPOEUFSRUSGT-IMJCEVDSSA-N 0 3 249.354 2.652 20 0 BFADHN C[C@@H](CCCO)NCc1ccc(Cl)cc1F ZINC000133112887 398341276 /nfs/dbraw/zinc/34/12/76/398341276.db2.gz LLNFDANZLUZSKS-VIFPVBQESA-N 0 3 245.725 2.730 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1ccc(F)c(F)c1 ZINC000135463605 398342064 /nfs/dbraw/zinc/34/20/64/398342064.db2.gz GIODLVZTWPOWAW-GXSJLCMTSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@H](CN1CCN(CC)CC1)c1ccccc1 ZINC000505422591 529473266 /nfs/dbraw/zinc/47/32/66/529473266.db2.gz QSPRRLWMVXMTFQ-HNNXBMFYSA-N 0 3 246.398 2.818 20 0 BFADHN CCN(Cc1ncc(C)o1)[C@@H](C)C(C)C ZINC000075673593 344015178 /nfs/dbraw/zinc/01/51/78/344015178.db2.gz WNCWGSBXCNDUMK-NSHDSACASA-N 0 3 210.321 2.849 20 0 BFADHN Cc1ccc(NC(=O)C2(N)CCCCC2)c(C)c1 ZINC000019427760 342948689 /nfs/dbraw/zinc/94/86/89/342948689.db2.gz AHYMIPIIHOPMOH-UHFFFAOYSA-N 0 3 246.354 2.904 20 0 BFADHN C[C@@H](F)CCN(C)C[C@@H](C)c1nccs1 ZINC000351761354 136252732 /nfs/dbraw/zinc/25/27/32/136252732.db2.gz XHBIPWXDLPKPLO-NXEZZACHSA-N 0 3 230.352 2.927 20 0 BFADHN CCc1cc(NC(=O)/C=C/CN(C)C)ccc1C ZINC000492939698 529536927 /nfs/dbraw/zinc/53/69/27/529536927.db2.gz FFWQJXPHRDPMMN-VOTSOKGWSA-N 0 3 246.354 2.614 20 0 BFADHN C[C@@H]1CN([C@@H]2CCCc3ccc(F)cc32)C[C@H]1O ZINC000351815495 136255371 /nfs/dbraw/zinc/25/53/71/136255371.db2.gz MQMUFJAMNQUWCR-VCTAVGKDSA-N 0 3 249.329 2.516 20 0 BFADHN CC(C)(C)[C@H]1CCN(Cc2ccccc2)C[C@H]1O ZINC000352998764 187207267 /nfs/dbraw/zinc/20/72/67/187207267.db2.gz FBVLIWYLKUVCDQ-LSDHHAIUSA-N 0 3 247.382 2.916 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@@H](C)COC ZINC000019904927 342962286 /nfs/dbraw/zinc/96/22/86/342962286.db2.gz IOYZICXVFAIYKJ-NWDGAFQWSA-N 0 3 237.343 2.771 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@H](C)COC ZINC000019904929 342962298 /nfs/dbraw/zinc/96/22/98/342962298.db2.gz IOYZICXVFAIYKJ-VXGBXAGGSA-N 0 3 237.343 2.771 20 0 BFADHN Cc1ccc(CNC[C@H](c2ccco2)N(C)C)o1 ZINC000020122131 342969760 /nfs/dbraw/zinc/96/97/60/342969760.db2.gz KGBODUCFFCPAEO-CYBMUJFWSA-N 0 3 248.326 2.574 20 0 BFADHN CC(C)C[C@H](C)N[C@@H](c1nccn1C)C1CC1 ZINC000353869480 187209297 /nfs/dbraw/zinc/20/92/97/187209297.db2.gz LBJVBFCXIRZGLJ-WCQYABFASA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ccnc([C@H](C)NCCOC(F)(F)F)c1 ZINC000353670370 187209456 /nfs/dbraw/zinc/20/94/56/187209456.db2.gz AFBCKBMGKQNKIZ-VIFPVBQESA-N 0 3 248.248 2.577 20 0 BFADHN CC(C)(CO)NCc1cccc(C(F)(F)F)c1 ZINC000020196206 342976121 /nfs/dbraw/zinc/97/61/21/342976121.db2.gz YRSVHHANAMIPQG-UHFFFAOYSA-N 0 3 247.260 2.566 20 0 BFADHN CC(C)C[C@@H](CN[C@H](C)c1ccccn1)N(C)C ZINC000020201349 342976409 /nfs/dbraw/zinc/97/64/09/342976409.db2.gz VEWSRHQVYXDIJN-KGLIPLIRSA-N 0 3 249.402 2.709 20 0 BFADHN C[C@@H](NCc1ccccc1Cl)[C@@H]1CCCO1 ZINC000020205218 342976779 /nfs/dbraw/zinc/97/67/79/342976779.db2.gz ITMBAKAJAUEDIZ-MFKMUULPSA-N 0 3 239.746 2.997 20 0 BFADHN CC(C)C[C@H](CN[C@@H](C)c1ccco1)N(C)C ZINC000020201427 342976830 /nfs/dbraw/zinc/97/68/30/342976830.db2.gz OQYDOOJHKZDCGF-QWHCGFSZSA-N 0 3 238.375 2.907 20 0 BFADHN C[C@@H](N[C@@H](C1CC1)C1CCC1)c1ncc[nH]1 ZINC000453442857 488192747 /nfs/dbraw/zinc/19/27/47/488192747.db2.gz YELMFNMSZCSYCI-BXKDBHETSA-N 0 3 219.332 2.639 20 0 BFADHN CCN1CCC[C@@H]1CNc1ncccc1Cl ZINC000020016144 342966414 /nfs/dbraw/zinc/96/64/14/342966414.db2.gz JOUBDZPWHRFNLD-SNVBAGLBSA-N 0 3 239.750 2.631 20 0 BFADHN CC[C@@H](NCc1nccn1CC)C1CCCC1 ZINC000387495594 342989822 /nfs/dbraw/zinc/98/98/22/342989822.db2.gz DWLMBZTWIBBRIE-CYBMUJFWSA-N 0 3 235.375 2.961 20 0 BFADHN CCc1c2ccccc2oc1[C@@H](C)NCCO ZINC000020596968 342992223 /nfs/dbraw/zinc/99/22/23/342992223.db2.gz JMEKKGKJJAVTLO-SNVBAGLBSA-N 0 3 233.311 2.638 20 0 BFADHN CC[C@H](O)[C@@H]1CCCCN1Cc1cccc(C)n1 ZINC000357910829 187214163 /nfs/dbraw/zinc/21/41/63/187214163.db2.gz CPYQHDJVUMBWLI-GJZGRUSLSA-N 0 3 248.370 2.515 20 0 BFADHN CC(C)c1cccc(NC(=O)[C@@H]2CCCCN2)c1 ZINC000020475648 342986757 /nfs/dbraw/zinc/98/67/57/342986757.db2.gz JBCUDPCWYJCATM-AWEZNQCLSA-N 0 3 246.354 2.891 20 0 BFADHN C[C@@H](Cc1ccsc1)N[C@@H](C)c1ccnn1C ZINC000235134711 342987492 /nfs/dbraw/zinc/98/74/92/342987492.db2.gz QEQSKSVUVSYIGO-QWRGUYRKSA-N 0 3 249.383 2.763 20 0 BFADHN CCN(C#N)CCN[C@@H](c1cccs1)C1CC1 ZINC000602798004 349738446 /nfs/dbraw/zinc/73/84/46/349738446.db2.gz FGJDZZGBDHFSEK-CYBMUJFWSA-N 0 3 249.383 2.592 20 0 BFADHN COc1ccc(CNC[C@@H](C)C(F)(F)F)cc1 ZINC000359130149 187215760 /nfs/dbraw/zinc/21/57/60/187215760.db2.gz RQQVJNHUXUVTPB-SECBINFHSA-N 0 3 247.260 2.983 20 0 BFADHN CC(C)=CCNCc1cnc2cccc(C)n12 ZINC000359670056 187216146 /nfs/dbraw/zinc/21/61/46/187216146.db2.gz HXZFVBCBKTVAFI-UHFFFAOYSA-N 0 3 229.327 2.699 20 0 BFADHN CC[C@@H](C(=O)N1CCC[C@@H]1CC)N(CC)CC ZINC000359792657 187216391 /nfs/dbraw/zinc/21/63/91/187216391.db2.gz IBWFQWZGPQKJDN-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN CCN(C#N)CCN[C@@H](c1ccccc1)C1CC1 ZINC000602803241 349742372 /nfs/dbraw/zinc/74/23/72/349742372.db2.gz AODKSWSQHHJTNO-HNNXBMFYSA-N 0 3 243.354 2.530 20 0 BFADHN Clc1ccc(CN2CC[C@@H](C3CC3)C2)nc1 ZINC000335902415 136259542 /nfs/dbraw/zinc/25/95/42/136259542.db2.gz NISZJEVINXHFRX-LLVKDONJSA-N 0 3 236.746 2.967 20 0 BFADHN CCc1c(C)nn(-c2ccncc2C)c1C ZINC000634606335 343001662 /nfs/dbraw/zinc/00/16/62/343001662.db2.gz OZPZKCZNFXIBFR-UHFFFAOYSA-N 0 3 215.300 2.755 20 0 BFADHN Fc1cccc(CN2CCC3(C2)CCOCC3)c1 ZINC000076157822 344022288 /nfs/dbraw/zinc/02/22/88/344022288.db2.gz MUMCSNOZWLKZQP-UHFFFAOYSA-N 0 3 249.329 2.828 20 0 BFADHN CC(C)COCCN1C[C@H](C)C(F)(F)[C@@H](C)C1 ZINC000625651154 344023826 /nfs/dbraw/zinc/02/38/26/344023826.db2.gz AHTIJRMSKQXVMK-RYUDHWBXSA-N 0 3 249.345 2.882 20 0 BFADHN C[C@H](F)CCN1CCN(c2cccs2)CC1 ZINC000351872624 136260747 /nfs/dbraw/zinc/26/07/47/136260747.db2.gz FGRAYIZBFKNUSS-NSHDSACASA-N 0 3 242.363 2.618 20 0 BFADHN C[C@H]1CCCN1[C@H](C(=O)OC(C)(C)C)C1CC1 ZINC000602784362 349749515 /nfs/dbraw/zinc/74/95/15/349749515.db2.gz MYQAYCMORXQWAU-JQWIXIFHSA-N 0 3 239.359 2.591 20 0 BFADHN C[C@@H]1CCCN1[C@H](C(=O)OC(C)(C)C)C1CC1 ZINC000602784365 349749835 /nfs/dbraw/zinc/74/98/35/349749835.db2.gz MYQAYCMORXQWAU-PWSUYJOCSA-N 0 3 239.359 2.591 20 0 BFADHN COc1cncc(CN(C(C)C)C2CCCC2)n1 ZINC000625250851 343025308 /nfs/dbraw/zinc/02/53/08/343025308.db2.gz MCPCPOKNOYPMCC-UHFFFAOYSA-N 0 3 249.358 2.638 20 0 BFADHN CCCc1cccc(CN(C)C2CC(O)C2)c1 ZINC000361169538 187218201 /nfs/dbraw/zinc/21/82/01/187218201.db2.gz WANZMZJPZYBMKR-UHFFFAOYSA-N 0 3 233.355 2.594 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](CC)c1cccs1 ZINC000309032045 259341803 /nfs/dbraw/zinc/34/18/03/259341803.db2.gz FJXLQOPIZNTIOG-GMTAPVOTSA-N 0 3 225.357 2.966 20 0 BFADHN c1coc(CN[C@@H]2CCC[C@@H]([C@H]3CCOC3)C2)c1 ZINC000360963462 187218532 /nfs/dbraw/zinc/21/85/32/187218532.db2.gz CDSNCJCXXSAMNY-HZSPNIEDSA-N 0 3 249.354 2.965 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1ccc(C)s1 ZINC000309029523 259341885 /nfs/dbraw/zinc/34/18/85/259341885.db2.gz CTBHHGHEOHOXME-OUAUKWLOSA-N 0 3 225.357 2.885 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@@H](C)c1ccnn1C ZINC000235485687 343039630 /nfs/dbraw/zinc/03/96/30/343039630.db2.gz QEQSKSVUVSYIGO-MNOVXSKESA-N 0 3 249.383 2.763 20 0 BFADHN c1cc(CN2[C@H]3C=CC[C@@H]2CC3)cc2c1OCC2 ZINC000367534900 187220913 /nfs/dbraw/zinc/22/09/13/187220913.db2.gz BNMBBUSBSLVGDP-LSDHHAIUSA-N 0 3 241.334 2.914 20 0 BFADHN Cc1ccc2c(c1)CN(CC[C@@H](C)F)CCO2 ZINC000375769525 187226127 /nfs/dbraw/zinc/22/61/27/187226127.db2.gz QSYDFONRNJWQER-GFCCVEGCSA-N 0 3 237.318 2.938 20 0 BFADHN CCCCN(CC)Cc1c(C)noc1C ZINC000024547692 343073056 /nfs/dbraw/zinc/07/30/56/343073056.db2.gz HAUVWNFWVFHTSW-UHFFFAOYSA-N 0 3 210.321 2.913 20 0 BFADHN Cc1ccc(CNC[C@@H](O)C2CC2)c(Cl)c1 ZINC000235873763 343064838 /nfs/dbraw/zinc/06/48/38/343064838.db2.gz MWJVAUNGAFDHET-CYBMUJFWSA-N 0 3 239.746 2.509 20 0 BFADHN Cc1cccc(C)c1CN1C[C@H](C)OC[C@H]1C ZINC000247083773 343066492 /nfs/dbraw/zinc/06/64/92/343066492.db2.gz NMKNVCYPKPBLON-KGLIPLIRSA-N 0 3 233.355 2.913 20 0 BFADHN CC[C@H](N[C@H]1CCOC1)c1ccccc1F ZINC000166508415 529672477 /nfs/dbraw/zinc/67/24/77/529672477.db2.gz JWHQWBHBFNADGQ-GWCFXTLKSA-N 0 3 223.291 2.655 20 0 BFADHN Fc1ccc(CCN2CCCC2)cc1F ZINC000151909401 323033217 /nfs/dbraw/zinc/03/32/17/323033217.db2.gz LMPAWANSOXVOPC-UHFFFAOYSA-N 0 3 211.255 2.603 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1cnc2ccccc2n1 ZINC000383430861 362023606 /nfs/dbraw/zinc/02/36/06/362023606.db2.gz DEZXXXYEKIJIGQ-PWSUYJOCSA-N 0 3 227.311 2.518 20 0 BFADHN C[C@H]1CC[C@H]1NCc1cnc2ccccc2n1 ZINC000383430854 362023782 /nfs/dbraw/zinc/02/37/82/362023782.db2.gz DEZXXXYEKIJIGQ-CMPLNLGQSA-N 0 3 227.311 2.518 20 0 BFADHN CCOc1ccc(CNC[C@@H]2CC[C@@H](C)O2)cc1 ZINC000398194255 343084363 /nfs/dbraw/zinc/08/43/63/343084363.db2.gz BZVHHFUNMWMJBX-DOMZBBRYSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ccncc1CNC1(C2(C)CC2)CC1 ZINC000398207199 343088011 /nfs/dbraw/zinc/08/80/11/343088011.db2.gz DHTASSFXPQNVIS-UHFFFAOYSA-N 0 3 216.328 2.812 20 0 BFADHN CC1(C)CC[C@H]1N[C@H]1CCCc2c[nH]nc21 ZINC000335602612 187231233 /nfs/dbraw/zinc/23/12/33/187231233.db2.gz SIVXBLSZCKESTA-WDEREUQCSA-N 0 3 219.332 2.565 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(CC)cc1 ZINC000309954763 343108445 /nfs/dbraw/zinc/10/84/45/343108445.db2.gz HSKWOSVIRYSTNN-KBPBESRZSA-N 0 3 219.328 2.516 20 0 BFADHN Cc1csc(CN[C@@H](C)c2ccnn2C)c1 ZINC000344617346 187232261 /nfs/dbraw/zinc/23/22/61/187232261.db2.gz VAQLSHTVUYWTEY-JTQLQIEISA-N 0 3 235.356 2.641 20 0 BFADHN Fc1ccc(CN2CCCC2)c2ncccc12 ZINC000042121788 343093405 /nfs/dbraw/zinc/09/34/05/343093405.db2.gz BWYUMBHYWIHSCD-UHFFFAOYSA-N 0 3 230.286 2.970 20 0 BFADHN CC[C@H](COC)NC/C=C/c1ccccc1OC ZINC000120211209 343111928 /nfs/dbraw/zinc/11/19/28/343111928.db2.gz WVJOVFHWEBIIEI-RCQQVGEISA-N 0 3 249.354 2.723 20 0 BFADHN CC(C)[C@H](N[C@@H](C)c1ccco1)C(C)(C)O ZINC000276756491 187238944 /nfs/dbraw/zinc/23/89/44/187238944.db2.gz IKOJIXDYNXVAJW-JQWIXIFHSA-N 0 3 225.332 2.726 20 0 BFADHN CN(CCc1ccccc1)Cc1ccc[nH]1 ZINC000014959049 343136483 /nfs/dbraw/zinc/13/64/83/343136483.db2.gz GFGGPQWFSJTTRK-UHFFFAOYSA-N 0 3 214.312 2.689 20 0 BFADHN Cc1csc(CN[C@@H]2CCC23CCC3)n1 ZINC000281988931 187240466 /nfs/dbraw/zinc/24/04/66/187240466.db2.gz CIARCVZTOOGSSH-SNVBAGLBSA-N 0 3 222.357 2.874 20 0 BFADHN CC[C@H](N[C@H](C)c1ccccc1OC)[C@@H](C)O ZINC000386940418 343143127 /nfs/dbraw/zinc/14/31/27/343143127.db2.gz VQROKKJUPNTTCM-WZRBSPASSA-N 0 3 237.343 2.505 20 0 BFADHN COc1ccc([C@H](C)CN[C@H](C)CF)cc1 ZINC000288494976 187241346 /nfs/dbraw/zinc/24/13/46/187241346.db2.gz RJHLRSACLNGSNQ-GHMZBOCLSA-N 0 3 225.307 2.746 20 0 BFADHN CC(C)(CO)CCN[C@@H]1CCCc2occc21 ZINC000296506225 187241879 /nfs/dbraw/zinc/24/18/79/187241879.db2.gz PHEGJKLFBHRPCM-GFCCVEGCSA-N 0 3 237.343 2.655 20 0 BFADHN C[C@@H](F)CCN[C@@H](C)c1cn2ccccc2n1 ZINC000576957478 365732602 /nfs/dbraw/zinc/73/26/02/365732602.db2.gz NVORCWKWAAFTHQ-MNOVXSKESA-N 0 3 235.306 2.733 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCCCF)o1 ZINC000124414067 343167271 /nfs/dbraw/zinc/16/72/71/343167271.db2.gz OCYQMICKJHDTQL-ONGXEEELSA-N 0 3 211.280 2.852 20 0 BFADHN FCCCN[C@H]1CCc2c1c(F)ccc2F ZINC000125931331 343167717 /nfs/dbraw/zinc/16/77/17/343167717.db2.gz HMRMDRCPKOBJPF-NSHDSACASA-N 0 3 229.245 2.901 20 0 BFADHN FCCCN[C@@H]1CCc2c1c(F)ccc2F ZINC000125931532 343167853 /nfs/dbraw/zinc/16/78/53/343167853.db2.gz HMRMDRCPKOBJPF-LLVKDONJSA-N 0 3 229.245 2.901 20 0 BFADHN CC[C@H](N[C@@H]1CO[C@H](C)C1)c1ccsc1 ZINC000402112828 349800605 /nfs/dbraw/zinc/80/06/05/349800605.db2.gz DXAQBPIDGJKXJF-USWWRNFRSA-N 0 3 225.357 2.966 20 0 BFADHN CCN(Cc1ccc(Cl)o1)C[C@H]1CCOC1 ZINC000678151558 488207768 /nfs/dbraw/zinc/20/77/68/488207768.db2.gz ONVMBUWUVIDPSR-SNVBAGLBSA-N 0 3 243.734 2.791 20 0 BFADHN CC[C@H](N[C@H]1CO[C@@H](C)C1)c1ccsc1 ZINC000402112829 349800961 /nfs/dbraw/zinc/80/09/61/349800961.db2.gz DXAQBPIDGJKXJF-WCQGTBRESA-N 0 3 225.357 2.966 20 0 BFADHN CCO[C@H](CN(CC)Cc1ccncc1)C1CC1 ZINC000625279839 343218810 /nfs/dbraw/zinc/21/88/10/343218810.db2.gz GNJSCYIYIDLNBW-OAHLLOKOSA-N 0 3 248.370 2.719 20 0 BFADHN CCO[C@H](CN[C@@H](C)c1ccccn1)C1CC1 ZINC000625320714 343234229 /nfs/dbraw/zinc/23/42/29/343234229.db2.gz YDEQSVFWPGMPQC-SMDDNHRTSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@H](C)O[C@H]2C)c1F ZINC000384506761 343242616 /nfs/dbraw/zinc/24/26/16/343242616.db2.gz RMJHHZAYPVQCNQ-GMXVVIOVSA-N 0 3 237.318 2.790 20 0 BFADHN CC(C)(C)CCn1cc([C@@H](N)C(C)(C)C)nn1 ZINC000641043896 362154467 /nfs/dbraw/zinc/15/44/67/362154467.db2.gz XCHYWJHKKPJTEX-LLVKDONJSA-N 0 3 238.379 2.760 20 0 BFADHN Clc1ccccc1CNC[C@@H]1CCCCO1 ZINC000035151068 343286869 /nfs/dbraw/zinc/28/68/69/343286869.db2.gz VSUZEFRLDJEUTJ-LBPRGKRZSA-N 0 3 239.746 2.999 20 0 BFADHN CCc1nc(CN[C@H](C)CC2CC2)cs1 ZINC000069665369 343288145 /nfs/dbraw/zinc/28/81/45/343288145.db2.gz IRGADHDGJKNYRQ-SECBINFHSA-N 0 3 224.373 2.984 20 0 BFADHN COCCCN(C)Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000051501620 343258379 /nfs/dbraw/zinc/25/83/79/343258379.db2.gz MSSUOBFLOLKNEU-AAEUAGOBSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H](Cc1cccs1)NCc1ccccn1 ZINC000035254159 343290921 /nfs/dbraw/zinc/29/09/21/343290921.db2.gz XROVODKCFRYJPG-NSHDSACASA-N 0 3 232.352 2.864 20 0 BFADHN CCC[C@H](N)c1cn(CCC(C)(C)CC)nn1 ZINC000641049214 362160605 /nfs/dbraw/zinc/16/06/05/362160605.db2.gz GOBOAYCFNXOURY-NSHDSACASA-N 0 3 238.379 2.904 20 0 BFADHN C[C@@H]1CCN(C/C=C/c2ccccc2)C[C@H]1CO ZINC000621363541 343266992 /nfs/dbraw/zinc/26/69/92/343266992.db2.gz RFPZSXNHYJUALJ-ZTHSPGPESA-N 0 3 245.366 2.650 20 0 BFADHN CCn1ccnc1CNC[C@H](C)c1ccccc1 ZINC000051921156 343278742 /nfs/dbraw/zinc/27/87/42/343278742.db2.gz KVPZSCBDYABSJW-ZDUSSCGKSA-N 0 3 243.354 2.796 20 0 BFADHN CCC[C@H](N)C(=O)Nc1ccc(Cl)cc1C ZINC000036873020 343325933 /nfs/dbraw/zinc/32/59/33/343325933.db2.gz QEMLUUAECLNKQZ-JTQLQIEISA-N 0 3 240.734 2.714 20 0 BFADHN C[C@@H](CCc1ccccc1)NCc1cc[nH]n1 ZINC000036900192 343326627 /nfs/dbraw/zinc/32/66/27/343326627.db2.gz PZCGOFSQUVZTTH-LBPRGKRZSA-N 0 3 229.327 2.521 20 0 BFADHN C[C@@H](N)C(=O)N[C@H](C)c1ccc(C(C)(C)C)cc1 ZINC000037007439 343330849 /nfs/dbraw/zinc/33/08/49/343330849.db2.gz IBLLDQOYBPBHKE-GHMZBOCLSA-N 0 3 248.370 2.509 20 0 BFADHN CCC[C@H](N)C(=O)Nc1ccc(Cl)c(F)c1 ZINC000037030547 343331635 /nfs/dbraw/zinc/33/16/35/343331635.db2.gz LRSVUEIZFBXPHM-JTQLQIEISA-N 0 3 244.697 2.545 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cn2cccn2)cc1C ZINC000035272026 343292050 /nfs/dbraw/zinc/29/20/50/343292050.db2.gz KDXAQXKWJQOVSR-AWEZNQCLSA-N 0 3 243.354 2.678 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H](C)c1nccn1C ZINC000070007582 343296568 /nfs/dbraw/zinc/29/65/68/343296568.db2.gz QADKJJXBRGRPHS-MNOVXSKESA-N 0 3 209.337 2.505 20 0 BFADHN CC[C@H](N[C@H](C)c1nccn1C)C(C)C ZINC000070008035 343296613 /nfs/dbraw/zinc/29/66/13/343296613.db2.gz DZHCXPYFGWVTAQ-MNOVXSKESA-N 0 3 209.337 2.505 20 0 BFADHN CC[C@@H](N[C@H](C)c1nccn1C)C(C)C ZINC000070008037 343296737 /nfs/dbraw/zinc/29/67/37/343296737.db2.gz DZHCXPYFGWVTAQ-GHMZBOCLSA-N 0 3 209.337 2.505 20 0 BFADHN c1csc(CN2CC(C3CCC3)C2)c1 ZINC000348711501 136293826 /nfs/dbraw/zinc/29/38/26/136293826.db2.gz RKSVLTXDJURUJV-UHFFFAOYSA-N 0 3 207.342 2.980 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)[C@H]1CCCOC1 ZINC000348713863 136293857 /nfs/dbraw/zinc/29/38/57/136293857.db2.gz LPQWSKQECZLLHS-HKLWWIALSA-N 0 3 249.329 2.950 20 0 BFADHN CCN(Cc1cc(F)cc(Cl)c1)C[C@H](C)O ZINC000348713566 136293909 /nfs/dbraw/zinc/29/39/09/136293909.db2.gz WNQRIHVOXJMBLQ-VIFPVBQESA-N 0 3 245.725 2.682 20 0 BFADHN C[C@H](CO)N[C@@H](C)c1ccccc1C(F)(F)F ZINC000035603343 343299750 /nfs/dbraw/zinc/29/97/50/343299750.db2.gz SPLQHMXMDRQQPZ-BDAKNGLRSA-N 0 3 247.260 2.737 20 0 BFADHN Fc1cccc(CN[C@H]2CC[C@H](F)C2)c1F ZINC000335620268 136298865 /nfs/dbraw/zinc/29/88/65/136298865.db2.gz CZGGBOJUBHKIJU-UWVGGRQHSA-N 0 3 229.245 2.945 20 0 BFADHN COc1cc2c(cc1F)CN(CCC1CC1)CC2 ZINC000348815213 136299002 /nfs/dbraw/zinc/29/90/02/136299002.db2.gz WAFUFYPJURREAP-UHFFFAOYSA-N 0 3 249.329 2.993 20 0 BFADHN CC[C@H](NCc1cn[nH]c1)c1ccc(C)cc1 ZINC000038005847 343365168 /nfs/dbraw/zinc/36/51/68/343365168.db2.gz CSZMMACCWWXWPR-AWEZNQCLSA-N 0 3 229.327 2.959 20 0 BFADHN CC[C@@H](NCc1c[nH]nc1C)c1ccccc1 ZINC000038011179 343365520 /nfs/dbraw/zinc/36/55/20/343365520.db2.gz GJAOVQQVGBVWHQ-CQSZACIVSA-N 0 3 229.327 2.959 20 0 BFADHN CCO[C@@H](CN(C)Cc1cnccc1C)C1CC1 ZINC000625382649 343366303 /nfs/dbraw/zinc/36/63/03/343366303.db2.gz DAWQGGKRHDOLSW-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@@H](NC[C@H](C)N(C)C)c1ccc(F)cc1F ZINC000038088661 343370215 /nfs/dbraw/zinc/37/02/15/343370215.db2.gz QBBGHFVKEJRCDX-VHSXEESVSA-N 0 3 242.313 2.566 20 0 BFADHN Cc1csc([C@H](C)N[C@H](C)C2CC2)n1 ZINC000070634140 343336249 /nfs/dbraw/zinc/33/62/49/343336249.db2.gz ANCPVQANMQTSMQ-BDAKNGLRSA-N 0 3 210.346 2.901 20 0 BFADHN Cc1csc([C@@H](C)N[C@@H](C)C2CC2)n1 ZINC000070634137 343336457 /nfs/dbraw/zinc/33/64/57/343336457.db2.gz ANCPVQANMQTSMQ-DTWKUNHWSA-N 0 3 210.346 2.901 20 0 BFADHN C[C@@H](CN1CCCCC1)N[C@@H](C)c1cccnc1 ZINC000037153975 343336557 /nfs/dbraw/zinc/33/65/57/343336557.db2.gz HXMNAEZOZMJULQ-KBPBESRZSA-N 0 3 247.386 2.607 20 0 BFADHN C[C@H](Cn1ccnc1)N[C@H](C)c1ccc(F)cc1 ZINC000037157918 343337533 /nfs/dbraw/zinc/33/75/33/343337533.db2.gz LLYPIFNGBGANQH-VXGBXAGGSA-N 0 3 247.317 2.762 20 0 BFADHN C[C@@H](Cn1cccn1)N[C@@H]1CCc2ccccc21 ZINC000037155556 343337569 /nfs/dbraw/zinc/33/75/69/343337569.db2.gz BSVNQBMYBMIEKU-SWLSCSKDSA-N 0 3 241.338 2.549 20 0 BFADHN CC(C)C[C@@H](CN(C)C)N[C@H](C)c1ccccn1 ZINC000037166823 343337819 /nfs/dbraw/zinc/33/78/19/343337819.db2.gz QEOVKTNVVPPFLK-KGLIPLIRSA-N 0 3 249.402 2.709 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc2occc2c1 ZINC000348836903 136301953 /nfs/dbraw/zinc/30/19/53/136301953.db2.gz FJTQVVYCEKDJHN-LLVKDONJSA-N 0 3 233.311 2.900 20 0 BFADHN FC(F)n1ccnc1CNCC1CCCCC1 ZINC000037250852 343341250 /nfs/dbraw/zinc/34/12/50/343341250.db2.gz UODGDWBVCNBAQQ-UHFFFAOYSA-N 0 3 243.301 2.948 20 0 BFADHN c1c(CNC2CCCCC2)nnn1CCC1CC1 ZINC000641066708 362176250 /nfs/dbraw/zinc/17/62/50/362176250.db2.gz KJBOOMVMSIPONX-UHFFFAOYSA-N 0 3 248.374 2.501 20 0 BFADHN CC(C)COc1ccccc1CNC1CC1 ZINC000032013513 187256532 /nfs/dbraw/zinc/25/65/32/187256532.db2.gz QICUBGPJXCTAAY-UHFFFAOYSA-N 0 3 219.328 2.973 20 0 BFADHN CCOc1cccc(CN[C@H](C)[C@H]2CCCO2)c1 ZINC000037678472 343350841 /nfs/dbraw/zinc/35/08/41/343350841.db2.gz LAIIUNMUMULYKO-IUODEOHRSA-N 0 3 249.354 2.742 20 0 BFADHN COc1ncccc1CNCCC(C)(C)C ZINC000037731405 343352983 /nfs/dbraw/zinc/35/29/83/343352983.db2.gz XJCSJAPIFLQAKF-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN Fc1cccc(Cl)c1CNC[C@@H]1CCOC1 ZINC000037738193 343353346 /nfs/dbraw/zinc/35/33/46/343353346.db2.gz LRXJCKCZFGINKK-VIFPVBQESA-N 0 3 243.709 2.605 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(F)cc2)[C@H](C)C1 ZINC000335677339 136319140 /nfs/dbraw/zinc/31/91/40/136319140.db2.gz JLLNAHSSMUDUOI-RISCZKNCSA-N 0 3 237.318 2.825 20 0 BFADHN CC[C@H](N)c1cn([C@H](C)c2cccc(C)c2)nn1 ZINC000641074923 362184145 /nfs/dbraw/zinc/18/41/45/362184145.db2.gz NVQQBLNNGAIKEB-YPMHNXCESA-N 0 3 244.342 2.606 20 0 BFADHN Cc1cn[nH]c1CN1CC[C@@H]1c1ccccc1 ZINC000335663883 136316072 /nfs/dbraw/zinc/31/60/72/136316072.db2.gz SMAVHLQRLNEGGQ-CQSZACIVSA-N 0 3 227.311 2.665 20 0 BFADHN CCSc1ccccc1[C@@H](C)NCCCO ZINC000166254296 136322437 /nfs/dbraw/zinc/32/24/37/136322437.db2.gz CQTLBHBABQQJDG-LLVKDONJSA-N 0 3 239.384 2.832 20 0 BFADHN CC(C)(C)CNCc1cccc2c1OCO2 ZINC000040492842 343405173 /nfs/dbraw/zinc/40/51/73/343405173.db2.gz GETIIGMESQYEBP-UHFFFAOYSA-N 0 3 221.300 2.551 20 0 BFADHN CCCCC(=O)Nc1cccc(CN(C)C)c1 ZINC000047452648 343405748 /nfs/dbraw/zinc/40/57/48/343405748.db2.gz KVZLZYAQAGDPLR-UHFFFAOYSA-N 0 3 234.343 2.877 20 0 BFADHN CCCCOCCNCc1cccs1 ZINC000040506046 343405767 /nfs/dbraw/zinc/40/57/67/343405767.db2.gz WJHOXIKIOWMDEN-UHFFFAOYSA-N 0 3 213.346 2.654 20 0 BFADHN C[C@@H](c1ccccc1F)N1CC[C@@](O)(C2CC2)C1 ZINC000335694423 136326556 /nfs/dbraw/zinc/32/65/56/136326556.db2.gz ODHLPWORTZRIAS-NHYWBVRUSA-N 0 3 249.329 2.734 20 0 BFADHN CCc1nc(CN[C@@H]2CC=CCC2)cs1 ZINC000080123185 343407756 /nfs/dbraw/zinc/40/77/56/343407756.db2.gz YTNREXDYQGBBBT-SNVBAGLBSA-N 0 3 222.357 2.904 20 0 BFADHN CCC[C@](C)(N)C(=O)N(CCC(C)C)C1CC1 ZINC000037807058 187260926 /nfs/dbraw/zinc/26/09/26/187260926.db2.gz PSBSGQHFOUOELM-AWEZNQCLSA-N 0 3 240.391 2.541 20 0 BFADHN CC(C)[C@@H](N)c1cn(CCC2=CCCCC2)nn1 ZINC000641079258 362191208 /nfs/dbraw/zinc/19/12/08/362191208.db2.gz MYHFDNOVAJBLLE-CQSZACIVSA-N 0 3 248.374 2.824 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CCC[C@@](F)(CO)C1 ZINC000349036513 136320174 /nfs/dbraw/zinc/32/01/74/136320174.db2.gz QPMBSKKSCJIFLM-MCIONIFRSA-N 0 3 243.366 2.609 20 0 BFADHN Cc1ccc(CN(C)CCN(C)C2CC2)c(C)c1 ZINC000349360299 136343036 /nfs/dbraw/zinc/34/30/36/136343036.db2.gz ABLPEEYTVODSHC-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN CCCc1cccc(CN2CC[C@@H](C)[C@@H](O)C2)c1 ZINC000349417939 136345542 /nfs/dbraw/zinc/34/55/42/136345542.db2.gz NDZXDCWDYWULJQ-CJNGLKHVSA-N 0 3 247.382 2.842 20 0 BFADHN Cc1ccc(CN2CCC(C)=C(C)C2)nc1 ZINC000335725917 136346966 /nfs/dbraw/zinc/34/69/66/136346966.db2.gz JMPJVZOVMJAFOE-UHFFFAOYSA-N 0 3 216.328 2.932 20 0 BFADHN CC(C)(C)[C@@H](N)c1cn(CC2(C)CCC2)nn1 ZINC000641087475 362209616 /nfs/dbraw/zinc/20/96/16/362209616.db2.gz HGXSNKZSJWWQMR-NSHDSACASA-N 0 3 236.363 2.514 20 0 BFADHN CC(C)c1nc(CN2C[C@@H](C)CC2(C)C)n[nH]1 ZINC000335682985 136329374 /nfs/dbraw/zinc/32/93/74/136329374.db2.gz NTFQXVJCFPNNRT-JTQLQIEISA-N 0 3 236.363 2.549 20 0 BFADHN Cc1oncc1CN1CC[C@@H](C)C(C)(C)C1 ZINC000335685892 136330330 /nfs/dbraw/zinc/33/03/30/136330330.db2.gz HLYADZVAZFXQHL-SNVBAGLBSA-N 0 3 222.332 2.851 20 0 BFADHN C[C@H]1c2ccccc2CN1CC[C@@H]1CCOC1 ZINC000335700565 136333883 /nfs/dbraw/zinc/33/38/83/136333883.db2.gz AGIZSEUCPXPFIG-QWHCGFSZSA-N 0 3 231.339 2.990 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@H]3CC[C@@H]2O3)oc1C ZINC000367086807 136335388 /nfs/dbraw/zinc/33/53/88/136335388.db2.gz MWQLQSIWAIPMIG-SQNXGDPESA-N 0 3 235.327 2.867 20 0 BFADHN C/C=C\C[C@H](CO)N[C@@H]1CCc2c1cccc2F ZINC000349349408 136342479 /nfs/dbraw/zinc/34/24/79/136342479.db2.gz AXQXFRGUEUKJHW-HOZRCZGOSA-N 0 3 249.329 2.730 20 0 BFADHN CC1(C)CN(C/C=C/c2ccncc2)CCS1 ZINC000335844590 136354718 /nfs/dbraw/zinc/35/47/18/136354718.db2.gz ZZUNRMIISQKWIC-ONEGZZNKSA-N 0 3 248.395 2.922 20 0 BFADHN CC(C)[C@H](C)NCc1ccn(C(C)C)n1 ZINC000082866364 362243206 /nfs/dbraw/zinc/24/32/06/362243206.db2.gz KHSJCEIIDNTJKJ-NSHDSACASA-N 0 3 209.337 2.598 20 0 BFADHN CC(C)[C@@H](C)NCc1ccn(C(C)C)n1 ZINC000082866363 362243377 /nfs/dbraw/zinc/24/33/77/362243377.db2.gz KHSJCEIIDNTJKJ-LLVKDONJSA-N 0 3 209.337 2.598 20 0 BFADHN CCc1nc(C)c(CN2C[C@H]3CCC[C@@H]3C2)o1 ZINC000335879574 136357176 /nfs/dbraw/zinc/35/71/76/136357176.db2.gz GPDBYXWADPOFJR-VXGBXAGGSA-N 0 3 234.343 2.777 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2C[C@H](C)O[C@H]2C)c1 ZINC000395054010 362220955 /nfs/dbraw/zinc/22/09/55/362220955.db2.gz MWGLJFYCZSHUMQ-COPLHBTASA-N 0 3 237.318 2.790 20 0 BFADHN Cc1ncccc1CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000335896928 136359174 /nfs/dbraw/zinc/35/91/74/136359174.db2.gz BHUCBSUFXYCLIA-HUUCEWRRSA-N 0 3 246.354 2.533 20 0 BFADHN CCOC(=O)CN(CC(C)C)C[C@H](C)CC ZINC000350787884 136363311 /nfs/dbraw/zinc/36/33/11/136363311.db2.gz RPCUEBUHWAYEKQ-GFCCVEGCSA-N 0 3 229.364 2.554 20 0 BFADHN Cc1cccc(CCCN2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000350995774 136366556 /nfs/dbraw/zinc/36/65/56/136366556.db2.gz UAWCIVPIZKWIHS-IYBDPMFKSA-N 0 3 245.366 2.791 20 0 BFADHN CC[C@@H](NCc1nc(C)cs1)C1CC1 ZINC000083055751 343475658 /nfs/dbraw/zinc/47/56/58/343475658.db2.gz AEJOLPUGBDLKFS-SNVBAGLBSA-N 0 3 210.346 2.730 20 0 BFADHN CCCN(Cc1cncc(F)c1)C(C)C ZINC000570056535 323048763 /nfs/dbraw/zinc/04/87/63/323048763.db2.gz HNEBHGCCDZDFLM-UHFFFAOYSA-N 0 3 210.296 2.841 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(Cl)cc1 ZINC000379923110 365750591 /nfs/dbraw/zinc/75/05/91/365750591.db2.gz JDYXXQNPAJFHJV-VXGBXAGGSA-N 0 3 225.719 2.607 20 0 BFADHN CN(CCOc1ccccc1)C[C@@H]1CCCCO1 ZINC000042976741 343461724 /nfs/dbraw/zinc/46/17/24/343461724.db2.gz LNPVWNKXXXZHJL-HNNXBMFYSA-N 0 3 249.354 2.566 20 0 BFADHN C[C@H](NCCN1CCCC[C@H]1C)c1ccoc1 ZINC000178066383 346945937 /nfs/dbraw/zinc/94/59/37/346945937.db2.gz QCQRWJGGILUATC-OLZOCXBDSA-N 0 3 236.359 2.805 20 0 BFADHN Cc1csc([C@H](C)NCCC2CC2)n1 ZINC000083926597 343510277 /nfs/dbraw/zinc/51/02/77/343510277.db2.gz ILMNKBJZQJMKKS-VIFPVBQESA-N 0 3 210.346 2.902 20 0 BFADHN CC[C@@H](C)C(=O)Nc1ccc(CN(C)C)cc1 ZINC000045069571 343522487 /nfs/dbraw/zinc/52/24/87/343522487.db2.gz KLRWAXFZUPEHQY-LLVKDONJSA-N 0 3 234.343 2.733 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCc3cccnc32)[C@@H](C)O1 ZINC000384542950 343539341 /nfs/dbraw/zinc/53/93/41/343539341.db2.gz FFNFKAGIOWCGOR-RFHZTLPTSA-N 0 3 246.354 2.615 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCc3cccnc32)[C@H](C)O1 ZINC000384542951 343539502 /nfs/dbraw/zinc/53/95/02/343539502.db2.gz FFNFKAGIOWCGOR-WVWOOGAGSA-N 0 3 246.354 2.615 20 0 BFADHN CC1(C)C[C@H]1NCc1cccc2c1OCCCO2 ZINC000044370369 343498541 /nfs/dbraw/zinc/49/85/41/343498541.db2.gz KCAOPKLKJCEQIM-CYBMUJFWSA-N 0 3 247.338 2.736 20 0 BFADHN COC[C@H](C)CNCc1c(F)cccc1Cl ZINC000044478623 343502179 /nfs/dbraw/zinc/50/21/79/343502179.db2.gz SWMCNQVFDKFCLJ-SECBINFHSA-N 0 3 245.725 2.851 20 0 BFADHN COC1(CNCc2ccco2)CCC(C)CC1 ZINC000279646587 187341858 /nfs/dbraw/zinc/34/18/58/187341858.db2.gz DOITWUDXPSCAHE-UHFFFAOYSA-N 0 3 237.343 2.965 20 0 BFADHN CC(C)C[C@H](CO)N[C@@H](C)c1ccccc1F ZINC000040773808 187264024 /nfs/dbraw/zinc/26/40/24/187264024.db2.gz VMNOLQZPOCGZFY-NWDGAFQWSA-N 0 3 239.334 2.883 20 0 BFADHN COc1ccsc1[C@H](C)NCCSC ZINC000379580994 343577136 /nfs/dbraw/zinc/57/71/36/343577136.db2.gz ADKIISOQSZNCOG-QMMMGPOBSA-N 0 3 231.386 2.770 20 0 BFADHN CO[C@@H](CNCc1ccco1)c1ccccc1 ZINC000048557582 343583713 /nfs/dbraw/zinc/58/37/13/343583713.db2.gz CLVYMOGKVSMYCV-AWEZNQCLSA-N 0 3 231.295 2.757 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1ccc(Cl)o1 ZINC000379663807 343591550 /nfs/dbraw/zinc/59/15/50/343591550.db2.gz UDRMAGVJHSBCSN-BDAKNGLRSA-N 0 3 231.748 2.917 20 0 BFADHN Cc1nc(CNCC(C)(C)C)sc1C ZINC000085696414 343601203 /nfs/dbraw/zinc/60/12/03/343601203.db2.gz PWDKUUUAXQWLGO-UHFFFAOYSA-N 0 3 212.362 2.896 20 0 BFADHN C[C@H]1CCN(CCC(F)(F)F)CCS1 ZINC000335864629 344035374 /nfs/dbraw/zinc/03/53/74/344035374.db2.gz FJCCPQXGTAJKFG-QMMMGPOBSA-N 0 3 227.295 2.766 20 0 BFADHN Cc1cccc(CNC2CC(C)(C)C2)n1 ZINC000380000841 365790480 /nfs/dbraw/zinc/79/04/80/365790480.db2.gz MOWBETGSKVEHFG-UHFFFAOYSA-N 0 3 204.317 2.668 20 0 BFADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1cccnc1 ZINC000086934480 343632514 /nfs/dbraw/zinc/63/25/14/343632514.db2.gz DNWVGCBTMXXCPS-JFGNBEQYSA-N 0 3 222.357 2.626 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H]2CCOC(C)(C)C2)c1 ZINC000286255831 187345069 /nfs/dbraw/zinc/34/50/69/187345069.db2.gz UXLAHAUZUPBMOL-CHWSQXEVSA-N 0 3 248.370 2.998 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H]2CCSc3ccccc32)C1 ZINC000624297174 343675339 /nfs/dbraw/zinc/67/53/39/343675339.db2.gz RNVVESOAFDXAOF-NQBHXWOUSA-N 0 3 249.379 2.991 20 0 BFADHN C[C@H](NC[C@@H]1CCCS1)c1ccoc1 ZINC000087667662 343656429 /nfs/dbraw/zinc/65/64/29/343656429.db2.gz BEYGAPCMNROHHV-ONGXEEELSA-N 0 3 211.330 2.826 20 0 BFADHN CC1(CN2CCOc3ccccc3C2)CC1 ZINC000683827443 488228254 /nfs/dbraw/zinc/22/82/54/488228254.db2.gz WYWMCDSLUJARME-UHFFFAOYSA-N 0 3 217.312 2.681 20 0 BFADHN C/C=C/CN[C@H](C)c1cccc(C#N)c1 ZINC000379956017 343659637 /nfs/dbraw/zinc/65/96/37/343659637.db2.gz VLEXHJGVPSYNKO-PGLGOXFNSA-N 0 3 200.285 2.785 20 0 BFADHN COc1ccncc1CN([C@H](C)C1CC1)C1CC1 ZINC000287289622 187345889 /nfs/dbraw/zinc/34/58/89/187345889.db2.gz MCFIIOGXDSZUOD-LLVKDONJSA-N 0 3 246.354 2.853 20 0 BFADHN CC(C)(O)CN1CC(C)(C)[C@@H]1c1cccs1 ZINC000287099271 187346029 /nfs/dbraw/zinc/34/60/29/187346029.db2.gz XRYVPHZWGQVFFX-NSHDSACASA-N 0 3 239.384 2.902 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1ccon1 ZINC000088052579 343698747 /nfs/dbraw/zinc/69/87/47/343698747.db2.gz DLPXTQACFXKGNI-ZYHUDNBSSA-N 0 3 208.305 2.733 20 0 BFADHN COCCN(Cc1cc(C)ccc1C)C1CC1 ZINC000048024191 343702106 /nfs/dbraw/zinc/70/21/06/343702106.db2.gz NOBVAQADVDYNEA-UHFFFAOYSA-N 0 3 233.355 2.914 20 0 BFADHN CCc1cnc(CN[C@H]2[C@@H]3CCC[C@@H]32)s1 ZINC000309032863 346956040 /nfs/dbraw/zinc/95/60/40/346956040.db2.gz GDPZCMUSZJYPCM-IAZYJMLFSA-N 0 3 222.357 2.594 20 0 BFADHN CC(C)=CCN1CCCc2occc2C1 ZINC000288949976 187346772 /nfs/dbraw/zinc/34/67/72/187346772.db2.gz XMRAJHFWRJREEA-UHFFFAOYSA-N 0 3 205.301 2.994 20 0 BFADHN OCc1ccc(CNCCC2CCCCC2)o1 ZINC000083924598 343685275 /nfs/dbraw/zinc/68/52/75/343685275.db2.gz SHZJTZRHBJBLRU-UHFFFAOYSA-N 0 3 237.343 2.832 20 0 BFADHN CCCC[C@@H](CC)CN1CCc2nc[nH]c2C1 ZINC000625436134 343733684 /nfs/dbraw/zinc/73/36/84/343733684.db2.gz FRVFLSLTQPAMOL-GFCCVEGCSA-N 0 3 235.375 2.984 20 0 BFADHN CCC[C@H](CC)NCc1ncccc1N(C)C ZINC000289789553 187346968 /nfs/dbraw/zinc/34/69/68/187346968.db2.gz NCSFGJXJLHLITQ-LBPRGKRZSA-N 0 3 235.375 2.816 20 0 BFADHN CSCCN[C@H](C)c1ccc(C)nc1C ZINC000309083412 346960703 /nfs/dbraw/zinc/96/07/03/346960703.db2.gz SYXBWMVVRCOPPQ-SNVBAGLBSA-N 0 3 224.373 2.712 20 0 BFADHN CC(C)n1cc(CN(C)C(C)(C)C)cn1 ZINC000584232622 343776484 /nfs/dbraw/zinc/77/64/84/343776484.db2.gz FEAOVNCQOWALIC-UHFFFAOYSA-N 0 3 209.337 2.694 20 0 BFADHN CSCc1cccc(CNC2CSC2)c1 ZINC000309081832 349856332 /nfs/dbraw/zinc/85/63/32/349856332.db2.gz QUIVIHUTIMYANT-UHFFFAOYSA-N 0 3 239.409 2.755 20 0 BFADHN CCOc1cncc(CN2CC[C@@H](C)[C@@H](C)C2)c1 ZINC000621716560 343766272 /nfs/dbraw/zinc/76/62/72/343766272.db2.gz FLQGWXFNKUTJMU-OLZOCXBDSA-N 0 3 248.370 2.958 20 0 BFADHN CC(C)CSCCNCc1cccnc1 ZINC000053618975 187271887 /nfs/dbraw/zinc/27/18/87/187271887.db2.gz CNQBQQUVVPRGMY-UHFFFAOYSA-N 0 3 224.373 2.560 20 0 BFADHN CC1(C)COC[C@@H]1NCc1sccc1Cl ZINC000313061326 343781197 /nfs/dbraw/zinc/78/11/97/343781197.db2.gz ICABBLFZNSHFQG-JTQLQIEISA-N 0 3 245.775 2.916 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CO[C@H](C)C2)cs1 ZINC000402135265 349863703 /nfs/dbraw/zinc/86/37/03/349863703.db2.gz KJDNPRDWSFXKGM-UBHAPETDSA-N 0 3 225.357 2.885 20 0 BFADHN CCc1cnc(CN[C@H]2CC[C@@H]2CC)s1 ZINC000309169977 346975650 /nfs/dbraw/zinc/97/56/50/346975650.db2.gz KYERMUIMHLDLIK-ONGXEEELSA-N 0 3 224.373 2.984 20 0 BFADHN CCc1cnc(CN[C@H]2CC[C@H]2CC)s1 ZINC000309169975 346976080 /nfs/dbraw/zinc/97/60/80/346976080.db2.gz KYERMUIMHLDLIK-KOLCDFICSA-N 0 3 224.373 2.984 20 0 BFADHN CC(C)=CCC[C@@H](C)[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000294821205 187351335 /nfs/dbraw/zinc/35/13/35/187351335.db2.gz OVMWPMHPNWIDMP-LLVKDONJSA-N 0 3 248.374 2.907 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1nnc(C2CC2)[nH]1 ZINC000294821205 187351337 /nfs/dbraw/zinc/35/13/37/187351337.db2.gz OVMWPMHPNWIDMP-LLVKDONJSA-N 0 3 248.374 2.907 20 0 BFADHN COc1ccccc1-c1cc(CNC(C)C)on1 ZINC000145188046 537817295 /nfs/dbraw/zinc/81/72/95/537817295.db2.gz WNSJFQCZLWDTQG-UHFFFAOYSA-N 0 3 246.310 2.848 20 0 BFADHN Cc1ccc(CN2[C@H](C)C[C@H]2C)c(C)n1 ZINC000336460693 178590995 /nfs/dbraw/zinc/59/09/95/178590995.db2.gz FHCFUVYRWHGBMZ-GHMZBOCLSA-N 0 3 204.317 2.681 20 0 BFADHN CC(C)CC1(CN[C@H](C)c2ncc[nH]2)CC1 ZINC000336662747 537820137 /nfs/dbraw/zinc/82/01/37/537820137.db2.gz KPPZEFPIWKBCSC-LLVKDONJSA-N 0 3 221.348 2.887 20 0 BFADHN CCC(CC)CN[C@@H](CC)c1ccn(C)n1 ZINC000336675541 537823456 /nfs/dbraw/zinc/82/34/56/537823456.db2.gz BKYPZIODPUORPT-LBPRGKRZSA-N 0 3 223.364 2.897 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2cnn3ccccc23)C1 ZINC000179761429 346996179 /nfs/dbraw/zinc/99/61/79/346996179.db2.gz YWWXMVJZMKDALX-OLZOCXBDSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@@H](O)CN[C@H](CC)c1cc(F)ccc1F ZINC000179777502 346996690 /nfs/dbraw/zinc/99/66/90/346996690.db2.gz JBAFQWZTNZJNNY-ZWNOBZJWSA-N 0 3 243.297 2.776 20 0 BFADHN CC(C)CCc1ccc(NC(=O)C(C)(C)N)cc1 ZINC000577062488 365798771 /nfs/dbraw/zinc/79/87/71/365798771.db2.gz MZQYWTCGOPFRRZ-UHFFFAOYSA-N 0 3 248.370 2.951 20 0 BFADHN CC(C)(NCCc1cn[nH]c1)c1ccccc1F ZINC000298120644 187352928 /nfs/dbraw/zinc/35/29/28/187352928.db2.gz PEOJKBREDWTWBG-UHFFFAOYSA-N 0 3 247.317 2.616 20 0 BFADHN Cc1nc(C)c(CN(C)[C@@H](C)C2(C)CC2)o1 ZINC000683899287 488241352 /nfs/dbraw/zinc/24/13/52/488241352.db2.gz YJCVDHBJLFHOOZ-JTQLQIEISA-N 0 3 222.332 2.912 20 0 BFADHN Cc1ccc(CNC2(CO)CCC2)cc1Cl ZINC000193737791 178601587 /nfs/dbraw/zinc/60/15/87/178601587.db2.gz YAUSBIHHSKYUFP-UHFFFAOYSA-N 0 3 239.746 2.653 20 0 BFADHN Cc1cc([C@@H](C)NCC2CC(C)C2)no1 ZINC000621772000 343897609 /nfs/dbraw/zinc/89/76/09/343897609.db2.gz UZUDOJBIZNFOIB-LDGBHUKOSA-N 0 3 208.305 2.680 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1ccc(C#N)s1 ZINC000393613778 343900456 /nfs/dbraw/zinc/90/04/56/343900456.db2.gz SRVVHEJYUIGJSB-GZMMTYOYSA-N 0 3 238.381 2.603 20 0 BFADHN CC[C@@H](O)CN1CC=C(c2ccccc2)CC1 ZINC000106382471 347013664 /nfs/dbraw/zinc/01/36/64/347013664.db2.gz GBYOBTQQZMCYQK-OAHLLOKOSA-N 0 3 231.339 2.547 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1cc(C#N)cs1 ZINC000393635775 343902577 /nfs/dbraw/zinc/90/25/77/343902577.db2.gz WCZSRHDWTFJNKT-KWQFWETISA-N 0 3 238.381 2.603 20 0 BFADHN C[C@@H]1CCC[C@@H]1N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000345346746 343904290 /nfs/dbraw/zinc/90/42/90/343904290.db2.gz CUTXKHGRJDBUQD-WISYIIOYSA-N 0 3 219.332 2.667 20 0 BFADHN CC(C)CC[C@H](C)N[C@H](C)c1cnccn1 ZINC000070024698 343947321 /nfs/dbraw/zinc/94/73/21/343947321.db2.gz VRILGQPHEIPMAB-NWDGAFQWSA-N 0 3 221.348 2.952 20 0 BFADHN CC[C@@H](C)N(CC)Cc1cc(CO)ccc1F ZINC000625528443 343918334 /nfs/dbraw/zinc/91/83/34/343918334.db2.gz CGWDMGVMJAYNBZ-LLVKDONJSA-N 0 3 239.334 2.938 20 0 BFADHN CC(C)[C@@H](C)n1cc(CNC2CCCC2)nn1 ZINC000641188424 362262823 /nfs/dbraw/zinc/26/28/23/362262823.db2.gz NXCANPYEMITPAJ-LLVKDONJSA-N 0 3 236.363 2.527 20 0 BFADHN CC(C)C[C@H]1COCCN1CCCCCF ZINC000341887562 187356228 /nfs/dbraw/zinc/35/62/28/187356228.db2.gz ZFMAJJPKBOQADF-ZDUSSCGKSA-N 0 3 231.355 2.873 20 0 BFADHN CCn1nc(CNC(C)(C)C)c2ccccc21 ZINC000080069789 187281712 /nfs/dbraw/zinc/28/17/12/187281712.db2.gz CGAKCJWBVMHXTE-UHFFFAOYSA-N 0 3 231.343 2.944 20 0 BFADHN CCN1CCN(C[C@@H](C)c2ccc(C)cc2)CC1 ZINC000628118839 343969563 /nfs/dbraw/zinc/96/95/63/343969563.db2.gz MRXQXRZOVHQZRD-OAHLLOKOSA-N 0 3 246.398 2.736 20 0 BFADHN C[C@@H](O)CCCN[C@H](c1ccccn1)C1CCC1 ZINC000625785429 344074113 /nfs/dbraw/zinc/07/41/13/344074113.db2.gz BNRLPFYEFOGJHY-DOMZBBRYSA-N 0 3 248.370 2.673 20 0 BFADHN Cn1cc(CN2CC(C)(C)CCC2(C)C)cn1 ZINC000625723429 344056582 /nfs/dbraw/zinc/05/65/82/344056582.db2.gz OOFUPENFDOIRTN-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@@H]1C[C@@H](CN2Cc3ccc(O)cc3C2)CCO1 ZINC000625727789 344059265 /nfs/dbraw/zinc/05/92/65/344059265.db2.gz LXDCOWOMIHYJJT-NEPJUHHUSA-N 0 3 247.338 2.523 20 0 BFADHN FC1(F)CCCN(CCc2cscn2)CC1 ZINC000625770683 344066578 /nfs/dbraw/zinc/06/65/78/344066578.db2.gz OLHHSVXXTSJCPH-UHFFFAOYSA-N 0 3 246.326 2.807 20 0 BFADHN FC1(F)CCCN(CCc2ccncc2)CC1 ZINC000625767313 344068566 /nfs/dbraw/zinc/06/85/66/344068566.db2.gz JMBNILXSNFDFDB-UHFFFAOYSA-N 0 3 240.297 2.745 20 0 BFADHN CC[C@@H](N)C(=O)N(C)[C@@H](c1ccccc1)C(C)C ZINC000129832553 344073069 /nfs/dbraw/zinc/07/30/69/344073069.db2.gz JSFKLWMYWUVDOA-ZIAGYGMSSA-N 0 3 248.370 2.579 20 0 BFADHN Cc1ccc(CN[C@@]2(C)CCOC2)cc1Cl ZINC000235857666 178628862 /nfs/dbraw/zinc/62/88/62/178628862.db2.gz ZTEKEGSSRNTJIJ-ZDUSSCGKSA-N 0 3 239.746 2.917 20 0 BFADHN Cc1ccc(CN[C@H](C)Cn2cccn2)c(C)c1 ZINC000035259098 178630323 /nfs/dbraw/zinc/63/03/23/178630323.db2.gz VERDBBBBJYNYSH-CQSZACIVSA-N 0 3 243.354 2.678 20 0 BFADHN CC[C@@H](N)C(=O)N[C@H](CCC(C)C)C(C)(C)C ZINC000129869489 344080953 /nfs/dbraw/zinc/08/09/53/344080953.db2.gz OSYOVFYMDSLGJT-VXGBXAGGSA-N 0 3 242.407 2.691 20 0 BFADHN CCC(CC)[C@H](O)CN[C@@H](C)c1ccoc1 ZINC000167512391 344088151 /nfs/dbraw/zinc/08/81/51/344088151.db2.gz MFOTTWJWJFIXSE-GXFFZTMASA-N 0 3 225.332 2.727 20 0 BFADHN CC(C)CCOCCN(C1CC1)C1CC1 ZINC000625838200 344090551 /nfs/dbraw/zinc/09/05/51/344090551.db2.gz UHOAYWXHDXEMQV-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN CN(CCN(C1CC1)C1CC1)Cc1ccccc1 ZINC000625841954 344093403 /nfs/dbraw/zinc/09/34/03/344093403.db2.gz SXVWZCOIQBVSCY-UHFFFAOYSA-N 0 3 244.382 2.745 20 0 BFADHN Cc1ccc(CN[C@H]2CCO[C@@H](C(C)C)C2)nc1 ZINC000357356408 178634535 /nfs/dbraw/zinc/63/45/35/178634535.db2.gz QCIVYWLHXHYIBS-DZGCQCFKSA-N 0 3 248.370 2.683 20 0 BFADHN COC[C@H](N[C@@H]1CC[C@@H]1C1CC1)c1ccco1 ZINC000348112161 537840221 /nfs/dbraw/zinc/84/02/21/537840221.db2.gz CMLZMJOYQOTGCL-UPJWGTAASA-N 0 3 235.327 2.745 20 0 BFADHN CC[C@H](CC(F)F)CN1CCO[C@@H]2CCC[C@@H]21 ZINC000625853936 344125917 /nfs/dbraw/zinc/12/59/17/344125917.db2.gz NUCQEIROUWJGAE-GRYCIOLGSA-N 0 3 247.329 2.921 20 0 BFADHN C([C@@H]1CC[C@H]2C[C@H]2C1)N1CCO[C@@H]2CCC[C@@H]21 ZINC000625854116 344125992 /nfs/dbraw/zinc/12/59/92/344125992.db2.gz WYPLCAUYTRWOCK-QKGCVVFFSA-N 0 3 235.371 2.676 20 0 BFADHN Cc1csc([C@@H](C)N[C@@H](C)CC(C)(C)O)n1 ZINC000384808931 344162705 /nfs/dbraw/zinc/16/27/05/344162705.db2.gz CEZYCGBGORIJBF-WCBMZHEXSA-N 0 3 242.388 2.652 20 0 BFADHN CCn1ccnc1CN(C)[C@@H]1CCCC[C@@H]1C ZINC000195514377 344207631 /nfs/dbraw/zinc/20/76/31/344207631.db2.gz DYCDORVEUDJCMY-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN C/C=C\CN[C@@H](CC)c1ccc(F)cn1 ZINC000384882525 344208786 /nfs/dbraw/zinc/20/87/86/344208786.db2.gz IVLGRYMNVFSBRO-MZBZXASESA-N 0 3 208.280 2.838 20 0 BFADHN CC1(C)CCC[C@@H]1NCc1nccs1 ZINC000086831062 344223451 /nfs/dbraw/zinc/22/34/51/344223451.db2.gz ZOASSVRWAKAMSJ-VIFPVBQESA-N 0 3 210.346 2.811 20 0 BFADHN CC/C=C/CCN1CCO[C@H](C(F)F)CC1 ZINC000634758476 344226535 /nfs/dbraw/zinc/22/65/35/344226535.db2.gz POVVKLPJFXUJHQ-UFFNRZRYSA-N 0 3 233.302 2.699 20 0 BFADHN CCC[C@H](C)N1CCO[C@H](C(F)F)CC1 ZINC000634758943 344227937 /nfs/dbraw/zinc/22/79/37/344227937.db2.gz ZARBKZUDPVJGSW-UWVGGRQHSA-N 0 3 221.291 2.531 20 0 BFADHN CC1(C)C[C@H]1NCc1scnc1C1CC1 ZINC000336750156 537841463 /nfs/dbraw/zinc/84/14/63/537841463.db2.gz FROAMAJFDXLIEY-SNVBAGLBSA-N 0 3 222.357 2.909 20 0 BFADHN Cc1cnc(CN2[C@@H](C)CC[C@@H]2C)s1 ZINC000180542046 347032588 /nfs/dbraw/zinc/03/25/88/347032588.db2.gz NUUUPSBAEBRJMV-IUCAKERBSA-N 0 3 210.346 2.824 20 0 BFADHN Cc1ccc(C[C@H](NCCF)C2CC2)cc1 ZINC000281186495 178652057 /nfs/dbraw/zinc/65/20/57/178652057.db2.gz XGVQFEFUMFGLMF-AWEZNQCLSA-N 0 3 221.319 2.875 20 0 BFADHN Cc1ccc(C[C@@H](C)NCc2cncnc2)s1 ZINC000080228440 178647178 /nfs/dbraw/zinc/64/71/78/178647178.db2.gz HSDQYIDQRNMJKM-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN Cc1ccc2ncc(CN3C[C@H](C)[C@H](C)C3)n2c1 ZINC000093504209 344318292 /nfs/dbraw/zinc/31/82/92/344318292.db2.gz YGZMJIUKFVBLDA-BETUJISGSA-N 0 3 243.354 2.731 20 0 BFADHN CC[C@@H](CN1CCC[C@H]1c1ccccn1)OC ZINC000573583470 344322098 /nfs/dbraw/zinc/32/20/98/344322098.db2.gz AQVLRKZPZVDHEP-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN CN(Cc1ccnc(C#N)c1)C1CC(C)(C)C1 ZINC000395457861 344328746 /nfs/dbraw/zinc/32/87/46/344328746.db2.gz DEOOHLYFRVOEFB-UHFFFAOYSA-N 0 3 229.327 2.574 20 0 BFADHN C[C@@H](c1ccncc1)N1CCS[C@H](C)C1 ZINC000600641203 344349339 /nfs/dbraw/zinc/34/93/39/344349339.db2.gz NRBFKNDJBUTICO-MNOVXSKESA-N 0 3 222.357 2.580 20 0 BFADHN C[C@@H](NCCC(C)(C)C)c1cnccn1 ZINC000132720512 344307330 /nfs/dbraw/zinc/30/73/30/344307330.db2.gz BKLUMTQQJYJJDS-SNVBAGLBSA-N 0 3 207.321 2.563 20 0 BFADHN C/C=C/CNCc1cnn(Cc2ccccc2)c1 ZINC000385051673 344309768 /nfs/dbraw/zinc/30/97/68/344309768.db2.gz SSUOSMMPFKSLEB-NSCUHMNNSA-N 0 3 241.338 2.597 20 0 BFADHN CCCC[C@@H](CC)CNC(=O)CN(CC)CC ZINC000096953916 344384008 /nfs/dbraw/zinc/38/40/08/344384008.db2.gz OFCLLFHGTXMZDJ-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CCCC[C@@H]1CCC[C@H]1NCc1nnc(C)[nH]1 ZINC000358042891 344403448 /nfs/dbraw/zinc/40/34/48/344403448.db2.gz GEHOLMNJSVMBGB-VXGBXAGGSA-N 0 3 236.363 2.562 20 0 BFADHN Cc1ccc(CNCCOC(C)C)cc1 ZINC000042223158 344447251 /nfs/dbraw/zinc/44/72/51/344447251.db2.gz DZPXQCGOFOTRJM-UHFFFAOYSA-N 0 3 207.317 2.510 20 0 BFADHN CCCCN(C)Cc1cccc(OCC)n1 ZINC000158160135 323072267 /nfs/dbraw/zinc/07/22/67/323072267.db2.gz RVQMFIANOPYLIX-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CC[C@@H]1CCCN(Cc2cc(OC)ccn2)C1 ZINC000106859843 344477657 /nfs/dbraw/zinc/47/76/57/344477657.db2.gz APAUUZPJIHZJOM-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1ccc(Cl)cc1C ZINC000381457405 344508925 /nfs/dbraw/zinc/50/89/25/344508925.db2.gz JTDUQRCHLKOXEQ-VIFPVBQESA-N 0 3 240.734 2.585 20 0 BFADHN CC(C)C(NCc1nc(C#N)cs1)C(C)C ZINC000381500863 344513511 /nfs/dbraw/zinc/51/35/11/344513511.db2.gz OWRAPXXBGMXSJW-UHFFFAOYSA-N 0 3 237.372 2.785 20 0 BFADHN CCOC(=O)C[C@H](C)N(C)Cc1cccs1 ZINC000043622761 344528533 /nfs/dbraw/zinc/52/85/33/344528533.db2.gz ISGQZCVONFBGPI-JTQLQIEISA-N 0 3 241.356 2.522 20 0 BFADHN Cc1csc(CN[C@H]2CC[C@H]2C(C)C)n1 ZINC000336765184 537847886 /nfs/dbraw/zinc/84/78/86/537847886.db2.gz QUULYYRYAVAWAW-QWRGUYRKSA-N 0 3 224.373 2.976 20 0 BFADHN Cc1ccc(F)c(CN[C@H](C)Cn2cccn2)c1 ZINC000227283457 178674063 /nfs/dbraw/zinc/67/40/63/178674063.db2.gz YYAOJBJUFZALCR-GFCCVEGCSA-N 0 3 247.317 2.509 20 0 BFADHN CC(C)[C@@H]1CCCCN1Cc1ccnc(C#N)c1 ZINC000395790646 344564045 /nfs/dbraw/zinc/56/40/45/344564045.db2.gz UJAKJRGDYDWNFB-HNNXBMFYSA-N 0 3 243.354 2.964 20 0 BFADHN CCN(Cc1ccc(F)c(F)c1)CC(C)(C)O ZINC000112675254 344649419 /nfs/dbraw/zinc/64/94/19/344649419.db2.gz PZNLTQBWBFGQKI-UHFFFAOYSA-N 0 3 243.297 2.558 20 0 BFADHN Fc1ccc(CN[C@H]2CCSC2)cc1F ZINC000049577246 344654371 /nfs/dbraw/zinc/65/43/71/344654371.db2.gz RUKRLUZCZZPELR-VIFPVBQESA-N 0 3 229.295 2.560 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCO[C@H](CCF)C2)C1 ZINC000626004532 344666234 /nfs/dbraw/zinc/66/62/34/344666234.db2.gz JRMYIAKWKSNPCW-HZSPNIEDSA-N 0 3 243.366 2.873 20 0 BFADHN CC[C@@H](O)CN[C@H](C)c1ccccc1Cl ZINC000049508757 344643452 /nfs/dbraw/zinc/64/34/52/344643452.db2.gz BUKOOIGWJXQGRQ-NXEZZACHSA-N 0 3 227.735 2.762 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cc(C)no1 ZINC000075021887 344762013 /nfs/dbraw/zinc/76/20/13/344762013.db2.gz BZVVVFNPXFYAFM-KOLCDFICSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1ncc(C)o1 ZINC000075022521 344762606 /nfs/dbraw/zinc/76/26/06/344762606.db2.gz SEXGORQUXATHNV-ONGXEEELSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cc(C)no2)C1 ZINC000075999168 344790117 /nfs/dbraw/zinc/79/01/17/344790117.db2.gz ZXURJTDVRJJUPO-ZDUSSCGKSA-N 0 3 222.332 2.995 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC3(CCCC3)O2)[C@@H]1C ZINC000582135565 347075870 /nfs/dbraw/zinc/07/58/70/347075870.db2.gz CHNCMNLLGQQXIX-UPJWGTAASA-N 0 3 223.360 2.818 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC3(CCCC3)O2)[C@H]1C ZINC000582135562 347076187 /nfs/dbraw/zinc/07/61/87/347076187.db2.gz CHNCMNLLGQQXIX-AGIUHOORSA-N 0 3 223.360 2.818 20 0 BFADHN CCC1(NCc2ccc(OC)cc2OC)CC1 ZINC000294759377 168496205 /nfs/dbraw/zinc/49/62/05/168496205.db2.gz IXQPSZNRZYQITK-UHFFFAOYSA-N 0 3 235.327 2.736 20 0 BFADHN C[C@@H](C(=O)N(C)c1ccccc1)N1CCC[C@H]1C ZINC000076115794 344796817 /nfs/dbraw/zinc/79/68/17/344796817.db2.gz QYSZNBLVNLCJOG-OLZOCXBDSA-N 0 3 246.354 2.522 20 0 BFADHN C[C@@H](C(=O)N(C)c1ccccc1)N1CCC[C@@H]1C ZINC000076115795 344796855 /nfs/dbraw/zinc/79/68/55/344796855.db2.gz QYSZNBLVNLCJOG-STQMWFEESA-N 0 3 246.354 2.522 20 0 BFADHN Cc1cc(CN2C[C@H](C)CC[C@@H]2C)on1 ZINC000076150218 344798254 /nfs/dbraw/zinc/79/82/54/344798254.db2.gz IYUIKIMTEIFAPE-KOLCDFICSA-N 0 3 208.305 2.603 20 0 BFADHN CCCN(Cc1cnc(C)o1)CC(C)C ZINC000628139578 344801346 /nfs/dbraw/zinc/80/13/46/344801346.db2.gz JWGAZBLWWDIPNF-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN CCN(Cc1cccs1)C[C@@H](C)OC ZINC000182008211 347081240 /nfs/dbraw/zinc/08/12/40/347081240.db2.gz ZXBSJHQOCUGZOX-SNVBAGLBSA-N 0 3 213.346 2.605 20 0 BFADHN CCN(Cc1cccs1)C[C@H](C)OC ZINC000182008233 347081478 /nfs/dbraw/zinc/08/14/78/347081478.db2.gz ZXBSJHQOCUGZOX-JTQLQIEISA-N 0 3 213.346 2.605 20 0 BFADHN OCC[C@@H](NCc1ccsc1)c1ccccc1 ZINC000077468190 344819642 /nfs/dbraw/zinc/81/96/42/344819642.db2.gz OHIOYHCWLZEREG-CQSZACIVSA-N 0 3 247.363 2.961 20 0 BFADHN Cc1cc(F)ccc1CSCCN(C)C ZINC000182078993 347083332 /nfs/dbraw/zinc/08/33/32/347083332.db2.gz MMOTWOLKWBUBDM-UHFFFAOYSA-N 0 3 227.348 2.929 20 0 BFADHN Cc1ncc(CN2CCCC(C)(C)C2)o1 ZINC000628147686 344831778 /nfs/dbraw/zinc/83/17/78/344831778.db2.gz VCKBEKNGEQDNNO-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](C)[C@H]2C)o1 ZINC000628160407 344856903 /nfs/dbraw/zinc/85/69/03/344856903.db2.gz UJUBVKJOYJHSAI-NXEZZACHSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H]1CN(Cc2ccc3[nH]ccc3c2)CCCO1 ZINC000628162265 344863551 /nfs/dbraw/zinc/86/35/51/344863551.db2.gz JSEDWGDQYAMHPD-LBPRGKRZSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1c[nH]c(CN2CCC[C@H]2C(C)(C)C)n1 ZINC000628162688 344864142 /nfs/dbraw/zinc/86/41/42/344864142.db2.gz ZUCVUFVBPRNYHK-NSHDSACASA-N 0 3 221.348 2.729 20 0 BFADHN CCN(Cc1cn2cccnc2n1)[C@H](C)C(C)C ZINC000120258647 344884574 /nfs/dbraw/zinc/88/45/74/344884574.db2.gz YDWXRFHPGQHMLT-GFCCVEGCSA-N 0 3 246.358 2.596 20 0 BFADHN COC[C@H](NCC1(OC)CCC1)c1ccccc1 ZINC000234735893 537872850 /nfs/dbraw/zinc/87/28/50/537872850.db2.gz YKNPHYZNTWYVED-AWEZNQCLSA-N 0 3 249.354 2.533 20 0 BFADHN c1cc(CN2CCC[C@H]2C2CCCCC2)n[nH]1 ZINC000153482571 344886585 /nfs/dbraw/zinc/88/65/85/344886585.db2.gz IBXQETUXUFHIAP-AWEZNQCLSA-N 0 3 233.359 2.954 20 0 BFADHN CCC1(NCc2cccc(NC(C)=O)c2)CC1 ZINC000631147311 344911803 /nfs/dbraw/zinc/91/18/03/344911803.db2.gz LNIWOVWGFDIZOI-UHFFFAOYSA-N 0 3 232.327 2.677 20 0 BFADHN Cc1c[nH]c(CN2[C@H](C)C[C@H]3CCCC[C@@H]32)n1 ZINC000628174708 344896287 /nfs/dbraw/zinc/89/62/87/344896287.db2.gz TVVKOAOIRBJIQH-UPJWGTAASA-N 0 3 233.359 2.871 20 0 BFADHN Cc1c[nH]c(CN2[C@H](C)C[C@H]3CCCC[C@H]32)n1 ZINC000628174707 344896328 /nfs/dbraw/zinc/89/63/28/344896328.db2.gz TVVKOAOIRBJIQH-JHJVBQTASA-N 0 3 233.359 2.871 20 0 BFADHN Cc1ccc([C@H](C)CN(C)Cc2cn[nH]c2)cc1 ZINC000628175550 344899766 /nfs/dbraw/zinc/89/97/66/344899766.db2.gz BCRCVTKULVWDDQ-CYBMUJFWSA-N 0 3 243.354 2.954 20 0 BFADHN Cc1nc(CN(CC2CC2)CC2CC2)[nH]c1C ZINC000628178049 344909552 /nfs/dbraw/zinc/90/95/52/344909552.db2.gz AZVNGQZEELUXOT-UHFFFAOYSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1ccc(CCN(C)C[C@@H]2CCCO2)cc1 ZINC000124391153 187295528 /nfs/dbraw/zinc/29/55/28/187295528.db2.gz XZJCJWYIAAWHLB-HNNXBMFYSA-N 0 3 233.355 2.648 20 0 BFADHN CC[C@]1(C)CCN(Cc2cnc(C)o2)C1 ZINC000628186612 344936748 /nfs/dbraw/zinc/93/67/48/344936748.db2.gz QFLSBDGCXSNYRM-GFCCVEGCSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1ncc(CN2C[C@@H](C)CCC[C@H]2C)o1 ZINC000628179404 344914122 /nfs/dbraw/zinc/91/41/22/344914122.db2.gz YYJHRTOYTAGOAQ-WDEREUQCSA-N 0 3 222.332 2.994 20 0 BFADHN COc1ccnc(CN2C[C@@H]3CCCC[C@@]32C)c1 ZINC000626105143 344969508 /nfs/dbraw/zinc/96/95/08/344969508.db2.gz UYRZPICOBHCIHS-WFASDCNBSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@@H](N[C@H]1CCN(C2CCCC2)C1)c1ccco1 ZINC000153765480 344970868 /nfs/dbraw/zinc/97/08/68/344970868.db2.gz DMLMDVDSJXHOCU-OLZOCXBDSA-N 0 3 248.370 2.947 20 0 BFADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1cc[nH]n1 ZINC000127601139 187296506 /nfs/dbraw/zinc/29/65/06/187296506.db2.gz OJQAUEKLRVRNTD-TZMCWYRMSA-N 0 3 241.338 2.788 20 0 BFADHN CCN1CCC[C@H]1CC(=O)c1cccs1 ZINC000121822410 344938979 /nfs/dbraw/zinc/93/89/79/344938979.db2.gz SZIVZZFCNLYNHC-JTQLQIEISA-N 0 3 223.341 2.805 20 0 BFADHN CCC1(NCc2ccnn2CC2CCC2)CC1 ZINC000631148977 344943025 /nfs/dbraw/zinc/94/30/25/344943025.db2.gz ZXNLOHBOZSTRMJ-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN Cc1ccc(CNCCC(C)(C)CO)c(F)c1 ZINC000631150363 344979918 /nfs/dbraw/zinc/97/99/18/344979918.db2.gz ZTKYRHZQTLJNIA-UHFFFAOYSA-N 0 3 239.334 2.632 20 0 BFADHN CC1(C)CC[C@@H]1NCc1nccn1C(F)F ZINC000309472940 323082127 /nfs/dbraw/zinc/08/21/27/323082127.db2.gz XLZAGARRUJDHEY-QMMMGPOBSA-N 0 3 229.274 2.556 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@H](CC3CC3)C2)n1 ZINC000354529259 178794707 /nfs/dbraw/zinc/79/47/07/178794707.db2.gz SZTRQBONANVUFY-CYBMUJFWSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@H](CC3CC3)C2)n1 ZINC000354529259 178794709 /nfs/dbraw/zinc/79/47/09/178794709.db2.gz SZTRQBONANVUFY-CYBMUJFWSA-N 0 3 246.354 2.718 20 0 BFADHN COc1ccc(CNCC=C(C)C)c(F)c1 ZINC000234868331 537881293 /nfs/dbraw/zinc/88/12/93/537881293.db2.gz RPORGHXGQGVXTL-UHFFFAOYSA-N 0 3 223.291 2.890 20 0 BFADHN C[C@H](O)[C@@H](NC1CCCC1)c1ccccc1F ZINC000583445542 344987911 /nfs/dbraw/zinc/98/79/11/344987911.db2.gz QMMNMFAIDFMGPV-IINYFYTJSA-N 0 3 237.318 2.780 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@H](C)CCC2CC2)n1 ZINC000345802991 178798125 /nfs/dbraw/zinc/79/81/25/178798125.db2.gz XKMIEKPROGHLGG-JTQLQIEISA-N 0 3 234.343 2.764 20 0 BFADHN CSC[C@@H]1CCCN1Cc1ccc(C)nc1 ZINC000153974960 345004503 /nfs/dbraw/zinc/00/45/03/345004503.db2.gz UQEDNQXUHODAJF-ZDUSSCGKSA-N 0 3 236.384 2.717 20 0 BFADHN COc1ccccc1[C@H]1CCCN1CCC(C)=O ZINC000154759410 345049019 /nfs/dbraw/zinc/04/90/19/345049019.db2.gz KIQJYJLEYQEPSH-CQSZACIVSA-N 0 3 247.338 2.811 20 0 BFADHN CCN1CCC[C@H]1CN[C@@H]1CCCc2occc21 ZINC000123900753 345021912 /nfs/dbraw/zinc/02/19/12/345021912.db2.gz CDKQLBZSMAJSPO-GXTWGEPZSA-N 0 3 248.370 2.731 20 0 BFADHN Cc1nc(C)c(CNC2Cc3ccccc3C2)o1 ZINC000385678446 345023490 /nfs/dbraw/zinc/02/34/90/345023490.db2.gz CHIWGFAPCLQHJV-UHFFFAOYSA-N 0 3 242.322 2.548 20 0 BFADHN CC(C)(C)CCN1CCOC2(CCC2)C1 ZINC000154291937 345024304 /nfs/dbraw/zinc/02/43/04/345024304.db2.gz ACQLMCVYADUNHU-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN COC1(CNCc2ccnc(C)c2)CCCCC1 ZINC000319016854 259382104 /nfs/dbraw/zinc/38/21/04/259382104.db2.gz HHFXSKLTONGBJK-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CCN(Cc1ccc(COC)o1)CC1CC1 ZINC000125625651 345086592 /nfs/dbraw/zinc/08/65/92/345086592.db2.gz YMCRCEDHDYZEAT-UHFFFAOYSA-N 0 3 223.316 2.658 20 0 BFADHN CC[C@@H](N[C@H](C)c1cscn1)C1CC1 ZINC000323867644 323083439 /nfs/dbraw/zinc/08/34/39/323083439.db2.gz KTQXHZKHYNMBME-PSASIEDQSA-N 0 3 210.346 2.982 20 0 BFADHN CCN(Cc1cnc2ccc(C)cn12)CC1CC1 ZINC000125681589 345089330 /nfs/dbraw/zinc/08/93/30/345089330.db2.gz RYSYRWAPXUGOEP-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1cnccc1NC(=O)C(C)C(F)(F)F ZINC000080111445 345100983 /nfs/dbraw/zinc/10/09/83/345100983.db2.gz UCAXKZYPQHHGCE-SSDOTTSWSA-N 0 3 232.205 2.527 20 0 BFADHN CC(=O)CCN(C)[C@H](C)c1ccc(F)cc1 ZINC000157380618 187300501 /nfs/dbraw/zinc/30/05/01/187300501.db2.gz BAJRUNGLZKBCGO-LLVKDONJSA-N 0 3 223.291 2.798 20 0 BFADHN FC1(F)CCCC[C@H](CNCc2ccon2)C1 ZINC000634981660 345161068 /nfs/dbraw/zinc/16/10/68/345161068.db2.gz OPFILJJGRDTRRP-JTQLQIEISA-N 0 3 244.285 2.980 20 0 BFADHN FC1(F)CCCC[C@@H](CNCc2ccon2)C1 ZINC000634981661 345161363 /nfs/dbraw/zinc/16/13/63/345161363.db2.gz OPFILJJGRDTRRP-SNVBAGLBSA-N 0 3 244.285 2.980 20 0 BFADHN FCCCCNCc1nc2cc(F)ccc2o1 ZINC000634981675 345161699 /nfs/dbraw/zinc/16/16/99/345161699.db2.gz PAXHJXFEXXIYKV-UHFFFAOYSA-N 0 3 240.253 2.806 20 0 BFADHN COc1cc(CSCCN(C)C)ccc1C ZINC000603329154 349924746 /nfs/dbraw/zinc/92/47/46/349924746.db2.gz JPOQRCVSVOFSRA-UHFFFAOYSA-N 0 3 239.384 2.798 20 0 BFADHN c1cc(CNC[C@@H]2CC3CCC2CC3)no1 ZINC000634985067 345168991 /nfs/dbraw/zinc/16/89/91/345168991.db2.gz KISQLBVJZNJZLR-MCIGGMRASA-N 0 3 220.316 2.591 20 0 BFADHN C[C@H](CN1CCO[C@H](C)[C@H]1C)c1ccccc1 ZINC000156525107 345172450 /nfs/dbraw/zinc/17/24/50/345172450.db2.gz YMRUNRJCNIYGGI-MGPQQGTHSA-N 0 3 233.355 2.899 20 0 BFADHN C[C@@H](NCc1nc2cc(F)ccc2o1)C1CC1 ZINC000634949977 345125095 /nfs/dbraw/zinc/12/50/95/345125095.db2.gz GHGAHBFURURBLL-MRVPVSSYSA-N 0 3 234.274 2.855 20 0 BFADHN Clc1ccc2c(c1)CC[C@@H]2N[C@@H]1CCOC1 ZINC000156155842 345133940 /nfs/dbraw/zinc/13/39/40/345133940.db2.gz NLXQSDFAANXRFM-YPMHNXCESA-N 0 3 237.730 2.706 20 0 BFADHN CCC1(CNCc2ccon2)CCCC1 ZINC000634968901 345142156 /nfs/dbraw/zinc/14/21/56/345142156.db2.gz ZRCVOZYTYMPPOC-UHFFFAOYSA-N 0 3 208.305 2.735 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1CCCc1ccccc1 ZINC000156311371 345148838 /nfs/dbraw/zinc/14/88/38/345148838.db2.gz DLPXPWKOGZUQEZ-KGLIPLIRSA-N 0 3 233.355 2.728 20 0 BFADHN CC1(NCc2nc3cc(F)ccc3o2)CCC1 ZINC000634973095 345151839 /nfs/dbraw/zinc/15/18/39/345151839.db2.gz PSTPDVKMTPEOIS-UHFFFAOYSA-N 0 3 234.274 2.999 20 0 BFADHN CC[C@@H](NCc1ccc(F)cc1)c1cnn(C)c1 ZINC000131739761 345307170 /nfs/dbraw/zinc/30/71/70/345307170.db2.gz VJVGJNODOBJSJK-CQSZACIVSA-N 0 3 247.317 2.800 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@]2(C)CCOC2)o1 ZINC000336750324 178842579 /nfs/dbraw/zinc/84/25/79/178842579.db2.gz UPPKUHHBBWQLFA-YPMHNXCESA-N 0 3 223.316 2.665 20 0 BFADHN C(c1noc([C@H]2C[C@@H]3CCCC[C@@H]3N2)n1)C1CC1 ZINC000149007915 187302035 /nfs/dbraw/zinc/30/20/35/187302035.db2.gz YZFRUEIYPQBJLA-SDDRHHMPSA-N 0 3 247.342 2.615 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](C)Cn2cccn2)s1 ZINC000037155254 178848490 /nfs/dbraw/zinc/84/84/90/178848490.db2.gz YNWFQWUTSVUWMS-CMPLNLGQSA-N 0 3 249.383 2.992 20 0 BFADHN Cc1nnsc1CN1CCCC2(CC2)CC1 ZINC000626115355 345330937 /nfs/dbraw/zinc/33/09/37/345330937.db2.gz QCIKYJMZIAYEEG-UHFFFAOYSA-N 0 3 237.372 2.613 20 0 BFADHN C[C@H](CN(C)C)NCc1ccc(F)c(Cl)c1 ZINC000159081629 345405208 /nfs/dbraw/zinc/40/52/08/345405208.db2.gz GDFIRAVYHQHYRF-SECBINFHSA-N 0 3 244.741 2.519 20 0 BFADHN CC1(C)CCC[C@H]1CN[C@H]1CCn2ccnc21 ZINC000335636810 345432346 /nfs/dbraw/zinc/43/23/46/345432346.db2.gz WAVGRUAFLDMVRE-RYUDHWBXSA-N 0 3 233.359 2.744 20 0 BFADHN CC1(C)CCC[C@@H]1CN[C@@H]1CCn2ccnc21 ZINC000335636811 345432436 /nfs/dbraw/zinc/43/24/36/345432436.db2.gz WAVGRUAFLDMVRE-VXGBXAGGSA-N 0 3 233.359 2.744 20 0 BFADHN CSCCNCc1cc2ccccc2o1 ZINC000070184164 345454602 /nfs/dbraw/zinc/45/46/02/345454602.db2.gz BPUBXKCAQRWMGB-UHFFFAOYSA-N 0 3 221.325 2.885 20 0 BFADHN CSCCN[C@@H](C)c1ccccc1F ZINC000070184516 345455129 /nfs/dbraw/zinc/45/51/29/345455129.db2.gz JDPMWNOWIJQFGK-VIFPVBQESA-N 0 3 213.321 2.839 20 0 BFADHN CSCCNCc1cccc(OC(C)C)c1 ZINC000070184971 345457048 /nfs/dbraw/zinc/45/70/48/345457048.db2.gz OKERSZHJMCSQJT-UHFFFAOYSA-N 0 3 239.384 2.926 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)CCC(C)(C)O ZINC000081671579 345484110 /nfs/dbraw/zinc/48/41/10/345484110.db2.gz NDFWEXUALKFZBR-LLVKDONJSA-N 0 3 237.343 2.546 20 0 BFADHN C[C@H](COc1cccc(F)c1)NCc1cc[nH]c1 ZINC000086251560 345536717 /nfs/dbraw/zinc/53/67/17/345536717.db2.gz WSWYVEGPYOZDRS-LLVKDONJSA-N 0 3 248.301 2.711 20 0 BFADHN CC[C@@H](NCc1cc[nH]c1)c1c(C)nn(C)c1C ZINC000086255436 345537297 /nfs/dbraw/zinc/53/72/97/345537297.db2.gz QASZYMCLNXMXQV-CYBMUJFWSA-N 0 3 246.358 2.606 20 0 BFADHN COC[C@@H](N[C@H]1COc2ccc(C)cc21)C(C)C ZINC000090452740 345568452 /nfs/dbraw/zinc/56/84/52/345568452.db2.gz CGQWLVPWAWUULV-KGLIPLIRSA-N 0 3 249.354 2.689 20 0 BFADHN C[C@@H](N[C@@H](CO)CC(C)(C)C)c1ccncc1 ZINC000090588437 345573849 /nfs/dbraw/zinc/57/38/49/345573849.db2.gz XGKURVGLSCXYIM-DGCLKSJQSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1cnccc1CCN[C@H](C)c1ccccn1 ZINC000090846949 345576392 /nfs/dbraw/zinc/57/63/92/345576392.db2.gz DASMRFGQCDODLO-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN CC(C)[C@H](O)CCN(C)Cc1ccccc1 ZINC000137257702 345593707 /nfs/dbraw/zinc/59/37/07/345593707.db2.gz UFLYSJZFHGLEQL-CQSZACIVSA-N 0 3 221.344 2.525 20 0 BFADHN CC(C)(C)OC(=O)[C@@H]1CCCN1C1CCCC1 ZINC000152000133 323091776 /nfs/dbraw/zinc/09/17/76/323091776.db2.gz PZKJWVCYUVJGMZ-LBPRGKRZSA-N 0 3 239.359 2.735 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3c2OCCCO3)C1 ZINC000092471190 345622469 /nfs/dbraw/zinc/62/24/69/345622469.db2.gz RXGGKOHOPSTELT-GFCCVEGCSA-N 0 3 247.338 2.690 20 0 BFADHN CCc1ncc(CN2CC[C@H](C)C2)s1 ZINC000092471186 345622785 /nfs/dbraw/zinc/62/27/85/345622785.db2.gz UIOYOUDIHZHYRV-VIFPVBQESA-N 0 3 210.346 2.547 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)C2)cc1F ZINC000092471646 345624522 /nfs/dbraw/zinc/62/45/22/345624522.db2.gz HLHBLSJVBQIBOB-JTQLQIEISA-N 0 3 207.292 2.976 20 0 BFADHN CC(C)[C@H](NC[C@@H]1CCCO1)c1cccnc1 ZINC000091263012 345590567 /nfs/dbraw/zinc/59/05/67/345590567.db2.gz NVDZSEYZECEFML-KBPBESRZSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)[C@H](NC[C@H]1CCCCO1)c1cccnc1 ZINC000091308896 345591112 /nfs/dbraw/zinc/59/11/12/345591112.db2.gz XVSFNHSONYYRGV-CABCVRRESA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cnoc1C ZINC000309177569 187308221 /nfs/dbraw/zinc/30/82/21/187308221.db2.gz NGTVTXMZPXKTJE-CMPLNLGQSA-N 0 3 208.305 2.651 20 0 BFADHN CC(C)[C@@H]1CN(Cc2ccn(C)c2)CCS1 ZINC000093869185 345666718 /nfs/dbraw/zinc/66/67/18/345666718.db2.gz VGMIJFRMUHNHGX-ZDUSSCGKSA-N 0 3 238.400 2.599 20 0 BFADHN CC[C@@H](NCc1ccncc1N)c1ccccc1 ZINC000641711723 362621979 /nfs/dbraw/zinc/62/19/79/362621979.db2.gz DLYJGJIABKVSPR-OAHLLOKOSA-N 0 3 241.338 2.905 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCOC[C@@H]2C)C1 ZINC000092793872 345643036 /nfs/dbraw/zinc/64/30/36/345643036.db2.gz VZCXZHPFXUPLIW-AGIUHOORSA-N 0 3 211.349 2.533 20 0 BFADHN COCCOc1cccc(CN2CCC2(C)C)c1 ZINC000093460244 345671587 /nfs/dbraw/zinc/67/15/87/345671587.db2.gz LFPPOOYUERYGLN-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1cccc(CNCC2(C)CCC2)n1 ZINC000235890573 537905442 /nfs/dbraw/zinc/90/54/42/537905442.db2.gz JXZOEFQTSHBDAI-UHFFFAOYSA-N 0 3 204.317 2.670 20 0 BFADHN C[C@@H](O)CCN(C)Cc1coc2ccccc12 ZINC000093481256 345672448 /nfs/dbraw/zinc/67/24/48/345672448.db2.gz NUSLBYWMLJTJLL-LLVKDONJSA-N 0 3 233.311 2.636 20 0 BFADHN C[C@@H](O)CCN(C)C/C=C/c1ccc(F)cc1 ZINC000093481628 345673182 /nfs/dbraw/zinc/67/31/82/345673182.db2.gz NURWOEJNOFMTKJ-AAOUONPWSA-N 0 3 237.318 2.542 20 0 BFADHN CCC[C@](C)(CO)NCc1ccc(SC)o1 ZINC000641727730 362647961 /nfs/dbraw/zinc/64/79/61/362647961.db2.gz KBANRULSNSJNLY-GFCCVEGCSA-N 0 3 243.372 2.642 20 0 BFADHN Cc1ccn2c(CN3C[C@@H](C)[C@H](C)C3)cnc2c1 ZINC000093503513 345674259 /nfs/dbraw/zinc/67/42/59/345674259.db2.gz MVUPHCRXKLQYGA-CHWSQXEVSA-N 0 3 243.354 2.731 20 0 BFADHN COc1cc(CN2C[C@H](C)[C@H](C)C2)cc(OC)c1 ZINC000093503536 345674410 /nfs/dbraw/zinc/67/44/10/345674410.db2.gz CGNWPGMMWDZMQY-TXEJJXNPSA-N 0 3 249.354 2.792 20 0 BFADHN CCc1ncc(CN2C[C@@H](C)[C@H](C)C2)s1 ZINC000093503320 345674419 /nfs/dbraw/zinc/67/44/19/345674419.db2.gz TZTVKAKOELADAN-NXEZZACHSA-N 0 3 224.373 2.793 20 0 BFADHN CCC[C@@](C)(O)CNCc1ccc(SC)o1 ZINC000641719737 362635532 /nfs/dbraw/zinc/63/55/32/362635532.db2.gz GBONCTXQRQJIHC-GFCCVEGCSA-N 0 3 243.372 2.642 20 0 BFADHN CCCCN1CCN(Cc2ccccc2)C[C@@H]1C ZINC000138703640 345694851 /nfs/dbraw/zinc/69/48/51/345694851.db2.gz NXOKIXJPMNXLSL-HNNXBMFYSA-N 0 3 246.398 2.993 20 0 BFADHN CSc1ccc(CNC[C@]2(C)CCCO2)o1 ZINC000641734119 362661930 /nfs/dbraw/zinc/66/19/30/362661930.db2.gz BFJDDWUOJYYCTH-LBPRGKRZSA-N 0 3 241.356 2.660 20 0 BFADHN CO[C@H](CNCc1ccc(SC)o1)C(C)C ZINC000641732184 362659256 /nfs/dbraw/zinc/65/92/56/362659256.db2.gz SZQBPHVPYGYXMO-LLVKDONJSA-N 0 3 243.372 2.762 20 0 BFADHN C[C@@H](NC[C@](C)(O)C(F)(F)F)c1ccccc1 ZINC000218946110 345744820 /nfs/dbraw/zinc/74/48/20/345744820.db2.gz IZUWLJSYSMKFDK-KOLCDFICSA-N 0 3 247.260 2.651 20 0 BFADHN CC(C)COCCN(C)Cc1ccccc1 ZINC000147516381 345711660 /nfs/dbraw/zinc/71/16/60/345711660.db2.gz RDDRBHTZDWXMBO-UHFFFAOYSA-N 0 3 221.344 2.791 20 0 BFADHN CCc1ccc(CN2C[C@H](O)C[C@H](C)C2)s1 ZINC000401607050 323096296 /nfs/dbraw/zinc/09/62/96/323096296.db2.gz GIGNUUCVODKBMZ-WDEREUQCSA-N 0 3 239.384 2.513 20 0 BFADHN Cc1nc(C)c(CNC(C)(C)C(C)C)o1 ZINC000628212225 345854431 /nfs/dbraw/zinc/85/44/31/345854431.db2.gz XVHJZRMEMOWOET-UHFFFAOYSA-N 0 3 210.321 2.816 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCCCS2)s1 ZINC000394736048 323096653 /nfs/dbraw/zinc/09/66/53/323096653.db2.gz SBRAHIFKDXETQO-JTQLQIEISA-N 0 3 242.413 2.827 20 0 BFADHN Cc1ccc2nccc(N[C@H](C)C[C@@H](C)O)c2c1 ZINC000354560852 178936772 /nfs/dbraw/zinc/93/67/72/178936772.db2.gz DRORLAVBJDCWKH-VXGBXAGGSA-N 0 3 244.338 2.536 20 0 BFADHN CC1(C)SC[C@H]1NC/C=C\c1ccncc1 ZINC000309770464 187310283 /nfs/dbraw/zinc/31/02/83/187310283.db2.gz KIUDWAPHERVLHU-VSQXVHSFSA-N 0 3 234.368 2.578 20 0 BFADHN CCc1ccc(CN2CCN(C3CC3)CC2)cc1 ZINC000172245611 345878822 /nfs/dbraw/zinc/87/88/22/345878822.db2.gz SJHBTFBEPXGKNY-UHFFFAOYSA-N 0 3 244.382 2.529 20 0 BFADHN CCCc1cccc(CN2CCC[C@H](O)C2)c1 ZINC000186781188 187311441 /nfs/dbraw/zinc/31/14/41/187311441.db2.gz DDIMUGWNSKGMMO-HNNXBMFYSA-N 0 3 233.355 2.596 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@@H](C)c1cccc(OC)c1 ZINC000186500089 187311815 /nfs/dbraw/zinc/31/18/15/187311815.db2.gz AMSLEROGWLAUME-ZWYFHCDOSA-N 0 3 249.354 2.673 20 0 BFADHN Cc1nc(C)c(CNCCc2ccc(C)cc2)o1 ZINC000628216740 345922564 /nfs/dbraw/zinc/92/25/64/345922564.db2.gz YPNSTDSLFMJPGV-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN FC(F)(F)CCCNCc1cnc(C2CC2)o1 ZINC000628220012 345954360 /nfs/dbraw/zinc/95/43/60/345954360.db2.gz GYAMAEQOPWLACN-UHFFFAOYSA-N 0 3 248.248 2.984 20 0 BFADHN Cc1ncc(CNC[C@H]2CCc3ccccc32)o1 ZINC000628217384 345931687 /nfs/dbraw/zinc/93/16/87/345931687.db2.gz VCRLOPBVJGQXTO-CYBMUJFWSA-N 0 3 242.322 2.803 20 0 BFADHN COC(=O)c1csc([C@H](C)NCCCF)c1 ZINC000381458427 345943060 /nfs/dbraw/zinc/94/30/60/345943060.db2.gz KEANVBRKUYLCOG-QMMMGPOBSA-N 0 3 245.319 2.545 20 0 BFADHN Cc1ccc([C@H](C)NCCOCC(F)F)o1 ZINC000189937280 187312410 /nfs/dbraw/zinc/31/24/10/187312410.db2.gz RLBPQBSREOERRN-VIFPVBQESA-N 0 3 233.258 2.520 20 0 BFADHN Cc1ccc([C@H](C)NCCOCC(F)F)s1 ZINC000189941459 187312785 /nfs/dbraw/zinc/31/27/85/187312785.db2.gz YMPMHJMNWBTFRY-VIFPVBQESA-N 0 3 249.326 2.989 20 0 BFADHN CC[C@@H](N[C@@H]1CCCOC1)c1ccsc1 ZINC000294420554 346010536 /nfs/dbraw/zinc/01/05/36/346010536.db2.gz OGTBRHUOLORVNV-VXGBXAGGSA-N 0 3 225.357 2.968 20 0 BFADHN CC[C@@H](N[C@H]1CCCOC1)c1ccsc1 ZINC000294420550 346010795 /nfs/dbraw/zinc/01/07/95/346010795.db2.gz OGTBRHUOLORVNV-NWDGAFQWSA-N 0 3 225.357 2.968 20 0 BFADHN C[C@@H](CNCC1(F)CC1)Oc1ccccc1 ZINC000381481631 346033117 /nfs/dbraw/zinc/03/31/17/346033117.db2.gz SWGCYDBIQCVDSN-NSHDSACASA-N 0 3 223.291 2.546 20 0 BFADHN CC(C)C[C@H](C)NC(=O)CN(C)CC(C)(C)C ZINC000635724969 346035855 /nfs/dbraw/zinc/03/58/55/346035855.db2.gz WSLIIGNDSFPWTH-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1ccc2nc(CN3C[C@H](C)[C@@H](C)C3)cn2c1 ZINC000267731488 178974216 /nfs/dbraw/zinc/97/42/16/178974216.db2.gz BKGMZJIFGUAFNW-STQMWFEESA-N 0 3 243.354 2.731 20 0 BFADHN Cc1ccc2nc(CN[C@H](C)C3CCC3)cn2c1 ZINC000268853909 178976297 /nfs/dbraw/zinc/97/62/97/178976297.db2.gz HYCGQTAJNGNMHO-GFCCVEGCSA-N 0 3 243.354 2.921 20 0 BFADHN C=Cn1cc(CN2C[C@H](C)CC[C@@H]2C)cn1 ZINC000193977245 187315217 /nfs/dbraw/zinc/31/52/17/187315217.db2.gz SYBRBEYIQMKCIP-NEPJUHHUSA-N 0 3 219.332 2.604 20 0 BFADHN CC1(C)CCC[C@@H](NCc2ccc(CO)o2)C1 ZINC000149369149 346106659 /nfs/dbraw/zinc/10/66/59/346106659.db2.gz DBWJDTOISSGNTL-LLVKDONJSA-N 0 3 237.343 2.830 20 0 BFADHN Cc1nc(C)c(CNCC2(C(C)C)CC2)o1 ZINC000628225104 346172312 /nfs/dbraw/zinc/17/23/12/346172312.db2.gz LPDKKKAGGKIUNM-UHFFFAOYSA-N 0 3 222.332 2.817 20 0 BFADHN CS[C@H](C)CNCc1ccc(C(F)F)nc1 ZINC000601113345 346145133 /nfs/dbraw/zinc/14/51/33/346145133.db2.gz DQWBLVZMFIXVOI-MRVPVSSYSA-N 0 3 246.326 2.860 20 0 BFADHN CCC1(CNCc2oc(C)nc2C)CC1 ZINC000628225791 346177036 /nfs/dbraw/zinc/17/70/36/346177036.db2.gz NPQVIFMIEFIWFZ-UHFFFAOYSA-N 0 3 208.305 2.571 20 0 BFADHN CCCC1(CNCc2oc(C)nc2C)CC1 ZINC000628228888 346177980 /nfs/dbraw/zinc/17/79/80/346177980.db2.gz DAWPPVXQDLGYLC-UHFFFAOYSA-N 0 3 222.332 2.961 20 0 BFADHN Cc1ncc(CNCC(C)(C)C(C)C)o1 ZINC000628244587 346208961 /nfs/dbraw/zinc/20/89/61/346208961.db2.gz NNWXVRXMXXJGGQ-UHFFFAOYSA-N 0 3 210.321 2.755 20 0 BFADHN CCCC[C@H](C)NCc1nccn1CC ZINC000051924360 346210083 /nfs/dbraw/zinc/21/00/83/346210083.db2.gz PVSJLICSBPOHMK-NSHDSACASA-N 0 3 209.337 2.571 20 0 BFADHN Cc1ncc(CNCC23CCC(CC2)C3)o1 ZINC000628231120 346182588 /nfs/dbraw/zinc/18/25/88/346182588.db2.gz FRRNVRTVSBSTIY-UHFFFAOYSA-N 0 3 220.316 2.653 20 0 BFADHN Cc1ccsc1CCNCc1cnc(C)o1 ZINC000628231973 346184833 /nfs/dbraw/zinc/18/48/33/346184833.db2.gz IDUUPLZXURJLCV-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN C[C@@H]1CCN(C[C@]2(C)CCCS2)C[C@H]1F ZINC000628234691 346187638 /nfs/dbraw/zinc/18/76/38/346187638.db2.gz KEWXUPLUHWWBGY-UTUOFQBUSA-N 0 3 231.380 2.952 20 0 BFADHN c1cc2cc(CN3CC=CCC3)ccc2[nH]1 ZINC000628235015 346188196 /nfs/dbraw/zinc/18/81/96/346188196.db2.gz WCLVEOQHVDAIAE-UHFFFAOYSA-N 0 3 212.296 2.930 20 0 BFADHN CC(C)[C@@H]1CN(C[C@@]2(C)CCCS2)CCO1 ZINC000628235118 346188442 /nfs/dbraw/zinc/18/84/42/346188442.db2.gz ZEYNNDHGPCGRER-QWHCGFSZSA-N 0 3 243.416 2.629 20 0 BFADHN C[C@H](NCc1cocn1)[C@@H]1CCC[C@@H](C)C1 ZINC000381510520 346214364 /nfs/dbraw/zinc/21/43/64/346214364.db2.gz QWHULCKHBYXSFE-GRYCIOLGSA-N 0 3 222.332 2.979 20 0 BFADHN C/C=C/C[C@H](CO)NCc1csc(C)c1C ZINC000631114782 346230423 /nfs/dbraw/zinc/23/04/23/346230423.db2.gz ZNVDZAKSMWWGFP-MUBLQREKSA-N 0 3 239.384 2.782 20 0 BFADHN Cc1ncc([C@H](C)N[C@H](C)[C@H]2CCCO2)s1 ZINC000245578977 537957727 /nfs/dbraw/zinc/95/77/27/537957727.db2.gz HRVCVCQOZOKGGN-WCABBAIRSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)o1 ZINC000628249561 346219043 /nfs/dbraw/zinc/21/90/43/346219043.db2.gz IIHVXIASVKEYFP-VWYCJHECSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CC23CCCC3)o1 ZINC000628251996 346224187 /nfs/dbraw/zinc/22/41/87/346224187.db2.gz DNWLFICGCCUNGI-GFCCVEGCSA-N 0 3 220.316 2.714 20 0 BFADHN Cc1ncc(CNC[C@@H]2CC[C@H](C)C2)o1 ZINC000628256509 346253989 /nfs/dbraw/zinc/25/39/89/346253989.db2.gz ZZPXYLWKPXMJES-GXSJLCMTSA-N 0 3 208.305 2.509 20 0 BFADHN CC(C)CC[C@@H](CO)N[C@@H](C)c1ccccn1 ZINC000624110103 346264439 /nfs/dbraw/zinc/26/44/39/346264439.db2.gz PCMBQJCOKNPJLO-STQMWFEESA-N 0 3 236.359 2.529 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCCC[C@H]2C)o1 ZINC000628265883 346265525 /nfs/dbraw/zinc/26/55/25/346265525.db2.gz VNXHENINKBTCRG-MFKMUULPSA-N 0 3 222.332 2.994 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cn(C)nc2C)C1 ZINC000626164410 346271075 /nfs/dbraw/zinc/27/10/75/346271075.db2.gz FKVWKXZLVKZJAD-NNTXTVRGSA-N 0 3 247.386 2.907 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cncn2C)C1 ZINC000626161959 346272037 /nfs/dbraw/zinc/27/20/37/346272037.db2.gz MBKXCISJVCPLDS-OOPCZODUSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cn[nH]c2)C1 ZINC000626163120 346274087 /nfs/dbraw/zinc/27/40/87/346274087.db2.gz FOHRVKYIWTWQKZ-JDGPPOGSSA-N 0 3 219.332 2.588 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2ccnn2C)C1 ZINC000626163274 346275384 /nfs/dbraw/zinc/27/53/84/346275384.db2.gz HWZGDFHZZCKMQL-SCOBNMCVSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2ccnc(N)c2)C1 ZINC000626163582 346276317 /nfs/dbraw/zinc/27/63/17/346276317.db2.gz MUKOICXEFSZGJY-IBUXWKBASA-N 0 3 245.370 2.672 20 0 BFADHN CSc1ccc(CNC2(C)CCOCC2)o1 ZINC000641741182 362664325 /nfs/dbraw/zinc/66/43/25/362664325.db2.gz DPTWCGFLTDSGEU-UHFFFAOYSA-N 0 3 241.356 2.660 20 0 BFADHN Cc1ncc(CN2CCC(C)(C3CC3)CC2)o1 ZINC000628285739 346297087 /nfs/dbraw/zinc/29/70/87/346297087.db2.gz FDZKGPBIYLPQON-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN CCN(Cc1cccc2c1OCO2)C(C)C ZINC000153555392 346300215 /nfs/dbraw/zinc/30/02/15/346300215.db2.gz YEEGJVKFNJZJNQ-UHFFFAOYSA-N 0 3 221.300 2.646 20 0 BFADHN Cc1cccc(C)c1CN1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000339619843 179034451 /nfs/dbraw/zinc/03/44/51/179034451.db2.gz YIZOFGQNZSKQEA-GASCZTMLSA-N 0 3 246.398 2.828 20 0 BFADHN COC1(CNCc2ccc(SC)o2)CCC1 ZINC000641746202 362672907 /nfs/dbraw/zinc/67/29/07/362672907.db2.gz PPCVZVSVHYMWAJ-UHFFFAOYSA-N 0 3 241.356 2.660 20 0 BFADHN Cc1cccc(C)c1[C@@H](C)NCc1ccnn1C ZINC000354656108 179049548 /nfs/dbraw/zinc/04/95/48/179049548.db2.gz PXVXAFCKPSOIPF-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@@H]1CCC[C@H]([NH2+]Cc2nnc(C3CC3)[n-]2)CC1 ZINC000641759392 362691012 /nfs/dbraw/zinc/69/10/12/362691012.db2.gz ZUYRJDGHOMYEEL-PWSUYJOCSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2nnc(C3CC3)[nH]2)CC1 ZINC000641759392 362691013 /nfs/dbraw/zinc/69/10/13/362691013.db2.gz ZUYRJDGHOMYEEL-PWSUYJOCSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@H]1CCC[C@@H]([NH2+]Cc2nnc(C3CC3)[n-]2)CC1 ZINC000641759389 362691358 /nfs/dbraw/zinc/69/13/58/362691358.db2.gz ZUYRJDGHOMYEEL-CMPLNLGQSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2nnc(C3CC3)[nH]2)CC1 ZINC000641759389 362691366 /nfs/dbraw/zinc/69/13/66/362691366.db2.gz ZUYRJDGHOMYEEL-CMPLNLGQSA-N 0 3 248.374 2.741 20 0 BFADHN CC[C@@H](C)NCc1nccc2c1CCCC2 ZINC000669378561 537967931 /nfs/dbraw/zinc/96/79/31/537967931.db2.gz HAIZKMUPFNWVPJ-LLVKDONJSA-N 0 3 218.344 2.849 20 0 BFADHN COCC[C@@H](C)CNCc1ccc(SC)o1 ZINC000641762590 362692492 /nfs/dbraw/zinc/69/24/92/362692492.db2.gz MJJMVLZWWFNMIC-SNVBAGLBSA-N 0 3 243.372 2.764 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N1CCC(O)CC1 ZINC000154041440 346317151 /nfs/dbraw/zinc/31/71/51/346317151.db2.gz WEGSMJMUTJVHNY-AWEZNQCLSA-N 0 3 237.318 2.734 20 0 BFADHN Cc1cccc(C)c1[C@H](C)NCc1cncn1C ZINC000354634872 179049873 /nfs/dbraw/zinc/04/98/73/179049873.db2.gz GUKJIPJPHVZLAD-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN COCc1ccc(CNC(C)(C)C(C)C)o1 ZINC000381545497 346319152 /nfs/dbraw/zinc/31/91/52/346319152.db2.gz JZUBRYPWIWHIHG-UHFFFAOYSA-N 0 3 225.332 2.950 20 0 BFADHN Cc1cccc(C)c1[C@H](C)NCc1cnn(C)c1 ZINC000354674301 179049176 /nfs/dbraw/zinc/04/91/76/179049176.db2.gz MGUXQIKSKVYDRP-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN CCN(Cc1ccc(OC)cc1OC)CC1CC1 ZINC000155828757 346354735 /nfs/dbraw/zinc/35/47/35/346354735.db2.gz ZNYHONPRTZOVMB-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN CCN(Cc1cccc(OC)c1OC)CC1CC1 ZINC000155841338 346354753 /nfs/dbraw/zinc/35/47/53/346354753.db2.gz QCDTVDDEEPBNKJ-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN Cc1cccc(CCN2CC3(C2)CCOCC3)c1 ZINC000335156719 179056062 /nfs/dbraw/zinc/05/60/62/179056062.db2.gz ULTWXDQKCWDYPT-UHFFFAOYSA-N 0 3 245.366 2.650 20 0 BFADHN COCc1ccccc1OCCN1CCCCC1 ZINC000419102625 192100186 /nfs/dbraw/zinc/10/01/86/192100186.db2.gz YXDLNXWBOSAXSH-UHFFFAOYSA-N 0 3 249.354 2.698 20 0 BFADHN CSc1ccc(CN[C@@H]2CCS[C@@H]2C)o1 ZINC000641768381 362705808 /nfs/dbraw/zinc/70/58/08/362705808.db2.gz WTUFGEZUSJPJNJ-PSASIEDQSA-N 0 3 243.397 2.985 20 0 BFADHN CCC1(CC)[C@H](NCC2(F)CC2)C[C@H]1OC ZINC000381555778 346341654 /nfs/dbraw/zinc/34/16/54/346341654.db2.gz OXFKFKKKABBNKV-GHMZBOCLSA-N 0 3 229.339 2.672 20 0 BFADHN Cc1cccc(CCN[C@H](C)c2ccccn2)n1 ZINC000280523579 179060105 /nfs/dbraw/zinc/06/01/05/179060105.db2.gz HXDFHAAMZJMSAQ-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1C[C@H](CC(C)C)OC ZINC000419179162 192101661 /nfs/dbraw/zinc/10/16/61/192101661.db2.gz CUICFNMPLRXTGW-MCIONIFRSA-N 0 3 243.391 2.547 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3ccc(F)cc3)C[C@@H]21 ZINC000172949831 535041671 /nfs/dbraw/zinc/04/16/71/535041671.db2.gz TZFZILKWRGNWIK-BETUJISGSA-N 0 3 219.303 2.914 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccc(CO)o1 ZINC000157457666 346382580 /nfs/dbraw/zinc/38/25/80/346382580.db2.gz MBSCDVOACGPIOO-LLVKDONJSA-N 0 3 223.316 2.536 20 0 BFADHN OC/C=C\CN[C@H](c1ccccc1)C1CCC1 ZINC000641783167 362723155 /nfs/dbraw/zinc/72/31/55/362723155.db2.gz QBEPUOHLPLZACH-FOSCPCJNSA-N 0 3 231.339 2.666 20 0 BFADHN Cc1cccc(CN(C)C[C@@H]2CC[C@@H](C)O2)c1 ZINC000354891573 179066200 /nfs/dbraw/zinc/06/62/00/179066200.db2.gz MBGCJFNJAMGRGZ-HIFRSBDPSA-N 0 3 233.355 2.994 20 0 BFADHN Cc1cccc(CN(C)C[C@H]2CCO[C@@H](C)C2)n1 ZINC000352626526 179066742 /nfs/dbraw/zinc/06/67/42/179066742.db2.gz DTMNZFGPRMCZTR-KBPBESRZSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cccc(CN2CCOCC3(CC3)C2)c1C ZINC000341566838 179079059 /nfs/dbraw/zinc/07/90/59/179079059.db2.gz QHCHZAJGAILHBS-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN COC[C@H](C)N[C@H](C)c1cc2cnccc2o1 ZINC000641787358 362723703 /nfs/dbraw/zinc/72/37/03/362723703.db2.gz AFFNLQJATQNXII-VHSXEESVSA-N 0 3 234.299 2.513 20 0 BFADHN Cc1cccc(CN[C@@H](CO)C(C)(C)C)c1F ZINC000296812040 179097810 /nfs/dbraw/zinc/09/78/10/179097810.db2.gz NAJXSWMZQDCOEC-LBPRGKRZSA-N 0 3 239.334 2.631 20 0 BFADHN CO[C@H]1CCCN([C@@H](C)c2ccc(F)cc2)C1 ZINC000170817635 346454345 /nfs/dbraw/zinc/45/43/45/346454345.db2.gz YPBXZPRJFCPWLR-FZMZJTMJSA-N 0 3 237.318 2.998 20 0 BFADHN Cc1cccc(CNCC2=CCCOC2)c1C ZINC000288404670 179090512 /nfs/dbraw/zinc/09/05/12/179090512.db2.gz IHGKNXVQAXMSIQ-UHFFFAOYSA-N 0 3 231.339 2.740 20 0 BFADHN Cc1cccc(CNCCc2cccnc2)c1F ZINC000296530146 179092211 /nfs/dbraw/zinc/09/22/11/179092211.db2.gz SOEDFINKCIFAJP-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN Cc1cccc(CNC[C@@H](C)C[C@H](C)O)c1F ZINC000295757609 179092226 /nfs/dbraw/zinc/09/22/26/179092226.db2.gz PYFBYRNQUXHGCQ-JQWIXIFHSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1cccc(CNCC[C@H](C)CCO)c1F ZINC000295193836 179092409 /nfs/dbraw/zinc/09/24/09/179092409.db2.gz XPDXKRJWOITYFZ-NSHDSACASA-N 0 3 239.334 2.632 20 0 BFADHN c1cc(CNC[C@@H]2CCCc3ccccc32)no1 ZINC000148844729 346465945 /nfs/dbraw/zinc/46/59/45/346465945.db2.gz DAEKTQUKAJYYPL-ZDUSSCGKSA-N 0 3 242.322 2.884 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H](C)c1nccs1 ZINC000387805775 347095017 /nfs/dbraw/zinc/09/50/17/347095017.db2.gz JAFXFFDJTDOPEQ-AEJSXWLSSA-N 0 3 210.346 2.840 20 0 BFADHN Cc1cccc(CNCc2cccc(O)c2)c1 ZINC000020134338 179095712 /nfs/dbraw/zinc/09/57/12/179095712.db2.gz HRSBMHFASLEJNT-UHFFFAOYSA-N 0 3 227.307 2.990 20 0 BFADHN Cc1nn(C)c(C)c1CN[C@H](C)c1cccs1 ZINC000020148574 259389898 /nfs/dbraw/zinc/38/98/98/259389898.db2.gz ZAOSBDJBJNOYDK-SNVBAGLBSA-N 0 3 249.383 2.949 20 0 BFADHN C[C@H](N[C@H](CCO)C(C)(C)C)c1ccoc1 ZINC000186400543 346505663 /nfs/dbraw/zinc/50/56/63/346505663.db2.gz OBDBCPHKDJQTNL-CMPLNLGQSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1cccc(Cl)c1CN(C)CC(C)(C)O ZINC000297657599 179107561 /nfs/dbraw/zinc/10/75/61/179107561.db2.gz YYWBDQTWQVWNNV-UHFFFAOYSA-N 0 3 241.762 2.851 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1cccc(C)c1C ZINC000381641840 346517188 /nfs/dbraw/zinc/51/71/88/346517188.db2.gz APCDRVUAUNNWLP-KBPBESRZSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1cccc(CN[C@H]2CCC[C@H]2F)c1 ZINC000339585653 179100991 /nfs/dbraw/zinc/10/09/91/179100991.db2.gz YCZSFRSTHJALGR-OLZOCXBDSA-N 0 3 207.292 2.975 20 0 BFADHN Cc1cccc(CN[C@H](CO)C(C)(C)C)c1F ZINC000295411546 179101726 /nfs/dbraw/zinc/10/17/26/179101726.db2.gz NAJXSWMZQDCOEC-GFCCVEGCSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1cccc(CN[C@H]2CCCC[C@@H]2O)c1F ZINC000295309018 179101998 /nfs/dbraw/zinc/10/19/98/179101998.db2.gz YHXFCHPJNXOUSV-STQMWFEESA-N 0 3 237.318 2.527 20 0 BFADHN Cc1cccc(C[C@H](NCCF)C2CC2)c1 ZINC000273267578 179106180 /nfs/dbraw/zinc/10/61/80/179106180.db2.gz LTNMPOWRLUTFHD-AWEZNQCLSA-N 0 3 221.319 2.875 20 0 BFADHN Cc1nn(C)cc1CN1CC[C@]2(CC[C@H](C)C2)C1 ZINC000336560543 187369660 /nfs/dbraw/zinc/36/96/60/187369660.db2.gz IJYORGNPNLSXGW-WFASDCNBSA-N 0 3 247.386 2.741 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1ccc(CO)o1 ZINC000580155404 346571748 /nfs/dbraw/zinc/57/17/48/346571748.db2.gz FDMCJUIIMHIASJ-VXGBXAGGSA-N 0 3 237.343 2.688 20 0 BFADHN CC[C@H](C)[C@H](C)N(Cc1cnccn1)C1CC1 ZINC000580164500 346585610 /nfs/dbraw/zinc/58/56/10/346585610.db2.gz VUFDOTLGUKEJHU-RYUDHWBXSA-N 0 3 233.359 2.876 20 0 BFADHN Cc1cccc(NC(=O)CN2CC[C@H](C)[C@@H]2C)c1 ZINC000177902202 179127586 /nfs/dbraw/zinc/12/75/86/179127586.db2.gz VDTGBOBDJOXGGJ-STQMWFEESA-N 0 3 246.354 2.664 20 0 BFADHN C[C@H](NC[C@H]1CCCC1(F)F)c1cnccn1 ZINC000390535705 259392852 /nfs/dbraw/zinc/39/28/52/259392852.db2.gz GBKRNTQEECPYLT-VHSXEESVSA-N 0 3 241.285 2.563 20 0 BFADHN C[C@@H](NCCCF)c1cc(F)ccc1F ZINC000178278591 346666844 /nfs/dbraw/zinc/66/68/44/346666844.db2.gz IOTQDZCGLHFBKE-MRVPVSSYSA-N 0 3 217.234 2.975 20 0 BFADHN CC[C@@H](NC[C@@H](C)COC)c1nc(C)cs1 ZINC000187880093 346641973 /nfs/dbraw/zinc/64/19/73/346641973.db2.gz CJRBLNKQBLDKBM-MWLCHTKSSA-N 0 3 242.388 2.775 20 0 BFADHN Cc1cccc(NC(=O)[C@H](C(C)C)N(C)C)c1 ZINC000106407762 179139746 /nfs/dbraw/zinc/13/97/46/179139746.db2.gz NPZQVELBVWRNGY-ZDUSSCGKSA-N 0 3 234.343 2.520 20 0 BFADHN Cc1cccc(NC(=O)[C@]2(C)CCCCN2)c1C ZINC000136128183 179141216 /nfs/dbraw/zinc/14/12/16/179141216.db2.gz IMIRXBGAUJQNBH-HNNXBMFYSA-N 0 3 246.354 2.774 20 0 BFADHN Cc1cccc(NC(=O)[C@H]2C[C@H](C)CCN2)c1C ZINC000126025078 179141582 /nfs/dbraw/zinc/14/15/82/179141582.db2.gz AFWNGXWZZAFICD-QMTHXVAHSA-N 0 3 246.354 2.630 20 0 BFADHN C[C@@H]1CCC[C@@H]1N[C@H]1C[C@@H](C)n2ccnc21 ZINC000345346750 365859403 /nfs/dbraw/zinc/85/94/03/365859403.db2.gz CUTXKHGRJDBUQD-WYUUTHIRSA-N 0 3 219.332 2.667 20 0 BFADHN CC(C)CC[C@@H](O)CN1CC(CC2CC2)C1 ZINC000621991980 370649508 /nfs/dbraw/zinc/64/95/08/370649508.db2.gz IXCGCRFMTXURDF-CQSZACIVSA-N 0 3 225.376 2.515 20 0 BFADHN CC[C@@H](CN1CCC[C@H](C(F)(F)F)C1)OC ZINC000419207146 192104355 /nfs/dbraw/zinc/10/43/55/192104355.db2.gz STQFDJLDXNBZNE-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1cccc(OCCNc2ccncc2)c1 ZINC000028263300 179169937 /nfs/dbraw/zinc/16/99/37/179169937.db2.gz FHGHOJUMTKRSCA-UHFFFAOYSA-N 0 3 228.295 2.881 20 0 BFADHN CC[C@H](NC[C@@H](C)COC)c1c(C)noc1C ZINC000188186327 346793750 /nfs/dbraw/zinc/79/37/50/346793750.db2.gz CLAHLQFRDMDVSR-SKDRFNHKSA-N 0 3 240.347 2.615 20 0 BFADHN COc1cncc(CN(C)[C@H](C)C(C)(C)C)c1 ZINC000580671702 365870453 /nfs/dbraw/zinc/87/04/53/365870453.db2.gz DBHSXTNFGKJGMF-LLVKDONJSA-N 0 3 236.359 2.957 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCC[C@@H]2C)CCO1 ZINC000182971999 347114243 /nfs/dbraw/zinc/11/42/43/347114243.db2.gz KTYUSGSMKCYBHX-XQQFMLRXSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1cccc(OCCN(C)CCCF)c1 ZINC000178271471 179165900 /nfs/dbraw/zinc/16/59/00/179165900.db2.gz XATFAVZCXXDONR-UHFFFAOYSA-N 0 3 225.307 2.665 20 0 BFADHN Cc1cccc(OCCN2C[C@@H](C)[C@H]2C)c1 ZINC000339564021 179167670 /nfs/dbraw/zinc/16/76/70/179167670.db2.gz PHOZVJDGWGXEEX-CHWSQXEVSA-N 0 3 219.328 2.714 20 0 BFADHN Cc1cccc(OCCN2C[C@@H](C)[C@@H]2C)c1 ZINC000339564022 179167960 /nfs/dbraw/zinc/16/79/60/179167960.db2.gz PHOZVJDGWGXEEX-OLZOCXBDSA-N 0 3 219.328 2.714 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@@H]1CCn2ccnc21 ZINC000345355697 365862855 /nfs/dbraw/zinc/86/28/55/365862855.db2.gz TXPYNSWKOZBVGQ-SDDRHHMPSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1ccc(COC)o1 ZINC000388001899 347151752 /nfs/dbraw/zinc/15/17/52/347151752.db2.gz MKBTXHOIUFRQCI-MNOVXSKESA-N 0 3 223.316 2.562 20 0 BFADHN Cc1cc(CNC[C@@H]2CC3CCC2CC3)no1 ZINC000580328961 347165869 /nfs/dbraw/zinc/16/58/69/347165869.db2.gz OBTNKIDPTKXFSM-BPCQOVAHSA-N 0 3 234.343 2.899 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(CC)cc1 ZINC000309954764 347204582 /nfs/dbraw/zinc/20/45/82/347204582.db2.gz HSKWOSVIRYSTNN-KGLIPLIRSA-N 0 3 219.328 2.516 20 0 BFADHN CC[C@](O)(CN1CCCCCC1)C(F)(F)F ZINC000669380206 537987294 /nfs/dbraw/zinc/98/72/94/537987294.db2.gz VJCDUZRBOVWGPR-JTQLQIEISA-N 0 3 239.281 2.566 20 0 BFADHN Cc1ccoc1CNC[C@H](O)c1cccc(C)c1 ZINC000268950970 179187967 /nfs/dbraw/zinc/18/79/67/179187967.db2.gz DJFQDRKQFGLFDS-AWEZNQCLSA-N 0 3 245.322 2.720 20 0 BFADHN Cc1cccc([C@@H](C)NCCC(=O)NC(C)C)c1 ZINC000133859851 179181054 /nfs/dbraw/zinc/18/10/54/179181054.db2.gz FNYYAOOPDSCZKB-CYBMUJFWSA-N 0 3 248.370 2.560 20 0 BFADHN CC[C@@H]1CN(C[C@@H](CC(C)C)OC)C[C@H](C)O1 ZINC000419210770 192104594 /nfs/dbraw/zinc/10/45/94/192104594.db2.gz VNAJFHIDTVLHDV-BFHYXJOUSA-N 0 3 243.391 2.547 20 0 BFADHN CC[C@H](CNC1(c2ccc(F)cc2)CC1)OC ZINC000419216270 192104824 /nfs/dbraw/zinc/10/48/24/192104824.db2.gz BFHQZYATEYYMKU-CYBMUJFWSA-N 0 3 237.318 2.829 20 0 BFADHN Cc1cccc([C@H](C)NCC2(C)OCCCO2)c1 ZINC000190656908 179194758 /nfs/dbraw/zinc/19/47/58/179194758.db2.gz NHBXNOSWEQVLLQ-ZDUSSCGKSA-N 0 3 249.354 2.799 20 0 BFADHN CO[C@H]([C@H](C)NCc1ccsc1C)C1CC1 ZINC000388234275 347253476 /nfs/dbraw/zinc/25/34/76/347253476.db2.gz NVAQRSZNMYHDCC-TVQRCGJNSA-N 0 3 239.384 2.960 20 0 BFADHN Cc1cccc([C@H](C)NCc2cncn2C)c1C ZINC000115630183 179195738 /nfs/dbraw/zinc/19/57/38/179195738.db2.gz KAHVCONSHCYHAM-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cccc([C@H](C)NCc2cnn(C)c2)c1 ZINC000041159326 179196212 /nfs/dbraw/zinc/19/62/12/179196212.db2.gz NDLVUCLITNTMNM-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1cccc([C@H](C)N[C@@H]2CCOC2)c1C ZINC000167770344 179196446 /nfs/dbraw/zinc/19/64/46/179196446.db2.gz YIQRQQUMHJFEMW-QWHCGFSZSA-N 0 3 219.328 2.743 20 0 BFADHN CC[C@@H](C)NCc1ccncc1Br ZINC000395860295 362800547 /nfs/dbraw/zinc/80/05/47/362800547.db2.gz AYLBBKGXRSYMFT-MRVPVSSYSA-N 0 3 243.148 2.732 20 0 BFADHN CC[C@@H]1CN(C[C@H](CC(C)C)OC)C[C@H](C)O1 ZINC000419210773 192105579 /nfs/dbraw/zinc/10/55/79/192105579.db2.gz VNAJFHIDTVLHDV-MJBXVCDLSA-N 0 3 243.391 2.547 20 0 BFADHN COc1ccccc1CN[C@@H](C)[C@@H](OC)C1CC1 ZINC000388279670 347289518 /nfs/dbraw/zinc/28/95/18/347289518.db2.gz LGPNGSAERSLTTN-XHDPSFHLSA-N 0 3 249.354 2.598 20 0 BFADHN CO[C@H]([C@H](C)NCc1ccc(Cl)o1)C1CC1 ZINC000388253010 347267487 /nfs/dbraw/zinc/26/74/87/347267487.db2.gz AFRSRNIPWRENEL-QPUJVOFHSA-N 0 3 243.734 2.836 20 0 BFADHN Cc1cc(CN(C)C2CCC(C)CC2)nn1C ZINC000487401934 362811678 /nfs/dbraw/zinc/81/16/78/362811678.db2.gz FEGBQTPLIWZLPQ-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1ncc(CN2C[C@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)o1 ZINC000628298617 347325783 /nfs/dbraw/zinc/32/57/83/347325783.db2.gz LUTZIVIIQYBPNQ-DHMWGJHJSA-N 0 3 244.338 2.627 20 0 BFADHN CCCC[C@@H](COC)N[C@H]1CCC[C@H]1F ZINC000382425797 347331096 /nfs/dbraw/zinc/33/10/96/347331096.db2.gz YFTFHWPQFVASJD-TUAOUCFPSA-N 0 3 217.328 2.672 20 0 BFADHN Cc1cccc2c1CN(C[C@@H]1CC[C@@H](C)O1)CC2 ZINC000277231085 179216511 /nfs/dbraw/zinc/21/65/11/179216511.db2.gz ORDJVVUBOFNIRK-HIFRSBDPSA-N 0 3 245.366 2.921 20 0 BFADHN Cc1ncccc1CN1CCSC[C@H](C)C1 ZINC000190846202 347337003 /nfs/dbraw/zinc/33/70/03/347337003.db2.gz FKDYMDMSKIEYLT-LLVKDONJSA-N 0 3 236.384 2.575 20 0 BFADHN CCN(Cc1ccc(N(C)C(C)C)nc1)C1CC1 ZINC000191227898 347345888 /nfs/dbraw/zinc/34/58/88/347345888.db2.gz FHUMURMJAYJCNK-UHFFFAOYSA-N 0 3 247.386 2.911 20 0 BFADHN CO[C@@H]([C@@H](C)NCc1cccc(F)c1)C1CC1 ZINC000388352999 347349921 /nfs/dbraw/zinc/34/99/21/347349921.db2.gz PDFUKTRTQXUXQT-YGRLFVJLSA-N 0 3 237.318 2.729 20 0 BFADHN CO[C@@H]([C@H](C)N[C@H](C)c1ccncc1)C1CC1 ZINC000388359375 347356640 /nfs/dbraw/zinc/35/66/40/347356640.db2.gz RYNJXTRRCXDIRN-SUNKGSAMSA-N 0 3 234.343 2.546 20 0 BFADHN CO[C@@H]([C@H](C)N[C@H](C)c1cncs1)C1CC1 ZINC000388302812 347302231 /nfs/dbraw/zinc/30/22/31/347302231.db2.gz OGSDAPRICGITHJ-PTRXPTGYSA-N 0 3 240.372 2.607 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1ncccn1 ZINC000192194495 347369076 /nfs/dbraw/zinc/36/90/76/347369076.db2.gz LDAGHLLPNRIEPX-NWDGAFQWSA-N 0 3 233.359 2.781 20 0 BFADHN COc1ncc([C@@H](C)NCCCF)cc1Cl ZINC000390529918 347381025 /nfs/dbraw/zinc/38/10/25/347381025.db2.gz IDIHRCAOGYSCHK-MRVPVSSYSA-N 0 3 246.713 2.754 20 0 BFADHN Cc1cccc2nc(CN[C@@H](C)C3CC3)cn21 ZINC000177322076 179229965 /nfs/dbraw/zinc/22/99/65/179229965.db2.gz KMOMSIIUMGNYRO-NSHDSACASA-N 0 3 229.327 2.531 20 0 BFADHN Cc1cccc2ncc(CN3CCC(C)(C)C3)n21 ZINC000353611763 179232077 /nfs/dbraw/zinc/23/20/77/179232077.db2.gz FJCDSXFMUMVWQB-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1cccc2ncc(CN3CC[C@@H](C)[C@@H]3C)n21 ZINC000355135201 179232094 /nfs/dbraw/zinc/23/20/94/179232094.db2.gz OKJIZQJNSVIFDX-YPMHNXCESA-N 0 3 243.354 2.873 20 0 BFADHN Cc1cccc2ncc(CN(C)C3CCC3)n21 ZINC000336443146 179232193 /nfs/dbraw/zinc/23/21/93/179232193.db2.gz RWBWCSJLPCAMND-UHFFFAOYSA-N 0 3 229.327 2.627 20 0 BFADHN Cc1cccc2nc(CN(C)CC3CCC3)cn21 ZINC000111296885 179229276 /nfs/dbraw/zinc/22/92/76/179229276.db2.gz NCYVWSYCCZEMDS-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1nnsc1CN1C[C@H](C)CCC[C@@H]1C ZINC000571527540 323147025 /nfs/dbraw/zinc/14/70/25/323147025.db2.gz YNSXNYKIMJUTOV-ZJUUUORDSA-N 0 3 239.388 2.857 20 0 BFADHN CCCN1CCN(C[C@H](C)c2ccccc2)CC1 ZINC000192935506 365883516 /nfs/dbraw/zinc/88/35/16/365883516.db2.gz FVMHXUVXUICZII-HNNXBMFYSA-N 0 3 246.398 2.818 20 0 BFADHN CCC(CC)N(C(=O)CN(CC)CC)C1CC1 ZINC000590708912 347498775 /nfs/dbraw/zinc/49/87/75/347498775.db2.gz VTMBQTYAOZDWFQ-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN CS[C@H]1CCN([C@@H](C)c2ccncc2)C1 ZINC000590749962 347503924 /nfs/dbraw/zinc/50/39/24/347503924.db2.gz OGJFODIOCARYRP-JQWIXIFHSA-N 0 3 222.357 2.580 20 0 BFADHN C[C@@H](CN1C[C@H]2CC[C@@H](C1)O2)c1ccccc1 ZINC000196768424 347519232 /nfs/dbraw/zinc/51/92/32/347519232.db2.gz IPIDTHHPZQBCLU-AEGPPILISA-N 0 3 231.339 2.653 20 0 BFADHN CCCC(C)(C)NC(=O)CN1[C@H](C)CC[C@H]1C ZINC000355406498 168575306 /nfs/dbraw/zinc/57/53/06/168575306.db2.gz BUROXBFIJCMOMZ-VXGBXAGGSA-N 0 3 240.391 2.554 20 0 BFADHN Cc1csc([C@H](C)CNCC2(F)CC2)n1 ZINC000380122105 365902547 /nfs/dbraw/zinc/90/25/47/365902547.db2.gz QUPWSANQIGJLBI-MRVPVSSYSA-N 0 3 228.336 2.647 20 0 BFADHN Cc1ccccc1CCNCc1ccco1 ZINC000037854530 179265894 /nfs/dbraw/zinc/26/58/94/179265894.db2.gz RNVPJRJNYPFPFH-UHFFFAOYSA-N 0 3 215.296 2.920 20 0 BFADHN COCCCN1C[C@@H](C(F)(F)F)CC[C@@H]1C ZINC000679545197 488356517 /nfs/dbraw/zinc/35/65/17/488356517.db2.gz JESDJQLZZFLEQC-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1ccccc1CN1CCOC(C)(C)[C@@H]1C ZINC000353874766 179272929 /nfs/dbraw/zinc/27/29/29/179272929.db2.gz YLVPQMORXJPVLY-ZDUSSCGKSA-N 0 3 233.355 2.994 20 0 BFADHN Cc1ccccc1CN1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000339619853 179276453 /nfs/dbraw/zinc/27/64/53/179276453.db2.gz YRKOKMSFQQNVKN-OKILXGFUSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1ncc(CNC23CCC(CC2)CC3)o1 ZINC000628299853 347766435 /nfs/dbraw/zinc/76/64/35/347766435.db2.gz CPPYIPZGLZVMJJ-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN CN(CCC(=O)OC(C)(C)C)CC1=CCCC1 ZINC000592072869 347728832 /nfs/dbraw/zinc/72/88/32/347728832.db2.gz BLEPPEIZBHYBLI-UHFFFAOYSA-N 0 3 239.359 2.760 20 0 BFADHN CC(C)N1CCC(C)(C(=O)OC(C)(C)C)CC1 ZINC000592157624 347746037 /nfs/dbraw/zinc/74/60/37/347746037.db2.gz GQHSZSPDAMKERZ-UHFFFAOYSA-N 0 3 241.375 2.839 20 0 BFADHN Cc1ccccc1CN[C@@H](CO)c1ccccc1 ZINC000062998962 179282090 /nfs/dbraw/zinc/28/20/90/179282090.db2.gz MNKAPJISLMAORF-INIZCTEOSA-N 0 3 241.334 2.818 20 0 BFADHN CCN(CCSC)Cc1cccc2nccn21 ZINC000592531884 347797018 /nfs/dbraw/zinc/79/70/18/347797018.db2.gz XFEMJNYRRSLUNN-UHFFFAOYSA-N 0 3 249.383 2.519 20 0 BFADHN Fc1ccc2c(c1)OC[C@H](NCc1ccco1)C2 ZINC000577296957 365922336 /nfs/dbraw/zinc/92/23/36/365922336.db2.gz UODWTKPIWQKWHF-GFCCVEGCSA-N 0 3 247.269 2.512 20 0 BFADHN Cc1ccccc1NC(=O)[C@@H]1NCCCC1(C)C ZINC000235769076 179302423 /nfs/dbraw/zinc/30/24/23/179302423.db2.gz PODLUKVVRYVDDR-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN CCC[C@@H](CNCc1cnc(C)cc1C)OC ZINC000397051715 362849292 /nfs/dbraw/zinc/84/92/92/362849292.db2.gz YNNQESABQUYBFL-AWEZNQCLSA-N 0 3 236.359 2.603 20 0 BFADHN CC[C@@H]1CN(CCCSC)[C@H](CC)CO1 ZINC000593056966 347848104 /nfs/dbraw/zinc/84/81/04/347848104.db2.gz BYJOXBYRLHGDOS-VXGBXAGGSA-N 0 3 231.405 2.629 20 0 BFADHN c1cc2c(s1)CCCN(C[C@H]1CCCO1)C2 ZINC000592983843 347836221 /nfs/dbraw/zinc/83/62/21/347836221.db2.gz MJBRLNWQCHIZCE-GFCCVEGCSA-N 0 3 237.368 2.675 20 0 BFADHN Cn1ccnc1CN1CCC[C@@H](C(C)(C)C)C1 ZINC000201003799 347865366 /nfs/dbraw/zinc/86/53/66/347865366.db2.gz IWUUFQRIZCVXCE-GFCCVEGCSA-N 0 3 235.375 2.678 20 0 BFADHN Cc1ccccc1OCCNCc1ccccn1 ZINC000032100253 179310501 /nfs/dbraw/zinc/31/05/01/179310501.db2.gz LXJQCJCPFYZMGW-UHFFFAOYSA-N 0 3 242.322 2.559 20 0 BFADHN CC(C)CCOCCN(C)C[C@H]1CCCCO1 ZINC000050075101 323165504 /nfs/dbraw/zinc/16/55/04/323165504.db2.gz HPQJJXNEGCYISB-CQSZACIVSA-N 0 3 243.391 2.550 20 0 BFADHN Cc1c(CN[C@H](C)c2ccccc2C)cnn1C ZINC000093649287 179322016 /nfs/dbraw/zinc/32/20/16/179322016.db2.gz AYHKRUKCNJHAOR-GFCCVEGCSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]1C)c1cn2ccccc2n1 ZINC000577388478 365943230 /nfs/dbraw/zinc/94/32/30/365943230.db2.gz KACDLZPWVTZVGM-SDDRHHMPSA-N 0 3 229.327 2.783 20 0 BFADHN CSC[C@@H](C)NCc1cc2cnccc2o1 ZINC000593601716 347919204 /nfs/dbraw/zinc/91/92/04/347919204.db2.gz KILLOMYVPMBZGO-SECBINFHSA-N 0 3 236.340 2.669 20 0 BFADHN Cc1ccccc1[C@@H](C)NCC(=O)N(C)C(C)C ZINC000042224981 179320244 /nfs/dbraw/zinc/32/02/44/179320244.db2.gz NNIPIPMPCXSGFK-CYBMUJFWSA-N 0 3 248.370 2.512 20 0 BFADHN COc1ccc([C@@H](C)NCCSC)cc1F ZINC000070184623 323172158 /nfs/dbraw/zinc/17/21/58/323172158.db2.gz AACPYDQOVOIODX-SECBINFHSA-N 0 3 243.347 2.848 20 0 BFADHN C[C@@H](N[C@@H]1CCCn2ccnc21)C(C)(C)C ZINC000655780909 490114985 /nfs/dbraw/zinc/11/49/85/490114985.db2.gz QSCHZUCSFLPAAY-GHMZBOCLSA-N 0 3 221.348 2.742 20 0 BFADHN CC(C)[C@H]1CCCC[C@@H]1NCc1ccn(C)n1 ZINC000112675680 323171438 /nfs/dbraw/zinc/17/14/38/323171438.db2.gz RJVCSSGRUNNLIS-KGLIPLIRSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1ccccc1[C@H](C)NC(=O)[C@@H](N)CC(C)C ZINC000040854689 179335599 /nfs/dbraw/zinc/33/55/99/179335599.db2.gz TYMZZUQUMXVRFB-JSGCOSHPSA-N 0 3 248.370 2.546 20 0 BFADHN Cc1cccn2cc(CN[C@@H](C)CC3CC3)nc12 ZINC000090045393 179357400 /nfs/dbraw/zinc/35/74/00/179357400.db2.gz VMLGEZRABTYFMS-LBPRGKRZSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1cccn2cc(CN[C@H](C)C3CCC3)nc12 ZINC000090045230 179357661 /nfs/dbraw/zinc/35/76/61/179357661.db2.gz XIBIRRWTBVUYJG-GFCCVEGCSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1nnsc1CN[C@]1(C)CCCC[C@@H]1C ZINC000308704690 323177147 /nfs/dbraw/zinc/17/71/47/323177147.db2.gz FULFXAGNWCXSPG-JOYOIKCWSA-N 0 3 239.388 2.905 20 0 BFADHN CC(C)(C)OCCNCc1cc(F)cc(F)c1 ZINC000308721076 323177359 /nfs/dbraw/zinc/17/73/59/323177359.db2.gz DEEZHLPTFXXGTD-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CSCC1(CCNCc2nccs2)CC1 ZINC000594963206 348152240 /nfs/dbraw/zinc/15/22/40/348152240.db2.gz KPBTWCDJYZVLGO-UHFFFAOYSA-N 0 3 242.413 2.766 20 0 BFADHN Cc1cc(CN[C@H](C)CSCCF)ccn1 ZINC000595042696 348178117 /nfs/dbraw/zinc/17/81/17/348178117.db2.gz UIYYQUZBYFRPBE-LLVKDONJSA-N 0 3 242.363 2.571 20 0 BFADHN Cc1cc(CN[C@@H](C)CSCCF)ccn1 ZINC000595042698 348178247 /nfs/dbraw/zinc/17/82/47/348178247.db2.gz UIYYQUZBYFRPBE-NSHDSACASA-N 0 3 242.363 2.571 20 0 BFADHN C[C@H](CSCCF)NCc1ccsc1 ZINC000595007246 348158563 /nfs/dbraw/zinc/15/85/63/348158563.db2.gz LCGKPAIHBWCKSB-SECBINFHSA-N 0 3 233.377 2.929 20 0 BFADHN C[C@H](CSCCF)N[C@@H](C)c1ccccn1 ZINC000595009527 348159347 /nfs/dbraw/zinc/15/93/47/348159347.db2.gz XYSCPDCZKPVGDA-MNOVXSKESA-N 0 3 242.363 2.823 20 0 BFADHN CCSC1(CNCc2ncc(C)s2)CC1 ZINC000594986146 348165677 /nfs/dbraw/zinc/16/56/77/348165677.db2.gz AKQSXIYYJGCFFP-UHFFFAOYSA-N 0 3 242.413 2.827 20 0 BFADHN CC[C@H](CN1CCc2cc(F)ccc2C1)OC ZINC000419232842 192112620 /nfs/dbraw/zinc/11/26/20/192112620.db2.gz VLQWKVJWCJWIJW-CQSZACIVSA-N 0 3 237.318 2.609 20 0 BFADHN CC1(C)CN(CCOCC2CCC2)CCS1 ZINC000419235482 192112927 /nfs/dbraw/zinc/11/29/27/192112927.db2.gz MWHMKQZFPPVXKT-UHFFFAOYSA-N 0 3 243.416 2.631 20 0 BFADHN C[C@H](N[C@@H]1CCS[C@H]1C)c1cccnc1 ZINC000220708641 490139197 /nfs/dbraw/zinc/13/91/97/490139197.db2.gz FLOHXEFYYLMWID-JBLDHEPKSA-N 0 3 222.357 2.626 20 0 BFADHN Cc1cccnc1CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000284943971 179372135 /nfs/dbraw/zinc/37/21/35/179372135.db2.gz WSLMYBCUPCLTJR-CABCVRRESA-N 0 3 246.354 2.533 20 0 BFADHN CCc1cnc(CN[C@@H]2CCS[C@H]2C)s1 ZINC000220774571 490143771 /nfs/dbraw/zinc/14/37/71/490143771.db2.gz WQRXYTKRNZAUJB-WCBMZHEXSA-N 0 3 242.413 2.689 20 0 BFADHN CC[C@@H](CN[C@@H](CC)c1c(C)noc1C)OC ZINC000419238199 192113411 /nfs/dbraw/zinc/11/34/11/192113411.db2.gz HAESQNBSYSHQOL-RYUDHWBXSA-N 0 3 240.347 2.757 20 0 BFADHN CC[C@H](CN[C@H](CC)c1c(C)noc1C)OC ZINC000419238201 192113451 /nfs/dbraw/zinc/11/34/51/192113451.db2.gz HAESQNBSYSHQOL-VXGBXAGGSA-N 0 3 240.347 2.757 20 0 BFADHN CC[C@H](CN[C@@H](c1cccnc1)C1CC1)OC ZINC000419253337 192115979 /nfs/dbraw/zinc/11/59/79/192115979.db2.gz UDOPPIQHUZSIHF-ZIAGYGMSSA-N 0 3 234.343 2.547 20 0 BFADHN CO[C@@H](CC(C)C)CN1CCOC(C)(C)[C@@H]1C ZINC000419241607 192114035 /nfs/dbraw/zinc/11/40/35/192114035.db2.gz RXSKSDCRZCSFKB-STQMWFEESA-N 0 3 243.391 2.547 20 0 BFADHN Cc1cccnc1CN1CCSC[C@@H](C)C1 ZINC000190454169 179376558 /nfs/dbraw/zinc/37/65/58/179376558.db2.gz FTULJRMXSWWTAM-NSHDSACASA-N 0 3 236.384 2.575 20 0 BFADHN Cc1cccnc1CNC1CC(OC(C)(C)C)C1 ZINC000156458269 179382616 /nfs/dbraw/zinc/38/26/16/179382616.db2.gz VEZGTKYQGQXGEJ-UHFFFAOYSA-N 0 3 248.370 2.826 20 0 BFADHN Cc1cccnc1CN[C@@H]1C[C@@H](C)[C@H]1C ZINC000336689534 179384150 /nfs/dbraw/zinc/38/41/50/179384150.db2.gz MAVBSKDGRGAJPV-IJLUTSLNSA-N 0 3 204.317 2.524 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccoc1)C(C)C ZINC000308902462 323180888 /nfs/dbraw/zinc/18/08/88/323180888.db2.gz BQXOXGFGNBGSMX-PWSUYJOCSA-N 0 3 211.305 2.601 20 0 BFADHN COc1cccc([C@H](C)N[C@H]2CO[C@@H](C)C2)c1 ZINC000401866177 348296395 /nfs/dbraw/zinc/29/63/95/348296395.db2.gz VIXLXAFXDPZGQA-GMXVVIOVSA-N 0 3 235.327 2.523 20 0 BFADHN COC(=O)CN(CCCCF)C1CCCCC1 ZINC000595667555 348302141 /nfs/dbraw/zinc/30/21/41/348302141.db2.gz HJJRHSFCXOXYAO-UHFFFAOYSA-N 0 3 245.338 2.544 20 0 BFADHN CC[C@@](C)(CNCc1cnc(C)cc1C)OC ZINC000397485952 362888175 /nfs/dbraw/zinc/88/81/75/362888175.db2.gz ISYRUGXZADILOZ-AWEZNQCLSA-N 0 3 236.359 2.603 20 0 BFADHN Cc1cc(C)c(CN[C@@H]2CS[C@H](C)C2)cn1 ZINC000397509132 362889022 /nfs/dbraw/zinc/88/90/22/362889022.db2.gz WIRQAWNFOYLAIB-YPMHNXCESA-N 0 3 236.384 2.682 20 0 BFADHN CSCCCN1CC2(CCCC2)OC[C@@H]1C ZINC000595816223 348343458 /nfs/dbraw/zinc/34/34/58/348343458.db2.gz UNWSPCXGJUXRAL-LBPRGKRZSA-N 0 3 243.416 2.773 20 0 BFADHN CCC[C@H](NCc1ncc[nH]1)[C@@H]1CC1(C)C ZINC000397564461 362893834 /nfs/dbraw/zinc/89/38/34/362893834.db2.gz BGFHIMBUKVXDJB-QWRGUYRKSA-N 0 3 221.348 2.714 20 0 BFADHN Cc1nc(CN[C@@H]2CS[C@@H](C)C2)sc1C ZINC000397856570 362925881 /nfs/dbraw/zinc/92/58/81/362925881.db2.gz WUKAELDMDJKMOL-XVKPBYJWSA-N 0 3 242.413 2.744 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2COCc3ccccc32)CS1 ZINC000397853821 362926814 /nfs/dbraw/zinc/92/68/14/362926814.db2.gz LIQJGJGPNGWJFG-SCDSUCTJSA-N 0 3 249.379 2.742 20 0 BFADHN CC[C@H](N[C@H](C)C(=O)N(C)C)c1ccc(C)cc1 ZINC000035138545 358454693 /nfs/dbraw/zinc/45/46/93/358454693.db2.gz HQRIVFUQYMFSOC-OCCSQVGLSA-N 0 3 248.370 2.512 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@@H](C)[C@H]2C)oc1C ZINC000419336782 192124778 /nfs/dbraw/zinc/12/47/78/192124778.db2.gz ASXOGZMBWZGEHY-IQJOONFLSA-N 0 3 222.332 2.768 20 0 BFADHN CCc1noc([C@H](C)N2C[C@H](C)[C@@H](C)[C@@H]2C)n1 ZINC000419337238 192125259 /nfs/dbraw/zinc/12/52/59/192125259.db2.gz BAIXUEKOUDYFQZ-VLEAKVRGSA-N 0 3 237.347 2.669 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2ccc(F)cn2)C[C@H]1C ZINC000419351648 192125477 /nfs/dbraw/zinc/12/54/77/192125477.db2.gz JJMOSRMDGOEYPO-GMTAPVOTSA-N 0 3 222.307 2.697 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@H](C)[C@H](C)[C@@H]2C)cn1 ZINC000419355440 192126444 /nfs/dbraw/zinc/12/64/44/192126444.db2.gz NAGNMJVRMNWRIL-BQUFFADESA-N 0 3 233.359 2.822 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@H](C)[C@@H](C)[C@H]2C)cn1 ZINC000419355443 192126513 /nfs/dbraw/zinc/12/65/13/192126513.db2.gz NAGNMJVRMNWRIL-SQNXGDPESA-N 0 3 233.359 2.822 20 0 BFADHN C[C@@H]1[C@H](C)CN(CCn2cc(Cl)cn2)[C@H]1C ZINC000419356496 192126599 /nfs/dbraw/zinc/12/65/99/192126599.db2.gz OHOALKXDKFQMPM-MXWKQRLJSA-N 0 3 241.766 2.513 20 0 BFADHN CCc1nnc([C@H](C)N2C[C@H](C)[C@H](C)[C@H]2C)o1 ZINC000419380979 192132816 /nfs/dbraw/zinc/13/28/16/192132816.db2.gz YBCFZLJOWTWMGQ-MMWGEVLESA-N 0 3 237.347 2.669 20 0 BFADHN CCc1nnc([C@H](C)N2C[C@H](C)[C@@H](C)[C@@H]2C)o1 ZINC000419380981 192133149 /nfs/dbraw/zinc/13/31/49/192133149.db2.gz YBCFZLJOWTWMGQ-VLEAKVRGSA-N 0 3 237.347 2.669 20 0 BFADHN CC1(CNCc2ncccc2F)CCC1 ZINC000389741784 538005428 /nfs/dbraw/zinc/00/54/28/538005428.db2.gz WCUOCORQGBOYIT-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN C[C@H]1[C@@H](C)N(CCOCC(F)(F)F)C[C@H]1C ZINC000419369804 192128579 /nfs/dbraw/zinc/12/85/79/192128579.db2.gz IHHCCMSVZUXWDX-OPRDCNLKSA-N 0 3 239.281 2.542 20 0 BFADHN CC[C@@H](F)CN1CCSC[C@H]1C1CCC1 ZINC000419373770 192128616 /nfs/dbraw/zinc/12/86/16/192128616.db2.gz NDYFRYAUVAPONZ-NEPJUHHUSA-N 0 3 231.380 2.952 20 0 BFADHN C[C@@H]1CN(CCOCC(F)(F)F)[C@@H](C)[C@H]1C ZINC000419369805 192128824 /nfs/dbraw/zinc/12/88/24/192128824.db2.gz IHHCCMSVZUXWDX-UTLUCORTSA-N 0 3 239.281 2.542 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCc3c2cccc3O)CS1 ZINC000397869365 362928216 /nfs/dbraw/zinc/92/82/16/362928216.db2.gz RWODQOANDAMZMX-GIPNMCIBSA-N 0 3 249.379 2.863 20 0 BFADHN CC(C)C[C@H]1CCCN1Cc1ccnc(C#N)c1 ZINC000396923018 349997248 /nfs/dbraw/zinc/99/72/48/349997248.db2.gz SCXHVMHXVFKIKJ-OAHLLOKOSA-N 0 3 243.354 2.964 20 0 BFADHN Cc1ccsc1CN(C)CC(C)(C)CO ZINC000258734935 179541581 /nfs/dbraw/zinc/54/15/81/179541581.db2.gz FHOQVWFWQOSFNO-UHFFFAOYSA-N 0 3 227.373 2.507 20 0 BFADHN C[C@H](Cc1cccc(O)c1)NCc1nccs1 ZINC000596669622 348601702 /nfs/dbraw/zinc/60/17/02/348601702.db2.gz DNDQENMSPWHZPJ-SNVBAGLBSA-N 0 3 248.351 2.570 20 0 BFADHN CC[C@H](NCc1c[nH]cn1)c1cccs1 ZINC000054765228 348601970 /nfs/dbraw/zinc/60/19/70/348601970.db2.gz CJDOTMDQKWPQKM-JTQLQIEISA-N 0 3 221.329 2.712 20 0 BFADHN CC[C@H](NCc1cnc[nH]1)c1cccs1 ZINC000054765228 348601975 /nfs/dbraw/zinc/60/19/75/348601975.db2.gz CJDOTMDQKWPQKM-JTQLQIEISA-N 0 3 221.329 2.712 20 0 BFADHN Cc1cccc(C)c1NC(=O)CN(C)C(C)(C)C ZINC000205912749 348604515 /nfs/dbraw/zinc/60/45/15/348604515.db2.gz JNTUEAPOPWMSKG-UHFFFAOYSA-N 0 3 248.370 2.972 20 0 BFADHN COC(=O)c1oc(CN[C@@H]2CC=CCC2)cc1C ZINC000597057962 348654041 /nfs/dbraw/zinc/65/40/41/348654041.db2.gz QWLCJNHXBGNKBE-LLVKDONJSA-N 0 3 249.310 2.573 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)n1C ZINC000341056605 179609277 /nfs/dbraw/zinc/60/92/77/179609277.db2.gz ZQWUBJZLHLFZDG-GMXVVIOVSA-N 0 3 235.375 2.643 20 0 BFADHN CCCCN(CC)[C@@H](CCC)C(=O)OCC ZINC000148160965 192158101 /nfs/dbraw/zinc/15/81/01/192158101.db2.gz VFNIMDOUSYJKGL-LBPRGKRZSA-N 0 3 229.364 2.840 20 0 BFADHN CCc1ncc(CNC[C@]2(C)CCCS2)o1 ZINC000628300846 348625518 /nfs/dbraw/zinc/62/55/18/348625518.db2.gz ZCZJCNYGBPYRPP-LBPRGKRZSA-N 0 3 240.372 2.612 20 0 BFADHN CC[C@@H](C)CCNCc1ccc(C(=O)OC)o1 ZINC000597185858 348683570 /nfs/dbraw/zinc/68/35/70/348683570.db2.gz YETLJNKNMJDTSO-SNVBAGLBSA-N 0 3 239.315 2.592 20 0 BFADHN COC(=O)c1ccc(CN[C@]2(C)CC2(C)C)cc1 ZINC000597229672 348697939 /nfs/dbraw/zinc/69/79/39/348697939.db2.gz IECISNCMLVYZHU-OAHLLOKOSA-N 0 3 247.338 2.751 20 0 BFADHN COC(=O)c1ccc(CN[C@@]2(C)CC2(C)C)cc1 ZINC000597229669 348698001 /nfs/dbraw/zinc/69/80/01/348698001.db2.gz IECISNCMLVYZHU-HNNXBMFYSA-N 0 3 247.338 2.751 20 0 BFADHN CCC[C@@H](C)NCc1csc(CC)n1 ZINC000054918102 348785595 /nfs/dbraw/zinc/78/55/95/348785595.db2.gz VSXBWCFEDLGCMR-SECBINFHSA-N 0 3 212.362 2.984 20 0 BFADHN Cc1cncc([C@H](C)N[C@H](CO)CC2CCC2)c1 ZINC000281201541 179687892 /nfs/dbraw/zinc/68/78/92/179687892.db2.gz SCKJFMXLMXLJSD-WFASDCNBSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1cc(CNC2C[C@@H](C)C[C@H](C)C2)no1 ZINC000082830438 348821796 /nfs/dbraw/zinc/82/17/96/348821796.db2.gz PZWWSRWBVQIIBN-UWVGGRQHSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cncc([C@@H](C)N[C@H]2CSC2(C)C)c1 ZINC000282988751 179685236 /nfs/dbraw/zinc/68/52/36/179685236.db2.gz FILUEDJZQJOTNA-PWSUYJOCSA-N 0 3 236.384 2.935 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2CCCSC2)c1 ZINC000274602851 179686549 /nfs/dbraw/zinc/68/65/49/179686549.db2.gz LWVBGNJUWNCBJU-WCQYABFASA-N 0 3 236.384 2.936 20 0 BFADHN CCn1cc(CN(C)[C@@H](C)C(C)(C)C)cn1 ZINC000536655860 323200021 /nfs/dbraw/zinc/20/00/21/323200021.db2.gz ZHVWNZLADBFSTA-NSHDSACASA-N 0 3 223.364 2.769 20 0 BFADHN COc1cccc(C)c1CNCC(C)(C)OC ZINC000638702082 352540774 /nfs/dbraw/zinc/54/07/74/352540774.db2.gz IUGPHKKMXTYNKB-UHFFFAOYSA-N 0 3 237.343 2.518 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1nc(C)cs1 ZINC000599043159 349081149 /nfs/dbraw/zinc/08/11/49/349081149.db2.gz VLCDLDPPDMMRJF-RKDXNWHRSA-N 0 3 230.402 2.854 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C)[C@H]2C)s1 ZINC000245549841 349083018 /nfs/dbraw/zinc/08/30/18/349083018.db2.gz ZVWIRCLBTZAKNB-PSASIEDQSA-N 0 3 210.346 2.682 20 0 BFADHN COC(=O)c1coc([C@H](C)NCC2CC=CC2)c1 ZINC000599066736 349086732 /nfs/dbraw/zinc/08/67/32/349086732.db2.gz YKIHTGPPZCQDLR-JTQLQIEISA-N 0 3 249.310 2.683 20 0 BFADHN CO[C@H](C)CCN[C@@H](C)c1ccncc1Cl ZINC000421825916 192387443 /nfs/dbraw/zinc/38/74/43/192387443.db2.gz PYZUPCVOOOCCBO-ZJUUUORDSA-N 0 3 242.750 2.811 20 0 BFADHN C[C@H]1CCCCN1CCC(=O)OC(C)(C)C ZINC000055058647 349128106 /nfs/dbraw/zinc/12/81/06/349128106.db2.gz LHOUMCTWNLVNCR-NSHDSACASA-N 0 3 227.348 2.593 20 0 BFADHN CC(C)C[C@H](C(=O)OC(C)(C)C)N1CCCC1 ZINC000599256444 349132583 /nfs/dbraw/zinc/13/25/83/349132583.db2.gz AOFCYKAUWCDATF-GFCCVEGCSA-N 0 3 241.375 2.839 20 0 BFADHN CC(C)C[C@@H](C(=O)OC(C)(C)C)N1CCCC1 ZINC000599256446 349132802 /nfs/dbraw/zinc/13/28/02/349132802.db2.gz AOFCYKAUWCDATF-LBPRGKRZSA-N 0 3 241.375 2.839 20 0 BFADHN COC1([C@H](C)NCc2ccco2)CCCC1 ZINC000421805847 192384839 /nfs/dbraw/zinc/38/48/39/192384839.db2.gz XXXWFBURDYQNNY-NSHDSACASA-N 0 3 223.316 2.717 20 0 BFADHN COC(=O)CCCCN1Cc2ccccc2[C@@H]1C ZINC000599304887 349143094 /nfs/dbraw/zinc/14/30/94/349143094.db2.gz SLMTXCDTBCIVKM-LBPRGKRZSA-N 0 3 247.338 2.907 20 0 BFADHN COC1([C@H](C)N[C@H]2CCC[C@H]2F)CCCC1 ZINC000421863165 192396299 /nfs/dbraw/zinc/39/62/99/192396299.db2.gz XAIRBIHPROLDPJ-TUAOUCFPSA-N 0 3 229.339 2.814 20 0 BFADHN Cc1nc(CNC[C@H]2CCCC23CC3)[nH]c1C ZINC000623825987 349184680 /nfs/dbraw/zinc/18/46/80/349184680.db2.gz PJMLCRZTIPLFFO-GFCCVEGCSA-N 0 3 233.359 2.696 20 0 BFADHN CC[C@H](C)CN[C@@H](C)c1cc(C(=O)OC)co1 ZINC000421875812 192398647 /nfs/dbraw/zinc/39/86/47/192398647.db2.gz JHWXQUNVEFHEAI-UWVGGRQHSA-N 0 3 239.315 2.763 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1ccncc1Cl)OC ZINC000421852527 192389522 /nfs/dbraw/zinc/38/95/22/192389522.db2.gz ILMXHLFATHHDDZ-UWVGGRQHSA-N 0 3 242.750 2.811 20 0 BFADHN C[C@@H](NCCCCCO)c1ccncc1Cl ZINC000421855364 192389576 /nfs/dbraw/zinc/38/95/76/192389576.db2.gz MRVXXTONRVZOBD-SNVBAGLBSA-N 0 3 242.750 2.548 20 0 BFADHN CCC[C@H](C)CCCN(C)[C@H](C)C[S@](C)=O ZINC000599362461 349159704 /nfs/dbraw/zinc/15/97/04/349159704.db2.gz NSSYRHLYFKLOMZ-ZENOOKHLSA-N 0 3 247.448 2.902 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1ccncc1Cl ZINC000421846625 192393040 /nfs/dbraw/zinc/39/30/40/192393040.db2.gz TYCFILZDOLMGEO-ZJUUUORDSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1ccncc1Cl ZINC000421846623 192393084 /nfs/dbraw/zinc/39/30/84/192393084.db2.gz TYCFILZDOLMGEO-UWVGGRQHSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1csc([C@H](C)N[C@H]2CCCSC2)n1 ZINC000070732779 179796103 /nfs/dbraw/zinc/79/61/03/179796103.db2.gz YFUNLYCSPMGCCP-UWVGGRQHSA-N 0 3 242.413 2.998 20 0 BFADHN CC[C@@H](C)CN[C@@H](C)c1cc2n(n1)CCC2 ZINC000421885988 192394252 /nfs/dbraw/zinc/39/42/52/192394252.db2.gz RTJMWMDIPGMWEQ-MNOVXSKESA-N 0 3 221.348 2.526 20 0 BFADHN CCOC(=O)[C@H](CC)N1CCCC2(CC2)CC1 ZINC000599672194 349240114 /nfs/dbraw/zinc/24/01/14/349240114.db2.gz CGAQBAIGIWKOAN-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN CSCCN1C[C@@H](C)C(F)(F)[C@H](C)C1 ZINC000599514255 349204421 /nfs/dbraw/zinc/20/44/21/349204421.db2.gz WBXVDJWRVCAMCU-RKDXNWHRSA-N 0 3 223.332 2.573 20 0 BFADHN C/C=C/C[C@@H]1CCCN([C@@H](CC)C(=O)OC)C1 ZINC000599691525 349245607 /nfs/dbraw/zinc/24/56/07/349245607.db2.gz SGIUJQRVGWNANK-PBYRWIMMSA-N 0 3 239.359 2.616 20 0 BFADHN C/C=C\C[C@@H]1CCCN([C@@H](CC)C(=O)OC)C1 ZINC000599691526 349245637 /nfs/dbraw/zinc/24/56/37/349245637.db2.gz SGIUJQRVGWNANK-RMKKPPKKSA-N 0 3 239.359 2.616 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3c2cccc3F)CO1 ZINC000402040413 349265461 /nfs/dbraw/zinc/26/54/61/349265461.db2.gz LJDFCRVMPDMEHU-ISTVAULSSA-N 0 3 235.302 2.580 20 0 BFADHN Oc1cccc(CNC[C@H]2CCCC2(F)F)c1 ZINC000383707014 349356778 /nfs/dbraw/zinc/35/67/78/349356778.db2.gz IBILXCDPGCGDIE-LLVKDONJSA-N 0 3 241.281 2.917 20 0 BFADHN COCC1(N[C@H](C)c2ccccc2)CC1 ZINC000309043920 349400248 /nfs/dbraw/zinc/40/02/48/349400248.db2.gz NDBDSYLLZHEBNO-LLVKDONJSA-N 0 3 205.301 2.516 20 0 BFADHN CCSCCN[C@H](C)c1cnccc1C ZINC000309044978 349401695 /nfs/dbraw/zinc/40/16/95/349401695.db2.gz NWWLGYMSOLAAFV-LLVKDONJSA-N 0 3 224.373 2.794 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnn(CC(F)(F)F)c1 ZINC000507186514 490267678 /nfs/dbraw/zinc/26/76/78/490267678.db2.gz FSHGYXPZQOJWAN-SECBINFHSA-N 0 3 249.280 2.676 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1ccc(F)cc1 ZINC000070656240 191003483 /nfs/dbraw/zinc/00/34/83/191003483.db2.gz KOFPOYPSGKNXEU-GWCFXTLKSA-N 0 3 225.307 2.901 20 0 BFADHN Cc1cc(Cl)ccc1CN[C@@H]1CO[C@H](C)C1 ZINC000402203694 350043561 /nfs/dbraw/zinc/04/35/61/350043561.db2.gz HIKPBQUGTXWCOF-MFKMUULPSA-N 0 3 239.746 2.915 20 0 BFADHN CCc1nc(CN[C@@H]2CC[C@H](C)C2)cs1 ZINC000122003798 350058740 /nfs/dbraw/zinc/05/87/40/350058740.db2.gz OCCAIILJVBSJGI-VHSXEESVSA-N 0 3 224.373 2.984 20 0 BFADHN CCCOc1cccc(CN[C@@H]2CO[C@H](C)C2)c1 ZINC000402279355 350107308 /nfs/dbraw/zinc/10/73/08/350107308.db2.gz FXIPOGRIOUGPSI-OCCSQVGLSA-N 0 3 249.354 2.742 20 0 BFADHN CCCOc1cccc(CN[C@H]2CO[C@H](C)C2)c1 ZINC000402279361 350107737 /nfs/dbraw/zinc/10/77/37/350107737.db2.gz FXIPOGRIOUGPSI-TZMCWYRMSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)CCOc1ccc2c(c1)OCCNC2 ZINC000302714471 350092749 /nfs/dbraw/zinc/09/27/49/350092749.db2.gz YUIPHVKUANANQR-UHFFFAOYSA-N 0 3 235.327 2.594 20 0 BFADHN CC[C@@H](NCCCCSC)c1nccn1C ZINC000132187512 191026262 /nfs/dbraw/zinc/02/62/62/191026262.db2.gz SPSHFHQRGJZOCA-LLVKDONJSA-N 0 3 241.404 2.604 20 0 BFADHN Cc1nc([C@@H](C)NCC[C@@H](C)CCO)cs1 ZINC000297450011 180035395 /nfs/dbraw/zinc/03/53/95/180035395.db2.gz WXNKMRIJCKLTQF-NXEZZACHSA-N 0 3 242.388 2.511 20 0 BFADHN Cc1nc([C@@H](C)NCCc2ccncc2)cs1 ZINC000048261863 180037439 /nfs/dbraw/zinc/03/74/39/180037439.db2.gz MEXRXHONYWNFJC-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1nc([C@H](C)NCC[C@H]2CCCO2)cs1 ZINC000038096178 180048401 /nfs/dbraw/zinc/04/84/01/180048401.db2.gz FNVWEJMEVWSRHL-GXSJLCMTSA-N 0 3 240.372 2.671 20 0 BFADHN Cc1nc([C@H](C)NC2CCSCC2)cs1 ZINC000122952709 180050055 /nfs/dbraw/zinc/05/00/55/180050055.db2.gz JIDLTKWZOXIRCT-QMMMGPOBSA-N 0 3 242.413 2.998 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@H]1CCO[C@@H]1C1CC1 ZINC000219292867 350120370 /nfs/dbraw/zinc/12/03/70/350120370.db2.gz CTHIWKQYFUKNBH-KCQAQPDRSA-N 0 3 247.338 2.814 20 0 BFADHN CC1(C)CN([C@@H]2C=CCCC2)C(C)(C)CO1 ZINC000604387019 350122600 /nfs/dbraw/zinc/12/26/00/350122600.db2.gz YXENTMYEBGVSDT-GFCCVEGCSA-N 0 3 223.360 2.985 20 0 BFADHN CCC[C@H]1CCCCN1C[C@@H](O)C(F)(F)F ZINC000219361890 350124527 /nfs/dbraw/zinc/12/45/27/350124527.db2.gz MWTFLZOGNGGJOV-VHSXEESVSA-N 0 3 239.281 2.564 20 0 BFADHN CC[C@H](NC1CCCCC1)c1nccn1C ZINC000132089514 191025229 /nfs/dbraw/zinc/02/52/29/191025229.db2.gz CXLDKYLHXFIUBB-LBPRGKRZSA-N 0 3 221.348 2.794 20 0 BFADHN CCCN1CCN(C[C@H](C)CC(C)C)CC1 ZINC000410318647 191028322 /nfs/dbraw/zinc/02/83/22/191028322.db2.gz PZISXAROIQHPFT-CQSZACIVSA-N 0 3 226.408 2.696 20 0 BFADHN Cc1nc2ccccn2c1CN1[C@H](C)C[C@H]1C ZINC000336582528 180106691 /nfs/dbraw/zinc/10/66/91/180106691.db2.gz CVANCQFALGPHIC-GHMZBOCLSA-N 0 3 229.327 2.625 20 0 BFADHN CSCCCCN[C@@H](C)c1cncs1 ZINC000133627258 191042412 /nfs/dbraw/zinc/04/24/12/191042412.db2.gz RBVJBTBGXYRQQX-VIFPVBQESA-N 0 3 230.402 2.937 20 0 BFADHN CC1(C2CC2)CCN(CCOCC(F)F)CC1 ZINC000352199791 535109148 /nfs/dbraw/zinc/10/91/48/535109148.db2.gz DOPZMARERPHMFA-UHFFFAOYSA-N 0 3 247.329 2.780 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)C[C@H](C)C2)cn1 ZINC000336341910 180143454 /nfs/dbraw/zinc/14/34/54/180143454.db2.gz HFAJFDFOHPIBNN-NEPJUHHUSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1nc2sccn2c1CN(C)CC1CCC1 ZINC000268456130 180117494 /nfs/dbraw/zinc/11/74/94/180117494.db2.gz CIHWRROKWBSEJJ-UHFFFAOYSA-N 0 3 249.383 2.936 20 0 BFADHN Cc1cc(CN[C@@H](C2CC2)C2CCC2)on1 ZINC000324778472 323281896 /nfs/dbraw/zinc/28/18/96/323281896.db2.gz FYVYBFOSNQTSRG-CYBMUJFWSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)C(C)(C)C2)cn1 ZINC000336355895 180144439 /nfs/dbraw/zinc/14/44/39/180144439.db2.gz NGYSMDILXJDJOD-LLVKDONJSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NCc1ccccc1 ZINC000124507496 490368551 /nfs/dbraw/zinc/36/85/51/490368551.db2.gz RFORFZGPJCATHI-JTQLQIEISA-N 0 3 215.300 2.569 20 0 BFADHN Cc1ncc([C@@H](C)NC2CC(C)C2)c(C)n1 ZINC000130383103 180172351 /nfs/dbraw/zinc/17/23/51/180172351.db2.gz CVSRUIYCBWXVGC-KRBLXSNTSA-N 0 3 219.332 2.543 20 0 BFADHN Cc1ncc([C@H](C)NCCC(C)(F)F)c(C)n1 ZINC000297321229 180173151 /nfs/dbraw/zinc/17/31/51/180173151.db2.gz NJQSQJBMYXOKBW-QMMMGPOBSA-N 0 3 243.301 2.789 20 0 BFADHN Cc1ncc([C@H](C)NCC2(C)CC2)c(C)n1 ZINC000309568315 180173794 /nfs/dbraw/zinc/17/37/94/180173794.db2.gz MYIULYXYWMXWLP-VIFPVBQESA-N 0 3 219.332 2.544 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@H]2C)s1 ZINC000336486179 180145657 /nfs/dbraw/zinc/14/56/57/180145657.db2.gz WJWGSLIXDFXDMY-RKDXNWHRSA-N 0 3 210.346 2.682 20 0 BFADHN Cc1ncc(CN2CC[C@H](C3CCCC3)C2)cn1 ZINC000335524115 180146453 /nfs/dbraw/zinc/14/64/53/180146453.db2.gz QTLUGQPNVAAKHK-HNNXBMFYSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1ncc([C@H](C)NCCC(F)(F)F)c(C)n1 ZINC000358188022 180174728 /nfs/dbraw/zinc/17/47/28/180174728.db2.gz KHRBXVJTCFCMIP-ZETCQYMHSA-N 0 3 247.264 2.696 20 0 BFADHN Cc1ncc(CN2[C@H](C)CC[C@@H]2C)s1 ZINC000123216082 180147865 /nfs/dbraw/zinc/14/78/65/180147865.db2.gz SBDIASMNLURZJN-DTORHVGOSA-N 0 3 210.346 2.824 20 0 BFADHN C[C@H](c1ccccc1)[C@@H](CO)NCc1ccco1 ZINC000604768353 350185795 /nfs/dbraw/zinc/18/57/95/350185795.db2.gz NVOBRAPOBXQYEL-IUODEOHRSA-N 0 3 245.322 2.534 20 0 BFADHN C[C@@H](c1ccccc1)[C@H](CO)NCc1ccco1 ZINC000604768355 350185810 /nfs/dbraw/zinc/18/58/10/350185810.db2.gz NVOBRAPOBXQYEL-WFASDCNBSA-N 0 3 245.322 2.534 20 0 BFADHN Cc1nccc(CN[C@@H](C)CCC(C)C)n1 ZINC000038037485 180192164 /nfs/dbraw/zinc/19/21/64/180192164.db2.gz VXIDQNSBSUXYQQ-NSHDSACASA-N 0 3 221.348 2.699 20 0 BFADHN CO[C@@](C)(CN[C@H](C)c1ccoc1)C1CC1 ZINC000309217173 350202087 /nfs/dbraw/zinc/20/20/87/350202087.db2.gz FVCWMIFESRQTCD-MFKMUULPSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1nccc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)n1 ZINC000336360363 180182762 /nfs/dbraw/zinc/18/27/62/180182762.db2.gz KNCVBAUOQZCURA-KGLIPLIRSA-N 0 3 245.370 2.796 20 0 BFADHN Cc1nccc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)n1 ZINC000336360362 180184831 /nfs/dbraw/zinc/18/48/31/180184831.db2.gz KNCVBAUOQZCURA-KBPBESRZSA-N 0 3 245.370 2.796 20 0 BFADHN CCCOc1ccc(CN[C@@H]2CO[C@@H](C)C2)cc1 ZINC000402374534 350226423 /nfs/dbraw/zinc/22/64/23/350226423.db2.gz ZRSTYECGMSHQBZ-JSGCOSHPSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ncccc1CN1CCCC[C@H]1C[C@H](C)O ZINC000336792277 180219098 /nfs/dbraw/zinc/21/90/98/180219098.db2.gz RGHPNLSJSKNZHJ-WFASDCNBSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1ncccc1CNCC1(O)CCCCCC1 ZINC000117947235 180221148 /nfs/dbraw/zinc/22/11/48/180221148.db2.gz BWWIRKUTAHDXHY-UHFFFAOYSA-N 0 3 248.370 2.565 20 0 BFADHN Cc1ncccc1CNC[C@@H]1CCCCS1 ZINC000309295453 180221702 /nfs/dbraw/zinc/22/17/02/180221702.db2.gz XAGBLEGMDBSYNE-ZDUSSCGKSA-N 0 3 236.384 2.765 20 0 BFADHN CC[C@H](NCC[C@@H]1CCCO1)c1nccs1 ZINC000083526813 350234574 /nfs/dbraw/zinc/23/45/74/350234574.db2.gz CHVFNNCUHHCIQD-QWRGUYRKSA-N 0 3 240.372 2.753 20 0 BFADHN Cc1nccn1CCCCN(C)Cc1ccoc1 ZINC000336697362 180233089 /nfs/dbraw/zinc/23/30/89/180233089.db2.gz FPUIZKQFEUAVJV-UHFFFAOYSA-N 0 3 247.342 2.697 20 0 BFADHN CCN1CCC[C@H]1CNc1nc(C)c(C)s1 ZINC000083532652 350237599 /nfs/dbraw/zinc/23/75/99/350237599.db2.gz GSIMBOZNLKZPLT-NSHDSACASA-N 0 3 239.388 2.656 20 0 BFADHN CCCCCN(C)Cc1cnn(CC)c1 ZINC000605150934 350262479 /nfs/dbraw/zinc/26/24/79/350262479.db2.gz GUXPXWQBVVKFJL-UHFFFAOYSA-N 0 3 209.337 2.525 20 0 BFADHN CC1(C)COCCN1CCCc1ccccc1 ZINC000049981307 350274188 /nfs/dbraw/zinc/27/41/88/350274188.db2.gz ZHHIBCXQEOZVLB-UHFFFAOYSA-N 0 3 233.355 2.730 20 0 BFADHN Cc1nccn1C[C@H](C)CN[C@@H](C)c1ccco1 ZINC000121488828 180269346 /nfs/dbraw/zinc/26/93/46/180269346.db2.gz VQSTXCNHXPMALB-NEPJUHHUSA-N 0 3 247.342 2.771 20 0 BFADHN CC(C)CN(C)Cc1cnn(CC(F)(F)F)c1 ZINC000349853930 538083498 /nfs/dbraw/zinc/08/34/98/538083498.db2.gz MJQWYANNMMBGHE-UHFFFAOYSA-N 0 3 249.280 2.533 20 0 BFADHN Cc1nccnc1[C@@H](C)NC1(C(C)C)CC1 ZINC000293691787 180279276 /nfs/dbraw/zinc/27/92/76/180279276.db2.gz LEOSBPDFASJOFY-LLVKDONJSA-N 0 3 219.332 2.624 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCCC(C)C ZINC000187725571 180280415 /nfs/dbraw/zinc/28/04/15/180280415.db2.gz JJMVOADZKJNSOB-LLVKDONJSA-N 0 3 221.348 2.872 20 0 BFADHN Cc1nccnc1[C@H](C)NCC1(C2CC2)CC1 ZINC000188266614 180281088 /nfs/dbraw/zinc/28/10/88/180281088.db2.gz LEOLMRGHZWQONP-NSHDSACASA-N 0 3 231.343 2.626 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H]1CCC1(C)C ZINC000287258283 180281509 /nfs/dbraw/zinc/28/15/09/180281509.db2.gz ZXWNMILLSXVXTN-GHMZBOCLSA-N 0 3 219.332 2.624 20 0 BFADHN CC[C@H](NC[C@H]1CCSC1)c1nccs1 ZINC000224429933 350321863 /nfs/dbraw/zinc/32/18/63/350321863.db2.gz ADSQGMDENRAPCR-ZJUUUORDSA-N 0 3 242.413 2.937 20 0 BFADHN Cc1nccnc1[C@H](C)NCCCC(C)(F)F ZINC000297330665 180282232 /nfs/dbraw/zinc/28/22/32/180282232.db2.gz NXSIACSQAZBTEA-VIFPVBQESA-N 0 3 243.301 2.871 20 0 BFADHN CCN1CCC[C@H]1CNc1nc2ccccc2o1 ZINC000037981205 350294336 /nfs/dbraw/zinc/29/43/36/350294336.db2.gz SMZNFETWKSPRGN-NSHDSACASA-N 0 3 245.326 2.724 20 0 BFADHN CCN1CCC(Nc2ncccc2Cl)CC1 ZINC000037979417 350294497 /nfs/dbraw/zinc/29/44/97/350294497.db2.gz MQSIDHUPNRGDGN-UHFFFAOYSA-N 0 3 239.750 2.631 20 0 BFADHN C[C@H]1c2ccc(F)cc2CCN1C[C@H]1CCOC1 ZINC000606448592 350338251 /nfs/dbraw/zinc/33/82/51/350338251.db2.gz BSMQIFFSUBEYAM-NWDGAFQWSA-N 0 3 249.329 2.781 20 0 BFADHN C[C@H]1c2c(F)cccc2CCN1C[C@H]1CCOC1 ZINC000606449698 350339139 /nfs/dbraw/zinc/33/91/39/350339139.db2.gz BQOXTCWXSUKXPV-NWDGAFQWSA-N 0 3 249.329 2.781 20 0 BFADHN Cc1ncsc1CN1CCSC[C@H](C)C1 ZINC000277411968 180314705 /nfs/dbraw/zinc/31/47/05/180314705.db2.gz ZTWBYQNRXHEKRQ-SECBINFHSA-N 0 3 242.413 2.636 20 0 BFADHN CCCc1ccc(CNCc2cc(C)[nH]n2)cc1 ZINC000606470738 350344425 /nfs/dbraw/zinc/34/44/25/350344425.db2.gz RBESCRVEQXRBGS-UHFFFAOYSA-N 0 3 243.354 2.960 20 0 BFADHN CN(Cc1ccc2c(c1)CCO2)[C@@H]1CC1(C)C ZINC000426554637 191097008 /nfs/dbraw/zinc/09/70/08/191097008.db2.gz IBKMWOTZNDFOSS-CQSZACIVSA-N 0 3 231.339 2.852 20 0 BFADHN Cc1ncsc1CCN(C)Cc1ccco1 ZINC000172070858 180306555 /nfs/dbraw/zinc/30/65/55/180306555.db2.gz RLVRJLPAHAPRNS-UHFFFAOYSA-N 0 3 236.340 2.719 20 0 BFADHN Cc1ncsc1CN(C)[C@H](C)C1CC1 ZINC000158102989 180316455 /nfs/dbraw/zinc/31/64/55/180316455.db2.gz DPRRXTBKBYLFNL-SECBINFHSA-N 0 3 210.346 2.682 20 0 BFADHN CCO[C@@H]1CCCN([C@@H](C)c2ccncc2)C1 ZINC000606438597 350333829 /nfs/dbraw/zinc/33/38/29/350333829.db2.gz BQBDCZRAZBKGIV-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN Cc1nn(-c2ccccc2)cc1CNC1CCC1 ZINC000107322798 180331370 /nfs/dbraw/zinc/33/13/70/180331370.db2.gz ZZCLXQVXTDFLIC-UHFFFAOYSA-N 0 3 241.338 2.823 20 0 BFADHN Cc1ncsc1CNC1CC2(CCC2)C1 ZINC000335597400 180319989 /nfs/dbraw/zinc/31/99/89/180319989.db2.gz TUQBRHQHFOVQCA-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN Cc1ncsc1CNC[C@H](C)C(F)(F)F ZINC000336759780 180320039 /nfs/dbraw/zinc/32/00/39/180320039.db2.gz LQYZHYIPWHXHOW-LURJTMIESA-N 0 3 238.278 2.740 20 0 BFADHN CC1(C)CCN(CCOCC(F)(F)F)CC1 ZINC000336678614 350435563 /nfs/dbraw/zinc/43/55/63/350435563.db2.gz OVYYNARDULHCTL-UHFFFAOYSA-N 0 3 239.281 2.687 20 0 BFADHN Cc1cccc(CNC[C@H]2C[C@H]2C)c1F ZINC000384625329 350417377 /nfs/dbraw/zinc/41/73/77/350417377.db2.gz JEDKORJSXLRESD-ZYHUDNBSSA-N 0 3 207.292 2.880 20 0 BFADHN c1cc(CNC2Cc3ccccc3C2)co1 ZINC000132527382 191102898 /nfs/dbraw/zinc/10/28/98/191102898.db2.gz ZMKJACDIWWVXCS-UHFFFAOYSA-N 0 3 213.280 2.537 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCC(C2CC2)CC1 ZINC000368336100 180337839 /nfs/dbraw/zinc/33/78/39/180337839.db2.gz KWSZKTMGUSZLDM-UHFFFAOYSA-N 0 3 247.386 2.659 20 0 BFADHN C[C@@]1(CN2CC3(CC(F)C3)C2)CCCS1 ZINC000628306893 350439323 /nfs/dbraw/zinc/43/93/23/350439323.db2.gz CASMABYFQWNQBJ-NSHDSACASA-N 0 3 229.364 2.706 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1cccc(C)c1 ZINC000309442061 350455062 /nfs/dbraw/zinc/45/50/62/350455062.db2.gz IUBBNTDTULDHJU-IACUBPJLSA-N 0 3 219.328 2.823 20 0 BFADHN CC(C)CC(C)(C)CN[C@@H]1CCn2ccnc21 ZINC000391130863 350465781 /nfs/dbraw/zinc/46/57/81/350465781.db2.gz CBWCTQMBBNSDRF-GFCCVEGCSA-N 0 3 235.375 2.990 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccn1C)C1CCCC1 ZINC000070008522 350492316 /nfs/dbraw/zinc/49/23/16/350492316.db2.gz XELBDJFYLXQOJL-MNOVXSKESA-N 0 3 221.348 2.649 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NCC1=CCCCC1 ZINC000295884760 180380597 /nfs/dbraw/zinc/38/05/97/180380597.db2.gz AYJWABDIXKICLJ-NSHDSACASA-N 0 3 233.359 2.880 20 0 BFADHN Cc1nn(C)cc1[C@@H]1CCCN1CCCCF ZINC000367150873 180381445 /nfs/dbraw/zinc/38/14/45/180381445.db2.gz KFHJNBOGVJFZGK-ZDUSSCGKSA-N 0 3 239.338 2.615 20 0 BFADHN CCc1nc(C)c(CN2CC[C@@H](CC)C2)o1 ZINC000428225655 191105676 /nfs/dbraw/zinc/10/56/76/191105676.db2.gz FZDKXJWGHVUOGF-LLVKDONJSA-N 0 3 222.332 2.777 20 0 BFADHN C[C@@H](NCCCc1cccs1)c1ncc[nH]1 ZINC000309447277 350499012 /nfs/dbraw/zinc/49/90/12/350499012.db2.gz LQJJKTVGURHORB-SNVBAGLBSA-N 0 3 235.356 2.755 20 0 BFADHN Cc1nc(CN(C)C(C2CC2)C2CC2)oc1C ZINC000050965639 350499983 /nfs/dbraw/zinc/49/99/83/350499983.db2.gz IYYXYDJGKKEONS-UHFFFAOYSA-N 0 3 234.343 2.912 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@H]3CCC[C@H]32)n1 ZINC000228172250 350531780 /nfs/dbraw/zinc/53/17/80/350531780.db2.gz RNALOVZPPIWVAC-TZMCWYRMSA-N 0 3 246.354 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@H]3CCC[C@H]32)n1 ZINC000228172250 350531785 /nfs/dbraw/zinc/53/17/85/350531785.db2.gz RNALOVZPPIWVAC-TZMCWYRMSA-N 0 3 246.354 2.860 20 0 BFADHN C[C@@H](CCCC(F)(F)F)NCc1ccn(C)n1 ZINC000163481907 350525790 /nfs/dbraw/zinc/52/57/90/350525790.db2.gz LLACJYYWXOITFF-VIFPVBQESA-N 0 3 249.280 2.631 20 0 BFADHN C[C@H](CCCC(F)(F)F)NCc1ccn(C)n1 ZINC000163482039 350525979 /nfs/dbraw/zinc/52/59/79/350525979.db2.gz LLACJYYWXOITFF-SECBINFHSA-N 0 3 249.280 2.631 20 0 BFADHN C[C@@H](O)C[C@@H](C)NCc1cc(Cl)ccc1F ZINC000163530986 350526275 /nfs/dbraw/zinc/52/62/75/350526275.db2.gz TYDHXGAGMBTLIS-RKDXNWHRSA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@@H](N[C@H](C)COC(C)C)c1ccncc1 ZINC000337340721 350575301 /nfs/dbraw/zinc/57/53/01/350575301.db2.gz PPKDGSMYPYIPOG-TZMCWYRMSA-N 0 3 236.359 2.936 20 0 BFADHN Fc1cc(F)cc(CN[C@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000390814576 259415640 /nfs/dbraw/zinc/41/56/40/259415640.db2.gz HCUXHWPXTGQWHY-ITGUQSILSA-N 0 3 223.266 2.853 20 0 BFADHN CC1(C)CCC(NCc2cnccn2)CC1 ZINC000070623359 350551055 /nfs/dbraw/zinc/55/10/55/350551055.db2.gz UGYGHKQVZHZWCE-UHFFFAOYSA-N 0 3 219.332 2.535 20 0 BFADHN CCCC[C@H](C)C(=O)N(CCN(C)C)C(C)C ZINC000337098866 350552979 /nfs/dbraw/zinc/55/29/79/350552979.db2.gz OXMOSBLIGOYURQ-ZDUSSCGKSA-N 0 3 242.407 2.611 20 0 BFADHN CCN(Cc1cnc(OC)nc1C)C1CCCC1 ZINC000337139071 350559584 /nfs/dbraw/zinc/55/95/84/350559584.db2.gz PNKTXGNZFWKVOL-UHFFFAOYSA-N 0 3 249.358 2.558 20 0 BFADHN Cc1occc1CNCCCc1nccs1 ZINC000321555756 323384099 /nfs/dbraw/zinc/38/40/99/323384099.db2.gz MHAPAAIDQLVYSN-UHFFFAOYSA-N 0 3 236.340 2.767 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1cc(C)n(C)n1 ZINC000337446237 350585935 /nfs/dbraw/zinc/58/59/35/350585935.db2.gz GRJSHBGSROUSQX-UPJWGTAASA-N 0 3 235.375 2.958 20 0 BFADHN c1cnc2c(c1)CCC[C@H]2NC[C@@H]1CCCS1 ZINC000149608177 538096146 /nfs/dbraw/zinc/09/61/46/538096146.db2.gz DVMSOCICNGMUAD-QWHCGFSZSA-N 0 3 248.395 2.944 20 0 BFADHN COCC1(C)CN(Cc2ccc3[nH]ccc3c2)C1 ZINC000628307316 350598489 /nfs/dbraw/zinc/59/84/89/350598489.db2.gz BLSZYOJSJNUMCB-UHFFFAOYSA-N 0 3 244.338 2.636 20 0 BFADHN COC1CCN([C@H](C)c2ccccc2F)CC1 ZINC000051155229 350609358 /nfs/dbraw/zinc/60/93/58/350609358.db2.gz JKGUBTDEBHPOLE-LLVKDONJSA-N 0 3 237.318 2.998 20 0 BFADHN Cc1n[nH]cc1CNC(C)(C)c1ccccc1 ZINC000051188491 350614239 /nfs/dbraw/zinc/61/42/39/350614239.db2.gz DIDTZLNXLDHMBW-UHFFFAOYSA-N 0 3 229.327 2.743 20 0 BFADHN Cc1noc(C)c1[C@H](C)CNCc1ccco1 ZINC000128598762 180479800 /nfs/dbraw/zinc/47/98/00/180479800.db2.gz JYISOHBPDYNECE-SECBINFHSA-N 0 3 234.299 2.778 20 0 BFADHN C[C@@H](c1ccc2c(c1)CCC2)N1CCCOCC1 ZINC000344230579 538098694 /nfs/dbraw/zinc/09/86/94/538098694.db2.gz LWPNZEBAJBYDGP-ZDUSSCGKSA-N 0 3 245.366 2.959 20 0 BFADHN C[C@@H]1CCN(Cc2scnc2C2CC2)C1 ZINC000335681898 538098695 /nfs/dbraw/zinc/09/86/95/538098695.db2.gz VQVBZCGGRBUPEV-SECBINFHSA-N 0 3 222.357 2.862 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1CCCCCC1 ZINC000096731078 350683235 /nfs/dbraw/zinc/68/32/35/350683235.db2.gz JPVSUICAASWDQJ-LBPRGKRZSA-N 0 3 227.348 2.594 20 0 BFADHN COC[C@@H](C)NCc1ccc(C(C)(F)F)cc1 ZINC000339419046 350755660 /nfs/dbraw/zinc/75/56/60/350755660.db2.gz SDVRRZISPDSDCS-SNVBAGLBSA-N 0 3 243.297 2.923 20 0 BFADHN CC[C@@H](NCc1conc1C)C1CCC1 ZINC000397987684 362981240 /nfs/dbraw/zinc/98/12/40/362981240.db2.gz KZNYCKJKBLLLQX-GFCCVEGCSA-N 0 3 208.305 2.651 20 0 BFADHN CC(C)C[C@H](NCC1(C)COC1)c1ccccn1 ZINC000164367570 191119664 /nfs/dbraw/zinc/11/96/64/191119664.db2.gz IOZDYQGQKRGBIG-AWEZNQCLSA-N 0 3 248.370 2.795 20 0 BFADHN CCn1ccnc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC000177538351 366006585 /nfs/dbraw/zinc/00/65/85/366006585.db2.gz NRDNTFQVWSMMKQ-CHWSQXEVSA-N 0 3 233.359 2.525 20 0 BFADHN CCn1ccnc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC000177538367 366006628 /nfs/dbraw/zinc/00/66/28/366006628.db2.gz NRDNTFQVWSMMKQ-STQMWFEESA-N 0 3 233.359 2.525 20 0 BFADHN FC(F)[C@H]1CCN(Cc2ccccc2)C1 ZINC000616609747 350803671 /nfs/dbraw/zinc/80/36/71/350803671.db2.gz HHCFBRSSNKWTAO-NSHDSACASA-N 0 3 211.255 2.774 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)[nH]c1C ZINC000628314612 350836390 /nfs/dbraw/zinc/83/63/90/350836390.db2.gz HGNCICXSPCLEEI-SBMIAAHKSA-N 0 3 221.348 2.503 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1ccsc1Cl ZINC000308591562 191124541 /nfs/dbraw/zinc/12/45/41/191124541.db2.gz KPONEPHUKYFEIA-YUMQZZPRSA-N 0 3 233.764 2.651 20 0 BFADHN Cc1cccc(CN(C)C[C@H]2CCCO2)c1 ZINC000052102161 350937365 /nfs/dbraw/zinc/93/73/65/350937365.db2.gz WIMNSEQQMZAABD-CQSZACIVSA-N 0 3 219.328 2.606 20 0 BFADHN CC1(C)CCN1C[C@@H]1CC1(Cl)Cl ZINC000306716321 350879104 /nfs/dbraw/zinc/87/91/04/350879104.db2.gz TWXNGFBGFNZYQI-ZETCQYMHSA-N 0 3 208.132 2.665 20 0 BFADHN Clc1cnccc1CN[C@@]12C[C@@H]1CCC2 ZINC000623563009 362987474 /nfs/dbraw/zinc/98/74/74/362987474.db2.gz KTXGCCFFAOXWSJ-JQWIXIFHSA-N 0 3 222.719 2.767 20 0 BFADHN C/C=C\CNCc1c(C)nn(CCC)c1C ZINC000623739359 350883939 /nfs/dbraw/zinc/88/39/39/350883939.db2.gz TUZRPGRJYVNTPJ-ALCCZGGFSA-N 0 3 221.348 2.576 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccncc2Cl)CS1 ZINC000397809810 350977147 /nfs/dbraw/zinc/97/71/47/350977147.db2.gz GGYNMMUZMYJCST-SCZZXKLOSA-N 0 3 242.775 2.719 20 0 BFADHN CC(C)(CO)C(C)(C)NCc1ccc(Cl)o1 ZINC000309659932 191127827 /nfs/dbraw/zinc/12/78/27/191127827.db2.gz FVKBRXPWBFHFSV-UHFFFAOYSA-N 0 3 245.750 2.820 20 0 BFADHN COCC1(NCc2ccc(Cl)c(C)c2)CC1 ZINC000309644297 323441682 /nfs/dbraw/zinc/44/16/82/323441682.db2.gz LTUFFOSFJVZAHG-UHFFFAOYSA-N 0 3 239.746 2.917 20 0 BFADHN Cc1cccc(NC(=O)CN2C[C@@H](C)C[C@H]2C)c1 ZINC000607154236 351030597 /nfs/dbraw/zinc/03/05/97/351030597.db2.gz GYVHSTDMAPJBQM-QWHCGFSZSA-N 0 3 246.354 2.664 20 0 BFADHN Cc1nnsc1CNC(C)(C)C1CCC1 ZINC000398037537 362990878 /nfs/dbraw/zinc/99/08/78/362990878.db2.gz NRMANKNOAMMPAY-UHFFFAOYSA-N 0 3 225.361 2.515 20 0 BFADHN Cc1cc(CN[C@]2(C)CC2(C)C)ccn1 ZINC000623572435 363002244 /nfs/dbraw/zinc/00/22/44/363002244.db2.gz AJHSJTIHSYXCCT-CYBMUJFWSA-N 0 3 204.317 2.668 20 0 BFADHN CO[C@H](CNCc1ccnc(C)c1)C1CCCC1 ZINC000623573741 363003544 /nfs/dbraw/zinc/00/35/44/363003544.db2.gz TYYQGAZJQNCUPL-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccn1C)[C@@H]1C[C@H]1C1CC1 ZINC000623574810 363004422 /nfs/dbraw/zinc/00/44/22/363004422.db2.gz XQEBHFGIPAGDII-URBCHYCLSA-N 0 3 233.359 2.505 20 0 BFADHN CC(C)OCCN(C)Cc1ccc(F)cc1 ZINC000052927556 351079443 /nfs/dbraw/zinc/07/94/43/351079443.db2.gz GJBRNENFZRBXPR-UHFFFAOYSA-N 0 3 225.307 2.683 20 0 BFADHN Cc1nc(C)c(CNC[C@@H](C)CC(F)F)o1 ZINC000628321474 351183853 /nfs/dbraw/zinc/18/38/53/351183853.db2.gz JQUVAWQAAPDZDZ-ZETCQYMHSA-N 0 3 232.274 2.672 20 0 BFADHN CCCCCC(=O)Nc1ccccc1CNC ZINC000036280877 358461276 /nfs/dbraw/zinc/46/12/76/358461276.db2.gz MPRPJBDOYKHSEF-UHFFFAOYSA-N 0 3 234.343 2.925 20 0 BFADHN CC(C)(CO)C(C)(C)NCc1ccccc1F ZINC000347783654 535159444 /nfs/dbraw/zinc/15/94/44/535159444.db2.gz UMOBAGROMSOFKK-UHFFFAOYSA-N 0 3 239.334 2.712 20 0 BFADHN Cc1c[nH]c(CN2CCCC[C@H]2C2CCC2)n1 ZINC000628322624 351211768 /nfs/dbraw/zinc/21/17/68/351211768.db2.gz DUPZLBKHICDLAZ-ZDUSSCGKSA-N 0 3 233.359 2.873 20 0 BFADHN Cc1cc(CN2CC(CC3CC3)C2)ccn1 ZINC000628361609 351334072 /nfs/dbraw/zinc/33/40/72/351334072.db2.gz NILSHOHZGKRNEW-UHFFFAOYSA-N 0 3 216.328 2.622 20 0 BFADHN Cc1nc(C)c(CNC(C)(C2CC2)C2CC2)o1 ZINC000628351698 351313662 /nfs/dbraw/zinc/31/36/62/351313662.db2.gz NBZRFOCSNULAJA-UHFFFAOYSA-N 0 3 234.343 2.960 20 0 BFADHN Cc1nc(C)c(CN[C@H](C(C)C)C2CC2)o1 ZINC000628351802 351314034 /nfs/dbraw/zinc/31/40/34/351314034.db2.gz PNMZDTUKJCZUIK-CYBMUJFWSA-N 0 3 222.332 2.816 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H]1CCCc2ncccc21 ZINC000631636604 351335842 /nfs/dbraw/zinc/33/58/42/351335842.db2.gz KCWJFFDOCWDHFT-KFWWJZLASA-N 0 3 246.354 2.616 20 0 BFADHN CCCN(C)CC(=O)N(C(CC)CC)C1CC1 ZINC000607338472 351324202 /nfs/dbraw/zinc/32/42/02/351324202.db2.gz UIPBJIIVARZSDT-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN FC(F)c1ccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)cn1 ZINC000631178441 351377488 /nfs/dbraw/zinc/37/74/88/351377488.db2.gz SRPHKFXJZBLXSL-PEGIJTEDSA-N 0 3 238.281 2.907 20 0 BFADHN FC(F)c1ccc(CN[C@H]2[C@@H]3CCC[C@@H]32)cn1 ZINC000631178439 351377733 /nfs/dbraw/zinc/37/77/33/351377733.db2.gz SRPHKFXJZBLXSL-IAZYJMLFSA-N 0 3 238.281 2.907 20 0 BFADHN CCN(Cc1cnc(N(C)C)s1)CC(C)C ZINC000271097171 351400913 /nfs/dbraw/zinc/40/09/13/351400913.db2.gz ZTSJEQPKXCIMPZ-UHFFFAOYSA-N 0 3 241.404 2.687 20 0 BFADHN CCC[C@H](CNCc1ccco1)OCC ZINC000623597971 363033533 /nfs/dbraw/zinc/03/35/33/363033533.db2.gz LCIPYMNDUHBSFO-LLVKDONJSA-N 0 3 211.305 2.574 20 0 BFADHN CCn1ccnc1CNC[C@@H]1CCC[C@H](C)C1 ZINC000218237825 351432427 /nfs/dbraw/zinc/43/24/27/351432427.db2.gz PDAJYQXIANTXME-QWHCGFSZSA-N 0 3 235.375 2.819 20 0 BFADHN CCn1ccnc1CNC[C@@H]1CCC[C@@H](C)C1 ZINC000218237673 351433047 /nfs/dbraw/zinc/43/30/47/351433047.db2.gz PDAJYQXIANTXME-CHWSQXEVSA-N 0 3 235.375 2.819 20 0 BFADHN CCC[C@H](CNCc1occc1C)OCC ZINC000623598486 363035621 /nfs/dbraw/zinc/03/56/21/363035621.db2.gz DDFBOIMTOZJIFV-GFCCVEGCSA-N 0 3 225.332 2.883 20 0 BFADHN C[C@@H](CCC1CC1)NCc1ccns1 ZINC000404443466 351459356 /nfs/dbraw/zinc/45/93/56/351459356.db2.gz BJQCWEAGZSSOMF-VIFPVBQESA-N 0 3 210.346 2.811 20 0 BFADHN CCN(Cc1ccc(F)c(F)c1)C[C@H](C)OC ZINC000272776024 351494425 /nfs/dbraw/zinc/49/44/25/351494425.db2.gz GPIGMCMTINXGFV-JTQLQIEISA-N 0 3 243.297 2.822 20 0 BFADHN CCC[C@@H](CNCc1ccncc1F)OCC ZINC000623599766 363038690 /nfs/dbraw/zinc/03/86/90/363038690.db2.gz QQGSEYSAUGZJPF-LBPRGKRZSA-N 0 3 240.322 2.516 20 0 BFADHN c1cc(CN[C@@H]2CCc3ccccc3C2)sn1 ZINC000404485445 351478577 /nfs/dbraw/zinc/47/85/77/351478577.db2.gz AZMGLMJRLJSCID-CYBMUJFWSA-N 0 3 244.363 2.790 20 0 BFADHN c1cncc(CN[C@H]2CC23CCCCC3)c1 ZINC000386883038 363057124 /nfs/dbraw/zinc/05/71/24/363057124.db2.gz ISNVJXQGIGRKFG-ZDUSSCGKSA-N 0 3 216.328 2.894 20 0 BFADHN CCCC[C@@H](C)N[C@@H](CO)c1ccsc1 ZINC000336727965 351546526 /nfs/dbraw/zinc/54/65/26/351546526.db2.gz HQOCRYYHSKWWNN-PWSUYJOCSA-N 0 3 227.373 2.950 20 0 BFADHN CC(C)=C(C)CC(=O)Nc1cccc(CN)c1 ZINC000637801862 351633618 /nfs/dbraw/zinc/63/36/18/351633618.db2.gz QBVFPPRYXZCNJE-UHFFFAOYSA-N 0 3 232.327 2.830 20 0 BFADHN c1ccc2c(c1)CCN(CCC[C@H]1CCO1)C2 ZINC000637810842 351651162 /nfs/dbraw/zinc/65/11/62/351651162.db2.gz JTXSFAZZQIKCDI-HNNXBMFYSA-N 0 3 231.339 2.614 20 0 BFADHN CCc1nc(CN[C@H]2CC[C@H]2CC)cs1 ZINC000336731575 351622022 /nfs/dbraw/zinc/62/20/22/351622022.db2.gz KUXBDRNUMIMEQF-KOLCDFICSA-N 0 3 224.373 2.984 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1ccon1 ZINC000088131871 351622863 /nfs/dbraw/zinc/62/28/63/351622863.db2.gz FHFXPOMSGFIMBO-ZYHUDNBSSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@H]1CCCN(Cc2ccnn2CC2CCC2)C1 ZINC000637833578 351684149 /nfs/dbraw/zinc/68/41/49/351684149.db2.gz UFZUFPHAMJGABX-ZDUSSCGKSA-N 0 3 247.386 2.915 20 0 BFADHN CC[C@](C)(CN1CCn2cccc2[C@@H]1C)OC ZINC000637841198 351697116 /nfs/dbraw/zinc/69/71/16/351697116.db2.gz HWVCOFMVTYOEPO-GXTWGEPZSA-N 0 3 236.359 2.680 20 0 BFADHN COc1ccccc1CN(C)CCC[C@@H]1CCO1 ZINC000637844555 351699788 /nfs/dbraw/zinc/69/97/88/351699788.db2.gz IWQYYQJAEOFVPT-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1ccc(CN(C)Cc2ccc(=O)[nH]c2)cc1 ZINC000637847790 351703859 /nfs/dbraw/zinc/70/38/59/351703859.db2.gz DEVJHLZNSRGPGK-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@H]1NCc1ncccn1 ZINC000577748242 366052250 /nfs/dbraw/zinc/05/22/50/366052250.db2.gz QZXYIWWPIOBRRU-YNEHKIRRSA-N 0 3 233.359 2.781 20 0 BFADHN CC[C@](C)(CN(C)Cc1ccco1)OC ZINC000637858901 351720552 /nfs/dbraw/zinc/72/05/52/351720552.db2.gz ALCOVVOJFKMWSM-GFCCVEGCSA-N 0 3 211.305 2.527 20 0 BFADHN CCN(C(=O)[C@H](N)CCC(C)C)c1ccccc1 ZINC000637868227 351732037 /nfs/dbraw/zinc/73/20/37/351732037.db2.gz DUABUHVJQRJATM-CQSZACIVSA-N 0 3 248.370 2.803 20 0 BFADHN CC[C@@](C)(CN1CCc2sccc2C1)OC ZINC000637885953 351753183 /nfs/dbraw/zinc/75/31/83/351753183.db2.gz GNVACHQZULLEIM-ZDUSSCGKSA-N 0 3 239.384 2.921 20 0 BFADHN CN([C@@H](c1nccn1C)C1CC1)C1CCCC1 ZINC000637879713 351744675 /nfs/dbraw/zinc/74/46/75/351744675.db2.gz ZQYVLJNKUOJKRZ-CYBMUJFWSA-N 0 3 233.359 2.746 20 0 BFADHN CN(Cc1c2c(nn1C)CCCC2)C1CCCC1 ZINC000637878777 351745524 /nfs/dbraw/zinc/74/55/24/351745524.db2.gz OPBLYLPBPNKMGE-UHFFFAOYSA-N 0 3 247.386 2.673 20 0 BFADHN COC1CCN(Cc2csc(C)c2C)CC1 ZINC000637880734 351747168 /nfs/dbraw/zinc/74/71/68/351747168.db2.gz WAXJDIPCXSCQEJ-UHFFFAOYSA-N 0 3 239.384 2.976 20 0 BFADHN Cc1cc(CN(C)CCC(C)C)sn1 ZINC000637903236 351775456 /nfs/dbraw/zinc/77/54/56/351775456.db2.gz YLNUBLOURCARGB-UHFFFAOYSA-N 0 3 212.362 2.929 20 0 BFADHN CCCN([C@@H](c1nccn1C)C1CC1)C1CC1 ZINC000637903463 351776507 /nfs/dbraw/zinc/77/65/07/351776507.db2.gz BMWRWOOFXCQNFU-CYBMUJFWSA-N 0 3 233.359 2.746 20 0 BFADHN Cc1cccc(N(C)C(=O)[C@H](N)CCC(C)C)c1 ZINC000637907483 351776953 /nfs/dbraw/zinc/77/69/53/351776953.db2.gz NWPKWNBKKNJYSB-CQSZACIVSA-N 0 3 248.370 2.721 20 0 BFADHN c1ccc([C@H]2CCCN2CCC[C@@H]2CCO2)nc1 ZINC000637914056 351788866 /nfs/dbraw/zinc/78/88/66/351788866.db2.gz WJGCKLGEQPVBLK-UKRRQHHQSA-N 0 3 246.354 2.788 20 0 BFADHN C[C@@H](C1CC1)N(C)[C@H](c1nccn1C)C1CC1 ZINC000637920592 351794533 /nfs/dbraw/zinc/79/45/33/351794533.db2.gz OEXXDTUOGSMHEF-GWCFXTLKSA-N 0 3 233.359 2.602 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1c2c(nn1C)CCCC2 ZINC000637919818 351794614 /nfs/dbraw/zinc/79/46/14/351794614.db2.gz JEILTGRDBJNKRP-NSHDSACASA-N 0 3 247.386 2.529 20 0 BFADHN Cc1ncc([C@@H](C)N(C)[C@@H](C)C2CC2)c(C)n1 ZINC000637920740 351794661 /nfs/dbraw/zinc/79/46/61/351794661.db2.gz PONWKWQRGKCEOX-WDEREUQCSA-N 0 3 233.359 2.885 20 0 BFADHN c1cc2c(s1)CCN(CCC[C@@H]1CCO1)C2 ZINC000637887853 351755761 /nfs/dbraw/zinc/75/57/61/351755761.db2.gz ZZVNDIGADHLNSA-GFCCVEGCSA-N 0 3 237.368 2.675 20 0 BFADHN C[C@H](C1CC1)N(Cc1ccns1)C1CC1 ZINC000637927636 351802595 /nfs/dbraw/zinc/80/25/95/351802595.db2.gz MKPZOHOYLKLHDC-SECBINFHSA-N 0 3 222.357 2.906 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1c2c(nn1C)CCCC2 ZINC000637901532 351771102 /nfs/dbraw/zinc/77/11/02/351771102.db2.gz GCQLMFNLKAVFNJ-GFCCVEGCSA-N 0 3 249.402 2.775 20 0 BFADHN CC(C)[C@H](C)N(C)[C@H](c1nccn1C)C1CC1 ZINC000637901837 351771190 /nfs/dbraw/zinc/77/11/90/351771190.db2.gz HUMYAAXHDXTIQS-AAEUAGOBSA-N 0 3 235.375 2.848 20 0 BFADHN CCCc1ccccc1NC(=O)[C@H](C)NCC ZINC000637903533 351773174 /nfs/dbraw/zinc/77/31/74/351773174.db2.gz CIVOXDQESWKWDM-NSHDSACASA-N 0 3 234.343 2.576 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cc(C)ns1 ZINC000637941760 351819213 /nfs/dbraw/zinc/81/92/13/351819213.db2.gz ROMWIUKBIFSAFZ-SECBINFHSA-N 0 3 212.362 2.929 20 0 BFADHN CCC1CCN([C@@H](c2nccn2C)C2CC2)CC1 ZINC000637959450 351833557 /nfs/dbraw/zinc/83/35/57/351833557.db2.gz FFPNBNAQSHPJIH-CQSZACIVSA-N 0 3 247.386 2.993 20 0 BFADHN CC[C@H]1CCCN1[C@@H](c1nccn1C)C1CC1 ZINC000637965413 351840093 /nfs/dbraw/zinc/84/00/93/351840093.db2.gz RLQQQEBLIWLADY-QWHCGFSZSA-N 0 3 233.359 2.746 20 0 BFADHN CO[C@](C)(CN(C)Cc1ccoc1C)C1CC1 ZINC000637969289 351842893 /nfs/dbraw/zinc/84/28/93/351842893.db2.gz KGOMRKJMXOENPX-CQSZACIVSA-N 0 3 237.343 2.835 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(C(F)F)nc2)C1 ZINC000637968660 351843609 /nfs/dbraw/zinc/84/36/09/351843609.db2.gz ALNOIQILUIBXGD-SECBINFHSA-N 0 3 226.270 2.861 20 0 BFADHN c1cc(CN2CCC[C@@H]2C2CCC2)sn1 ZINC000637996647 351871246 /nfs/dbraw/zinc/87/12/46/351871246.db2.gz LHFFNYRUZTXHGZ-GFCCVEGCSA-N 0 3 222.357 2.908 20 0 BFADHN CCN(CCC[C@@H]1CCO1)CCC(F)(F)F ZINC000637994832 351872110 /nfs/dbraw/zinc/87/21/10/351872110.db2.gz WKNIXLWNHDSFTB-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@@H](O)C(F)F)c(C)o1 ZINC000631702854 363096172 /nfs/dbraw/zinc/09/61/72/363096172.db2.gz RWYMYCSMJWGHKV-LDYMZIIASA-N 0 3 247.285 2.563 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1ccns1 ZINC000637994931 351873184 /nfs/dbraw/zinc/87/31/84/351873184.db2.gz YPTNTVODJSQMHD-VHSXEESVSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@H]1CCCN(Cc2ccns2)[C@H]1C ZINC000637994930 351873213 /nfs/dbraw/zinc/87/32/13/351873213.db2.gz YPTNTVODJSQMHD-UWVGGRQHSA-N 0 3 210.346 2.764 20 0 BFADHN Cn1ccnc1[C@@H](C1CC1)N1CCCC1(C)C ZINC000637996941 351875016 /nfs/dbraw/zinc/87/50/16/351875016.db2.gz NZCQJOFVUZXWOU-GFCCVEGCSA-N 0 3 233.359 2.746 20 0 BFADHN FC(F)(F)OCCN[C@H]1CCc2ccccc21 ZINC000089051659 351918245 /nfs/dbraw/zinc/91/82/45/351918245.db2.gz SMQVNFWOVBEKPG-NSHDSACASA-N 0 3 245.244 2.800 20 0 BFADHN CCN(Cc1ccoc1)C[C@](C)(OC)C1CC1 ZINC000638016489 351894527 /nfs/dbraw/zinc/89/45/27/351894527.db2.gz SNQVQBRTRLXUGC-AWEZNQCLSA-N 0 3 237.343 2.917 20 0 BFADHN CC[C@@](C)(CN(C)Cc1scnc1C)OC ZINC000638023084 351905297 /nfs/dbraw/zinc/90/52/97/351905297.db2.gz VDXNKGHHUMMKAE-LBPRGKRZSA-N 0 3 242.388 2.698 20 0 BFADHN Cc1ccc(CN(C)Cc2ccc(=O)[nH]c2)s1 ZINC000638023102 351905399 /nfs/dbraw/zinc/90/53/99/351905399.db2.gz VJXBSRBYGXEKCX-UHFFFAOYSA-N 0 3 248.351 2.789 20 0 BFADHN Cc1ccc(CN(C[C@H](C)O)C(C)C)c(F)c1 ZINC000638034029 351914742 /nfs/dbraw/zinc/91/47/42/351914742.db2.gz HKZTZXGVMOZQIQ-LBPRGKRZSA-N 0 3 239.334 2.725 20 0 BFADHN CC(C)CN1CCN(C)[C@@H](Cc2ccccc2)C1 ZINC000284862369 352007927 /nfs/dbraw/zinc/00/79/27/352007927.db2.gz UQJTYSDEJVBFEA-INIZCTEOSA-N 0 3 246.398 2.501 20 0 BFADHN C/C(=C/c1ccccc1)CN1CCC(CO)CC1 ZINC000305722641 187563912 /nfs/dbraw/zinc/56/39/12/187563912.db2.gz CTSMGDASQRFQTH-KAMYIIQDSA-N 0 3 245.366 2.794 20 0 BFADHN CC[C@@](C)(CN1CCc2ccsc2C1)OC ZINC000638087849 351964536 /nfs/dbraw/zinc/96/45/36/351964536.db2.gz GVIDAHMUBBSYTD-ZDUSSCGKSA-N 0 3 239.384 2.921 20 0 BFADHN c1cc2c(s1)CN(CCC[C@@H]1CCO1)CC2 ZINC000638087862 351964967 /nfs/dbraw/zinc/96/49/67/351964967.db2.gz HAUYUCKFVAPBOO-GFCCVEGCSA-N 0 3 237.368 2.675 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)[C@H](C)C2)sn1 ZINC000638094024 351973212 /nfs/dbraw/zinc/97/32/12/351973212.db2.gz XKZIBSFRJBGDOM-NXEZZACHSA-N 0 3 224.373 2.929 20 0 BFADHN C[C@@H]1CC[C@@H](C)N([C@H](c2nccn2C)C2CC2)C1 ZINC000638095438 351975359 /nfs/dbraw/zinc/97/53/59/351975359.db2.gz SUKAAZMCEGJKIC-BZPMIXESSA-N 0 3 247.386 2.992 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1ccns1 ZINC000638097353 351977805 /nfs/dbraw/zinc/97/78/05/351977805.db2.gz XBSBJGATSJRIHY-UWVGGRQHSA-N 0 3 210.346 2.764 20 0 BFADHN Cc1scc(CN2CCCOC[C@H]2C)c1C ZINC000638101078 351981639 /nfs/dbraw/zinc/98/16/39/351981639.db2.gz NARLIZCFUJACBH-SNVBAGLBSA-N 0 3 239.384 2.976 20 0 BFADHN CC1(C)CN(CCc2cscn2)CCS1 ZINC000283941179 351987568 /nfs/dbraw/zinc/98/75/68/351987568.db2.gz KWEVSSLMMHKDDR-UHFFFAOYSA-N 0 3 242.413 2.513 20 0 BFADHN COc1ncc(CN(C(C)C)C2CCC2)s1 ZINC000638111439 351988395 /nfs/dbraw/zinc/98/83/95/351988395.db2.gz SVQZBWGKEPDYBR-UHFFFAOYSA-N 0 3 240.372 2.915 20 0 BFADHN CO[C@](C)(CN(C)Cc1cccc(C)n1)C1CC1 ZINC000638112913 351988612 /nfs/dbraw/zinc/98/86/12/351988612.db2.gz WXUWVYTXEARKCZ-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN CCN(Cc1cccs1)C[C@H](O)C(C)(C)C ZINC000283991040 351988850 /nfs/dbraw/zinc/98/88/50/351988850.db2.gz JJOZZLGOOIVCOE-LBPRGKRZSA-N 0 3 241.400 2.977 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2ccnn2CC2CCC2)C1 ZINC000638111976 351989281 /nfs/dbraw/zinc/98/92/81/351989281.db2.gz XJKOKTUIPPSLGR-CHWSQXEVSA-N 0 3 247.386 2.914 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2ccnn2CC2CCC2)C1 ZINC000638111979 351989690 /nfs/dbraw/zinc/98/96/90/351989690.db2.gz XJKOKTUIPPSLGR-STQMWFEESA-N 0 3 247.386 2.914 20 0 BFADHN CCC[C@@H](CN(C)CCOC(C)(C)C)OC ZINC000638131865 352002013 /nfs/dbraw/zinc/00/20/13/352002013.db2.gz FQBIZLHQBBXOAZ-LBPRGKRZSA-N 0 3 231.380 2.548 20 0 BFADHN CC[C@]1(C)CCN([C@@H](c2nccn2C)C2CC2)C1 ZINC000638135123 352002931 /nfs/dbraw/zinc/00/29/31/352002931.db2.gz QBFMFEZPCDQKFV-UKRRQHHQSA-N 0 3 247.386 2.993 20 0 BFADHN CN(CCC1CC1)Cc1c2c(nn1C)CCCC2 ZINC000638184115 352051709 /nfs/dbraw/zinc/05/17/09/352051709.db2.gz XDUXULZJRIFPCL-UHFFFAOYSA-N 0 3 247.386 2.531 20 0 BFADHN C[C@H]1CCN(Cc2ccnn2CC2CCC2)[C@H]1C ZINC000638163875 352027880 /nfs/dbraw/zinc/02/78/80/352027880.db2.gz UGLVRYHATWLXMY-STQMWFEESA-N 0 3 247.386 2.914 20 0 BFADHN C[C@@H]1CC[C@H](C)N1[C@H](c1nccn1C)C1CC1 ZINC000638171194 352038306 /nfs/dbraw/zinc/03/83/06/352038306.db2.gz KNYPXGQKTUYPDN-LOWVWBTDSA-N 0 3 233.359 2.744 20 0 BFADHN Cc1cc(CN2[C@@H](C)CC[C@@H]2C)sn1 ZINC000638171999 352039124 /nfs/dbraw/zinc/03/91/24/352039124.db2.gz MZLJQZCIYJAVFO-UWVGGRQHSA-N 0 3 210.346 2.824 20 0 BFADHN c1c(CNC2CCCC2)onc1C1CC1 ZINC000638284993 352121800 /nfs/dbraw/zinc/12/18/00/352121800.db2.gz CXTULUCTOTVEAI-UHFFFAOYSA-N 0 3 206.289 2.584 20 0 BFADHN CCCNCc1cc(OC)c(O)cc1Cl ZINC000638300560 352131802 /nfs/dbraw/zinc/13/18/02/352131802.db2.gz UJLUZRUEMJNQKX-UHFFFAOYSA-N 0 3 229.707 2.554 20 0 BFADHN Cc1cc(CN2CC[C@H]2C(C)C)sn1 ZINC000638273501 352110655 /nfs/dbraw/zinc/11/06/55/352110655.db2.gz CPNOIQHSLGPVRB-NSHDSACASA-N 0 3 210.346 2.682 20 0 BFADHN CC(C)[C@H]1CCN1[C@@H](c1nccn1C)C1CC1 ZINC000638275206 352111826 /nfs/dbraw/zinc/11/18/26/352111826.db2.gz LAOPJMYVRCSUJC-CHWSQXEVSA-N 0 3 233.359 2.602 20 0 BFADHN CCc1cc(CNCC2(C(C)C)CC2)on1 ZINC000638275588 352113250 /nfs/dbraw/zinc/11/32/50/352113250.db2.gz YVHOTEMLELBRRB-UHFFFAOYSA-N 0 3 222.332 2.763 20 0 BFADHN CCN1CCC[C@@H](N[C@@H](C)c2cc(C)ccn2)C1 ZINC000353511308 352166537 /nfs/dbraw/zinc/16/65/37/352166537.db2.gz AXFCCJCGOXSMHZ-UONOGXRCSA-N 0 3 247.386 2.525 20 0 BFADHN CCN1CCC[C@H](N[C@H](C)c2cc(C)ccn2)C1 ZINC000353511304 352166689 /nfs/dbraw/zinc/16/66/89/352166689.db2.gz AXFCCJCGOXSMHZ-KGLIPLIRSA-N 0 3 247.386 2.525 20 0 BFADHN CC1(C)CN(Cc2ccc3occc3c2)C[C@H]1O ZINC000289784463 352198027 /nfs/dbraw/zinc/19/80/27/352198027.db2.gz RNCFYSTYGSDTME-CQSZACIVSA-N 0 3 245.322 2.636 20 0 BFADHN Cc1nc2ccccc2nc1CNC[C@H]1C[C@@H]1C ZINC000638362641 352175258 /nfs/dbraw/zinc/17/52/58/352175258.db2.gz JFJGRSJJEGZRGG-CMPLNLGQSA-N 0 3 241.338 2.684 20 0 BFADHN Cc1nc2ccccc2nc1CNC[C@H]1C[C@H]1C ZINC000638362644 352175600 /nfs/dbraw/zinc/17/56/00/352175600.db2.gz JFJGRSJJEGZRGG-ZYHUDNBSSA-N 0 3 241.338 2.684 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1C(=O)[C@H](N)CCC(C)C ZINC000638313263 352139689 /nfs/dbraw/zinc/13/96/89/352139689.db2.gz SLKXHCGPXAYOIH-YNEHKIRRSA-N 0 3 240.391 2.539 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1C(=O)[C@@H](N)CCC(C)C ZINC000638313261 352139926 /nfs/dbraw/zinc/13/99/26/352139926.db2.gz SLKXHCGPXAYOIH-UPJWGTAASA-N 0 3 240.391 2.539 20 0 BFADHN CC1(C)CN(C[C@@H](O)c2ccccc2)[C@@H]1C1CC1 ZINC000290745470 352239282 /nfs/dbraw/zinc/23/92/82/352239282.db2.gz HYKOIVNIZAYZMT-HUUCEWRRSA-N 0 3 245.366 2.840 20 0 BFADHN CCOc1ccccc1CN[C@@H](C)[C@@H]1CCCO1 ZINC000020205428 352204043 /nfs/dbraw/zinc/20/40/43/352204043.db2.gz WAKBRQMUSXTZJE-JSGCOSHPSA-N 0 3 249.354 2.742 20 0 BFADHN CCC(C)(C)[C@H](C)NC(=O)[C@H](N)CCC(C)C ZINC000638406406 352215101 /nfs/dbraw/zinc/21/51/01/352215101.db2.gz IGFLCMFUMLHFIM-NWDGAFQWSA-N 0 3 242.407 2.691 20 0 BFADHN CCCN(CC)CC(=O)NC1CCCCCC1 ZINC000521187350 363122369 /nfs/dbraw/zinc/12/23/69/363122369.db2.gz KTUQFGVKABCFBM-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)CC1=CCCOC1 ZINC000290464490 352225866 /nfs/dbraw/zinc/22/58/66/352225866.db2.gz NFCKZVMFWSVJDB-HNNXBMFYSA-N 0 3 246.354 2.811 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)CCC1(O)CCC1 ZINC000411116436 191161322 /nfs/dbraw/zinc/16/13/22/191161322.db2.gz VKPUKYIQSASFNH-LBPRGKRZSA-N 0 3 249.354 2.690 20 0 BFADHN CCc1ccc(CN(C)CCC2(O)CCC2)cc1 ZINC000411148666 191163948 /nfs/dbraw/zinc/16/39/48/191163948.db2.gz MWOFBGLTYGQTRE-UHFFFAOYSA-N 0 3 247.382 2.986 20 0 BFADHN Fc1ccc(CNCCC[C@H]2CCO2)c(F)c1 ZINC000638450618 352261145 /nfs/dbraw/zinc/26/11/45/352261145.db2.gz PLDWQIHOGCFTID-LBPRGKRZSA-N 0 3 241.281 2.624 20 0 BFADHN Fc1ccc(CNCCC[C@@H]2CCO2)c(F)c1 ZINC000638450617 352261465 /nfs/dbraw/zinc/26/14/65/352261465.db2.gz PLDWQIHOGCFTID-GFCCVEGCSA-N 0 3 241.281 2.624 20 0 BFADHN COc1cncc(CN(C)C[C@@H]2CC2(C)C)c1C ZINC000630072773 363156308 /nfs/dbraw/zinc/15/63/08/363156308.db2.gz IZAXHNRASSAADU-ZDUSSCGKSA-N 0 3 248.370 2.877 20 0 BFADHN CC[C@](C)(NCc1cc(C)no1)C1CC1 ZINC000630074138 363157676 /nfs/dbraw/zinc/15/76/76/363157676.db2.gz MJCFODYGPHEPHU-LBPRGKRZSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@H](N[C@H](C)COC)c1ccccc1OC ZINC000037196131 358469592 /nfs/dbraw/zinc/46/95/92/358469592.db2.gz FTGIARKKOGTWRU-YPMHNXCESA-N 0 3 237.343 2.771 20 0 BFADHN CCCN(CC)Cc1csc(C(=O)OC)c1 ZINC000411189307 191167810 /nfs/dbraw/zinc/16/78/10/191167810.db2.gz FZLGTZNPPLSDOZ-UHFFFAOYSA-N 0 3 241.356 2.767 20 0 BFADHN CC1(C)CN(Cc2cccnc2)[C@@H]1C1CC1 ZINC000291552357 352279901 /nfs/dbraw/zinc/27/99/01/352279901.db2.gz MKTNKMYBXAMGDR-CYBMUJFWSA-N 0 3 216.328 2.702 20 0 BFADHN CC1(C)CN(Cc2ccccn2)[C@@H]1C1CC1 ZINC000291672207 352285032 /nfs/dbraw/zinc/28/50/32/352285032.db2.gz WKOGARJHBPEISP-CYBMUJFWSA-N 0 3 216.328 2.702 20 0 BFADHN COC[C@H]1CCN([C@@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411279978 191174808 /nfs/dbraw/zinc/17/48/08/191174808.db2.gz CYMZZRRACAMAQO-TUKIKUTGSA-N 0 3 249.329 2.650 20 0 BFADHN Cc1ccc([C@H](C)NCCC[C@@H](C)CO)o1 ZINC000222536485 187666210 /nfs/dbraw/zinc/66/62/10/187666210.db2.gz ZOGYUTICWKBNME-PWSUYJOCSA-N 0 3 225.332 2.647 20 0 BFADHN CC[C@@H]1CN(CCc2cncs2)CCS1 ZINC000411305293 191175262 /nfs/dbraw/zinc/17/52/62/191175262.db2.gz XIBDJKLFNVUYHP-SNVBAGLBSA-N 0 3 242.413 2.513 20 0 BFADHN CCN(Cc1cccc(Cl)c1)[C@@H](C)CO ZINC000292861426 352331826 /nfs/dbraw/zinc/33/18/26/352331826.db2.gz AHHYGTIHNPBFQJ-JTQLQIEISA-N 0 3 227.735 2.543 20 0 BFADHN CCC[C@@H]1CCCN([C@H](C)c2n[nH]c(C)n2)C1 ZINC000411315886 191176718 /nfs/dbraw/zinc/17/67/18/191176718.db2.gz JSGJFRKAHKBHFE-ZYHUDNBSSA-N 0 3 236.363 2.686 20 0 BFADHN CCC[C@@H]1CCCN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC000411315883 191177526 /nfs/dbraw/zinc/17/75/26/191177526.db2.gz JSGJFRKAHKBHFE-CMPLNLGQSA-N 0 3 236.363 2.686 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2CCc2cncs2)c1 ZINC000411337643 191179225 /nfs/dbraw/zinc/17/92/25/191179225.db2.gz IRMJZBCONHNHLV-ZDUSSCGKSA-N 0 3 247.367 2.851 20 0 BFADHN CCOCCN(C)[C@@H]1C[C@H]1c1cccc(F)c1 ZINC000411445989 191185996 /nfs/dbraw/zinc/18/59/96/191185996.db2.gz PKQQFVHWZWXXHF-UONOGXRCSA-N 0 3 237.318 2.650 20 0 BFADHN CCc1ccc(C(C)(C)NCCC(=O)OC)cc1 ZINC000411474508 191187324 /nfs/dbraw/zinc/18/73/24/191187324.db2.gz QRAOIGPKYFBDQM-UHFFFAOYSA-N 0 3 249.354 2.637 20 0 BFADHN CCCC[C@H](C)N(C)Cc1ccnc(C)n1 ZINC000353561131 352377386 /nfs/dbraw/zinc/37/73/86/352377386.db2.gz IYWLVMZCUKAWQX-NSHDSACASA-N 0 3 221.348 2.796 20 0 BFADHN C[C@@H]1COCCN([C@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411400194 191183207 /nfs/dbraw/zinc/18/32/07/191183207.db2.gz IXLPGDVUYOQCCI-CQDKDKBSSA-N 0 3 249.329 2.650 20 0 BFADHN C[C@]1(O)CCCN([C@@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411417753 191184780 /nfs/dbraw/zinc/18/47/80/191184780.db2.gz DZXATVPEVFQUAJ-ZNMIVQPWSA-N 0 3 249.329 2.528 20 0 BFADHN C[C@@H]1CCC[C@@H](CO)N1C/C=C/c1ccccc1 ZINC000411402859 191185026 /nfs/dbraw/zinc/18/50/26/191185026.db2.gz VCLQEJJTVMYKEW-DGNXDGCUSA-N 0 3 245.366 2.935 20 0 BFADHN CC1(C)CCCN1Cc1cnn(CC(F)F)c1 ZINC000295348792 352409177 /nfs/dbraw/zinc/40/91/77/352409177.db2.gz NDEVIBAFWQBNKQ-UHFFFAOYSA-N 0 3 243.301 2.523 20 0 BFADHN CC[C@@H](NCc1cccnc1N)c1ccccc1 ZINC000296322995 352441725 /nfs/dbraw/zinc/44/17/25/352441725.db2.gz ONVFENQAVQQKPI-CQSZACIVSA-N 0 3 241.338 2.905 20 0 BFADHN c1ncc(CN2CCCC3(CCCC3)C2)cn1 ZINC000336161159 538103423 /nfs/dbraw/zinc/10/34/23/538103423.db2.gz AKADPKOHKKMFJK-UHFFFAOYSA-N 0 3 231.343 2.633 20 0 BFADHN CCN(Cc1csc(C)c1)C[C@H](C)OC ZINC000296169244 352437810 /nfs/dbraw/zinc/43/78/10/352437810.db2.gz XDHGBPZQFBWBNQ-JTQLQIEISA-N 0 3 227.373 2.913 20 0 BFADHN CC1(C)C[C@H](N[C@@H]2CCC[C@H]2F)C(C)(C)O1 ZINC000340533679 352440747 /nfs/dbraw/zinc/44/07/47/352440747.db2.gz WMLCHIGYNFQKGS-MXWKQRLJSA-N 0 3 229.339 2.813 20 0 BFADHN c1cc(CNC[C@H]2CCc3ccccc32)sn1 ZINC000638624200 352461631 /nfs/dbraw/zinc/46/16/31/352461631.db2.gz XXOJCQBOQHOIDS-GFCCVEGCSA-N 0 3 244.363 2.963 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCCC[C@H]1CCO1 ZINC000638638407 352479741 /nfs/dbraw/zinc/47/97/41/352479741.db2.gz FPTWNWBSPVHITR-CABZTGNLSA-N 0 3 238.331 2.511 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H](C)[C@@H]1CC1(F)F ZINC000638638952 352482504 /nfs/dbraw/zinc/48/25/04/352482504.db2.gz LVTFBOUWWQLJJP-BYULHYEWSA-N 0 3 244.285 2.986 20 0 BFADHN Cc1cnc(CNCC(C2CC2)C2CC2)o1 ZINC000638709571 352546082 /nfs/dbraw/zinc/54/60/82/352546082.db2.gz CDYZQFAKCSHLKK-UHFFFAOYSA-N 0 3 220.316 2.509 20 0 BFADHN c1ccc2c(c1)SC[C@@H]2NCCC[C@H]1CCO1 ZINC000638655527 352496977 /nfs/dbraw/zinc/49/69/77/352496977.db2.gz IQBGKUPJPRLDTJ-AAEUAGOBSA-N 0 3 249.379 2.992 20 0 BFADHN CCCC[C@H](COC)NCc1cc(C)ns1 ZINC000638660543 352502665 /nfs/dbraw/zinc/50/26/65/352502665.db2.gz RLNMUUHCXJWIDY-LLVKDONJSA-N 0 3 242.388 2.746 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1ccc(CC)cn1 ZINC000411811032 191215805 /nfs/dbraw/zinc/21/58/05/191215805.db2.gz SCYGNXKKIGWYCU-HUUCEWRRSA-N 0 3 248.370 2.691 20 0 BFADHN CC1(CNCc2nc3ccccc3o2)CC1 ZINC000638721411 352572957 /nfs/dbraw/zinc/57/29/57/352572957.db2.gz NPRWVVBSWFKRNB-UHFFFAOYSA-N 0 3 216.284 2.718 20 0 BFADHN CC/C=C\CCN1CCO[C@H](C2CCC2)C1 ZINC000411851478 191219631 /nfs/dbraw/zinc/21/96/31/191219631.db2.gz PZRFINBFBANOGE-NQHOJNORSA-N 0 3 223.360 2.844 20 0 BFADHN CO[C@H]1c2ccccc2C[C@H]1N[C@@H]1C=CCCC1 ZINC000411894745 191224071 /nfs/dbraw/zinc/22/40/71/191224071.db2.gz SRYCNKUBZHXQHI-BMFZPTHFSA-N 0 3 243.350 2.997 20 0 BFADHN CC[C@@H]1CCCN1Cc1nc2ccccc2n1C ZINC000340695356 352655646 /nfs/dbraw/zinc/65/56/46/352655646.db2.gz DSXATAQLKZRKCF-GFCCVEGCSA-N 0 3 243.354 2.948 20 0 BFADHN CC(C)C[C@H](C)NC(=O)C[C@@H](N)c1ccccc1 ZINC000037265161 358471939 /nfs/dbraw/zinc/47/19/39/358471939.db2.gz CAZXEJRZHRKTGD-GXTWGEPZSA-N 0 3 248.370 2.627 20 0 BFADHN CCN(CCC(C)(C)O)Cc1occc1C ZINC000412030374 191242306 /nfs/dbraw/zinc/24/23/06/191242306.db2.gz WDTJSKNCRUMZOX-UHFFFAOYSA-N 0 3 225.332 2.571 20 0 BFADHN C[C@@H]1C[C@H](O)CN([C@H]2CCc3ccc(F)cc32)C1 ZINC000412033017 191242807 /nfs/dbraw/zinc/24/28/07/191242807.db2.gz IDHNEHVQVBDDCD-DGFSRKRXSA-N 0 3 249.329 2.516 20 0 BFADHN Cc1ccc(NC(=O)CNC(C)C)c(Cl)c1 ZINC000037386021 358472761 /nfs/dbraw/zinc/47/27/61/358472761.db2.gz BTYZEZSEQMAAKC-UHFFFAOYSA-N 0 3 240.734 2.585 20 0 BFADHN COc1cc(CNc2cc(C)cc(C)n2)sn1 ZINC000638788162 352745956 /nfs/dbraw/zinc/74/59/56/352745956.db2.gz BDFSCFQPINDQSQ-UHFFFAOYSA-N 0 3 249.339 2.776 20 0 BFADHN CCC[C@@H](CNc1cc(C)cc(C)n1)OC ZINC000638788428 352746816 /nfs/dbraw/zinc/74/68/16/352746816.db2.gz JOZUWUMAMAOQBP-LBPRGKRZSA-N 0 3 222.332 2.925 20 0 BFADHN CCN(Cc1cn(C)nc1C)C1CC(C)(C)C1 ZINC000412035013 191247777 /nfs/dbraw/zinc/24/77/77/191247777.db2.gz KGVYLFIJHUKLLO-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN CC(C)=CCN1CCC[C@@H](c2ccnn2C)C1 ZINC000334182221 352770548 /nfs/dbraw/zinc/77/05/48/352770548.db2.gz DEQIKJJPFQUCGI-CYBMUJFWSA-N 0 3 233.359 2.566 20 0 BFADHN C[C@@H]1CC[C@@]2(CCN(Cc3ccc(CO)o3)C2)C1 ZINC000334182493 352770657 /nfs/dbraw/zinc/77/06/57/352770657.db2.gz FYCVIIWLJLKPJO-IUODEOHRSA-N 0 3 249.354 2.784 20 0 BFADHN C[C@H]1CC[C@]2(CCN(Cc3ccc(CO)o3)C2)C1 ZINC000334182504 352770908 /nfs/dbraw/zinc/77/09/08/352770908.db2.gz FYCVIIWLJLKPJO-WFASDCNBSA-N 0 3 249.354 2.784 20 0 BFADHN Cc1cc(CN2CC[C@@]3(CC[C@H](C)C3)C2)on1 ZINC000334219097 352821247 /nfs/dbraw/zinc/82/12/47/352821247.db2.gz FLDQGUHXVBSHNM-SMDDNHRTSA-N 0 3 234.343 2.995 20 0 BFADHN CC(C)[C@@H](C)[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000366788955 352826863 /nfs/dbraw/zinc/82/68/63/352826863.db2.gz INBPSRACBIDNLM-YPMHNXCESA-N 0 3 244.342 2.528 20 0 BFADHN CC(C)[C@@H](C)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000366788955 352826867 /nfs/dbraw/zinc/82/68/67/352826867.db2.gz INBPSRACBIDNLM-YPMHNXCESA-N 0 3 244.342 2.528 20 0 BFADHN Clc1ccc(CN2C[C@H]3CCC[C@@H]3C2)nc1 ZINC000334197054 352788408 /nfs/dbraw/zinc/78/84/08/352788408.db2.gz NIVFEZNOWMZQQG-GHMZBOCLSA-N 0 3 236.746 2.967 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]2C(C)(C)C)cn1 ZINC000334200300 352793002 /nfs/dbraw/zinc/79/30/02/352793002.db2.gz NCBYAEXNFYHCJY-CYBMUJFWSA-N 0 3 233.359 2.796 20 0 BFADHN CCC[C@H](C)N(C)Cc1ccnc(OC)c1 ZINC000342856667 352877264 /nfs/dbraw/zinc/87/72/64/352877264.db2.gz HAKKTFVGUNJQNO-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN OC[C@@]1(F)CCCN([C@@H]2/C=C/CCCCC2)C1 ZINC000334237776 352856087 /nfs/dbraw/zinc/85/60/87/352856087.db2.gz MBTAZFBMRTUIDZ-HMJDLUCASA-N 0 3 241.350 2.672 20 0 BFADHN COc1cc(CN2CCC[C@@H](C)[C@H]2C)ccn1 ZINC000342858144 352877587 /nfs/dbraw/zinc/87/75/87/352877587.db2.gz ASUODPGVMAMMCU-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN OC[C@]1(F)CCCN([C@H]2/C=C/CCCCC2)C1 ZINC000334237787 352856495 /nfs/dbraw/zinc/85/64/95/352856495.db2.gz MBTAZFBMRTUIDZ-SZBGIYDQSA-N 0 3 241.350 2.672 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccnc(OC)c2)C1 ZINC000342858658 352878120 /nfs/dbraw/zinc/87/81/20/352878120.db2.gz FJTVQDVYYLTWPV-AWEZNQCLSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1ccoc1CN1CC[C@]2(C1)CCCOC2 ZINC000342833748 352835572 /nfs/dbraw/zinc/83/55/72/352835572.db2.gz AVZMAIMPMDTILM-AWEZNQCLSA-N 0 3 235.327 2.591 20 0 BFADHN COc1ccc(CN2CC[C@H]2C(C)C)cc1 ZINC000342859550 352878671 /nfs/dbraw/zinc/87/86/71/352878671.db2.gz GPXCOEMYOVGZJS-AWEZNQCLSA-N 0 3 219.328 2.926 20 0 BFADHN C[C@@H]1CN(Cc2cc3ccccc3[nH]c2=O)[C@H]1C ZINC000334270701 352906890 /nfs/dbraw/zinc/90/68/90/352906890.db2.gz SWNVYODWLTVMPI-MNOVXSKESA-N 0 3 242.322 2.781 20 0 BFADHN Cc1nccc(CN2CC[C@@H](C)C[C@@H](C)C2)n1 ZINC000334298470 352918912 /nfs/dbraw/zinc/91/89/12/352918912.db2.gz OBFQHIAZNWYQBE-VXGBXAGGSA-N 0 3 233.359 2.653 20 0 BFADHN COC(=O)c1ccc(CN2CC[C@@H]2C(C)C)cc1 ZINC000342864020 352881728 /nfs/dbraw/zinc/88/17/28/352881728.db2.gz ZCWPUORSUTVMEP-CQSZACIVSA-N 0 3 247.338 2.704 20 0 BFADHN Cc1nn(C)cc1CN1CC[C@H](C)C2(CCC2)C1 ZINC000334262243 352895686 /nfs/dbraw/zinc/89/56/86/352895686.db2.gz VBBOFWRTPKCXPN-LBPRGKRZSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1nccc(CN2CC[C@H](C)C(C)(C)C2)n1 ZINC000334308716 352940234 /nfs/dbraw/zinc/94/02/34/352940234.db2.gz IEQKXASAOWGSAM-NSHDSACASA-N 0 3 233.359 2.653 20 0 BFADHN C[C@@H](NCCC1CC1)c1ccc(F)cn1 ZINC000092196482 538106507 /nfs/dbraw/zinc/10/65/07/538106507.db2.gz WINLUKVQKPWBFW-SECBINFHSA-N 0 3 208.280 2.671 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC[C@H]2CCC[C@H]21 ZINC000334332483 352969580 /nfs/dbraw/zinc/96/95/80/352969580.db2.gz QTXCQJZNBKMYPM-TZMCWYRMSA-N 0 3 233.359 2.791 20 0 BFADHN CC[C@@H](N)C(=O)N(c1ccccc1)[C@@H](C)C1CC1 ZINC000638840173 353016570 /nfs/dbraw/zinc/01/65/70/353016570.db2.gz NIYBPJBSEJNSCJ-SMDDNHRTSA-N 0 3 246.354 2.555 20 0 BFADHN C[C@@H](NC1CC=CC1)c1ccc(F)cn1 ZINC000092767745 538107654 /nfs/dbraw/zinc/10/76/54/538107654.db2.gz POFZXYMGDWBXOQ-SECBINFHSA-N 0 3 206.264 2.590 20 0 BFADHN CC(C)[C@H](O)CCNCc1sccc1Cl ZINC000336749663 188000796 /nfs/dbraw/zinc/00/07/96/188000796.db2.gz FDSHMZYAZWOZDO-SNVBAGLBSA-N 0 3 247.791 2.898 20 0 BFADHN CC(C)[C@H](O)CN(C)[C@@H](C)c1ccccc1F ZINC000124063920 187983432 /nfs/dbraw/zinc/98/34/32/187983432.db2.gz OABOFIWRIJABLC-SMDDNHRTSA-N 0 3 239.334 2.835 20 0 BFADHN Cc1nccc(CN2CCCC3(CCCC3)C2)n1 ZINC000334418209 353101546 /nfs/dbraw/zinc/10/15/46/353101546.db2.gz PCGZNFBLGPAOSU-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN C=Cn1cc(CN[C@@H](C)Cc2cccs2)cn1 ZINC000194346597 188005812 /nfs/dbraw/zinc/00/58/12/188005812.db2.gz VZVNOQJVHBNJIG-NSHDSACASA-N 0 3 247.367 2.766 20 0 BFADHN C/C=C\C[C@H](CO)N[C@@H](C)c1ccc(C)o1 ZINC000336778140 188006447 /nfs/dbraw/zinc/00/64/47/188006447.db2.gz KLRRIUJTLSIVGM-KBIXYVOKSA-N 0 3 223.316 2.566 20 0 BFADHN CC(C)N(CCC[C@@H](C)O)Cc1ccco1 ZINC000336656446 188010746 /nfs/dbraw/zinc/01/07/46/188010746.db2.gz LGYYLRMDDPLZJK-GFCCVEGCSA-N 0 3 225.332 2.651 20 0 BFADHN CC(C)COCCN1CCC(C(F)F)CC1 ZINC000336669624 188011265 /nfs/dbraw/zinc/01/12/65/188011265.db2.gz UAKKGWWCVDWXJA-UHFFFAOYSA-N 0 3 235.318 2.636 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1ccnn1C(C)C ZINC000337128408 188013640 /nfs/dbraw/zinc/01/36/40/188013640.db2.gz IBDGYHCGCPGPRE-LBPRGKRZSA-N 0 3 223.364 2.940 20 0 BFADHN CCCCN(CC)C(=O)CN(C)[C@@H](C)CCC ZINC000073873206 353128015 /nfs/dbraw/zinc/12/80/15/353128015.db2.gz RJECLKJWVWJRTD-ZDUSSCGKSA-N 0 3 242.407 2.755 20 0 BFADHN C1=C[C@H](N2CCOC[C@H]2CC2CCC2)CCC1 ZINC000339772494 188019819 /nfs/dbraw/zinc/01/98/19/188019819.db2.gz OMTNPZJMOONWGC-LSDHHAIUSA-N 0 3 235.371 2.986 20 0 BFADHN Cc1cccnc1CN(C)CCC(C)C ZINC000093159576 538108535 /nfs/dbraw/zinc/10/85/35/538108535.db2.gz NMQIZQNFJXWAFN-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CCCN(C)CC2)o1 ZINC000269326986 188023905 /nfs/dbraw/zinc/02/39/05/188023905.db2.gz AHTBRHVCYWKWPR-TZMCWYRMSA-N 0 3 248.370 2.541 20 0 BFADHN CC(C)(O)CCNCc1sccc1Cl ZINC000278861448 188030762 /nfs/dbraw/zinc/03/07/62/188030762.db2.gz XXEMFCCWNQJKEO-UHFFFAOYSA-N 0 3 233.764 2.652 20 0 BFADHN COc1ccc(CN2CCC[C@@](C)(OC)C2)cc1 ZINC000279326167 188031777 /nfs/dbraw/zinc/03/17/77/188031777.db2.gz OJZZVIVRYPYBHJ-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN CC(C)C[C@@H](C)CN(C)Cc1cnccn1 ZINC000282101656 188032929 /nfs/dbraw/zinc/03/29/29/188032929.db2.gz WDMSGYHBZRFYSS-GFCCVEGCSA-N 0 3 221.348 2.591 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H](C)c1cc(C)c(C)o1 ZINC000282684098 188033494 /nfs/dbraw/zinc/03/34/94/188033494.db2.gz JWOPGLPSJBLIJR-LRLQIDNFSA-N 0 3 237.343 2.874 20 0 BFADHN CC(C)[C@H](O)CN(C)[C@H](C)c1cccs1 ZINC000284408126 188033813 /nfs/dbraw/zinc/03/38/13/188033813.db2.gz WWGLPSNUEHQQBB-GHMZBOCLSA-N 0 3 227.373 2.758 20 0 BFADHN COc1ccncc1CN(C)[C@H](C)C(C)C ZINC000287275208 188035249 /nfs/dbraw/zinc/03/52/49/188035249.db2.gz LLPBQEFHRBHURD-LLVKDONJSA-N 0 3 222.332 2.567 20 0 BFADHN COc1ccc(CN(C)[C@H]2C[C@@H](OC)C2)cc1C ZINC000289556222 188035692 /nfs/dbraw/zinc/03/56/92/188035692.db2.gz RJXUBXUPRBXRQG-OKILXGFUSA-N 0 3 249.354 2.613 20 0 BFADHN CC(C)C[C@H](C)NCc1cc(F)ncc1F ZINC000294964111 188038135 /nfs/dbraw/zinc/03/81/35/188038135.db2.gz CPMKUYJYZFEWDN-VIFPVBQESA-N 0 3 228.286 2.884 20 0 BFADHN COc1ccc(CN2CC[C@@H](SC)C2)cc1 ZINC000294947975 188038172 /nfs/dbraw/zinc/03/81/72/188038172.db2.gz CUMHTEZNOYEAOC-CYBMUJFWSA-N 0 3 237.368 2.633 20 0 BFADHN Cc1nocc1CN(C)C1CCCCC1 ZINC000294970393 188038515 /nfs/dbraw/zinc/03/85/15/188038515.db2.gz RKEHNKGNFBHJPK-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1CCC12CCC2 ZINC000334475063 353119421 /nfs/dbraw/zinc/11/94/21/353119421.db2.gz LWGCEZVMRNJMEH-NWDGAFQWSA-N 0 3 231.343 2.709 20 0 BFADHN C=Cn1cc(CN(C)[C@@H]2CCC[C@@H](C)C2)cn1 ZINC000193687273 188004046 /nfs/dbraw/zinc/00/40/46/188004046.db2.gz PYHFFRPMSUPMBD-TZMCWYRMSA-N 0 3 233.359 2.994 20 0 BFADHN C=Cn1cc(CN2C[C@@H](C)CC[C@@H]2C)cn1 ZINC000193977266 188005300 /nfs/dbraw/zinc/00/53/00/188005300.db2.gz SYBRBEYIQMKCIP-RYUDHWBXSA-N 0 3 219.332 2.604 20 0 BFADHN CC(C)N1CCC(F)(c2ccccn2)CC1 ZINC000336266704 188054123 /nfs/dbraw/zinc/05/41/23/188054123.db2.gz FOIRACWTESAWDH-UHFFFAOYSA-N 0 3 222.307 2.751 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2cccnc2N)C1 ZINC000336256026 188054585 /nfs/dbraw/zinc/05/45/85/188054585.db2.gz LAEYSKRWSDRQSO-GFCCVEGCSA-N 0 3 233.359 2.532 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc(OC)c(OC)c1 ZINC000310043218 538111094 /nfs/dbraw/zinc/11/10/94/538111094.db2.gz HECFYXMSEFPBDL-GZTOBOFZSA-N 0 3 235.327 2.931 20 0 BFADHN CCc1cnc(CNCCC2(C)CC2)s1 ZINC000336762575 353203891 /nfs/dbraw/zinc/20/38/91/353203891.db2.gz NWKTYUDLPPVVGQ-UHFFFAOYSA-N 0 3 224.373 2.985 20 0 BFADHN Cc1cc(N)cc(NC(=O)C(C)C(F)(F)F)c1 ZINC000638984973 353210006 /nfs/dbraw/zinc/21/00/06/353210006.db2.gz QTMYPHMJYQAYQY-ZETCQYMHSA-N 0 3 246.232 2.714 20 0 BFADHN CCc1cnc(CN[C@@H]2CC[C@H]2C2CC2)s1 ZINC000336762805 353210048 /nfs/dbraw/zinc/21/00/48/353210048.db2.gz LSJQIMZFYHZVTR-NWDGAFQWSA-N 0 3 236.384 2.984 20 0 BFADHN CCC[C@@H](C)NCc1ccc(COC)o1 ZINC000166653260 538110956 /nfs/dbraw/zinc/11/09/56/538110956.db2.gz VHEAOTOTRGPQCG-SNVBAGLBSA-N 0 3 211.305 2.704 20 0 BFADHN CCCC[C@@H](N)C(=O)NCCC1CCCCC1 ZINC000037740261 358477364 /nfs/dbraw/zinc/47/73/64/358477364.db2.gz SBULAMKIIIKJLC-CYBMUJFWSA-N 0 3 240.391 2.591 20 0 BFADHN CCc1nc(CN[C@@H]2C[C@H](C)[C@H]2C)cs1 ZINC000336767442 353271480 /nfs/dbraw/zinc/27/14/80/353271480.db2.gz SKUZTSRAODJFGP-IQJOONFLSA-N 0 3 224.373 2.840 20 0 BFADHN COC1(CN[C@@H](C)c2cnc(C)s2)CCC1 ZINC000134716700 538113680 /nfs/dbraw/zinc/11/36/80/538113680.db2.gz KREVKYMYMACGFN-VIFPVBQESA-N 0 3 240.372 2.671 20 0 BFADHN Cc1nnc(CN[C@@H]2CCCCC2(C)C)s1 ZINC000134720018 538113751 /nfs/dbraw/zinc/11/37/51/538113751.db2.gz KCOGGUQNQVYEGA-SNVBAGLBSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1ccsc1CN[C@H](C)c1c[nH]nc1C ZINC000134552134 538113140 /nfs/dbraw/zinc/11/31/40/538113140.db2.gz QOCKJDXBDRGHTF-SECBINFHSA-N 0 3 235.356 2.939 20 0 BFADHN CC(C)=CCN1CC[C@H](COC(F)F)C1 ZINC000353020321 188233544 /nfs/dbraw/zinc/23/35/44/188233544.db2.gz HWINPOPPYQQUFO-JTQLQIEISA-N 0 3 219.275 2.514 20 0 BFADHN Cc1ccc(CNCC2(C(F)(F)F)CC2)nc1 ZINC000353032282 188233598 /nfs/dbraw/zinc/23/35/98/188233598.db2.gz FXZZOBYQYPPJMC-UHFFFAOYSA-N 0 3 244.260 2.822 20 0 BFADHN Cc1ccnc([C@@H](C)NCCc2ccco2)c1 ZINC000353434971 188235015 /nfs/dbraw/zinc/23/50/15/188235015.db2.gz KMWDPHMOMCMTQI-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN c1ccc(C[C@H]2CCN2CC2=CCCOC2)cc1 ZINC000353974764 188235034 /nfs/dbraw/zinc/23/50/34/188235034.db2.gz IVBZJDVEIPPSHC-MRXNPFEDSA-N 0 3 243.350 2.650 20 0 BFADHN CC(C)N(Cc1ccc(Cl)s1)C[C@@H](C)O ZINC000353389437 188235174 /nfs/dbraw/zinc/23/51/74/188235174.db2.gz VYZPKRVPRAEFJI-SECBINFHSA-N 0 3 247.791 2.993 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)NCc1nccn1C ZINC000356845808 188239089 /nfs/dbraw/zinc/23/90/89/188239089.db2.gz IJDJZCLIXSOGSE-CHWSQXEVSA-N 0 3 237.391 2.971 20 0 BFADHN CC(C)=CCN(CCO)[C@@H]1CCc2ccccc21 ZINC000114466673 188239822 /nfs/dbraw/zinc/23/98/22/188239822.db2.gz JLXISXHTGNVHGJ-MRXNPFEDSA-N 0 3 245.366 2.934 20 0 BFADHN c1coc(CN[C@@H]2CCC[C@H]([C@H]3CCOC3)C2)c1 ZINC000360963463 188244203 /nfs/dbraw/zinc/24/42/03/188244203.db2.gz CDSNCJCXXSAMNY-MELADBBJSA-N 0 3 249.354 2.965 20 0 BFADHN CC(C)C[C@H]1CCCN(Cc2cnn(C)c2)C1 ZINC000119223905 188247835 /nfs/dbraw/zinc/24/78/35/188247835.db2.gz ZUZQNICKYADIIJ-CYBMUJFWSA-N 0 3 235.375 2.678 20 0 BFADHN CC(C)(C)n1cc(CN2CC[C@@H](C3CC3)C2)cn1 ZINC000378350923 188251963 /nfs/dbraw/zinc/25/19/63/188251963.db2.gz VFGYNBRWKBCYRR-CQSZACIVSA-N 0 3 247.386 2.870 20 0 BFADHN CC(C)(C)n1cc(CNCCC(F)(F)F)cn1 ZINC000063099609 188254471 /nfs/dbraw/zinc/25/44/71/188254471.db2.gz JHTQVOABNUSWNP-UHFFFAOYSA-N 0 3 249.280 2.680 20 0 BFADHN OCC[C@@H]1CCCCN1C/C=C\c1ccccc1 ZINC000226906322 188254489 /nfs/dbraw/zinc/25/44/89/188254489.db2.gz JUWIHHKKOXDMDU-HWYHOQROSA-N 0 3 245.366 2.937 20 0 BFADHN C[C@H](CN[C@H](C)c1ccncc1)C(F)(F)F ZINC000336724092 188256012 /nfs/dbraw/zinc/25/60/12/188256012.db2.gz BBKAMHDICLWQGP-RKDXNWHRSA-N 0 3 232.249 2.931 20 0 BFADHN C(=C/c1ccncc1)\CN[C@@H]1C=CCCC1 ZINC000336650125 188256303 /nfs/dbraw/zinc/25/63/03/188256303.db2.gz DNFVSOLSLGERQN-ISZGNANSSA-N 0 3 214.312 2.793 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1conc1C ZINC000339298253 188257028 /nfs/dbraw/zinc/25/70/28/188257028.db2.gz MENIRSBUHWAERS-PWSUYJOCSA-N 0 3 208.305 2.651 20 0 BFADHN CC(C)(CCN[C@@H]1CCn2ccnc21)C1CC1 ZINC000345360644 188257222 /nfs/dbraw/zinc/25/72/22/188257222.db2.gz ZSHHLXAWZVYXJP-GFCCVEGCSA-N 0 3 233.359 2.744 20 0 BFADHN CCc1cnc(CN[C@H]2CC[C@H](F)C2)s1 ZINC000336770058 353295505 /nfs/dbraw/zinc/29/55/05/353295505.db2.gz VIFFKRUNZHMQDF-IUCAKERBSA-N 0 3 228.336 2.686 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H]2CC2(C)C)c1 ZINC000285919768 188267935 /nfs/dbraw/zinc/26/79/35/188267935.db2.gz CHHDKPMOAJVNFM-ZYHUDNBSSA-N 0 3 204.317 2.839 20 0 BFADHN CC(C)[C@H](C)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000294138599 188269671 /nfs/dbraw/zinc/26/96/71/188269671.db2.gz PCVFUSDWWSJNQO-VWYCJHECSA-N 0 3 207.321 2.523 20 0 BFADHN COc1ccc(CNCCC(C)C)c(F)c1 ZINC000083354104 188270078 /nfs/dbraw/zinc/27/00/78/188270078.db2.gz KEYQVLODUMGOJE-UHFFFAOYSA-N 0 3 225.307 2.970 20 0 BFADHN CC(C)C[C@H](N)C(=O)Nc1ccc(Cl)cc1 ZINC000011961172 188279419 /nfs/dbraw/zinc/27/94/19/188279419.db2.gz PAGBUSMMVYCCLW-NSHDSACASA-N 0 3 240.734 2.652 20 0 BFADHN CC(C)C[C@@H](CN(C)C)N[C@@H](C)c1ccco1 ZINC000037167117 188287275 /nfs/dbraw/zinc/28/72/75/188287275.db2.gz HFWWFYKCPKWNPR-STQMWFEESA-N 0 3 238.375 2.907 20 0 BFADHN Cc1ncc(CNCCCOCC(C)C)s1 ZINC000037505473 188287648 /nfs/dbraw/zinc/28/76/48/188287648.db2.gz VOXLREQOWKUIEI-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN(C)CC2(O)CCC2)o1 ZINC000343273408 353301201 /nfs/dbraw/zinc/30/12/01/353301201.db2.gz IOYQGPFNHKZHEU-WCQYABFASA-N 0 3 249.354 2.750 20 0 BFADHN CC[C@@H](C)NCc1cc(F)c(F)cc1F ZINC000083348898 188296694 /nfs/dbraw/zinc/29/66/94/188296694.db2.gz FIWVJXOUKSQSBJ-SSDOTTSWSA-N 0 3 217.234 2.992 20 0 BFADHN CCC(CC)CN[C@H](C)c1nnc(C)s1 ZINC000135032331 538114609 /nfs/dbraw/zinc/11/46/09/538114609.db2.gz JDFXLWCUHSSVKX-MRVPVSSYSA-N 0 3 227.377 2.933 20 0 BFADHN FCCN[C@H](c1ccc(F)cc1)C1CC1 ZINC000272032674 180934111 /nfs/dbraw/zinc/93/41/11/180934111.db2.gz NFNLHGZSHZCMSP-LBPRGKRZSA-N 0 3 211.255 2.836 20 0 BFADHN CC[C@](C)(CN(C)[C@H](C)c1ccncc1)OC ZINC000639040285 353315980 /nfs/dbraw/zinc/31/59/80/353315980.db2.gz GVXGAZUWSAMYDM-TZMCWYRMSA-N 0 3 236.359 2.890 20 0 BFADHN CC[C@@](C)(CN(C)[C@@H](C)c1ccncc1)OC ZINC000639040283 353316049 /nfs/dbraw/zinc/31/60/49/353316049.db2.gz GVXGAZUWSAMYDM-JSGCOSHPSA-N 0 3 236.359 2.890 20 0 BFADHN c1cc(CNC2CCc3ccccc3CC2)on1 ZINC000639041935 353322006 /nfs/dbraw/zinc/32/20/06/353322006.db2.gz QDYLAPLOSLNRAS-UHFFFAOYSA-N 0 3 242.322 2.712 20 0 BFADHN CCC[C@H](CN(C)[C@@H](C)c1cccnc1)OC ZINC000639041609 353327510 /nfs/dbraw/zinc/32/75/10/353327510.db2.gz XOHOOGAGCJASRH-GXTWGEPZSA-N 0 3 236.359 2.890 20 0 BFADHN COc1ncccc1CNC1CCCCC1 ZINC000037408166 188325414 /nfs/dbraw/zinc/32/54/14/188325414.db2.gz GSKSHDHRVPFOOG-UHFFFAOYSA-N 0 3 220.316 2.513 20 0 BFADHN CC(C)[C@H](NC[C@H](C)O)c1cc(F)ccc1F ZINC000358933784 188328629 /nfs/dbraw/zinc/32/86/29/188328629.db2.gz KCFGNJZUIDNDTG-ZANVPECISA-N 0 3 243.297 2.632 20 0 BFADHN COc1ncc(CN2CCCC[C@H](C)C2)s1 ZINC000639059387 353364385 /nfs/dbraw/zinc/36/43/85/353364385.db2.gz DXSFDTAQTRTRNR-JTQLQIEISA-N 0 3 240.372 2.774 20 0 BFADHN CCN(CCC1CC1)Cc1cnc(OC)s1 ZINC000639048316 353339910 /nfs/dbraw/zinc/33/99/10/353339910.db2.gz QTBSLOFMWAIBFF-UHFFFAOYSA-N 0 3 240.372 2.774 20 0 BFADHN CC(C)C[C@@H](N[C@H](C)CCO)c1ccccn1 ZINC000151917500 188332924 /nfs/dbraw/zinc/33/29/24/188332924.db2.gz UWMGKQGRDUXFKZ-TZMCWYRMSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)(CCO)NCc1cc(Cl)ccc1F ZINC000162707410 188335415 /nfs/dbraw/zinc/33/54/15/188335415.db2.gz NDQZQYLUIRYJDH-UHFFFAOYSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@H](C)NCc1c(OC)cccc1OC ZINC000038065426 188337506 /nfs/dbraw/zinc/33/75/06/188337506.db2.gz FKVJCHIUVHFAGY-SNVBAGLBSA-N 0 3 223.316 2.592 20 0 BFADHN CC[C@H](N[C@@H](C)CCO)c1ccccc1OC ZINC000122913745 324063178 /nfs/dbraw/zinc/06/31/78/324063178.db2.gz HITWWIOTLHRRFT-AAEUAGOBSA-N 0 3 237.343 2.507 20 0 BFADHN CCC1(CNCc2c(C)noc2C)CCC1 ZINC000165580880 353394779 /nfs/dbraw/zinc/39/47/79/353394779.db2.gz DGCSEKSYBYDZMO-UHFFFAOYSA-N 0 3 222.332 2.961 20 0 BFADHN CC(C)[C@H](CCO)NCc1ccsc1Cl ZINC000309071642 188342240 /nfs/dbraw/zinc/34/22/40/188342240.db2.gz DVBJTPLGXNECTM-JTQLQIEISA-N 0 3 247.791 2.898 20 0 BFADHN CC1(C)CC[C@H]1N[C@@H](CO)c1ccsc1 ZINC000309097222 188344163 /nfs/dbraw/zinc/34/41/63/188344163.db2.gz DHGCFCXGTAJHCP-WDEREUQCSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@@H]1CCCCN([C@@H](c2nccn2C)C2CC2)C1 ZINC000639060413 353374051 /nfs/dbraw/zinc/37/40/51/353374051.db2.gz PJHUZQPTUBDQPJ-TZMCWYRMSA-N 0 3 247.386 2.993 20 0 BFADHN CC(C)[C@@](C)(CO)NCc1ccccc1Cl ZINC000309593482 188345676 /nfs/dbraw/zinc/34/56/76/188345676.db2.gz HOXLXHHQGLREDG-CYBMUJFWSA-N 0 3 241.762 2.837 20 0 BFADHN CC(C)N(Cc1cn2ccccc2n1)C(C)C ZINC000183030536 188345746 /nfs/dbraw/zinc/34/57/46/188345746.db2.gz GXUBFGYTAPHNDY-UHFFFAOYSA-N 0 3 231.343 2.953 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cnc(OC)s1 ZINC000639065518 353376133 /nfs/dbraw/zinc/37/61/33/353376133.db2.gz JJHTUFHMOUKGRQ-KOLCDFICSA-N 0 3 240.372 2.772 20 0 BFADHN CC(C)C[C@@H]1OCCC[C@@H]1NCc1ccco1 ZINC000185190823 188346557 /nfs/dbraw/zinc/34/65/57/188346557.db2.gz MBBPOSYLVHVSKH-KBPBESRZSA-N 0 3 237.343 2.963 20 0 BFADHN C/C=C/C[C@H](CO)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000185823007 188347337 /nfs/dbraw/zinc/34/73/37/188347337.db2.gz UMMWCYAXTBELTJ-BYFQHYJDSA-N 0 3 249.354 2.820 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H](C)c1cccc(OC)c1 ZINC000186500104 188347542 /nfs/dbraw/zinc/34/75/42/188347542.db2.gz AMSLEROGWLAUME-VSYHDTTKSA-N 0 3 249.354 2.673 20 0 BFADHN CC(C)(C)c1ncc(CNCCCCF)cn1 ZINC000310295963 188348405 /nfs/dbraw/zinc/34/84/05/188348405.db2.gz SNJDQYRRNDIDQV-UHFFFAOYSA-N 0 3 239.338 2.613 20 0 BFADHN C[C@H](O)c1ccc(CNC[C@H]2CCC=CO2)cc1 ZINC000194265572 188352230 /nfs/dbraw/zinc/35/22/30/188352230.db2.gz MDTBAISAAYNJSX-SWLSCSKDSA-N 0 3 247.338 2.522 20 0 BFADHN COc1ccc(CN(C)[C@H]2CC[C@@H](C)C2)nc1 ZINC000338361006 188365844 /nfs/dbraw/zinc/36/58/44/188365844.db2.gz NPIYOAKUIVWBDE-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](C)c2ccccn2)C1(C)C ZINC000085379960 538116587 /nfs/dbraw/zinc/11/65/87/538116587.db2.gz WRODRGPJNJCPGP-UBHSHLNASA-N 0 3 248.370 2.936 20 0 BFADHN CC(C)N(C)C[C@H]1OCCc2ccccc21 ZINC000263772680 188370795 /nfs/dbraw/zinc/37/07/95/188370795.db2.gz WVIKCOVEFPYYAH-CQSZACIVSA-N 0 3 219.328 2.641 20 0 BFADHN CC(C)N(CCOc1ccccc1)[C@@H]1CCOC1 ZINC000291998952 188390269 /nfs/dbraw/zinc/39/02/69/188390269.db2.gz XHBBSTICQCCIIK-CQSZACIVSA-N 0 3 249.354 2.565 20 0 BFADHN COc1ccc(CN2C[C@@H](C)C[C@H](C)C2)nc1 ZINC000272087159 188377763 /nfs/dbraw/zinc/37/77/63/188377763.db2.gz IJIKDJDDMOEORZ-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN CC(C)[C@H](N[C@H](C)c1ccccn1)C(C)(C)O ZINC000276726381 188380397 /nfs/dbraw/zinc/38/03/97/188380397.db2.gz DRLUWIKLHVNKLX-YPMHNXCESA-N 0 3 236.359 2.528 20 0 BFADHN Cc1nc(F)ccc1CN1CCCC[C@@H]1C ZINC000279596010 188382160 /nfs/dbraw/zinc/38/21/60/188382160.db2.gz VWLGXHPDEFYGLN-JTQLQIEISA-N 0 3 222.307 2.904 20 0 BFADHN C[C@@H]1CN(CC2CCSCC2)[C@H](C)[C@H](C)O1 ZINC000639086752 353428826 /nfs/dbraw/zinc/42/88/26/353428826.db2.gz ZMOLBTIDWMNUBS-UTUOFQBUSA-N 0 3 243.416 2.627 20 0 BFADHN C[C@H](NCc1cc[nH]c1)c1ccsc1 ZINC000085579850 538117438 /nfs/dbraw/zinc/11/74/38/538117438.db2.gz HJLBQILHFPOPFJ-VIFPVBQESA-N 0 3 206.314 2.927 20 0 BFADHN CC(C)N(C)Cc1ccnn1-c1ccccc1 ZINC000279802487 188383991 /nfs/dbraw/zinc/38/39/91/188383991.db2.gz KBHZMIIDXZRXBC-UHFFFAOYSA-N 0 3 229.327 2.713 20 0 BFADHN CC(C)[C@H](O)CN(C)Cc1ccc(Cl)cc1 ZINC000283969003 188385568 /nfs/dbraw/zinc/38/55/68/188385568.db2.gz IJZOPTNZMDCDDN-CYBMUJFWSA-N 0 3 241.762 2.789 20 0 BFADHN CC(C)(CC(F)(F)F)NCc1cc[nH]c1 ZINC000308827218 538117496 /nfs/dbraw/zinc/11/74/96/538117496.db2.gz ANHQXZKCDUJAMO-UHFFFAOYSA-N 0 3 220.238 2.835 20 0 BFADHN Cc1ccnc([C@@H](C)NC[C@H](C)N(C)C2CC2)c1 ZINC000285908576 188386813 /nfs/dbraw/zinc/38/68/13/188386813.db2.gz DDJPNXGQGKFSAH-QWHCGFSZSA-N 0 3 247.386 2.523 20 0 BFADHN c1cc(CN2CC[C@H]3CCC[C@H]32)sn1 ZINC000639088124 353438927 /nfs/dbraw/zinc/43/89/27/353438927.db2.gz GMWFUGPCNHYQSG-MWLCHTKSSA-N 0 3 208.330 2.518 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN[C@H]1CCC1(C)C ZINC000342197155 188399423 /nfs/dbraw/zinc/39/94/23/188399423.db2.gz BSURHPJEZWXYGW-NSHDSACASA-N 0 3 235.375 2.985 20 0 BFADHN Fc1ccc(Cl)cc1CNC[C@@H]1CCCO1 ZINC000037927430 180972843 /nfs/dbraw/zinc/97/28/43/180972843.db2.gz PWBPNMAUGKEBKR-NSHDSACASA-N 0 3 243.709 2.748 20 0 BFADHN CC[C@@H]1CN(Cc2cccc(N)c2)CCS1 ZINC000160985260 188402383 /nfs/dbraw/zinc/40/23/83/188402383.db2.gz DNIUFOGSSSIDIW-CYBMUJFWSA-N 0 3 236.384 2.596 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CC[C@@H]2CCC[C@H]21 ZINC000639094175 353471553 /nfs/dbraw/zinc/47/15/53/353471553.db2.gz PJKKYCISHVOFRD-YUTCNCBUSA-N 0 3 245.370 2.746 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC[C@@H](O)CC2)o1 ZINC000294111256 188392202 /nfs/dbraw/zinc/39/22/02/188392202.db2.gz SQSPXJYVFOETMT-YRGRVCCFSA-N 0 3 249.354 2.750 20 0 BFADHN CC(C)[C@@H]1N(Cc2ccccn2)CC1(C)C ZINC000294075816 188392539 /nfs/dbraw/zinc/39/25/39/188392539.db2.gz YRELALREBNVHKA-ZDUSSCGKSA-N 0 3 218.344 2.948 20 0 BFADHN CCc1nn(C)cc1CN1CCC[C@](C)(CC)C1 ZINC000180288798 538117683 /nfs/dbraw/zinc/11/76/83/538117683.db2.gz MDOVNAQTLGRBAM-HNNXBMFYSA-N 0 3 249.402 2.995 20 0 BFADHN CO[C@@](C)(CN(C)Cc1ccc(C)cn1)C1CC1 ZINC000639098872 353506055 /nfs/dbraw/zinc/50/60/55/353506055.db2.gz ZPBXSXHUBBZKCM-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2C[C@H](C)[C@@H]2C)o1 ZINC000336778713 353524409 /nfs/dbraw/zinc/52/44/09/353524409.db2.gz MCCOSGUVYIDSJI-ATZCPNFKSA-N 0 3 222.332 2.680 20 0 BFADHN CCC[C@@H](CCO)CNCc1ccccc1F ZINC000231391984 353603628 /nfs/dbraw/zinc/60/36/28/353603628.db2.gz JLDGWYJYNOXNKV-LBPRGKRZSA-N 0 3 239.334 2.714 20 0 BFADHN Cc1cc(CN2CCS[C@@H](C)CC2)sn1 ZINC000639105171 353600759 /nfs/dbraw/zinc/60/07/59/353600759.db2.gz OLZYRQYNFGTFKP-JTQLQIEISA-N 0 3 242.413 2.779 20 0 BFADHN Cc1cccnc1CN1CC=C(C)CC1 ZINC000334515152 353601619 /nfs/dbraw/zinc/60/16/19/353601619.db2.gz ILISDFDVYZJCKQ-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN c1cncc(CN2CC(C3CCCC3)C2)c1 ZINC000334516236 353622175 /nfs/dbraw/zinc/62/21/75/353622175.db2.gz DFCVORIWFSVVMZ-UHFFFAOYSA-N 0 3 216.328 2.704 20 0 BFADHN Fc1ccc2c(c1)[C@@H](N[C@@H]1CCOC1)CCC2 ZINC000279922845 180989594 /nfs/dbraw/zinc/98/95/94/180989594.db2.gz XMAPTKSPURQRHU-OCCSQVGLSA-N 0 3 235.302 2.582 20 0 BFADHN C[C@H](NC1CC(C)(F)C1)c1cccnc1 ZINC000334518946 353653004 /nfs/dbraw/zinc/65/30/04/353653004.db2.gz GQCGDRHVEIUSCA-GCVQQVDUSA-N 0 3 208.280 2.623 20 0 BFADHN Cc1ccnc(CN[C@]2(C)CCCC[C@H]2C)n1 ZINC000334516374 353612921 /nfs/dbraw/zinc/61/29/21/353612921.db2.gz IEEQWRUZFXZCQV-BXUZGUMPSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1ccnc(CN[C@@]2(C)CCCC[C@H]2C)n1 ZINC000334516376 353613952 /nfs/dbraw/zinc/61/39/52/353613952.db2.gz IEEQWRUZFXZCQV-RISCZKNCSA-N 0 3 233.359 2.843 20 0 BFADHN Fc1cccc([C@H]2CCN(Cc3cnc[nH]3)C2)c1 ZINC000280585752 181004172 /nfs/dbraw/zinc/00/41/72/181004172.db2.gz XFXUFOJVIHLFQR-LBPRGKRZSA-N 0 3 245.301 2.538 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@@H]1CC[C@@H](C)O1 ZINC000227047425 491054348 /nfs/dbraw/zinc/05/43/48/491054348.db2.gz FKZFONCLFLWSQS-PTRXPTGYSA-N 0 3 238.331 2.510 20 0 BFADHN Fc1cccc2c1CCN(CC[C@@H]1CCCO1)C2 ZINC000276172154 181004892 /nfs/dbraw/zinc/00/48/92/181004892.db2.gz FNKSGUIHDSJUQM-ZDUSSCGKSA-N 0 3 249.329 2.753 20 0 BFADHN CCN(Cc1cccc2c1OCCO2)C1CCC1 ZINC000353809135 353716905 /nfs/dbraw/zinc/71/69/05/353716905.db2.gz TXONTEWXWUHDMY-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN CC[C@H](C)[C@H]1CCCCN1Cc1cc[nH]n1 ZINC000353809118 353717184 /nfs/dbraw/zinc/71/71/84/353717184.db2.gz TVLRTZMWLVJUSU-WCQYABFASA-N 0 3 221.348 2.810 20 0 BFADHN Cc1scc(CN2C[C@@H](O)C(C)(C)C2)c1C ZINC000639118309 353751957 /nfs/dbraw/zinc/75/19/57/353751957.db2.gz XICFRUDQSBIEAI-GFCCVEGCSA-N 0 3 239.384 2.568 20 0 BFADHN Cc1ncc([C@@H](C)N2[C@H](C)C[C@@H]2C)c(C)n1 ZINC000639119381 353755692 /nfs/dbraw/zinc/75/56/92/353755692.db2.gz AMSZUYHPZJAHLV-WCABBAIRSA-N 0 3 219.332 2.637 20 0 BFADHN Fc1ccccc1CN[C@@H]1CC[C@H](F)C1 ZINC000308855989 181013417 /nfs/dbraw/zinc/01/34/17/181013417.db2.gz OXCOJRBRUJGLLS-WDEREUQCSA-N 0 3 211.255 2.806 20 0 BFADHN CCC(=O)CCCN(C)Cc1ccc(OC)cc1 ZINC000232841029 353769251 /nfs/dbraw/zinc/76/92/51/353769251.db2.gz BHHMQXNJEAXOLJ-UHFFFAOYSA-N 0 3 249.354 2.886 20 0 BFADHN COc1cc2c(cc1OC)[C@H](N1CC(C)C1)CC2 ZINC000639122486 353777003 /nfs/dbraw/zinc/77/70/03/353777003.db2.gz BEWWMNXTDDWHCY-CYBMUJFWSA-N 0 3 247.338 2.643 20 0 BFADHN C[C@H](NC[C@]1(C)CCCC[C@@H]1O)c1ccoc1 ZINC000178280189 366112117 /nfs/dbraw/zinc/11/21/17/366112117.db2.gz KBGSPFNPUQOZRD-UBHSHLNASA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](NC[C@@H](CO)c1ccccc1)c1ccoc1 ZINC000178315778 366113368 /nfs/dbraw/zinc/11/33/68/366113368.db2.gz XKTLVBMOPOGKJE-WFASDCNBSA-N 0 3 245.322 2.706 20 0 BFADHN Fc1ccccc1C1(NC[C@@H]2CCCOC2)CC1 ZINC000341855971 181010748 /nfs/dbraw/zinc/01/07/48/181010748.db2.gz QRZAIBVDGHCRGO-LBPRGKRZSA-N 0 3 249.329 2.831 20 0 BFADHN Fc1ccccc1CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000284829830 181011419 /nfs/dbraw/zinc/01/14/19/181011419.db2.gz QJJBORHEBOHTNV-HUUCEWRRSA-N 0 3 249.329 2.969 20 0 BFADHN Fc1cncc(CN2CCC[C@H]2C2CCC2)c1 ZINC000275098937 181019627 /nfs/dbraw/zinc/01/96/27/181019627.db2.gz BNGOMXXUTWFFAG-AWEZNQCLSA-N 0 3 234.318 2.985 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)N(C2CC2)C1)c1ccoc1 ZINC000178384898 366118745 /nfs/dbraw/zinc/11/87/45/366118745.db2.gz QFPYRMSONHPSGR-NTZNESFSSA-N 0 3 234.343 2.555 20 0 BFADHN CCN(CC1CCC1)[C@@H](c1nccn1C)C1CC1 ZINC000639127764 353839360 /nfs/dbraw/zinc/83/93/60/353839360.db2.gz RLLHIQFKDDBDQQ-CQSZACIVSA-N 0 3 247.386 2.993 20 0 BFADHN Fc1cnccc1CN1CCC[C@H]1C1CCC1 ZINC000336227461 181020955 /nfs/dbraw/zinc/02/09/55/181020955.db2.gz ICWWBEANIMSNFX-AWEZNQCLSA-N 0 3 234.318 2.985 20 0 BFADHN Cc1ccncc1[C@H](C)NC1CC(C)(F)C1 ZINC000334520130 353883678 /nfs/dbraw/zinc/88/36/78/353883678.db2.gz MGMBBNWLNPVVNF-ZBOXLXRLSA-N 0 3 222.307 2.931 20 0 BFADHN C[C@@H](NC1CC(C)(F)C1)c1ccncc1F ZINC000334520332 353884248 /nfs/dbraw/zinc/88/42/48/353884248.db2.gz QWJXBMJBGNGYTI-YDNLMGDJSA-N 0 3 226.270 2.762 20 0 BFADHN C[C@@H](NC1CC(C)(F)C1)c1cncs1 ZINC000334520330 353884744 /nfs/dbraw/zinc/88/47/44/353884744.db2.gz QUUXJORBTUIOBM-ZNFPMYQNSA-N 0 3 214.309 2.684 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)N(C2CC2)C1)c1ccoc1 ZINC000178384875 366119552 /nfs/dbraw/zinc/11/95/52/366119552.db2.gz QFPYRMSONHPSGR-MDZLAQPJSA-N 0 3 234.343 2.555 20 0 BFADHN Cc1ccc(CCCN2CCN(C)C[C@@H]2C)cc1 ZINC000343503033 353915147 /nfs/dbraw/zinc/91/51/47/353915147.db2.gz ABUKUOPVOXOVQQ-HNNXBMFYSA-N 0 3 246.398 2.564 20 0 BFADHN CCCC[C@H](C)[C@@H](C)NCc1nncn1CC ZINC000343503486 353915980 /nfs/dbraw/zinc/91/59/80/353915980.db2.gz FCNHNQLVCGEEGG-NWDGAFQWSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1cnc([C@H](C)N2CCCCCC2)cn1 ZINC000334537959 353924921 /nfs/dbraw/zinc/92/49/21/353924921.db2.gz DKWGCLZLQPUSQR-LBPRGKRZSA-N 0 3 219.332 2.722 20 0 BFADHN CC[C@H]1CCCN([C@H](C)c2n[nH]c(C)n2)CC1 ZINC000334539383 353926726 /nfs/dbraw/zinc/92/67/26/353926726.db2.gz AFZOCZKHTNCWIV-PWSUYJOCSA-N 0 3 236.363 2.686 20 0 BFADHN CC[C@H](NC[C@@H]1CCC[C@H]1C)c1nccn1C ZINC000343532515 353929612 /nfs/dbraw/zinc/92/96/12/353929612.db2.gz HVRXBUHFIFJANN-AGIUHOORSA-N 0 3 235.375 2.897 20 0 BFADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1ccoc1 ZINC000178457251 366125759 /nfs/dbraw/zinc/12/57/59/366125759.db2.gz FWDNJHNPBPYWDL-QWHCGFSZSA-N 0 3 237.343 2.963 20 0 BFADHN CCCC[C@@H](CC)CC(=O)NC[C@H](C)N(C)C ZINC000343691163 353965305 /nfs/dbraw/zinc/96/53/05/353965305.db2.gz NDNQTBHXRWDLQL-QWHCGFSZSA-N 0 3 242.407 2.659 20 0 BFADHN c1cc(CN2CCCSCC2)nc2c1CCC2 ZINC000334541567 353976209 /nfs/dbraw/zinc/97/62/09/353976209.db2.gz RREVGTAIRYZMKJ-UHFFFAOYSA-N 0 3 248.395 2.509 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@@H](C)C(C)C ZINC000086109799 491057531 /nfs/dbraw/zinc/05/75/31/491057531.db2.gz RGUPPQFBGJNTHL-DTWKUNHWSA-N 0 3 210.321 2.987 20 0 BFADHN CCCN(CC)[C@@H](C)C(=O)N(C(C)C)C(C)C ZINC000343654542 353954963 /nfs/dbraw/zinc/95/49/63/353954963.db2.gz WACFZWQGFQLTPI-ZDUSSCGKSA-N 0 3 242.407 2.752 20 0 BFADHN CSCCCN(C)C/C=C/c1ccncc1 ZINC000343657767 353955761 /nfs/dbraw/zinc/95/57/61/353955761.db2.gz LGCGCADJOMSYAC-HWKANZROSA-N 0 3 236.384 2.780 20 0 BFADHN CC(C)(C)[C@@H](O)CNC1(c2ccccc2)CC1 ZINC000343717180 353957866 /nfs/dbraw/zinc/95/78/66/353957866.db2.gz RTXIBLYLWPOACZ-ZDUSSCGKSA-N 0 3 233.355 2.672 20 0 BFADHN COc1cncc(CN(C)C[C@H]2CC=CCC2)c1 ZINC000343675915 353961323 /nfs/dbraw/zinc/96/13/23/353961323.db2.gz BVDFYZDLNNRPLG-ZDUSSCGKSA-N 0 3 246.354 2.878 20 0 BFADHN CC[C@@H]1CN(C/C=C\c2ccncc2)CCCO1 ZINC000343678002 353961371 /nfs/dbraw/zinc/96/13/71/353961371.db2.gz MZDFYRJPMIJVBM-UYWPIULCSA-N 0 3 246.354 2.596 20 0 BFADHN CC(C)OC1CC(N2C[C@@H](C)S[C@@H](C)C2)C1 ZINC000334556041 354002004 /nfs/dbraw/zinc/00/20/04/354002004.db2.gz DWRRBKTZYUWPCC-MPEURRAXSA-N 0 3 243.416 2.768 20 0 BFADHN c1ncc(CCN2CCCC3(CC3)C2)s1 ZINC000334556765 354002462 /nfs/dbraw/zinc/00/24/62/354002462.db2.gz ORUBKVLZBLJDQN-UHFFFAOYSA-N 0 3 222.357 2.562 20 0 BFADHN Cc1nc([C@H](C)N2CCC3(C2)CCCCC3)n[nH]1 ZINC000334558093 354006036 /nfs/dbraw/zinc/00/60/36/354006036.db2.gz GXMZJESCEXHUEF-NSHDSACASA-N 0 3 248.374 2.830 20 0 BFADHN COc1cncc(CN(C)[C@@H]2CC[C@H](C)C2)c1 ZINC000343920811 354042352 /nfs/dbraw/zinc/04/23/52/354042352.db2.gz IQHGWLZHJVTOHA-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCC1CCC1 ZINC000086110468 491057590 /nfs/dbraw/zinc/05/75/90/491057590.db2.gz SYHRNBYCFNIQFR-MRVPVSSYSA-N 0 3 208.305 2.742 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCCC1CC1 ZINC000086110224 491057644 /nfs/dbraw/zinc/05/76/44/491057644.db2.gz DWRJEIFRNNEZFZ-QMMMGPOBSA-N 0 3 208.305 2.742 20 0 BFADHN Cc1nc2ccccc2nc1CN[C@H]1CC[C@@H]1C ZINC000639166697 354026596 /nfs/dbraw/zinc/02/65/96/354026596.db2.gz QPGRLOLJSOYJHQ-JQWIXIFHSA-N 0 3 241.338 2.826 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cnc(C2CC2)nc1 ZINC000334563254 354079348 /nfs/dbraw/zinc/07/93/48/354079348.db2.gz JJEZMOFYJNCUEB-PHIMTYICSA-N 0 3 231.343 2.727 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2cnccn2)CC1 ZINC000334565827 354086338 /nfs/dbraw/zinc/08/63/38/354086338.db2.gz YHNPVVFCPOHYFT-CYBMUJFWSA-N 0 3 233.359 2.735 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CCSC2)c1 ZINC000230705243 363321006 /nfs/dbraw/zinc/32/10/06/363321006.db2.gz BECFYJPAMCKXCZ-NSHDSACASA-N 0 3 225.332 2.729 20 0 BFADHN CCOCCN[C@H]1CCCc2ccc(F)cc21 ZINC000344031195 354094944 /nfs/dbraw/zinc/09/49/44/354094944.db2.gz GKPFCCVDMFHFLG-AWEZNQCLSA-N 0 3 237.318 2.829 20 0 BFADHN CO[C@@H]1[C@H](C)[C@@H](NCc2cccc(C)n2)C1(C)C ZINC000344041664 354107388 /nfs/dbraw/zinc/10/73/88/354107388.db2.gz CTCJXNMRUDDUFF-MRVWCRGKSA-N 0 3 248.370 2.539 20 0 BFADHN c1cnc(CN2CCC[C@@]3(CC=CCC3)C2)cn1 ZINC000334561420 354115528 /nfs/dbraw/zinc/11/55/28/354115528.db2.gz KJJMFZBBIHQFSW-HNNXBMFYSA-N 0 3 243.354 2.799 20 0 BFADHN C[C@@H]1CCSCCN1CCc1cncs1 ZINC000334561880 354116379 /nfs/dbraw/zinc/11/63/79/354116379.db2.gz QTLKOSTUJPJGQC-SNVBAGLBSA-N 0 3 242.413 2.513 20 0 BFADHN CCc1cc(N2CCC[C@@H]2[C@H]2CCCO2)ccn1 ZINC000334577756 354121100 /nfs/dbraw/zinc/12/11/00/354121100.db2.gz HCMKWMWFYAPLJO-HUUCEWRRSA-N 0 3 246.354 2.792 20 0 BFADHN C[C@@H]1C[C@@H](CO)CCN1C/C=C\c1ccccc1 ZINC000344163628 354168223 /nfs/dbraw/zinc/16/82/23/354168223.db2.gz LHEUDHQHWUVTOZ-IRHPPKKSSA-N 0 3 245.366 2.793 20 0 BFADHN c1nc(C2CC2)oc1CN[C@H]1CCCSC1 ZINC000449702753 202004382 /nfs/dbraw/zinc/00/43/82/202004382.db2.gz ZVYRZDZEBWNOFI-JTQLQIEISA-N 0 3 238.356 2.537 20 0 BFADHN CC(C)[C@H](C)NCc1cnc(C2CC2)o1 ZINC000449701344 202005305 /nfs/dbraw/zinc/00/53/05/202005305.db2.gz BXSAKLZALLUWLS-VIFPVBQESA-N 0 3 208.305 2.686 20 0 BFADHN CC[C@@H](C)CNCc1cnc(C2CC2)o1 ZINC000449706600 202007230 /nfs/dbraw/zinc/00/72/30/202007230.db2.gz NRONIXSPTPACJO-SECBINFHSA-N 0 3 208.305 2.688 20 0 BFADHN CC(C)CCNCc1cnc(C2CC2)o1 ZINC000449709406 202007668 /nfs/dbraw/zinc/00/76/68/202007668.db2.gz YUWZZOCEAMTJJF-UHFFFAOYSA-N 0 3 208.305 2.688 20 0 BFADHN COc1cccnc1CN[C@@H](C)C1CCCC1 ZINC000449747140 202018131 /nfs/dbraw/zinc/01/81/31/202018131.db2.gz IHYLIRKBIBTGBA-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN COCC1(CN[C@@H](C)c2ccccn2)CCCC1 ZINC000449740974 202015928 /nfs/dbraw/zinc/01/59/28/202015928.db2.gz IJQZYLYOJPCXAP-ZDUSSCGKSA-N 0 3 248.370 2.939 20 0 BFADHN COCC1(CNCc2ccco2)CCCC1 ZINC000449741980 202016811 /nfs/dbraw/zinc/01/68/11/202016811.db2.gz XISCOXUXESJYAI-UHFFFAOYSA-N 0 3 223.316 2.576 20 0 BFADHN CCOc1cccc(CNC[C@@H]2C[C@H]2C2CC2)n1 ZINC000449743459 202017089 /nfs/dbraw/zinc/01/70/89/202017089.db2.gz XXVJUTKWXCYHIU-JSGCOSHPSA-N 0 3 246.354 2.616 20 0 BFADHN C[C@H](c1noc([C@H]2CCN(C)C2)n1)C(C)(C)C ZINC000334584967 354207854 /nfs/dbraw/zinc/20/78/54/354207854.db2.gz MSEOFOOBBBNLOL-ZJUUUORDSA-N 0 3 237.347 2.638 20 0 BFADHN CCN(C[C@H](OC)C1CC1)[C@@H](C)c1cccnc1 ZINC000639178382 354253742 /nfs/dbraw/zinc/25/37/42/354253742.db2.gz KXBOSHGRVJVERI-WFASDCNBSA-N 0 3 248.370 2.890 20 0 BFADHN CCn1cncc1CN1CCCC(C)(C)C1 ZINC000334595321 354228105 /nfs/dbraw/zinc/22/81/05/354228105.db2.gz ADZCGSQCNJRRHW-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN Cc1nn(C)c(C)c1CN1CC(C(C)(C)C)C1 ZINC000334596933 354230831 /nfs/dbraw/zinc/23/08/31/354230831.db2.gz UCLIVKQWFXATPC-UHFFFAOYSA-N 0 3 235.375 2.515 20 0 BFADHN Cc1ncc(C[NH2+][C@@H]2CCCC(C)(C)C2)c(=O)[n-]1 ZINC000344336544 354232242 /nfs/dbraw/zinc/23/22/42/354232242.db2.gz STNNNVJIDJSPCX-GFCCVEGCSA-N 0 3 249.358 2.549 20 0 BFADHN C[C@H](NC1CCCCC1)c1cc2n(n1)CCC2 ZINC000334599538 354235052 /nfs/dbraw/zinc/23/50/52/354235052.db2.gz RMXWETBQZWCZBT-NSHDSACASA-N 0 3 233.359 2.813 20 0 BFADHN COCC[C@@H](Cc1ccco1)NCc1ccco1 ZINC000344347619 354238469 /nfs/dbraw/zinc/23/84/69/354238469.db2.gz FZSZCYDQXZJTPK-LBPRGKRZSA-N 0 3 249.310 2.610 20 0 BFADHN COCC[C@H](Cc1ccco1)NCc1ccco1 ZINC000344347618 354238594 /nfs/dbraw/zinc/23/85/94/354238594.db2.gz FZSZCYDQXZJTPK-GFCCVEGCSA-N 0 3 249.310 2.610 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccncc1F ZINC000334604827 354286366 /nfs/dbraw/zinc/28/63/66/354286366.db2.gz BIGFFLVLZSCIAY-LBPRGKRZSA-N 0 3 222.307 2.985 20 0 BFADHN CCc1cc(N2CCC[C@]3(CCOC3)C2)ccn1 ZINC000334619823 354309047 /nfs/dbraw/zinc/30/90/47/354309047.db2.gz MPOPAISNIYZMAJ-HNNXBMFYSA-N 0 3 246.354 2.651 20 0 BFADHN CCCCCN1CCC[C@H](NC(=O)CCC)C1 ZINC000344581498 354310217 /nfs/dbraw/zinc/31/02/17/354310217.db2.gz LAKJKKHZPKDJQU-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1n[nH]c(C)c1CN1CC2(CCC2)[C@@H]1C1CC1 ZINC000334608430 354315805 /nfs/dbraw/zinc/31/58/05/354315805.db2.gz UVJQNCVDVHLCON-AWEZNQCLSA-N 0 3 245.370 2.791 20 0 BFADHN Cc1cc(Cl)ccc1CN(C)C1CC(O)C1 ZINC000344641933 354319591 /nfs/dbraw/zinc/31/95/91/354319591.db2.gz JPDIKRZPXAZXBD-UHFFFAOYSA-N 0 3 239.746 2.604 20 0 BFADHN CC(C)C1CCC(NCc2ccon2)CC1 ZINC000086452695 491061861 /nfs/dbraw/zinc/06/18/61/491061861.db2.gz XCLDFQVJBMQKOR-UHFFFAOYSA-N 0 3 222.332 2.979 20 0 BFADHN COc1ccc2c(c1)CC[C@@H]2N[C@H](C)CF ZINC000639183831 354346946 /nfs/dbraw/zinc/34/69/46/354346946.db2.gz WARBIKDYXDDDJA-RNCFNFMXSA-N 0 3 223.291 2.630 20 0 BFADHN COc1cccnc1CNC[C@@H]1CC=CCC1 ZINC000449744902 202019051 /nfs/dbraw/zinc/01/90/51/202019051.db2.gz AIHOGZWUZAIREW-GFCCVEGCSA-N 0 3 232.327 2.536 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1ccc2c(c1)COC2 ZINC000344958417 354383582 /nfs/dbraw/zinc/38/35/82/354383582.db2.gz UNUHZWMALOTEEH-ZIAGYGMSSA-N 0 3 249.379 2.700 20 0 BFADHN CCc1ccc(CN[C@H](C)Cc2ccncc2)o1 ZINC000344778080 354363688 /nfs/dbraw/zinc/36/36/88/354363688.db2.gz QUUYYBFDFCEALB-GFCCVEGCSA-N 0 3 244.338 2.958 20 0 BFADHN Cc1ccc(CN2CCCO[C@H](CF)C2)cc1 ZINC000334645719 354434867 /nfs/dbraw/zinc/43/48/67/354434867.db2.gz JGCODEWYOPNKBA-CQSZACIVSA-N 0 3 237.318 2.555 20 0 BFADHN Cc1nc([C@@H](C)N2CCC(C)(C3CC3)CC2)n[nH]1 ZINC000334642215 354398923 /nfs/dbraw/zinc/39/89/23/354398923.db2.gz DAIOJDDKLGJVBL-SNVBAGLBSA-N 0 3 248.374 2.686 20 0 BFADHN Cc1cn[nH]c1CN1CC(C2CCCCC2)C1 ZINC000334644028 354401694 /nfs/dbraw/zinc/40/16/94/354401694.db2.gz AMCFFKWENCDKEI-UHFFFAOYSA-N 0 3 233.359 2.730 20 0 BFADHN C[C@@H]1CN(CCc2cccnc2)CCC1(F)F ZINC000334669779 354410918 /nfs/dbraw/zinc/41/09/18/354410918.db2.gz WMSNHSCDIQWUKM-LLVKDONJSA-N 0 3 240.297 2.601 20 0 BFADHN C[C@H](NC1CCC(F)(F)CC1)c1ccncn1 ZINC000334672289 354413936 /nfs/dbraw/zinc/41/39/36/354413936.db2.gz QERCGGCCLPLXOT-VIFPVBQESA-N 0 3 241.285 2.705 20 0 BFADHN Cc1cc(CNC23CCC(CC2)CC3)no1 ZINC000334648366 354439683 /nfs/dbraw/zinc/43/96/83/354439683.db2.gz UXOUYVFFCAVLIS-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN c1coc(CN2CCSC[C@@H]2C2CC2)c1 ZINC000334678788 354423204 /nfs/dbraw/zinc/42/32/04/354423204.db2.gz HJUHZPUARAZYKV-GFCCVEGCSA-N 0 3 223.341 2.607 20 0 BFADHN Oc1cccc(CN2CCSC[C@H]2C2CC2)c1 ZINC000334680067 354426214 /nfs/dbraw/zinc/42/62/14/354426214.db2.gz ZHTHRNSTZZUPJL-AWEZNQCLSA-N 0 3 249.379 2.720 20 0 BFADHN Cc1nc(CSCCN(C)C)sc1C ZINC000179169181 366216140 /nfs/dbraw/zinc/21/61/40/366216140.db2.gz YJTRNAKOGKEQTQ-UHFFFAOYSA-N 0 3 230.402 2.555 20 0 BFADHN CO[C@H]1CCN(Cc2ccsc2)C[C@@H]1C ZINC000334737180 354538263 /nfs/dbraw/zinc/53/82/63/354538263.db2.gz AKLVZTUUJJCSEE-JQWIXIFHSA-N 0 3 225.357 2.605 20 0 BFADHN CO[C@@H]1CCN(Cc2ccsc2)C[C@H]1C ZINC000334737182 354539478 /nfs/dbraw/zinc/53/94/78/354539478.db2.gz AKLVZTUUJJCSEE-ZYHUDNBSSA-N 0 3 225.357 2.605 20 0 BFADHN CO[C@@H]1CCN(Cc2cccs2)C[C@H]1C ZINC000334739402 354542839 /nfs/dbraw/zinc/54/28/39/354542839.db2.gz TUNLZNSYDZLXOG-ZYHUDNBSSA-N 0 3 225.357 2.605 20 0 BFADHN O[C@@]1(C(F)F)CCN([C@H]2/C=C/CCCCC2)C1 ZINC000334703603 354497096 /nfs/dbraw/zinc/49/70/96/354497096.db2.gz SXNUSYCWBFBXLD-IKHFIWMDSA-N 0 3 245.313 2.577 20 0 BFADHN c1csc(CN2CCC(c3c[nH]cn3)CC2)c1 ZINC000334721745 354521883 /nfs/dbraw/zinc/52/18/83/354521883.db2.gz WUVROEWLHQRKHH-UHFFFAOYSA-N 0 3 247.367 2.851 20 0 BFADHN C[C@H]1CCCC[C@]1(C)NCc1ccno1 ZINC000334797708 354552598 /nfs/dbraw/zinc/55/25/98/354552598.db2.gz LBFLXYKSWPCISX-JQWIXIFHSA-N 0 3 208.305 2.733 20 0 BFADHN CN(Cc1cccnc1)C1CC(C)(C)C1 ZINC000334798081 354553084 /nfs/dbraw/zinc/55/30/84/354553084.db2.gz UFJCTHNBEFWQHZ-UHFFFAOYSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@@H](N[C@H]1CC=CCC1)c1cc2n(n1)CCC2 ZINC000334810728 354567297 /nfs/dbraw/zinc/56/72/97/354567297.db2.gz MHGMIVSXSBYONV-NEPJUHHUSA-N 0 3 231.343 2.589 20 0 BFADHN C[C@H]1CCN(CCc2cncs2)CCS1 ZINC000334813411 354570822 /nfs/dbraw/zinc/57/08/22/354570822.db2.gz UYMYLSVZMLVZBG-JTQLQIEISA-N 0 3 242.413 2.513 20 0 BFADHN CC(C)[C@H]1N(Cc2ccc(=O)[nH]c2)CC12CCC2 ZINC000639199552 354602967 /nfs/dbraw/zinc/60/29/67/354602967.db2.gz MUGFOTIVCLSBBJ-CQSZACIVSA-N 0 3 246.354 2.798 20 0 BFADHN COc1ccnc(CN2CCC[C@H]3CCC[C@H]32)c1 ZINC000334755183 354576995 /nfs/dbraw/zinc/57/69/95/354576995.db2.gz ZGDBJAOPDMRGNW-IUODEOHRSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1cc(CN)cc(NC(=O)C2(C)CCC2)c1 ZINC000334766692 354588301 /nfs/dbraw/zinc/58/83/01/354588301.db2.gz BMEJZDPGPCHHPY-UHFFFAOYSA-N 0 3 232.327 2.582 20 0 BFADHN COCC(C)(C)CNCc1nc2ccccc2o1 ZINC000639200341 354604670 /nfs/dbraw/zinc/60/46/70/354604670.db2.gz GCBRTGMNNPGUMH-UHFFFAOYSA-N 0 3 248.326 2.590 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CS[C@H](C)C1 ZINC000639205780 354660424 /nfs/dbraw/zinc/66/04/24/354660424.db2.gz MKFJOTPUWJCEPD-SCZZXKLOSA-N 0 3 240.372 2.529 20 0 BFADHN CC[C@@H]1CCN1[C@H](c1nc[nH]n1)C1CCCCC1 ZINC000639202459 354608303 /nfs/dbraw/zinc/60/83/03/354608303.db2.gz BVSFFKWDGFDLRM-OLZOCXBDSA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@@H]1CC[N@@H+]1[C@H](c1nnc[n-]1)C1CCCCC1 ZINC000639202459 354608306 /nfs/dbraw/zinc/60/83/06/354608306.db2.gz BVSFFKWDGFDLRM-OLZOCXBDSA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@@H]1CC[N@H+]1[C@H](c1nnc[n-]1)C1CCCCC1 ZINC000639202459 354608307 /nfs/dbraw/zinc/60/83/07/354608307.db2.gz BVSFFKWDGFDLRM-OLZOCXBDSA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@@H]1CCN1[C@H](c1nnc[nH]1)C1CCCCC1 ZINC000639202459 354608309 /nfs/dbraw/zinc/60/83/09/354608309.db2.gz BVSFFKWDGFDLRM-OLZOCXBDSA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@@H]1CCN1[C@@H](c1nc[nH]n1)C1CCCCC1 ZINC000639202458 354608380 /nfs/dbraw/zinc/60/83/80/354608380.db2.gz BVSFFKWDGFDLRM-CHWSQXEVSA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@@H]1CC[N@@H+]1[C@@H](c1nnc[n-]1)C1CCCCC1 ZINC000639202458 354608383 /nfs/dbraw/zinc/60/83/83/354608383.db2.gz BVSFFKWDGFDLRM-CHWSQXEVSA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@@H]1CC[N@H+]1[C@@H](c1nnc[n-]1)C1CCCCC1 ZINC000639202458 354608384 /nfs/dbraw/zinc/60/83/84/354608384.db2.gz BVSFFKWDGFDLRM-CHWSQXEVSA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@@H]1CCN1[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000639202458 354608386 /nfs/dbraw/zinc/60/83/86/354608386.db2.gz BVSFFKWDGFDLRM-CHWSQXEVSA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@@H]1CCN1Cc1c(C)cccc1OC ZINC000639203148 354611794 /nfs/dbraw/zinc/61/17/94/354611794.db2.gz RNGWJFXVEREBHH-GFCCVEGCSA-N 0 3 219.328 2.988 20 0 BFADHN CC(C)n1cncc1CN1CC[C@H](C)[C@@H]1C ZINC000335083402 354616496 /nfs/dbraw/zinc/61/64/96/354616496.db2.gz HZRBVJSAUAIVIY-RYUDHWBXSA-N 0 3 221.348 2.694 20 0 BFADHN CC(C)n1cncc1CN1C[C@H](C)C[C@H](C)C1 ZINC000335107282 354692693 /nfs/dbraw/zinc/69/26/93/354692693.db2.gz CAEMRBHCRBJFPB-BETUJISGSA-N 0 3 235.375 2.942 20 0 BFADHN CC(C)n1cncc1CN1CCC(C)CC1 ZINC000335109096 354694980 /nfs/dbraw/zinc/69/49/80/354694980.db2.gz IYVYOOQTJRFLRH-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CCc1noc(C)c1CN[C@@H](C)[C@@H]1CC1(F)F ZINC000639207229 354671899 /nfs/dbraw/zinc/67/18/99/354671899.db2.gz ZKPNMVOAMDEVGU-XVKPBYJWSA-N 0 3 244.285 2.679 20 0 BFADHN CCc1cccc(CN(CCOC)C2CC2)c1 ZINC000345790422 354768689 /nfs/dbraw/zinc/76/86/89/354768689.db2.gz PTVSPXVOXVTQPA-UHFFFAOYSA-N 0 3 233.355 2.860 20 0 BFADHN Cc1cnn(C)c1CN(C)C1CCC(C)CC1 ZINC000335136222 354785300 /nfs/dbraw/zinc/78/53/00/354785300.db2.gz OPAFFZUQRNUMCG-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@H]1CN(Cc2cnc(C3CC3)nc2)CC[C@@H]1C ZINC000335138333 354789211 /nfs/dbraw/zinc/78/92/11/354789211.db2.gz IGXNHMXXZXKLKY-RYUDHWBXSA-N 0 3 245.370 2.832 20 0 BFADHN Cc1csc(CCN[C@H](C)c2ccoc2)n1 ZINC000087290213 491067694 /nfs/dbraw/zinc/06/76/94/491067694.db2.gz YCKHKRFNCVTNIH-SNVBAGLBSA-N 0 3 236.340 2.938 20 0 BFADHN CC[C@@H](C(=O)NCCCC(C)C)N(CC)CC ZINC000345978923 354831605 /nfs/dbraw/zinc/83/16/05/354831605.db2.gz APGDCEYSASCBGG-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN CCCC[C@H](CC)CC(=O)N[C@H](C)CN(C)C ZINC000346132824 354870077 /nfs/dbraw/zinc/87/00/77/354870077.db2.gz ISPMNSZNVLKTPR-OLZOCXBDSA-N 0 3 242.407 2.659 20 0 BFADHN CC(C)(CNCc1ccns1)c1ccccn1 ZINC000639218773 354870729 /nfs/dbraw/zinc/87/07/29/354870729.db2.gz HPGMUPCSFBHNLH-UHFFFAOYSA-N 0 3 247.367 2.606 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CCOC[C@@H]2C)C1 ZINC000346198962 354876139 /nfs/dbraw/zinc/87/61/39/354876139.db2.gz GBHCRPQATMICOC-MJBXVCDLSA-N 0 3 225.376 2.924 20 0 BFADHN CCC[C@@H](CN(C)Cc1occc1C)OC ZINC000639219243 354877303 /nfs/dbraw/zinc/87/73/03/354877303.db2.gz FPZQJMRWXLIWCM-LBPRGKRZSA-N 0 3 225.332 2.835 20 0 BFADHN F[C@H]1CC[C@H](NCc2ccc3c(n2)CCC3)C1 ZINC000335170173 354932543 /nfs/dbraw/zinc/93/25/43/354932543.db2.gz LSXWKUNQFRBTNI-RYUDHWBXSA-N 0 3 234.318 2.551 20 0 BFADHN COCCN(CCc1ccc(C)cc1)C1CC1 ZINC000346454125 354947044 /nfs/dbraw/zinc/94/70/44/354947044.db2.gz SROMLBAJTRGRFW-UHFFFAOYSA-N 0 3 233.355 2.648 20 0 BFADHN CC(C)(C)c1ccc(CN[C@@H]2CCOC2)s1 ZINC000166052253 354947873 /nfs/dbraw/zinc/94/78/73/354947873.db2.gz ZXBCCLHSUKTXFB-SNVBAGLBSA-N 0 3 239.384 2.924 20 0 BFADHN CC1(C)CC[C@@H](CNCc2ccccc2)O1 ZINC000166059607 354955544 /nfs/dbraw/zinc/95/55/44/354955544.db2.gz MOVLOJIENKMQKL-ZDUSSCGKSA-N 0 3 219.328 2.734 20 0 BFADHN OCC[C@H](N[C@H]1CCC[C@@H]1C1CC1)c1ccco1 ZINC000346587355 355002432 /nfs/dbraw/zinc/00/24/32/355002432.db2.gz ZOHIZSSTPJOAME-RDBSUJKOSA-N 0 3 249.354 2.871 20 0 BFADHN C[C@@H]1CN(CCc2cncs2)C[C@@H](C)S1 ZINC000335179039 354965809 /nfs/dbraw/zinc/96/58/09/354965809.db2.gz QDWUZHPMXOJFDN-NXEZZACHSA-N 0 3 242.413 2.511 20 0 BFADHN CCc1cccc(CN2CCN(C)[C@@H](C)[C@H]2C)c1 ZINC000346557849 354967670 /nfs/dbraw/zinc/96/76/70/354967670.db2.gz IVLLWCQBDVQWEG-UONOGXRCSA-N 0 3 246.398 2.773 20 0 BFADHN CC[C@H]1COCCN1CCc1ccc(C)cc1 ZINC000346655355 355003470 /nfs/dbraw/zinc/00/34/70/355003470.db2.gz ZDMUSDVAHRGETO-HNNXBMFYSA-N 0 3 233.355 2.648 20 0 BFADHN CC/C=C\CNC[C@@H](Cc1ccccc1)OC ZINC000639226698 355036020 /nfs/dbraw/zinc/03/60/20/355036020.db2.gz HGZVPVLOHWBYJY-UFRMUOTOSA-N 0 3 233.355 2.800 20 0 BFADHN Cc1nocc1CN[C@@H](C)C1CC(F)(F)C1 ZINC000639226744 355038004 /nfs/dbraw/zinc/03/80/04/355038004.db2.gz HQGSBOVGCTWERR-ZETCQYMHSA-N 0 3 230.258 2.506 20 0 BFADHN c1coc(CN2CC[C@@H](C3CCOCC3)C2)c1 ZINC000335206825 355042947 /nfs/dbraw/zinc/04/29/47/355042947.db2.gz RVZPDDMHPVYGJP-CYBMUJFWSA-N 0 3 235.327 2.528 20 0 BFADHN c1coc(CN2CC[C@H](C3CCOCC3)C2)c1 ZINC000335206826 355043121 /nfs/dbraw/zinc/04/31/21/355043121.db2.gz RVZPDDMHPVYGJP-ZDUSSCGKSA-N 0 3 235.327 2.528 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2cccc(C)n2)C1 ZINC000346651104 355018918 /nfs/dbraw/zinc/01/89/18/355018918.db2.gz VLHZOLTWYPFAHA-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1cc(CN2CCCC3(CCCC3)C2)n(C)n1 ZINC000335192717 355026027 /nfs/dbraw/zinc/02/60/27/355026027.db2.gz BERQTVHUYBCXBS-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN C[C@@H]1c2ccccc2CN1CCC1(O)CCC1 ZINC000335185861 355084908 /nfs/dbraw/zinc/08/49/08/355084908.db2.gz VDTDJJHBPPJAMG-GFCCVEGCSA-N 0 3 231.339 2.868 20 0 BFADHN Cc1ncc(C[NH2+][C@@H](C)CC2CCCC2)c(=O)[n-]1 ZINC000346793460 355106593 /nfs/dbraw/zinc/10/65/93/355106593.db2.gz LBZJFVSRZFBRGQ-JTQLQIEISA-N 0 3 249.358 2.549 20 0 BFADHN CCOC[C@@H](C)NC1(c2ccc(F)cc2)CC1 ZINC000346793514 355106734 /nfs/dbraw/zinc/10/67/34/355106734.db2.gz KZGJEXYRISJUKN-LLVKDONJSA-N 0 3 237.318 2.829 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc2ccccc2n1 ZINC000346797654 355107375 /nfs/dbraw/zinc/10/73/75/355107375.db2.gz SZBAOSIAMYCEKO-VXGBXAGGSA-N 0 3 244.338 2.748 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc2ccccc2n1 ZINC000346797644 355107466 /nfs/dbraw/zinc/10/74/66/355107466.db2.gz SZBAOSIAMYCEKO-NEPJUHHUSA-N 0 3 244.338 2.748 20 0 BFADHN C[C@H](NC1(c2ccccc2F)CC1)[C@@H]1CCCO1 ZINC000346800029 355108672 /nfs/dbraw/zinc/10/86/72/355108672.db2.gz QQPBRJCTXSIRQA-FZMZJTMJSA-N 0 3 249.329 2.972 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1[NH2+]Cc1cnc(C)[n-]c1=O ZINC000346803249 355109258 /nfs/dbraw/zinc/10/92/58/355109258.db2.gz FLTLHYJZNRZRDE-YPMHNXCESA-N 0 3 249.358 2.549 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCCN(C)[C@@H]2C)o1 ZINC000346810990 355121425 /nfs/dbraw/zinc/12/14/25/355121425.db2.gz SVBUYROOSCNRBY-XQQFMLRXSA-N 0 3 236.359 2.721 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1cncc(F)c1 ZINC000335187403 355086478 /nfs/dbraw/zinc/08/64/78/355086478.db2.gz DZPRGUVDLNOPDQ-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN Cc1cnc([C@H](C)N2CC(C)(C)C[C@H]2C)cn1 ZINC000335188208 355087881 /nfs/dbraw/zinc/08/78/81/355087881.db2.gz FMMOTCUYCSWTLS-NEPJUHHUSA-N 0 3 233.359 2.966 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1ccc(F)cn1 ZINC000335188248 355087918 /nfs/dbraw/zinc/08/79/18/355087918.db2.gz GKXRXUYBYOWWNG-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1ccc(C)nn1 ZINC000335231372 355091829 /nfs/dbraw/zinc/09/18/29/355091829.db2.gz KHWMZRDJGMJVAL-AAEUAGOBSA-N 0 3 219.332 2.548 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1ccccn1 ZINC000449752118 202022879 /nfs/dbraw/zinc/02/28/79/202022879.db2.gz BAHLTJQTSWEOOO-MRVWCRGKSA-N 0 3 234.343 2.690 20 0 BFADHN COC[C@H]1CCCCN1C/C=C/c1ccncc1 ZINC000449760299 202024669 /nfs/dbraw/zinc/02/46/69/202024669.db2.gz NJCIMPYBLSQVBF-MBVDDHJVSA-N 0 3 246.354 2.596 20 0 BFADHN CCc1ccc([C@H](C)NCC[C@@H]2CCOC2)o1 ZINC000346880681 355143070 /nfs/dbraw/zinc/14/30/70/355143070.db2.gz WVPYGAUIFBZQMA-NWDGAFQWSA-N 0 3 237.343 2.919 20 0 BFADHN CCc1ccc([C@@H](C)NCC[C@@H]2CCOC2)o1 ZINC000346880683 355143169 /nfs/dbraw/zinc/14/31/69/355143169.db2.gz WVPYGAUIFBZQMA-VXGBXAGGSA-N 0 3 237.343 2.919 20 0 BFADHN c1cncc([C@@H](NCCOC2CCC2)C2CC2)c1 ZINC000346958608 355168923 /nfs/dbraw/zinc/16/89/23/355168923.db2.gz IYGACVRSNAKRBS-HNNXBMFYSA-N 0 3 246.354 2.691 20 0 BFADHN Fc1ccccc1CCN1CCC12CCC2 ZINC000346922862 355155986 /nfs/dbraw/zinc/15/59/86/355155986.db2.gz UCWXUECXFRMAAU-UHFFFAOYSA-N 0 3 219.303 2.997 20 0 BFADHN C[C@H](C[C@H]1CCCO1)N[C@@H](C)c1ccccn1 ZINC000346976634 355211896 /nfs/dbraw/zinc/21/18/96/355211896.db2.gz GGIHSUQKWYZRSC-FRRDWIJNSA-N 0 3 234.343 2.690 20 0 BFADHN c1cc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)sn1 ZINC000639232847 355214335 /nfs/dbraw/zinc/21/43/35/355214335.db2.gz PXQSRXCIXZHCRE-STQMWFEESA-N 0 3 234.368 2.764 20 0 BFADHN CC(C)N(CCOC1CCC1)Cc1cccnc1 ZINC000346986319 355187210 /nfs/dbraw/zinc/18/72/10/355187210.db2.gz PXVNQDMTMXBZMX-UHFFFAOYSA-N 0 3 248.370 2.861 20 0 BFADHN FCCCN1CCC[C@H]1c1cccnc1 ZINC000347003526 355200821 /nfs/dbraw/zinc/20/08/21/355200821.db2.gz YZAVSZJETKNPOD-LBPRGKRZSA-N 0 3 208.280 2.578 20 0 BFADHN Cc1cc(C)c(CN[C@@H](C)[C@H]2CC2(F)F)cn1 ZINC000639239271 355222832 /nfs/dbraw/zinc/22/28/32/355222832.db2.gz IDHMMJZRUHWASZ-CMPLNLGQSA-N 0 3 240.297 2.832 20 0 BFADHN C[C@H](F)CCN(C)CCN(C)c1ccccc1 ZINC000352011448 134035236 /nfs/dbraw/zinc/03/52/36/134035236.db2.gz BJGVZJNZQCIMQG-ZDUSSCGKSA-N 0 3 238.350 2.803 20 0 BFADHN Cc1scc(CN2C[C@H](C)C[C@H](O)C2)c1C ZINC000639242100 355228105 /nfs/dbraw/zinc/22/81/05/355228105.db2.gz QIKFWCVLPGHGGP-RNCFNFMXSA-N 0 3 239.384 2.568 20 0 BFADHN CCc1ccc(CN(C)[C@@H]2CCSC2)cn1 ZINC000347590371 355248409 /nfs/dbraw/zinc/24/84/09/355248409.db2.gz OCHVAAKYVPBHQA-CYBMUJFWSA-N 0 3 236.384 2.581 20 0 BFADHN C[C@@H](F)CCN(C)CCN(C)c1ccccc1 ZINC000352011447 134035466 /nfs/dbraw/zinc/03/54/66/134035466.db2.gz BJGVZJNZQCIMQG-CYBMUJFWSA-N 0 3 238.350 2.803 20 0 BFADHN CC[C@H](CN(Cc1ccco1)C(C)C)OC ZINC000412974505 191344400 /nfs/dbraw/zinc/34/44/00/191344400.db2.gz BBKBJAPOXITAMX-GFCCVEGCSA-N 0 3 225.332 2.915 20 0 BFADHN CC[C@H](CN(C)Cc1sccc1C)OC ZINC000412974648 191345400 /nfs/dbraw/zinc/34/54/00/191345400.db2.gz BTFAEFPZBCDGLR-LLVKDONJSA-N 0 3 227.373 2.913 20 0 BFADHN CC[C@H](CN(C)Cc1ccccc1)OC ZINC000412975937 191347378 /nfs/dbraw/zinc/34/73/78/191347378.db2.gz GWLQSGOTBSCZCT-CYBMUJFWSA-N 0 3 207.317 2.543 20 0 BFADHN COC[C@@H]1CCCN(Cc2cccc(OC)c2)C1 ZINC000113509561 355246194 /nfs/dbraw/zinc/24/61/94/355246194.db2.gz DVLXYVIJHOOURH-CQSZACIVSA-N 0 3 249.354 2.554 20 0 BFADHN CC[C@@H](CN1CCC[C@@H]1c1ccncc1)OC ZINC000412980145 191350233 /nfs/dbraw/zinc/35/02/33/191350233.db2.gz WJFVVKWYRQBVNA-UONOGXRCSA-N 0 3 234.343 2.644 20 0 BFADHN Cn1ccnc1[C@H](NC1(C2(C)CC2)CC1)C1CC1 ZINC000639236399 355219194 /nfs/dbraw/zinc/21/91/94/355219194.db2.gz QUTWCQJQTJGOOA-GFCCVEGCSA-N 0 3 245.370 2.794 20 0 BFADHN CCC(C)(C)NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000413110749 191354600 /nfs/dbraw/zinc/35/46/00/191354600.db2.gz SSZCGZZQOUKPRA-UTUOFQBUSA-N 0 3 240.391 2.553 20 0 BFADHN COc1cc(C)nc(CN2CC[C@H]2C(C)C)c1 ZINC000347672522 355260577 /nfs/dbraw/zinc/26/05/77/355260577.db2.gz CNDLNOAYNUDZQU-AWEZNQCLSA-N 0 3 234.343 2.629 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1ccn(C(F)F)n1 ZINC000347683238 355267520 /nfs/dbraw/zinc/26/75/20/355267520.db2.gz MYFWMSWJEARAIT-SECBINFHSA-N 0 3 231.290 2.755 20 0 BFADHN CCCCCN(C(=O)[C@H](CC)N(C)C)C(C)C ZINC000413210568 191363701 /nfs/dbraw/zinc/36/37/01/191363701.db2.gz VVMQLEOULKXGIA-ZDUSSCGKSA-N 0 3 242.407 2.754 20 0 BFADHN Cc1cccc(CN2CC[C@H](C)[C@H](C)C2)n1 ZINC000347602962 355253049 /nfs/dbraw/zinc/25/30/49/355253049.db2.gz OVHZOCSQBQPXRP-NWDGAFQWSA-N 0 3 218.344 2.868 20 0 BFADHN COCC1(C)CN(Cc2csc(C)c2C)C1 ZINC000639254885 355294996 /nfs/dbraw/zinc/29/49/96/355294996.db2.gz FRLHIEOBSCFIIA-UHFFFAOYSA-N 0 3 239.384 2.833 20 0 BFADHN CCC(C)(C)CN[C@@H](C)c1cc2n(n1)CCC2 ZINC000414129673 191436820 /nfs/dbraw/zinc/43/68/20/191436820.db2.gz IMDBHTCNXRAFRR-NSHDSACASA-N 0 3 235.375 2.916 20 0 BFADHN COCC[C@@H](C)CNCc1nc2ccccc2o1 ZINC000639261327 355313576 /nfs/dbraw/zinc/31/35/76/355313576.db2.gz JGACEKZWXDIEKD-LLVKDONJSA-N 0 3 248.326 2.590 20 0 BFADHN CCCc1ncc(CNC[C@@H]2C[C@H]3C[C@H]3C2)o1 ZINC000639247943 355277162 /nfs/dbraw/zinc/27/71/62/355277162.db2.gz AZNOGGOOTYXSRT-ZSBIGDGJSA-N 0 3 234.343 2.763 20 0 BFADHN CCc1ncc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)o1 ZINC000639251314 355283986 /nfs/dbraw/zinc/28/39/86/355283986.db2.gz JEZFTAACBOLVNB-UTUOFQBUSA-N 0 3 234.343 2.763 20 0 BFADHN CCC[C@@H]1CCCCN1C(=O)[C@@H](CC)N(C)C ZINC000413690713 191405090 /nfs/dbraw/zinc/40/50/90/191405090.db2.gz TYIYKPFWKVLBMJ-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN FC(F)C1CN(C[C@@H]2CC[C@H]3C[C@H]3C2)C1 ZINC000639252317 355286913 /nfs/dbraw/zinc/28/69/13/355286913.db2.gz DYJRFZSNFVTQMQ-KXUCPTDWSA-N 0 3 215.287 2.620 20 0 BFADHN Cc1ccc(CN2CCC[C@H](OC(C)C)C2)o1 ZINC000651896770 491077573 /nfs/dbraw/zinc/07/75/73/491077573.db2.gz CBXXCZWYCDUSAL-ZDUSSCGKSA-N 0 3 237.343 2.977 20 0 BFADHN C[C@@H]1C[C@H]1CN(Cc1ccccn1)C1CC1 ZINC000349235907 355373044 /nfs/dbraw/zinc/37/30/44/355373044.db2.gz CRBUVZBDTGESOU-NEPJUHHUSA-N 0 3 216.328 2.702 20 0 BFADHN CC(C)N1CCO[C@H](C2CCCCC2)C1 ZINC000349242716 355375058 /nfs/dbraw/zinc/37/50/58/355375058.db2.gz MKFHXSQPOYTZJX-ZDUSSCGKSA-N 0 3 211.349 2.676 20 0 BFADHN CCC[C@H](NCc1cocn1)C1CCC1 ZINC000395061213 355378358 /nfs/dbraw/zinc/37/83/58/355378358.db2.gz GVVYEHQOYVPSBG-LBPRGKRZSA-N 0 3 208.305 2.733 20 0 BFADHN COC1CC(N[C@@H]2CCOc3c(C)cccc32)C1 ZINC000335753536 355392556 /nfs/dbraw/zinc/39/25/56/355392556.db2.gz APOANAVNZTXKGX-ORHYLEIMSA-N 0 3 247.338 2.586 20 0 BFADHN CC1(C)CCC[C@@H](CN2CCc3n[nH]cc3C2)C1 ZINC000623238610 355383147 /nfs/dbraw/zinc/38/31/47/355383147.db2.gz NDGQDEJZAUQWSP-GFCCVEGCSA-N 0 3 247.386 2.984 20 0 BFADHN CC1(C)CCCC[C@@H]1N1CCc2n[nH]cc2C1 ZINC000623238808 355383174 /nfs/dbraw/zinc/38/31/74/355383174.db2.gz UBZPFIGEWDXLOR-ZDUSSCGKSA-N 0 3 233.359 2.737 20 0 BFADHN CC1(C)CCCC[C@H]1N1CCc2n[nH]cc2C1 ZINC000623238807 355383429 /nfs/dbraw/zinc/38/34/29/355383429.db2.gz UBZPFIGEWDXLOR-CYBMUJFWSA-N 0 3 233.359 2.737 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccco1)[C@H](O)C(C)C ZINC000414300396 191486280 /nfs/dbraw/zinc/48/62/80/191486280.db2.gz SCAIHQKOJLIZSG-GMXVVIOVSA-N 0 3 225.332 2.726 20 0 BFADHN Cc1cc(CN[C@H](C)[C@H]2CC2(F)F)cc(C)n1 ZINC000639272047 355341504 /nfs/dbraw/zinc/34/15/04/355341504.db2.gz LWIWGXYMRULVEG-ZYHUDNBSSA-N 0 3 240.297 2.832 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1c1ccco1)[C@H]1CC1(F)F ZINC000639274781 355342599 /nfs/dbraw/zinc/34/25/99/355342599.db2.gz RBTZBOBLMHNCLW-ZYUZMQFOSA-N 0 3 227.254 2.769 20 0 BFADHN C(NC[C@@H]1C[C@H]2C[C@H]2C1)c1nnc(C2CC2)s1 ZINC000639272815 355343867 /nfs/dbraw/zinc/34/38/67/355343867.db2.gz ZXOTWXDESTWANF-GUDFOSOFSA-N 0 3 249.383 2.551 20 0 BFADHN CO[C@H](C)CNCc1ccc(SC)cc1 ZINC000191201101 355345214 /nfs/dbraw/zinc/34/52/14/355345214.db2.gz GQJQCJZLDUUHQV-SNVBAGLBSA-N 0 3 225.357 2.533 20 0 BFADHN CN([C@H](c1nccn1C)C1CC1)C(C)(C)C ZINC000639285472 355390978 /nfs/dbraw/zinc/39/09/78/355390978.db2.gz GLKWNIACYMYIFN-NSHDSACASA-N 0 3 221.348 2.602 20 0 BFADHN C[C@H]1C[C@H]1CNc1ccc(CN(C)C)cc1F ZINC000414495041 191523286 /nfs/dbraw/zinc/52/32/86/191523286.db2.gz GMFJNTJYHKUCGH-JQWIXIFHSA-N 0 3 236.334 2.955 20 0 BFADHN Cc1nnsc1CN[C@@H]1C[C@H]1CC(C)(C)C ZINC000639290022 355442317 /nfs/dbraw/zinc/44/23/17/355442317.db2.gz DVUDCMLTEWFSHX-VHSXEESVSA-N 0 3 239.388 2.761 20 0 BFADHN CC(C)=CCC[C@H](C)N1CCc2n[nH]cc2C1 ZINC000623239240 355394844 /nfs/dbraw/zinc/39/48/44/355394844.db2.gz ARLGZALCLNJVCW-LBPRGKRZSA-N 0 3 233.359 2.903 20 0 BFADHN c1[nH]nc2c1CN([C@@H]1CCCC[C@@H]1C1CC1)CC2 ZINC000623239722 355397231 /nfs/dbraw/zinc/39/72/31/355397231.db2.gz QVUFERSDHFJJKS-UKRRQHHQSA-N 0 3 245.370 2.737 20 0 BFADHN COc1ccc(CN2CCC[C@H]3CCC[C@H]32)nc1 ZINC000335797897 355455083 /nfs/dbraw/zinc/45/50/83/355455083.db2.gz RRSMFMHNZUIBPI-IUODEOHRSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1cc(CN[C@H]2CCC23CCCC3)n(C)n1 ZINC000335798385 355456494 /nfs/dbraw/zinc/45/64/94/355456494.db2.gz OKSLRENQJVOGMQ-ZDUSSCGKSA-N 0 3 233.359 2.541 20 0 BFADHN CC[C@@H]1CN(C[C@H]2C[C@@H]2c2ccccc2)CCO1 ZINC000349789188 355462742 /nfs/dbraw/zinc/46/27/42/355462742.db2.gz BELNOTHDROPTHC-BZUAXINKSA-N 0 3 245.366 2.901 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)CCO1 ZINC000349789190 355463113 /nfs/dbraw/zinc/46/31/13/355463113.db2.gz BELNOTHDROPTHC-XHSDSOJGSA-N 0 3 245.366 2.901 20 0 BFADHN CC(C)(CF)NCc1ccc(-n2ccnc2)cc1 ZINC000349802334 355465769 /nfs/dbraw/zinc/46/57/69/355465769.db2.gz NZHMXBBSRMLGRO-UHFFFAOYSA-N 0 3 247.317 2.710 20 0 BFADHN Cc1cccc(N2CCN(CCC(C)C)CC2)n1 ZINC000166441126 355468503 /nfs/dbraw/zinc/46/85/03/355468503.db2.gz SWDJCUBILQSRCN-UHFFFAOYSA-N 0 3 247.386 2.558 20 0 BFADHN C[C@@H]1CC[C@H](N2CCc3n[nH]cc3C2)C[C@H]1C ZINC000623241113 355482528 /nfs/dbraw/zinc/48/25/28/355482528.db2.gz HLRLKAKVISWHIW-WZRBSPASSA-N 0 3 233.359 2.593 20 0 BFADHN C/C=C\C[C@H](CO)NCc1ccc(C)c(F)c1 ZINC000349826861 355486169 /nfs/dbraw/zinc/48/61/69/355486169.db2.gz FDYSJRZJIUPAPH-DHCBQETCSA-N 0 3 237.318 2.551 20 0 BFADHN C[C@H](N[C@@H]1CCc2ccccc2C1)c1ccon1 ZINC000349836555 355489891 /nfs/dbraw/zinc/48/98/91/355489891.db2.gz SFYUNJFYMQOERC-SMDDNHRTSA-N 0 3 242.322 2.883 20 0 BFADHN CC[C@H]1CCN([C@@H](C)c2cnc(C)cn2)C1 ZINC000335820913 355538957 /nfs/dbraw/zinc/53/89/57/355538957.db2.gz YGXAJVAJCBVGCP-RYUDHWBXSA-N 0 3 219.332 2.578 20 0 BFADHN C[C@@H](CC1CCOCC1)CN1CC[C@@](C)(F)C1 ZINC000349959621 355539035 /nfs/dbraw/zinc/53/90/35/355539035.db2.gz DJCOAZDCGYYWKG-GXTWGEPZSA-N 0 3 243.366 2.873 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]2CC(C)C)cn1 ZINC000349960464 355540276 /nfs/dbraw/zinc/54/02/76/355540276.db2.gz BPMOSSDRHWRTQA-CQSZACIVSA-N 0 3 233.359 2.796 20 0 BFADHN Fc1ccc2c(c1)CCN(CC[C@H]1CCOC1)C2 ZINC000335822744 355542771 /nfs/dbraw/zinc/54/27/71/355542771.db2.gz DPFOYILNRHCMCO-LBPRGKRZSA-N 0 3 249.329 2.610 20 0 BFADHN Oc1ccc2c(c1)CN(C[C@H]1CCSC1)CC2 ZINC000335829824 355556119 /nfs/dbraw/zinc/55/61/19/355556119.db2.gz SSTYTRHORDUOSG-LLVKDONJSA-N 0 3 249.379 2.503 20 0 BFADHN CCN(CCOC)Cc1ccc(Cl)c(F)c1 ZINC000349861322 355509454 /nfs/dbraw/zinc/50/94/54/355509454.db2.gz OEYBTASWCOFUDV-UHFFFAOYSA-N 0 3 245.725 2.947 20 0 BFADHN CCn1nc(C)c(CN(C)CC(C)C)c1C ZINC000349877537 355515870 /nfs/dbraw/zinc/51/58/70/355515870.db2.gz SQAOJFOYWMINTP-UHFFFAOYSA-N 0 3 223.364 2.608 20 0 BFADHN CCCC[C@@H](C)[C@H](C)N1CCc2n[nH]cc2C1 ZINC000623243168 355577351 /nfs/dbraw/zinc/57/73/51/355577351.db2.gz WRPKXFOJTOGGIU-NEPJUHHUSA-N 0 3 235.375 2.983 20 0 BFADHN c1[nH]nc2c1CN(C1CC3(C1)CCCCC3)CC2 ZINC000623243712 355614691 /nfs/dbraw/zinc/61/46/91/355614691.db2.gz LPPZPBZKDFOBGF-UHFFFAOYSA-N 0 3 245.370 2.881 20 0 BFADHN COc1cccc(C)c1CN[C@@H]1COC(C)(C)C1 ZINC000639301693 355641432 /nfs/dbraw/zinc/64/14/32/355641432.db2.gz ADDASUNKUICWPU-LBPRGKRZSA-N 0 3 249.354 2.661 20 0 BFADHN CCCN(CCO)Cc1cc(C)cc(Cl)c1 ZINC000350239122 355654876 /nfs/dbraw/zinc/65/48/76/355654876.db2.gz GQZBUTZQHYNILC-UHFFFAOYSA-N 0 3 241.762 2.853 20 0 BFADHN NC(=O)[C@@H]1CCCN1C[C@@H]1CCCCC1(F)F ZINC000336193927 181203868 /nfs/dbraw/zinc/20/38/68/181203868.db2.gz DKVBJHICTNIWDY-UWVGGRQHSA-N 0 3 246.301 2.812 20 0 BFADHN CC(C)(O)CCN1Cc2ccccc2C2(CC2)C1 ZINC000336077743 535287194 /nfs/dbraw/zinc/28/71/94/535287194.db2.gz CHNOGPAGNLEXEP-UHFFFAOYSA-N 0 3 245.366 2.695 20 0 BFADHN COc1cncc(CN2C[C@@H]3CCCC[C@@H]32)c1C ZINC000639302564 355632943 /nfs/dbraw/zinc/63/29/43/355632943.db2.gz NBYRFVBFIOOFBN-JSGCOSHPSA-N 0 3 246.354 2.773 20 0 BFADHN F[C@@H]1CCCN(C[C@@H]2CC[C@H]3C[C@H]3C2)C1 ZINC000639313666 355692172 /nfs/dbraw/zinc/69/21/72/355692172.db2.gz VIKBLNPCLBZTMX-YVECIDJPSA-N 0 3 211.324 2.857 20 0 BFADHN CCC[C@H](C)N(C)C(=O)c1ccc2c(c1)CNC2 ZINC000166558526 355694268 /nfs/dbraw/zinc/69/42/68/355694268.db2.gz WRLPAUXBDACMBV-NSHDSACASA-N 0 3 246.354 2.550 20 0 BFADHN C[C@H](NC[C@@H]1CCCC1(C)C)c1ccncn1 ZINC000389120147 355696325 /nfs/dbraw/zinc/69/63/25/355696325.db2.gz OJKGMCYQWHTEKV-RYUDHWBXSA-N 0 3 233.359 2.954 20 0 BFADHN CC[C@@H]1C[C@H](CN(C)Cc2ccccn2)CCO1 ZINC000350390730 355701787 /nfs/dbraw/zinc/70/17/87/355701787.db2.gz KZWPZWJVZZOCGL-UKRRQHHQSA-N 0 3 248.370 2.719 20 0 BFADHN CC(C)(F)CCNCc1cccc(F)c1N ZINC000639316981 355703937 /nfs/dbraw/zinc/70/39/37/355703937.db2.gz NUIWVIZAUGWGLJ-UHFFFAOYSA-N 0 3 228.286 2.636 20 0 BFADHN Cc1ncsc1CN1CC(C)=C[C@H](C)C1 ZINC000336007341 134049580 /nfs/dbraw/zinc/04/95/80/134049580.db2.gz YSVXHAWLDWPAFV-VIFPVBQESA-N 0 3 222.357 2.850 20 0 BFADHN CC[C@](C)(NCc1snnc1C)C1CC1 ZINC000639316393 355708194 /nfs/dbraw/zinc/70/81/94/355708194.db2.gz IMIBPAMAUHYAEG-NSHDSACASA-N 0 3 225.361 2.515 20 0 BFADHN CCCCN1C[C@@H](CC)N(C(C)=O)C[C@@H]1CC ZINC000350471232 355710665 /nfs/dbraw/zinc/71/06/65/355710665.db2.gz AJOUMDMDLYCOHN-UONOGXRCSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2cc(OC)no2)C1 ZINC000639323394 355716693 /nfs/dbraw/zinc/71/66/93/355716693.db2.gz BPKGTZVWMHBIHD-QWRGUYRKSA-N 0 3 238.331 2.742 20 0 BFADHN COc1cc(CN[C@H](C)CCC(C)(C)C)on1 ZINC000639323609 355717329 /nfs/dbraw/zinc/71/73/29/355717329.db2.gz FEDPUHMHXFYXCM-SNVBAGLBSA-N 0 3 240.347 2.988 20 0 BFADHN COc1cc(CN[C@H]2CCCC(C)(C)C2)on1 ZINC000639323974 355718130 /nfs/dbraw/zinc/71/81/30/355718130.db2.gz HTICRTBPKBZOEB-JTQLQIEISA-N 0 3 238.331 2.742 20 0 BFADHN COc1cc(CNC[C@@H]2CCC[C@@H](C)C2)on1 ZINC000639324349 355719106 /nfs/dbraw/zinc/71/91/06/355719106.db2.gz LGDDXJYCGWTBKU-GHMZBOCLSA-N 0 3 238.331 2.599 20 0 BFADHN Cc1ccc(CN2C[C@H]3COC[C@]3(C)C2)c(F)c1 ZINC000639319496 355719997 /nfs/dbraw/zinc/71/99/97/355719997.db2.gz HKQCHDLSYDFEJK-ZFWWWQNUSA-N 0 3 249.329 2.602 20 0 BFADHN CC(C)n1cc(CN2CC[C@@H]3CCC[C@@H]32)cn1 ZINC000335893417 355687009 /nfs/dbraw/zinc/68/70/09/355687009.db2.gz NZDYJPLYRZXWLU-KBPBESRZSA-N 0 3 233.359 2.838 20 0 BFADHN Cc1cnc([C@H](C)N2CCC[C@@H](C3CC3)C2)cn1 ZINC000335893823 355687368 /nfs/dbraw/zinc/68/73/68/355687368.db2.gz LQNYXDMRTDPJTC-GXTWGEPZSA-N 0 3 245.370 2.968 20 0 BFADHN CC(C)n1cc(CN2CC[C@H]3CCC[C@H]32)cn1 ZINC000335893420 355687567 /nfs/dbraw/zinc/68/75/67/355687567.db2.gz NZDYJPLYRZXWLU-ZIAGYGMSSA-N 0 3 233.359 2.838 20 0 BFADHN COC[C@H]1CCCCN1Cc1ccc(C)o1 ZINC000449761426 202027155 /nfs/dbraw/zinc/02/71/55/202027155.db2.gz XLKABTNCEICUSY-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN C[C@@H](CCCC(F)(F)F)NCc1cc[nH]n1 ZINC000166666737 355743590 /nfs/dbraw/zinc/74/35/90/355743590.db2.gz CWTJJYFEHBKCTK-QMMMGPOBSA-N 0 3 235.253 2.620 20 0 BFADHN C[C@H](CCCC(F)(F)F)NCc1cc[nH]n1 ZINC000166666846 355743773 /nfs/dbraw/zinc/74/37/73/355743773.db2.gz CWTJJYFEHBKCTK-MRVPVSSYSA-N 0 3 235.253 2.620 20 0 BFADHN CCCCN1CCc2cc(OC)c(OC)cc2C1 ZINC000033641868 355750258 /nfs/dbraw/zinc/75/02/58/355750258.db2.gz ODQYFKGATDNAAJ-UHFFFAOYSA-N 0 3 249.354 2.862 20 0 BFADHN CC(C)=CCNCc1cnn(CC(C)C)c1 ZINC000191628243 355754595 /nfs/dbraw/zinc/75/45/95/355754595.db2.gz MTOJJFGVJZYCJO-UHFFFAOYSA-N 0 3 221.348 2.595 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1ccc(Cl)nc1 ZINC000191640683 355759021 /nfs/dbraw/zinc/75/90/21/355759021.db2.gz VQOQAIJUCAUTMQ-IVZWLZJFSA-N 0 3 242.750 2.809 20 0 BFADHN COc1cccc(CNC[C@H](OC)C2CC2)c1C ZINC000639332462 355759034 /nfs/dbraw/zinc/75/90/34/355759034.db2.gz KAMHRHRLPAQEPU-HNNXBMFYSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CC2(C)C)sn1 ZINC000639336637 355772176 /nfs/dbraw/zinc/77/21/76/355772176.db2.gz DUMZVCBOPGBLRM-SNVBAGLBSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)[C@H]1CC[C@H](C)C1 ZINC000336021981 134055931 /nfs/dbraw/zinc/05/59/31/134055931.db2.gz OKXTXKGXNIZBEN-GWCFXTLKSA-N 0 3 235.375 2.657 20 0 BFADHN CC[C@@H]([C@@H]1CC1(C)C)N1CCc2n[nH]cc2C1 ZINC000639344500 355780930 /nfs/dbraw/zinc/78/09/30/355780930.db2.gz VWRZMXXGPICMKM-AAEUAGOBSA-N 0 3 233.359 2.593 20 0 BFADHN CC1(c2ccccc2)CN(CCC[C@H]2CCO2)C1 ZINC000639340641 355781558 /nfs/dbraw/zinc/78/15/58/355781558.db2.gz XWGJLHLAIUSQTB-HNNXBMFYSA-N 0 3 245.366 2.829 20 0 BFADHN c1coc(CC2CN(CCC3CCOCC3)C2)c1 ZINC000639348580 355789737 /nfs/dbraw/zinc/78/97/37/355789737.db2.gz BSCDABFJDWZHCI-UHFFFAOYSA-N 0 3 249.354 2.571 20 0 BFADHN CCN(CC)Cc1ccc(-c2ccccn2)nc1 ZINC000425383198 191832648 /nfs/dbraw/zinc/83/26/48/191832648.db2.gz KIQFKAOEKMEOPO-UHFFFAOYSA-N 0 3 241.338 2.985 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCCC23CC3)o1 ZINC000639355990 355809906 /nfs/dbraw/zinc/80/99/06/355809906.db2.gz CWVTYBBXSWFILD-NSHDSACASA-N 0 3 220.316 2.653 20 0 BFADHN Cn1cccc1CN1CC(c2ccccc2)C1 ZINC000639361510 355815591 /nfs/dbraw/zinc/81/55/91/355815591.db2.gz FNSUSQDBWWYIOP-UHFFFAOYSA-N 0 3 226.323 2.625 20 0 BFADHN COc1cc(CN[C@H]2CCCC23CC3)sn1 ZINC000639361314 355815635 /nfs/dbraw/zinc/81/56/35/355815635.db2.gz XCQLNHKYTYVUGC-JTQLQIEISA-N 0 3 238.356 2.574 20 0 BFADHN CCOC1CC(CN2CC(c3ccccc3)C2)C1 ZINC000639361794 355816672 /nfs/dbraw/zinc/81/66/72/355816672.db2.gz RXBJQBFABMETPV-UHFFFAOYSA-N 0 3 245.366 2.901 20 0 BFADHN c1ccc(CN2C3CCCC2CCC3)nc1 ZINC000608498817 355826927 /nfs/dbraw/zinc/82/69/27/355826927.db2.gz ACUADDPBMAZGNH-UHFFFAOYSA-N 0 3 216.328 2.989 20 0 BFADHN COC[C@H](C)N[C@H](C)c1nccc2ccccc21 ZINC000353250222 355851109 /nfs/dbraw/zinc/85/11/09/355851109.db2.gz FLFCNURUIGSACR-NWDGAFQWSA-N 0 3 244.338 2.920 20 0 BFADHN CC(C)[C@@H]1CC[C@H](NCc2ncc[nH]2)C[C@@H]1C ZINC000639373214 355877033 /nfs/dbraw/zinc/87/70/33/355877033.db2.gz YPZDTWVQMGGLJD-AVGNSLFASA-N 0 3 235.375 2.960 20 0 BFADHN C[C@H](c1ccncc1)N1C[C@@H](C)S[C@H](C)C1 ZINC000608502020 355829393 /nfs/dbraw/zinc/82/93/93/355829393.db2.gz HQNKVFKLPRTSSQ-IJLUTSLNSA-N 0 3 236.384 2.968 20 0 BFADHN FCCC1CCN(Cc2ccco2)CC1 ZINC000639380859 355919631 /nfs/dbraw/zinc/91/96/31/355919631.db2.gz IHURLZZJQBFMFI-UHFFFAOYSA-N 0 3 211.280 2.851 20 0 BFADHN FCCC1CCN(Cc2ccccn2)CC1 ZINC000639380797 355919931 /nfs/dbraw/zinc/91/99/31/355919931.db2.gz HYUQPWLHQANWGD-UHFFFAOYSA-N 0 3 222.307 2.653 20 0 BFADHN Cn1ccnc1[C@H](N[C@@]12C[C@@H]1CCCC2)C1CC1 ZINC000639380739 355919981 /nfs/dbraw/zinc/91/99/81/355919981.db2.gz VHWDNIZMNBYVQJ-GUTXKFCHSA-N 0 3 245.370 2.794 20 0 BFADHN CCC(C)(C)CCNCc1cnc(C)o1 ZINC000639381889 355924740 /nfs/dbraw/zinc/92/47/40/355924740.db2.gz SGHDRAGTJSYYNM-UHFFFAOYSA-N 0 3 210.321 2.899 20 0 BFADHN Cc1ncccc1CN1CCC(CCF)CC1 ZINC000639376373 355898655 /nfs/dbraw/zinc/89/86/55/355898655.db2.gz GQSRUAMCZRLHQQ-UHFFFAOYSA-N 0 3 236.334 2.962 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCN(C)C[C@H]1c1ccccc1 ZINC000351414385 355987654 /nfs/dbraw/zinc/98/76/54/355987654.db2.gz MYHPYNITYMBHDN-KBMXLJTQSA-N 0 3 244.382 2.631 20 0 BFADHN Cc1nc([C@H](C)N[C@H](C)CCCO)c(C)s1 ZINC000087358383 491086317 /nfs/dbraw/zinc/08/63/17/491086317.db2.gz FYRLOQJVAUOXFN-BDAKNGLRSA-N 0 3 242.388 2.572 20 0 BFADHN Cc1sccc1CN1CCSC[C@@H]1C ZINC000351588278 356022961 /nfs/dbraw/zinc/02/29/61/356022961.db2.gz GSWIXIOHGORTSW-VIFPVBQESA-N 0 3 227.398 2.994 20 0 BFADHN CC[C@H](CN1CCOC[C@H]1C)c1ccccc1 ZINC000351871876 356105037 /nfs/dbraw/zinc/10/50/37/356105037.db2.gz ARVSSWYFKHKLHL-ZIAGYGMSSA-N 0 3 233.355 2.901 20 0 BFADHN C[C@H](CN(C)Cc1cnc2n1CCC2)C(C)(C)C ZINC000639398346 356115425 /nfs/dbraw/zinc/11/54/25/356115425.db2.gz JQBLZAODGAAPBC-GFCCVEGCSA-N 0 3 249.402 2.943 20 0 BFADHN CC[C@@]1(C)CCN([C@@H](CC(C)C)C(=O)OC)C1 ZINC000351882410 356124134 /nfs/dbraw/zinc/12/41/34/356124134.db2.gz PJMCJFABOSMPPK-JSGCOSHPSA-N 0 3 241.375 2.696 20 0 BFADHN CO[C@H](CNCc1ccccc1F)CC(C)C ZINC000418091245 191966284 /nfs/dbraw/zinc/96/62/84/191966284.db2.gz DSEVRLSLZHTEJX-ZDUSSCGKSA-N 0 3 239.334 2.976 20 0 BFADHN COC(=O)[C@@H](CC(C)C)N1CCCC[C@H](C)C1 ZINC000351821781 356090544 /nfs/dbraw/zinc/09/05/44/356090544.db2.gz IJZFHDUGLBUJBW-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN Fc1cc(F)c(CNC[C@H]2CC23CC3)cc1F ZINC000418104077 191972099 /nfs/dbraw/zinc/97/20/99/191972099.db2.gz WQGUNVCQAMVHEK-SECBINFHSA-N 0 3 241.256 2.994 20 0 BFADHN C[C@H](F)CCN1CCN(CCC(C)(C)C)CC1 ZINC000351894726 356162416 /nfs/dbraw/zinc/16/24/16/356162416.db2.gz DIQJJFRVCFKOSX-ZDUSSCGKSA-N 0 3 244.398 2.788 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CNCc1ccon1 ZINC000134856762 491087677 /nfs/dbraw/zinc/08/76/77/491087677.db2.gz ZCNANOFISRLEFN-GHMZBOCLSA-N 0 3 208.305 2.591 20 0 BFADHN C[C@H](CN(C)Cc1cnn2c1CCC2)C(C)(C)C ZINC000639399786 356131353 /nfs/dbraw/zinc/13/13/53/356131353.db2.gz UKTNTNCXPUHXKR-GFCCVEGCSA-N 0 3 249.402 2.943 20 0 BFADHN CCN(CCc1cnccn1)Cc1cccs1 ZINC000639433562 356210348 /nfs/dbraw/zinc/21/03/48/356210348.db2.gz COBWXSYAWILRTE-UHFFFAOYSA-N 0 3 247.367 2.603 20 0 BFADHN C[C@@H]1OCC[C@H]1CN(C)Cc1ccccc1F ZINC000639435001 356214230 /nfs/dbraw/zinc/21/42/30/356214230.db2.gz FFOSVMYQTRGZCR-RYUDHWBXSA-N 0 3 237.318 2.683 20 0 BFADHN Cc1cc(C)c(CN(C)C2CC2)c(C)n1 ZINC000639452247 356232764 /nfs/dbraw/zinc/23/27/64/356232764.db2.gz OLASGAKSXITGDO-UHFFFAOYSA-N 0 3 204.317 2.601 20 0 BFADHN COCC(C)(C)NCc1c(C)cc(C)nc1C ZINC000639471617 356260929 /nfs/dbraw/zinc/26/09/29/356260929.db2.gz QYYWQRJKYALRGX-UHFFFAOYSA-N 0 3 236.359 2.521 20 0 BFADHN Cc1cc(CN2C[C@H](C)CCC[C@H]2C)ncn1 ZINC000336112420 134087460 /nfs/dbraw/zinc/08/74/60/134087460.db2.gz YTKMMGUHTXZZNT-DGCLKSJQSA-N 0 3 233.359 2.796 20 0 BFADHN CC(=O)CN(CC1CCCCC1)C1CC1 ZINC000639454895 356239687 /nfs/dbraw/zinc/23/96/87/356239687.db2.gz JRMZIGGZENVNPL-UHFFFAOYSA-N 0 3 209.333 2.620 20 0 BFADHN Cc1cnc([C@@H](C)N2CCC(C)CC2)cn1 ZINC000336118634 134089518 /nfs/dbraw/zinc/08/95/18/134089518.db2.gz BLMXOFOSLDPJJT-GFCCVEGCSA-N 0 3 219.332 2.578 20 0 BFADHN CC[C@]1(O)CCN([C@H](C)c2cccc(F)c2)C1 ZINC000336108860 134085346 /nfs/dbraw/zinc/08/53/46/134085346.db2.gz MESFNHGUKBDXGE-RISCZKNCSA-N 0 3 237.318 2.734 20 0 BFADHN O=c1cc(CN2CCC[C@@H]3CCC[C@H]32)cc[nH]1 ZINC000639497660 356326008 /nfs/dbraw/zinc/32/60/08/356326008.db2.gz MYAWEIPCLOTHDB-QWHCGFSZSA-N 0 3 232.327 2.552 20 0 BFADHN Cc1nccc(CN2CC[C@H](C)C3(CCC3)C2)n1 ZINC000336125993 134092900 /nfs/dbraw/zinc/09/29/00/134092900.db2.gz SKJCIFOJLLVFNZ-LBPRGKRZSA-N 0 3 245.370 2.797 20 0 BFADHN CC(=O)CN1C[C@@H](c2ccccc2C)C[C@@H]1C ZINC000639501779 356328268 /nfs/dbraw/zinc/32/82/68/356328268.db2.gz XKTRPJICIABWKE-JSGCOSHPSA-N 0 3 231.339 2.762 20 0 BFADHN C[C@@H]1SCCN(C[C@@H]2CC(C)(C)CO2)[C@H]1C ZINC000639492942 356314073 /nfs/dbraw/zinc/31/40/73/356314073.db2.gz MUJNAMLVMSJPNU-SRVKXCTJSA-N 0 3 243.416 2.627 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cnn(C)c1C ZINC000352390005 356335742 /nfs/dbraw/zinc/33/57/42/356335742.db2.gz BFLATHJNUSTINH-NSHDSACASA-N 0 3 223.364 2.739 20 0 BFADHN Cc1cc(CN2CC(C)C2)cc2cccnc12 ZINC000336131111 134094608 /nfs/dbraw/zinc/09/46/08/134094608.db2.gz XPWLKXJTWPPPJL-UHFFFAOYSA-N 0 3 226.323 2.995 20 0 BFADHN CN(CCOC(C)(C)C)C[C@H]1CC(C)(C)CO1 ZINC000639519068 356363520 /nfs/dbraw/zinc/36/35/20/356363520.db2.gz FDPGAYCOJGDCLR-GFCCVEGCSA-N 0 3 243.391 2.548 20 0 BFADHN CCc1cccc(CN(C)[C@H]2CCCOC2)c1 ZINC000352187781 134099588 /nfs/dbraw/zinc/09/95/88/134099588.db2.gz UUBFWZUUIVXRLU-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN CCc1cccc(CN(C)[C@@H]2CCCOC2)c1 ZINC000352187782 134099663 /nfs/dbraw/zinc/09/96/63/134099663.db2.gz UUBFWZUUIVXRLU-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN FC(F)C1(NCC2CCOCC2)CCCCC1 ZINC000352187919 134099851 /nfs/dbraw/zinc/09/98/51/134099851.db2.gz VSANHSMZJVJWIA-UHFFFAOYSA-N 0 3 247.329 2.971 20 0 BFADHN CCc1ccc(CN2CCCC[C@@H]2COC)cn1 ZINC000449761460 202028395 /nfs/dbraw/zinc/02/83/95/202028395.db2.gz XSSWGDHKVDCNTR-OAHLLOKOSA-N 0 3 248.370 2.645 20 0 BFADHN C/C(=C\c1ccccc1)CN1CC[C@H](O)[C@H](C)C1 ZINC000190044724 356431502 /nfs/dbraw/zinc/43/15/02/356431502.db2.gz ATUQHAWXFCDUGX-QCIVWTMKSA-N 0 3 245.366 2.793 20 0 BFADHN CCN1CCN(Cc2ccc(C)c(C)c2)[C@@H](C)C1 ZINC000352676864 356402012 /nfs/dbraw/zinc/40/20/12/356402012.db2.gz URRAVWLIKODZOO-HNNXBMFYSA-N 0 3 246.398 2.829 20 0 BFADHN CCN1CCN(Cc2cc(C)ccc2C)[C@H](C)C1 ZINC000352669206 356402030 /nfs/dbraw/zinc/40/20/30/356402030.db2.gz YABSNENZZPQTKC-OAHLLOKOSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1CO[C@H](C2CC2)C1 ZINC000623754872 356451489 /nfs/dbraw/zinc/45/14/89/356451489.db2.gz UYFXHAYOJUZHKQ-GJZGRUSLSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1cc(CN[C@H]2CO[C@H](C3CC3)C2)cs1 ZINC000623755086 356452925 /nfs/dbraw/zinc/45/29/25/356452925.db2.gz ZDDKXAPZKSAWFO-OLZOCXBDSA-N 0 3 237.368 2.714 20 0 BFADHN CCN(C[C@@H](C)O)[C@H](C)c1nc2ccccc2o1 ZINC000352220982 134108795 /nfs/dbraw/zinc/10/87/95/134108795.db2.gz KQTDWHODFPEHIS-GHMZBOCLSA-N 0 3 248.326 2.592 20 0 BFADHN Cc1csc([C@H](C)NC[C@H]2CCO[C@@H]2C)n1 ZINC000639581675 356502215 /nfs/dbraw/zinc/50/22/15/356502215.db2.gz XINGFYFTMWHSNH-HBNTYKKESA-N 0 3 240.372 2.527 20 0 BFADHN Cc1ccc([C@@H]2CCCCN2C[C@H]2C[C@@H](O)C2)o1 ZINC000420917939 192273303 /nfs/dbraw/zinc/27/33/03/192273303.db2.gz URDKZWLABOIZHP-MJBXVCDLSA-N 0 3 249.354 2.886 20 0 BFADHN CC1(C)CN(CCc2cnccn2)CC(C)(C)C1 ZINC000639586256 356505235 /nfs/dbraw/zinc/50/52/35/356505235.db2.gz DESHZDXVSQPNBG-UHFFFAOYSA-N 0 3 247.386 2.777 20 0 BFADHN CC(=O)CN[C@@H]1CCCC[C@H]1c1ccccc1 ZINC000639592852 356509876 /nfs/dbraw/zinc/50/98/76/356509876.db2.gz NGYIDMREIZLCOY-LSDHHAIUSA-N 0 3 231.339 2.891 20 0 BFADHN CC[C@@H]1CN(CCC2CC2)C[C@@H](CC)O1 ZINC000420948828 192276298 /nfs/dbraw/zinc/27/62/98/192276298.db2.gz FAXCJGYKCRSJOO-CHWSQXEVSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@@H]1CN(CC2CC(F)(F)C2)C[C@@H](CC)O1 ZINC000420950651 192277433 /nfs/dbraw/zinc/27/74/33/192277433.db2.gz GMQFYZYLGVJBLW-VXGBXAGGSA-N 0 3 247.329 2.921 20 0 BFADHN CC[C@H]1CN(CC=C(C)C)C[C@H](CC)O1 ZINC000420954876 192277887 /nfs/dbraw/zinc/27/78/87/192277887.db2.gz KMAYNWFWATVEQR-STQMWFEESA-N 0 3 211.349 2.842 20 0 BFADHN CC(=O)CN[C@@H]1CCC[C@H]1Cc1ccccc1 ZINC000639603182 356523385 /nfs/dbraw/zinc/52/33/85/356523385.db2.gz JQUHJZPMWKNHKZ-LSDHHAIUSA-N 0 3 231.339 2.576 20 0 BFADHN CC[C@H]1CN(CCCOC(C)C)C[C@H](CC)O1 ZINC000420958144 192278934 /nfs/dbraw/zinc/27/89/34/192278934.db2.gz OYGQZZCAFSEALO-KBPBESRZSA-N 0 3 243.391 2.691 20 0 BFADHN CCOc1ccccc1[C@@H](C)NC[C@H]1C[C@@H](O)C1 ZINC000420956363 192280356 /nfs/dbraw/zinc/28/03/56/192280356.db2.gz ZKANDNDASQMZLQ-UPJWGTAASA-N 0 3 249.354 2.507 20 0 BFADHN C[C@@H]1CCC[C@H]1NCC(=O)c1ccccc1F ZINC000639614641 356533140 /nfs/dbraw/zinc/53/31/40/356533140.db2.gz YFGIGFJHQZLEKC-ZWNOBZJWSA-N 0 3 235.302 2.787 20 0 BFADHN CC(C)(CO)CNCc1ccc(Cl)s1 ZINC000088170789 491095081 /nfs/dbraw/zinc/09/50/81/491095081.db2.gz ATWBSQNAURQBBX-UHFFFAOYSA-N 0 3 233.764 2.510 20 0 BFADHN F[C@@H]1CCN(c2ccnc3ccccc32)C1 ZINC000336189458 134122915 /nfs/dbraw/zinc/12/29/15/134122915.db2.gz VBGMOPSMKWLXFI-SNVBAGLBSA-N 0 3 216.259 2.783 20 0 BFADHN CC[C@@H](O)CN[C@H](CC)c1ccc(F)cc1F ZINC000179623260 366276419 /nfs/dbraw/zinc/27/64/19/366276419.db2.gz QACOXLBFSRGQBN-ZWNOBZJWSA-N 0 3 243.297 2.776 20 0 BFADHN Cn1nccc1CN1CC[C@@H](CC(C)(C)C)C1 ZINC000449768758 202029338 /nfs/dbraw/zinc/02/93/38/202029338.db2.gz AYKQOAVKHVKTML-LBPRGKRZSA-N 0 3 235.375 2.678 20 0 BFADHN CC(C)(C)C[C@H]1CCN(Cc2c[nH]cn2)C1 ZINC000449776619 202032060 /nfs/dbraw/zinc/03/20/60/202032060.db2.gz OQVPGGIMSBFNSG-LLVKDONJSA-N 0 3 221.348 2.668 20 0 BFADHN CC(C)(C)C[C@H]1CCN(Cc2cnc[nH]2)C1 ZINC000449776619 202032062 /nfs/dbraw/zinc/03/20/62/202032062.db2.gz OQVPGGIMSBFNSG-LLVKDONJSA-N 0 3 221.348 2.668 20 0 BFADHN CCc1ccc(CN2CC[C@@]23CCOC3)cc1 ZINC000421008524 192299284 /nfs/dbraw/zinc/29/92/84/192299284.db2.gz AZJXNTUWNNQJRX-HNNXBMFYSA-N 0 3 231.339 2.614 20 0 BFADHN CC(C)N(Cc1ccn(C)n1)C1CC(C)(C)C1 ZINC000449782661 202035226 /nfs/dbraw/zinc/03/52/26/202035226.db2.gz LLDZUQMEJJCSKC-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN CN1CCN(CC2=CCCCC2)C(C)(C)C1 ZINC000336197303 134126605 /nfs/dbraw/zinc/12/66/05/134126605.db2.gz OZCASIPZSPRBNW-UHFFFAOYSA-N 0 3 222.376 2.513 20 0 BFADHN Cc1ccc(CNCc2cccnc2C)cc1 ZINC000237750330 363474445 /nfs/dbraw/zinc/47/44/45/363474445.db2.gz NUYMKJDGLGSEOY-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN CC(C)[C@@H]1N(Cc2cnn(C)c2)CC12CCCC2 ZINC000449790339 202037050 /nfs/dbraw/zinc/03/70/50/202037050.db2.gz KNFPCOODBOAREG-AWEZNQCLSA-N 0 3 247.386 2.821 20 0 BFADHN Cc1ccccc1CCCN1CC[C@]12CCOC2 ZINC000421013204 192302459 /nfs/dbraw/zinc/30/24/59/192302459.db2.gz FZJMWHGDAHQCDY-MRXNPFEDSA-N 0 3 245.366 2.792 20 0 BFADHN CC(=O)CN1C[C@H](c2ccccc2)[C@H]2CCC[C@H]21 ZINC000639637239 356550743 /nfs/dbraw/zinc/55/07/43/356550743.db2.gz FPOHKOCAXPZXDZ-BZUAXINKSA-N 0 3 243.350 2.844 20 0 BFADHN CCc1ccccc1CN1CC[C@@]12CCOC2 ZINC000421016431 192303548 /nfs/dbraw/zinc/30/35/48/192303548.db2.gz JELZJRXWRMITAX-HNNXBMFYSA-N 0 3 231.339 2.614 20 0 BFADHN FC1(F)CCCC[C@@H]1CN1CC[C@@]12CCOC2 ZINC000421019042 192303919 /nfs/dbraw/zinc/30/39/19/192303919.db2.gz LUSQZHNKUYDNRQ-NEPJUHHUSA-N 0 3 245.313 2.677 20 0 BFADHN COC[C@H]1CCCN(Cc2ccc(C)nc2)CC1 ZINC000449773901 202031452 /nfs/dbraw/zinc/03/14/52/202031452.db2.gz JIMSKCKJRBJTCS-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN C[C@H]1CN(CC2=CCCCC2)[C@@H](C)CN1C ZINC000336199989 134128412 /nfs/dbraw/zinc/12/84/12/134128412.db2.gz ZEAYDQZQPRGBIB-STQMWFEESA-N 0 3 222.376 2.511 20 0 BFADHN C1=C(CCN2CC[C@]23CCOC3)CCCC1 ZINC000421027073 192307173 /nfs/dbraw/zinc/30/71/73/192307173.db2.gz UHZCYBIETNBIQT-CQSZACIVSA-N 0 3 221.344 2.742 20 0 BFADHN Fc1cccc2c1CCC[C@H]2N[C@H]1CCOC1 ZINC000336213086 134135284 /nfs/dbraw/zinc/13/52/84/134135284.db2.gz AICZFNMQYJSDJA-IINYFYTJSA-N 0 3 235.302 2.582 20 0 BFADHN CC1(C)CN(Cc2cccc(C3CC3)c2)C[C@@H]1O ZINC000421348813 192320087 /nfs/dbraw/zinc/32/00/87/192320087.db2.gz BTIMERHXNGYFJK-HNNXBMFYSA-N 0 3 245.366 2.767 20 0 BFADHN Cc1ncc(CN2CCC(CF)CC2)s1 ZINC000336208846 134133594 /nfs/dbraw/zinc/13/35/94/134133594.db2.gz RKHQBZHZIRJUGY-UHFFFAOYSA-N 0 3 228.336 2.633 20 0 BFADHN CCc1nn(C)cc1CN[C@H]1CC12CCCCC2 ZINC000387066251 363490675 /nfs/dbraw/zinc/49/06/75/363490675.db2.gz XNEZRJVNVMWVBG-AWEZNQCLSA-N 0 3 247.386 2.795 20 0 BFADHN C[C@H]1CN(C)CCN1Cc1cccc(C2CC2)c1 ZINC000421318421 192316984 /nfs/dbraw/zinc/31/69/84/192316984.db2.gz FJCJITBRMDDBLN-ZDUSSCGKSA-N 0 3 244.382 2.700 20 0 BFADHN CC(=O)CN(C)[C@@H]1CCC[C@H]1C(C)(C)C ZINC000639674983 356591570 /nfs/dbraw/zinc/59/15/70/356591570.db2.gz YEDNLNGJTAJQDG-VXGBXAGGSA-N 0 3 211.349 2.722 20 0 BFADHN Cc1cc(C)c(CN[C@H]2CCOC2(C)C)c(C)n1 ZINC000639657945 356573997 /nfs/dbraw/zinc/57/39/97/356573997.db2.gz DIDVHJOCAXVXCR-AWEZNQCLSA-N 0 3 248.370 2.664 20 0 BFADHN OCc1ccc(CN[C@H]2CC23CCCCC3)o1 ZINC000387103686 363501771 /nfs/dbraw/zinc/50/17/71/363501771.db2.gz HRKSCRKNASVVSC-ZDUSSCGKSA-N 0 3 235.327 2.584 20 0 BFADHN C[C@@H]1CCC(C)(C)N1Cc1ccns1 ZINC000639668910 356583705 /nfs/dbraw/zinc/58/37/05/356583705.db2.gz VHCVTCBKEYHIGF-SECBINFHSA-N 0 3 210.346 2.906 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@H]2CC23CCCCC3)n1 ZINC000387173721 363532924 /nfs/dbraw/zinc/53/29/24/363532924.db2.gz UBSRGNQSTXHISE-CQSZACIVSA-N 0 3 246.354 2.908 20 0 BFADHN CCN1[C@H](C)CN([C@@H](C)c2cccnc2)C[C@@H]1C ZINC000639743067 356634811 /nfs/dbraw/zinc/63/48/11/356634811.db2.gz GJDBFOPXOSGQKF-MJBXVCDLSA-N 0 3 247.386 2.557 20 0 BFADHN CCC[C@@H](O)CN(C)Cc1sccc1C ZINC000450218235 202098353 /nfs/dbraw/zinc/09/83/53/202098353.db2.gz XABRLRGDCAOYDU-LLVKDONJSA-N 0 3 227.373 2.649 20 0 BFADHN C[C@H]1CN(CCC2=CCCCC2)CCO1 ZINC000450219127 202098764 /nfs/dbraw/zinc/09/87/64/202098764.db2.gz VJJRCTLMRDMNJT-LBPRGKRZSA-N 0 3 209.333 2.598 20 0 BFADHN C[C@H]1CN(CCC2=CCCCC2)[C@@H](C)CO1 ZINC000450231767 202102206 /nfs/dbraw/zinc/10/22/06/202102206.db2.gz JLRGSIJHGDAQJE-STQMWFEESA-N 0 3 223.360 2.986 20 0 BFADHN COCCN(C[C@H]1CCCCC1(F)F)C1CC1 ZINC000450266218 202114846 /nfs/dbraw/zinc/11/48/46/202114846.db2.gz OSBOSPLWRMKAIZ-LLVKDONJSA-N 0 3 247.329 2.923 20 0 BFADHN CN(CC1=CCCCC1)C[C@H]1CCCO1 ZINC000450271747 202115537 /nfs/dbraw/zinc/11/55/37/202115537.db2.gz RXSHZANPUCLIQX-CYBMUJFWSA-N 0 3 209.333 2.598 20 0 BFADHN CCc1cc(N(CC)C[C@@H]2CCCO2)ccn1 ZINC000450273181 202115900 /nfs/dbraw/zinc/11/59/00/202115900.db2.gz FRAQISVGRZIYIK-AWEZNQCLSA-N 0 3 234.343 2.649 20 0 BFADHN CN(CC1=CCCCC1)C[C@@H]1CCCO1 ZINC000450271748 202116144 /nfs/dbraw/zinc/11/61/44/202116144.db2.gz RXSHZANPUCLIQX-ZDUSSCGKSA-N 0 3 209.333 2.598 20 0 BFADHN Cc1ccc(CNCc2ccc[nH]c2=O)c(C)c1 ZINC000623777003 363548504 /nfs/dbraw/zinc/54/85/04/363548504.db2.gz KPNPWCQTXRJLHZ-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN CCc1cc(N(C)C[C@@H]2CCCCO2)ccn1 ZINC000450271062 202117501 /nfs/dbraw/zinc/11/75/01/202117501.db2.gz WDGYLXGGYLKFSD-AWEZNQCLSA-N 0 3 234.343 2.649 20 0 BFADHN C(N1CCO[C@@H]2CCC[C@H]21)C12CCC(CC1)C2 ZINC000450281709 202118410 /nfs/dbraw/zinc/11/84/10/202118410.db2.gz DUUREZZJLQKFFL-PIOWNMBXSA-N 0 3 235.371 2.820 20 0 BFADHN FC1(F)CC[C@H](CN2CCO[C@H]3CCC[C@H]32)C1 ZINC000450283393 202118709 /nfs/dbraw/zinc/11/87/09/202118709.db2.gz JPCZVABCWBOATK-TUAOUCFPSA-N 0 3 245.313 2.675 20 0 BFADHN CC(C)(C)C[C@@H]1CCCN1Cc1cnns1 ZINC000450320167 202126912 /nfs/dbraw/zinc/12/69/12/202126912.db2.gz UFGCBQNNZUHZAV-JTQLQIEISA-N 0 3 239.388 2.939 20 0 BFADHN CCc1cc(N(C)C[C@H]2CCCOC2)ccn1 ZINC000450322713 202128676 /nfs/dbraw/zinc/12/86/76/202128676.db2.gz BACZNYQHBIKZEJ-GFCCVEGCSA-N 0 3 234.343 2.507 20 0 BFADHN CCOC[C@@H]1CCCN(c2ccnc(CC)c2)C1 ZINC000450332017 202132584 /nfs/dbraw/zinc/13/25/84/202132584.db2.gz MOPGXCIJHGHYET-CYBMUJFWSA-N 0 3 248.370 2.897 20 0 BFADHN COc1ccc(C)cc1CN1CCC(OC)CC1 ZINC000053906883 363557898 /nfs/dbraw/zinc/55/78/98/363557898.db2.gz DHBXVLGCGPBMJY-UHFFFAOYSA-N 0 3 249.354 2.614 20 0 BFADHN CCc1cc(N2CCC(COC)CC2)ccn1 ZINC000450332401 202133280 /nfs/dbraw/zinc/13/32/80/202133280.db2.gz URIVMCGONWKHFB-UHFFFAOYSA-N 0 3 234.343 2.507 20 0 BFADHN CCc1cc(N2C[C@@H]3[C@H](C2)C3(C)C)ccn1 ZINC000450354155 202139237 /nfs/dbraw/zinc/13/92/37/202139237.db2.gz JPQZNHXBOTYJCA-BETUJISGSA-N 0 3 216.328 2.736 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)N(C)C[C@H](C)C(C)(C)C ZINC000399492952 356670228 /nfs/dbraw/zinc/67/02/28/356670228.db2.gz GMURYAYDYPPPHZ-SRVKXCTJSA-N 0 3 242.407 2.500 20 0 BFADHN CCc1cc(N2C[C@H](C)[C@H](C)C2)ccn1 ZINC000450361371 202142789 /nfs/dbraw/zinc/14/27/89/202142789.db2.gz NLQZHDWRUXKGCE-PHIMTYICSA-N 0 3 204.317 2.736 20 0 BFADHN CCCN(CCC)C(=O)CN(CCC)C(C)C ZINC000578140516 366319891 /nfs/dbraw/zinc/31/98/91/366319891.db2.gz LKARUPPQEOEVGN-UHFFFAOYSA-N 0 3 242.407 2.755 20 0 BFADHN CC(C)[C@H](CO)NCc1cc(F)cc(Cl)c1 ZINC000168264929 134161660 /nfs/dbraw/zinc/16/16/60/134161660.db2.gz TXBOTKKXZYDRGI-LBPRGKRZSA-N 0 3 245.725 2.586 20 0 BFADHN CCc1cc(N2C[C@@H]3CCC[C@@H]3C2)ccn1 ZINC000450381226 202145688 /nfs/dbraw/zinc/14/56/88/202145688.db2.gz UGTNIZLDEDGXAL-TXEJJXNPSA-N 0 3 216.328 2.880 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1cc(F)cc(Cl)c1 ZINC000168268960 134161566 /nfs/dbraw/zinc/16/15/66/134161566.db2.gz ICEUTNAHJRXYEB-IUCAKERBSA-N 0 3 245.725 2.728 20 0 BFADHN CCc1cc(N2CC[C@@](C)(F)C2)ccn1 ZINC000450421555 202160599 /nfs/dbraw/zinc/16/05/99/202160599.db2.gz STRAZCMUABNSHI-GFCCVEGCSA-N 0 3 208.280 2.582 20 0 BFADHN CCc1cc(N(C)CCc2nccs2)ccn1 ZINC000450401473 202153443 /nfs/dbraw/zinc/15/34/43/202153443.db2.gz GWONLUMZSURRQB-UHFFFAOYSA-N 0 3 247.367 2.779 20 0 BFADHN C(c1noc2c1CCCC2)N1C[C@@H]2CCC[C@H]2C1 ZINC000153212201 538541288 /nfs/dbraw/zinc/54/12/88/538541288.db2.gz BKWZAZYIPYYGLD-RYUDHWBXSA-N 0 3 246.354 2.785 20 0 BFADHN C[C@H]1CN(C)CCN1CC/C=C/c1ccccc1 ZINC000450400522 202154136 /nfs/dbraw/zinc/15/41/36/202154136.db2.gz GLAFIQMFOFIDRZ-WGPYJDKQSA-N 0 3 244.382 2.726 20 0 BFADHN CCNCc1csc(C(F)(F)F)c1 ZINC000623341374 356741218 /nfs/dbraw/zinc/74/12/18/356741218.db2.gz ZMURNHOKIHMJQP-UHFFFAOYSA-N 0 3 209.236 2.876 20 0 BFADHN CCn1c2ccccc2nc1CNCCC1CC1 ZINC000088454343 356710295 /nfs/dbraw/zinc/71/02/95/356710295.db2.gz IXOJCQNKLXWZRE-UHFFFAOYSA-N 0 3 243.354 2.946 20 0 BFADHN CCC[C@H](O)CN1Cc2ccccc2[C@H]1C ZINC000450456270 202168782 /nfs/dbraw/zinc/16/87/82/202168782.db2.gz SOLVXKLZUMQBBQ-YPMHNXCESA-N 0 3 219.328 2.724 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1cc(C)ncn1 ZINC000355125019 356751073 /nfs/dbraw/zinc/75/10/73/356751073.db2.gz AUDHZQNEMRIATN-TZMCWYRMSA-N 0 3 233.359 2.843 20 0 BFADHN CCN[C@H](C)C(=O)Nc1ccc(C)c(Cl)c1 ZINC000390488213 356769140 /nfs/dbraw/zinc/76/91/40/356769140.db2.gz PJLWPMQPUUGPAN-SECBINFHSA-N 0 3 240.734 2.585 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)Cc1[nH]ncc1C ZINC000356296062 356793125 /nfs/dbraw/zinc/79/31/25/356793125.db2.gz IEPSCBHOJXCYBW-AWEZNQCLSA-N 0 3 244.342 2.696 20 0 BFADHN CCN(Cc1ccc(SC)s1)C[C@@H](C)O ZINC000356333935 356793898 /nfs/dbraw/zinc/79/38/98/356793898.db2.gz BJCDSZYJFFSXPQ-SECBINFHSA-N 0 3 245.413 2.673 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H]1CCCC[C@H]1O ZINC000356903534 356808499 /nfs/dbraw/zinc/80/84/99/356808499.db2.gz SEAUFZRIFYDOBK-FPMFFAJLSA-N 0 3 248.370 2.598 20 0 BFADHN Cc1csc([C@@H](C)NCCC[C@H](C)CO)n1 ZINC000623925397 356810397 /nfs/dbraw/zinc/81/03/97/356810397.db2.gz DJURJEYEFWAMNJ-GXSJLCMTSA-N 0 3 242.388 2.511 20 0 BFADHN COC[C@H](C)N(C)Cc1ccc(SC)s1 ZINC000356048223 356786674 /nfs/dbraw/zinc/78/66/74/356786674.db2.gz BIDILYINFZEUJA-VIFPVBQESA-N 0 3 245.413 2.937 20 0 BFADHN CCC[C@@]1(CO)CCN(c2ccnc(CC)c2)C1 ZINC000450530925 202191232 /nfs/dbraw/zinc/19/12/32/202191232.db2.gz FZUIZBKCMIRFQR-OAHLLOKOSA-N 0 3 248.370 2.633 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc(C#N)cc1 ZINC000389705696 356883333 /nfs/dbraw/zinc/88/33/33/356883333.db2.gz YFPBXFXGSIIQJD-DLRQAJBASA-N 0 3 200.285 2.785 20 0 BFADHN CC1(C)CN(CCC2CCCC2)CCO1 ZINC000357613317 356839538 /nfs/dbraw/zinc/83/95/38/356839538.db2.gz YFNDBDDKLMLKPA-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1cc(C)n(C)n1 ZINC000360329591 356905804 /nfs/dbraw/zinc/90/58/04/356905804.db2.gz NUFLLQLMPVZTEV-OCCSQVGLSA-N 0 3 235.375 2.787 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1NCc1cccnc1N(C)C ZINC000353447495 538744752 /nfs/dbraw/zinc/74/47/52/538744752.db2.gz MHUMYFUVYGXFHM-KBPBESRZSA-N 0 3 247.386 2.672 20 0 BFADHN CC(C)CC[C@H](O)CN(C)Cc1ccccc1 ZINC000360693827 356910389 /nfs/dbraw/zinc/91/03/89/356910389.db2.gz ZTZXXZNEEMBTIZ-HNNXBMFYSA-N 0 3 235.371 2.916 20 0 BFADHN CC(C)CN1Cc2ccc(O)cc2C2(CC2)C1 ZINC000361011707 356915072 /nfs/dbraw/zinc/91/50/72/356915072.db2.gz UUILEDLWLNLSJT-UHFFFAOYSA-N 0 3 231.339 2.895 20 0 BFADHN CCN(Cc1cc(F)ccc1C)C[C@H](C)OC ZINC000361017932 356915338 /nfs/dbraw/zinc/91/53/38/356915338.db2.gz PYHSEMCLOQPGMM-LBPRGKRZSA-N 0 3 239.334 2.991 20 0 BFADHN CCc1cnccc1[C@H](C)NCCOCC1CC1 ZINC000361118303 356916910 /nfs/dbraw/zinc/91/69/10/356916910.db2.gz AYHFWBBPAFMYNH-LBPRGKRZSA-N 0 3 248.370 2.721 20 0 BFADHN CCc1cnccc1[C@@H](C)NCCOC(C)C ZINC000361122725 356917572 /nfs/dbraw/zinc/91/75/72/356917572.db2.gz ZBBXCLIEKUTWTK-GFCCVEGCSA-N 0 3 236.359 2.720 20 0 BFADHN CCN(Cc1cc(F)ccc1C)[C@H]1CCOC1 ZINC000361236093 356920724 /nfs/dbraw/zinc/92/07/24/356920724.db2.gz CKXJJJIQMQOROG-AWEZNQCLSA-N 0 3 237.318 2.745 20 0 BFADHN CCN(Cc1ccc(F)cc1C)[C@H]1CCOC1 ZINC000361235407 356921035 /nfs/dbraw/zinc/92/10/35/356921035.db2.gz AXANUKFKPZXPKV-AWEZNQCLSA-N 0 3 237.318 2.745 20 0 BFADHN CCN(Cc1ccc(C)c(C)c1)[C@@H]1CCOC1 ZINC000361240074 356921541 /nfs/dbraw/zinc/92/15/41/356921541.db2.gz ZZUDONXEYSAYTN-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN CC(C)CN1CC(c2ccccc2F)C1 ZINC000361250128 356921741 /nfs/dbraw/zinc/92/17/41/356921741.db2.gz ICBAKCRHXAPPMH-UHFFFAOYSA-N 0 3 207.292 2.881 20 0 BFADHN Cc1ccc(CC[C@H](C)N[C@H](C)c2ncc[nH]2)o1 ZINC000353529135 538749766 /nfs/dbraw/zinc/74/97/66/538749766.db2.gz ILYXTLRANBAAMW-CMPLNLGQSA-N 0 3 247.342 2.983 20 0 BFADHN CCc1cc(N2CCCO[C@H](C(C)C)C2)ccn1 ZINC000450544520 202194912 /nfs/dbraw/zinc/19/49/12/202194912.db2.gz PFIHIAIJXMQVQU-HNNXBMFYSA-N 0 3 248.370 2.895 20 0 BFADHN C[C@@H](NCCc1cccc(Cl)c1)c1ncc[nH]1 ZINC000353436224 538742281 /nfs/dbraw/zinc/74/22/81/538742281.db2.gz ORVSRSSCFGEZGF-SNVBAGLBSA-N 0 3 249.745 2.956 20 0 BFADHN COc1ncccc1CN[C@H]1CC[C@@H]1C(C)C ZINC000353433586 538743109 /nfs/dbraw/zinc/74/31/09/538743109.db2.gz GZDSEMXQLAQAIS-OLZOCXBDSA-N 0 3 234.343 2.614 20 0 BFADHN CCOC(=O)[C@@H](CC)N(C)C[C@@H](C)C(C)(C)C ZINC000399554519 356976970 /nfs/dbraw/zinc/97/69/70/356976970.db2.gz DLKRSCILCJUZAU-VXGBXAGGSA-N 0 3 243.391 2.942 20 0 BFADHN CCCC[C@@H](CC)CC(=O)NCCN(C)CC ZINC000117259840 356978104 /nfs/dbraw/zinc/97/81/04/356978104.db2.gz PWGCHSMBEYKTOF-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CCN(Cc1nccn1CC)[C@@H](C)C(C)C ZINC000118833134 356999436 /nfs/dbraw/zinc/99/94/36/356999436.db2.gz KJRKOKLBWQWXAM-LBPRGKRZSA-N 0 3 223.364 2.769 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CNCc1ccno1 ZINC000389650233 357009504 /nfs/dbraw/zinc/00/95/04/357009504.db2.gz VNGYTLRGJKFLMF-GHMZBOCLSA-N 0 3 208.305 2.591 20 0 BFADHN C[C@@H]1CCCC[C@H]1CNCc1ccno1 ZINC000389650238 357009611 /nfs/dbraw/zinc/00/96/11/357009611.db2.gz VNGYTLRGJKFLMF-MNOVXSKESA-N 0 3 208.305 2.591 20 0 BFADHN CCC1(NCc2ncc[nH]2)CCCCC1 ZINC000389668825 357013155 /nfs/dbraw/zinc/01/31/55/357013155.db2.gz WBTIAANEQPCTDD-UHFFFAOYSA-N 0 3 207.321 2.612 20 0 BFADHN FCCCCNCc1cccc(F)c1F ZINC000389719524 357019503 /nfs/dbraw/zinc/01/95/03/357019503.db2.gz PAFVVBZFOAWFIQ-UHFFFAOYSA-N 0 3 217.234 2.804 20 0 BFADHN COc1ncc([C@H](C)NCCCF)cc1Cl ZINC000390529921 357101655 /nfs/dbraw/zinc/10/16/55/357101655.db2.gz IDIHRCAOGYSCHK-QMMMGPOBSA-N 0 3 246.713 2.754 20 0 BFADHN C[C@@H]1CCCC[C@@H]1OCCNCC1(F)CC1 ZINC000390530322 357101777 /nfs/dbraw/zinc/10/17/77/357101777.db2.gz IGXUSXJQYJEBTC-NEPJUHHUSA-N 0 3 229.339 2.673 20 0 BFADHN FCCCN[C@@H](c1cncc(F)c1)C1CC1 ZINC000390756786 357115009 /nfs/dbraw/zinc/11/50/09/357115009.db2.gz IHIIEIACPZVVCQ-GFCCVEGCSA-N 0 3 226.270 2.621 20 0 BFADHN CCN(Cc1ccnn1CC)[C@H](C)C(C)C ZINC000120690231 357057339 /nfs/dbraw/zinc/05/73/39/357057339.db2.gz WLPGNJOMSSYNMU-GFCCVEGCSA-N 0 3 223.364 2.769 20 0 BFADHN CCN(Cc1ccnn1CC)[C@@H](C)C(C)C ZINC000120690068 357057624 /nfs/dbraw/zinc/05/76/24/357057624.db2.gz WLPGNJOMSSYNMU-LBPRGKRZSA-N 0 3 223.364 2.769 20 0 BFADHN CC(C)n1ccc(C[C@H](C)NCC(C)(C)F)n1 ZINC000631657648 357062079 /nfs/dbraw/zinc/06/20/79/357062079.db2.gz FXSALNNHIHWYNU-NSHDSACASA-N 0 3 241.354 2.733 20 0 BFADHN COC(C)(C)CN(C)Cc1cc(F)ccc1C ZINC000543702600 325101949 /nfs/dbraw/zinc/10/19/49/325101949.db2.gz KMJUQZNYGWLANT-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN CC(C)[C@H]1CC[C@@H]1NCc1ncccc1F ZINC000390263533 357087647 /nfs/dbraw/zinc/08/76/47/357087647.db2.gz OTJKYZCMUGHGDX-PWSUYJOCSA-N 0 3 222.307 2.745 20 0 BFADHN CC(C)O[C@H]1C[C@@H](NCC2(F)CC2)C1(C)C ZINC000390326792 357091059 /nfs/dbraw/zinc/09/10/59/357091059.db2.gz JOODPJSEKVWPFI-MNOVXSKESA-N 0 3 229.339 2.670 20 0 BFADHN COC1(CNCC2(F)CC2)CCC(C)CC1 ZINC000390456449 357093648 /nfs/dbraw/zinc/09/36/48/357093648.db2.gz DAUTXGFWBVWUSW-UHFFFAOYSA-N 0 3 229.339 2.673 20 0 BFADHN Cc1ccc(CNC[C@@H](C)c2nccs2)cn1 ZINC000156531830 538786832 /nfs/dbraw/zinc/78/68/32/538786832.db2.gz ZKAZTYMUPVATEM-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN CO[C@@](C)(CNCC1(F)CC1)c1ccccc1 ZINC000582400888 357132308 /nfs/dbraw/zinc/13/23/08/357132308.db2.gz DUVLJTMDGMCFEZ-ZDUSSCGKSA-N 0 3 237.318 2.640 20 0 BFADHN CCCC1(CNCc2cnccn2)CCC1 ZINC000391803753 357134089 /nfs/dbraw/zinc/13/40/89/357134089.db2.gz APGKLYJFLGQWGQ-UHFFFAOYSA-N 0 3 219.332 2.537 20 0 BFADHN CC[C@@H](NCc1nnc(C)s1)C1CCC1 ZINC000391867691 357135149 /nfs/dbraw/zinc/13/51/49/357135149.db2.gz BWYZVHFSUGPJLH-SNVBAGLBSA-N 0 3 225.361 2.515 20 0 BFADHN Cc1nnc(CN[C@@H]2C[C@@H](C)CC[C@H]2C)s1 ZINC000391842455 357135374 /nfs/dbraw/zinc/13/53/74/357135374.db2.gz NLTADTNCCHSIQW-IQJOONFLSA-N 0 3 239.388 2.761 20 0 BFADHN C[C@@H](NCC(C)(C)F)c1ccc2c(c1)OCO2 ZINC000631658600 357158167 /nfs/dbraw/zinc/15/81/67/357158167.db2.gz LYTTXAVJKYMMNH-SECBINFHSA-N 0 3 239.290 2.814 20 0 BFADHN Fc1cccc(CNC2(C3CC3)CC2)c1F ZINC000390778707 357116517 /nfs/dbraw/zinc/11/65/17/357116517.db2.gz HLUFDTNTYFIMLW-UHFFFAOYSA-N 0 3 223.266 2.997 20 0 BFADHN CCN1CCC[C@H](OCc2ccccc2F)C1 ZINC000373403607 357130573 /nfs/dbraw/zinc/13/05/73/357130573.db2.gz INDPERMSKCMWCM-ZDUSSCGKSA-N 0 3 237.318 2.827 20 0 BFADHN Cc1occc1CNCCSC(C)C ZINC000321253019 259471013 /nfs/dbraw/zinc/47/10/13/259471013.db2.gz OUGFWOZJYAFIQA-UHFFFAOYSA-N 0 3 213.346 2.819 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@@H](C3CC3)C2)c(F)c1 ZINC000631183426 357239965 /nfs/dbraw/zinc/23/99/65/357239965.db2.gz OOKNGUQLGNSAIQ-UKRRQHHQSA-N 0 3 249.329 2.791 20 0 BFADHN COc1ccc2oc(CN3C[C@@H]4C[C@@H]4C3)cc2c1 ZINC000628409744 357207400 /nfs/dbraw/zinc/20/74/00/357207400.db2.gz YKHDCUXTEDKWAT-TXEJJXNPSA-N 0 3 243.306 2.893 20 0 BFADHN Fc1ccc(/C=C\CN2C[C@@H]3C[C@@H]3C2)c(F)c1 ZINC000628410491 357215004 /nfs/dbraw/zinc/21/50/04/357215004.db2.gz MOVQWNXUMPJUIH-ZLLARURESA-N 0 3 235.277 2.930 20 0 BFADHN Fc1ccc(/C=C/CN2C[C@@H]3C[C@@H]3C2)c(F)c1 ZINC000628410493 357215035 /nfs/dbraw/zinc/21/50/35/357215035.db2.gz MOVQWNXUMPJUIH-ZRGSSGQVSA-N 0 3 235.277 2.930 20 0 BFADHN CSc1ccc(CN2C[C@@H]3C[C@@H]3C2)s1 ZINC000628410212 357215699 /nfs/dbraw/zinc/21/56/99/357215699.db2.gz HVDRTVASBKTAOX-DTORHVGOSA-N 0 3 225.382 2.922 20 0 BFADHN CC(C)CN1CCC[C@@H]1c1nccs1 ZINC000377392152 357231953 /nfs/dbraw/zinc/23/19/53/357231953.db2.gz OKUPOJWDTWLONZ-SNVBAGLBSA-N 0 3 210.346 2.936 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CO[C@@H](C)C2)oc1C ZINC000583693471 357181366 /nfs/dbraw/zinc/18/13/66/357181366.db2.gz SIKOYRRTUDTFPI-JBLDHEPKSA-N 0 3 223.316 2.724 20 0 BFADHN CC[C@@H](N[C@H](C)CN(C)C)c1ccccc1F ZINC000122577309 357182991 /nfs/dbraw/zinc/18/29/91/357182991.db2.gz COXMCOTULRWONP-BXUZGUMPSA-N 0 3 238.350 2.817 20 0 BFADHN C[C@@H]1CN(Cc2cccn2C)C[C@H](C)C1(F)F ZINC000628391087 357187064 /nfs/dbraw/zinc/18/70/64/357187064.db2.gz TUIHLVMVOGCQKO-PHIMTYICSA-N 0 3 242.313 2.748 20 0 BFADHN C[C@@H]1CN(Cc2cccn2C)C[C@@H](C)C1(F)F ZINC000628391086 357187144 /nfs/dbraw/zinc/18/71/44/357187144.db2.gz TUIHLVMVOGCQKO-GHMZBOCLSA-N 0 3 242.313 2.748 20 0 BFADHN C[C@@H]1CN(CC2=CCCOC2)C[C@@H](C)C1(F)F ZINC000628390439 357187583 /nfs/dbraw/zinc/18/75/83/357187583.db2.gz IIWHZUMVINMLIN-GHMZBOCLSA-N 0 3 245.313 2.556 20 0 BFADHN C[C@H]1CN(CC2=CCCOC2)C[C@H](C)C1(F)F ZINC000628390441 357187706 /nfs/dbraw/zinc/18/77/06/357187706.db2.gz IIWHZUMVINMLIN-QWRGUYRKSA-N 0 3 245.313 2.556 20 0 BFADHN CC[C@H](C)N[C@@H](C)c1cn2ccccc2n1 ZINC000393744795 357295501 /nfs/dbraw/zinc/29/55/01/357295501.db2.gz IJONHPBBLWRAOL-QWRGUYRKSA-N 0 3 217.316 2.783 20 0 BFADHN CCc1cc(CN[C@@H]2CC[C@@H]2C2CC2)on1 ZINC000393743996 357295616 /nfs/dbraw/zinc/29/56/16/357295616.db2.gz DYUABYRSOPDLRX-CHWSQXEVSA-N 0 3 220.316 2.515 20 0 BFADHN CCc1cc(CN[C@@H]2CC[C@H]2C2CC2)on1 ZINC000393744003 357295805 /nfs/dbraw/zinc/29/58/05/357295805.db2.gz DYUABYRSOPDLRX-QWHCGFSZSA-N 0 3 220.316 2.515 20 0 BFADHN C[C@H](NCc1cnc2ccccc2n1)C1CCC1 ZINC000137732196 357253962 /nfs/dbraw/zinc/25/39/62/357253962.db2.gz QTYUQRVZZXZUAB-NSHDSACASA-N 0 3 241.338 2.908 20 0 BFADHN C[C@H]1CCC[C@@H](CN2CC3(C2)CCCO3)C1 ZINC000628427640 357256940 /nfs/dbraw/zinc/25/69/40/357256940.db2.gz OTVXINSEKMCMEO-QWHCGFSZSA-N 0 3 223.360 2.678 20 0 BFADHN CC[C@@H]1COCCN1CC1Cc2ccccc2C1 ZINC000582458132 357259609 /nfs/dbraw/zinc/25/96/09/357259609.db2.gz WLCDURYBXBHJHF-MRXNPFEDSA-N 0 3 245.366 2.512 20 0 BFADHN CC[C@H]1COCCN1CC1Cc2ccccc2C1 ZINC000582458131 357259616 /nfs/dbraw/zinc/25/96/16/357259616.db2.gz WLCDURYBXBHJHF-INIZCTEOSA-N 0 3 245.366 2.512 20 0 BFADHN Cc1nocc1CNCC(C)(C)C(F)(F)F ZINC000393469830 357310880 /nfs/dbraw/zinc/31/08/80/357310880.db2.gz JSTZMIQINZSFSK-UHFFFAOYSA-N 0 3 236.237 2.661 20 0 BFADHN C[C@@H](Cc1ccoc1)NC1(CF)CCC1 ZINC000582607020 357349256 /nfs/dbraw/zinc/34/92/56/357349256.db2.gz KGJDIAMTHGNISY-JTQLQIEISA-N 0 3 211.280 2.692 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1NCC[C@H]1CCOC1 ZINC000582813671 357402489 /nfs/dbraw/zinc/40/24/89/357402489.db2.gz QTWUPWLQGHXKGE-SLEUVZQESA-N 0 3 247.338 2.525 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1NCC[C@@H]1CCOC1 ZINC000582813673 357402652 /nfs/dbraw/zinc/40/26/52/357402652.db2.gz QTWUPWLQGHXKGE-ZOWXZIJZSA-N 0 3 247.338 2.525 20 0 BFADHN CC[C@H](N[C@H](C)c1ccc(F)c(F)c1)[C@@H](C)O ZINC000386942180 357416070 /nfs/dbraw/zinc/41/60/70/357416070.db2.gz VXDPYQIPUHDISS-KKFJDGPESA-N 0 3 243.297 2.775 20 0 BFADHN C[C@H](CNCc1cnccn1)Cc1cccs1 ZINC000582890833 357423099 /nfs/dbraw/zinc/42/30/99/357423099.db2.gz UABLXMNZAYNNPA-NSHDSACASA-N 0 3 247.367 2.507 20 0 BFADHN C[C@H]1CC[C@@H](N[C@@H]2C[C@H]2C(F)(F)F)CS1 ZINC000631263199 357500813 /nfs/dbraw/zinc/50/08/13/357500813.db2.gz ZWHQAOVJCDPDIQ-JQCXWYLXSA-N 0 3 239.306 2.811 20 0 BFADHN C[C@@H]1CC[C@@H](N[C@@H]2C[C@H]2C(F)(F)F)CS1 ZINC000631263198 357501019 /nfs/dbraw/zinc/50/10/19/357501019.db2.gz ZWHQAOVJCDPDIQ-FNCVBFRFSA-N 0 3 239.306 2.811 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccsc1)c1ccncn1 ZINC000395989937 357465620 /nfs/dbraw/zinc/46/56/20/357465620.db2.gz UMMGXPIRZUCERL-WDEREUQCSA-N 0 3 247.367 2.820 20 0 BFADHN CCc1cccc(CN(C)C[C@H]2CCCO2)c1 ZINC000188798387 357574710 /nfs/dbraw/zinc/57/47/10/357574710.db2.gz YDQIUQTXBHCLRD-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@H]2CC[C@@H](C)C2)n1 ZINC000230413759 357522683 /nfs/dbraw/zinc/52/26/83/357522683.db2.gz MNDJXWSVPTUOMF-PWSUYJOCSA-N 0 3 234.343 2.621 20 0 BFADHN CC[C@H](NCCC(C)(C)OC)c1nccs1 ZINC000230417282 357523228 /nfs/dbraw/zinc/52/32/28/357523228.db2.gz BUEXNUCQLSWJAY-JTQLQIEISA-N 0 3 242.388 2.999 20 0 BFADHN C[C@H](NC[C@H]1CC[C@@H](C)C1)c1cnccn1 ZINC000230409174 357539881 /nfs/dbraw/zinc/53/98/81/357539881.db2.gz AFPGIECQBLZBRZ-WOPDTQHZSA-N 0 3 219.332 2.563 20 0 BFADHN C[C@@H](NC[C@@H]1CC[C@@H](C)C1)c1cnccn1 ZINC000230409165 357540239 /nfs/dbraw/zinc/54/02/39/357540239.db2.gz AFPGIECQBLZBRZ-IJLUTSLNSA-N 0 3 219.332 2.563 20 0 BFADHN Cc1nc([C@@H](C)NCC[C@@H]2CCOC2)cs1 ZINC000230409189 357540396 /nfs/dbraw/zinc/54/03/96/357540396.db2.gz ADCSJBYDSXCREW-MWLCHTKSSA-N 0 3 240.372 2.529 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1cn2ccccc2n1 ZINC000230664903 357546682 /nfs/dbraw/zinc/54/66/82/357546682.db2.gz GWIVSKDPMVOFEW-CHWSQXEVSA-N 0 3 243.354 2.860 20 0 BFADHN CCO[C@H]1C[C@H](NCc2occc2C)C1(C)C ZINC000230664118 357546790 /nfs/dbraw/zinc/54/67/90/357546790.db2.gz WMSQKEKNRUWYBG-STQMWFEESA-N 0 3 237.343 2.881 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1cn2ccccc2n1 ZINC000230664908 357546849 /nfs/dbraw/zinc/54/68/49/357546849.db2.gz GWIVSKDPMVOFEW-QWHCGFSZSA-N 0 3 243.354 2.860 20 0 BFADHN CSCCCN(C)Cc1c(C)nsc1C ZINC000583212366 357551728 /nfs/dbraw/zinc/55/17/28/357551728.db2.gz OOIRGDJHVQUUPY-UHFFFAOYSA-N 0 3 244.429 2.945 20 0 BFADHN CC(C)[C@@H]1CN(C[C@@H]2CC[C@@H](C)O2)CCS1 ZINC000188558075 357561599 /nfs/dbraw/zinc/56/15/99/357561599.db2.gz MHCFOTLNDZZMAV-AGIUHOORSA-N 0 3 243.416 2.627 20 0 BFADHN CC(C)[C@@H]1CN(C[C@H]2CC[C@@H](C)O2)CCS1 ZINC000188558033 357561985 /nfs/dbraw/zinc/56/19/85/357561985.db2.gz MHCFOTLNDZZMAV-UPJWGTAASA-N 0 3 243.416 2.627 20 0 BFADHN Cc1ccc([C@@H](C)NCC[C@H]2CCOC2)o1 ZINC000231708025 357623066 /nfs/dbraw/zinc/62/30/66/357623066.db2.gz NRQPYFBUUVHEGA-NEPJUHHUSA-N 0 3 223.316 2.665 20 0 BFADHN Fc1cncc(CN[C@H]2CCc3ccccc32)c1 ZINC000230938425 357581039 /nfs/dbraw/zinc/58/10/39/357581039.db2.gz ULFJICYVHQUBEH-HNNXBMFYSA-N 0 3 242.297 2.998 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1nc(C)sc1C ZINC000158874767 538869490 /nfs/dbraw/zinc/86/94/90/538869490.db2.gz HFZWINVTQPKNPI-LDYMZIIASA-N 0 3 242.388 2.572 20 0 BFADHN CCCCN(C)CCNC(=O)C(C)(CC)CC ZINC000159023984 538872528 /nfs/dbraw/zinc/87/25/28/538872528.db2.gz MRGODDZTDXGHKW-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CCn1cc([C@H](C)N[C@H](C)CC(F)(F)F)cn1 ZINC000231791685 357629863 /nfs/dbraw/zinc/62/98/63/357629863.db2.gz BXSODNBEUNTWKH-BDAKNGLRSA-N 0 3 249.280 2.895 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cn(C(C)(C)C)nn1 ZINC000189952009 357677936 /nfs/dbraw/zinc/67/79/36/357677936.db2.gz UGFZATCKMXZEDM-QWRGUYRKSA-N 0 3 238.379 2.557 20 0 BFADHN CCCCCN(CC(=O)N(C)C)[C@H](C)CCC ZINC000063608821 357678065 /nfs/dbraw/zinc/67/80/65/357678065.db2.gz DZHIRUUNFNBZQH-CYBMUJFWSA-N 0 3 242.407 2.755 20 0 BFADHN CC(C)(C)OCCN1CC(C)(C)OC(C)(C)C1 ZINC000189653154 357656208 /nfs/dbraw/zinc/65/62/08/357656208.db2.gz SOUZRRGNHZYDAP-UHFFFAOYSA-N 0 3 243.391 2.691 20 0 BFADHN CC(C)=CCNCc1c(C)nc2ccccn21 ZINC000232612737 357669524 /nfs/dbraw/zinc/66/95/24/357669524.db2.gz DZQSQBYYQFVJNV-UHFFFAOYSA-N 0 3 229.327 2.699 20 0 BFADHN Cc1cccc2c1OCC[C@@H]2N[C@H]1CCO[C@H]1C ZINC000189464548 357636966 /nfs/dbraw/zinc/63/69/66/357636966.db2.gz NJINAVXXTJKIMX-UBHSHLNASA-N 0 3 247.338 2.586 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cn(C(C)(C)C)nn1 ZINC000189951968 357677739 /nfs/dbraw/zinc/67/77/39/357677739.db2.gz UGFZATCKMXZEDM-WDEREUQCSA-N 0 3 238.379 2.557 20 0 BFADHN CCc1ccc(CN(C)CCn2cccn2)cc1 ZINC000064030922 357710983 /nfs/dbraw/zinc/71/09/83/357710983.db2.gz OKPAYXZKDDBPBB-UHFFFAOYSA-N 0 3 243.354 2.578 20 0 BFADHN CCN(Cc1ccc(C)cc1)C[C@@H]1CCCO1 ZINC000063750271 357716067 /nfs/dbraw/zinc/71/60/67/357716067.db2.gz LVPWZIJQIHTIKE-HNNXBMFYSA-N 0 3 233.355 2.996 20 0 BFADHN CCC(=O)CCN1CCS[C@H]2CCCC[C@@H]21 ZINC000190272543 357698029 /nfs/dbraw/zinc/69/80/29/357698029.db2.gz FVOWZGKGTUEDSE-STQMWFEESA-N 0 3 241.400 2.716 20 0 BFADHN CCOC[C@H](C)N[C@@H]1CCCc2ccc(O)cc21 ZINC000233734526 357741856 /nfs/dbraw/zinc/74/18/56/357741856.db2.gz DJZPFIJSVICADE-XHDPSFHLSA-N 0 3 249.354 2.784 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN1CCO[C@H](C)C1 ZINC000191044438 357744798 /nfs/dbraw/zinc/74/47/98/357744798.db2.gz HGYIHUBEKNDNKF-MJBXVCDLSA-N 0 3 223.360 2.700 20 0 BFADHN CCc1ccc(CNc2nccn2C)cc1 ZINC000234181142 357777082 /nfs/dbraw/zinc/77/70/82/357777082.db2.gz CUWWTBOOOFLCBA-UHFFFAOYSA-N 0 3 215.300 2.595 20 0 BFADHN CCN(C)c1ccc(CN[C@H]2C=CCCC2)cn1 ZINC000191315330 357766450 /nfs/dbraw/zinc/76/64/50/357766450.db2.gz QHHSQYUGXWKKAR-AWEZNQCLSA-N 0 3 245.370 2.736 20 0 BFADHN CCC[C@@H]1[C@H](C)CCCN1Cc1ncccn1 ZINC000192111808 357823021 /nfs/dbraw/zinc/82/30/21/357823021.db2.gz JILAFZAWYPYYEL-CHWSQXEVSA-N 0 3 233.359 2.877 20 0 BFADHN COc1cnccc1[C@H](C)N[C@@H](C)C1CCC1 ZINC000192848293 357856504 /nfs/dbraw/zinc/85/65/04/357856504.db2.gz BHIQDONULRKTHF-QWRGUYRKSA-N 0 3 234.343 2.929 20 0 BFADHN CSC1(CN[C@@H]2COCc3ccccc32)CC1 ZINC000235151172 357846672 /nfs/dbraw/zinc/84/66/72/357846672.db2.gz DJYCSYJPYNDMNN-CYBMUJFWSA-N 0 3 249.379 2.743 20 0 BFADHN CN1CCC[C@@H]1CN1CC(C)(C)[C@@H]1c1ccco1 ZINC000639928837 357872561 /nfs/dbraw/zinc/87/25/61/357872561.db2.gz IRSWUOIATWKLDR-OCCSQVGLSA-N 0 3 248.370 2.757 20 0 BFADHN COCCNC/C(C)=C/c1ccc(Cl)cc1 ZINC000086229237 357873455 /nfs/dbraw/zinc/87/34/55/357873455.db2.gz ALWOFVSVQCHKBH-PKNBQFBNSA-N 0 3 239.746 2.979 20 0 BFADHN COc1ncccc1CN1CCC[C@H](C)CC1 ZINC000193320050 357875887 /nfs/dbraw/zinc/87/58/87/357875887.db2.gz CCRDIRQTULLOEN-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@H](NCc1cc[nH]c1)c1c(C)nn(C)c1C ZINC000086255434 357877948 /nfs/dbraw/zinc/87/79/48/357877948.db2.gz QASZYMCLNXMXQV-ZDUSSCGKSA-N 0 3 246.358 2.606 20 0 BFADHN C=Cn1cc(CN(C)[C@H]2CC[C@@H](C)C2)cn1 ZINC000583302198 357887849 /nfs/dbraw/zinc/88/78/49/357887849.db2.gz GOSBEIASCZPONJ-YPMHNXCESA-N 0 3 219.332 2.604 20 0 BFADHN c1cnc(CN[C@@H]2CC[C@H]3CCCC[C@@H]3C2)cn1 ZINC000583307916 357890730 /nfs/dbraw/zinc/89/07/30/357890730.db2.gz HCPVRHBFUNCYDQ-MGPQQGTHSA-N 0 3 245.370 2.925 20 0 BFADHN c1cc(CN[C@H]2[C@@H]3CCC[C@@H]32)n(C2CCCC2)n1 ZINC000583764497 357938384 /nfs/dbraw/zinc/93/83/84/357938384.db2.gz KVAGLYADUNCQFA-FICVDOATSA-N 0 3 245.370 2.886 20 0 BFADHN Cc1cncc([C@H](C)NCCOC(C)(C)C)c1 ZINC000546645458 325297735 /nfs/dbraw/zinc/29/77/35/325297735.db2.gz HIUYWJUPIIFNHL-LBPRGKRZSA-N 0 3 236.359 2.856 20 0 BFADHN CCC[C@@H](CCO)N[C@@H]1CCCc2occc21 ZINC000631668156 357998846 /nfs/dbraw/zinc/99/88/46/357998846.db2.gz JZGPGPGWAIFAMJ-WCQYABFASA-N 0 3 237.343 2.798 20 0 BFADHN Cc1nnsc1CN1CC[C@H](C)C[C@@H](C)C1 ZINC000584472961 357990362 /nfs/dbraw/zinc/99/03/62/357990362.db2.gz LNTSIRHYXCETBV-VHSXEESVSA-N 0 3 239.388 2.715 20 0 BFADHN CN(Cc1cccc2c1OCCO2)C(C)(C)C ZINC000584467783 357993357 /nfs/dbraw/zinc/99/33/57/357993357.db2.gz HWYJRRJLXMJMFX-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN Cc1n[nH]cc1CN(C)CC1CC(C)(C)C1 ZINC000639971168 358020948 /nfs/dbraw/zinc/02/09/48/358020948.db2.gz CBCOWNFFLHFKHO-UHFFFAOYSA-N 0 3 221.348 2.586 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@@H](C)CC(C)C ZINC000639970397 358022740 /nfs/dbraw/zinc/02/27/40/358022740.db2.gz FFTQUAWMFHBXIM-NSHDSACASA-N 0 3 223.364 2.832 20 0 BFADHN FCCC1CCN(CCc2cscn2)CC1 ZINC000639989498 358030145 /nfs/dbraw/zinc/03/01/45/358030145.db2.gz HYBJIWHLEZUUMH-UHFFFAOYSA-N 0 3 242.363 2.757 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@H]1CCCC[C@@H]1C ZINC000639972309 358031810 /nfs/dbraw/zinc/03/18/10/358031810.db2.gz OOWGHWQIEQYEEC-WCQYABFASA-N 0 3 235.375 2.976 20 0 BFADHN C[C@]1(CO)CCCN1Cc1ccccc1Cl ZINC000546811987 325313488 /nfs/dbraw/zinc/31/34/88/325313488.db2.gz QUVGSAANGCCFFR-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN CC/C=C\CCN1CCOC[C@H]1C1CCC1 ZINC000584527920 358041456 /nfs/dbraw/zinc/04/14/56/358041456.db2.gz PCSQBOBIEONISR-NQHOJNORSA-N 0 3 223.360 2.844 20 0 BFADHN CCCC[C@H](CC)CN1CCc2n[nH]cc2C1 ZINC000546935436 325319495 /nfs/dbraw/zinc/31/94/95/325319495.db2.gz MGJJPKGIOCUKFI-LBPRGKRZSA-N 0 3 235.375 2.984 20 0 BFADHN COCCCN[C@@H](C)c1ccc(C)cc1OC ZINC000037232455 358060817 /nfs/dbraw/zinc/06/08/17/358060817.db2.gz YNIOTXBKDFVXGU-LBPRGKRZSA-N 0 3 237.343 2.691 20 0 BFADHN Cc1cc(Cl)ccc1NC(=O)CNC(C)C ZINC000037386084 358079276 /nfs/dbraw/zinc/07/92/76/358079276.db2.gz OXOARGNJUIFVHV-UHFFFAOYSA-N 0 3 240.734 2.585 20 0 BFADHN CCn1ccnc1CNC[C@H]1CCCC[C@H]1C ZINC000051924204 358102447 /nfs/dbraw/zinc/10/24/47/358102447.db2.gz RKHUKYOZVBFOFB-CHWSQXEVSA-N 0 3 235.375 2.819 20 0 BFADHN CC(C)CN(CC(=O)N[C@H](C)C(C)C)C(C)C ZINC000170908974 134254795 /nfs/dbraw/zinc/25/47/95/134254795.db2.gz FPXNSVMYHITMNB-CYBMUJFWSA-N 0 3 242.407 2.514 20 0 BFADHN CC[C@H](C)N(C)Cc1cn2ccsc2n1 ZINC000170916272 134255134 /nfs/dbraw/zinc/25/51/34/134255134.db2.gz YAWUBTDWBDBPQT-VIFPVBQESA-N 0 3 223.345 2.626 20 0 BFADHN CC[C@H](N[C@H](C)[C@@H]1CCOC1)c1nccs1 ZINC000134090031 325342166 /nfs/dbraw/zinc/34/21/66/325342166.db2.gz KJSUMHBGUAIBDT-MXWKQRLJSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@@H](c1ccncc1)N1CCC(C)(F)CC1 ZINC000640071740 358162508 /nfs/dbraw/zinc/16/25/08/358162508.db2.gz FIJXYSNQYBBFBW-NSHDSACASA-N 0 3 222.307 2.967 20 0 BFADHN Cc1cncc(CN2CCC(C)(F)CC2)c1 ZINC000640072207 358165379 /nfs/dbraw/zinc/16/53/79/358165379.db2.gz WRPRJWRVDCNTGQ-UHFFFAOYSA-N 0 3 222.307 2.714 20 0 BFADHN Cc1cccc(Cl)c1CN1C[C@H](O)C[C@H]1C ZINC000450633505 202220692 /nfs/dbraw/zinc/22/06/92/202220692.db2.gz AFTAOZFMPOVPJS-GHMZBOCLSA-N 0 3 239.746 2.604 20 0 BFADHN C[C@@H](c1cccnc1)N1CCC(C)(F)CC1 ZINC000640074444 358172817 /nfs/dbraw/zinc/17/28/17/358172817.db2.gz SQZDQXYGIRCGRB-NSHDSACASA-N 0 3 222.307 2.967 20 0 BFADHN CCC[C@@H]1CN(C[C@H]2CCC(F)(F)C2)CCO1 ZINC000450627419 202215185 /nfs/dbraw/zinc/21/51/85/202215185.db2.gz YENSXNHQZVJCOY-NWDGAFQWSA-N 0 3 247.329 2.923 20 0 BFADHN C[C@@H](NC[C@H]1CC2CCC1CC2)c1ccn(C)n1 ZINC000584779766 358176418 /nfs/dbraw/zinc/17/64/18/358176418.db2.gz MYBSYSDRQWVGPX-BLYZHGLHSA-N 0 3 247.386 2.897 20 0 BFADHN CCc1cc(CCCN2CC=C(C)CC2)on1 ZINC000450623186 202216661 /nfs/dbraw/zinc/21/66/61/202216661.db2.gz PMDSFIHVQXJCTB-UHFFFAOYSA-N 0 3 234.343 2.822 20 0 BFADHN CC1(CN2CCc3c(O)cccc3C2)CC1 ZINC000628464178 358183112 /nfs/dbraw/zinc/18/31/12/358183112.db2.gz KYDOYGPQZRPBPM-UHFFFAOYSA-N 0 3 217.312 2.550 20 0 BFADHN CC[C@]1(C)COCCN1C[C@@H]1CCC(F)(F)C1 ZINC000450631032 202217690 /nfs/dbraw/zinc/21/76/90/202217690.db2.gz BJLUAAVQWMGICE-VXGBXAGGSA-N 0 3 247.329 2.923 20 0 BFADHN Cc1cccc(C2=CCN(CC[C@H](C)O)CC2)c1 ZINC000450654951 202227550 /nfs/dbraw/zinc/22/75/50/202227550.db2.gz SEYYIPTYFCWLQI-AWEZNQCLSA-N 0 3 245.366 2.855 20 0 BFADHN C[C@@H](c1cnn(C)c1)N(C)CC12CCC(CC1)C2 ZINC000450702485 202239867 /nfs/dbraw/zinc/23/98/67/202239867.db2.gz QZRCBSJQARJWQJ-OPFPJEHXSA-N 0 3 247.386 2.993 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1nnc(C2CC2)s1 ZINC000450680082 202232156 /nfs/dbraw/zinc/23/21/56/202232156.db2.gz LOOORDCONSWENV-DTWKUNHWSA-N 0 3 239.388 2.940 20 0 BFADHN C[C@@H](O)CCCNC1(c2cccc(F)c2)CC1 ZINC000450678470 202232901 /nfs/dbraw/zinc/23/29/01/202232901.db2.gz HYNQAWRBTKNUOM-LLVKDONJSA-N 0 3 237.318 2.565 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1cc[nH]n1 ZINC000041014575 358240486 /nfs/dbraw/zinc/24/04/86/358240486.db2.gz CNNPJZKDISJJEH-WDEREUQCSA-N 0 3 209.337 2.714 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1cnc(C)s1 ZINC000090417630 491126554 /nfs/dbraw/zinc/12/65/54/491126554.db2.gz LFEGZNOJYRETRW-PSASIEDQSA-N 0 3 228.361 2.527 20 0 BFADHN Cc1ncc([C@H](C)NC[C@@]2(C)CCCO2)s1 ZINC000090419118 491126686 /nfs/dbraw/zinc/12/66/86/491126686.db2.gz WVBPVJYGQGZJBW-JOYOIKCWSA-N 0 3 240.372 2.671 20 0 BFADHN CC[C@H](NCc1cccnc1N)c1cccs1 ZINC000450715153 202242449 /nfs/dbraw/zinc/24/24/49/202242449.db2.gz HYQAOBMWVFXZAR-NSHDSACASA-N 0 3 247.367 2.966 20 0 BFADHN Cc1ccc(CN2CCSC[C@H]2C)cc1 ZINC000172593942 134268665 /nfs/dbraw/zinc/26/86/65/134268665.db2.gz GIDVHVPUMROEFF-GFCCVEGCSA-N 0 3 221.369 2.932 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1nccc(OC)n1 ZINC000450759178 202257556 /nfs/dbraw/zinc/25/75/56/202257556.db2.gz OHLXCWLSBOIIBL-RYUDHWBXSA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1nccc(OC)n1 ZINC000450759177 202259611 /nfs/dbraw/zinc/25/96/11/202259611.db2.gz OHLXCWLSBOIIBL-NWDGAFQWSA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@H](NC1CC(C)(C)C1)c1nccn1C ZINC000578207415 366392884 /nfs/dbraw/zinc/39/28/84/366392884.db2.gz WNAMXPHZFWPJRY-NSHDSACASA-N 0 3 221.348 2.649 20 0 BFADHN CCCC[C@@H](CCC)NCc1cnccn1 ZINC000226001739 358333222 /nfs/dbraw/zinc/33/32/22/358333222.db2.gz SQUNNNYWJLGGTH-GFCCVEGCSA-N 0 3 221.348 2.925 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2nccs2)C1 ZINC000230403250 491129903 /nfs/dbraw/zinc/12/99/03/491129903.db2.gz OXQKZEQWMAOTMU-VHSXEESVSA-N 0 3 210.346 2.669 20 0 BFADHN COc1ccc(CN[C@H]2CC2(C)C)c(F)c1 ZINC000228597452 358380659 /nfs/dbraw/zinc/38/06/59/358380659.db2.gz ZZPSWMCTJURDFM-LBPRGKRZSA-N 0 3 223.291 2.722 20 0 BFADHN CCn1ccnc1CNCC(C(C)C)C(C)C ZINC000229527027 358404618 /nfs/dbraw/zinc/40/46/18/358404618.db2.gz SMYQHZCQNFUFMO-UHFFFAOYSA-N 0 3 237.391 2.921 20 0 BFADHN C[C@H](NCc1ccno1)C1CCCCC1 ZINC000230614336 358408865 /nfs/dbraw/zinc/40/88/65/358408865.db2.gz PTPWNXPKJFJKBV-JTQLQIEISA-N 0 3 208.305 2.733 20 0 BFADHN COC[C@@H]1CCCN1Cc1ccc(F)cc1C ZINC000091862388 491131709 /nfs/dbraw/zinc/13/17/09/491131709.db2.gz WULZSQXONFJFNM-AWEZNQCLSA-N 0 3 237.318 2.745 20 0 BFADHN FCCCNCc1cc(F)cc(Cl)c1 ZINC000379506034 358516535 /nfs/dbraw/zinc/51/65/35/358516535.db2.gz WGRMRNZFYHGALG-UHFFFAOYSA-N 0 3 219.662 2.928 20 0 BFADHN Cc1ccc(C)c([C@H](C)NCCn2cccn2)c1 ZINC000042538909 358525821 /nfs/dbraw/zinc/52/58/21/358525821.db2.gz WVIKUDPNWLAEKK-AWEZNQCLSA-N 0 3 243.354 2.851 20 0 BFADHN Cn1ccnc1CNC(C)(C)CC(C)(C)C ZINC000043434759 358537724 /nfs/dbraw/zinc/53/77/24/358537724.db2.gz MKXFPJZTHVHVRH-UHFFFAOYSA-N 0 3 223.364 2.725 20 0 BFADHN COC(=O)c1coc(CNC[C@H]2CC=CCC2)c1 ZINC000130762098 358642467 /nfs/dbraw/zinc/64/24/67/358642467.db2.gz RBGNYTBFVQFDIR-NSHDSACASA-N 0 3 249.310 2.512 20 0 BFADHN CC(C)(C)c1ccc(CN2CCC[C@H](O)C2)cc1 ZINC000047915879 358607691 /nfs/dbraw/zinc/60/76/91/358607691.db2.gz XTLAJDZUJSXQNG-HNNXBMFYSA-N 0 3 247.382 2.941 20 0 BFADHN C[C@H](CN(C)C)Nc1nc2ccccc2s1 ZINC000130603575 358637650 /nfs/dbraw/zinc/63/76/50/358637650.db2.gz SKBUASYXEUOFQV-SECBINFHSA-N 0 3 235.356 2.658 20 0 BFADHN COC[C@H](C)N[C@H](C)c1ccc(F)c(OC)c1 ZINC000131448656 358676367 /nfs/dbraw/zinc/67/63/67/358676367.db2.gz PSIBVBFIQYESAF-VHSXEESVSA-N 0 3 241.306 2.520 20 0 BFADHN COc1cc(C)nc(CN[C@@H]2CC=CCC2)c1 ZINC000214091010 358753270 /nfs/dbraw/zinc/75/32/70/358753270.db2.gz OWJIMPUJVAYXPF-GFCCVEGCSA-N 0 3 232.327 2.597 20 0 BFADHN CC[C@@H](NCC(=O)N(C)C(C)C)c1ccccc1 ZINC000051628196 358757177 /nfs/dbraw/zinc/75/71/77/358757177.db2.gz MWMJDLRMQPPPLG-CQSZACIVSA-N 0 3 248.370 2.594 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@](C)(O)C(C)C)o1 ZINC000133549168 358758591 /nfs/dbraw/zinc/75/85/91/358758591.db2.gz UOLFHFMPMHBZEX-WCQYABFASA-N 0 3 225.332 2.646 20 0 BFADHN COc1cc(C)nc(CNC[C@H]2CC=CCC2)c1 ZINC000214103389 358759323 /nfs/dbraw/zinc/75/93/23/358759323.db2.gz JLYJHGYEUHEFBI-ZDUSSCGKSA-N 0 3 246.354 2.845 20 0 BFADHN COc1cc(C)nc(CNCC2CCCC2)c1 ZINC000214108777 358759656 /nfs/dbraw/zinc/75/96/56/358759656.db2.gz HLBOKYHCXWWQLT-UHFFFAOYSA-N 0 3 234.343 2.678 20 0 BFADHN COC(=O)CCCN(C)Cc1ccc(C)c(C)c1 ZINC000050065079 358711881 /nfs/dbraw/zinc/71/18/81/358711881.db2.gz DNLQCXIEGOCRKD-UHFFFAOYSA-N 0 3 249.354 2.688 20 0 BFADHN CCCCN(C/C=C/c1ccccc1)CCO ZINC000050106119 358715779 /nfs/dbraw/zinc/71/57/79/358715779.db2.gz OAMLEBGJOJCPRX-JXMROGBWSA-N 0 3 233.355 2.794 20 0 BFADHN CC[C@H](C)C[N@H+](C)Cc1nc(C)ccc1[O-] ZINC000132586023 358728564 /nfs/dbraw/zinc/72/85/64/358728564.db2.gz AMIKLFXXMLHINU-JTQLQIEISA-N 0 3 222.332 2.574 20 0 BFADHN CC[C@H](C)C[N@@H+](C)Cc1nc(C)ccc1[O-] ZINC000132586023 358728567 /nfs/dbraw/zinc/72/85/67/358728567.db2.gz AMIKLFXXMLHINU-JTQLQIEISA-N 0 3 222.332 2.574 20 0 BFADHN CCC[C@@H](CC)NCc1ccoc1C(=O)OC ZINC000050402298 358729314 /nfs/dbraw/zinc/72/93/14/358729314.db2.gz WVKXIPYEYYZVKU-LLVKDONJSA-N 0 3 239.315 2.735 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cnc(N)s1 ZINC000214223247 358769571 /nfs/dbraw/zinc/76/95/71/358769571.db2.gz DOLYCIFEJDUJMO-JTQLQIEISA-N 0 3 239.388 2.880 20 0 BFADHN CS[C@@H](C)CN[C@H]1CCCc2cccnc21 ZINC000169093444 358737172 /nfs/dbraw/zinc/73/71/72/358737172.db2.gz FIGSWWXPUSGDOB-JQWIXIFHSA-N 0 3 236.384 2.800 20 0 BFADHN CN(Cc1nc2cc(F)ccc2o1)CC1CC1 ZINC000133029266 358743149 /nfs/dbraw/zinc/74/31/49/358743149.db2.gz PHYKRMNTUPLRNO-UHFFFAOYSA-N 0 3 234.274 2.809 20 0 BFADHN C[C@H](NCc1ccsc1Cl)C(C)(C)O ZINC000308512087 491136774 /nfs/dbraw/zinc/13/67/74/491136774.db2.gz LIRYRHHTAHKYPA-ZETCQYMHSA-N 0 3 233.764 2.651 20 0 BFADHN Cc1ccc([C@@H](C)NCC2(CO)CCCC2)o1 ZINC000135066872 358819214 /nfs/dbraw/zinc/81/92/14/358819214.db2.gz FIDJOEDFRHTHLC-GFCCVEGCSA-N 0 3 237.343 2.791 20 0 BFADHN CC(C)n1cc(CN2CC[C@@H](C)[C@H]2C)cn1 ZINC000180468979 366458939 /nfs/dbraw/zinc/45/89/39/366458939.db2.gz SDJHUFUCEIQPQX-VXGBXAGGSA-N 0 3 221.348 2.694 20 0 BFADHN CC[C@H](NCc1nccn1C)C1CCCCC1 ZINC000052336048 358779828 /nfs/dbraw/zinc/77/98/28/358779828.db2.gz BHJOQVFLDAXZPE-ZDUSSCGKSA-N 0 3 235.375 2.869 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1ccccc1F ZINC000134195933 358782944 /nfs/dbraw/zinc/78/29/44/358782944.db2.gz KLTYNRSKHLLUTH-GHMZBOCLSA-N 0 3 225.307 2.637 20 0 BFADHN CCOc1cccc(CNC(C)(C)COC)c1 ZINC000134290590 358785817 /nfs/dbraw/zinc/78/58/17/358785817.db2.gz IVOSHMBNRPBSHN-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN CCCCN(C)CCN[C@H](C)c1ccco1 ZINC000053345051 358824771 /nfs/dbraw/zinc/82/47/71/358824771.db2.gz WSPAPRICBWJROR-GFCCVEGCSA-N 0 3 224.348 2.662 20 0 BFADHN CS[C@@H]1CCCCN(C/C=C/Cl)C1 ZINC000134569227 358796079 /nfs/dbraw/zinc/79/60/79/358796079.db2.gz WIGVXVPULPGOKL-DFVUYQKZSA-N 0 3 219.781 2.956 20 0 BFADHN Cc1cc(CN[C@]2(C)CCOC2)ccc1Cl ZINC000134656565 358800438 /nfs/dbraw/zinc/80/04/38/358800438.db2.gz FFEQFGNSZYJGNK-CYBMUJFWSA-N 0 3 239.746 2.917 20 0 BFADHN Cc1nnc(CN[C@H](C)C2CCCCC2)s1 ZINC000134721764 358803796 /nfs/dbraw/zinc/80/37/96/358803796.db2.gz UNZBUKJFRGXRRX-SECBINFHSA-N 0 3 239.388 2.905 20 0 BFADHN CCN(Cc1cccnc1OC)[C@@H](C)C(C)C ZINC000176460734 134291887 /nfs/dbraw/zinc/29/18/87/134291887.db2.gz OYGZJEDGGSVXFB-LBPRGKRZSA-N 0 3 236.359 2.957 20 0 BFADHN COc1ncccc1CN1C[C@H](C)C[C@H](C)C1 ZINC000176452251 134291896 /nfs/dbraw/zinc/29/18/96/134291896.db2.gz VZGIOJLGRJEOFJ-TXEJJXNPSA-N 0 3 234.343 2.568 20 0 BFADHN CCN(CCOC)[C@@H](C)c1ccc(F)cc1 ZINC000053088503 358807735 /nfs/dbraw/zinc/80/77/35/358807735.db2.gz QJXDWEHUXOKBBV-NSHDSACASA-N 0 3 225.307 2.855 20 0 BFADHN Cc1nc2ccccn2c1CN(C)CCC1CC1 ZINC000135294785 358828932 /nfs/dbraw/zinc/82/89/32/358828932.db2.gz UATGXCMUNSJSBS-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1nccn1C ZINC000135342828 358832498 /nfs/dbraw/zinc/83/24/98/358832498.db2.gz BKMKFLMHKAJCJA-OLZOCXBDSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1ccncc1CNC1C(C)(C)C1(C)C ZINC000135465822 358839940 /nfs/dbraw/zinc/83/99/40/358839940.db2.gz XYERWDPRVBESFW-UHFFFAOYSA-N 0 3 218.344 2.914 20 0 BFADHN C[C@H](CO)N(C)Cc1ccc(C(C)(C)C)cc1 ZINC000135509880 358840503 /nfs/dbraw/zinc/84/05/03/358840503.db2.gz NCHIXRVIAYTVSE-GFCCVEGCSA-N 0 3 235.371 2.797 20 0 BFADHN COc1ccc(CN2CC[C@@H](C(C)C)C2)cc1O ZINC000135648667 358847420 /nfs/dbraw/zinc/84/74/20/358847420.db2.gz FOAHFOOYOKPTFI-CYBMUJFWSA-N 0 3 249.354 2.879 20 0 BFADHN C[C@@H]1CCCN(CCOc2ccccc2F)C1 ZINC000058874119 358915164 /nfs/dbraw/zinc/91/51/64/358915164.db2.gz VTFXAARPYUZZFK-GFCCVEGCSA-N 0 3 237.318 2.936 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc(OC)cc1 ZINC000223628626 491137783 /nfs/dbraw/zinc/13/77/83/491137783.db2.gz SYFVSIMDVVGSJJ-ZWNMCFTASA-N 0 3 205.301 2.922 20 0 BFADHN Cn1cc(CN2CC[C@]2(C)C2CCCCC2)cn1 ZINC000640217843 358875582 /nfs/dbraw/zinc/87/55/82/358875582.db2.gz BESCICJYEMRJFH-OAHLLOKOSA-N 0 3 247.386 2.965 20 0 BFADHN Cc1noc(CN2CCC[C@@H]2C2CCCC2)n1 ZINC000055927494 358892810 /nfs/dbraw/zinc/89/28/10/358892810.db2.gz PTUZJVDRGZQOGS-GFCCVEGCSA-N 0 3 235.331 2.533 20 0 BFADHN C[C@H]1C[C@@H](c2nc([C@H]3CC34CC4)no2)CCN1C ZINC000351318843 366503079 /nfs/dbraw/zinc/50/30/79/366503079.db2.gz SBCMNXNMAGVHMZ-GARJFASQSA-N 0 3 247.342 2.535 20 0 BFADHN Cc1cc(CNC(C(C)C)C(C)C)on1 ZINC000308792314 491138227 /nfs/dbraw/zinc/13/82/27/491138227.db2.gz DGKVGFDPYBVPLP-UHFFFAOYSA-N 0 3 210.321 2.753 20 0 BFADHN COc1cc(CNCC(C)(C)C(C)C)sn1 ZINC000399113167 366506835 /nfs/dbraw/zinc/50/68/35/366506835.db2.gz JBFTUBIOQRPEHD-UHFFFAOYSA-N 0 3 242.388 2.924 20 0 BFADHN CC[C@@H]1CCN1C[C@@H]1CC1(Cl)Cl ZINC000306161159 358966122 /nfs/dbraw/zinc/96/61/22/358966122.db2.gz RBDKBFDXPLHHPX-JGVFFNPUSA-N 0 3 208.132 2.665 20 0 BFADHN CCCN[C@@H](C)c1cc(OC)c(OC)cc1F ZINC000061561606 358933914 /nfs/dbraw/zinc/93/39/14/358933914.db2.gz XNZBAKXECXWUGI-VIFPVBQESA-N 0 3 241.306 2.904 20 0 BFADHN CCCN[C@H](C)c1cc(OC)c(OC)cc1F ZINC000061561607 358934024 /nfs/dbraw/zinc/93/40/24/358934024.db2.gz XNZBAKXECXWUGI-SECBINFHSA-N 0 3 241.306 2.904 20 0 BFADHN COC[C@@H](NCc1cccc(C)n1)C1CCCC1 ZINC000527099064 358937665 /nfs/dbraw/zinc/93/76/65/358937665.db2.gz YQCYIVUFKSGSAC-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccccc2OC)CCO1 ZINC000070343099 359049495 /nfs/dbraw/zinc/04/94/95/359049495.db2.gz LNXAVWBFSCOKJW-KGLIPLIRSA-N 0 3 249.354 2.742 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccccc2F)CCO1 ZINC000070344790 359049513 /nfs/dbraw/zinc/04/95/13/359049513.db2.gz RYIJJLWVFXJIEW-QWHCGFSZSA-N 0 3 237.318 2.873 20 0 BFADHN C[C@H](NCCC1CCCC1)c1nccn1C ZINC000070007826 359037810 /nfs/dbraw/zinc/03/78/10/359037810.db2.gz WEUWDJLJCGQWOG-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN C[C@@H](N[C@@H](C)c1cnccn1)C1CCCC1 ZINC000070025998 359039320 /nfs/dbraw/zinc/03/93/20/359039320.db2.gz RXPZTMSMZYGBPW-MNOVXSKESA-N 0 3 219.332 2.706 20 0 BFADHN CC(C)[C@@H]1C[C@H](N[C@@H](C)c2ccccn2)CCO1 ZINC000070345810 359050184 /nfs/dbraw/zinc/05/01/84/359050184.db2.gz AHWXTTMHXOUOES-GUTXKFCHSA-N 0 3 248.370 2.936 20 0 BFADHN Fc1ccc(C2CC(NCc3ncc[nH]3)C2)cc1 ZINC000070977026 359067486 /nfs/dbraw/zinc/06/74/86/359067486.db2.gz JRFNUWAXSMMHQN-UHFFFAOYSA-N 0 3 245.301 2.585 20 0 BFADHN Cn1nccc1CN[C@H](c1cccs1)C1CC1 ZINC000071138708 359072128 /nfs/dbraw/zinc/07/21/28/359072128.db2.gz QVBSCOAWKBDKOB-ZDUSSCGKSA-N 0 3 247.367 2.723 20 0 BFADHN CCC[C@@H]1[C@H](C)CCCN1Cc1nccn1C ZINC000072776516 359089537 /nfs/dbraw/zinc/08/95/37/359089537.db2.gz BWNRBOJKDANMBM-CHWSQXEVSA-N 0 3 235.375 2.821 20 0 BFADHN c1cnn([C@@H]2CCCC[C@@H]2NCc2ccco2)c1 ZINC000072746289 359089934 /nfs/dbraw/zinc/08/99/34/359089934.db2.gz RZKYNUYBNBIYSH-UONOGXRCSA-N 0 3 245.326 2.750 20 0 BFADHN COCCCCN(C)Cc1ccccc1F ZINC000074350792 359116576 /nfs/dbraw/zinc/11/65/76/359116576.db2.gz VTZOMAZUTZTQEO-UHFFFAOYSA-N 0 3 225.307 2.684 20 0 BFADHN C[C@H](NCC1CCC(C)CC1)c1nccn1C ZINC000070445512 359055768 /nfs/dbraw/zinc/05/57/68/359055768.db2.gz MIAHYODMUZXJMK-CPCZMJQVSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@@H]1CCc2nc(CNCC3CC3)sc2C1 ZINC000070671998 359062154 /nfs/dbraw/zinc/06/21/54/359062154.db2.gz WNNWVRXKQZBHOI-SECBINFHSA-N 0 3 236.384 2.768 20 0 BFADHN CC[C@@H]1CN(CCC(C)(C)C)C[C@@H](C)O1 ZINC000246691783 359158010 /nfs/dbraw/zinc/15/80/10/359158010.db2.gz HVOSPKDPSRLJCR-VXGBXAGGSA-N 0 3 213.365 2.922 20 0 BFADHN C(N1CCO[C@@H](C2CC2)C1)C12CCC(CC1)C2 ZINC000450768545 202262457 /nfs/dbraw/zinc/26/24/57/202262457.db2.gz WRGYZXTVXYFLKF-HNFVBEJKSA-N 0 3 235.371 2.678 20 0 BFADHN C[C@H](NC[C@@]1(C)CCCC[C@H]1O)c1ccco1 ZINC000246955567 359177250 /nfs/dbraw/zinc/17/72/50/359177250.db2.gz HOUXFSUQWHJPGF-IACUBPJLSA-N 0 3 237.343 2.871 20 0 BFADHN CC[C@@H](C)CN(C)[C@H](C)C(=O)NCCC(C)C ZINC000247726442 359241710 /nfs/dbraw/zinc/24/17/10/359241710.db2.gz SRYPVOOMZRGIMT-CHWSQXEVSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@H]1CCN(CCCCO)[C@@H](c2ccco2)C1 ZINC000247702231 359242465 /nfs/dbraw/zinc/24/24/65/359242465.db2.gz YDQVWEBSLWGTRG-QWHCGFSZSA-N 0 3 237.343 2.825 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)[C@H](C)CO)o1 ZINC000247953208 359248977 /nfs/dbraw/zinc/24/89/77/359248977.db2.gz FGGITNCPNDPSOO-MXWKQRLJSA-N 0 3 225.332 2.510 20 0 BFADHN CC[C@@H]1CCCCN1CC(=O)NC(C)(C)CC ZINC000248297457 359261233 /nfs/dbraw/zinc/26/12/33/359261233.db2.gz YCPUUPDJFKLPBJ-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CCOC(=O)CCN1C[C@H](C)[C@H]1c1ccccc1 ZINC000248334299 359264101 /nfs/dbraw/zinc/26/41/01/359264101.db2.gz GBYWSKFOCHXNBG-WFASDCNBSA-N 0 3 247.338 2.633 20 0 BFADHN CCOC(=O)CCN1C[C@@H](C)[C@H]1c1ccccc1 ZINC000248334298 359264673 /nfs/dbraw/zinc/26/46/73/359264673.db2.gz GBYWSKFOCHXNBG-DOMZBBRYSA-N 0 3 247.338 2.633 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCO2)[C@@H]1c1ccccc1 ZINC000248339908 359265257 /nfs/dbraw/zinc/26/52/57/359265257.db2.gz IJWQSIWDCFKRHA-YUELXQCFSA-N 0 3 231.339 2.858 20 0 BFADHN CC[C@@H](NCc1cccc(F)c1F)C(C)(C)O ZINC000292905032 359325365 /nfs/dbraw/zinc/32/53/65/359325365.db2.gz DYVJKCWIVUFRIX-LLVKDONJSA-N 0 3 243.297 2.604 20 0 BFADHN CC[C@@H](NCc1ccnn1C)c1cccc(C)c1 ZINC000285868138 359327895 /nfs/dbraw/zinc/32/78/95/359327895.db2.gz YVQXTOFLAZEMJN-OAHLLOKOSA-N 0 3 243.354 2.969 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1oc(C)nc1C ZINC000308926343 491140421 /nfs/dbraw/zinc/14/04/21/491140421.db2.gz IIXSXDLUZXQYMO-BDAKNGLRSA-N 0 3 210.321 2.816 20 0 BFADHN CCC[C@H](C)N[C@@H](CC)c1ccn(C)n1 ZINC000308969351 491141251 /nfs/dbraw/zinc/14/12/51/491141251.db2.gz YDEZCPBHJCNIQF-QWRGUYRKSA-N 0 3 209.337 2.649 20 0 BFADHN CCC[C@@H](C)N[C@H](CC)c1ccn(C)n1 ZINC000308969348 491141263 /nfs/dbraw/zinc/14/12/63/491141263.db2.gz YDEZCPBHJCNIQF-GHMZBOCLSA-N 0 3 209.337 2.649 20 0 BFADHN Cc1c([C@@H](C)NCCCF)cnn1C(C)C ZINC000191383262 359559738 /nfs/dbraw/zinc/55/97/38/359559738.db2.gz XRYXGTMZZZHLQX-SNVBAGLBSA-N 0 3 227.327 2.783 20 0 BFADHN COCC1(N[C@H](C)c2ccsc2)CC1 ZINC000379585673 359561111 /nfs/dbraw/zinc/56/11/11/359561111.db2.gz PUOFYHMJOPZMJI-SECBINFHSA-N 0 3 211.330 2.578 20 0 BFADHN CN1CCC[C@@H](NCc2cscc2Cl)C1 ZINC000308965910 491141492 /nfs/dbraw/zinc/14/14/92/491141492.db2.gz VPBVZJWPZJAQHB-SNVBAGLBSA-N 0 3 244.791 2.585 20 0 BFADHN C/C=C/CN[C@@H](C)c1cnn(C(C)C)c1 ZINC000309039179 491143106 /nfs/dbraw/zinc/14/31/06/491143106.db2.gz KCLSSSQPHWKXEU-QRGHLMKCSA-N 0 3 207.321 2.691 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2ccc(CO)o2)C1 ZINC000628494951 359692705 /nfs/dbraw/zinc/69/27/05/359692705.db2.gz DPPJLADBIKAUHS-ZRMMWKCHSA-N 0 3 249.354 2.950 20 0 BFADHN CCN(Cc1cc[nH]n1)[C@@H]1CCCC[C@H]1C ZINC000450811837 202273716 /nfs/dbraw/zinc/27/37/16/202273716.db2.gz QJHWIUFJADOKQG-DGCLKSJQSA-N 0 3 221.348 2.810 20 0 BFADHN CCN(Cc1cnns1)[C@@H]1CCCC[C@@H]1C ZINC000450813163 202273850 /nfs/dbraw/zinc/27/38/50/202273850.db2.gz VCFMCIPENQJDMO-CMPLNLGQSA-N 0 3 239.388 2.939 20 0 BFADHN C[C@@H]1CN(CC2CC(F)(F)C2)[C@H](C)[C@H](C)O1 ZINC000450826129 202279442 /nfs/dbraw/zinc/27/94/42/202279442.db2.gz HJRFFTHWFMGQBF-BBBLOLIVSA-N 0 3 233.302 2.529 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)[C@@H](C)[C@H](C)O1 ZINC000450832765 202280804 /nfs/dbraw/zinc/28/08/04/202280804.db2.gz WNGJLNRYTYYUMR-NOOOWODRSA-N 0 3 247.329 2.920 20 0 BFADHN CC[C@@]1(C)CN(CC2=CCCCC2)CCO1 ZINC000450835982 202282991 /nfs/dbraw/zinc/28/29/91/202282991.db2.gz YHCJVUYZDWQPKV-AWEZNQCLSA-N 0 3 223.360 2.988 20 0 BFADHN c1nc(CCN2CCCC3(CCC3)C2)cs1 ZINC000450885139 202298765 /nfs/dbraw/zinc/29/87/65/202298765.db2.gz UJHFLZZBFWNJII-UHFFFAOYSA-N 0 3 236.384 2.952 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccsc2)n[nH]1 ZINC000309096403 491144752 /nfs/dbraw/zinc/14/47/52/491144752.db2.gz DAJOEQQTJVEYDE-SECBINFHSA-N 0 3 221.329 2.630 20 0 BFADHN CC1CCC(O)(CN2CCC[C@](C)(F)C2)CC1 ZINC000451115447 202354789 /nfs/dbraw/zinc/35/47/89/202354789.db2.gz OKKFXYIKYWMCHV-MOKVOYLWSA-N 0 3 243.366 2.752 20 0 BFADHN Cc1cc(CN[C@H](C)[C@H]2CC2(C)C)no1 ZINC000309104383 491145057 /nfs/dbraw/zinc/14/50/57/491145057.db2.gz HGQOEZCEQXARJI-MWLCHTKSSA-N 0 3 208.305 2.507 20 0 BFADHN C[C@]1(CNCc2cscc2Cl)CCOC1 ZINC000309109170 491145082 /nfs/dbraw/zinc/14/50/82/491145082.db2.gz JBNOSWHNWWWBBT-LLVKDONJSA-N 0 3 245.775 2.918 20 0 BFADHN Cc1ccccc1CCN(C)CCOC(C)C ZINC000451171153 202370263 /nfs/dbraw/zinc/37/02/63/202370263.db2.gz RMXIMJPSNKBDFE-UHFFFAOYSA-N 0 3 235.371 2.894 20 0 BFADHN C1=C(CCN(C2CC2)C2COC2)CCCC1 ZINC000451128224 202360487 /nfs/dbraw/zinc/36/04/87/202360487.db2.gz XBEMWZAWNGBJMU-UHFFFAOYSA-N 0 3 221.344 2.740 20 0 BFADHN CCc1ccc(CCN2CC[C@@H](F)C2)cc1 ZINC000451191026 202376675 /nfs/dbraw/zinc/37/66/75/202376675.db2.gz QYXTYHMWFCHMMA-CQSZACIVSA-N 0 3 221.319 2.835 20 0 BFADHN CC(C)CSCCCN1CC[C@H](F)C1 ZINC000451198358 202378699 /nfs/dbraw/zinc/37/86/99/202378699.db2.gz PFVLNNWFDWSPDW-NSHDSACASA-N 0 3 219.369 2.810 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCO[C@H]2C)ccc1F ZINC000451198073 202379554 /nfs/dbraw/zinc/37/95/54/202379554.db2.gz TYICCUCNVUCYHT-SUNKGSAMSA-N 0 3 237.318 2.962 20 0 BFADHN Fc1cccc(CCCN2CC[C@@H](F)C2)c1 ZINC000451201756 202379741 /nfs/dbraw/zinc/37/97/41/202379741.db2.gz VGHGMOWELODIHI-CYBMUJFWSA-N 0 3 225.282 2.802 20 0 BFADHN CCN(C[C@H]1CCCC(F)(F)C1)C1COC1 ZINC000451284838 202395548 /nfs/dbraw/zinc/39/55/48/202395548.db2.gz KBKQBPPFZGRGRQ-JTQLQIEISA-N 0 3 233.302 2.533 20 0 BFADHN CCN(C[C@H]1CCc2ccccc2C1)C1COC1 ZINC000451286172 202395773 /nfs/dbraw/zinc/39/57/73/202395773.db2.gz NQTKZPQTESIIAL-ZDUSSCGKSA-N 0 3 245.366 2.512 20 0 BFADHN Cc1noc(CN2CCC[C@@]2(C)CC(C)C)n1 ZINC000451294792 202397536 /nfs/dbraw/zinc/39/75/36/202397536.db2.gz YCPIVBWZOFSEJA-ZDUSSCGKSA-N 0 3 237.347 2.779 20 0 BFADHN Cc1noc(CN2CCC[C@]2(C)CC(C)C)n1 ZINC000451294791 202397908 /nfs/dbraw/zinc/39/79/08/202397908.db2.gz YCPIVBWZOFSEJA-CYBMUJFWSA-N 0 3 237.347 2.779 20 0 BFADHN COC[C@H](N[C@H](C)c1nc(C)cs1)C(C)C ZINC000087480866 359913979 /nfs/dbraw/zinc/91/39/79/359913979.db2.gz SSTWYGAAXJUEOG-MNOVXSKESA-N 0 3 242.388 2.773 20 0 BFADHN CC[C@H]1CN(C)CCN1Cc1ccc(C)c(C)c1 ZINC000578562248 366600559 /nfs/dbraw/zinc/60/05/59/366600559.db2.gz WEAJTJBQOXROOU-INIZCTEOSA-N 0 3 246.398 2.829 20 0 BFADHN C[C@H](NC[C@H]1CCCS1)c1cccc(O)c1 ZINC000087668185 359923447 /nfs/dbraw/zinc/92/34/47/359923447.db2.gz WNVYRJVZBIUTAQ-GXFFZTMASA-N 0 3 237.368 2.938 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2ccco2)CCO1 ZINC000088169636 359939241 /nfs/dbraw/zinc/93/92/41/359939241.db2.gz MDIRWLWNBFZMSX-IJLUTSLNSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@H](N[C@@H]1CCCCC[C@H]1O)c1ccco1 ZINC000088169676 359939658 /nfs/dbraw/zinc/93/96/58/359939658.db2.gz FIJWACXFYIOLID-QJPTWQEYSA-N 0 3 223.316 2.624 20 0 BFADHN COCc1ccc(CN[C@H]2CCC[C@H](F)C2)o1 ZINC000578768893 366640706 /nfs/dbraw/zinc/64/07/06/366640706.db2.gz ZPTOGJCVDOHCIN-QWRGUYRKSA-N 0 3 241.306 2.796 20 0 BFADHN Cc1nc(CNCCCC(C)(C)C)[nH]c1C ZINC000578884008 366667712 /nfs/dbraw/zinc/66/77/12/366667712.db2.gz HZLBKJONPVUSDJ-UHFFFAOYSA-N 0 3 223.364 2.942 20 0 BFADHN Cc1ccc2c(c1)[C@H](NC1CSC1)CC2 ZINC000309221739 491146302 /nfs/dbraw/zinc/14/63/02/491146302.db2.gz VJNBJKKOCLKAOO-CYBMUJFWSA-N 0 3 219.353 2.687 20 0 BFADHN CC[C@@H](C)CN1CCc2ccc(O)cc2C1 ZINC000088525081 359964892 /nfs/dbraw/zinc/96/48/92/359964892.db2.gz OUUURTPPFGIKRL-LLVKDONJSA-N 0 3 219.328 2.796 20 0 BFADHN CCn1ncnc1CNC(C)(C)CC(C)(C)C ZINC000088590929 359969419 /nfs/dbraw/zinc/96/94/19/359969419.db2.gz SXSKTZGZZUAQKT-UHFFFAOYSA-N 0 3 238.379 2.602 20 0 BFADHN c1c(CN[C@H]2CC=CCC2)nc2ccccn12 ZINC000088746578 359974020 /nfs/dbraw/zinc/97/40/20/359974020.db2.gz LBCKFQKKNBPIGT-LBPRGKRZSA-N 0 3 227.311 2.533 20 0 BFADHN CC[C@H](F)CN[C@H]1C[C@@](C)(OC)C1(C)C ZINC000451495650 202431427 /nfs/dbraw/zinc/43/14/27/202431427.db2.gz TUZTWSXMVKEFDK-JBLDHEPKSA-N 0 3 217.328 2.528 20 0 BFADHN CC[C@@H](N[C@@H](CC)COC)c1c(C)noc1C ZINC000090886977 360092688 /nfs/dbraw/zinc/09/26/88/360092688.db2.gz PNKUSKLMFOCZJY-NWDGAFQWSA-N 0 3 240.347 2.757 20 0 BFADHN CSCCN1CCC[C@@H]1c1c(C)n[nH]c1C ZINC000091081285 360101765 /nfs/dbraw/zinc/10/17/65/360101765.db2.gz OYNFBKJWNXAOEF-LLVKDONJSA-N 0 3 239.388 2.526 20 0 BFADHN CCn1cncc1CNCc1ccc(C)cc1 ZINC000090169832 360060120 /nfs/dbraw/zinc/06/01/20/360060120.db2.gz ZNILMWOWUDFJIZ-UHFFFAOYSA-N 0 3 229.327 2.501 20 0 BFADHN C[C@H](N[C@@H]1CCOC1)c1ccc2ccccc2n1 ZINC000090774115 360086117 /nfs/dbraw/zinc/08/61/17/360086117.db2.gz VSAHASIQNXZATN-WCQYABFASA-N 0 3 242.322 2.674 20 0 BFADHN Cn1nccc1CN(CC1CCCCC1)C1CC1 ZINC000092165193 360153509 /nfs/dbraw/zinc/15/35/09/360153509.db2.gz BGHQAMHIGKVDEL-UHFFFAOYSA-N 0 3 247.386 2.965 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CCCCC1 ZINC000092107529 360153150 /nfs/dbraw/zinc/15/31/50/360153150.db2.gz VVWYEJYTUFPSTN-UHFFFAOYSA-N 0 3 235.375 2.531 20 0 BFADHN Cc1ccn2c(CN3CC[C@@H](C)[C@@H]3C)cnc2c1 ZINC000091859719 360132873 /nfs/dbraw/zinc/13/28/73/360132873.db2.gz PWGDHNIAQKURAI-OLZOCXBDSA-N 0 3 243.354 2.873 20 0 BFADHN COc1ccc(CN2CC[C@H](C)[C@H]2C)c(OC)c1 ZINC000091859170 360132950 /nfs/dbraw/zinc/13/29/50/360132950.db2.gz XKNQGYNSBKJTQP-NWDGAFQWSA-N 0 3 249.354 2.934 20 0 BFADHN COC(=O)c1ccccc1CN1[C@H](C)CC[C@@H]1C ZINC000091937276 360137877 /nfs/dbraw/zinc/13/78/77/360137877.db2.gz FZNWTMJEZBXPMI-TXEJJXNPSA-N 0 3 247.338 2.846 20 0 BFADHN CN(CCC1CCCCC1)Cc1ccnn1C ZINC000092784478 360196654 /nfs/dbraw/zinc/19/66/54/360196654.db2.gz FKIZIELSQFNUGL-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC[C@H](C)C2)CCO1 ZINC000092792469 360198131 /nfs/dbraw/zinc/19/81/31/360198131.db2.gz ZRTYYVDYPGJJCM-YNEHKIRRSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC[C@H](C)C2)CCO1 ZINC000092792467 360199122 /nfs/dbraw/zinc/19/91/22/360199122.db2.gz ZRTYYVDYPGJJCM-XQQFMLRXSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1nn(C)c(C)c1CNC1C(C)(C)C1(C)C ZINC000092816186 360200977 /nfs/dbraw/zinc/20/09/77/360200977.db2.gz RQDJFPFFOKFQAB-UHFFFAOYSA-N 0 3 235.375 2.561 20 0 BFADHN CCCn1nc(C)c(CN2CCCCC2)c1C ZINC000092106870 360154147 /nfs/dbraw/zinc/15/41/47/360154147.db2.gz MYYWAKBKULBBSA-UHFFFAOYSA-N 0 3 235.375 2.896 20 0 BFADHN CN(Cc1cccc2c1OCO2)CC(C)(C)C ZINC000092217620 360157312 /nfs/dbraw/zinc/15/73/12/360157312.db2.gz WISKLWBQJKPZMD-UHFFFAOYSA-N 0 3 235.327 2.893 20 0 BFADHN CCc1nn(C)cc1CNCc1cccc(C)c1 ZINC000092366841 360165116 /nfs/dbraw/zinc/16/51/16/360165116.db2.gz BRJCOBAFSSBIHY-UHFFFAOYSA-N 0 3 243.354 2.581 20 0 BFADHN CCOCCN[C@@H]1CCCc2ccc(OC)cc21 ZINC000092383588 360165631 /nfs/dbraw/zinc/16/56/31/360165631.db2.gz COAMPNUNZJMTDM-OAHLLOKOSA-N 0 3 249.354 2.699 20 0 BFADHN CC(C)n1ccc(CN[C@@H]2CC[C@@H](C)C2)n1 ZINC000122014634 360175862 /nfs/dbraw/zinc/17/58/62/360175862.db2.gz VOEDRSNFWSEVPH-VXGBXAGGSA-N 0 3 221.348 2.742 20 0 BFADHN CCOCCN(CC)Cc1ccc(F)c(C)c1 ZINC000092537752 360177814 /nfs/dbraw/zinc/17/78/14/360177814.db2.gz RREPJSGSBCNHRT-UHFFFAOYSA-N 0 3 239.334 2.993 20 0 BFADHN Cc1ncsc1CN1C[C@@H](C)S[C@H](C)C1 ZINC000092541259 360178487 /nfs/dbraw/zinc/17/84/87/360178487.db2.gz XTAKERWRCJNCTC-RKDXNWHRSA-N 0 3 242.413 2.777 20 0 BFADHN CC[N@H+](Cc1nc(C)ccc1[O-])[C@@H](C)C(C)C ZINC000092590206 360185557 /nfs/dbraw/zinc/18/55/57/360185557.db2.gz BYKIMJNAAOIXEJ-LBPRGKRZSA-N 0 3 236.359 2.962 20 0 BFADHN CC[N@@H+](Cc1nc(C)ccc1[O-])[C@@H](C)C(C)C ZINC000092590206 360185562 /nfs/dbraw/zinc/18/55/62/360185562.db2.gz BYKIMJNAAOIXEJ-LBPRGKRZSA-N 0 3 236.359 2.962 20 0 BFADHN C1=CC[C@@H](CN2CCOCC23CCC3)CC1 ZINC000093509895 360253989 /nfs/dbraw/zinc/25/39/89/360253989.db2.gz PPISRRWYFAAHPK-CYBMUJFWSA-N 0 3 221.344 2.598 20 0 BFADHN Cc1noc(C)c1CN(C)[C@H]1CC[C@H](C)C1 ZINC000092903487 360206436 /nfs/dbraw/zinc/20/64/36/360206436.db2.gz DHLYDPDEDQKYDE-CABZTGNLSA-N 0 3 222.332 2.912 20 0 BFADHN c1cnn([C@@H]2CCCN(Cc3ccsc3)C2)c1 ZINC000092968332 360207347 /nfs/dbraw/zinc/20/73/47/360207347.db2.gz NBPZJZFCSIJJJW-CYBMUJFWSA-N 0 3 247.367 2.782 20 0 BFADHN c1cc(CN2CCC3(CCCCC3)CC2)n[nH]1 ZINC000092969077 360207937 /nfs/dbraw/zinc/20/79/37/360207937.db2.gz KNMIBIQINNTYLR-UHFFFAOYSA-N 0 3 233.359 2.956 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1cccn1C ZINC000093558975 360256684 /nfs/dbraw/zinc/25/66/84/360256684.db2.gz KWFAHKRETVTNIV-LLVKDONJSA-N 0 3 226.389 2.599 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)CC1=CCCOC1 ZINC000093316084 360229423 /nfs/dbraw/zinc/22/94/23/360229423.db2.gz HSVTWYXIAPJJMP-GFCCVEGCSA-N 0 3 247.338 2.732 20 0 BFADHN Cc1ncccc1CN1CCC(C)(C)C1 ZINC000093339940 360234027 /nfs/dbraw/zinc/23/40/27/360234027.db2.gz BOAOFAZGRCQHCW-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CC[C@H](NCCOC)c1cc(C)ccc1OC ZINC000093368778 360236881 /nfs/dbraw/zinc/23/68/81/360236881.db2.gz CGLUWWURYLILIC-ZDUSSCGKSA-N 0 3 237.343 2.691 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2ccnn2C)CC1 ZINC000093440679 360242959 /nfs/dbraw/zinc/24/29/59/360242959.db2.gz FATWJTNOUXNKJU-CYBMUJFWSA-N 0 3 235.375 2.678 20 0 BFADHN CCCn1nc(C)c(CN(C)C2CCC2)c1C ZINC000093475678 360247428 /nfs/dbraw/zinc/24/74/28/360247428.db2.gz NBUYMMVHJCLLRD-UHFFFAOYSA-N 0 3 235.375 2.894 20 0 BFADHN C[C@@H](CNCc1cnccn1)C1CCCCC1 ZINC000578962375 366680919 /nfs/dbraw/zinc/68/09/19/366680919.db2.gz NJURBLMULMGLKG-LBPRGKRZSA-N 0 3 233.359 2.783 20 0 BFADHN CC(C)C[C@@H]1CCCCN1Cc1cc[nH]n1 ZINC000093642897 360265933 /nfs/dbraw/zinc/26/59/33/360265933.db2.gz LHXRRCKTKPEKCU-ZDUSSCGKSA-N 0 3 221.348 2.810 20 0 BFADHN CC(C)C[C@H]1CCCCN1Cc1cc[nH]n1 ZINC000093642898 360266436 /nfs/dbraw/zinc/26/64/36/360266436.db2.gz LHXRRCKTKPEKCU-CYBMUJFWSA-N 0 3 221.348 2.810 20 0 BFADHN Cc1cccc(CN2CCOC[C@H]2C2CC2)c1C ZINC000093645475 360266502 /nfs/dbraw/zinc/26/65/02/360266502.db2.gz LMKDUYAZRXXLSW-INIZCTEOSA-N 0 3 245.366 2.914 20 0 BFADHN CCC[C@H]1CCCN(Cc2cn(C)nc2C)C1 ZINC000093704695 360272273 /nfs/dbraw/zinc/27/22/73/360272273.db2.gz RIOGQIUEPPYBKH-ZDUSSCGKSA-N 0 3 235.375 2.741 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cn(C)nc2C)C1 ZINC000093704694 360272569 /nfs/dbraw/zinc/27/25/69/360272569.db2.gz RIOGQIUEPPYBKH-CYBMUJFWSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1nn(C)cc1CN1CCC[C@@H](CC(C)C)C1 ZINC000093716443 360273434 /nfs/dbraw/zinc/27/34/34/360273434.db2.gz GICFGDLMOOJRDW-AWEZNQCLSA-N 0 3 249.402 2.987 20 0 BFADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1c[nH]cn1 ZINC000093740807 360274643 /nfs/dbraw/zinc/27/46/43/360274643.db2.gz VKVHDENKIUDTBI-WCQYABFASA-N 0 3 221.348 2.810 20 0 BFADHN CCC(C)(C)[C@@H]1CCCN1Cc1cc[nH]n1 ZINC000093809500 360280193 /nfs/dbraw/zinc/28/01/93/360280193.db2.gz BWBBBLGPSYFNDJ-LBPRGKRZSA-N 0 3 221.348 2.810 20 0 BFADHN Cc1cc(CN2CC[C@@]3(CCOC3)C2)ccc1F ZINC000093820288 360281736 /nfs/dbraw/zinc/28/17/36/360281736.db2.gz WBSNOFIISDKKPP-OAHLLOKOSA-N 0 3 249.329 2.747 20 0 BFADHN CC(C)[C@H]1CN(Cc2ccco2)CCS1 ZINC000093869084 360284764 /nfs/dbraw/zinc/28/47/64/360284764.db2.gz KZAVCVCPBIKPSI-GFCCVEGCSA-N 0 3 225.357 2.853 20 0 BFADHN CC(C)[C@@H]1CN(Cc2ccco2)CCS1 ZINC000093869083 360285143 /nfs/dbraw/zinc/28/51/43/360285143.db2.gz KZAVCVCPBIKPSI-LBPRGKRZSA-N 0 3 225.357 2.853 20 0 BFADHN CO[C@]1(C)C[C@H](N(C)Cc2ccco2)C1(C)C ZINC000093871677 360286362 /nfs/dbraw/zinc/28/63/62/360286362.db2.gz RBIAVMDXXJBHSQ-GXTWGEPZSA-N 0 3 237.343 2.915 20 0 BFADHN CCn1ccnc1CN[C@]1(C)CCCC[C@@H]1C ZINC000308724464 259513833 /nfs/dbraw/zinc/51/38/33/259513833.db2.gz TWDGNDYGPHSTKI-GXTWGEPZSA-N 0 3 235.375 2.961 20 0 BFADHN Cc1ccc(CNCC(C)(C)F)cc1F ZINC000631189124 360343742 /nfs/dbraw/zinc/34/37/42/360343742.db2.gz KOYPUBVZXHNBBE-UHFFFAOYSA-N 0 3 213.271 2.972 20 0 BFADHN CC[C@](C)(O)CNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000096478338 360348935 /nfs/dbraw/zinc/34/89/35/360348935.db2.gz ZHWJINMYYTZPEX-SUHUHFCYSA-N 0 3 237.343 2.654 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](C)c1nccs1 ZINC000308736334 259514104 /nfs/dbraw/zinc/51/41/04/259514104.db2.gz LNENLLSZOUOBFT-AEJSXWLSSA-N 0 3 210.346 2.982 20 0 BFADHN c1cnn(CCNCc2cccc(C3CC3)c2)c1 ZINC000094519366 360310333 /nfs/dbraw/zinc/31/03/33/360310333.db2.gz NMQRIIXZCSTLTA-UHFFFAOYSA-N 0 3 241.338 2.550 20 0 BFADHN CC[C@@H](N)C(=O)N(CCC(C)C)C1CCCC1 ZINC000094847787 360322455 /nfs/dbraw/zinc/32/24/55/360322455.db2.gz KLULJBOYCDJFNI-CYBMUJFWSA-N 0 3 240.391 2.541 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCCOC2)cc1F ZINC000094838001 360322771 /nfs/dbraw/zinc/32/27/71/360322771.db2.gz FLIFHWDYOSMNPO-DGCLKSJQSA-N 0 3 237.318 2.964 20 0 BFADHN Cc1ccc([C@@H](C)NCCn2cccn2)cc1F ZINC000094837659 360323134 /nfs/dbraw/zinc/32/31/34/360323134.db2.gz PQUHDMOVLZQFFM-GFCCVEGCSA-N 0 3 247.317 2.681 20 0 BFADHN CCN(Cc1ccc2c(n1)CCC2)C(C)C ZINC000578962429 366681265 /nfs/dbraw/zinc/68/12/65/366681265.db2.gz SPOVLWIUKGDQOD-UHFFFAOYSA-N 0 3 218.344 2.801 20 0 BFADHN CCCCN(C)[C@H](C)C(=O)N(C(C)C)C(C)C ZINC000096965866 360357762 /nfs/dbraw/zinc/35/77/62/360357762.db2.gz YIZIWVWBCCKESH-CYBMUJFWSA-N 0 3 242.407 2.752 20 0 BFADHN CN(Cc1cccc(Cl)n1)C(C)(C)C ZINC000306565335 360444459 /nfs/dbraw/zinc/44/44/59/360444459.db2.gz RJOYHWSNKJNDCY-UHFFFAOYSA-N 0 3 212.724 2.965 20 0 BFADHN COc1cc(CNCC(C)(C)F)ccc1C ZINC000631190369 360535872 /nfs/dbraw/zinc/53/58/72/360535872.db2.gz ZMGNNSVHYNBGLE-UHFFFAOYSA-N 0 3 225.307 2.841 20 0 BFADHN Cc1cc(CNCCCc2cccs2)on1 ZINC000631104052 360546736 /nfs/dbraw/zinc/54/67/36/360546736.db2.gz BSBAAVCVVOKHHT-UHFFFAOYSA-N 0 3 236.340 2.767 20 0 BFADHN Cc1ccc(CN[C@H]2CCCC23CC3)nc1 ZINC000631193013 360590858 /nfs/dbraw/zinc/59/08/58/360590858.db2.gz MGWLUBNTLSAMMR-ZDUSSCGKSA-N 0 3 216.328 2.812 20 0 BFADHN C[C@H](N[C@@H]1CCC(F)(F)C1)c1ccccn1 ZINC000309532985 491150581 /nfs/dbraw/zinc/15/05/81/491150581.db2.gz DKGQUQRQPAQTBD-VHSXEESVSA-N 0 3 226.270 2.920 20 0 BFADHN Cc1oc2ccccc2c1CN[C@H]1C[C@@H](CO)C1 ZINC000631196807 360703736 /nfs/dbraw/zinc/70/37/36/360703736.db2.gz AXOYOZIBVPRDCX-TXEJJXNPSA-N 0 3 245.322 2.602 20 0 BFADHN CCC[C@H](CCO)NCc1cccc(C)c1F ZINC000631197414 360711359 /nfs/dbraw/zinc/71/13/59/360711359.db2.gz BKQBZMOGINZGNF-CYBMUJFWSA-N 0 3 239.334 2.775 20 0 BFADHN C[C@@H](C[C@@H]1CCOC1)NCc1ccc(Cl)o1 ZINC000309544633 491150921 /nfs/dbraw/zinc/15/09/21/491150921.db2.gz GRGYBTRAZUUMQZ-UWVGGRQHSA-N 0 3 243.734 2.838 20 0 BFADHN COc1cncc(CN[C@@H]2CC23CCCC3)c1C ZINC000631120237 360744949 /nfs/dbraw/zinc/74/49/49/360744949.db2.gz HPGYSWZINOUWED-CQSZACIVSA-N 0 3 246.354 2.821 20 0 BFADHN FC(F)[C@H]1C[C@@H]1NCc1cc2cccnc2o1 ZINC000449322579 200665814 /nfs/dbraw/zinc/66/58/14/200665814.db2.gz XXDSPXAIUXBRRO-UWVGGRQHSA-N 0 3 238.237 2.571 20 0 BFADHN C[C@@H](N[C@@H](C)C(C)(C)O)c1ccc(Cl)cn1 ZINC000309553428 491151320 /nfs/dbraw/zinc/15/13/20/491151320.db2.gz IYXPCPXJVPNPPW-BDAKNGLRSA-N 0 3 242.750 2.545 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCC1)c1nccs1 ZINC000133356411 491151898 /nfs/dbraw/zinc/15/18/98/491151898.db2.gz VVKAJVVCYQTNGP-DTWKUNHWSA-N 0 3 210.346 2.982 20 0 BFADHN CC1(C)CCC[C@@](O)(CNCC2(F)CC2)CC1 ZINC000631205334 360976602 /nfs/dbraw/zinc/97/66/02/360976602.db2.gz MUUGQXJABMNJPC-AWEZNQCLSA-N 0 3 243.366 2.800 20 0 BFADHN CCc1cccc(CNCc2ccc(=O)[nH]c2)c1 ZINC000631167756 361096768 /nfs/dbraw/zinc/09/67/68/361096768.db2.gz AAVVTNRJTNWFEF-UHFFFAOYSA-N 0 3 242.322 2.639 20 0 BFADHN CC(=O)Nc1cccc([C@H](C)N[C@H]2CC23CC3)c1 ZINC000424333000 200916101 /nfs/dbraw/zinc/91/61/01/200916101.db2.gz XFRRLYJITFOAHV-HZMBPMFUSA-N 0 3 244.338 2.848 20 0 BFADHN FC(F)c1ccc(CNC2CC(F)(F)C2)cn1 ZINC000631122574 361124205 /nfs/dbraw/zinc/12/42/05/361124205.db2.gz SVVVOAGBXZKYSQ-UHFFFAOYSA-N 0 3 248.223 2.907 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H](C)O[C@H]2C)c(F)c1 ZINC000631218843 361181811 /nfs/dbraw/zinc/18/18/11/361181811.db2.gz QUTWABBHROLGHB-COPLHBTASA-N 0 3 237.318 2.790 20 0 BFADHN CC[C@H](F)CN(C)[C@@H]1C[C@@H](OC)C12CCC2 ZINC000451848047 202488110 /nfs/dbraw/zinc/48/81/10/202488110.db2.gz HXLKLUJVEIFZNJ-QJPTWQEYSA-N 0 3 229.339 2.624 20 0 BFADHN CCNCc1cccc(Cl)c1OCC ZINC000159171163 361223915 /nfs/dbraw/zinc/22/39/15/361223915.db2.gz YTFOSTWFCAOLHI-UHFFFAOYSA-N 0 3 213.708 2.848 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@@H](C)[C@H](C)C2)cn1 ZINC000428304577 201007281 /nfs/dbraw/zinc/00/72/81/201007281.db2.gz YIQOIESIZHVBBI-WZRBSPASSA-N 0 3 233.359 2.824 20 0 BFADHN CC1=CCN(CCN2CCc3ccccc32)CC1 ZINC000428307895 201007593 /nfs/dbraw/zinc/00/75/93/201007593.db2.gz XDJBOENRYAVUBJ-UHFFFAOYSA-N 0 3 242.366 2.701 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCCC[C@H]2C)o1 ZINC000309774665 491156141 /nfs/dbraw/zinc/15/61/41/491156141.db2.gz LIZAKQSJWYQCAW-SKDRFNHKSA-N 0 3 222.332 2.960 20 0 BFADHN CCCN(Cc1oc(CC)nc1C)C1CC1 ZINC000428249476 201003839 /nfs/dbraw/zinc/00/38/39/201003839.db2.gz VGDJGLYSZLFAJV-UHFFFAOYSA-N 0 3 222.332 2.920 20 0 BFADHN CCC(CC)(CC)NCc1nnc(C(C)C)[nH]1 ZINC000428368492 201010976 /nfs/dbraw/zinc/01/09/76/201010976.db2.gz DQGJLMUSFDUHTG-UHFFFAOYSA-N 0 3 238.379 2.987 20 0 BFADHN Cc1nc(CN2CCC[C@H]2CCC(C)C)n[nH]1 ZINC000428376708 201012085 /nfs/dbraw/zinc/01/20/85/201012085.db2.gz WBAUCPJAZPFVIH-LBPRGKRZSA-N 0 3 236.363 2.514 20 0 BFADHN CC[C@H](NCc1nnc(C(C)C)[nH]1)C(C)(C)C ZINC000428354912 201012143 /nfs/dbraw/zinc/01/21/43/201012143.db2.gz MFEZYWJAWOAJBM-JTQLQIEISA-N 0 3 238.379 2.842 20 0 BFADHN CCC1(CC)CCN(Cc2n[nH]c(C)n2)CC1 ZINC000428437300 201015691 /nfs/dbraw/zinc/01/56/91/201015691.db2.gz RWSHEKWBVWVMSA-UHFFFAOYSA-N 0 3 236.363 2.515 20 0 BFADHN CCN(CC(C)C)[C@H](C)c1cnc(C)cn1 ZINC000428451586 201018274 /nfs/dbraw/zinc/01/82/74/201018274.db2.gz CSANNNXDAKPVMK-GFCCVEGCSA-N 0 3 221.348 2.824 20 0 BFADHN CCCc1cccc(CN2CC[C@@H](O)[C@@H](C)C2)c1 ZINC000428471982 201021625 /nfs/dbraw/zinc/02/16/25/201021625.db2.gz ZXVVOMXPWNPGNL-XJKSGUPXSA-N 0 3 247.382 2.842 20 0 BFADHN CC(C)(CO)CNCc1ccc(F)cc1Cl ZINC000133514093 491156305 /nfs/dbraw/zinc/15/63/05/491156305.db2.gz JSWVSAVVBBWERL-UHFFFAOYSA-N 0 3 245.725 2.587 20 0 BFADHN CC(C)(C)c1n[nH]cc1CNC1CC(F)(F)C1 ZINC000428652223 201031585 /nfs/dbraw/zinc/03/15/85/201031585.db2.gz RUDBNVMPJDRNCO-UHFFFAOYSA-N 0 3 243.301 2.595 20 0 BFADHN CC[C@H](F)CN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000428712089 201035597 /nfs/dbraw/zinc/03/55/97/201035597.db2.gz RZMIIXJTFNXXHM-LPEHRKFASA-N 0 3 221.266 2.712 20 0 BFADHN C[C@]12CCN(CCC(F)(F)F)C[C@H]1C2(F)F ZINC000428723432 201037488 /nfs/dbraw/zinc/03/74/88/201037488.db2.gz MYXPLCYCFLSFHW-SFYZADRCSA-N 0 3 243.219 2.916 20 0 BFADHN CCOC1(C)CCN(CCc2ccncc2)CC1 ZINC000428712578 201038454 /nfs/dbraw/zinc/03/84/54/201038454.db2.gz NUUYAVVUXAQJJK-UHFFFAOYSA-N 0 3 248.370 2.515 20 0 BFADHN CCOC1(C)CCN(Cc2ccc(C)cn2)CC1 ZINC000428735206 201039230 /nfs/dbraw/zinc/03/92/30/201039230.db2.gz PHNBRWDDKAJJQN-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN C[C@H]1C[C@H]1CNCc1ccc2c(n1)CCCC2 ZINC000446897154 370693688 /nfs/dbraw/zinc/69/36/88/370693688.db2.gz WFCKDSGUOYJKLG-AAEUAGOBSA-N 0 3 230.355 2.706 20 0 BFADHN Cc1cc(C)nc(N[C@H](C)[C@H]2CCCO2)c1 ZINC000309814223 491157135 /nfs/dbraw/zinc/15/71/35/491157135.db2.gz UYUDZFNDMYNCJA-VXGBXAGGSA-N 0 3 220.316 2.678 20 0 BFADHN CCN(CC1CCC1)[C@H](C)c1cnc(C)cn1 ZINC000428961272 201053153 /nfs/dbraw/zinc/05/31/53/201053153.db2.gz KSHSRGRBALVDNG-GFCCVEGCSA-N 0 3 233.359 2.968 20 0 BFADHN Cc1cc(CNCCc2ccc(C)c(C)c2)on1 ZINC000631171229 361386846 /nfs/dbraw/zinc/38/68/46/361386846.db2.gz JFTKAAHREKZWCL-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN c1cc2ccc(CNCC3=CCCOC3)cc2[nH]1 ZINC000379742416 361391988 /nfs/dbraw/zinc/39/19/88/361391988.db2.gz NSTDYEZSOXQIJW-UHFFFAOYSA-N 0 3 242.322 2.604 20 0 BFADHN CCOCCCN1Cc2ccccc2O[C@@H](C)C1 ZINC000429160452 201077225 /nfs/dbraw/zinc/07/72/25/201077225.db2.gz HEYXLJIQDYTKFM-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN Cn1ccnc1[C@@H](N[C@@H]1CC12CCCC2)C1CC1 ZINC000429421238 201109014 /nfs/dbraw/zinc/10/90/14/201109014.db2.gz DSWJXXGZUXXDNW-OLZOCXBDSA-N 0 3 245.370 2.794 20 0 BFADHN c1nc2n(c1CN[C@H]1CCC13CCC3)CCCC2 ZINC000579335599 366760732 /nfs/dbraw/zinc/76/07/32/366760732.db2.gz DEZXBVDUGBDGOB-ZDUSSCGKSA-N 0 3 245.370 2.642 20 0 BFADHN CC(C)CCCN1C[C@H](C)O[C@@H](C)[C@H]1C ZINC000429311462 201098820 /nfs/dbraw/zinc/09/88/20/201098820.db2.gz RCFOBOCVVXWHTN-XQQFMLRXSA-N 0 3 213.365 2.920 20 0 BFADHN COC(=O)C1(N(C)CCCC(C)C)CCCC1 ZINC000429327379 201101347 /nfs/dbraw/zinc/10/13/47/201101347.db2.gz BAKQQAQEUPMPMN-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1cccc2c1CN(C[C@H]1CCCCO1)CC2 ZINC000429572386 201117118 /nfs/dbraw/zinc/11/71/18/201117118.db2.gz ZXZBUTLIFYWCOG-OAHLLOKOSA-N 0 3 245.366 2.922 20 0 BFADHN c1nc(C2CC2)oc1CNC(C1CC1)C1CC1 ZINC000579438130 366797326 /nfs/dbraw/zinc/79/73/26/366797326.db2.gz JSXKFPWSIQVUEF-UHFFFAOYSA-N 0 3 232.327 2.830 20 0 BFADHN CCCN(C[C@@H](C)CC)[C@@H](C)C(=O)OCC ZINC000429717681 201129225 /nfs/dbraw/zinc/12/92/25/201129225.db2.gz IBTKWZVXKOLMSS-RYUDHWBXSA-N 0 3 229.364 2.696 20 0 BFADHN Cc1nn(C)cc1CN(C1CCC1)C1CCC1 ZINC000430138618 201147300 /nfs/dbraw/zinc/14/73/00/201147300.db2.gz NTGJOVDOSXPJJJ-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN CCCCN1CCO[C@@H](Cc2ccccc2)C1 ZINC000181522922 366819807 /nfs/dbraw/zinc/81/98/07/366819807.db2.gz MZWDFKJRZTZUGO-HNNXBMFYSA-N 0 3 233.355 2.730 20 0 BFADHN C[C@H]1C[C@@H]1CN1Cc2ccccc2O[C@H](C)C1 ZINC000430151316 201148204 /nfs/dbraw/zinc/14/82/04/201148204.db2.gz RMVVNMREDLBZJP-OUCADQQQSA-N 0 3 231.339 2.926 20 0 BFADHN CCC[C@@H]1C[C@H]1NCc1c(C)cc(OC)nc1C ZINC000430055244 201140712 /nfs/dbraw/zinc/14/07/12/201140712.db2.gz XXZIDAKNFGAIFF-TZMCWYRMSA-N 0 3 248.370 2.985 20 0 BFADHN CC[C@H]1CCN1Cc1c(C)nn(CC)c1C ZINC000430197391 201151431 /nfs/dbraw/zinc/15/14/31/201151431.db2.gz VINCKXNMJJPNSW-LBPRGKRZSA-N 0 3 221.348 2.504 20 0 BFADHN CC[C@H]1CCN1Cc1ccc(OC)c(C)c1 ZINC000430195999 201151463 /nfs/dbraw/zinc/15/14/63/201151463.db2.gz KLYBJUZIIQPMSL-ZDUSSCGKSA-N 0 3 219.328 2.988 20 0 BFADHN CC[C@@H]1CCN1Cc1cc(OC)c(OC)cc1C ZINC000430209909 201155137 /nfs/dbraw/zinc/15/51/37/201155137.db2.gz XQJRJTQQKZGRAJ-CYBMUJFWSA-N 0 3 249.354 2.997 20 0 BFADHN CCC[C@H](C)N1CCN(c2ccccc2)CC1 ZINC000379747274 361457069 /nfs/dbraw/zinc/45/70/69/361457069.db2.gz DAHLWWSAAXLPSF-AWEZNQCLSA-N 0 3 232.371 2.997 20 0 BFADHN COCCCN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000079820584 370695938 /nfs/dbraw/zinc/69/59/38/370695938.db2.gz GOXVOYLYRHXESK-SNVBAGLBSA-N 0 3 243.297 2.994 20 0 BFADHN CCn1nccc1CN(C)CC1(C)CCC1 ZINC000433201705 201168796 /nfs/dbraw/zinc/16/87/96/201168796.db2.gz XPLMAWOFHXFIGD-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCC[C@@H]1CCC[C@@H]1[NH2+]Cc1cnc(C)[n-]c1=O ZINC000433239399 201171128 /nfs/dbraw/zinc/17/11/28/201171128.db2.gz GDUMFZAQZJQRRU-YPMHNXCESA-N 0 3 249.358 2.549 20 0 BFADHN Cc1cc(N)cc(CNCc2ccncc2C)c1 ZINC000629649621 361544475 /nfs/dbraw/zinc/54/44/75/361544475.db2.gz XHPALUALCBNVMZ-UHFFFAOYSA-N 0 3 241.338 2.570 20 0 BFADHN c1cc(CN[C@]23C[C@H]2CCCC3)n(CC2CC2)n1 ZINC000631224730 361566871 /nfs/dbraw/zinc/56/68/71/361566871.db2.gz CWMDZAOBBPFSIV-UKRRQHHQSA-N 0 3 245.370 2.715 20 0 BFADHN COCCN[C@@H](C)c1sc(C(C)C)nc1C ZINC000152104699 201190202 /nfs/dbraw/zinc/19/02/02/201190202.db2.gz AEBNFMKTKMPBSC-VIFPVBQESA-N 0 3 242.388 2.872 20 0 BFADHN COCCN[C@@H](C)c1cc(C)c(F)c(C)c1 ZINC000152146051 201190537 /nfs/dbraw/zinc/19/05/37/201190537.db2.gz BOZAZDKAUDVUGD-NSHDSACASA-N 0 3 225.307 2.740 20 0 BFADHN CCOCCN[C@H](C)c1ccc(F)c(OC)c1 ZINC000152999312 201216838 /nfs/dbraw/zinc/21/68/38/201216838.db2.gz PQXVUMGDKAZLGS-SNVBAGLBSA-N 0 3 241.306 2.521 20 0 BFADHN CN(Cc1ccc(-c2cc[nH]n2)o1)CC1CC1 ZINC000435126856 201250492 /nfs/dbraw/zinc/25/04/92/201250492.db2.gz RTGIBFHAXVMGID-UHFFFAOYSA-N 0 3 231.299 2.512 20 0 BFADHN Cc1cc(-c2ccco2)cc2c1CNCC2 ZINC000629692631 361620411 /nfs/dbraw/zinc/62/04/11/361620411.db2.gz QJFWCKZSZSRBDK-UHFFFAOYSA-N 0 3 213.280 2.901 20 0 BFADHN CC[C@H](N[C@@H](C)c1nccs1)[C@H]1CCOC1 ZINC000153395885 201236868 /nfs/dbraw/zinc/23/68/68/201236868.db2.gz KNEOOBSHODOGDN-DCAQKATOSA-N 0 3 240.372 2.609 20 0 BFADHN CC[C@@H](NCc1cn[nH]c1)c1ccc(OC)cc1 ZINC000038005722 361638107 /nfs/dbraw/zinc/63/81/07/361638107.db2.gz NXBIOLFBRPBGMD-CQSZACIVSA-N 0 3 245.326 2.659 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2cnc(C)s2)CO1 ZINC000631226481 361640656 /nfs/dbraw/zinc/64/06/56/361640656.db2.gz FUDNECRARXIVDA-JMJZKYOTSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1noc(C)c1-c1ccc2c(c1)CCNC2 ZINC000629712479 361650349 /nfs/dbraw/zinc/65/03/49/361650349.db2.gz OQRRXRYJPOFCHU-UHFFFAOYSA-N 0 3 228.295 2.604 20 0 BFADHN c1cc(-c2cccc([C@@H]3CNCCO3)c2)co1 ZINC000629714405 361650949 /nfs/dbraw/zinc/65/09/49/361650949.db2.gz FFGZOLKCHZFIBS-AWEZNQCLSA-N 0 3 229.279 2.608 20 0 BFADHN CC[C@@H](CO)N[C@@H](c1ccc(C)o1)C1CCC1 ZINC000437103766 201344230 /nfs/dbraw/zinc/34/42/30/201344230.db2.gz BLDFYDLIURDJQE-GXTWGEPZSA-N 0 3 237.343 2.790 20 0 BFADHN COc1cc(C)c(CN2CCC=C(C)C2)c(C)n1 ZINC000437346652 201350614 /nfs/dbraw/zinc/35/06/14/201350614.db2.gz NUOACLDDWNLUBP-UHFFFAOYSA-N 0 3 246.354 2.859 20 0 BFADHN CCOc1ccccc1OCCN1CC[C@@H](C)C1 ZINC000437604268 201361930 /nfs/dbraw/zinc/36/19/30/201361930.db2.gz MHLFNFYSWYFXTI-CYBMUJFWSA-N 0 3 249.354 2.806 20 0 BFADHN CN(Cc1cc2c(cccc2F)[nH]1)C1CC1 ZINC000437576189 201356734 /nfs/dbraw/zinc/35/67/34/201356734.db2.gz BSKUSXOMGZHCFO-UHFFFAOYSA-N 0 3 218.275 2.901 20 0 BFADHN CN(CC[C@H]1CCOC1)Cc1ccccc1F ZINC000437576310 201357445 /nfs/dbraw/zinc/35/74/45/201357445.db2.gz FQUVGZOSILJZNQ-LBPRGKRZSA-N 0 3 237.318 2.684 20 0 BFADHN CC(C)CN[C@H](C)c1cn2ccccc2n1 ZINC000309623494 370698243 /nfs/dbraw/zinc/69/82/43/370698243.db2.gz PPCNWLSTCAEOFB-LLVKDONJSA-N 0 3 217.316 2.641 20 0 BFADHN Cc1oncc1-c1csc([C@H]2CCCCN2)n1 ZINC000630381552 364096383 /nfs/dbraw/zinc/09/63/83/364096383.db2.gz UKLVXRUZSWWDNK-SNVBAGLBSA-N 0 3 249.339 2.921 20 0 BFADHN C[C@@](CO)(N[C@@H]1C=CCCC1)c1ccccc1 ZINC000225451334 370701312 /nfs/dbraw/zinc/70/13/12/370701312.db2.gz XPYJKAAEXJOTGE-CABCVRRESA-N 0 3 231.339 2.592 20 0 BFADHN CC(C)CCN(C)[C@H](C)C(=O)Nc1ccccc1 ZINC000080071259 370724753 /nfs/dbraw/zinc/72/47/53/370724753.db2.gz JGKFRYPRFFLJRI-CYBMUJFWSA-N 0 3 248.370 2.992 20 0 BFADHN CCC(C)(C)NCc1nc2c(s1)CCC2 ZINC000093066636 541347329 /nfs/dbraw/zinc/34/73/29/541347329.db2.gz AIFLXKVECJKZJQ-UHFFFAOYSA-N 0 3 224.373 2.910 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H]1CCO[C@H](C)C1 ZINC000092328116 541345443 /nfs/dbraw/zinc/34/54/43/541345443.db2.gz SHHUZAYGCUIDJB-PTRXPTGYSA-N 0 3 238.331 2.510 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](CO)C1)c1csc(Cl)c1 ZINC000631672910 364358523 /nfs/dbraw/zinc/35/85/23/364358523.db2.gz PIRYDZSBOJDLAT-OYNCUSHFSA-N 0 3 245.775 2.823 20 0 BFADHN CC(C)[C@@H](O)C(C)(C)CN[C@H](C)c1ccoc1 ZINC000181714597 366841636 /nfs/dbraw/zinc/84/16/36/366841636.db2.gz OTQVMZMBEXJTFX-DGCLKSJQSA-N 0 3 239.359 2.973 20 0 BFADHN CC(C)[C@H](O)C(C)(C)CN[C@@H](C)c1ccoc1 ZINC000181714540 366840636 /nfs/dbraw/zinc/84/06/36/366840636.db2.gz OTQVMZMBEXJTFX-AAEUAGOBSA-N 0 3 239.359 2.973 20 0 BFADHN C[C@H](NCCCF)c1nc2c(s1)CCC2 ZINC000134649249 364410127 /nfs/dbraw/zinc/41/01/27/364410127.db2.gz XZSBPLMEMOXKFA-QMMMGPOBSA-N 0 3 228.336 2.642 20 0 BFADHN Cc1oncc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000181718784 366841177 /nfs/dbraw/zinc/84/11/77/366841177.db2.gz SGJYKCORMSZVDO-AAEUAGOBSA-N 0 3 220.316 2.748 20 0 BFADHN Cc1nnc(CN[C@H](C)C2CCCC2)s1 ZINC000134722570 364412935 /nfs/dbraw/zinc/41/29/35/364412935.db2.gz RDTAWTQXENZCQB-MRVPVSSYSA-N 0 3 225.361 2.515 20 0 BFADHN COCCN[C@@H](c1ccc(F)c(F)c1)C(C)C ZINC000181604880 366831936 /nfs/dbraw/zinc/83/19/36/366831936.db2.gz JTQOYPKYTMDEFS-CYBMUJFWSA-N 0 3 243.297 2.898 20 0 BFADHN CC(C)[C@H](C)CNCc1cn2ccccc2n1 ZINC000077897208 364430262 /nfs/dbraw/zinc/43/02/62/364430262.db2.gz WYRMVGDRVHUKFR-GFCCVEGCSA-N 0 3 231.343 2.716 20 0 BFADHN CC(C)[C@@H](C)CNCc1nc2ccccc2n1C ZINC000077897063 364430336 /nfs/dbraw/zinc/43/03/36/364430336.db2.gz IMZUEGQLLFEBEF-LBPRGKRZSA-N 0 3 245.370 2.955 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1CCc2c1cccc2F ZINC000579552409 366866346 /nfs/dbraw/zinc/86/63/46/366866346.db2.gz MMZQLWHSUTXYCY-HZSPNIEDSA-N 0 3 235.302 2.580 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2COCC2(C)C)o1 ZINC000453341597 202745049 /nfs/dbraw/zinc/74/50/49/202745049.db2.gz CLVJUVKBSGGAJZ-GWCFXTLKSA-N 0 3 237.343 2.918 20 0 BFADHN CCC(C)(C)CCN[C@@H](C)c1cnccn1 ZINC000631683457 364537838 /nfs/dbraw/zinc/53/78/38/364537838.db2.gz LFOCXDYLZXQCJW-NSHDSACASA-N 0 3 221.348 2.954 20 0 BFADHN Cc1nc(CN2CC[C@H](C)[C@H]3CCCC[C@@H]32)n[nH]1 ZINC000644657800 541367362 /nfs/dbraw/zinc/36/73/62/541367362.db2.gz PVFHYWRAUBFADI-UHTWSYAYSA-N 0 3 248.374 2.514 20 0 BFADHN COC[C@@H](C)N[C@@H]1CCCc2c(OC)cccc21 ZINC000573314720 364795781 /nfs/dbraw/zinc/79/57/81/364795781.db2.gz STDGDAGVLMZKPF-BXUZGUMPSA-N 0 3 249.354 2.697 20 0 BFADHN CC[C@H](C)[C@](C)(O)CN[C@@H](C)c1ccoc1 ZINC000167605774 364799004 /nfs/dbraw/zinc/79/90/04/364799004.db2.gz JJODYAOGCLFYJU-GMXVVIOVSA-N 0 3 225.332 2.727 20 0 BFADHN CCCn1cc(CN2CCC[C@H](C)C2)cn1 ZINC000157691015 364757836 /nfs/dbraw/zinc/75/78/36/364757836.db2.gz ZCSJILQIYCSJHQ-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN COc1cc(CNC(C)(C)C2CCC2)sn1 ZINC000399061978 364762922 /nfs/dbraw/zinc/76/29/22/364762922.db2.gz OTUJSLUYLKHIIZ-UHFFFAOYSA-N 0 3 240.372 2.820 20 0 BFADHN COc1cc(CNC2CCCCC2)sn1 ZINC000399073747 364781002 /nfs/dbraw/zinc/78/10/02/364781002.db2.gz PMXBTGJGJNCGDI-UHFFFAOYSA-N 0 3 226.345 2.574 20 0 BFADHN CC1(CN2CCC=C(c3ccncc3)C2)CC1 ZINC000573526448 364852416 /nfs/dbraw/zinc/85/24/16/364852416.db2.gz FTHCAZLMTZITPS-UHFFFAOYSA-N 0 3 228.339 2.971 20 0 BFADHN C[C@H](NCCCC(C)(C)CO)c1ccoc1 ZINC000168194647 364874135 /nfs/dbraw/zinc/87/41/35/364874135.db2.gz YGENKHWODRKPJY-NSHDSACASA-N 0 3 225.332 2.729 20 0 BFADHN CCc1cc(CN[C@H]2CCC[C@H]2CC)on1 ZINC000168177194 364876832 /nfs/dbraw/zinc/87/68/32/364876832.db2.gz YFDBDESIPZYCMI-MFKMUULPSA-N 0 3 222.332 2.905 20 0 BFADHN C1=C[C@@H](N2CCOC[C@@H]2C2CCC2)CCC1 ZINC000573799430 364917371 /nfs/dbraw/zinc/91/73/71/364917371.db2.gz QWEDXLVKIUDBJN-ZIAGYGMSSA-N 0 3 221.344 2.596 20 0 BFADHN C[C@H](O)[C@@H](NCC1(C)CC1)c1ccccc1F ZINC000573808019 364920639 /nfs/dbraw/zinc/92/06/39/364920639.db2.gz GPVMPJRBXCFPSL-GXFFZTMASA-N 0 3 237.318 2.637 20 0 BFADHN C[C@@H](O)[C@H](NCC1(C)CC1)c1ccccc1F ZINC000573808020 364921768 /nfs/dbraw/zinc/92/17/68/364921768.db2.gz GPVMPJRBXCFPSL-MFKMUULPSA-N 0 3 237.318 2.637 20 0 BFADHN CCCC[C@H](CC)CCN(C)CC(=O)N(C)C ZINC000437609124 541401244 /nfs/dbraw/zinc/40/12/44/541401244.db2.gz ZETXJGNDCQBHSQ-ZDUSSCGKSA-N 0 3 242.407 2.613 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2cscn2)CCO1 ZINC000573911763 364942520 /nfs/dbraw/zinc/94/25/20/364942520.db2.gz XQEBKPXNNORJRA-HBNTYKKESA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@@H](CN1CCc2ccccc2CC1)OC ZINC000574142975 364994143 /nfs/dbraw/zinc/99/41/43/364994143.db2.gz PSGCUXXYHDOEQF-HNNXBMFYSA-N 0 3 233.355 2.512 20 0 BFADHN Cc1ccc(CNC(C)(C)[C@H](C)O)c(Cl)c1 ZINC000235558074 541441116 /nfs/dbraw/zinc/44/11/16/541441116.db2.gz IXQYODPMVPXGMH-JTQLQIEISA-N 0 3 241.762 2.897 20 0 BFADHN Cc1ccc(CNCCOCC2CCC2)cc1 ZINC000574155079 364997211 /nfs/dbraw/zinc/99/72/11/364997211.db2.gz NEEZKCMNYGXMOA-UHFFFAOYSA-N 0 3 233.355 2.901 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2CO[C@@H](C)C2)c(C)c1 ZINC000574399982 365053903 /nfs/dbraw/zinc/05/39/03/365053903.db2.gz JFFAGUFUFRUKNZ-RWMBFGLXSA-N 0 3 249.354 2.832 20 0 BFADHN Cc1ncc(CN[C@H](C)Cc2ccsc2)n1C ZINC000574291787 365032549 /nfs/dbraw/zinc/03/25/49/365032549.db2.gz DPWPVKRCUOACMN-SNVBAGLBSA-N 0 3 249.383 2.511 20 0 BFADHN CCc1nocc1CN[C@@H]1CCC[C@H](F)C1 ZINC000574321963 365036350 /nfs/dbraw/zinc/03/63/50/365036350.db2.gz MNNWRZDSPANDJV-WDEREUQCSA-N 0 3 226.295 2.607 20 0 BFADHN CC(C)[C@H]1C[C@@H](NC2(CF)CCC2)CS1 ZINC000574324653 365037346 /nfs/dbraw/zinc/03/73/46/365037346.db2.gz ZTYFROBNDWMYDB-GHMZBOCLSA-N 0 3 231.380 2.998 20 0 BFADHN CC1(C)C[C@@H](NC2(c3cccc(F)c3)CC2)CO1 ZINC000574532376 365084905 /nfs/dbraw/zinc/08/49/05/365084905.db2.gz LJBRQZWAAVHRIA-CYBMUJFWSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@@H](NCC1(C)CC1)c1cn2ccccc2n1 ZINC000574532366 365085462 /nfs/dbraw/zinc/08/54/62/365085462.db2.gz ZNVPJTSRMACHBU-LLVKDONJSA-N 0 3 229.327 2.785 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@H]1c2ccc(F)cc2O[C@H]1C ZINC000574508522 365076682 /nfs/dbraw/zinc/07/66/82/365076682.db2.gz XUTFTNGJZBPMIV-QOBXEIRBSA-N 0 3 235.302 2.893 20 0 BFADHN CO[C@@H](C)CN[C@@H](c1ccc(F)cn1)C(C)C ZINC000443201188 193014287 /nfs/dbraw/zinc/01/42/87/193014287.db2.gz HTVRESZYERFYJY-GXFFZTMASA-N 0 3 240.322 2.542 20 0 BFADHN CO[C@H](C)CN[C@@H]1CCCc2ccc(F)cc21 ZINC000443197003 193014499 /nfs/dbraw/zinc/01/44/99/193014499.db2.gz MQFRAHPSWLNGNA-QMTHXVAHSA-N 0 3 237.318 2.828 20 0 BFADHN CO[C@H](C)CN[C@H](c1ccc(F)cn1)C(C)C ZINC000443201193 193015235 /nfs/dbraw/zinc/01/52/35/193015235.db2.gz HTVRESZYERFYJY-MFKMUULPSA-N 0 3 240.322 2.542 20 0 BFADHN Cc1cc2cc(CN(C)CCCO)oc2cc1C ZINC000442960011 193007220 /nfs/dbraw/zinc/00/72/20/193007220.db2.gz ATQDNXFDDSTGSB-UHFFFAOYSA-N 0 3 247.338 2.864 20 0 BFADHN CO[C@H](C)CNCc1cc(C)c2ncccc2c1 ZINC000442993146 193008730 /nfs/dbraw/zinc/00/87/30/193008730.db2.gz RDJKAZUOLDQBJB-GFCCVEGCSA-N 0 3 244.338 2.668 20 0 BFADHN CC(C)c1ncc(CN(C)C[C@@H]2C[C@@H]2C)cn1 ZINC000443061000 193012447 /nfs/dbraw/zinc/01/24/47/193012447.db2.gz HUYQUAVPCDRNHL-AAEUAGOBSA-N 0 3 233.359 2.688 20 0 BFADHN CC(C)c1ncc(CN(C)C[C@H]2C[C@@H]2C)cn1 ZINC000443061004 193012932 /nfs/dbraw/zinc/01/29/32/193012932.db2.gz HUYQUAVPCDRNHL-WCQYABFASA-N 0 3 233.359 2.688 20 0 BFADHN CC[C@@H](C(=O)OC)N1CCC[C@@H](C(C)C)CC1 ZINC000444158870 193038194 /nfs/dbraw/zinc/03/81/94/193038194.db2.gz RYERNTQBBCTWOF-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN COc1cc(F)cc(CN2C3CCC2CC3)c1 ZINC000575130559 365215462 /nfs/dbraw/zinc/21/54/62/365215462.db2.gz ZPAMXAIBANYIBO-UHFFFAOYSA-N 0 3 235.302 2.961 20 0 BFADHN CCn1cccc1CN1C[C@@H](C)S[C@@H](C)C1 ZINC000575208215 365243203 /nfs/dbraw/zinc/24/32/03/365243203.db2.gz PWWPZNZGQQQKOC-TXEJJXNPSA-N 0 3 238.400 2.834 20 0 BFADHN C[C@@H](CCC(C)(C)C)NCc1ccno1 ZINC000379782584 365258580 /nfs/dbraw/zinc/25/85/80/365258580.db2.gz OJRNZWNKTYQCEL-JTQLQIEISA-N 0 3 210.321 2.979 20 0 BFADHN CCCN(Cc1c(C)noc1C)C(C)C ZINC000575193269 365230300 /nfs/dbraw/zinc/23/03/00/365230300.db2.gz CINGYZNTCHKRES-UHFFFAOYSA-N 0 3 210.321 2.912 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1ncccn1 ZINC000192194490 365281655 /nfs/dbraw/zinc/28/16/55/365281655.db2.gz LDAGHLLPNRIEPX-VXGBXAGGSA-N 0 3 233.359 2.781 20 0 BFADHN Cc1cccc(CN2CCN(C3CCC3)CC2)c1 ZINC000172198831 365300441 /nfs/dbraw/zinc/30/04/41/365300441.db2.gz LTDWJICMTAPZAV-UHFFFAOYSA-N 0 3 244.382 2.665 20 0 BFADHN C[C@@H]1OCC[C@H]1NC1(c2cccc(F)c2)CC1 ZINC000182215207 366875456 /nfs/dbraw/zinc/87/54/56/366875456.db2.gz GNKKPRYYZIRGRK-GXFFZTMASA-N 0 3 235.302 2.582 20 0 BFADHN C[C@@H]1OCC[C@@H]1NC1(c2cccc(F)c2)CC1 ZINC000182215240 366876090 /nfs/dbraw/zinc/87/60/90/366876090.db2.gz GNKKPRYYZIRGRK-GWCFXTLKSA-N 0 3 235.302 2.582 20 0 BFADHN CC[C@@H](C)N(CCO)Cc1csc(C)c1 ZINC000379885627 365337274 /nfs/dbraw/zinc/33/72/74/365337274.db2.gz XXCZGIGVBFRSFL-SNVBAGLBSA-N 0 3 227.373 2.649 20 0 BFADHN CCO[C@@H]1C[C@@H]1NC1CC(c2cccc(F)c2)C1 ZINC000575826398 365376779 /nfs/dbraw/zinc/37/67/79/365376779.db2.gz XEBFHBRSZOBFHZ-RIXNLZLZSA-N 0 3 249.329 2.839 20 0 BFADHN COc1ncc(CNCC2(C)CC2)cc1Cl ZINC000575829472 365378030 /nfs/dbraw/zinc/37/80/30/365378030.db2.gz CHHOXPNENLXAET-UHFFFAOYSA-N 0 3 240.734 2.633 20 0 BFADHN OCc1ccc(CNC[C@H]2CCC[C@H]2C2CC2)o1 ZINC000576089505 365479868 /nfs/dbraw/zinc/47/98/68/365479868.db2.gz OYBYMCKPNACLRW-DOMZBBRYSA-N 0 3 249.354 2.688 20 0 BFADHN OCc1ccc(CNC[C@H]2CCC[C@@H]2C2CC2)o1 ZINC000576089506 365479939 /nfs/dbraw/zinc/47/99/39/365479939.db2.gz OYBYMCKPNACLRW-IUODEOHRSA-N 0 3 249.354 2.688 20 0 BFADHN C[C@H]([NH2+]Cc1nnc(C2CC2)[n-]1)C1CCCCC1 ZINC000182694868 366931782 /nfs/dbraw/zinc/93/17/82/366931782.db2.gz ZFGGJZDQPUHPOF-JTQLQIEISA-N 0 3 248.374 2.741 20 0 BFADHN C[C@H](NCc1nnc(C2CC2)[nH]1)C1CCCCC1 ZINC000182694868 366931786 /nfs/dbraw/zinc/93/17/86/366931786.db2.gz ZFGGJZDQPUHPOF-JTQLQIEISA-N 0 3 248.374 2.741 20 0 BFADHN CC[C@@H]1CCC[C@@H](CN2CCOCC2)C1 ZINC000182928965 366957463 /nfs/dbraw/zinc/95/74/63/366957463.db2.gz BXBLRBWZNSKDRT-CHWSQXEVSA-N 0 3 211.349 2.535 20 0 BFADHN CC(C)[C@H]1CC[C@H]1NCc1cccc(N(C)C)n1 ZINC000353632147 541484589 /nfs/dbraw/zinc/48/45/89/541484589.db2.gz NLYQXWDHTFYHFK-ZIAGYGMSSA-N 0 3 247.386 2.672 20 0 BFADHN CC(C)[C@H]1CC[C@@H]1NCc1ncccc1N(C)C ZINC000353654025 541484657 /nfs/dbraw/zinc/48/46/57/541484657.db2.gz ZIVMXQRMJIYVNF-OLZOCXBDSA-N 0 3 247.386 2.672 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NCCc1ccccc1F ZINC000179999078 541506763 /nfs/dbraw/zinc/50/67/63/541506763.db2.gz GFYVYZRHPOKLNW-JTQLQIEISA-N 0 3 247.317 2.751 20 0 BFADHN CCN(Cc1ccncc1)Cc1ccc(O)cc1 ZINC000027907792 541499547 /nfs/dbraw/zinc/49/95/47/541499547.db2.gz DQYBJJIYRDEMAZ-UHFFFAOYSA-N 0 3 242.322 2.809 20 0 BFADHN CC(C)Cn1cc(CN2CCC(C)CC2)cn1 ZINC000182951537 366960659 /nfs/dbraw/zinc/96/06/59/366960659.db2.gz DEJXVYRGVKTLBF-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN COc1cccc(CN(C)C[C@@H]2CC=CCC2)n1 ZINC000183028529 366966742 /nfs/dbraw/zinc/96/67/42/366966742.db2.gz UDMKIILYKDORHR-CYBMUJFWSA-N 0 3 246.354 2.878 20 0 BFADHN CCc1cccc(CNCC2=CCOCC2)c1 ZINC000579721082 366992451 /nfs/dbraw/zinc/99/24/51/366992451.db2.gz OATOKGIANZHZBZ-UHFFFAOYSA-N 0 3 231.339 2.685 20 0 BFADHN CC(C)[C@H](C)CN[C@@H](c1nccn1C)C1CC1 ZINC000183283627 367008910 /nfs/dbraw/zinc/00/89/10/367008910.db2.gz GZTLRZOEZVOVTA-DGCLKSJQSA-N 0 3 235.375 2.753 20 0 BFADHN CCC1(CN[C@H](c2nccn2C)C2CC2)CC1 ZINC000183338145 367016750 /nfs/dbraw/zinc/01/67/50/367016750.db2.gz PMGKYXCAYFANBR-LBPRGKRZSA-N 0 3 233.359 2.651 20 0 BFADHN CCOC(=O)CCN[C@H](C)c1cccc(C)c1C ZINC000183723165 367041907 /nfs/dbraw/zinc/04/19/07/367041907.db2.gz HSIQXCSGZXBMCC-CYBMUJFWSA-N 0 3 249.354 2.907 20 0 BFADHN C[C@@H]1[C@H](NCCF)C[C@@H]1c1ccccc1 ZINC000367095206 367079633 /nfs/dbraw/zinc/07/96/33/367079633.db2.gz VXIUEQCXOVQNSN-WCFLWFBJSA-N 0 3 207.292 2.738 20 0 BFADHN CCc1cccc(CNCCn2ccnc2C)c1 ZINC000579846024 367046841 /nfs/dbraw/zinc/04/68/41/367046841.db2.gz OMFCPPCBOFYHSC-UHFFFAOYSA-N 0 3 243.354 2.544 20 0 BFADHN CCCC[C@H](CC)CN(CCC)CC(=O)NC ZINC000183602603 367031826 /nfs/dbraw/zinc/03/18/26/367031826.db2.gz KQWZIJFQRMDUFO-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN C[C@@H](C[S@](C)=O)N(C)CCCC1CCCC1 ZINC000185101837 367119550 /nfs/dbraw/zinc/11/95/50/367119550.db2.gz NKWDRWBFZHKFHS-LRDDRELGSA-N 0 3 245.432 2.656 20 0 BFADHN CCN(Cc1cnoc1C)C1CCCC1 ZINC000185185783 367125020 /nfs/dbraw/zinc/12/50/20/367125020.db2.gz RHYCIWVHIIKUHZ-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN CC(C)OCCN[C@H](C)c1cnn(C(C)C)c1 ZINC000184750190 367092309 /nfs/dbraw/zinc/09/23/09/367092309.db2.gz LSDPCBURFWXHMA-GFCCVEGCSA-N 0 3 239.363 2.540 20 0 BFADHN COC[C@H](C)N(C)Cc1cccc2cccnc21 ZINC000193401650 367173394 /nfs/dbraw/zinc/17/33/94/367173394.db2.gz FWRKGLQGIMSXEO-LBPRGKRZSA-N 0 3 244.338 2.702 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1cc(C)c(C)cc1C ZINC000580872382 367191640 /nfs/dbraw/zinc/19/16/40/367191640.db2.gz VOVQYDIMMOSMPV-HUUCEWRRSA-N 0 3 233.355 2.879 20 0 BFADHN COC[C@@H](C)N(C)Cc1cccc(Cl)c1 ZINC000193890075 367223514 /nfs/dbraw/zinc/22/35/14/367223514.db2.gz SRERMBCJUINQQN-SNVBAGLBSA-N 0 3 227.735 2.807 20 0 BFADHN C[C@@H](NCCCc1cccs1)c1ccn(C)n1 ZINC000581059151 367248014 /nfs/dbraw/zinc/24/80/14/367248014.db2.gz NFHHGWPGLJPHQF-LLVKDONJSA-N 0 3 249.383 2.765 20 0 BFADHN FCCCNCc1nc(-c2ccccc2)c[nH]1 ZINC000194374752 367282592 /nfs/dbraw/zinc/28/25/92/367282592.db2.gz XBWSNKGSFBCXHX-UHFFFAOYSA-N 0 3 233.290 2.526 20 0 BFADHN CCCCCN(C(=O)CN(C)CCC)C(C)C ZINC000195008974 367331426 /nfs/dbraw/zinc/33/14/26/367331426.db2.gz LFXRXOJGAWPKJN-UHFFFAOYSA-N 0 3 242.407 2.755 20 0 BFADHN CC(C)[C@H]1CCCC[C@@H]1NCc1cocn1 ZINC000381155622 367356645 /nfs/dbraw/zinc/35/66/45/367356645.db2.gz PZNLVYZOBDTVQF-OLZOCXBDSA-N 0 3 222.332 2.979 20 0 BFADHN CCc1nc2ccccc2c(NCCF)c1C ZINC000581269961 367315261 /nfs/dbraw/zinc/31/52/61/367315261.db2.gz KBGVFMUGYBJDFF-UHFFFAOYSA-N 0 3 232.302 2.909 20 0 BFADHN CC[C@H](C)[C@H](CN[C@H](C)c1ccoc1)OC ZINC000381306132 367377762 /nfs/dbraw/zinc/37/77/62/367377762.db2.gz RZLRGGGVLNTORX-LOWVWBTDSA-N 0 3 225.332 2.991 20 0 BFADHN COCC1(N[C@@H](C)c2ccccc2C)CC1 ZINC000381447087 367405792 /nfs/dbraw/zinc/40/57/92/367405792.db2.gz GEBUKRGTZVRRJR-LBPRGKRZSA-N 0 3 219.328 2.825 20 0 BFADHN CO[C@@H](C)CN[C@H]1CCc2c1cccc2Cl ZINC000381456576 367409571 /nfs/dbraw/zinc/40/95/71/367409571.db2.gz JPXIPWWIHYWVKD-ZANVPECISA-N 0 3 239.746 2.952 20 0 BFADHN FC1(F)CCC[C@@H]1CNCc1ccccn1 ZINC000381475667 367415014 /nfs/dbraw/zinc/41/50/14/367415014.db2.gz DEQCVWFLAZIMPH-SNVBAGLBSA-N 0 3 226.270 2.607 20 0 BFADHN FC1(F)CCC[C@@H]1CNCc1ccncc1 ZINC000381523353 367424163 /nfs/dbraw/zinc/42/41/63/367424163.db2.gz NGISBRBYOYCPOW-LLVKDONJSA-N 0 3 226.270 2.607 20 0 BFADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1cnns1 ZINC000381207965 367364981 /nfs/dbraw/zinc/36/49/81/367364981.db2.gz GOJWWTHHWSRQIK-RYUDHWBXSA-N 0 3 239.388 2.843 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(SC)cc1 ZINC000381647285 367442647 /nfs/dbraw/zinc/44/26/47/367442647.db2.gz BZAZRQWRXCLVEC-QWHCGFSZSA-N 0 3 237.368 2.676 20 0 BFADHN COc1ncccc1CN(CC1CC1)C(C)C ZINC000581524300 367546297 /nfs/dbraw/zinc/54/62/97/367546297.db2.gz IHGFQPGGCYNRRF-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](NCc1ncc[nH]1)[C@H]1CC2CCC1CC2 ZINC000382241248 367501350 /nfs/dbraw/zinc/50/13/50/367501350.db2.gz WEJIWQFKDBNMTG-YWPUVAFDSA-N 0 3 233.359 2.714 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2C[C@H]2C2CC2)no1 ZINC000382315738 367506588 /nfs/dbraw/zinc/50/65/88/367506588.db2.gz RMONXINATQXQOZ-DLOVCJGASA-N 0 3 220.316 2.680 20 0 BFADHN COC[C@@H](N[C@H]1CCC[C@H]1F)C1CCCC1 ZINC000382431113 367523267 /nfs/dbraw/zinc/52/32/67/367523267.db2.gz ZXRMHLHOLJWLFQ-FRRDWIJNSA-N 0 3 229.339 2.672 20 0 BFADHN CCCn1cc(CN[C@@H]2CCC[C@H](F)C2)cn1 ZINC000581547847 367576612 /nfs/dbraw/zinc/57/66/12/367576612.db2.gz BQWDPTAEYFVKFZ-QWHCGFSZSA-N 0 3 239.338 2.663 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@@H](C)NC1CC1 ZINC000383038789 367591476 /nfs/dbraw/zinc/59/14/76/367591476.db2.gz KOWJIMRXVAZKTM-NEPJUHHUSA-N 0 3 240.391 2.602 20 0 BFADHN CO[C@@H](CNCc1cccc(C)c1F)C(C)C ZINC000384464855 367692787 /nfs/dbraw/zinc/69/27/87/367692787.db2.gz PAQZBDAUPDGGPX-ZDUSSCGKSA-N 0 3 239.334 2.895 20 0 BFADHN Cc1cccc(CNCC[C@@H]2CCOC2)c1F ZINC000384519339 367696531 /nfs/dbraw/zinc/69/65/31/367696531.db2.gz JMLXZGAAJCOGOX-GFCCVEGCSA-N 0 3 237.318 2.650 20 0 BFADHN CC/C=C\CNCc1ccccc1OC ZINC000384795207 367733470 /nfs/dbraw/zinc/73/34/70/367733470.db2.gz WLUVDNBWZBKFPD-DAXSKMNVSA-N 0 3 205.301 2.751 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C/c2ccccc2)[C@@H](C)O1 ZINC000385043627 367778837 /nfs/dbraw/zinc/77/88/37/367778837.db2.gz ZMLZDQAWHATYPB-SHXLNVMOSA-N 0 3 231.339 2.855 20 0 BFADHN CC(C)n1cc(CN[C@@H](C)CC(C)(C)C)nn1 ZINC000385148543 367802576 /nfs/dbraw/zinc/80/25/76/367802576.db2.gz MUUPKHCVXOFXGR-NSHDSACASA-N 0 3 238.379 2.773 20 0 BFADHN COc1ccc(F)cc1CN[C@@H]1C[C@@H](C)[C@@H]1C ZINC000385895617 367878411 /nfs/dbraw/zinc/87/84/11/367878411.db2.gz DVUDAQOWWSZRPI-GBIKHYSHSA-N 0 3 237.318 2.968 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H](C)c1nccn1C ZINC000385779371 367864632 /nfs/dbraw/zinc/86/46/32/367864632.db2.gz BYDDGEBPQIMNRM-IJLUTSLNSA-N 0 3 221.348 2.505 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H](C)c1cnccn1 ZINC000385876573 367874295 /nfs/dbraw/zinc/87/42/95/367874295.db2.gz WBGGEMCLKVDOOU-QJPTWQEYSA-N 0 3 219.332 2.562 20 0 BFADHN CC1(C)OCC[C@H]1Nc1ccnc2ccccc21 ZINC000385480963 367835208 /nfs/dbraw/zinc/83/52/08/367835208.db2.gz FYRNIMJZDTUPRW-CQSZACIVSA-N 0 3 242.322 2.636 20 0 BFADHN C[C@H](N[C@@H](CO)C1CCCCC1)c1ccccn1 ZINC000386077413 367909247 /nfs/dbraw/zinc/90/92/47/367909247.db2.gz BKCSTVHRYHNJNK-WFASDCNBSA-N 0 3 248.370 2.673 20 0 BFADHN COc1cc(CN[C@@H]2CCC(C)(C)C2)sn1 ZINC000399186665 367894778 /nfs/dbraw/zinc/89/47/78/367894778.db2.gz QXLIMDGKNHTIHN-SECBINFHSA-N 0 3 240.372 2.820 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2COCc3ccccc32)[C@@H]1C ZINC000386000648 367895614 /nfs/dbraw/zinc/89/56/14/367895614.db2.gz SGDJHJPAUIAZEU-HKCMKHECSA-N 0 3 231.339 2.892 20 0 BFADHN C[C@H](N[C@@H](CO)C1CCCCC1)c1ccoc1 ZINC000386325916 367952102 /nfs/dbraw/zinc/95/21/02/367952102.db2.gz ZFNGIXPHFFYVBN-FZMZJTMJSA-N 0 3 237.343 2.871 20 0 BFADHN CCCCCC(=O)Nc1ccc2c(c1)CNC2 ZINC000386449597 367975474 /nfs/dbraw/zinc/97/54/74/367975474.db2.gz LQQNADFRAGDFBU-UHFFFAOYSA-N 0 3 232.327 2.809 20 0 BFADHN CC[C@H](NCc1ccc(C)cc1Cl)[C@H](C)O ZINC000386692526 368010987 /nfs/dbraw/zinc/01/09/87/368010987.db2.gz KRQKBVFUCWQRDV-GWCFXTLKSA-N 0 3 241.762 2.897 20 0 BFADHN CC[C@@H](NCc1ccc(Cl)cc1F)[C@@H](C)O ZINC000386837305 368027278 /nfs/dbraw/zinc/02/72/78/368027278.db2.gz WZBVFPGRYSSPSA-PRHODGIISA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@H](NCc1cccc(Cl)c1F)[C@H](C)O ZINC000386849509 368028825 /nfs/dbraw/zinc/02/88/25/368028825.db2.gz ZAVDOUJVIBFEAH-KWQFWETISA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc(F)cc(F)c1)[C@H](C)O ZINC000386894189 368032979 /nfs/dbraw/zinc/03/29/79/368032979.db2.gz NWNLQFIZWQXUAT-RVBZMBCESA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H](CN(C)C(=O)[C@@H](N)C(C)(C)C)C(C)(C)C ZINC000399511696 368170809 /nfs/dbraw/zinc/17/08/09/368170809.db2.gz LQUPZRYPVSAIBO-WDEREUQCSA-N 0 3 242.407 2.500 20 0 BFADHN CCCCC(=O)NC[C@@H](C)N1CCCC[C@@H]1C ZINC000043084667 368393015 /nfs/dbraw/zinc/39/30/15/368393015.db2.gz ARPXCRNLICELMJ-QWHCGFSZSA-N 0 3 240.391 2.556 20 0 BFADHN CCc1ccc(CN(CCCO)C2CC2)cc1 ZINC000045944361 368434107 /nfs/dbraw/zinc/43/41/07/368434107.db2.gz TZVUFHKOIOCDFM-UHFFFAOYSA-N 0 3 233.355 2.596 20 0 BFADHN CCCCN1CCN(CCc2ccccc2)CC1 ZINC000060974654 368556552 /nfs/dbraw/zinc/55/65/52/368556552.db2.gz JUZRGWYKEHVYIV-UHFFFAOYSA-N 0 3 246.398 2.647 20 0 BFADHN CCCCN(C)Cc1cccc2c1OCCO2 ZINC000060215490 368538416 /nfs/dbraw/zinc/53/84/16/368538416.db2.gz YAMBMWJYKNFFKX-UHFFFAOYSA-N 0 3 235.327 2.690 20 0 BFADHN CC[C@H](C)CN1CCN(CC(F)F)[C@@H](CC)C1 ZINC000153639968 326104888 /nfs/dbraw/zinc/10/48/88/326104888.db2.gz QZGXYPFINPAUGF-RYUDHWBXSA-N 0 3 248.361 2.694 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)Nc1ccc(C)c(C)c1 ZINC000060575175 368546738 /nfs/dbraw/zinc/54/67/38/368546738.db2.gz HTLRWSIZZLLKPJ-CYBMUJFWSA-N 0 3 248.370 2.972 20 0 BFADHN CCOc1ccccc1OC1CCN(CC)CC1 ZINC000064350880 368604278 /nfs/dbraw/zinc/60/42/78/368604278.db2.gz PBLNOCCXLRNNHJ-UHFFFAOYSA-N 0 3 249.354 2.948 20 0 BFADHN CCN(CC(=O)N[C@H](C)C(C)C)[C@H](C)C(C)C ZINC000065298822 368621119 /nfs/dbraw/zinc/62/11/19/368621119.db2.gz WZVBYPWJEAIIHY-CHWSQXEVSA-N 0 3 242.407 2.514 20 0 BFADHN Cc1ccccc1NC(=O)[C@H](C)N(C)CC(C)C ZINC000065962624 368638360 /nfs/dbraw/zinc/63/83/60/368638360.db2.gz GRAJUUZAWCVXOB-ZDUSSCGKSA-N 0 3 248.370 2.910 20 0 BFADHN COc1cc(C)ccc1[C@@H](C)N[C@@H]1CCCOC1 ZINC000109545575 368639518 /nfs/dbraw/zinc/63/95/18/368639518.db2.gz JGZMGJKBKHXDEP-CHWSQXEVSA-N 0 3 249.354 2.833 20 0 BFADHN Cc1cccc([C@H](C)NC(=O)CN(C)C(C)C)c1 ZINC000457028750 203002407 /nfs/dbraw/zinc/00/24/07/203002407.db2.gz HDDNXHUNYSEEJI-ZDUSSCGKSA-N 0 3 248.370 2.512 20 0 BFADHN CNCc1cccc(NC(=O)C2=C(C)CCC2)c1 ZINC000457362460 203045723 /nfs/dbraw/zinc/04/57/23/203045723.db2.gz ARMDJIABULLAAB-UHFFFAOYSA-N 0 3 244.338 2.845 20 0 BFADHN CCC1(CC)CCCN(C(=O)C(C)(C)N)CC1 ZINC000457368344 203046786 /nfs/dbraw/zinc/04/67/86/203046786.db2.gz ALOGWKHWNSZSRE-UHFFFAOYSA-N 0 3 240.391 2.543 20 0 BFADHN Cc1cc(CN)cc(NC(=O)CCC2CCC2)c1 ZINC000457381255 203050161 /nfs/dbraw/zinc/05/01/61/203050161.db2.gz QMEMNRGSGCXZAC-UHFFFAOYSA-N 0 3 246.354 2.973 20 0 BFADHN COCCN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000073432262 368805303 /nfs/dbraw/zinc/80/53/03/368805303.db2.gz MLHFHBSZKHYBAA-SECBINFHSA-N 0 3 229.270 2.604 20 0 BFADHN CN(CCCOc1ccccc1)C[C@H]1CCCO1 ZINC000073872451 368819136 /nfs/dbraw/zinc/81/91/36/368819136.db2.gz ZGVNLFHXNZXIRU-OAHLLOKOSA-N 0 3 249.354 2.566 20 0 BFADHN Cc1ccc(NC(=O)CN2C[C@H](C)[C@@H](C)C2)cc1 ZINC000072872054 368782751 /nfs/dbraw/zinc/78/27/51/368782751.db2.gz AAIPYCUCIFXQBG-STQMWFEESA-N 0 3 246.354 2.521 20 0 BFADHN CC(C)CC[C@H](CO)NCc1ccsc1 ZINC000623647308 368970606 /nfs/dbraw/zinc/97/06/06/368970606.db2.gz AMPXSHPNUBMQOI-GFCCVEGCSA-N 0 3 227.373 2.635 20 0 BFADHN CS[C@@H]1CCC[C@@H](N[C@H](C)c2ncc[nH]2)C1 ZINC000278843293 368977382 /nfs/dbraw/zinc/97/73/82/368977382.db2.gz DLICBAHUBVMWRD-GMTAPVOTSA-N 0 3 239.388 2.735 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2ccccc2F)C1 ZINC000623808553 368940833 /nfs/dbraw/zinc/94/08/33/368940833.db2.gz XIMSVUAVPGXOJB-DCAQKATOSA-N 0 3 223.291 2.654 20 0 BFADHN CSCCCN(C)Cc1cc(C)ccn1 ZINC000619623993 368940924 /nfs/dbraw/zinc/94/09/24/368940924.db2.gz RMIHELIJLNKNHP-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN Cc1ccccc1NC(=O)CN[C@H](C)C(C)(C)C ZINC000114473659 368941137 /nfs/dbraw/zinc/94/11/37/368941137.db2.gz QIFLNLHIXBXBAU-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cc(NC(=O)CC2CC2)ccc1CN(C)C ZINC000458284703 203154303 /nfs/dbraw/zinc/15/43/03/203154303.db2.gz NJJDRJZEBAMUMH-UHFFFAOYSA-N 0 3 246.354 2.795 20 0 BFADHN CCCCN(CCCC)Cc1cnnn1CC ZINC000459287042 203247647 /nfs/dbraw/zinc/24/76/47/203247647.db2.gz DNRPNWAVSDJLOA-UHFFFAOYSA-N 0 3 238.379 2.700 20 0 BFADHN CN(Cc1cnc(C2CC2)nc1)C1CCCC1 ZINC000459301185 203250270 /nfs/dbraw/zinc/25/02/70/203250270.db2.gz JFSPOWMUAAPTLL-UHFFFAOYSA-N 0 3 231.343 2.728 20 0 BFADHN C[C@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)CCO1 ZINC000459303897 203252184 /nfs/dbraw/zinc/25/21/84/203252184.db2.gz GDZCKQKLVOSWJM-QEJZJMRPSA-N 0 3 231.339 2.511 20 0 BFADHN C[C@H]1CCCN(CCn2cc(Cl)cn2)[C@H]1C ZINC000459333370 203256913 /nfs/dbraw/zinc/25/69/13/203256913.db2.gz AXLBEHXCCOSPHH-QWRGUYRKSA-N 0 3 241.766 2.657 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cnc(C2CC2)nc1 ZINC000459330912 203257046 /nfs/dbraw/zinc/25/70/46/203257046.db2.gz SDMUCNQTSNSFBX-LLVKDONJSA-N 0 3 233.359 2.832 20 0 BFADHN C[C@H](O)CCN(C)Cc1ccc(C2CC2)cc1 ZINC000459342986 203261433 /nfs/dbraw/zinc/26/14/33/203261433.db2.gz LAEASIBENXUHKP-LBPRGKRZSA-N 0 3 233.355 2.767 20 0 BFADHN CN(Cc1ccc(C2CC2)cc1)[C@@H]1CCOC1 ZINC000459340010 203262236 /nfs/dbraw/zinc/26/22/36/203262236.db2.gz OIBYDGRFJZOTHZ-OAHLLOKOSA-N 0 3 231.339 2.785 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cnc(C2CC2)nc1 ZINC000459338350 203262368 /nfs/dbraw/zinc/26/23/68/203262368.db2.gz GLAHROIMQIHQCN-SMDDNHRTSA-N 0 3 245.370 2.974 20 0 BFADHN C[C@@H]1CC[C@H](C)N(CCn2cc(Cl)cn2)C1 ZINC000459346791 203263927 /nfs/dbraw/zinc/26/39/27/203263927.db2.gz BRSXFVRRIISBTK-MNOVXSKESA-N 0 3 241.766 2.657 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1CCn1cc(Cl)cn1 ZINC000459346795 203264329 /nfs/dbraw/zinc/26/43/29/203264329.db2.gz BRSXFVRRIISBTK-WDEREUQCSA-N 0 3 241.766 2.657 20 0 BFADHN Cc1cccc(CN(C)C2CC(OC(C)C)C2)n1 ZINC000459386737 203270436 /nfs/dbraw/zinc/27/04/36/203270436.db2.gz YHBQMHGLOPIKOA-UHFFFAOYSA-N 0 3 248.370 2.778 20 0 BFADHN FC1(F)CCC(CN(C2CC2)C2COC2)CC1 ZINC000459482737 203290714 /nfs/dbraw/zinc/29/07/14/203290714.db2.gz DEMOCKISJNHORE-UHFFFAOYSA-N 0 3 245.313 2.675 20 0 BFADHN CCC(C)(C)CNCc1cnc(C2CC2)nc1 ZINC000459507376 203293210 /nfs/dbraw/zinc/29/32/10/203293210.db2.gz LNYCLVQHHISTDK-UHFFFAOYSA-N 0 3 233.359 2.880 20 0 BFADHN CC(C)=CCCNCc1cnc(C2CC2)nc1 ZINC000459521958 203295706 /nfs/dbraw/zinc/29/57/06/203295706.db2.gz LYLDYQBWDGCSMP-UHFFFAOYSA-N 0 3 231.343 2.800 20 0 BFADHN OC[C@@H](NC1CC2(CCC2)C1)c1cccc(F)c1 ZINC000459407079 203276989 /nfs/dbraw/zinc/27/69/89/203276989.db2.gz DRTCTLXLUOABKK-CQSZACIVSA-N 0 3 249.329 2.781 20 0 BFADHN C1=C[C@H](NCc2cnc(C3CC3)nc2)CCC1 ZINC000459435860 203280298 /nfs/dbraw/zinc/28/02/98/203280298.db2.gz PQBPBDNDUSKRDQ-ZDUSSCGKSA-N 0 3 229.327 2.552 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(C(F)F)cc2)CO1 ZINC000402119617 369380412 /nfs/dbraw/zinc/38/04/12/369380412.db2.gz DKJCUZPEZCVYSQ-JOYOIKCWSA-N 0 3 241.281 2.891 20 0 BFADHN Cc1cc(CNC(C)(C)C2CCC2)no1 ZINC000459583675 203306956 /nfs/dbraw/zinc/30/69/56/203306956.db2.gz SZIUTPKXHYTERI-UHFFFAOYSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CNCC(C)(C)C2CCC2)no1 ZINC000459581882 203307485 /nfs/dbraw/zinc/30/74/85/203307485.db2.gz QNFPQXKJYJKVHI-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN C[C@@H](NC[C@@H]1CC2CCC1CC2)c1nccn1C ZINC000400014345 369340283 /nfs/dbraw/zinc/34/02/83/369340283.db2.gz GZBQKPKMRFJWCN-RYSNWHEDSA-N 0 3 247.386 2.897 20 0 BFADHN OCCC1CN(Cc2cccc3ccccc32)C1 ZINC000459591542 203310302 /nfs/dbraw/zinc/31/03/02/203310302.db2.gz LLFMNBCKIYINQE-UHFFFAOYSA-N 0 3 241.334 2.654 20 0 BFADHN C[C@H](C1CCC1)N(C)Cc1cnc(C2CC2)nc1 ZINC000459551474 203299428 /nfs/dbraw/zinc/29/94/28/203299428.db2.gz OFXVGHRJQSGRGV-LLVKDONJSA-N 0 3 245.370 2.974 20 0 BFADHN Cc1nnsc1CNC1CC(C(C)(C)C)C1 ZINC000459552908 203299660 /nfs/dbraw/zinc/29/96/60/203299660.db2.gz ADJJYZDNQYRKPC-UHFFFAOYSA-N 0 3 239.388 2.761 20 0 BFADHN COC[C@H]1CCN1Cc1ccc(C2CC2)cc1 ZINC000459579671 203302283 /nfs/dbraw/zinc/30/22/83/203302283.db2.gz AADFMKJAKZXBFP-OAHLLOKOSA-N 0 3 231.339 2.785 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NC/C=C\c1ccc(F)cc1 ZINC000623677687 369371603 /nfs/dbraw/zinc/37/16/03/369371603.db2.gz OGCFYKVIKDBINN-NWRQEBOHSA-N 0 3 249.329 2.996 20 0 BFADHN Cc1cc(C)c(CN2CC(C(C)(C)O)C2)c(C)c1 ZINC000459611810 203316685 /nfs/dbraw/zinc/31/66/85/203316685.db2.gz PZHPGQWBRMYBBZ-UHFFFAOYSA-N 0 3 247.382 2.815 20 0 BFADHN CC(C)c1ccc(CCN2CC(C)(CO)C2)cc1 ZINC000459618390 203318630 /nfs/dbraw/zinc/31/86/30/203318630.db2.gz FNJKENGNJLNEKE-UHFFFAOYSA-N 0 3 247.382 2.667 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1ccc(OC)cc1F ZINC000387972925 369409041 /nfs/dbraw/zinc/40/90/41/369409041.db2.gz GOWIKSAXJYLJGB-PWSUYJOCSA-N 0 3 237.318 2.970 20 0 BFADHN COCC1(C)CN(Cc2cccc3[nH]ccc32)C1 ZINC000459623624 203322715 /nfs/dbraw/zinc/32/27/15/203322715.db2.gz SAKCDZNYLHXIRS-UHFFFAOYSA-N 0 3 244.338 2.636 20 0 BFADHN COCCC1(C)CN(Cc2ccccc2C)C1 ZINC000459638303 203327052 /nfs/dbraw/zinc/32/70/52/203327052.db2.gz YVSLPGMSYZPTQQ-UHFFFAOYSA-N 0 3 233.355 2.853 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccc(Cl)o2)C1 ZINC000459650275 203329363 /nfs/dbraw/zinc/32/93/63/203329363.db2.gz SSEYTAXSEIMUDO-WAAGHKOSSA-N 0 3 229.707 2.590 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cnc(C2CC2)nc1 ZINC000459655548 203330948 /nfs/dbraw/zinc/33/09/48/203330948.db2.gz ITYFNYRGQSOIQV-ZIAGYGMSSA-N 0 3 245.370 2.878 20 0 BFADHN Cc1ccc(CN[C@@H](C)COC(C)C)nc1C ZINC000459663575 203332636 /nfs/dbraw/zinc/33/26/36/203332636.db2.gz INZCCCLYDVCJLG-LBPRGKRZSA-N 0 3 236.359 2.602 20 0 BFADHN Cc1ncc(CN[C@H]2CCC[C@H]2C2CC2)o1 ZINC000459670927 203334024 /nfs/dbraw/zinc/33/40/24/203334024.db2.gz FXWRCCXFUWDBJA-STQMWFEESA-N 0 3 220.316 2.651 20 0 BFADHN CCC[C@H](C)CN[C@H](C)c1ccn(C)n1 ZINC000459742140 203349434 /nfs/dbraw/zinc/34/94/34/203349434.db2.gz FSMBDNRQIBZEQD-WDEREUQCSA-N 0 3 209.337 2.507 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1ccc(C)cn1 ZINC000459678128 203336056 /nfs/dbraw/zinc/33/60/56/203336056.db2.gz QZKNNQJNCODUKN-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN CCC[C@H](C)C[NH2+]Cc1nnc(C(C)(C)C)[n-]1 ZINC000459689663 203337490 /nfs/dbraw/zinc/33/74/90/203337490.db2.gz ZKILSGFUPGDDTJ-JTQLQIEISA-N 0 3 238.379 2.628 20 0 BFADHN CCC[C@H](C)CNCc1nnc(C(C)(C)C)[nH]1 ZINC000459689663 203337494 /nfs/dbraw/zinc/33/74/94/203337494.db2.gz ZKILSGFUPGDDTJ-JTQLQIEISA-N 0 3 238.379 2.628 20 0 BFADHN CCOC[C@@H]1CCCCN1C[C@H](F)CC ZINC000459707695 203339750 /nfs/dbraw/zinc/33/97/50/203339750.db2.gz JSKVJBAPXMHZLD-NEPJUHHUSA-N 0 3 217.328 2.626 20 0 BFADHN Cc1ccc(CNCCc2ccccn2)c(F)c1 ZINC000631029427 370955216 /nfs/dbraw/zinc/95/52/16/370955216.db2.gz BDXOKQVPXRZSRM-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H](C)c1cc(C)ccn1 ZINC000459737127 203346908 /nfs/dbraw/zinc/34/69/08/203346908.db2.gz HIBGMOKSOBRBIJ-BZPMIXESSA-N 0 3 234.343 2.608 20 0 BFADHN CCC(CC)CN[C@@H](C)c1ccn(C)n1 ZINC000459737622 203347607 /nfs/dbraw/zinc/34/76/07/203347607.db2.gz CLAVMXYYFUYAPX-JTQLQIEISA-N 0 3 209.337 2.507 20 0 BFADHN OCCN1CC2(CCCCC2)[C@@H]1c1ccccc1 ZINC000459738377 203348738 /nfs/dbraw/zinc/34/87/38/203348738.db2.gz IFWYOEDJQVUNJQ-HNNXBMFYSA-N 0 3 245.366 2.986 20 0 BFADHN C[C@@H](N[C@@H](C)CC(C)(C)C)c1ccn(C)n1 ZINC000459750864 203355294 /nfs/dbraw/zinc/35/52/94/203355294.db2.gz HRYWRWJOFZONFO-WDEREUQCSA-N 0 3 223.364 2.895 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@@H](C)c1ccn(C)n1 ZINC000459750861 203355641 /nfs/dbraw/zinc/35/56/41/203355641.db2.gz HRYWRWJOFZONFO-MNOVXSKESA-N 0 3 223.364 2.895 20 0 BFADHN C[C@H](NC1CC(C(C)(C)C)C1)c1ccn(C)n1 ZINC000459753513 203356559 /nfs/dbraw/zinc/35/65/59/203356559.db2.gz JAOJVFIBAHGPMD-UNXYVOJBSA-N 0 3 235.375 2.895 20 0 BFADHN c1csc(CNCC[C@@H]2CC=CCC2)n1 ZINC000623698641 369505550 /nfs/dbraw/zinc/50/55/50/369505550.db2.gz QRSQNGAQHVZZQA-LLVKDONJSA-N 0 3 222.357 2.979 20 0 BFADHN CC(C)CCCN[C@H](C)c1ccn(C)n1 ZINC000459772258 203362808 /nfs/dbraw/zinc/36/28/08/203362808.db2.gz ZNRHTRBYUUAQSG-LLVKDONJSA-N 0 3 209.337 2.507 20 0 BFADHN Cc1cccc(CN2C[C@@H]3C[C@H]2CS3)c1 ZINC000459768285 203363316 /nfs/dbraw/zinc/36/33/16/203363316.db2.gz QMQRYVFDEIXXHK-STQMWFEESA-N 0 3 219.353 2.685 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@H](OC)C23CCC3)o1 ZINC000459777197 203365714 /nfs/dbraw/zinc/36/57/14/203365714.db2.gz KHVDGHMAVSQZIR-KBPBESRZSA-N 0 3 249.354 2.889 20 0 BFADHN CSCCCN(C)Cc1n[nH]c2ccccc21 ZINC000619613146 369493661 /nfs/dbraw/zinc/49/36/61/369493661.db2.gz IMEIKNKSKIICGB-UHFFFAOYSA-N 0 3 249.383 2.748 20 0 BFADHN CSCCCN(C)Cc1[nH]nc2ccccc21 ZINC000619613146 369493665 /nfs/dbraw/zinc/49/36/65/369493665.db2.gz IMEIKNKSKIICGB-UHFFFAOYSA-N 0 3 249.383 2.748 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccn(C)n1)[C@@H]1CC1(C)C ZINC000459742801 203350810 /nfs/dbraw/zinc/35/08/10/203350810.db2.gz GDVJEKYFGUKURL-VWYCJHECSA-N 0 3 221.348 2.505 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1cc(F)ccc1C ZINC000459742459 203351185 /nfs/dbraw/zinc/35/11/85/203351185.db2.gz OPBWHBROILKARD-KGLIPLIRSA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)C1CC(N[C@@H](C)c2ccn(C)n2)C1 ZINC000459750234 203353262 /nfs/dbraw/zinc/35/32/62/203353262.db2.gz LGKJVUKIBNOMGP-UNXYVOJBSA-N 0 3 221.348 2.505 20 0 BFADHN Cc1ccncc1CCCN1C[C@@H]2C[C@H]1CS2 ZINC000459749896 203353865 /nfs/dbraw/zinc/35/38/65/203353865.db2.gz JBUWOHPOVRQMSS-KBPBESRZSA-N 0 3 248.395 2.512 20 0 BFADHN CO[C@@H](CN1C[C@@H]2C[C@H]1CS2)c1ccccc1 ZINC000459797661 203372798 /nfs/dbraw/zinc/37/27/98/203372798.db2.gz SICDHTBATQKUBG-IHRRRGAJSA-N 0 3 249.379 2.564 20 0 BFADHN C[C@H](NCCCC(C)(C)C)c1ccn(C)n1 ZINC000459801076 203373172 /nfs/dbraw/zinc/37/31/72/203373172.db2.gz XDHDNEDUNSKNCG-NSHDSACASA-N 0 3 223.364 2.897 20 0 BFADHN OC[C@@H]1CCC[C@@H]1NCc1ccccc1Cl ZINC000164751314 203378956 /nfs/dbraw/zinc/37/89/56/203378956.db2.gz BIEKKICATHWFAL-AAEUAGOBSA-N 0 3 239.746 2.591 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H](C)C(C)C ZINC000164775397 203379772 /nfs/dbraw/zinc/37/97/72/203379772.db2.gz HLBFXQUUJAHCTI-LBPRGKRZSA-N 0 3 223.364 2.673 20 0 BFADHN CCCC[C@@H](CCC)NCc1ncc[nH]1 ZINC000165248314 203384112 /nfs/dbraw/zinc/38/41/12/203384112.db2.gz WZWDYJSBCCSTAK-LLVKDONJSA-N 0 3 209.337 2.858 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2ccn(C)n2)cc1 ZINC000459785592 203368133 /nfs/dbraw/zinc/36/81/33/203368133.db2.gz KANRBIPXWMPWCT-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN CCC[C@H](NCc1cc(C)n(C)n1)C1CCC1 ZINC000459788762 203370808 /nfs/dbraw/zinc/37/08/08/203370808.db2.gz FOJOTQVKRMTKRG-AWEZNQCLSA-N 0 3 235.375 2.787 20 0 BFADHN COC[C@H](N[C@@H](C)c1cncc(F)c1)C(C)C ZINC000165942913 203384624 /nfs/dbraw/zinc/38/46/24/203384624.db2.gz UMZGYULIOYASHU-GWCFXTLKSA-N 0 3 240.322 2.542 20 0 BFADHN COC(=O)CN(C[C@@H](C)C(C)(C)C)C(C)C ZINC000619843826 369616183 /nfs/dbraw/zinc/61/61/83/369616183.db2.gz OLUGOTRGOIIRGU-LLVKDONJSA-N 0 3 229.364 2.552 20 0 BFADHN CC[C@H](C)N(CC(=O)OC)C[C@H](C)C(C)(C)C ZINC000619845936 369618573 /nfs/dbraw/zinc/61/85/73/369618573.db2.gz VAIIOEXLROKBAA-RYUDHWBXSA-N 0 3 243.391 2.942 20 0 BFADHN COC(=O)[C@]1(C)CCCN1C[C@@H](C)C(C)(C)C ZINC000619845826 369618595 /nfs/dbraw/zinc/61/85/95/369618595.db2.gz NIMCLZOLIVXTBQ-RISCZKNCSA-N 0 3 241.375 2.696 20 0 BFADHN CCCc1ccc(CNCCn2ccnc2)cc1 ZINC000620436288 369876185 /nfs/dbraw/zinc/87/61/85/369876185.db2.gz SIILXWDSNMXJFN-UHFFFAOYSA-N 0 3 243.354 2.625 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cnn(C(C)(C)C)c1 ZINC000158115361 326309527 /nfs/dbraw/zinc/30/95/27/326309527.db2.gz ZCSMEFDUOOLFJG-LLVKDONJSA-N 0 3 235.375 2.868 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1C(=O)CN(C)C(C)C ZINC000620575124 369932660 /nfs/dbraw/zinc/93/26/60/369932660.db2.gz IFMVSNKMFQXRCQ-QWHCGFSZSA-N 0 3 240.391 2.506 20 0 BFADHN CCC[C@H](C)NCc1nc2ccccc2n1C ZINC000111233812 370117323 /nfs/dbraw/zinc/11/73/23/370117323.db2.gz XURYRBPSIWMVBV-NSHDSACASA-N 0 3 231.343 2.852 20 0 BFADHN Cc1cnc(-c2cc(CN(C)C)ccc2F)nc1 ZINC000621248379 370183000 /nfs/dbraw/zinc/18/30/00/370183000.db2.gz GUVUKDBBLGDRGC-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN Cc1cc(CN2CCSCC[C@H]2C)ccn1 ZINC000621285932 370215255 /nfs/dbraw/zinc/21/52/55/370215255.db2.gz QCFVKFDUFADCKI-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1cc(CN2CCS[C@@H](C)CC2)ccn1 ZINC000621286895 370216286 /nfs/dbraw/zinc/21/62/86/370216286.db2.gz WJAFLTGHPWFSMQ-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1cc(CN2CCS[C@H](C)CC2)ccn1 ZINC000621286894 370216552 /nfs/dbraw/zinc/21/65/52/370216552.db2.gz WJAFLTGHPWFSMQ-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccnc(C)c2)C[C@H]1C ZINC000621300037 370227681 /nfs/dbraw/zinc/22/76/81/370227681.db2.gz ZQCSESGEQVJVIF-IUODEOHRSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cc(CN2C[C@@H]3CCCC[C@@H]32)ccn1 ZINC000621313644 370238441 /nfs/dbraw/zinc/23/84/41/370238441.db2.gz RLROOOFFJONTBW-KBPBESRZSA-N 0 3 216.328 2.764 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cncc(Cl)c1 ZINC000621261593 370194667 /nfs/dbraw/zinc/19/46/67/370194667.db2.gz WOSPBDDCZSVIAH-VHSXEESVSA-N 0 3 224.735 2.965 20 0 BFADHN CC[C@H](C)N(C)Cc1cncc(Cl)c1 ZINC000621265465 370198465 /nfs/dbraw/zinc/19/84/65/370198465.db2.gz BWYDZQKLCRHWKG-VIFPVBQESA-N 0 3 212.724 2.965 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccc(C)s2)[nH]n1 ZINC000309740285 370252150 /nfs/dbraw/zinc/25/21/50/370252150.db2.gz DDDFWMAMRBYULQ-JTQLQIEISA-N 0 3 235.356 2.939 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccc(C)s2)n[nH]1 ZINC000309740285 370252156 /nfs/dbraw/zinc/25/21/56/370252156.db2.gz DDDFWMAMRBYULQ-JTQLQIEISA-N 0 3 235.356 2.939 20 0 BFADHN CCCc1ccc(CNCCn2ccnc2)s1 ZINC000621325796 370255673 /nfs/dbraw/zinc/25/56/73/370255673.db2.gz LFMZGHBGAPJMAI-UHFFFAOYSA-N 0 3 249.383 2.687 20 0 BFADHN Cc1cccc(CN[C@@H]2CC=C(C)CC2)n1 ZINC000309769272 370256645 /nfs/dbraw/zinc/25/66/45/370256645.db2.gz KCZZVWVVVNNKIQ-CYBMUJFWSA-N 0 3 216.328 2.978 20 0 BFADHN CCOc1cncc(CNC2(C)CCC2)c1 ZINC000621342726 370273302 /nfs/dbraw/zinc/27/33/02/370273302.db2.gz HIYGNGATFRTVOP-UHFFFAOYSA-N 0 3 220.316 2.513 20 0 BFADHN Cc1cc(CN2CC[C@H](C)[C@H](CO)C2)cs1 ZINC000621373120 370311918 /nfs/dbraw/zinc/31/19/18/370311918.db2.gz CFPYMKCALIQTHB-GWCFXTLKSA-N 0 3 239.384 2.507 20 0 BFADHN CC1CC(CNCc2nn(C)c3ccccc23)C1 ZINC000621383915 370327061 /nfs/dbraw/zinc/32/70/61/370327061.db2.gz VORKOUSIJWSKDF-UHFFFAOYSA-N 0 3 243.354 2.709 20 0 BFADHN CC1CC(CNCc2cn3cccc(F)c3n2)C1 ZINC000621384781 370329482 /nfs/dbraw/zinc/32/94/82/370329482.db2.gz UFQDMAORAJDJQF-UHFFFAOYSA-N 0 3 247.317 2.609 20 0 BFADHN CC1CC(CNCc2ncc(Cl)s2)C1 ZINC000621384825 370329536 /nfs/dbraw/zinc/32/95/36/370329536.db2.gz VYMBIFSRAPDRTQ-UHFFFAOYSA-N 0 3 230.764 2.932 20 0 BFADHN CCC(CC)NC(=O)[C@H](C)N(C)CCC(C)C ZINC000077211809 370400858 /nfs/dbraw/zinc/40/08/58/370400858.db2.gz GIDQJNQCGLZFLV-LBPRGKRZSA-N 0 3 242.407 2.658 20 0 BFADHN CCC(CC)NC(=O)[C@@H](C)N(C)CCC(C)C ZINC000077211808 370400959 /nfs/dbraw/zinc/40/09/59/370400959.db2.gz GIDQJNQCGLZFLV-GFCCVEGCSA-N 0 3 242.407 2.658 20 0 BFADHN CC(C)CCNC(=O)[C@@H](C)N(C)CCC(C)C ZINC000077211802 370401357 /nfs/dbraw/zinc/40/13/57/370401357.db2.gz YPQJCJIPHCVKON-CYBMUJFWSA-N 0 3 242.407 2.515 20 0 BFADHN CCOc1cncc(CN2CCCC[C@H]2C)c1 ZINC000621709062 370454179 /nfs/dbraw/zinc/45/41/79/370454179.db2.gz JXQWOBLFAMTUJZ-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1nccnc1CN1[C@H](C)CCC[C@H]1C ZINC000621709084 370454417 /nfs/dbraw/zinc/45/44/17/370454417.db2.gz KSRKHQHNHJSBDU-GHMZBOCLSA-N 0 3 219.332 2.548 20 0 BFADHN CCOc1cncc(CN(C)CCC(C)C)c1 ZINC000621711141 370455930 /nfs/dbraw/zinc/45/59/30/370455930.db2.gz GIJZYJQQOOVMEU-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CCOc1cncc(CN(C)[C@@H](C)C2CC2)c1 ZINC000621711915 370457908 /nfs/dbraw/zinc/45/79/08/370457908.db2.gz LZVVNWGFZCLRRX-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN Cc1nccnc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000621713153 370459114 /nfs/dbraw/zinc/45/91/14/370459114.db2.gz AWJSIODXQGKHJM-OCCSQVGLSA-N 0 3 231.343 2.550 20 0 BFADHN CCCN(Cc1nccnc1C)CC(C)C ZINC000621712283 370459614 /nfs/dbraw/zinc/45/96/14/370459614.db2.gz ZVNSMVQGOZGJRI-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN CCOc1cncc(CN2CCC[C@@H]2CC)c1 ZINC000621713873 370460591 /nfs/dbraw/zinc/46/05/91/370460591.db2.gz ZTDGWBZVGTZWNT-ZDUSSCGKSA-N 0 3 234.343 2.855 20 0 BFADHN CCOc1cncc(CN(CC)CC2CC2)c1 ZINC000621716620 370464793 /nfs/dbraw/zinc/46/47/93/370464793.db2.gz HBTADCCQDXVKSE-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCCc1ccc(CN(C)CC(C)(C)O)s1 ZINC000621716922 370466154 /nfs/dbraw/zinc/46/61/54/370466154.db2.gz QRVHDBNZYSKEQW-UHFFFAOYSA-N 0 3 241.400 2.903 20 0 BFADHN Cc1nccnc1CN(C)[C@@H]1CCC(C)(C)C1 ZINC000621725665 370478652 /nfs/dbraw/zinc/47/86/52/370478652.db2.gz XDCQDWOTICXAEO-GFCCVEGCSA-N 0 3 233.359 2.796 20 0 BFADHN CCCc1ccc(CN2CC[C@](C)(O)C2)s1 ZINC000621719073 370469038 /nfs/dbraw/zinc/46/90/38/370469038.db2.gz AHVFSTRIRHXDDV-ZDUSSCGKSA-N 0 3 239.384 2.657 20 0 BFADHN Cc1nccnc1CN1CC[C@H](C(C)(C)C)C1 ZINC000621722256 370473744 /nfs/dbraw/zinc/47/37/44/370473744.db2.gz PVQKEEJHNBCPPL-LBPRGKRZSA-N 0 3 233.359 2.653 20 0 BFADHN CC(C)CN1CC(Cc2ccsc2)C1 ZINC000621741467 370500432 /nfs/dbraw/zinc/50/04/32/370500432.db2.gz UGLUOYMJRBWHGS-UHFFFAOYSA-N 0 3 209.358 2.878 20 0 BFADHN Cn1ccc(CN2CC(Cc3ccsc3)C2)c1 ZINC000621741104 370500447 /nfs/dbraw/zinc/50/04/47/370500447.db2.gz BUDRUWNKBBNGCD-UHFFFAOYSA-N 0 3 246.379 2.761 20 0 BFADHN C[C@@H](NCC1CC(C)C1)c1cc2n(n1)CCC2 ZINC000621770750 370513633 /nfs/dbraw/zinc/51/36/33/370513633.db2.gz WZRNJXQTVGUOHO-MOENNCHZSA-N 0 3 233.359 2.526 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2nccnc2C)C1 ZINC000621730418 370484336 /nfs/dbraw/zinc/48/43/36/370484336.db2.gz KZRDOPNEELGMEX-WCQYABFASA-N 0 3 233.359 2.796 20 0 BFADHN Cc1nccnc1CN1CC[C@H](C2CCCC2)C1 ZINC000621731378 370484646 /nfs/dbraw/zinc/48/46/46/370484646.db2.gz LHEOSWIWGPMWLP-AWEZNQCLSA-N 0 3 245.370 2.797 20 0 BFADHN CC1(c2ccccc2)CN(CC[C@H]2CCOC2)C1 ZINC000621734513 370491859 /nfs/dbraw/zinc/49/18/59/370491859.db2.gz OQJINYIZMHXVKD-AWEZNQCLSA-N 0 3 245.366 2.687 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CC(C)(c2ccccc2)C1 ZINC000621734838 370491941 /nfs/dbraw/zinc/49/19/41/370491941.db2.gz XNXBGWUVCPOTAA-CHWSQXEVSA-N 0 3 215.340 2.916 20 0 BFADHN COc1ccsc1CN1CC(CC2CC2)C1 ZINC000621740171 370497460 /nfs/dbraw/zinc/49/74/60/370497460.db2.gz BOPXFKIIBXDBCC-UHFFFAOYSA-N 0 3 237.368 2.989 20 0 BFADHN CC(C)n1cc(CNCCCC(C)(C)C)nn1 ZINC000313257778 370574561 /nfs/dbraw/zinc/57/45/61/370574561.db2.gz VULWGTRINUIMJS-UHFFFAOYSA-N 0 3 238.379 2.775 20 0 BFADHN Cc1cccc(C)c1NC(=O)CNCC(C)(C)C ZINC000066970105 370620627 /nfs/dbraw/zinc/62/06/27/370620627.db2.gz IGFULENYJQHXEC-UHFFFAOYSA-N 0 3 248.370 2.878 20 0 BFADHN Cc1cc(CNCC2(c3ccccc3)CC2)on1 ZINC000631039535 370998040 /nfs/dbraw/zinc/99/80/40/370998040.db2.gz JEJSMAKGZLVKFM-UHFFFAOYSA-N 0 3 242.322 2.804 20 0 BFADHN CC(C)n1ccc(CNCC(C)(C)C)n1 ZINC000082784183 371034740 /nfs/dbraw/zinc/03/47/40/371034740.db2.gz FJFLRNDHNGZZIU-UHFFFAOYSA-N 0 3 209.337 2.600 20 0 BFADHN CCOCCNCc1cccn1CCC(C)C ZINC000161686473 371052411 /nfs/dbraw/zinc/05/24/11/371052411.db2.gz KZDWXVLTUFGKNM-UHFFFAOYSA-N 0 3 238.375 2.660 20 0 BFADHN Cc1cccc(CN[C@@H]2CO[C@H](C3CC3)C2)c1C ZINC000623752414 371081547 /nfs/dbraw/zinc/08/15/47/371081547.db2.gz FTCMRLLYCNAQMU-HOTGVXAUSA-N 0 3 245.366 2.961 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@@H](C3CC3)C2)cc1C ZINC000623753151 371081658 /nfs/dbraw/zinc/08/16/58/371081658.db2.gz SNYLXASZIYYPMY-JKSUJKDBSA-N 0 3 245.366 2.961 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@@H](C3CC3)C2)cc1C ZINC000623753150 371082415 /nfs/dbraw/zinc/08/24/15/371082415.db2.gz SNYLXASZIYYPMY-HZPDHXFCSA-N 0 3 245.366 2.961 20 0 BFADHN CC(=O)Nc1cccc(CN[C@H]2CC2(C)C)c1 ZINC000631049532 371092065 /nfs/dbraw/zinc/09/20/65/371092065.db2.gz ZWDYLVZIGJWXOZ-ZDUSSCGKSA-N 0 3 232.327 2.533 20 0 BFADHN CO[C@H]1C[C@H](NCc2cccc(Cl)c2)C1 ZINC000623807000 371102609 /nfs/dbraw/zinc/10/26/09/371102609.db2.gz ORKVLXZEOVPNGP-HAQNSBGRSA-N 0 3 225.719 2.607 20 0 BFADHN CO[C@H]1C[C@H](NCc2cscc2Cl)C1 ZINC000623808118 371104197 /nfs/dbraw/zinc/10/41/97/371104197.db2.gz CZTGPJIUWFWSQL-KYZUINATSA-N 0 3 231.748 2.669 20 0 BFADHN Cc1nc(CN[C@@H]2CCCC[C@@H]2C)[nH]c1C ZINC000569140125 326392927 /nfs/dbraw/zinc/39/29/27/326392927.db2.gz CXUATWROANVPPA-JOYOIKCWSA-N 0 3 221.348 2.695 20 0 BFADHN COCC[C@@H](C)NCc1ccc(C)cc1F ZINC000631057521 371184623 /nfs/dbraw/zinc/18/46/23/371184623.db2.gz CHQZLFSBSOSULR-LLVKDONJSA-N 0 3 225.307 2.649 20 0 BFADHN CO[C@@H](C)CCNCc1ccc(C)cc1F ZINC000631059113 371190821 /nfs/dbraw/zinc/19/08/21/371190821.db2.gz HIBKFIFNYPTPFV-NSHDSACASA-N 0 3 225.307 2.649 20 0 BFADHN CC[C@H]1COCCN1Cc1c(C)cccc1C ZINC000155165456 371198555 /nfs/dbraw/zinc/19/85/55/371198555.db2.gz HAKSBOWYJQEHQS-AWEZNQCLSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1csc([C@H](C)NC[C@@H](C)CCCO)n1 ZINC000623992368 371226699 /nfs/dbraw/zinc/22/66/99/371226699.db2.gz LKTUZKWWZHGCGZ-ONGXEEELSA-N 0 3 242.388 2.511 20 0 BFADHN Cc1csc([C@@H](C)NC[C@@H](C)CCCO)n1 ZINC000623992365 371227147 /nfs/dbraw/zinc/22/71/47/371227147.db2.gz LKTUZKWWZHGCGZ-GXSJLCMTSA-N 0 3 242.388 2.511 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1cnnn1CC ZINC000624027165 371258284 /nfs/dbraw/zinc/25/82/84/371258284.db2.gz IEAQOCSJMPIDPH-VXGBXAGGSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@H]2CCCCO2)nc1C ZINC000623999204 371232375 /nfs/dbraw/zinc/23/23/75/371232375.db2.gz NKTCWXNDSAPYIX-UKRRQHHQSA-N 0 3 248.370 2.746 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@@H]1CC[C@H]3C[C@H]3C1)CCC2 ZINC000624033440 371261616 /nfs/dbraw/zinc/26/16/16/371261616.db2.gz GARFUEYQVVNQGA-XJFOESAGSA-N 0 3 245.370 2.744 20 0 BFADHN C[C@@H]1CN([C@@H]2COC(C)(C)C2)C[C@H](C)C1(F)F ZINC000624061249 371286573 /nfs/dbraw/zinc/28/65/73/371286573.db2.gz ZALFXJBEGOEYCM-AXFHLTTASA-N 0 3 247.329 2.777 20 0 BFADHN C[C@H](NCC1CC=CC1)c1cc2n(n1)CCCC2 ZINC000624077130 371293804 /nfs/dbraw/zinc/29/38/04/371293804.db2.gz UBOPBCGMYSWFMD-LBPRGKRZSA-N 0 3 245.370 2.836 20 0 BFADHN CCC[C@H](CN[C@H](C)c1cccnc1)OCC ZINC000624049985 371276331 /nfs/dbraw/zinc/27/63/31/371276331.db2.gz VQNWRBJTFNRIMQ-TZMCWYRMSA-N 0 3 236.359 2.937 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1ccccn1)OCC ZINC000624049743 371276338 /nfs/dbraw/zinc/27/63/38/371276338.db2.gz OMEYBOPQAOZYJA-QWHCGFSZSA-N 0 3 236.359 2.937 20 0 BFADHN CC(C)[C@H](C)NCc1c(C(F)(F)F)cnn1C ZINC000624052175 371277682 /nfs/dbraw/zinc/27/76/82/371277682.db2.gz ZTOKXEAFAITLHU-QMMMGPOBSA-N 0 3 249.280 2.573 20 0 BFADHN CCC[C@H](O)CNCc1csc(C)c1C ZINC000631070715 371310564 /nfs/dbraw/zinc/31/05/64/371310564.db2.gz DNPUIDJWZLODMR-LBPRGKRZSA-N 0 3 227.373 2.616 20 0 BFADHN CC(C)CC[C@H](CO)N[C@@H](C)c1ccncc1 ZINC000624109692 371314320 /nfs/dbraw/zinc/31/43/20/371314320.db2.gz DTTNEIIDACRREZ-GXTWGEPZSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)CC[C@@H](CO)N[C@H](C)c1ccoc1 ZINC000624110237 371315847 /nfs/dbraw/zinc/31/58/47/371315847.db2.gz SNILGGRELSKOCT-YPMHNXCESA-N 0 3 225.332 2.727 20 0 BFADHN Cc1cc(CNCCCNc2ccccc2)on1 ZINC000631075253 371359069 /nfs/dbraw/zinc/35/90/69/371359069.db2.gz OSSOKZRWIYZLDO-UHFFFAOYSA-N 0 3 245.326 2.575 20 0 BFADHN Cc1ccc(CNC[C@]2(C)CCOC2)c(F)c1 ZINC000631072172 371337232 /nfs/dbraw/zinc/33/72/32/371337232.db2.gz UKIBBHVVPIULGJ-AWEZNQCLSA-N 0 3 237.318 2.650 20 0 BFADHN CCC[C@@](C)(CO)NCc1ccc(C)cc1F ZINC000631072179 371337493 /nfs/dbraw/zinc/33/74/93/371337493.db2.gz CHSGMZAQSBQTPS-AWEZNQCLSA-N 0 3 239.334 2.775 20 0 BFADHN C/C=C\CN[C@H](C)c1ncccc1CC ZINC000624198221 371387378 /nfs/dbraw/zinc/38/73/78/371387378.db2.gz IVKWNWRLDRDIJA-VLWUPBBWSA-N 0 3 204.317 2.871 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc(NC(C)=O)cc1 ZINC000624198254 371387744 /nfs/dbraw/zinc/38/77/44/371387744.db2.gz JQBIFRKVLLVFJS-DOGVGXBMSA-N 0 3 232.327 2.872 20 0 BFADHN C/C=C/CN[C@H](c1cccnc1)C(C)C ZINC000624198517 371387859 /nfs/dbraw/zinc/38/78/59/371387859.db2.gz QRLQPWGUZGLBOP-IHVVCDCBSA-N 0 3 204.317 2.944 20 0 BFADHN C/C=C\CN[C@@H]1CCc2c1ccc(OC)c2F ZINC000624198907 371388608 /nfs/dbraw/zinc/38/86/08/371388608.db2.gz BKLVHWQHXALHBG-VSQXVHSFSA-N 0 3 235.302 2.987 20 0 BFADHN CC[C@@H](NC[C@]1(C)C[C@H]2C[C@H]2C1)c1ccn(C)n1 ZINC000624201096 371392717 /nfs/dbraw/zinc/39/27/17/371392717.db2.gz YUTADTRMCXAKJQ-QVHKTLOISA-N 0 3 247.386 2.897 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc(OCCOC)cc1 ZINC000624197813 371385736 /nfs/dbraw/zinc/38/57/36/371385736.db2.gz XMKWSAARHHJWIG-IHVVCDCBSA-N 0 3 249.354 2.939 20 0 BFADHN O=c1[nH]cccc1CN[C@H]1CCc2ccccc21 ZINC000624257728 371443927 /nfs/dbraw/zinc/44/39/27/371443927.db2.gz OCCDKWJVRDKQLK-AWEZNQCLSA-N 0 3 240.306 2.564 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2ccccc2N(C)C)C1 ZINC000624299866 371467278 /nfs/dbraw/zinc/46/72/78/371467278.db2.gz WLZRKZHCZVVFPS-JHJVBQTASA-N 0 3 248.370 2.581 20 0 BFADHN CO[C@H]1C[C@@H](CN[C@@H](C)c2csc(C)n2)C1 ZINC000624311174 371473924 /nfs/dbraw/zinc/47/39/24/371473924.db2.gz ULEQYYJJBSSOBP-INTQDDNPSA-N 0 3 240.372 2.527 20 0 BFADHN CO[C@H]1C[C@@H](CN[C@H](C)c2nc(C)cs2)C1 ZINC000624313200 371475601 /nfs/dbraw/zinc/47/56/01/371475601.db2.gz HLBGAYUZSWOPND-MXWKQRLJSA-N 0 3 240.372 2.527 20 0 BFADHN CO[C@H]1C[C@@H](CN[C@@H](C)c2cc(C)c(C)o2)C1 ZINC000624312577 371475646 /nfs/dbraw/zinc/47/56/46/371475646.db2.gz VCUMXCSCHXWXPI-WCFLWFBJSA-N 0 3 237.343 2.972 20 0 BFADHN CO[C@H]1C[C@@H](CN[C@@H](C)c2nc(C)cs2)C1 ZINC000624313199 371475666 /nfs/dbraw/zinc/47/56/66/371475666.db2.gz HLBGAYUZSWOPND-GARJFASQSA-N 0 3 240.372 2.527 20 0 BFADHN C[C@H](NC[C@@H]1CCCC12CC2)c1cnccn1 ZINC000624313298 371476593 /nfs/dbraw/zinc/47/65/93/371476593.db2.gz JUZPMUIEMJHDPR-RYUDHWBXSA-N 0 3 231.343 2.708 20 0 BFADHN CCn1c2ccccc2nc1CNC[C@H]1C[C@H]1C ZINC000132374559 371801074 /nfs/dbraw/zinc/80/10/74/371801074.db2.gz GKAYDECNVAEMEZ-VXGBXAGGSA-N 0 3 243.354 2.802 20 0 BFADHN C[C@@H](CNCc1ccncc1Cl)C1CC1 ZINC000132845368 371935573 /nfs/dbraw/zinc/93/55/73/371935573.db2.gz TZTDFJGRFRNHSA-VIFPVBQESA-N 0 3 224.735 2.871 20 0 BFADHN CCCCc1ccc(NC(=O)[C@@H](C)NCC)cc1 ZINC000627727431 371906057 /nfs/dbraw/zinc/90/60/57/371906057.db2.gz NTYVVEAOQSZKHC-GFCCVEGCSA-N 0 3 248.370 2.966 20 0 BFADHN CCO[C@@H](CCNCc1cc(C)no1)C(C)C ZINC000631084169 372077196 /nfs/dbraw/zinc/07/71/96/372077196.db2.gz WOGYTFRIEJDSHK-ZDUSSCGKSA-N 0 3 240.347 2.524 20 0 BFADHN Cc1ccc(CN[C@@H]2CCSC2)c(F)c1 ZINC000631084496 372080637 /nfs/dbraw/zinc/08/06/37/372080637.db2.gz OCJKFTICXXPKFC-LLVKDONJSA-N 0 3 225.332 2.729 20 0 BFADHN Cc1ccc(CN[C@H]2CCSC2)c(F)c1 ZINC000631084502 372082499 /nfs/dbraw/zinc/08/24/99/372082499.db2.gz OCJKFTICXXPKFC-NSHDSACASA-N 0 3 225.332 2.729 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1cc(C)no1 ZINC000631147647 372208874 /nfs/dbraw/zinc/20/88/74/372208874.db2.gz NNVYKFXNKINTIF-RYUDHWBXSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1ccc(CNC[C@@H]2CC[C@@H](C)O2)c(F)c1 ZINC000631155061 372210709 /nfs/dbraw/zinc/21/07/09/372210709.db2.gz AVVWRVXHAGGSIM-YPMHNXCESA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]2CC(C)(C)C)on1 ZINC000631167668 372216334 /nfs/dbraw/zinc/21/63/34/372216334.db2.gz PFWATKIFMTYIFU-CMPLNLGQSA-N 0 3 222.332 2.897 20 0 BFADHN CCOc1cccc(CN[C@@H]2CCCC23CC3)n1 ZINC000631191188 372224578 /nfs/dbraw/zinc/22/45/78/372224578.db2.gz DDZJMCLWZZPRGC-CYBMUJFWSA-N 0 3 246.354 2.903 20 0 BFADHN CC[C@](C)(NCc1cn[nH]c1)c1ccc(F)cc1 ZINC000631192881 372227061 /nfs/dbraw/zinc/22/70/61/372227061.db2.gz BBFVLUUUTGFJPG-AWEZNQCLSA-N 0 3 247.317 2.964 20 0 BFADHN CCC[C@@H](CCO)NCc1ccc(Cl)o1 ZINC000631196130 372227786 /nfs/dbraw/zinc/22/77/86/372227786.db2.gz IEVCLQXDVLNFLM-VIFPVBQESA-N 0 3 231.723 2.574 20 0 BFADHN C[C@@H](CNCc1nn(C)c2ccccc12)C1CC1 ZINC000134287058 372192957 /nfs/dbraw/zinc/19/29/57/372192957.db2.gz CSFAAQYIRKFEHP-NSHDSACASA-N 0 3 243.354 2.709 20 0 BFADHN CCCn1nccc1CN[C@]12C[C@H]1CCCC2 ZINC000631227723 372249052 /nfs/dbraw/zinc/24/90/52/372249052.db2.gz SOMBZDGYZQTIMM-TZMCWYRMSA-N 0 3 233.359 2.715 20 0 BFADHN Cc1ncc([C@H](C)N[C@H](C)COC(C)C)s1 ZINC000631227703 372249288 /nfs/dbraw/zinc/24/92/88/372249288.db2.gz KQHPSKBOMJKCRI-ZJUUUORDSA-N 0 3 242.388 2.916 20 0 BFADHN c1ccc(CN[C@H](C2CCC2)[C@H]2CCCO2)nc1 ZINC000631253044 372262626 /nfs/dbraw/zinc/26/26/26/372262626.db2.gz FHCBJPLTLXYWCS-HUUCEWRRSA-N 0 3 246.354 2.519 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@@H]2CCCc3ncccc32)O1 ZINC000631635601 372473582 /nfs/dbraw/zinc/47/35/82/372473582.db2.gz NVZVPEJURUXHEK-TYNCELHUSA-N 0 3 246.354 2.616 20 0 BFADHN CCC[C@H](NC1CC1)c1cc(C)ccn1 ZINC000631560984 372425190 /nfs/dbraw/zinc/42/51/90/372425190.db2.gz AGUWQIRJJFZPGR-LBPRGKRZSA-N 0 3 204.317 2.983 20 0 BFADHN CC[C@H](NC1CC1)c1cccc(OC)c1OC ZINC000631562226 372426583 /nfs/dbraw/zinc/42/65/83/372426583.db2.gz LWBLVEPLCTWMGE-LBPRGKRZSA-N 0 3 235.327 2.907 20 0 BFADHN CCC[C@H](NCCOCC)c1cc(C)ccn1 ZINC000631568660 372427810 /nfs/dbraw/zinc/42/78/10/372427810.db2.gz BWXMCGANIYXTIZ-ZDUSSCGKSA-N 0 3 236.359 2.857 20 0 BFADHN CCC[C@@H](N[C@@H]1C[C@]1(C)OC)c1cc(C)ccn1 ZINC000631649131 372480690 /nfs/dbraw/zinc/48/06/90/372480690.db2.gz VVMPANNWDVGEGS-YUELXQCFSA-N 0 3 248.370 2.998 20 0 BFADHN CCC[C@H](NCCN1CCC1)c1cc(C)ccn1 ZINC000631600374 372451236 /nfs/dbraw/zinc/45/12/36/372451236.db2.gz QZUCPIFHCVXIRA-AWEZNQCLSA-N 0 3 247.386 2.527 20 0 BFADHN C/C=C/CN[C@H]1CCCc2ncccc21 ZINC000631651209 372482088 /nfs/dbraw/zinc/48/20/88/372482088.db2.gz SQHXZQPTCHRJBX-JDGPPOGSSA-N 0 3 202.301 2.625 20 0 BFADHN CC(C)(F)CN[C@H]1Cc2[nH]c3ccccc3c2C1 ZINC000631665932 372490933 /nfs/dbraw/zinc/49/09/33/372490933.db2.gz ZIBDVHVPWMQYBO-SNVBAGLBSA-N 0 3 246.329 2.973 20 0 BFADHN COC(C)(C)[C@H](C)NCc1cccc(F)c1F ZINC000631725359 372523263 /nfs/dbraw/zinc/52/32/63/372523263.db2.gz CTFSSFZKHGMOFO-VIFPVBQESA-N 0 3 243.297 2.868 20 0 BFADHN COCCC[C@H](C)NCc1cccc(F)c1F ZINC000631727062 372523701 /nfs/dbraw/zinc/52/37/01/372523701.db2.gz YOZXXZYOZKIGKY-JTQLQIEISA-N 0 3 243.297 2.870 20 0 BFADHN COC[C@H](CC(C)C)NCc1ccc(C)o1 ZINC000631728673 372524008 /nfs/dbraw/zinc/52/40/08/372524008.db2.gz VQGKPFVQHAXFGT-LBPRGKRZSA-N 0 3 225.332 2.739 20 0 BFADHN COC[C@@H](NCc1ccc(C)o1)C1CCCC1 ZINC000631729696 372533137 /nfs/dbraw/zinc/53/31/37/372533137.db2.gz HRELLNPGIFTNSJ-CQSZACIVSA-N 0 3 237.343 2.883 20 0 BFADHN COC[C@H](NCc1ccc(C)o1)C1CCCC1 ZINC000631729694 372533929 /nfs/dbraw/zinc/53/39/29/372533929.db2.gz HRELLNPGIFTNSJ-AWEZNQCLSA-N 0 3 237.343 2.883 20 0 BFADHN CCc1ncc(CN[C@H]2CCCC2(C)C)o1 ZINC000449608449 205494769 /nfs/dbraw/zinc/49/47/69/205494769.db2.gz HVTXJYALMCQSKN-NSHDSACASA-N 0 3 222.332 2.905 20 0 BFADHN CC[C@@H](CC(=O)N[C@@H](C)CN(C)C)C(C)(C)C ZINC000633067663 373283578 /nfs/dbraw/zinc/28/35/78/373283578.db2.gz QDAIFDZRKVMSGK-RYUDHWBXSA-N 0 3 242.407 2.515 20 0 BFADHN CCn1ccnc1CN[C@H]1CC[C@@H]1C1CCC1 ZINC000453303187 205800722 /nfs/dbraw/zinc/80/07/22/205800722.db2.gz AHWUXGSZSWLKLC-OLZOCXBDSA-N 0 3 233.359 2.571 20 0 BFADHN CCOC[C@H](NCc1ccc(CC)o1)C(C)C ZINC000188867597 205844211 /nfs/dbraw/zinc/84/42/11/205844211.db2.gz ZFRXBFVOBVRLPG-AWEZNQCLSA-N 0 3 239.359 2.993 20 0 BFADHN CC(C)CN[C@@H](C)c1c(F)cncc1F ZINC000285429319 205905173 /nfs/dbraw/zinc/90/51/73/205905173.db2.gz HEDYMUDLQLPNFC-QMMMGPOBSA-N 0 3 214.259 2.666 20 0 BFADHN CC[C@]1(CO)CCCN(Cc2ccsc2)C1 ZINC000190226085 205893527 /nfs/dbraw/zinc/89/35/27/205893527.db2.gz BMDFVILBZZGYDL-ZDUSSCGKSA-N 0 3 239.384 2.733 20 0 BFADHN C=Cn1cc(CN2CCC[C@H](CC)CC2)cn1 ZINC000193983471 206000346 /nfs/dbraw/zinc/00/03/46/206000346.db2.gz FFHVPLHIYWUVJJ-ZDUSSCGKSA-N 0 3 233.359 2.996 20 0 BFADHN Oc1cccc(CN[C@@H]2C[C@H]2C2CCC2)c1 ZINC000424193171 206035337 /nfs/dbraw/zinc/03/53/37/206035337.db2.gz JZBJDKWNLBTZCY-UONOGXRCSA-N 0 3 217.312 2.670 20 0 BFADHN CC(C)=CCNC(=O)Nc1cc(C)cc(CN)c1 ZINC000424429865 206041052 /nfs/dbraw/zinc/04/10/52/206041052.db2.gz YDHWWWSNTFBAMQ-UHFFFAOYSA-N 0 3 247.342 2.541 20 0 BFADHN CCC[C@@]1(C)CCCN(Cc2n[nH]c(C)n2)C1 ZINC000428244411 206058786 /nfs/dbraw/zinc/05/87/86/206058786.db2.gz KPGNCWUJRFWAMR-ZDUSSCGKSA-N 0 3 236.363 2.515 20 0 BFADHN CN(Cc1cc(Cl)cs1)CC1(CO)CC1 ZINC000194506847 206092474 /nfs/dbraw/zinc/09/24/74/206092474.db2.gz RRKMXRTXRVOCHX-UHFFFAOYSA-N 0 3 245.775 2.606 20 0 BFADHN Cc1ncc(CNC2CC(C(C)(C)C)C2)o1 ZINC000459704491 206138456 /nfs/dbraw/zinc/13/84/56/206138456.db2.gz PPBLTCAEBCOKCD-UHFFFAOYSA-N 0 3 222.332 2.897 20 0 BFADHN Cn1ccnc1[C@H](NC1CC2(CCC2)C1)C1CC1 ZINC000459545696 206133561 /nfs/dbraw/zinc/13/35/61/206133561.db2.gz RDGXVCMPYBHKNO-CYBMUJFWSA-N 0 3 245.370 2.794 20 0 BFADHN CCOC[C@@H]1CCCCN1CCc1ccccn1 ZINC000459697728 206137410 /nfs/dbraw/zinc/13/74/10/206137410.db2.gz BXYSFCHFVLVKTF-HNNXBMFYSA-N 0 3 248.370 2.515 20 0 BFADHN CCOC(=O)CCN[C@H](C)c1ccc(CC)cc1 ZINC000037976097 170210406 /nfs/dbraw/zinc/21/04/06/170210406.db2.gz DHPCGKNWSWNPPT-GFCCVEGCSA-N 0 3 249.354 2.853 20 0 BFADHN CCOCCN(C)Cc1ccc(C)s1 ZINC000076497076 170389473 /nfs/dbraw/zinc/38/94/73/170389473.db2.gz LUICKMNKMITHAL-UHFFFAOYSA-N 0 3 213.346 2.525 20 0 BFADHN OC1CCC(NC2(c3ccccc3F)CC2)CC1 ZINC000278897994 183027436 /nfs/dbraw/zinc/02/74/36/183027436.db2.gz IMPJKDNVBQVFQL-UHFFFAOYSA-N 0 3 249.329 2.708 20 0 BFADHN OC1CCN([C@@H]2CCCc3ccc(F)cc32)CC1 ZINC000130596942 183030101 /nfs/dbraw/zinc/03/01/01/183030101.db2.gz AKDMQYFETZKECG-OAHLLOKOSA-N 0 3 249.329 2.660 20 0 BFADHN OCCC1(CNCc2ccc(Cl)o2)CCC1 ZINC000124457022 183042868 /nfs/dbraw/zinc/04/28/68/183042868.db2.gz IFPKPHLPYKZWBQ-UHFFFAOYSA-N 0 3 243.734 2.575 20 0 BFADHN OCCCC1CCN(Cc2ccsc2)CC1 ZINC000272824772 183044412 /nfs/dbraw/zinc/04/44/12/183044412.db2.gz DEWVPVXSERZZMQ-UHFFFAOYSA-N 0 3 239.384 2.733 20 0 BFADHN OCCCCCNCc1ccc(Cl)cc1F ZINC000083374615 183046885 /nfs/dbraw/zinc/04/68/85/183046885.db2.gz QMDAVZMMGCLGKL-UHFFFAOYSA-N 0 3 245.725 2.731 20 0 BFADHN OCC1(CN[C@H]2CCCc3occc32)CCCC1 ZINC000124100789 183036794 /nfs/dbraw/zinc/03/67/94/183036794.db2.gz HJCDTSYVZIXWHJ-ZDUSSCGKSA-N 0 3 249.354 2.799 20 0 BFADHN OCCCCNC1(c2cccc(Cl)c2)CC1 ZINC000298844673 183050857 /nfs/dbraw/zinc/05/08/57/183050857.db2.gz IFCSLLKHRXXNMR-UHFFFAOYSA-N 0 3 239.746 2.691 20 0 BFADHN OCCCCNCc1cc(Cl)ccc1Cl ZINC000236499220 183052486 /nfs/dbraw/zinc/05/24/86/183052486.db2.gz SVWAOLFAYZSXMU-UHFFFAOYSA-N 0 3 248.153 2.856 20 0 BFADHN OCCCNCc1ccc(Oc2ccccc2)o1 ZINC000180757019 183058496 /nfs/dbraw/zinc/05/84/96/183058496.db2.gz JBFTZBXIXHVKSJ-UHFFFAOYSA-N 0 3 247.294 2.544 20 0 BFADHN OCCCNCc1c(Cl)oc2ccccc21 ZINC000134223295 183059139 /nfs/dbraw/zinc/05/91/39/183059139.db2.gz JBELRBOVZCBGEN-UHFFFAOYSA-N 0 3 239.702 2.558 20 0 BFADHN OCC[C@H](N[C@H]1CC[C@@H]1C1CCC1)c1ccco1 ZINC000338005924 183097333 /nfs/dbraw/zinc/09/73/33/183097333.db2.gz AVZUVIAOUBKKTJ-RDBSUJKOSA-N 0 3 249.354 2.871 20 0 BFADHN CCCC[C@H](C)N(C)Cc1conc1C ZINC000294090036 168988445 /nfs/dbraw/zinc/98/84/45/168988445.db2.gz ZMNUDAYYQURFSZ-JTQLQIEISA-N 0 3 210.321 2.994 20 0 BFADHN OCC[C@@H](NCC12CCC(CC1)C2)c1ccco1 ZINC000295841553 183089111 /nfs/dbraw/zinc/08/91/11/183089111.db2.gz WYQDQEHHSONFJL-JVWICGRDSA-N 0 3 249.354 2.873 20 0 BFADHN CCCC[C@H](COC)N[C@H](C)c1cccnc1 ZINC000129632885 169004394 /nfs/dbraw/zinc/00/43/94/169004394.db2.gz ISLPDKJNADCYON-TZMCWYRMSA-N 0 3 236.359 2.937 20 0 BFADHN OC[C@@H]1CCCN(Cc2ccc3occc3c2)C1 ZINC000288959959 183117621 /nfs/dbraw/zinc/11/76/21/183117621.db2.gz AXINHCUVJRIKNX-CYBMUJFWSA-N 0 3 245.322 2.637 20 0 BFADHN OC[C@@H]1CCCN1Cc1cccc(C(F)F)c1 ZINC000279798377 183119764 /nfs/dbraw/zinc/11/97/64/183119764.db2.gz WCPLMYQGLFSDGX-LBPRGKRZSA-N 0 3 241.281 2.581 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@H](N)[C@H](C)CC ZINC000300409073 169001165 /nfs/dbraw/zinc/00/11/65/169001165.db2.gz JLPUBIZZYPWJGI-FRRDWIJNSA-N 0 3 242.407 2.835 20 0 BFADHN OC[C@@]1(N[C@@H]2C=CCCC2)CCc2ccccc21 ZINC000362054804 183133791 /nfs/dbraw/zinc/13/37/91/183133791.db2.gz VGRCUHVKHYRWTC-ZBFHGGJFSA-N 0 3 243.350 2.519 20 0 BFADHN CCCN(C(=O)[C@@H](C)N)[C@@H](CC)c1ccccc1 ZINC000269053421 169043923 /nfs/dbraw/zinc/04/39/23/169043923.db2.gz TVWGQPRBNMFQLH-OCCSQVGLSA-N 0 3 248.370 2.724 20 0 BFADHN CCCN(C(=O)[C@H](CC)N(CC)CC)C1CC1 ZINC000359719884 169044695 /nfs/dbraw/zinc/04/46/95/169044695.db2.gz IDWYVTAVXDZYJD-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN O[C@@H](CN1CCCC2(CC2)C1)c1ccccc1F ZINC000186971185 183175064 /nfs/dbraw/zinc/17/50/64/183175064.db2.gz WPWHVILITJZCCG-AWEZNQCLSA-N 0 3 249.329 2.735 20 0 BFADHN O[C@@H](CN1CC2CCC1CC2)c1ccccc1F ZINC000367567411 183175466 /nfs/dbraw/zinc/17/54/66/183175466.db2.gz YMMNAEUFUKQVRQ-QOZQQMKHSA-N 0 3 249.329 2.734 20 0 BFADHN O[C@@H](CN1CC2CCC1CC2)c1ccc(F)cc1 ZINC000368395071 183175567 /nfs/dbraw/zinc/17/55/67/183175567.db2.gz YIGDCGOFZVVHJN-KWCHVYNWSA-N 0 3 249.329 2.734 20 0 BFADHN O[C@@H](CN1CC2(C1)CCCCC2)c1ccccc1 ZINC000228517421 183176629 /nfs/dbraw/zinc/17/66/29/183176629.db2.gz FKODPDJJPPARTH-HNNXBMFYSA-N 0 3 245.366 2.986 20 0 BFADHN O[C@@H]1CCC[C@H]1CNc1ccnc2ccccc21 ZINC000089167473 183196966 /nfs/dbraw/zinc/19/69/66/183196966.db2.gz HTVXGOKKDAMKPA-XHDPSFHLSA-N 0 3 242.322 2.808 20 0 BFADHN O[C@@H]1CC[C@H](CNc2ccnc3ccccc32)C1 ZINC000191642396 183203471 /nfs/dbraw/zinc/20/34/71/183203471.db2.gz CTTFUBMCKGJFQJ-NWDGAFQWSA-N 0 3 242.322 2.808 20 0 BFADHN O[C@@H]1CC[C@H](CNCc2ccsc2Cl)C1 ZINC000308868383 183204044 /nfs/dbraw/zinc/20/40/44/183204044.db2.gz HQUXHCWSGQDQHH-WCBMZHEXSA-N 0 3 245.775 2.652 20 0 BFADHN CCCN(C)CC(=O)N[C@H](C)c1ccccc1C ZINC000359221191 169075735 /nfs/dbraw/zinc/07/57/35/169075735.db2.gz IMUMIBQTSXIOQB-CYBMUJFWSA-N 0 3 248.370 2.514 20 0 BFADHN O[C@@H]1CCCC[C@H]1N[C@H]1CCCc2occc21 ZINC000193308097 183192302 /nfs/dbraw/zinc/19/23/02/183192302.db2.gz CROJXLASTVREQY-YNEHKIRRSA-N 0 3 235.327 2.550 20 0 BFADHN O[C@@H]1CCCN(Cc2cc3ccccc3o2)CC1 ZINC000292904052 183192978 /nfs/dbraw/zinc/19/29/78/183192978.db2.gz AQWSCLNRRPXXGW-CYBMUJFWSA-N 0 3 245.322 2.780 20 0 BFADHN CCCN(C)Cc1cnc(C(C)(C)C)nc1 ZINC000284368511 169081555 /nfs/dbraw/zinc/08/15/55/169081555.db2.gz NNODPNOFWWTRMU-UHFFFAOYSA-N 0 3 221.348 2.616 20 0 BFADHN CCCN(C)Cc1ccc(N(C)C(C)C)nc1 ZINC000191216281 169081611 /nfs/dbraw/zinc/08/16/11/169081611.db2.gz XOUWNXCUMJHEOU-UHFFFAOYSA-N 0 3 235.375 2.768 20 0 BFADHN CCCN(C)[C@H](C)C(=O)N1CCCC[C@@H]1CC ZINC000123625822 169087390 /nfs/dbraw/zinc/08/73/90/169087390.db2.gz BPYGYBKAGXBWPW-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN CCCN(CC(=O)OC)C[C@@H]1CCC[C@H](C)C1 ZINC000310405258 169099774 /nfs/dbraw/zinc/09/97/74/169099774.db2.gz LMMKYLLUJHSKDW-QWHCGFSZSA-N 0 3 241.375 2.698 20 0 BFADHN O[C@H]1CC[C@@H](CNCc2cc3ccccc3o2)C1 ZINC000188803420 183241562 /nfs/dbraw/zinc/24/15/62/183241562.db2.gz OLDXDKKGNSTQPD-YPMHNXCESA-N 0 3 245.322 2.683 20 0 BFADHN CCCN(CC)C(=O)[C@@H](C)[C@H](N)c1ccccc1 ZINC000131647316 169114609 /nfs/dbraw/zinc/11/46/09/169114609.db2.gz PSYLRNFQAXAXOW-JSGCOSHPSA-N 0 3 248.370 2.581 20 0 BFADHN CCCN(CC)CCOc1ccccc1C(C)=O ZINC000037323042 169123500 /nfs/dbraw/zinc/12/35/00/169123500.db2.gz DRGGANMVRKXZIR-UHFFFAOYSA-N 0 3 249.354 3.000 20 0 BFADHN O[C@H]1CCCC[C@@H]1Nc1ccnc2ccccc21 ZINC000052006022 183232318 /nfs/dbraw/zinc/23/23/18/183232318.db2.gz DQVMIMDDJKENGY-GJZGRUSLSA-N 0 3 242.322 2.950 20 0 BFADHN O[C@H]1CCCN(C/C=C/c2ccc(F)cc2)CC1 ZINC000293831341 183234010 /nfs/dbraw/zinc/23/40/10/183234010.db2.gz AOCYEWQAWXHSLH-KZTTYJGESA-N 0 3 249.329 2.686 20 0 BFADHN O[C@H]1CCCN(Cc2ccc(C3CCC3)cc2)C1 ZINC000278487572 183235199 /nfs/dbraw/zinc/23/51/99/183235199.db2.gz IVDFVFWDNJKYDH-INIZCTEOSA-N 0 3 245.366 2.911 20 0 BFADHN O[C@H]1CCCN([C@H]2CCCc3ccc(F)cc32)C1 ZINC000130507566 183235241 /nfs/dbraw/zinc/23/52/41/183235241.db2.gz KAPKCSSSUJBBSL-ZFWWWQNUSA-N 0 3 249.329 2.660 20 0 BFADHN O[C@H]1CCCN(Cc2cc3ccccc3o2)CC1 ZINC000292904056 183235750 /nfs/dbraw/zinc/23/57/50/183235750.db2.gz AQWSCLNRRPXXGW-ZDUSSCGKSA-N 0 3 245.322 2.780 20 0 BFADHN O[C@H]1CCCN([C@@H]2CCc3ccc(F)cc32)CC1 ZINC000293011724 183235906 /nfs/dbraw/zinc/23/59/06/183235906.db2.gz DRXFIIKWVJBSQF-DZGCQCFKSA-N 0 3 249.329 2.660 20 0 BFADHN O[C@H]1CCC[C@@H]1CNc1ccnc2ccccc21 ZINC000089167472 183236513 /nfs/dbraw/zinc/23/65/13/183236513.db2.gz HTVXGOKKDAMKPA-ABAIWWIYSA-N 0 3 242.322 2.808 20 0 BFADHN CCCN(CCC)Cc1c(C)noc1C ZINC000024547687 169148818 /nfs/dbraw/zinc/14/88/18/169148818.db2.gz VHTIFCMDPCYAGS-UHFFFAOYSA-N 0 3 210.321 2.913 20 0 BFADHN CCCN(CCC)[C@@H](C)C(=O)N1CCCCC1 ZINC000355463342 169151434 /nfs/dbraw/zinc/15/14/34/169151434.db2.gz FSHOBXYDQWDQLM-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CCCN(CCC)Cc1cnccc1OC ZINC000286672651 169151447 /nfs/dbraw/zinc/15/14/47/169151447.db2.gz ANUXYOOHLUIGCE-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CCCN(CC)Cc1cccc2c1OCO2 ZINC000338722329 169129759 /nfs/dbraw/zinc/12/97/59/169129759.db2.gz JFJFEXVAVJOYDE-UHFFFAOYSA-N 0 3 221.300 2.647 20 0 BFADHN CCCN(CC)[C@@H](C)C(=O)Nc1ccccc1 ZINC000101549067 169131526 /nfs/dbraw/zinc/13/15/26/169131526.db2.gz FXOQOPGTWOSYPU-LBPRGKRZSA-N 0 3 234.343 2.746 20 0 BFADHN [O-]c1cc(F)cc(C[NH+]2C[C@@H]3CCC[C@H]3C2)c1 ZINC000184151008 183258158 /nfs/dbraw/zinc/25/81/58/183258158.db2.gz NBDHOVBZFZUQIL-RYUDHWBXSA-N 0 3 235.302 2.763 20 0 BFADHN [O-]c1cc(F)cc(C[N@@H+]2CC[C@@H]3CCC[C@@H]32)c1 ZINC000355181599 183258520 /nfs/dbraw/zinc/25/85/20/183258520.db2.gz XZWOPIGUKHTYQZ-FZMZJTMJSA-N 0 3 235.302 2.906 20 0 BFADHN [O-]c1cc(F)cc(C[N@H+]2CC[C@@H]3CCC[C@@H]32)c1 ZINC000355181599 183258521 /nfs/dbraw/zinc/25/85/21/183258521.db2.gz XZWOPIGUKHTYQZ-FZMZJTMJSA-N 0 3 235.302 2.906 20 0 BFADHN CCCN(CCC)CC(=O)N(C)c1ccccc1 ZINC000066216337 169147074 /nfs/dbraw/zinc/14/70/74/169147074.db2.gz APSSFMGWNKMVBK-UHFFFAOYSA-N 0 3 248.370 2.771 20 0 BFADHN Oc1cccc2c1CCC[C@@H]2NC[C@H]1CCCO1 ZINC000276862109 183294372 /nfs/dbraw/zinc/29/43/72/183294372.db2.gz WJXAQWCHNSYLFQ-RISCZKNCSA-N 0 3 247.338 2.538 20 0 BFADHN CCCN(Cc1nccc(C)n1)CC(C)C ZINC000336643369 169181415 /nfs/dbraw/zinc/18/14/15/169181415.db2.gz ATEMMAMMPQZERW-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN CCCN1CCC(Oc2cc(C)ccn2)CC1 ZINC000360207039 169191551 /nfs/dbraw/zinc/19/15/51/169191551.db2.gz DURDQRVNDFYFTC-UHFFFAOYSA-N 0 3 234.343 2.643 20 0 BFADHN CCCN1CCN(Cc2ccc(C)cc2)CC1 ZINC000023253437 169203322 /nfs/dbraw/zinc/20/33/22/169203322.db2.gz MKJIXHGKMMMPTO-UHFFFAOYSA-N 0 3 232.371 2.523 20 0 BFADHN CCCN(Cc1ccco1)C[C@@H]1CCCO1 ZINC000064482391 169178810 /nfs/dbraw/zinc/17/88/10/169178810.db2.gz KOSNEOFGWAULMB-ZDUSSCGKSA-N 0 3 223.316 2.671 20 0 BFADHN CCCN(Cc1cn2cccnc2n1)CC(C)C ZINC000299389053 169179684 /nfs/dbraw/zinc/17/96/84/169179684.db2.gz IWEAFMAPKWQDMO-UHFFFAOYSA-N 0 3 246.358 2.597 20 0 BFADHN c1cc(CN[C@H]2CCCC23CCCC3)n[nH]1 ZINC000328105988 183353180 /nfs/dbraw/zinc/35/31/80/183353180.db2.gz MOQYNXDDGIUALB-LBPRGKRZSA-N 0 3 219.332 2.612 20 0 BFADHN c1cc2cccc(CN[C@H]3CCCOC3)c2o1 ZINC000336221443 183353693 /nfs/dbraw/zinc/35/36/93/183353693.db2.gz GJXJYLIFYAJBIZ-ZDUSSCGKSA-N 0 3 231.295 2.701 20 0 BFADHN c1cc(C2CCC2)ccc1CN[C@H]1CCOC1 ZINC000276968874 183334106 /nfs/dbraw/zinc/33/41/06/183334106.db2.gz QXAHCHDLGSIAKR-HNNXBMFYSA-N 0 3 231.339 2.833 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2NCCN1CCCCC1 ZINC000124008147 183371451 /nfs/dbraw/zinc/37/14/51/183371451.db2.gz NYUAIVHWURPCDE-AWEZNQCLSA-N 0 3 248.370 2.733 20 0 BFADHN c1cc2c(o1)CCC[C@H]2NC[C@@H]1CCCCO1 ZINC000124008464 183373373 /nfs/dbraw/zinc/37/33/73/183373373.db2.gz UHILAYPGMHGXHP-WCQYABFASA-N 0 3 235.327 2.816 20 0 BFADHN CCCNC(=O)[C@@H](C)N[C@H](C)c1ccc(C)cc1 ZINC000036959713 169242765 /nfs/dbraw/zinc/24/27/65/169242765.db2.gz SRNCFGPMMKUSBL-CHWSQXEVSA-N 0 3 248.370 2.560 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1ccccc1Cl ZINC000261547118 169284748 /nfs/dbraw/zinc/28/47/48/169284748.db2.gz LWHUZZGMGQQGAA-SECBINFHSA-N 0 3 240.734 2.667 20 0 BFADHN c1c[nH]c([C@H]2CCCN2CCc2ccccc2)n1 ZINC000375007085 183391758 /nfs/dbraw/zinc/39/17/58/183391758.db2.gz YEGQAOUMMWZEOM-CQSZACIVSA-N 0 3 241.338 2.789 20 0 BFADHN CCCNCc1cc(Cl)ccc1F ZINC000036947859 169265771 /nfs/dbraw/zinc/26/57/71/169265771.db2.gz VWWXRPRIBKVYMZ-UHFFFAOYSA-N 0 3 201.672 2.979 20 0 BFADHN CCCNCc1cc(F)c(F)cc1F ZINC000083343906 169266004 /nfs/dbraw/zinc/26/60/04/169266004.db2.gz FZWKYHDSFNWLER-UHFFFAOYSA-N 0 3 203.207 2.604 20 0 BFADHN c1ccc(CC[C@@H]2CN(CC3CC3)CCO2)cc1 ZINC000186063749 183394821 /nfs/dbraw/zinc/39/48/21/183394821.db2.gz VSCBMEAHKNLPMG-MRXNPFEDSA-N 0 3 245.366 2.730 20 0 BFADHN c1ccc(CN(C2CC2)C2CCCC2)nc1 ZINC000064809292 183395916 /nfs/dbraw/zinc/39/59/16/183395916.db2.gz JYHDHIXBBKEHGM-UHFFFAOYSA-N 0 3 216.328 2.989 20 0 BFADHN CCCNCc1nc2ccccc2n1CC ZINC000037981853 169269752 /nfs/dbraw/zinc/26/97/52/169269752.db2.gz XGDYNABKWXKFNY-UHFFFAOYSA-N 0 3 217.316 2.556 20 0 BFADHN c1ccc(CN2CCC[C@H](C3CC3)C2)nc1 ZINC000289362111 183399268 /nfs/dbraw/zinc/39/92/68/183399268.db2.gz HLGITNYSWJMODC-ZDUSSCGKSA-N 0 3 216.328 2.704 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1c(C)cccc1C ZINC000261973035 169274840 /nfs/dbraw/zinc/27/48/40/169274840.db2.gz DUWAREIHCSYQGT-LBPRGKRZSA-N 0 3 234.343 2.630 20 0 BFADHN CCCN[C@@H](C)c1cc(F)ccc1OC ZINC000034842326 169275645 /nfs/dbraw/zinc/27/56/45/169275645.db2.gz GXWSRDOZVMBJER-VIFPVBQESA-N 0 3 211.280 2.895 20 0 BFADHN CCCN[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000035584281 169291125 /nfs/dbraw/zinc/29/11/25/169291125.db2.gz XJRWHJJGBLECAL-CQSZACIVSA-N 0 3 247.317 2.648 20 0 BFADHN CCCN[C@H]1CCc2c1c(F)ccc2F ZINC000042405499 169292966 /nfs/dbraw/zinc/29/29/66/169292966.db2.gz VUIWRTLCDLUMHQ-NSHDSACASA-N 0 3 211.255 2.952 20 0 BFADHN CCCOc1ccc(CN[C@H]2CCCOC2)cc1 ZINC000109544368 169319448 /nfs/dbraw/zinc/31/94/48/169319448.db2.gz CQPWETBWZCXADM-AWEZNQCLSA-N 0 3 249.354 2.744 20 0 BFADHN CCCOc1ncccc1CN[C@H](C)C1CC1 ZINC000177253790 169328679 /nfs/dbraw/zinc/32/86/79/169328679.db2.gz AFXDTXOOTDVSEY-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN CCCOc1ncccc1CN[C@H](C)CC ZINC000154717609 169329010 /nfs/dbraw/zinc/32/90/10/169329010.db2.gz ZEKHAJADCWFHKT-LLVKDONJSA-N 0 3 222.332 2.759 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnn(CC(F)F)c1 ZINC000295429925 169365354 /nfs/dbraw/zinc/36/53/54/169365354.db2.gz SYRIVXAALYBWSU-SNVBAGLBSA-N 0 3 245.317 2.769 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cccc2nccn21 ZINC000271719894 169365500 /nfs/dbraw/zinc/36/55/00/169365500.db2.gz JQFWTLQQQAYDMX-GFCCVEGCSA-N 0 3 231.343 2.955 20 0 BFADHN CCC[C@@H](C)N1CCc2ncsc2C1 ZINC000337464388 169367330 /nfs/dbraw/zinc/36/73/30/169367330.db2.gz LXLWUJRZABKBEU-SECBINFHSA-N 0 3 210.346 2.690 20 0 BFADHN CCC[C@@H](C)CN1CCC[C@H]1c1ncc[nH]1 ZINC000375355832 169357805 /nfs/dbraw/zinc/35/78/05/169357805.db2.gz XTMZSTISQHKCSP-NEPJUHHUSA-N 0 3 221.348 2.983 20 0 BFADHN CCC[C@@H](C)CNCc1cnccc1OC ZINC000309845511 169359752 /nfs/dbraw/zinc/35/97/52/169359752.db2.gz SUFDBILKKNIENP-LLVKDONJSA-N 0 3 222.332 2.616 20 0 BFADHN CCC[C@@H](N)C(=O)N(CCC)Cc1ccccc1 ZINC000036873425 169387960 /nfs/dbraw/zinc/38/79/60/169387960.db2.gz KQAGKOLDNKTEBC-CQSZACIVSA-N 0 3 248.370 2.553 20 0 BFADHN CCC[C@@H](C)[C@H](CC)NCc1ccn(C)n1 ZINC000309466359 169373258 /nfs/dbraw/zinc/37/32/58/169373258.db2.gz PLCBGGDPLPZANB-YPMHNXCESA-N 0 3 223.364 2.725 20 0 BFADHN CCC[C@@H](CC)N[C@@H](C)c1cnccn1 ZINC000070025734 169379043 /nfs/dbraw/zinc/37/90/43/169379043.db2.gz YKIKCGBXQXSUJE-WDEREUQCSA-N 0 3 207.321 2.706 20 0 BFADHN CCC[C@@H](CC)[NH2+]Cc1nc(C)ccc1[O-] ZINC000082432878 169379901 /nfs/dbraw/zinc/37/99/01/169379901.db2.gz BTCNKZBODDDNIV-LLVKDONJSA-N 0 3 222.332 2.764 20 0 BFADHN CCC[C@@H](CCO)CN[C@H](C)c1ccco1 ZINC000122045628 169382776 /nfs/dbraw/zinc/38/27/76/169382776.db2.gz VGZNKZBQTQCWNC-NEPJUHHUSA-N 0 3 225.332 2.729 20 0 BFADHN CCC[C@@H](CNCc1sc(C)nc1C)OC ZINC000356337562 169384512 /nfs/dbraw/zinc/38/45/12/169384512.db2.gz QDMHOFQSFCQARG-NSHDSACASA-N 0 3 242.388 2.665 20 0 BFADHN CCC[C@@H](CNCc1ccc(F)cc1)OC ZINC000293580393 169384607 /nfs/dbraw/zinc/38/46/07/169384607.db2.gz FHWDDQZWNOXPPO-ZDUSSCGKSA-N 0 3 225.307 2.730 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1cncs1)OC ZINC000356345633 169385971 /nfs/dbraw/zinc/38/59/71/169385971.db2.gz YFWSHRWNIRUZHW-ZJUUUORDSA-N 0 3 228.361 2.609 20 0 BFADHN CCC[C@@H](N)C(=O)N(C)[C@H](C)c1ccccc1C ZINC000269073454 169386961 /nfs/dbraw/zinc/38/69/61/169386961.db2.gz BELPEKSOQBKFLH-TZMCWYRMSA-N 0 3 248.370 2.642 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@@H]1C)c1ncc[nH]1 ZINC000221147041 134317314 /nfs/dbraw/zinc/31/73/14/134317314.db2.gz RFXRUNFWXBPFPB-NOOOWODRSA-N 0 3 221.348 2.885 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@@H](C)c1ccc(C)c(C)c1 ZINC000269115303 169390650 /nfs/dbraw/zinc/39/06/50/169390650.db2.gz YVIMJFGTJIZBKN-GXTWGEPZSA-N 0 3 248.370 2.608 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@H](C)c1cc(C)cc(C)c1 ZINC000236994297 169390997 /nfs/dbraw/zinc/39/09/97/169390997.db2.gz WHODAIWQWGARAV-TZMCWYRMSA-N 0 3 248.370 2.608 20 0 BFADHN CCC[C@@H](N)C(=O)NCc1ccc(C(C)C)cc1 ZINC000045046821 169391053 /nfs/dbraw/zinc/39/10/53/169391053.db2.gz HSRGDMLDBAZHLQ-CQSZACIVSA-N 0 3 248.370 2.554 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@H](C)c1ccc(C)cc1C ZINC000037765411 169391845 /nfs/dbraw/zinc/39/18/45/169391845.db2.gz LJCRVNDESYWPCB-TZMCWYRMSA-N 0 3 248.370 2.608 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@H](C)c1cccc(C)c1C ZINC000236995203 169391852 /nfs/dbraw/zinc/39/18/52/169391852.db2.gz YOSHAYTUDFCHHU-TZMCWYRMSA-N 0 3 248.370 2.608 20 0 BFADHN CCOCCN(C)Cc1ccc(C)c(C)c1 ZINC000181484224 134336961 /nfs/dbraw/zinc/33/69/61/134336961.db2.gz SZLZDGPMUYRPPH-UHFFFAOYSA-N 0 3 221.344 2.772 20 0 BFADHN c1coc(CNCCCOC2CCCC2)c1 ZINC000127313767 183509579 /nfs/dbraw/zinc/50/95/79/183509579.db2.gz GKUKYORYSAZXKN-UHFFFAOYSA-N 0 3 223.316 2.719 20 0 BFADHN c1coc(CCNCc2ccsc2)c1 ZINC000035121627 183506125 /nfs/dbraw/zinc/50/61/25/183506125.db2.gz IHMIOAUYPVRWFX-UHFFFAOYSA-N 0 3 207.298 2.673 20 0 BFADHN c1csc(CNCc2ccc3c[nH]nc3c2)c1 ZINC000061669966 183519152 /nfs/dbraw/zinc/51/91/52/183519152.db2.gz ZIPKWVWTTKOJRM-UHFFFAOYSA-N 0 3 243.335 2.914 20 0 BFADHN CCOC(=O)[C@@H](CC)N(CC)C[C@@H](C)CC ZINC000223330053 134338564 /nfs/dbraw/zinc/33/85/64/134338564.db2.gz IWHQFVRGGKWBGG-NWDGAFQWSA-N 0 3 229.364 2.696 20 0 BFADHN CO[C@H](C)CN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000182016066 134341704 /nfs/dbraw/zinc/34/17/04/134341704.db2.gz COWCRTXTTYMAMW-ZJUUUORDSA-N 0 3 243.297 2.993 20 0 BFADHN CO[C@@H](C)CN(CCC(F)(F)F)CC1CC1 ZINC000182224398 134343597 /nfs/dbraw/zinc/34/35/97/134343597.db2.gz QKXVZEFLXFMWPL-VIFPVBQESA-N 0 3 239.281 2.686 20 0 BFADHN C=Cn1cc(CN2CCC[C@H]3CCC[C@@H]32)cn1 ZINC000193800121 259610835 /nfs/dbraw/zinc/61/08/35/259610835.db2.gz GWYBLIJLNFOFJI-KGLIPLIRSA-N 0 3 231.343 2.748 20 0 BFADHN CCC[C@H](N)C(=O)N[C@@H](c1ccccc1)C(C)C ZINC000037018055 169502071 /nfs/dbraw/zinc/50/20/71/169502071.db2.gz NFZRNLHMNQAFQU-UONOGXRCSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1csc([C@@H](C)NC[C@H]2CCSC2)n1 ZINC000224430267 134347163 /nfs/dbraw/zinc/34/71/63/134347163.db2.gz BTNSTEPMJDZFRP-NXEZZACHSA-N 0 3 242.413 2.855 20 0 BFADHN CCC[C@](C)(N)C(=O)N(C)[C@H]1CCCC[C@@H]1C ZINC000037806941 169545165 /nfs/dbraw/zinc/54/51/65/169545165.db2.gz ZOBNQAPBJJJFND-OBJOEFQTSA-N 0 3 240.391 2.541 20 0 BFADHN CCC[C@](C)(O)CN[C@H](C)c1cncc(C)c1 ZINC000274653090 169554598 /nfs/dbraw/zinc/55/45/98/169554598.db2.gz VHYCIIJEAIPAIN-OCCSQVGLSA-N 0 3 236.359 2.592 20 0 BFADHN CC[C@H]1CN(c2ccccc2)CCN1CC ZINC000184008808 134356048 /nfs/dbraw/zinc/35/60/48/134356048.db2.gz IZDHLAXHPHASJX-ZDUSSCGKSA-N 0 3 218.344 2.607 20 0 BFADHN CO[C@H](CNCc1ccc(O)cc1)CC(C)C ZINC000669572586 487528357 /nfs/dbraw/zinc/52/83/57/487528357.db2.gz UUWVLTCZSVLCTF-AWEZNQCLSA-N 0 3 237.343 2.543 20 0 BFADHN COC(=O)c1cc(CN[C@@H](C)C(C)C)oc1C ZINC000034994100 383822184 /nfs/dbraw/zinc/82/21/84/383822184.db2.gz SDNTWBIKMUCDKS-VIFPVBQESA-N 0 3 239.315 2.509 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@@H](OC)C2(C)C)nc1 ZINC000345115281 383836342 /nfs/dbraw/zinc/83/63/42/383836342.db2.gz IQPOFEMYVTZAKU-ZIAGYGMSSA-N 0 3 248.370 2.547 20 0 BFADHN COc1cc(F)cc(CN[C@H]2C[C@@H]2C(F)F)c1 ZINC000449321968 383848556 /nfs/dbraw/zinc/84/85/56/383848556.db2.gz VQDQTMDMRAQPBF-QWRGUYRKSA-N 0 3 245.244 2.578 20 0 BFADHN CCC[C@H](CC)N[C@H](C)c1cnccn1 ZINC000070025739 383854423 /nfs/dbraw/zinc/85/44/23/383854423.db2.gz YKIKCGBXQXSUJE-MNOVXSKESA-N 0 3 207.321 2.706 20 0 BFADHN CCCCCCN[C@@H](C)c1cnccn1 ZINC000070024755 383856080 /nfs/dbraw/zinc/85/60/80/383856080.db2.gz JHBXRQOCJGOUKM-NSHDSACASA-N 0 3 207.321 2.708 20 0 BFADHN CC(C)CCCN[C@@H](C)c1cnccn1 ZINC000070025436 383856774 /nfs/dbraw/zinc/85/67/74/383856774.db2.gz QDSYWAZGIFOFLS-NSHDSACASA-N 0 3 207.321 2.563 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2ccncc2)C12CCC2 ZINC000087709103 383859675 /nfs/dbraw/zinc/85/96/75/383859675.db2.gz SCRCRSARQWKGPE-UONOGXRCSA-N 0 3 246.354 2.519 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCOC2)cc1C ZINC000087783066 383864726 /nfs/dbraw/zinc/86/47/26/383864726.db2.gz LPFJYWHINMAVDF-TZMCWYRMSA-N 0 3 219.328 2.743 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1CC[C@@H](F)C1 ZINC000449327109 383869601 /nfs/dbraw/zinc/86/96/01/383869601.db2.gz ADTILSNQHWZQTR-CHWSQXEVSA-N 0 3 237.318 2.984 20 0 BFADHN COc1cc(C)ccc1CN[C@H]1CC[C@H](F)C1 ZINC000449327112 383872032 /nfs/dbraw/zinc/87/20/32/383872032.db2.gz ADTILSNQHWZQTR-STQMWFEESA-N 0 3 237.318 2.984 20 0 BFADHN Cc1ccc(C[C@H](C)NCc2ncc[nH]2)c(C)c1 ZINC000066594452 383873894 /nfs/dbraw/zinc/87/38/94/383873894.db2.gz CICYZGOFHWPWBP-ZDUSSCGKSA-N 0 3 243.354 2.747 20 0 BFADHN CCN(C)CCNCc1ccc(F)c(Cl)c1 ZINC000088041036 383875349 /nfs/dbraw/zinc/87/53/49/383875349.db2.gz QILCWNGPLVPGSN-UHFFFAOYSA-N 0 3 244.741 2.520 20 0 BFADHN C[C@H](CF)NCc1cccc2ccoc21 ZINC000449333083 383881445 /nfs/dbraw/zinc/88/14/45/383881445.db2.gz URSCDDNSNODQLH-SECBINFHSA-N 0 3 207.248 2.880 20 0 BFADHN CCOCCN[C@H](C)c1ccc(OC)c(F)c1 ZINC000035151445 383833710 /nfs/dbraw/zinc/83/37/10/383833710.db2.gz QPCFLTRMYZYKQD-SNVBAGLBSA-N 0 3 241.306 2.521 20 0 BFADHN CCOCCN[C@H](CC)c1ccc(OC)cc1 ZINC000035151468 383834657 /nfs/dbraw/zinc/83/46/57/383834657.db2.gz BXRLVFCCGSLKJR-CQSZACIVSA-N 0 3 237.343 2.772 20 0 BFADHN CCOCCN[C@H]1CCSc2ccccc21 ZINC000035151261 383834902 /nfs/dbraw/zinc/83/49/02/383834902.db2.gz JTHHBPHLTGOOKB-LBPRGKRZSA-N 0 3 237.368 2.850 20 0 BFADHN CCOCCN[C@@H](CC)c1ccc(OC)cc1 ZINC000035151466 383835240 /nfs/dbraw/zinc/83/52/40/383835240.db2.gz BXRLVFCCGSLKJR-AWEZNQCLSA-N 0 3 237.343 2.772 20 0 BFADHN CC[C@@H](NCc1cc(F)ccc1F)[C@@H](O)CC ZINC000313117704 383906960 /nfs/dbraw/zinc/90/69/60/383906960.db2.gz RGWZAMHXOGBSBX-OLZOCXBDSA-N 0 3 243.297 2.604 20 0 BFADHN COC[C@@H](NCc1ccc(C)cc1OC)C1CC1 ZINC000119871911 383906978 /nfs/dbraw/zinc/90/69/78/383906978.db2.gz GYEZBYALCYJSCL-CQSZACIVSA-N 0 3 249.354 2.518 20 0 BFADHN CC[C@H](N)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000036871124 383914693 /nfs/dbraw/zinc/91/46/93/383914693.db2.gz DCIBJNOMHZFXLR-LBPRGKRZSA-N 0 3 234.343 2.660 20 0 BFADHN CC[C@H](NCc1cncn1C)c1ccc(C)cc1 ZINC000112338277 383916482 /nfs/dbraw/zinc/91/64/82/383916482.db2.gz SQUFNQIXFBDQOF-HNNXBMFYSA-N 0 3 243.354 2.969 20 0 BFADHN CCC(CC)CN(CC)[C@@H](C(=O)OC)C1CC1 ZINC000088795946 383923214 /nfs/dbraw/zinc/92/32/14/383923214.db2.gz SHCSOLCCTUKDIE-CYBMUJFWSA-N 0 3 241.375 2.696 20 0 BFADHN CC(C)[C@@H](NCc1ccnn1C)c1ccccc1 ZINC000036967808 383923526 /nfs/dbraw/zinc/92/35/26/383923526.db2.gz HRSBBKLVZWLWHJ-OAHLLOKOSA-N 0 3 243.354 2.907 20 0 BFADHN CC(C)C[C@H](C)N[C@H](C)c1nnc2ccccn21 ZINC000036969021 383924380 /nfs/dbraw/zinc/92/43/80/383924380.db2.gz KKVMBVPJEMXZFB-NWDGAFQWSA-N 0 3 246.358 2.815 20 0 BFADHN CC[C@H]1CCN(Cc2ccnc(Cl)c2)C1 ZINC000158005799 383926489 /nfs/dbraw/zinc/92/64/89/383926489.db2.gz QYYLTHVPSZKTBC-JTQLQIEISA-N 0 3 224.735 2.967 20 0 BFADHN COc1cccc(CN[C@]2(C)CC=CCC2)n1 ZINC000398320176 383883797 /nfs/dbraw/zinc/88/37/97/383883797.db2.gz WEZPNBGEMFKYCG-CQSZACIVSA-N 0 3 232.327 2.679 20 0 BFADHN CCOCCNCc1ccc(SC)cc1 ZINC000036228418 383886682 /nfs/dbraw/zinc/88/66/82/383886682.db2.gz UWFQZRVYNIUKSS-UHFFFAOYSA-N 0 3 225.357 2.535 20 0 BFADHN COCC[C@H](C)N1Cc2ccccc2O[C@@H](C)C1 ZINC000292347779 383888562 /nfs/dbraw/zinc/88/85/62/383888562.db2.gz HGSWSDKLOKIDDC-STQMWFEESA-N 0 3 249.354 2.695 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cccc(Cl)c1 ZINC000131900898 383889404 /nfs/dbraw/zinc/88/94/04/383889404.db2.gz KLNDUPQTSJZSHG-UWVGGRQHSA-N 0 3 227.735 2.853 20 0 BFADHN CCOCCNCc1ccccc1C(F)(F)F ZINC000036228601 383890081 /nfs/dbraw/zinc/89/00/81/383890081.db2.gz LEOCSCICGVGMEN-UHFFFAOYSA-N 0 3 247.260 2.832 20 0 BFADHN CCOCCN[C@H]1CCCc2cc(OC)ccc21 ZINC000036228903 383890362 /nfs/dbraw/zinc/89/03/62/383890362.db2.gz YXPOPBWZNAZSLN-HNNXBMFYSA-N 0 3 249.354 2.699 20 0 BFADHN C[C@H](NC1CCOCC1)c1ccc(F)cc1F ZINC000036319280 383896295 /nfs/dbraw/zinc/89/62/95/383896295.db2.gz XINCCGDOFREXBP-VIFPVBQESA-N 0 3 241.281 2.794 20 0 BFADHN C[C@H](NC1CCOCC1)c1cc(F)ccc1F ZINC000036319381 383897031 /nfs/dbraw/zinc/89/70/31/383897031.db2.gz VXVWNGHIORRWEZ-VIFPVBQESA-N 0 3 241.281 2.794 20 0 BFADHN CCN(CC)Cc1cc(C(=O)OC)sc1C ZINC000089101289 383933847 /nfs/dbraw/zinc/93/38/47/383933847.db2.gz SRQQKHTXOHEQTF-UHFFFAOYSA-N 0 3 241.356 2.685 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CNCc1ccon1 ZINC000401744815 383901129 /nfs/dbraw/zinc/90/11/29/383901129.db2.gz LCLARPGVTBCYCT-VXGBXAGGSA-N 0 3 222.332 2.981 20 0 BFADHN C[C@H](CCCO)NCc1cc2ccccc2o1 ZINC000088503449 383904670 /nfs/dbraw/zinc/90/46/70/383904670.db2.gz UODRBFUOEGRAAN-LLVKDONJSA-N 0 3 233.311 2.683 20 0 BFADHN COCC1(CN(C)[C@H](C)c2ccccn2)CCC1 ZINC000291096405 383904852 /nfs/dbraw/zinc/90/48/52/383904852.db2.gz IOGQGICPHAIWQO-CYBMUJFWSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@H](CCCO)Nc1ccnc2ccccc21 ZINC000088503669 383905705 /nfs/dbraw/zinc/90/57/05/383905705.db2.gz YPOQGIFAIUDAMN-LLVKDONJSA-N 0 3 230.311 2.808 20 0 BFADHN Cc1cccc(CN(C)[C@H](C)C2CC2)n1 ZINC000558390814 383978889 /nfs/dbraw/zinc/97/88/89/383978889.db2.gz XLCPJZZZDFVXMH-LLVKDONJSA-N 0 3 204.317 2.620 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CO[C@@H](C)C2)cc1F ZINC000647214068 383983907 /nfs/dbraw/zinc/98/39/07/383983907.db2.gz MAOPXBWZHBMNNR-DMDPSCGWSA-N 0 3 237.318 2.962 20 0 BFADHN C[C@@H](NC[C@@H]1CCOC1)c1cc(F)ccc1F ZINC000037507806 383983902 /nfs/dbraw/zinc/98/39/02/383983902.db2.gz ZCTZVTUTWJWXGE-ZJUUUORDSA-N 0 3 241.281 2.652 20 0 BFADHN COCC1(CN(C)Cc2cccs2)CCC1 ZINC000291139440 383985152 /nfs/dbraw/zinc/98/51/52/383985152.db2.gz XNIHRQKAZOKEFV-UHFFFAOYSA-N 0 3 239.384 2.997 20 0 BFADHN C[C@H]1C[C@@H](NCc2cc(C3CC3)ccc2F)CO1 ZINC000647214450 383985989 /nfs/dbraw/zinc/98/59/89/383985989.db2.gz ZOKNHJZLRVXMPK-IINYFYTJSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc(C3CC3)ccc2F)CO1 ZINC000647214451 383986388 /nfs/dbraw/zinc/98/63/88/383986388.db2.gz ZOKNHJZLRVXMPK-QMTHXVAHSA-N 0 3 249.329 2.970 20 0 BFADHN CCOCCN(Cc1cccc(O)c1)CC1CC1 ZINC000113506023 383988414 /nfs/dbraw/zinc/98/84/14/383988414.db2.gz ORUGPPHRQBRQSO-UHFFFAOYSA-N 0 3 249.354 2.641 20 0 BFADHN COc1ncncc1CN[C@H](C)CCC=C(C)C ZINC000647214891 383995734 /nfs/dbraw/zinc/99/57/34/383995734.db2.gz WJVARSNGTCDWFT-GFCCVEGCSA-N 0 3 249.358 2.710 20 0 BFADHN Cc1ccsc1CNCc1nc(C)ccc1O ZINC000112716483 383944108 /nfs/dbraw/zinc/94/41/08/383944108.db2.gz XXUUIGKXSZQKKU-UHFFFAOYSA-N 0 3 248.351 2.755 20 0 BFADHN Cc1ccsc1C[NH2+]Cc1nc(C)ccc1[O-] ZINC000112716483 383944115 /nfs/dbraw/zinc/94/41/15/383944115.db2.gz XXUUIGKXSZQKKU-UHFFFAOYSA-N 0 3 248.351 2.755 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CCC2CCCC2)n1 ZINC000112716473 383944818 /nfs/dbraw/zinc/94/48/18/383944818.db2.gz PFOMPJXVVFWOAF-UHFFFAOYSA-N 0 3 234.343 2.766 20 0 BFADHN C[C@@H](CCc1ccccc1)NCc1cncnc1 ZINC000054767106 383954650 /nfs/dbraw/zinc/95/46/50/383954650.db2.gz HWPZTBMDYSOCRJ-ZDUSSCGKSA-N 0 3 241.338 2.588 20 0 BFADHN CO[C@H]1CCCC[C@H]1NCc1ccccc1F ZINC000037212028 383959129 /nfs/dbraw/zinc/95/91/29/383959129.db2.gz CEXVMEVBSVDHNP-KGLIPLIRSA-N 0 3 237.318 2.873 20 0 BFADHN COC(=O)c1ccc(CN[C@H](C)CC(C)C)o1 ZINC000037255967 383965512 /nfs/dbraw/zinc/96/55/12/383965512.db2.gz BFKWRYOUKVEADA-SNVBAGLBSA-N 0 3 239.315 2.590 20 0 BFADHN C[C@@H](CCc1ccco1)N[C@H]1CCn2ccnc21 ZINC000293862379 383967014 /nfs/dbraw/zinc/96/70/14/383967014.db2.gz DIOFEVSIIUVWQL-AAEUAGOBSA-N 0 3 245.326 2.532 20 0 BFADHN CCO[C@H]1CCN(Cc2ccsc2)C[C@H]1C ZINC000449503268 383967153 /nfs/dbraw/zinc/96/71/53/383967153.db2.gz XMVOTNDLPKGRNA-YPMHNXCESA-N 0 3 239.384 2.995 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H]1CCCc2occc21 ZINC000290305242 383969665 /nfs/dbraw/zinc/96/96/65/383969665.db2.gz ZFBSHSZBKKJWLV-SCVCMEIPSA-N 0 3 223.316 2.670 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1)c1ccccc1Cl ZINC000070964419 383970869 /nfs/dbraw/zinc/97/08/69/383970869.db2.gz JSHIXAZHRMYWCA-NXEZZACHSA-N 0 3 225.719 2.780 20 0 BFADHN C[C@@H](N[C@H]1CCOC1)c1ccccc1Cl ZINC000070964424 383971252 /nfs/dbraw/zinc/97/12/52/383971252.db2.gz JSHIXAZHRMYWCA-ZJUUUORDSA-N 0 3 225.719 2.780 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@H]2C[C@H]2C1)c1cnccn1 ZINC000403660967 383974712 /nfs/dbraw/zinc/97/47/12/383974712.db2.gz LWDHXCVPIZYJBI-QNWHQSFQSA-N 0 3 231.343 2.563 20 0 BFADHN CCC[C@@H](NCc1ccn(C)n1)C1CCCC1 ZINC000313391259 383976131 /nfs/dbraw/zinc/97/61/31/383976131.db2.gz DWOQUHYZMHQULI-CQSZACIVSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1nocc1CNCCCc1ccccc1 ZINC000294084334 383976813 /nfs/dbraw/zinc/97/68/13/383976813.db2.gz LMAWFTKDNJVXBF-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN C[C@H](O)C(C)(C)NCc1sccc1Cl ZINC000278557083 384019177 /nfs/dbraw/zinc/01/91/77/384019177.db2.gz VVTHMVGNVLCNEO-ZETCQYMHSA-N 0 3 233.764 2.651 20 0 BFADHN CC[C@@H](NC(=O)CN(CC)CC)c1ccccc1 ZINC000003622586 384020399 /nfs/dbraw/zinc/02/03/99/384020399.db2.gz RHWGDKBPRUQDTI-CQSZACIVSA-N 0 3 248.370 2.596 20 0 BFADHN CCN(Cc1c(C)nsc1C)C(C)C ZINC000518558443 384006334 /nfs/dbraw/zinc/00/63/34/384006334.db2.gz SYSJHUHWICCPQM-UHFFFAOYSA-N 0 3 212.362 2.990 20 0 BFADHN C[C@H]1C[C@@H](NCc2cnccc2C(C)(C)C)CO1 ZINC000647217727 384043850 /nfs/dbraw/zinc/04/38/50/384043850.db2.gz BLPXJTRNTWUTHT-WCQYABFASA-N 0 3 248.370 2.646 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cnccc2C(C)(C)C)CO1 ZINC000647217726 384045961 /nfs/dbraw/zinc/04/59/61/384045961.db2.gz BLPXJTRNTWUTHT-DGCLKSJQSA-N 0 3 248.370 2.646 20 0 BFADHN CCc1ccccc1CN[C@H]1CO[C@H](C)C1 ZINC000401810838 384053548 /nfs/dbraw/zinc/05/35/48/384053548.db2.gz DCKLBBJFUMSIEF-BXUZGUMPSA-N 0 3 219.328 2.516 20 0 BFADHN CCc1ccccc1CN[C@@H]1CO[C@@H](C)C1 ZINC000401810840 384052504 /nfs/dbraw/zinc/05/25/04/384052504.db2.gz DCKLBBJFUMSIEF-FZMZJTMJSA-N 0 3 219.328 2.516 20 0 BFADHN C[C@@H](NCc1nc(C2CC2)cs1)C1CC1 ZINC000090459077 384027806 /nfs/dbraw/zinc/02/78/06/384027806.db2.gz GMBZECRSWRRTTK-MRVPVSSYSA-N 0 3 222.357 2.909 20 0 BFADHN Cc1cc(CNCC[C@H](O)C(C)C)cs1 ZINC000449153777 384028653 /nfs/dbraw/zinc/02/86/53/384028653.db2.gz KXXBUNBXYVZRHK-LBPRGKRZSA-N 0 3 227.373 2.553 20 0 BFADHN C[C@@H](O)CC(C)(C)CN[C@H](C)c1ccco1 ZINC000090087250 384028849 /nfs/dbraw/zinc/02/88/49/384028849.db2.gz CSJZRTCYNCDROO-GHMZBOCLSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@@H](C)NCc1nc(C2CC2)cs1 ZINC000090458555 384029082 /nfs/dbraw/zinc/02/90/82/384029082.db2.gz GTCYYVPFARWRMS-MRVPVSSYSA-N 0 3 210.346 2.909 20 0 BFADHN CC(C)CC[C@@H](C)NC(=O)[C@@H](C(C)C)N(C)C ZINC000119930197 384031814 /nfs/dbraw/zinc/03/18/14/384031814.db2.gz PJUAYYGRKJIVSY-CHWSQXEVSA-N 0 3 242.407 2.514 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCc3sccc32)CO1 ZINC000401807960 384038886 /nfs/dbraw/zinc/03/88/86/384038886.db2.gz ZMQXSMDCYPCBFU-FOGDFJRCSA-N 0 3 237.368 2.893 20 0 BFADHN CC(C)C(CN1CCOCC12CC2)C(C)C ZINC000560284457 384039446 /nfs/dbraw/zinc/03/94/46/384039446.db2.gz CZGWLHPETCXXIY-UHFFFAOYSA-N 0 3 225.376 2.779 20 0 BFADHN C[C@@H](CCC(C)(C)C)NCc1ncc[nH]1 ZINC000076768571 384062054 /nfs/dbraw/zinc/06/20/54/384062054.db2.gz LFZHIAHENHFVJN-JTQLQIEISA-N 0 3 209.337 2.714 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc(Cl)cc1 ZINC000132058610 384062571 /nfs/dbraw/zinc/06/25/71/384062571.db2.gz OGZAWVREMQJVCI-VHSXEESVSA-N 0 3 227.735 2.853 20 0 BFADHN CC[C@H](CN(CC)Cc1ccoc1)OC ZINC000642684702 384063088 /nfs/dbraw/zinc/06/30/88/384063088.db2.gz UBRWCAANCLSYRB-GFCCVEGCSA-N 0 3 211.305 2.527 20 0 BFADHN CC(C)(C)CC(C)(C)NCc1ccon1 ZINC000077267095 384063347 /nfs/dbraw/zinc/06/33/47/384063347.db2.gz FZRTUGGRRDQYID-UHFFFAOYSA-N 0 3 210.321 2.979 20 0 BFADHN c1coc([C@H]2CCN(CCOCC3CCC3)C2)c1 ZINC000642687099 384066521 /nfs/dbraw/zinc/06/65/21/384066521.db2.gz JWVKKTGRTGTSOO-AWEZNQCLSA-N 0 3 249.354 2.886 20 0 BFADHN CCOCCC1(CN[C@@H](C)c2ccccn2)CC1 ZINC000090722751 384071028 /nfs/dbraw/zinc/07/10/28/384071028.db2.gz SFKJKHOEZLMMAS-ZDUSSCGKSA-N 0 3 248.370 2.939 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1cnn(C(C)C)c1 ZINC000090726865 384071082 /nfs/dbraw/zinc/07/10/82/384071082.db2.gz CWDZRBWLKGXOCL-WDEREUQCSA-N 0 3 241.404 2.866 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](COC)C2CC2)o1 ZINC000090717566 384071089 /nfs/dbraw/zinc/07/10/89/384071089.db2.gz NQTWJDKROVDHAQ-MFKMUULPSA-N 0 3 237.343 2.918 20 0 BFADHN CO[C@H](CN[C@H]1CCc2ccc(F)cc21)C1CC1 ZINC000293864601 384074226 /nfs/dbraw/zinc/07/42/26/384074226.db2.gz ZFPQVXBYSYAIKV-LSDHHAIUSA-N 0 3 249.329 2.828 20 0 BFADHN CC(C)[C@H](O)C(C)(C)CN[C@H](C)c1ccco1 ZINC000090811094 384076359 /nfs/dbraw/zinc/07/63/59/384076359.db2.gz DBYZSRTVCAXOCB-YPMHNXCESA-N 0 3 239.359 2.973 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CC2(C(C)C)CC2)n1 ZINC000227832288 134389990 /nfs/dbraw/zinc/38/99/90/134389990.db2.gz ZBCISBGHWBZSBR-UHFFFAOYSA-N 0 3 234.343 2.621 20 0 BFADHN C[C@@H]1CCC[C@H](NC(CF)CF)[C@@H]1C ZINC000449379199 384079673 /nfs/dbraw/zinc/07/96/73/384079673.db2.gz HGZMWVUSCYNCBW-KKZNHRDASA-N 0 3 205.292 2.708 20 0 BFADHN CCn1ccnc1[C@H](C)NCC(C1CC1)C1CC1 ZINC000560343733 384081951 /nfs/dbraw/zinc/08/19/51/384081951.db2.gz VMOPJPSAXWFINE-NSHDSACASA-N 0 3 247.386 2.990 20 0 BFADHN CCN(Cc1ccncc1)CC1(C)CC1 ZINC000569466989 384082800 /nfs/dbraw/zinc/08/28/00/384082800.db2.gz OVUXRFNQZGHEFM-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CC[C@@H](CSC)N[C@H](C)c1cccnc1 ZINC000091555509 384099112 /nfs/dbraw/zinc/09/91/12/384099112.db2.gz GGWJHPRHPXMPOO-PWSUYJOCSA-N 0 3 224.373 2.874 20 0 BFADHN Cc1n[nH]c(C)c1[C@H]1CCCN1CCCF ZINC000091080675 384100351 /nfs/dbraw/zinc/10/03/51/384100351.db2.gz KJFOYKQZKOTUGW-LLVKDONJSA-N 0 3 225.311 2.523 20 0 BFADHN Cc1ccc(CN[C@H]2CCO[C@@H](C(C)C)C2)o1 ZINC000070361317 384102101 /nfs/dbraw/zinc/10/21/01/384102101.db2.gz JALZPQJDHWIBMX-GXTWGEPZSA-N 0 3 237.343 2.881 20 0 BFADHN CC[C@H](CSC)N(C)Cc1cccnc1 ZINC000091097950 384102976 /nfs/dbraw/zinc/10/29/76/384102976.db2.gz FOQUOXADTUNXRX-GFCCVEGCSA-N 0 3 224.373 2.655 20 0 BFADHN C[C@@H](CN[C@H](C)c1cnccn1)c1ccccc1 ZINC000070477627 384110360 /nfs/dbraw/zinc/11/03/60/384110360.db2.gz MENHVFYYCIZZLQ-QWHCGFSZSA-N 0 3 241.338 2.931 20 0 BFADHN C[C@H](CCc1ccco1)N[C@H](C)c1cnccn1 ZINC000070477675 384110833 /nfs/dbraw/zinc/11/08/33/384110833.db2.gz XKFCTCZZHSXIHJ-VXGBXAGGSA-N 0 3 245.326 2.742 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1cc2cccnc2o1 ZINC000647259106 384111225 /nfs/dbraw/zinc/11/12/25/384111225.db2.gz IUHIXEUXJYAIQS-JOYOIKCWSA-N 0 3 248.351 2.811 20 0 BFADHN C[C@H](NCCCc1ccccc1)c1cnccn1 ZINC000070477497 384112396 /nfs/dbraw/zinc/11/23/96/384112396.db2.gz XEUULGIRPBYYSD-ZDUSSCGKSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1cc2cccnc2o1 ZINC000647259104 384112388 /nfs/dbraw/zinc/11/23/88/384112388.db2.gz IUHIXEUXJYAIQS-BXKDBHETSA-N 0 3 248.351 2.811 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1cnccn1 ZINC000070479732 384113367 /nfs/dbraw/zinc/11/33/67/384113367.db2.gz QAXYIADGMVTYIW-NDBYEHHHSA-N 0 3 233.359 2.952 20 0 BFADHN COC(C)(C)C[C@@H](C)N[C@@H](C)c1nccs1 ZINC000070732331 384134955 /nfs/dbraw/zinc/13/49/55/384134955.db2.gz YTHQQCZNRFPICF-ZJUUUORDSA-N 0 3 242.388 2.997 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1ccc(C)o1 ZINC000132170253 384128245 /nfs/dbraw/zinc/12/82/45/384128245.db2.gz UQZXLIYCNFYSEQ-GMTAPVOTSA-N 0 3 211.305 2.662 20 0 BFADHN CC[C@@H](COC)NCc1ccc(F)c(Cl)c1 ZINC000070656780 384130281 /nfs/dbraw/zinc/13/02/81/384130281.db2.gz OLEUDBBVSKMFFY-JTQLQIEISA-N 0 3 245.725 2.994 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1cc(C)cc(F)c1 ZINC000091514095 384130349 /nfs/dbraw/zinc/13/03/49/384130349.db2.gz ZJXHJDVUOKAJJZ-ZDUSSCGKSA-N 0 3 238.306 2.590 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1cccc(F)c1 ZINC000071011676 384147786 /nfs/dbraw/zinc/14/77/86/384147786.db2.gz ZCZHAGVLNDKCAV-GWCFXTLKSA-N 0 3 223.291 2.655 20 0 BFADHN Fc1ccc(F)c(CNC[C@@H]2CCCS2)c1 ZINC000087473156 384148832 /nfs/dbraw/zinc/14/88/32/384148832.db2.gz LQZJHFPWXIIYNH-NSHDSACASA-N 0 3 243.322 2.950 20 0 BFADHN Cc1nocc1CN[C@@H](C)Cc1cccs1 ZINC000293967330 384155406 /nfs/dbraw/zinc/15/54/06/384155406.db2.gz CVKUKCZEJKAEGH-VIFPVBQESA-N 0 3 236.340 2.765 20 0 BFADHN C[C@@H](CN1CCN(C2CC2)CC1)c1ccccc1 ZINC000116877899 384156240 /nfs/dbraw/zinc/15/62/40/384156240.db2.gz MATVAECYVOEURP-AWEZNQCLSA-N 0 3 244.382 2.570 20 0 BFADHN COCC[C@H](C)NCc1cc(C)ccc1F ZINC000116915742 384157609 /nfs/dbraw/zinc/15/76/09/384157609.db2.gz UPHADCSBUKWVCL-NSHDSACASA-N 0 3 225.307 2.649 20 0 BFADHN Cc1cccc(C)c1CN1CC[C@H](F)C1 ZINC000449396784 384174594 /nfs/dbraw/zinc/17/45/94/384174594.db2.gz SNHLOVBVEMGXPZ-LBPRGKRZSA-N 0 3 207.292 2.847 20 0 BFADHN C[C@@H](CCCO)CNCc1ccsc1Cl ZINC000312607652 384162601 /nfs/dbraw/zinc/16/26/01/384162601.db2.gz SWNMZIAZQKMSGK-VIFPVBQESA-N 0 3 247.791 2.900 20 0 BFADHN C[C@H](NCCN1CCCCCC1)c1ccco1 ZINC000040767385 384163857 /nfs/dbraw/zinc/16/38/57/384163857.db2.gz FCLAHHQSOUJOEA-ZDUSSCGKSA-N 0 3 236.359 2.806 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H]1CCCc2occc21 ZINC000132367306 384184393 /nfs/dbraw/zinc/18/43/93/384184393.db2.gz ZFBSHSZBKKJWLV-JBLDHEPKSA-N 0 3 223.316 2.670 20 0 BFADHN CCCCN(CC)CC(=O)NCCC(C)(C)C ZINC000097478256 384207057 /nfs/dbraw/zinc/20/70/57/384207057.db2.gz FVYCLWGTQYARQH-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN Cc1ccoc1CN1CCC(n2ccnc2)CC1 ZINC000092648849 384187646 /nfs/dbraw/zinc/18/76/46/384187646.db2.gz XUFISULWZQSBOK-UHFFFAOYSA-N 0 3 245.326 2.622 20 0 BFADHN CN(Cc1cncs1)C(C1CC1)C1CC1 ZINC000092703984 384190464 /nfs/dbraw/zinc/19/04/64/384190464.db2.gz RVJZIIUFLZIYKQ-UHFFFAOYSA-N 0 3 222.357 2.764 20 0 BFADHN C[C@H](N[C@@H]1CCCSC1)c1ccc(F)cn1 ZINC000092766491 384193414 /nfs/dbraw/zinc/19/34/14/384193414.db2.gz XYYHLXNHICHVMX-GXSJLCMTSA-N 0 3 240.347 2.767 20 0 BFADHN COCc1ccc(CN2CCC(C)(C)C2)o1 ZINC000093339790 384230141 /nfs/dbraw/zinc/23/01/41/384230141.db2.gz SDVYRTUBMFQETN-UHFFFAOYSA-N 0 3 223.316 2.658 20 0 BFADHN COc1c(C)cnc(CN(C)C2CCC2)c1C ZINC000072722173 384230892 /nfs/dbraw/zinc/23/08/92/384230892.db2.gz NTJBAGLNRWTOMT-UHFFFAOYSA-N 0 3 234.343 2.691 20 0 BFADHN COc1cccc(CN(C)CC2CCC2)c1OC ZINC000173507057 384231002 /nfs/dbraw/zinc/23/10/02/384231002.db2.gz HFAYYBSQDUZDLU-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1sc(C)nc1C ZINC000132498098 384233476 /nfs/dbraw/zinc/23/34/76/384233476.db2.gz OZASNAWQMOZHEU-KHQFGBGNSA-N 0 3 242.388 2.834 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1ccsc1)OC ZINC000294173177 384235323 /nfs/dbraw/zinc/23/53/23/384235323.db2.gz JLTRJIBUYDBTPE-PWSUYJOCSA-N 0 3 227.373 2.899 20 0 BFADHN COC(CN[C@@H](C)c1cc(C)cc(C)c1)OC ZINC000132547942 384236191 /nfs/dbraw/zinc/23/61/91/384236191.db2.gz FHNZAMJDAOJDGM-LBPRGKRZSA-N 0 3 237.343 2.573 20 0 BFADHN CCOC[C@H]1CCCN(Cc2cccs2)C1 ZINC000093419036 384236959 /nfs/dbraw/zinc/23/69/59/384236959.db2.gz OQLOAIPRXVCLLF-LBPRGKRZSA-N 0 3 239.384 2.997 20 0 BFADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1cnccn1 ZINC000560576370 384237354 /nfs/dbraw/zinc/23/73/54/384237354.db2.gz SBMVAOAEOQSFTQ-OCCSQVGLSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1ccoc1CN1CCC2(CCC2)C1 ZINC000093455952 384238996 /nfs/dbraw/zinc/23/89/96/384238996.db2.gz UWEUZOWAOAFNKG-UHFFFAOYSA-N 0 3 205.301 2.964 20 0 BFADHN Cc1ccc(C)c(CN[C@@H](C)Cn2cccn2)c1 ZINC000042254785 384209309 /nfs/dbraw/zinc/20/93/09/384209309.db2.gz XNWQFGCXFUFLGS-AWEZNQCLSA-N 0 3 243.354 2.678 20 0 BFADHN C1=C(CN2CCC3(CCCC3)CC2)COCC1 ZINC000093085409 384210660 /nfs/dbraw/zinc/21/06/60/384210660.db2.gz UNJLXVVLKRHRSE-UHFFFAOYSA-N 0 3 235.371 2.989 20 0 BFADHN CC[C@@H](CSC)NCc1ccc(COC)o1 ZINC000132048214 384214846 /nfs/dbraw/zinc/21/48/46/384214846.db2.gz GJIAEWLGHNTROE-JTQLQIEISA-N 0 3 243.372 2.657 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN[C@@H]2CCC[C@@H]2CO)o1 ZINC000230682243 259649044 /nfs/dbraw/zinc/64/90/44/259649044.db2.gz YSEQOPWPZAAZEE-OIMNJJJWSA-N 0 3 249.354 2.654 20 0 BFADHN COC(C)(C)CNCc1ccc(Cl)cc1 ZINC000133008194 384219258 /nfs/dbraw/zinc/21/92/58/384219258.db2.gz RSIPXORKRIYITI-UHFFFAOYSA-N 0 3 227.735 2.855 20 0 BFADHN COC(C)(C)CNCc1ccccc1Cl ZINC000133012669 384219574 /nfs/dbraw/zinc/21/95/74/384219574.db2.gz KXKLHCOHUSUQDE-UHFFFAOYSA-N 0 3 227.735 2.855 20 0 BFADHN CCN(CCC(=O)OC(C)C)Cc1ccccc1 ZINC000173454762 384220937 /nfs/dbraw/zinc/22/09/37/384220937.db2.gz PKIXPBKQAIXIMX-UHFFFAOYSA-N 0 3 249.354 2.850 20 0 BFADHN CC[C@H]1CN(Cc2ncccc2C)CCS1 ZINC000093177549 384220854 /nfs/dbraw/zinc/22/08/54/384220854.db2.gz KNRJQSLRFXKQCH-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN CCc1ccc(C2=CCN(CCCO)CC2)cc1 ZINC000093262853 384223025 /nfs/dbraw/zinc/22/30/25/384223025.db2.gz YIFBDWPQVKNMRZ-UHFFFAOYSA-N 0 3 245.366 2.721 20 0 BFADHN C[C@@H](CC1CC1)NCc1cn2ccsc2n1 ZINC000132302598 384225309 /nfs/dbraw/zinc/22/53/09/384225309.db2.gz WWRBCEPRMAFADI-VIFPVBQESA-N 0 3 235.356 2.674 20 0 BFADHN CCCC(C)(C)NC(=O)C[C@H](N)c1ccccc1 ZINC000119044735 384226398 /nfs/dbraw/zinc/22/63/98/384226398.db2.gz GLODIBGCLDSQAO-ZDUSSCGKSA-N 0 3 248.370 2.771 20 0 BFADHN CC(=O)Nc1ccccc1CN1CCC(C)(C)C1 ZINC000093339941 384227847 /nfs/dbraw/zinc/22/78/47/384227847.db2.gz HFCBRYPEJYVWHW-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNC[C@@H]2CCCN2C)o1 ZINC000221146395 384229563 /nfs/dbraw/zinc/22/95/63/384229563.db2.gz WLGVYDYECMHXEL-SGMGOOAPSA-N 0 3 248.370 2.587 20 0 BFADHN C[C@@H]1C[C@H](C)N1CCOCC1CCCC1 ZINC000560595236 384244825 /nfs/dbraw/zinc/24/48/25/384244825.db2.gz CKJPHXNORIEPQI-TXEJJXNPSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H]1CCN(Cc2ccnc(Cl)c2)[C@H]1C ZINC000248341060 384276994 /nfs/dbraw/zinc/27/69/94/384276994.db2.gz IUSGYPUTKNKFCV-ZJUUUORDSA-N 0 3 224.735 2.965 20 0 BFADHN CCC[C@@H](CC)[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000449404160 384248607 /nfs/dbraw/zinc/24/86/07/384248607.db2.gz ABWQJNPBXQELKZ-SNVBAGLBSA-N 0 3 224.352 2.596 20 0 BFADHN CCC[C@@H](CC)NCc1nnc(C(C)C)[nH]1 ZINC000449404160 384248617 /nfs/dbraw/zinc/24/86/17/384248617.db2.gz ABWQJNPBXQELKZ-SNVBAGLBSA-N 0 3 224.352 2.596 20 0 BFADHN COC[C@@H](N[C@H](C)c1ccncc1F)C(C)C ZINC000558698016 384248695 /nfs/dbraw/zinc/24/86/95/384248695.db2.gz NCGAICJESWNADX-ZWNOBZJWSA-N 0 3 240.322 2.542 20 0 BFADHN CCC1(CO)CCN(Cc2cccs2)CC1 ZINC000093591707 384250443 /nfs/dbraw/zinc/25/04/43/384250443.db2.gz FBFUXVCDJFMZJG-UHFFFAOYSA-N 0 3 239.384 2.733 20 0 BFADHN CCOC[C@H](NCc1ccncc1C)C(C)C ZINC000296977234 384252398 /nfs/dbraw/zinc/25/23/98/384252398.db2.gz VCYPXCHCRJDTNT-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@@H](C)CC(F)F ZINC000647318896 384253940 /nfs/dbraw/zinc/25/39/40/384253940.db2.gz CPNWPCCWSYYYFD-WPRPVWTQSA-N 0 3 243.301 2.727 20 0 BFADHN CCC1(NCc2cn3ccccc3n2)CCC1 ZINC000158679362 384255406 /nfs/dbraw/zinc/25/54/06/384255406.db2.gz UMHXQCDHWDQZPE-UHFFFAOYSA-N 0 3 229.327 2.757 20 0 BFADHN CC[C@@H](NC[C@H](C)CC(F)F)c1ccn(C)n1 ZINC000647319103 384256164 /nfs/dbraw/zinc/25/61/64/384256164.db2.gz MANVTSXUPUXENV-NXEZZACHSA-N 0 3 245.317 2.752 20 0 BFADHN CC(C)N(C)Cc1ccc(N2CCCC2)nc1 ZINC000158716787 384258927 /nfs/dbraw/zinc/25/89/27/384258927.db2.gz FGUIFGJXUJOLAU-UHFFFAOYSA-N 0 3 233.359 2.522 20 0 BFADHN CC[C@H](CSC)NCc1ccc(F)nc1C ZINC000278861384 384261092 /nfs/dbraw/zinc/26/10/92/384261092.db2.gz XWNMLIWYADYIQH-LLVKDONJSA-N 0 3 242.363 2.760 20 0 BFADHN CC(C)CCN1CCc2ccc(O)cc2C1 ZINC000533394304 384263579 /nfs/dbraw/zinc/26/35/79/384263579.db2.gz FMWHNWVFWKKLHO-UHFFFAOYSA-N 0 3 219.328 2.796 20 0 BFADHN CCOCCNCc1ccc(Cl)c(F)c1 ZINC000043388895 384270247 /nfs/dbraw/zinc/27/02/47/384270247.db2.gz AVPIVVNIASTADC-UHFFFAOYSA-N 0 3 231.698 2.605 20 0 BFADHN Cn1cncc1CN1CCC2(CCCC2)CC1 ZINC000336633375 384278149 /nfs/dbraw/zinc/27/81/49/384278149.db2.gz YFKAWGLEQHERSF-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN CCC(CC)N(Cc1cnn(C)c1)C1CC1 ZINC000533406213 384272384 /nfs/dbraw/zinc/27/23/84/384272384.db2.gz PBOXVRAYOHLOBM-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc3ncccc3c2)CO1 ZINC000335433857 384275856 /nfs/dbraw/zinc/27/58/56/384275856.db2.gz GPWNQUFFRMUYCC-RISCZKNCSA-N 0 3 242.322 2.502 20 0 BFADHN Cc1ccccc1C1(N[C@H]2CCO[C@@H]2C)CC1 ZINC000647224654 384276697 /nfs/dbraw/zinc/27/66/97/384276697.db2.gz RXORAYWHOJRACL-OCCSQVGLSA-N 0 3 231.339 2.751 20 0 BFADHN O=C(c1ccccc1)C1CCN(CCCF)CC1 ZINC000119161358 384242157 /nfs/dbraw/zinc/24/21/57/384242157.db2.gz ASGCBHYJYIJRSX-UHFFFAOYSA-N 0 3 249.329 2.941 20 0 BFADHN CSCCCN(C)[C@H](C)c1cccnc1 ZINC000119801571 384305349 /nfs/dbraw/zinc/30/53/49/384305349.db2.gz BEZHPGGREPXLTA-LLVKDONJSA-N 0 3 224.373 2.828 20 0 BFADHN CCSCCN(C)[C@H](C)c1ccncc1 ZINC000119789227 384305394 /nfs/dbraw/zinc/30/53/94/384305394.db2.gz GJHBXQHDFJRLTN-LLVKDONJSA-N 0 3 224.373 2.828 20 0 BFADHN CCc1ccc(CNC[C@@H]2CCCS2)cn1 ZINC000309423706 384311481 /nfs/dbraw/zinc/31/14/81/384311481.db2.gz DZGLZIOZRQDOCG-ZDUSSCGKSA-N 0 3 236.384 2.629 20 0 BFADHN CN(Cc1cccc(F)c1)[C@H]1CCSC1 ZINC000119876180 384311503 /nfs/dbraw/zinc/31/15/03/384311503.db2.gz GNCQPPSTPCLNCA-LBPRGKRZSA-N 0 3 225.332 2.763 20 0 BFADHN CC[C@H]1CN(CCCOC(C)C)CCS1 ZINC000174357066 384322553 /nfs/dbraw/zinc/32/25/53/384322553.db2.gz VSVAPIBXXXLMQC-LBPRGKRZSA-N 0 3 231.405 2.629 20 0 BFADHN CCN(C)CCN[C@@H](C)c1cc(F)cc(F)c1 ZINC000094827443 384315438 /nfs/dbraw/zinc/31/54/38/384315438.db2.gz JYKOKSFQZFORFZ-JTQLQIEISA-N 0 3 242.313 2.567 20 0 BFADHN C[C@H](N[C@H]1CCO[C@@H]1C)c1ccccc1F ZINC000133944823 384319793 /nfs/dbraw/zinc/31/97/93/384319793.db2.gz ZOHIUVLKJDPNIE-CWSCBRNRSA-N 0 3 223.291 2.654 20 0 BFADHN Fc1ccc(F)c(CN[C@H]2CCSC2)c1 ZINC000075154178 384320226 /nfs/dbraw/zinc/32/02/26/384320226.db2.gz WKSIIDJZGAUTJQ-JTQLQIEISA-N 0 3 229.295 2.560 20 0 BFADHN CN(CCOc1ccccc1)[C@H]1CCSC1 ZINC000119971398 384321069 /nfs/dbraw/zinc/32/10/69/384321069.db2.gz MNSCWLZJYNDNNL-LBPRGKRZSA-N 0 3 237.368 2.503 20 0 BFADHN COCC1(CCN[C@H](C)c2ccccn2)CC1 ZINC000647320470 384281492 /nfs/dbraw/zinc/28/14/92/384281492.db2.gz ZMSRMJQYJSMRER-GFCCVEGCSA-N 0 3 234.343 2.549 20 0 BFADHN C[C@@H](CCCO)NCc1cc(Cl)ccc1F ZINC000119540866 384284561 /nfs/dbraw/zinc/28/45/61/384284561.db2.gz DXNYNKHDFJBXAF-VIFPVBQESA-N 0 3 245.725 2.730 20 0 BFADHN Cc1ccc(CNC[C@H](C)CC(F)F)o1 ZINC000647225097 384289890 /nfs/dbraw/zinc/28/98/90/384289890.db2.gz NDVFFLHUSVDEMZ-MRVPVSSYSA-N 0 3 217.259 2.969 20 0 BFADHN C[C@H](NC[C@@H](O)C1CCCCC1)c1ccccn1 ZINC000158977624 384290977 /nfs/dbraw/zinc/29/09/77/384290977.db2.gz VGWXHHKYWYCUOR-SWLSCSKDSA-N 0 3 248.370 2.673 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1CC2CCC1CC2 ZINC000647225120 384292559 /nfs/dbraw/zinc/29/25/59/384292559.db2.gz NRGXKXMTDXJDOL-WXRRBKDZSA-N 0 3 233.359 2.634 20 0 BFADHN CCC[C@H](NC[C@H](O)C(C)C)c1ccccn1 ZINC000179472969 384296183 /nfs/dbraw/zinc/29/61/83/384296183.db2.gz LROATXQNLXEEEP-JSGCOSHPSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1cccc(Cl)c1F ZINC000158986401 384296323 /nfs/dbraw/zinc/29/63/23/384296323.db2.gz VRYJVZXJTIMGPS-GZMMTYOYSA-N 0 3 243.709 2.746 20 0 BFADHN CC[C@H](CO)NCc1cc(Cl)ccc1Cl ZINC000083377971 384296554 /nfs/dbraw/zinc/29/65/54/384296554.db2.gz AZEXQVLMKGPPDO-SNVBAGLBSA-N 0 3 248.153 2.854 20 0 BFADHN C[C@@H](N[C@@H]1CCOC2(CCC2)C1)c1ccccn1 ZINC000094367635 384298471 /nfs/dbraw/zinc/29/84/71/384298471.db2.gz BLGAGOTWYUKXMG-CHWSQXEVSA-N 0 3 246.354 2.834 20 0 BFADHN Cc1noc(C)c1CCN[C@@H](C)c1ccccn1 ZINC000159057967 384299548 /nfs/dbraw/zinc/29/95/48/384299548.db2.gz OYRDBVJVCHLCMF-NSHDSACASA-N 0 3 245.326 2.580 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1sc(C)nc1C ZINC000133587108 384299541 /nfs/dbraw/zinc/29/95/41/384299541.db2.gz CTIUFYYVTCWPPJ-KCJUWKMLSA-N 0 3 242.388 2.572 20 0 BFADHN CCN(C/C=C/c1ccc(Cl)cc1)CCO ZINC000179519810 384300483 /nfs/dbraw/zinc/30/04/83/384300483.db2.gz AACJQUDMFCQPDT-ONEGZZNKSA-N 0 3 239.746 2.667 20 0 BFADHN CCCCN(CCCC)Cc1c[nH]cn1 ZINC000179513545 384300531 /nfs/dbraw/zinc/30/05/31/384300531.db2.gz RSYHTZSTMCZDAK-UHFFFAOYSA-N 0 3 209.337 2.812 20 0 BFADHN CCCCN(CCCC)Cc1cnc[nH]1 ZINC000179513545 384300537 /nfs/dbraw/zinc/30/05/37/384300537.db2.gz RSYHTZSTMCZDAK-UHFFFAOYSA-N 0 3 209.337 2.812 20 0 BFADHN Cc1ccc(CN[C@@H]2CC(C)(C)OC2(C)C)cn1 ZINC000094424389 384302344 /nfs/dbraw/zinc/30/23/44/384302344.db2.gz SUXNMYFWQLUTBD-CYBMUJFWSA-N 0 3 248.370 2.826 20 0 BFADHN c1csc(CN[C@@H]2CC3CCC2CC3)n1 ZINC000335603096 384373321 /nfs/dbraw/zinc/37/33/21/384373321.db2.gz RQSOASDPUSYBBC-VQXHTEKXSA-N 0 3 222.357 2.811 20 0 BFADHN CCc1ccc(CN[C@]2(C)CCOC2)cc1 ZINC000120201304 384337866 /nfs/dbraw/zinc/33/78/66/384337866.db2.gz JEFSKNZFOBZNLK-CQSZACIVSA-N 0 3 219.328 2.518 20 0 BFADHN CO[C@@H](C)[C@H](C)NC1(c2ccccc2)CC1 ZINC000134235503 384339428 /nfs/dbraw/zinc/33/94/28/384339428.db2.gz BEBQXDOZGVSMGL-RYUDHWBXSA-N 0 3 219.328 2.689 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H]2C=C[C@H](CO)C2)cc1 ZINC000134631314 384373838 /nfs/dbraw/zinc/37/38/38/384373838.db2.gz OYDRRZJKEALHPJ-IVMMDQJWSA-N 0 3 245.366 2.837 20 0 BFADHN Cc1cc(C)nc(N[C@H](C)[C@H]2CCOC2)c1 ZINC000134351684 384349532 /nfs/dbraw/zinc/34/95/32/384349532.db2.gz ZBXIHDOSFDRULZ-NEPJUHHUSA-N 0 3 220.316 2.535 20 0 BFADHN CC[C@H](NCc1cccc(C)c1)c1cnn(C)c1 ZINC000120411460 384352928 /nfs/dbraw/zinc/35/29/28/384352928.db2.gz ZLFIGTSSHLDPIQ-HNNXBMFYSA-N 0 3 243.354 2.969 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCCC1(C)C ZINC000334153654 384354229 /nfs/dbraw/zinc/35/42/29/384354229.db2.gz OZTJURNCCDJZDB-SNVBAGLBSA-N 0 3 225.361 2.515 20 0 BFADHN C/C=C\CNCc1c[nH]nc1-c1ccc(C)o1 ZINC000384732142 384362006 /nfs/dbraw/zinc/36/20/06/384362006.db2.gz JWZQRERILBEPCC-ARJAWSKDSA-N 0 3 231.299 2.644 20 0 BFADHN CSCCCN(C)Cc1cc(Cl)cn1C ZINC000120065580 384325458 /nfs/dbraw/zinc/32/54/58/384325458.db2.gz HXOQEZZTFHZGJP-UHFFFAOYSA-N 0 3 246.807 2.863 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CC[C@@H]2C)c1 ZINC000308827134 384325596 /nfs/dbraw/zinc/32/55/96/384325596.db2.gz ALWNWPQTGZIXDB-SDDRHHMPSA-N 0 3 204.317 2.839 20 0 BFADHN CC(C)(C)[C@H]1CC[C@@H]1[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000517509962 384329667 /nfs/dbraw/zinc/32/96/67/384329667.db2.gz NWQMSGVVJIKFOX-QWRGUYRKSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)(C)[C@H]1CC[C@@H]1NCc1nnc(C2CC2)[nH]1 ZINC000517509962 384329673 /nfs/dbraw/zinc/32/96/73/384329673.db2.gz NWQMSGVVJIKFOX-QWRGUYRKSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1cccnc1 ZINC000160213402 384393386 /nfs/dbraw/zinc/39/33/86/384393386.db2.gz FRBRCKCCKUFMAZ-WCQYABFASA-N 0 3 234.343 2.690 20 0 BFADHN CC(C)(C)c1ccc(CN2CC[C@@H](O)C2)cc1 ZINC000061707902 384396643 /nfs/dbraw/zinc/39/66/43/384396643.db2.gz WGIZTLNVVMBZBM-CQSZACIVSA-N 0 3 233.355 2.551 20 0 BFADHN CCC[C@@H](NCCOCC)c1cccnc1 ZINC000134913064 384397890 /nfs/dbraw/zinc/39/78/90/384397890.db2.gz OWROQBOZUWKNIT-CYBMUJFWSA-N 0 3 222.332 2.549 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(F)cc1 ZINC000035151187 384402009 /nfs/dbraw/zinc/40/20/09/384402009.db2.gz JBUAUOPOQXUNAC-JTQLQIEISA-N 0 3 211.280 2.513 20 0 BFADHN C[C@H](NCCN(C)C)c1ccc(Cl)s1 ZINC000035285578 384406945 /nfs/dbraw/zinc/40/69/45/384406945.db2.gz VZCQFLLOCABQJS-QMMMGPOBSA-N 0 3 232.780 2.614 20 0 BFADHN CS[C@@H](C)CN[C@H]1CCCc2occc21 ZINC000169107018 384411419 /nfs/dbraw/zinc/41/14/19/384411419.db2.gz CMCCJQZRYBXAQW-ONGXEEELSA-N 0 3 225.357 2.998 20 0 BFADHN Cc1ccoc1CNC[C@H](CC(C)C)N(C)C ZINC000135144121 384419718 /nfs/dbraw/zinc/41/97/18/384419718.db2.gz HEGHECKIHRTPFW-ZDUSSCGKSA-N 0 3 238.375 2.654 20 0 BFADHN C[C@H](NCc1cc(F)ccc1F)C1CC1 ZINC000035732753 384421405 /nfs/dbraw/zinc/42/14/05/384421405.db2.gz ULTPGYYSLGSUET-QMMMGPOBSA-N 0 3 211.255 2.853 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1cccc(Cl)c1 ZINC000096033425 384424013 /nfs/dbraw/zinc/42/40/13/384424013.db2.gz JIJNUYMRPSXEMC-SKDRFNHKSA-N 0 3 225.719 2.607 20 0 BFADHN Cc1cc(C)cc([C@@H](C)N[C@@H]2C=C[C@H](CO)C2)c1 ZINC000134648049 384375574 /nfs/dbraw/zinc/37/55/74/384375574.db2.gz GQEZAQKGZOHPRJ-IJEWVQPXSA-N 0 3 245.366 2.891 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1cccc(Cl)c1 ZINC000096033426 384425035 /nfs/dbraw/zinc/42/50/35/384425035.db2.gz JIJNUYMRPSXEMC-CABZTGNLSA-N 0 3 225.719 2.607 20 0 BFADHN c1ccc2c(c1)C=C(CN1CC(C3CC3)C1)CO2 ZINC000643345247 384378400 /nfs/dbraw/zinc/37/84/00/384378400.db2.gz KNOSYERISHYXEI-UHFFFAOYSA-N 0 3 241.334 2.804 20 0 BFADHN CC(=O)CCN1CCC[C@@H]1c1ccc(F)cc1 ZINC000120779735 384380055 /nfs/dbraw/zinc/38/00/55/384380055.db2.gz LPYCWUOWZHGRTB-CQSZACIVSA-N 0 3 235.302 2.942 20 0 BFADHN Cc1c[nH]nc1CNC1(C)CCC(C)CC1 ZINC000335605957 384380762 /nfs/dbraw/zinc/38/07/62/384380762.db2.gz AQAYCIROBFUADE-UHFFFAOYSA-N 0 3 221.348 2.777 20 0 BFADHN CCc1ccc(CN(C)CCc2ccccn2)o1 ZINC000120802972 384384507 /nfs/dbraw/zinc/38/45/07/384384507.db2.gz NXFVJKIOIXAITF-UHFFFAOYSA-N 0 3 244.338 2.912 20 0 BFADHN C[C@@H](NCC[C@H]1CCCCO1)c1cncs1 ZINC000134730682 384384949 /nfs/dbraw/zinc/38/49/49/384384949.db2.gz DPVUPOFOADDXKW-GHMZBOCLSA-N 0 3 240.372 2.753 20 0 BFADHN CCSCCCN[C@H](C)c1cn(C)nc1C ZINC000134760569 384385874 /nfs/dbraw/zinc/38/58/74/384385874.db2.gz RUIYOBYBDSNLKN-SNVBAGLBSA-N 0 3 241.404 2.522 20 0 BFADHN COC(C)(C)C[C@@H](C)N[C@H](C)c1cncs1 ZINC000121963216 384448628 /nfs/dbraw/zinc/44/86/28/384448628.db2.gz GXNVDFWSXQPGAM-NXEZZACHSA-N 0 3 242.388 2.997 20 0 BFADHN CCOCCN[C@@H](C)c1cc(C)oc1C ZINC000036229226 384433829 /nfs/dbraw/zinc/43/38/29/384433829.db2.gz TWDPFYPIVGOAJL-JTQLQIEISA-N 0 3 211.305 2.584 20 0 BFADHN C(=C/c1ccccc1)\CNCCOCC1CC1 ZINC000224525960 384438455 /nfs/dbraw/zinc/43/84/55/384438455.db2.gz GMISJSHPTNLPMB-QPJJXVBHSA-N 0 3 231.339 2.716 20 0 BFADHN CCN(Cc1c[nH]nc1-c1ccc(C)o1)C1CC1 ZINC000180100521 384438660 /nfs/dbraw/zinc/43/86/60/384438660.db2.gz FBXVZHNULHBZAF-UHFFFAOYSA-N 0 3 245.326 2.962 20 0 BFADHN COc1cc(C)nc(CN[C@@]2(C)CC=CCC2)c1 ZINC000398314434 384440643 /nfs/dbraw/zinc/44/06/43/384440643.db2.gz CGIGCOZEFYKAIU-HNNXBMFYSA-N 0 3 246.354 2.987 20 0 BFADHN CSC[C@@H]1CCCN1Cc1c(C)noc1C ZINC000135437325 384442947 /nfs/dbraw/zinc/44/29/47/384442947.db2.gz JTOSUKYLALPOIC-NSHDSACASA-N 0 3 240.372 2.619 20 0 BFADHN Cc1ccc([C@H](C)NCC(C)(C)CCO)o1 ZINC000122140128 384460660 /nfs/dbraw/zinc/46/06/60/384460660.db2.gz AIENXMFKNBWYFK-NSHDSACASA-N 0 3 225.332 2.647 20 0 BFADHN C[C@H](NCc1nccn1C)C1CCCCCC1 ZINC000149903417 384485159 /nfs/dbraw/zinc/48/51/59/384485159.db2.gz WKUGFHGBRJMLJK-LBPRGKRZSA-N 0 3 235.375 2.869 20 0 BFADHN CCC[C@H](N[C@H](C)c1nccc(N)n1)C1CCC1 ZINC000566429292 384462716 /nfs/dbraw/zinc/46/27/16/384462716.db2.gz SDIQHGAAAUTYCH-PWSUYJOCSA-N 0 3 248.374 2.509 20 0 BFADHN C[C@@H](O)CCNCc1ccc(Cl)c(Cl)c1 ZINC000078782020 384464726 /nfs/dbraw/zinc/46/47/26/384464726.db2.gz ITRPVZMGUWZKRX-MRVPVSSYSA-N 0 3 248.153 2.854 20 0 BFADHN C[C@H](O)CCNCc1ccc(Cl)c(Cl)c1 ZINC000078782015 384466053 /nfs/dbraw/zinc/46/60/53/384466053.db2.gz ITRPVZMGUWZKRX-QMMMGPOBSA-N 0 3 248.153 2.854 20 0 BFADHN CCC[C@@]1(C)CCCN(Cc2ccc(N)nc2)C1 ZINC000647516812 384466378 /nfs/dbraw/zinc/46/63/78/384466378.db2.gz PPGGRJOGHGQXOY-HNNXBMFYSA-N 0 3 247.386 2.896 20 0 BFADHN COC[C@@H](NC1CCCC1)c1ccc(C)o1 ZINC000122295008 384471384 /nfs/dbraw/zinc/47/13/84/384471384.db2.gz SNKGYMLWEWZDRO-GFCCVEGCSA-N 0 3 223.316 2.808 20 0 BFADHN COC[C@H](N[C@@H]1CCC[C@@H]1C)c1ccco1 ZINC000122301070 384471396 /nfs/dbraw/zinc/47/13/96/384471396.db2.gz XXSWJGPXECCTDH-TUAOUCFPSA-N 0 3 223.316 2.745 20 0 BFADHN COC[C@H](N[C@H](C)C(C)C)c1ccc(C)o1 ZINC000122293798 384472556 /nfs/dbraw/zinc/47/25/56/384472556.db2.gz FLQBSGCVRPCEDA-NEPJUHHUSA-N 0 3 225.332 2.910 20 0 BFADHN COC[C@H](N[C@H](C)CC(C)C)c1ccco1 ZINC000122302154 384472954 /nfs/dbraw/zinc/47/29/54/384472954.db2.gz VEZBOVMVQFWEHZ-NEPJUHHUSA-N 0 3 225.332 2.991 20 0 BFADHN CC1(C)CCC[C@@H](NCc2cnccn2)C1 ZINC000149548131 384483278 /nfs/dbraw/zinc/48/32/78/384483278.db2.gz XIDAGEKOYCNOPM-LLVKDONJSA-N 0 3 219.332 2.535 20 0 BFADHN Cn1ccnc1CN1CCC[C@H]1C1CCCCC1 ZINC000102514432 384484642 /nfs/dbraw/zinc/48/46/42/384484642.db2.gz XWQSNWNNKBVLAD-AWEZNQCLSA-N 0 3 247.386 2.965 20 0 BFADHN CCC1(CN[C@@H](C)c2cnccn2)CCC1 ZINC000122719222 384505648 /nfs/dbraw/zinc/50/56/48/384505648.db2.gz DAGIGXPHKHGARQ-NSHDSACASA-N 0 3 219.332 2.708 20 0 BFADHN C[C@H](NCc1ccc(Cl)o1)[C@@H]1CCCO1 ZINC000037502773 384506871 /nfs/dbraw/zinc/50/68/71/384506871.db2.gz DYHQKMXBBGGZPR-WPRPVWTQSA-N 0 3 229.707 2.590 20 0 BFADHN CCOc1cccc(CN[C@H](CC)COC)c1 ZINC000079712491 384510316 /nfs/dbraw/zinc/51/03/16/384510316.db2.gz ISXUDDKFGDWFGN-CYBMUJFWSA-N 0 3 237.343 2.600 20 0 BFADHN CCc1ccc(CN[C@H](C)Cc2cc(C)n[nH]2)o1 ZINC000136789049 384510839 /nfs/dbraw/zinc/51/08/39/384510839.db2.gz YAPWMNWEAZOCCQ-SNVBAGLBSA-N 0 3 247.342 2.594 20 0 BFADHN CCc1ccc(CN[C@H](C)Cc2cc(C)[nH]n2)o1 ZINC000136789049 384510845 /nfs/dbraw/zinc/51/08/45/384510845.db2.gz YAPWMNWEAZOCCQ-SNVBAGLBSA-N 0 3 247.342 2.594 20 0 BFADHN CCOCCN[C@H](C)c1cccc(C)c1 ZINC000037269198 384491532 /nfs/dbraw/zinc/49/15/32/384491532.db2.gz QIOIKRZKAZTUOB-GFCCVEGCSA-N 0 3 207.317 2.682 20 0 BFADHN C[C@H](N[C@H]1CCCSC1)c1cncc(F)c1 ZINC000122550392 384491685 /nfs/dbraw/zinc/49/16/85/384491685.db2.gz PRHXKDYFOSIFSC-CABZTGNLSA-N 0 3 240.347 2.767 20 0 BFADHN Cc1ccc(CN(C)CCCn2ccnc2C)o1 ZINC000136358196 384491873 /nfs/dbraw/zinc/49/18/73/384491873.db2.gz DWAAQKOEQNYSHQ-UHFFFAOYSA-N 0 3 247.342 2.615 20 0 BFADHN c1coc(CNC[C@H]2[C@@H]3c4ccccc4C[C@H]23)c1 ZINC000136434665 384494359 /nfs/dbraw/zinc/49/43/59/384494359.db2.gz FJDHCSVCBPRMMD-BZUAXINKSA-N 0 3 239.318 2.955 20 0 BFADHN C[C@H](NCCN(C)C)c1cc2ccccc2o1 ZINC000019269815 384515658 /nfs/dbraw/zinc/51/56/58/384515658.db2.gz HZXRHJYSBPPOSG-NSHDSACASA-N 0 3 232.327 2.645 20 0 BFADHN C[C@@H](NCCN(C)C)c1cc2ccccc2o1 ZINC000019269813 384515774 /nfs/dbraw/zinc/51/57/74/384515774.db2.gz HZXRHJYSBPPOSG-LLVKDONJSA-N 0 3 232.327 2.645 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3ccc(F)cc3)CCC2)CO1 ZINC000647178253 384517975 /nfs/dbraw/zinc/51/79/75/384517975.db2.gz IUYHITZGKYSVTN-BXUZGUMPSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H](CCCO)N[C@H](C)c1cccnc1Cl ZINC000122887421 384518629 /nfs/dbraw/zinc/51/86/29/384518629.db2.gz WSGDUCIODDBHDN-NXEZZACHSA-N 0 3 242.750 2.547 20 0 BFADHN CCc1cccc(NC(=O)C2(N)CCCCC2)c1 ZINC000019426680 384522449 /nfs/dbraw/zinc/52/24/49/384522449.db2.gz CSMJNVZPOVYHKE-UHFFFAOYSA-N 0 3 246.354 2.849 20 0 BFADHN C[C@H](N[C@H]1C[C@H](C)N(C2CC2)C1)c1ccco1 ZINC000123017428 384529388 /nfs/dbraw/zinc/52/93/88/384529388.db2.gz FKSFUKGLRQCCQD-SRVKXCTJSA-N 0 3 234.343 2.555 20 0 BFADHN Cc1ccc2c(c1C)OC[C@@H]2N[C@H]1CO[C@H](C)C1 ZINC000647180741 384542342 /nfs/dbraw/zinc/54/23/42/384542342.db2.gz SCDCCALEGHPCFD-QKCSRTOESA-N 0 3 247.338 2.504 20 0 BFADHN C[C@@H](O)CCN(C)Cc1ccc(C(F)F)cc1 ZINC000183951504 384543280 /nfs/dbraw/zinc/54/32/80/384543280.db2.gz OSUSNDFXHFFUML-SNVBAGLBSA-N 0 3 243.297 2.827 20 0 BFADHN C[C@@H](N[C@@H]1CCOC(C)(C)C1)c1cscn1 ZINC000161978502 384550671 /nfs/dbraw/zinc/55/06/71/384550671.db2.gz AWTHSPOFSHIWSJ-NXEZZACHSA-N 0 3 240.372 2.751 20 0 BFADHN c1ccc2c(c1)SCC[C@@H]2NC[C@@H]1CCCO1 ZINC000019963711 384563061 /nfs/dbraw/zinc/56/30/61/384563061.db2.gz STDMFUYNWMLAOE-AAEUAGOBSA-N 0 3 249.379 2.992 20 0 BFADHN C[C@@H](NCC1CC1)c1nc2ccccc2n1C ZINC000019885005 384553403 /nfs/dbraw/zinc/55/34/03/384553403.db2.gz QTAJTHPUJBFTGU-SNVBAGLBSA-N 0 3 229.327 2.634 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@@H](C)C1)c1ccccc1 ZINC000647181526 384560840 /nfs/dbraw/zinc/56/08/40/384560840.db2.gz FLPWDGMAYLBGRY-LOWVWBTDSA-N 0 3 205.301 2.515 20 0 BFADHN Cc1nnsc1CN[C@H]1CCCC12CCC2 ZINC000334758406 384568824 /nfs/dbraw/zinc/56/88/24/384568824.db2.gz VQGSZLMZPHUVRR-NSHDSACASA-N 0 3 237.372 2.659 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000309746844 384571394 /nfs/dbraw/zinc/57/13/94/384571394.db2.gz JIZODRXBSPUHIY-ATZCPNFKSA-N 0 3 239.388 2.761 20 0 BFADHN C[C@@H](CC1CCOCC1)NCc1ccco1 ZINC000309733822 384571616 /nfs/dbraw/zinc/57/16/16/384571616.db2.gz FVNBTCDUVLJYCK-NSHDSACASA-N 0 3 223.316 2.574 20 0 BFADHN CCC[C@H](N)C(=O)N1CCC[C@H](C)[C@@H]1CCC ZINC000236804574 384573754 /nfs/dbraw/zinc/57/37/54/384573754.db2.gz SFNVKMVXFNIYSS-AVGNSLFASA-N 0 3 240.391 2.541 20 0 BFADHN c1ccc2c(c1)CC[C@H]2NCc1ccncc1 ZINC000020129686 384580864 /nfs/dbraw/zinc/58/08/64/384580864.db2.gz UGUZQSRBPWCSPN-OAHLLOKOSA-N 0 3 224.307 2.859 20 0 BFADHN CCc1ccccc1CNCc1cc[nH]c1 ZINC000080774147 384581415 /nfs/dbraw/zinc/58/14/15/384581415.db2.gz JHNKFVCAGCZRCL-UHFFFAOYSA-N 0 3 214.312 2.867 20 0 BFADHN C[C@@H](NCCCC(C)(C)C)c1ccc(=O)[nH]n1 ZINC000556878668 384584278 /nfs/dbraw/zinc/58/42/78/384584278.db2.gz PTFXRTRVBZNZPI-SNVBAGLBSA-N 0 3 237.347 2.659 20 0 BFADHN Cc1cc(C)cc(N(C)C(=O)[C@@H](N)CC(C)C)c1 ZINC000049988775 384584577 /nfs/dbraw/zinc/58/45/77/384584577.db2.gz ONCAMYMQHZSAOS-AWEZNQCLSA-N 0 3 248.370 2.640 20 0 BFADHN c1ccc2c(c1)CC[C@H]2NCc1cccnc1 ZINC000020140873 384585229 /nfs/dbraw/zinc/58/52/29/384585229.db2.gz CFQZMOQYVQNXJJ-OAHLLOKOSA-N 0 3 224.307 2.859 20 0 BFADHN Cc1cnn(CCN[C@@H](C)c2ccc(C)cc2)c1 ZINC000123834944 384586504 /nfs/dbraw/zinc/58/65/04/384586504.db2.gz QRSZGZNJWISDRM-AWEZNQCLSA-N 0 3 243.354 2.851 20 0 BFADHN CCC(CC)[C@H](O)CN[C@H](C)c1cncs1 ZINC000162201215 384588123 /nfs/dbraw/zinc/58/81/23/384588123.db2.gz QYYORVAPMLCCKD-MWLCHTKSSA-N 0 3 242.388 2.591 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1cc(C)no1 ZINC000172433800 384588310 /nfs/dbraw/zinc/58/83/10/384588310.db2.gz LSXQVQYICZUQNZ-SNVBAGLBSA-N 0 3 228.361 2.556 20 0 BFADHN CN(CCc1ccncc1)Cc1cccc(F)c1 ZINC000098071957 384588773 /nfs/dbraw/zinc/58/87/73/384588773.db2.gz WFVKFSVTUSIVKO-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1ccc(F)cc1 ZINC000019963577 384563714 /nfs/dbraw/zinc/56/37/14/384563714.db2.gz IEMHLSBYFOWHJW-GWCFXTLKSA-N 0 3 223.291 2.655 20 0 BFADHN CCc1cccc(NC2CCN(C)CC2)c1 ZINC000019962089 384563786 /nfs/dbraw/zinc/56/37/86/384563786.db2.gz MTZBZVAILPEZBX-UHFFFAOYSA-N 0 3 218.344 2.755 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@H]2CCCO2)o1 ZINC000019963450 384564118 /nfs/dbraw/zinc/56/41/18/384564118.db2.gz MGOIYRDLYCYBSH-MDZLAQPJSA-N 0 3 235.327 2.672 20 0 BFADHN COc1ccccc1[C@@H](CO)N[C@@H]1CCC1(C)C ZINC000517976492 384608362 /nfs/dbraw/zinc/60/83/62/384608362.db2.gz PVGUDNNRCABUDR-TZMCWYRMSA-N 0 3 249.354 2.507 20 0 BFADHN Fc1cccc(CNC[C@H]2CCC=CO2)c1 ZINC000050267827 384610535 /nfs/dbraw/zinc/61/05/35/384610535.db2.gz VVWWHASCIWNMPS-CYBMUJFWSA-N 0 3 221.275 2.608 20 0 BFADHN Fc1ccc(CNC[C@@H]2CCC=CO2)cc1 ZINC000050267370 384611653 /nfs/dbraw/zinc/61/16/53/384611653.db2.gz KPKJQXHGNKKXAK-ZDUSSCGKSA-N 0 3 221.275 2.608 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H]1CCSC1 ZINC000271549687 384613375 /nfs/dbraw/zinc/61/33/75/384613375.db2.gz MRIFOUWBRSPKPT-GHMZBOCLSA-N 0 3 222.357 2.546 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H]1CCSC1 ZINC000271549688 384613453 /nfs/dbraw/zinc/61/34/53/384613453.db2.gz MRIFOUWBRSPKPT-MNOVXSKESA-N 0 3 222.357 2.546 20 0 BFADHN CO[C@]1(C)C[C@@H](N[C@@H](C)c2ccccn2)C1(C)C ZINC000162400786 384615351 /nfs/dbraw/zinc/61/53/51/384615351.db2.gz LWSFEAAWJVYOAP-NJZAAPMLSA-N 0 3 248.370 2.936 20 0 BFADHN COC[C@@H](NCCc1ccccc1)c1ccco1 ZINC000184537314 384615448 /nfs/dbraw/zinc/61/54/48/384615448.db2.gz GHISIMNSADLOBV-CQSZACIVSA-N 0 3 245.322 2.799 20 0 BFADHN C[C@H](N[C@@H]1CCSC1)c1cccnc1Cl ZINC000271565055 384615626 /nfs/dbraw/zinc/61/56/26/384615626.db2.gz YJJPUZJLBREOJF-DTWKUNHWSA-N 0 3 242.775 2.891 20 0 BFADHN CCCCNC(=O)[C@@H](C)N[C@H](C)c1ccccc1 ZINC000020367963 384615922 /nfs/dbraw/zinc/61/59/22/384615922.db2.gz DYIFLUABCIIPGS-CHWSQXEVSA-N 0 3 248.370 2.642 20 0 BFADHN C[C@@H](NC[C@@H]1CCSC1)c1ccncc1F ZINC000309599646 384616235 /nfs/dbraw/zinc/61/62/35/384616235.db2.gz XHMACWFMOIAIJA-ZJUUUORDSA-N 0 3 240.347 2.624 20 0 BFADHN CCc1ccc(CNCc2cnn(C)c2C)s1 ZINC000160100064 384617652 /nfs/dbraw/zinc/61/76/52/384617652.db2.gz AGXPDMJVBOJVDU-UHFFFAOYSA-N 0 3 249.383 2.642 20 0 BFADHN CCC[C@H](N)C(=O)NCCCC1CCCCC1 ZINC000236850640 384619502 /nfs/dbraw/zinc/61/95/02/384619502.db2.gz XYWVBJCKLTWCKM-ZDUSSCGKSA-N 0 3 240.391 2.591 20 0 BFADHN C[C@@H](NCC1CCC(F)CC1)c1cnccn1 ZINC000647349148 384619636 /nfs/dbraw/zinc/61/96/36/384619636.db2.gz ATZQWVASXVGSLU-VOMCLLRMSA-N 0 3 237.322 2.656 20 0 BFADHN Cc1nc(C)c(CNCCc2ccco2)s1 ZINC000076865244 384623501 /nfs/dbraw/zinc/62/35/01/384623501.db2.gz CZEVCOLBALJGJZ-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN C[C@@H](NCCO)c1cc(Cl)ccc1Cl ZINC000020597047 384626724 /nfs/dbraw/zinc/62/67/24/384626724.db2.gz FIHYWIIPPRQUIB-SSDOTTSWSA-N 0 3 234.126 2.636 20 0 BFADHN CCCC(O)(CCC)CNCc1cncs1 ZINC000124299388 384628698 /nfs/dbraw/zinc/62/86/98/384628698.db2.gz GEVLXLLYSOMWNL-UHFFFAOYSA-N 0 3 242.388 2.564 20 0 BFADHN CCN(Cc1ccc(Cl)cn1)C[C@H](C)OC ZINC000520914585 384631145 /nfs/dbraw/zinc/63/11/45/384631145.db2.gz NHPFPADQHOQGEK-JTQLQIEISA-N 0 3 242.750 2.592 20 0 BFADHN CCN(Cc1ccc(Cl)cn1)C[C@@H](C)OC ZINC000520914589 384631324 /nfs/dbraw/zinc/63/13/24/384631324.db2.gz NHPFPADQHOQGEK-SNVBAGLBSA-N 0 3 242.750 2.592 20 0 BFADHN CCc1ccc([C@H](C)NCCn2cc(C)cn2)o1 ZINC000123864125 384591245 /nfs/dbraw/zinc/59/12/45/384591245.db2.gz DHOVXPKSPSNSRC-LBPRGKRZSA-N 0 3 247.342 2.698 20 0 BFADHN Cc1cncc([C@@H](C)NCCc2nccs2)c1 ZINC000184331334 384594132 /nfs/dbraw/zinc/59/41/32/384594132.db2.gz LDEWZWZYYITYQI-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN CC[C@@H](CO)N[C@@H](C)c1cc2ccccc2o1 ZINC000020195727 384596276 /nfs/dbraw/zinc/59/62/76/384596276.db2.gz GLFDLPOVEMNGSS-JQWIXIFHSA-N 0 3 233.311 2.854 20 0 BFADHN CC[C@H](CO)N[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000020195879 384596321 /nfs/dbraw/zinc/59/63/21/384596321.db2.gz XTNIARGMLKQODM-WCBMZHEXSA-N 0 3 245.725 2.901 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CO[C@@H](C)C2)c(C)n1 ZINC000647350709 384632295 /nfs/dbraw/zinc/63/22/95/384632295.db2.gz QEDAPGOPPFPKSR-DRZSPHRISA-N 0 3 234.343 2.526 20 0 BFADHN C[C@H](NCc1ccc(Cl)cc1)[C@H]1CCCO1 ZINC000020205270 384600916 /nfs/dbraw/zinc/60/09/16/384600916.db2.gz CVRUFQOACVXKHY-GXFFZTMASA-N 0 3 239.746 2.997 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cccnc1)C(C)(C)C ZINC000173210759 384663185 /nfs/dbraw/zinc/66/31/85/384663185.db2.gz QRGDOTJQWCASIM-YPMHNXCESA-N 0 3 236.359 2.793 20 0 BFADHN COC[C@H](C)CNCc1ccc2ccccc2n1 ZINC000045696641 384665745 /nfs/dbraw/zinc/66/57/45/384665745.db2.gz NOGVWOGEVAAKTG-GFCCVEGCSA-N 0 3 244.338 2.607 20 0 BFADHN CCC1(NCc2c(C)nn(C)c2Cl)CCC1 ZINC000162688063 384666281 /nfs/dbraw/zinc/66/62/81/384666281.db2.gz LWTQQDOLPMNFDP-UHFFFAOYSA-N 0 3 241.766 2.804 20 0 BFADHN COC[C@H](C)N(C)Cc1ccc(C)s1 ZINC000173302573 384670441 /nfs/dbraw/zinc/67/04/41/384670441.db2.gz XGFOBYDAYSICLA-VIFPVBQESA-N 0 3 213.346 2.523 20 0 BFADHN CC(C)C[C@H](N[C@@H]1CO[C@H](C)C1)c1ccncc1 ZINC000647351100 384636499 /nfs/dbraw/zinc/63/64/99/384636499.db2.gz MSSUCWIWTQTMEV-SNPRPXQTSA-N 0 3 248.370 2.936 20 0 BFADHN CC[C@H](COC)NCc1cc(Cl)cs1 ZINC000086332904 384639565 /nfs/dbraw/zinc/63/95/65/384639565.db2.gz YBLFUZUJOKSRQG-SECBINFHSA-N 0 3 233.764 2.916 20 0 BFADHN CC[C@@H](F)CN(C)[C@@H](C)CCSC ZINC000308329134 384639557 /nfs/dbraw/zinc/63/95/57/384639557.db2.gz HSVQFJMHKCBMJC-VHSXEESVSA-N 0 3 207.358 2.808 20 0 BFADHN CC(C)[C@@H](CO)NCc1cc(Cl)cs1 ZINC000086333108 384640249 /nfs/dbraw/zinc/64/02/49/384640249.db2.gz ZRFNZFMBWNWCOC-SNVBAGLBSA-N 0 3 233.764 2.508 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC[C@H]3C[C@H]3C2)no1 ZINC000647354176 384641414 /nfs/dbraw/zinc/64/14/14/384641414.db2.gz GNRXKIQBOCUYDN-FIQHERPVSA-N 0 3 220.316 2.822 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccn(C)n1 ZINC000647354877 384643287 /nfs/dbraw/zinc/64/32/87/384643287.db2.gz PZBYOIIIQHHIJI-LPWJVIDDSA-N 0 3 233.359 2.649 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1nccn1C ZINC000647356491 384644504 /nfs/dbraw/zinc/64/45/04/384644504.db2.gz NLRNFALTTYCAHG-LPWJVIDDSA-N 0 3 233.359 2.649 20 0 BFADHN COCC[C@H](C)NCc1ccc(C)cc1OC ZINC000124557444 384652202 /nfs/dbraw/zinc/65/22/02/384652202.db2.gz IAKGCGURVBBDIZ-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN CCc1nc(CN[C@@H]2CC[C@@H]3C[C@@H]3C2)cs1 ZINC000403411675 384653901 /nfs/dbraw/zinc/65/39/01/384653901.db2.gz USVCIBYOBRDXMU-GMTAPVOTSA-N 0 3 236.384 2.984 20 0 BFADHN Fc1ccc(CNC[C@@H]2CCC=CO2)c(F)c1 ZINC000051016983 384653866 /nfs/dbraw/zinc/65/38/66/384653866.db2.gz GQUWJARFRGLGCI-LBPRGKRZSA-N 0 3 239.265 2.747 20 0 BFADHN CCN(C)Cc1ccc(SC)c(OC)c1 ZINC000101547074 384675905 /nfs/dbraw/zinc/67/59/05/384675905.db2.gz VBOYSHONPVWILI-UHFFFAOYSA-N 0 3 225.357 2.869 20 0 BFADHN FC(F)c1ccc(CN[C@@H]2CCOC2)cc1 ZINC000124600183 384655342 /nfs/dbraw/zinc/65/53/42/384655342.db2.gz BNCZNWVEKPJWQT-LLVKDONJSA-N 0 3 227.254 2.503 20 0 BFADHN C[C@H]1CCN(Cc2ccc(N)nc2)CC12CCC2 ZINC000647538970 384675839 /nfs/dbraw/zinc/67/58/39/384675839.db2.gz PQDOCOQBLPJJNM-LBPRGKRZSA-N 0 3 245.370 2.506 20 0 BFADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1ccncc1 ZINC000160214016 384659053 /nfs/dbraw/zinc/65/90/53/384659053.db2.gz BDDMBKCVBNJTKY-DGCLKSJQSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1csc(CN2C[C@H](C)C[C@@H](C)C2)n1 ZINC000173172773 384660075 /nfs/dbraw/zinc/66/00/75/384660075.db2.gz GESQZOVPSLCIJE-NXEZZACHSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H]1CC1(C)C ZINC000272008920 384660377 /nfs/dbraw/zinc/66/03/77/384660377.db2.gz FSUVQUUROQXXCP-PWSUYJOCSA-N 0 3 204.317 2.839 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1CC1(C)C ZINC000272008916 384660798 /nfs/dbraw/zinc/66/07/98/384660798.db2.gz FSUVQUUROQXXCP-CMPLNLGQSA-N 0 3 204.317 2.839 20 0 BFADHN COc1cccc(CNC[C@H]2CCC(C)(C)O2)c1 ZINC000160220075 384662358 /nfs/dbraw/zinc/66/23/58/384662358.db2.gz OGGBRYNDJMQIFR-CQSZACIVSA-N 0 3 249.354 2.742 20 0 BFADHN CC[C@@H](C)N[C@@H](C)c1cc2n(n1)CCCC2 ZINC000647280381 384662525 /nfs/dbraw/zinc/66/25/25/384662525.db2.gz JVMGCJYTACKMHP-MNOVXSKESA-N 0 3 221.348 2.669 20 0 BFADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H]1CC12CC2 ZINC000335248413 384678795 /nfs/dbraw/zinc/67/87/95/384678795.db2.gz KCNGUKXOFYRVIX-GXTWGEPZSA-N 0 3 247.313 2.964 20 0 BFADHN COCc1cnc(CN[C@@H]2CC[C@H](C)C2)s1 ZINC000309864913 384679130 /nfs/dbraw/zinc/67/91/30/384679130.db2.gz OBDABSVBHRFRKE-VHSXEESVSA-N 0 3 240.372 2.568 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H]2CC23CC3)c1 ZINC000335250830 384679550 /nfs/dbraw/zinc/67/95/50/384679550.db2.gz XUBJXDGCYGXAMN-ZYHUDNBSSA-N 0 3 202.301 2.593 20 0 BFADHN Cc1ccc(CN[C@H](C)C2(C)CC2)nc1C ZINC000313864352 384682224 /nfs/dbraw/zinc/68/22/24/384682224.db2.gz XBVWFPZIXMFOMV-GFCCVEGCSA-N 0 3 218.344 2.977 20 0 BFADHN CC(C)C[C@@H]1OCCC[C@H]1NCc1cccnc1 ZINC000185196429 384691311 /nfs/dbraw/zinc/69/13/11/384691311.db2.gz BGVGEGUFLJVBSB-CABCVRRESA-N 0 3 248.370 2.765 20 0 BFADHN C[C@@H](N[C@H](CCO)C(C)(C)C)c1ccco1 ZINC000185191166 384692216 /nfs/dbraw/zinc/69/22/16/384692216.db2.gz IBUSMQUZFCFDNV-ZYHUDNBSSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@@H](N[C@@H](CCO)C(C)(C)C)c1ccco1 ZINC000185191132 384692485 /nfs/dbraw/zinc/69/24/85/384692485.db2.gz IBUSMQUZFCFDNV-PWSUYJOCSA-N 0 3 225.332 2.727 20 0 BFADHN COc1cc(CN(C)CCC2CC2)cc(OC)c1 ZINC000173566913 384692922 /nfs/dbraw/zinc/69/29/22/384692922.db2.gz VQDMBUFLAKOIDQ-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN Cc1ccc([C@H](C)NCCc2cscn2)o1 ZINC000082626144 384695788 /nfs/dbraw/zinc/69/57/88/384695788.db2.gz PUNNPTKHRYKUJZ-JTQLQIEISA-N 0 3 236.340 2.938 20 0 BFADHN Cc1nnc(CN[C@H]2CC3CCC2CC3)s1 ZINC000335918565 384700428 /nfs/dbraw/zinc/70/04/28/384700428.db2.gz ZYSQDYZDMXWVBT-ILDUYXDCSA-N 0 3 237.372 2.515 20 0 BFADHN CCCc1nc(C)c(CN[C@H](C)C2CC2)o1 ZINC000309912272 384705554 /nfs/dbraw/zinc/70/55/54/384705554.db2.gz NJTDCZSFAOSLRR-SECBINFHSA-N 0 3 222.332 2.824 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1CC12CC2 ZINC000335276617 384706233 /nfs/dbraw/zinc/70/62/33/384706233.db2.gz GSQAHJWUUVVJLD-JQWIXIFHSA-N 0 3 202.301 2.593 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1ccc2c(c1)OCCO2 ZINC000335277099 384706704 /nfs/dbraw/zinc/70/67/04/384706704.db2.gz HTEIAXPRARPXTJ-QMTHXVAHSA-N 0 3 245.322 2.661 20 0 BFADHN c1coc(CNCC2(C3CC3)CCC2)c1 ZINC000125800996 384706885 /nfs/dbraw/zinc/70/68/85/384706885.db2.gz LRBGUXGTOSVRFN-UHFFFAOYSA-N 0 3 205.301 2.950 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1cccc(O)c1 ZINC000335279525 384710504 /nfs/dbraw/zinc/71/05/04/384710504.db2.gz QMBIQGHTBGMATN-BXKDBHETSA-N 0 3 203.285 2.595 20 0 BFADHN CCc1ncc(CN[C@@H]2CCCC2(C)C)o1 ZINC000449608448 384711665 /nfs/dbraw/zinc/71/16/65/384711665.db2.gz HVTXJYALMCQSKN-LLVKDONJSA-N 0 3 222.332 2.905 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CCO[C@H](C)C2)s1 ZINC000125417236 384713722 /nfs/dbraw/zinc/71/37/22/384713722.db2.gz GASOJAFIOQYJMG-MIMYLULJSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1ccoc1CNCC1(C2CC2)CC1 ZINC000125846790 384715369 /nfs/dbraw/zinc/71/53/69/384715369.db2.gz YVSUMFVNRYQORP-UHFFFAOYSA-N 0 3 205.301 2.868 20 0 BFADHN CCOc1cc(C)ccc1CN[C@H]1CO[C@@H](C)C1 ZINC000647191642 384727820 /nfs/dbraw/zinc/72/78/20/384727820.db2.gz WQZVGJJZRNVKHA-GXTWGEPZSA-N 0 3 249.354 2.661 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC[C@@H]2C)o1 ZINC000449611055 384719864 /nfs/dbraw/zinc/71/98/64/384719864.db2.gz UAYDMEMACZFFQO-GXSJLCMTSA-N 0 3 208.305 2.515 20 0 BFADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1cccnc1 ZINC000086934478 384720499 /nfs/dbraw/zinc/72/04/99/384720499.db2.gz DNWVGCBTMXXCPS-SCVCMEIPSA-N 0 3 222.357 2.626 20 0 BFADHN CCOc1ccccc1CN(C)C[C@@H]1CCOC1 ZINC000052164278 384721415 /nfs/dbraw/zinc/72/14/15/384721415.db2.gz WAJWVWVIYODULP-ZDUSSCGKSA-N 0 3 249.354 2.554 20 0 BFADHN CC[C@@H](NCCC1(C)CC1)c1nccn1C ZINC000310008180 384780642 /nfs/dbraw/zinc/78/06/42/384780642.db2.gz HOVOLCMFSWSEOX-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN C[C@@H](NCc1cc[nH]n1)C(C)(C)c1ccccc1 ZINC000102781403 384730323 /nfs/dbraw/zinc/73/03/23/384730323.db2.gz AQPAMODQRLNCPI-GFCCVEGCSA-N 0 3 243.354 2.866 20 0 BFADHN C[C@@H](NCc1cc(F)cc(F)c1)[C@@H]1CCCO1 ZINC000125608038 384733867 /nfs/dbraw/zinc/73/38/67/384733867.db2.gz CLZGRWLTSGQRFM-RNCFNFMXSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H](NCC1(C)COC1)c1ccc(F)cc1F ZINC000083522901 384779261 /nfs/dbraw/zinc/77/92/61/384779261.db2.gz BSWUAZDNUHIFOZ-SECBINFHSA-N 0 3 241.281 2.652 20 0 BFADHN C[C@@H](NCC[C@H](C)O)c1ccc(F)c(Cl)c1 ZINC000082992795 384735288 /nfs/dbraw/zinc/73/52/88/384735288.db2.gz ZBRGDRZABONACI-DTWKUNHWSA-N 0 3 245.725 2.901 20 0 BFADHN CCC1CCC(N[C@H]2CCn3ccnc32)CC1 ZINC000335954638 384735625 /nfs/dbraw/zinc/73/56/25/384735625.db2.gz ZSGZETHRKMLSGP-BPCQOVAHSA-N 0 3 233.359 2.886 20 0 BFADHN c1c[nH]c(CN[C@H](C2CCC2)C2CCCC2)n1 ZINC000647236195 384779494 /nfs/dbraw/zinc/77/94/94/384779494.db2.gz YPRCBVJAULXECB-AWEZNQCLSA-N 0 3 233.359 2.858 20 0 BFADHN CN(CCCCF)CCC(F)(F)F ZINC000308469019 384741649 /nfs/dbraw/zinc/74/16/49/384741649.db2.gz JWJKFTVWQBBLBG-UHFFFAOYSA-N 0 3 201.207 2.620 20 0 BFADHN C[C@@H](O)C[C@H]1CCCCN1Cc1ccsc1 ZINC000163115252 384743363 /nfs/dbraw/zinc/74/33/63/384743363.db2.gz QYXVDMJVLVROFJ-DGCLKSJQSA-N 0 3 239.384 2.874 20 0 BFADHN C[C@H]1C[C@@H]([NH2+]Cc2cc([O-])cc(F)c2)CS1 ZINC000334855472 384744097 /nfs/dbraw/zinc/74/40/97/384744097.db2.gz XZSYCGZDDZIIHF-GZMMTYOYSA-N 0 3 241.331 2.515 20 0 BFADHN COCCNCc1ccc(C(C)(C)C)s1 ZINC000126203181 384744571 /nfs/dbraw/zinc/74/45/71/384744571.db2.gz UKVLPBLGGNKVKL-UHFFFAOYSA-N 0 3 227.373 2.782 20 0 BFADHN Nc1cc(CN2CCC[C@H]3CCCC[C@@H]32)ccn1 ZINC000052722073 384745125 /nfs/dbraw/zinc/74/51/25/384745125.db2.gz CTUBTFOQMVKOIB-KGLIPLIRSA-N 0 3 245.370 2.818 20 0 BFADHN C[C@H](N[C@H]1CCC[C@H]1C)c1nccs1 ZINC000083143384 384746664 /nfs/dbraw/zinc/74/66/64/384746664.db2.gz MXIZVVPFARTVSR-UTLUCORTSA-N 0 3 210.346 2.982 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1occc1C)OC ZINC000294310990 384748829 /nfs/dbraw/zinc/74/88/29/384748829.db2.gz VUODAMHUGAFOIG-PWSUYJOCSA-N 0 3 225.332 2.739 20 0 BFADHN CC(C)(CNCc1cncnc1)c1cccs1 ZINC000083601790 384752221 /nfs/dbraw/zinc/75/22/21/384752221.db2.gz CDNPWZPJBOEIEU-UHFFFAOYSA-N 0 3 247.367 2.606 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC[C@H]2c2ccccn2)O1 ZINC000530549395 384752373 /nfs/dbraw/zinc/75/23/73/384752373.db2.gz SQSGLAJMGUFTGT-NFAWXSAZSA-N 0 3 246.354 2.786 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1ccsc1 ZINC000300966732 384753846 /nfs/dbraw/zinc/75/38/46/384753846.db2.gz IVBQKFDRXSSAGV-SECBINFHSA-N 0 3 213.346 2.651 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1ccc(C)o1)OC ZINC000294328158 384759744 /nfs/dbraw/zinc/75/97/44/384759744.db2.gz XKPWPGGOHJMKRT-ZWNOBZJWSA-N 0 3 225.332 2.739 20 0 BFADHN COC[C@H](C)NCc1cccc(Cl)c1F ZINC000083358413 384761867 /nfs/dbraw/zinc/76/18/67/384761867.db2.gz NFURNBFKHJLUES-QMMMGPOBSA-N 0 3 231.698 2.604 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@H]2CCC[C@@H](C)C2)n1 ZINC000103341589 384762246 /nfs/dbraw/zinc/76/22/46/384762246.db2.gz NMUDUUUMTVDLIR-ZYHUDNBSSA-N 0 3 234.343 2.764 20 0 BFADHN COC[C@H](NCc1ccsc1)C(C)C ZINC000083398066 384769154 /nfs/dbraw/zinc/76/91/54/384769154.db2.gz FKHOPCWDGPDVLW-NSHDSACASA-N 0 3 213.346 2.509 20 0 BFADHN CC[C@H]1Cc2ccccc2CN1CCC(C)=O ZINC000185784264 384770179 /nfs/dbraw/zinc/77/01/79/384770179.db2.gz BCVLOSJJSJARMR-HNNXBMFYSA-N 0 3 231.339 2.802 20 0 BFADHN COC[C@H](N[C@H](C)c1cccc(F)c1)C1CC1 ZINC000126061716 384770633 /nfs/dbraw/zinc/77/06/33/384770633.db2.gz ZFACQSRGDYDKME-YGRLFVJLSA-N 0 3 237.318 2.901 20 0 BFADHN c1cc(CN[C@@H](C2CCC2)C2CCCC2)n[nH]1 ZINC000647235765 384771157 /nfs/dbraw/zinc/77/11/57/384771157.db2.gz DPJIXUPGRHMMFQ-CQSZACIVSA-N 0 3 233.359 2.858 20 0 BFADHN C[C@@H](N[C@@H](C)CCCO)c1ccc(Cl)nc1 ZINC000126880578 384837392 /nfs/dbraw/zinc/83/73/92/384837392.db2.gz WNCQRHIOCDGMEH-VHSXEESVSA-N 0 3 242.750 2.547 20 0 BFADHN CCN(C)CCN[C@H](C)c1ccc(F)cc1F ZINC000054109816 384821193 /nfs/dbraw/zinc/82/11/93/384821193.db2.gz PDNCBGGMRKFBHW-SNVBAGLBSA-N 0 3 242.313 2.567 20 0 BFADHN Cc1c[nH]nc1CN[C@H]1C[C@H](C)C[C@H](C)C1 ZINC000309778872 384824931 /nfs/dbraw/zinc/82/49/31/384824931.db2.gz MKDVWISYNCOCNW-IAZYJMLFSA-N 0 3 221.348 2.632 20 0 BFADHN C[C@H](C1CC1)[N@H+](C)Cc1cc([O-])cc(F)c1 ZINC000186185154 384825504 /nfs/dbraw/zinc/82/55/04/384825504.db2.gz YETPTRYGEXWMBH-SECBINFHSA-N 0 3 223.291 2.762 20 0 BFADHN C[C@H](C1CC1)[N@@H+](C)Cc1cc([O-])cc(F)c1 ZINC000186185154 384825512 /nfs/dbraw/zinc/82/55/12/384825512.db2.gz YETPTRYGEXWMBH-SECBINFHSA-N 0 3 223.291 2.762 20 0 BFADHN Cc1ccc(CN[C@@H]2CSC[C@H]2C)nc1C ZINC000314079346 384782236 /nfs/dbraw/zinc/78/22/36/384782236.db2.gz WIAPJXHQTFAQKC-ZWNOBZJWSA-N 0 3 236.384 2.540 20 0 BFADHN CCN(C)Cc1c[nH]nc1-c1ccccc1 ZINC000126268425 384783540 /nfs/dbraw/zinc/78/35/40/384783540.db2.gz OQTJTMMAWYNFGD-UHFFFAOYSA-N 0 3 215.300 2.528 20 0 BFADHN CCN(C)Cc1cccc(OC)c1OC(F)F ZINC000126290103 384789658 /nfs/dbraw/zinc/78/96/58/384789658.db2.gz YSEIJBUNAPPVGY-UHFFFAOYSA-N 0 3 245.269 2.748 20 0 BFADHN CC(C)Cc1ccc(CN(CCO)C2CC2)cc1 ZINC000101544821 384790874 /nfs/dbraw/zinc/79/08/74/384790874.db2.gz IAQBSGKZAPPQLI-UHFFFAOYSA-N 0 3 247.382 2.842 20 0 BFADHN CC[C@H](C[C@@H](C)CO)N[C@H](C)c1nccs1 ZINC000310028998 384792256 /nfs/dbraw/zinc/79/22/56/384792256.db2.gz QNWFYYZFBLLKBL-GMTAPVOTSA-N 0 3 242.388 2.591 20 0 BFADHN CC[C@H](C[C@@H](C)CO)N[C@@H](C)c1nccs1 ZINC000310029001 384792977 /nfs/dbraw/zinc/79/29/77/384792977.db2.gz QNWFYYZFBLLKBL-OUAUKWLOSA-N 0 3 242.388 2.591 20 0 BFADHN CCN(C)Cc1c[nH]nc1-c1ccc(OC)cc1 ZINC000126320103 384793293 /nfs/dbraw/zinc/79/32/93/384793293.db2.gz BLIYRNPPOAAIMJ-UHFFFAOYSA-N 0 3 245.326 2.537 20 0 BFADHN Cc1cscc1N[C@H]1CCN(C)[C@@H](C)C1 ZINC000335612369 384796799 /nfs/dbraw/zinc/79/67/99/384796799.db2.gz CKVOPPQBOKVXNU-QWRGUYRKSA-N 0 3 224.373 2.951 20 0 BFADHN CO[C@@]1(C)C[C@@H](N(C)Cc2cccnc2)C1(C)C ZINC000174477871 384797096 /nfs/dbraw/zinc/79/70/96/384797096.db2.gz GUACYBOOHPQWFL-HIFRSBDPSA-N 0 3 248.370 2.717 20 0 BFADHN COC[C@@H](N[C@H](C)c1ccsc1)C1CC1 ZINC000087480255 384797367 /nfs/dbraw/zinc/79/73/67/384797367.db2.gz LEAXJULFZIIOBE-BXKDBHETSA-N 0 3 225.357 2.824 20 0 BFADHN Cc1csc(CN[C@H]2CC[C@@H]2C2CC2)n1 ZINC000309248479 384797813 /nfs/dbraw/zinc/79/78/13/384797813.db2.gz ZRXCJQOLNMCHQY-MNOVXSKESA-N 0 3 222.357 2.730 20 0 BFADHN C[C@H](CNCc1ccco1)Oc1cccc(F)c1 ZINC000053567131 384797960 /nfs/dbraw/zinc/79/79/60/384797960.db2.gz DWUYKKHZVMHENX-LLVKDONJSA-N 0 3 249.285 2.976 20 0 BFADHN C[C@H](N[C@H]1C=CCCCCC1)c1cn[nH]c1 ZINC000294394962 384800744 /nfs/dbraw/zinc/80/07/44/384800744.db2.gz CEVPDGDKHXSPLB-AAEUAGOBSA-N 0 3 219.332 2.949 20 0 BFADHN CSCC[C@H](C)N(C)Cc1c(C)noc1C ZINC000126519252 384801652 /nfs/dbraw/zinc/80/16/52/384801652.db2.gz VGTUNQKIGOSUJD-VIFPVBQESA-N 0 3 242.388 2.865 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)no1 ZINC000403655156 384836716 /nfs/dbraw/zinc/83/67/16/384836716.db2.gz KNYHPGZJHNSAKZ-GRYCIOLGSA-N 0 3 220.316 2.509 20 0 BFADHN COC[C@H](N[C@H](C)c1cc(C)oc1C)C1CC1 ZINC000126468293 384804045 /nfs/dbraw/zinc/80/40/45/384804045.db2.gz AWYPSMRXDCOYDH-YGRLFVJLSA-N 0 3 237.343 2.972 20 0 BFADHN C[C@@H](NCCOC1CCCC1)c1cccnc1 ZINC000108942670 384804312 /nfs/dbraw/zinc/80/43/12/384804312.db2.gz HFRHRLYLUUKJMS-GFCCVEGCSA-N 0 3 234.343 2.691 20 0 BFADHN COC[C@@H](N[C@H](C)c1cc(C)oc1C)C1CC1 ZINC000126468815 384804355 /nfs/dbraw/zinc/80/43/55/384804355.db2.gz AWYPSMRXDCOYDH-QMTHXVAHSA-N 0 3 237.343 2.972 20 0 BFADHN CCC[C@H]1CN([C@@H](C)CC(C)C)CCO1 ZINC000557082388 384804998 /nfs/dbraw/zinc/80/49/98/384804998.db2.gz BDVLZIBFBBMFLR-STQMWFEESA-N 0 3 213.365 2.922 20 0 BFADHN C[C@H](CCc1cccn1C)NCc1ccco1 ZINC000174567056 384809119 /nfs/dbraw/zinc/80/91/19/384809119.db2.gz IEDHXLQIQKZKNY-GFCCVEGCSA-N 0 3 232.327 2.729 20 0 BFADHN c1ccc2c(c1)CC[C@H]2N1CCOCC2(CC2)C1 ZINC000368465830 384810520 /nfs/dbraw/zinc/81/05/20/384810520.db2.gz NNVYHXMMKHAJLC-OAHLLOKOSA-N 0 3 243.350 2.786 20 0 BFADHN CCN(CCOC)CCC(=O)c1ccc(C)cc1 ZINC000053936193 384812412 /nfs/dbraw/zinc/81/24/12/384812412.db2.gz IXWCTFMZMCGBJM-UHFFFAOYSA-N 0 3 249.354 2.536 20 0 BFADHN Fc1ccc(CN[C@@H]2CCSC2)c(F)c1 ZINC000051016563 384877226 /nfs/dbraw/zinc/87/72/26/384877226.db2.gz LHALVXGXAWCMJB-SNVBAGLBSA-N 0 3 229.295 2.560 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H]2CC[C@H](C)O2)o1 ZINC000148509238 384889828 /nfs/dbraw/zinc/88/98/28/384889828.db2.gz MFYHXQPINMBUKL-DLOVCJGASA-N 0 3 223.316 2.806 20 0 BFADHN Cc1ncc(CN[C@H]2[C@H](C)CCC[C@@H]2C)o1 ZINC000335276284 384881421 /nfs/dbraw/zinc/88/14/21/384881421.db2.gz DQQSDMMJRAPSHI-IWIIMEHWSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@@H](N[C@H]1CC=CCC1)c1cc2n(n1)CCCC2 ZINC000647293536 384883950 /nfs/dbraw/zinc/88/39/50/384883950.db2.gz NDBCYKUBZHHKML-OLZOCXBDSA-N 0 3 245.370 2.979 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2ccc(Cl)o2)O1 ZINC000398190047 384838666 /nfs/dbraw/zinc/83/86/66/384838666.db2.gz WJYMHTDLYARFLM-RKDXNWHRSA-N 0 3 229.707 2.590 20 0 BFADHN C[C@H](NCc1ccc(F)cn1)[C@@H]1CC1(C)C ZINC000309798651 384840055 /nfs/dbraw/zinc/84/00/55/384840055.db2.gz QTCVFQQQFLSWIH-CABZTGNLSA-N 0 3 222.307 2.745 20 0 BFADHN O=C1C[C@H]2CC[C@@H](C1)N2CCc1ccccc1F ZINC000054603116 384842165 /nfs/dbraw/zinc/84/21/65/384842165.db2.gz VLMYNYJSOJLFJX-BETUJISGSA-N 0 3 247.313 2.564 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@@H]2CCc3cc(F)ccc32)O1 ZINC000398209565 384844371 /nfs/dbraw/zinc/84/43/71/384844371.db2.gz IWHQOBJOYPOPAN-RIEGTJTDSA-N 0 3 249.329 2.970 20 0 BFADHN COC[C@H](N[C@@H](C)c1cnccc1C)C(C)C ZINC000557147615 384846024 /nfs/dbraw/zinc/84/60/24/384846024.db2.gz CMFPETIDPGXDHF-JSGCOSHPSA-N 0 3 236.359 2.712 20 0 BFADHN C[C@H](N[C@H]1CSC[C@H]1C)c1ccco1 ZINC000306280962 384854384 /nfs/dbraw/zinc/85/43/84/384854384.db2.gz UYXDWLILCNZXQH-UTLUCORTSA-N 0 3 211.330 2.682 20 0 BFADHN CC(C)N(C(=O)CN(C)C1CCCC1)C(C)C ZINC000053435483 384860436 /nfs/dbraw/zinc/86/04/36/384860436.db2.gz IUWWNVCHQANQQR-UHFFFAOYSA-N 0 3 240.391 2.506 20 0 BFADHN CSC[C@H](C)N[C@@H]1CCCc2occc21 ZINC000127403234 384863178 /nfs/dbraw/zinc/86/31/78/384863178.db2.gz ULWUDQOWUYWIQL-GXSJLCMTSA-N 0 3 225.357 2.998 20 0 BFADHN CSC[C@H](C)N[C@H]1CCCc2occc21 ZINC000127403667 384863433 /nfs/dbraw/zinc/86/34/33/384863433.db2.gz ULWUDQOWUYWIQL-ONGXEEELSA-N 0 3 225.357 2.998 20 0 BFADHN C[C@@H]1C[C@H](NCCc2cccs2)c2nccn21 ZINC000294078749 384864101 /nfs/dbraw/zinc/86/41/01/384864101.db2.gz LLEUEWMJXKGQLF-PWSUYJOCSA-N 0 3 247.367 2.783 20 0 BFADHN Fc1c(Cl)cccc1CNC1CCOCC1 ZINC000127151649 384868188 /nfs/dbraw/zinc/86/81/88/384868188.db2.gz CYJJSFPXIAPDOR-UHFFFAOYSA-N 0 3 243.709 2.748 20 0 BFADHN CCN(C)Cc1c(C)nn(-c2ccccc2)c1C ZINC000057623609 384956489 /nfs/dbraw/zinc/95/64/89/384956489.db2.gz WZLVOWGRRXTORL-UHFFFAOYSA-N 0 3 243.354 2.941 20 0 BFADHN CCN(C)Cc1cc(Cl)ccc1OC ZINC000057641930 384956847 /nfs/dbraw/zinc/95/68/47/384956847.db2.gz KAZRBATVYXYAAU-UHFFFAOYSA-N 0 3 213.708 2.800 20 0 BFADHN OC[C@@H]1CCCN(C/C=C\c2ccc(F)cc2)C1 ZINC000255599672 384890648 /nfs/dbraw/zinc/89/06/48/384890648.db2.gz WDEYRCMHNKYKRW-NPPUXFBVSA-N 0 3 249.329 2.543 20 0 BFADHN CCN1C[C@H](C)N(C/C=C(/C)Cl)C[C@@H]1C ZINC000528432627 384891615 /nfs/dbraw/zinc/89/16/15/384891615.db2.gz PLHDEDTVFZLIDL-AUSWNFFYSA-N 0 3 230.783 2.544 20 0 BFADHN CC[C@H](O)CCNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000127399472 384894301 /nfs/dbraw/zinc/89/43/01/384894301.db2.gz LFCBNJIAHWSNKS-GVXVVHGQSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1c(CNCc2cc(C)ccc2C)cnn1C ZINC000156574433 384896815 /nfs/dbraw/zinc/89/68/15/384896815.db2.gz ZGFOZQMZYKMOGA-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H]1O)c1cc2ccccc2o1 ZINC000181064575 384896919 /nfs/dbraw/zinc/89/69/19/384896919.db2.gz JHTBOYXGYLTJML-WXHSDQCUSA-N 0 3 245.322 2.997 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1(C)C)c1cccc(F)c1 ZINC000164054452 384901412 /nfs/dbraw/zinc/90/14/12/384901412.db2.gz ZTDIBVZNMBDYEM-TVYUQYBPSA-N 0 3 237.318 2.636 20 0 BFADHN CN(CCC(=O)c1ccccc1)CC1CC1 ZINC000053931709 384961159 /nfs/dbraw/zinc/96/11/59/384961159.db2.gz CYWQKLNDVINWDQ-UHFFFAOYSA-N 0 3 217.312 2.601 20 0 BFADHN C[C@H](N[C@@H](C)C1CCOCC1)c1cscn1 ZINC000127894805 384958746 /nfs/dbraw/zinc/95/87/46/384958746.db2.gz GZSVPDVSKGHYFX-UWVGGRQHSA-N 0 3 240.372 2.609 20 0 BFADHN CCCC[C@@](C)(CO)NCc1cccc(F)c1 ZINC000647239835 384911681 /nfs/dbraw/zinc/91/16/81/384911681.db2.gz PIMWZEAHZVWWSK-AWEZNQCLSA-N 0 3 239.334 2.857 20 0 BFADHN CC[C@H](O)CN1CC=C(c2ccc(F)cc2)CC1 ZINC000106381327 384913650 /nfs/dbraw/zinc/91/36/50/384913650.db2.gz VYFUAFMCQAZUAA-HNNXBMFYSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1csc([C@@H](C)NCC[C@@H](C)F)n1 ZINC000308684075 384914754 /nfs/dbraw/zinc/91/47/54/384914754.db2.gz YQDGCHYRLAGKMB-VXNVDRBHSA-N 0 3 216.325 2.850 20 0 BFADHN Cc1ccc(NC(=O)[C@H](C(C)C)N(C)C)cc1 ZINC000106407818 384917604 /nfs/dbraw/zinc/91/76/04/384917604.db2.gz DDMPDQHQBLDUKP-ZDUSSCGKSA-N 0 3 234.343 2.520 20 0 BFADHN COc1cc(C)ccc1CNCC[C@@H](C)OC ZINC000449110540 384918426 /nfs/dbraw/zinc/91/84/26/384918426.db2.gz DUMMBFNLTDALNT-GFCCVEGCSA-N 0 3 237.343 2.518 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1ccc(C(F)F)cc1 ZINC000149370474 384926726 /nfs/dbraw/zinc/92/67/26/384926726.db2.gz UZEGSPJFOGZACX-SKDRFNHKSA-N 0 3 241.281 2.891 20 0 BFADHN Cc1ccc(C)c(CN(C)[C@@H]2CCOC2)c1 ZINC000106884032 384935334 /nfs/dbraw/zinc/93/53/34/384935334.db2.gz WZVNHWJPVYIVNP-CQSZACIVSA-N 0 3 219.328 2.524 20 0 BFADHN CC[C@H]1CCCN(Cc2cc(OC)ccn2)C1 ZINC000106859844 384935884 /nfs/dbraw/zinc/93/58/84/384935884.db2.gz APAUUZPJIHZJOM-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@H](NC[C@H]1CCSC1)c1nccs1 ZINC000224430122 384943934 /nfs/dbraw/zinc/94/39/34/384943934.db2.gz UWOSHBXXOPTBBN-DTWKUNHWSA-N 0 3 228.386 2.547 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CC[C@H](C)C1 ZINC000085444770 384946298 /nfs/dbraw/zinc/94/62/98/384946298.db2.gz PVUXLNZZLXTTJH-GZMMTYOYSA-N 0 3 208.305 2.570 20 0 BFADHN FCCCNCc1ccc(Cl)s1 ZINC000088024993 384965949 /nfs/dbraw/zinc/96/59/49/384965949.db2.gz KTKROLJXORGXQV-UHFFFAOYSA-N 0 3 207.701 2.851 20 0 BFADHN CC[C@@H](O)CNCc1oc2ccccc2c1C ZINC000644568137 384967382 /nfs/dbraw/zinc/96/73/82/384967382.db2.gz XFZZXYQACYUWTA-LLVKDONJSA-N 0 3 233.311 2.602 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H]2C[C@H](O)C2(C)C)o1 ZINC000187672547 384972176 /nfs/dbraw/zinc/97/21/76/384972176.db2.gz AVQDQMBZVPNGAB-ICCXJUOJSA-N 0 3 237.343 2.652 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2C[C@H](O)C2(C)C)o1 ZINC000187672564 384972651 /nfs/dbraw/zinc/97/26/51/384972651.db2.gz AVQDQMBZVPNGAB-XDTLVQLUSA-N 0 3 237.343 2.652 20 0 BFADHN C[C@@H](O)CCN[C@H](C)c1cc2ccccc2o1 ZINC000085983447 384976846 /nfs/dbraw/zinc/97/68/46/384976846.db2.gz VODUXMMKGYIFFI-GHMZBOCLSA-N 0 3 233.311 2.854 20 0 BFADHN CSC[C@H](C)N[C@H](C)c1cnccc1C ZINC000129216729 384982788 /nfs/dbraw/zinc/98/27/88/384982788.db2.gz QUTMJWJQYFYDKC-WDEREUQCSA-N 0 3 224.373 2.792 20 0 BFADHN Cc1nocc1CNCC1(C2CC2)CCC1 ZINC000339315038 384983388 /nfs/dbraw/zinc/98/33/88/384983388.db2.gz IMAICBMDSQJKRJ-UHFFFAOYSA-N 0 3 220.316 2.653 20 0 BFADHN C[C@H]1CCc2c(F)cccc2[C@@H]1N[C@@H]1CCOC1 ZINC000643911039 384984703 /nfs/dbraw/zinc/98/47/03/384984703.db2.gz OSZZPUQRTQFETH-FIXISWKDSA-N 0 3 249.329 2.828 20 0 BFADHN C[C@]1(CNCc2ccc(F)cc2F)CCCO1 ZINC000094798156 384986222 /nfs/dbraw/zinc/98/62/22/384986222.db2.gz FAYJQQRJRWBTOY-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN c1cc(CNC[C@@H]2Cc3ccccc32)sn1 ZINC000404433098 384993003 /nfs/dbraw/zinc/99/30/03/384993003.db2.gz CLCOIRLYSCQTPD-NSHDSACASA-N 0 3 230.336 2.573 20 0 BFADHN CC(C)=CCCNCc1ccnn1CC(C)C ZINC000647205499 384995283 /nfs/dbraw/zinc/99/52/83/384995283.db2.gz CJSBEAQHDKHKLF-UHFFFAOYSA-N 0 3 235.375 2.985 20 0 BFADHN Cc1cccc2c1OCC[C@H]2N[C@@H]1CO[C@@H](C)C1 ZINC000647205573 384997393 /nfs/dbraw/zinc/99/73/93/384997393.db2.gz GMWZOMILPNVIBV-SGMGOOAPSA-N 0 3 247.338 2.586 20 0 BFADHN CC[C@H](NCc1cc[nH]c1)c1ccncc1 ZINC000086254979 385000725 /nfs/dbraw/zinc/00/07/25/385000725.db2.gz LHJKRECDJDETPB-ZDUSSCGKSA-N 0 3 215.300 2.651 20 0 BFADHN CS[C@H](C)CNCc1cc(F)c(F)c(F)c1 ZINC000128165817 385004490 /nfs/dbraw/zinc/00/44/90/385004490.db2.gz ALRNOFCSGPOGTI-SSDOTTSWSA-N 0 3 249.301 2.945 20 0 BFADHN Cn1ccc2ccc(NC3CCN(C)CC3)cc21 ZINC000188008096 385005165 /nfs/dbraw/zinc/00/51/65/385005165.db2.gz FIWLDOZQULGRHM-UHFFFAOYSA-N 0 3 243.354 2.684 20 0 BFADHN Cc1cc(CNCC2(C)CCC2)sn1 ZINC000404446030 385005328 /nfs/dbraw/zinc/00/53/28/385005328.db2.gz DCTFSYKYGCWDQO-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1ccc(F)cc1 ZINC000401883726 385007394 /nfs/dbraw/zinc/00/73/94/385007394.db2.gz OTGHLISDKIIHQL-GBIKHYSHSA-N 0 3 223.291 2.654 20 0 BFADHN CC(C)C1CCC(CNCc2cc[nH]n2)CC1 ZINC000129473552 385008283 /nfs/dbraw/zinc/00/82/83/385008283.db2.gz KHWWCDYPAMLSCI-UHFFFAOYSA-N 0 3 235.375 2.962 20 0 BFADHN CCOCCN[C@@H](C)c1cc(C)ccc1C ZINC000035151233 385023043 /nfs/dbraw/zinc/02/30/43/385023043.db2.gz NOCPWTOIFQBLAE-ZDUSSCGKSA-N 0 3 221.344 2.991 20 0 BFADHN CCC1(NCc2cc(C)ns2)CCC1 ZINC000404466072 385012809 /nfs/dbraw/zinc/01/28/09/385012809.db2.gz MEQVTRCZCMNNBA-UHFFFAOYSA-N 0 3 210.346 2.874 20 0 BFADHN CO[C@H](C)CN[C@@H]1CCCOc2ccccc21 ZINC000188122240 385016789 /nfs/dbraw/zinc/01/67/89/385016789.db2.gz DWDMRTIWJKGCGO-DGCLKSJQSA-N 0 3 235.327 2.525 20 0 BFADHN CO[C@@H](C)CN[C@H]1CCCOc2ccccc21 ZINC000188122261 385017221 /nfs/dbraw/zinc/01/72/21/385017221.db2.gz DWDMRTIWJKGCGO-AAEUAGOBSA-N 0 3 235.327 2.525 20 0 BFADHN CO[C@H](C)CN[C@H]1CC(C)(C)Cc2occc21 ZINC000188138192 385018719 /nfs/dbraw/zinc/01/87/19/385018719.db2.gz QPCDNILSOQWNPN-PWSUYJOCSA-N 0 3 237.343 2.918 20 0 BFADHN CC[C@H](C)[C@H](CNCc1cc(C)ns1)OC ZINC000404486649 385020844 /nfs/dbraw/zinc/02/08/44/385020844.db2.gz LKLYAVNEOSOOFC-CABZTGNLSA-N 0 3 242.388 2.602 20 0 BFADHN C[C@@H](N[C@@H](C)CCC(C)(C)C)c1nncn1C ZINC000336682695 385049414 /nfs/dbraw/zinc/04/94/14/385049414.db2.gz CFENYJUGTLZENN-WDEREUQCSA-N 0 3 238.379 2.681 20 0 BFADHN Cc1noc(C)c1[C@@H](C)CNCc1ccco1 ZINC000128598563 385052390 /nfs/dbraw/zinc/05/23/90/385052390.db2.gz JYISOHBPDYNECE-VIFPVBQESA-N 0 3 234.299 2.778 20 0 BFADHN CC[C@@H](C)C[C@H](CO)NCc1ccsc1 ZINC000647244433 385054563 /nfs/dbraw/zinc/05/45/63/385054563.db2.gz ZSIQIKDVIFVBJF-ZYHUDNBSSA-N 0 3 227.373 2.635 20 0 BFADHN C[C@H](N[C@@H]1CCCC1(C)C)c1cnccn1 ZINC000086872962 385057882 /nfs/dbraw/zinc/05/78/82/385057882.db2.gz CWNVOKROELNQRJ-CMPLNLGQSA-N 0 3 219.332 2.706 20 0 BFADHN CCN(C)C(=O)[C@@H](C)N[C@@H](C)c1cccc(C)c1 ZINC000188498150 385058753 /nfs/dbraw/zinc/05/87/53/385058753.db2.gz YAWFKUVMAOVOPV-QWHCGFSZSA-N 0 3 248.370 2.512 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1cc(F)ccc1F ZINC000087011345 385064548 /nfs/dbraw/zinc/06/45/48/385064548.db2.gz NZGMMJRREKQULV-ZANVPECISA-N 0 3 243.297 2.776 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1COCC1(C)C ZINC000312996255 385068075 /nfs/dbraw/zinc/06/80/75/385068075.db2.gz KNLQPYSQVSUPED-RISCZKNCSA-N 0 3 249.354 2.771 20 0 BFADHN CCO[C@@H](CCNCc1ccco1)C(C)C ZINC000165108192 385068917 /nfs/dbraw/zinc/06/89/17/385068917.db2.gz SDMTWAXOPREIMR-ZDUSSCGKSA-N 0 3 225.332 2.820 20 0 BFADHN CCCCCNC(=O)CN1[C@H](C)CC[C@@H]1CC ZINC000357551377 385073724 /nfs/dbraw/zinc/07/37/24/385073724.db2.gz IAEUPZAIVMSQCR-OLZOCXBDSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@@H](N[C@@H]1CCCSC1)c1cncs1 ZINC000157118509 385077400 /nfs/dbraw/zinc/07/74/00/385077400.db2.gz FTGZCJCHQKMMAZ-RKDXNWHRSA-N 0 3 228.386 2.689 20 0 BFADHN CC[C@@H](CO)N[C@H](C)c1ccc2ccccc2n1 ZINC000188672840 385077783 /nfs/dbraw/zinc/07/77/83/385077783.db2.gz JUTDQKUZGFHUCD-YPMHNXCESA-N 0 3 244.338 2.656 20 0 BFADHN CSC[C@@H](C)N[C@H](C)c1cncc(F)c1 ZINC000151020736 385081084 /nfs/dbraw/zinc/08/10/84/385081084.db2.gz SPRLXKAYPWMALW-RKDXNWHRSA-N 0 3 228.336 2.623 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1cnccc1C ZINC000131278104 385081522 /nfs/dbraw/zinc/08/15/22/385081522.db2.gz UVHGYPATEIPHLI-WDEREUQCSA-N 0 3 224.373 2.792 20 0 BFADHN CCCN(CC(C)C)C(=O)C1(N)CCCCC1 ZINC000310385376 385023901 /nfs/dbraw/zinc/02/39/01/385023901.db2.gz CHYAOQMYBKSQRP-UHFFFAOYSA-N 0 3 240.391 2.543 20 0 BFADHN CC[C@H](C)CN1CCCC[C@@H]1c1ncc[nH]1 ZINC000152245157 385029558 /nfs/dbraw/zinc/02/95/58/385029558.db2.gz RWLOWQNTWVNFNS-NWDGAFQWSA-N 0 3 221.348 2.983 20 0 BFADHN CC(C)Cn1nccc1CN[C@@H]1CC12CCCC2 ZINC000647206531 385030911 /nfs/dbraw/zinc/03/09/11/385030911.db2.gz WIMRUSWRBCHRQY-CQSZACIVSA-N 0 3 247.386 2.961 20 0 BFADHN CC[C@H](C)C[C@@H](CO)NCc1ccccc1F ZINC000647243381 385033469 /nfs/dbraw/zinc/03/34/69/385033469.db2.gz JCNHQACSWVKTKX-AAEUAGOBSA-N 0 3 239.334 2.712 20 0 BFADHN C[C@@H](CO)CN[C@H](C)c1cc2ccccc2o1 ZINC000086627400 385033585 /nfs/dbraw/zinc/03/35/85/385033585.db2.gz VYVYMOYEMSCKRG-GHMZBOCLSA-N 0 3 233.311 2.712 20 0 BFADHN CCO[C@H](CN[C@@H]1CO[C@@H](C)C1)c1ccccc1 ZINC000647206755 385035160 /nfs/dbraw/zinc/03/51/60/385035160.db2.gz FFLUQMNOQCPCLI-AEGPPILISA-N 0 3 249.354 2.531 20 0 BFADHN CO[C@H]1CN(Cc2ccsc2)CC[C@H]1C ZINC000188293560 385035331 /nfs/dbraw/zinc/03/53/31/385035331.db2.gz KRCYXTSXORDEFH-PWSUYJOCSA-N 0 3 225.357 2.605 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H]1C)c1nnc2ccccn21 ZINC000086672860 385037676 /nfs/dbraw/zinc/03/76/76/385037676.db2.gz QDTDBVCTPQYCPS-SDDRHHMPSA-N 0 3 244.342 2.569 20 0 BFADHN CCOCCNCc1cnc(C(C)(C)C)s1 ZINC000059181010 385039952 /nfs/dbraw/zinc/03/99/52/385039952.db2.gz LABIDVILWFIMRN-UHFFFAOYSA-N 0 3 242.388 2.567 20 0 BFADHN COC(=O)CCCN1CC=C(C(C)(C)C)CC1 ZINC000340188945 385040561 /nfs/dbraw/zinc/04/05/61/385040561.db2.gz QXWZLMDGHXFOGP-UHFFFAOYSA-N 0 3 239.359 2.618 20 0 BFADHN CC[C@@H](C)C[C@H](CO)NCc1cccc(F)c1 ZINC000647243964 385041268 /nfs/dbraw/zinc/04/12/68/385041268.db2.gz RGBCYOUVFMUTJW-BXUZGUMPSA-N 0 3 239.334 2.712 20 0 BFADHN C[C@H](NC[C@@H]1CC1(C)C)c1cscn1 ZINC000222051097 385042032 /nfs/dbraw/zinc/04/20/32/385042032.db2.gz FLGOQLAXGVIHOP-IUCAKERBSA-N 0 3 210.346 2.840 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)CC1(CO)CC1 ZINC000188951354 385108534 /nfs/dbraw/zinc/10/85/34/385108534.db2.gz WVRZIOZPAPEPCM-NSCUHMNNSA-N 0 3 249.329 2.543 20 0 BFADHN COCc1ccc(CNC[C@H]2CCC[C@@H]2C)o1 ZINC000341470941 385108729 /nfs/dbraw/zinc/10/87/29/385108729.db2.gz CCZORJJTSGHHGD-NWDGAFQWSA-N 0 3 237.343 2.952 20 0 BFADHN Cc1nn(C(C)(C)C)c(C)c1CN[C@H]1CC12CC2 ZINC000424181034 385109483 /nfs/dbraw/zinc/10/94/83/385109483.db2.gz AKZQVTRBEYMPPH-ZDUSSCGKSA-N 0 3 247.386 2.897 20 0 BFADHN CC(C)C[C@H](N[C@@H](C)CCO)c1ccccn1 ZINC000151917261 385141617 /nfs/dbraw/zinc/14/16/17/385141617.db2.gz UWMGKQGRDUXFKZ-JSGCOSHPSA-N 0 3 236.359 2.529 20 0 BFADHN c1[nH]nc(-c2ccccc2)c1CN[C@H]1CC12CC2 ZINC000424184112 385112750 /nfs/dbraw/zinc/11/27/50/385112750.db2.gz LETULQQUFHTZKA-ZDUSSCGKSA-N 0 3 239.322 2.719 20 0 BFADHN FC(F)Oc1ccccc1CN[C@@H]1CC12CC2 ZINC000424182816 385113141 /nfs/dbraw/zinc/11/31/41/385113141.db2.gz HTXCWWYGPMELQA-LLVKDONJSA-N 0 3 239.265 2.930 20 0 BFADHN c1cn(CCN[C@H]2CCCc3sccc32)cn1 ZINC000061328850 385113417 /nfs/dbraw/zinc/11/34/17/385113417.db2.gz SZMXLKQVWVBPQT-LBPRGKRZSA-N 0 3 247.367 2.612 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@H](C)C2)c(C)s1 ZINC000336345123 385141672 /nfs/dbraw/zinc/14/16/72/385141672.db2.gz GDWZQZRWCRRGAM-DTORHVGOSA-N 0 3 224.373 2.848 20 0 BFADHN CSC[C@@H]1CCCN1Cc1ccc(C)cn1 ZINC000157248480 385116040 /nfs/dbraw/zinc/11/60/40/385116040.db2.gz LJIJFLLJLKAHJW-ZDUSSCGKSA-N 0 3 236.384 2.717 20 0 BFADHN C[C@@H](N[C@@H]1CCCSC1)c1ccccn1 ZINC000061571661 385122079 /nfs/dbraw/zinc/12/20/79/385122079.db2.gz QYXBASMCATYPGK-GHMZBOCLSA-N 0 3 222.357 2.628 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000189101688 385125162 /nfs/dbraw/zinc/12/51/62/385125162.db2.gz LIWMCNIPQLCBLH-LSCVPOLPSA-N 0 3 237.343 2.916 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](O)C1(C)C)c1ccccc1F ZINC000129326870 385142080 /nfs/dbraw/zinc/14/20/80/385142080.db2.gz CRUQZDXDWXGVFW-BIMULSAOSA-N 0 3 237.318 2.636 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1coc2ccccc12 ZINC000189116450 385127707 /nfs/dbraw/zinc/12/77/07/385127707.db2.gz QRQNYVFGCZZVDQ-QWRGUYRKSA-N 0 3 233.311 2.946 20 0 BFADHN CC[C@@H](CN[C@H](C)c1ccco1)N1CCCC1 ZINC000151708662 385128465 /nfs/dbraw/zinc/12/84/65/385128465.db2.gz KDKGTUUAGWHXQC-OLZOCXBDSA-N 0 3 236.359 2.805 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1ccncc1C)OC ZINC000294705011 385128499 /nfs/dbraw/zinc/12/84/99/385128499.db2.gz DHHSWEUNHYMRON-RISCZKNCSA-N 0 3 236.359 2.541 20 0 BFADHN COC[C@H](C)N[C@H](C)c1ccc(F)c(C)c1 ZINC000165511451 385138877 /nfs/dbraw/zinc/13/88/77/385138877.db2.gz ALKLTACSXUDMCC-WDEREUQCSA-N 0 3 225.307 2.820 20 0 BFADHN C[C@@H](NC[C@@H]1CC[C@H](O)C1)c1ccccc1F ZINC000189278898 385139961 /nfs/dbraw/zinc/13/99/61/385139961.db2.gz GETZLGTVDJFPOO-UTUOFQBUSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1ccc2c(c1)OCCC[C@@H]2N[C@H](C)CCO ZINC000151930091 385142739 /nfs/dbraw/zinc/14/27/39/385142739.db2.gz RWHJUEGWRXVUEH-OCCSQVGLSA-N 0 3 249.354 2.569 20 0 BFADHN Cc1cn2c(CN[C@H]3CC34CC4)c(C)nc2s1 ZINC000643800055 385089644 /nfs/dbraw/zinc/08/96/44/385089644.db2.gz TYFADVMOGMFYGM-NSHDSACASA-N 0 3 247.367 2.655 20 0 BFADHN CCc1ccc([C@H](COC)N[C@H](C)CC)o1 ZINC000165280005 385096855 /nfs/dbraw/zinc/09/68/55/385096855.db2.gz MWIFAAQEFPWNIY-PWSUYJOCSA-N 0 3 225.332 2.918 20 0 BFADHN Cc1occc1CN(C)CC[C@@H]1CCCO1 ZINC000188877653 385100431 /nfs/dbraw/zinc/10/04/31/385100431.db2.gz CRJHUMJKIHPLTJ-ZDUSSCGKSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1cncc(CN2CCCC[C@@H]2C)c1 ZINC000189768826 385184834 /nfs/dbraw/zinc/18/48/34/385184834.db2.gz OJBAXZUSMCYEOC-LBPRGKRZSA-N 0 3 204.317 2.764 20 0 BFADHN CC(C)=CCN1CC[C@@H](OCC(C)C)C1 ZINC000119738545 385186214 /nfs/dbraw/zinc/18/62/14/385186214.db2.gz VZPKNLYKMBRJCR-CYBMUJFWSA-N 0 3 211.349 2.700 20 0 BFADHN C[C@@H](NCCOCC(F)F)c1ccccc1 ZINC000189816462 385187920 /nfs/dbraw/zinc/18/79/20/385187920.db2.gz JEXIQZSABGBJGF-SNVBAGLBSA-N 0 3 229.270 2.619 20 0 BFADHN Fc1cccc(C2(NCC[C@@H]3CCCO3)CC2)c1 ZINC000189851092 385192072 /nfs/dbraw/zinc/19/20/72/385192072.db2.gz BSRAJFNAMNUEKF-AWEZNQCLSA-N 0 3 249.329 2.974 20 0 BFADHN C[C@H](N[C@H]1CCCc2c[nH]nc21)[C@H]1CC1(C)C ZINC000487304512 385192463 /nfs/dbraw/zinc/19/24/63/385192463.db2.gz UUFYFWKWFOFTGX-WCQGTBRESA-N 0 3 233.359 2.811 20 0 BFADHN CC(C)[C@@H](CO)NCc1cc(F)ccc1Cl ZINC000165821282 385194444 /nfs/dbraw/zinc/19/44/44/385194444.db2.gz BUNFMFBUVCXBLO-GFCCVEGCSA-N 0 3 245.725 2.586 20 0 BFADHN Cc1ccc([C@@H](C)NCCOCC(F)F)s1 ZINC000189941441 385199198 /nfs/dbraw/zinc/19/91/98/385199198.db2.gz YMPMHJMNWBTFRY-SECBINFHSA-N 0 3 249.326 2.989 20 0 BFADHN COc1cccnc1CN[C@@H](C)CCC1CC1 ZINC000449750727 385147400 /nfs/dbraw/zinc/14/74/00/385147400.db2.gz WAARRABZKBTFCR-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN Cc1nc(CN2CC[C@H]3CCC[C@@H]32)cs1 ZINC000336359518 385156183 /nfs/dbraw/zinc/15/61/83/385156183.db2.gz IJCCGLWQBWCOAW-PWSUYJOCSA-N 0 3 222.357 2.826 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1CC12CC2 ZINC000424315828 385158523 /nfs/dbraw/zinc/15/85/23/385158523.db2.gz DWVNBICOEGDTLA-MFKMUULPSA-N 0 3 217.312 2.898 20 0 BFADHN C[C@@H](CCc1ccco1)NCc1ccoc1 ZINC000062103400 385159082 /nfs/dbraw/zinc/15/90/82/385159082.db2.gz IQLHCTZIIDBJMI-NSHDSACASA-N 0 3 219.284 2.984 20 0 BFADHN FC(F)(F)c1ccccc1CN[C@H]1CCOC1 ZINC000109431397 385165681 /nfs/dbraw/zinc/16/56/81/385165681.db2.gz ZBMVIIPMDDBNNG-JTQLQIEISA-N 0 3 245.244 2.584 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@H]2C2CC2)cs1 ZINC000309168869 385167315 /nfs/dbraw/zinc/16/73/15/385167315.db2.gz KJFNPRVFWLOURB-JQWIXIFHSA-N 0 3 222.357 2.587 20 0 BFADHN Cc1ccc2nc(CN3CCC[C@H]3C)cn2c1 ZINC000177028921 385170597 /nfs/dbraw/zinc/17/05/97/385170597.db2.gz FQUWKSIPJCHFOY-GFCCVEGCSA-N 0 3 229.327 2.627 20 0 BFADHN CCOC[C@@H]1CCN(Cc2cccc(OC)c2)C1 ZINC000177037863 385170855 /nfs/dbraw/zinc/17/08/55/385170855.db2.gz QDCRBRWFKBORFT-CQSZACIVSA-N 0 3 249.354 2.554 20 0 BFADHN CCOc1ccc([C@@H](C)N[C@H]2CCCOC2)cc1 ZINC000109543229 385173055 /nfs/dbraw/zinc/17/30/55/385173055.db2.gz UDTRWEPRHITMJE-OCCSQVGLSA-N 0 3 249.354 2.915 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1ccc(F)c(F)c1 ZINC000109543242 385173245 /nfs/dbraw/zinc/17/32/45/385173245.db2.gz POOULEQECULBMN-KOLCDFICSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1ccc(F)c(F)c1 ZINC000109543234 385173616 /nfs/dbraw/zinc/17/36/16/385173616.db2.gz POOULEQECULBMN-GXSJLCMTSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1ccc(F)c(F)c1 ZINC000109543237 385174724 /nfs/dbraw/zinc/17/47/24/385174724.db2.gz POOULEQECULBMN-MWLCHTKSSA-N 0 3 241.281 2.794 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2COCC2(C)C)c1 ZINC000313020852 385175390 /nfs/dbraw/zinc/17/53/90/385175390.db2.gz DDPBSADJLGOWMN-SMDDNHRTSA-N 0 3 249.354 2.771 20 0 BFADHN COc1cccc([C@@H](C)N[C@@H]2COCC2(C)C)c1 ZINC000313020822 385175487 /nfs/dbraw/zinc/17/54/87/385175487.db2.gz DDPBSADJLGOWMN-BXUZGUMPSA-N 0 3 249.354 2.771 20 0 BFADHN CCN(CC)[C@@H](C)C(=O)N(CC)c1ccccc1 ZINC000129708793 385176857 /nfs/dbraw/zinc/17/68/57/385176857.db2.gz YJVKIJWQHHDKGA-ZDUSSCGKSA-N 0 3 248.370 2.770 20 0 BFADHN CN(Cc1cccs1)C[C@H](O)C(C)(C)C ZINC000308808513 385177126 /nfs/dbraw/zinc/17/71/26/385177126.db2.gz PKXXLJGUXPVBID-NSHDSACASA-N 0 3 227.373 2.587 20 0 BFADHN COc1ccc(CN(C)[C@H]2C=CCCC2)cc1O ZINC000177221316 385201468 /nfs/dbraw/zinc/20/14/68/385201468.db2.gz UXXMBDXQBBKJAU-ZDUSSCGKSA-N 0 3 247.338 2.941 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)cc1F ZINC000152752216 385207810 /nfs/dbraw/zinc/20/78/10/385207810.db2.gz OJKAWSKPKKJAOP-BNOWGMLFSA-N 0 3 235.302 2.544 20 0 BFADHN COC[C@@H](N[C@H](C)C1CCC1)c1ccco1 ZINC000178229854 385210946 /nfs/dbraw/zinc/21/09/46/385210946.db2.gz YFQHZZPMPSCVAW-ZYHUDNBSSA-N 0 3 223.316 2.745 20 0 BFADHN CCC1(CNCc2ccc([C@@H]3C[C@@H]3C)o2)COC1 ZINC000343336573 385211561 /nfs/dbraw/zinc/21/15/61/385211561.db2.gz OWEJDCUDNIZIBQ-WCQYABFASA-N 0 3 249.354 2.919 20 0 BFADHN Clc1ccsc1CNCC[C@H]1CCOC1 ZINC000308855196 385215383 /nfs/dbraw/zinc/21/53/83/385215383.db2.gz IHTUQQJUPOQQAQ-VIFPVBQESA-N 0 3 245.775 2.918 20 0 BFADHN C[C@@H](O)[C@@H]1CCN(Cc2ccc(Cl)cc2)C1 ZINC000166082766 385218285 /nfs/dbraw/zinc/21/82/85/385218285.db2.gz NTOBFOXFDFAYTQ-ZYHUDNBSSA-N 0 3 239.746 2.543 20 0 BFADHN CCc1ccc(CN[C@H](CC)[C@H]2CCCO2)o1 ZINC000119792071 385223297 /nfs/dbraw/zinc/22/32/97/385223297.db2.gz KIEQQOBGEGYIMV-ZIAGYGMSSA-N 0 3 237.343 2.889 20 0 BFADHN CCc1ccc(CN[C@@H](CC)[C@H]2CCCO2)o1 ZINC000119791917 385224094 /nfs/dbraw/zinc/22/40/94/385224094.db2.gz KIEQQOBGEGYIMV-UONOGXRCSA-N 0 3 237.343 2.889 20 0 BFADHN CCCCN(CC(=O)OCC)C1CCCC1 ZINC000128307853 385230356 /nfs/dbraw/zinc/23/03/56/385230356.db2.gz ZTBPALZNXDRGKF-UHFFFAOYSA-N 0 3 227.348 2.594 20 0 BFADHN CCc1ccc([C@H](COC)N[C@H](C)C2CC2)o1 ZINC000177372851 385231180 /nfs/dbraw/zinc/23/11/80/385231180.db2.gz LZBDZCPIALJWDM-MFKMUULPSA-N 0 3 237.343 2.918 20 0 BFADHN CSc1ccc([C@H](C)NCCCO)cc1F ZINC000166253800 385232914 /nfs/dbraw/zinc/23/29/14/385232914.db2.gz PMABIUDMSKOYRY-VIFPVBQESA-N 0 3 243.347 2.581 20 0 BFADHN CSc1ccc([C@@H](C)NCCCO)cc1F ZINC000166253908 385233228 /nfs/dbraw/zinc/23/32/28/385233228.db2.gz PMABIUDMSKOYRY-SECBINFHSA-N 0 3 243.347 2.581 20 0 BFADHN CC(C)C[C@@H](NC[C@@H]1CCCO1)c1ccccn1 ZINC000153062008 385234960 /nfs/dbraw/zinc/23/49/60/385234960.db2.gz CZHJBAULJMQBAV-DZGCQCFKSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)CCN[C@@H](C)c1ccncc1F ZINC000308888734 385244636 /nfs/dbraw/zinc/24/46/36/385244636.db2.gz WRVVGUPNFBDONY-JTQLQIEISA-N 0 3 210.296 2.917 20 0 BFADHN Cc1noc(C)c1C(C)(C)NCCC1CC1 ZINC000336691762 385249838 /nfs/dbraw/zinc/24/98/38/385249838.db2.gz KUJYNDIXHQKCGZ-UHFFFAOYSA-N 0 3 222.332 2.916 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc(C)c(F)c1 ZINC000166524801 385250592 /nfs/dbraw/zinc/25/05/92/385250592.db2.gz KITGGDFCJPEWDN-GHMZBOCLSA-N 0 3 225.307 2.647 20 0 BFADHN CO[C@](C)(CNCc1ccccc1F)C1CC1 ZINC000291094756 385203494 /nfs/dbraw/zinc/20/34/94/385203494.db2.gz YMMWUDHIOBJZTM-CQSZACIVSA-N 0 3 237.318 2.730 20 0 BFADHN Cc1cccc([C@H](C)NCc2cnc(N)s2)c1 ZINC000449777162 385205275 /nfs/dbraw/zinc/20/52/75/385205275.db2.gz POOREADDJRRCQH-JTQLQIEISA-N 0 3 247.367 2.715 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1cc(F)ccc1F ZINC000131031258 385302447 /nfs/dbraw/zinc/30/24/47/385302447.db2.gz FUZOZTCFSNJJBA-GXSJLCMTSA-N 0 3 243.297 2.776 20 0 BFADHN COc1ccncc1CN(CC1CC1)C(C)C ZINC000558102175 385306996 /nfs/dbraw/zinc/30/69/96/385306996.db2.gz RFHFAXPCEMZWNL-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1cc(F)cc(F)c1 ZINC000131083437 385308706 /nfs/dbraw/zinc/30/87/06/385308706.db2.gz FKNKWLTVGKPAEI-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@@H](N[C@@H]1CCc2ccc(F)cc21)[C@@H]1CCCO1 ZINC000177951482 385309477 /nfs/dbraw/zinc/30/94/77/385309477.db2.gz CCJKTPAXNROQQC-KMUNFCNLSA-N 0 3 249.329 2.970 20 0 BFADHN Fc1c(Cl)cccc1CN[C@H]1CCCOC1 ZINC000131129656 385311513 /nfs/dbraw/zinc/31/15/13/385311513.db2.gz BZIGHJQJKZEDAL-JTQLQIEISA-N 0 3 243.709 2.748 20 0 BFADHN COC[C@@H](C)CN[C@@H](C)c1cccnc1Cl ZINC000178669876 385315718 /nfs/dbraw/zinc/31/57/18/385315718.db2.gz HISZUASPRXXVRL-UWVGGRQHSA-N 0 3 242.750 2.668 20 0 BFADHN CC(C)[C@@H](O)CN[C@H](C)c1ccc(F)cc1F ZINC000131275766 385324580 /nfs/dbraw/zinc/32/45/80/385324580.db2.gz ZIYHQSZCZLZXQD-RNCFNFMXSA-N 0 3 243.297 2.632 20 0 BFADHN CCCCCN(Cc1cc[nH]n1)C(C)C ZINC000154364232 385320699 /nfs/dbraw/zinc/32/06/99/385320699.db2.gz XMGWPIAHRKRIPZ-UHFFFAOYSA-N 0 3 209.337 2.810 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@]2(CC[C@H](C)C2)C1 ZINC000336401592 385258966 /nfs/dbraw/zinc/25/89/66/385258966.db2.gz VVKSXNLFBAVHCQ-FZMZJTMJSA-N 0 3 233.359 2.730 20 0 BFADHN C=Cn1cc(CN2CCC[C@H](C(C)C)C2)cn1 ZINC000559952881 385259284 /nfs/dbraw/zinc/25/92/84/385259284.db2.gz GTZCKYQLCHBKPX-AWEZNQCLSA-N 0 3 233.359 2.852 20 0 BFADHN CC[C@H](CNCc1cc2ccccc2o1)OC ZINC000289709085 385261633 /nfs/dbraw/zinc/26/16/33/385261633.db2.gz FFVIMOIAMXDEJS-GFCCVEGCSA-N 0 3 233.311 2.947 20 0 BFADHN CC[C@@H](CNCc1cc2ccccc2o1)OC ZINC000289709089 385262195 /nfs/dbraw/zinc/26/21/95/385262195.db2.gz FFVIMOIAMXDEJS-LBPRGKRZSA-N 0 3 233.311 2.947 20 0 BFADHN CN(CCCCC(F)(F)F)Cc1cnn(C)c1 ZINC000130582552 385263099 /nfs/dbraw/zinc/26/30/99/385263099.db2.gz VFIFGJYPNMCIFE-UHFFFAOYSA-N 0 3 249.280 2.585 20 0 BFADHN C[C@@H](NCCOC1CCC1)c1cccs1 ZINC000053589881 385263713 /nfs/dbraw/zinc/26/37/13/385263713.db2.gz CMEQKECWNDSSEX-SNVBAGLBSA-N 0 3 225.357 2.968 20 0 BFADHN C[C@H](NCCOC1CCC1)c1cccs1 ZINC000053589880 385263858 /nfs/dbraw/zinc/26/38/58/385263858.db2.gz CMEQKECWNDSSEX-JTQLQIEISA-N 0 3 225.357 2.968 20 0 BFADHN Cc1oc2ccccc2c1CN(CCO)C1CC1 ZINC000153610621 385267437 /nfs/dbraw/zinc/26/74/37/385267437.db2.gz IMZDZKDHXAPUGW-UHFFFAOYSA-N 0 3 245.322 2.698 20 0 BFADHN C[C@@H]1C[C@@H]1NCc1cc2ccccc2o1 ZINC000052272356 385271597 /nfs/dbraw/zinc/27/15/97/385271597.db2.gz LKZZQHYTHUNNRL-SKDRFNHKSA-N 0 3 201.269 2.931 20 0 BFADHN COCc1ccc(CN[C@@H]2CC=CCC2)o1 ZINC000166702911 385272669 /nfs/dbraw/zinc/27/26/69/385272669.db2.gz JNGZLYZKSBFNOX-LLVKDONJSA-N 0 3 221.300 2.624 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@]2(C)CCOC2)o1 ZINC000344374623 385273763 /nfs/dbraw/zinc/27/37/63/385273763.db2.gz UKPYFRUPTQNNDL-ZLDLUXBVSA-N 0 3 249.354 2.919 20 0 BFADHN CO[C@H]1CCCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC000177704749 385275288 /nfs/dbraw/zinc/27/52/88/385275288.db2.gz QCXUOSDRJLMLDA-DZGCQCFKSA-N 0 3 249.329 2.924 20 0 BFADHN C[C@@H](O)CN(C)Cc1ccc(C(C)(C)C)s1 ZINC000130662746 385275914 /nfs/dbraw/zinc/27/59/14/385275914.db2.gz WNBFLPSRXSNIBV-SNVBAGLBSA-N 0 3 241.400 2.858 20 0 BFADHN Cc1ncc(CN[C@@H]2CCc3ccccc3C2)o1 ZINC000334726523 385276590 /nfs/dbraw/zinc/27/65/90/385276590.db2.gz SWJBOXKDYPFQPC-CQSZACIVSA-N 0 3 242.322 2.630 20 0 BFADHN CN(CC[C@@H]1CCCO1)Cc1cccc(F)c1 ZINC000191048061 385277328 /nfs/dbraw/zinc/27/73/28/385277328.db2.gz RSFRIJMQGBDRGD-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN CN(CC1=Cc2ccccc2OC1)C1CC1 ZINC000153774962 385277455 /nfs/dbraw/zinc/27/74/55/385277455.db2.gz UHINDNRJKGSOMJ-UHFFFAOYSA-N 0 3 215.296 2.557 20 0 BFADHN CN(Cc1ccccc1)C[C@@H]1CCC=CO1 ZINC000191055514 385280534 /nfs/dbraw/zinc/28/05/34/385280534.db2.gz CJJZTGYIGJOHGB-AWEZNQCLSA-N 0 3 217.312 2.811 20 0 BFADHN Cc1ncc(CN[C@H]2CCCC[C@H]2C)o1 ZINC000334727211 385281436 /nfs/dbraw/zinc/28/14/36/385281436.db2.gz XOJLNORHBSVTOP-SKDRFNHKSA-N 0 3 208.305 2.651 20 0 BFADHN Clc1ncccc1CNC[C@H]1CCSC1 ZINC000280231394 385284593 /nfs/dbraw/zinc/28/45/93/385284593.db2.gz XQOFPGKCOSMLBP-SECBINFHSA-N 0 3 242.775 2.578 20 0 BFADHN CCO[C@@H]1C[C@H](N(C)Cc2ccncc2)C1(C)C ZINC000130768470 385284881 /nfs/dbraw/zinc/28/48/81/385284881.db2.gz SRDABOZKOXPWTR-UONOGXRCSA-N 0 3 248.370 2.717 20 0 BFADHN Cc1c[nH]nc1CN[C@H](C)CCC(C)C ZINC000289714153 385285560 /nfs/dbraw/zinc/28/55/60/385285560.db2.gz CMULQHZVKUIPOV-LLVKDONJSA-N 0 3 209.337 2.632 20 0 BFADHN Cc1c[nH]nc1CN[C@@H](C)CCC(C)C ZINC000289714156 385285958 /nfs/dbraw/zinc/28/59/58/385285958.db2.gz CMULQHZVKUIPOV-NSHDSACASA-N 0 3 209.337 2.632 20 0 BFADHN CCO[C@H]1C[C@@H](N(C)Cc2ccncc2)C1(C)C ZINC000130769065 385286281 /nfs/dbraw/zinc/28/62/81/385286281.db2.gz SRDABOZKOXPWTR-KGLIPLIRSA-N 0 3 248.370 2.717 20 0 BFADHN COCc1ccc([C@@H]2CCCN2C(C)C)o1 ZINC000130823808 385288406 /nfs/dbraw/zinc/28/84/06/385288406.db2.gz YZCQYDBKWNVHFD-LBPRGKRZSA-N 0 3 223.316 2.971 20 0 BFADHN CO[C@H](C)CN[C@@H]1CCSc2ccccc21 ZINC000191201542 385290751 /nfs/dbraw/zinc/29/07/51/385290751.db2.gz ZXXHUVHODGUFLP-ZYHUDNBSSA-N 0 3 237.368 2.848 20 0 BFADHN CC[C@H](NCc1cn2ccsc2n1)C1CC1 ZINC000166949735 385291692 /nfs/dbraw/zinc/29/16/92/385291692.db2.gz OEAHTCQRZPLJEJ-NSHDSACASA-N 0 3 235.356 2.674 20 0 BFADHN CO[C@H](C)CNCc1cccc(OC(C)C)c1 ZINC000191211231 385293444 /nfs/dbraw/zinc/29/34/44/385293444.db2.gz KSXRHLPXLKZWEG-GFCCVEGCSA-N 0 3 237.343 2.598 20 0 BFADHN Cc1ncc(CNC[C@@H](C)CC(F)(F)F)o1 ZINC000402799842 385294577 /nfs/dbraw/zinc/29/45/77/385294577.db2.gz XIDVVOBTCQIPMU-ZETCQYMHSA-N 0 3 236.237 2.661 20 0 BFADHN COCCC[C@@H](C)N[C@H](C)c1nc(C)cs1 ZINC000178544533 385296598 /nfs/dbraw/zinc/29/65/98/385296598.db2.gz ADRCIKGCGSIVRY-MWLCHTKSSA-N 0 3 242.388 2.917 20 0 BFADHN CC[C@H](COC)NCc1ccc(SC)s1 ZINC000308940471 385297976 /nfs/dbraw/zinc/29/79/76/385297976.db2.gz LYKOEQUDKGWSRB-SECBINFHSA-N 0 3 245.413 2.985 20 0 BFADHN C[C@H](CCC1CC1)N[C@@H]1CCCc2c[nH]nc21 ZINC000364256009 385298017 /nfs/dbraw/zinc/29/80/17/385298017.db2.gz SRJXMLGUFQFWSN-ZWNOBZJWSA-N 0 3 233.359 2.955 20 0 BFADHN C[C@H](NC[C@@H]1C[C@@H]1C)c1ccncc1F ZINC000308931156 385301611 /nfs/dbraw/zinc/30/16/11/385301611.db2.gz FIVLPGGIRHUIMY-GUBZILKMSA-N 0 3 208.280 2.527 20 0 BFADHN CC[C@](C)(O)CN[C@H]1CCCOc2ccccc21 ZINC000154560424 385336955 /nfs/dbraw/zinc/33/69/55/385336955.db2.gz DXMIPHRCIABXED-ZFWWWQNUSA-N 0 3 249.354 2.651 20 0 BFADHN CC/C=C/CNCc1ccnn1C(C)C ZINC000294966855 385340370 /nfs/dbraw/zinc/34/03/70/385340370.db2.gz IQLCDOUUKMANOK-AATRIKPKSA-N 0 3 207.321 2.520 20 0 BFADHN CCc1ccc([C@H](C)NC[C@](C)(O)CC)o1 ZINC000154612443 385341241 /nfs/dbraw/zinc/34/12/41/385341241.db2.gz RGQKNTFVPWKKFX-GXFFZTMASA-N 0 3 225.332 2.654 20 0 BFADHN CC(C)(C)SCCNCc1ccccn1 ZINC000053616562 385346309 /nfs/dbraw/zinc/34/63/09/385346309.db2.gz IXJXKOZRMFFGLC-UHFFFAOYSA-N 0 3 224.373 2.703 20 0 BFADHN CC(C)n1nccc1CNCCCC(F)(F)F ZINC000280660056 385346474 /nfs/dbraw/zinc/34/64/74/385346474.db2.gz BMJQDXKVNPDEKM-UHFFFAOYSA-N 0 3 249.280 2.896 20 0 BFADHN CC1(C)C[C@H](N[C@@H]2CCCc3occc32)CO1 ZINC000335361135 385349437 /nfs/dbraw/zinc/34/94/37/385349437.db2.gz XNSJCVUDCYZQLJ-CMPLNLGQSA-N 0 3 235.327 2.814 20 0 BFADHN CC[C@](C)(CO)NCc1cc(F)cc(Cl)c1 ZINC000168262036 385357060 /nfs/dbraw/zinc/35/70/60/385357060.db2.gz AHZBFZGZASENOU-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN Cc1nc(C(C)C)sc1[C@H](C)NC[C@H](C)O ZINC000131735905 385357910 /nfs/dbraw/zinc/35/79/10/385357910.db2.gz SPEPFONMWKCUFS-IUCAKERBSA-N 0 3 242.388 2.606 20 0 BFADHN C[C@H](CCCO)NCc1cc(F)cc(Cl)c1 ZINC000168265369 385358226 /nfs/dbraw/zinc/35/82/26/385358226.db2.gz CWLPTUHUHKJWSX-SECBINFHSA-N 0 3 245.725 2.730 20 0 BFADHN CC(C)[C@H](O)CNCc1cc(F)cc(Cl)c1 ZINC000168275550 385358644 /nfs/dbraw/zinc/35/86/44/385358644.db2.gz DAXHWAGXASGGCF-GFCCVEGCSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@@H](CCCO)NCc1cc(F)cc(Cl)c1 ZINC000168265273 385358665 /nfs/dbraw/zinc/35/86/65/385358665.db2.gz CWLPTUHUHKJWSX-VIFPVBQESA-N 0 3 245.725 2.730 20 0 BFADHN C[C@@H](CO)[C@@H](C)N[C@@H](C)c1c(F)cccc1F ZINC000131765437 385359601 /nfs/dbraw/zinc/35/96/01/385359601.db2.gz LICNYSKSKUDYKM-AEJSXWLSSA-N 0 3 243.297 2.632 20 0 BFADHN C[C@](O)(CNCc1ccc(Cl)s1)C1CC1 ZINC000309003532 385363430 /nfs/dbraw/zinc/36/34/30/385363430.db2.gz SOKPIFVZMFSMJE-NSHDSACASA-N 0 3 245.775 2.652 20 0 BFADHN C[C@@H](O)CN1C[C@H](C)C[C@@H]1c1cccc(F)c1 ZINC000192730165 385368022 /nfs/dbraw/zinc/36/80/22/385368022.db2.gz PNGRRELBIHXOKM-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](NCCc1ccccc1O)c1ccco1 ZINC000154975776 385368236 /nfs/dbraw/zinc/36/82/36/385368236.db2.gz CRTXCIXKBCPCDV-LLVKDONJSA-N 0 3 231.295 2.879 20 0 BFADHN Cc1ccc(CN[C@H](C)c2ccnn2C)c(C)c1 ZINC000192696263 385368450 /nfs/dbraw/zinc/36/84/50/385368450.db2.gz FWMDNIRDQHZRNB-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@H](C(=O)Nc1cccc(CN(C)C)c1)C1CC1 ZINC000178423269 385371233 /nfs/dbraw/zinc/37/12/33/385371233.db2.gz AZNCNIIPXQAIAQ-NSHDSACASA-N 0 3 246.354 2.733 20 0 BFADHN CN(CCCn1ccnc1)Cc1ccccc1F ZINC000178436132 385372832 /nfs/dbraw/zinc/37/28/32/385372832.db2.gz WBYMJAZBJQQQIQ-UHFFFAOYSA-N 0 3 247.317 2.544 20 0 BFADHN CCO[C@H]1C[C@H](NCCF)C1(CC)CC ZINC000309063503 385390577 /nfs/dbraw/zinc/39/05/77/385390577.db2.gz YASKXCWNORABTA-QWRGUYRKSA-N 0 3 217.328 2.529 20 0 BFADHN CO[C@](C)(CN[C@@H](C)c1ccccn1)C1CC1 ZINC000291278102 385380859 /nfs/dbraw/zinc/38/08/59/385380859.db2.gz RBCWFMQGELRHTD-SMDDNHRTSA-N 0 3 234.343 2.547 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CCC[C@H](CC)C1 ZINC000168672076 385381122 /nfs/dbraw/zinc/38/11/22/385381122.db2.gz LENLAMHMNCXCAJ-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H](CN(C)C)N[C@@H](C)c1ccc(F)c(F)c1 ZINC000168756444 385385168 /nfs/dbraw/zinc/38/51/68/385385168.db2.gz VASUFGAFSSWYCB-UWVGGRQHSA-N 0 3 242.313 2.566 20 0 BFADHN C[C@H](CCc1ccccc1F)NCCF ZINC000280978291 385386001 /nfs/dbraw/zinc/38/60/01/385386001.db2.gz IYTDTFJZVOQLCV-SNVBAGLBSA-N 0 3 213.271 2.706 20 0 BFADHN CC[C@@H](F)CN[C@@H]1CC(C)(C)OC1(C)C ZINC000309064498 385391005 /nfs/dbraw/zinc/39/10/05/385391005.db2.gz YNKFWSVPRUUGKH-NXEZZACHSA-N 0 3 217.328 2.670 20 0 BFADHN C[C@@H](CCc1ccccc1F)NCCF ZINC000280978286 385386170 /nfs/dbraw/zinc/38/61/70/385386170.db2.gz IYTDTFJZVOQLCV-JTQLQIEISA-N 0 3 213.271 2.706 20 0 BFADHN CCCc1csc(CNC[C@@H]2C[C@H]2C)n1 ZINC000309041751 385387727 /nfs/dbraw/zinc/38/77/27/385387727.db2.gz LQCNHXVZTIYCTB-ZJUUUORDSA-N 0 3 224.373 2.841 20 0 BFADHN CC[C@@H](C)CC(=O)Nc1cccc(CNC)c1 ZINC000167558751 385324973 /nfs/dbraw/zinc/32/49/73/385324973.db2.gz HYBYCMRMXGDCDV-LLVKDONJSA-N 0 3 234.343 2.781 20 0 BFADHN c1nc(CNCC23CCC(CC2)C3)cs1 ZINC000308985437 385325926 /nfs/dbraw/zinc/32/59/26/385325926.db2.gz JLZYUBWFWLDPNQ-UHFFFAOYSA-N 0 3 222.357 2.813 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1ccc(CC)o1 ZINC000167561898 385326643 /nfs/dbraw/zinc/32/66/43/385326643.db2.gz FXFXJXVKQZEADU-GHMZBOCLSA-N 0 3 225.332 2.654 20 0 BFADHN CO[C@@H](CNCc1csc(C)c1C)C1CC1 ZINC000400152308 385327231 /nfs/dbraw/zinc/32/72/31/385327231.db2.gz GBKKFLPJECZGTM-ZDUSSCGKSA-N 0 3 239.384 2.880 20 0 BFADHN CO[C@H](C)CN[C@@H]1CCCNc2ccccc21 ZINC000193333639 385392195 /nfs/dbraw/zinc/39/21/95/385392195.db2.gz HEKNJZHKCONFMV-BXUZGUMPSA-N 0 3 234.343 2.558 20 0 BFADHN COc1ccc(C)cc1CNCCOC(C)C ZINC000168953660 385394817 /nfs/dbraw/zinc/39/48/17/385394817.db2.gz JNGFSGLQFKELEP-UHFFFAOYSA-N 0 3 237.343 2.518 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1sc(C)nc1C ZINC000309080261 385400750 /nfs/dbraw/zinc/40/07/50/385400750.db2.gz OMTKLVVEUNWDDK-NXEZZACHSA-N 0 3 242.413 2.744 20 0 BFADHN CCOc1ccccc1CNCC1(OC)CCC1 ZINC000181088862 385402351 /nfs/dbraw/zinc/40/23/51/385402351.db2.gz LIFMRKMHZQRPQH-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CS[C@@H](C)C2)s1 ZINC000309071321 385402802 /nfs/dbraw/zinc/40/28/02/385402802.db2.gz DJYOCFKQQCAOAN-XVKPBYJWSA-N 0 3 242.413 2.744 20 0 BFADHN CC(C)CCc1ccc(NC(=O)[C@H]2CCN2)cc1 ZINC000644053762 385404565 /nfs/dbraw/zinc/40/45/65/385404565.db2.gz ARTCEAPSNNBZJH-CQSZACIVSA-N 0 3 246.354 2.576 20 0 BFADHN c1coc(CN(CC2CC2)C[C@H]2CCCO2)c1 ZINC000155689508 385406586 /nfs/dbraw/zinc/40/65/86/385406586.db2.gz VHKQVGURFSCNSK-CQSZACIVSA-N 0 3 235.327 2.671 20 0 BFADHN CC1(C)CC[C@H]1N[C@H](CO)c1ccsc1 ZINC000309096962 385407299 /nfs/dbraw/zinc/40/72/99/385407299.db2.gz DHGCFCXGTAJHCP-GHMZBOCLSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3cc(F)ccc32)[C@H](C)O1 ZINC000295056754 385408032 /nfs/dbraw/zinc/40/80/32/385408032.db2.gz BBUNLKGNFBRZRL-QPNXVFALSA-N 0 3 249.329 2.969 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCCF)CCC2 ZINC000281152321 385408545 /nfs/dbraw/zinc/40/85/45/385408545.db2.gz VETPJWIONMCMPG-CYBMUJFWSA-N 0 3 207.292 2.932 20 0 BFADHN CC/C=C/CNCc1c[nH]nc1-c1cccnc1 ZINC000295059352 385409969 /nfs/dbraw/zinc/40/99/69/385409969.db2.gz OCRGSGKMBAWIEL-ONEGZZNKSA-N 0 3 242.326 2.528 20 0 BFADHN C[C@@]1(O)CCN([C@@H]2CCCc3ccc(F)cc32)C1 ZINC000178921910 385425942 /nfs/dbraw/zinc/42/59/42/385425942.db2.gz ZJKFZSQDKIBUMG-HUUCEWRRSA-N 0 3 249.329 2.660 20 0 BFADHN C=Cn1cc(CN2CCC[C@H](CC)C2)cn1 ZINC000193746740 385411154 /nfs/dbraw/zinc/41/11/54/385411154.db2.gz QACZZZTWCKVUCN-LBPRGKRZSA-N 0 3 219.332 2.606 20 0 BFADHN COc1cc(C)c([C@@H](C)NCCF)cc1C ZINC000281208834 385411412 /nfs/dbraw/zinc/41/14/12/385411412.db2.gz YQLNTCBGNICLQU-LLVKDONJSA-N 0 3 225.307 2.932 20 0 BFADHN CC[C@@](C)(O)CNCc1ccc(C)cc1Cl ZINC000233974477 259664924 /nfs/dbraw/zinc/66/49/24/259664924.db2.gz PBNMQUFJLCQMBO-CYBMUJFWSA-N 0 3 241.762 2.899 20 0 BFADHN CSC[C@@H](C)NCc1cn(C(C)C)nc1C ZINC000281234778 385417991 /nfs/dbraw/zinc/41/79/91/385417991.db2.gz DZZBRJGEXJEQQV-SNVBAGLBSA-N 0 3 241.404 2.614 20 0 BFADHN CC(=O)CCN1CCC[C@H]1COc1ccccc1 ZINC000155858769 385418121 /nfs/dbraw/zinc/41/81/21/385418121.db2.gz SBVFRWNHEMBZIU-AWEZNQCLSA-N 0 3 247.338 2.509 20 0 BFADHN C=Cn1cc(CN(C)[C@@H](C)C2(C)CC2)cn1 ZINC000194063309 385422417 /nfs/dbraw/zinc/42/24/17/385422417.db2.gz RCSGDNOBDFVLSW-NSHDSACASA-N 0 3 219.332 2.604 20 0 BFADHN CC[C@H](N[C@H](C)c1ccc(C)o1)C(C)(C)O ZINC000295106000 385439819 /nfs/dbraw/zinc/43/98/19/385439819.db2.gz WQEXNOXLHVJTBC-PWSUYJOCSA-N 0 3 225.332 2.788 20 0 BFADHN Cc1cc(CN2CCCO[C@H](C)C2)c(C)s1 ZINC000170764188 385444067 /nfs/dbraw/zinc/44/40/67/385444067.db2.gz YXIJCSXEVZFFJK-SNVBAGLBSA-N 0 3 239.384 2.976 20 0 BFADHN CC[C@@H](C)CN[C@H](C)c1ccncc1F ZINC000309166480 385447171 /nfs/dbraw/zinc/44/71/71/385447171.db2.gz JEOBXUCLJRXVNM-NXEZZACHSA-N 0 3 210.296 2.917 20 0 BFADHN COc1cc([C@@H](C)NC[C@@H](C)OC)ccc1F ZINC000182436379 385447431 /nfs/dbraw/zinc/44/74/31/385447431.db2.gz RWHDHGUCTLKVNC-NXEZZACHSA-N 0 3 241.306 2.520 20 0 BFADHN COc1cc([C@H](C)NC[C@@H](C)OC)ccc1F ZINC000182436343 385448355 /nfs/dbraw/zinc/44/83/55/385448355.db2.gz RWHDHGUCTLKVNC-ZJUUUORDSA-N 0 3 241.306 2.520 20 0 BFADHN C[C@@H](N(C)Cc1cccc(F)c1F)C(C)(C)O ZINC000295128069 385452372 /nfs/dbraw/zinc/45/23/72/385452372.db2.gz JNJSUUNELJPKES-SECBINFHSA-N 0 3 243.297 2.556 20 0 BFADHN CCC(CC)CN[C@@H](C)c1nccn1C ZINC000162248836 385455466 /nfs/dbraw/zinc/45/54/66/385455466.db2.gz XDUZPJQKFFZCDK-JTQLQIEISA-N 0 3 209.337 2.507 20 0 BFADHN CSC1(C[NH2+]Cc2cc([O-])cc(F)c2)CC1 ZINC000309121615 385427034 /nfs/dbraw/zinc/42/70/34/385427034.db2.gz QDGTXEXHZMAXLO-UHFFFAOYSA-N 0 3 241.331 2.517 20 0 BFADHN CC[C@@H](NCCCCO)c1cc(F)ccc1F ZINC000194225509 385427740 /nfs/dbraw/zinc/42/77/40/385427740.db2.gz APXPTGPBNVHFIP-CYBMUJFWSA-N 0 3 243.297 2.778 20 0 BFADHN CCSCCCNCc1ccc(F)nc1C ZINC000281892873 385457138 /nfs/dbraw/zinc/45/71/38/385457138.db2.gz FAOBDTHFIJCDFJ-UHFFFAOYSA-N 0 3 242.363 2.762 20 0 BFADHN COc1cc(C)cc(CNCc2cc[nH]c2)c1 ZINC000178963422 385429257 /nfs/dbraw/zinc/42/92/57/385429257.db2.gz CUVVTCLKBNQUAN-UHFFFAOYSA-N 0 3 230.311 2.622 20 0 BFADHN Cc1ccsc1CN(C)C[C@H](O)C(C)(C)C ZINC000309132576 385431436 /nfs/dbraw/zinc/43/14/36/385431436.db2.gz HQMWPSDPQHPVKI-LBPRGKRZSA-N 0 3 241.400 2.895 20 0 BFADHN CCN(Cc1cccs1)CC1=CCCOC1 ZINC000179720944 385487860 /nfs/dbraw/zinc/48/78/60/385487860.db2.gz CTWXFMDUQWAXFN-UHFFFAOYSA-N 0 3 237.368 2.917 20 0 BFADHN CCN(CCSC)Cc1cccs1 ZINC000171082932 385468352 /nfs/dbraw/zinc/46/83/52/385468352.db2.gz VVKJHGKWJFHLFS-UHFFFAOYSA-N 0 3 215.387 2.933 20 0 BFADHN Cc1cc(C)cc([C@@H](C)NCC2(C)OCCO2)c1 ZINC000179566051 385473613 /nfs/dbraw/zinc/47/36/13/385473613.db2.gz ZPNGIQYFHGABAL-CYBMUJFWSA-N 0 3 249.354 2.717 20 0 BFADHN Cc1ccc([C@H](C)NCC2(C)OCCO2)c(C)c1 ZINC000179565792 385474432 /nfs/dbraw/zinc/47/44/32/385474432.db2.gz JOYRKQMJIBSJHZ-ZDUSSCGKSA-N 0 3 249.354 2.717 20 0 BFADHN Cc1ccc([C@@H](C)NCC2(C)OCCO2)cc1C ZINC000179572155 385474638 /nfs/dbraw/zinc/47/46/38/385474638.db2.gz NXGQEKQNICROPW-CYBMUJFWSA-N 0 3 249.354 2.717 20 0 BFADHN CCN(CC)Cc1cc(F)c(F)c(F)c1 ZINC000156926164 385475252 /nfs/dbraw/zinc/47/52/52/385475252.db2.gz QRUAZWMPWYBTJR-UHFFFAOYSA-N 0 3 217.234 2.946 20 0 BFADHN Cn1cc(CN[C@@H]2C=CCCC2)c(C(F)F)n1 ZINC000360966480 385486749 /nfs/dbraw/zinc/48/67/49/385486749.db2.gz PQAONXLYGDTPHY-SNVBAGLBSA-N 0 3 241.285 2.556 20 0 BFADHN CC[C@H](NCC(C)(C)C)c1nccn1C ZINC000182619865 385461362 /nfs/dbraw/zinc/46/13/62/385461362.db2.gz RHGSSWGWGAVDJM-JTQLQIEISA-N 0 3 209.337 2.507 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)Nc1ccccc1F ZINC000257713206 385490648 /nfs/dbraw/zinc/49/06/48/385490648.db2.gz SHFLXJSOZLYIDL-LLVKDONJSA-N 0 3 238.306 2.528 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CC[C@H]1C ZINC000309231016 385491614 /nfs/dbraw/zinc/49/16/14/385491614.db2.gz TTZSVISQBFHSMI-DGCLKSJQSA-N 0 3 219.328 2.973 20 0 BFADHN CCn1nnc(C)c1CN[C@@H](C)CCC(C)C ZINC000449065183 385495532 /nfs/dbraw/zinc/49/55/32/385495532.db2.gz SDSPIMRXZVTZIR-NSHDSACASA-N 0 3 238.379 2.521 20 0 BFADHN c1ncc(CN2CCC[C@@H]2c2ccccc2)[nH]1 ZINC000179873620 385495960 /nfs/dbraw/zinc/49/59/60/385495960.db2.gz ISFGSKTWBNWLDZ-CQSZACIVSA-N 0 3 227.311 2.747 20 0 BFADHN CC[C@@H](C)CN(C)CC(=O)N(C(C)C)C(C)C ZINC000111253291 385498967 /nfs/dbraw/zinc/49/89/67/385498967.db2.gz VHXYGCPTQNJORO-CYBMUJFWSA-N 0 3 242.407 2.610 20 0 BFADHN CN(CC1=CCCOC1)[C@@H]1CCc2ccccc21 ZINC000179908318 385499386 /nfs/dbraw/zinc/49/93/86/385499386.db2.gz JCBGGBRYORPLAV-MRXNPFEDSA-N 0 3 243.350 2.952 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@H]1CCc2ccccc21 ZINC000179909376 385499723 /nfs/dbraw/zinc/49/97/23/385499723.db2.gz JEDKWNIBYKFSLP-HNNXBMFYSA-N 0 3 241.338 2.837 20 0 BFADHN C[C@@H](CO)[C@H](C)NCc1ccc(F)cc1Cl ZINC000157476309 385503597 /nfs/dbraw/zinc/50/35/97/385503597.db2.gz LNOZAMFUEODPNC-IUCAKERBSA-N 0 3 245.725 2.586 20 0 BFADHN CC(C)[C@@H](O)CNCc1ccc(F)cc1Cl ZINC000157467875 385503989 /nfs/dbraw/zinc/50/39/89/385503989.db2.gz YYMDSZZUMNPXAB-LBPRGKRZSA-N 0 3 245.725 2.586 20 0 BFADHN CC[C@H]1CCN(Cc2cnn3ccccc23)C1 ZINC000180570076 385531168 /nfs/dbraw/zinc/53/11/68/385531168.db2.gz UQGWGXFJNWTKSI-LBPRGKRZSA-N 0 3 229.327 2.566 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cccc(F)c2N)C1 ZINC000157978544 385540731 /nfs/dbraw/zinc/54/07/31/385540731.db2.gz FGYYGJNPBCMCDR-ZJUUUORDSA-N 0 3 222.307 2.638 20 0 BFADHN CN(CCC1CC1)Cc1cccc2nccn21 ZINC000180766950 385546009 /nfs/dbraw/zinc/54/60/09/385546009.db2.gz NSROXHFHAKCAKS-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CN(CCc1cccnc1)Cc1ccccc1F ZINC000172074883 385546820 /nfs/dbraw/zinc/54/68/20/385546820.db2.gz NAHDZUDUNZBHKC-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCC[C@@H](C)[C@@H]2C)[n-]1 ZINC000392375365 385521907 /nfs/dbraw/zinc/52/19/07/385521907.db2.gz OMWKGQJEBWFJLM-FYLLDIAZSA-N 0 3 236.363 2.588 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@@H](C)C2)s1 ZINC000180421926 385523089 /nfs/dbraw/zinc/52/30/89/385523089.db2.gz PPFOTFZBSUZURZ-NXEZZACHSA-N 0 3 224.373 2.929 20 0 BFADHN C[C@@H](N(C)Cc1cnn2ccccc12)C1(C)CC1 ZINC000180534729 385527593 /nfs/dbraw/zinc/52/75/93/385527593.db2.gz FGSIBJWNIGZAGA-GFCCVEGCSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H](NCCC1CCOCC1)c1ccco1 ZINC000309417044 385575461 /nfs/dbraw/zinc/57/54/61/385575461.db2.gz ASULJDLEUYXVGF-LLVKDONJSA-N 0 3 223.316 2.747 20 0 BFADHN CCCCN(C)Cc1ccccc1NC(C)=O ZINC000158169298 385550662 /nfs/dbraw/zinc/55/06/62/385550662.db2.gz YOHNZRKUYDILQP-UHFFFAOYSA-N 0 3 234.343 2.877 20 0 BFADHN CC[C@H]1C[C@@H](NCc2occc2C)CCO1 ZINC000309384188 385556349 /nfs/dbraw/zinc/55/63/49/385556349.db2.gz AZJAYDRVBUUXKB-RYUDHWBXSA-N 0 3 223.316 2.635 20 0 BFADHN CSCCN1CCC[C@H]1c1cccn1C ZINC000181069639 385562858 /nfs/dbraw/zinc/56/28/58/385562858.db2.gz PPTPQMFZYCTOBN-LBPRGKRZSA-N 0 3 224.373 2.525 20 0 BFADHN CC[C@@H](NC1CCCCC1)c1ccn(C)n1 ZINC000309425554 385577132 /nfs/dbraw/zinc/57/71/32/385577132.db2.gz FGJWWHKBOSXXGY-GFCCVEGCSA-N 0 3 221.348 2.794 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2cccc(O)c2)C1(C)C ZINC000300619851 385573432 /nfs/dbraw/zinc/57/34/32/385573432.db2.gz AZOXGDDPDUPRHJ-LERXQTSPSA-N 0 3 249.354 2.856 20 0 BFADHN CC(C)COCCN(C)Cc1ccc(F)cc1 ZINC000337308121 385593385 /nfs/dbraw/zinc/59/33/85/385593385.db2.gz XMZGZWCSFHSIIJ-UHFFFAOYSA-N 0 3 239.334 2.930 20 0 BFADHN Cc1ccoc1CN[C@H]1CCC[C@H]1OC(F)F ZINC000337320140 385593954 /nfs/dbraw/zinc/59/39/54/385593954.db2.gz YZHKTBDLSVCTMG-VHSXEESVSA-N 0 3 245.269 2.838 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccncc1)c1ccco1 ZINC000337316533 385595136 /nfs/dbraw/zinc/59/51/36/385595136.db2.gz KQLGQDWHYSRGBQ-NWDGAFQWSA-N 0 3 230.311 2.956 20 0 BFADHN CCC[C@H](C)[C@H](CC)NCc1ccn(C)n1 ZINC000309466356 385595815 /nfs/dbraw/zinc/59/58/15/385595815.db2.gz PLCBGGDPLPZANB-AAEUAGOBSA-N 0 3 223.364 2.725 20 0 BFADHN C[C@H](Cc1ccncc1)N[C@@H](C)c1ccccn1 ZINC000337324871 385598237 /nfs/dbraw/zinc/59/82/37/385598237.db2.gz USZZURONXQLKCL-OLZOCXBDSA-N 0 3 241.338 2.758 20 0 BFADHN CCS[C@@H]1CCCC[C@@H]1NCCF ZINC000336649542 385598816 /nfs/dbraw/zinc/59/88/16/385598816.db2.gz HBRRRZYQYMXLGX-VHSXEESVSA-N 0 3 205.342 2.610 20 0 BFADHN CC[C@@H](C)N1CCc2cc(OC)c(F)cc2C1 ZINC000337310811 385600444 /nfs/dbraw/zinc/60/04/44/385600444.db2.gz BLAWJELGQQSTHR-SNVBAGLBSA-N 0 3 237.318 2.991 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2cnccc2C)C1 ZINC000269527396 385602356 /nfs/dbraw/zinc/60/23/56/385602356.db2.gz OZTBCCVAEJHUNF-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN CO[C@H]1CCCC[C@@H]1NCc1ccsc1 ZINC000063152484 385602751 /nfs/dbraw/zinc/60/27/51/385602751.db2.gz QZHGILIVDAZRGZ-RYUDHWBXSA-N 0 3 225.357 2.795 20 0 BFADHN Cc1nnc([C@@H](C)N[C@H]2[C@H](C)CCC[C@@H]2C)o1 ZINC000408196570 385603663 /nfs/dbraw/zinc/60/36/63/385603663.db2.gz DNXJZMGWFBSAOC-KLBPJQLPSA-N 0 3 237.347 2.853 20 0 BFADHN C[C@H](NCCCc1ccccn1)c1ccccn1 ZINC000181490318 385580683 /nfs/dbraw/zinc/58/06/83/385580683.db2.gz JHFURXUNFNQVHX-ZDUSSCGKSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@@H](NCCCc1ccccn1)c1ccccn1 ZINC000181490336 385580699 /nfs/dbraw/zinc/58/06/99/385580699.db2.gz JHFURXUNFNQVHX-CYBMUJFWSA-N 0 3 241.338 2.760 20 0 BFADHN CCC1(CN[C@H](C)c2ccccc2OC)COC1 ZINC000393983982 385591921 /nfs/dbraw/zinc/59/19/21/385591921.db2.gz XSEKIBAHNSVLBK-GFCCVEGCSA-N 0 3 249.354 2.772 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](CO)CC2CCC2)o1 ZINC000280879879 385614865 /nfs/dbraw/zinc/61/48/65/385614865.db2.gz DIYPLNGTYODAMI-DGCLKSJQSA-N 0 3 237.343 2.790 20 0 BFADHN CC[C@H]1CCCN1Cc1ncc(C)s1 ZINC000334722716 385615252 /nfs/dbraw/zinc/61/52/52/385615252.db2.gz IBJQCBDRJIRGMU-JTQLQIEISA-N 0 3 210.346 2.826 20 0 BFADHN Cc1ccncc1CN(C)CCC1CCOCC1 ZINC000269646757 385617119 /nfs/dbraw/zinc/61/71/19/385617119.db2.gz UJYAWDFFPGLZOK-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1c[nH]nc1C ZINC000309492496 385622256 /nfs/dbraw/zinc/62/22/56/385622256.db2.gz XMAJSLSUSYVLLE-VIFPVBQESA-N 0 3 235.253 2.539 20 0 BFADHN CC(C)[C@H](N[C@H](C)CO)c1ccc(F)c(F)c1 ZINC000181987025 385626854 /nfs/dbraw/zinc/62/68/54/385626854.db2.gz VKYZVZAYBOIKQC-RNCFNFMXSA-N 0 3 243.297 2.632 20 0 BFADHN C(=C/c1ccncc1)\CN1CCCSCC1 ZINC000334760779 385629295 /nfs/dbraw/zinc/62/92/95/385629295.db2.gz JKOFBQZZCNEPQD-HNQUOIGGSA-N 0 3 234.368 2.534 20 0 BFADHN C[C@H](CCO)CNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000251716641 385632018 /nfs/dbraw/zinc/63/20/18/385632018.db2.gz BWGUPXGAERXCLT-NQBHXWOUSA-N 0 3 237.343 2.511 20 0 BFADHN CSC[C@@H](C)N[C@H](C)c1cncc(C)c1 ZINC000184362106 385634692 /nfs/dbraw/zinc/63/46/92/385634692.db2.gz VNLQWMGVMYUNBG-GHMZBOCLSA-N 0 3 224.373 2.792 20 0 BFADHN CC(C)SCCN1CCO[C@@](C)(C2CC2)C1 ZINC000595625378 385635750 /nfs/dbraw/zinc/63/57/50/385635750.db2.gz MFERXGMUAZJKCM-CYBMUJFWSA-N 0 3 243.416 2.629 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1ccc(F)cc1 ZINC000229383020 385607732 /nfs/dbraw/zinc/60/77/32/385607732.db2.gz QFKLXHRFRJABFF-MXWKQRLJSA-N 0 3 225.307 2.900 20 0 BFADHN CO[C@](C)(CNCc1ccc(Cl)o1)C1CC1 ZINC000336677773 385610885 /nfs/dbraw/zinc/61/08/85/385610885.db2.gz WGMBHGBXBPOCOO-GFCCVEGCSA-N 0 3 243.734 2.838 20 0 BFADHN CC(C)n1cc(CNCC(C)(C)C(C)C)nn1 ZINC000336724088 385611711 /nfs/dbraw/zinc/61/17/11/385611711.db2.gz ZEAAAMYEELBPNH-UHFFFAOYSA-N 0 3 238.379 2.631 20 0 BFADHN Cc1cncc([C@@H](C)N[C@@H](CO)CC2CCC2)c1 ZINC000281201535 385643912 /nfs/dbraw/zinc/64/39/12/385643912.db2.gz SCKJFMXLMXLJSD-IUODEOHRSA-N 0 3 248.370 2.592 20 0 BFADHN CCC[C@@H](NC[C@H](O)C(F)F)c1ccsc1 ZINC000336682974 385645321 /nfs/dbraw/zinc/64/53/21/385645321.db2.gz DIUKRJDRJYGMMC-ZJUUUORDSA-N 0 3 249.326 2.805 20 0 BFADHN C[C@@H](NC1(c2ccccc2F)CC1)[C@@H]1CCOC1 ZINC000182238861 385650371 /nfs/dbraw/zinc/65/03/71/385650371.db2.gz RFJLDKKSZBCFNR-VXGBXAGGSA-N 0 3 249.329 2.829 20 0 BFADHN CCC[C@@H]1C[C@H]1NCc1cccc(O)c1OC ZINC000281264412 385650774 /nfs/dbraw/zinc/65/07/74/385650774.db2.gz YEGTTWGMSRDNMP-ZYHUDNBSSA-N 0 3 235.327 2.679 20 0 BFADHN CC[C@@H](NCCCF)c1nc(C)cs1 ZINC000184418145 385651011 /nfs/dbraw/zinc/65/10/11/385651011.db2.gz MMDMHUKDQGSSHA-SECBINFHSA-N 0 3 216.325 2.852 20 0 BFADHN CC[C@H](NCCc1ccsc1)c1nccn1C ZINC000182276057 385651758 /nfs/dbraw/zinc/65/17/58/385651758.db2.gz VHXZMLFRKBMYGK-LBPRGKRZSA-N 0 3 249.383 2.765 20 0 BFADHN Cc1csc(CN2C[C@H](C)C[C@H]2C)n1 ZINC000336486063 385651755 /nfs/dbraw/zinc/65/17/55/385651755.db2.gz FWYUQOKMXAHCPE-PSASIEDQSA-N 0 3 210.346 2.682 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1cccnc1)OC ZINC000293578059 385654568 /nfs/dbraw/zinc/65/45/68/385654568.db2.gz FEOAWZOAOMRVHV-WCQYABFASA-N 0 3 222.332 2.547 20 0 BFADHN CCC[C@H](CN[C@H](C)c1cccnc1)OC ZINC000293578058 385655288 /nfs/dbraw/zinc/65/52/88/385655288.db2.gz FEOAWZOAOMRVHV-DGCLKSJQSA-N 0 3 222.332 2.547 20 0 BFADHN CO[C@H](C)CN[C@H](c1ncccc1C)C(C)C ZINC000182337993 385659071 /nfs/dbraw/zinc/65/90/71/385659071.db2.gz DLHZEWVXZHLBDU-OLZOCXBDSA-N 0 3 236.359 2.712 20 0 BFADHN CCN[C@H](C)C(=O)Nc1ccc(C(C)C)cc1 ZINC000643201885 385672935 /nfs/dbraw/zinc/67/29/35/385672935.db2.gz NZZSXNSQQKOXDO-LLVKDONJSA-N 0 3 234.343 2.747 20 0 BFADHN CC[C@H](NCc1ccc(Cl)o1)[C@@H](O)C(C)C ZINC000414527325 385677891 /nfs/dbraw/zinc/67/78/91/385677891.db2.gz MRADBZRYCUWGLL-JQWIXIFHSA-N 0 3 245.750 2.818 20 0 BFADHN CC(C)(C)[C@H]1CCC[C@@H]1NCc1cocn1 ZINC000414530731 385680854 /nfs/dbraw/zinc/68/08/54/385680854.db2.gz FDIMZGZFHMPPHV-RYUDHWBXSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H]1C)c1c(F)cncc1F ZINC000309548288 385681040 /nfs/dbraw/zinc/68/10/40/385681040.db2.gz HJHMWCVFPJFHMQ-XHNCKOQMSA-N 0 3 226.270 2.666 20 0 BFADHN CCc1ccc(CN[C@@H](CC)[C@H](O)C(C)C)o1 ZINC000414531623 385682768 /nfs/dbraw/zinc/68/27/68/385682768.db2.gz RYHBPOIPPUMXRY-UONOGXRCSA-N 0 3 239.359 2.727 20 0 BFADHN CCc1ccc(CN[C@H](CC)[C@H](O)C(C)C)o1 ZINC000414531624 385683252 /nfs/dbraw/zinc/68/32/52/385683252.db2.gz RYHBPOIPPUMXRY-ZIAGYGMSSA-N 0 3 239.359 2.727 20 0 BFADHN COCc1ccc(CNCCSC(C)C)o1 ZINC000290179986 385684548 /nfs/dbraw/zinc/68/45/48/385684548.db2.gz RTRQVHOENZNUMW-UHFFFAOYSA-N 0 3 243.372 2.657 20 0 BFADHN Cn1cccc1CN[C@@H](c1cccnc1)C1CC1 ZINC000340103598 385685752 /nfs/dbraw/zinc/68/57/52/385685752.db2.gz BFEPXOUGYHNUCO-OAHLLOKOSA-N 0 3 241.338 2.661 20 0 BFADHN Cc1ccc(C)c(CN[C@H](C)c2cn[nH]c2)c1 ZINC000229883327 385685769 /nfs/dbraw/zinc/68/57/69/385685769.db2.gz GYVLSYGGNCUPND-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN FCCN[C@H]1CCC[C@H](c2cccnc2)C1 ZINC000309554130 385686033 /nfs/dbraw/zinc/68/60/33/385686033.db2.gz GYTBUYZZLWRHDN-AAEUAGOBSA-N 0 3 222.307 2.667 20 0 BFADHN CCC1(CN[C@H](C)c2cc(C)n(C)n2)CCC1 ZINC000337491448 385687251 /nfs/dbraw/zinc/68/72/51/385687251.db2.gz WLSIMUAPSQMUSW-GFCCVEGCSA-N 0 3 235.375 2.959 20 0 BFADHN C/C(=C/c1ccccc1)CN1C[C@H](C)C[C@H](O)C1 ZINC000414530428 385687444 /nfs/dbraw/zinc/68/74/44/385687444.db2.gz XJQXKASDIFTIIM-FVCQUJQRSA-N 0 3 245.366 2.793 20 0 BFADHN Cc1nc(C)c(CNCC2(C(F)F)CC2)s1 ZINC000336735309 385694406 /nfs/dbraw/zinc/69/44/06/385694406.db2.gz LPXWQAOZNTYQEA-UHFFFAOYSA-N 0 3 246.326 2.895 20 0 BFADHN C[C@H](N[C@H]1CSC[C@H]1C)c1cncc(F)c1 ZINC000309585315 385718007 /nfs/dbraw/zinc/71/80/07/385718007.db2.gz RPKLYSVCFWZQKU-PTRXPTGYSA-N 0 3 240.347 2.623 20 0 BFADHN COc1cc(C)nc(CN2CCC(C)(C)C2)c1 ZINC000270345219 385698913 /nfs/dbraw/zinc/69/89/13/385698913.db2.gz VGUZAMMHTXALMQ-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN CCc1ccc(CNC[C@H](O)C(CC)CC)o1 ZINC000230196448 385718012 /nfs/dbraw/zinc/71/80/12/385718012.db2.gz DAZYQPWOMCYPEO-AWEZNQCLSA-N 0 3 239.359 2.729 20 0 BFADHN Cc1ccsc1[C@@H](C)N[C@H](CO)CC(F)F ZINC000309571073 385700666 /nfs/dbraw/zinc/70/06/66/385700666.db2.gz NQWJHOMMNIAODR-BDAKNGLRSA-N 0 3 249.326 2.723 20 0 BFADHN CC[C@H](O)CN1Cc2ccccc2C(C)(C)C1 ZINC000270383508 385703903 /nfs/dbraw/zinc/70/39/03/385703903.db2.gz QFXMTFOIKBKKAE-ZDUSSCGKSA-N 0 3 233.355 2.551 20 0 BFADHN CC(C)n1cc([C@H](C)NCC[C@@H](C)F)cn1 ZINC000309569179 385705788 /nfs/dbraw/zinc/70/57/88/385705788.db2.gz NDFZKXDPUHNDIN-MNOVXSKESA-N 0 3 227.327 2.863 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1ccc(F)cc1 ZINC000336735765 385718383 /nfs/dbraw/zinc/71/83/83/385718383.db2.gz OMYFSKMOHJDBJB-NEPJUHHUSA-N 0 3 225.332 2.809 20 0 BFADHN COC[C@@H](NCCCSC)c1ccc(C)o1 ZINC000184734812 385709023 /nfs/dbraw/zinc/70/90/23/385709023.db2.gz NFWHNIBDZYNQGB-LLVKDONJSA-N 0 3 243.372 2.618 20 0 BFADHN CSc1ccc(CN[C@@]2(C)CCOC2)s1 ZINC000336735851 385718695 /nfs/dbraw/zinc/71/86/95/385718695.db2.gz OSONRYHNWCMPHG-NSHDSACASA-N 0 3 243.397 2.739 20 0 BFADHN CCN(C[C@H]1CCCO1)[C@H](C)c1cccc(O)c1 ZINC000253194528 385669383 /nfs/dbraw/zinc/66/93/83/385669383.db2.gz QEJBJZVGBTWCBL-IUODEOHRSA-N 0 3 249.354 2.954 20 0 BFADHN CC(C)n1cncc1CN[C@@H]1CCC1(C)C ZINC000336684525 385670995 /nfs/dbraw/zinc/67/09/95/385670995.db2.gz ZPOGNZSQHWWXQW-GFCCVEGCSA-N 0 3 221.348 2.742 20 0 BFADHN c1csc(C2(NCC3CC3)CCCC2)n1 ZINC000116373921 385729917 /nfs/dbraw/zinc/72/99/17/385729917.db2.gz JIMIEEAQNJBLRJ-UHFFFAOYSA-N 0 3 222.357 2.912 20 0 BFADHN CC[C@@H](C)[C@H](C)NCC(=O)N(C)c1ccccc1 ZINC000183033441 385729956 /nfs/dbraw/zinc/72/99/56/385729956.db2.gz HMTUBGQHPRNYGZ-OLZOCXBDSA-N 0 3 248.370 2.674 20 0 BFADHN CO[C@H](C)CNCc1ccccc1C(F)(F)F ZINC000183017280 385730579 /nfs/dbraw/zinc/73/05/79/385730579.db2.gz YHYLMYIMXDAYOG-SECBINFHSA-N 0 3 247.260 2.830 20 0 BFADHN CC[C@H](CNCc1cc(F)ccc1C)OC ZINC000289926774 385730893 /nfs/dbraw/zinc/73/08/93/385730893.db2.gz VVMHTLUPJOPBLJ-CYBMUJFWSA-N 0 3 225.307 2.649 20 0 BFADHN C[C@@H](CC1CCC1)NCc1cc2n(n1)CCCC2 ZINC000631250150 385731439 /nfs/dbraw/zinc/73/14/39/385731439.db2.gz GXBZKQAWXBBHIH-LBPRGKRZSA-N 0 3 247.386 2.888 20 0 BFADHN COCc1ccc(CN[C@@H]2CC[C@H](C)C2)o1 ZINC000336694855 385742355 /nfs/dbraw/zinc/74/23/55/385742355.db2.gz LKEQSNWFRVPOFF-WDEREUQCSA-N 0 3 223.316 2.704 20 0 BFADHN c1c(CN[C@@H]2CCC23CCC3)nc2ccccn12 ZINC000282236146 385743629 /nfs/dbraw/zinc/74/36/29/385743629.db2.gz PRJBCTXQWXXYOK-CYBMUJFWSA-N 0 3 241.338 2.757 20 0 BFADHN c1c(CN[C@H]2CCC23CCC3)nc2ccccn12 ZINC000282236154 385744145 /nfs/dbraw/zinc/74/41/45/385744145.db2.gz PRJBCTXQWXXYOK-ZDUSSCGKSA-N 0 3 241.338 2.757 20 0 BFADHN Cc1ccoc1CNCCc1csc(C)n1 ZINC000230640383 385745175 /nfs/dbraw/zinc/74/51/75/385745175.db2.gz YXRZJFZVXKFEPI-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN CS[C@H](CN[C@H](C)c1ncc[nH]1)C(C)(C)C ZINC000336696658 385746248 /nfs/dbraw/zinc/74/62/48/385746248.db2.gz LXWUINZECCXYDR-NXEZZACHSA-N 0 3 241.404 2.838 20 0 BFADHN CCc1ccc(CN2CC[C@H](OC)C[C@@H]2C)o1 ZINC000282279219 385747617 /nfs/dbraw/zinc/74/76/17/385747617.db2.gz KXQRQAFWUDXFGN-AAEUAGOBSA-N 0 3 237.343 2.841 20 0 BFADHN CCc1ccc(CN[C@@H](C)c2cnn(C)c2)cc1 ZINC000230672041 385747891 /nfs/dbraw/zinc/74/78/91/385747891.db2.gz OURMHIKCLFNXRH-LBPRGKRZSA-N 0 3 243.354 2.833 20 0 BFADHN Cc1ccc(F)c(CN[C@@H](CCO)C(C)C)c1 ZINC000230690238 385749650 /nfs/dbraw/zinc/74/96/50/385749650.db2.gz ZEIJAALIDBWYMF-AWEZNQCLSA-N 0 3 239.334 2.631 20 0 BFADHN COc1cccc(CN[C@@H](C)CCC2CC2)n1 ZINC000186321836 385754552 /nfs/dbraw/zinc/75/45/52/385754552.db2.gz TZJZOVSPLBQTCI-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN C[C@H](N[C@@H](CO)CC1CCC1)c1cccc(O)c1 ZINC000282378684 385756018 /nfs/dbraw/zinc/75/60/18/385756018.db2.gz QPZAMUXVUCQCOD-SMDDNHRTSA-N 0 3 249.354 2.594 20 0 BFADHN COC[C@H](NCCCF)c1ccc(F)c(F)c1 ZINC000183350071 385756647 /nfs/dbraw/zinc/75/66/47/385756647.db2.gz RKVXDDSZHXBRAT-LBPRGKRZSA-N 0 3 247.260 2.602 20 0 BFADHN CCc1nc(C)c(CN[C@H](C)CC2CC2)o1 ZINC000309636369 385763752 /nfs/dbraw/zinc/76/37/52/385763752.db2.gz JNWJLTOKWYVXTO-SECBINFHSA-N 0 3 222.332 2.824 20 0 BFADHN Cc1ccc2c(c1)[C@@H](N[C@@H]1C=C[C@H](CO)C1)CC2 ZINC000183575897 385772314 /nfs/dbraw/zinc/77/23/14/385772314.db2.gz SSANPMNTTHBTSL-BJJXKVORSA-N 0 3 243.350 2.509 20 0 BFADHN CCN(CCOC(C)(C)C)Cc1ccncc1 ZINC000271036433 385774177 /nfs/dbraw/zinc/77/41/77/385774177.db2.gz RSQHTBIOCPCTGO-UHFFFAOYSA-N 0 3 236.359 2.719 20 0 BFADHN COCc1ccc(CN(C(C)C)C2CC2)o1 ZINC000271059138 385779422 /nfs/dbraw/zinc/77/94/22/385779422.db2.gz DIPYILGNNCNYRS-UHFFFAOYSA-N 0 3 223.316 2.799 20 0 BFADHN C[C@]1(O)CCN(Cc2cccc(C3CC3)c2)C1 ZINC000335550496 385783096 /nfs/dbraw/zinc/78/30/96/385783096.db2.gz LCLNQDITWISSPK-HNNXBMFYSA-N 0 3 231.339 2.521 20 0 BFADHN CCc1cccc(F)c1CN[C@@H]1CCSC1 ZINC000309667392 385784850 /nfs/dbraw/zinc/78/48/50/385784850.db2.gz UUOKYKQGUAQPJD-LLVKDONJSA-N 0 3 239.359 2.983 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]CCc2ccccc2)c1 ZINC000228717525 385720779 /nfs/dbraw/zinc/72/07/79/385720779.db2.gz QGTHIAAGMQFBPM-UHFFFAOYSA-N 0 3 245.297 2.864 20 0 BFADHN CC(C)(C)CCN1CC(CO)(c2ccccc2)C1 ZINC000414547714 385724187 /nfs/dbraw/zinc/72/41/87/385724187.db2.gz HZYYLVSOCTVJGG-UHFFFAOYSA-N 0 3 247.382 2.669 20 0 BFADHN COc1ncccc1CN[C@H]1CCC12CCC2 ZINC000282044651 385726341 /nfs/dbraw/zinc/72/63/41/385726341.db2.gz GDJAYARJEIBIRX-LBPRGKRZSA-N 0 3 232.327 2.513 20 0 BFADHN Cc1ccc(F)c(CNCC(C)(C)CCO)c1 ZINC000231403936 385799664 /nfs/dbraw/zinc/79/96/64/385799664.db2.gz XVACETHRTKYWMB-UHFFFAOYSA-N 0 3 239.334 2.632 20 0 BFADHN COC[C@@H]1CCN(Cc2ccc([C@H]3C[C@H]3C)o2)C1 ZINC000271199882 385802380 /nfs/dbraw/zinc/80/23/80/385802380.db2.gz TWLMKXRSQDTJQF-BZPMIXESSA-N 0 3 249.354 2.871 20 0 BFADHN C[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccncc1 ZINC000340452703 385802892 /nfs/dbraw/zinc/80/28/92/385802892.db2.gz UELJNPIFCMMCPQ-QWRGUYRKSA-N 0 3 243.310 2.594 20 0 BFADHN Cc1cccc2c1ncc(C)c2N[C@@H](C)CO ZINC000183910240 385803246 /nfs/dbraw/zinc/80/32/46/385803246.db2.gz LCTDVUNCNGJZSN-NSHDSACASA-N 0 3 230.311 2.644 20 0 BFADHN CCOc1ccccc1CNC[C@@H](CC)OC ZINC000289969913 385803402 /nfs/dbraw/zinc/80/34/02/385803402.db2.gz YWVHAOKXLIGYTH-CYBMUJFWSA-N 0 3 237.343 2.600 20 0 BFADHN CC[C@H](CNCc1ccc(Cl)cc1F)OC ZINC000289969874 385803543 /nfs/dbraw/zinc/80/35/43/385803543.db2.gz YVVKNJKBIYZHJX-LLVKDONJSA-N 0 3 245.725 2.994 20 0 BFADHN Cc1cccc2c1ncc(C)c2N[C@H](C)CO ZINC000183910260 385804468 /nfs/dbraw/zinc/80/44/68/385804468.db2.gz LCTDVUNCNGJZSN-LLVKDONJSA-N 0 3 230.311 2.644 20 0 BFADHN C[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1cnns1 ZINC000571601775 385804753 /nfs/dbraw/zinc/80/47/53/385804753.db2.gz AYWBJZSQNCNSPA-JFGNBEQYSA-N 0 3 237.372 2.691 20 0 BFADHN C[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1cnns1 ZINC000571601773 385805667 /nfs/dbraw/zinc/80/56/67/385805667.db2.gz AYWBJZSQNCNSPA-CKYFFXLPSA-N 0 3 237.372 2.691 20 0 BFADHN C[C@@]1(c2ccccc2)CCCN([C@H]2CCOC2)C1 ZINC000271245422 385809530 /nfs/dbraw/zinc/80/95/30/385809530.db2.gz CCWOZVOZDHZIQC-JKSUJKDBSA-N 0 3 245.366 2.829 20 0 BFADHN CC[C@H](CNCc1ccc([C@H]2C[C@@H]2C)o1)OC ZINC000336714651 385811915 /nfs/dbraw/zinc/81/19/15/385811915.db2.gz PFOORVJJHDFGHN-LOWVWBTDSA-N 0 3 237.343 2.918 20 0 BFADHN Cc1ccsc1[C@@H](C)NCCOCC(F)F ZINC000231688931 385813840 /nfs/dbraw/zinc/81/38/40/385813840.db2.gz UIQMFQKRHYPLBY-SECBINFHSA-N 0 3 249.326 2.989 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2ncccc2C)C1(C)C ZINC000271865892 385857295 /nfs/dbraw/zinc/85/72/95/385857295.db2.gz LKCYYCQCRCUTKP-IACUBPJLSA-N 0 3 248.370 2.539 20 0 BFADHN FC(F)(F)C1CC(NCc2cncs2)C1 ZINC000336740607 385817375 /nfs/dbraw/zinc/81/73/75/385817375.db2.gz ULQWOTDIXMUJES-UHFFFAOYSA-N 0 3 236.262 2.574 20 0 BFADHN CC(C)[C@]1(CO)CCCN(Cc2ccco2)C1 ZINC000294197075 385820079 /nfs/dbraw/zinc/82/00/79/385820079.db2.gz XPGSSEVFDSXUKK-CQSZACIVSA-N 0 3 237.343 2.510 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H]1COc2c1ccc(C)c2C ZINC000271423257 385827153 /nfs/dbraw/zinc/82/71/53/385827153.db2.gz CDQOUYGNCMCXPW-BZPMIXESSA-N 0 3 249.354 2.750 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@@H](C)C2)s1 ZINC000271424141 385827343 /nfs/dbraw/zinc/82/73/43/385827343.db2.gz ZEHDHAXMJZVKEW-IUCAKERBSA-N 0 3 210.346 2.539 20 0 BFADHN C[C@@H](N[C@H]1CCCc2cn[nH]c21)c1ccccn1 ZINC000271455899 385831109 /nfs/dbraw/zinc/83/11/09/385831109.db2.gz FMJREJKVDBLYDW-MFKMUULPSA-N 0 3 242.326 2.533 20 0 BFADHN COC[C@H](NCc1coc2ccccc12)C1CC1 ZINC000121330765 385837445 /nfs/dbraw/zinc/83/74/45/385837445.db2.gz GSLLODRATKABTE-AWEZNQCLSA-N 0 3 245.322 2.947 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1cnccc1C ZINC000271561749 385837944 /nfs/dbraw/zinc/83/79/44/385837944.db2.gz ODTJEPDWMAYVHR-BPLDGKMQSA-N 0 3 248.370 2.998 20 0 BFADHN C[C@H](NCCCc1ccccn1)c1cscn1 ZINC000271575420 385838358 /nfs/dbraw/zinc/83/83/58/385838358.db2.gz ZMWBRMLYDYXZRH-NSHDSACASA-N 0 3 247.367 2.822 20 0 BFADHN Cc1cnccc1CCN[C@H](C)c1cncs1 ZINC000271576961 385838794 /nfs/dbraw/zinc/83/87/94/385838794.db2.gz ZTAZJCBTEWPYKA-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN CC(C)CCC[C@H](C)NCc1ccn(C)n1 ZINC000112337336 385839254 /nfs/dbraw/zinc/83/92/54/385839254.db2.gz NCQPGAWYTSMNHJ-LBPRGKRZSA-N 0 3 223.364 2.725 20 0 BFADHN C[C@H](NC[C@]1(C)CCCC[C@H]1O)c1cccnc1 ZINC000271615119 385840441 /nfs/dbraw/zinc/84/04/41/385840441.db2.gz WLRHPDLEQWGDTL-CFVMTHIKSA-N 0 3 248.370 2.673 20 0 BFADHN CC(C)(C)CNCc1c(F)ccc(O)c1F ZINC000294365068 385841129 /nfs/dbraw/zinc/84/11/29/385841129.db2.gz UBWIZAQTPKKXCU-UHFFFAOYSA-N 0 3 229.270 2.806 20 0 BFADHN CO[C@H](CN[C@H](C)c1cnccc1C)C(C)C ZINC000271622901 385841201 /nfs/dbraw/zinc/84/12/01/385841201.db2.gz YRDIMGUWPUHNKE-TZMCWYRMSA-N 0 3 236.359 2.712 20 0 BFADHN CO[C@H](C)CN(Cc1cc(C)ns1)C(C)C ZINC000638114296 385849756 /nfs/dbraw/zinc/84/97/56/385849756.db2.gz YGXMKZROHLDZAJ-LLVKDONJSA-N 0 3 242.388 2.697 20 0 BFADHN Cc1ccc(CN2CC[C@@H](N3CCCCC3)C2)o1 ZINC000271152557 385791304 /nfs/dbraw/zinc/79/13/04/385791304.db2.gz FJFZMXDPEHYJPH-CQSZACIVSA-N 0 3 248.370 2.648 20 0 BFADHN COCc1ccc(CN2CC[C@H](C)[C@H](C)C2)o1 ZINC000271170400 385796914 /nfs/dbraw/zinc/79/69/14/385796914.db2.gz HKWNOQJXLRSIGU-NWDGAFQWSA-N 0 3 237.343 2.904 20 0 BFADHN CC[C@H](CO)N(C)Cc1cc(C)sc1C ZINC000294694975 385883938 /nfs/dbraw/zinc/88/39/38/385883938.db2.gz CARUPVKFAVLTPU-GFCCVEGCSA-N 0 3 227.373 2.568 20 0 BFADHN CCO[C@H]1CCN(Cc2cccc(C)n2)C[C@@H]1C ZINC000451553503 385885632 /nfs/dbraw/zinc/88/56/32/385885632.db2.gz ZPNUCGBVDBNZDW-WFASDCNBSA-N 0 3 248.370 2.637 20 0 BFADHN CO[C@H](C)CN1CCC=C(c2ccccc2)C1 ZINC000284844280 385886915 /nfs/dbraw/zinc/88/69/15/385886915.db2.gz SUGTVRPFHDKKCD-CYBMUJFWSA-N 0 3 231.339 2.811 20 0 BFADHN COc1c(O)cccc1CN1CCC[C@H](C)C1 ZINC000272241841 385887612 /nfs/dbraw/zinc/88/76/12/385887612.db2.gz GPTKLSMCGHJPJU-NSHDSACASA-N 0 3 235.327 2.633 20 0 BFADHN CC(C)[C@@H](CO)N[C@@H](C)c1cccc(F)c1F ZINC000232694968 385887846 /nfs/dbraw/zinc/88/78/46/385887846.db2.gz VPORAANYXHWPDC-JOYOIKCWSA-N 0 3 243.297 2.632 20 0 BFADHN COc1c(O)cccc1CN1CCC[C@@H](C)C1 ZINC000272241840 385888072 /nfs/dbraw/zinc/88/80/72/385888072.db2.gz GPTKLSMCGHJPJU-LLVKDONJSA-N 0 3 235.327 2.633 20 0 BFADHN Cc1cc(C)nc(NCc2cncs2)c1 ZINC000122296603 385896997 /nfs/dbraw/zinc/89/69/97/385896997.db2.gz BNYIHQIEFMDQFU-UHFFFAOYSA-N 0 3 219.313 2.767 20 0 BFADHN Cc1cc(CN2CCS[C@H](C)C2)cs1 ZINC000294798947 385898182 /nfs/dbraw/zinc/89/81/82/385898182.db2.gz LHJIKVVVLBKUII-SNVBAGLBSA-N 0 3 227.398 2.994 20 0 BFADHN Cc1cnccc1CN1CC[C@@H](OCC(C)C)C1 ZINC000294813400 385899709 /nfs/dbraw/zinc/89/97/09/385899709.db2.gz MESSGBDVDLOHGR-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN CO[C@@H]1CCCN(Cc2csc(C)c2)C1 ZINC000294830300 385902406 /nfs/dbraw/zinc/90/24/06/385902406.db2.gz NKJDKSQQHIREBT-GFCCVEGCSA-N 0 3 225.357 2.667 20 0 BFADHN Cc1ccc(CN(C)CC(C)(C)CO)s1 ZINC000294861527 385905651 /nfs/dbraw/zinc/90/56/51/385905651.db2.gz TXDXQBJRTWXKCK-UHFFFAOYSA-N 0 3 227.373 2.507 20 0 BFADHN C[C@]12C[C@H]1CCC[C@H]2NCc1cscn1 ZINC000336746245 385929566 /nfs/dbraw/zinc/92/95/66/385929566.db2.gz BSQSLCOGLMZEGH-JLLWLGSASA-N 0 3 222.357 2.811 20 0 BFADHN CCC[C@@H](O)CN[C@H](CC)c1nc(C)cs1 ZINC000294874042 385907991 /nfs/dbraw/zinc/90/79/91/385907991.db2.gz BIBFDSRWURNWBP-GHMZBOCLSA-N 0 3 242.388 2.653 20 0 BFADHN COCc1ccc(CNCC2CC(F)(F)C2)o1 ZINC000291724056 385911189 /nfs/dbraw/zinc/91/11/89/385911189.db2.gz MXWXYFFBSJYUBO-UHFFFAOYSA-N 0 3 245.269 2.561 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC[C@@H]2C[C@@]21C ZINC000336721566 385911935 /nfs/dbraw/zinc/91/19/35/385911935.db2.gz ZVXNBBXHRKCQIS-JLLWLGSASA-N 0 3 237.372 2.515 20 0 BFADHN CCc1ccc(CN2CC[C@H](OC)[C@@H](C)C2)nc1 ZINC000451578733 385911964 /nfs/dbraw/zinc/91/19/64/385911964.db2.gz XLFNKDUBEFTZEV-WFASDCNBSA-N 0 3 248.370 2.501 20 0 BFADHN Cc1cnc(CNC[C@@H]2CC2(C)C)s1 ZINC000394725126 385915487 /nfs/dbraw/zinc/91/54/87/385915487.db2.gz IRDJXOSRMZQXEL-VIFPVBQESA-N 0 3 210.346 2.587 20 0 BFADHN FCCCCN1CCc2ccccc2C1 ZINC000285358473 385917940 /nfs/dbraw/zinc/91/79/40/385917940.db2.gz ZUYWPBMSYFQPGF-UHFFFAOYSA-N 0 3 207.292 2.794 20 0 BFADHN c1coc(CN2CCC3(CCOCC3)CC2)c1 ZINC000285379231 385919781 /nfs/dbraw/zinc/91/97/81/385919781.db2.gz ZSZHZEQNGMHMRQ-UHFFFAOYSA-N 0 3 235.327 2.672 20 0 BFADHN CC/C=C/CNCc1cnn(CC(C)C)c1 ZINC000294932629 385919907 /nfs/dbraw/zinc/91/99/07/385919907.db2.gz GIZJIVDCXCUZJN-AATRIKPKSA-N 0 3 221.348 2.595 20 0 BFADHN CN(Cc1ccc(C(F)F)cc1)C1(CO)CC1 ZINC000285379651 385920943 /nfs/dbraw/zinc/92/09/43/385920943.db2.gz CRSACNVYYNRHRD-UHFFFAOYSA-N 0 3 241.281 2.581 20 0 BFADHN CS[C@H]1CCN(Cc2ccsc2)C1 ZINC000294937784 385921397 /nfs/dbraw/zinc/92/13/97/385921397.db2.gz BZCOVTQRYSWIMZ-JTQLQIEISA-N 0 3 213.371 2.685 20 0 BFADHN CS[C@@H]1CCN(Cc2ccc(C)o2)C1 ZINC000294945758 385922191 /nfs/dbraw/zinc/92/21/91/385922191.db2.gz COLDXRXOMLBKDL-LLVKDONJSA-N 0 3 211.330 2.525 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1ccncc1Cl ZINC000335034690 385923188 /nfs/dbraw/zinc/92/31/88/385923188.db2.gz OGXHQBILOPNFQN-UWVGGRQHSA-N 0 3 240.734 2.565 20 0 BFADHN CC(C)[C@@H](O)C1(CN[C@@H](C)c2cccnc2)CC1 ZINC000271883907 385859778 /nfs/dbraw/zinc/85/97/78/385859778.db2.gz QEICPIKSHYIKHF-GXTWGEPZSA-N 0 3 248.370 2.529 20 0 BFADHN CCc1ccc(CN2CCC[C@H]2[C@@H]2CCCO2)o1 ZINC000284307956 385861132 /nfs/dbraw/zinc/86/11/32/385861132.db2.gz BZBDGERAOCZKTA-GJZGRUSLSA-N 0 3 249.354 2.985 20 0 BFADHN CN(Cc1ccno1)C1CCCCCC1 ZINC000284307174 385862702 /nfs/dbraw/zinc/86/27/02/385862702.db2.gz JGAJPZZYGUZIRV-UHFFFAOYSA-N 0 3 208.305 2.829 20 0 BFADHN CCc1ccc(CN2CCC[C@@H]2[C@@H]2CCCO2)o1 ZINC000284307953 385862716 /nfs/dbraw/zinc/86/27/16/385862716.db2.gz BZBDGERAOCZKTA-CABCVRRESA-N 0 3 249.354 2.985 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C[C@@H]2C)nc1 ZINC000271994439 385866707 /nfs/dbraw/zinc/86/67/07/385866707.db2.gz QMJJVXGOKOAGJY-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN CC(C)[C@](C)(O)CNCc1ccc(Cl)s1 ZINC000123267533 385866929 /nfs/dbraw/zinc/86/69/29/385866929.db2.gz OJXVVCVJKYTLFG-LLVKDONJSA-N 0 3 247.791 2.898 20 0 BFADHN COc1ncccc1CN[C@@H]1CC[C@H]1C1CCC1 ZINC000337988700 385869642 /nfs/dbraw/zinc/86/96/42/385869642.db2.gz NKUWULRQXUMDHD-UONOGXRCSA-N 0 3 246.354 2.759 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccc(OC)cn1 ZINC000272063537 385873449 /nfs/dbraw/zinc/87/34/49/385873449.db2.gz CUNNTPFISPKURY-ZDUSSCGKSA-N 0 3 234.343 2.855 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccco1)[C@@H]1CCCOC1 ZINC000336738415 385874958 /nfs/dbraw/zinc/87/49/58/385874958.db2.gz KDTYOMATLVFADG-GRYCIOLGSA-N 0 3 223.316 2.745 20 0 BFADHN C[C@@H](N[C@H](CO)CC1CCCC1)c1ccncc1 ZINC000338007164 385877071 /nfs/dbraw/zinc/87/70/71/385877071.db2.gz KJNKNJXVLHNHAY-DOMZBBRYSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H](N[C@H](CO)CC1CCCC1)c1ccccn1 ZINC000338007188 385877089 /nfs/dbraw/zinc/87/70/89/385877089.db2.gz KSMGMKNJRRSZGL-OCCSQVGLSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H](NC[C@@H]1CCSC1)c1cscn1 ZINC000228556702 385877660 /nfs/dbraw/zinc/87/76/60/385877660.db2.gz MFNXDXLMBLAYCE-BDAKNGLRSA-N 0 3 228.386 2.547 20 0 BFADHN C[C@@H](N[C@H](CO)CC1CCCC1)c1cccnc1 ZINC000338007930 385879754 /nfs/dbraw/zinc/87/97/54/385879754.db2.gz QHQLCBIILSPDBO-DOMZBBRYSA-N 0 3 248.370 2.673 20 0 BFADHN CCCCN(CC)Cc1ccc(OC)cn1 ZINC000272155975 385880852 /nfs/dbraw/zinc/88/08/52/385880852.db2.gz YFNMAHLVXOKQKE-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CCC2(CCC2)C1 ZINC000123389687 385881162 /nfs/dbraw/zinc/88/11/62/385881162.db2.gz CQCIXLZFTJOIOJ-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN CCCCC[C@@H](C)NCc1nccnc1OC ZINC000294677060 385881804 /nfs/dbraw/zinc/88/18/04/385881804.db2.gz DVWDWQDINRJERE-LLVKDONJSA-N 0 3 237.347 2.544 20 0 BFADHN CCOc1cccc(CNC2(COC)CCC2)c1 ZINC000284013204 385882124 /nfs/dbraw/zinc/88/21/24/385882124.db2.gz NDPVRHVPYUROQN-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CCC[C@H]2O)cs1 ZINC000294685516 385882566 /nfs/dbraw/zinc/88/25/66/385882566.db2.gz DMIJHKUTJHKZTC-QWHCGFSZSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@@H]1[C@H](O)CCCN1Cc1cc2ccccc2o1 ZINC000294683460 385882723 /nfs/dbraw/zinc/88/27/23/385882723.db2.gz ACZSQCGVCDDQDN-BXUZGUMPSA-N 0 3 245.322 2.778 20 0 BFADHN CN(CCCCCF)Cc1ccccn1 ZINC000295167255 385981563 /nfs/dbraw/zinc/98/15/63/385981563.db2.gz NXYZPBFNERTTJX-UHFFFAOYSA-N 0 3 210.296 2.653 20 0 BFADHN C[C@@H](CCO)NCc1ccc(Cl)c(Cl)c1 ZINC000096811802 385986454 /nfs/dbraw/zinc/98/64/54/385986454.db2.gz DXOPUPYLHBSGBM-QMMMGPOBSA-N 0 3 248.153 2.854 20 0 BFADHN COC[C@@]1(C)CCN(Cc2ccsc2)C1 ZINC000295184450 385988178 /nfs/dbraw/zinc/98/81/78/385988178.db2.gz WGWXZDJLOKJAGL-LBPRGKRZSA-N 0 3 225.357 2.607 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cc(C)ccn1)C(C)C ZINC000286019577 385988251 /nfs/dbraw/zinc/98/82/51/385988251.db2.gz JPJQGEWXHCSNLI-OCCSQVGLSA-N 0 3 236.359 2.712 20 0 BFADHN CC[C@H](NCc1cnccc1OC)[C@H]1C[C@@H]1C ZINC000414143473 385991815 /nfs/dbraw/zinc/99/18/15/385991815.db2.gz NMFUVYUPZDQCPS-DRZSPHRISA-N 0 3 234.343 2.614 20 0 BFADHN CO[C@@H](CSCCN(C)C)c1ccccc1 ZINC000338405821 385992660 /nfs/dbraw/zinc/99/26/60/385992660.db2.gz HTWPSLIHEITBQO-ZDUSSCGKSA-N 0 3 239.384 2.669 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@@H]1C)c1ccn(C)n1 ZINC000414046301 385931612 /nfs/dbraw/zinc/93/16/12/385931612.db2.gz AITJVNMPWWPJGK-SRVKXCTJSA-N 0 3 221.348 2.649 20 0 BFADHN CCc1ccc([C@H](COC)NCCCCF)o1 ZINC000285477249 385931715 /nfs/dbraw/zinc/93/17/15/385931715.db2.gz CKGCTOKFNSHXAS-LBPRGKRZSA-N 0 3 243.322 2.869 20 0 BFADHN Cc1cn[nH]c1CNCc1cccc(Cl)c1 ZINC000289883668 385932143 /nfs/dbraw/zinc/93/21/43/385932143.db2.gz MMYGKLUIHHOWOM-UHFFFAOYSA-N 0 3 235.718 2.661 20 0 BFADHN Cc1nnsc1CNCC1(C)CCCC1 ZINC000309008691 385933312 /nfs/dbraw/zinc/93/33/12/385933312.db2.gz VUAGRRLAXCRGAD-UHFFFAOYSA-N 0 3 225.361 2.516 20 0 BFADHN CCC(CC)N[C@H](C)c1cc2n(n1)CCC2 ZINC000414056107 385933838 /nfs/dbraw/zinc/93/38/38/385933838.db2.gz PJWDZWMKACMIGJ-SNVBAGLBSA-N 0 3 221.348 2.669 20 0 BFADHN C[C@H](c1ccncc1)N1CCC[C@@]2(CCOC2)C1 ZINC000335041359 385934394 /nfs/dbraw/zinc/93/43/94/385934394.db2.gz RTFXZCRGILBNDA-UKRRQHHQSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@H]1C)c1ccn(C)n1 ZINC000414053423 385934741 /nfs/dbraw/zinc/93/47/41/385934741.db2.gz MDWQMXCREYZVRQ-FVCCEPFGSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1sccc1CN(C)C[C@@H]1CCCO1 ZINC000294989433 385934842 /nfs/dbraw/zinc/93/48/42/385934842.db2.gz TZTSGQYJIMPVEW-LBPRGKRZSA-N 0 3 225.357 2.667 20 0 BFADHN CCCCN(CC)Cc1cnn(CC(F)F)c1 ZINC000294982072 385934863 /nfs/dbraw/zinc/93/48/63/385934863.db2.gz SNISCCWNJVXYCE-UHFFFAOYSA-N 0 3 245.317 2.770 20 0 BFADHN CC[C@H](NCc1cn2ccsc2n1)[C@@H]1C[C@H]1C ZINC000414065934 385942420 /nfs/dbraw/zinc/94/24/20/385942420.db2.gz HNVZHOGKOMQCOR-JLLWLGSASA-N 0 3 249.383 2.920 20 0 BFADHN CCOc1ccccc1CN[C@@H]1C[C@@H]1C(F)F ZINC000342196098 385943844 /nfs/dbraw/zinc/94/38/44/385943844.db2.gz AJQZZKABQIAADV-WDEREUQCSA-N 0 3 241.281 2.829 20 0 BFADHN CC[C@H](NCc1cn2ccccc2n1)[C@@H]1C[C@H]1C ZINC000414071716 385945275 /nfs/dbraw/zinc/94/52/75/385945275.db2.gz UGGAWJJJDBNHTI-BNOWGMLFSA-N 0 3 243.354 2.859 20 0 BFADHN CO[C@H](C)CN(C)[C@H](C)c1cccc(F)c1 ZINC000272865227 385945664 /nfs/dbraw/zinc/94/56/64/385945664.db2.gz YLCROKTYKDPFBH-GHMZBOCLSA-N 0 3 225.307 2.853 20 0 BFADHN COC[C@]1(C)CCN(Cc2cccc(OC)c2)C1 ZINC000295033445 385946695 /nfs/dbraw/zinc/94/66/95/385946695.db2.gz DJBSKGLZQVKRAT-OAHLLOKOSA-N 0 3 249.354 2.554 20 0 BFADHN Cc1ccccc1CCN[C@H](C)c1ccn(C)n1 ZINC000414075816 385947030 /nfs/dbraw/zinc/94/70/30/385947030.db2.gz XXJZHMDGIXCYRE-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN CC(=O)c1cccc(CN2C[C@@H]3[C@H](C2)C3(C)C)c1 ZINC000295027923 385946965 /nfs/dbraw/zinc/94/69/65/385946965.db2.gz MSQAYYCEHACHQJ-GASCZTMLSA-N 0 3 243.350 2.977 20 0 BFADHN CCc1ccc([C@@H](O)CNCC=C(C)C)cc1 ZINC000285587560 385947671 /nfs/dbraw/zinc/94/76/71/385947671.db2.gz OTFVSBPWDSXYDJ-HNNXBMFYSA-N 0 3 233.355 2.838 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccn(C)n1)C(C)(C)C ZINC000414079112 385950678 /nfs/dbraw/zinc/95/06/78/385950678.db2.gz BWQYXQFHVNCMKS-JQWIXIFHSA-N 0 3 223.364 2.895 20 0 BFADHN CC(C)(C)[C@H]1CN(CCCCCF)CCO1 ZINC000342167604 385951588 /nfs/dbraw/zinc/95/15/88/385951588.db2.gz NVAKINAIIJROCY-GFCCVEGCSA-N 0 3 231.355 2.873 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1cc2n(n1)CCC2 ZINC000414082814 385951849 /nfs/dbraw/zinc/95/18/49/385951849.db2.gz WLDOTTGGLAMVTF-SRVKXCTJSA-N 0 3 235.375 2.915 20 0 BFADHN C[C@H](NC[C@@H]1CC=CCC1)c1cc2n(n1)CCC2 ZINC000414080724 385952102 /nfs/dbraw/zinc/95/21/02/385952102.db2.gz USZWLWLGVYPVIU-QWHCGFSZSA-N 0 3 245.370 2.836 20 0 BFADHN Cc1ccc2c(c1)CN(C(C)C)CCO2 ZINC000285683839 385953536 /nfs/dbraw/zinc/95/35/36/385953536.db2.gz UWUAYFGPVQWVGR-UHFFFAOYSA-N 0 3 205.301 2.598 20 0 BFADHN CO[C@@H](C)CN(C)C/C=C/c1ccccc1 ZINC000285673090 385953751 /nfs/dbraw/zinc/95/37/51/385953751.db2.gz MVZYDGDPKFZRHV-RSPDNQDQSA-N 0 3 219.328 2.667 20 0 BFADHN Cc1cncc(CN2CCSCC[C@@H]2C)c1 ZINC000286093101 385993728 /nfs/dbraw/zinc/99/37/28/385993728.db2.gz KSHOBYBEEFCPBF-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN CCOc1cccc(CN[C@@H]2CCC2(C)C)n1 ZINC000342205330 385956116 /nfs/dbraw/zinc/95/61/16/385956116.db2.gz IMZDJCRPLGBAIU-GFCCVEGCSA-N 0 3 234.343 2.759 20 0 BFADHN CN(Cc1ccccn1)[C@@H]1C=CCCC1 ZINC000295063726 385958106 /nfs/dbraw/zinc/95/81/06/385958106.db2.gz BZILGKFENBUVDC-CYBMUJFWSA-N 0 3 202.301 2.622 20 0 BFADHN CC[C@H](C)C[C@@H](C)N[C@H](C)c1ccn(C)n1 ZINC000414098892 385961541 /nfs/dbraw/zinc/96/15/41/385961541.db2.gz TWHSQLVYQFPRPU-QJPTWQEYSA-N 0 3 223.364 2.895 20 0 BFADHN C[C@H](NCc1ccc(Cl)cn1)[C@@H]1C[C@H]1C ZINC000414098498 385961562 /nfs/dbraw/zinc/96/15/62/385961562.db2.gz JSUCIXLGFALNLO-VDDIYKPWSA-N 0 3 224.735 2.869 20 0 BFADHN COC[C@H](N[C@H](C)[C@H]1C[C@@H]1C)c1ccc(C)o1 ZINC000414097683 385961843 /nfs/dbraw/zinc/96/18/43/385961843.db2.gz ILDHRYUZBNCDQL-RYDUCSDGSA-N 0 3 237.343 2.910 20 0 BFADHN C[C@H](NC[C@@H]1CCCC1(F)F)c1ccncn1 ZINC000390849299 385962907 /nfs/dbraw/zinc/96/29/07/385962907.db2.gz GZJQREAPOGXBSU-UWVGGRQHSA-N 0 3 241.285 2.563 20 0 BFADHN Cc1cc(C)cc([C@H](C)N[C@H]2C=C[C@@H](CO)C2)c1 ZINC000345049498 385964208 /nfs/dbraw/zinc/96/42/08/385964208.db2.gz GQEZAQKGZOHPRJ-LZWOXQAQSA-N 0 3 245.366 2.891 20 0 BFADHN CC[C@H](N[C@H](C)c1ccccc1)[C@H](O)C(F)F ZINC000295088980 385964351 /nfs/dbraw/zinc/96/43/51/385964351.db2.gz RISBFMOFZUTXKM-USWWRNFRSA-N 0 3 243.297 2.742 20 0 BFADHN Cc1ccnc([C@H](C)NCCCOC(C)C)c1 ZINC000285800171 385965141 /nfs/dbraw/zinc/96/51/41/385965141.db2.gz VHAPWWBUKQNHQC-ZDUSSCGKSA-N 0 3 236.359 2.856 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C=C[C@H](CO)C2)cc1C ZINC000345056787 385965954 /nfs/dbraw/zinc/96/59/54/385965954.db2.gz RWYYAGPSKFLDIL-DZKIICNBSA-N 0 3 245.366 2.891 20 0 BFADHN CC[C@H](N[C@H]1C=C[C@@H](CO)C1)c1ccc(C)cc1 ZINC000345054333 385966495 /nfs/dbraw/zinc/96/64/95/385966495.db2.gz DXYPXVFLRRIOEH-KBMXLJTQSA-N 0 3 245.366 2.973 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C=C[C@@H](CO)C2)cc1C ZINC000345056791 385967126 /nfs/dbraw/zinc/96/71/26/385967126.db2.gz RWYYAGPSKFLDIL-SQWLQELKSA-N 0 3 245.366 2.891 20 0 BFADHN CC(C)C[C@H](C)N[C@H](C)c1cc2n(n1)CCC2 ZINC000414104181 385967265 /nfs/dbraw/zinc/96/72/65/385967265.db2.gz OCOHHAASNOBQJN-NWDGAFQWSA-N 0 3 235.375 2.915 20 0 BFADHN CC(C)C[C@@H](C)N[C@H](C)c1cc2n(n1)CCC2 ZINC000414104183 385967360 /nfs/dbraw/zinc/96/73/60/385967360.db2.gz OCOHHAASNOBQJN-VXGBXAGGSA-N 0 3 235.375 2.915 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1ccc(OC)cc1C ZINC000414105528 385967772 /nfs/dbraw/zinc/96/77/72/385967772.db2.gz POUYCJHSNJANPI-NEPJUHHUSA-N 0 3 237.343 2.689 20 0 BFADHN C[C@@H](C1CCCCC1)N(C)Cc1ccn(C)n1 ZINC000338337698 385969159 /nfs/dbraw/zinc/96/91/59/385969159.db2.gz AWSWXFUNRULRAF-LBPRGKRZSA-N 0 3 235.375 2.821 20 0 BFADHN CC/C=C/CNCc1c(CC)nn(C)c1CC ZINC000295109221 385970040 /nfs/dbraw/zinc/97/00/40/385970040.db2.gz UTTRMJTXUCPFDA-CMDGGOBGSA-N 0 3 235.375 2.601 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@H](C)[C@@H]2C[C@H]2C)[n-]1 ZINC000414107315 385970451 /nfs/dbraw/zinc/97/04/51/385970451.db2.gz RYBDVVJDUYZABG-CHWFTXMASA-N 0 3 236.363 2.623 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@H](C)[C@@H]2C[C@H]2C)[nH]1 ZINC000414107315 385970456 /nfs/dbraw/zinc/97/04/56/385970456.db2.gz RYBDVVJDUYZABG-CHWFTXMASA-N 0 3 236.363 2.623 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCCCC1(F)F ZINC000295126264 385972280 /nfs/dbraw/zinc/97/22/80/385972280.db2.gz NYNQEZJGEKAVQH-JTQLQIEISA-N 0 3 243.301 2.633 20 0 BFADHN CO[C@H](C)CN(C)Cc1cc(C)sc1C ZINC000285886038 385974954 /nfs/dbraw/zinc/97/49/54/385974954.db2.gz YZLBNZYQYPFHGR-SECBINFHSA-N 0 3 227.373 2.832 20 0 BFADHN CCCc1cccc(CN[C@@H]2CCOC2)c1 ZINC000336769973 385997161 /nfs/dbraw/zinc/99/71/61/385997161.db2.gz SMIWFXJTEHQBBS-CQSZACIVSA-N 0 3 219.328 2.518 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H]1C)c1ccn(C)n1 ZINC000414156668 385997475 /nfs/dbraw/zinc/99/74/75/385997475.db2.gz VFDYIJUPCBHAIJ-SDDRHHMPSA-N 0 3 221.348 2.507 20 0 BFADHN CC[C@H](N[C@H]1CC[C@@H](C)C1)c1ccn(C)n1 ZINC000336749757 385998613 /nfs/dbraw/zinc/99/86/13/385998613.db2.gz YYBBKGWXDRFIAY-WOPDTQHZSA-N 0 3 221.348 2.649 20 0 BFADHN CCS[C@@H]1CCC[C@H]1NCc1ccccn1 ZINC000233849209 386006621 /nfs/dbraw/zinc/00/66/21/386006621.db2.gz UHULLZWDBVRLLI-CHWSQXEVSA-N 0 3 236.384 2.845 20 0 BFADHN CN(Cc1ccccn1)C[C@@H]1CC=CCC1 ZINC000273517297 386010146 /nfs/dbraw/zinc/01/01/46/386010146.db2.gz JHGDTAQZHZSDMI-CYBMUJFWSA-N 0 3 216.328 2.870 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)[C@H]1C)c1ccncc1F ZINC000336752177 386015276 /nfs/dbraw/zinc/01/52/76/386015276.db2.gz VMXIOJSNBGJVCK-ORXSELOVSA-N 0 3 222.307 2.916 20 0 BFADHN CCC1(NCc2cc(C)on2)CCCC1 ZINC000295356664 386018294 /nfs/dbraw/zinc/01/82/94/386018294.db2.gz YWRRTTUAOGJBAU-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@@H](CC(C)C)C1 ZINC000356110185 386022280 /nfs/dbraw/zinc/02/22/80/386022280.db2.gz LUBXCCLTCFMEGD-ZDUSSCGKSA-N 0 3 235.375 2.976 20 0 BFADHN Cc1nocc1CN1CC[C@@H](C)C[C@H]1C ZINC000295390426 386022721 /nfs/dbraw/zinc/02/27/21/386022721.db2.gz PUFJIYBPNSFEOT-NXEZZACHSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@H]1CC(C)C)c1cnn(C)c1 ZINC000414185105 386025530 /nfs/dbraw/zinc/02/55/30/386025530.db2.gz PBVGUMJXFIVEJH-MRVWCRGKSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1cccc2c1ncc(C)c2N[C@H]1C[C@@H](O)C1 ZINC000295409722 386026535 /nfs/dbraw/zinc/02/65/35/386026535.db2.gz SOCXDHDJJXQQCZ-TXEJJXNPSA-N 0 3 242.322 2.787 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3CC[C@@H](F)C3)cn2c1 ZINC000334421363 386027028 /nfs/dbraw/zinc/02/70/28/386027028.db2.gz BDQOOAXEPVVPHT-VXGBXAGGSA-N 0 3 247.317 2.623 20 0 BFADHN CCCc1ccc(CN(C)C2CC(O)C2)cc1 ZINC000353493223 386028433 /nfs/dbraw/zinc/02/84/33/386028433.db2.gz WJNOUNHWECQRLO-UHFFFAOYSA-N 0 3 233.355 2.594 20 0 BFADHN CCCc1cccc(CN[C@@H](C)COC)c1 ZINC000336771275 386033550 /nfs/dbraw/zinc/03/35/50/386033550.db2.gz UJPGMSHLZUJWCF-LBPRGKRZSA-N 0 3 221.344 2.764 20 0 BFADHN Cc1nccc(CN2CCCC[C@@H]2C(C)C)n1 ZINC000335072461 386034645 /nfs/dbraw/zinc/03/46/45/386034645.db2.gz PVTOKQWJJXDYDV-CQSZACIVSA-N 0 3 233.359 2.796 20 0 BFADHN CCOCCN1Cc2ccccc2C(C)(C)C1 ZINC000340229202 386037803 /nfs/dbraw/zinc/03/78/03/386037803.db2.gz BILMADUNFAQDJV-UHFFFAOYSA-N 0 3 233.355 2.816 20 0 BFADHN CC[C@@H](CSC)NCc1cnc(C)s1 ZINC000162168702 386037915 /nfs/dbraw/zinc/03/79/15/386037915.db2.gz CJJQWYJBKNDYLN-VIFPVBQESA-N 0 3 230.402 2.683 20 0 BFADHN Cc1cc(CNC[C@H](C)c2ccccc2)nn1C ZINC000414248821 386057182 /nfs/dbraw/zinc/05/71/82/386057182.db2.gz FXKYCTJKULNQRC-LBPRGKRZSA-N 0 3 243.354 2.622 20 0 BFADHN CCn1ccc(CN[C@@H]2CCCC[C@H]2C)n1 ZINC000414203904 386040056 /nfs/dbraw/zinc/04/00/56/386040056.db2.gz FOVAEHAJOXAOPP-DGCLKSJQSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccc(CN[C@H]2CCCC[C@H]2C)n1 ZINC000414203908 386040327 /nfs/dbraw/zinc/04/03/27/386040327.db2.gz FOVAEHAJOXAOPP-YPMHNXCESA-N 0 3 221.348 2.571 20 0 BFADHN CCC[C@H](CC)NCc1ccn(CC)n1 ZINC000414204159 386040687 /nfs/dbraw/zinc/04/06/87/386040687.db2.gz FQVXRIKZFYHHHE-NSHDSACASA-N 0 3 209.337 2.571 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@H](C3CC3)C2)n1 ZINC000414203838 386041092 /nfs/dbraw/zinc/04/10/92/386041092.db2.gz FNARAMASOIAELJ-UONOGXRCSA-N 0 3 247.386 2.961 20 0 BFADHN CCCCN(Cc1conc1C)C1CC1 ZINC000295493036 386041320 /nfs/dbraw/zinc/04/13/20/386041320.db2.gz XUYDOQQCXBSIHW-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN CO[C@H](CN1CCc2ccc(F)cc2C1)C1CC1 ZINC000425423088 386042136 /nfs/dbraw/zinc/04/21/36/386042136.db2.gz YORRGCVQNNXNFY-OAHLLOKOSA-N 0 3 249.329 2.609 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H]1C1CC1)c1ccncn1 ZINC000414204912 386043269 /nfs/dbraw/zinc/04/32/69/386043269.db2.gz WVCVHFQHHUJCKV-FPMFFAJLSA-N 0 3 245.370 2.954 20 0 BFADHN Cc1cc(CNC[C@@H](C)c2ccccc2)nn1C ZINC000414248820 386057315 /nfs/dbraw/zinc/05/73/15/386057315.db2.gz FXKYCTJKULNQRC-GFCCVEGCSA-N 0 3 243.354 2.622 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H]1C1CC1)c1ccncn1 ZINC000414204914 386043670 /nfs/dbraw/zinc/04/36/70/386043670.db2.gz WVCVHFQHHUJCKV-IACUBPJLSA-N 0 3 245.370 2.954 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]1C1CC1)c1ccncn1 ZINC000414204917 386043908 /nfs/dbraw/zinc/04/39/08/386043908.db2.gz WVCVHFQHHUJCKV-UBHSHLNASA-N 0 3 245.370 2.954 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H](C)C[C@@H]2CCCO2)c1 ZINC000353493947 386045972 /nfs/dbraw/zinc/04/59/72/386045972.db2.gz BBIKCISXJJSUML-IHRRRGAJSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@]2(C)CCCO2)nc1C ZINC000414167933 386049906 /nfs/dbraw/zinc/04/99/06/386049906.db2.gz PYNPPFWCUKRGCM-HIFRSBDPSA-N 0 3 248.370 2.746 20 0 BFADHN c1cc2c(o1)CCCN(CCN1CCCCC1)C2 ZINC000334432954 386053435 /nfs/dbraw/zinc/05/34/35/386053435.db2.gz XBVVQZWGRUYVME-UHFFFAOYSA-N 0 3 248.370 2.514 20 0 BFADHN Oc1cccc(CN2CCC23CCC3)c1 ZINC000334435236 386057767 /nfs/dbraw/zinc/05/77/67/386057767.db2.gz FLICJVXWJJLCKA-UHFFFAOYSA-N 0 3 203.285 2.521 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)[C@@H]1C[C@@H]1C ZINC000414145400 385995600 /nfs/dbraw/zinc/99/56/00/385995600.db2.gz KGGQVDMVQQOXHG-WHOHXGKFSA-N 0 3 221.348 2.598 20 0 BFADHN CCOC[C@H](NCc1scnc1C)C(C)C ZINC000233780903 385995996 /nfs/dbraw/zinc/99/59/96/385995996.db2.gz CHRMAYKQAXHNQL-NSHDSACASA-N 0 3 242.388 2.602 20 0 BFADHN Cc1nocc1CN(CC(C)C)C1CC1 ZINC000295228513 385995922 /nfs/dbraw/zinc/99/59/22/385995922.db2.gz CPIKCJDTQZRLLN-UHFFFAOYSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H](C)C1)c1cc2n(n1)CCC2 ZINC000414149474 385996478 /nfs/dbraw/zinc/99/64/78/385996478.db2.gz OJTHPQVCBJPEHH-FRRDWIJNSA-N 0 3 247.386 2.916 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H](C)O[C@H]1C)c1nccs1 ZINC000414291900 386101522 /nfs/dbraw/zinc/10/15/22/386101522.db2.gz YDDMLBQRVGNMLL-VPOLOUISSA-N 0 3 240.372 2.607 20 0 BFADHN CC(C)[C@H]1CN([C@H](C)c2ccncc2)CCCO1 ZINC000645273348 386103496 /nfs/dbraw/zinc/10/34/96/386103496.db2.gz BTQHRPAKWAYKLH-UKRRQHHQSA-N 0 3 248.370 2.890 20 0 BFADHN COc1cc(C)cc(CN[C@@H]2C[C@H](C)O[C@H]2C)c1 ZINC000295913535 386105112 /nfs/dbraw/zinc/10/51/12/386105112.db2.gz ZRJQSZBZYFVDGX-SLEUVZQESA-N 0 3 249.354 2.659 20 0 BFADHN CCCCN(CC)Cc1cn(C)nc1C(F)F ZINC000274454030 386105562 /nfs/dbraw/zinc/10/55/62/386105562.db2.gz ATPOGODAKMYIHB-UHFFFAOYSA-N 0 3 245.317 2.980 20 0 BFADHN C[C@@H]1CCN(Cc2cn(C)nc2C(F)F)[C@H]1C ZINC000274460118 386106303 /nfs/dbraw/zinc/10/63/03/386106303.db2.gz HKWIQSYXOYYZKS-BDAKNGLRSA-N 0 3 243.301 2.588 20 0 BFADHN COC1([C@@H](C)N[C@@H](C)c2cccnc2)CCC1 ZINC000414297515 386106944 /nfs/dbraw/zinc/10/69/44/386106944.db2.gz GGDRRGFCTMXWHO-NWDGAFQWSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1noc(CN2CCCC23CCCCC3)n1 ZINC000334456676 386108837 /nfs/dbraw/zinc/10/88/37/386108837.db2.gz OJGGCDXUGLSTAF-UHFFFAOYSA-N 0 3 235.331 2.677 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccco1)[C@@H](O)C(C)C ZINC000414300399 386109147 /nfs/dbraw/zinc/10/91/47/386109147.db2.gz SCAIHQKOJLIZSG-GVXVVHGQSA-N 0 3 225.332 2.726 20 0 BFADHN COC1([C@@H](C)N[C@H](C)c2cnccc2C)CCC1 ZINC000414299723 386109303 /nfs/dbraw/zinc/10/93/03/386109303.db2.gz IFSCAFKVXHIRLL-CHWSQXEVSA-N 0 3 248.370 2.998 20 0 BFADHN CCc1nc(C)c(CN2CCC(C)(C)C2)o1 ZINC000334456274 386109276 /nfs/dbraw/zinc/10/92/76/386109276.db2.gz YQQLFSOPGLFNES-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN CCCCNCc1nc2ccccc2n1CC ZINC000037977597 386112174 /nfs/dbraw/zinc/11/21/74/386112174.db2.gz XPTKFFRFXNRITG-UHFFFAOYSA-N 0 3 231.343 2.946 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cn(C)nc1C(F)F ZINC000274508153 386112239 /nfs/dbraw/zinc/11/22/39/386112239.db2.gz UJSLKRDFSCMTHA-QMMMGPOBSA-N 0 3 243.301 2.588 20 0 BFADHN COC1([C@@H](C)N[C@@H](C)c2cc(C)ccn2)CCC1 ZINC000414311712 386112792 /nfs/dbraw/zinc/11/27/92/386112792.db2.gz VBNMLKMNSVVVAI-QWHCGFSZSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@H](O)CN1CC2(CCC2)[C@H]1c1ccccc1 ZINC000295962483 386113506 /nfs/dbraw/zinc/11/35/06/386113506.db2.gz JHORIGJHZCOKGN-LSDHHAIUSA-N 0 3 245.366 2.985 20 0 BFADHN c1ccc([C@@H]2C[C@@H]2N2CCSCC2)cc1 ZINC000274510620 386114048 /nfs/dbraw/zinc/11/40/48/386114048.db2.gz KSLIBUZNFMNZTB-STQMWFEESA-N 0 3 219.353 2.591 20 0 BFADHN Cc1c2ccccc2oc1[C@@H](C)N[C@H]1C[C@@H](O)C1 ZINC000295962586 386114186 /nfs/dbraw/zinc/11/41/86/386114186.db2.gz NBRFHRUFAULGIO-UTUOFQBUSA-N 0 3 245.322 2.915 20 0 BFADHN CC1CCC(N[C@H]2CCCc3c[nH]nc32)CC1 ZINC000334460205 386116149 /nfs/dbraw/zinc/11/61/49/386116149.db2.gz UIJHMAWTSMYABN-GDKBPFBDSA-N 0 3 233.359 2.955 20 0 BFADHN CN(CCOC1CCC1)Cc1cccc(F)c1 ZINC000287409326 386119321 /nfs/dbraw/zinc/11/93/21/386119321.db2.gz SEIGRLCOBGLDCS-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN CCCCCNCc1cc(C2CC2)no1 ZINC000638290037 386121819 /nfs/dbraw/zinc/12/18/19/386121819.db2.gz OZTCWEHHBFWSJT-UHFFFAOYSA-N 0 3 208.305 2.832 20 0 BFADHN CN(CCCCF)Cc1ccc(Cl)nc1 ZINC000286617279 386058399 /nfs/dbraw/zinc/05/83/99/386058399.db2.gz YQFHWTKSPMZYLH-UHFFFAOYSA-N 0 3 230.714 2.917 20 0 BFADHN Cc1cc(CNC2CC3(C2)CCCCC3)[nH]n1 ZINC000643289879 386058348 /nfs/dbraw/zinc/05/83/48/386058348.db2.gz VGCQPOVAOBOZJK-UHFFFAOYSA-N 0 3 233.359 2.921 20 0 BFADHN CCn1ccc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)n1 ZINC000414206619 386058813 /nfs/dbraw/zinc/05/88/13/386058813.db2.gz JBYWKRMKULKKDJ-MBNYWOFBSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1ccnc(C)n1 ZINC000334443504 386062724 /nfs/dbraw/zinc/06/27/24/386062724.db2.gz MIXLLANIGZMZGG-GWCFXTLKSA-N 0 3 219.332 2.548 20 0 BFADHN CCc1cccnc1[C@H](C)NC1CCC1 ZINC000336771833 386063167 /nfs/dbraw/zinc/06/31/67/386063167.db2.gz XADSZMWIPKVIFU-JTQLQIEISA-N 0 3 204.317 2.847 20 0 BFADHN C[C@@H](O)CN1CC(C)(C)[C@@H]1c1cccs1 ZINC000286655780 386063982 /nfs/dbraw/zinc/06/39/82/386063982.db2.gz AFOQQAFEKDHXDI-KOLCDFICSA-N 0 3 225.357 2.512 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@@H]2C(C)C)n1 ZINC000414210169 386064504 /nfs/dbraw/zinc/06/45/04/386064504.db2.gz OAQLYVFMVUIGQC-ZIAGYGMSSA-N 0 3 235.375 2.817 20 0 BFADHN CCC[C@H](NCc1ccn(CC)n1)C1CCC1 ZINC000414209878 386065320 /nfs/dbraw/zinc/06/53/20/386065320.db2.gz LPIMUPRRURXKDR-AWEZNQCLSA-N 0 3 235.375 2.961 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2C[C@H](C)O[C@H]2C)c1 ZINC000295651403 386065460 /nfs/dbraw/zinc/06/54/60/386065460.db2.gz ITOZNTZCIMMWJU-SDDRHHMPSA-N 0 3 220.316 2.676 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1nc2cccnc2s1 ZINC000335072897 386065487 /nfs/dbraw/zinc/06/54/87/386065487.db2.gz KHKDSPHNCODEHM-VHSXEESVSA-N 0 3 247.367 2.922 20 0 BFADHN CCn1ccc(CNC2CCC(C)(C)CC2)n1 ZINC000414211222 386065919 /nfs/dbraw/zinc/06/59/19/386065919.db2.gz PNZREVZXJOYILN-UHFFFAOYSA-N 0 3 235.375 2.961 20 0 BFADHN COCC[C@H](C)CN[C@@H](C)c1ccncc1F ZINC000414213575 386067791 /nfs/dbraw/zinc/06/77/91/386067791.db2.gz YCPYXYVMFWGJME-QWRGUYRKSA-N 0 3 240.322 2.544 20 0 BFADHN Cc1ncc(CN2C[C@@H](C)CC(C)(C)C2)cn1 ZINC000334441061 386069797 /nfs/dbraw/zinc/06/97/97/386069797.db2.gz YVZQVNURMHLVLC-NSHDSACASA-N 0 3 233.359 2.653 20 0 BFADHN CC[C@H](NCc1ccn(CC)n1)[C@H]1CC1(C)C ZINC000414216330 386070545 /nfs/dbraw/zinc/07/05/45/386070545.db2.gz ZOZNACWFKVSIBW-OLZOCXBDSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1ncc(CN2C[C@H](C)CC(C)(C)C2)cn1 ZINC000334441060 386070873 /nfs/dbraw/zinc/07/08/73/386070873.db2.gz YVZQVNURMHLVLC-LLVKDONJSA-N 0 3 233.359 2.653 20 0 BFADHN CCn1ccc(CN[C@@H]2CC[C@H](C)[C@H](C)C2)n1 ZINC000414214794 386071473 /nfs/dbraw/zinc/07/14/73/386071473.db2.gz WVWGPODEYCQYCU-YNEHKIRRSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1nocc1CN1CC[C@@]2(CC[C@H](C)C2)C1 ZINC000334460989 386124317 /nfs/dbraw/zinc/12/43/17/386124317.db2.gz OFSJYQVKHWJFHW-SMDDNHRTSA-N 0 3 234.343 2.995 20 0 BFADHN COC[C@H](C)NCc1cnccc1C(C)(C)C ZINC000414230470 386077547 /nfs/dbraw/zinc/07/75/47/386077547.db2.gz OCFFYXOZOKMEQN-NSHDSACASA-N 0 3 236.359 2.504 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1cccc(C2CC2)c1 ZINC000643292727 386078286 /nfs/dbraw/zinc/07/82/86/386078286.db2.gz ADBPJBVADLNKEX-GJZGRUSLSA-N 0 3 231.339 2.831 20 0 BFADHN CCc1ccc([C@@H](C)NCC2=CCCOC2)o1 ZINC000286871613 386079751 /nfs/dbraw/zinc/07/97/51/386079751.db2.gz BULXXQWQFBKCGH-LLVKDONJSA-N 0 3 235.327 2.839 20 0 BFADHN COC1([C@H](C)N[C@@H](C)c2ccccn2)CCC1 ZINC000414302214 386080557 /nfs/dbraw/zinc/08/05/57/386080557.db2.gz KHCOLCNACQKCGE-RYUDHWBXSA-N 0 3 234.343 2.690 20 0 BFADHN CCN1CCC[C@H](c2nc(C)c(C)s2)C1 ZINC000334446157 386081747 /nfs/dbraw/zinc/08/17/47/386081747.db2.gz GYVUHVYFWKMXRF-NSHDSACASA-N 0 3 224.373 2.959 20 0 BFADHN CN(CCCC1CCOCC1)Cc1ccco1 ZINC000274249123 386085872 /nfs/dbraw/zinc/08/58/72/386085872.db2.gz YGQMUUPKTILENS-UHFFFAOYSA-N 0 3 237.343 2.918 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2C[C@H](C)O[C@H]2C)c1 ZINC000414268533 386087747 /nfs/dbraw/zinc/08/77/47/386087747.db2.gz UQLROUPYJJEOTD-XUXIUFHCSA-N 0 3 248.370 2.854 20 0 BFADHN CC[C@H](N[C@H]1C[C@@H](O)C1)c1cccc(Cl)c1 ZINC000295872189 386098465 /nfs/dbraw/zinc/09/84/65/386098465.db2.gz GIUCKYDNHNIVIU-XQQFMLRXSA-N 0 3 239.746 2.904 20 0 BFADHN CN(CCOc1ccccc1)CCOC1CCC1 ZINC000287205261 386100049 /nfs/dbraw/zinc/10/00/49/386100049.db2.gz IIXLNHIFOQPCLM-UHFFFAOYSA-N 0 3 249.354 2.566 20 0 BFADHN Cc1cc(CNCc2ccc(O)cc2)cc(C)n1 ZINC000643293742 386100243 /nfs/dbraw/zinc/10/02/43/386100243.db2.gz PNBCTIFEPURLCT-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1cc(C)n(C)n1 ZINC000414357267 386144983 /nfs/dbraw/zinc/14/49/83/386144983.db2.gz IYIZBNUPARBGQG-MNOVXSKESA-N 0 3 223.364 2.643 20 0 BFADHN Cc1nocc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000334473353 386146109 /nfs/dbraw/zinc/14/61/09/386146109.db2.gz JBGKUSVEZAEVFU-YPMHNXCESA-N 0 3 220.316 2.748 20 0 BFADHN C[C@H](NCCc1ccncc1)c1ccncc1F ZINC000340261791 386147165 /nfs/dbraw/zinc/14/71/65/386147165.db2.gz BQCYNFNUPLZCJQ-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN Cc1nocc1CNCCCC(C)(F)F ZINC000296193983 386149493 /nfs/dbraw/zinc/14/94/93/386149493.db2.gz YSQYYWWFSBPVCR-UHFFFAOYSA-N 0 3 218.247 2.508 20 0 BFADHN CCc1ccc2nccc(N[C@H](C)CO)c2c1 ZINC000296191532 386149613 /nfs/dbraw/zinc/14/96/13/386149613.db2.gz BKVUQTGJIWSGLX-SNVBAGLBSA-N 0 3 230.311 2.590 20 0 BFADHN CC[C@H](CSC)N(C)CCc1cscn1 ZINC000296219740 386150366 /nfs/dbraw/zinc/15/03/66/386150366.db2.gz GQBGATGCJRYRTA-LLVKDONJSA-N 0 3 244.429 2.759 20 0 BFADHN Cc1occc1CNC[C@]1(C)CCCC[C@@H]1O ZINC000414366110 386152036 /nfs/dbraw/zinc/15/20/36/386152036.db2.gz POYSYGHPWLRWRD-KBPBESRZSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]2C(C)(C)C)nn1 ZINC000335157274 386152506 /nfs/dbraw/zinc/15/25/06/386152506.db2.gz AUYQFQSROYNBIO-CYBMUJFWSA-N 0 3 233.359 2.796 20 0 BFADHN C[C@H](NCCCOC(F)F)c1ccncc1F ZINC000340533800 386156789 /nfs/dbraw/zinc/15/67/89/386156789.db2.gz PDGGZTDNBNESRP-QMMMGPOBSA-N 0 3 248.248 2.501 20 0 BFADHN CCCN(CC)C(=O)Nc1ccccc1CNC ZINC000415898142 386160050 /nfs/dbraw/zinc/16/00/50/386160050.db2.gz HFRZCVQXNIEHHZ-UHFFFAOYSA-N 0 3 249.358 2.670 20 0 BFADHN CNCc1ccccc1NC(=O)NCC(C)(C)C ZINC000415904810 386160181 /nfs/dbraw/zinc/16/01/81/386160181.db2.gz SGFXOHKLHTUJBZ-UHFFFAOYSA-N 0 3 249.358 2.574 20 0 BFADHN CC(=O)Nc1ccccc1CN1CCC12CCC2 ZINC000334477269 386160901 /nfs/dbraw/zinc/16/09/01/386160901.db2.gz OVJWIQVCFQXJKE-UHFFFAOYSA-N 0 3 244.338 2.773 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccsc1)[C@@H](O)C(F)F ZINC000296404536 386170199 /nfs/dbraw/zinc/17/01/99/386170199.db2.gz KQKQJNJCSFNQSU-UJNFCWOMSA-N 0 3 249.326 2.803 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1ccc(OC)cn1 ZINC000275047584 386173883 /nfs/dbraw/zinc/17/38/83/386173883.db2.gz ZHTVUCYIJVQIEW-RISCZKNCSA-N 0 3 234.343 2.711 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccncc1F)C(C)C ZINC000340539443 386174513 /nfs/dbraw/zinc/17/45/13/386174513.db2.gz BNNOWINTXYRMOO-GWCFXTLKSA-N 0 3 240.322 2.542 20 0 BFADHN CCCN(CC)C(=O)Nc1ccc2c(c1)CNC2 ZINC000415994540 386178057 /nfs/dbraw/zinc/17/80/57/386178057.db2.gz IQRWWFSXQKLANQ-UHFFFAOYSA-N 0 3 247.342 2.554 20 0 BFADHN CCC[C@H](C)N(C)Cc1cncc(F)c1 ZINC000275113906 386180743 /nfs/dbraw/zinc/18/07/43/386180743.db2.gz HHYYQICGEUMRCE-JTQLQIEISA-N 0 3 210.296 2.841 20 0 BFADHN COc1c(C)cc(CN2CC(C)C2)cc1C ZINC000338952214 386184092 /nfs/dbraw/zinc/18/40/92/386184092.db2.gz CWJMILLLQJMBPZ-UHFFFAOYSA-N 0 3 219.328 2.764 20 0 BFADHN Fc1ccc(/C=C/CN[C@H]2CCCOC2)cc1 ZINC000288324080 386185061 /nfs/dbraw/zinc/18/50/61/386185061.db2.gz ACGDEJJELLUQDY-YOUVMVQBSA-N 0 3 235.302 2.608 20 0 BFADHN CO[C@H](CNCc1ccoc1C)C(C)(C)C ZINC000414373766 386185967 /nfs/dbraw/zinc/18/59/67/386185967.db2.gz ZUTACPQLUSMGFG-GFCCVEGCSA-N 0 3 225.332 2.739 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cncc(C)c1)C(C)C ZINC000274590302 386124555 /nfs/dbraw/zinc/12/45/55/386124555.db2.gz JTLYXGRTLZRVHE-OCCSQVGLSA-N 0 3 236.359 2.712 20 0 BFADHN CC[C@H](C(=O)N1CCCCCC1)N(CC)CC ZINC000345848348 386127443 /nfs/dbraw/zinc/12/74/43/386127443.db2.gz PARWUKNDAHHIIG-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CCC[C@H]1C[C@@H]1[NH2+]Cc1cc([O-])cc(F)c1 ZINC000225362228 386189117 /nfs/dbraw/zinc/18/91/17/386189117.db2.gz VSNKTAFAIOOEPZ-GWCFXTLKSA-N 0 3 223.291 2.810 20 0 BFADHN F[C@@H]1CCC[C@H]1N[C@H]1CCc2ccccc2OC1 ZINC000340526838 386132926 /nfs/dbraw/zinc/13/29/26/386132926.db2.gz TZQPNXMGVHQYTF-BFHYXJOUSA-N 0 3 249.329 2.860 20 0 BFADHN C[C@@H]1CSC[C@@H]1N[C@H]1c2ccccc2O[C@H]1C ZINC000296062429 386133414 /nfs/dbraw/zinc/13/34/14/386133414.db2.gz PIRIJVJPHIYTAV-IUCKATPISA-N 0 3 249.379 2.850 20 0 BFADHN CC(C)[C@@H](C)NCc1cc(C2CC2)no1 ZINC000638294394 386135896 /nfs/dbraw/zinc/13/58/96/386135896.db2.gz QCYUTDPSRDIWLZ-SECBINFHSA-N 0 3 208.305 2.686 20 0 BFADHN Fc1ccc(F)c(CNC[C@@H]2CCSC2)c1 ZINC000224424224 386139220 /nfs/dbraw/zinc/13/92/20/386139220.db2.gz YSSIYNLPJWABPR-VIFPVBQESA-N 0 3 243.322 2.808 20 0 BFADHN CCN(CCCCO)Cc1ccccc1Cl ZINC000264009702 386202657 /nfs/dbraw/zinc/20/26/57/386202657.db2.gz RKCJUDFWGKTAQL-UHFFFAOYSA-N 0 3 241.762 2.934 20 0 BFADHN COC[C@H](C)N(C)Cc1cc(F)cc(Cl)c1 ZINC000275344317 386202719 /nfs/dbraw/zinc/20/27/19/386202719.db2.gz XYYOQKNJTAYOHC-VIFPVBQESA-N 0 3 245.725 2.946 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@H](O)c2ccccc2)o1 ZINC000288468442 386204157 /nfs/dbraw/zinc/20/41/57/386204157.db2.gz FNHIMBNKJXPUDO-DOMZBBRYSA-N 0 3 245.322 2.800 20 0 BFADHN COCCN(CCC1CC1)Cc1ccc(C)o1 ZINC000347053409 386206333 /nfs/dbraw/zinc/20/63/33/386206333.db2.gz MAYGGZZJXNWGSM-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN CCOC[C@@H]1CCN(Cc2ccc(CC)cn2)C1 ZINC000339011587 386208793 /nfs/dbraw/zinc/20/87/93/386208793.db2.gz QJSUVIRDIHXXEW-CQSZACIVSA-N 0 3 248.370 2.502 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(C)CC(C)(C)O)o1 ZINC000264143097 386209933 /nfs/dbraw/zinc/20/99/33/386209933.db2.gz YXJZTPFMBKFLBN-PWSUYJOCSA-N 0 3 237.343 2.606 20 0 BFADHN CC[C@H]1CCN(Cc2c(C)cc(C)nc2OC)C1 ZINC000347058736 386210565 /nfs/dbraw/zinc/21/05/65/386210565.db2.gz QJHHXUGCIIWMAL-ZDUSSCGKSA-N 0 3 248.370 2.939 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cnccc1OC ZINC000347062235 386210616 /nfs/dbraw/zinc/21/06/16/386210616.db2.gz XDPYONQMSIRHNP-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN CCN(Cc1ccc(C)nn1)[C@H](C)C(C)C ZINC000414380950 386217779 /nfs/dbraw/zinc/21/77/79/386217779.db2.gz LVOYJLPMNSJCCG-GFCCVEGCSA-N 0 3 221.348 2.651 20 0 BFADHN COc1nccnc1CN[C@@H]1CCC[C@@H](C)CC1 ZINC000296986196 386217868 /nfs/dbraw/zinc/21/78/68/386217868.db2.gz VOABLEKWPGMHQQ-VXGBXAGGSA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@H](NCCC(C)C)c1nccn1C ZINC000132079205 386219360 /nfs/dbraw/zinc/21/93/60/386219360.db2.gz UAEVDHBCGQQBKZ-NSHDSACASA-N 0 3 209.337 2.507 20 0 BFADHN C[C@H]1CN(Cc2cccc3c2OCO3)CC[C@@H]1C ZINC000353898423 386221078 /nfs/dbraw/zinc/22/10/78/386221078.db2.gz KCQXXPQWJAEDNJ-RYUDHWBXSA-N 0 3 247.338 2.893 20 0 BFADHN CO[C@H]1CN(Cc2csc(C)c2)CC[C@@H]1C ZINC000297029487 386221122 /nfs/dbraw/zinc/22/11/22/386221122.db2.gz XITWNPLPMTZOJM-GWCFXTLKSA-N 0 3 239.384 2.913 20 0 BFADHN CC[C@H](N[C@@H]1CCO[C@H]1C1CC1)c1ccncc1 ZINC000453188563 386223758 /nfs/dbraw/zinc/22/37/58/386223758.db2.gz ZIXPEZPTJXENEI-ZNMIVQPWSA-N 0 3 246.354 2.690 20 0 BFADHN C[C@H](CN1CCc2n[nH]cc2C1)C1CCCCC1 ZINC000339054491 386226623 /nfs/dbraw/zinc/22/66/23/386226623.db2.gz PCPAQNJWHYVGMM-GFCCVEGCSA-N 0 3 247.386 2.984 20 0 BFADHN CC(=O)c1ccc(CN2CC[C@H](C)C2)cc1 ZINC000297163336 386230147 /nfs/dbraw/zinc/23/01/47/386230147.db2.gz PBXVDWZURRDOEY-NSHDSACASA-N 0 3 217.312 2.731 20 0 BFADHN CCC[C@H](CN[C@H](C)c1cnccc1C)OC ZINC000297192071 386230734 /nfs/dbraw/zinc/23/07/34/386230734.db2.gz FLWSLZZUBPAMNQ-CHWSQXEVSA-N 0 3 236.359 2.856 20 0 BFADHN Fc1cncc(CN(CC2CC2)C2CCC2)c1 ZINC000347115928 386231930 /nfs/dbraw/zinc/23/19/30/386231930.db2.gz WIGBNKWVRBXFIG-UHFFFAOYSA-N 0 3 234.318 2.985 20 0 BFADHN c1ccc(C[C@H]2CCN2CCOC2CCC2)cc1 ZINC000347049662 386232034 /nfs/dbraw/zinc/23/20/34/386232034.db2.gz IADDYMCNQJOFMV-OAHLLOKOSA-N 0 3 245.366 2.873 20 0 BFADHN CC(=O)c1cccc(CN2CC[C@H](C)C2)c1 ZINC000297210226 386232611 /nfs/dbraw/zinc/23/26/11/386232611.db2.gz RXYVXJLYWKWXKV-NSHDSACASA-N 0 3 217.312 2.731 20 0 BFADHN CC[C@H](O)CN1CCC[C@H]1c1ccc(F)cc1 ZINC000264420143 386233435 /nfs/dbraw/zinc/23/34/35/386233435.db2.gz IOCKVJISGYUFBU-KBPBESRZSA-N 0 3 237.318 2.734 20 0 BFADHN CC(C)COCCN1CCCc2occc2C1 ZINC000288794725 386234372 /nfs/dbraw/zinc/23/43/72/386234372.db2.gz LJWYTTUXYYRRAI-UHFFFAOYSA-N 0 3 237.343 2.700 20 0 BFADHN CC[C@H](O)CN1CCc2sccc2[C@H]1CC ZINC000264442909 386235848 /nfs/dbraw/zinc/23/58/48/386235848.db2.gz MQPOLARUXZGVJZ-CMPLNLGQSA-N 0 3 239.384 2.828 20 0 BFADHN CC(C)CCC[C@@H](C)N[C@@H]1CNCCC1(F)F ZINC000415001975 386238781 /nfs/dbraw/zinc/23/87/81/386238781.db2.gz MWJHDBRZRNGPRL-VXGBXAGGSA-N 0 3 248.361 2.788 20 0 BFADHN CCC[C@H](O)CN(C)Cc1ccc(C)s1 ZINC000297302413 386242175 /nfs/dbraw/zinc/24/21/75/386242175.db2.gz YRGFMPTUVAWVFH-NSHDSACASA-N 0 3 227.373 2.649 20 0 BFADHN CC(C)n1cc(CNCCc2ccsc2)cn1 ZINC000226239949 386242472 /nfs/dbraw/zinc/24/24/72/386242472.db2.gz TZJZGPGGUGEESJ-UHFFFAOYSA-N 0 3 249.383 2.858 20 0 BFADHN Cc1cc(C)nc(NC2CCN(C3CC3)CC2)c1 ZINC000297325459 386244486 /nfs/dbraw/zinc/24/44/86/386244486.db2.gz CNLSHPMVVBXBFB-UHFFFAOYSA-N 0 3 245.370 2.737 20 0 BFADHN O=C1C[C@H]2CC[C@@H](C1)N2C/C=C/c1ccccc1 ZINC000297347843 386246298 /nfs/dbraw/zinc/24/62/98/386246298.db2.gz FRIHSJQESKXXNU-ORBRRYQHSA-N 0 3 241.334 2.896 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCC[C@@H](C)C1 ZINC000336742801 386248775 /nfs/dbraw/zinc/24/87/75/386248775.db2.gz OMYQHWNEDZVAHI-ZYHUDNBSSA-N 0 3 221.348 2.634 20 0 BFADHN CCCC(=O)Nc1ccccc1CCN(C)C ZINC000275873635 386248913 /nfs/dbraw/zinc/24/89/13/386248913.db2.gz QBMAWPOCTVPTKZ-UHFFFAOYSA-N 0 3 234.343 2.529 20 0 BFADHN Cc1ccc(CN2CC(C(C)(C)O)C2)cc1C ZINC000297417528 386254792 /nfs/dbraw/zinc/25/47/92/386254792.db2.gz NCZXSVONKFYQPK-UHFFFAOYSA-N 0 3 233.355 2.506 20 0 BFADHN Cn1nccc1C1=CCN(CC2(C)CCC2)CC1 ZINC000289074537 386256950 /nfs/dbraw/zinc/25/69/50/386256950.db2.gz AMDGQIVWHBGQPV-UHFFFAOYSA-N 0 3 245.370 2.699 20 0 BFADHN CSC1(CN(C)Cc2cnc(C)s2)CC1 ZINC000289052296 386257669 /nfs/dbraw/zinc/25/76/69/386257669.db2.gz ILSQXIZEMZRIHB-UHFFFAOYSA-N 0 3 242.413 2.779 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnc(CC)nc1 ZINC000414374843 386192560 /nfs/dbraw/zinc/19/25/60/386192560.db2.gz WSNKSCKNJXCJBF-NSHDSACASA-N 0 3 221.348 2.659 20 0 BFADHN C[C@H](O)CCN(C)Cc1cc(F)cc(Cl)c1 ZINC000275213573 386194064 /nfs/dbraw/zinc/19/40/64/386194064.db2.gz BJCNHMCCTRMCIT-VIFPVBQESA-N 0 3 245.725 2.682 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1NC[C@@H]1CCSC1 ZINC000288417548 386196090 /nfs/dbraw/zinc/19/60/90/386196090.db2.gz AUSJKOAXGIDCAQ-COPLHBTASA-N 0 3 249.379 2.851 20 0 BFADHN CCN(CCCCO)Cc1ccc(Cl)s1 ZINC000263978394 386197445 /nfs/dbraw/zinc/19/74/45/386197445.db2.gz DZXBXBKLDCXLAR-UHFFFAOYSA-N 0 3 247.791 2.996 20 0 BFADHN CCSCCCN[C@H](C)c1cc(C)n(C)n1 ZINC000282799231 386197695 /nfs/dbraw/zinc/19/76/95/386197695.db2.gz QSDQKFRKHILILU-LLVKDONJSA-N 0 3 241.404 2.522 20 0 BFADHN CC[C@@H]1CCC[C@@H](N[C@@H]2CNCCC2(F)F)C1 ZINC000414997951 386198072 /nfs/dbraw/zinc/19/80/72/386198072.db2.gz IHQSOBNUJPCXRH-IJLUTSLNSA-N 0 3 246.345 2.542 20 0 BFADHN Cc1occc1CN1CCS[C@@H](C)[C@@H]1C ZINC000414397875 386286126 /nfs/dbraw/zinc/28/61/26/386286126.db2.gz SEGLBNLIVQMQNU-ONGXEEELSA-N 0 3 225.357 2.914 20 0 BFADHN C[C@H](O)CN1CC[C@H](C)[C@H]1c1cccc(F)c1 ZINC000297915862 386286702 /nfs/dbraw/zinc/28/67/02/386286702.db2.gz SRCBTFQJILHVCQ-MJVIPROJSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1occc1CN1CCS[C@H](C)[C@H]1C ZINC000414397873 386286655 /nfs/dbraw/zinc/28/66/55/386286655.db2.gz SEGLBNLIVQMQNU-MWLCHTKSSA-N 0 3 225.357 2.914 20 0 BFADHN CCC[C@H](NCCCCO)c1cc(C)ccn1 ZINC000631623320 386287322 /nfs/dbraw/zinc/28/73/22/386287322.db2.gz UUWRDPQVDBDCSV-ZDUSSCGKSA-N 0 3 236.359 2.593 20 0 BFADHN CC(C)(CCO)NCc1cc(Cl)cs1 ZINC000125625594 386287587 /nfs/dbraw/zinc/28/75/87/386287587.db2.gz HMWNGUYHVVAPQB-UHFFFAOYSA-N 0 3 233.764 2.652 20 0 BFADHN CN(C)Cc1ccc(NC(=O)CCC2CC2)cc1 ZINC000265269972 386324482 /nfs/dbraw/zinc/32/44/82/386324482.db2.gz VUPPZDVSPUOCFA-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CSCC[C@H](C)N(C)Cc1ccc(F)cn1 ZINC000276263796 386292073 /nfs/dbraw/zinc/29/20/73/386292073.db2.gz RGUHSRQVLLKMQL-JTQLQIEISA-N 0 3 242.363 2.794 20 0 BFADHN Cc1occc1CN1CCSC[C@H](C)C1 ZINC000335263671 386292804 /nfs/dbraw/zinc/29/28/04/386292804.db2.gz FMKFFHVNIZMCIS-SNVBAGLBSA-N 0 3 225.357 2.773 20 0 BFADHN Cc1nocc1CN1CC(C)(C)C[C@@H]1C ZINC000335263041 386293002 /nfs/dbraw/zinc/29/30/02/386293002.db2.gz RBNOTVUULXHAII-VIFPVBQESA-N 0 3 208.305 2.603 20 0 BFADHN Cc1occc1CN1CCC([C@H]2CCCO2)CC1 ZINC000335264258 386294355 /nfs/dbraw/zinc/29/43/55/386294355.db2.gz YZPDJTOUTQWHAI-OAHLLOKOSA-N 0 3 249.354 2.979 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1cnc(C2CC2)nc1 ZINC000335263795 386295606 /nfs/dbraw/zinc/29/56/06/386295606.db2.gz VZKYTEQURCSPEP-NSHDSACASA-N 0 3 245.370 2.974 20 0 BFADHN Cc1nocc1CNCCc1ccc(C)cc1C ZINC000339203032 386295734 /nfs/dbraw/zinc/29/57/34/386295734.db2.gz VHWRVZWXXUTOHF-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN Cc1ccc(CN2CCC[C@H](C(C)C)C2)nn1 ZINC000335264466 386298126 /nfs/dbraw/zinc/29/81/26/386298126.db2.gz ZUZMUSNPKGAJGJ-ZDUSSCGKSA-N 0 3 233.359 2.653 20 0 BFADHN CCc1ncc(CN2CC3(CCC3)C[C@H]2C)cn1 ZINC000335265612 386299626 /nfs/dbraw/zinc/29/96/26/386299626.db2.gz UCHZXLABIGOUDO-GFCCVEGCSA-N 0 3 245.370 2.804 20 0 BFADHN c1c[nH]c([C@H]2CCCN2CCc2cccnc2)c1 ZINC000265073388 386302134 /nfs/dbraw/zinc/30/21/34/386302134.db2.gz XFWOOVZPJTVOOB-OAHLLOKOSA-N 0 3 241.338 2.789 20 0 BFADHN CCCCN[C@H](CO)c1cccc(Cl)c1F ZINC000336730925 386302258 /nfs/dbraw/zinc/30/22/58/386302258.db2.gz IOMNPSXRBBMCCS-LLVKDONJSA-N 0 3 245.725 2.902 20 0 BFADHN O=C1CCC(CN2CCc3sccc3C2)CC1 ZINC000289441551 386304893 /nfs/dbraw/zinc/30/48/93/386304893.db2.gz WNXYTRMXORTNIZ-UHFFFAOYSA-N 0 3 249.379 2.866 20 0 BFADHN Cc1c[nH]nc1CNC1(Cc2ccccc2)CC1 ZINC000289435903 386305010 /nfs/dbraw/zinc/30/50/10/386305010.db2.gz FLBHICUCZIZUAE-UHFFFAOYSA-N 0 3 241.338 2.583 20 0 BFADHN C[C@@H]1CCN(CCn2cc(Cl)cn2)[C@H](C)C1 ZINC000425518788 386309476 /nfs/dbraw/zinc/30/94/76/386309476.db2.gz FFKDTPKHRUAYKN-GHMZBOCLSA-N 0 3 241.766 2.657 20 0 BFADHN CSC[C@H](C)N[C@H](C)c1cc(C)ccn1 ZINC000336731123 386311246 /nfs/dbraw/zinc/31/12/46/386311246.db2.gz KNAOBYCLDGFXQQ-WDEREUQCSA-N 0 3 224.373 2.792 20 0 BFADHN Fc1ccc2c(c1)CN(CCC1CC1)CC2 ZINC000336670846 386316307 /nfs/dbraw/zinc/31/63/07/386316307.db2.gz XYLJPVAZPQEGBE-UHFFFAOYSA-N 0 3 219.303 2.984 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1ccc(F)cn1 ZINC000276407610 386316783 /nfs/dbraw/zinc/31/67/83/386316783.db2.gz VJCNKEIKXOJAPD-CYBMUJFWSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccc(C)nn1 ZINC000335260370 386317505 /nfs/dbraw/zinc/31/75/05/386317505.db2.gz GXYSJAMPQOIXPG-ZDUSSCGKSA-N 0 3 219.332 2.550 20 0 BFADHN CC(C)C[C@H](C)N(C)Cc1cccnc1 ZINC000298280913 386317654 /nfs/dbraw/zinc/31/76/54/386317654.db2.gz NMOPRPLWQHGLSB-LBPRGKRZSA-N 0 3 206.333 2.948 20 0 BFADHN C[C@H](c1ccco1)N(CC[C@H](C)O)C1CC1 ZINC000336679255 386321279 /nfs/dbraw/zinc/32/12/79/386321279.db2.gz OYKNFYWDUNDODE-WDEREUQCSA-N 0 3 223.316 2.576 20 0 BFADHN C[C@]12CCN(Cc3ccncc3)C[C@H]1C2(F)F ZINC000298306392 386322475 /nfs/dbraw/zinc/32/24/75/386322475.db2.gz PXUKYNBJJKHJGO-NEPJUHHUSA-N 0 3 238.281 2.559 20 0 BFADHN CCC[C@H]1CCCCN1Cc1ccn(C)n1 ZINC000336679583 386322369 /nfs/dbraw/zinc/32/23/69/386322369.db2.gz PNVGGNFCSRUTHB-ZDUSSCGKSA-N 0 3 221.348 2.575 20 0 BFADHN CCC1CCN(CCOCC(F)(F)F)CC1 ZINC000336679274 386322490 /nfs/dbraw/zinc/32/24/90/386322490.db2.gz OZNOEBYKDHZAHU-UHFFFAOYSA-N 0 3 239.281 2.687 20 0 BFADHN CCc1nocc1CN1CC(C)(C)C[C@@H]1C ZINC000335262556 386323210 /nfs/dbraw/zinc/32/32/10/386323210.db2.gz OMRDTXZIGRGIDE-JTQLQIEISA-N 0 3 222.332 2.857 20 0 BFADHN c1coc(CN2CCC[C@]3(CCCOC3)C2)c1 ZINC000334483226 386323647 /nfs/dbraw/zinc/32/36/47/386323647.db2.gz XXZCFBSGEIYBAI-AWEZNQCLSA-N 0 3 235.327 2.672 20 0 BFADHN COc1ccncc1CN1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000347153388 386263831 /nfs/dbraw/zinc/26/38/31/386263831.db2.gz AKECVVSWCIMBLA-UPJWGTAASA-N 0 3 248.370 2.957 20 0 BFADHN COC1(CCN2CCC[C@H]2c2cc[nH]n2)CCC1 ZINC000339135868 386267289 /nfs/dbraw/zinc/26/72/89/386267289.db2.gz WFXIKRCQNVZXGP-ZDUSSCGKSA-N 0 3 249.358 2.506 20 0 BFADHN CC[C@H](c1ccccc1)N(CC(N)=O)CC(C)C ZINC000264729518 386267749 /nfs/dbraw/zinc/26/77/49/386267749.db2.gz PCWQHUGTMMMIIB-CQSZACIVSA-N 0 3 248.370 2.581 20 0 BFADHN COC1CC(Nc2ccc(C)cc2CN(C)C)C1 ZINC000347195319 386271507 /nfs/dbraw/zinc/27/15/07/386271507.db2.gz TZIVYRDLOBQNIN-UHFFFAOYSA-N 0 3 248.370 2.646 20 0 BFADHN COC1(CCN(C)Cc2cnccc2C)CCC1 ZINC000339146608 386273563 /nfs/dbraw/zinc/27/35/63/386273563.db2.gz YUXKYQGUALSNBG-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1ccoc1CN(C)CC[C@@H]1CCCO1 ZINC000276152596 386278757 /nfs/dbraw/zinc/27/87/57/386278757.db2.gz PJOGNQXDAODCFB-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1cn2cccnc2n1 ZINC000297803827 386279728 /nfs/dbraw/zinc/27/97/28/386279728.db2.gz XPXAIXAGGIXFMR-NEPJUHHUSA-N 0 3 246.358 2.644 20 0 BFADHN Cc1ccc(CCN2CCN(C(C)C)CC2)cc1 ZINC000265482093 386340775 /nfs/dbraw/zinc/34/07/75/386340775.db2.gz HRXZVDZYDKUIGM-UHFFFAOYSA-N 0 3 246.398 2.564 20 0 BFADHN Cc1ccncc1CN1CCS[C@@H](C)CC1 ZINC000276872899 386386370 /nfs/dbraw/zinc/38/63/70/386386370.db2.gz CWTNFTZNJZZTSL-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN CCc1ccc(CN[C@@H](C(C)C)C(C)(C)O)o1 ZINC000276580318 386346092 /nfs/dbraw/zinc/34/60/92/386346092.db2.gz BGXURCFXDASDLA-ZDUSSCGKSA-N 0 3 239.359 2.727 20 0 BFADHN Cc1ncc(CN[C@H]2CCC23CCCC3)o1 ZINC000335278448 386346134 /nfs/dbraw/zinc/34/61/34/386346134.db2.gz OHKBPRMMWSJECA-LBPRGKRZSA-N 0 3 220.316 2.795 20 0 BFADHN COC[C@@H]1CCN1C[C@H]1CCCC(F)(F)C1 ZINC000339292822 386348396 /nfs/dbraw/zinc/34/83/96/386348396.db2.gz FMGYOWSNORCSOV-QWRGUYRKSA-N 0 3 233.302 2.533 20 0 BFADHN CCCc1ccc(CN2CC[C@H]2COC)cc1 ZINC000339293171 386349131 /nfs/dbraw/zinc/34/91/31/386349131.db2.gz JPTCAIUPFJJPKH-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN CCCCC[C@H](C)NCc1cn2cccnc2n1 ZINC000298517723 386356242 /nfs/dbraw/zinc/35/62/42/386356242.db2.gz RGHLCIRNIXHDML-LBPRGKRZSA-N 0 3 246.358 2.788 20 0 BFADHN Cc1cn[nH]c1CNCc1c(C)cc(C)cc1C ZINC000290055557 386386695 /nfs/dbraw/zinc/38/66/95/386386695.db2.gz XHNSRIBTZZXCHL-UHFFFAOYSA-N 0 3 243.354 2.933 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1ccc2c[nH]nc2c1 ZINC000335271743 386358161 /nfs/dbraw/zinc/35/81/61/386358161.db2.gz AKPKLLFCWIEDQL-AOOOYVTPSA-N 0 3 215.300 2.546 20 0 BFADHN CC[C@H](NCc1[nH]ncc1C)c1ccccc1 ZINC000289820487 386358653 /nfs/dbraw/zinc/35/86/53/386358653.db2.gz JEUBZXZBPOQNMD-ZDUSSCGKSA-N 0 3 229.327 2.959 20 0 BFADHN CO[C@H](C)CNCc1ccc(OCC2CC2)cc1 ZINC000276644647 386358669 /nfs/dbraw/zinc/35/86/69/386358669.db2.gz ROBFSADCCBVZCZ-GFCCVEGCSA-N 0 3 249.354 2.600 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCCC(C)(C)O)o1 ZINC000274718950 386360997 /nfs/dbraw/zinc/36/09/97/386360997.db2.gz DEAKFUFHRDWOKR-PWSUYJOCSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@@H](CCO)N[C@@H](C)c1nccc2ccccc21 ZINC000289843967 386361414 /nfs/dbraw/zinc/36/14/14/386361414.db2.gz QTNJSQMKEOQABR-RYUDHWBXSA-N 0 3 244.338 2.656 20 0 BFADHN C[C@@H](O)CCCN(C)[C@H](C)c1cccc(O)c1 ZINC000336692227 386363190 /nfs/dbraw/zinc/36/31/90/386363190.db2.gz HSTBJRHGNUTZRA-VXGBXAGGSA-N 0 3 237.343 2.546 20 0 BFADHN CC(C)C[C@H](N)C(=O)Nc1cccc(Cl)c1 ZINC000011961167 386370465 /nfs/dbraw/zinc/37/04/65/386370465.db2.gz UDGDSWIPMFMXLY-NSHDSACASA-N 0 3 240.734 2.652 20 0 BFADHN COC[C@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC000265799442 386370633 /nfs/dbraw/zinc/37/06/33/386370633.db2.gz NWYZQEUKYYKLBV-NEPJUHHUSA-N 0 3 237.318 2.855 20 0 BFADHN Cc1nc(C)c(CN[C@H](C)COC(C)C)s1 ZINC000336743218 386371043 /nfs/dbraw/zinc/37/10/43/386371043.db2.gz OUFQGOGJTTULGG-SECBINFHSA-N 0 3 242.388 2.663 20 0 BFADHN Clc1cnn([C@@H]2CCN(CC3CCC3)C2)c1 ZINC000289952937 386376598 /nfs/dbraw/zinc/37/65/98/386376598.db2.gz QHJBOSBBXAXUQE-GFCCVEGCSA-N 0 3 239.750 2.583 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc(F)cc1C ZINC000276816795 386379952 /nfs/dbraw/zinc/37/99/52/386379952.db2.gz FDDWWRHKJSBPMM-LLVKDONJSA-N 0 3 225.307 2.601 20 0 BFADHN COCC[C@H](C)N[C@H]1c2ccccc2O[C@@H]1C ZINC000290007912 386381803 /nfs/dbraw/zinc/38/18/03/386381803.db2.gz VSLBHWWGXOVDNP-MISXGVKJSA-N 0 3 235.327 2.523 20 0 BFADHN COc1cccnc1CNC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000639240098 386385480 /nfs/dbraw/zinc/38/54/80/386385480.db2.gz KGDRLONCAZYHFB-FRRDWIJNSA-N 0 3 246.354 2.616 20 0 BFADHN Cc1cn[nH]c1CN[C@@H]1CCCc2sccc21 ZINC000289545451 386325490 /nfs/dbraw/zinc/32/54/90/386325490.db2.gz PRYGBSXCXBLTJA-LLVKDONJSA-N 0 3 247.367 2.947 20 0 BFADHN Cc1cn[nH]c1CN[C@H]1CCCc2sccc21 ZINC000289545458 386327214 /nfs/dbraw/zinc/32/72/14/386327214.db2.gz PRYGBSXCXBLTJA-NSHDSACASA-N 0 3 247.367 2.947 20 0 BFADHN CCC1(CNCc2cc[nH]n2)CCCCC1 ZINC000336731684 386328174 /nfs/dbraw/zinc/32/81/74/386328174.db2.gz IVXHMCCKQDOCDK-UHFFFAOYSA-N 0 3 221.348 2.860 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cc(C)n(C)n1 ZINC000336683996 386329953 /nfs/dbraw/zinc/32/99/53/386329953.db2.gz UHFINVUOPAJJHU-LLVKDONJSA-N 0 3 223.364 2.597 20 0 BFADHN Cc1cnn(C)c1CN1CC(C)(C)[C@@H]1C(C)C ZINC000334483851 386330390 /nfs/dbraw/zinc/33/03/90/386330390.db2.gz YUULYSBTFCKHTM-ZDUSSCGKSA-N 0 3 235.375 2.595 20 0 BFADHN CC1CCC(CN2CCC[C@@](F)(CO)C2)CC1 ZINC000347332418 386330974 /nfs/dbraw/zinc/33/09/74/386330974.db2.gz HORQRWNJECSOTH-RUXDESIVSA-N 0 3 243.366 2.609 20 0 BFADHN CCCCCN[C@H](CO)c1ccc(F)cc1 ZINC000336731744 386331084 /nfs/dbraw/zinc/33/10/84/386331084.db2.gz IYGHENPDLOTKCZ-CYBMUJFWSA-N 0 3 225.307 2.639 20 0 BFADHN CC[C@H]1CCCN(Cc2ccno2)CC1 ZINC000265384100 386332245 /nfs/dbraw/zinc/33/22/45/386332245.db2.gz ZWZNDGLWRVDVGO-NSHDSACASA-N 0 3 208.305 2.687 20 0 BFADHN CN(C)c1cccnc1CN[C@H]1CCC12CCC2 ZINC000289589775 386332620 /nfs/dbraw/zinc/33/26/20/386332620.db2.gz BYJQAYVDYVFDBA-AWEZNQCLSA-N 0 3 245.370 2.570 20 0 BFADHN Cc1nocc1CNC[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000623741289 386332640 /nfs/dbraw/zinc/33/26/40/386332640.db2.gz NAKXQFGBPNENHT-PTEHBNRSSA-N 0 3 220.316 2.509 20 0 BFADHN Cc1ccsc1CN(C)CCn1ccnc1C ZINC000336681019 386338174 /nfs/dbraw/zinc/33/81/74/386338174.db2.gz CKRNFHYSCKLSAN-UHFFFAOYSA-N 0 3 249.383 2.693 20 0 BFADHN C[C@H](O)CCCN1CC[C@H]1c1ccccc1 ZINC000336682030 386338413 /nfs/dbraw/zinc/33/84/13/386338413.db2.gz YKSMRKJARFLWDW-JSGCOSHPSA-N 0 3 219.328 2.594 20 0 BFADHN C[C@@H](NC1CCSCC1)c1ccco1 ZINC000035137076 386437536 /nfs/dbraw/zinc/43/75/36/386437536.db2.gz SQNIJHIJKSTWIF-SECBINFHSA-N 0 3 211.330 2.826 20 0 BFADHN CCc1ncc(CN[C@H](C)CCC2CC2)cn1 ZINC000414433329 386439416 /nfs/dbraw/zinc/43/94/16/386439416.db2.gz NXIBZLWBMYGZDT-LLVKDONJSA-N 0 3 233.359 2.707 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C)[C@H]2CC)cn1 ZINC000414433424 386439540 /nfs/dbraw/zinc/43/95/40/386439540.db2.gz OKFVWUKFJGIOIR-DGCLKSJQSA-N 0 3 233.359 2.659 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCCN2C2CC2)o1 ZINC000277480539 386440050 /nfs/dbraw/zinc/44/00/50/386440050.db2.gz MAEJEHYCIBARSU-AWEZNQCLSA-N 0 3 248.370 2.695 20 0 BFADHN Cc1ccsc1CN(C)CCN(C)C1CC1 ZINC000290475117 386440420 /nfs/dbraw/zinc/44/04/20/386440420.db2.gz NSKXRVHASNYBAF-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN C[C@@H](NCc1ccccc1F)c1cc[nH]c(=O)c1 ZINC000339465951 386441189 /nfs/dbraw/zinc/44/11/89/386441189.db2.gz IANDNQZNIIAKJU-SNVBAGLBSA-N 0 3 246.285 2.777 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1cnccn1 ZINC000226968176 386441317 /nfs/dbraw/zinc/44/13/17/386441317.db2.gz QAXYIADGMVTYIW-VOAKCMCISA-N 0 3 233.359 2.952 20 0 BFADHN COC(=O)CCCN1CCC[C@@H]1c1ccccc1 ZINC000299371346 386444744 /nfs/dbraw/zinc/44/47/44/386444744.db2.gz UIXGKPNIAYXDTI-CQSZACIVSA-N 0 3 247.338 2.777 20 0 BFADHN Cc1ccc([C@H]2C[C@H](C)CCN2CCCO)o1 ZINC000266564601 386452889 /nfs/dbraw/zinc/45/28/89/386452889.db2.gz NYINMEVHRFLODI-DGCLKSJQSA-N 0 3 237.343 2.743 20 0 BFADHN Cc1n[nH]c(C)c1CNC1CC(C(C)(C)C)C1 ZINC000339470744 386446285 /nfs/dbraw/zinc/44/62/85/386446285.db2.gz UBYQRIWGEBAKEJ-UHFFFAOYSA-N 0 3 235.375 2.941 20 0 BFADHN CCOCCN(Cc1ccco1)C(C)C ZINC000299376249 386446742 /nfs/dbraw/zinc/44/67/42/386446742.db2.gz ICKCTAWGFFEQNV-UHFFFAOYSA-N 0 3 211.305 2.527 20 0 BFADHN Cc1c[nH]nc1CN(CC(C)C)C(C)C ZINC000290521933 386447136 /nfs/dbraw/zinc/44/71/36/386447136.db2.gz UTAAZFALKJSJBQ-UHFFFAOYSA-N 0 3 209.337 2.585 20 0 BFADHN CCC(CC)(CC)CN[C@@H]1CNCCC1(F)F ZINC000415010258 386448003 /nfs/dbraw/zinc/44/80/03/386448003.db2.gz YFAAMCUVKDXFKD-LLVKDONJSA-N 0 3 248.361 2.790 20 0 BFADHN Cc1ccc(F)c(CCN[C@H](C)c2cn[nH]c2)c1 ZINC000453338057 386448900 /nfs/dbraw/zinc/44/89/00/386448900.db2.gz FSUVYNKXBMBHKZ-LLVKDONJSA-N 0 3 247.317 2.751 20 0 BFADHN Cc1cn[nH]c1CN[C@@H]1CCc2cc(C)ccc21 ZINC000290040659 386388231 /nfs/dbraw/zinc/38/82/31/386388231.db2.gz WKNAQFCURATHJU-CQSZACIVSA-N 0 3 241.338 2.804 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](CO)C(C)C)o1 ZINC000336703551 386390256 /nfs/dbraw/zinc/39/02/56/386390256.db2.gz IEBCXSGNTPOVLB-PWSUYJOCSA-N 0 3 225.332 2.510 20 0 BFADHN Cc1cccc(N2CCN(CC3CC3)CC2)c1 ZINC000336703530 386390695 /nfs/dbraw/zinc/39/06/95/386390695.db2.gz MQASRDGSPUYGPR-UHFFFAOYSA-N 0 3 230.355 2.527 20 0 BFADHN CCSCCN(C)Cc1cccs1 ZINC000266048374 386394198 /nfs/dbraw/zinc/39/41/98/386394198.db2.gz WRZRRFZQXSPATE-UHFFFAOYSA-N 0 3 215.387 2.933 20 0 BFADHN C[C@]1(F)CCN(CCc2ccccc2)C1 ZINC000347357153 386395080 /nfs/dbraw/zinc/39/50/80/386395080.db2.gz RUDYXDPTHNPNEW-ZDUSSCGKSA-N 0 3 207.292 2.663 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@H]2C[C@]21C ZINC000453311830 386397050 /nfs/dbraw/zinc/39/70/50/386397050.db2.gz GPLJNBDCVLHCKG-OUCADQQQSA-N 0 3 233.359 2.571 20 0 BFADHN Cc1occc1CN[C@@H]1CCO[C@H](C2CC2)C1 ZINC000414425870 386398750 /nfs/dbraw/zinc/39/87/50/386398750.db2.gz BJASDDXFMLBLSU-KGLIPLIRSA-N 0 3 235.327 2.635 20 0 BFADHN CC[C@@H](CNCc1cocn1)CC(F)(F)F ZINC000402773951 386404604 /nfs/dbraw/zinc/40/46/04/386404604.db2.gz QWUAOPXRSHZTDK-MRVPVSSYSA-N 0 3 236.237 2.743 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cncc(OC)c1 ZINC000290213500 386407296 /nfs/dbraw/zinc/40/72/96/386407296.db2.gz HLWHZCGHEYZHLJ-LLVKDONJSA-N 0 3 222.332 2.568 20 0 BFADHN C[C@]1(NC/C=C\c2ccc(F)cc2)CCOC1 ZINC000339501183 386451696 /nfs/dbraw/zinc/45/16/96/386451696.db2.gz FFIHVMGPISIZLJ-SFAKSCPVSA-N 0 3 235.302 2.608 20 0 BFADHN CCCCN(CCCO)Cc1ccoc1C ZINC000414436302 386451736 /nfs/dbraw/zinc/45/17/36/386451736.db2.gz AAFQRLPTDVCUIA-UHFFFAOYSA-N 0 3 225.332 2.573 20 0 BFADHN Cc1ccc(CNC[C@@H](O)c2ccc(C)cc2)o1 ZINC000237790451 386410760 /nfs/dbraw/zinc/41/07/60/386410760.db2.gz OIDQXWRNEAVQMO-OAHLLOKOSA-N 0 3 245.322 2.720 20 0 BFADHN Cc1ccc([C@@H](O)CNCc2ccsc2)cc1 ZINC000237783964 386410780 /nfs/dbraw/zinc/41/07/80/386410780.db2.gz VJHXMTGNQFNAHD-AWEZNQCLSA-N 0 3 247.363 2.880 20 0 BFADHN CCCCOC1CCN(CCOC(C)C)CC1 ZINC000336703004 386410831 /nfs/dbraw/zinc/41/08/31/386410831.db2.gz BEGLTGIWMXTPEZ-UHFFFAOYSA-N 0 3 243.391 2.693 20 0 BFADHN C[C@@H]1CCN(Cc2cnccn2)[C@H]2CCCC[C@@H]12 ZINC000519335925 386411250 /nfs/dbraw/zinc/41/12/50/386411250.db2.gz JBJSFTMMFPNNNR-SNPRPXQTSA-N 0 3 245.370 2.877 20 0 BFADHN Cc1ccc(CN2CCCC3(CCC3)C2)nn1 ZINC000414427950 386411608 /nfs/dbraw/zinc/41/16/08/386411608.db2.gz ARPOPWMPPYZTLR-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN CN(Cc1ccc2ccccc2c1)C1CC(O)C1 ZINC000353523031 386412044 /nfs/dbraw/zinc/41/20/44/386412044.db2.gz QBLNQPVHRSLEIE-UHFFFAOYSA-N 0 3 241.334 2.795 20 0 BFADHN COC[C@@H](C)CN1Cc2ccccc2OC[C@H]1C ZINC000527355048 386413332 /nfs/dbraw/zinc/41/33/32/386413332.db2.gz HLSVIWWLBBWFIE-QWHCGFSZSA-N 0 3 249.354 2.552 20 0 BFADHN Cc1ccoc1CNCCOc1ccccc1F ZINC000266214466 386414358 /nfs/dbraw/zinc/41/43/58/386414358.db2.gz PFWMWQVZZLOPAZ-UHFFFAOYSA-N 0 3 249.285 2.896 20 0 BFADHN CCCCN(Cc1cncc(OC)c1)C1CC1 ZINC000290313548 386418832 /nfs/dbraw/zinc/41/88/32/386418832.db2.gz NWLAWCBBMHBWDH-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CC[C@@H](NC1CC(OC)C1)c1c(C)noc1C ZINC000347415001 386419913 /nfs/dbraw/zinc/41/99/13/386419913.db2.gz GCDOGUPSXQPYPA-HTAVTVPLSA-N 0 3 238.331 2.510 20 0 BFADHN CN(C)Cc1cccc(NC(=O)/C=C/C2CC2)c1 ZINC000266262022 386420258 /nfs/dbraw/zinc/42/02/58/386420258.db2.gz NCYXGCNYCWBNHQ-CMDGGOBGSA-N 0 3 244.338 2.653 20 0 BFADHN CCN(CCOC)Cc1ccc(Cl)cc1 ZINC000299151266 386420475 /nfs/dbraw/zinc/42/04/75/386420475.db2.gz YOAPQGQMXZSUTF-UHFFFAOYSA-N 0 3 227.735 2.808 20 0 BFADHN c1cncc([C@H]2CCCN2CCOCC2CC2)c1 ZINC000336709657 386421147 /nfs/dbraw/zinc/42/11/47/386421147.db2.gz MGSVKIBNBLMLQF-OAHLLOKOSA-N 0 3 246.354 2.645 20 0 BFADHN CO[C@@H](C)CN(Cc1c(C)noc1C)C(C)C ZINC000336709623 386422784 /nfs/dbraw/zinc/42/27/84/386422784.db2.gz KCBIEDLIYUTHGY-JTQLQIEISA-N 0 3 240.347 2.537 20 0 BFADHN CCCn1nc(C)c(CNCC[C@@H](C)F)c1C ZINC000339491444 386452173 /nfs/dbraw/zinc/45/21/73/386452173.db2.gz IBKFCWUZAMNZHM-SNVBAGLBSA-N 0 3 241.354 2.748 20 0 BFADHN CCC[C@H](C)N(C)[C@H]1CCCN(C(C)C)C1=O ZINC000336715044 386432840 /nfs/dbraw/zinc/43/28/40/386432840.db2.gz SIMTYOXXJMIYLA-STQMWFEESA-N 0 3 240.391 2.506 20 0 BFADHN CCc1ccc(CNCCC[C@@H]2CCOC2)o1 ZINC000401922379 386434544 /nfs/dbraw/zinc/43/45/44/386434544.db2.gz FYFVWQAGJVENJI-GFCCVEGCSA-N 0 3 237.343 2.748 20 0 BFADHN CC[C@@H]1CCN1C/C=C\c1ccncc1 ZINC000430208120 386434690 /nfs/dbraw/zinc/43/46/90/386434690.db2.gz SIUDKHDIKFBPNP-DHCBQETCSA-N 0 3 202.301 2.579 20 0 BFADHN C[C@@]1(CNCc2cc[nH]n2)C[C@H]1c1ccccc1 ZINC000339444770 386435674 /nfs/dbraw/zinc/43/56/74/386435674.db2.gz BGEMUACNMQIXHQ-GJZGRUSLSA-N 0 3 241.338 2.693 20 0 BFADHN CCOc1ccccc1[C@H](C)NC[C@@H](C)OC ZINC000227985454 386528408 /nfs/dbraw/zinc/52/84/08/386528408.db2.gz FWGSITMLNDMDGN-NEPJUHHUSA-N 0 3 237.343 2.771 20 0 BFADHN COc1cc(C)ccc1CN[C@H]1COC(C)(C)C1 ZINC000417926392 386469368 /nfs/dbraw/zinc/46/93/68/386469368.db2.gz DOPSKSLCNYJFIV-CYBMUJFWSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H]1CN(Cc2ccccc2N(C)C)[C@H]1C ZINC000339572642 386469315 /nfs/dbraw/zinc/46/93/15/386469315.db2.gz BEWCUARFSNVXEA-NEPJUHHUSA-N 0 3 218.344 2.593 20 0 BFADHN CC(C)Cn1nccc1CN(C(C)C)C1CC1 ZINC000648002340 386471509 /nfs/dbraw/zinc/47/15/09/386471509.db2.gz OXBZQQNQPYJZPD-UHFFFAOYSA-N 0 3 235.375 2.912 20 0 BFADHN CC(C)[C@@H](NCc1ccc(Cl)o1)C(C)(C)O ZINC000336731866 386471530 /nfs/dbraw/zinc/47/15/30/386471530.db2.gz FKWLVFDUMZKNOB-LLVKDONJSA-N 0 3 245.750 2.818 20 0 BFADHN C[C@@H]1CN(Cc2cccc(-n3cccn3)c2)[C@H]1C ZINC000339576645 386471858 /nfs/dbraw/zinc/47/18/58/386471858.db2.gz SGTQVSIOFRNOCG-OLZOCXBDSA-N 0 3 241.338 2.713 20 0 BFADHN Cc1ccc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)nn1 ZINC000414441922 386478764 /nfs/dbraw/zinc/47/87/64/386478764.db2.gz XATVYVVCJYPUTM-MDZLAQPJSA-N 0 3 233.359 2.651 20 0 BFADHN FC(F)(F)c1ccc(CN2CCCC2)nc1 ZINC000277924358 386479781 /nfs/dbraw/zinc/47/97/81/386479781.db2.gz HOVBLCGZFYELTL-UHFFFAOYSA-N 0 3 230.233 2.696 20 0 BFADHN CN(CCc1ccccn1)C[C@H]1CCC(C)(C)O1 ZINC000299728682 386485738 /nfs/dbraw/zinc/48/57/38/386485738.db2.gz VXQJFBRTXJQHPA-CQSZACIVSA-N 0 3 248.370 2.514 20 0 BFADHN COCc1ccc(CN[C@H]2CCC[C@H]2F)cc1 ZINC000339584564 386487781 /nfs/dbraw/zinc/48/77/81/386487781.db2.gz OCIACGIUYXSRRW-KGLIPLIRSA-N 0 3 237.318 2.813 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2ccoc2)C12CCC2 ZINC000135199897 386490357 /nfs/dbraw/zinc/49/03/57/386490357.db2.gz DPKGDWKUEXWHPH-CHWSQXEVSA-N 0 3 235.327 2.717 20 0 BFADHN CCOc1ccc([C@H](C)N[C@H]2C[C@@](C)(O)C2)cc1 ZINC000417352013 386490815 /nfs/dbraw/zinc/49/08/15/386490815.db2.gz DYXFRPJJZKEORV-CORIIIEPSA-N 0 3 249.354 2.649 20 0 BFADHN CO[C@@H](C)CN(C)CCC(=O)c1ccc(C)cc1 ZINC000285699820 386491089 /nfs/dbraw/zinc/49/10/89/386491089.db2.gz OGPJYYTVJHQCIZ-ZDUSSCGKSA-N 0 3 249.354 2.535 20 0 BFADHN Cc1occc1CN1CCCC[C@H]1C[C@@H](C)O ZINC000414444897 386491363 /nfs/dbraw/zinc/49/13/63/386491363.db2.gz LTVHQQWRDKAEAH-RISCZKNCSA-N 0 3 237.343 2.713 20 0 BFADHN CCc1ccccc1CN1C[C@@H](C)N(C)[C@H](C)C1 ZINC000339623801 386493054 /nfs/dbraw/zinc/49/30/54/386493054.db2.gz RJWSUEJVSZHGIZ-ZIAGYGMSSA-N 0 3 246.398 2.773 20 0 BFADHN CCc1cccnc1[C@H](C)NCC1(CC)COC1 ZINC000453380849 386495816 /nfs/dbraw/zinc/49/58/16/386495816.db2.gz RKPVOVCIAVHZNO-LBPRGKRZSA-N 0 3 248.370 2.721 20 0 BFADHN Cc1ccoc1CNC[C@]1(C)CCCC[C@H]1O ZINC000267114475 386498197 /nfs/dbraw/zinc/49/81/97/386498197.db2.gz RYKCVLCZJFEHKZ-KGLIPLIRSA-N 0 3 237.343 2.619 20 0 BFADHN COC(=O)c1coc([C@H](C)NC2CCCC2)c1 ZINC000278183307 386498280 /nfs/dbraw/zinc/49/82/80/386498280.db2.gz DNUUNXXLBOXXHI-VIFPVBQESA-N 0 3 237.299 2.659 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccncc1)CC(C)C ZINC000417325624 386498482 /nfs/dbraw/zinc/49/84/82/386498482.db2.gz ACIPKEUNUOFUFL-TZMCWYRMSA-N 0 3 236.359 2.793 20 0 BFADHN COC(=O)c1coc([C@@H](C)NC[C@H]2CC23CC3)c1 ZINC000417334357 386503903 /nfs/dbraw/zinc/50/39/03/386503903.db2.gz HATVHFNXIWXVLY-MWLCHTKSSA-N 0 3 249.310 2.517 20 0 BFADHN COC[C@@H](C)N[C@@H]1CCCc2sccc21 ZINC000061324405 386504290 /nfs/dbraw/zinc/50/42/90/386504290.db2.gz XWILPJHNMRFRBB-MWLCHTKSSA-N 0 3 225.357 2.750 20 0 BFADHN CCOc1ccccc1[C@@H](C)NC[C@H](C)OC ZINC000227985465 386529927 /nfs/dbraw/zinc/52/99/27/386529927.db2.gz FWGSITMLNDMDGN-NWDGAFQWSA-N 0 3 237.343 2.771 20 0 BFADHN Cc1occc1CN1CC[C@H](c2cccnc2)C1 ZINC000335444691 386508512 /nfs/dbraw/zinc/50/85/12/386508512.db2.gz RYLZUPLPTJRQBY-HNNXBMFYSA-N 0 3 242.322 2.973 20 0 BFADHN Clc1ccc(CNCCC[C@H]2CCOC2)o1 ZINC000401927590 386511053 /nfs/dbraw/zinc/51/10/53/386511053.db2.gz JBFJTOXUORKCFJ-JTQLQIEISA-N 0 3 243.734 2.839 20 0 BFADHN CCn1cncc1CN[C@@H]1CCCC(F)(F)C1 ZINC000417930164 386514702 /nfs/dbraw/zinc/51/47/02/386514702.db2.gz JQEIFDHRUCXANJ-SNVBAGLBSA-N 0 3 243.301 2.571 20 0 BFADHN c1ccc(CN2CC[C@H](CC3CC3)C2)nc1 ZINC000339673273 386515389 /nfs/dbraw/zinc/51/53/89/386515389.db2.gz GAHBISCVRVOUKX-CYBMUJFWSA-N 0 3 216.328 2.704 20 0 BFADHN c1cncc(CN2CC[C@H](CC3CC3)C2)c1 ZINC000339672055 386516973 /nfs/dbraw/zinc/51/69/73/386516973.db2.gz DCBYIZIBYAHGAR-CYBMUJFWSA-N 0 3 216.328 2.704 20 0 BFADHN Cn1ccc(CN2CC[C@@H](CC(F)(F)F)C2)c1 ZINC000278386917 386519302 /nfs/dbraw/zinc/51/93/02/386519302.db2.gz MJYDDFUXIVHWLL-JTQLQIEISA-N 0 3 246.276 2.799 20 0 BFADHN Cc1oncc1CN1CC[C@@H](CC2CC2)C1 ZINC000339680014 386519981 /nfs/dbraw/zinc/51/99/81/386519981.db2.gz VICUPEUEEAVWNI-LBPRGKRZSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1cccn2c(CN(C)C(C)(C)C)cnc12 ZINC000335450396 386522110 /nfs/dbraw/zinc/52/21/10/386522110.db2.gz WSWWNXQNSIOWBP-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN COCCN(CCSC)Cc1cccs1 ZINC000340662573 386522522 /nfs/dbraw/zinc/52/25/22/386522522.db2.gz VOILDCXNNXOULH-UHFFFAOYSA-N 0 3 245.413 2.560 20 0 BFADHN Cc1cc(C)n2c(CN(C)C(C)(C)C)cnc2n1 ZINC000335450409 386522625 /nfs/dbraw/zinc/52/26/25/386522625.db2.gz XHBLAWGDGUDOSS-UHFFFAOYSA-N 0 3 246.358 2.576 20 0 BFADHN Cc1nc(F)ccc1CN1CCC[C@H]1C ZINC000278433954 386524984 /nfs/dbraw/zinc/52/49/84/386524984.db2.gz KKIRTBDFWYVPTQ-SECBINFHSA-N 0 3 208.280 2.513 20 0 BFADHN C[C@@H](O)CCCNCc1cc(Cl)ccc1F ZINC000227959958 386525365 /nfs/dbraw/zinc/52/53/65/386525365.db2.gz JONQQPOBUMNTBN-SECBINFHSA-N 0 3 245.725 2.730 20 0 BFADHN C[C@@H](O)CCCNCc1ccc(Cl)cc1F ZINC000227956556 386525935 /nfs/dbraw/zinc/52/59/35/386525935.db2.gz PNSBMGWWZIMKHD-SECBINFHSA-N 0 3 245.725 2.730 20 0 BFADHN COc1cc([C@@H](C)N[C@H]2C=CCCC2)ccn1 ZINC000347562990 386453626 /nfs/dbraw/zinc/45/36/26/386453626.db2.gz SKBNDJXDUJSFLQ-YPMHNXCESA-N 0 3 232.327 2.850 20 0 BFADHN Cc1occc1CN1CCC[C@H](OCC2CC2)C1 ZINC000414436172 386453768 /nfs/dbraw/zinc/45/37/68/386453768.db2.gz RPTRYQJIUFGNTD-HNNXBMFYSA-N 0 3 249.354 2.979 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc(F)c(C)c1 ZINC000277658948 386456559 /nfs/dbraw/zinc/45/65/59/386456559.db2.gz DPYWWXKSPUMMRL-NSHDSACASA-N 0 3 225.307 2.601 20 0 BFADHN Cc1cnc(CN[C@@H](C)C2CCCCC2)nc1 ZINC000347579479 386459316 /nfs/dbraw/zinc/45/93/16/386459316.db2.gz UUFWCSYERAXKNG-LBPRGKRZSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1C[C@H]1c1ccccc1 ZINC000339513389 386459520 /nfs/dbraw/zinc/45/95/20/386459520.db2.gz JWTOUROHDGVWBN-KGLIPLIRSA-N 0 3 241.338 2.611 20 0 BFADHN COC[C@H](C)N1CCC[C@H]1c1ccsc1 ZINC000266656889 386460398 /nfs/dbraw/zinc/46/03/98/386460398.db2.gz KTEZUPHKPLJTEU-JQWIXIFHSA-N 0 3 225.357 2.920 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1ccco1 ZINC000290658095 386464001 /nfs/dbraw/zinc/46/40/01/386464001.db2.gz ZLUXWGCTKDFRKT-JTQLQIEISA-N 0 3 213.346 2.853 20 0 BFADHN CC[C@H](CSC)N(C)Cc1ccco1 ZINC000290658096 386464498 /nfs/dbraw/zinc/46/44/98/386464498.db2.gz ZLUXWGCTKDFRKT-SNVBAGLBSA-N 0 3 213.346 2.853 20 0 BFADHN CCCCCN[C@@H](C)c1nccnc1C ZINC000187681705 386575379 /nfs/dbraw/zinc/57/53/79/386575379.db2.gz DXKJCNGZPDPDOB-JTQLQIEISA-N 0 3 207.321 2.626 20 0 BFADHN CO[C@H]1CCN(Cc2cccc(OC(C)C)c2)C1 ZINC000267719473 386575973 /nfs/dbraw/zinc/57/59/73/386575973.db2.gz YCJGGMFUVKQASP-HNNXBMFYSA-N 0 3 249.354 2.695 20 0 BFADHN CCC1(CC)CN(C[C@@H](O)c2ccccc2)C1 ZINC000228517406 386582206 /nfs/dbraw/zinc/58/22/06/386582206.db2.gz GCICZSAFCAIULZ-CQSZACIVSA-N 0 3 233.355 2.842 20 0 BFADHN CCN(Cc1cncn1CC)C1CCCC1 ZINC000417710296 386584790 /nfs/dbraw/zinc/58/47/90/386584790.db2.gz CYWAIKFAUKTXBD-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CCn1cc([C@@H](C)NCC=C(C)C)cn1 ZINC000188455449 386586051 /nfs/dbraw/zinc/58/60/51/386586051.db2.gz KFKJIHDTGXVTQO-LLVKDONJSA-N 0 3 207.321 2.520 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CCOC[C@H]2C)o1 ZINC000278812157 386590922 /nfs/dbraw/zinc/59/09/22/386590922.db2.gz ZSOFXULFRJPQFX-MDZLAQPJSA-N 0 3 237.343 2.918 20 0 BFADHN F[C@H]1CCC[C@@H](NCc2ccc3c(n2)CCC3)C1 ZINC000335420706 386591305 /nfs/dbraw/zinc/59/13/05/386591305.db2.gz DFMVFSKXSWIBKM-QWHCGFSZSA-N 0 3 248.345 2.941 20 0 BFADHN F[C@@H]1CCC[C@@H](NCc2ccc3c(n2)CCC3)C1 ZINC000335420702 386592178 /nfs/dbraw/zinc/59/21/78/386592178.db2.gz DFMVFSKXSWIBKM-CHWSQXEVSA-N 0 3 248.345 2.941 20 0 BFADHN CCC1(O)CCN([C@@H]2C[C@@H]2c2ccccc2)CC1 ZINC000291283748 386531084 /nfs/dbraw/zinc/53/10/84/386531084.db2.gz YZSFSOZXWQZNAW-HUUCEWRRSA-N 0 3 245.366 2.779 20 0 BFADHN C=Cn1cc(CN2CC[C@@H](C)C(C)(C)C2)cn1 ZINC000278480096 386533758 /nfs/dbraw/zinc/53/37/58/386533758.db2.gz JDMBTMHRSNPWNK-GFCCVEGCSA-N 0 3 233.359 2.852 20 0 BFADHN Cc1ccc2nc(CN(C)C(C)(C)C)cn2c1 ZINC000335457856 386535397 /nfs/dbraw/zinc/53/53/97/386535397.db2.gz ZRAGSORHHBWIKI-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN CCN(CCO)Cc1ccc(C2CCC2)cc1 ZINC000278517708 386540000 /nfs/dbraw/zinc/54/00/00/386540000.db2.gz OFPMQXWMISSTHZ-UHFFFAOYSA-N 0 3 233.355 2.768 20 0 BFADHN CCN(CC)Cc1ccc(OC)c(F)c1 ZINC000061757440 386544218 /nfs/dbraw/zinc/54/42/18/386544218.db2.gz CILAQDCKZLNSQI-UHFFFAOYSA-N 0 3 211.280 2.676 20 0 BFADHN Cc1cn[nH]c1CN1CCC=C(c2ccco2)C1 ZINC000291453327 386548203 /nfs/dbraw/zinc/54/82/03/386548203.db2.gz CRAAPVCVRMHXHG-UHFFFAOYSA-N 0 3 243.310 2.600 20 0 BFADHN C1CC[C@@H]2[C@@H](C1)N1CCN2CC2CCC(CC2)C1 ZINC000339733498 386553163 /nfs/dbraw/zinc/55/31/63/386553163.db2.gz LGPVKTWHLCUERV-QDIHITRGSA-N 0 3 248.414 2.735 20 0 BFADHN F[C@H]1CCN(C[C@@H]2CCCCC2(F)F)C1 ZINC000335467341 386556427 /nfs/dbraw/zinc/55/64/27/386556427.db2.gz KPSDEHYUXMCCTL-UWVGGRQHSA-N 0 3 221.266 2.856 20 0 BFADHN c1cncc(CN2CC3(CCC3)[C@H]2C2CC2)c1 ZINC000291592274 386558282 /nfs/dbraw/zinc/55/82/82/386558282.db2.gz PVPVPAGXGXOABH-CQSZACIVSA-N 0 3 228.339 2.846 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)C[C@@H](C)O)o1 ZINC000336780776 386568421 /nfs/dbraw/zinc/56/84/21/386568421.db2.gz SBBGOOYFELZPQX-MXWKQRLJSA-N 0 3 225.332 2.652 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](F)C1)c1ccccn1 ZINC000336756467 386569170 /nfs/dbraw/zinc/56/91/70/386569170.db2.gz JLFGMNIHQZYEIH-AXFHLTTASA-N 0 3 208.280 2.623 20 0 BFADHN CC(C)C[C@H](C)N1CCO[C@@]2(CCSC2)C1 ZINC000335409231 386571237 /nfs/dbraw/zinc/57/12/37/386571237.db2.gz RIHADPRFLXARKP-STQMWFEESA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@H]1C1CC1)c1ccn(C)n1 ZINC000453396605 386571738 /nfs/dbraw/zinc/57/17/38/386571738.db2.gz YPCLEESJPIAJQH-AVGNSLFASA-N 0 3 233.359 2.507 20 0 BFADHN COc1ccc(CN2C[C@@H](C)CC2(C)C)cn1 ZINC000267906449 386598031 /nfs/dbraw/zinc/59/80/31/386598031.db2.gz DJNLNNGMUWVAFX-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](NC[C@H]1CCCCN1C1CC1)c1ccoc1 ZINC000519865568 386572486 /nfs/dbraw/zinc/57/24/86/386572486.db2.gz QYOKCYPNFQBTPV-SWLSCSKDSA-N 0 3 248.370 2.947 20 0 BFADHN CC(C)C[C@@H](C)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000337135039 386619637 /nfs/dbraw/zinc/61/96/37/386619637.db2.gz NJFZUXHCKOFOAP-UPJWGTAASA-N 0 3 213.365 2.778 20 0 BFADHN CO[C@@H](CN(Cc1ccc(C)o1)C1CC1)C1CC1 ZINC000644919764 386620964 /nfs/dbraw/zinc/62/09/64/386620964.db2.gz JRGFMMIOFUWKPH-HNNXBMFYSA-N 0 3 249.354 2.977 20 0 BFADHN C[C@@H]1C[C@@H]1NCc1cnc(C(C)(C)C)s1 ZINC000062839056 386623648 /nfs/dbraw/zinc/62/36/48/386623648.db2.gz XLBSOIQFDHOMAQ-SCZZXKLOSA-N 0 3 224.373 2.939 20 0 BFADHN CC[C@H]1CCCCN1Cc1cnc(OC)nc1C ZINC000337137912 386624329 /nfs/dbraw/zinc/62/43/29/386624329.db2.gz QBSBJQZVRVXBEF-ZDUSSCGKSA-N 0 3 249.358 2.558 20 0 BFADHN CN(Cc1cccc(Cl)c1)C1(CO)CCC1 ZINC000292175522 386627061 /nfs/dbraw/zinc/62/70/61/386627061.db2.gz QALUQRNPRMKELU-UHFFFAOYSA-N 0 3 239.746 2.687 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCC[C@@H](C)C2)ncn1 ZINC000292171201 386628899 /nfs/dbraw/zinc/62/88/99/386628899.db2.gz LUIZUYCUWYUUBN-RISCZKNCSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC[C@H](C)C[C@H]1C ZINC000336646456 386632655 /nfs/dbraw/zinc/63/26/55/386632655.db2.gz BFFUGSOSLOWCRW-IQJOONFLSA-N 0 3 239.388 2.761 20 0 BFADHN CC[C@H](N[C@H]1C=C[C@@H](CO)C1)c1cccc(C)c1 ZINC000353384466 386636195 /nfs/dbraw/zinc/63/61/95/386636195.db2.gz IBAZRZDUNKOOFH-KBMXLJTQSA-N 0 3 245.366 2.973 20 0 BFADHN CCCn1cc(CN(C)[C@@H](C)CC)cn1 ZINC000179323934 386638949 /nfs/dbraw/zinc/63/89/49/386638949.db2.gz XGFCONBGWCOMCB-NSHDSACASA-N 0 3 209.337 2.523 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccccc1NC(C)=O ZINC000179325040 386639106 /nfs/dbraw/zinc/63/91/06/386639106.db2.gz PGQQRTHMKXQGJI-LLVKDONJSA-N 0 3 234.343 2.875 20 0 BFADHN CC[C@H](C)N(C)Cc1cnn(C(C)C)c1 ZINC000179324501 386639299 /nfs/dbraw/zinc/63/92/99/386639299.db2.gz MPJPXSGLVUMSAS-NSHDSACASA-N 0 3 209.337 2.694 20 0 BFADHN Cc1cnc(CN2CC[C@H](C3CCCC3)C2)o1 ZINC000335509717 386642532 /nfs/dbraw/zinc/64/25/32/386642532.db2.gz KCQLCRNFIAXUEM-ZDUSSCGKSA-N 0 3 234.343 2.995 20 0 BFADHN CC(C)n1nccc1CN1CC[C@H](C)[C@@H](C)C1 ZINC000337196044 386646715 /nfs/dbraw/zinc/64/67/15/386646715.db2.gz XAWAGRRNTYVKHN-STQMWFEESA-N 0 3 235.375 2.942 20 0 BFADHN CC[C@H](NCCC[C@@H](C)O)c1nc(C)cs1 ZINC000450727225 386647096 /nfs/dbraw/zinc/64/70/96/386647096.db2.gz MCBYLKDQPTYOFJ-MNOVXSKESA-N 0 3 242.388 2.653 20 0 BFADHN CC1=CCCN(Cc2ccc3[nH]cnc3c2)C1 ZINC000520327147 386647804 /nfs/dbraw/zinc/64/78/04/386647804.db2.gz YVQSKFVINWUXET-UHFFFAOYSA-N 0 3 227.311 2.715 20 0 BFADHN CC1=CCCN(Cc2ccc3nc[nH]c3c2)C1 ZINC000520327147 386647808 /nfs/dbraw/zinc/64/78/08/386647808.db2.gz YVQSKFVINWUXET-UHFFFAOYSA-N 0 3 227.311 2.715 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN1CCO[C@@H](CF)C1 ZINC000414471678 386648165 /nfs/dbraw/zinc/64/81/65/386648165.db2.gz QECAIHNKYIUFAJ-IHRRRGAJSA-N 0 3 241.350 2.649 20 0 BFADHN Cc1occc1CN1CC[C@@H]2C[C@H](O)CC[C@H]2C1 ZINC000414471785 386651469 /nfs/dbraw/zinc/65/14/69/386651469.db2.gz RTCWERNINYSXPT-VHDGCEQUSA-N 0 3 249.354 2.571 20 0 BFADHN COc1ccccc1C1(NC[C@H]2C[C@H]2C)CC1 ZINC000648056119 386651800 /nfs/dbraw/zinc/65/18/00/386651800.db2.gz VFZKMAONYOBVQL-VXGBXAGGSA-N 0 3 231.339 2.930 20 0 BFADHN C[C@H](NC[C@H](O)C1CCC1)c1ccsc1 ZINC000316298947 259690901 /nfs/dbraw/zinc/69/09/01/259690901.db2.gz CYOLKMLAQIPEML-CABZTGNLSA-N 0 3 225.357 2.560 20 0 BFADHN Cc1cc(CN(C2CCC2)C2CCC2)ncn1 ZINC000292395354 386660895 /nfs/dbraw/zinc/66/08/95/386660895.db2.gz KRNRVYBZXFIWBI-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN CCCN(CC)Cc1cncn1C(C)C ZINC000425328770 386662229 /nfs/dbraw/zinc/66/22/29/386662229.db2.gz UWYRTNYKDUZYQA-UHFFFAOYSA-N 0 3 209.337 2.696 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2cncn2C(C)C)C1 ZINC000425330274 386662693 /nfs/dbraw/zinc/66/26/93/386662693.db2.gz BFIWKFCWJHQACE-CYBMUJFWSA-N 0 3 235.375 2.942 20 0 BFADHN CC[C@@H]1CCCN1Cc1cc(OC)cc(C)n1 ZINC000339819672 386665060 /nfs/dbraw/zinc/66/50/60/386665060.db2.gz IDKNOOZNKBTTHU-CYBMUJFWSA-N 0 3 234.343 2.773 20 0 BFADHN CCCC(CCC)NCc1snnc1C ZINC000336759630 386667348 /nfs/dbraw/zinc/66/73/48/386667348.db2.gz KWSQBPNIUONVNF-UHFFFAOYSA-N 0 3 227.377 2.905 20 0 BFADHN Cc1ccc(CN2CC[C@H](O)C[C@@H]2C)cc1C ZINC000292429123 386668787 /nfs/dbraw/zinc/66/87/87/386668787.db2.gz NJYMNNJDHCNIOY-ZFWWWQNUSA-N 0 3 233.355 2.649 20 0 BFADHN CSC[C@H]1CCCN(Cc2cccnc2)C1 ZINC000292460395 386672905 /nfs/dbraw/zinc/67/29/05/386672905.db2.gz QJINYQOLORSEPO-ZDUSSCGKSA-N 0 3 236.384 2.657 20 0 BFADHN CC[C@H]1COCCN1C[C@@H](C)CC(C)C ZINC000337188083 386658805 /nfs/dbraw/zinc/65/88/05/386658805.db2.gz QAHFDKMKHHCQGO-STQMWFEESA-N 0 3 213.365 2.779 20 0 BFADHN CCn1ccc(CNC[C@H]2CCC[C@@H](C)C2)n1 ZINC000414487915 386709613 /nfs/dbraw/zinc/70/96/13/386709613.db2.gz WBICDPXIPHKMHO-OLZOCXBDSA-N 0 3 235.375 2.819 20 0 BFADHN COc1cc(C)nc(CN(C)[C@@H](C)C2CC2)c1 ZINC000339832757 386685266 /nfs/dbraw/zinc/68/52/66/386685266.db2.gz GNLLZRFBFUYQJS-NSHDSACASA-N 0 3 234.343 2.629 20 0 BFADHN CN(CCC(C)(C)C)Cc1cnc2cnccn12 ZINC000648059695 386686639 /nfs/dbraw/zinc/68/66/39/386686639.db2.gz CQHIMCFWMOCWAN-UHFFFAOYSA-N 0 3 246.358 2.597 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cncs1)CC(C)C ZINC000417381575 386688302 /nfs/dbraw/zinc/68/83/02/386688302.db2.gz VNGZQSLIZJJIIU-QWRGUYRKSA-N 0 3 242.388 2.855 20 0 BFADHN CCC[C@@]1(NCc2ccc(C)nc2C)CCOC1 ZINC000352840137 386689005 /nfs/dbraw/zinc/68/90/05/386689005.db2.gz SJKCSRGYFXECMG-OAHLLOKOSA-N 0 3 248.370 2.747 20 0 BFADHN C[C@@H]1N([C@H]2C=CCCC2)CCOC1(C)C ZINC000357012042 386689805 /nfs/dbraw/zinc/68/98/05/386689805.db2.gz JEQBTVCESWPRQK-RYUDHWBXSA-N 0 3 209.333 2.594 20 0 BFADHN COc1cc(C)nc(CN2C[C@H](C)C[C@@H](C)C2)c1 ZINC000339838692 386693446 /nfs/dbraw/zinc/69/34/46/386693446.db2.gz IETALWMEGNYNKL-VXGBXAGGSA-N 0 3 248.370 2.877 20 0 BFADHN Fc1ccc(-n2nccc2CNC2CCC2)cc1 ZINC000279547758 386696703 /nfs/dbraw/zinc/69/67/03/386696703.db2.gz BAKWOFGIQQALNJ-UHFFFAOYSA-N 0 3 245.301 2.654 20 0 BFADHN CCOc1ccccc1CN[C@@H](C)[C@H](C)OC ZINC000268814928 386697063 /nfs/dbraw/zinc/69/70/63/386697063.db2.gz FWIYIBIBHBLUBW-RYUDHWBXSA-N 0 3 237.343 2.598 20 0 BFADHN FC(F)O[C@H]1CCCC[C@@H]1NCc1ccco1 ZINC000337282870 386697211 /nfs/dbraw/zinc/69/72/11/386697211.db2.gz IQHYOZNYFBUUEQ-QWRGUYRKSA-N 0 3 245.269 2.920 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@@H]2C2CC2)no1 ZINC000414484110 386697773 /nfs/dbraw/zinc/69/77/73/386697773.db2.gz NPYRMVJZVLTYNC-TZMCWYRMSA-N 0 3 234.343 2.899 20 0 BFADHN CC[C@H](NC[C@H](C)CO)c1cc(F)ccc1F ZINC000268808737 386697821 /nfs/dbraw/zinc/69/78/21/386697821.db2.gz DESXVQDSYBTXQV-ZANVPECISA-N 0 3 243.297 2.634 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@@H]2C2CC2)no1 ZINC000414484106 386698736 /nfs/dbraw/zinc/69/87/36/386698736.db2.gz NPYRMVJZVLTYNC-GXTWGEPZSA-N 0 3 234.343 2.899 20 0 BFADHN FC(F)O[C@@H]1CCCC[C@@H]1NCc1ccco1 ZINC000337282871 386699769 /nfs/dbraw/zinc/69/97/69/386699769.db2.gz IQHYOZNYFBUUEQ-WDEREUQCSA-N 0 3 245.269 2.920 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3CCC[C@H]32)ncn1 ZINC000292618763 386701458 /nfs/dbraw/zinc/70/14/58/386701458.db2.gz XSRNCIORZPACQN-GXTWGEPZSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@@H](C)C2)ncn1 ZINC000292657596 386703886 /nfs/dbraw/zinc/70/38/86/386703886.db2.gz AWMTZAMQTHCEMS-VXGBXAGGSA-N 0 3 233.359 2.653 20 0 BFADHN CC[C@@H](NCC[C@@H](C)O)c1ccc(F)cc1F ZINC000268845193 386704930 /nfs/dbraw/zinc/70/49/30/386704930.db2.gz BESRVWHPIISHLP-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN COc1cc(CN(C)[C@H](C)C2CCC2)ccn1 ZINC000357050755 386705149 /nfs/dbraw/zinc/70/51/49/386705149.db2.gz YUXRKOYMOLLRNW-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1nc(CN[C@H](C)c2cccc(C)c2C)n[nH]1 ZINC000292671737 386706863 /nfs/dbraw/zinc/70/68/63/386706863.db2.gz BNOHPYMIHAMGNK-LLVKDONJSA-N 0 3 244.342 2.581 20 0 BFADHN CCCC(C)(C)NCc1nc(C)c(C)[nH]1 ZINC000520610873 386707012 /nfs/dbraw/zinc/70/70/12/386707012.db2.gz BHQJVMOPJASBDV-UHFFFAOYSA-N 0 3 209.337 2.695 20 0 BFADHN COC1(CCN2CC[C@H](C)[C@H](F)C2)CCC1 ZINC000645112260 386730808 /nfs/dbraw/zinc/73/08/08/386730808.db2.gz CLVHQPCRURXFJQ-NWDGAFQWSA-N 0 3 229.339 2.626 20 0 BFADHN C[C@H](O)CCN(C)Cc1cc2ccccc2o1 ZINC000268977604 386732653 /nfs/dbraw/zinc/73/26/53/386732653.db2.gz NZHZXGXYDYITMX-NSHDSACASA-N 0 3 233.311 2.636 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H]1CCc2c1cccc2F ZINC000268976413 386732990 /nfs/dbraw/zinc/73/29/90/386732990.db2.gz GYOBJNRBYPRNMA-RULNRJAQSA-N 0 3 237.318 2.826 20 0 BFADHN C[C@@H](NCCNc1ccccn1)c1cccs1 ZINC000269005364 386735761 /nfs/dbraw/zinc/73/57/61/386735761.db2.gz QICXCWOYEHEMES-LLVKDONJSA-N 0 3 247.367 2.906 20 0 BFADHN CCC1(CC)CCN(Cc2cc(C)nn2C)C1 ZINC000417793973 386736080 /nfs/dbraw/zinc/73/60/80/386736080.db2.gz MHVDVBLJQHJITR-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CN(Cc1cccc(C(F)F)c1)[C@H]1CCOC1 ZINC000279769101 386736301 /nfs/dbraw/zinc/73/63/01/386736301.db2.gz SLQHFLGRDWWKCF-LBPRGKRZSA-N 0 3 241.281 2.845 20 0 BFADHN CSC[C@@H]1CCCN(Cc2cccn2C)C1 ZINC000292726670 386713513 /nfs/dbraw/zinc/71/35/13/386713513.db2.gz FVMAUVCXHAJZRM-GFCCVEGCSA-N 0 3 238.400 2.600 20 0 BFADHN C[C@H](O)CCN[C@@H](C)c1ccc2ccccc2n1 ZINC000268889208 386713753 /nfs/dbraw/zinc/71/37/53/386713753.db2.gz NQKWWBXERWPHAI-RYUDHWBXSA-N 0 3 244.338 2.656 20 0 BFADHN CN(Cc1cn[nH]c1)[C@@H]1C=CCCCCC1 ZINC000645097618 386714424 /nfs/dbraw/zinc/71/44/24/386714424.db2.gz SWYMVMMKZHVIOK-CYBMUJFWSA-N 0 3 219.332 2.730 20 0 BFADHN CCCO[C@H]1CCCN(CC2(F)CC2)CC1 ZINC000526970457 386714523 /nfs/dbraw/zinc/71/45/23/386714523.db2.gz QKGPDWUZIBLDKE-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN COc1ccc([C@H]2CCN(CC3(F)CC3)C2)cc1 ZINC000526970525 386715380 /nfs/dbraw/zinc/71/53/80/386715380.db2.gz DZMLLIQVHDJTQJ-ZDUSSCGKSA-N 0 3 249.329 2.987 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cc(C)nn1C ZINC000417781685 386718013 /nfs/dbraw/zinc/71/80/13/386718013.db2.gz KKOFJCHDZDMUIJ-LLVKDONJSA-N 0 3 223.364 2.597 20 0 BFADHN Cc1ccc(CNCCOc2ccc(F)cc2)o1 ZINC000340399884 386718324 /nfs/dbraw/zinc/71/83/24/386718324.db2.gz HYCDIRQAAJFXNE-UHFFFAOYSA-N 0 3 249.285 2.896 20 0 BFADHN CC[C@H]1CCCCCN1Cc1cc(C)nn1C ZINC000417786295 386718704 /nfs/dbraw/zinc/71/87/04/386718704.db2.gz FXEBDEQIRSQQQX-ZDUSSCGKSA-N 0 3 235.375 2.883 20 0 BFADHN CCn1cncc1CN1CCC[C@@](C)(CC)C1 ZINC000417783931 386719150 /nfs/dbraw/zinc/71/91/50/386719150.db2.gz ZWZYXGCAGNHTCQ-CQSZACIVSA-N 0 3 235.375 2.915 20 0 BFADHN CSC[C@@H]1CCCN(Cc2ccco2)C1 ZINC000292757833 386719449 /nfs/dbraw/zinc/71/94/49/386719449.db2.gz JFIYLZZTOYSYHP-LLVKDONJSA-N 0 3 225.357 2.855 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1ccc2c(c1)COC2 ZINC000268914830 386720236 /nfs/dbraw/zinc/72/02/36/386720236.db2.gz UUEKXZZQADVAIB-NHYWBVRUSA-N 0 3 247.338 2.546 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCC[C@H]2C)ncn1 ZINC000292765055 386720635 /nfs/dbraw/zinc/72/06/35/386720635.db2.gz ILSBTASIDWCGPX-BXUZGUMPSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1nocc1CNC1(C)Cc2ccccc2C1 ZINC000292755321 386720900 /nfs/dbraw/zinc/72/09/00/386720900.db2.gz BLNQDLRYXXGBDL-UHFFFAOYSA-N 0 3 242.322 2.630 20 0 BFADHN C/C(=C\c1ccccc1)CN1CC[C@H](O)C[C@@H]1C ZINC000292752585 386721696 /nfs/dbraw/zinc/72/16/96/386721696.db2.gz IORVSGUWURNBRT-HUUYWPLNSA-N 0 3 245.366 2.935 20 0 BFADHN COc1cc(CN2C[C@H](C)C[C@H](C)C2)ccn1 ZINC000339867431 386725149 /nfs/dbraw/zinc/72/51/49/386725149.db2.gz RLHCQFLOGHVNSF-TXEJJXNPSA-N 0 3 234.343 2.568 20 0 BFADHN CCOC[C@H](C)NCc1ccc(CC)s1 ZINC000044724330 386726904 /nfs/dbraw/zinc/72/69/04/386726904.db2.gz KBGOOUZHSJCFEY-JTQLQIEISA-N 0 3 227.373 2.825 20 0 BFADHN COCCOc1cccc(CN2[C@@H](C)C[C@@H]2C)c1 ZINC000526989323 386727408 /nfs/dbraw/zinc/72/74/08/386727408.db2.gz KQSVWDVQXAFVFU-STQMWFEESA-N 0 3 249.354 2.695 20 0 BFADHN CCN(CC)Cc1cn(C)nc1-c1ccc(C)o1 ZINC000647998645 386727965 /nfs/dbraw/zinc/72/79/65/386727965.db2.gz ADHUZMVLMBTSMQ-UHFFFAOYSA-N 0 3 247.342 2.830 20 0 BFADHN CC[C@H](N)C(=O)N(C)c1ccc2ccccc2c1 ZINC000269056467 386745665 /nfs/dbraw/zinc/74/56/65/386745665.db2.gz SGECJRYKTOWGJR-AWEZNQCLSA-N 0 3 242.322 2.540 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]2CF)cc1 ZINC000292904470 386746817 /nfs/dbraw/zinc/74/68/17/386746817.db2.gz APWLQUNRPHXDGE-CYBMUJFWSA-N 0 3 207.292 2.929 20 0 BFADHN CC(C)C[C@@H](C)N1CCc2ncsc2C1 ZINC000450834240 386747060 /nfs/dbraw/zinc/74/70/60/386747060.db2.gz YWYQVIIGJVJCFW-SNVBAGLBSA-N 0 3 224.373 2.936 20 0 BFADHN CC(C)(C)CC(C)(C)NCc1cocn1 ZINC000192283823 386752931 /nfs/dbraw/zinc/75/29/31/386752931.db2.gz UHOBLIJNVILRGG-UHFFFAOYSA-N 0 3 210.321 2.979 20 0 BFADHN C[C@@H]1CCN(Cc2cn3ccccc3n2)[C@H]1C ZINC000248341608 386753365 /nfs/dbraw/zinc/75/33/65/386753365.db2.gz JDGSBYAFESNMRD-NEPJUHHUSA-N 0 3 229.327 2.565 20 0 BFADHN CO[C@](C)([C@H](C)NCc1ccc(C)nc1)C1CC1 ZINC000414501081 386758183 /nfs/dbraw/zinc/75/81/83/386758183.db2.gz MVIQNIDLDWSNRD-SWLSCSKDSA-N 0 3 248.370 2.683 20 0 BFADHN COC1(CCN2Cc3ccccc3C2)CCC1 ZINC000292968185 386758777 /nfs/dbraw/zinc/75/87/77/386758777.db2.gz DGPABGBHKAUKGE-UHFFFAOYSA-N 0 3 231.339 2.961 20 0 BFADHN Cc1ccc(NCc2cocn2)c(CN(C)C)c1 ZINC000192332449 386764780 /nfs/dbraw/zinc/76/47/80/386764780.db2.gz FFZIFZHKDRRPLX-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN C[C@@H](O)CCCN1CCc2sccc2[C@H]1C ZINC000336664516 386765855 /nfs/dbraw/zinc/76/58/55/386765855.db2.gz PGRSYNCFHULSJP-GHMZBOCLSA-N 0 3 239.384 2.828 20 0 BFADHN CC(C)[C@H]1CN([C@@H](C)c2ccccn2)CCCO1 ZINC000293042215 386768392 /nfs/dbraw/zinc/76/83/92/386768392.db2.gz HEAMFJMGESTKCH-DZGCQCFKSA-N 0 3 248.370 2.890 20 0 BFADHN COc1cc(CNC[C@H](OC)C2CC2)ccc1C ZINC000292887844 386741621 /nfs/dbraw/zinc/74/16/21/386741621.db2.gz CPPDEONBPUFMGF-HNNXBMFYSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1ccncc1CN1CCC(C(F)F)CC1 ZINC000334145864 386743760 /nfs/dbraw/zinc/74/37/60/386743760.db2.gz ZZOIBFAKQJHXDQ-UHFFFAOYSA-N 0 3 240.297 2.867 20 0 BFADHN Cc1ccc(CN(C)C[C@H](O)CCC(C)C)o1 ZINC000280224306 386792092 /nfs/dbraw/zinc/79/20/92/386792092.db2.gz GPYHDYUJMOPZGL-CYBMUJFWSA-N 0 3 239.359 2.817 20 0 BFADHN Cc1occc1CN(C)C[C@@H](O)CCC(C)C ZINC000280239078 386793719 /nfs/dbraw/zinc/79/37/19/386793719.db2.gz HWGSMSZGHBONMV-AWEZNQCLSA-N 0 3 239.359 2.817 20 0 BFADHN Cn1cc2c(n1)CCC[C@H]2NCc1cccs1 ZINC000280251962 386794295 /nfs/dbraw/zinc/79/42/95/386794295.db2.gz WSGIIBAQAXUKFA-GFCCVEGCSA-N 0 3 247.367 2.649 20 0 BFADHN CCN1CC2(CCOCC2)[C@@H]1c1ccccc1 ZINC000334637410 386772728 /nfs/dbraw/zinc/77/27/28/386772728.db2.gz SQXBLNSZXHKNJZ-AWEZNQCLSA-N 0 3 231.339 2.860 20 0 BFADHN C[C@@H](N[C@H]1CSC1(C)C)c1cncc(F)c1 ZINC000282901088 386773632 /nfs/dbraw/zinc/77/36/32/386773632.db2.gz UEZADDMWRWEYSP-KCJUWKMLSA-N 0 3 240.347 2.765 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)nn1 ZINC000396559916 386774986 /nfs/dbraw/zinc/77/49/86/386774986.db2.gz JPUZEMZYIVOIPQ-COPLHBTASA-N 0 3 233.359 2.699 20 0 BFADHN Cc1ccc(CN[C@H](C)CC(C)(C)C)nn1 ZINC000396558206 386775020 /nfs/dbraw/zinc/77/50/20/386775020.db2.gz RTFRYCYBVXSTLF-LLVKDONJSA-N 0 3 221.348 2.699 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)nn1 ZINC000396559918 386775090 /nfs/dbraw/zinc/77/50/90/386775090.db2.gz JPUZEMZYIVOIPQ-JTNHKYCSSA-N 0 3 233.359 2.699 20 0 BFADHN CCN1CC2(CCOCC2)[C@H]1c1ccccc1 ZINC000334637412 386775212 /nfs/dbraw/zinc/77/52/12/386775212.db2.gz SQXBLNSZXHKNJZ-CQSZACIVSA-N 0 3 231.339 2.860 20 0 BFADHN CCOc1cccc(CN[C@H]2CCOC2(C)C)c1 ZINC000293236061 386775638 /nfs/dbraw/zinc/77/56/38/386775638.db2.gz AYZWEUQHZYLBTC-AWEZNQCLSA-N 0 3 249.354 2.742 20 0 BFADHN CN(Cc1cn2cccc(F)c2n1)C(C)(C)C ZINC000648070151 386776215 /nfs/dbraw/zinc/77/62/15/386776215.db2.gz BJDWARGFYLZUDP-UHFFFAOYSA-N 0 3 235.306 2.704 20 0 BFADHN CC1(C)SC[C@@H]1NCc1ccc(F)cc1 ZINC000282904529 386777384 /nfs/dbraw/zinc/77/73/84/386777384.db2.gz UMMZDAWQDCOXCQ-NSHDSACASA-N 0 3 225.332 2.809 20 0 BFADHN CC1(C)C[C@@H](N[C@@H](c2cccnc2)C2CC2)CO1 ZINC000417977887 386777765 /nfs/dbraw/zinc/77/77/65/386777765.db2.gz XXTDLOYSMRAAKV-ZIAGYGMSSA-N 0 3 246.354 2.690 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC[C@H](C)C2)nn1 ZINC000396572928 386782151 /nfs/dbraw/zinc/78/21/51/386782151.db2.gz YUWXFNQBMZCIHM-WCQYABFASA-N 0 3 233.359 2.701 20 0 BFADHN CCCN(CCN(C)C)C(=O)CC(C)(C)CC ZINC000344866739 386782746 /nfs/dbraw/zinc/78/27/46/386782746.db2.gz QIAWVZFGPXFRSX-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@H](C)[C@H](C)C2)nn1 ZINC000396595936 386784144 /nfs/dbraw/zinc/78/41/44/386784144.db2.gz YQTORHYVVZEQSW-DMDPSCGWSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1ccc(CN[C@H]2COC(C)(C)C2)cc1F ZINC000396600149 386784293 /nfs/dbraw/zinc/78/42/93/386784293.db2.gz PIHNPGACYXGUFT-GFCCVEGCSA-N 0 3 237.318 2.791 20 0 BFADHN CCCn1cc(CN2C[C@H](C)C[C@@H]2C)cn1 ZINC000353747351 386819017 /nfs/dbraw/zinc/81/90/17/386819017.db2.gz AAALDSIFMAKPSO-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN CCCn1cc(CN2C[C@@H](C)C[C@@H]2C)cn1 ZINC000353747353 386819938 /nfs/dbraw/zinc/81/99/38/386819938.db2.gz AAALDSIFMAKPSO-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN Cc1oncc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000248594306 386821770 /nfs/dbraw/zinc/82/17/70/386821770.db2.gz SGJYKCORMSZVDO-WCQYABFASA-N 0 3 220.316 2.748 20 0 BFADHN CCn1nncc1CN[C@H](C)CCCC(C)C ZINC000417840387 386797690 /nfs/dbraw/zinc/79/76/90/386797690.db2.gz MFERVVNZEBBSOI-GFCCVEGCSA-N 0 3 238.379 2.602 20 0 BFADHN CCCC[C@H](CC)CNCc1cnnn1CC ZINC000417841993 386799280 /nfs/dbraw/zinc/79/92/80/386799280.db2.gz MLZZAGQRUPJQST-LBPRGKRZSA-N 0 3 238.379 2.604 20 0 BFADHN CC[C@]1(C)COCCN1[C@@H]1C=CCCC1 ZINC000340888975 386800133 /nfs/dbraw/zinc/80/01/33/386800133.db2.gz SVQZPRZKGUQVAO-CHWSQXEVSA-N 0 3 209.333 2.596 20 0 BFADHN CC(C)[C@@H]1N(Cc2cn[nH]c2)CC12CC=CC2 ZINC000648072601 386800259 /nfs/dbraw/zinc/80/02/59/386800259.db2.gz KLYFGTBHKCRYNZ-ZDUSSCGKSA-N 0 3 231.343 2.586 20 0 BFADHN CCCN(CC(=O)OC)C[C@@H]1CCCC[C@H]1C ZINC000526074034 386801901 /nfs/dbraw/zinc/80/19/01/386801901.db2.gz FXBKMMUSEXWZBB-OLZOCXBDSA-N 0 3 241.375 2.698 20 0 BFADHN C[C@@H](NC[C@@H]1CCC(F)(F)C1)c1cnccn1 ZINC000280320004 386803751 /nfs/dbraw/zinc/80/37/51/386803751.db2.gz DJLKXFDLPPKVJH-NXEZZACHSA-N 0 3 241.285 2.563 20 0 BFADHN CCn1cncc1CN(C)C[C@H]1CC=CCC1 ZINC000417997645 386805976 /nfs/dbraw/zinc/80/59/76/386805976.db2.gz HMKFKPQDEPWZIT-ZDUSSCGKSA-N 0 3 233.359 2.691 20 0 BFADHN Cc1ccoc1CN1CCCC[C@@H]1C[C@H](C)O ZINC000280365286 386808251 /nfs/dbraw/zinc/80/82/51/386808251.db2.gz DDDZJAJRFPMDGW-QWHCGFSZSA-N 0 3 237.343 2.713 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc(C)oc1C)[C@@H](C)O ZINC000395282078 386809549 /nfs/dbraw/zinc/80/95/49/386809549.db2.gz UMRDSDPNOAHUOZ-CWSCBRNRSA-N 0 3 225.332 2.706 20 0 BFADHN COCc1cccc(CN2C[C@@H](C)[C@@H]2C)c1 ZINC000293395925 386811494 /nfs/dbraw/zinc/81/14/94/386811494.db2.gz LCHDZRHRDUEBRD-NEPJUHHUSA-N 0 3 219.328 2.673 20 0 BFADHN Cc1ncccc1CN1CC(C(C)(C)C)C1 ZINC000334781666 386837788 /nfs/dbraw/zinc/83/77/88/386837788.db2.gz DXGCTKSIEJDACO-UHFFFAOYSA-N 0 3 218.344 2.868 20 0 BFADHN C[C@H](O)CN1C[C@@H](C)C[C@H]1c1cccc(F)c1 ZINC000249593852 386839534 /nfs/dbraw/zinc/83/95/34/386839534.db2.gz PNGRRELBIHXOKM-MJVIPROJSA-N 0 3 237.318 2.589 20 0 BFADHN COC[C@H]1CCN1C[C@H](C)c1ccccc1 ZINC000293571054 386840676 /nfs/dbraw/zinc/84/06/76/386840676.db2.gz MYJWLGHJVAAYID-GXTWGEPZSA-N 0 3 219.328 2.511 20 0 BFADHN COC1(CCN(C)Cc2ccc(C)o2)CCC1 ZINC000293590234 386843993 /nfs/dbraw/zinc/84/39/93/386843993.db2.gz UMDIUGHBMICVBH-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCc1ccco1 ZINC000357784752 386846390 /nfs/dbraw/zinc/84/63/90/386846390.db2.gz OCPFXDYOZLIPCC-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN CCCCN(CC)C(=O)CN1CCC[C@@H]1CC ZINC000341168158 386850058 /nfs/dbraw/zinc/85/00/58/386850058.db2.gz LMJCVKVAYBEQCV-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@H](N[C@H]1C[C@H](C)n2ccnc21)C1CC1 ZINC000323925002 386893798 /nfs/dbraw/zinc/89/37/98/386893798.db2.gz WGRPBYIJJSFGKU-DLOVCJGASA-N 0 3 219.332 2.667 20 0 BFADHN CCN(C(=O)[C@@H](C)N1CCCCCC1)C(C)C ZINC000357847637 386854125 /nfs/dbraw/zinc/85/41/25/386854125.db2.gz HSTALNRWQBYPCQ-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN COC[C@H]1CCN1C[C@@H](C)c1ccc(F)cc1 ZINC000293655712 386855088 /nfs/dbraw/zinc/85/50/88/386855088.db2.gz NIIQGVIHPNPGMY-BXUZGUMPSA-N 0 3 237.318 2.650 20 0 BFADHN C[C@@H](NC1CCC(C)CC1)c1ccn(C)n1 ZINC000334791043 386855486 /nfs/dbraw/zinc/85/54/86/386855486.db2.gz FDIDHAIXWFIGFY-MOENNCHZSA-N 0 3 221.348 2.649 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H]1CCc2c1cccc2O ZINC000425344646 386855909 /nfs/dbraw/zinc/85/59/09/386855909.db2.gz JCZHYJKOIVTSFB-MBNYWOFBSA-N 0 3 249.379 2.863 20 0 BFADHN Cc1ccsc1CN(C)CC1=CCCOC1 ZINC000349541389 386858710 /nfs/dbraw/zinc/85/87/10/386858710.db2.gz DOIZRRLQOILXTD-UHFFFAOYSA-N 0 3 237.368 2.835 20 0 BFADHN Cc1ccc(CN2CC3(C2)CCOCC3)cc1 ZINC000334794611 386862800 /nfs/dbraw/zinc/86/28/00/386862800.db2.gz BTLWNNQSDYWVIO-UHFFFAOYSA-N 0 3 231.339 2.607 20 0 BFADHN CC(C)[C@H]1N(Cc2ccco2)CC12CCOCC2 ZINC000334827192 386862827 /nfs/dbraw/zinc/86/28/27/386862827.db2.gz TWYZYWGKWUDJAC-CQSZACIVSA-N 0 3 249.354 2.917 20 0 BFADHN CO[C@@H]1CCC[C@H]1CNCc1ccccc1F ZINC000341255743 386868555 /nfs/dbraw/zinc/86/85/55/386868555.db2.gz HTXJCKWBEDYYMN-GXTWGEPZSA-N 0 3 237.318 2.730 20 0 BFADHN CC(C)c1nnc([C@@H](C)NC2CC(C)(C)C2)[nH]1 ZINC000331754796 386894393 /nfs/dbraw/zinc/89/43/93/386894393.db2.gz HGAYFMQDRKOPBF-SECBINFHSA-N 0 3 236.363 2.767 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+]C2CC(C)(C)C2)[n-]1 ZINC000331754796 386894397 /nfs/dbraw/zinc/89/43/97/386894397.db2.gz HGAYFMQDRKOPBF-SECBINFHSA-N 0 3 236.363 2.767 20 0 BFADHN CCC[C@H](N[C@@H](C)C[C@@H](C)O)c1ccccn1 ZINC000341271260 386872281 /nfs/dbraw/zinc/87/22/81/386872281.db2.gz GUSHKBHLVBFTQO-SCRDCRAPSA-N 0 3 236.359 2.672 20 0 BFADHN CC[C@H](N[C@H]1C[C@@H](C)n2ccnc21)C1CC1 ZINC000323925003 386894633 /nfs/dbraw/zinc/89/46/33/386894633.db2.gz WGRPBYIJJSFGKU-USWWRNFRSA-N 0 3 219.332 2.667 20 0 BFADHN Cc1ccc(CN2CC[C@H](C(C)(C)C)C2)nn1 ZINC000334834939 386874120 /nfs/dbraw/zinc/87/41/20/386874120.db2.gz BDSYMPQEBGNLML-LBPRGKRZSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1ccc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)nn1 ZINC000334836155 386876808 /nfs/dbraw/zinc/87/68/08/386876808.db2.gz WVKDRLAFBKVQTQ-KGLIPLIRSA-N 0 3 245.370 2.796 20 0 BFADHN Cc1occc1CN1CCCO[C@@H](C(C)C)C1 ZINC000334835710 386877065 /nfs/dbraw/zinc/87/70/65/386877065.db2.gz TZTKDHPLCPHMFJ-CQSZACIVSA-N 0 3 237.343 2.835 20 0 BFADHN Fc1ccccc1C1CN(CC2CC2)C1 ZINC000335750739 386877543 /nfs/dbraw/zinc/87/75/43/386877543.db2.gz CHTBJUOQSDLWPB-UHFFFAOYSA-N 0 3 205.276 2.635 20 0 BFADHN C[C@@H]1C[C@H]1CN[C@H]1CCOc2ccc(F)cc21 ZINC000358011300 386879315 /nfs/dbraw/zinc/87/93/15/386879315.db2.gz ZFMLOGPAKGAPEZ-NRUUGDAUSA-N 0 3 235.302 2.895 20 0 BFADHN CN(C)CCNc1ccnc2c(Cl)cccc12 ZINC000341287909 386879792 /nfs/dbraw/zinc/87/97/92/386879792.db2.gz LPROKTCLMUSQJM-UHFFFAOYSA-N 0 3 249.745 2.862 20 0 BFADHN CCc1ncc(CN2C[C@@H](C)CC2(C)C)cn1 ZINC000334842896 386887834 /nfs/dbraw/zinc/88/78/34/386887834.db2.gz ZCJBPAMGEOHBDV-NSHDSACASA-N 0 3 233.359 2.659 20 0 BFADHN CC(C)Cn1nccc1CN1CCC2(CCC2)C1 ZINC000648009222 386916438 /nfs/dbraw/zinc/91/64/38/386916438.db2.gz WTXKZHMQXXIMHY-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CCCC(CCC)NCc1nccn1CC ZINC000395504474 386900327 /nfs/dbraw/zinc/90/03/27/386900327.db2.gz MJYMBDSEUVLWIJ-UHFFFAOYSA-N 0 3 223.364 2.961 20 0 BFADHN CO[C@H]1CCN(Cc2ccoc2C)CC1(C)C ZINC000334851456 386901169 /nfs/dbraw/zinc/90/11/69/386901169.db2.gz COMFUCCVOLRIQE-ZDUSSCGKSA-N 0 3 237.343 2.835 20 0 BFADHN OCC1CCN([C@@H]2CCc3ccc(F)cc32)CC1 ZINC000334852727 386902497 /nfs/dbraw/zinc/90/24/97/386902497.db2.gz YQCVFUTUXHXWPD-OAHLLOKOSA-N 0 3 249.329 2.517 20 0 BFADHN CC[C@H]([NH2+][C@H](C)c1nnc(C(C)C)[n-]1)C1CC1 ZINC000358248733 386919733 /nfs/dbraw/zinc/91/97/33/386919733.db2.gz MQXINXABPLBION-KOLCDFICSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@H](N[C@H](C)c1nnc(C(C)C)[nH]1)C1CC1 ZINC000358248733 386919738 /nfs/dbraw/zinc/91/97/38/386919738.db2.gz MQXINXABPLBION-KOLCDFICSA-N 0 3 236.363 2.767 20 0 BFADHN CCn1cc(CN2CCCCC[C@H]2C)cn1 ZINC000335766580 386908312 /nfs/dbraw/zinc/90/83/12/386908312.db2.gz ASMDEZYCRFMEMK-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2ccncc2Cl)C1 ZINC000335766043 386908853 /nfs/dbraw/zinc/90/88/53/386908853.db2.gz IUTSYEONMBKSTB-NXEZZACHSA-N 0 3 224.735 2.965 20 0 BFADHN Cc1occc1CN1C[C@H](C(N)=O)CC[C@@H]1C ZINC000334857733 386911367 /nfs/dbraw/zinc/91/13/67/386911367.db2.gz YYKCNGSQIZJDOY-JOYOIKCWSA-N 0 3 236.315 2.724 20 0 BFADHN CC[C@H](COC)N[C@H]1c2ccccc2O[C@@H]1C ZINC000368143082 386946382 /nfs/dbraw/zinc/94/63/82/386946382.db2.gz POOSZYBNTNGZGA-JTNHKYCSSA-N 0 3 235.327 2.523 20 0 BFADHN Cc1cc(CN2[C@H](C)CCC2(C)C)no1 ZINC000334868388 386925288 /nfs/dbraw/zinc/92/52/88/386925288.db2.gz UHVPPPSFXWXCCU-SECBINFHSA-N 0 3 208.305 2.746 20 0 BFADHN CC(C)Cn1nccc1CN1C[C@H](C)C[C@H]1C ZINC000648009920 386927104 /nfs/dbraw/zinc/92/71/04/386927104.db2.gz CFVCQYZKPCCKAU-CHWSQXEVSA-N 0 3 235.375 2.769 20 0 BFADHN CC(C)Cn1nccc1CN1C[C@@H](C)C[C@H]1C ZINC000648009922 386927239 /nfs/dbraw/zinc/92/72/39/386927239.db2.gz CFVCQYZKPCCKAU-QWHCGFSZSA-N 0 3 235.375 2.769 20 0 BFADHN Cc1nc(C)c(CN2[C@H](C)C[C@H]2C)s1 ZINC000335828810 386934854 /nfs/dbraw/zinc/93/48/54/386934854.db2.gz PYNXAXPWQOWKNS-HTQZYQBOSA-N 0 3 210.346 2.743 20 0 BFADHN Cc1cc(CN2CC(C)(C)CC(C)(C)C2)n(C)n1 ZINC000418017529 386941804 /nfs/dbraw/zinc/94/18/04/386941804.db2.gz YICKWNGBDNWZOC-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN COC[C@@H](CC(C)C)NCc1cncc(C)c1 ZINC000358372676 386944248 /nfs/dbraw/zinc/94/42/48/386944248.db2.gz WTGRXCKKAZZLJD-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1ccc(F)c(CN[C@H](C)CCCCO)c1 ZINC000398677333 386945243 /nfs/dbraw/zinc/94/52/43/386945243.db2.gz MVZXQMHVHCEYKD-GFCCVEGCSA-N 0 3 239.334 2.775 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](c1cccnc1)C1CC1 ZINC000358375927 386945624 /nfs/dbraw/zinc/94/56/24/386945624.db2.gz QVKAVDUEPBPLCS-JTNHKYCSSA-N 0 3 234.343 2.546 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc(F)cc(F)c2)[C@@H](C)O1 ZINC000395164913 386954373 /nfs/dbraw/zinc/95/43/73/386954373.db2.gz OWKTVIALVIYVEK-KKFJDGPESA-N 0 3 241.281 2.620 20 0 BFADHN COc1c(O)cccc1CNC[C@H]1CC1(C)C ZINC000352903644 386955746 /nfs/dbraw/zinc/95/57/46/386955746.db2.gz PXDGDPAEZULIRD-LLVKDONJSA-N 0 3 235.327 2.537 20 0 BFADHN CC(C)[C@H](N[C@@H](C)CO)c1cc(F)ccc1F ZINC000358752176 387007557 /nfs/dbraw/zinc/00/75/57/387007557.db2.gz JTSUEEOJOPAWAG-ZANVPECISA-N 0 3 243.297 2.632 20 0 BFADHN Cc1sccc1CN1C[C@H](C)N(C)C[C@@H]1C ZINC000352962207 386975798 /nfs/dbraw/zinc/97/57/98/386975798.db2.gz KSCCHPRDKIEGHV-QWRGUYRKSA-N 0 3 238.400 2.581 20 0 BFADHN CC(=O)Nc1ccc(CN2CCC23CCC3)cc1 ZINC000334908093 386982697 /nfs/dbraw/zinc/98/26/97/386982697.db2.gz CFKFRYGYNNSVSN-UHFFFAOYSA-N 0 3 244.338 2.773 20 0 BFADHN CCC[C@H]1CCCC[C@H]1NCc1cnccn1 ZINC000514586312 387000556 /nfs/dbraw/zinc/00/05/56/387000556.db2.gz VFDPHWVVQQACQG-GXTWGEPZSA-N 0 3 233.359 2.925 20 0 BFADHN CC/C=C/CCN1CCO[C@@H]2CCC[C@@H]21 ZINC000368698613 387005283 /nfs/dbraw/zinc/00/52/83/387005283.db2.gz PNKCPSDJDCVXGZ-HORCIZIBSA-N 0 3 209.333 2.596 20 0 BFADHN C[C@H](N[C@H](c1nccn1C)C1CC1)[C@H]1CC1(C)C ZINC000353034795 387005404 /nfs/dbraw/zinc/00/54/04/387005404.db2.gz MVMCHIFLWBPJFH-UHTWSYAYSA-N 0 3 247.386 2.895 20 0 BFADHN Cc1cc(CN(C)C2CCC(C)CC2)n(C)n1 ZINC000334929401 387006216 /nfs/dbraw/zinc/00/62/16/387006216.db2.gz IKOJXQKNXNKAIH-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@H]1CCN(Cc2ccnn2CC(C)C)C1 ZINC000648013497 386950105 /nfs/dbraw/zinc/95/01/05/386950105.db2.gz HOKBLYOWSOCTAZ-ZDUSSCGKSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@H](CC1CC1)N[C@H](CO)c1ccsc1 ZINC000336763773 387036490 /nfs/dbraw/zinc/03/64/90/387036490.db2.gz PNJLRUYXHSUAGX-BXKDBHETSA-N 0 3 225.357 2.560 20 0 BFADHN CCC[C@H](C(=O)OCC)N1C[C@@H](C)C[C@H](C)C1 ZINC000096593425 387041164 /nfs/dbraw/zinc/04/11/64/387041164.db2.gz NWIATJPHXNFHLM-RWMBFGLXSA-N 0 3 241.375 2.696 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2C[C@@](C)(O)C2)c(C)c1 ZINC000417410959 387046357 /nfs/dbraw/zinc/04/63/57/387046357.db2.gz WAQVZGSSVFTRHM-SLEUVZQESA-N 0 3 249.354 2.568 20 0 BFADHN Cc1ccc2cc([C@H](C)NC[C@H](C)O)oc2c1 ZINC000425956733 387046423 /nfs/dbraw/zinc/04/64/23/387046423.db2.gz FOIDSPGLWWUERR-QWRGUYRKSA-N 0 3 233.311 2.773 20 0 BFADHN CC[C@H](NC[C@@H]1CC[C@@H](C)C1)c1ccn(C)n1 ZINC000359037471 387046576 /nfs/dbraw/zinc/04/65/76/387046576.db2.gz QUYCNJATKOYLJE-UPJWGTAASA-N 0 3 235.375 2.897 20 0 BFADHN CC[C@H](NC[C@@H]1CC[C@@H](C)C1)c1nccn1C ZINC000359053070 387051109 /nfs/dbraw/zinc/05/11/09/387051109.db2.gz ZIDASFONEGRPHA-UPJWGTAASA-N 0 3 235.375 2.897 20 0 BFADHN CCCC[C@@H](CC)CNC(=O)CN(C)CCC ZINC000519310968 387054617 /nfs/dbraw/zinc/05/46/17/387054617.db2.gz MPBWYIZTLKKIGV-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CCC[C@@H](C(=O)OCC)N(C)CC1CCCC1 ZINC000096867311 387055251 /nfs/dbraw/zinc/05/52/51/387055251.db2.gz QZIOFMUSMYSVID-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN COc1ccc(CNC[C@H](C)C(F)(F)F)cc1 ZINC000359130150 387057095 /nfs/dbraw/zinc/05/70/95/387057095.db2.gz RQQVJNHUXUVTPB-VIFPVBQESA-N 0 3 247.260 2.983 20 0 BFADHN CC(C)c1nnc([C@H](C)NC2CC3(CCC3)C2)[nH]1 ZINC000333836674 387058551 /nfs/dbraw/zinc/05/85/51/387058551.db2.gz MOFXHHVCGJQRSC-JTQLQIEISA-N 0 3 248.374 2.911 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+]C2CC3(CCC3)C2)[n-]1 ZINC000333836674 387058554 /nfs/dbraw/zinc/05/85/54/387058554.db2.gz MOFXHHVCGJQRSC-JTQLQIEISA-N 0 3 248.374 2.911 20 0 BFADHN CN(Cc1cc2cccnc2o1)C1CCC1 ZINC000336221291 387060584 /nfs/dbraw/zinc/06/05/84/387060584.db2.gz YMJZVLIFEHWVDB-UHFFFAOYSA-N 0 3 216.284 2.812 20 0 BFADHN F[C@@H]1CCN(Cc2cccc3[nH]ccc32)C1 ZINC000336222795 387061135 /nfs/dbraw/zinc/06/11/35/387061135.db2.gz LFFOPRZGGCEINB-LLVKDONJSA-N 0 3 218.275 2.712 20 0 BFADHN CC[C@H](N[C@H]1C[C@@](C)(O)C1)c1ccccc1F ZINC000417415662 387063940 /nfs/dbraw/zinc/06/39/40/387063940.db2.gz ZJVPZMBBVQJSDA-LEWSCRJBSA-N 0 3 237.318 2.780 20 0 BFADHN CC(C)C[C@H](N[C@H]1CO[C@@H](C)C1)c1ccccn1 ZINC000514603904 387010171 /nfs/dbraw/zinc/01/01/71/387010171.db2.gz ZGAWEXSVVHMRBZ-GUTXKFCHSA-N 0 3 248.370 2.936 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2C(C)C)no1 ZINC000117348569 387017115 /nfs/dbraw/zinc/01/71/15/387017115.db2.gz UXIHCTMNYBZFGP-CYBMUJFWSA-N 0 3 222.332 2.994 20 0 BFADHN CC(C)(C)CCN1CCO[C@@H](C2CC2)C1 ZINC000368886409 387017572 /nfs/dbraw/zinc/01/75/72/387017572.db2.gz CISSAYRZLNPEOL-GFCCVEGCSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1ccc(CN[C@](C)(C(N)=O)C(C)C)c(C)n1 ZINC000331361986 387018583 /nfs/dbraw/zinc/01/85/83/387018583.db2.gz ZSKKESALGSYSAQ-AWEZNQCLSA-N 0 3 249.358 2.738 20 0 BFADHN Cc1ccc(CN[C@@](C)(C(N)=O)C(C)C)c(C)n1 ZINC000331361987 387019302 /nfs/dbraw/zinc/01/93/02/387019302.db2.gz ZSKKESALGSYSAQ-CQSZACIVSA-N 0 3 249.358 2.738 20 0 BFADHN c1cncc([C@H](NC[C@H]2CCCCO2)C2CC2)c1 ZINC000527324512 387022483 /nfs/dbraw/zinc/02/24/83/387022483.db2.gz NAQFVBPSZZCWSZ-HUUCEWRRSA-N 0 3 246.354 2.691 20 0 BFADHN C[C@@H](CSc1ccccc1F)N(C)C ZINC000358850048 387023895 /nfs/dbraw/zinc/02/38/95/387023895.db2.gz FXJPTCHBVBRFMW-VIFPVBQESA-N 0 3 213.321 2.868 20 0 BFADHN CCc1ccccc1CN1C[C@H](C)N(C)C[C@@H]1C ZINC000527325127 387024991 /nfs/dbraw/zinc/02/49/91/387024991.db2.gz KCMQLUFNFZVTRS-KBPBESRZSA-N 0 3 246.398 2.773 20 0 BFADHN C[C@H](CSc1nc2ccccc2n1C)N(C)C ZINC000358859613 387025443 /nfs/dbraw/zinc/02/54/43/387025443.db2.gz JXLUDLVSHDJXHF-SNVBAGLBSA-N 0 3 249.383 2.616 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1cc(C)nc(C)c1 ZINC000425369883 387026804 /nfs/dbraw/zinc/02/68/04/387026804.db2.gz NFJWMTCOJMXBQL-CHWSQXEVSA-N 0 3 236.384 2.682 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H]1CCCC[C@H]1F ZINC000425369374 387027013 /nfs/dbraw/zinc/02/70/13/387027013.db2.gz LXPVRHAURXPXHL-CHWFTXMASA-N 0 3 217.353 2.751 20 0 BFADHN COc1cc(CN[C@H]2COC(C)(C)C2)ccc1C ZINC000417900183 387028654 /nfs/dbraw/zinc/02/86/54/387028654.db2.gz UCLUUEVEIAGERZ-CYBMUJFWSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)c1cnc(N[C@@H](C)CN(C)C)s1 ZINC000336763993 387029908 /nfs/dbraw/zinc/02/99/08/387029908.db2.gz PRKDNRPPWPVBHW-VIFPVBQESA-N 0 3 227.377 2.629 20 0 BFADHN CCC1(NCc2nccn2C)CCCCC1 ZINC000389591437 387068941 /nfs/dbraw/zinc/06/89/41/387068941.db2.gz OQNNDYYOISNCPJ-UHFFFAOYSA-N 0 3 221.348 2.623 20 0 BFADHN COc1cc(C)c(CN2CCC[C@@H](C)C2)c(C)n1 ZINC000359216946 387070882 /nfs/dbraw/zinc/07/08/82/387070882.db2.gz CGIYJEKLUDGPNQ-LLVKDONJSA-N 0 3 248.370 2.939 20 0 BFADHN CO[C@H]1CC[C@H](NCc2c(F)cccc2F)C1 ZINC000190940108 387073976 /nfs/dbraw/zinc/07/39/76/387073976.db2.gz XHPFTZFITGNKBK-UWVGGRQHSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1CN(Cc2ccncc2F)[C@@H](C)[C@H]1C ZINC000418120935 387074714 /nfs/dbraw/zinc/07/47/14/387074714.db2.gz IDQVASVPFOPNHO-VWYCJHECSA-N 0 3 222.307 2.697 20 0 BFADHN CO[C@H]1CC[C@@H](NCc2c(F)cccc2F)C1 ZINC000190940141 387075853 /nfs/dbraw/zinc/07/58/53/387075853.db2.gz XHPFTZFITGNKBK-ZJUUUORDSA-N 0 3 241.281 2.622 20 0 BFADHN c1ccc2c(c1)CN(C[C@H]1CCCO1)CC21CC1 ZINC000186198849 387077114 /nfs/dbraw/zinc/07/71/14/387077114.db2.gz BNLLQNIHQRGBPK-CQSZACIVSA-N 0 3 243.350 2.713 20 0 BFADHN CCc1nnc(CN[C@H](C)CCC(C)(C)C)[nH]1 ZINC000392425640 387078366 /nfs/dbraw/zinc/07/83/66/387078366.db2.gz UYYCROBTZPWQJP-SNVBAGLBSA-N 0 3 238.379 2.672 20 0 BFADHN CCc1nnc(C[NH2+][C@H](C)CCC(C)(C)C)[n-]1 ZINC000392425640 387078370 /nfs/dbraw/zinc/07/83/70/387078370.db2.gz UYYCROBTZPWQJP-SNVBAGLBSA-N 0 3 238.379 2.672 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@@H](C)[C@H]2C)n1 ZINC000228159774 387078402 /nfs/dbraw/zinc/07/84/02/387078402.db2.gz HFHFANMFOGCAOD-ZYHUDNBSSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@@H](C)[C@H]2C)n1 ZINC000228159774 387078406 /nfs/dbraw/zinc/07/84/06/387078406.db2.gz HFHFANMFOGCAOD-ZYHUDNBSSA-N 0 3 234.343 2.716 20 0 BFADHN CCc1nnc(CN[C@@H](C)CCC(C)(C)C)[nH]1 ZINC000392425638 387079971 /nfs/dbraw/zinc/07/99/71/387079971.db2.gz UYYCROBTZPWQJP-JTQLQIEISA-N 0 3 238.379 2.672 20 0 BFADHN CCc1nnc(C[NH2+][C@@H](C)CCC(C)(C)C)[n-]1 ZINC000392425638 387079974 /nfs/dbraw/zinc/07/99/74/387079974.db2.gz UYYCROBTZPWQJP-JTQLQIEISA-N 0 3 238.379 2.672 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1nnc(C2CC2)[nH]1 ZINC000118948720 387081100 /nfs/dbraw/zinc/08/11/00/387081100.db2.gz VNCDPGAHHYGPKB-CMPLNLGQSA-N 0 3 248.374 2.741 20 0 BFADHN Cc1cc(CN(CC2CC2)CC2CCC2)on1 ZINC000352365910 387130763 /nfs/dbraw/zinc/13/07/63/387130763.db2.gz YTSRAIHBYJTQRC-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1cc(CN2C[C@@H](C(C)C)[C@H]2C(C)C)n(C)n1 ZINC000418073775 387084283 /nfs/dbraw/zinc/08/42/83/387084283.db2.gz NASMGSCFGZTLJO-LSDHHAIUSA-N 0 3 249.402 2.841 20 0 BFADHN Cc1cc(CN2C[C@@H](C(C)C)[C@@H]2C(C)C)n(C)n1 ZINC000418073773 387085330 /nfs/dbraw/zinc/08/53/30/387085330.db2.gz NASMGSCFGZTLJO-GJZGRUSLSA-N 0 3 249.402 2.841 20 0 BFADHN Cc1n[nH]cc1CN[C@@H](c1cccnc1)C(C)C ZINC000359335115 387086431 /nfs/dbraw/zinc/08/64/31/387086431.db2.gz UFIWTFZAEZPTJP-CQSZACIVSA-N 0 3 244.342 2.600 20 0 BFADHN Cc1n[nH]cc1CN[C@H](c1cccnc1)C(C)C ZINC000359335111 387086589 /nfs/dbraw/zinc/08/65/89/387086589.db2.gz UFIWTFZAEZPTJP-AWEZNQCLSA-N 0 3 244.342 2.600 20 0 BFADHN CCN(Cc1cncn1CC)CC(C)(C)C ZINC000418074903 387087965 /nfs/dbraw/zinc/08/79/65/387087965.db2.gz DKRPQHRMAMVOIE-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN C[C@H]1CCC[C@H](C)N1CCOCC(F)(F)F ZINC000066532130 387087929 /nfs/dbraw/zinc/08/79/29/387087929.db2.gz XYENDCTWWLWCKA-UWVGGRQHSA-N 0 3 239.281 2.828 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ccncc1CC ZINC000359342553 387089184 /nfs/dbraw/zinc/08/91/84/387089184.db2.gz ODQFTZXGWGDYDS-RYUDHWBXSA-N 0 3 236.359 2.720 20 0 BFADHN C(CC1CCCC1)CN1CCN(C2CC2)CC1 ZINC000119057403 387093324 /nfs/dbraw/zinc/09/33/24/387093324.db2.gz LVUFRJNSDUASGX-UHFFFAOYSA-N 0 3 236.403 2.737 20 0 BFADHN CCCCN(C)[C@@H](C)C(=O)N(C)c1ccccc1 ZINC000067472280 387095480 /nfs/dbraw/zinc/09/54/80/387095480.db2.gz WWBQWFKCAFMHFY-ZDUSSCGKSA-N 0 3 248.370 2.770 20 0 BFADHN CO[C@H](CNCc1cnc(C)s1)CC(C)C ZINC000418089605 387095815 /nfs/dbraw/zinc/09/58/15/387095815.db2.gz BOECALVLTINPJH-NSHDSACASA-N 0 3 242.388 2.602 20 0 BFADHN C[C@@H]1CN(CCCCc2ccccc2)CCO1 ZINC000186443813 387098381 /nfs/dbraw/zinc/09/83/81/387098381.db2.gz WBXVANHEEYGRPI-CQSZACIVSA-N 0 3 233.355 2.730 20 0 BFADHN COc1ccc(CNC[C@H]2C[C@H]2C)c(F)c1 ZINC000228601044 387099091 /nfs/dbraw/zinc/09/90/91/387099091.db2.gz BCSARWMWUCCEQB-MWLCHTKSSA-N 0 3 223.291 2.580 20 0 BFADHN COc1ccc(CNC[C@@H]2C[C@@H]2C)c(F)c1 ZINC000228601025 387099404 /nfs/dbraw/zinc/09/94/04/387099404.db2.gz BCSARWMWUCCEQB-ONGXEEELSA-N 0 3 223.291 2.580 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1cncs1 ZINC000336766220 387105814 /nfs/dbraw/zinc/10/58/14/387105814.db2.gz RMHZNNFHCWCRGT-ZETCQYMHSA-N 0 3 238.278 2.964 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@H](C)[C@@H]2C)sc1C ZINC000336766545 387111944 /nfs/dbraw/zinc/11/19/44/387111944.db2.gz SCVBQOTTWUGSKW-DKCNOQQISA-N 0 3 224.373 2.894 20 0 BFADHN C[C@H](NC1CCC1)c1ccncc1Cl ZINC000335024238 387115032 /nfs/dbraw/zinc/11/50/32/387115032.db2.gz BFSQEQKCWCHYPB-QMMMGPOBSA-N 0 3 210.708 2.938 20 0 BFADHN C[C@@H](Cn1cccn1)NC1(c2ccccc2)CC1 ZINC000443086445 387115347 /nfs/dbraw/zinc/11/53/47/387115347.db2.gz OAXKAEOJYJFJQH-ZDUSSCGKSA-N 0 3 241.338 2.551 20 0 BFADHN C[C@H](CF)NC[C@H]1C[C@@H]1c1ccccc1 ZINC000336766703 387117278 /nfs/dbraw/zinc/11/72/78/387117278.db2.gz SHVADNMSNHUUCT-RAIGVLPGSA-N 0 3 207.292 2.738 20 0 BFADHN CCCC[C@@H](CC)NCc1nnc2ccccn21 ZINC000069371302 387117522 /nfs/dbraw/zinc/11/75/22/387117522.db2.gz CXCQJCCKQIKZEU-GFCCVEGCSA-N 0 3 246.358 2.788 20 0 BFADHN Cc1ccncc1CN1CC[C@@H]1C(C)C ZINC000336282955 387117941 /nfs/dbraw/zinc/11/79/41/387117941.db2.gz QIUOOKYJUPHBOT-CYBMUJFWSA-N 0 3 204.317 2.620 20 0 BFADHN CO[C@@H](CNCc1cccc(F)c1)CC(C)C ZINC000418101607 387118624 /nfs/dbraw/zinc/11/86/24/387118624.db2.gz QHFFPTMNSCKRCH-CQSZACIVSA-N 0 3 239.334 2.976 20 0 BFADHN C[C@H](N[C@H]1CCSC1)c1ccncc1Cl ZINC000335026533 387119202 /nfs/dbraw/zinc/11/92/02/387119202.db2.gz PKVLOWCIUYHAFU-IUCAKERBSA-N 0 3 242.775 2.891 20 0 BFADHN C[C@H]1c2cccn2CCN1CCC1CC1 ZINC000335026156 387119347 /nfs/dbraw/zinc/11/93/47/387119347.db2.gz SPBSOCRONPJHIW-NSHDSACASA-N 0 3 204.317 2.665 20 0 BFADHN Cc1ccc(CN(C)CC2CC2)c(C)n1 ZINC000186685429 387120995 /nfs/dbraw/zinc/12/09/95/387120995.db2.gz RMZAJNATBODHSF-UHFFFAOYSA-N 0 3 204.317 2.540 20 0 BFADHN C[C@H]1CCCC[C@@]1(C)NCc1nnc(C2CC2)[nH]1 ZINC000459616018 387121586 /nfs/dbraw/zinc/12/15/86/387121586.db2.gz PXXXLVQYCKIRMQ-IINYFYTJSA-N 0 3 248.374 2.741 20 0 BFADHN CCc1ccc(CNC[C@H]2CC23CC3)o1 ZINC000418102854 387125694 /nfs/dbraw/zinc/12/56/94/387125694.db2.gz VGAZMWBPIDTZFH-SNVBAGLBSA-N 0 3 205.301 2.732 20 0 BFADHN Cc1occc1CNCC[C@@H]1CCCCO1 ZINC000321348973 387126660 /nfs/dbraw/zinc/12/66/60/387126660.db2.gz BNQZIURUUPGEJB-ZDUSSCGKSA-N 0 3 223.316 2.637 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@@H](CO)[C@@H]2C)o1 ZINC000425988751 387128217 /nfs/dbraw/zinc/12/82/17/387128217.db2.gz OWVZOPMWTNAIQU-UHXUPSOCSA-N 0 3 249.354 2.606 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@H](CO)[C@@H]2C)o1 ZINC000425988749 387128293 /nfs/dbraw/zinc/12/82/93/387128293.db2.gz OWVZOPMWTNAIQU-CZXHOFHRSA-N 0 3 249.354 2.606 20 0 BFADHN CCc1nocc1CN1C[C@H](C)[C@@H](C)[C@H]1C ZINC000418119668 387068404 /nfs/dbraw/zinc/06/84/04/387068404.db2.gz GZENSCDPTSDKBB-HBNTYKKESA-N 0 3 222.332 2.713 20 0 BFADHN COC[C@@H](CC(C)C)NCc1cccc(F)c1 ZINC000359658967 387142736 /nfs/dbraw/zinc/14/27/36/387142736.db2.gz FSKMJIPWNVAXLB-CQSZACIVSA-N 0 3 239.334 2.976 20 0 BFADHN Cc1[nH]nc2ncc(CN(C)CC(C)(C)C)cc12 ZINC000352388128 387144367 /nfs/dbraw/zinc/14/43/67/387144367.db2.gz KFSIYDQLMMEVTN-UHFFFAOYSA-N 0 3 246.358 2.744 20 0 BFADHN Clc1cnn([C@@H]2CCN(CCC3CC3)C2)c1 ZINC000352399800 387147477 /nfs/dbraw/zinc/14/74/77/387147477.db2.gz UZTNHIYPXVYBRH-GFCCVEGCSA-N 0 3 239.750 2.583 20 0 BFADHN Cc1ncc(CNC[C@H](C)Cc2ccccc2)o1 ZINC000459667257 387192809 /nfs/dbraw/zinc/19/28/09/387192809.db2.gz AAPCDDPPSIZNDV-GFCCVEGCSA-N 0 3 244.338 2.951 20 0 BFADHN CC(C)n1nccc1CN1C[C@@H](C)[C@@H](C)[C@@H]1C ZINC000418125838 387152673 /nfs/dbraw/zinc/15/26/73/387152673.db2.gz OMHUIQFUBQPHEU-UPJWGTAASA-N 0 3 235.375 2.940 20 0 BFADHN COc1cc(C)nc(CN2C[C@H](C)[C@H](C)[C@H]2C)c1 ZINC000418126005 387152721 /nfs/dbraw/zinc/15/27/21/387152721.db2.gz KQLKLXMQJPUPAN-WCFLWFBJSA-N 0 3 248.370 2.875 20 0 BFADHN COc1cccc(CN[C@H]2C[C@@H](OC(C)C)C2)c1 ZINC000459637371 387153610 /nfs/dbraw/zinc/15/36/10/387153610.db2.gz SLATYLOFYHAHKA-OTVXOJSOSA-N 0 3 249.354 2.741 20 0 BFADHN CO[C@@H](C)CN(C)C/C=C\c1ccccc1 ZINC000353201574 387156416 /nfs/dbraw/zinc/15/64/16/387156416.db2.gz MVZYDGDPKFZRHV-SZNGSJQDSA-N 0 3 219.328 2.667 20 0 BFADHN C[C@@H]1CN(Cc2cncc(F)c2)[C@@H](C)[C@H]1C ZINC000418128324 387157472 /nfs/dbraw/zinc/15/74/72/387157472.db2.gz SJTCLXYLTQWVDT-VWYCJHECSA-N 0 3 222.307 2.697 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]2[C@H]2CCCO2)nc1 ZINC000336335913 387160952 /nfs/dbraw/zinc/16/09/52/387160952.db2.gz TZJYGULSFVISQL-HUUCEWRRSA-N 0 3 246.354 2.533 20 0 BFADHN CCC[C@H](N[C@H]1CO[C@@H](C)C1)c1ccccn1 ZINC000571761663 387163167 /nfs/dbraw/zinc/16/31/67/387163167.db2.gz MXYCJSWLYQELME-SCRDCRAPSA-N 0 3 234.343 2.690 20 0 BFADHN CC[C@H](C)N[C@H](CO)c1cccc(Cl)c1F ZINC000229250804 387164566 /nfs/dbraw/zinc/16/45/66/387164566.db2.gz UWXDLBGNZIXKGR-GZMMTYOYSA-N 0 3 245.725 2.901 20 0 BFADHN CC[C@@H](CN[C@@H]1CCc2cc(F)ccc21)OC ZINC000290024367 387167072 /nfs/dbraw/zinc/16/70/72/387167072.db2.gz CMVGSJBEHOGFJC-GXTWGEPZSA-N 0 3 237.318 2.828 20 0 BFADHN C[C@H](F)CCN1CC(C)(C)O[C@H]2CCC[C@@H]21 ZINC000352409361 387168780 /nfs/dbraw/zinc/16/87/80/387168780.db2.gz YZUYZDNUYBDPET-SRVKXCTJSA-N 0 3 229.339 2.766 20 0 BFADHN CC1(C)[C@H](NCc2ccoc2)[C@@H]2CCCO[C@H]21 ZINC000229319402 387169854 /nfs/dbraw/zinc/16/98/54/387169854.db2.gz ZJGYHEOHYXOIQB-YNEHKIRRSA-N 0 3 235.327 2.573 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2nccs2)[C@H]1C ZINC000418135783 387170417 /nfs/dbraw/zinc/17/04/17/387170417.db2.gz REUDCKRCXGGPKC-BBBLOLIVSA-N 0 3 210.346 2.619 20 0 BFADHN FC(F)C[C@H]1COCCN1CC1CCCCC1 ZINC000353285359 387173343 /nfs/dbraw/zinc/17/33/43/387173343.db2.gz IMHRMYHIMWMDEV-LBPRGKRZSA-N 0 3 247.329 2.923 20 0 BFADHN Cc1nc(CN2CC[C@@H]3CCC[C@H]32)oc1C ZINC000336346098 387176958 /nfs/dbraw/zinc/17/69/58/387176958.db2.gz XXJOQKQDRYLUOL-NWDGAFQWSA-N 0 3 220.316 2.666 20 0 BFADHN Cc1cnc(CN(CC2CCC2)C(C)C)o1 ZINC000193203025 387179309 /nfs/dbraw/zinc/17/93/09/387179309.db2.gz BURHMFBSPBNATK-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1cncc([C@H](C)NCCOC(F)(F)F)c1 ZINC000353326478 387180935 /nfs/dbraw/zinc/18/09/35/387180935.db2.gz MFZRJMHFQJCMOL-VIFPVBQESA-N 0 3 248.248 2.577 20 0 BFADHN Cc1ccc(CN2CCC[C@H]([C@H]3CCOC3)C2)o1 ZINC000336352382 387181137 /nfs/dbraw/zinc/18/11/37/387181137.db2.gz XHIGQEBXDMOLRF-KBPBESRZSA-N 0 3 249.354 2.837 20 0 BFADHN c1coc(CN2CCC[C@@H]([C@H]3CCOC3)C2)c1 ZINC000336352264 387181218 /nfs/dbraw/zinc/18/12/18/387181218.db2.gz BGZWAJPYUKARCW-OLZOCXBDSA-N 0 3 235.327 2.528 20 0 BFADHN CN(C)CCSC/C=C/c1ccccc1 ZINC000120247162 387182124 /nfs/dbraw/zinc/18/21/24/387182124.db2.gz PVXSSYYUKBWNIR-RMKNXTFCSA-N 0 3 221.369 2.995 20 0 BFADHN C[C@H](F)CCN1CC2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000352429243 387190575 /nfs/dbraw/zinc/19/05/75/387190575.db2.gz AVDKTKFFEXOKPZ-RWMBFGLXSA-N 0 3 241.350 2.768 20 0 BFADHN CCc1ccc(CNC[C@H](CC(C)C)OC)o1 ZINC000418104375 387133773 /nfs/dbraw/zinc/13/37/73/387133773.db2.gz UQQGOIYYQZQAIK-AWEZNQCLSA-N 0 3 239.359 2.993 20 0 BFADHN CC[C@H](NCc1n[nH]cc1C)[C@@H]1CC1(C)C ZINC000418105300 387134829 /nfs/dbraw/zinc/13/48/29/387134829.db2.gz LJPBXEMOVMIYEY-QWRGUYRKSA-N 0 3 221.348 2.632 20 0 BFADHN CC[C@H](NCc1n[nH]cc1C)[C@H]1CC1(C)C ZINC000418105299 387135350 /nfs/dbraw/zinc/13/53/50/387135350.db2.gz LJPBXEMOVMIYEY-MNOVXSKESA-N 0 3 221.348 2.632 20 0 BFADHN Cc1ccc(F)c(CNC(C)(C)C(C)(C)O)c1 ZINC000229025473 387139078 /nfs/dbraw/zinc/13/90/78/387139078.db2.gz YTMITMNFPPNFGO-UHFFFAOYSA-N 0 3 239.334 2.773 20 0 BFADHN CCCCN(C)[C@@H](C)C(=O)Nc1ccccc1 ZINC000057569761 387140227 /nfs/dbraw/zinc/14/02/27/387140227.db2.gz LYQQECVVLGKSRC-LBPRGKRZSA-N 0 3 234.343 2.746 20 0 BFADHN COC1(CN[C@H]2CCCc3occc32)CCC1 ZINC000193274763 387205251 /nfs/dbraw/zinc/20/52/51/387205251.db2.gz GHVDGTVFJGNVLJ-LBPRGKRZSA-N 0 3 235.327 2.816 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H]2CCC[C@H](O)C2)o1 ZINC000300410102 387205814 /nfs/dbraw/zinc/20/58/14/387205814.db2.gz DVSBSAXLMFXSRA-XQQFMLRXSA-N 0 3 237.343 2.790 20 0 BFADHN CCN1CCN(Cc2ccsc2C)[C@@H](C)C1 ZINC000353463559 387207664 /nfs/dbraw/zinc/20/76/64/387207664.db2.gz MNXCHXQIUFQGQA-NSHDSACASA-N 0 3 238.400 2.583 20 0 BFADHN CC1(C2(NCc3cncs3)CC2)CC1 ZINC000398268249 387208997 /nfs/dbraw/zinc/20/89/97/387208997.db2.gz XMYJZLUVYRFBGF-UHFFFAOYSA-N 0 3 208.330 2.565 20 0 BFADHN CN(C1CC(O)C1)[C@H]1CCCc2ccc(F)cc21 ZINC000353469192 387209397 /nfs/dbraw/zinc/20/93/97/387209397.db2.gz PMIPWLSOGLSIAU-PIMMBPRGSA-N 0 3 249.329 2.658 20 0 BFADHN C[C@@]1(NCc2ccc(Cl)s2)CCOC1 ZINC000120204355 387209763 /nfs/dbraw/zinc/20/97/63/387209763.db2.gz JZBNVDHCNBWNRP-SNVBAGLBSA-N 0 3 231.748 2.670 20 0 BFADHN CN(Cc1cc2ccccc2s1)C1CC(O)C1 ZINC000353486672 387213079 /nfs/dbraw/zinc/21/30/79/387213079.db2.gz YFGRYHZPHAQMOW-UHFFFAOYSA-N 0 3 247.363 2.856 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@](C)(O)C2CC2)o1 ZINC000336795656 387214006 /nfs/dbraw/zinc/21/40/06/387214006.db2.gz MCTFOCOAUKJCLU-WDBKCZKBSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@](C)(O)C2CC2)o1 ZINC000336795657 387214657 /nfs/dbraw/zinc/21/46/57/387214657.db2.gz MCTFOCOAUKJCLU-YVLXSGLVSA-N 0 3 249.354 2.654 20 0 BFADHN CC(C)=CCC[C@@H](C)N(C)[C@@H](C)C[S@](C)=O ZINC000187832470 387215184 /nfs/dbraw/zinc/21/51/84/387215184.db2.gz ZYRPTIUXBASFHH-WWGRRREGSA-N 0 3 245.432 2.820 20 0 BFADHN Cc1sccc1CN(C)[C@H](CO)CC(C)C ZINC000353524015 387220781 /nfs/dbraw/zinc/22/07/81/387220781.db2.gz ZCPJYANXOWOSKN-ZDUSSCGKSA-N 0 3 241.400 2.895 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2cc(C)ccn2)C1(C)C ZINC000353527678 387222785 /nfs/dbraw/zinc/22/27/85/387222785.db2.gz LOPUMZKLHOSXES-FPMFFAJLSA-N 0 3 248.370 2.854 20 0 BFADHN CO[C@@H](CNCc1cccc(Cl)c1)C1CC1 ZINC000292871783 387223782 /nfs/dbraw/zinc/22/37/82/387223782.db2.gz BLCODUHTOROYMY-ZDUSSCGKSA-N 0 3 239.746 2.855 20 0 BFADHN Cc1ccnc([C@H](C)NCCC2CCOCC2)c1 ZINC000353535955 387224190 /nfs/dbraw/zinc/22/41/90/387224190.db2.gz WUADJWBEWIHSMC-ZDUSSCGKSA-N 0 3 248.370 2.857 20 0 BFADHN C[C@H](NCC[C@@H]1CCOC1)c1ccccc1F ZINC000230061425 387228631 /nfs/dbraw/zinc/22/86/31/387228631.db2.gz ACTYVACSABNGCJ-NWDGAFQWSA-N 0 3 237.318 2.903 20 0 BFADHN CC[C@H](CN[C@@H](C)c1cnn(C(C)C)c1)OC ZINC000353575295 387232837 /nfs/dbraw/zinc/23/28/37/387232837.db2.gz KOHNZQGKZKYUNR-WCQYABFASA-N 0 3 239.363 2.540 20 0 BFADHN COc1ccc(C)cc1CN[C@@]1(C)CCO[C@@H]1C ZINC000230150821 387233860 /nfs/dbraw/zinc/23/38/60/387233860.db2.gz QQHDUSGHKONFHT-DOMZBBRYSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H]1OCC[C@@]1(C)NCc1ccc(Cl)cc1 ZINC000230152960 387235677 /nfs/dbraw/zinc/23/56/77/387235677.db2.gz ASCMSGCPGXZZEX-GXFFZTMASA-N 0 3 239.746 2.997 20 0 BFADHN Cc1cc(CN[C@@]2(C)CCO[C@H]2C)ccc1F ZINC000230154214 387235780 /nfs/dbraw/zinc/23/57/80/387235780.db2.gz ZMZUKGCHSHAHGM-FZMZJTMJSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H]1OCC[C@]1(C)NCc1ccc(Cl)cc1 ZINC000230152968 387236167 /nfs/dbraw/zinc/23/61/67/387236167.db2.gz ASCMSGCPGXZZEX-GWCFXTLKSA-N 0 3 239.746 2.997 20 0 BFADHN Cc1ccc(CN[C@@]2(C)CCO[C@H]2C)cc1F ZINC000230154902 387236415 /nfs/dbraw/zinc/23/64/15/387236415.db2.gz QVCDDLGAYQHALG-FZMZJTMJSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H]1OCC[C@@]1(C)NCc1cccc(F)c1F ZINC000230152724 387237180 /nfs/dbraw/zinc/23/71/80/387237180.db2.gz IRMVDEDUOUMQLX-TVQRCGJNSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1cnc(CN2CC[C@@H](CC(C)C)C2)cn1 ZINC000353592610 387239445 /nfs/dbraw/zinc/23/94/45/387239445.db2.gz SQXZJNNIQYERDB-ZDUSSCGKSA-N 0 3 233.359 2.653 20 0 BFADHN C[C@H](N[C@H]1COc2ccccc21)[C@H]1C[C@H]1C ZINC000321475281 387242192 /nfs/dbraw/zinc/24/21/92/387242192.db2.gz IIFXVOQBOPDPKI-URBCHYCLSA-N 0 3 217.312 2.754 20 0 BFADHN CC[C@@H](C(=O)NCCC=C(C)C)N(CC)CC ZINC000360207890 387242756 /nfs/dbraw/zinc/24/27/56/387242756.db2.gz SGPTXDFLBYFHSZ-ZDUSSCGKSA-N 0 3 240.391 2.579 20 0 BFADHN CCc1cccc(F)c1CN(CC)CCCO ZINC000353646931 387247421 /nfs/dbraw/zinc/24/74/21/387247421.db2.gz FEGYVEHJZUBKEL-UHFFFAOYSA-N 0 3 239.334 2.592 20 0 BFADHN CO[C@H](C)CN(Cc1cnccc1C)C(C)C ZINC000353649186 387248915 /nfs/dbraw/zinc/24/89/15/387248915.db2.gz KPXZCEAWVLPFPH-CYBMUJFWSA-N 0 3 236.359 2.635 20 0 BFADHN CCOc1cccc(CN2C[C@@H]3[C@H](C2)C3(C)C)n1 ZINC000353644724 387249001 /nfs/dbraw/zinc/24/90/01/387249001.db2.gz FHUFPBAENGJXCT-BETUJISGSA-N 0 3 246.354 2.568 20 0 BFADHN CCCCCNC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000120482316 387249145 /nfs/dbraw/zinc/24/91/45/387249145.db2.gz CZYVTFINMITCBU-XQQFMLRXSA-N 0 3 240.391 2.554 20 0 BFADHN CCc1ccc(CN2CCC23CCC3)o1 ZINC000353651635 387250364 /nfs/dbraw/zinc/25/03/64/387250364.db2.gz HJSFEJRKQQCSHG-UHFFFAOYSA-N 0 3 205.301 2.970 20 0 BFADHN Cn1ccc(CN2CCC[C@H]2C2CCCC2)n1 ZINC000336365600 387196227 /nfs/dbraw/zinc/19/62/27/387196227.db2.gz UAODHBAAHYOYHX-AWEZNQCLSA-N 0 3 233.359 2.575 20 0 BFADHN CN[C@H](CC(C)(C)C)C(=O)Nc1ccccc1 ZINC000395629266 387198544 /nfs/dbraw/zinc/19/85/44/387198544.db2.gz BBFCTRILMOOTHG-GFCCVEGCSA-N 0 3 234.343 2.649 20 0 BFADHN Cc1cnccc1CN(C)CCC1CC1 ZINC000514910742 387310009 /nfs/dbraw/zinc/31/00/09/387310009.db2.gz UQNXWMYNDXUZRS-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CC[C@H](COC)NCc1cnc2ccccc2c1 ZINC000301391940 387257481 /nfs/dbraw/zinc/25/74/81/387257481.db2.gz XOIWEKNHCPBFEL-CQSZACIVSA-N 0 3 244.338 2.749 20 0 BFADHN Clc1ccc(CN[C@H]2CCO[C@@H]2C2CC2)o1 ZINC000230316251 387260310 /nfs/dbraw/zinc/26/03/10/387260310.db2.gz HPYTWZPHSSGFBF-CMPLNLGQSA-N 0 3 241.718 2.590 20 0 BFADHN Cc1ccc(CN[C@H]2CCO[C@H]2C2CC2)cc1F ZINC000230316092 387261473 /nfs/dbraw/zinc/26/14/73/387261473.db2.gz HCXQHLXYJQBMIG-GJZGRUSLSA-N 0 3 249.329 2.791 20 0 BFADHN CCC[C@@]1(NCc2cnc(C)s2)CCOC1 ZINC000285383484 387263424 /nfs/dbraw/zinc/26/34/24/387263424.db2.gz BPLJCIHSGZPPIN-GFCCVEGCSA-N 0 3 240.372 2.500 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)nc1 ZINC000360339524 387265060 /nfs/dbraw/zinc/26/50/60/387265060.db2.gz UMHQUPKYGLXUKO-JHJVBQTASA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@H](C)C[C@H]2C)nc1 ZINC000360339343 387265839 /nfs/dbraw/zinc/26/58/39/387265839.db2.gz TVHAPPUGVVEOOI-CYZMBNFOSA-N 0 3 233.359 2.699 20 0 BFADHN CC(C)[C@@H]1N(Cc2ccncc2)CC1(C)C ZINC000353743218 387266651 /nfs/dbraw/zinc/26/66/51/387266651.db2.gz BOHZJXRRSZISBA-ZDUSSCGKSA-N 0 3 218.344 2.948 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1ncc(C)cn1 ZINC000360339882 387267272 /nfs/dbraw/zinc/26/72/72/387267272.db2.gz WAXZHGZBOCOKSC-STQMWFEESA-N 0 3 233.359 2.843 20 0 BFADHN CCOc1cccc(CN2C[C@H](C)C[C@H]2C)n1 ZINC000353746296 387268495 /nfs/dbraw/zinc/26/84/95/387268495.db2.gz JUAIUSFKRVRWRG-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H](C)CN1CCN(c2cccs2)CC1 ZINC000121227204 387317495 /nfs/dbraw/zinc/31/74/95/387317495.db2.gz SWVWTOIJHHBYOE-GFCCVEGCSA-N 0 3 238.400 2.916 20 0 BFADHN CC[C@@H](NCc1cnn(C)c1)c1cccc(C)c1 ZINC000285535325 387271102 /nfs/dbraw/zinc/27/11/02/387271102.db2.gz BWMWDPSVXJQXEX-OAHLLOKOSA-N 0 3 243.354 2.969 20 0 BFADHN COc1cc(F)cc(CNCCC(C)(F)F)c1 ZINC000312377100 387276074 /nfs/dbraw/zinc/27/60/74/387276074.db2.gz ALZMTDRNAFUEKZ-UHFFFAOYSA-N 0 3 247.260 2.969 20 0 BFADHN CCOc1cccc(CN2CC[C@@H](C)[C@H](C)C2)n1 ZINC000353839634 387281924 /nfs/dbraw/zinc/28/19/24/387281924.db2.gz AKTURYLJDCEQBK-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H]1N(Cc2ccccc2)CCOC1(C)C ZINC000353856839 387285581 /nfs/dbraw/zinc/28/55/81/387285581.db2.gz QSMDALLBCRXHQI-LBPRGKRZSA-N 0 3 219.328 2.686 20 0 BFADHN CC(C)C[C@@H](C)N[C@H](c1nccn1C)C1CC1 ZINC000353869481 387288270 /nfs/dbraw/zinc/28/82/70/387288270.db2.gz LBJVBFCXIRZGLJ-YPMHNXCESA-N 0 3 235.375 2.895 20 0 BFADHN CCN(C(=O)CN(C)C(C)C)C1CCCCC1 ZINC000302834047 387294177 /nfs/dbraw/zinc/29/41/77/387294177.db2.gz RXIQUKCRMPUPMU-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN CCc1cccc(F)c1CNC[C@@H]1CCCO1 ZINC000353914223 387296447 /nfs/dbraw/zinc/29/64/47/387296447.db2.gz LDRUNIZNEYXFBV-LBPRGKRZSA-N 0 3 237.318 2.657 20 0 BFADHN Cc1nnc(CN2CCC[C@@H]2C2CCCCC2)o1 ZINC000121127041 387301191 /nfs/dbraw/zinc/30/11/91/387301191.db2.gz NBEODSLGFNRGJV-CYBMUJFWSA-N 0 3 249.358 2.923 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000353974821 387305142 /nfs/dbraw/zinc/30/51/42/387305142.db2.gz SORSUUDLBABUKU-MNOVXSKESA-N 0 3 247.342 2.639 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCCC(C)(C)C1 ZINC000121152066 387305148 /nfs/dbraw/zinc/30/51/48/387305148.db2.gz MVOYPAFKUJMSRQ-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN COCC[C@H](C)CNCc1cccc(F)c1F ZINC000514921709 387308510 /nfs/dbraw/zinc/30/85/10/387308510.db2.gz LQKKNGBOCRMMKG-JTQLQIEISA-N 0 3 243.297 2.727 20 0 BFADHN C[C@H](c1ccccc1)[C@@H](O)CNCc1ccoc1 ZINC000514931986 387308791 /nfs/dbraw/zinc/30/87/91/387308791.db2.gz MLGJAEAHUFBVCG-DOMZBBRYSA-N 0 3 245.322 2.534 20 0 BFADHN C[C@@H](NCC1(CO)CC2(CCC2)C1)c1ccoc1 ZINC000515279467 387356222 /nfs/dbraw/zinc/35/62/22/387356222.db2.gz SVMACLCETZDCOB-GFCCVEGCSA-N 0 3 249.354 2.873 20 0 BFADHN Cn1cncc1CN1CCC[C@H](C(C)(C)C)C1 ZINC000336539228 387361222 /nfs/dbraw/zinc/36/12/22/387361222.db2.gz HWDMSAXCZDVAKB-LBPRGKRZSA-N 0 3 235.375 2.678 20 0 BFADHN CC(F)(F)CCNCc1n[nH]c2ccccc12 ZINC000648608956 387384202 /nfs/dbraw/zinc/38/42/02/387384202.db2.gz XIOSIJATMRVFRU-UHFFFAOYSA-N 0 3 239.269 2.698 20 0 BFADHN CC(F)(F)CCNCc1[nH]nc2ccccc21 ZINC000648608956 387384206 /nfs/dbraw/zinc/38/42/06/387384206.db2.gz XIOSIJATMRVFRU-UHFFFAOYSA-N 0 3 239.269 2.698 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H]1CCCOc2ccccc21 ZINC000360946077 387370024 /nfs/dbraw/zinc/37/00/24/387370024.db2.gz HINFDYXWAULEGH-OUCADQQQSA-N 0 3 249.354 2.913 20 0 BFADHN c1ccc([C@H]2CCN2C[C@H]2CCCO2)cc1 ZINC000336553418 387374531 /nfs/dbraw/zinc/37/45/31/387374531.db2.gz OFILAQAERSMANU-ZIAGYGMSSA-N 0 3 217.312 2.612 20 0 BFADHN CCN(C/C=C\c1ccc(F)cc1)[C@@H](C)CO ZINC000354434137 387376715 /nfs/dbraw/zinc/37/67/15/387376715.db2.gz OXVXWHDYSTWVMQ-RXNFCKPNSA-N 0 3 237.318 2.542 20 0 BFADHN CC[C@H]1CCCN1Cc1cnc(C)s1 ZINC000336555194 387377266 /nfs/dbraw/zinc/37/72/66/387377266.db2.gz VWSRMAPLOUZSIP-JTQLQIEISA-N 0 3 210.346 2.826 20 0 BFADHN C[C@H](CCC(C)(C)C)N[C@H]1CNCCC1(F)F ZINC000423472039 387319632 /nfs/dbraw/zinc/31/96/32/387319632.db2.gz FUUXIHDYHIJVRT-MNOVXSKESA-N 0 3 248.361 2.788 20 0 BFADHN Cc1ccc(CN2CCSC[C@H](C)C2)nc1 ZINC000336496548 387323516 /nfs/dbraw/zinc/32/35/16/387323516.db2.gz RQIBLNJVMJUJDG-GFCCVEGCSA-N 0 3 236.384 2.575 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2C(C)C)on1 ZINC000336502405 387327230 /nfs/dbraw/zinc/32/72/30/387327230.db2.gz IKILQOYYVLRZHT-CYBMUJFWSA-N 0 3 222.332 2.994 20 0 BFADHN CCC[C@H](C)[C@@H](CC)N[C@H]1CNCCC1(F)F ZINC000423479030 387327922 /nfs/dbraw/zinc/32/79/22/387327922.db2.gz NROCJDGLWYQPPT-TUAOUCFPSA-N 0 3 248.361 2.788 20 0 BFADHN CCOc1ccc2c(c1)CCN(CC1CC1)C2 ZINC000354118069 387332162 /nfs/dbraw/zinc/33/21/62/387332162.db2.gz AREWJQWNHIVCBB-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN CC(C)c1nnc(C[NH2+]C2(C3CCC3)CCC2)[n-]1 ZINC000515042921 387333159 /nfs/dbraw/zinc/33/31/59/387333159.db2.gz WHRADPGTPHQUEW-UHFFFAOYSA-N 0 3 248.374 2.741 20 0 BFADHN CC(C)c1nnc(CNC2(C3CCC3)CCC2)[nH]1 ZINC000515042921 387333164 /nfs/dbraw/zinc/33/31/64/387333164.db2.gz WHRADPGTPHQUEW-UHFFFAOYSA-N 0 3 248.374 2.741 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N[C@H]1CNCCC1(F)F ZINC000423491545 387335248 /nfs/dbraw/zinc/33/52/48/387335248.db2.gz ZJSGWCFZOMLVGI-WOPDTQHZSA-N 0 3 246.345 2.542 20 0 BFADHN CC(C)CC[C@@H](O)CN(C)Cc1ccoc1 ZINC000360688873 387335866 /nfs/dbraw/zinc/33/58/66/387335866.db2.gz IRBNRUODFACWFA-CYBMUJFWSA-N 0 3 225.332 2.509 20 0 BFADHN CCC[C@H](N[C@H]1CNCCC1(F)F)C1CCC1 ZINC000423490716 387335792 /nfs/dbraw/zinc/33/57/92/387335792.db2.gz YBQIUSIVGKAASF-RYUDHWBXSA-N 0 3 246.345 2.542 20 0 BFADHN CC[C@@H](CNCc1ccsc1C)OC ZINC000292969989 387337119 /nfs/dbraw/zinc/33/71/19/387337119.db2.gz MHAKNXASLZQBSD-NSHDSACASA-N 0 3 213.346 2.571 20 0 BFADHN CC(C)OC1CC(N[C@@H]2CCCC[C@H]2F)C1 ZINC000393152955 387346421 /nfs/dbraw/zinc/34/64/21/387346421.db2.gz OCAPYNTVFHINAI-FIYWTHMPSA-N 0 3 229.339 2.813 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)[C@H]2C)on1 ZINC000266023053 387349899 /nfs/dbraw/zinc/34/98/99/387349899.db2.gz XJQCKBSLDRCGGK-GXSJLCMTSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1oncc1CN1CCC[C@H](C(C)C)C1 ZINC000336525580 387350791 /nfs/dbraw/zinc/35/07/91/387350791.db2.gz KSFLRSUTRJZWMT-LBPRGKRZSA-N 0 3 222.332 2.851 20 0 BFADHN CCN(C/C=C/c1ccc(F)cc1)[C@H]1CCOC1 ZINC000361250877 387423617 /nfs/dbraw/zinc/42/36/17/387423617.db2.gz LZCMOKGHSWWVEL-BWPKMQGJSA-N 0 3 249.329 2.950 20 0 BFADHN CCOc1ccccc1CN(CC)[C@H]1CCOC1 ZINC000361248528 387423823 /nfs/dbraw/zinc/42/38/23/387423823.db2.gz CPDTWWCBVNMSSF-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CCC(CC)c1noc([C@@H]2CN(C)C[C@@H]2C)n1 ZINC000361250748 387424740 /nfs/dbraw/zinc/42/47/40/387424740.db2.gz KEQMMLPRCZPYPL-GXSJLCMTSA-N 0 3 237.347 2.638 20 0 BFADHN CN(Cc1cncc(C(F)(F)F)c1)CC1CC1 ZINC000361288642 387431049 /nfs/dbraw/zinc/43/10/49/387431049.db2.gz MCSWECXFGGCFEN-UHFFFAOYSA-N 0 3 244.260 2.942 20 0 BFADHN c1ccc(CN2CCOCC23CCCC3)cc1 ZINC000270647679 387437450 /nfs/dbraw/zinc/43/74/50/387437450.db2.gz OFNFQMFWYZPGHU-UHFFFAOYSA-N 0 3 231.339 2.832 20 0 BFADHN C[C@@H]1C[C@H](CN(C)CCC(F)(F)F)CCO1 ZINC000352691118 387438001 /nfs/dbraw/zinc/43/80/01/387438001.db2.gz IWSGATRPMDNBRY-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H]1C[C@H](CN(C)Cc2ccoc2)CCO1 ZINC000352695291 387438943 /nfs/dbraw/zinc/43/89/43/387438943.db2.gz WEIYQCDOAJXFCH-VXGBXAGGSA-N 0 3 223.316 2.527 20 0 BFADHN CCc1ccc([C@H](C)NC[C@](C)(O)C2CC2)o1 ZINC000361354810 387444422 /nfs/dbraw/zinc/44/44/22/387444422.db2.gz XUYDXGGTWPOPHF-HZMBPMFUSA-N 0 3 237.343 2.654 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@](C)(O)C2CC2)o1 ZINC000361354811 387444810 /nfs/dbraw/zinc/44/48/10/387444810.db2.gz XUYDXGGTWPOPHF-IINYFYTJSA-N 0 3 237.343 2.654 20 0 BFADHN CC[C@H](CO)N[C@H](C)c1ccc(Cl)c(F)c1 ZINC000162601221 387445205 /nfs/dbraw/zinc/44/52/05/387445205.db2.gz JQWYBVXEUMGGJP-PSASIEDQSA-N 0 3 245.725 2.901 20 0 BFADHN CO[C@H](CNCc1ccsc1C)C1CC1 ZINC000293027205 387448230 /nfs/dbraw/zinc/44/82/30/387448230.db2.gz PLDMCQOOZAKJTG-GFCCVEGCSA-N 0 3 225.357 2.571 20 0 BFADHN CCC[C@@H](N)C(=O)NCC[C@@H](C)CC(C)(C)C ZINC000423922286 387450423 /nfs/dbraw/zinc/45/04/23/387450423.db2.gz JKSXGQGPUQAFPM-VXGBXAGGSA-N 0 3 242.407 2.692 20 0 BFADHN CCC[C@H](N)C(=O)NCC[C@@H](C)CC(C)(C)C ZINC000423922283 387451895 /nfs/dbraw/zinc/45/18/95/387451895.db2.gz JKSXGQGPUQAFPM-NEPJUHHUSA-N 0 3 242.407 2.692 20 0 BFADHN CSC1(CN2CCC[C@H]2CF)CCC1 ZINC000354519250 387387898 /nfs/dbraw/zinc/38/78/98/387387898.db2.gz DXIQYKLDZALXIF-JTQLQIEISA-N 0 3 217.353 2.706 20 0 BFADHN CCc1ccc(CN(CC)C[C@@H](C)OC)cn1 ZINC000361018199 387390254 /nfs/dbraw/zinc/39/02/54/387390254.db2.gz QYOLZORDMVEHOP-GFCCVEGCSA-N 0 3 236.359 2.501 20 0 BFADHN CO[C@@H](C)CCNc1ccnc2ccc(C)cc21 ZINC000354589773 387400502 /nfs/dbraw/zinc/40/05/02/387400502.db2.gz TYFPYVJQDWHUFI-LBPRGKRZSA-N 0 3 244.338 2.802 20 0 BFADHN Cc1ccc(NC(=O)C(C)C(F)(F)F)cn1 ZINC000336579456 387401421 /nfs/dbraw/zinc/40/14/21/387401421.db2.gz YOJNQCGJERRFKS-SSDOTTSWSA-N 0 3 232.205 2.527 20 0 BFADHN Cc1ccc2nccc(N(C)[C@@H]3CCOC3)c2c1 ZINC000354593113 387401870 /nfs/dbraw/zinc/40/18/70/387401870.db2.gz KCIRVJYLAJNPIQ-GFCCVEGCSA-N 0 3 242.322 2.768 20 0 BFADHN CCC[C@H](C)[C@H](CC)N[C@@H](C)c1nncn1C ZINC000361113793 387403569 /nfs/dbraw/zinc/40/35/69/387403569.db2.gz OAFWYNCPLARSII-SRVKXCTJSA-N 0 3 238.379 2.681 20 0 BFADHN CCC[C@H](C)[C@@H](CC)N[C@@H](C)c1nncn1C ZINC000361113792 387404276 /nfs/dbraw/zinc/40/42/76/387404276.db2.gz OAFWYNCPLARSII-SDDRHHMPSA-N 0 3 238.379 2.681 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2CCSC2)c1 ZINC000287284822 387404602 /nfs/dbraw/zinc/40/46/02/387404602.db2.gz DANGCEZCFMBCMW-RYUDHWBXSA-N 0 3 236.384 2.794 20 0 BFADHN c1coc([C@H]2CCN(Cc3cccnc3)C2)c1 ZINC000374003863 387409198 /nfs/dbraw/zinc/40/91/98/387409198.db2.gz SEUBXZNUEPKBJJ-ZDUSSCGKSA-N 0 3 228.295 2.664 20 0 BFADHN c1coc([C@@H]2CCN(Cc3cccnc3)C2)c1 ZINC000374003862 387409777 /nfs/dbraw/zinc/40/97/77/387409777.db2.gz SEUBXZNUEPKBJJ-CYBMUJFWSA-N 0 3 228.295 2.664 20 0 BFADHN Cc1cc(CN2CCC[C@H]2CC(C)C)on1 ZINC000354674715 387413918 /nfs/dbraw/zinc/41/39/18/387413918.db2.gz GAZPCILLNHOXCC-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@H](NC1CCC(F)(F)CC1)c1nccn1C ZINC000336588144 387414188 /nfs/dbraw/zinc/41/41/88/387414188.db2.gz RAPIRHGDYUMJCT-VIFPVBQESA-N 0 3 243.301 2.649 20 0 BFADHN C[C@@H](NC[C@@H](O)C(C)(C)C)c1ccccc1F ZINC000268877548 387418049 /nfs/dbraw/zinc/41/80/49/387418049.db2.gz KQRXSCHRGBYORT-ZWNOBZJWSA-N 0 3 239.334 2.883 20 0 BFADHN FC(F)O[C@H]1CCN(CCc2ccccc2)C1 ZINC000572426011 387421894 /nfs/dbraw/zinc/42/18/94/387421894.db2.gz OSVRALKFTWRIGE-LBPRGKRZSA-N 0 3 241.281 2.543 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCOC[C@H]1CC1CCC1 ZINC000354727526 387423211 /nfs/dbraw/zinc/42/32/11/387423211.db2.gz FUXNQBGOHBZGNM-KWCYVHTRSA-N 0 3 223.360 2.533 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCCC2(CO)CC2)o1 ZINC000395879934 387495769 /nfs/dbraw/zinc/49/57/69/387495769.db2.gz AOFCJGMSPIQZJY-WCQYABFASA-N 0 3 249.354 2.655 20 0 BFADHN Cc1ccc2c(c1)CCN(C[C@H]1CCCCO1)C2 ZINC000361838904 387542723 /nfs/dbraw/zinc/54/27/23/387542723.db2.gz FMYPWETZWLOEBE-MRXNPFEDSA-N 0 3 245.366 2.922 20 0 BFADHN COC1(CN(C)CCC(F)(F)F)CCCC1 ZINC000361567607 387495896 /nfs/dbraw/zinc/49/58/96/387495896.db2.gz VSNUNDNDNJCWQI-UHFFFAOYSA-N 0 3 239.281 2.830 20 0 BFADHN CSc1ccc(CN[C@@H]2CO[C@H](C)C2)s1 ZINC000516290814 387497141 /nfs/dbraw/zinc/49/71/41/387497141.db2.gz XMZWMBCAIKHNSX-BDAKNGLRSA-N 0 3 243.397 2.737 20 0 BFADHN Cc1ccoc1CN1CC[C@@H](OC(C)C)C1 ZINC000516291321 387497844 /nfs/dbraw/zinc/49/78/44/387497844.db2.gz PWSPQACJAFNEHC-GFCCVEGCSA-N 0 3 223.316 2.587 20 0 BFADHN C[C@H](NC1CCC1)c1ccc(Cl)cn1 ZINC000274911195 387498597 /nfs/dbraw/zinc/49/85/97/387498597.db2.gz NCVRBILGHVAGKP-QMMMGPOBSA-N 0 3 210.708 2.938 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@@H](C)c1ccncn1 ZINC000395882349 387499755 /nfs/dbraw/zinc/49/97/55/387499755.db2.gz HTWAYPAPAAXZEB-SRVKXCTJSA-N 0 3 221.348 2.952 20 0 BFADHN CO[C@@]1(C)CCCN(C/C=C/c2ccncc2)C1 ZINC000355218922 387499838 /nfs/dbraw/zinc/49/98/38/387499838.db2.gz VHVXAWQNPWHXCB-UJAVWCLSSA-N 0 3 246.354 2.596 20 0 BFADHN CCC[C@](C)(CO)NCc1cc(F)ccc1F ZINC000304679946 387501712 /nfs/dbraw/zinc/50/17/12/387501712.db2.gz ZHSBMOQQLFAVKV-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN COc1ccc(CN2C[C@H](C)CC[C@H]2C)cn1 ZINC000085824077 387504242 /nfs/dbraw/zinc/50/42/42/387504242.db2.gz GIRSMHPUVLMZHN-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@H]1CN(CC2(C)CC2)CC2(CCCC2)O1 ZINC000648077050 387506137 /nfs/dbraw/zinc/50/61/37/387506137.db2.gz XUNBVLZQENSXRF-GFCCVEGCSA-N 0 3 223.360 2.820 20 0 BFADHN CSCCN1CCc2cc(C)ccc2C1 ZINC000361842304 387543204 /nfs/dbraw/zinc/54/32/04/387543204.db2.gz KPJZWCGBAJFZLD-UHFFFAOYSA-N 0 3 221.369 2.716 20 0 BFADHN C[C@@H](CF)NCCSCc1ccccc1 ZINC000290103345 387507428 /nfs/dbraw/zinc/50/74/28/387507428.db2.gz HVFANMVFOVEOTQ-NSHDSACASA-N 0 3 227.348 2.867 20 0 BFADHN CC[C@H](NCC(=O)N(C)CC)c1cccc(C)c1 ZINC000287761904 387510250 /nfs/dbraw/zinc/51/02/50/387510250.db2.gz ZWCNBFQDKUKZDE-AWEZNQCLSA-N 0 3 248.370 2.514 20 0 BFADHN CCCC[C@H](CCC)NCc1ncc[nH]1 ZINC000165248418 387518802 /nfs/dbraw/zinc/51/88/02/387518802.db2.gz WZWDYJSBCCSTAK-NSHDSACASA-N 0 3 209.337 2.858 20 0 BFADHN Fc1ccc(CN2CC[C@H](c3ccco3)C2)cn1 ZINC000375287124 387521197 /nfs/dbraw/zinc/52/11/97/387521197.db2.gz NEVYOLLVHAFEED-LBPRGKRZSA-N 0 3 246.285 2.803 20 0 BFADHN Fc1ccc(CN2CCC[C@@H]2C2CC2)cn1 ZINC000375279465 387522078 /nfs/dbraw/zinc/52/20/78/387522078.db2.gz MTCKWYXEPXZXCE-GFCCVEGCSA-N 0 3 220.291 2.595 20 0 BFADHN Fc1cccc(CN2CCC[C@]23CCOC3)c1 ZINC000375278826 387522255 /nfs/dbraw/zinc/52/22/55/387522255.db2.gz LZMWVJCZWOAAIR-CQSZACIVSA-N 0 3 235.302 2.581 20 0 BFADHN CCSCCN1CCC[C@H](OCC2CC2)C1 ZINC000375309019 387524266 /nfs/dbraw/zinc/52/42/66/387524266.db2.gz MIZJNFMPQGBECD-ZDUSSCGKSA-N 0 3 243.416 2.631 20 0 BFADHN C[C@H](NCCCc1cccs1)c1cnccn1 ZINC000536838076 387524728 /nfs/dbraw/zinc/52/47/28/387524728.db2.gz GQOLMNCBCJUXHB-NSHDSACASA-N 0 3 247.367 2.822 20 0 BFADHN C[C@@H](CN[C@@H](C)c1cnccn1)CC(F)(F)F ZINC000396980340 387525168 /nfs/dbraw/zinc/52/51/68/387525168.db2.gz IXKOKHVZNLLXFR-BDAKNGLRSA-N 0 3 247.264 2.716 20 0 BFADHN CC1(C)C[C@H](NCc2ccc(Cl)cc2)CO1 ZINC000396981115 387527018 /nfs/dbraw/zinc/52/70/18/387527018.db2.gz SIEFPDYVTLSLBB-LBPRGKRZSA-N 0 3 239.746 2.997 20 0 BFADHN CC(C)C[C@H](C)N1CCO[C@@H](C(C)C)C1 ZINC000445456110 387529049 /nfs/dbraw/zinc/52/90/49/387529049.db2.gz NAFMZQNYMYRLBW-QWHCGFSZSA-N 0 3 213.365 2.778 20 0 BFADHN C[C@@H](N[C@H](C1CC1)C1CCC1)c1cnccn1 ZINC000324825263 387529963 /nfs/dbraw/zinc/52/99/63/387529963.db2.gz TYOOXJVGBAHVJG-YGRLFVJLSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(Cl)o2)CS1 ZINC000307437583 387530409 /nfs/dbraw/zinc/53/04/09/387530409.db2.gz UREXGAUSLMIMNF-SFYZADRCSA-N 0 3 231.748 2.917 20 0 BFADHN Cc1cnn(CCNCc2ccc(C)cc2C)c1 ZINC000109075462 387531846 /nfs/dbraw/zinc/53/18/46/387531846.db2.gz YVKHZZNLOVWBQY-UHFFFAOYSA-N 0 3 243.354 2.598 20 0 BFADHN CCCC(C)(C)NC(=O)CN1[C@H](C)CC[C@@H]1C ZINC000355406496 387532965 /nfs/dbraw/zinc/53/29/65/387532965.db2.gz BUROXBFIJCMOMZ-TXEJJXNPSA-N 0 3 240.391 2.554 20 0 BFADHN C[C@@H](N[C@H]1CCC(C)(C)C1)c1nccn1C ZINC000122468139 387533221 /nfs/dbraw/zinc/53/32/21/387533221.db2.gz JPHQLRJDCIYLRL-MNOVXSKESA-N 0 3 221.348 2.649 20 0 BFADHN C[C@@H](NC[C@@H](C)CC(F)(F)F)c1ccncn1 ZINC000397048132 387533491 /nfs/dbraw/zinc/53/34/91/387533491.db2.gz QZJBUSMDTQWIET-DTWKUNHWSA-N 0 3 247.264 2.716 20 0 BFADHN C[C@@H](O)CN1CCc2ccc(C(C)(C)C)cc2C1 ZINC000192791407 387534400 /nfs/dbraw/zinc/53/44/00/387534400.db2.gz ZIIISVJWIIZXRZ-GFCCVEGCSA-N 0 3 247.382 2.723 20 0 BFADHN CC(=O)CCN1CCC(C)=C(c2ccco2)C1 ZINC000374618922 387473983 /nfs/dbraw/zinc/47/39/83/387473983.db2.gz MWCKWMRNXUWXSM-UHFFFAOYSA-N 0 3 233.311 2.738 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@H](C)[C@H]2C)cn1 ZINC000355070984 387475829 /nfs/dbraw/zinc/47/58/29/387475829.db2.gz AJHZCCLOUPYDHW-FRRDWIJNSA-N 0 3 248.370 2.957 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CSC2(C)C)c1 ZINC000287623483 387478441 /nfs/dbraw/zinc/47/84/41/387478441.db2.gz TUTZQGDUOZQEOK-CMPLNLGQSA-N 0 3 236.384 2.935 20 0 BFADHN CCN(CC(=O)N[C@H](C)C(C)C)CC(C)(C)C ZINC000361528133 387481344 /nfs/dbraw/zinc/48/13/44/387481344.db2.gz MUQVARIRJOMFMD-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN CO[C@H]1CCCN([C@H](C)c2cccnc2)CC1 ZINC000374703508 387483527 /nfs/dbraw/zinc/48/35/27/387483527.db2.gz VGCYELLSXORHNV-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@H](C)CN(C)C1(C(=O)OC)CCCCC1 ZINC000527116080 387485768 /nfs/dbraw/zinc/48/57/68/387485768.db2.gz PDKOLBCSGREANQ-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1c([C@@H](C)N[C@@H](C)Cc2ccoc2)cnn1C ZINC000352791412 387487313 /nfs/dbraw/zinc/48/73/13/387487313.db2.gz ZSIMJVNQUHEEPV-WDEREUQCSA-N 0 3 247.342 2.603 20 0 BFADHN Cc1cc(OCc2ccccn2)c(C)c(C)n1 ZINC000516312721 387492101 /nfs/dbraw/zinc/49/21/01/387492101.db2.gz IWIPCIZKHDOZLR-UHFFFAOYSA-N 0 3 228.295 2.981 20 0 BFADHN COc1ccc(CN(C)[C@@H]2CC2(C)C)c(OC)c1 ZINC000426546794 387581336 /nfs/dbraw/zinc/58/13/36/387581336.db2.gz XMATWBYHZLYRDS-CQSZACIVSA-N 0 3 249.354 2.934 20 0 BFADHN Cc1cccc2ncc(CN(C)[C@H]3CC3(C)C)n21 ZINC000426549348 387581449 /nfs/dbraw/zinc/58/14/49/387581449.db2.gz QJYVMVAIQGRIRB-ZDUSSCGKSA-N 0 3 243.354 2.873 20 0 BFADHN Cc1ccc(C)c(CN[C@@H](C)c2cnn(C)c2)c1 ZINC000336790846 387582764 /nfs/dbraw/zinc/58/27/64/387582764.db2.gz YKGBSQZETRPNFW-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1nc(CCN2CCC3(CC3)CC2)cs1 ZINC000367215518 387587825 /nfs/dbraw/zinc/58/78/25/387587825.db2.gz HZDMNINVXXZPMO-UHFFFAOYSA-N 0 3 236.384 2.870 20 0 BFADHN CSC[C@H]1CCCN1CCC(F)(F)F ZINC000355876411 387588290 /nfs/dbraw/zinc/58/82/90/387588290.db2.gz BABRDEZAXPABDX-MRVPVSSYSA-N 0 3 227.295 2.766 20 0 BFADHN C[C@H](NCC12CCC(CC1)C2)c1nccn1C ZINC000362256868 387588690 /nfs/dbraw/zinc/58/86/90/387588690.db2.gz MNTBNVKBVPMIDU-DCBWTQNWSA-N 0 3 233.359 2.651 20 0 BFADHN FC(F)(F)CCN1CCC(c2cn[nH]c2)CC1 ZINC000375901744 387591826 /nfs/dbraw/zinc/59/18/26/387591826.db2.gz LAZLTMUJOCITTJ-UHFFFAOYSA-N 0 3 247.264 2.542 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2C[C@H]3OCCC[C@H]23)c1 ZINC000375898100 387592713 /nfs/dbraw/zinc/59/27/13/387592713.db2.gz OUCFIZQKGYUEIZ-CTHBEMJXSA-N 0 3 246.354 2.608 20 0 BFADHN COc1ccccc1[C@@H](CO)N[C@H](C)C1CCC1 ZINC000527518227 387594686 /nfs/dbraw/zinc/59/46/86/387594686.db2.gz XXNTZOKKJPSICN-BXUZGUMPSA-N 0 3 249.354 2.507 20 0 BFADHN C[C@H](NCCC(F)(F)F)c1cncc(F)c1 ZINC000122760141 387594847 /nfs/dbraw/zinc/59/48/47/387594847.db2.gz JYTIRCDUCXVKLT-ZETCQYMHSA-N 0 3 236.212 2.824 20 0 BFADHN Cc1ccccc1O[C@@H](C)CNCC1(F)CC1 ZINC000527528848 387597944 /nfs/dbraw/zinc/59/79/44/387597944.db2.gz QTPYBHQLZUZJJP-LBPRGKRZSA-N 0 3 237.318 2.854 20 0 BFADHN CC(C)C[C@@H](CO)N[C@@H]1CCCc2occc21 ZINC000123999068 387600014 /nfs/dbraw/zinc/60/00/14/387600014.db2.gz MOVJYMCGQCEYIO-WCQYABFASA-N 0 3 237.343 2.654 20 0 BFADHN COc1cc(C)c(CN2[C@@H](C)C[C@@H]2C)c(C)n1 ZINC000527529991 387600737 /nfs/dbraw/zinc/60/07/37/387600737.db2.gz GUEQRACYULANAC-QWRGUYRKSA-N 0 3 234.343 2.690 20 0 BFADHN C(=C/c1ccccc1)\CN1CC([C@@H]2CCOC2)C1 ZINC000376023530 387602616 /nfs/dbraw/zinc/60/26/16/387602616.db2.gz YKFJTQNAQCCIFI-NFBGWVBBSA-N 0 3 243.350 2.668 20 0 BFADHN Cn1ccc(CN2CCC3(CCCCC3)CC2)n1 ZINC000362385945 387605463 /nfs/dbraw/zinc/60/54/63/387605463.db2.gz LZCYIXIKUITXHB-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN Cc1ccc([C@@H](C)N(C)C(=O)CNC(C)C)cc1 ZINC000037808079 387605822 /nfs/dbraw/zinc/60/58/22/387605822.db2.gz CSAKOGPSDTXEFM-CYBMUJFWSA-N 0 3 248.370 2.512 20 0 BFADHN CCc1ccc([C@@H](C)NCc2cnn(C)c2)s1 ZINC000041632305 387605794 /nfs/dbraw/zinc/60/57/94/387605794.db2.gz WNFGJGCSMWMFET-SNVBAGLBSA-N 0 3 249.383 2.895 20 0 BFADHN CCCn1nc(C)c(CN2CCC[C@H]2C)c1C ZINC000124290994 387610460 /nfs/dbraw/zinc/61/04/60/387610460.db2.gz IJRBGVKZPSPQJO-LLVKDONJSA-N 0 3 235.375 2.894 20 0 BFADHN C(C1CCCCC1)N1CCO[C@@H](C2CC2)C1 ZINC000362513477 387622968 /nfs/dbraw/zinc/62/29/68/387622968.db2.gz VJMILQMSYWEJSH-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN Cc1ccc(C)c(CNCc2cccnc2)c1 ZINC000042209195 387623202 /nfs/dbraw/zinc/62/32/02/387623202.db2.gz LJDMJHNDNAQGDT-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN Cc1ccc2c(c1)CCN(CCOCC1CC1)C2 ZINC000361850904 387545376 /nfs/dbraw/zinc/54/53/76/387545376.db2.gz UBBCJPLSNIBLRV-UHFFFAOYSA-N 0 3 245.366 2.780 20 0 BFADHN Cc1cccc(CNC[C@@]2(C)CCOC2)c1F ZINC000397185617 387549777 /nfs/dbraw/zinc/54/97/77/387549777.db2.gz LUEWIHKKIBYWCQ-CQSZACIVSA-N 0 3 237.318 2.650 20 0 BFADHN CCC[C@@H](N[C@H](C)C(=O)N(C)C)c1ccccc1 ZINC000035139293 387551584 /nfs/dbraw/zinc/55/15/84/387551584.db2.gz VQOFXDBBIBWNND-TZMCWYRMSA-N 0 3 248.370 2.594 20 0 BFADHN C[C@H](NCCc1ccco1)c1cccc(O)c1 ZINC000035661637 387553387 /nfs/dbraw/zinc/55/33/87/387553387.db2.gz GXSMNWRFAJJNHW-NSHDSACASA-N 0 3 231.295 2.879 20 0 BFADHN Cc1ccncc1CN(C)C[C@H]1CCO[C@H](C)C1 ZINC000355582601 387554761 /nfs/dbraw/zinc/55/47/61/387554761.db2.gz KTENQMRQSTZIGW-KGLIPLIRSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@H](C)[C@H](C)[C@@H]2C)n1 ZINC000399573856 387554754 /nfs/dbraw/zinc/55/47/54/387554754.db2.gz PNMYEOSZGROKHA-DLOVCJGASA-N 0 3 234.343 2.572 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@H](C)[C@H](C)[C@@H]2C)n1 ZINC000399573856 387554757 /nfs/dbraw/zinc/55/47/57/387554757.db2.gz PNMYEOSZGROKHA-DLOVCJGASA-N 0 3 234.343 2.572 20 0 BFADHN C[C@H](C(=O)OC(C)(C)C)N1C[C@@H](C)[C@@H](C)[C@@H]1C ZINC000399589191 387556729 /nfs/dbraw/zinc/55/67/29/387556729.db2.gz KWPONCJRKVDTOJ-WISYIIOYSA-N 0 3 241.375 2.693 20 0 BFADHN c1ccc2c(c1)nc(CNCC1CC1)n2C1CC1 ZINC000036884457 387558611 /nfs/dbraw/zinc/55/86/11/387558611.db2.gz YXUIIWSJJZURCO-UHFFFAOYSA-N 0 3 241.338 2.871 20 0 BFADHN CC(=O)CCN1Cc2ccccc2C2(CCC2)C1 ZINC000375641786 387562033 /nfs/dbraw/zinc/56/20/33/387562033.db2.gz PAUCJPCQSKOADR-UHFFFAOYSA-N 0 3 243.350 2.903 20 0 BFADHN CCC[C@@H](O)CN[C@@H]1CCCOc2ccccc21 ZINC000305155668 387563063 /nfs/dbraw/zinc/56/30/63/387563063.db2.gz VPTXXHYZNDUHCJ-TZMCWYRMSA-N 0 3 249.354 2.651 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCC[C@@H](C)O)CCCO2 ZINC000305142364 387563621 /nfs/dbraw/zinc/56/36/21/387563621.db2.gz QQFWCXGHHSTDSS-TZMCWYRMSA-N 0 3 249.354 2.569 20 0 BFADHN COC[C@@H](C)N1Cc2ccccc2C2(CCC2)C1 ZINC000375685527 387566394 /nfs/dbraw/zinc/56/63/94/387566394.db2.gz RHNPINJWURUFMX-CYBMUJFWSA-N 0 3 245.366 2.959 20 0 BFADHN CO[C@H](C)CN1Cc2ccccc2C2(CCC2)C1 ZINC000375690125 387567618 /nfs/dbraw/zinc/56/76/18/387567618.db2.gz OVJOZPYUYWBSPG-CYBMUJFWSA-N 0 3 245.366 2.959 20 0 BFADHN CC(C)[C@H](O)CCN[C@H](C)c1cccc(F)c1 ZINC000305167264 387568621 /nfs/dbraw/zinc/56/86/21/387568621.db2.gz SSYCWGIXGOTAKP-BXUZGUMPSA-N 0 3 239.334 2.883 20 0 BFADHN CCOCCN1Cc2ccccc2C2(CCC2)C1 ZINC000375692877 387569058 /nfs/dbraw/zinc/56/90/58/387569058.db2.gz ZJKPMVLLXXICJE-UHFFFAOYSA-N 0 3 245.366 2.960 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2ccco2)nc1 ZINC000399808091 387573089 /nfs/dbraw/zinc/57/30/89/387573089.db2.gz QDPNOOGVSVAICM-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN Fc1cccc(C2CCN([C@H]3CCOC3)CC2)c1 ZINC000375720581 387573710 /nfs/dbraw/zinc/57/37/10/387573710.db2.gz AWXLTYFCKQWQPS-HNNXBMFYSA-N 0 3 249.329 2.794 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](c3cc[nH]n3)C2)o1 ZINC000121200203 387575640 /nfs/dbraw/zinc/57/56/40/387575640.db2.gz MCVVLHAQPQKGTR-GFCCVEGCSA-N 0 3 245.326 2.691 20 0 BFADHN Cc1cnc([C@@H](C)N(C)CCC2CC2)cn1 ZINC000428390485 387576367 /nfs/dbraw/zinc/57/63/67/387576367.db2.gz CIZFCOZUGSEEQX-LLVKDONJSA-N 0 3 219.332 2.578 20 0 BFADHN Cc1cnc([C@H](C)N(C)CCC2CC2)cn1 ZINC000428390486 387576671 /nfs/dbraw/zinc/57/66/71/387576671.db2.gz CIZFCOZUGSEEQX-NSHDSACASA-N 0 3 219.332 2.578 20 0 BFADHN CN(C)CCSc1ccc(F)c(F)c1 ZINC000124714916 387632029 /nfs/dbraw/zinc/63/20/29/387632029.db2.gz CPWJSDFKCXJCEO-UHFFFAOYSA-N 0 3 217.284 2.619 20 0 BFADHN C[C@@H](F)CCN1CCOC2(CCCCC2)C1 ZINC000367226259 387636497 /nfs/dbraw/zinc/63/64/97/387636497.db2.gz WOYODBPSKWVCSA-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN COc1cc(CN2[C@H](C)CCC2(C)C)ccn1 ZINC000452005572 387694885 /nfs/dbraw/zinc/69/48/85/387694885.db2.gz KBMVRGZJKBHKIF-LLVKDONJSA-N 0 3 234.343 2.853 20 0 BFADHN CN(C)CCSc1nc2c(cccc2F)o1 ZINC000362628384 387642317 /nfs/dbraw/zinc/64/23/17/387642317.db2.gz SXGRLOZVSQJWKA-UHFFFAOYSA-N 0 3 240.303 2.621 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@@H]1NCc1ccn(C)n1 ZINC000430702863 387643352 /nfs/dbraw/zinc/64/33/52/387643352.db2.gz CZPANPUNHJNFNK-SCRDCRAPSA-N 0 3 235.375 2.725 20 0 BFADHN c1ncc(CN2CCC(C3CCCC3)CC2)[nH]1 ZINC000362652732 387645265 /nfs/dbraw/zinc/64/52/65/387645265.db2.gz FBDDAPPCXLUVCT-UHFFFAOYSA-N 0 3 233.359 2.812 20 0 BFADHN C[C@H](NCc1nccs1)[C@@H]1C[C@H]1C1CC1 ZINC000527638969 387648812 /nfs/dbraw/zinc/64/88/12/387648812.db2.gz AWQVGNPUNFLTTN-LSJOCFKGSA-N 0 3 222.357 2.667 20 0 BFADHN Cc1ccoc1CN(CCO)[C@@H]1CC[C@H](C)C1 ZINC000356368924 387649619 /nfs/dbraw/zinc/64/96/19/387649619.db2.gz PTLJZDXJHPZWQY-WCQYABFASA-N 0 3 237.343 2.571 20 0 BFADHN Cc1c[nH]nc1CN(C)C[C@@H]1CC=CCC1 ZINC000356404466 387653999 /nfs/dbraw/zinc/65/39/99/387653999.db2.gz BQHAAUFNYMTFEI-GFCCVEGCSA-N 0 3 219.332 2.506 20 0 BFADHN CCN(CC)[C@@H](C)C(=O)N(C)C1CCCCC1 ZINC000123103942 387654375 /nfs/dbraw/zinc/65/43/75/387654375.db2.gz GNCGXDNQQGLWOM-LBPRGKRZSA-N 0 3 240.391 2.508 20 0 BFADHN COC[C@H](N[C@H](C)[C@@H]1C[C@H]1C1CC1)c1ccco1 ZINC000527670181 387654741 /nfs/dbraw/zinc/65/47/41/387654741.db2.gz NAUODRYXFXAIFE-SAXRGWBVSA-N 0 3 249.354 2.991 20 0 BFADHN CSC1(CN2CCO[C@H](C(C)C)C2)CCC1 ZINC000356422146 387657401 /nfs/dbraw/zinc/65/74/01/387657401.db2.gz UXDDOVGIXRSBSO-LBPRGKRZSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)CC1CCCC1 ZINC000123173954 387659487 /nfs/dbraw/zinc/65/94/87/387659487.db2.gz ZVPBVIGVCIUVRJ-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN CCOc1cccc(CN(CC)CC2CC2)n1 ZINC000125651708 387662355 /nfs/dbraw/zinc/66/23/55/387662355.db2.gz YEUYCWIEJOQFDB-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@H](C)C2)cn1 ZINC000362842561 387664567 /nfs/dbraw/zinc/66/45/67/387664567.db2.gz ZKOUTLZQLXRLPW-TXEJJXNPSA-N 0 3 234.343 2.568 20 0 BFADHN CC[C@@H](C)CNCc1cc(OC)cc(C)n1 ZINC000125963149 387669499 /nfs/dbraw/zinc/66/94/99/387669499.db2.gz ZILXIBORODFXOH-SNVBAGLBSA-N 0 3 222.332 2.534 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000527716411 387673104 /nfs/dbraw/zinc/67/31/04/387673104.db2.gz LXVRZRCLVKXBIB-RFHZTLPTSA-N 0 3 247.386 2.988 20 0 BFADHN COc1cccnc1CN[C@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000527719425 387674188 /nfs/dbraw/zinc/67/41/88/387674188.db2.gz WSCZXKKGSAMGQI-WXHSDQCUSA-N 0 3 246.354 2.614 20 0 BFADHN COCc1ccc(CN[C@@H]2CCCC23CC3)o1 ZINC000631194375 387682925 /nfs/dbraw/zinc/68/29/25/387682925.db2.gz ZABSVNLQEDVDLA-CYBMUJFWSA-N 0 3 235.327 2.848 20 0 BFADHN CC(C)C1CC(NCc2nccn2C(F)F)C1 ZINC000363000376 387685095 /nfs/dbraw/zinc/68/50/95/387685095.db2.gz GCLPRDUYLZKISH-UHFFFAOYSA-N 0 3 243.301 2.802 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc(C)cc1F ZINC000631119113 387686458 /nfs/dbraw/zinc/68/64/58/387686458.db2.gz TVPHSOHGHVBKNF-QWRGUYRKSA-N 0 3 225.307 2.647 20 0 BFADHN CC[C@@H](F)CN1CCCC[C@@H](SC)C1 ZINC000440761941 387686510 /nfs/dbraw/zinc/68/65/10/387686510.db2.gz GVBVNWWSZIZXSP-GHMZBOCLSA-N 0 3 219.369 2.952 20 0 BFADHN CCOC[C@@H](C)NCc1ccccc1OCC ZINC000044688212 387687934 /nfs/dbraw/zinc/68/79/34/387687934.db2.gz JRGDQGXZFVCDKI-GFCCVEGCSA-N 0 3 237.343 2.600 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ccccc1OC ZINC000044688648 387688684 /nfs/dbraw/zinc/68/86/84/387688684.db2.gz KVBUEIFWTGYCQM-RYUDHWBXSA-N 0 3 237.343 2.771 20 0 BFADHN COCCN1CCC[C@@H]1c1ccc(F)cc1C ZINC000451972015 387690522 /nfs/dbraw/zinc/69/05/22/387690522.db2.gz NVYYHMPFWBHDKR-CQSZACIVSA-N 0 3 237.318 2.917 20 0 BFADHN CCOC[C@H](C)NCc1cc(C)c(O)c(C)c1 ZINC000044690604 387692363 /nfs/dbraw/zinc/69/23/63/387692363.db2.gz UYQYNKNNOKNTPF-LBPRGKRZSA-N 0 3 237.343 2.524 20 0 BFADHN C[C@H](Cc1cccc(O)c1)NCc1ccco1 ZINC000356908308 387743527 /nfs/dbraw/zinc/74/35/27/387743527.db2.gz ABCOOMXHQFJIJW-LLVKDONJSA-N 0 3 231.295 2.706 20 0 BFADHN C[C@H](Cc1cccc(O)c1)NCc1ccccn1 ZINC000356910569 387744289 /nfs/dbraw/zinc/74/42/89/387744289.db2.gz DLZKLIOGCZRISP-GFCCVEGCSA-N 0 3 242.322 2.508 20 0 BFADHN Cn1cccc1CN1CCC2(CCC2)CC1 ZINC000367691656 387744320 /nfs/dbraw/zinc/74/43/20/387744320.db2.gz XYFJWIIVMFBOFI-UHFFFAOYSA-N 0 3 218.344 2.791 20 0 BFADHN Cc1ccc(CN2CCOCC[C@H]2C)c(C)c1 ZINC000367700114 387744433 /nfs/dbraw/zinc/74/44/33/387744433.db2.gz BAWFPJRFFQZSDI-CQSZACIVSA-N 0 3 233.355 2.914 20 0 BFADHN CC[C@@H](CCO)NCc1c(F)cc(C)cc1F ZINC000424143413 387748639 /nfs/dbraw/zinc/74/86/39/387748639.db2.gz JMCCKAMMJGQPDU-JTQLQIEISA-N 0 3 243.297 2.524 20 0 BFADHN CC[C@H]1C[C@H](CN(C)Cc2ccco2)CCO1 ZINC000356927362 387749453 /nfs/dbraw/zinc/74/94/53/387749453.db2.gz TWPMWWJPGKOHOO-OLZOCXBDSA-N 0 3 237.343 2.917 20 0 BFADHN Cc1ccsc1CN[C@]12C[C@H]1COC2(C)C ZINC000527761545 387697551 /nfs/dbraw/zinc/69/75/51/387697551.db2.gz BOXHFFRUTVQBMV-GXFFZTMASA-N 0 3 237.368 2.714 20 0 BFADHN FCCCN[C@H]1CCCOc2ccc(F)cc21 ZINC000126834057 387698067 /nfs/dbraw/zinc/69/80/67/387698067.db2.gz RNXKRKCWRCWSSG-LBPRGKRZSA-N 0 3 241.281 2.989 20 0 BFADHN CCC(NCc1cocn1)(C1CC1)C1CC1 ZINC000527760856 387698745 /nfs/dbraw/zinc/69/87/45/387698745.db2.gz CVARMMGUVCPEQD-UHFFFAOYSA-N 0 3 220.316 2.733 20 0 BFADHN Cc1ccc(CN[C@]23C[C@H]2COC3(C)C)cc1 ZINC000527759716 387698881 /nfs/dbraw/zinc/69/88/81/387698881.db2.gz RGGSFFDXEIAAQT-DZGCQCFKSA-N 0 3 231.339 2.652 20 0 BFADHN CC[C@H](F)CN1CCC[C@@H]1c1cccn1C ZINC000440788329 387699420 /nfs/dbraw/zinc/69/94/20/387699420.db2.gz ZGTCIPUYBMSYDC-WCQYABFASA-N 0 3 224.323 2.910 20 0 BFADHN CC1(C)OC[C@@H]2C[C@@]21NC/C=C/c1ccccc1 ZINC000527765597 387702018 /nfs/dbraw/zinc/70/20/18/387702018.db2.gz JNQRMCQFBKIHPQ-BILQUBDUSA-N 0 3 243.350 2.857 20 0 BFADHN C[C@@]1(NCc2cscn2)CC=CCC1 ZINC000306625374 387702506 /nfs/dbraw/zinc/70/25/06/387702506.db2.gz YDUPUFWSLIEZIG-LLVKDONJSA-N 0 3 208.330 2.732 20 0 BFADHN CC1(NCc2cc(C(F)(F)F)n[nH]2)CCCC1 ZINC000440833496 387705057 /nfs/dbraw/zinc/70/50/57/387705057.db2.gz SBVVPZHUKUEVLM-UHFFFAOYSA-N 0 3 247.264 2.851 20 0 BFADHN FC(F)(F)c1cc(CN[C@@H]2CC=CCC2)[nH]n1 ZINC000440826894 387705113 /nfs/dbraw/zinc/70/51/13/387705113.db2.gz OSXAQOOYHFCMAZ-MRVPVSSYSA-N 0 3 245.248 2.627 20 0 BFADHN COC[C@H](C)N[C@H](c1ccccn1)C1CCC1 ZINC000527770533 387705495 /nfs/dbraw/zinc/70/54/95/387705495.db2.gz FSXZATCPHNABKX-FZMZJTMJSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@H](CC1CC1)NCc1cc(C(F)(F)F)n[nH]1 ZINC000440826588 387705942 /nfs/dbraw/zinc/70/59/42/387705942.db2.gz NOCLBBBFABLJCK-SSDOTTSWSA-N 0 3 247.264 2.707 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)s1 ZINC000418115847 387708352 /nfs/dbraw/zinc/70/83/52/387708352.db2.gz AIBNIIXPZAACQR-GDPRMGEGSA-N 0 3 224.373 2.928 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1cccc2cc[nH]c21 ZINC000527783172 387709124 /nfs/dbraw/zinc/70/91/24/387709124.db2.gz HCYGORINMDLKOX-HIFRSBDPSA-N 0 3 244.338 2.825 20 0 BFADHN C[C@@]1(O)C[C@H](NCc2ccc3ccccc3c2)C1 ZINC000418115538 387709142 /nfs/dbraw/zinc/70/91/42/387709142.db2.gz PTEKLYRWCKMTHI-IYBDPMFKSA-N 0 3 241.334 2.843 20 0 BFADHN Fc1ccc(CN2CCC[C@@H]2[C@H]2CCCO2)cc1 ZINC000367447675 387711612 /nfs/dbraw/zinc/71/16/12/387711612.db2.gz KMZKKZTXHWADSB-HUUCEWRRSA-N 0 3 249.329 2.969 20 0 BFADHN Cc1c[nH]nc1CNCC[C@H]1CC=CCC1 ZINC000527787153 387711839 /nfs/dbraw/zinc/71/18/39/387711839.db2.gz HUZSZKQPEZDECX-LBPRGKRZSA-N 0 3 219.332 2.554 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CC[C@H]2CC=CCC2)n1 ZINC000527786444 387711995 /nfs/dbraw/zinc/71/19/95/387711995.db2.gz FIEMHSVYBMZJSX-ZDUSSCGKSA-N 0 3 246.354 2.932 20 0 BFADHN c1csc(CN(C[C@H]2CCCO2)C2CC2)c1 ZINC000127344338 387712607 /nfs/dbraw/zinc/71/26/07/387712607.db2.gz JNHSXUIQRUXVEC-GFCCVEGCSA-N 0 3 237.368 2.892 20 0 BFADHN Cc1ccc(CN(C)CCn2ccnc2)cc1C ZINC000452049728 387712801 /nfs/dbraw/zinc/71/28/01/387712801.db2.gz UGPOAUVHHLJOAF-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN c1c2ccccc2oc1CNCC12CC(C1)CO2 ZINC000527789918 387715813 /nfs/dbraw/zinc/71/58/13/387715813.db2.gz QGQSXPHBLCUVDJ-UHFFFAOYSA-N 0 3 243.306 2.701 20 0 BFADHN Cc1oncc1CN1C[C@@H]2[C@@H]3CC[C@@H](C3)[C@@]2(C)C1 ZINC000452054223 387717709 /nfs/dbraw/zinc/71/77/09/387717709.db2.gz QVGNORRAYRUGFQ-FAAHXZRKSA-N 0 3 246.354 2.851 20 0 BFADHN C[C@H](NCc1cnns1)[C@@H]1CCC[C@@H](C)C1 ZINC000452056073 387717743 /nfs/dbraw/zinc/71/77/43/387717743.db2.gz DAADXENDSVQKPD-OUAUKWLOSA-N 0 3 239.388 2.843 20 0 BFADHN C[C@H](NCc1ncccn1)[C@H]1CCC[C@H](C)C1 ZINC000452062371 387718392 /nfs/dbraw/zinc/71/83/92/387718392.db2.gz SHBPZDLUPSBXAK-AVGNSLFASA-N 0 3 233.359 2.781 20 0 BFADHN CCCn1cc(CN2CC[C@H](C)[C@H](C)C2)cn1 ZINC000127648079 387723290 /nfs/dbraw/zinc/72/32/90/387723290.db2.gz QGPFUCNKLJVNBH-QWHCGFSZSA-N 0 3 235.375 2.771 20 0 BFADHN CC[C@@H](C)NC(=O)C[C@@H](c1ccccc1)N(C)C ZINC000431654035 387723828 /nfs/dbraw/zinc/72/38/28/387723828.db2.gz FVTACPXFENSUSF-OCCSQVGLSA-N 0 3 248.370 2.594 20 0 BFADHN CCCn1cc(CN2C[C@H](C)CC[C@@H]2C)cn1 ZINC000127777460 387725097 /nfs/dbraw/zinc/72/50/97/387725097.db2.gz ZDUOFSYMUDPBDI-OLZOCXBDSA-N 0 3 235.375 2.914 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@@H](C)COC ZINC000356862718 387732061 /nfs/dbraw/zinc/73/20/61/387732061.db2.gz BEZAAZGLEVKTTH-NEPJUHHUSA-N 0 3 236.359 2.577 20 0 BFADHN Clc1cccnc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000528402481 387735279 /nfs/dbraw/zinc/73/52/79/387735279.db2.gz GPWIXMYKKIUFGD-PHIMTYICSA-N 0 3 236.746 2.967 20 0 BFADHN CCc1cccnc1[C@H](C)NCCN(C)C(C)C ZINC000356863746 387735378 /nfs/dbraw/zinc/73/53/78/387735378.db2.gz BULFNRHCFUZGHH-ZDUSSCGKSA-N 0 3 249.402 2.635 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H](C)[C@@H]1CC12CC2 ZINC000527862780 387737803 /nfs/dbraw/zinc/73/78/03/387737803.db2.gz HEPLNXCRCDETIB-OLZOCXBDSA-N 0 3 247.386 2.817 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@H](COC)C1CC1 ZINC000356874548 387738154 /nfs/dbraw/zinc/73/81/54/387738154.db2.gz QOVWCXCVYUMIQO-BXUZGUMPSA-N 0 3 248.370 2.720 20 0 BFADHN CCc1nc(CN[C@H](C)[C@@H]2CC23CC3)cs1 ZINC000527862291 387738314 /nfs/dbraw/zinc/73/83/14/387738314.db2.gz OGGFGAIUKSAXIK-KOLCDFICSA-N 0 3 236.384 2.984 20 0 BFADHN COc1ccc2c(c1)CN(CC1CCC1)CC2 ZINC000441211554 387739336 /nfs/dbraw/zinc/73/93/36/387739336.db2.gz QLCGHRCEJVNCBV-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN CCC(C)(C)CCN1C[C@H](C)OC[C@H]1C ZINC000441252759 387741341 /nfs/dbraw/zinc/74/13/41/387741341.db2.gz GQHOIIHZAMMMNT-NEPJUHHUSA-N 0 3 213.365 2.922 20 0 BFADHN C/C(Cl)=C\CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000528496994 387762157 /nfs/dbraw/zinc/76/21/57/387762157.db2.gz LSIVZNMRENJZTA-IUEHSCGASA-N 0 3 229.751 2.772 20 0 BFADHN Cc1ccoc1CN(C)CCC[C@H]1CCOC1 ZINC000645921898 387763468 /nfs/dbraw/zinc/76/34/68/387763468.db2.gz WRWZDOBHNFJQKR-ZDUSSCGKSA-N 0 3 237.343 2.837 20 0 BFADHN CCc1cc(CN[C@H](C)[C@@H]2CC23CC3)on1 ZINC000527872681 387765693 /nfs/dbraw/zinc/76/56/93/387765693.db2.gz XXPXODICXDSTAN-SKDRFNHKSA-N 0 3 220.316 2.515 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@H](C)[C@H]2CC23CC3)[n-]1 ZINC000527877479 387766867 /nfs/dbraw/zinc/76/68/67/387766867.db2.gz PURCIKZKRMZRPF-OUAUKWLOSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@H](C)[C@H]2CC23CC3)[nH]1 ZINC000527877479 387766873 /nfs/dbraw/zinc/76/68/73/387766873.db2.gz PURCIKZKRMZRPF-OUAUKWLOSA-N 0 3 248.374 2.767 20 0 BFADHN CCN(CC)C(=O)C(C)(C)[C@H](N)c1ccccc1 ZINC000422910449 387769266 /nfs/dbraw/zinc/76/92/66/387769266.db2.gz QLQCOVVCZINDSZ-CYBMUJFWSA-N 0 3 248.370 2.581 20 0 BFADHN CC(C)N(C)C(=O)C(C)(C)[C@@H](N)c1ccccc1 ZINC000422914560 387771923 /nfs/dbraw/zinc/77/19/23/387771923.db2.gz UTAVUKCSVXBPOP-ZDUSSCGKSA-N 0 3 248.370 2.579 20 0 BFADHN COc1cnccc1[C@H](C)N[C@@H](C)[C@H]1CC12CC2 ZINC000527892290 387775544 /nfs/dbraw/zinc/77/55/44/387775544.db2.gz JQKZHHQRMJTPPF-GMXVVIOVSA-N 0 3 246.354 2.929 20 0 BFADHN c1coc(CN2CC[C@@H](c3ccco3)C2)c1 ZINC000378345391 387780234 /nfs/dbraw/zinc/78/02/34/387780234.db2.gz JWMKVDJFWJEULW-LLVKDONJSA-N 0 3 217.268 2.862 20 0 BFADHN Cc1cc(CN2CCOC[C@@H](C)C2)ccc1F ZINC000359866210 387788603 /nfs/dbraw/zinc/78/86/03/387788603.db2.gz FXSSNVCIYMFFSK-NSHDSACASA-N 0 3 237.318 2.602 20 0 BFADHN CCc1ccc([C@@H](C)NCCOCC(F)F)o1 ZINC000188757820 387796740 /nfs/dbraw/zinc/79/67/40/387796740.db2.gz PZOYVIQETVLISJ-SECBINFHSA-N 0 3 247.285 2.774 20 0 BFADHN CCC[C@H](NCC12CC(C1)CO2)c1ccccn1 ZINC000527981093 387798695 /nfs/dbraw/zinc/79/86/95/387798695.db2.gz KFUZEWXOSXAAMT-OWYJLGKBSA-N 0 3 246.354 2.691 20 0 BFADHN Cc1cnccc1CCN1CC[C@H](C(F)F)C1 ZINC000645842397 387799010 /nfs/dbraw/zinc/79/90/10/387799010.db2.gz NBZCFJOSTYTATQ-LBPRGKRZSA-N 0 3 240.297 2.520 20 0 BFADHN Cc1cccc(CCN2CC[C@@H](C(F)F)C2)n1 ZINC000645842376 387799046 /nfs/dbraw/zinc/79/90/46/387799046.db2.gz KXZHLUAPLBQHQX-LLVKDONJSA-N 0 3 240.297 2.520 20 0 BFADHN CSC1(CN[C@H](C)c2ccccn2)CC1 ZINC000193829727 387804467 /nfs/dbraw/zinc/80/44/67/387804467.db2.gz JQYRLYBPGUCPAP-SNVBAGLBSA-N 0 3 222.357 2.628 20 0 BFADHN COCC1(N[C@H](C)c2cccc(C)c2)CC1 ZINC000309486464 387805535 /nfs/dbraw/zinc/80/55/35/387805535.db2.gz VOWMRVKQRKKINF-GFCCVEGCSA-N 0 3 219.328 2.825 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H]1Cc2ccccc2NC1=O ZINC000527992198 387805874 /nfs/dbraw/zinc/80/58/74/387805874.db2.gz CAOGTFALJDUWIE-BXUZGUMPSA-N 0 3 246.354 2.574 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C)c1cccnc1 ZINC000528004584 387810125 /nfs/dbraw/zinc/81/01/25/387810125.db2.gz QYYUKWBPBVZGFV-HNRZYHPDSA-N 0 3 234.343 2.544 20 0 BFADHN C[C@@H](NCC1(CCO)CC1)c1ccccc1F ZINC000157514673 387810995 /nfs/dbraw/zinc/81/09/95/387810995.db2.gz DEQQCAQRCZFVIE-LLVKDONJSA-N 0 3 237.318 2.639 20 0 BFADHN Cn1cc(CN2CCC(C3CCCC3)CC2)cn1 ZINC000377906306 387757497 /nfs/dbraw/zinc/75/74/97/387757497.db2.gz JAMJSOQXRHOODF-UHFFFAOYSA-N 0 3 247.386 2.822 20 0 BFADHN C[C@H](NC[C@@H]1CCN1C1CCCC1)c1ccoc1 ZINC000528009248 387822984 /nfs/dbraw/zinc/82/29/84/387822984.db2.gz HOHZUSZGTVABDL-WFASDCNBSA-N 0 3 248.370 2.947 20 0 BFADHN CO[C@@H](C)CCNCc1c(F)cc(C)cc1F ZINC000424156752 387824556 /nfs/dbraw/zinc/82/45/56/387824556.db2.gz OTHLSBHIDFNYLN-JTQLQIEISA-N 0 3 243.297 2.788 20 0 BFADHN Cc1occc1CN[C@H](C)Cc1ccoc1 ZINC000648431672 387838292 /nfs/dbraw/zinc/83/82/92/387838292.db2.gz YUXCYISUWCDHLR-SNVBAGLBSA-N 0 3 219.284 2.902 20 0 BFADHN CCCCN(CC)C(=O)CN1CCCC[C@@H]1C ZINC000061758451 387845324 /nfs/dbraw/zinc/84/53/24/387845324.db2.gz RYOYFGIHHKRETA-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1cc(F)ccc1CN[C@H]1CCO[C@H]1C1CC1 ZINC000235753295 387856506 /nfs/dbraw/zinc/85/65/06/387856506.db2.gz QNARQBPQVXEBIW-GJZGRUSLSA-N 0 3 249.329 2.791 20 0 BFADHN CCC[C@@H](NCCC[C@H](C)O)c1ccccn1 ZINC000433099981 387863936 /nfs/dbraw/zinc/86/39/36/387863936.db2.gz LERGKIAHDDIELL-QWHCGFSZSA-N 0 3 236.359 2.673 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]2CO)c(Cl)c1 ZINC000235877301 387864504 /nfs/dbraw/zinc/86/45/04/387864504.db2.gz MZGGGVSFVVJLSD-GFCCVEGCSA-N 0 3 239.746 2.605 20 0 BFADHN Cc1cccc2nc(CNC3CC(C)(C)C3)cn21 ZINC000433124351 387867439 /nfs/dbraw/zinc/86/74/39/387867439.db2.gz BOCWAYQOSSFFNN-UHFFFAOYSA-N 0 3 243.354 2.921 20 0 BFADHN C[C@H](NCC1=CCCC1)c1cncc(F)c1 ZINC000645853169 387871151 /nfs/dbraw/zinc/87/11/51/387871151.db2.gz YUICLRKBXZWPRE-JTQLQIEISA-N 0 3 220.291 2.982 20 0 BFADHN C[C@@H](NCc1cc2ccccc2o1)[C@H](C)CO ZINC000134979979 387815668 /nfs/dbraw/zinc/81/56/68/387815668.db2.gz JIYIJTGSOKEEQC-GHMZBOCLSA-N 0 3 233.311 2.539 20 0 BFADHN CN(Cc1cccnc1)CC1(C)CCC1 ZINC000432783384 387817747 /nfs/dbraw/zinc/81/77/47/387817747.db2.gz ZIHKVNHGCCHCCF-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CCN(CCc1c(F)cccc1F)C1CC1 ZINC000645775501 387897520 /nfs/dbraw/zinc/89/75/20/387897520.db2.gz YNFRFLUAZQTXEN-UHFFFAOYSA-N 0 3 225.282 2.992 20 0 BFADHN CSC(C)(C)CN[C@H](C)c1cncs1 ZINC000154242495 387897791 /nfs/dbraw/zinc/89/77/91/387897791.db2.gz OVTRHVWMMXDDEC-MRVPVSSYSA-N 0 3 230.402 2.935 20 0 BFADHN CC(C)(C)c1ccc(CN[C@@H]2CCOC2)cc1 ZINC000076680243 387878334 /nfs/dbraw/zinc/87/83/34/387878334.db2.gz XJSMKDQQXHSKIH-CQSZACIVSA-N 0 3 233.355 2.863 20 0 BFADHN Cc1cccc(NC[C@H]2CCN2C2CCCC2)n1 ZINC000528212358 387879893 /nfs/dbraw/zinc/87/98/93/387879893.db2.gz WQJIAALVVNSSLT-CQSZACIVSA-N 0 3 245.370 2.819 20 0 BFADHN CCC[C@H](NC(=O)CN(C)C(C)C)C(C)(C)C ZINC000452657012 387883198 /nfs/dbraw/zinc/88/31/98/387883198.db2.gz BJSXHKKWRYCASB-LBPRGKRZSA-N 0 3 242.407 2.658 20 0 BFADHN CCC[C@@H](NC(=O)CN(C)CCC)C(C)(C)C ZINC000452664419 387885031 /nfs/dbraw/zinc/88/50/31/387885031.db2.gz TVWKFSYGLWTCFI-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN COCCCN(C)Cc1cc(C)sc1C ZINC000194574204 387886042 /nfs/dbraw/zinc/88/60/42/387886042.db2.gz MAAITWPJZDQZCO-UHFFFAOYSA-N 0 3 227.373 2.833 20 0 BFADHN Cc1cc(CN(C)CC2(C)COC2)ccc1F ZINC000444740019 387918547 /nfs/dbraw/zinc/91/85/47/387918547.db2.gz FFWQIVXRXOXBCW-UHFFFAOYSA-N 0 3 237.318 2.602 20 0 BFADHN CCC[C@@](C)(O)CN[C@@H]1CCCc2occc21 ZINC000219267041 387902038 /nfs/dbraw/zinc/90/20/38/387902038.db2.gz HEFGHDHZFZUKAQ-TZMCWYRMSA-N 0 3 237.343 2.798 20 0 BFADHN Cc1cc(CN(C)CCCF)ccc1F ZINC000444744614 387919298 /nfs/dbraw/zinc/91/92/98/387919298.db2.gz QAJIOVOGPKGGSK-UHFFFAOYSA-N 0 3 213.271 2.926 20 0 BFADHN C(=C\c1ccncc1)\CNCc1ccccc1 ZINC000486960814 387902463 /nfs/dbraw/zinc/90/24/63/387902463.db2.gz BHKALMBBFJKQED-DAXSKMNVSA-N 0 3 224.307 2.885 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cc(CO)ccc1F ZINC000528344408 387902663 /nfs/dbraw/zinc/90/26/63/387902663.db2.gz JEOKRCKXUJAWIW-SNVBAGLBSA-N 0 3 237.318 2.548 20 0 BFADHN c1cc([C@@H]2CCCN(CC3=CCCC3)C2)n[nH]1 ZINC000645778954 387904234 /nfs/dbraw/zinc/90/42/34/387904234.db2.gz VJTIUSABUPMKIX-CYBMUJFWSA-N 0 3 231.343 2.699 20 0 BFADHN C/C(Cl)=C/CN1CCN(C2CCCC2)CC1 ZINC000528350475 387905033 /nfs/dbraw/zinc/90/50/33/387905033.db2.gz RLBRHDNJFPVWSX-SDQBBNPISA-N 0 3 242.794 2.689 20 0 BFADHN c1ccc([C@H]2C[C@H]2CNCc2ccccn2)cc1 ZINC000236776206 387905708 /nfs/dbraw/zinc/90/57/08/387905708.db2.gz YQOIPSOQNVWPBH-GOEBONIOSA-N 0 3 238.334 2.975 20 0 BFADHN C[C@@H]1CN(CC2=CCCC2)CC(C)(C)O1 ZINC000645781556 387906107 /nfs/dbraw/zinc/90/61/07/387906107.db2.gz ZLXVTFXZCWATBW-LLVKDONJSA-N 0 3 209.333 2.596 20 0 BFADHN CC[C@@H]1CN(CC2CC(C)(C)C2)CCO1 ZINC000528347741 387906629 /nfs/dbraw/zinc/90/66/29/387906629.db2.gz COPMXXTWODAHQG-GFCCVEGCSA-N 0 3 211.349 2.533 20 0 BFADHN CN(CCC[C@@H]1CCOC1)Cc1ccoc1 ZINC000645781038 387906808 /nfs/dbraw/zinc/90/68/08/387906808.db2.gz SNWNOKXPTYNUAB-GFCCVEGCSA-N 0 3 223.316 2.528 20 0 BFADHN CC1(C)CC(CN2CCOCC2(C)C)C1 ZINC000528356149 387908526 /nfs/dbraw/zinc/90/85/26/387908526.db2.gz CNGFHHZRHGNZQJ-UHFFFAOYSA-N 0 3 211.349 2.533 20 0 BFADHN CCc1cc(NC(=O)[C@H](N)[C@@H](C)CC)ccc1C ZINC000236898057 387910146 /nfs/dbraw/zinc/91/01/46/387910146.db2.gz YZORNKIVRYEOBB-IINYFYTJSA-N 0 3 248.370 2.869 20 0 BFADHN CN(CCC(C)(C)O)Cc1cc(F)cc(F)c1 ZINC000444688644 387910960 /nfs/dbraw/zinc/91/09/60/387910960.db2.gz CSCJQGGYIJMURE-UHFFFAOYSA-N 0 3 243.297 2.558 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@H]2C2CCC2)s1 ZINC000424189405 387911964 /nfs/dbraw/zinc/91/19/64/387911964.db2.gz DLATZHVDFMDNMX-NWDGAFQWSA-N 0 3 222.357 2.730 20 0 BFADHN CCOCCCN(C)Cc1cc(F)cc(F)c1 ZINC000444702736 387913091 /nfs/dbraw/zinc/91/30/91/387913091.db2.gz JBBCYXOVDOKJPQ-UHFFFAOYSA-N 0 3 243.297 2.823 20 0 BFADHN CN(CC1=CCCC1)[C@H](CO)c1ccccc1 ZINC000645791315 387914680 /nfs/dbraw/zinc/91/46/80/387914680.db2.gz DTUCWERGYVUAGX-OAHLLOKOSA-N 0 3 231.339 2.762 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H]2C2CCC2)cc1O ZINC000424195741 387919644 /nfs/dbraw/zinc/91/96/44/387919644.db2.gz MNGKIQNYMYIJDD-QWHCGFSZSA-N 0 3 247.338 2.679 20 0 BFADHN COc1cc(CN(C)CC2=CCCC2)ccc1O ZINC000645793486 387917436 /nfs/dbraw/zinc/91/74/36/387917436.db2.gz PHANLYLHJQRSSB-UHFFFAOYSA-N 0 3 247.338 2.943 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@@]2(F)c2ccccc2)CCO1 ZINC000424209065 387930085 /nfs/dbraw/zinc/93/00/85/387930085.db2.gz YZOMSOFTAVCFFD-NMFUWQPSSA-N 0 3 249.329 2.781 20 0 BFADHN c1nc2c(s1)CN(C[C@H]1CC=CCC1)CC2 ZINC000438379484 387931256 /nfs/dbraw/zinc/93/12/56/387931256.db2.gz WGSJJXWAARRTFH-NSHDSACASA-N 0 3 234.368 2.858 20 0 BFADHN Cc1cccc(CN2C[C@H](C)O[C@@H](C)[C@@H]2C)c1 ZINC000438368413 387931464 /nfs/dbraw/zinc/93/14/64/387931464.db2.gz SPZDZIZECLYRIR-IHRRRGAJSA-N 0 3 233.355 2.993 20 0 BFADHN c1ccc2c(c1)CN(CCC[C@@H]1CCOC1)C2 ZINC000645800244 387935899 /nfs/dbraw/zinc/93/58/99/387935899.db2.gz XNGCFJBQQCISQQ-CYBMUJFWSA-N 0 3 231.339 2.819 20 0 BFADHN COc1ccc(CN2CCC[C@H](C(C)C)C2)cn1 ZINC000438323786 387922363 /nfs/dbraw/zinc/92/23/63/387922363.db2.gz JMEQPLNHVSSJMC-AWEZNQCLSA-N 0 3 248.370 2.958 20 0 BFADHN CCn1cc(CN2CCC[C@@H](C(C)C)C2)cn1 ZINC000438326197 387923562 /nfs/dbraw/zinc/92/35/62/387923562.db2.gz ONFKWTFSAKQXGJ-CQSZACIVSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@H]1C[C@H](C)CN(CCn2cc(Cl)cn2)C1 ZINC000459300917 387923804 /nfs/dbraw/zinc/92/38/04/387923804.db2.gz INXVYMWJRJAWLB-QWRGUYRKSA-N 0 3 241.766 2.514 20 0 BFADHN CC1(C)CCC[C@@](O)(CNCc2ccncc2)C1 ZINC000438347181 387925537 /nfs/dbraw/zinc/92/55/37/387925537.db2.gz NIVWNXYPPGNCPV-HNNXBMFYSA-N 0 3 248.370 2.503 20 0 BFADHN C[C@@H]1COCCN1CC[C@@H]1CCC[C@H](C)C1 ZINC000444774228 387927433 /nfs/dbraw/zinc/92/74/33/387927433.db2.gz HWPNCTSZRRCVIP-MJBXVCDLSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@H](NCc1cscn1)[C@@H]1C[C@@H]1C ZINC000321169603 387952680 /nfs/dbraw/zinc/95/26/80/387952680.db2.gz YGKCOSOALCIQHA-GDPRMGEGSA-N 0 3 210.346 2.667 20 0 BFADHN C[C@H]1C[C@H](NC[C@@H]2C[C@H]2C2CCC2)c2nccn21 ZINC000424296143 387956633 /nfs/dbraw/zinc/95/66/33/387956633.db2.gz QLBDVLHLOVONFZ-PYJNHQTQSA-N 0 3 245.370 2.915 20 0 BFADHN CC[C@H](NCc1nccs1)[C@@H]1C[C@@H]1C ZINC000321049522 387939410 /nfs/dbraw/zinc/93/94/10/387939410.db2.gz GNJLOFTZFZUDLX-AEJSXWLSSA-N 0 3 210.346 2.667 20 0 BFADHN Cc1ccc(CNCCOCC2CC2)cc1F ZINC000225192834 387940582 /nfs/dbraw/zinc/94/05/82/387940582.db2.gz PDTKLBFPBGAFGH-UHFFFAOYSA-N 0 3 237.318 2.650 20 0 BFADHN CCC[C@](C)(O)CNCc1ccc(F)c(F)c1 ZINC000226252574 387947968 /nfs/dbraw/zinc/94/79/68/387947968.db2.gz RESQKYXEBFGING-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN C[C@H](NCc1ncccc1C(F)(F)F)C1CC1 ZINC000648713391 387950914 /nfs/dbraw/zinc/95/09/14/387950914.db2.gz AYWXNTYEOKXIFU-QMMMGPOBSA-N 0 3 244.260 2.989 20 0 BFADHN CC[C@@H](COC)NCc1cc2ccccc2o1 ZINC000079756661 387958992 /nfs/dbraw/zinc/95/89/92/387958992.db2.gz WLKUUGDFDAPQKC-LBPRGKRZSA-N 0 3 233.311 2.947 20 0 BFADHN C[C@@H]1CCCN(Cc2cnn3ccccc23)[C@H]1C ZINC000245572487 387961362 /nfs/dbraw/zinc/96/13/62/387961362.db2.gz YLWCZSXNFHEURX-OLZOCXBDSA-N 0 3 243.354 2.955 20 0 BFADHN CCC1(C)CN(C[C@H](O)[C@H](C)c2ccccc2)C1 ZINC000453017251 387961854 /nfs/dbraw/zinc/96/18/54/387961854.db2.gz MXHDBBSDZSFAJP-HIFRSBDPSA-N 0 3 247.382 2.883 20 0 BFADHN CC[C@@H](NC[C@@H]1C[C@H]1C1CCC1)c1ccn(C)n1 ZINC000424303202 387962174 /nfs/dbraw/zinc/96/21/74/387962174.db2.gz ZEEAVOSLIKFYRT-MELADBBJSA-N 0 3 247.386 2.897 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2C[C@H]3OCCC[C@@H]23)c1 ZINC000438917827 387964627 /nfs/dbraw/zinc/96/46/27/387964627.db2.gz IQPHGOACWNMMAE-NWANDNLSSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3OCCC[C@H]3C2)o1 ZINC000245716044 387976867 /nfs/dbraw/zinc/97/68/67/387976867.db2.gz GXQHAKHKIAOVOS-JSGCOSHPSA-N 0 3 235.327 2.589 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H]1CC[C@@H]3CCC[C@@H]3C1)CC2 ZINC000453116525 387987583 /nfs/dbraw/zinc/98/75/83/387987583.db2.gz JEVBJJAWXPRNCK-REWJHTLYSA-N 0 3 245.370 2.886 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H]1CC[C@H]3CCC[C@@H]3C1)CC2 ZINC000453116523 387988159 /nfs/dbraw/zinc/98/81/59/387988159.db2.gz JEVBJJAWXPRNCK-AAVRWANBSA-N 0 3 245.370 2.886 20 0 BFADHN CCN(Cc1ccc(OC)c(F)c1)C1CC1 ZINC000045770841 387993730 /nfs/dbraw/zinc/99/37/30/387993730.db2.gz AMONSTGVTIRZHY-UHFFFAOYSA-N 0 3 223.291 2.819 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1ccncc1F ZINC000424341586 387982039 /nfs/dbraw/zinc/98/20/39/387982039.db2.gz DOINWFLXOJSICT-MMWGEVLESA-N 0 3 220.291 2.670 20 0 BFADHN C1=C(CN2CCC[C@@H]3COCC[C@@H]32)CCC1 ZINC000645814167 387985523 /nfs/dbraw/zinc/98/55/23/387985523.db2.gz YIRQZRXICSBIOA-KGLIPLIRSA-N 0 3 221.344 2.598 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC1(C(C)C)CC1 ZINC000453146834 387998239 /nfs/dbraw/zinc/99/82/39/387998239.db2.gz NDNXJSVUZQYWPL-GFCCVEGCSA-N 0 3 235.375 2.990 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)n2ccnc21)C1(C)CC1 ZINC000453163649 387999355 /nfs/dbraw/zinc/99/93/55/387999355.db2.gz OTDPBTJFRMNFNE-VWYCJHECSA-N 0 3 219.332 2.667 20 0 BFADHN CC[C@H]1CN(CCOCCC(C)C)CCCO1 ZINC000439483312 388002569 /nfs/dbraw/zinc/00/25/69/388002569.db2.gz IEAFPAWIPAHQHB-AWEZNQCLSA-N 0 3 243.391 2.550 20 0 BFADHN CC[C@@](C)(CO)NCc1cc2ccccc2o1 ZINC000080886650 388003881 /nfs/dbraw/zinc/00/38/81/388003881.db2.gz WOZFJDKTYYKEMW-AWEZNQCLSA-N 0 3 233.311 2.683 20 0 BFADHN CCc1ccc(CN[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)o1 ZINC000246053898 388003940 /nfs/dbraw/zinc/00/39/40/388003940.db2.gz VATFYVOPTBSIFW-BFHYXJOUSA-N 0 3 249.354 2.745 20 0 BFADHN C[C@H](NC[C@@H]1CCC(F)(F)C1)c1ccncn1 ZINC000453205953 388010053 /nfs/dbraw/zinc/01/00/53/388010053.db2.gz DJNYNUYHBSHFMP-VHSXEESVSA-N 0 3 241.285 2.563 20 0 BFADHN CCC[C@H](CN[C@@H](CC)c1ccncc1)OC ZINC000453249412 388024660 /nfs/dbraw/zinc/02/46/60/388024660.db2.gz MFLRYVSCHWEEEP-KGLIPLIRSA-N 0 3 236.359 2.937 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@H]1CCC[C@H]1C ZINC000453218297 388012513 /nfs/dbraw/zinc/01/25/13/388012513.db2.gz PSXINVMLZNLWIU-FRRDWIJNSA-N 0 3 235.375 2.990 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1CC[C@H](C)C1 ZINC000453223309 388014103 /nfs/dbraw/zinc/01/41/03/388014103.db2.gz QXCGGCBCYAURNU-RWMBFGLXSA-N 0 3 235.375 2.990 20 0 BFADHN C[C@@H](NCC1(C(F)(F)F)CCC1)c1cn[nH]c1 ZINC000453223680 388014829 /nfs/dbraw/zinc/01/48/29/388014829.db2.gz ZUAOHRUBXLORFR-MRVPVSSYSA-N 0 3 247.264 2.793 20 0 BFADHN CC[C@H](NCCCC(C)(F)F)c1ccn(C)n1 ZINC000453234352 388019180 /nfs/dbraw/zinc/01/91/80/388019180.db2.gz KRTJFTLLAUXWPW-JTQLQIEISA-N 0 3 245.317 2.896 20 0 BFADHN C[C@H](NC[C@H](N)c1ccccc1)c1ccco1 ZINC000423236508 388020450 /nfs/dbraw/zinc/02/04/50/388020450.db2.gz HJUQGJAPJBPHKK-AAEUAGOBSA-N 0 3 230.311 2.630 20 0 BFADHN CC[C@@H]1CCC[C@H]1CN[C@@H]1CCn2ccnc21 ZINC000453280176 388032473 /nfs/dbraw/zinc/03/24/73/388032473.db2.gz FZOGPVPVWFLQGU-FRRDWIJNSA-N 0 3 233.359 2.744 20 0 BFADHN C=Cn1cc(CN(C)C[C@H]2CC=CCC2)cn1 ZINC000446754755 388034851 /nfs/dbraw/zinc/03/48/51/388034851.db2.gz QQILVJJCTIQSOP-ZDUSSCGKSA-N 0 3 231.343 2.772 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1ccncc1F)OC ZINC000453290190 388035315 /nfs/dbraw/zinc/03/53/15/388035315.db2.gz AOZBXXVULYWHBX-GWCFXTLKSA-N 0 3 240.322 2.686 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1ccncc1F)OC ZINC000453290193 388035848 /nfs/dbraw/zinc/03/58/48/388035848.db2.gz AOZBXXVULYWHBX-GXFFZTMASA-N 0 3 240.322 2.686 20 0 BFADHN CCC[C@H](NCC1=CCOCC1)c1cccnc1 ZINC000453289791 388035887 /nfs/dbraw/zinc/03/58/87/388035887.db2.gz MSJYHXDMNYFFGP-HNNXBMFYSA-N 0 3 246.354 2.859 20 0 BFADHN CCc1cccnc1[C@@H](C)NCC1=CCOCC1 ZINC000453300024 388038445 /nfs/dbraw/zinc/03/84/45/388038445.db2.gz WTFMCOKNVNSUEK-GFCCVEGCSA-N 0 3 246.354 2.641 20 0 BFADHN CC(C)[C@@H](C)NC(=O)CN(C)[C@@H](C)C(C)(C)C ZINC000533249067 388038678 /nfs/dbraw/zinc/03/86/78/388038678.db2.gz JTMKNBVCDOAIQT-NEPJUHHUSA-N 0 3 242.407 2.514 20 0 BFADHN Cc1ccccc1CCCN1CCN(C)C[C@@H]1C ZINC000440320650 388038740 /nfs/dbraw/zinc/03/87/40/388038740.db2.gz ZBCUMJUGWWJGIM-HNNXBMFYSA-N 0 3 246.398 2.564 20 0 BFADHN Cc1ccccc1CCCN1C[C@H]2CC[C@@H](C1)O2 ZINC000440319689 388038844 /nfs/dbraw/zinc/03/88/44/388038844.db2.gz VILKGXAGQCWFRI-IYBDPMFKSA-N 0 3 245.366 2.791 20 0 BFADHN COc1cc(CN[C@]2(C)CCCOC2)ccc1C ZINC000446821420 388040275 /nfs/dbraw/zinc/04/02/75/388040275.db2.gz OOQHYFGOCWGLQP-OAHLLOKOSA-N 0 3 249.354 2.662 20 0 BFADHN CC(C)n1ccc(CN[C@H]2CCC[C@H]2C)n1 ZINC000082866435 388043024 /nfs/dbraw/zinc/04/30/24/388043024.db2.gz OJXMUGWGZZXUSY-YPMHNXCESA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@H]1CCC[C@@H](CN2CCOC[C@H]2C)C1 ZINC000446909986 388043204 /nfs/dbraw/zinc/04/32/04/388043204.db2.gz GBHCRPQATMICOC-HZSPNIEDSA-N 0 3 225.376 2.924 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CN1CCOC[C@H]1C ZINC000446894218 388043480 /nfs/dbraw/zinc/04/34/80/388043480.db2.gz YOFZRLBRRCIVHL-MCIONIFRSA-N 0 3 223.360 2.700 20 0 BFADHN CC[C@H](N[C@@H](C)c1sccc1OC)[C@H](C)O ZINC000453318358 388044701 /nfs/dbraw/zinc/04/47/01/388044701.db2.gz BGOSWJJAYQRVCS-GUBZILKMSA-N 0 3 243.372 2.567 20 0 BFADHN C[C@H](NCc1cccs1)[C@H](O)c1ccccc1 ZINC000082311264 388028038 /nfs/dbraw/zinc/02/80/38/388028038.db2.gz VUBUIPNYRJGLNP-FZMZJTMJSA-N 0 3 247.363 2.960 20 0 BFADHN C[C@H](CO[C@@H]1CCOC1)N[C@H](C)c1ccccc1 ZINC000246283435 388028051 /nfs/dbraw/zinc/02/80/51/388028051.db2.gz KERHDLLNXLRODN-UMVBOHGHSA-N 0 3 249.354 2.531 20 0 BFADHN CCn1nccc1CN1CC[C@@H](C2CCCC2)C1 ZINC000421392230 388029048 /nfs/dbraw/zinc/02/90/48/388029048.db2.gz QQFBAHLTDQXBER-CQSZACIVSA-N 0 3 247.386 2.915 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H]1CCC[C@H]1OC ZINC000453334637 388051180 /nfs/dbraw/zinc/05/11/80/388051180.db2.gz XISTWLTVXCAJRG-MRVWCRGKSA-N 0 3 248.370 2.862 20 0 BFADHN CC1(C)CC[C@H]1NCc1cnc(C2CC2)o1 ZINC000453338699 388052569 /nfs/dbraw/zinc/05/25/69/388052569.db2.gz CKCKOFILDCNZRW-LLVKDONJSA-N 0 3 220.316 2.830 20 0 BFADHN Cc1cccc(CCN2CCC(C)=C(C)C2)n1 ZINC000645896732 388053435 /nfs/dbraw/zinc/05/34/35/388053435.db2.gz UBINAHINMFNSFM-UHFFFAOYSA-N 0 3 230.355 2.975 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@H]1COCC1(C)C ZINC000453343851 388054288 /nfs/dbraw/zinc/05/42/88/388054288.db2.gz DIZRFTZVULRZNW-YPMHNXCESA-N 0 3 248.370 2.720 20 0 BFADHN CCC[C@@H](NCC1(CC)COC1)c1ccccn1 ZINC000453364615 388060174 /nfs/dbraw/zinc/06/01/74/388060174.db2.gz LKOMCBQKWWEVRS-CYBMUJFWSA-N 0 3 248.370 2.939 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H]2CCC[C@H]2O)o1 ZINC000453366234 388061266 /nfs/dbraw/zinc/06/12/66/388061266.db2.gz CYAINULBSSFWKI-NTZNESFSSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@H]1CC[C@@H](NCc2cc(C(F)(F)F)n[nH]2)C1 ZINC000440633419 388064014 /nfs/dbraw/zinc/06/40/14/388064014.db2.gz FFCKQFVRFLOMSP-JGVFFNPUSA-N 0 3 247.264 2.707 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@H](C)c1cnccc1C)C1CC1 ZINC000453377406 388064899 /nfs/dbraw/zinc/06/48/99/388064899.db2.gz QCKHHFJCGYKZJC-JMSVASOKSA-N 0 3 248.370 2.854 20 0 BFADHN Cc1ccc(C)c(NC(=O)[C@H]2CCCCCN2)c1 ZINC000083896334 388065055 /nfs/dbraw/zinc/06/50/55/388065055.db2.gz GZIWFKKZUVWJTQ-CYBMUJFWSA-N 0 3 246.354 2.774 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CCC[C@H]2O)c(C)o1 ZINC000453388742 388066950 /nfs/dbraw/zinc/06/69/50/388066950.db2.gz QWTMMIMCRPRNDL-SCDSUCTJSA-N 0 3 237.343 2.708 20 0 BFADHN CC[C@H](C)[C@](C)(O)CN[C@@H](C)c1cncs1 ZINC000163813710 388070602 /nfs/dbraw/zinc/07/06/02/388070602.db2.gz NFVSSQLFMWJRMU-JBLDHEPKSA-N 0 3 242.388 2.591 20 0 BFADHN CC[C@H](NC[C@@H]1CC[C@H](C)O1)c1ccncc1 ZINC000453398488 388072476 /nfs/dbraw/zinc/07/24/76/388072476.db2.gz ADNCDVSZKIIUSQ-UBHSHLNASA-N 0 3 234.343 2.690 20 0 BFADHN CCc1ncc(CN[C@H](CC)C2CC2)o1 ZINC000453400112 388072637 /nfs/dbraw/zinc/07/26/37/388072637.db2.gz YIWRVENXFQEXNV-LLVKDONJSA-N 0 3 208.305 2.515 20 0 BFADHN COC(=O)c1coc([C@@H](C)NCCC(C)C)c1 ZINC000447508447 388074247 /nfs/dbraw/zinc/07/42/47/388074247.db2.gz KHEYWQMOYGWYNB-SNVBAGLBSA-N 0 3 239.315 2.763 20 0 BFADHN CC[C@H](N[C@@H](CC)c1ccc(C)cc1)C(=O)NC ZINC000453408686 388076238 /nfs/dbraw/zinc/07/62/38/388076238.db2.gz QTAYYNHWERPFNR-KBPBESRZSA-N 0 3 248.370 2.560 20 0 BFADHN CC(C)[C@H](NC[C@@H]1CC[C@@H](C)O1)c1cccnc1 ZINC000453410658 388077574 /nfs/dbraw/zinc/07/75/74/388077574.db2.gz QDDMHEHIXWUUNE-SNPRPXQTSA-N 0 3 248.370 2.936 20 0 BFADHN Cc1cc([C@H](C)NCC[C@@H](O)C(C)C)c(C)o1 ZINC000447564574 388078208 /nfs/dbraw/zinc/07/82/08/388078208.db2.gz XVRWHAFLXCMOBA-SMDDNHRTSA-N 0 3 239.359 2.954 20 0 BFADHN COC(=O)c1coc([C@H](C)NCC2(C)CC2)c1 ZINC000447573384 388080240 /nfs/dbraw/zinc/08/02/40/388080240.db2.gz HPWALIOOOMNACH-VIFPVBQESA-N 0 3 237.299 2.517 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2cncs2)nc1 ZINC000453421111 388080598 /nfs/dbraw/zinc/08/05/98/388080598.db2.gz LCPRZMITWFABID-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1ccnn1C ZINC000447609545 388081722 /nfs/dbraw/zinc/08/17/22/388081722.db2.gz YFGFORZLEVDRHP-BDAKNGLRSA-N 0 3 249.280 2.802 20 0 BFADHN CCN(Cc1cccs1)[C@@H](C)COC ZINC000195006451 388081725 /nfs/dbraw/zinc/08/17/25/388081725.db2.gz UWPFXZOEMZQEHS-JTQLQIEISA-N 0 3 213.346 2.605 20 0 BFADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1ccnn1C ZINC000447609547 388081945 /nfs/dbraw/zinc/08/19/45/388081945.db2.gz YFGFORZLEVDRHP-IUCAKERBSA-N 0 3 249.280 2.802 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2nccn2CC)C1 ZINC000085064357 388082678 /nfs/dbraw/zinc/08/26/78/388082678.db2.gz APQZDQZHTVASRP-CHWSQXEVSA-N 0 3 235.375 2.961 20 0 BFADHN Cc1nc(CNCCC2CC(F)(F)C2)cs1 ZINC000453590439 388087679 /nfs/dbraw/zinc/08/76/79/388087679.db2.gz PIVORRBRLYWJHQ-UHFFFAOYSA-N 0 3 246.326 2.977 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1ccccn1 ZINC000453621727 388093164 /nfs/dbraw/zinc/09/31/64/388093164.db2.gz XUZCJLNOIDFWHC-KBPBESRZSA-N 0 3 218.344 2.996 20 0 BFADHN CCC1CN(Cc2ccc(OC)c(OC)c2C)C1 ZINC000453621217 388093178 /nfs/dbraw/zinc/09/31/78/388093178.db2.gz VTWZFIALCOHKCK-UHFFFAOYSA-N 0 3 249.354 2.854 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1cn(C)nc1C ZINC000453619006 388093247 /nfs/dbraw/zinc/09/32/47/388093247.db2.gz VYGDNBGSXRLYPM-UONOGXRCSA-N 0 3 235.375 2.643 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1c[nH]nc1C ZINC000453615376 388093341 /nfs/dbraw/zinc/09/33/41/388093341.db2.gz QKQLPWQVPCDRJT-OLZOCXBDSA-N 0 3 221.348 2.632 20 0 BFADHN COc1nccnc1CN[C@]1(C)CCCC[C@@H]1C ZINC000453619589 388093412 /nfs/dbraw/zinc/09/34/12/388093412.db2.gz IEASDXPLNQJAMB-SMDDNHRTSA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1ccccn1 ZINC000453621729 388093647 /nfs/dbraw/zinc/09/36/47/388093647.db2.gz XUZCJLNOIDFWHC-KGLIPLIRSA-N 0 3 218.344 2.996 20 0 BFADHN CCC(C)(C)OCCNC/C=C/c1ccncc1 ZINC000453618610 388093884 /nfs/dbraw/zinc/09/38/84/388093884.db2.gz SROGXZNCAPWJHY-AATRIKPKSA-N 0 3 248.370 2.890 20 0 BFADHN CCCCCN1CCO[C@@H](C(C)(C)C)C1 ZINC000447826721 388095747 /nfs/dbraw/zinc/09/57/47/388095747.db2.gz LMPOPTQKCMWLJC-GFCCVEGCSA-N 0 3 213.365 2.924 20 0 BFADHN CC(C)(C)[C@@H]1CN(CC2CCC2)CCO1 ZINC000447841093 388096120 /nfs/dbraw/zinc/09/61/20/388096120.db2.gz WKFORVQQWOSVPS-LBPRGKRZSA-N 0 3 211.349 2.533 20 0 BFADHN CC(C)(C)[C@@H]1CN(CCc2ccccc2)CCO1 ZINC000447833639 388096311 /nfs/dbraw/zinc/09/63/11/388096311.db2.gz IXCSIHPWIMSNGI-HNNXBMFYSA-N 0 3 247.382 2.976 20 0 BFADHN CS[C@@H]1CCC[C@H](NCc2ccns2)C1 ZINC000404471510 388097480 /nfs/dbraw/zinc/09/74/80/388097480.db2.gz LWZVCWBXRPDLAJ-VHSXEESVSA-N 0 3 242.413 2.907 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1nc(-c2ccccc2)c[nH]1 ZINC000447847469 388097529 /nfs/dbraw/zinc/09/75/29/388097529.db2.gz FKWVAXAIUSBMHJ-DGCLKSJQSA-N 0 3 241.338 2.822 20 0 BFADHN C[C@@H](N[C@@H]1CCCC1(C)C)c1nccn1C ZINC000086873062 388109371 /nfs/dbraw/zinc/10/93/71/388109371.db2.gz VNGJENXSEHCIKO-GHMZBOCLSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1ccc(CN[C@H]2CS[C@H](C)C2)o1 ZINC000086935836 388111373 /nfs/dbraw/zinc/11/13/73/388111373.db2.gz IORXTXJNYXHFRQ-NXEZZACHSA-N 0 3 211.330 2.572 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@H]1C1CC1 ZINC000453324723 388046817 /nfs/dbraw/zinc/04/68/17/388046817.db2.gz QUXYWKRAHWDCEH-QWHCGFSZSA-N 0 3 233.359 2.571 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H](C)c1cncc(C)c1 ZINC000453333808 388049028 /nfs/dbraw/zinc/04/90/28/388049028.db2.gz WGVIUXSYMWJUCJ-IACUBPJLSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@H](NCc1ccn(C)n1)[C@@H]1CCCC[C@H]1C ZINC000449203142 388156846 /nfs/dbraw/zinc/15/68/46/388156846.db2.gz GZKZIVYBDVGMFS-MBNYWOFBSA-N 0 3 235.375 2.725 20 0 BFADHN COCC1CCC(N[C@H](C)c2ccon2)CC1 ZINC000449234654 388160496 /nfs/dbraw/zinc/16/04/96/388160496.db2.gz CKWMHWCXLIIZSS-VOMCLLRMSA-N 0 3 238.331 2.530 20 0 BFADHN CC[C@@H](CO)N(C)Cc1cc(C)cc(Cl)c1 ZINC000449378531 388187716 /nfs/dbraw/zinc/18/77/16/388187716.db2.gz XKQYBNIYSNLOTD-ZDUSSCGKSA-N 0 3 241.762 2.851 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@@H](CCO)c1ccco1 ZINC000449250257 388162565 /nfs/dbraw/zinc/16/25/65/388162565.db2.gz NLYIPNGNCDUOSZ-YPMHNXCESA-N 0 3 249.310 2.517 20 0 BFADHN C[C@H](NC1CCSCC1)c1nccs1 ZINC000070650458 388162853 /nfs/dbraw/zinc/16/28/53/388162853.db2.gz JJXHBFJNTQIFOG-QMMMGPOBSA-N 0 3 228.386 2.689 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cccc(C)c1F ZINC000449272341 388165178 /nfs/dbraw/zinc/16/51/78/388165178.db2.gz VVLIATFUBAMTLI-QWRGUYRKSA-N 0 3 225.307 2.647 20 0 BFADHN CCn1ccnc1CN(CC(C)C)C(C)C ZINC000195514501 388165834 /nfs/dbraw/zinc/16/58/34/388165834.db2.gz WMLUEYKMCCCPDB-UHFFFAOYSA-N 0 3 223.364 2.769 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cccc(C)c1F ZINC000449272342 388165903 /nfs/dbraw/zinc/16/59/03/388165903.db2.gz VVLIATFUBAMTLI-WDEREUQCSA-N 0 3 225.307 2.647 20 0 BFADHN C1=C(CN2CCC(c3ccncn3)CC2)CCC1 ZINC000645928298 388166408 /nfs/dbraw/zinc/16/64/08/388166408.db2.gz NFHTUTNXFMCSEL-UHFFFAOYSA-N 0 3 243.354 2.766 20 0 BFADHN COCC1(NCc2ccc(C)cc2OC)CCC1 ZINC000449314295 388171354 /nfs/dbraw/zinc/17/13/54/388171354.db2.gz ZTWGVFYXWBOURK-UHFFFAOYSA-N 0 3 249.354 2.662 20 0 BFADHN CC[C@@H](NCc1ncccc1N(C)C)C1CCC1 ZINC000449332815 388174102 /nfs/dbraw/zinc/17/41/02/388174102.db2.gz DYFWMRDESBQVDP-CYBMUJFWSA-N 0 3 247.386 2.816 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc(C)cc1OC ZINC000449339937 388174863 /nfs/dbraw/zinc/17/48/63/388174863.db2.gz LUPGCBINLDBBIH-CYBMUJFWSA-N 0 3 219.328 2.988 20 0 BFADHN COCC(C)(C)N(C)Cc1cc2cccnc2o1 ZINC000449337084 388175137 /nfs/dbraw/zinc/17/51/37/388175137.db2.gz QOYZCNGHLGZXPK-UHFFFAOYSA-N 0 3 248.326 2.685 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)[NH2+]Cc1nnc(CC)[n-]1 ZINC000449348713 388178930 /nfs/dbraw/zinc/17/89/30/388178930.db2.gz NRGMQSXHUHUZBT-GHMZBOCLSA-N 0 3 238.379 2.672 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)NCc1nnc(CC)[nH]1 ZINC000449348713 388178933 /nfs/dbraw/zinc/17/89/33/388178933.db2.gz NRGMQSXHUHUZBT-GHMZBOCLSA-N 0 3 238.379 2.672 20 0 BFADHN CCc1nnc(CN[C@H](C)CCCC(C)C)[nH]1 ZINC000449348389 388179070 /nfs/dbraw/zinc/17/90/70/388179070.db2.gz UMYOMEIPWOWWKD-LLVKDONJSA-N 0 3 238.379 2.672 20 0 BFADHN CCc1nnc(C[NH2+][C@H](C)CCCC(C)C)[n-]1 ZINC000449348389 388179071 /nfs/dbraw/zinc/17/90/71/388179071.db2.gz UMYOMEIPWOWWKD-LLVKDONJSA-N 0 3 238.379 2.672 20 0 BFADHN COC1(CN2CCC[C@@](C)(F)C2)CCCC1 ZINC000449348453 388179340 /nfs/dbraw/zinc/17/93/40/388179340.db2.gz GMGLHCSTFHSBQN-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN CC(C)N(C[C@H]1C[C@@H]1c1ccccc1)C1COC1 ZINC000449352648 388179581 /nfs/dbraw/zinc/17/95/81/388179581.db2.gz XJIAOIFMAVMPIY-GDBMZVCRSA-N 0 3 245.366 2.899 20 0 BFADHN CC(F)(F)CCNCc1cccc2c[nH]nc21 ZINC000449354884 388180846 /nfs/dbraw/zinc/18/08/46/388180846.db2.gz SJIDBKRXJOHGMV-UHFFFAOYSA-N 0 3 239.269 2.698 20 0 BFADHN COCC[C@H](NC[C@@H]1C[C@H]1C)c1ccc(C)o1 ZINC000488519564 388181921 /nfs/dbraw/zinc/18/19/21/388181921.db2.gz GSZMFESBPMTIFD-WXHSDQCUSA-N 0 3 237.343 2.911 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2cccc(C)n2)C1(C)C ZINC000162484720 388184384 /nfs/dbraw/zinc/18/43/84/388184384.db2.gz DBKCVWNMGDMEID-UKRRQHHQSA-N 0 3 248.370 2.683 20 0 BFADHN c1cc(CNCC[C@@H]2CCc3ccccc32)n[nH]1 ZINC000449374005 388184911 /nfs/dbraw/zinc/18/49/11/388184911.db2.gz DOIQSUGUBRNIDU-ZDUSSCGKSA-N 0 3 241.338 2.619 20 0 BFADHN c1c[nH]c(CNCC[C@@H]2CCc3ccccc32)n1 ZINC000449373231 388185414 /nfs/dbraw/zinc/18/54/14/388185414.db2.gz BIMPZAXXDXNECS-ZDUSSCGKSA-N 0 3 241.338 2.619 20 0 BFADHN CCCC(CCC)NCc1ccnc(OC)n1 ZINC000449374803 388185745 /nfs/dbraw/zinc/18/57/45/388185745.db2.gz GKLGLZVTONACNL-UHFFFAOYSA-N 0 3 237.347 2.544 20 0 BFADHN COc1cc(F)cc(CNC2CC(C)(F)C2)c1 ZINC000449375064 388185793 /nfs/dbraw/zinc/18/57/93/388185793.db2.gz DWPOJUGNAPYPLN-UHFFFAOYSA-N 0 3 241.281 2.815 20 0 BFADHN CO[C@H](C)CNC1(c2cccc(F)c2)CCC1 ZINC000646002021 388123266 /nfs/dbraw/zinc/12/32/66/388123266.db2.gz HFCUOFTXAQWPIO-LLVKDONJSA-N 0 3 237.318 2.829 20 0 BFADHN COCCNC1(c2cccc(C)c2)CCC1 ZINC000646021341 388125388 /nfs/dbraw/zinc/12/53/88/388125388.db2.gz WZSOYULLLBAWER-UHFFFAOYSA-N 0 3 219.328 2.610 20 0 BFADHN COc1ccnc(CN(C)CCC(C)(C)C)c1 ZINC000646027965 388128538 /nfs/dbraw/zinc/12/85/38/388128538.db2.gz UAQFHJGRGHNQPY-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CC(C)N(Cc1ccncc1F)C1CCC1 ZINC000449024901 388131467 /nfs/dbraw/zinc/13/14/67/388131467.db2.gz ADTFVOBTTMGUER-UHFFFAOYSA-N 0 3 222.307 2.984 20 0 BFADHN CC(C)CCN(C)Cc1cnn(CC(F)F)c1 ZINC000646053079 388133020 /nfs/dbraw/zinc/13/30/20/388133020.db2.gz FWDVLOZPSOQPAH-UHFFFAOYSA-N 0 3 245.317 2.626 20 0 BFADHN Cc1sccc1CN1CCC[C@@H](CCO)C1 ZINC000646074898 388137679 /nfs/dbraw/zinc/13/76/79/388137679.db2.gz HZEIJXCWYZIFOU-LBPRGKRZSA-N 0 3 239.384 2.651 20 0 BFADHN CCc1nocc1CN1CCC[C@@H]1C1CC1 ZINC000646073990 388137842 /nfs/dbraw/zinc/13/78/42/388137842.db2.gz BDVZTWYKMAPVRG-CYBMUJFWSA-N 0 3 220.316 2.611 20 0 BFADHN COCCNCc1cc2ccccc2cc1OC ZINC000449064256 388138484 /nfs/dbraw/zinc/13/84/84/388138484.db2.gz OTGXMSHLUVUFGS-UHFFFAOYSA-N 0 3 245.322 2.584 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)Cc1ccoc1 ZINC000449099739 388142202 /nfs/dbraw/zinc/14/22/02/388142202.db2.gz UOWJMUDSDLAHQW-VIFPVBQESA-N 0 3 234.299 2.605 20 0 BFADHN CC(C)[C@H]1CN(Cc2ccsc2)CCN1C ZINC000488558196 388186788 /nfs/dbraw/zinc/18/67/88/388186788.db2.gz MJBXLRSLZVDNFQ-CYBMUJFWSA-N 0 3 238.400 2.520 20 0 BFADHN CC(C)[C@@H]1CN(Cc2ccsc2)CCN1C ZINC000488558207 388186806 /nfs/dbraw/zinc/18/68/06/388186806.db2.gz MJBXLRSLZVDNFQ-ZDUSSCGKSA-N 0 3 238.400 2.520 20 0 BFADHN CCC[C@](C)(CO)NCc1cccc(C)c1F ZINC000449143264 388148227 /nfs/dbraw/zinc/14/82/27/388148227.db2.gz PRGGKOHNYNCJBN-CQSZACIVSA-N 0 3 239.334 2.775 20 0 BFADHN Cc1ccc(C2(NCc3c[nH]cn3)CCC2)cc1 ZINC000646130965 388150123 /nfs/dbraw/zinc/15/01/23/388150123.db2.gz VOTKJIZLPAFCKZ-UHFFFAOYSA-N 0 3 241.338 2.887 20 0 BFADHN Cc1ccc(C2(NCc3cnc[nH]3)CCC2)cc1 ZINC000646130965 388150125 /nfs/dbraw/zinc/15/01/25/388150125.db2.gz VOTKJIZLPAFCKZ-UHFFFAOYSA-N 0 3 241.338 2.887 20 0 BFADHN COc1cc(C)ccc1CNCCCSC ZINC000449163249 388150859 /nfs/dbraw/zinc/15/08/59/388150859.db2.gz VSLMHVKNFPOETP-UHFFFAOYSA-N 0 3 239.384 2.846 20 0 BFADHN CCc1ccc(CNC2CC(C)(F)C2)cn1 ZINC000449376940 388187208 /nfs/dbraw/zinc/18/72/08/388187208.db2.gz QGEMHNXHLFHZPZ-UHFFFAOYSA-N 0 3 222.307 2.624 20 0 BFADHN Cc1ccc(F)c(CNC[C@@H]2C[C@@H]2C)c1 ZINC000164108356 388153452 /nfs/dbraw/zinc/15/34/52/388153452.db2.gz KZZKHCBXWUFEEK-QWRGUYRKSA-N 0 3 207.292 2.880 20 0 BFADHN CCc1nc(CN2CCCC[C@@H]2C2CCC2)n[nH]1 ZINC000646140118 388154452 /nfs/dbraw/zinc/15/44/52/388154452.db2.gz UXVFUGWGURWLMS-GFCCVEGCSA-N 0 3 248.374 2.522 20 0 BFADHN Cn1ccc(CN2CCCC[C@@H]2C2CCC2)n1 ZINC000646139356 388154645 /nfs/dbraw/zinc/15/46/45/388154645.db2.gz PLKHCBOSARJDSH-CQSZACIVSA-N 0 3 233.359 2.575 20 0 BFADHN C[C@@H](Cc1ccoc1)N[C@@H]1CCCc2c[nH]nc21 ZINC000449188430 388155009 /nfs/dbraw/zinc/15/50/09/388155009.db2.gz LSKAYXPQHMHNHI-GXFFZTMASA-N 0 3 245.326 2.601 20 0 BFADHN COc1cc([C@@H](C)NCC2=CCCC2)ccn1 ZINC000645916201 388252790 /nfs/dbraw/zinc/25/27/90/388252790.db2.gz OMJNEZXWFBBBNX-LLVKDONJSA-N 0 3 232.327 2.851 20 0 BFADHN CCC[C@H](N)C(=O)N1CCCC[C@@H]1[C@H](C)CC ZINC000490820955 388255747 /nfs/dbraw/zinc/25/57/47/388255747.db2.gz CAJFQBGVXHLEMQ-FRRDWIJNSA-N 0 3 240.391 2.541 20 0 BFADHN CO[C@H](C)CNCc1ccc(F)c(Cl)c1 ZINC000167064507 388255933 /nfs/dbraw/zinc/25/59/33/388255933.db2.gz LAYHVRMRGXZZRQ-MRVPVSSYSA-N 0 3 231.698 2.604 20 0 BFADHN C[C@@H]1CN(CCC[C@H]2CCOC2)CCC1(F)F ZINC000645918601 388257742 /nfs/dbraw/zinc/25/77/42/388257742.db2.gz YLCOWGDZRZPCHK-NEPJUHHUSA-N 0 3 247.329 2.780 20 0 BFADHN C[C@H](c1ccccn1)N(C)C[C@@H]1C[C@H]1C ZINC000488593672 388190125 /nfs/dbraw/zinc/19/01/25/388190125.db2.gz UBXORVOTMADTDH-UTUOFQBUSA-N 0 3 204.317 2.730 20 0 BFADHN C[C@H]1C[C@H]1CN1CCc2cc(F)ccc2C1 ZINC000488585335 388190298 /nfs/dbraw/zinc/19/02/98/388190298.db2.gz TZFNASGSCXRZCR-GWCFXTLKSA-N 0 3 219.303 2.840 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CCC(C)(C)C2)[n-]1 ZINC000449404202 388195136 /nfs/dbraw/zinc/19/51/36/388195136.db2.gz ATIDQUVNTAAKGZ-SNVBAGLBSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCC(C)(C)C2)[nH]1 ZINC000449404202 388195138 /nfs/dbraw/zinc/19/51/38/388195138.db2.gz ATIDQUVNTAAKGZ-SNVBAGLBSA-N 0 3 236.363 2.596 20 0 BFADHN CCCC[C@H](C)NCc1nnc(C(C)C)[nH]1 ZINC000449405170 388196227 /nfs/dbraw/zinc/19/62/27/388196227.db2.gz IUFYIXKHCLUVGH-JTQLQIEISA-N 0 3 224.352 2.596 20 0 BFADHN CCCC[C@H](C)[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000449405170 388196230 /nfs/dbraw/zinc/19/62/30/388196230.db2.gz IUFYIXKHCLUVGH-JTQLQIEISA-N 0 3 224.352 2.596 20 0 BFADHN CC(C)c1nnc(C[NH2+]C2CCC(C)CC2)[n-]1 ZINC000449406306 388196857 /nfs/dbraw/zinc/19/68/57/388196857.db2.gz WQUORVGXDVXFEY-UHFFFAOYSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CNC2CCC(C)CC2)[nH]1 ZINC000449406306 388196860 /nfs/dbraw/zinc/19/68/60/388196860.db2.gz WQUORVGXDVXFEY-UHFFFAOYSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1ccc(CNC2(CC)CC2)cn1 ZINC000449413507 388197954 /nfs/dbraw/zinc/19/79/54/388197954.db2.gz JCFXTIGMWALFGK-UHFFFAOYSA-N 0 3 204.317 2.676 20 0 BFADHN CCC1(NCc2ccc(-c3cc[nH]n3)o2)CC1 ZINC000449417447 388199982 /nfs/dbraw/zinc/19/99/82/388199982.db2.gz YBFGGOVQIVYTRI-UHFFFAOYSA-N 0 3 231.299 2.702 20 0 BFADHN COc1ncccc1CN(C)[C@@H]1CC[C@H](C)C1 ZINC000488985404 388200944 /nfs/dbraw/zinc/20/09/44/388200944.db2.gz ULZHGYJWIGRNFQ-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN CCOC[C@H](C)N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000449427336 388202692 /nfs/dbraw/zinc/20/26/92/388202692.db2.gz RXSRXEWBRFXUOW-OUCADQQQSA-N 0 3 249.354 2.913 20 0 BFADHN COc1cc(C)ccc1CN1CC[C@@H]1C1CC1 ZINC000449430782 388203507 /nfs/dbraw/zinc/20/35/07/388203507.db2.gz YFLFHWODXXMKHJ-CQSZACIVSA-N 0 3 231.339 2.988 20 0 BFADHN CCN(C[C@@H](C)c1ccccc1)C1COC1 ZINC000449429130 388203947 /nfs/dbraw/zinc/20/39/47/388203947.db2.gz WVAMBLMNQMHTOJ-GFCCVEGCSA-N 0 3 219.328 2.511 20 0 BFADHN CC(C)C[C@]1(C)CCCN1Cc1cc[nH]n1 ZINC000449433766 388205561 /nfs/dbraw/zinc/20/55/61/388205561.db2.gz NSTOFJGNHNSPIP-ZDUSSCGKSA-N 0 3 221.348 2.810 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1n[nH]cc1C ZINC000449435148 388205853 /nfs/dbraw/zinc/20/58/53/388205853.db2.gz VDDXWZRWIMIKFT-NWDGAFQWSA-N 0 3 221.348 2.634 20 0 BFADHN FCC1(NC/C=C\c2ccccc2)CCOCC1 ZINC000449445301 388207591 /nfs/dbraw/zinc/20/75/91/388207591.db2.gz HWJKVIGHDSFRML-DAXSKMNVSA-N 0 3 249.329 2.808 20 0 BFADHN C[C@@]12CN(Cc3nccs3)C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000449451680 388209137 /nfs/dbraw/zinc/20/91/37/388209137.db2.gz RZARZCZATFGKLD-CZXHOFHRSA-N 0 3 246.379 2.787 20 0 BFADHN CCC=CCNCc1cc(-c2cccnc2)n[nH]1 ZINC000449456273 388209912 /nfs/dbraw/zinc/20/99/12/388209912.db2.gz MGZNPYZMGNJCAX-ONEGZZNKSA-N 0 3 242.326 2.528 20 0 BFADHN CC/C=C\CN[C@@H](COC)Cc1ccccc1 ZINC000449454387 388210201 /nfs/dbraw/zinc/21/02/01/388210201.db2.gz JRKTZKNSHUBBRV-UFRMUOTOSA-N 0 3 233.355 2.800 20 0 BFADHN CCC[C@H](NCc1ccn(C)n1)C(C)(C)C ZINC000449465427 388211491 /nfs/dbraw/zinc/21/14/91/388211491.db2.gz CZIMXQGGDFNLNF-LBPRGKRZSA-N 0 3 223.364 2.725 20 0 BFADHN CC/C=C\CNCc1cccc2c[nH]nc21 ZINC000449463707 388211915 /nfs/dbraw/zinc/21/19/15/388211915.db2.gz XIPLFDOHGVNLIG-ARJAWSKDSA-N 0 3 215.300 2.619 20 0 BFADHN CCC[C@@H](NCc1cc[nH]n1)C(C)(C)C ZINC000449473055 388213708 /nfs/dbraw/zinc/21/37/08/388213708.db2.gz QNVDSGCJTYEMBO-LLVKDONJSA-N 0 3 209.337 2.714 20 0 BFADHN CCC1CC(NCc2scnc2C)C1 ZINC000336662178 388259304 /nfs/dbraw/zinc/25/93/04/388259304.db2.gz SXFGNZPPVUNSLS-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN COc1ccc(CN(C)[C@H]2CC2(C)C)cc1 ZINC000489452623 388214426 /nfs/dbraw/zinc/21/44/26/388214426.db2.gz VPGANFYVAGIKLY-ZDUSSCGKSA-N 0 3 219.328 2.926 20 0 BFADHN CN(CCOc1ccccc1F)[C@@H]1CC1(C)C ZINC000489448860 388215007 /nfs/dbraw/zinc/21/50/07/388215007.db2.gz LDMYFPYTXDNBLC-CYBMUJFWSA-N 0 3 237.318 2.935 20 0 BFADHN COC(=O)c1ccc(CN(C)[C@@H]2CC2(C)C)cc1 ZINC000489469247 388217623 /nfs/dbraw/zinc/21/76/23/388217623.db2.gz ZOPXBILCTFPFHJ-CYBMUJFWSA-N 0 3 247.338 2.704 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccc(C)o2)C[C@H]1C ZINC000449494147 388218073 /nfs/dbraw/zinc/21/80/73/388218073.db2.gz MVNUNLJDEVYVNH-BXUZGUMPSA-N 0 3 237.343 2.835 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(C)o2)C[C@H]1C ZINC000449494149 388218151 /nfs/dbraw/zinc/21/81/51/388218151.db2.gz MVNUNLJDEVYVNH-RISCZKNCSA-N 0 3 237.343 2.835 20 0 BFADHN COc1ccc(CN2CC[C@H](OC)[C@H](C)C2)cc1 ZINC000449496419 388218744 /nfs/dbraw/zinc/21/87/44/388218744.db2.gz IZTCYMKHQSYIHZ-DOMZBBRYSA-N 0 3 249.354 2.552 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC)[C@H](C)C2)cn1 ZINC000449505748 388220663 /nfs/dbraw/zinc/22/06/63/388220663.db2.gz RSVCADNMGWEHOF-IUODEOHRSA-N 0 3 248.370 2.501 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1CCC[C@H]1OC ZINC000449524585 388224090 /nfs/dbraw/zinc/22/40/90/388224090.db2.gz RLLTZICSGUAJDP-ZIAGYGMSSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@H](N[C@H]1COc2ccccc2C1)c1ccco1 ZINC000164330312 388224680 /nfs/dbraw/zinc/22/46/80/388224680.db2.gz YODIOHPCZPRBAH-WCQYABFASA-N 0 3 243.306 2.934 20 0 BFADHN CCC1(NCc2nccnc2OC)CCCCC1 ZINC000449531264 388225365 /nfs/dbraw/zinc/22/53/65/388225365.db2.gz GDFFBMHRWHGPHP-UHFFFAOYSA-N 0 3 249.358 2.688 20 0 BFADHN c1cncc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)c1 ZINC000449532202 388225691 /nfs/dbraw/zinc/22/56/91/388225691.db2.gz DBSKSSWKFCFQLD-GJZGRUSLSA-N 0 3 228.339 2.702 20 0 BFADHN CCc1cccc(F)c1CN[C@H](CC)[C@@H](C)O ZINC000449543475 388228535 /nfs/dbraw/zinc/22/85/35/388228535.db2.gz OKTFHVLCBLJHGE-QMTHXVAHSA-N 0 3 239.334 2.637 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cnn(C)c2)[C@H]1C(C)C ZINC000449553646 388230301 /nfs/dbraw/zinc/23/03/01/388230301.db2.gz MJHOFUDKYWAQBZ-KBPBESRZSA-N 0 3 235.375 2.533 20 0 BFADHN CC(C)[C@H]1CN(Cc2cncnc2)[C@H]1C(C)C ZINC000449551576 388230935 /nfs/dbraw/zinc/23/09/35/388230935.db2.gz GWRSOQPPDFOMPD-KGLIPLIRSA-N 0 3 233.359 2.589 20 0 BFADHN Cc1ccoc1CN1CC[C@@H]2C[C@H](O)CC[C@H]2C1 ZINC000449553635 388230944 /nfs/dbraw/zinc/23/09/44/388230944.db2.gz MHUYNKOLEDVRIE-HZSPNIEDSA-N 0 3 249.354 2.571 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CC[C@H](C)C1 ZINC000449562416 388232459 /nfs/dbraw/zinc/23/24/59/388232459.db2.gz KKSFQEWYFWASKT-SRVKXCTJSA-N 0 3 221.348 2.742 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H](C)C1CCC1 ZINC000449561189 388233169 /nfs/dbraw/zinc/23/31/69/388233169.db2.gz BKOLHIPMTIOUBQ-GHMZBOCLSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@H]1CN(CC)CCN1CCc1ccccc1 ZINC000449566010 388233762 /nfs/dbraw/zinc/23/37/62/388233762.db2.gz MMRCSGFJNXPKBP-INIZCTEOSA-N 0 3 246.398 2.645 20 0 BFADHN CCn1ccnc1[C@H](C)NCCCC(C)C ZINC000449563286 388234027 /nfs/dbraw/zinc/23/40/27/388234027.db2.gz UBJQOVXGYPTGSD-LBPRGKRZSA-N 0 3 223.364 2.990 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](C)c1nccn1CC ZINC000449567885 388235029 /nfs/dbraw/zinc/23/50/29/388235029.db2.gz ZEBSBEIWXQUYJR-UTUOFQBUSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000449570459 388235766 /nfs/dbraw/zinc/23/57/66/388235766.db2.gz JOXINJPBRXHQRC-WISYIIOYSA-N 0 3 219.332 2.667 20 0 BFADHN CC(=O)c1ccc(CSCCN(C)C)cc1 ZINC000450872127 388260181 /nfs/dbraw/zinc/26/01/81/388260181.db2.gz ROTPWFZUCJRQPD-UHFFFAOYSA-N 0 3 237.368 2.684 20 0 BFADHN CCc1ncc(CN[C@H](CC)C(C)C)o1 ZINC000449607824 388241765 /nfs/dbraw/zinc/24/17/65/388241765.db2.gz CKIALQKQZHKHKC-LLVKDONJSA-N 0 3 210.321 2.761 20 0 BFADHN CCCc1ncc(CN[C@H](C)CCC)o1 ZINC000449612433 388241908 /nfs/dbraw/zinc/24/19/08/388241908.db2.gz MEDWVWPQAJVYAN-SNVBAGLBSA-N 0 3 210.321 2.905 20 0 BFADHN CCCc1ncc(CN[C@@H](C)Cc2ccoc2)o1 ZINC000449612467 388243139 /nfs/dbraw/zinc/24/31/39/388243139.db2.gz MHWJCGGPXAYUJT-NSHDSACASA-N 0 3 248.326 2.941 20 0 BFADHN CCc1ncc(CNC2CC(CC)C2)o1 ZINC000449611678 388243159 /nfs/dbraw/zinc/24/31/59/388243159.db2.gz XKYSYPFHLCGPOP-UHFFFAOYSA-N 0 3 208.305 2.515 20 0 BFADHN CCCc1ncc(CNC2CCSCC2)o1 ZINC000449613131 388243194 /nfs/dbraw/zinc/24/31/94/388243194.db2.gz QXYGEHSEMXRVDW-UHFFFAOYSA-N 0 3 240.372 2.612 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@H](C)C2)o1 ZINC000449611940 388243714 /nfs/dbraw/zinc/24/37/14/388243714.db2.gz ZSDUSEUTGPNDSQ-VHSXEESVSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@H](C)C2)o1 ZINC000449611939 388243873 /nfs/dbraw/zinc/24/38/73/388243873.db2.gz ZSDUSEUTGPNDSQ-UWVGGRQHSA-N 0 3 208.305 2.515 20 0 BFADHN CSC1(CNCc2ccc3c(n2)CCC3)CC1 ZINC000518482938 256576936 /nfs/dbraw/zinc/57/69/36/256576936.db2.gz SOBBYSQEUROKKL-UHFFFAOYSA-N 0 3 248.395 2.556 20 0 BFADHN CC1(C)COC[C@H]1NC/C=C\c1ccc(F)cc1 ZINC000449622728 388244327 /nfs/dbraw/zinc/24/43/27/388244327.db2.gz FHBJMVXIZCVMBN-VQTKUKTRSA-N 0 3 249.329 2.854 20 0 BFADHN CC1(C)COC[C@H]1NC/C=C/c1ccc(F)cc1 ZINC000449622727 388244451 /nfs/dbraw/zinc/24/44/51/388244451.db2.gz FHBJMVXIZCVMBN-RDFMZFSFSA-N 0 3 249.329 2.854 20 0 BFADHN CSC[C@H](C)NCc1cc(F)ccc1C ZINC000163244945 388260339 /nfs/dbraw/zinc/26/03/39/388260339.db2.gz BCZLIFBOMGAOFX-JTQLQIEISA-N 0 3 227.348 2.975 20 0 BFADHN CC1(C)COC[C@@H]1NCc1ccc2occc2c1 ZINC000449624715 388244891 /nfs/dbraw/zinc/24/48/91/388244891.db2.gz PUNBXQOFLJUPPD-AWEZNQCLSA-N 0 3 245.322 2.947 20 0 BFADHN C[C@H](c1ccc2c(c1)CCC2)N1CCC[C@H](O)C1 ZINC000459443614 388244993 /nfs/dbraw/zinc/24/49/93/388244993.db2.gz QLPHLWYYTBBIBH-WBMJQRKESA-N 0 3 245.366 2.693 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1COCC1(C)C ZINC000449626502 388245059 /nfs/dbraw/zinc/24/50/59/388245059.db2.gz WSVONLUDAOLYLS-CQSZACIVSA-N 0 3 249.354 2.518 20 0 BFADHN CCc1ccc(CN[C@@H](C)[C@H](OC)C2CC2)cn1 ZINC000449631300 388245788 /nfs/dbraw/zinc/24/57/88/388245788.db2.gz OVEQLEJUQOEVRB-NHYWBVRUSA-N 0 3 248.370 2.547 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@H](C)c1cc(C)ccn1)C1CC1 ZINC000449634624 388246830 /nfs/dbraw/zinc/24/68/30/388246830.db2.gz WJOBHHZPNKKJJP-JMSVASOKSA-N 0 3 248.370 2.854 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2occc2C)C12CCC2 ZINC000449634157 388247206 /nfs/dbraw/zinc/24/72/06/388247206.db2.gz RBQRESSMGHVHPY-KGLIPLIRSA-N 0 3 249.354 2.977 20 0 BFADHN CC[C@@H](C)N1CCOc2ccc(OC)cc2C1 ZINC000451646865 388287594 /nfs/dbraw/zinc/28/75/94/388287594.db2.gz KNYXEODVQBIUKW-LLVKDONJSA-N 0 3 235.327 2.688 20 0 BFADHN CCCCOCCN1CCSC[C@H]1C1CC1 ZINC000451646821 388287831 /nfs/dbraw/zinc/28/78/31/388287831.db2.gz KKBKIQAVWKNEAW-ZDUSSCGKSA-N 0 3 243.416 2.631 20 0 BFADHN CC[C@H]1CN(CC)CCN1CCCCCF ZINC000451741945 388292797 /nfs/dbraw/zinc/29/27/97/388292797.db2.gz QIHNWXPCXSAXCA-ZDUSSCGKSA-N 0 3 230.371 2.542 20 0 BFADHN CC(C)[C@@H](O)CN1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451857743 388301077 /nfs/dbraw/zinc/30/10/77/388301077.db2.gz QYONGLGQCZSNTM-JSGCOSHPSA-N 0 3 249.354 2.824 20 0 BFADHN CC[C@@H](C)N1CCN(CC2=CCCCC2)CC1 ZINC000451856115 388301518 /nfs/dbraw/zinc/30/15/18/388301518.db2.gz TWCRCGYVSJXSIV-CQSZACIVSA-N 0 3 236.403 2.903 20 0 BFADHN Cc1ccc(F)c(CN(C)[C@@H](C)C(C)(C)O)c1 ZINC000451369824 388267181 /nfs/dbraw/zinc/26/71/81/388267181.db2.gz NHFJIMSJQZYPBE-NSHDSACASA-N 0 3 239.334 2.725 20 0 BFADHN Cc1oncc1CN[C@@H]1CCCC1(C)C ZINC000191869131 388268292 /nfs/dbraw/zinc/26/82/92/388268292.db2.gz IZXIFYHGTBYJLZ-LLVKDONJSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](O)C1(C)C)c1ccsc1 ZINC000163365632 388268297 /nfs/dbraw/zinc/26/82/97/388268297.db2.gz BKASCYXBYVXCSF-GDPRMGEGSA-N 0 3 225.357 2.558 20 0 BFADHN CO[C@@H]1C[C@H](NCc2ccc(C)nc2C)C1(C)C ZINC000451488763 388277211 /nfs/dbraw/zinc/27/72/11/388277211.db2.gz GSNKAEOCGMUKOT-UONOGXRCSA-N 0 3 248.370 2.602 20 0 BFADHN CO[C@H]1C[C@@H](NCc2ccc(C)nc2C)C1(C)C ZINC000451488761 388277602 /nfs/dbraw/zinc/27/76/02/388277602.db2.gz GSNKAEOCGMUKOT-KGLIPLIRSA-N 0 3 248.370 2.602 20 0 BFADHN CC[C@H](F)CN[C@H]1C[C@@H](OC(C)C)C1(C)C ZINC000451494830 388277717 /nfs/dbraw/zinc/27/77/17/388277717.db2.gz JGAOJNCBSWKGTQ-SDDRHHMPSA-N 0 3 231.355 2.916 20 0 BFADHN COC[C@@H](C)NCc1ccc2c(c1)CCCC2 ZINC000491239013 388279048 /nfs/dbraw/zinc/27/90/48/388279048.db2.gz XZIAQBFOTSUKJB-GFCCVEGCSA-N 0 3 233.355 2.690 20 0 BFADHN Cc1cnc(CNCCCC(C)C)s1 ZINC000275787791 388346341 /nfs/dbraw/zinc/34/63/41/388346341.db2.gz NHEXNQHDIWCADZ-UHFFFAOYSA-N 0 3 212.362 2.977 20 0 BFADHN CO[C@H](C)CNCc1ccc(Cl)cc1F ZINC000276195345 388347438 /nfs/dbraw/zinc/34/74/38/388347438.db2.gz MNKVBXPKBCUWDA-MRVPVSSYSA-N 0 3 231.698 2.604 20 0 BFADHN CC[C@@H](CO)N[C@@H](C)c1csc(Cl)c1 ZINC000276331762 388348963 /nfs/dbraw/zinc/34/89/63/388348963.db2.gz IROIDFOSAGFQMV-CBAPKCEASA-N 0 3 233.764 2.823 20 0 BFADHN CCC(O)(CC)CCN[C@H](C)c1cncs1 ZINC000276671731 388350497 /nfs/dbraw/zinc/35/04/97/388350497.db2.gz PIHILSJTABCZOZ-SNVBAGLBSA-N 0 3 242.388 2.735 20 0 BFADHN F[C@@H]1CCN(C[C@H]2CCOc3ccccc32)C1 ZINC000648721617 388355090 /nfs/dbraw/zinc/35/50/90/388355090.db2.gz QZMPZFUOYJSAQQ-VXGBXAGGSA-N 0 3 235.302 2.597 20 0 BFADHN COC1(CCN[C@@H](C)c2ccco2)CCC1 ZINC000294763606 388404802 /nfs/dbraw/zinc/40/48/02/388404802.db2.gz YPDYNBYSAMCFRF-NSHDSACASA-N 0 3 223.316 2.889 20 0 BFADHN CCC[C@H](CNCc1ccccc1OC)OC ZINC000293662640 388356933 /nfs/dbraw/zinc/35/69/33/388356933.db2.gz LOSHGIKWULBRGT-CYBMUJFWSA-N 0 3 237.343 2.600 20 0 BFADHN CC[C@@H](C)NCc1cc(OC)ccc1F ZINC000293686795 388357924 /nfs/dbraw/zinc/35/79/24/388357924.db2.gz AZXABZGAQAOPKQ-SECBINFHSA-N 0 3 211.280 2.722 20 0 BFADHN CCCc1ccc(CN2CCCOCC2)cc1 ZINC000352338594 388360890 /nfs/dbraw/zinc/36/08/90/388360890.db2.gz TYSQYWZVYSZVDM-UHFFFAOYSA-N 0 3 233.355 2.861 20 0 BFADHN CC(C)CN1CCC[C@H](OCC(F)(F)F)C1 ZINC000524592767 388367406 /nfs/dbraw/zinc/36/74/06/388367406.db2.gz SOMCIHQFWHRPOM-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H]1[C@H](O)CCN1Cc1cc2ccccc2s1 ZINC000648800932 388373473 /nfs/dbraw/zinc/37/34/73/388373473.db2.gz ZIHONPULSXHKQJ-ZWNOBZJWSA-N 0 3 247.363 2.856 20 0 BFADHN COc1cccnc1CN[C@H]1CCCC12CC2 ZINC000572781895 388375158 /nfs/dbraw/zinc/37/51/58/388375158.db2.gz BCCOFJDWCMTBQM-ZDUSSCGKSA-N 0 3 232.327 2.513 20 0 BFADHN CCC1(CNCc2ncc(C)s2)CC1 ZINC000294921641 388405778 /nfs/dbraw/zinc/40/57/78/388405778.db2.gz ASXSZFIVOKFHFG-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN Cc1ncncc1[C@@H](C)NCCc1cccs1 ZINC000572899545 388384529 /nfs/dbraw/zinc/38/45/29/388384529.db2.gz BTRGORBSKPEJJQ-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1nc(C)c(CN2CCC(C)(C)C2)s1 ZINC000174254939 388392055 /nfs/dbraw/zinc/39/20/55/388392055.db2.gz GBWZRAIKIOYGIJ-UHFFFAOYSA-N 0 3 224.373 2.992 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CC3CCC2CC3)[n-]1 ZINC000516616860 388395992 /nfs/dbraw/zinc/39/59/92/388395992.db2.gz XTDPXNVMVBHQJC-MCIGGMRASA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CC3CCC2CC3)[nH]1 ZINC000516616860 388395995 /nfs/dbraw/zinc/39/59/95/388395995.db2.gz XTDPXNVMVBHQJC-MCIGGMRASA-N 0 3 248.374 2.596 20 0 BFADHN COC1(CCN[C@@H](C)c2ccccn2)CCC1 ZINC000294447747 388400644 /nfs/dbraw/zinc/40/06/44/388400644.db2.gz FSYYFPSQOITIMJ-LBPRGKRZSA-N 0 3 234.343 2.691 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](O)C2CCC2)c(C)o1 ZINC000315708496 388401766 /nfs/dbraw/zinc/40/17/66/388401766.db2.gz HALNZETXRWGWAY-HZMBPMFUSA-N 0 3 237.343 2.708 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1ccnc(OC)n1 ZINC000294659363 388402243 /nfs/dbraw/zinc/40/22/43/388402243.db2.gz COCAJCSBPJYPEE-AAEUAGOBSA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@H](N[C@@H](CC)c1cc(C)ccc1C)C(N)=O ZINC000528802019 388402626 /nfs/dbraw/zinc/40/26/26/388402626.db2.gz PZAZCRFUCSRSOO-KBPBESRZSA-N 0 3 248.370 2.608 20 0 BFADHN CC[C@@H](N[C@@H](CC)C(N)=O)c1cc(C)ccc1C ZINC000528802020 388402955 /nfs/dbraw/zinc/40/29/55/388402955.db2.gz PZAZCRFUCSRSOO-KGLIPLIRSA-N 0 3 248.370 2.608 20 0 BFADHN CCC(C)(C)OCCN[C@@H](C)c1cccnc1 ZINC000308548761 388442327 /nfs/dbraw/zinc/44/23/27/388442327.db2.gz RZQFPJJIBBSHMR-LBPRGKRZSA-N 0 3 236.359 2.937 20 0 BFADHN Cc1ncc(CNC2CCCCCC2)o1 ZINC000334723081 388446773 /nfs/dbraw/zinc/44/67/73/388446773.db2.gz DGZNGYCNGQMHQL-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN C[C@@H]1C[C@H](NCc2cccc(F)c2F)CS1 ZINC000334851477 388447215 /nfs/dbraw/zinc/44/72/15/388447215.db2.gz DBUJKVZVTYCQQG-SCZZXKLOSA-N 0 3 243.322 2.948 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@@H](C)C2)o1 ZINC000334723068 388447483 /nfs/dbraw/zinc/44/74/83/388447483.db2.gz CNMPVMIHSQYAPQ-MWLCHTKSSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H]1C[C@H](NCc2cccc(F)c2F)CS1 ZINC000334851479 388447824 /nfs/dbraw/zinc/44/78/24/388447824.db2.gz DBUJKVZVTYCQQG-WPRPVWTQSA-N 0 3 243.322 2.948 20 0 BFADHN FC1(CNCCCN2CCc3ccccc32)CC1 ZINC000525982053 388447875 /nfs/dbraw/zinc/44/78/75/388447875.db2.gz MTZXPJNEINOYJS-UHFFFAOYSA-N 0 3 248.345 2.531 20 0 BFADHN COc1ccc(CN[C@]2(C)CCCC[C@H]2C)nn1 ZINC000308707057 388448342 /nfs/dbraw/zinc/44/83/42/388448342.db2.gz IVJZSEFEMFUQCH-BXUZGUMPSA-N 0 3 249.358 2.544 20 0 BFADHN COc1ccccc1C1(CNCC2(F)CC2)CC1 ZINC000525986997 388451221 /nfs/dbraw/zinc/45/12/21/388451221.db2.gz FHEWUBLOJLGDHD-UHFFFAOYSA-N 0 3 249.329 2.819 20 0 BFADHN Cc1ccc(OCCNCC2(F)CC2)c(C)c1 ZINC000525987083 388451982 /nfs/dbraw/zinc/45/19/82/388451982.db2.gz TTXJASKMJOYMCO-UHFFFAOYSA-N 0 3 237.318 2.774 20 0 BFADHN C[C@@H](CNCc1ccco1)c1nccs1 ZINC000134987317 388480901 /nfs/dbraw/zinc/48/09/01/388480901.db2.gz IBSRPTMYXSLKEZ-VIFPVBQESA-N 0 3 222.313 2.629 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCCC[C@H]1C ZINC000339205706 388480851 /nfs/dbraw/zinc/48/08/51/388480851.db2.gz VDSFCHODMJMCIU-PWSUYJOCSA-N 0 3 222.332 2.899 20 0 BFADHN CCO[C@H]1C[C@H](NCC2(F)CC2)C12CCCC2 ZINC000525989080 388452562 /nfs/dbraw/zinc/45/25/62/388452562.db2.gz BFEXDQJQLNRZKJ-RYUDHWBXSA-N 0 3 241.350 2.816 20 0 BFADHN CCO[C@@H]1C[C@H](NCC2(F)CC2)C12CCCC2 ZINC000525989079 388453046 /nfs/dbraw/zinc/45/30/46/388453046.db2.gz BFEXDQJQLNRZKJ-NWDGAFQWSA-N 0 3 241.350 2.816 20 0 BFADHN C[C@H](N[C@H]1CC2CCC1CC2)c1ccncn1 ZINC000335916832 388455095 /nfs/dbraw/zinc/45/50/95/388455095.db2.gz KJSBMMXTLIUKQN-BBCYWQGDSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@@H](NC1CCCCCC1)c1ccncn1 ZINC000335916814 388455721 /nfs/dbraw/zinc/45/57/21/388455721.db2.gz CHCFQYYZKILTOD-LLVKDONJSA-N 0 3 219.332 2.850 20 0 BFADHN CC[C@@H](CN[C@H](C)c1ccccc1F)OC ZINC000336657414 388459249 /nfs/dbraw/zinc/45/92/49/388459249.db2.gz IIQJSKKFKLPSAH-MNOVXSKESA-N 0 3 225.307 2.901 20 0 BFADHN Cc1nocc1CNC[C@H]1CCCC[C@H]1C ZINC000339205707 388481207 /nfs/dbraw/zinc/48/12/07/388481207.db2.gz VDSFCHODMJMCIU-ZYHUDNBSSA-N 0 3 222.332 2.899 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1cnns1 ZINC000336713353 388467113 /nfs/dbraw/zinc/46/71/13/388467113.db2.gz VLGWOSNBFKRYTN-ONGXEEELSA-N 0 3 225.361 2.597 20 0 BFADHN C[C@H](CN[C@@H](C)c1ccncc1)C(F)(F)F ZINC000336724073 388468476 /nfs/dbraw/zinc/46/84/76/388468476.db2.gz BBKAMHDICLWQGP-BDAKNGLRSA-N 0 3 232.249 2.931 20 0 BFADHN Cc1ccoc1CNCCc1ccncc1C ZINC000269924439 388470577 /nfs/dbraw/zinc/47/05/77/388470577.db2.gz BOSBUSVFVHDFCE-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN C[C@@H](N[C@@H]1CC1(C)C)c1ccc(F)cn1 ZINC000133997519 388473648 /nfs/dbraw/zinc/47/36/48/388473648.db2.gz XJSKSTNSPMFIIJ-LDYMZIIASA-N 0 3 208.280 2.670 20 0 BFADHN C[C@H](N[C@H]1CC1(C)C)c1ccc(F)cn1 ZINC000133997727 388474236 /nfs/dbraw/zinc/47/42/36/388474236.db2.gz XJSKSTNSPMFIIJ-KWQFWETISA-N 0 3 208.280 2.670 20 0 BFADHN CCN(CC(=O)N(CC)c1ccccc1)C(C)C ZINC000194094100 388476791 /nfs/dbraw/zinc/47/67/91/388476791.db2.gz GFWSNDOZHLBYKE-UHFFFAOYSA-N 0 3 248.370 2.770 20 0 BFADHN CCCN(CC[C@H](C)O)Cc1ccccc1F ZINC000649266749 388476929 /nfs/dbraw/zinc/47/69/29/388476929.db2.gz IIDMSMPHZQZFNN-LBPRGKRZSA-N 0 3 239.334 2.809 20 0 BFADHN C[C@@H](NCc1ccon1)C1CCCCC1 ZINC000086690555 388407833 /nfs/dbraw/zinc/40/78/33/388407833.db2.gz KUIGZYHKXMIRCC-SNVBAGLBSA-N 0 3 208.305 2.733 20 0 BFADHN CC/C=C/CNCc1ccccc1OC ZINC000295120370 388408721 /nfs/dbraw/zinc/40/87/21/388408721.db2.gz WLUVDNBWZBKFPD-QPJJXVBHSA-N 0 3 205.301 2.751 20 0 BFADHN CCC[C@@](C)(O)CNCc1cccc(C)c1F ZINC000295318222 388411021 /nfs/dbraw/zinc/41/10/21/388411021.db2.gz YVABXIGHVNYBLN-CQSZACIVSA-N 0 3 239.334 2.775 20 0 BFADHN CS[C@H](C)CNCc1cccc(C)c1F ZINC000295910895 388411275 /nfs/dbraw/zinc/41/12/75/388411275.db2.gz ZLZXTYOWBSQIHT-SNVBAGLBSA-N 0 3 227.348 2.975 20 0 BFADHN CC1(C)[C@@H]2CN(CCOc3ccccc3F)C[C@@H]21 ZINC000172946407 388412340 /nfs/dbraw/zinc/41/23/40/388412340.db2.gz VWQBBNDLQXWZIC-TXEJJXNPSA-N 0 3 249.329 2.792 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2ccnc(OC)n2)C1 ZINC000295729012 388413088 /nfs/dbraw/zinc/41/30/88/388413088.db2.gz OAFDJVJVODMWTB-RYUDHWBXSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@H](NCc1cn2ccccc2n1)[C@@H]1CC1(C)C ZINC000397568900 388479109 /nfs/dbraw/zinc/47/91/09/388479109.db2.gz CXPRWKPWPUTNON-AAEUAGOBSA-N 0 3 243.354 2.859 20 0 BFADHN c1ncc(CN2CCC[C@H]3CCC[C@H]32)s1 ZINC000245561710 388424014 /nfs/dbraw/zinc/42/40/14/388424014.db2.gz ODADNQGXMKXCOX-ZYHUDNBSSA-N 0 3 222.357 2.908 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCOC2(C)C)o1 ZINC000296662926 388424976 /nfs/dbraw/zinc/42/49/76/388424976.db2.gz ZYWWTZJVMPFHAF-CMPLNLGQSA-N 0 3 223.316 2.806 20 0 BFADHN CCc1cnc(CN2C[C@@H](C)C[C@H]2CC)o1 ZINC000525307392 388425477 /nfs/dbraw/zinc/42/54/77/388425477.db2.gz LRXUKABNMMNMPN-WDEREUQCSA-N 0 3 222.332 2.857 20 0 BFADHN CC/C=C/CN[C@@H](C)c1cncc(F)c1 ZINC000296721236 388425550 /nfs/dbraw/zinc/42/55/50/388425550.db2.gz NNDBUTYEMICWFV-YEZKRMTDSA-N 0 3 208.280 2.838 20 0 BFADHN CCc1cc(NCc2ncc(C)o2)ccn1 ZINC000307769351 388425787 /nfs/dbraw/zinc/42/57/87/388425787.db2.gz LLHPFGMJBWQSSC-UHFFFAOYSA-N 0 3 217.272 2.553 20 0 BFADHN CC(C)CCC[C@@H](C)NC(=O)C1(N)CCCC1 ZINC000040858704 388427135 /nfs/dbraw/zinc/42/71/35/388427135.db2.gz MKCNZYSVTCQREV-GFCCVEGCSA-N 0 3 240.391 2.589 20 0 BFADHN CCC[C@@H](NCc1cnc(C)cn1)C1CCC1 ZINC000322259209 388429729 /nfs/dbraw/zinc/42/97/29/388429729.db2.gz GNDDDWPFAFEIEW-CQSZACIVSA-N 0 3 233.359 2.843 20 0 BFADHN C[C@H](NCC(C)(C)C(C)(C)O)c1cccnc1 ZINC000393587049 388434432 /nfs/dbraw/zinc/43/44/32/388434432.db2.gz AZBMKCNQLHEHQW-NSHDSACASA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H](NCC(C)(C)C(C)(C)O)c1ccccn1 ZINC000393618624 388435303 /nfs/dbraw/zinc/43/53/03/388435303.db2.gz OBVFRPCNBMAAMC-LLVKDONJSA-N 0 3 236.359 2.529 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2cccc(F)c2)C12CCC2 ZINC000308341063 388436320 /nfs/dbraw/zinc/43/63/20/388436320.db2.gz BSMDXESJWMJATF-ZIAGYGMSSA-N 0 3 249.329 2.873 20 0 BFADHN CO[C@H]1C[C@@H](NCc2ccccc2F)C12CCC2 ZINC000308378546 388436842 /nfs/dbraw/zinc/43/68/42/388436842.db2.gz VEGNFNSJQILQCB-KGLIPLIRSA-N 0 3 249.329 2.873 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccccc2F)C12CCC2 ZINC000308378545 388436915 /nfs/dbraw/zinc/43/69/15/388436915.db2.gz VEGNFNSJQILQCB-KBPBESRZSA-N 0 3 249.329 2.873 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC(C)(C)O2)C[C@@H](C)S1 ZINC000548484825 388542317 /nfs/dbraw/zinc/54/23/17/388542317.db2.gz JHBAQEZBFTWIBA-IJLUTSLNSA-N 0 3 243.416 2.770 20 0 BFADHN CC1(C)C[C@@H]1CNCc1ccc2c(n1)CCC2 ZINC000517221207 388542611 /nfs/dbraw/zinc/54/26/11/388542611.db2.gz AWSQCDMXAIXRDF-GFCCVEGCSA-N 0 3 230.355 2.706 20 0 BFADHN CO[C@]1(C)C[C@@H](NC/C=C(\C)Cl)C1(C)C ZINC000528523955 388542670 /nfs/dbraw/zinc/54/26/70/388542670.db2.gz WGRAOHMZWPQLJN-LCUPEAOESA-N 0 3 231.767 2.922 20 0 BFADHN CSCc1cnc(CN[C@H]2CC[C@@H]2C)s1 ZINC000339400846 388483027 /nfs/dbraw/zinc/48/30/27/388483027.db2.gz STXZRJIMRLEDJD-WPRPVWTQSA-N 0 3 242.413 2.894 20 0 BFADHN Cc1nocc1CNC[C@@H]1CC[C@H](C)C1 ZINC000339385461 388483054 /nfs/dbraw/zinc/48/30/54/388483054.db2.gz PQGRQXOPBIUNCZ-GXSJLCMTSA-N 0 3 208.305 2.509 20 0 BFADHN Cc1nocc1CN[C@@H]1CC[C@@H](C)[C@H](C)C1 ZINC000339346383 388483117 /nfs/dbraw/zinc/48/31/17/388483117.db2.gz AYKPKAULMRMVCF-GIPNMCIBSA-N 0 3 222.332 2.897 20 0 BFADHN CCCc1csc(CNCC[C@@H](C)F)n1 ZINC000339484866 388483665 /nfs/dbraw/zinc/48/36/65/388483665.db2.gz OMCXAKYDIVEFIF-SECBINFHSA-N 0 3 230.352 2.933 20 0 BFADHN Cc1nocc1CNC1CC(C(C)(C)C)C1 ZINC000339465717 388484037 /nfs/dbraw/zinc/48/40/37/388484037.db2.gz OTRCWGKLAQEYEI-UHFFFAOYSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc([C@H](C)NCC2=CCOCC2)c(C)o1 ZINC000397614418 388484596 /nfs/dbraw/zinc/48/45/96/388484596.db2.gz DHNCKWKMHZBBOM-NSHDSACASA-N 0 3 235.327 2.894 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1ccc(Cl)cn1 ZINC000290223417 388489516 /nfs/dbraw/zinc/48/95/16/388489516.db2.gz RBAUMESTVRHSMJ-KXUCPTDWSA-N 0 3 242.750 2.809 20 0 BFADHN CC(C)C1(N[C@H](C)c2nccs2)CC1 ZINC000340476232 388490840 /nfs/dbraw/zinc/49/08/40/388490840.db2.gz GZDNONJHPDMHBB-SECBINFHSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@H](NCC1=CCOCC1)c1cccs1 ZINC000397758874 388497404 /nfs/dbraw/zinc/49/74/04/388497404.db2.gz JKPWTWFEEJDVLQ-JTQLQIEISA-N 0 3 223.341 2.745 20 0 BFADHN COCCC1CCN(Cc2cncc(C)c2)CC1 ZINC000528578502 388549116 /nfs/dbraw/zinc/54/91/16/388549116.db2.gz YLSMOWDAWQCURD-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN CC[C@@H](NCc1cocn1)[C@@H]1CC1(C)C ZINC000397821779 388502450 /nfs/dbraw/zinc/50/24/50/388502450.db2.gz UWILQBMGVJVIDN-WDEREUQCSA-N 0 3 208.305 2.589 20 0 BFADHN CCC1(CNCc2cc3ccccc3o2)COC1 ZINC000343698306 388502762 /nfs/dbraw/zinc/50/27/62/388502762.db2.gz VJBVNWRCEARPHJ-UHFFFAOYSA-N 0 3 245.322 2.949 20 0 BFADHN C[C@@H]1CSC[C@H]1N[C@H]1COCc2ccccc21 ZINC000397826162 388502753 /nfs/dbraw/zinc/50/27/53/388502753.db2.gz LKZIFENJBJTKJO-DDTOSNHZSA-N 0 3 249.379 2.599 20 0 BFADHN CCC1(NCc2cccc3c2OCO3)CCC1 ZINC000135624452 388502935 /nfs/dbraw/zinc/50/29/35/388502935.db2.gz AAKNQTPZIQPRIG-UHFFFAOYSA-N 0 3 233.311 2.838 20 0 BFADHN CC[C@@H]1CCC[C@H](CNCc2ccn(C)n2)C1 ZINC000344339562 388506190 /nfs/dbraw/zinc/50/61/90/388506190.db2.gz YEXILJKQHOJPSI-OLZOCXBDSA-N 0 3 235.375 2.726 20 0 BFADHN Cc1csc(CNCc2cccn2C)c1 ZINC000344605483 388506807 /nfs/dbraw/zinc/50/68/07/388506807.db2.gz CGOWGMHEVOHVGP-UHFFFAOYSA-N 0 3 220.341 2.685 20 0 BFADHN Cc1csc(CN[C@H](C)c2cnn(C)c2)c1 ZINC000344616090 388507860 /nfs/dbraw/zinc/50/78/60/388507860.db2.gz TVODPYDFVLJTBT-SNVBAGLBSA-N 0 3 235.356 2.641 20 0 BFADHN Cc1ccc([C@H](C)NCC2=CCOCC2)o1 ZINC000397871860 388507989 /nfs/dbraw/zinc/50/79/89/388507989.db2.gz JCDBBHUTJNTEGA-NSHDSACASA-N 0 3 221.300 2.585 20 0 BFADHN Cc1ncc(CNC[C@H]2CCCC[C@@H]2C)o1 ZINC000397899718 388510009 /nfs/dbraw/zinc/51/00/09/388510009.db2.gz WDEQQKIVUXKNHB-CMPLNLGQSA-N 0 3 222.332 2.899 20 0 BFADHN CCC[C@H](C)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000345349627 388511822 /nfs/dbraw/zinc/51/18/22/388511822.db2.gz HHQUZQCHWHFKKF-GARJFASQSA-N 0 3 207.321 2.667 20 0 BFADHN CC[C@H](N[C@H]1C[C@H](C)n2ccnc21)C(C)C ZINC000345349568 388511952 /nfs/dbraw/zinc/51/19/52/388511952.db2.gz GYTGYJYBQWAEOG-SRVKXCTJSA-N 0 3 221.348 2.913 20 0 BFADHN CC[C@H](N[C@H]1C[C@@H](C)n2ccnc21)C(C)C ZINC000345349572 388512172 /nfs/dbraw/zinc/51/21/72/388512172.db2.gz GYTGYJYBQWAEOG-WOPDTQHZSA-N 0 3 221.348 2.913 20 0 BFADHN C[C@@H]1CCC[C@@H](N[C@H]2CCn3ccnc32)CC1 ZINC000345345530 388512295 /nfs/dbraw/zinc/51/22/95/388512295.db2.gz JIKZIOZDFWWVLU-UPJWGTAASA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1cccc(-n2cccn2)c1 ZINC000548098017 388512657 /nfs/dbraw/zinc/51/26/57/388512657.db2.gz CNFKZQWMQPWBIT-BETUJISGSA-N 0 3 241.338 2.855 20 0 BFADHN C[C@H](N[C@H]1C[C@H](C)n2ccnc21)C1CCC1 ZINC000345357637 388513686 /nfs/dbraw/zinc/51/36/86/388513686.db2.gz PNRANTUFTCUQMI-NHCYSSNCSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)n2ccnc21)C1CCC1 ZINC000345357638 388513698 /nfs/dbraw/zinc/51/36/98/388513698.db2.gz PNRANTUFTCUQMI-SCVCMEIPSA-N 0 3 219.332 2.667 20 0 BFADHN CC(C)CC[C@@H](C)N[C@H]1CCn2ccnc21 ZINC000345354898 388513875 /nfs/dbraw/zinc/51/38/75/388513875.db2.gz SJTBBIRBWJABAY-NEPJUHHUSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@H](C)C[C@@H](C)N[C@H]1CCn2ccnc21 ZINC000345355699 388513890 /nfs/dbraw/zinc/51/38/90/388513890.db2.gz TXPYNSWKOZBVGQ-TUAOUCFPSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cc(CNC[C@H]2CC[C@H](C)O2)ccc1F ZINC000398030538 388515350 /nfs/dbraw/zinc/51/53/50/388515350.db2.gz JNGRFWGUBCWDOX-WCQYABFASA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@H](C)[C@H](C)C2)o1 ZINC000398047247 388515377 /nfs/dbraw/zinc/51/53/77/388515377.db2.gz CRGHSXYTSOYHAZ-HOSYDEDBSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@@H]1CCN(Cc2cc(CO)ccc2F)C1 ZINC000528398696 388519697 /nfs/dbraw/zinc/51/96/97/388519697.db2.gz GFHBWLRNGXYINS-LLVKDONJSA-N 0 3 237.318 2.550 20 0 BFADHN C/C(Cl)=C/CN1C[C@@H]2CCCCN2C[C@H]1C ZINC000528386389 388520027 /nfs/dbraw/zinc/52/00/27/388520027.db2.gz HDCOUHSTEMXCTQ-CUBYMHMKSA-N 0 3 242.794 2.688 20 0 BFADHN C[C@H](N[C@H]1C[C@@H]1C(F)F)c1cncc(F)c1 ZINC000348151954 388525578 /nfs/dbraw/zinc/52/55/78/388525578.db2.gz ODOOMOYPJVIQMF-JUWDTYFHSA-N 0 3 230.233 2.525 20 0 BFADHN CO[C@@]1(C)CCCN(C/C=C(/C)Cl)C1 ZINC000528481735 388525794 /nfs/dbraw/zinc/52/57/94/388525794.db2.gz ANCVGMBNXXLRJI-VQNWOSHQSA-N 0 3 217.740 2.630 20 0 BFADHN CO[C@@H](Cc1ccc(F)cc1)CN1CC=CCC1 ZINC000528436556 388526400 /nfs/dbraw/zinc/52/64/00/388526400.db2.gz ALULFHODQNVNJP-HNNXBMFYSA-N 0 3 249.329 2.645 20 0 BFADHN CO[C@H](CN1CC=CCC1)c1ccc(F)cc1 ZINC000528440753 388526594 /nfs/dbraw/zinc/52/65/94/388526594.db2.gz RUZXHQXENKXMDH-CQSZACIVSA-N 0 3 235.302 2.775 20 0 BFADHN Cc1nc(C)c(CNC2(C(C)C)CC2)o1 ZINC000378867380 388526959 /nfs/dbraw/zinc/52/69/59/388526959.db2.gz FCDFZDUIQYJGRG-UHFFFAOYSA-N 0 3 208.305 2.570 20 0 BFADHN c1nc(CN[C@H]2CCCC23CCCC3)co1 ZINC000378863043 388527098 /nfs/dbraw/zinc/52/70/98/388527098.db2.gz UTRIZEFFAWJPMT-LBPRGKRZSA-N 0 3 220.316 2.877 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](CO)C(C)C)c(C)o1 ZINC000348255897 388527833 /nfs/dbraw/zinc/52/78/33/388527833.db2.gz LESKLHRSNFFGGE-YPMHNXCESA-N 0 3 239.359 2.812 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1c(F)cccc1F ZINC000136252433 388528547 /nfs/dbraw/zinc/52/85/47/388528547.db2.gz VMVHHDUVJDIAEW-BDAKNGLRSA-N 0 3 211.255 2.710 20 0 BFADHN Cc1c(CN(C)CC2CC(C)(C)C2)cnn1C ZINC000528484601 388533547 /nfs/dbraw/zinc/53/35/47/388533547.db2.gz KDGNDGDKOCRRPY-UHFFFAOYSA-N 0 3 235.375 2.597 20 0 BFADHN C/C(Cl)=C/CN1CCCC[C@@H]1C[C@@H](C)O ZINC000528483910 388533878 /nfs/dbraw/zinc/53/38/78/388533878.db2.gz IHSOQRIOPOZXDA-CIYHXPSUSA-N 0 3 231.767 2.754 20 0 BFADHN CO[C@@H]1CCN(C/C=C(/C)Cl)[C@@H](C)C1 ZINC000528491409 388534391 /nfs/dbraw/zinc/53/43/91/388534391.db2.gz JGRNPHRMJFMXLL-RJAQEEFZSA-N 0 3 217.740 2.628 20 0 BFADHN CN(Cc1cnn(CC(F)(F)F)c1)C(C)(C)C ZINC000517744445 388588595 /nfs/dbraw/zinc/58/85/95/388588595.db2.gz CQIGFAZOPNOUKA-UHFFFAOYSA-N 0 3 249.280 2.676 20 0 BFADHN FCCCNCc1conc1Cc1ccccc1 ZINC000549779180 388590019 /nfs/dbraw/zinc/59/00/19/388590019.db2.gz LOKXNKTZPCVVIO-UHFFFAOYSA-N 0 3 248.301 2.715 20 0 BFADHN Cc1cncc([C@H](C)NCCOC2CCCC2)c1 ZINC000184325789 256844566 /nfs/dbraw/zinc/84/45/66/256844566.db2.gz DKMGTGDKHIBTGS-ZDUSSCGKSA-N 0 3 248.370 3.000 20 0 BFADHN CC[C@@H](C)CN1CCN(CC(F)F)[C@H](CC)C1 ZINC000245765516 388603448 /nfs/dbraw/zinc/60/34/48/388603448.db2.gz QZGXYPFINPAUGF-VXGBXAGGSA-N 0 3 248.361 2.694 20 0 BFADHN C[C@@H](CN1CCOC[C@H]1C1CC1)c1ccccc1 ZINC000245839591 388606429 /nfs/dbraw/zinc/60/64/29/388606429.db2.gz HQEBADSFRXIVGV-BBRMVZONSA-N 0 3 245.366 2.901 20 0 BFADHN CN1CC[C@@H](CSc2cccs2)C1 ZINC000187680763 388610120 /nfs/dbraw/zinc/61/01/20/388610120.db2.gz GARBOXBTMZBMGK-SECBINFHSA-N 0 3 213.371 2.792 20 0 BFADHN Cc1ocnc1CNc1ccnc2ccccc21 ZINC000356788852 388551173 /nfs/dbraw/zinc/55/11/73/388551173.db2.gz DWHRGYKTEJCOCB-UHFFFAOYSA-N 0 3 239.278 2.565 20 0 BFADHN CC[C@](C)(O)CN[C@@H](c1ccccn1)C1CCC1 ZINC000528655227 388555528 /nfs/dbraw/zinc/55/55/28/388555528.db2.gz DFKKKBXUJFFCPH-CABCVRRESA-N 0 3 248.370 2.673 20 0 BFADHN CCC1(C)CN(C[C@H](O)CC2CCCC2)C1 ZINC000359510203 388557373 /nfs/dbraw/zinc/55/73/73/388557373.db2.gz REDFABQKLHYYSW-CYBMUJFWSA-N 0 3 225.376 2.660 20 0 BFADHN COc1cccc(OCCN2[C@H](C)C[C@@H]2C)c1 ZINC000548753478 388557682 /nfs/dbraw/zinc/55/76/82/388557682.db2.gz ZZOBWOCCHVJGSI-TXEJJXNPSA-N 0 3 235.327 2.557 20 0 BFADHN COc1cccc(CN2[C@@H](C)C[C@@H]2C)c1 ZINC000548754663 388557968 /nfs/dbraw/zinc/55/79/68/388557968.db2.gz FNGBPZNIUUPZIY-QWRGUYRKSA-N 0 3 205.301 2.678 20 0 BFADHN Cc1cc([C@@H](C)NCCc2cncn2C)oc1C ZINC000548793775 388563418 /nfs/dbraw/zinc/56/34/18/388563418.db2.gz UEUQNHIZYVKUBA-LLVKDONJSA-N 0 3 247.342 2.523 20 0 BFADHN FC(F)n1ccnc1CN[C@@H]1CCC[C@H]2C[C@H]21 ZINC000548973526 388569667 /nfs/dbraw/zinc/56/96/67/388569667.db2.gz TWAOBVSKOFDZKF-IVZWLZJFSA-N 0 3 241.285 2.556 20 0 BFADHN C[C@@H](NC[C@H]1CCC2(CCCC2)O1)c1cn[nH]c1 ZINC000549134185 388573542 /nfs/dbraw/zinc/57/35/42/388573542.db2.gz UMPLKARTLNOLKJ-DGCLKSJQSA-N 0 3 249.358 2.552 20 0 BFADHN CC[C@H](NCC1OCCO1)c1cc(C)ccc1C ZINC000528802483 388575098 /nfs/dbraw/zinc/57/50/98/388575098.db2.gz UFIHBQHQCRJIHB-AWEZNQCLSA-N 0 3 249.354 2.717 20 0 BFADHN CC[C@@H](NCC1OCCO1)c1cc(C)ccc1C ZINC000528802484 388575202 /nfs/dbraw/zinc/57/52/02/388575202.db2.gz UFIHBQHQCRJIHB-CQSZACIVSA-N 0 3 249.354 2.717 20 0 BFADHN CCc1ccc([C@@H](COC)NC2CC(C)C2)o1 ZINC000184367111 388577018 /nfs/dbraw/zinc/57/70/18/388577018.db2.gz KJURITAERHBSQJ-GCZXYKMCSA-N 0 3 237.343 2.918 20 0 BFADHN CC/C=C/CCN1C[C@@H](C)OC(C)(C)C1 ZINC000184473767 388578539 /nfs/dbraw/zinc/57/85/39/388578539.db2.gz OXWQJGAINBYRLN-NNNHXZLVSA-N 0 3 211.349 2.842 20 0 BFADHN CC/C=C/CCN(CC(=O)OC)C1CCCC1 ZINC000184620258 388580879 /nfs/dbraw/zinc/58/08/79/388580879.db2.gz IOANOUVFGJKKTM-SNAWJCMRSA-N 0 3 239.359 2.760 20 0 BFADHN CC[C@@H](NCc1ccc(Cl)s1)[C@@H](C)O ZINC000387443016 388581748 /nfs/dbraw/zinc/58/17/48/388581748.db2.gz PTCSVFGSDBHNLN-VXNVDRBHSA-N 0 3 233.764 2.651 20 0 BFADHN CC[C@H](NCc1ccc(Cl)s1)[C@H](C)O ZINC000387443000 388581766 /nfs/dbraw/zinc/58/17/66/388581766.db2.gz PTCSVFGSDBHNLN-CBAPKCEASA-N 0 3 233.764 2.651 20 0 BFADHN CC[C@H]1CC[C@H](NCc2ccc(CO)o2)CC1 ZINC000552072369 388667585 /nfs/dbraw/zinc/66/75/85/388667585.db2.gz FZDLZHCVKANROD-HAQNSBGRSA-N 0 3 237.343 2.830 20 0 BFADHN CC[C@H](N[C@H](C)[C@H]1CCOC1)c1nccs1 ZINC000248001099 388672030 /nfs/dbraw/zinc/67/20/30/388672030.db2.gz KJSUMHBGUAIBDT-VWYCJHECSA-N 0 3 240.372 2.609 20 0 BFADHN c1cc(CN(C[C@H]2CCOC2)C2CC2)cs1 ZINC000125783591 388676284 /nfs/dbraw/zinc/67/62/84/388676284.db2.gz LCPABZZIABRDFN-LLVKDONJSA-N 0 3 237.368 2.749 20 0 BFADHN CCCCOCCCNCc1ccc(C)cn1 ZINC000125758102 388677134 /nfs/dbraw/zinc/67/71/34/388677134.db2.gz CPHZHAVSEULXSP-UHFFFAOYSA-N 0 3 236.359 2.686 20 0 BFADHN CC(C)Cn1cc(CN2CC[C@@H](C)[C@@H]2C)cn1 ZINC000248391762 388677899 /nfs/dbraw/zinc/67/78/99/388677899.db2.gz VJNGYNSSFJICGQ-OLZOCXBDSA-N 0 3 235.375 2.769 20 0 BFADHN Cc1cccc(CN[C@H](C)CC2CC2)n1 ZINC000086136285 388681600 /nfs/dbraw/zinc/68/16/00/388681600.db2.gz NEEJVSYLEJIPEV-LLVKDONJSA-N 0 3 204.317 2.668 20 0 BFADHN CC[C@@H]1COCCN1C[C@H](C)Cc1ccccc1 ZINC000248553656 388683193 /nfs/dbraw/zinc/68/31/93/388683193.db2.gz HMKIWSDCYPUZPK-GDBMZVCRSA-N 0 3 247.382 2.976 20 0 BFADHN CCC[C@H](C)CN1CCO[C@H](CCC)C1 ZINC000538305345 388683449 /nfs/dbraw/zinc/68/34/49/388683449.db2.gz RRRXVIOLZDJRDD-QWHCGFSZSA-N 0 3 213.365 2.924 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)o1 ZINC000248587801 388683978 /nfs/dbraw/zinc/68/39/78/388683978.db2.gz YGWXJLOVYNRDRU-YUSALJHKSA-N 0 3 222.332 2.897 20 0 BFADHN CCO[C@@H]1C[C@@H](N[C@@H]2CCC[C@@H]2F)C12CCC2 ZINC000551309313 388625804 /nfs/dbraw/zinc/62/58/04/388625804.db2.gz RPQWNJOBFCNPDB-UMSGYPCISA-N 0 3 241.350 2.814 20 0 BFADHN C[C@H]1CCN(Cc2cnn(C(C)(C)C)c2)[C@H]1C ZINC000246471970 388638092 /nfs/dbraw/zinc/63/80/92/388638092.db2.gz OMRGPPOPYWCLFX-RYUDHWBXSA-N 0 3 235.375 2.868 20 0 BFADHN CCc1nnc(CN2[C@H](C)C[C@@H]3CCCC[C@H]32)[nH]1 ZINC000248561904 388684731 /nfs/dbraw/zinc/68/47/31/388684731.db2.gz JQKKMYLEXDGBJP-GRYCIOLGSA-N 0 3 248.374 2.520 20 0 BFADHN CCc1nnc(C[N@@H+]2[C@H](C)C[C@@H]3CCCC[C@H]32)[n-]1 ZINC000248561904 388684732 /nfs/dbraw/zinc/68/47/32/388684732.db2.gz JQKKMYLEXDGBJP-GRYCIOLGSA-N 0 3 248.374 2.520 20 0 BFADHN C[C@@H](N[C@@H](C)c1cn[nH]c1)c1cccs1 ZINC000222504280 388638272 /nfs/dbraw/zinc/63/82/72/388638272.db2.gz NJBWEEALCJYFAA-DTWKUNHWSA-N 0 3 221.329 2.883 20 0 BFADHN CCc1nnc(C[N@H+]2[C@H](C)C[C@@H]3CCCC[C@H]32)[n-]1 ZINC000248561904 388684735 /nfs/dbraw/zinc/68/47/35/388684735.db2.gz JQKKMYLEXDGBJP-GRYCIOLGSA-N 0 3 248.374 2.520 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(C(F)F)cc1 ZINC000530280936 388647465 /nfs/dbraw/zinc/64/74/65/388647465.db2.gz LFFZLVXOSFFBOM-NEPJUHHUSA-N 0 3 241.281 2.891 20 0 BFADHN OCc1ccc(CN(CC2CC2)C2CCC2)o1 ZINC000530281914 388647862 /nfs/dbraw/zinc/64/78/62/388647862.db2.gz IXTNXSJXPDQKRQ-UHFFFAOYSA-N 0 3 235.327 2.536 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1nccn1CC ZINC000083863550 388649189 /nfs/dbraw/zinc/64/91/89/388649189.db2.gz SNTZJTXNHZYHJH-RYUDHWBXSA-N 0 3 223.364 2.817 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@H]2C[C@H]2C)o1 ZINC000252200895 388651181 /nfs/dbraw/zinc/65/11/81/388651181.db2.gz WHHLOWZRRVYFKJ-IQIPOGNMSA-N 0 3 205.301 2.901 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN2CCCC2)o1 ZINC000123934047 388659449 /nfs/dbraw/zinc/65/94/49/388659449.db2.gz UPIPAJBMAMETNU-JQWIXIFHSA-N 0 3 205.301 2.999 20 0 BFADHN COc1cccc([C@@H](C)N2CCC[C@@H](OC)C2)c1 ZINC000247216309 388660721 /nfs/dbraw/zinc/66/07/21/388660721.db2.gz QXEQFOJRJRNYGK-IUODEOHRSA-N 0 3 249.354 2.867 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CCC[C@@H](CC)C1 ZINC000227035316 388737802 /nfs/dbraw/zinc/73/78/02/388737802.db2.gz PKLMTZANBWHNIO-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H](c1ccccn1)N1CCC[C@@H](C)C1 ZINC000250641321 388738523 /nfs/dbraw/zinc/73/85/23/388738523.db2.gz UISVYDCGSSVIAO-VXGBXAGGSA-N 0 3 204.317 2.875 20 0 BFADHN CS[C@@H](C)CNCc1cccc(F)c1 ZINC000128104553 388738936 /nfs/dbraw/zinc/73/89/36/388738936.db2.gz ANPYAKMYZCZXKX-VIFPVBQESA-N 0 3 213.321 2.667 20 0 BFADHN C(CN1CCOC[C@@H]1C1CC1)C1CCCC1 ZINC000090009917 388744381 /nfs/dbraw/zinc/74/43/81/388744381.db2.gz FSVWMETYCQOKJJ-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN Cc1oncc1CN([C@@H](C)C1CC1)C1CC1 ZINC000128283912 388744824 /nfs/dbraw/zinc/74/48/24/388744824.db2.gz FBNJXENPVLWEEK-VIFPVBQESA-N 0 3 220.316 2.746 20 0 BFADHN Cc1oncc1CN(C)CCc1ccccc1 ZINC000128307282 388747294 /nfs/dbraw/zinc/74/72/94/388747294.db2.gz QBIZEXFWJCLQQB-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1cc(C)ccn1 ZINC000552259756 388686982 /nfs/dbraw/zinc/68/69/82/388686982.db2.gz USQQFDOJJOBOOC-YDHLFZDLSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1cccc(CN2CCSCC2)c1C ZINC000126191800 388690655 /nfs/dbraw/zinc/69/06/55/388690655.db2.gz YGWHLDNIQFRDQS-UHFFFAOYSA-N 0 3 221.369 2.852 20 0 BFADHN CCC[C@@H]1CCCC[C@H]1NCc1ncccn1 ZINC000564390267 388692327 /nfs/dbraw/zinc/69/23/27/388692327.db2.gz VFNAGUQICNXIFW-CHWSQXEVSA-N 0 3 233.359 2.925 20 0 BFADHN COC[C@@H](C)CN(C)Cc1cc(C)ccc1F ZINC000552326191 388692985 /nfs/dbraw/zinc/69/29/85/388692985.db2.gz JZUDBIAZAQFWBU-LBPRGKRZSA-N 0 3 239.334 2.848 20 0 BFADHN CCC[C@@H]1CCCC[C@@H]1NCc1ncccn1 ZINC000564390268 388693095 /nfs/dbraw/zinc/69/30/95/388693095.db2.gz VFNAGUQICNXIFW-OLZOCXBDSA-N 0 3 233.359 2.925 20 0 BFADHN CCN(C)Cc1ccc(Oc2cnccn2)cc1 ZINC000126315579 388693405 /nfs/dbraw/zinc/69/34/05/388693405.db2.gz MBKLYUGOJZCKIH-UHFFFAOYSA-N 0 3 243.310 2.721 20 0 BFADHN CCN(Cc1cnc2c(C)cccn12)C(C)C ZINC000126373918 388695076 /nfs/dbraw/zinc/69/50/76/388695076.db2.gz BYVLDYVGCIZIRX-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN CCOC(=O)c1ccc(CN(CC)C(C)C)o1 ZINC000126387821 388695106 /nfs/dbraw/zinc/69/51/06/388695106.db2.gz PNGZSXABJYYUFW-UHFFFAOYSA-N 0 3 239.315 2.687 20 0 BFADHN C=Cn1cc(CN2CCC[C@@H]3CCC[C@H]32)cn1 ZINC000248828515 388695605 /nfs/dbraw/zinc/69/56/05/388695605.db2.gz GWYBLIJLNFOFJI-UONOGXRCSA-N 0 3 231.343 2.748 20 0 BFADHN CCCC[C@H](CC)CN1CCCC[C@H]1C(N)=O ZINC000248909217 388698583 /nfs/dbraw/zinc/69/85/83/388698583.db2.gz ZSOZRBNPOBNBSO-STQMWFEESA-N 0 3 240.391 2.543 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@@H](N)CCCC ZINC000226066602 388699987 /nfs/dbraw/zinc/69/99/87/388699987.db2.gz NHZVZWXLBMSSOR-OLZOCXBDSA-N 0 3 242.407 2.979 20 0 BFADHN COc1ncccc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC000193373540 388702621 /nfs/dbraw/zinc/70/26/21/388702621.db2.gz UOFUTNLIVFNZBC-CHWSQXEVSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ncccc1CN1CC[C@H](C)[C@@H](C)C1 ZINC000193460402 388705013 /nfs/dbraw/zinc/70/50/13/388705013.db2.gz DFHSERUEULQFIK-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@H](C)[C@H]2CO)o1 ZINC000249062769 388707104 /nfs/dbraw/zinc/70/71/04/388707104.db2.gz IDYDFPRIXFEGAH-UZGDPCLZSA-N 0 3 249.354 2.606 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@@H](C)[C@H]2CO)o1 ZINC000249062764 388707129 /nfs/dbraw/zinc/70/71/29/388707129.db2.gz IDYDFPRIXFEGAH-MHDGFBEUSA-N 0 3 249.354 2.606 20 0 BFADHN C[C@@H]1CN(Cc2cocn2)[C@@H]2CCCC[C@@H]21 ZINC000249313994 388716328 /nfs/dbraw/zinc/71/63/28/388716328.db2.gz OZMCIYNWDOWFQJ-RAIGVLPGSA-N 0 3 220.316 2.685 20 0 BFADHN C[C@@H](c1cccs1)N(C)CCn1cccn1 ZINC000066411690 388716848 /nfs/dbraw/zinc/71/68/48/388716848.db2.gz CQQTVYWUTDAAEC-NSHDSACASA-N 0 3 235.356 2.638 20 0 BFADHN C[C@@H]1CN([C@H]2C=CCCC2)CC(C)(C)O1 ZINC000066496750 388718421 /nfs/dbraw/zinc/71/84/21/388718421.db2.gz UOOLQMNICFRFNK-NEPJUHHUSA-N 0 3 209.333 2.594 20 0 BFADHN CCC(CC)NC(=O)c1cccc(CN(C)C)c1 ZINC000193923811 388719642 /nfs/dbraw/zinc/71/96/42/388719642.db2.gz KDGIXEKYCLXCKA-UHFFFAOYSA-N 0 3 248.370 2.667 20 0 BFADHN CC[C@H]1CN(C[C@H](C)Cc2ccccc2)CCO1 ZINC000249490056 388724626 /nfs/dbraw/zinc/72/46/26/388724626.db2.gz ZGYXAITXWOCLDO-ZBFHGGJFSA-N 0 3 247.382 2.976 20 0 BFADHN CC(C)N(Cc1cncc(F)c1)CC1CC1 ZINC000553889007 388792776 /nfs/dbraw/zinc/79/27/76/388792776.db2.gz OSYQFEQNCACLNR-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN COc1nc(C)cc(C)c1CN1C2CCC1CC2 ZINC000554210510 388802955 /nfs/dbraw/zinc/80/29/55/388802955.db2.gz BBOPIIOPSZGYBU-UHFFFAOYSA-N 0 3 246.354 2.834 20 0 BFADHN CC[C@@H](O)CNCc1ccc(Cl)c(Cl)c1 ZINC000229963505 388806353 /nfs/dbraw/zinc/80/63/53/388806353.db2.gz DMSAVMKYBAXTLO-SECBINFHSA-N 0 3 248.153 2.854 20 0 BFADHN Cn1ccnc1[C@@H](NCCCC1CC1)C1CC1 ZINC000540495561 388807462 /nfs/dbraw/zinc/80/74/62/388807462.db2.gz YNXWAWDPZPJHEX-ZDUSSCGKSA-N 0 3 233.359 2.651 20 0 BFADHN CN(Cc1ccc(Cl)cn1)CC1CC1 ZINC000554316669 388808837 /nfs/dbraw/zinc/80/88/37/388808837.db2.gz SSQLAHTYHWDARR-UHFFFAOYSA-N 0 3 210.708 2.577 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@H]2C[C@@H]2C(F)F)cn1 ZINC000554372143 388812319 /nfs/dbraw/zinc/81/23/19/388812319.db2.gz ITJRAOSOBNISNJ-MIMYLULJSA-N 0 3 243.301 2.768 20 0 BFADHN C[C@H](CC[S@@](C)=O)N[C@H](C)c1ccsc1 ZINC000252729956 388776603 /nfs/dbraw/zinc/77/66/03/388776603.db2.gz JBURLBUFWACESS-IQMDTDKHSA-N 0 3 245.413 2.556 20 0 BFADHN C[C@H]1CN(Cc2coc3ccccc23)CC[C@H]1O ZINC000250112737 388783581 /nfs/dbraw/zinc/78/35/81/388783581.db2.gz TWVBQPMPYVTIMJ-SMDDNHRTSA-N 0 3 245.322 2.636 20 0 BFADHN COC(C)(C)CN(C)Cc1ccc(C)c(F)c1 ZINC000539928135 388789990 /nfs/dbraw/zinc/78/99/90/388789990.db2.gz BDIWNNSUZYWZSV-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN CCC[C@@H](C(=O)OCC)N(C)C[C@H](C)CC ZINC000252892910 388790617 /nfs/dbraw/zinc/79/06/17/388790617.db2.gz PEAIHQZMZCBZKG-NEPJUHHUSA-N 0 3 229.364 2.696 20 0 BFADHN Cc1ccc(F)cc1CNC[C@]1(C)CCCO1 ZINC000129090768 388816974 /nfs/dbraw/zinc/81/69/74/388816974.db2.gz WUUNEFXDDUBWDW-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN COCC1(N[C@@H](C)c2ccccc2OC)CC1 ZINC000540749709 388817115 /nfs/dbraw/zinc/81/71/15/388817115.db2.gz LRWVOMKWJKFTPV-NSHDSACASA-N 0 3 235.327 2.525 20 0 BFADHN CCCCCN(CCCOC)Cc1ccno1 ZINC000540944852 388829155 /nfs/dbraw/zinc/82/91/55/388829155.db2.gz XLWXCNHTAMQYEP-UHFFFAOYSA-N 0 3 240.347 2.703 20 0 BFADHN CC(C)C[C@H]1COCCN1CC1(C)CC1 ZINC000555595048 388854854 /nfs/dbraw/zinc/85/48/54/388854854.db2.gz DBFQGLSJWQNETH-LBPRGKRZSA-N 0 3 211.349 2.533 20 0 BFADHN COc1cccc2c1CN(C[C@@H]1C[C@H]1C)CC2 ZINC000648769879 388857712 /nfs/dbraw/zinc/85/77/12/388857712.db2.gz HCOOQHVPJNABJT-YPMHNXCESA-N 0 3 231.339 2.709 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1cnoc1C ZINC000129676894 388864949 /nfs/dbraw/zinc/86/49/49/388864949.db2.gz HNLHMNQGIDSDKA-NSHDSACASA-N 0 3 228.361 2.556 20 0 BFADHN Cc1nc(CNCC2C(C)(C)C2(C)C)[nH]c1C ZINC000564666244 388884009 /nfs/dbraw/zinc/88/40/09/388884009.db2.gz GIUQFNFDNUVTSC-UHFFFAOYSA-N 0 3 235.375 2.798 20 0 BFADHN Cc1cc(C)c(NC(=O)[C@@H](C)NC2CC2)c(C)c1 ZINC000261676244 388878327 /nfs/dbraw/zinc/87/83/27/388878327.db2.gz CZCKGMUAMRENNS-GFCCVEGCSA-N 0 3 246.354 2.691 20 0 BFADHN CSCCN1CC[C@H](c2cc(C)ccn2)C1 ZINC000565170639 388917324 /nfs/dbraw/zinc/91/73/24/388917324.db2.gz LEEDMFHUAIFOJA-LBPRGKRZSA-N 0 3 236.384 2.542 20 0 BFADHN CCC(C)(C)[C@@H](C)[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000565288413 388934651 /nfs/dbraw/zinc/93/46/51/388934651.db2.gz OBMHTTZTPYGEDM-SNVBAGLBSA-N 0 3 238.379 2.842 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1nnc(C(C)C)[nH]1 ZINC000565288413 388934655 /nfs/dbraw/zinc/93/46/55/388934655.db2.gz OBMHTTZTPYGEDM-SNVBAGLBSA-N 0 3 238.379 2.842 20 0 BFADHN CC(C)COCCN1CCc2ccccc2CC1 ZINC000565292693 388934900 /nfs/dbraw/zinc/93/49/00/388934900.db2.gz RMNPIUWHFJLSCF-UHFFFAOYSA-N 0 3 247.382 2.760 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(C2CC2)cc1 ZINC000565526184 388951035 /nfs/dbraw/zinc/95/10/35/388951035.db2.gz ULAGYHFOVFNRLZ-GJZGRUSLSA-N 0 3 231.339 2.831 20 0 BFADHN C[C@@H](NCc1ccncc1F)C(C)(C)C ZINC000565837206 388973049 /nfs/dbraw/zinc/97/30/49/388973049.db2.gz NGPOSAWKNDYFDP-SECBINFHSA-N 0 3 210.296 2.745 20 0 BFADHN c1cc(-c2ccc(CN[C@@H]3[C@@H]4CCC[C@@H]43)o2)n[nH]1 ZINC000565845001 388973622 /nfs/dbraw/zinc/97/36/22/388973622.db2.gz HFDSLPKJQDJXQT-GNXNZQSNSA-N 0 3 243.310 2.558 20 0 BFADHN CC[C@@H]1CN(CCC(C)C)CCN1CC(F)F ZINC000153422463 388971548 /nfs/dbraw/zinc/97/15/48/388971548.db2.gz RJQHXWOSJQYCRD-GFCCVEGCSA-N 0 3 248.361 2.694 20 0 BFADHN Cc1ccoc1CN[C@H](C)[C@@H]1CCCCO1 ZINC000271934972 389028296 /nfs/dbraw/zinc/02/82/96/389028296.db2.gz AAVSNQDUFCIROX-NEPJUHHUSA-N 0 3 223.316 2.635 20 0 BFADHN CO[C@H](C)CCN[C@H](C)c1cccnc1Cl ZINC000268822392 389004026 /nfs/dbraw/zinc/00/40/26/389004026.db2.gz HMERSYLEAGONPM-NXEZZACHSA-N 0 3 242.750 2.811 20 0 BFADHN CO[C@@H](C)CCN[C@@H](C)c1ccccc1F ZINC000268833563 389004591 /nfs/dbraw/zinc/00/45/91/389004591.db2.gz KWJMVPXXICASGU-QWRGUYRKSA-N 0 3 225.307 2.901 20 0 BFADHN CCOCCN[C@H](C)c1ccc(F)c(C)c1 ZINC000268777982 389004936 /nfs/dbraw/zinc/00/49/36/389004936.db2.gz ONLAPEHJZZAVHD-LLVKDONJSA-N 0 3 225.307 2.821 20 0 BFADHN c1c2ccccc2sc1CN[C@@H]1CCOC1 ZINC000268818230 389005134 /nfs/dbraw/zinc/00/51/34/389005134.db2.gz QQRYDXGQSMOJBX-LLVKDONJSA-N 0 3 233.336 2.780 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccc(C)o1)C(C)C ZINC000268886871 389005767 /nfs/dbraw/zinc/00/57/67/389005767.db2.gz CHVFSRIQXNNWPN-WCQYABFASA-N 0 3 225.332 2.910 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCOC2(CCC2)C1 ZINC000268997289 389007576 /nfs/dbraw/zinc/00/75/76/389007576.db2.gz YAFMRCJCMVBXFA-GFCCVEGCSA-N 0 3 235.327 2.779 20 0 BFADHN CC[C@@H]1CN(Cc2ccccc2Cl)C[C@@H]1O ZINC000270902374 389016377 /nfs/dbraw/zinc/01/63/77/389016377.db2.gz MRTOUXNHMNYZNN-MFKMUULPSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H](NCCF)c1ccc2c(c1)CCC2 ZINC000271730982 389023419 /nfs/dbraw/zinc/02/34/19/389023419.db2.gz YRBJRTZJEFGXOP-JTQLQIEISA-N 0 3 207.292 2.795 20 0 BFADHN CC[C@H](O)CN[C@@H](C)c1ccc(F)c(C)c1 ZINC000271788314 389026051 /nfs/dbraw/zinc/02/60/51/389026051.db2.gz MKQMLUJSQOVLCI-JQWIXIFHSA-N 0 3 225.307 2.556 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1ccc(Cl)cn1 ZINC000274822459 389048723 /nfs/dbraw/zinc/04/87/23/389048723.db2.gz BVWLTOCNVMGVMN-KOLCDFICSA-N 0 3 240.734 2.565 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1ccc(Cl)cn1 ZINC000274873634 389049153 /nfs/dbraw/zinc/04/91/53/389049153.db2.gz GEKYZNDLEMNTKQ-ONGXEEELSA-N 0 3 240.734 2.565 20 0 BFADHN CC[C@H]1[C@H](C)CC[N@@H+]1Cc1nc(C)ccc1[O-] ZINC000274973210 389051161 /nfs/dbraw/zinc/05/11/61/389051161.db2.gz WNENJYCVOASPSY-MFKMUULPSA-N 0 3 234.343 2.716 20 0 BFADHN CC[C@H]1[C@H](C)CC[N@H+]1Cc1nc(C)ccc1[O-] ZINC000274973210 389051167 /nfs/dbraw/zinc/05/11/67/389051167.db2.gz WNENJYCVOASPSY-MFKMUULPSA-N 0 3 234.343 2.716 20 0 BFADHN COc1ncccc1CN1C[C@H](C)CC(C)(C)C1 ZINC000488267791 533258618 /nfs/dbraw/zinc/25/86/18/533258618.db2.gz VKALCEXDKKJZJW-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccc2c(c1)CN(C[C@@H]1C[C@H]1C)CC2 ZINC000488294953 533260897 /nfs/dbraw/zinc/26/08/97/533260897.db2.gz MPLWAOSCCYZJTJ-YPMHNXCESA-N 0 3 231.339 2.709 20 0 BFADHN COCC[C@H](N[C@@H]1C[C@H](C)[C@H]1C)c1ccco1 ZINC000494963259 533314371 /nfs/dbraw/zinc/31/43/71/533314371.db2.gz XWCCLDLJCYTIDE-QNWHQSFQSA-N 0 3 237.343 2.991 20 0 BFADHN C[C@@H](NCCC[C@@H]1CCOC1)c1ccccn1 ZINC000400546675 533389816 /nfs/dbraw/zinc/38/98/16/533389816.db2.gz MJSYVOIBPLLYPP-CHWSQXEVSA-N 0 3 234.343 2.549 20 0 BFADHN C/C(=C/c1ccccc1)CN1CC[C@H](C)[C@@H](O)C1 ZINC000349358669 533391541 /nfs/dbraw/zinc/39/15/41/533391541.db2.gz YGQZYICLCGXDFL-JJPUIBJASA-N 0 3 245.366 2.793 20 0 BFADHN C/C(=C\c1ccccc1)CN1C[C@H](O)C[C@H]1C ZINC000335667790 533411880 /nfs/dbraw/zinc/41/18/80/533411880.db2.gz SFEVYWOYZBWCLW-OSEPDQNXSA-N 0 3 231.339 2.545 20 0 BFADHN COc1cccc(CN2CCC(C(C)C)CC2)n1 ZINC000173586193 533474547 /nfs/dbraw/zinc/47/45/47/533474547.db2.gz NQNAEZWANNOYBF-UHFFFAOYSA-N 0 3 248.370 2.958 20 0 BFADHN C1=CC[C@@H](CN2CCN3CCCC[C@@H]3C2)CC1 ZINC000227351153 533479794 /nfs/dbraw/zinc/47/97/94/533479794.db2.gz ZHKKMJGLUCFHKB-HUUCEWRRSA-N 0 3 234.387 2.513 20 0 BFADHN COc1cccc(CN2CC[C@@H](CC(C)C)C2)n1 ZINC000173909981 533483326 /nfs/dbraw/zinc/48/33/26/533483326.db2.gz GUWMPFMLWAWOEZ-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cc(CN2C[C@@H](O)C[C@@H]2C)ccc1Cl ZINC000471802380 533630759 /nfs/dbraw/zinc/63/07/59/533630759.db2.gz BPBBUGKISPIGDB-JQWIXIFHSA-N 0 3 239.746 2.604 20 0 BFADHN Cc1cc(CN2C[C@H](C)CCC[C@@H]2C)nn1C ZINC000487371436 533634634 /nfs/dbraw/zinc/63/46/34/533634634.db2.gz VWZJHKHKRWNTFH-NEPJUHHUSA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@@](C)(CO)NCc1ccsc1Cl ZINC000308743343 171171279 /nfs/dbraw/zinc/17/12/79/171171279.db2.gz QAOOEGHQTYKKQM-JTQLQIEISA-N 0 3 233.764 2.652 20 0 BFADHN CC(C)OCCN[C@@H]1CCc2ccccc21 ZINC000042237800 322837071 /nfs/dbraw/zinc/83/70/71/322837071.db2.gz BHQJGRHNXZZQBJ-CQSZACIVSA-N 0 3 219.328 2.689 20 0 BFADHN CCC(C)(C)CCN1C[C@@H](C)O[C@H](C)C1 ZINC000348926518 168275668 /nfs/dbraw/zinc/27/56/68/168275668.db2.gz IFYXDYGIBPDJJP-VXGBXAGGSA-N 0 3 213.365 2.922 20 0 BFADHN CC/C=C\CCN1CCN(c2ccccn2)CC1 ZINC000342217800 132227148 /nfs/dbraw/zinc/22/71/48/132227148.db2.gz IODXLPKIFLTURV-ARJAWSKDSA-N 0 3 245.370 2.560 20 0 BFADHN Cc1ccc2nccc(N3CCC(O)CC3)c2c1 ZINC000302152882 132261225 /nfs/dbraw/zinc/26/12/25/132261225.db2.gz LGKKNVOBLCIFKB-UHFFFAOYSA-N 0 3 242.322 2.504 20 0 BFADHN C[C@@H]1CCC[C@@H]1N[C@H]1C[C@H](C)n2ccnc21 ZINC000345346743 133760288 /nfs/dbraw/zinc/76/02/88/133760288.db2.gz CUTXKHGRJDBUQD-RHYQMDGZSA-N 0 3 219.332 2.667 20 0 BFADHN COc1ccnc(CN2C[C@H]3CCCC[C@@H]3C2)c1 ZINC000335804424 133915182 /nfs/dbraw/zinc/91/51/82/133915182.db2.gz ZYRJYIBFIYFAQF-CHWSQXEVSA-N 0 3 246.354 2.712 20 0 BFADHN C[C@@H]1CCN(Cc2nc3cccnc3s2)[C@H]1C ZINC000335934104 134011861 /nfs/dbraw/zinc/01/18/61/134011861.db2.gz DOGDXARKVMASML-ZJUUUORDSA-N 0 3 247.367 2.922 20 0 BFADHN Cc1ccc(C)c(CN2C[C@H](C)N(C)C[C@@H]2C)c1 ZINC000351945792 134015334 /nfs/dbraw/zinc/01/53/34/134015334.db2.gz UOCQGDBFHAMJFF-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1ccc(CN2C[C@H](C)N(C)C[C@H]2C)cc1 ZINC000351943286 134015339 /nfs/dbraw/zinc/01/53/39/134015339.db2.gz FNZHSIUHQGMIAN-UONOGXRCSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1nocc1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000335940779 134017015 /nfs/dbraw/zinc/01/70/15/134017015.db2.gz QAOHABKBBNTQLO-GXFFZTMASA-N 0 3 222.332 2.994 20 0 BFADHN Cc1c(CN2CC[C@H](C)C3(CCC3)C2)cnn1C ZINC000335947061 134020726 /nfs/dbraw/zinc/02/07/26/134020726.db2.gz BBSXGAZCBVYOAX-LBPRGKRZSA-N 0 3 247.386 2.741 20 0 BFADHN NC(=O)[C@H]1CCN(c2ccnc3ccccc32)C1 ZINC000335959208 134024248 /nfs/dbraw/zinc/02/42/48/134024248.db2.gz RTORAILSRLASFE-JTQLQIEISA-N 0 3 241.294 2.596 20 0 BFADHN Fc1cncc(CN2CC[C@@H]3CCC[C@H]32)c1 ZINC000335960289 134026467 /nfs/dbraw/zinc/02/64/67/134026467.db2.gz YRGNXHZOZMBKHP-WCQYABFASA-N 0 3 220.291 2.595 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C3CC3)C2)on1 ZINC000335993766 134041679 /nfs/dbraw/zinc/04/16/79/134041679.db2.gz XHPKKIWDQVAVGZ-GFCCVEGCSA-N 0 3 220.316 2.605 20 0 BFADHN Fc1ccc(CN2CC[C@H]3CCC[C@@H]32)nc1 ZINC000336052179 134065126 /nfs/dbraw/zinc/06/51/26/134065126.db2.gz IXTRODBEIRPHML-MFKMUULPSA-N 0 3 220.291 2.595 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1cc(-n2ccnc2)cs1 ZINC000336071135 134071760 /nfs/dbraw/zinc/07/17/60/134071760.db2.gz BBHZBFYMTYFCFZ-PHIMTYICSA-N 0 3 247.367 2.917 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1cc(-n2ccnc2)cs1 ZINC000336071134 134072063 /nfs/dbraw/zinc/07/20/63/134072063.db2.gz BBHZBFYMTYFCFZ-GHMZBOCLSA-N 0 3 247.367 2.917 20 0 BFADHN C[C@H]1[C@H](CO)CCN1Cc1cc2ccccc2o1 ZINC000336096425 134080601 /nfs/dbraw/zinc/08/06/01/134080601.db2.gz ARGNVYTWNKHAHJ-AAEUAGOBSA-N 0 3 245.322 2.636 20 0 BFADHN Cc1cnc([C@H](C)N2CCCC[C@@H](C)C2)cn1 ZINC000336115452 134088189 /nfs/dbraw/zinc/08/81/89/134088189.db2.gz LUORABKEEHWEMW-YPMHNXCESA-N 0 3 233.359 2.968 20 0 BFADHN Cc1ncc(CN2CCCC3(CCCC3)C2)cn1 ZINC000336132288 134095214 /nfs/dbraw/zinc/09/52/14/134095214.db2.gz RFJVOQZDDVYTON-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN C[C@@H]1CC2(CCC2)CN1Cc1cccnc1 ZINC000336138837 134096699 /nfs/dbraw/zinc/09/66/99/134096699.db2.gz LUZAQSSMAXVZAY-GFCCVEGCSA-N 0 3 216.328 2.846 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC(C)(C)CC1 ZINC000336164637 134112589 /nfs/dbraw/zinc/11/25/89/134112589.db2.gz PLBPOQYYARXIIC-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1n[nH]c(C)c1CN1CC(C)(C)[C@H]1C1CC1 ZINC000336184448 134120416 /nfs/dbraw/zinc/12/04/16/134120416.db2.gz HHHAJWKKLSXVJE-CYBMUJFWSA-N 0 3 233.359 2.647 20 0 BFADHN NC(=O)[C@@H]1CCCCN1CC1=CCCCC1 ZINC000336196416 134126232 /nfs/dbraw/zinc/12/62/32/134126232.db2.gz KPJSSZHQYAAQKQ-LBPRGKRZSA-N 0 3 222.332 2.877 20 0 BFADHN CCc1cc(N2C[C@H](C(N)=O)CC[C@@H]2C)ccn1 ZINC000336197385 134126914 /nfs/dbraw/zinc/12/69/14/134126914.db2.gz BTBITRDRWPIJRL-WDEREUQCSA-N 0 3 247.342 2.784 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CC1CC(F)(F)C1 ZINC000336197791 134127561 /nfs/dbraw/zinc/12/75/61/134127561.db2.gz SXMUUNOHNPSGMS-ONGXEEELSA-N 0 3 233.302 2.531 20 0 BFADHN Fc1cnccc1CN1CC[C@H]2CCC[C@@H]21 ZINC000336205285 134131584 /nfs/dbraw/zinc/13/15/84/134131584.db2.gz QIKLSMBWXJRXOE-MFKMUULPSA-N 0 3 220.291 2.595 20 0 BFADHN CCC1CC(N[C@H]2C[C@@H](C)n3ccnc32)C1 ZINC000336205773 134131920 /nfs/dbraw/zinc/13/19/20/134131920.db2.gz IWCIBTOBWXGUPU-YYJSSNLHSA-N 0 3 219.332 2.667 20 0 BFADHN Cc1cnccc1CN1C[C@H](C(N)=O)CC[C@H]1C ZINC000336211281 134134633 /nfs/dbraw/zinc/13/46/33/134134633.db2.gz HVQJFGPYKJLPCO-DGCLKSJQSA-N 0 3 247.342 2.526 20 0 BFADHN c1c2cccnc2oc1CN1CC[C@H]1C1CC1 ZINC000336216163 134137100 /nfs/dbraw/zinc/13/71/00/134137100.db2.gz GBKSVSHTCGIWRH-ZDUSSCGKSA-N 0 3 228.295 2.812 20 0 BFADHN Cc1ccncc1CN1CCC2(CCC2)C1 ZINC000336218417 134138633 /nfs/dbraw/zinc/13/86/33/134138633.db2.gz MXIZYQGRXIIACT-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CC(C)[C@@H](O)CCN[C@@H](C)c1ccsc1 ZINC000168229427 134160764 /nfs/dbraw/zinc/16/07/64/134160764.db2.gz QSPBEHWPGFCVGU-JQWIXIFHSA-N 0 3 227.373 2.806 20 0 BFADHN C[C@H](CN(C)C)NCc1cc(F)cc(Cl)c1 ZINC000168267656 134161361 /nfs/dbraw/zinc/16/13/61/134161361.db2.gz PNYQECBLELPWID-SECBINFHSA-N 0 3 244.741 2.519 20 0 BFADHN C[C@H](C[C@H](C)O)NCc1cc(F)cc(Cl)c1 ZINC000168269059 134161734 /nfs/dbraw/zinc/16/17/34/134161734.db2.gz ICEUTNAHJRXYEB-BDAKNGLRSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@H](CN(C)C)N[C@@H](C)c1ccc(F)c(F)c1 ZINC000168756549 134247962 /nfs/dbraw/zinc/24/79/62/134247962.db2.gz VASUFGAFSSWYCB-ZJUUUORDSA-N 0 3 242.313 2.566 20 0 BFADHN OCCCCN1CC=C(c2ccccc2)CC1 ZINC000170870749 134254287 /nfs/dbraw/zinc/25/42/87/134254287.db2.gz MGCNQSFLDHSOHG-UHFFFAOYSA-N 0 3 231.339 2.548 20 0 BFADHN CC[C@H](C)N(C)CC(=O)N1CCCC[C@@H]1CC ZINC000170915910 134255560 /nfs/dbraw/zinc/25/55/60/134255560.db2.gz KDBRXCOFFWFJMM-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H](C)NC(=O)CN1CCCCC[C@@H]1CC ZINC000172642758 134266846 /nfs/dbraw/zinc/26/68/46/134266846.db2.gz UWAZNHJBEAEUDF-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN CCn1ccnc1CN1C[C@H](C)CCC[C@@H]1C ZINC000172874184 134269641 /nfs/dbraw/zinc/26/96/41/134269641.db2.gz SBDVSXFZCPWSHW-OLZOCXBDSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1cccn2cc(CN[C@H]3CC[C@@H](C)C3)nc12 ZINC000174412893 134281295 /nfs/dbraw/zinc/28/12/95/134281295.db2.gz DZCDWEZTISAOLQ-YPMHNXCESA-N 0 3 243.354 2.921 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2cncn2C)CC1 ZINC000177078466 134297408 /nfs/dbraw/zinc/29/74/08/134297408.db2.gz NHZNHLOSPBPOOT-ZDUSSCGKSA-N 0 3 235.375 2.678 20 0 BFADHN CC[C@H](C)CN(CC)CC(=O)N[C@@H](C)C(C)C ZINC000177858673 134303796 /nfs/dbraw/zinc/30/37/96/134303796.db2.gz GXWKRWMNXFHOMU-STQMWFEESA-N 0 3 242.407 2.515 20 0 BFADHN CCOc1ncccc1CN[C@@H](C)C1CCC1 ZINC000177890710 134304023 /nfs/dbraw/zinc/30/40/23/134304023.db2.gz JEWNPXMTFRYVCH-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN Cc1cccc2nc(CN3CC[C@H](C)[C@@H]3C)cn21 ZINC000177879125 134304165 /nfs/dbraw/zinc/30/41/65/134304165.db2.gz KVCVAHRDNPHKJZ-AAEUAGOBSA-N 0 3 243.354 2.873 20 0 BFADHN CC(C)[C@@H](O)CN[C@@H]1CCCOc2ccccc21 ZINC000178346083 134308981 /nfs/dbraw/zinc/30/89/81/134308981.db2.gz KQRSSGSTJHJPLV-KGLIPLIRSA-N 0 3 249.354 2.507 20 0 BFADHN C[C@@H](NC[C@H]1CCC[C@@H](C)C1)c1ncc[nH]1 ZINC000221149636 134317227 /nfs/dbraw/zinc/31/72/27/134317227.db2.gz OJZMNHZUDWFYCO-UTUOFQBUSA-N 0 3 221.348 2.887 20 0 BFADHN Cc1ccc(F)c(CN[C@]2(C)CCCOC2)c1 ZINC000221343578 134320127 /nfs/dbraw/zinc/32/01/27/134320127.db2.gz UKVLDESZNNALLZ-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN C[C@@]1(NCc2ccccc2Cl)CCCOC1 ZINC000221340145 134320441 /nfs/dbraw/zinc/32/04/41/134320441.db2.gz ITMZHPDLPFKBHB-CYBMUJFWSA-N 0 3 239.746 2.999 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCc1cccnc1 ZINC000179637725 134322855 /nfs/dbraw/zinc/32/28/55/134322855.db2.gz DNMWSIHAQPZTHC-ZDUSSCGKSA-N 0 3 241.338 2.712 20 0 BFADHN CC(C)n1cc(CN2CC[C@H](C)[C@@H](C)C2)cn1 ZINC000179756105 134323795 /nfs/dbraw/zinc/32/37/95/134323795.db2.gz BGZMMANOCBVCHY-STQMWFEESA-N 0 3 235.375 2.942 20 0 BFADHN CN(Cc1n[nH]c(C2CC2)n1)C1CCCCCC1 ZINC000180401256 134327138 /nfs/dbraw/zinc/32/71/38/134327138.db2.gz IQTWOXGTJKGOBW-UHFFFAOYSA-N 0 3 248.374 2.837 20 0 BFADHN CCOC[C@H](C)NCc1cccc2cc[nH]c21 ZINC000222047055 134329290 /nfs/dbraw/zinc/32/92/90/134329290.db2.gz VGWGJISRYSMLIT-NSHDSACASA-N 0 3 232.327 2.683 20 0 BFADHN OCc1cccc(CNCc2cccc(F)c2)c1 ZINC000222118879 134329921 /nfs/dbraw/zinc/32/99/21/134329921.db2.gz UNGWNYKZKYSYBO-UHFFFAOYSA-N 0 3 245.297 2.608 20 0 BFADHN CCCn1cc(CN2[C@@H](C)CC[C@@H]2C)cn1 ZINC000180595274 134330101 /nfs/dbraw/zinc/33/01/01/134330101.db2.gz CWZQWRVJDVSESY-RYUDHWBXSA-N 0 3 221.348 2.666 20 0 BFADHN C[C@H](NCC1(C)CC1)c1ccc(F)cn1 ZINC000222186241 134330208 /nfs/dbraw/zinc/33/02/08/134330208.db2.gz PCFOQLDODVCITQ-VIFPVBQESA-N 0 3 208.280 2.671 20 0 BFADHN CCOCCN(C)Cc1ccc2c(c1)CCC2 ZINC000181042374 134333461 /nfs/dbraw/zinc/33/34/61/134333461.db2.gz AASWJKOAOYQYFY-UHFFFAOYSA-N 0 3 233.355 2.644 20 0 BFADHN CCCC[C@H](COC)NCc1cncc(C)c1 ZINC000181836941 134340057 /nfs/dbraw/zinc/34/00/57/134340057.db2.gz ZSLGWNCRSHQPRG-CQSZACIVSA-N 0 3 236.359 2.685 20 0 BFADHN CO[C@H](C)CNCc1ccc(C2CC2)cc1 ZINC000223892902 134343119 /nfs/dbraw/zinc/34/31/19/134343119.db2.gz NAWMMIXDPMSFED-LLVKDONJSA-N 0 3 219.328 2.689 20 0 BFADHN CO[C@@H](C)CNCc1cccc(C2CC2)c1 ZINC000223947827 134343353 /nfs/dbraw/zinc/34/33/53/134343353.db2.gz HVLGZDDYVXSXHI-NSHDSACASA-N 0 3 219.328 2.689 20 0 BFADHN CCn1ccnc1CN1C[C@H](C)[C@H]2CCCC[C@@H]21 ZINC000182487993 134345237 /nfs/dbraw/zinc/34/52/37/134345237.db2.gz NLLNTQJHTDDNFZ-MJBXVCDLSA-N 0 3 247.386 2.914 20 0 BFADHN CCN1CCC[C@H](n2ccc(C(F)(F)F)n2)C1 ZINC000182834536 134349140 /nfs/dbraw/zinc/34/91/40/134349140.db2.gz IZNQDTBFHPSBLX-VIFPVBQESA-N 0 3 247.264 2.559 20 0 BFADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)c1ccoc1 ZINC000183229218 134352808 /nfs/dbraw/zinc/35/28/08/134352808.db2.gz XDKXOGCBMNDZER-DEQVHRJGSA-N 0 3 247.338 2.888 20 0 BFADHN c1ccc2c(c1)COC[C@H]2N[C@H]1CCCSC1 ZINC000226011136 134358108 /nfs/dbraw/zinc/35/81/08/134358108.db2.gz SOBGFGMLALDLOE-GXTWGEPZSA-N 0 3 249.379 2.743 20 0 BFADHN CCc1nc(CNC[C@@H]2CC2(C)C)cs1 ZINC000226254179 134362283 /nfs/dbraw/zinc/36/22/83/134362283.db2.gz QGYQFCPRTTYMGV-VIFPVBQESA-N 0 3 224.373 2.841 20 0 BFADHN COc1ccc(OC)c(CNC[C@@H]2CC2(C)C)c1 ZINC000226257867 134362325 /nfs/dbraw/zinc/36/23/25/134362325.db2.gz YINDFESGKHLHNF-LBPRGKRZSA-N 0 3 249.354 2.840 20 0 BFADHN CC1(C)C[C@H]1CNCc1cccc2c1OCO2 ZINC000226255777 134362559 /nfs/dbraw/zinc/36/25/59/134362559.db2.gz MNCPPMWGTLBHAZ-NSHDSACASA-N 0 3 233.311 2.551 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1CCO[C@H]1C1CC1 ZINC000226514522 134364047 /nfs/dbraw/zinc/36/40/47/134364047.db2.gz HGHYVWMBPOYRMW-CABCVRRESA-N 0 3 249.329 2.791 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](C)[C@H]2C)on1 ZINC000226975067 134371217 /nfs/dbraw/zinc/37/12/17/134371217.db2.gz LLJAQQFLGGGGJT-UFGOTCBOSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@@H](C)C1)c1nccn1C ZINC000226913795 134371961 /nfs/dbraw/zinc/37/19/61/134371961.db2.gz NHGMMTFLTFLQOD-IJLUTSLNSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@@H](NC[C@H]1CC[C@@H](C)O1)c1ccsc1 ZINC000227038395 134373510 /nfs/dbraw/zinc/37/35/10/134373510.db2.gz PDSZUAXFCUFSBU-CKYFFXLPSA-N 0 3 225.357 2.966 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C1)c1nccs1 ZINC000227205105 134376020 /nfs/dbraw/zinc/37/60/20/134376020.db2.gz VKPMKXNGZOMBTN-BBBLOLIVSA-N 0 3 210.346 2.982 20 0 BFADHN COC[C@H](C)NCc1c(C)c2ccccc2n1C ZINC000227516178 134382272 /nfs/dbraw/zinc/38/22/72/134382272.db2.gz PFZAXULJCJUONX-NSHDSACASA-N 0 3 246.354 2.611 20 0 BFADHN Cc1csc(CNC[C@H]2CC[C@@H](C)C2)n1 ZINC000230412055 134514917 /nfs/dbraw/zinc/51/49/17/134514917.db2.gz NCMIVEFLBZCCPJ-KOLCDFICSA-N 0 3 224.373 2.977 20 0 BFADHN Clc1csc(CN[C@@H]2CCSC2)c1 ZINC000231348106 134542058 /nfs/dbraw/zinc/54/20/58/134542058.db2.gz BDHXVYJNGIPTOY-MRVPVSSYSA-N 0 3 233.789 2.997 20 0 BFADHN CSCC[C@@H](CO)N[C@H](C)c1cccs1 ZINC000130890560 134624491 /nfs/dbraw/zinc/62/44/91/134624491.db2.gz NOCZXUVQZYBUSK-ZJUUUORDSA-N 0 3 245.413 2.513 20 0 BFADHN CC[C@H](NCC(=O)NC(C)(C)C)c1ccccc1 ZINC000051628261 134683316 /nfs/dbraw/zinc/68/33/16/134683316.db2.gz SNUWQWNTGYTHNE-ZDUSSCGKSA-N 0 3 248.370 2.642 20 0 BFADHN C[C@@H](N[C@@H](C)CCc1ccco1)c1nccn1C ZINC000070443656 134918102 /nfs/dbraw/zinc/91/81/02/134918102.db2.gz YVQGXWVCHRPOOL-NWDGAFQWSA-N 0 3 247.342 2.685 20 0 BFADHN C[C@H](N[C@H]1C[C@H](C)C[C@H](C)C1)c1nccn1C ZINC000246867162 134963951 /nfs/dbraw/zinc/96/39/51/134963951.db2.gz JJUWMJUALBZGSZ-QNWHQSFQSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H]1CN(Cc2ccon2)[C@H]2CCCC[C@@H]12 ZINC000248413146 135006356 /nfs/dbraw/zinc/00/63/56/135006356.db2.gz FXKFLCZTBXDEJZ-WXHSDQCUSA-N 0 3 220.316 2.685 20 0 BFADHN CO[C@@H](C)CN1CCC[C@H]1c1cccc(F)c1 ZINC000248415062 135006803 /nfs/dbraw/zinc/00/68/03/135006803.db2.gz HOTZHEGCIULERM-FZMZJTMJSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H]1CN(Cc2ccon2)[C@@H]2CCCC[C@H]21 ZINC000248413144 135006824 /nfs/dbraw/zinc/00/68/24/135006824.db2.gz FXKFLCZTBXDEJZ-KGYLQXTDSA-N 0 3 220.316 2.685 20 0 BFADHN CC[C@H](N[C@H](C)CCOC)c1nccs1 ZINC000070717429 135009662 /nfs/dbraw/zinc/00/96/62/135009662.db2.gz UFJFATCFFRSLRW-ZJUUUORDSA-N 0 3 228.361 2.609 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@H]3CCCC[C@H]32)o1 ZINC000248438358 135009904 /nfs/dbraw/zinc/00/99/04/135009904.db2.gz SXHKMSZQKPCLTA-CYZMBNFOSA-N 0 3 234.343 2.994 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)o1 ZINC000248438359 135009946 /nfs/dbraw/zinc/00/99/46/135009946.db2.gz SXHKMSZQKPCLTA-DRZSPHRISA-N 0 3 234.343 2.994 20 0 BFADHN C[C@H]1CCCN1CCOc1ccccc1F ZINC000076115787 135010197 /nfs/dbraw/zinc/01/01/97/135010197.db2.gz JEOLDHGEFVOHSQ-NSHDSACASA-N 0 3 223.291 2.689 20 0 BFADHN Cc1csc([C@H](C)N[C@H]2CCO[C@H](C)C2)n1 ZINC000070732759 135010860 /nfs/dbraw/zinc/01/08/60/135010860.db2.gz WWQZTDSHPXTCLO-VWYCJHECSA-N 0 3 240.372 2.670 20 0 BFADHN CC(C)N(C(=O)[C@H](C)N1CCC[C@@H]1C)C(C)C ZINC000076117922 135012079 /nfs/dbraw/zinc/01/20/79/135012079.db2.gz UMRXAUNBLFHCOA-STQMWFEESA-N 0 3 240.391 2.505 20 0 BFADHN CC/C=C/CCN1CCS[C@@H]2COCC[C@H]21 ZINC000248642035 135018447 /nfs/dbraw/zinc/01/84/47/135018447.db2.gz YXKSQPVSYCSGHB-CDJBXZAQSA-N 0 3 241.400 2.549 20 0 BFADHN CCN(C)c1ccc(CN2CCCC[C@H]2C)cn1 ZINC000248891387 135025414 /nfs/dbraw/zinc/02/54/14/135025414.db2.gz SDHIFMQBMAPRKG-CYBMUJFWSA-N 0 3 247.386 2.912 20 0 BFADHN C[C@@H]1CCC[C@H](N[C@H](CCO)c2ccco2)C1 ZINC000248998288 135029475 /nfs/dbraw/zinc/02/94/75/135029475.db2.gz MLZZYLKCQJLZSM-FRRDWIJNSA-N 0 3 237.343 2.871 20 0 BFADHN CO[C@H]1CN(Cc2ccc(C)s2)CC[C@@H]1C ZINC000249238296 135036125 /nfs/dbraw/zinc/03/61/25/135036125.db2.gz WIMCYLIQASREJS-GWCFXTLKSA-N 0 3 239.384 2.913 20 0 BFADHN CCN(Cc1nnc(C)o1)[C@@H]1CCCC[C@H]1C ZINC000249380340 135038240 /nfs/dbraw/zinc/03/82/40/135038240.db2.gz OYVVJOUFMLBSGE-ZYHUDNBSSA-N 0 3 237.347 2.779 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@@H]3OCCC[C@@H]23)o1 ZINC000250104528 135049613 /nfs/dbraw/zinc/04/96/13/135049613.db2.gz RVEOGWQLKQQDJJ-FMSGJZPZSA-N 0 3 235.327 2.806 20 0 BFADHN Cc1ccc(NC(=O)CN2CCCC2(C)C)cc1 ZINC000077382724 135062684 /nfs/dbraw/zinc/06/26/84/135062684.db2.gz MQXKQUKWQPSVMO-UHFFFAOYSA-N 0 3 246.354 2.808 20 0 BFADHN Cc1ccc(CN[C@@H]2[C@H]3CCCO[C@H]3C2(C)C)o1 ZINC000251236344 135067074 /nfs/dbraw/zinc/06/70/74/135067074.db2.gz OKQQVQNPIUOMTJ-MGPQQGTHSA-N 0 3 249.354 2.881 20 0 BFADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1cccc(O)c1 ZINC000252150655 135085497 /nfs/dbraw/zinc/08/54/97/135085497.db2.gz OOOHDXZBHZBWIY-FOGDFJRCSA-N 0 3 237.368 2.937 20 0 BFADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1ccco1 ZINC000086934830 135102383 /nfs/dbraw/zinc/10/23/83/135102383.db2.gz BKKRXYJHQBRXRM-UTLUCORTSA-N 0 3 211.330 2.824 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccccc2F)CS1 ZINC000086934298 135102726 /nfs/dbraw/zinc/10/27/26/135102726.db2.gz TWZZJSKRDHDQAF-MWLCHTKSSA-N 0 3 225.332 2.809 20 0 BFADHN C[C@H](CCN[C@@H](C)c1ccsc1)[S@](C)=O ZINC000252731949 135104373 /nfs/dbraw/zinc/10/43/73/135104373.db2.gz SITAKAGSTIOBFO-FTGAXOIBSA-N 0 3 245.413 2.556 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCOC2)c2ccccc21 ZINC000252731790 135104854 /nfs/dbraw/zinc/10/48/54/135104854.db2.gz ROSNCXYHSJBGKC-UHIISALHSA-N 0 3 217.312 2.613 20 0 BFADHN C[C@@H](O)CCN(C)[C@H](C)c1cccs1 ZINC000252741233 135106670 /nfs/dbraw/zinc/10/66/70/135106670.db2.gz RIGVPOSDOHVKME-NXEZZACHSA-N 0 3 213.346 2.512 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000252749583 135107747 /nfs/dbraw/zinc/10/77/47/135107747.db2.gz FEASERDYIKBQDC-ZPFDUUQYSA-N 0 3 237.343 2.652 20 0 BFADHN C[C@]1(CNCc2cccc(Cl)c2)CCCO1 ZINC000087234086 135114442 /nfs/dbraw/zinc/11/44/42/135114442.db2.gz MJHJQNWRPFICIC-CYBMUJFWSA-N 0 3 239.746 2.999 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CC[C@H](C)CC1 ZINC000252964377 135118843 /nfs/dbraw/zinc/11/88/43/135118843.db2.gz QXSHXTNJZILPDG-OTCDBFHCSA-N 0 3 222.332 2.960 20 0 BFADHN CCOCCN1CC=C(c2ccc(O)cc2)CC1 ZINC000078341902 135119543 /nfs/dbraw/zinc/11/95/43/135119543.db2.gz HVJIKHJLLOXPQQ-UHFFFAOYSA-N 0 3 247.338 2.518 20 0 BFADHN c1cc2c(s1)CCN(CCc1ccncc1)C2 ZINC000078429129 135123846 /nfs/dbraw/zinc/12/38/46/135123846.db2.gz RARKEDJTSDFHHZ-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCOC2)cc1F ZINC000087363964 135126927 /nfs/dbraw/zinc/12/69/27/135126927.db2.gz XHTUZXHJVXACDR-ZYHUDNBSSA-N 0 3 223.291 2.574 20 0 BFADHN CO[C@H]1CCCN(C/C=C\c2ccc(F)cc2)C1 ZINC000255421169 135130003 /nfs/dbraw/zinc/13/00/03/135130003.db2.gz CGGXGAIDFUWUKG-HKLWWIALSA-N 0 3 249.329 2.950 20 0 BFADHN CC(C)=CCN1CCN(C)C[C@H]1c1ccccc1 ZINC000255954682 135130550 /nfs/dbraw/zinc/13/05/50/135130550.db2.gz YPZDYTYERZTKNN-INIZCTEOSA-N 0 3 244.382 2.941 20 0 BFADHN CC1(C)[C@H](O)CCN1Cc1cccc(Cl)c1 ZINC000258795053 135133980 /nfs/dbraw/zinc/13/39/80/135133980.db2.gz MWFXUHCRMWWOEL-GFCCVEGCSA-N 0 3 239.746 2.685 20 0 BFADHN Cc1cc(CN[C@H]2CCC(C)(C)C2)no1 ZINC000087771518 135167425 /nfs/dbraw/zinc/16/74/25/135167425.db2.gz SFEOCYDFRUHVBQ-JTQLQIEISA-N 0 3 208.305 2.651 20 0 BFADHN CC1(C)CC[C@@H](NCc2cscn2)C1 ZINC000087771836 135168234 /nfs/dbraw/zinc/16/82/34/135168234.db2.gz VAXPIVOHWRZCSU-SECBINFHSA-N 0 3 210.346 2.811 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@H]1CCC[C@H](C)CC1 ZINC000261542201 135182460 /nfs/dbraw/zinc/18/24/60/135182460.db2.gz NRXYENOKQDEHFA-OBJOEFQTSA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@@H](O)CN[C@@H](CC)c1ccccc1OC ZINC000163913164 135209064 /nfs/dbraw/zinc/20/90/64/135209064.db2.gz LUGCOSDLOOFFJM-YPMHNXCESA-N 0 3 237.343 2.507 20 0 BFADHN CCCCN(C)CCNCc1ccc(Cl)o1 ZINC000163981120 135209421 /nfs/dbraw/zinc/20/94/21/135209421.db2.gz QWZZVNUZEVYOKQ-UHFFFAOYSA-N 0 3 244.766 2.755 20 0 BFADHN CC[C@H](C)[C@](C)(O)CNCc1ccc(Cl)o1 ZINC000164002912 135211832 /nfs/dbraw/zinc/21/18/32/135211832.db2.gz LTCQVRKUDWHHMQ-JOYOIKCWSA-N 0 3 245.750 2.820 20 0 BFADHN CC(C)CO[C@@H]1CCN(Cc2cccc(N)c2)C1 ZINC000261750060 135249917 /nfs/dbraw/zinc/24/99/17/135249917.db2.gz WIDYLLWGORLGSE-OAHLLOKOSA-N 0 3 248.370 2.516 20 0 BFADHN COc1cc(CN[C@@H]2C[C@@H]2C(F)F)ccc1C ZINC000342229259 135253582 /nfs/dbraw/zinc/25/35/82/135253582.db2.gz MCURDNLLHSKZEE-WDEREUQCSA-N 0 3 241.281 2.747 20 0 BFADHN FC(F)[C@H]1C[C@H]1NCc1cccc2[nH]ccc21 ZINC000342234362 135254747 /nfs/dbraw/zinc/25/47/47/135254747.db2.gz UPIQTQIJDNZQBX-CMPLNLGQSA-N 0 3 236.265 2.911 20 0 BFADHN F[C@@H]1CC[C@@H](NCc2ccc(-c3cc[nH]n3)o2)C1 ZINC000342289218 135256364 /nfs/dbraw/zinc/25/63/64/135256364.db2.gz LVIWVEBDTMPWDO-NXEZZACHSA-N 0 3 249.289 2.650 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1CC[C@@H](F)C1 ZINC000342306951 135258358 /nfs/dbraw/zinc/25/83/58/135258358.db2.gz WGMNVHURIVCRDO-OLZOCXBDSA-N 0 3 237.318 2.984 20 0 BFADHN CCn1nc(C)c(CN[C@@H]2CC[C@H](F)C2)c1C ZINC000342272300 135260608 /nfs/dbraw/zinc/26/06/08/135260608.db2.gz DRLNLQGYSRNGCN-NWDGAFQWSA-N 0 3 239.338 2.500 20 0 BFADHN COc1cc(C)c(CN2CCCCC2)cc1OC ZINC000342335296 135265019 /nfs/dbraw/zinc/26/50/19/135265019.db2.gz QOXNAHCPPVVNPT-UHFFFAOYSA-N 0 3 249.354 2.998 20 0 BFADHN C[C@@H](NCCF)c1cccc(N2CCCC2)c1 ZINC000342345674 135265754 /nfs/dbraw/zinc/26/57/54/135265754.db2.gz VHUCKZWCLQVFCI-GFCCVEGCSA-N 0 3 236.334 2.907 20 0 BFADHN CN(Cc1cccc2c1NCC2)[C@@H]1CC1(C)C ZINC000262025026 135273903 /nfs/dbraw/zinc/27/39/03/135273903.db2.gz WNNPYQBOBNAEMC-CYBMUJFWSA-N 0 3 230.355 2.885 20 0 BFADHN Cc1ccc([C@H](NC[C@H](C)O)C2CCCC2)o1 ZINC000342533299 135281121 /nfs/dbraw/zinc/28/11/21/135281121.db2.gz CWMWCNXJEIATCF-IINYFYTJSA-N 0 3 237.343 2.790 20 0 BFADHN CC1(C)[C@H](NCCF)C[C@@H]1Oc1ccccc1 ZINC000342571008 135290284 /nfs/dbraw/zinc/29/02/84/135290284.db2.gz BIMDRUQAHFXDOV-OLZOCXBDSA-N 0 3 237.318 2.792 20 0 BFADHN CC1(C)[C@@H](NCCF)C[C@@H]1Oc1ccccc1 ZINC000342571010 135290341 /nfs/dbraw/zinc/29/03/41/135290341.db2.gz BIMDRUQAHFXDOV-STQMWFEESA-N 0 3 237.318 2.792 20 0 BFADHN C[C@@H](c1cnccn1)N(C)C[C@@H]1CC=CCC1 ZINC000342634092 135298156 /nfs/dbraw/zinc/29/81/56/135298156.db2.gz OEUIZLQKIZJWPR-QWHCGFSZSA-N 0 3 231.343 2.826 20 0 BFADHN CCC1CC(NCc2cn3ccccc3n2)C1 ZINC000342595554 135300284 /nfs/dbraw/zinc/30/02/84/135300284.db2.gz LIWORWROVQINID-UHFFFAOYSA-N 0 3 229.327 2.613 20 0 BFADHN CCc1nn(C)c(CC)c1CNC1CC(CC)C1 ZINC000342694282 135306706 /nfs/dbraw/zinc/30/67/06/135306706.db2.gz DBLMFHNQEGZKFH-UHFFFAOYSA-N 0 3 249.402 2.823 20 0 BFADHN CCCC[C@H](N)C(=O)N1CCC[C@H](CC)CC1 ZINC000079339315 135307375 /nfs/dbraw/zinc/30/73/75/135307375.db2.gz UBVJRXDNRTZQFE-STQMWFEESA-N 0 3 240.391 2.543 20 0 BFADHN CCC(C)(C)CCN(C)[C@@H](C)C[S@@](C)=O ZINC000342663668 135308516 /nfs/dbraw/zinc/30/85/16/135308516.db2.gz QYBZTDJSEODBHH-XHDPSFHLSA-N 0 3 233.421 2.512 20 0 BFADHN CCCN(CC)Cc1cccc(OCC)n1 ZINC000342663373 135308632 /nfs/dbraw/zinc/30/86/32/135308632.db2.gz MMMFHBMIZZANRO-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN Cc1cnc(CN2CCCC[C@@H]2C(C)C)n1C ZINC000342797878 135321287 /nfs/dbraw/zinc/32/12/87/135321287.db2.gz QVTQQGDFAIGNFP-CYBMUJFWSA-N 0 3 235.375 2.739 20 0 BFADHN CC(C)(C)c1ncc(CN[C@H]2C=CCCC2)cn1 ZINC000342798523 135321337 /nfs/dbraw/zinc/32/13/37/135321337.db2.gz VBUDZDYMWQRHSI-ZDUSSCGKSA-N 0 3 245.370 2.972 20 0 BFADHN CCC[C@H]1CCCCN1Cc1ncc(C)n1C ZINC000342768919 135326487 /nfs/dbraw/zinc/32/64/87/135326487.db2.gz NTFPMVJYLLQZOY-ZDUSSCGKSA-N 0 3 235.375 2.883 20 0 BFADHN c1oc2ccccc2c1CN1CC2(C1)CCOC2 ZINC000334307552 135349735 /nfs/dbraw/zinc/34/97/35/135349735.db2.gz HPIHVCJSSOGWAL-UHFFFAOYSA-N 0 3 243.306 2.655 20 0 BFADHN Cc1nc2ccccn2c1CN[C@@H]1CCC[C@@H]1F ZINC000334325981 135353539 /nfs/dbraw/zinc/35/35/39/135353539.db2.gz BIXKQWNEJBZBPD-NWDGAFQWSA-N 0 3 247.317 2.623 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cscn1 ZINC000334351306 135368505 /nfs/dbraw/zinc/36/85/05/135368505.db2.gz GJCMELJXTFDYHF-ONGXEEELSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cscn1 ZINC000334351302 135368556 /nfs/dbraw/zinc/36/85/56/135368556.db2.gz GJCMELJXTFDYHF-MWLCHTKSSA-N 0 3 210.346 2.764 20 0 BFADHN CC1=C(C(=O)Nc2ccnc(N(C)C)c2)CCC1 ZINC000334357862 135370193 /nfs/dbraw/zinc/37/01/93/135370193.db2.gz RBMRFRBNAVXJBF-UHFFFAOYSA-N 0 3 245.326 2.587 20 0 BFADHN Fc1cccc(CN2CCC[C@@H]3COCC[C@@H]32)c1 ZINC000334367307 135374600 /nfs/dbraw/zinc/37/46/00/135374600.db2.gz RADDNPJPXUNSRI-HIFRSBDPSA-N 0 3 249.329 2.827 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCC(C)(C)C2)nn1C ZINC000334400227 135389522 /nfs/dbraw/zinc/38/95/22/135389522.db2.gz MWYYWBGOJRAQBR-NWDGAFQWSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1ncc(CN2CC[C@]3(CC[C@@H](C)C3)C2)cn1 ZINC000334415532 135395065 /nfs/dbraw/zinc/39/50/65/135395065.db2.gz PULOAHSVZCUMDZ-DOMZBBRYSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1cccc([C@@H]2CCN(Cc3cc[nH]n3)C2)c1 ZINC000334414996 135396937 /nfs/dbraw/zinc/39/69/37/135396937.db2.gz NLMVXWLAFAYTMD-CQSZACIVSA-N 0 3 241.338 2.708 20 0 BFADHN C[C@@H](O)C[C@@H](C)NCc1ccccc1Cl ZINC000164562000 135398084 /nfs/dbraw/zinc/39/80/84/135398084.db2.gz CYKZZVRYYPHHKV-NXEZZACHSA-N 0 3 227.735 2.589 20 0 BFADHN CC(C)n1nccc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC000334419086 135399554 /nfs/dbraw/zinc/39/95/54/135399554.db2.gz PSUOQTRTRWXFLI-BETUJISGSA-N 0 3 233.359 2.552 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccccc2Cl)CO1 ZINC000335423495 135412475 /nfs/dbraw/zinc/41/24/75/135412475.db2.gz ABZVKNXOSSSNFD-GXSJLCMTSA-N 0 3 225.719 2.607 20 0 BFADHN Cc1ccc(NC(=O)[C@H]2CCCCCN2)c(C)c1 ZINC000083896354 135459959 /nfs/dbraw/zinc/45/99/59/135459959.db2.gz SZXLRTWUNBIGAO-CQSZACIVSA-N 0 3 246.354 2.774 20 0 BFADHN COC[C@@H](C)N[C@@H]1CCCc2ccc(OC)cc21 ZINC000092383241 135576041 /nfs/dbraw/zinc/57/60/41/135576041.db2.gz DXSNWOWFCAGMDX-IAQYHMDHSA-N 0 3 249.354 2.697 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCCCC1(C)C ZINC000094323928 135612376 /nfs/dbraw/zinc/61/23/76/135612376.db2.gz OZTTYFMVEDVGNU-GFCCVEGCSA-N 0 3 235.375 2.961 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1cnns1 ZINC000334623644 135795575 /nfs/dbraw/zinc/79/55/75/135795575.db2.gz LJLMQMFAIUXDTP-GXSJLCMTSA-N 0 3 239.388 2.843 20 0 BFADHN CO[C@H](C)[C@@H](C)NC1(c2ccc(F)cc2)CC1 ZINC000346803150 135972176 /nfs/dbraw/zinc/97/21/76/135972176.db2.gz WSMVYLXIVHNREK-GHMZBOCLSA-N 0 3 237.318 2.828 20 0 BFADHN Fc1cccc(CN[C@@H]2CC23CC3)c1F ZINC000335284129 136001371 /nfs/dbraw/zinc/00/13/71/136001371.db2.gz CSZFHVYWOXTLNR-SNVBAGLBSA-N 0 3 209.239 2.607 20 0 BFADHN Cc1ccc(CN[C@H]2CC23CC3)cc1F ZINC000335284079 136001534 /nfs/dbraw/zinc/00/15/34/136001534.db2.gz AQSVHTAUOCXYOU-LBPRGKRZSA-N 0 3 205.276 2.776 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1CC12CC2 ZINC000335284357 136001971 /nfs/dbraw/zinc/00/19/71/136001971.db2.gz IZCHFECUOWHCSQ-GFCCVEGCSA-N 0 3 205.276 2.776 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCCC[C@@H]2C)n(C)n1 ZINC000335297076 136006861 /nfs/dbraw/zinc/00/68/61/136006861.db2.gz BTZHNDRKYHSDGT-FZMZJTMJSA-N 0 3 235.375 2.739 20 0 BFADHN CCc1ccc(CN2CCC[C@H](COC)C2)cn1 ZINC000347786965 136009558 /nfs/dbraw/zinc/00/95/58/136009558.db2.gz RENOBNPMUFHOET-AWEZNQCLSA-N 0 3 248.370 2.502 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C2)c(C)c1OC ZINC000347792298 136010333 /nfs/dbraw/zinc/01/03/33/136010333.db2.gz WLQFWBHCEPCQKK-LLVKDONJSA-N 0 3 249.354 2.854 20 0 BFADHN CC[C@H]1CCCN(Cc2c(C)cnn2C)CC1 ZINC000347848151 136012404 /nfs/dbraw/zinc/01/24/04/136012404.db2.gz ADOJYCURWHTBNI-ZDUSSCGKSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1cnn(C)c1CN1CCC[C@H](CC(C)C)C1 ZINC000347866599 136016371 /nfs/dbraw/zinc/01/63/71/136016371.db2.gz OBQZJUWCWZEBRK-CQSZACIVSA-N 0 3 249.402 2.987 20 0 BFADHN [O-]c1cccnc1C[NH2+]Cc1ccc(Cl)cc1 ZINC000347937061 136019082 /nfs/dbraw/zinc/01/90/82/136019082.db2.gz IYEHYGUXBCCKFL-UHFFFAOYSA-N 0 3 248.713 2.730 20 0 BFADHN CC(C)n1nccc1CN1CCC2(CCC2)C1 ZINC000335315012 136023988 /nfs/dbraw/zinc/02/39/88/136023988.db2.gz JDRFUAMOTMLIFY-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN CC1(C)CN(Cc2ccno2)[C@@H]2CCC[C@H]21 ZINC000335309849 136028691 /nfs/dbraw/zinc/02/86/91/136028691.db2.gz UWVJBUZNCFOJBB-VXGBXAGGSA-N 0 3 220.316 2.685 20 0 BFADHN C[C@H](N[C@H]1C[C@H]1C(F)F)c1cccc(O)c1 ZINC000348146798 136039345 /nfs/dbraw/zinc/03/93/45/136039345.db2.gz FSHWRHBSJLZWDU-XROYCOCOSA-N 0 3 227.254 2.696 20 0 BFADHN C[C@@H](c1ccc(Cl)cc1)N(C)C1CC(O)C1 ZINC000348219830 136047253 /nfs/dbraw/zinc/04/72/53/136047253.db2.gz MLABPWUBBFPLML-ALXWSUNGSA-N 0 3 239.746 2.856 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1C[C@H]1C(F)F ZINC000348192158 136049330 /nfs/dbraw/zinc/04/93/30/136049330.db2.gz XFVJFPNWBPSVKB-GHMZBOCLSA-N 0 3 229.245 2.877 20 0 BFADHN C(=C/c1ccncc1)\CN1C[C@H]2CCC[C@@H]21 ZINC000335334910 136055332 /nfs/dbraw/zinc/05/53/32/136055332.db2.gz FSCKXFYZJBUWKJ-DHMVDLOISA-N 0 3 214.312 2.579 20 0 BFADHN CC(C)OCCN1CC[C@@H](C(F)(F)F)[C@H]1C ZINC000348248428 136056761 /nfs/dbraw/zinc/05/67/61/136056761.db2.gz VKEYSRAMSCBQHQ-NXEZZACHSA-N 0 3 239.281 2.684 20 0 BFADHN OC[C@@]1(F)CCN(CCCC2CCCCC2)C1 ZINC000348285397 136060371 /nfs/dbraw/zinc/06/03/71/136060371.db2.gz OYGJINNWNQZYKX-CQSZACIVSA-N 0 3 243.366 2.753 20 0 BFADHN Cc1nccc(CN2CCC[C@@H]3CCCC[C@@H]32)n1 ZINC000335347033 136067003 /nfs/dbraw/zinc/06/70/03/136067003.db2.gz XJVHUNNEVASIJA-ZFWWWQNUSA-N 0 3 245.370 2.940 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NCCC1(F)CCC1 ZINC000348389741 136075648 /nfs/dbraw/zinc/07/56/48/136075648.db2.gz HJDDTRYLVOLZLO-JTQLQIEISA-N 0 3 239.338 2.661 20 0 BFADHN Cc1ccc2cc([C@H](C)NCCN(C)C)oc2c1 ZINC000348336895 136076030 /nfs/dbraw/zinc/07/60/30/136076030.db2.gz SLZLVYIBIQVLNH-LBPRGKRZSA-N 0 3 246.354 2.953 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CC[C@H](F)C2)c(C)n1 ZINC000348410217 136077462 /nfs/dbraw/zinc/07/74/62/136077462.db2.gz NUQVFKUILOKJFE-DLOVCJGASA-N 0 3 237.322 2.635 20 0 BFADHN Cc1ccc(CN[C@H]2CCC[C@H](F)C2)o1 ZINC000335372765 136084407 /nfs/dbraw/zinc/08/44/07/136084407.db2.gz PEYKASIJVIZNBY-QWRGUYRKSA-N 0 3 211.280 2.958 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@H](F)C2)nc1 ZINC000335374714 136085184 /nfs/dbraw/zinc/08/51/84/136085184.db2.gz ZNCUEKIPHISRGU-NWDGAFQWSA-N 0 3 222.307 2.760 20 0 BFADHN Cc1cccc2ncc(CNC3CC(C)(F)C3)n21 ZINC000335375847 136085514 /nfs/dbraw/zinc/08/55/14/136085514.db2.gz MLFAMHHJYLKBQA-UHFFFAOYSA-N 0 3 247.317 2.623 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@@H](F)C2)s1 ZINC000335384186 136088228 /nfs/dbraw/zinc/08/82/28/136088228.db2.gz DRWSKVRAZZMZLF-NXEZZACHSA-N 0 3 228.336 2.822 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2CCC[C@H](F)C2)c1 ZINC000335384887 136088463 /nfs/dbraw/zinc/08/84/63/136088463.db2.gz HGHNHTBNAKJXGH-CMPLNLGQSA-N 0 3 241.281 2.902 20 0 BFADHN C[C@@H]1CCN(Cc2c[nH]nc2-c2ccsc2)C1 ZINC000335385285 136088588 /nfs/dbraw/zinc/08/85/88/136088588.db2.gz DWNMSPKCZLWTBJ-SNVBAGLBSA-N 0 3 247.367 2.980 20 0 BFADHN CC(C)c1nc(CN2CC[C@@H]3CCCC[C@@H]32)n[nH]1 ZINC000335387455 136089145 /nfs/dbraw/zinc/08/91/45/136089145.db2.gz CKOUBIIQKPDOLG-RYUDHWBXSA-N 0 3 248.374 2.693 20 0 BFADHN COc1ccncc1CN1CC[C@@H]2CCCC[C@@H]21 ZINC000335387400 136089509 /nfs/dbraw/zinc/08/95/09/136089509.db2.gz ABESVLGOPQRNFU-JSGCOSHPSA-N 0 3 246.354 2.855 20 0 BFADHN CC1(C(N)=O)CN(C[C@@H]2CCCCC2(F)F)C1 ZINC000335394749 136092249 /nfs/dbraw/zinc/09/22/49/136092249.db2.gz IPMHXDNIVYSXRE-VIFPVBQESA-N 0 3 246.301 2.669 20 0 BFADHN Cc1cnc(CCN2C[C@H](C)[C@H]2C)c(C)c1 ZINC000335364606 136095273 /nfs/dbraw/zinc/09/52/73/136095273.db2.gz BWULNIYLJMHEOL-QWHCGFSZSA-N 0 3 218.344 2.581 20 0 BFADHN Cc1cnc(CCN2C[C@H](C)[C@@H]2C)c(C)c1 ZINC000335364607 136095320 /nfs/dbraw/zinc/09/53/20/136095320.db2.gz BWULNIYLJMHEOL-STQMWFEESA-N 0 3 218.344 2.581 20 0 BFADHN CC(C)OC(=O)CCN1CC[C@@H]1c1ccccc1 ZINC000348539648 136095484 /nfs/dbraw/zinc/09/54/84/136095484.db2.gz AFTVEKKGYGODSS-CQSZACIVSA-N 0 3 247.338 2.775 20 0 BFADHN CCC(CC)CN1C[C@H](C)[S@](=O)[C@@H](CC)C1 ZINC000348547633 136095971 /nfs/dbraw/zinc/09/59/71/136095971.db2.gz PGNHXSWXSLYQNM-RBOXIYTFSA-N 0 3 245.432 2.654 20 0 BFADHN CCN1CCN(Cc2ccc(C)s2)[C@H](C)C1 ZINC000348550738 136096110 /nfs/dbraw/zinc/09/61/10/136096110.db2.gz SZSSSTBJFPKYPA-LLVKDONJSA-N 0 3 238.400 2.583 20 0 BFADHN c1cnc(CN2CCCC3(CCCC3)C2)cn1 ZINC000335591785 136103597 /nfs/dbraw/zinc/10/35/97/136103597.db2.gz VXYYFHPDARLKMD-UHFFFAOYSA-N 0 3 231.343 2.633 20 0 BFADHN C[C@@H]1C[C@H](O)CN1Cc1cccc(C2CC2)c1 ZINC000335560501 136105988 /nfs/dbraw/zinc/10/59/88/136105988.db2.gz UICPLTFWKUHBQO-ABAIWWIYSA-N 0 3 231.339 2.519 20 0 BFADHN C[C@H](N[C@H]1CCCC(F)(F)C1)c1ccncn1 ZINC000335572833 136110507 /nfs/dbraw/zinc/11/05/07/136110507.db2.gz RQKAQKIELOPICR-UWVGGRQHSA-N 0 3 241.285 2.705 20 0 BFADHN C[C@@H](N[C@@H]1CCCC(F)(F)C1)c1ccncn1 ZINC000335572832 136110517 /nfs/dbraw/zinc/11/05/17/136110517.db2.gz RQKAQKIELOPICR-NXEZZACHSA-N 0 3 241.285 2.705 20 0 BFADHN c1coc(CN2CCC[C@@]3(CCSC3)C2)c1 ZINC000335582294 136113072 /nfs/dbraw/zinc/11/30/72/136113072.db2.gz UQLDZEVBIBOFII-CYBMUJFWSA-N 0 3 237.368 2.999 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1ccncc1F ZINC000335582239 136113235 /nfs/dbraw/zinc/11/32/35/136113235.db2.gz SBEWWESGQJRHEX-JQWIXIFHSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCCC[C@@H]1c1cc[nH]n1 ZINC000348709326 136117218 /nfs/dbraw/zinc/11/72/18/136117218.db2.gz MGDXCMMTCXEMLR-NTZNESFSSA-N 0 3 219.332 2.593 20 0 BFADHN COC[C@@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC000045655990 322844164 /nfs/dbraw/zinc/84/41/64/322844164.db2.gz BYRFCXUZCIZGAO-UWVGGRQHSA-N 0 3 243.297 2.898 20 0 BFADHN Cc1nnsc1CN[C@H]1CCC[C@@H](C)CC1 ZINC000381628596 397774541 /nfs/dbraw/zinc/77/45/41/397774541.db2.gz MDIBXPUNZSXRGX-KOLCDFICSA-N 0 3 239.388 2.905 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1cccc(F)c1 ZINC000308951744 397843675 /nfs/dbraw/zinc/84/36/75/397843675.db2.gz PISSNNJEWFMBMP-NEPJUHHUSA-N 0 3 225.332 2.809 20 0 BFADHN Cc1cc(CNC[C@H]2CC[C@@H](C)O2)cs1 ZINC000394578335 397848115 /nfs/dbraw/zinc/84/81/15/397848115.db2.gz XNLWNFOHOMHOFP-BXKDBHETSA-N 0 3 225.357 2.714 20 0 BFADHN CC[C@H](N[C@H](C)CC(C)C)c1ccn(C)n1 ZINC000310000201 397874960 /nfs/dbraw/zinc/87/49/60/397874960.db2.gz DNZCDEYMFFXMND-NEPJUHHUSA-N 0 3 223.364 2.895 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CC1(SC)CCC1 ZINC000356105525 397927459 /nfs/dbraw/zinc/92/74/59/397927459.db2.gz XJSFKNAZLSHSNU-RYUDHWBXSA-N 0 3 243.416 2.771 20 0 BFADHN Cn1ccc(CN2CCC[C@@H]3CCC[C@H]32)c1 ZINC000271164496 397964804 /nfs/dbraw/zinc/96/48/04/397964804.db2.gz YWZZGTNUAICRJU-UONOGXRCSA-N 0 3 218.344 2.790 20 0 BFADHN CN([C@H](CO)c1ccccc1)[C@@H]1C=CCCC1 ZINC000264980012 397929662 /nfs/dbraw/zinc/92/96/62/397929662.db2.gz KIVUDBNTXUTHFF-HUUCEWRRSA-N 0 3 231.339 2.761 20 0 BFADHN CC[C@@H](F)CN1CCC[C@@](C)(OC)CC1 ZINC000440526558 397929961 /nfs/dbraw/zinc/92/99/61/397929961.db2.gz QLKSWEIDJNZSLK-VXGBXAGGSA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@@H](F)CN1CCC[C@](C)(OC)CC1 ZINC000440526553 397930362 /nfs/dbraw/zinc/93/03/62/397930362.db2.gz QLKSWEIDJNZSLK-NEPJUHHUSA-N 0 3 217.328 2.626 20 0 BFADHN Cc1nocc1CNC[C@@H](C)C(C)(C)C ZINC000382284366 397930449 /nfs/dbraw/zinc/93/04/49/397930449.db2.gz JNYYXHNNFGEUIU-SECBINFHSA-N 0 3 210.321 2.755 20 0 BFADHN C[C@@H]1CCC[C@H](C)CN1Cc1cc2n(n1)CCC2 ZINC000649539703 397931503 /nfs/dbraw/zinc/93/15/03/397931503.db2.gz DEOAYAMWOQWPTN-QWHCGFSZSA-N 0 3 247.386 2.840 20 0 BFADHN CC[C@H](C)N1CCc2cccc(OC)c2C1 ZINC000650109785 397932081 /nfs/dbraw/zinc/93/20/81/397932081.db2.gz MLTMKHXVTRGCEH-NSHDSACASA-N 0 3 219.328 2.852 20 0 BFADHN CC[C@H](F)CN[C@H](C)[C@@H]1COc2ccccc21 ZINC000440529907 397932426 /nfs/dbraw/zinc/93/24/26/397932426.db2.gz XGJKXANBCPVNFP-MDZLAQPJSA-N 0 3 237.318 2.889 20 0 BFADHN c1cc2c(o1)CCCN(CCC[C@H]1CCOC1)C2 ZINC000645917886 397965812 /nfs/dbraw/zinc/96/58/12/397965812.db2.gz CXJRYRPHKJUIQU-ZDUSSCGKSA-N 0 3 249.354 2.845 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cc2n(n1)CCCC2 ZINC000649546497 397937282 /nfs/dbraw/zinc/93/72/82/397937282.db2.gz RSFUHAIHGHOQAO-TZMCWYRMSA-N 0 3 247.386 2.840 20 0 BFADHN CCc1ncc(CN(C)[C@@H](C)C2CCC2)cn1 ZINC000414438579 397937749 /nfs/dbraw/zinc/93/77/49/397937749.db2.gz DSGFYMQQIFFOLW-NSHDSACASA-N 0 3 233.359 2.659 20 0 BFADHN CC[C@]1(C)CCCN(CCc2cncc(C)n2)C1 ZINC000649545755 397940484 /nfs/dbraw/zinc/94/04/84/397940484.db2.gz QRYYLRJJMWEHPE-OAHLLOKOSA-N 0 3 247.386 2.840 20 0 BFADHN CC(C)CCOCCN1CCS[C@@H](C)[C@H]1C ZINC000118534218 397945286 /nfs/dbraw/zinc/94/52/86/397945286.db2.gz UQRWELUPKXHMKL-OLZOCXBDSA-N 0 3 245.432 2.875 20 0 BFADHN CCCCOCCN1CCS[C@H](C)[C@@H]1C ZINC000118534211 397945406 /nfs/dbraw/zinc/94/54/06/397945406.db2.gz YCMXCMLUBNJFSS-NWDGAFQWSA-N 0 3 231.405 2.629 20 0 BFADHN CSCCNC1(c2ccccc2C)CC1 ZINC000592139855 397945547 /nfs/dbraw/zinc/94/55/47/397945547.db2.gz KPVZRMWALVVZCL-UHFFFAOYSA-N 0 3 221.369 2.937 20 0 BFADHN FC1(F)CCC(CN2CCSCC2)CC1 ZINC000334539969 397947356 /nfs/dbraw/zinc/94/73/56/397947356.db2.gz DVGHNNFKCUDEQS-UHFFFAOYSA-N 0 3 235.343 2.861 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@@H](O)CC(C)(C)C1 ZINC000650162584 397947806 /nfs/dbraw/zinc/94/78/06/397947806.db2.gz BXNISSYKLYFMBA-TZMCWYRMSA-N 0 3 248.370 2.626 20 0 BFADHN Cc1c[nH]c(CNC23CCC(CC2)CC3)n1 ZINC000628299879 397948685 /nfs/dbraw/zinc/94/86/85/397948685.db2.gz DWHUBFUEJJCBFM-UHFFFAOYSA-N 0 3 219.332 2.531 20 0 BFADHN Cc1cccc(CCN2CCS[C@H](C)C2)c1 ZINC000449021066 397950757 /nfs/dbraw/zinc/95/07/57/397950757.db2.gz BNLMRZQQTTZMAE-CYBMUJFWSA-N 0 3 235.396 2.975 20 0 BFADHN Cc1cnc(CNC[C@H]2CCC[C@H]2C2CC2)n1C ZINC000414478066 397951752 /nfs/dbraw/zinc/95/17/52/397951752.db2.gz DAXIWUIVVVDGKT-KGLIPLIRSA-N 0 3 247.386 2.644 20 0 BFADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1ccnn1C ZINC000449554661 397955070 /nfs/dbraw/zinc/95/50/70/397955070.db2.gz OUKBDZRVOJNBAR-ZIAGYGMSSA-N 0 3 235.375 2.533 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1cncs1 ZINC000449554715 397955196 /nfs/dbraw/zinc/95/51/96/397955196.db2.gz PMWYWFBNQKPFNN-ONGXEEELSA-N 0 3 210.346 2.525 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3C[C@H](O)CC[C@@H]3C2)o1 ZINC000449556635 397955770 /nfs/dbraw/zinc/95/57/70/397955770.db2.gz SQZHZTXNXCZTFW-MGPQQGTHSA-N 0 3 249.354 2.571 20 0 BFADHN CC(C)[C@@H]1C[C@H]1C[NH2+]Cc1cc([O-])cc(F)c1 ZINC000449555348 397956052 /nfs/dbraw/zinc/95/60/52/397956052.db2.gz REAVNVUJRAXESU-FZMZJTMJSA-N 0 3 237.318 2.913 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1occc1C)C1CC1 ZINC000414500001 397957357 /nfs/dbraw/zinc/95/73/57/397957357.db2.gz KWOZJSLHUCFYKZ-RISCZKNCSA-N 0 3 237.343 2.881 20 0 BFADHN CCSCCCNCc1cnc(C2CC2)o1 ZINC000590662558 397909399 /nfs/dbraw/zinc/90/93/99/397909399.db2.gz CJRYOBJOJZQBBI-UHFFFAOYSA-N 0 3 240.372 2.785 20 0 BFADHN CCc1ccc(CCN2CCCOCC2)cc1 ZINC000360305291 397963533 /nfs/dbraw/zinc/96/35/33/397963533.db2.gz SIOOKVYQMYFSIX-UHFFFAOYSA-N 0 3 233.355 2.514 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1ccc(C)o1 ZINC000044688854 397968629 /nfs/dbraw/zinc/96/86/29/397968629.db2.gz PPNCMSPGAXXLTB-MWLCHTKSSA-N 0 3 211.305 2.664 20 0 BFADHN CCCn1cc(CN(C)[C@@H]2CC[C@@H](C)C2)cn1 ZINC000433046775 397916706 /nfs/dbraw/zinc/91/67/06/397916706.db2.gz IOSPYIFBKMXJEE-TZMCWYRMSA-N 0 3 235.375 2.914 20 0 BFADHN CCCCCC(=O)NC[C@@H]1CCN1C(C)(C)C ZINC000617018893 397917619 /nfs/dbraw/zinc/91/76/19/397917619.db2.gz BKUDPEQKQCLGNR-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CSCCN1CC[C@@H](c2cccc(F)c2)C1 ZINC000264294766 397917968 /nfs/dbraw/zinc/91/79/68/397917968.db2.gz SKUZXPYZGYLWJN-GFCCVEGCSA-N 0 3 239.359 2.978 20 0 BFADHN CCOCCN1CC[C@H](c2cccc(F)c2)C1 ZINC000264304921 397919472 /nfs/dbraw/zinc/91/94/72/397919472.db2.gz WZMQHKJLYJBUEB-ZDUSSCGKSA-N 0 3 237.318 2.652 20 0 BFADHN COc1cc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)ccn1 ZINC000355785299 397922548 /nfs/dbraw/zinc/92/25/48/397922548.db2.gz RCJYDMWGRKOPAW-JHJVBQTASA-N 0 3 248.370 2.957 20 0 BFADHN CC1=C[C@H](C)CN(Cc2cnc3ccccn23)C1 ZINC000430266185 397992868 /nfs/dbraw/zinc/99/28/68/397992868.db2.gz GOOVGPSMBKDJMB-LBPRGKRZSA-N 0 3 241.338 2.732 20 0 BFADHN C[C@H](c1cc2ccccc2o1)N(C)C[C@H](C)O ZINC000249287320 397993187 /nfs/dbraw/zinc/99/31/87/397993187.db2.gz PUAXHOPJRUEGHT-WDEREUQCSA-N 0 3 233.311 2.806 20 0 BFADHN C1CC1[C@H]1CC[C@@H](c2nc(C3CCCC3)no2)N1 ZINC000650225132 397996704 /nfs/dbraw/zinc/99/67/04/397996704.db2.gz FEVLQRJHDMKWJL-NEPJUHHUSA-N 0 3 247.342 2.930 20 0 BFADHN C[C@H]1CCCC[C@]1(C)NCc1cc2n(n1)CCC2 ZINC000649627099 398009053 /nfs/dbraw/zinc/00/90/53/398009053.db2.gz UUYZSHDWJCCROG-WFASDCNBSA-N 0 3 247.386 2.888 20 0 BFADHN Cc1ccc2nc(CNC3CC(C)C3)cn2c1 ZINC000274667186 398012255 /nfs/dbraw/zinc/01/22/55/398012255.db2.gz XJGBKJYWVVCPIA-UHFFFAOYSA-N 0 3 229.327 2.531 20 0 BFADHN CC[C@H](NCc1cc2n(n1)CCC2)[C@@H]1CC1(C)C ZINC000649632132 398014684 /nfs/dbraw/zinc/01/46/84/398014684.db2.gz XXWYFMWONNFKRR-KBPBESRZSA-N 0 3 247.386 2.744 20 0 BFADHN C[C@@H](NC/C=C\c1ccncc1)c1cccnc1 ZINC000486992456 398047143 /nfs/dbraw/zinc/04/71/43/398047143.db2.gz ZPEUGECNSGXAQK-QDOCKXBDSA-N 0 3 239.322 2.841 20 0 BFADHN c1nc(CCN2CCC(C3CC3)CC2)cs1 ZINC000649637947 398015581 /nfs/dbraw/zinc/01/55/81/398015581.db2.gz GAGPVKSGKBEIRW-UHFFFAOYSA-N 0 3 236.384 2.808 20 0 BFADHN Cc1ccc(CNC[C@@]2(C)CCO[C@H]2C2CC2)o1 ZINC000375121751 398021704 /nfs/dbraw/zinc/02/17/04/398021704.db2.gz VHMCKRUFCGJWCD-LSDHHAIUSA-N 0 3 249.354 2.883 20 0 BFADHN CC[C@@H](C)NCc1ccn(C2CCCC2)n1 ZINC000082867319 398022318 /nfs/dbraw/zinc/02/23/18/398022318.db2.gz ZJFCZMMENVLGJY-LLVKDONJSA-N 0 3 221.348 2.886 20 0 BFADHN CC1(C)CCC[C@](O)(CNCc2cccnc2)C1 ZINC000438375963 398047890 /nfs/dbraw/zinc/04/78/90/398047890.db2.gz KMLPZRKTOAJYNB-OAHLLOKOSA-N 0 3 248.370 2.503 20 0 BFADHN FC(F)(F)COCCN1CCC12CCCC2 ZINC000649656137 398024334 /nfs/dbraw/zinc/02/43/34/398024334.db2.gz AONCFBKLRQDCCR-UHFFFAOYSA-N 0 3 237.265 2.584 20 0 BFADHN c1nc2ccccn2c1CN1CCC12CCCC2 ZINC000649657519 398024421 /nfs/dbraw/zinc/02/44/21/398024421.db2.gz CJJVWSRYTYTJCX-UHFFFAOYSA-N 0 3 241.338 2.853 20 0 BFADHN Cc1noc(C)c1CCN(C)[C@@H]1CC1(C)C ZINC000489428003 398024946 /nfs/dbraw/zinc/02/49/46/398024946.db2.gz OECXKUZMUZMODN-GFCCVEGCSA-N 0 3 222.332 2.564 20 0 BFADHN C[C@@H](NC1CC(F)(F)C1)c1cccc(CO)c1 ZINC000277006291 398025294 /nfs/dbraw/zinc/02/52/94/398025294.db2.gz BCYVHTGASVIRSI-SECBINFHSA-N 0 3 241.281 2.627 20 0 BFADHN c1ccc(CN2CCC23CCCC3)nc1 ZINC000649656379 398026978 /nfs/dbraw/zinc/02/69/78/398026978.db2.gz KOEYTTJWXURZIS-UHFFFAOYSA-N 0 3 202.301 2.600 20 0 BFADHN Cc1ccoc1CN[C@@H](C)C[C@@H]1CCCO1 ZINC000336732879 398029048 /nfs/dbraw/zinc/02/90/48/398029048.db2.gz GGORWNGARIPMSN-RYUDHWBXSA-N 0 3 223.316 2.635 20 0 BFADHN C[C@@H](NC[C@@H]1CCCCN1C1CC1)c1ccco1 ZINC000278586640 398039679 /nfs/dbraw/zinc/03/96/79/398039679.db2.gz YMJXXFCDUOJQDA-OCCSQVGLSA-N 0 3 248.370 2.947 20 0 BFADHN Cc1csc(CN[C@@H]2C[C@@H](C)[C@@H]2C)n1 ZINC000307103723 398040884 /nfs/dbraw/zinc/04/08/84/398040884.db2.gz WSZWGYUYVJFDSN-FKTZTGRPSA-N 0 3 210.346 2.586 20 0 BFADHN CC(C)Oc1ccnc(CNC2(C3CC3)CC2)c1 ZINC000649583411 397970495 /nfs/dbraw/zinc/97/04/95/397970495.db2.gz LWAFHDALJXUSQN-UHFFFAOYSA-N 0 3 246.354 2.901 20 0 BFADHN FCCCCNCc1ccc(-c2cc[nH]n2)o1 ZINC000361053636 397971368 /nfs/dbraw/zinc/97/13/68/397971368.db2.gz RVFVOLOXZLIUPV-UHFFFAOYSA-N 0 3 237.278 2.509 20 0 BFADHN COC[C@@H](NCCC(C)C)c1ccco1 ZINC000184555667 398042670 /nfs/dbraw/zinc/04/26/70/398042670.db2.gz NGRYJQVPUVKWBL-LLVKDONJSA-N 0 3 211.305 2.603 20 0 BFADHN c1nc(CCN2CCC3(CCC3)CC2)cs1 ZINC000649595158 397983720 /nfs/dbraw/zinc/98/37/20/397983720.db2.gz WGWQOTKXAAHHAC-UHFFFAOYSA-N 0 3 236.384 2.952 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000161146395 398060970 /nfs/dbraw/zinc/06/09/70/398060970.db2.gz VJRSAVRNDHCWLI-FOGDFJRCSA-N 0 3 237.343 2.652 20 0 BFADHN CC[C@@H]1CN([C@@H]2C=CCCC2)[C@H](CC)CO1 ZINC000649721448 398064745 /nfs/dbraw/zinc/06/47/45/398064745.db2.gz YAWXLOUERDUSEK-MGPQQGTHSA-N 0 3 223.360 2.985 20 0 BFADHN COc1ccc(CNCCOC(C)(C)C)cc1 ZINC000122183695 398092979 /nfs/dbraw/zinc/09/29/79/398092979.db2.gz IITVZBBCZPZWPT-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN CCC1(NCc2ccn(C(F)F)n2)CCC1 ZINC000336737702 398072803 /nfs/dbraw/zinc/07/28/03/398072803.db2.gz NRHUJIGWGPLYRI-UHFFFAOYSA-N 0 3 229.274 2.701 20 0 BFADHN CC[C@@H]1CN(CCC(C)(C)C)[C@@H](C)CO1 ZINC000649734025 398074476 /nfs/dbraw/zinc/07/44/76/398074476.db2.gz REZKPYXLXYAQRF-NWDGAFQWSA-N 0 3 213.365 2.922 20 0 BFADHN CC[C@H]1CN(CCCc2ccncc2)[C@H](C)CO1 ZINC000649735230 398076365 /nfs/dbraw/zinc/07/63/65/398076365.db2.gz HWRFZBACSOMDIS-HIFRSBDPSA-N 0 3 248.370 2.514 20 0 BFADHN Cc1cncc(CN2CCC[C@H]2[C@@H]2CCCO2)c1 ZINC000284951185 398077668 /nfs/dbraw/zinc/07/76/68/398077668.db2.gz WZKXOHZEZRCCFH-GJZGRUSLSA-N 0 3 246.354 2.533 20 0 BFADHN Cc1cncc(CN2CCC[C@@H]2[C@H]2CCCO2)c1 ZINC000284951197 398077676 /nfs/dbraw/zinc/07/76/76/398077676.db2.gz WZKXOHZEZRCCFH-HUUCEWRRSA-N 0 3 246.354 2.533 20 0 BFADHN Cc1cncc(CN2CCC[C@@H]2[C@@H]2CCCO2)c1 ZINC000284951175 398078157 /nfs/dbraw/zinc/07/81/57/398078157.db2.gz WZKXOHZEZRCCFH-CABCVRRESA-N 0 3 246.354 2.533 20 0 BFADHN CCN(Cc1ccc(F)cc1)[C@@H]1CCCOC1 ZINC000649755431 398086594 /nfs/dbraw/zinc/08/65/94/398086594.db2.gz NWBFBARESVEWGM-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN CC[C@H](N)c1cn(C[C@H]2CCC[C@@H](C)C2)nn1 ZINC000383927763 398051932 /nfs/dbraw/zinc/05/19/32/398051932.db2.gz SBNYRPUGQVSTIE-WOPDTQHZSA-N 0 3 236.363 2.514 20 0 BFADHN Cc1ccnc(CN2CCC[C@H](OC(C)C)C2)c1 ZINC000649702391 398052966 /nfs/dbraw/zinc/05/29/66/398052966.db2.gz CPQVKUCATPIRSZ-HNNXBMFYSA-N 0 3 248.370 2.779 20 0 BFADHN CC[C@@H]1COC(C)(C)CN1CCCCCOC ZINC000649716008 398057379 /nfs/dbraw/zinc/05/73/79/398057379.db2.gz QNYRTPQTXOJDNE-CYBMUJFWSA-N 0 3 243.391 2.693 20 0 BFADHN FC1(CNCCCOc2ccccc2)CC1 ZINC000309611337 398104132 /nfs/dbraw/zinc/10/41/32/398104132.db2.gz ZNNGPROFXUHJGU-UHFFFAOYSA-N 0 3 223.291 2.547 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1C[C@@H](C)O[C@H]1C ZINC000384559933 398096774 /nfs/dbraw/zinc/09/67/74/398096774.db2.gz HPEVFCTZNSPJBM-MBNYWOFBSA-N 0 3 249.354 2.659 20 0 BFADHN Cc1c2cccc(C)c2oc1CN(C)C[C@@H](C)O ZINC000649820246 398121512 /nfs/dbraw/zinc/12/15/12/398121512.db2.gz XRGWXPBLLQZXGK-LLVKDONJSA-N 0 3 247.338 2.862 20 0 BFADHN COc1cc(C)nc(CNC2(C3CCC3)CC2)c1 ZINC000649810260 398116324 /nfs/dbraw/zinc/11/63/24/398116324.db2.gz AUOSANDOQWOUAP-UHFFFAOYSA-N 0 3 246.354 2.821 20 0 BFADHN CC[C@H](O)CN[C@H](C)c1csc(Cl)c1 ZINC000234032398 398116691 /nfs/dbraw/zinc/11/66/91/398116691.db2.gz KDEWBPKNLHTCPD-APPZFPTMSA-N 0 3 233.764 2.823 20 0 BFADHN Cc1ccc(CNC2(C3CCC3)CC2)nc1 ZINC000649810318 398117030 /nfs/dbraw/zinc/11/70/30/398117030.db2.gz FGOAVKNGPSWVRV-UHFFFAOYSA-N 0 3 216.328 2.812 20 0 BFADHN CC[C@H](C)N(CCO)Cc1ccc(Cl)s1 ZINC000308778675 171313116 /nfs/dbraw/zinc/31/31/16/171313116.db2.gz BORCIUVNXWXEHO-VIFPVBQESA-N 0 3 247.791 2.994 20 0 BFADHN C[C@H](CO)C1CCN(Cc2cccs2)CC1 ZINC000649800491 398110101 /nfs/dbraw/zinc/11/01/01/398110101.db2.gz UYFMKFMTGOBAFF-LLVKDONJSA-N 0 3 239.384 2.589 20 0 BFADHN CC[C@H](C)NCc1nc(C2CC2)cs1 ZINC000090458558 171344541 /nfs/dbraw/zinc/34/45/41/171344541.db2.gz GTCYYVPFARWRMS-QMMMGPOBSA-N 0 3 210.346 2.909 20 0 BFADHN COc1ncccc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000193359898 398110384 /nfs/dbraw/zinc/11/03/84/398110384.db2.gz QECAEDBKISCAQQ-OCCSQVGLSA-N 0 3 246.354 2.855 20 0 BFADHN c1ccc(CNC2(C3CCC3)CC2)nc1 ZINC000649808219 398112787 /nfs/dbraw/zinc/11/27/87/398112787.db2.gz WUCSPTWTIJXNSY-UHFFFAOYSA-N 0 3 202.301 2.504 20 0 BFADHN COc1nccnc1CN[C@H](C)C1CCCCC1 ZINC000449161771 398123839 /nfs/dbraw/zinc/12/38/39/398123839.db2.gz GSQBUYYVIYOXDE-LLVKDONJSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@H](C[C@@H]1CCCO1)N[C@@H](C)c1nccs1 ZINC000336742190 398131675 /nfs/dbraw/zinc/13/16/75/398131675.db2.gz NXNJXNQZPRGONE-VWYCJHECSA-N 0 3 240.372 2.751 20 0 BFADHN CSCCCNCc1cc2cccnc2o1 ZINC000449162261 398126869 /nfs/dbraw/zinc/12/68/69/398126869.db2.gz QXSBCWGEVFAFGN-UHFFFAOYSA-N 0 3 236.340 2.671 20 0 BFADHN CCCCN1CCN(C)C[C@@H]1Cc1ccccc1 ZINC000649829866 398130134 /nfs/dbraw/zinc/13/01/34/398130134.db2.gz QRCFBDRHERQTAF-INIZCTEOSA-N 0 3 246.398 2.645 20 0 BFADHN COC[C@H](C)N1CCc2ccccc2[C@H](C)C1 ZINC000649835110 398132669 /nfs/dbraw/zinc/13/26/69/398132669.db2.gz ZHOQSMQHWDPJLP-OLZOCXBDSA-N 0 3 233.355 2.683 20 0 BFADHN CC(C)NCc1c(F)cccc1N1CCCC1 ZINC000078371142 167117586 /nfs/dbraw/zinc/11/75/86/167117586.db2.gz XEPACNOZUYVQEF-UHFFFAOYSA-N 0 3 236.334 2.924 20 0 BFADHN CC(C)NCc1nc(-c2ccncc2)cs1 ZINC000053295353 167120944 /nfs/dbraw/zinc/12/09/44/167120944.db2.gz NARDFPCDDWAQOV-UHFFFAOYSA-N 0 3 233.340 2.703 20 0 BFADHN C/C(=C/c1ccccc1)CN(C)C[C@H](O)C1CC1 ZINC000685461395 487529112 /nfs/dbraw/zinc/52/91/12/487529112.db2.gz LFQVRKALUFTDTA-DDKJEQMHSA-N 0 3 245.366 2.793 20 0 BFADHN Cc1ccc(CN(C)C2(CO)CC2)cc1Cl ZINC000668798697 487557794 /nfs/dbraw/zinc/55/77/94/487557794.db2.gz RKQFWEMMLJNAMK-UHFFFAOYSA-N 0 3 239.746 2.605 20 0 BFADHN CCC1(CC)CCN(Cc2ccc(N)nc2)C1 ZINC000094810950 487559114 /nfs/dbraw/zinc/55/91/14/487559114.db2.gz YLYSVFXMIYYGOY-UHFFFAOYSA-N 0 3 233.359 2.676 20 0 BFADHN Cc1ccc([C@H]2CCN(Cc3cn[nH]c3)C2)cc1 ZINC000680334692 487567009 /nfs/dbraw/zinc/56/70/09/487567009.db2.gz PNQNJSWLBAQQKU-HNNXBMFYSA-N 0 3 241.338 2.708 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1cn(CC)nn1 ZINC000404419128 487568060 /nfs/dbraw/zinc/56/80/60/487568060.db2.gz AETAOWIUGIJIDM-RYUDHWBXSA-N 0 3 238.379 2.602 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN(C)C2(CO)CCC2)o1 ZINC000668802807 487568096 /nfs/dbraw/zinc/56/80/96/487568096.db2.gz YVSIYTDYUOUQIG-DGCLKSJQSA-N 0 3 249.354 2.750 20 0 BFADHN Fc1cccc(CN2CC(C3CCOCC3)C2)c1 ZINC000669715570 487568753 /nfs/dbraw/zinc/56/87/53/487568753.db2.gz WPYHVAOKEVWCKG-UHFFFAOYSA-N 0 3 249.329 2.684 20 0 BFADHN CCN[C@H](CCO)c1ccc(Cl)c(F)c1 ZINC000238286460 487571843 /nfs/dbraw/zinc/57/18/43/487571843.db2.gz JGDQJBZAUDXBIY-LLVKDONJSA-N 0 3 231.698 2.512 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1ccc(C)nc1C ZINC000685664024 487571938 /nfs/dbraw/zinc/57/19/38/487571938.db2.gz YHQCZMIOJTXYBV-RTXFEEFZSA-N 0 3 236.359 2.772 20 0 BFADHN CC(C)OCCCN(C)CCC(F)(F)F ZINC000336648221 167209502 /nfs/dbraw/zinc/20/95/02/167209502.db2.gz OEOZWJBCUSDEEE-UHFFFAOYSA-N 0 3 227.270 2.686 20 0 BFADHN CCc1ncc(CN[C@H]2[C@@H]3CCC[C@@H]32)s1 ZINC000393650948 487576756 /nfs/dbraw/zinc/57/67/56/487576756.db2.gz OZNJELVGEYFMHW-IAZYJMLFSA-N 0 3 222.357 2.594 20 0 BFADHN C[C@@H](CNCc1ncccc1F)C(C)(C)C ZINC000393745286 487580611 /nfs/dbraw/zinc/58/06/11/487580611.db2.gz IYQXGNQSUBQTHQ-JTQLQIEISA-N 0 3 224.323 2.993 20 0 BFADHN CC(C)OCCN(Cc1ccco1)C(C)C ZINC000299408363 167229545 /nfs/dbraw/zinc/22/95/45/167229545.db2.gz YSANHHBGOAFTKY-UHFFFAOYSA-N 0 3 225.332 2.915 20 0 BFADHN CCCn1nccc1CN(C)C[C@@H]1CC1(C)C ZINC000668814495 487582649 /nfs/dbraw/zinc/58/26/49/487582649.db2.gz LRADGGOGMMHWFE-LBPRGKRZSA-N 0 3 235.375 2.771 20 0 BFADHN CN(Cc1cccc2nccn21)C[C@@H]1CC1(C)C ZINC000668814803 487583838 /nfs/dbraw/zinc/58/38/38/487583838.db2.gz UWRGFOYLMWXCBJ-LBPRGKRZSA-N 0 3 243.354 2.812 20 0 BFADHN Cc1csc([C@H](C)N[C@H]2CS[C@@H](C)C2)n1 ZINC000086936145 487587586 /nfs/dbraw/zinc/58/75/86/487587586.db2.gz PQENXSDKUBRXLT-LPEHRKFASA-N 0 3 242.413 2.996 20 0 BFADHN C[C@H](N[C@@H]1CS[C@@H](C)C1)c1cccc(O)c1 ZINC000086935163 487591834 /nfs/dbraw/zinc/59/18/34/487591834.db2.gz OOOHDXZBHZBWIY-NHCYSSNCSA-N 0 3 237.368 2.937 20 0 BFADHN C[C@H](N[C@H]1CS[C@@H](C)C1)c1cccc(O)c1 ZINC000086935165 487591862 /nfs/dbraw/zinc/59/18/62/487591862.db2.gz OOOHDXZBHZBWIY-JBLDHEPKSA-N 0 3 237.368 2.937 20 0 BFADHN Fc1ccc(CCNCc2ccco2)cc1F ZINC000095050656 322916545 /nfs/dbraw/zinc/91/65/45/322916545.db2.gz HLLQJYIFVCBSOX-UHFFFAOYSA-N 0 3 237.249 2.890 20 0 BFADHN CC(C)[C@H](N[C@@H](C)c1nccn1C)C1CC1 ZINC000324390466 487593899 /nfs/dbraw/zinc/59/38/99/487593899.db2.gz MYQQSZUYPLDQOX-JQWIXIFHSA-N 0 3 221.348 2.505 20 0 BFADHN CC(C)[C@@H](N[C@@H](C)c1nccn1C)C1CC1 ZINC000324390464 487593994 /nfs/dbraw/zinc/59/39/94/487593994.db2.gz MYQQSZUYPLDQOX-CMPLNLGQSA-N 0 3 221.348 2.505 20 0 BFADHN CC(C)O[C@@H]1C[C@H](NCc2ccoc2)C1(C)C ZINC000185203729 167280728 /nfs/dbraw/zinc/28/07/28/167280728.db2.gz VQYMORSNUROAGN-QWHCGFSZSA-N 0 3 237.343 2.961 20 0 BFADHN Cc1ccc([C@@H](N[C@H](C)CCO)C2CCC2)o1 ZINC000680463864 487600307 /nfs/dbraw/zinc/60/03/07/487600307.db2.gz IBZKJTMJVWHYIL-YGRLFVJLSA-N 0 3 237.343 2.790 20 0 BFADHN CCC[C@H](C)CN(C)Cc1ccc(OC)nc1 ZINC000680481254 487604047 /nfs/dbraw/zinc/60/40/47/487604047.db2.gz ZUMJAUJSMQAZJS-LBPRGKRZSA-N 0 3 236.359 2.958 20 0 BFADHN CC[C@@H](C(=O)OC(C)(C)C)N(CC)CC1CC1 ZINC000192021243 487605915 /nfs/dbraw/zinc/60/59/15/487605915.db2.gz VVZCDBMXSWDKRX-LBPRGKRZSA-N 0 3 241.375 2.839 20 0 BFADHN CC(C)SCCN1CCS[C@H](C)[C@@H]1C ZINC000336680751 167325162 /nfs/dbraw/zinc/32/51/62/167325162.db2.gz GSVXQKGAJCINBW-WDEREUQCSA-N 0 3 233.446 2.954 20 0 BFADHN CC(C)SCCN1CCO[C@H](C(C)(C)C)C1 ZINC000338350138 167325565 /nfs/dbraw/zinc/32/55/65/167325565.db2.gz RCRYDYPPKFFZQI-LBPRGKRZSA-N 0 3 245.432 2.875 20 0 BFADHN CC(C)SCCN1CC[C@@](C)(F)C1 ZINC000336645795 167326093 /nfs/dbraw/zinc/32/60/93/167326093.db2.gz ZIYQVQWEQDVWCM-SNVBAGLBSA-N 0 3 205.342 2.562 20 0 BFADHN c1nc(C2CC2)ncc1CNC1(C2CCC2)CC1 ZINC000651958680 409693815 /nfs/dbraw/zinc/69/38/15/409693815.db2.gz NKLLWWBYCTYDQO-UHFFFAOYSA-N 0 3 243.354 2.776 20 0 BFADHN Cc1ncccc1CN(C)C[C@@H]1CC1(C)C ZINC000662343158 409656822 /nfs/dbraw/zinc/65/68/22/409656822.db2.gz IDGXFHGJDIHRMM-ZDUSSCGKSA-N 0 3 218.344 2.868 20 0 BFADHN C[C@H]1CN(CC2CCCC2)C[C@@](C)(C(F)F)O1 ZINC000662359003 409672326 /nfs/dbraw/zinc/67/23/26/409672326.db2.gz HDJPILRBOXYEPR-GWCFXTLKSA-N 0 3 247.329 2.921 20 0 BFADHN CC(C)N(CCC1(O)CCC1)Cc1ccccn1 ZINC000662492033 409732963 /nfs/dbraw/zinc/73/29/63/409732963.db2.gz AKMUVKHAFWVXTH-UHFFFAOYSA-N 0 3 248.370 2.597 20 0 BFADHN C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCO[C@H](C2CCC2)C1 ZINC000660797140 409757293 /nfs/dbraw/zinc/75/72/93/409757293.db2.gz MFOLAHQCSXKGLC-OJLVUWQFSA-N 0 3 249.398 2.924 20 0 BFADHN CC[C@H](C)N(CC)C(=O)c1cccc2c1CNC2 ZINC000662585607 409772653 /nfs/dbraw/zinc/77/26/53/409772653.db2.gz AIWOWEWOQAOKSG-NSHDSACASA-N 0 3 246.354 2.550 20 0 BFADHN CCCN(CC(C)C)[C@H](C)c1nc(C)no1 ZINC000662598999 409777059 /nfs/dbraw/zinc/77/70/59/409777059.db2.gz BNVHIRDLKMXUBZ-SNVBAGLBSA-N 0 3 225.336 2.807 20 0 BFADHN Cc1cc(CN2CC[C@H](C3CCC3)C2)nc(C)n1 ZINC000660814899 409783632 /nfs/dbraw/zinc/78/36/32/409783632.db2.gz YSQUZDMKISOBDW-AWEZNQCLSA-N 0 3 245.370 2.715 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccccc1OC ZINC000308846528 409916259 /nfs/dbraw/zinc/91/62/59/409916259.db2.gz AIUXIGIOBHRWFY-WYGGZMRJSA-N 0 3 205.301 2.922 20 0 BFADHN c1ccc(C2CC(NCc3cnccn3)C2)cc1 ZINC000556778153 409902855 /nfs/dbraw/zinc/90/28/55/409902855.db2.gz USAYMFPPEMCEMF-UHFFFAOYSA-N 0 3 239.322 2.512 20 0 BFADHN Cn1cnc(CN2CCC[C@H]2CC2CCCC2)c1 ZINC000660925215 409971379 /nfs/dbraw/zinc/97/13/79/409971379.db2.gz AANWZIUTEKITAZ-HNNXBMFYSA-N 0 3 247.386 2.965 20 0 BFADHN CCc1ncc(CN[C@@H]2CCS[C@H]2C)s1 ZINC000308909278 409936770 /nfs/dbraw/zinc/93/67/70/409936770.db2.gz FNHFPYFOFNDPIX-WCBMZHEXSA-N 0 3 242.413 2.689 20 0 BFADHN CCN(CC)Cc1ccc(Br)cn1 ZINC000132826196 410100280 /nfs/dbraw/zinc/10/02/80/410100280.db2.gz JBGBEXHZZFVZKY-UHFFFAOYSA-N 0 3 243.148 2.686 20 0 BFADHN CC(C)(CO)CN[C@@H]1CCCOc2ccccc21 ZINC000678602686 487613678 /nfs/dbraw/zinc/61/36/78/487613678.db2.gz SCNLYZYTPNGLCC-CYBMUJFWSA-N 0 3 249.354 2.508 20 0 BFADHN Cc1ccccc1CN[C@@H](C)COCC1CC1 ZINC000651981200 410119762 /nfs/dbraw/zinc/11/97/62/410119762.db2.gz BNDNGCLSYDXWAU-ZDUSSCGKSA-N 0 3 233.355 2.900 20 0 BFADHN C[C@H](COCC1CC1)NCc1ccccc1F ZINC000651981485 410120578 /nfs/dbraw/zinc/12/05/78/410120578.db2.gz PBYXGPHANGOAPY-LLVKDONJSA-N 0 3 237.318 2.730 20 0 BFADHN Cc1cccc(CN[C@H](C)COCC2CC2)c1 ZINC000651981444 410120641 /nfs/dbraw/zinc/12/06/41/410120641.db2.gz MZNRIGRKOYJQDH-CYBMUJFWSA-N 0 3 233.355 2.900 20 0 BFADHN Brc1ccc(CNCC2CC2)o1 ZINC000019884716 166098435 /nfs/dbraw/zinc/09/84/35/166098435.db2.gz WXRSNRPCQATMJX-UHFFFAOYSA-N 0 3 230.105 2.542 20 0 BFADHN Cc1sccc1CN[C@H](C)COCC1CC1 ZINC000651983912 410134189 /nfs/dbraw/zinc/13/41/89/410134189.db2.gz NTWTWSAYGRIPJZ-SNVBAGLBSA-N 0 3 239.384 2.961 20 0 BFADHN Cc1csc(CCNCc2ccsc2)n1 ZINC000057399218 410168454 /nfs/dbraw/zinc/16/84/54/410168454.db2.gz AZOFOLSMSCPZOV-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN CC[C@@H](c1ccccc1)N(CC)C(=O)[C@H](C)NC ZINC000655426273 410241951 /nfs/dbraw/zinc/24/19/51/410241951.db2.gz GBRMLVYVEZUBCC-JSGCOSHPSA-N 0 3 248.370 2.594 20 0 BFADHN CC1CC(NCc2cnccc2C(F)(F)F)C1 ZINC000663471498 410269459 /nfs/dbraw/zinc/26/94/59/410269459.db2.gz QAGRXNKUHKZGHP-UHFFFAOYSA-N 0 3 244.260 2.989 20 0 BFADHN CC(C)OCCN1CCC(c2ccco2)CC1 ZINC000661161142 410312792 /nfs/dbraw/zinc/31/27/92/410312792.db2.gz LKVGHMKWOKOOIC-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1cn2cccnc2n1 ZINC000661234685 410390062 /nfs/dbraw/zinc/39/00/62/410390062.db2.gz ORLKPHXTTOZMOB-LLVKDONJSA-N 0 3 246.358 2.644 20 0 BFADHN C[C@@H](N[C@H]1CNc2ccccc2C1)c1ccco1 ZINC000650751910 410394742 /nfs/dbraw/zinc/39/47/42/410394742.db2.gz FCPQJXNTNJIPJA-DGCLKSJQSA-N 0 3 242.322 2.967 20 0 BFADHN COCC[C@@H](C)N1CC(C)(C)[C@H]1c1cccnc1 ZINC000664826587 410638389 /nfs/dbraw/zinc/63/83/89/410638389.db2.gz UHPQHPXIYKZBIC-TZMCWYRMSA-N 0 3 248.370 2.890 20 0 BFADHN CCN(CC)CC(=O)N[C@H](C)CCC(C)(C)C ZINC000119933976 169767877 /nfs/dbraw/zinc/76/78/77/169767877.db2.gz GGLFKDOIXMQELX-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@]1(NCc2nccs2)CC=CCC1 ZINC000306604423 487618239 /nfs/dbraw/zinc/61/82/39/487618239.db2.gz FCGBVTNCXODNGE-LLVKDONJSA-N 0 3 208.330 2.732 20 0 BFADHN C[C@@H](c1ccncc1)N1C[C@]2(C)COC[C@]2(C)C1 ZINC000661500323 410711820 /nfs/dbraw/zinc/71/18/20/410711820.db2.gz DTQHALCNQMDBCE-AEGPPILISA-N 0 3 246.354 2.501 20 0 BFADHN CO[C@@H](CN1CC[C@@H]1c1ccc(F)cc1)C1CC1 ZINC000661505462 410715022 /nfs/dbraw/zinc/71/50/22/410715022.db2.gz AAXHILFFWFWPPZ-CABCVRRESA-N 0 3 249.329 2.998 20 0 BFADHN Cc1coc(-c2cc(CN(C)C)ccc2F)n1 ZINC000665195801 410716853 /nfs/dbraw/zinc/71/68/53/410716853.db2.gz QADISSHAUQAWRC-UHFFFAOYSA-N 0 3 234.274 2.851 20 0 BFADHN CC[C@@H](N[C@@H]1CCCc2cccnc21)C(C)(C)O ZINC000394013091 410773207 /nfs/dbraw/zinc/77/32/07/410773207.db2.gz NJQWIPNVXJLSGV-CHWSQXEVSA-N 0 3 248.370 2.598 20 0 BFADHN CC[C@H](N[C@@H]1CCCc2cccnc21)C(C)(C)O ZINC000394013093 410773552 /nfs/dbraw/zinc/77/35/52/410773552.db2.gz NJQWIPNVXJLSGV-OLZOCXBDSA-N 0 3 248.370 2.598 20 0 BFADHN Cc1cnc([C@@H](C)N2CC[C@@H](C3CCC3)C2)cn1 ZINC000665290083 410776384 /nfs/dbraw/zinc/77/63/84/410776384.db2.gz IPVUJZWASOIRSH-TZMCWYRMSA-N 0 3 245.370 2.968 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@@H](C3CCC3)C2)cn1 ZINC000665290080 410778623 /nfs/dbraw/zinc/77/86/23/410778623.db2.gz IPVUJZWASOIRSH-GXTWGEPZSA-N 0 3 245.370 2.968 20 0 BFADHN CC(C)CCO[C@H]1CCCC[C@@H]1NCCF ZINC000348113269 166880922 /nfs/dbraw/zinc/88/09/22/166880922.db2.gz FJHXXQBGEJZPQG-STQMWFEESA-N 0 3 231.355 2.919 20 0 BFADHN CC(C)CN[C@@H](C)c1nc2ccccc2n1C ZINC000019883213 167011149 /nfs/dbraw/zinc/01/11/49/167011149.db2.gz MTFZWPKMMSJTIK-NSHDSACASA-N 0 3 231.343 2.880 20 0 BFADHN CC(C)NC1(c2nccs2)CCCC1 ZINC000041061590 167095722 /nfs/dbraw/zinc/09/57/22/167095722.db2.gz ANFDBLBGXVRQEA-UHFFFAOYSA-N 0 3 210.346 2.910 20 0 BFADHN CC(C)OC1CC(N[C@H](C)c2ccccn2)C1 ZINC000186560150 167175748 /nfs/dbraw/zinc/17/57/48/167175748.db2.gz QSWAKEZTCVOCDK-PNESKVBLSA-N 0 3 234.343 2.688 20 0 BFADHN CC(C)OCCCN[C@@H](C)c1ccncc1F ZINC000338369031 167224099 /nfs/dbraw/zinc/22/40/99/167224099.db2.gz LEIYNOMXSFLSPC-NSHDSACASA-N 0 3 240.322 2.686 20 0 BFADHN CC(C)OCCNCc1ccc(Cl)cc1 ZINC000042221640 167241174 /nfs/dbraw/zinc/24/11/74/167241174.db2.gz UQDKACQRHOKKNM-UHFFFAOYSA-N 0 3 227.735 2.855 20 0 BFADHN CC(C)OCCN[C@@H]1CCCc2ccc(O)cc21 ZINC000232679136 167245373 /nfs/dbraw/zinc/24/53/73/167245373.db2.gz ZHUARFNZDCGEKH-OAHLLOKOSA-N 0 3 249.354 2.784 20 0 BFADHN CC(C)C(CN1CCc2c[nH]nc2C1)C(C)C ZINC000671306229 487619338 /nfs/dbraw/zinc/61/93/38/487619338.db2.gz XWLZMOADXZEVMD-UHFFFAOYSA-N 0 3 235.375 2.696 20 0 BFADHN CC(C)O[C@H]1C[C@@H](NCc2cccnc2)C1(C)C ZINC000185185827 167282204 /nfs/dbraw/zinc/28/22/04/167282204.db2.gz FGPCPXCPXZMZJK-KGLIPLIRSA-N 0 3 248.370 2.763 20 0 BFADHN CC(C)Oc1ccc(CN[C@@H]2CCCOC2)cc1 ZINC000103967135 167294686 /nfs/dbraw/zinc/29/46/86/167294686.db2.gz CYPPEAGSQGJITP-CQSZACIVSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)Oc1cccc(CN[C@@]2(C)CCOC2)c1 ZINC000120139796 167306958 /nfs/dbraw/zinc/30/69/58/167306958.db2.gz AVNMCHNTGKREQD-HNNXBMFYSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)Oc1cccc([C@H](C)NC[C@H](C)O)c1 ZINC000192779513 167308725 /nfs/dbraw/zinc/30/87/25/167308725.db2.gz ITJLZEWFXKCQNX-RYUDHWBXSA-N 0 3 237.343 2.505 20 0 BFADHN CC(C)Oc1ccccc1CN[C@H]1CCCOC1 ZINC000109544954 167309474 /nfs/dbraw/zinc/30/94/74/167309474.db2.gz PVFRGQLRZNDKPE-AWEZNQCLSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)Oc1ccccc1CN[C@@H]1C[C@H]1C ZINC000052273642 167309638 /nfs/dbraw/zinc/30/96/38/167309638.db2.gz HEQNFRCADDCGOT-DGCLKSJQSA-N 0 3 219.328 2.972 20 0 BFADHN CC(C)SCCNCc1ccc2c[nH]nc2c1 ZINC000290090501 167331057 /nfs/dbraw/zinc/33/10/57/167331057.db2.gz JMJLBJRVADFNJO-UHFFFAOYSA-N 0 3 249.383 2.794 20 0 BFADHN CC(C)[C@@H](CN1CCN(C)CC1)c1ccccc1 ZINC000280675774 167397292 /nfs/dbraw/zinc/39/72/92/167397292.db2.gz VBTQHJITAWJVLC-MRXNPFEDSA-N 0 3 246.398 2.674 20 0 BFADHN CC(C)[C@@H](CNCc1ncc[nH]1)c1ccccc1 ZINC000067693734 167398462 /nfs/dbraw/zinc/39/84/62/167398462.db2.gz VFMZVHJGPUZRCQ-CQSZACIVSA-N 0 3 243.354 2.939 20 0 BFADHN CCn1ccnc1CNC(C)(C)C1CCC1 ZINC000398097908 410942249 /nfs/dbraw/zinc/94/22/49/410942249.db2.gz GDQXFINEKDDIAQ-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CCOC2(C)C)s1 ZINC000651397395 410977465 /nfs/dbraw/zinc/97/74/65/410977465.db2.gz ZVUGXZZOFUODGY-GZMMTYOYSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCOC2(C)C)c(C)n1 ZINC000651397314 410978921 /nfs/dbraw/zinc/97/89/21/410978921.db2.gz GUFBEUMRZZHRJP-OCCSQVGLSA-N 0 3 248.370 2.917 20 0 BFADHN CC(C)[C@H]1CCC[C@@H](NCc2ncc[nH]2)C1 ZINC000108879703 167475581 /nfs/dbraw/zinc/47/55/81/167475581.db2.gz APBMDJPQWIYGNG-NWDGAFQWSA-N 0 3 221.348 2.714 20 0 BFADHN CC(C)CNCc1cccnc1OC(C)C ZINC000042295868 410987158 /nfs/dbraw/zinc/98/71/58/410987158.db2.gz KJHDQEDTNWRZJW-UHFFFAOYSA-N 0 3 222.332 2.614 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H](O)C2CCC2)c(C)n1 ZINC000651474404 411058122 /nfs/dbraw/zinc/05/81/22/411058122.db2.gz SSJQBDAYBSXWSO-IAQYHMDHSA-N 0 3 248.370 2.510 20 0 BFADHN C[C@@H](NC[C@@H]1CC2CCC1CC2)c1cnccn1 ZINC000400008086 411073397 /nfs/dbraw/zinc/07/33/97/411073397.db2.gz BYNSWXFRHWLIFB-RYSNWHEDSA-N 0 3 245.370 2.954 20 0 BFADHN Cc1ncc([C@H](C)NC[C@H]2CC[C@H](C)O2)s1 ZINC000651499434 411073681 /nfs/dbraw/zinc/07/36/81/411073681.db2.gz TZCCLELSULXQFN-ATZCPNFKSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NC[C@H]1CCCC1(F)F ZINC000651431845 411022261 /nfs/dbraw/zinc/02/22/61/411022261.db2.gz WKBGFTBBAPEUBD-PSASIEDQSA-N 0 3 243.301 2.804 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2cc3ccccc3[nH]2)O1 ZINC000651621363 411131130 /nfs/dbraw/zinc/13/11/30/411131130.db2.gz DRMWBTDMBKNCAW-BXUZGUMPSA-N 0 3 244.338 2.825 20 0 BFADHN COC[C@@H](CC(C)(C)C)NCc1ccco1 ZINC000651667071 411133839 /nfs/dbraw/zinc/13/38/39/411133839.db2.gz XCZWBQHUVGECJQ-LLVKDONJSA-N 0 3 225.332 2.820 20 0 BFADHN CC[C@]1(C)CCC[C@H]1NCc1cocn1 ZINC000651716464 411138180 /nfs/dbraw/zinc/13/81/80/411138180.db2.gz YSVCCNRVTBHRIP-VXGBXAGGSA-N 0 3 208.305 2.733 20 0 BFADHN Cc1ccccc1CNCc1ccc(CO)cc1 ZINC000107800302 411139421 /nfs/dbraw/zinc/13/94/21/411139421.db2.gz RUSYCKRSPLSZOZ-UHFFFAOYSA-N 0 3 241.334 2.777 20 0 BFADHN COC[C@H](CC(C)(C)C)N[C@H](C)c1cn[nH]c1 ZINC000651746119 411140836 /nfs/dbraw/zinc/14/08/36/411140836.db2.gz HAUQVCYGDMHVBR-PWSUYJOCSA-N 0 3 239.363 2.512 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1cc2cnccc2o1 ZINC000657907078 411163607 /nfs/dbraw/zinc/16/36/07/411163607.db2.gz GARPJWIJAIHZOW-PWSUYJOCSA-N 0 3 248.326 2.639 20 0 BFADHN COC[C@H](CC(C)(C)C)NCc1ccncc1 ZINC000651780703 411143302 /nfs/dbraw/zinc/14/33/02/411143302.db2.gz QTJCEUWWICLOPL-ZDUSSCGKSA-N 0 3 236.359 2.622 20 0 BFADHN CO[C@H](C)CN(C)Cc1cccc2c1CCC2 ZINC000651806730 411144699 /nfs/dbraw/zinc/14/46/99/411144699.db2.gz YHLSEUFLXPTOIL-GFCCVEGCSA-N 0 3 233.355 2.642 20 0 BFADHN CC1(C)COC[C@@H]1NCc1cccc2c1CCC2 ZINC000651823450 411145691 /nfs/dbraw/zinc/14/56/91/411145691.db2.gz JAPNBBNSNVAUGV-HNNXBMFYSA-N 0 3 245.366 2.690 20 0 BFADHN CC[C@]1(C)CCC[C@H]1NCc1nnc(C2CC2)[nH]1 ZINC000651819937 411145788 /nfs/dbraw/zinc/14/57/88/411145788.db2.gz RFEYJCNUYUSGPF-BXUZGUMPSA-N 0 3 248.374 2.741 20 0 BFADHN C=Cn1cc(CN[C@@H]2C[C@H]2CC(C)(C)C)cn1 ZINC000651911793 411150250 /nfs/dbraw/zinc/15/02/50/411150250.db2.gz ZXUMJIZCWFAQLZ-QWHCGFSZSA-N 0 3 233.359 2.898 20 0 BFADHN C[C@@H](N[C@@H](C)CCCO)c1cc2cnccc2o1 ZINC000657903360 411160883 /nfs/dbraw/zinc/16/08/83/411160883.db2.gz BNPNLJXPSPZIHG-WDEREUQCSA-N 0 3 248.326 2.639 20 0 BFADHN COc1cc(C)nc(CNC2CC3(CCC3)C2)c1 ZINC000652042299 411092615 /nfs/dbraw/zinc/09/26/15/411092615.db2.gz XSWYQWTVOKAFAJ-UHFFFAOYSA-N 0 3 246.354 2.821 20 0 BFADHN Cc1ccoc1CN1CC[C@@]2(CC2(F)F)C1 ZINC000652063659 411103898 /nfs/dbraw/zinc/10/38/98/411103898.db2.gz RGYGHNNEQQGNDJ-LLVKDONJSA-N 0 3 227.254 2.819 20 0 BFADHN CC(C)CC[C@@H]1CCCN1Cc1cn(C)cn1 ZINC000660012470 411174532 /nfs/dbraw/zinc/17/45/32/411174532.db2.gz KTZDGJXBJHYCTB-AWEZNQCLSA-N 0 3 235.375 2.821 20 0 BFADHN c1ccc(C2(N[C@H]3COC4(CCC4)C3)CC2)cc1 ZINC000657944050 411177246 /nfs/dbraw/zinc/17/72/46/411177246.db2.gz XMCLKUXRSBEENJ-CQSZACIVSA-N 0 3 243.350 2.977 20 0 BFADHN CO[C@@H](CN(C)CCOC(C)(C)C)C1CCC1 ZINC000660018231 411177369 /nfs/dbraw/zinc/17/73/69/411177369.db2.gz RDJAGTFMSAZLMX-ZDUSSCGKSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)c1cc(CN[C@@H]2C[C@H](C)[C@@H]2C)on1 ZINC000309597271 411179310 /nfs/dbraw/zinc/17/93/10/411179310.db2.gz WMMAKEIOMSMBBM-OUJBWJOFSA-N 0 3 222.332 2.932 20 0 BFADHN c1c(CNCCC2=CCCC2)nn2c1CCCC2 ZINC000655753112 411181271 /nfs/dbraw/zinc/18/12/71/411181271.db2.gz VLGASJMESLAZKU-UHFFFAOYSA-N 0 3 245.370 2.809 20 0 BFADHN CCCCCC(=O)N(C)[C@@H]1CCN(C)[C@H](C)C1 ZINC000123691923 411182622 /nfs/dbraw/zinc/18/26/22/411182622.db2.gz UCALHJDSLSSLGH-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN CCCN(Cc1oc(C)nc1C)C(C)C ZINC000653798638 411183801 /nfs/dbraw/zinc/18/38/01/411183801.db2.gz HGUHCIIROYGNDA-UHFFFAOYSA-N 0 3 210.321 2.912 20 0 BFADHN CC[C@H](N[C@H](C)COCC1CC1)c1ccncc1 ZINC000652287033 411188206 /nfs/dbraw/zinc/18/82/06/411188206.db2.gz LZGJFPNVZBOQGK-DOMZBBRYSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H](CCC1CC1)N[C@H]1CCCn2ccnc21 ZINC000655758020 411188935 /nfs/dbraw/zinc/18/89/35/411188935.db2.gz ZZFBXYMBRONCGX-AAEUAGOBSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H](CCC1CC1)N[C@@H]1CCCn2ccnc21 ZINC000655758021 411189084 /nfs/dbraw/zinc/18/90/84/411189084.db2.gz ZZFBXYMBRONCGX-DGCLKSJQSA-N 0 3 233.359 2.886 20 0 BFADHN CCC(C)(C)N1CCN(CC2(C)CCC2)CC1 ZINC000653811693 411190277 /nfs/dbraw/zinc/19/02/77/411190277.db2.gz UOBLOOQVDDSQMR-UHFFFAOYSA-N 0 3 238.419 2.983 20 0 BFADHN CCC(C)(C)N1CCN(CC2=CCCC2)CC1 ZINC000653811514 411190812 /nfs/dbraw/zinc/19/08/12/411190812.db2.gz MRNLAKHLVHHMLS-UHFFFAOYSA-N 0 3 236.403 2.903 20 0 BFADHN C[C@H](CCC1CC1)NCc1cc2n(n1)CCCC2 ZINC000655759831 411190850 /nfs/dbraw/zinc/19/08/50/411190850.db2.gz SNKVKULCVVVYSC-GFCCVEGCSA-N 0 3 247.386 2.888 20 0 BFADHN CC(C)=CCN1CCC[C@H](OC2CCC2)C1 ZINC000653812381 411190858 /nfs/dbraw/zinc/19/08/58/411190858.db2.gz KFZNFMCOZMPVEY-AWEZNQCLSA-N 0 3 223.360 2.986 20 0 BFADHN CCC1CCC(N[C@@H]2C[C@H](C)n3ncnc32)CC1 ZINC000658098210 411244456 /nfs/dbraw/zinc/24/44/56/411244456.db2.gz MRTFVJPCGPBBNT-YWPUVAFDSA-N 0 3 248.374 2.842 20 0 BFADHN CC[C@@H](N[C@H](CO)CCF)c1cccc(F)c1 ZINC000652301626 411192805 /nfs/dbraw/zinc/19/28/05/411192805.db2.gz DCXHMBAIKMVMEO-QWHCGFSZSA-N 0 3 243.297 2.587 20 0 BFADHN C[C@@H](N[C@H](CO)CCF)c1cccc(Cl)c1 ZINC000652305361 411194912 /nfs/dbraw/zinc/19/49/12/411194912.db2.gz AFJBDTSXLMGEEY-SKDRFNHKSA-N 0 3 245.725 2.711 20 0 BFADHN C[C@@H]1C[C@@H](NC2CCCCCC2)c2ncnn21 ZINC000658098829 411244687 /nfs/dbraw/zinc/24/46/87/411244687.db2.gz QTAGFYJKQOBMAL-ZYHUDNBSSA-N 0 3 234.347 2.596 20 0 BFADHN C[C@@H]1CN(CCc2noc3ccccc32)[C@@H]1C ZINC000653705104 411195897 /nfs/dbraw/zinc/19/58/97/411195897.db2.gz PYQZLSGCEIVNIG-GHMZBOCLSA-N 0 3 230.311 2.711 20 0 BFADHN C[C@H]1C[C@H](NC2CCCCCC2)c2ncnn21 ZINC000658098827 411244918 /nfs/dbraw/zinc/24/49/18/411244918.db2.gz QTAGFYJKQOBMAL-JQWIXIFHSA-N 0 3 234.347 2.596 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1cc(F)cc(F)c1 ZINC000402160624 411199230 /nfs/dbraw/zinc/19/92/30/411199230.db2.gz QOMHBQBUAYJZSX-ZDMBXUJBSA-N 0 3 241.281 2.793 20 0 BFADHN Cc1ccoc1CNC1CC(CF)(CF)C1 ZINC000658021298 411199929 /nfs/dbraw/zinc/19/99/29/411199929.db2.gz PDSPLRGIOOJEPP-UHFFFAOYSA-N 0 3 229.270 2.765 20 0 BFADHN CC[C@H](NCc1cc(C)ccn1)[C@H]1CCCCO1 ZINC000653874771 411205141 /nfs/dbraw/zinc/20/51/41/411205141.db2.gz KYUSYVRZXYTLNW-LSDHHAIUSA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@H](NCc1ccncc1)[C@@H]1CCCCO1 ZINC000653876502 411205502 /nfs/dbraw/zinc/20/55/02/411205502.db2.gz GJTWNUQSOXYBOB-KBPBESRZSA-N 0 3 234.343 2.519 20 0 BFADHN CC[C@H](N[C@H](C)c1cc2cnccc2o1)[C@H](C)O ZINC000658029197 411206154 /nfs/dbraw/zinc/20/61/54/411206154.db2.gz HTCCSTNOCWQSSF-SCVCMEIPSA-N 0 3 248.326 2.638 20 0 BFADHN CC[C@H](NCc1cccnc1)[C@@H]1CCCCO1 ZINC000653876821 411206524 /nfs/dbraw/zinc/20/65/24/411206524.db2.gz INAXTLGSHFKFOB-KBPBESRZSA-N 0 3 234.343 2.519 20 0 BFADHN C[C@@H]1C[C@@H](NC2CCC(C)(C)CC2)c2ncnn21 ZINC000658100277 411245641 /nfs/dbraw/zinc/24/56/41/411245641.db2.gz GRMVYJMKLIKASE-ZYHUDNBSSA-N 0 3 248.374 2.842 20 0 BFADHN CCN(CCc1cccs1)Cc1cnccn1 ZINC000656417015 411245687 /nfs/dbraw/zinc/24/56/87/411245687.db2.gz FXPQEMXVVSEBDU-UHFFFAOYSA-N 0 3 247.367 2.603 20 0 BFADHN C[C@H]1C[C@H](N[C@@H]2C[C@H](C)CC[C@@H]2C)c2ncnn21 ZINC000658102233 411246028 /nfs/dbraw/zinc/24/60/28/411246028.db2.gz OYQDBWLDFTUCKE-SJHCENCUSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCCC[C@H]2C)c2ncnn21 ZINC000658103931 411246056 /nfs/dbraw/zinc/24/60/56/411246056.db2.gz ZOUKAARIZNZMTK-NDBYEHHHSA-N 0 3 248.374 2.842 20 0 BFADHN Fc1ccc(N[C@@H]2CCCN3CCCC[C@@H]23)nc1 ZINC000656226695 411212646 /nfs/dbraw/zinc/21/26/46/411212646.db2.gz NJDBYPAEQCTWOK-OLZOCXBDSA-N 0 3 249.333 2.650 20 0 BFADHN C[C@@H]1C[C@H](NC2CCC3(CC3)CC2)c2ncnn21 ZINC000658103211 411246369 /nfs/dbraw/zinc/24/63/69/411246369.db2.gz UCAHLMRVQNPFCP-PWSUYJOCSA-N 0 3 246.358 2.596 20 0 BFADHN c1ccc(N[C@@H]2CCCN3CCCC[C@H]23)nc1 ZINC000656226456 411215395 /nfs/dbraw/zinc/21/53/95/411215395.db2.gz MUVWEIRRTYSUFT-CHWSQXEVSA-N 0 3 231.343 2.510 20 0 BFADHN Cc1ccccc1[C@@H](C)NC1CSC1 ZINC000215656333 411217816 /nfs/dbraw/zinc/21/78/16/411217816.db2.gz BXYJDLGBNYVQKR-SNVBAGLBSA-N 0 3 207.342 2.761 20 0 BFADHN Cc1nc(CN(CC2CC2)CC2CC2)c(C)o1 ZINC000660073383 411219594 /nfs/dbraw/zinc/21/95/94/411219594.db2.gz APMJPIKQXFIXJQ-UHFFFAOYSA-N 0 3 234.343 2.913 20 0 BFADHN CC1(CN2CC3(CC3(F)F)C2)CCC1 ZINC000656265493 411220531 /nfs/dbraw/zinc/22/05/31/411220531.db2.gz QCRSEIUFNOXHBL-UHFFFAOYSA-N 0 3 201.260 2.518 20 0 BFADHN COC1CC(CCN[C@@H](C)c2cscn2)C1 ZINC000658057802 411224437 /nfs/dbraw/zinc/22/44/37/411224437.db2.gz YPNFPYVNINLCKT-WHXUTIOJSA-N 0 3 240.372 2.609 20 0 BFADHN CCC(C)(C)N1CCN(Cc2ccco2)CC1 ZINC000656270728 411225001 /nfs/dbraw/zinc/22/50/01/411225001.db2.gz HLJBWWZSNBRYFU-UHFFFAOYSA-N 0 3 236.359 2.586 20 0 BFADHN Fc1cnccc1CN1CCC2(CCC2)CC1 ZINC000648581533 411225333 /nfs/dbraw/zinc/22/53/33/411225333.db2.gz GKOIEXFSGROSFM-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN C[C@H](O)CN(C/C=C/c1ccc(F)cc1)C1CC1 ZINC000656277532 411227704 /nfs/dbraw/zinc/22/77/04/411227704.db2.gz CCMAUJLXAMCMEY-JDGPPOGSSA-N 0 3 249.329 2.684 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NC[C@H](C)c1ccccn1 ZINC000658061358 411227823 /nfs/dbraw/zinc/22/78/23/411227823.db2.gz AVNYJDXFVYZMDV-WDEREUQCSA-N 0 3 244.342 2.567 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](CO)C2CCC2)o1 ZINC000658085771 411235690 /nfs/dbraw/zinc/23/56/90/411235690.db2.gz CDVJKMVNVCOKGG-MFKMUULPSA-N 0 3 237.343 2.654 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](CO)C2CCC2)o1 ZINC000658085767 411235765 /nfs/dbraw/zinc/23/57/65/411235765.db2.gz CDVJKMVNVCOKGG-GWCFXTLKSA-N 0 3 237.343 2.654 20 0 BFADHN C([C@@H]1CC[C@H]2C[C@H]2C1)N1CCOCC12CCC2 ZINC000660117069 411237767 /nfs/dbraw/zinc/23/77/67/411237767.db2.gz LKOQRPMYYKLEFY-HZSPNIEDSA-N 0 3 235.371 2.678 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@@H]2CCCn3ccnc32)C1 ZINC000655765154 411248006 /nfs/dbraw/zinc/24/80/06/411248006.db2.gz ZPEHRWVIKWFKMI-FRRDWIJNSA-N 0 3 233.359 2.744 20 0 BFADHN Cc1cc([C@@H](C)NCC2CC=CC2)no1 ZINC000660482621 411241215 /nfs/dbraw/zinc/24/12/15/411241215.db2.gz GZCQMJVHQSQIFW-SNVBAGLBSA-N 0 3 206.289 2.600 20 0 BFADHN C[C@@H]1SCC[C@H]1N[C@@H]1CCCc2cccnc21 ZINC000310573095 411241916 /nfs/dbraw/zinc/24/19/16/411241916.db2.gz ORGWJYVSDHEYGP-CYZMBNFOSA-N 0 3 248.395 2.943 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H]1COC2(CCC2)C1 ZINC000657911027 411165184 /nfs/dbraw/zinc/16/51/84/411165184.db2.gz ZVQFDJDITORFQJ-OLZOCXBDSA-N 0 3 246.354 2.752 20 0 BFADHN C[C@H](N[C@@H]1CCN(C(C)(C)C)C1)c1ccccn1 ZINC000658092297 411242082 /nfs/dbraw/zinc/24/20/82/411242082.db2.gz PJJYCCPJVGNPLQ-QWHCGFSZSA-N 0 3 247.386 2.605 20 0 BFADHN C[C@H](N[C@H]1CCSC1)c1cc2cnccc2o1 ZINC000657921345 411166651 /nfs/dbraw/zinc/16/66/51/411166651.db2.gz DATAOKZDGNVMJQ-ONGXEEELSA-N 0 3 248.351 2.984 20 0 BFADHN OC/C=C/CN[C@@H]1CCCCc2ccccc21 ZINC000658135240 411261286 /nfs/dbraw/zinc/26/12/86/411261286.db2.gz TZDXEIRDEXGPPT-LLYBFZRZSA-N 0 3 231.339 2.592 20 0 BFADHN CC(C)[C@@H](N[C@H](C)c1ccn(C)n1)C1CC1 ZINC000658298843 411326975 /nfs/dbraw/zinc/32/69/75/411326975.db2.gz CBWVIPJIGNEJCA-ZWNOBZJWSA-N 0 3 221.348 2.505 20 0 BFADHN CC(C)c1cccc(CN2C[C@@H](O)C[C@@H]2C)c1 ZINC000660147369 411262827 /nfs/dbraw/zinc/26/28/27/411262827.db2.gz BYVGUYGZOVYBJF-WFASDCNBSA-N 0 3 233.355 2.765 20 0 BFADHN Cc1cccc2c1[C@H](C)C[C@@H]2NC/C=C/CO ZINC000658139396 411263571 /nfs/dbraw/zinc/26/35/71/411263571.db2.gz PGDSGFYNDAUROE-WQZXPNJLSA-N 0 3 231.339 2.681 20 0 BFADHN Cc1ccc(C)c2c1CCC[C@@H]2NC/C=C/CO ZINC000658140981 411264785 /nfs/dbraw/zinc/26/47/85/411264785.db2.gz UFPKAKFTGSFEDX-BWPKMQGJSA-N 0 3 245.366 2.819 20 0 BFADHN Cc1ccc([C@H](NC/C=C\CO)C2CC2)cc1 ZINC000658140078 411265042 /nfs/dbraw/zinc/26/50/42/411265042.db2.gz RGNLHMJIQOKMBU-GWQWAINWSA-N 0 3 231.339 2.584 20 0 BFADHN Cc1ccc([C@@H](NC/C=C/CO)C2CCC2)o1 ZINC000658141357 411265189 /nfs/dbraw/zinc/26/51/89/411265189.db2.gz VAUFRPZUGVYDCZ-HSWBROFVSA-N 0 3 235.327 2.567 20 0 BFADHN Cc1ccc2cc([C@@H](C)NC/C=C\CO)oc2c1 ZINC000658142795 411265512 /nfs/dbraw/zinc/26/55/12/411265512.db2.gz YWUKMZUWXGILLP-VSQXVHSFSA-N 0 3 245.322 2.940 20 0 BFADHN CC[C@H](N)c1cn([C@]2(C)CCCC[C@H]2C)nn1 ZINC000656459616 411269640 /nfs/dbraw/zinc/26/96/40/411269640.db2.gz WGCTUDHKCQHZKY-NTZNESFSSA-N 0 3 236.363 2.613 20 0 BFADHN C[C@@H](NCCO[C@@H]1CC1(F)F)c1ccccc1 ZINC000656684983 411327752 /nfs/dbraw/zinc/32/77/52/411327752.db2.gz ZLPWXBBANIJGJN-ZYHUDNBSSA-N 0 3 241.281 2.761 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H](C)c2cccc(O)c2)C1 ZINC000658161645 411275338 /nfs/dbraw/zinc/27/53/38/411275338.db2.gz LOVOJIZSDRPWNV-MPKXVKKWSA-N 0 3 235.327 2.610 20 0 BFADHN CC[C@H](C)N[C@@H](COC)c1cccc(OC)c1 ZINC000658165466 411276759 /nfs/dbraw/zinc/27/67/59/411276759.db2.gz QIGHKQAVRMALJX-FZMZJTMJSA-N 0 3 237.343 2.771 20 0 BFADHN CCOC(=O)[C@H](CC)N1CCC[C@@H](CC)CC1 ZINC000084121005 411278425 /nfs/dbraw/zinc/27/84/25/411278425.db2.gz AILCGDNFHVYKDR-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1cnccn1 ZINC000656685370 411328193 /nfs/dbraw/zinc/32/81/93/411328193.db2.gz PBVUELDXFVBNBA-RNJOBUHISA-N 0 3 231.343 2.563 20 0 BFADHN C[C@H](NCCO[C@@H]1CC1(F)F)c1ccccc1 ZINC000656684980 411328256 /nfs/dbraw/zinc/32/82/56/411328256.db2.gz ZLPWXBBANIJGJN-CMPLNLGQSA-N 0 3 241.281 2.761 20 0 BFADHN COc1cc([C@H](C)N[C@@]2(C)CC2(C)C)ccn1 ZINC000658304875 411328449 /nfs/dbraw/zinc/32/84/49/411328449.db2.gz QNDOTUBKYBHIQU-HZMBPMFUSA-N 0 3 234.343 2.929 20 0 BFADHN COc1cc(CNC2[C@H](C)CCC[C@H]2C)on1 ZINC000660177938 411285434 /nfs/dbraw/zinc/28/54/34/411285434.db2.gz FYFFMSXGDZZKSU-NXEZZACHSA-N 0 3 238.331 2.598 20 0 BFADHN CC(C)[C@H](N[C@@H](C)c1cc2n(n1)CCC2)C1CC1 ZINC000658305362 411328831 /nfs/dbraw/zinc/32/88/31/411328831.db2.gz ZJMRZBJPWAQWAU-NHYWBVRUSA-N 0 3 247.386 2.915 20 0 BFADHN COc1cc([C@H](C)NCCC(C)(C)F)ccn1 ZINC000658191494 411286862 /nfs/dbraw/zinc/28/68/62/411286862.db2.gz VJRKDRXDBLSENN-JTQLQIEISA-N 0 3 240.322 2.879 20 0 BFADHN CCn1cc([C@H](C)NCCC(C)(C)F)cn1 ZINC000658192203 411287338 /nfs/dbraw/zinc/28/73/38/411287338.db2.gz BMOVRNJEWZLWOO-JTQLQIEISA-N 0 3 227.327 2.692 20 0 BFADHN C[C@@H]1C[C@H]1CN1CC(CC(F)(F)F)C1 ZINC000656689022 411329206 /nfs/dbraw/zinc/32/92/06/411329206.db2.gz ZEFKWJBKZHQMDM-APPZFPTMSA-N 0 3 207.239 2.527 20 0 BFADHN c1cc(CCN[C@H]2CCCc3cccnc32)co1 ZINC000658203688 411291795 /nfs/dbraw/zinc/29/17/95/411291795.db2.gz YKCKREIQUZGSEV-AWEZNQCLSA-N 0 3 242.322 2.884 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1nccn1C ZINC000658205003 411292131 /nfs/dbraw/zinc/29/21/31/411292131.db2.gz DFKNOEPXSFEMSZ-RNJOBUHISA-N 0 3 233.359 2.507 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@@H]1CCC[C@H]3C[C@H]31)CCC2 ZINC000658206212 411292605 /nfs/dbraw/zinc/29/26/05/411292605.db2.gz GLGJWLBOMJJYOH-IGQOVBAYSA-N 0 3 245.370 2.744 20 0 BFADHN CCn1cc([C@@H](C)N[C@]2(C)CC2(C)C)cn1 ZINC000658306550 411329709 /nfs/dbraw/zinc/32/97/09/411329709.db2.gz DVBXCPGPZSFMKX-ZWNOBZJWSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H](C)O1)c1cncs1 ZINC000658216976 411297271 /nfs/dbraw/zinc/29/72/71/411297271.db2.gz BUBPHZFBUSHPPM-OUAUKWLOSA-N 0 3 240.372 2.751 20 0 BFADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1ccccn1 ZINC000658217981 411298043 /nfs/dbraw/zinc/29/80/43/411298043.db2.gz IEXRLJZBJPHENF-KOLCDFICSA-N 0 3 226.270 2.778 20 0 BFADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1ccccn1 ZINC000658217979 411298128 /nfs/dbraw/zinc/29/81/28/411298128.db2.gz IEXRLJZBJPHENF-GXSJLCMTSA-N 0 3 226.270 2.778 20 0 BFADHN CC[C@@H](NC[C@@]1(C)CC1(F)F)c1cnn(C)c1 ZINC000658219767 411299496 /nfs/dbraw/zinc/29/94/96/411299496.db2.gz OKQUNHVWSZHUPJ-GHMZBOCLSA-N 0 3 243.301 2.506 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@H]1CCC[C@H](C)O1 ZINC000658220597 411300146 /nfs/dbraw/zinc/30/01/46/411300146.db2.gz QKMGHVVFDOFFJT-MELADBBJSA-N 0 3 248.370 2.998 20 0 BFADHN CCC(CC)NCc1nccc(OC)c1F ZINC000658225259 411303879 /nfs/dbraw/zinc/30/38/79/411303879.db2.gz IWEWTEYNIKLLGS-UHFFFAOYSA-N 0 3 226.295 2.508 20 0 BFADHN Cc1cc([C@@H](C)NCCCC2CCC2)nn1C ZINC000658225451 411304345 /nfs/dbraw/zinc/30/43/45/411304345.db2.gz ZFKUJZGVTRRPEK-GFCCVEGCSA-N 0 3 235.375 2.959 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1nncn1CC ZINC000158448829 411304890 /nfs/dbraw/zinc/30/48/90/411304890.db2.gz VFOGTRNHEOLPML-VXGBXAGGSA-N 0 3 238.379 2.602 20 0 BFADHN COc1ccnc(CN[C@@H](C)C(C)(C)C)c1F ZINC000658227596 411304911 /nfs/dbraw/zinc/30/49/11/411304911.db2.gz ITTWQYPBNRTXBU-VIFPVBQESA-N 0 3 240.322 2.754 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2ccc3c(n2)CCC3)C1 ZINC000656586825 411306166 /nfs/dbraw/zinc/30/61/66/411306166.db2.gz JNSSBGNEIJGDAN-GFCCVEGCSA-N 0 3 242.366 2.968 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@]1(C)CC1(C)C ZINC000658309499 411331002 /nfs/dbraw/zinc/33/10/02/411331002.db2.gz WOBUXUATJYEISU-QPUJVOFHSA-N 0 3 207.321 2.557 20 0 BFADHN c1coc(CN2CCN(CCC3CCC3)CC2)c1 ZINC000659823677 411307051 /nfs/dbraw/zinc/30/70/51/411307051.db2.gz IWWRDFYXUHAVMF-UHFFFAOYSA-N 0 3 248.370 2.587 20 0 BFADHN O=C1CCCC12CCN(CCCCCF)CC2 ZINC000661961803 411331403 /nfs/dbraw/zinc/33/14/03/411331403.db2.gz PNYAOJXWQLVKJA-UHFFFAOYSA-N 0 3 241.350 2.961 20 0 BFADHN Cn1nccc1C1=CCN(CCC2CCC2)CC1 ZINC000660534059 411311273 /nfs/dbraw/zinc/31/12/73/411311273.db2.gz CJJZMNOHZXKMQS-UHFFFAOYSA-N 0 3 245.370 2.699 20 0 BFADHN CC1(C2(NCc3ccc4c(n3)CCC4)CC2)CC1 ZINC000656637293 411312460 /nfs/dbraw/zinc/31/24/60/411312460.db2.gz GZMXOQIBKORSPW-UHFFFAOYSA-N 0 3 242.366 2.993 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@H](C)C2)c(C)o1 ZINC000659829740 411312566 /nfs/dbraw/zinc/31/25/66/411312566.db2.gz UTXDDYCOFCTNNS-AOOOYVTPSA-N 0 3 222.332 2.769 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C12CCC2)c1cccc(F)c1 ZINC000658250385 411313649 /nfs/dbraw/zinc/31/36/49/411313649.db2.gz BRHGSRJAEAXYPC-BPNCWPANSA-N 0 3 249.329 2.780 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](O)C12CCC2)c1ccccc1 ZINC000658252200 411314427 /nfs/dbraw/zinc/31/44/27/411314427.db2.gz HQIPFOIUMRRFNP-IACUBPJLSA-N 0 3 231.339 2.641 20 0 BFADHN Cc1cc([C@@H](C)NC2(CC(C)C)CC2)nn1C ZINC000658319742 411331922 /nfs/dbraw/zinc/33/19/22/411331922.db2.gz FINYQUXJHDXILL-GFCCVEGCSA-N 0 3 235.375 2.958 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCO[C@H](CC)C1 ZINC000659837389 411316645 /nfs/dbraw/zinc/31/66/45/411316645.db2.gz DNBZRRSCSCSYPP-WDEREUQCSA-N 0 3 235.318 2.779 20 0 BFADHN CN(CCc1nsc2ccccc21)C1CC1 ZINC000659842432 411332070 /nfs/dbraw/zinc/33/20/70/411332070.db2.gz ODLANWFWZMBIGT-UHFFFAOYSA-N 0 3 232.352 2.933 20 0 BFADHN CCc1ccccc1CN[C@@H]1C[C@@H](O)C12CCC2 ZINC000661832119 411317178 /nfs/dbraw/zinc/31/71/78/411317178.db2.gz XHFPWURKLAHIJX-HUUCEWRRSA-N 0 3 245.366 2.642 20 0 BFADHN CCN(Cc1cc(OC)ns1)CC(C)C ZINC000659838439 411317581 /nfs/dbraw/zinc/31/75/81/411317581.db2.gz OIXFIZHGAKBPDX-UHFFFAOYSA-N 0 3 228.361 2.630 20 0 BFADHN Cc1cc(CN(CC(C)C)C2CC2)nc(C)n1 ZINC000659838480 411317699 /nfs/dbraw/zinc/31/76/99/411317699.db2.gz PCGUACISNVWKIZ-UHFFFAOYSA-N 0 3 233.359 2.714 20 0 BFADHN CC[C@H](C)CCN[C@H](C)c1cnccn1 ZINC000656680174 411320568 /nfs/dbraw/zinc/32/05/68/411320568.db2.gz OWVUYKSMHIJLEB-WDEREUQCSA-N 0 3 207.321 2.563 20 0 BFADHN C[C@@H](NCC1=CCCC1)c1cncs1 ZINC000658292675 411325930 /nfs/dbraw/zinc/32/59/30/411325930.db2.gz IXBVHPCWNLVRED-SECBINFHSA-N 0 3 208.330 2.904 20 0 BFADHN CC[C@H]1CN(CC2CCCCC2)CCO1 ZINC000045752811 411248694 /nfs/dbraw/zinc/24/86/94/411248694.db2.gz AUKASQWQWQJVED-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN CC[C@@H](NCC1=CCCC1)c1cnn(C)c1 ZINC000658292899 411326159 /nfs/dbraw/zinc/32/61/59/411326159.db2.gz OKESDSSVYASBPA-CYBMUJFWSA-N 0 3 219.332 2.571 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1C[C@@H](C)[C@H]1C ZINC000658119892 411250283 /nfs/dbraw/zinc/25/02/83/411250283.db2.gz QSYBRACDMQPFDP-NQBHXWOUSA-N 0 3 249.354 2.838 20 0 BFADHN CC[C@@H](C)CCN[C@@H](CC)c1nccn1C ZINC000658122153 411251067 /nfs/dbraw/zinc/25/10/67/411251067.db2.gz RTFKYHDMYTXOED-NEPJUHHUSA-N 0 3 223.364 2.897 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1cc2n(n1)CCCC2 ZINC000655770140 411251286 /nfs/dbraw/zinc/25/12/86/411251286.db2.gz ZRYUHPQRHRFYEO-OLZOCXBDSA-N 0 3 247.386 2.745 20 0 BFADHN CC[C@@H](C)CCN[C@H](c1nccn1C)C1CC1 ZINC000658123287 411252342 /nfs/dbraw/zinc/25/23/42/411252342.db2.gz XMKZYUJZVSXIQG-YPMHNXCESA-N 0 3 235.375 2.897 20 0 BFADHN Cc1ccncc1CCN[C@H](C)c1ccccn1 ZINC000658128014 411254210 /nfs/dbraw/zinc/25/42/10/411254210.db2.gz XYMRNPVXGFVZDG-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@H]1C[C@H](CN[C@H]2CCn3ccnc32)C[C@@H](C)C1 ZINC000658128397 411254222 /nfs/dbraw/zinc/25/42/22/411254222.db2.gz UTZPDDRZTVVONU-DGAVXFQQSA-N 0 3 247.386 2.990 20 0 BFADHN CCCOc1ccc([C@H](C)NC/C=C/CO)cc1 ZINC000658132392 411255872 /nfs/dbraw/zinc/25/58/72/411255872.db2.gz HVIJGCWNWFIIJE-IHVVCDCBSA-N 0 3 249.354 2.675 20 0 BFADHN C[C@H](NC/C=C/CO)c1ccc(Cl)s1 ZINC000658132474 411257023 /nfs/dbraw/zinc/25/70/23/411257023.db2.gz MCDODTCYILVCQA-SGJFDWMWSA-N 0 3 231.748 2.601 20 0 BFADHN Cc1ccc(C)c([C@@H](C)NC/C=C\CO)c1 ZINC000658132221 411257046 /nfs/dbraw/zinc/25/70/46/411257046.db2.gz JOLPWFBAIFWRSJ-DSYXLKISSA-N 0 3 219.328 2.503 20 0 BFADHN CC[C@H](NC/C=C\CO)c1cc(C)ccc1OC ZINC000658133245 411257679 /nfs/dbraw/zinc/25/76/79/411257679.db2.gz CSFKCYJJGPOCLR-GXMNPTEKSA-N 0 3 249.354 2.593 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H](C1CC1)C1CCC1)CCC2 ZINC000655774675 411258055 /nfs/dbraw/zinc/25/80/55/411258055.db2.gz DPFFIANSHVIXBK-ZIAGYGMSSA-N 0 3 245.370 2.886 20 0 BFADHN Cc1ccc([C@@H](C)NC/C=C/CO)c(C)c1 ZINC000658133692 411258585 /nfs/dbraw/zinc/25/85/85/411258585.db2.gz RWCLPWRQEPXKAM-MUBLQREKSA-N 0 3 219.328 2.503 20 0 BFADHN Cc1ccc([C@@H](C)NC/C=C\CO)cc1C ZINC000658134318 411259037 /nfs/dbraw/zinc/25/90/37/411259037.db2.gz VSGIYXYMLDRJFZ-DSYXLKISSA-N 0 3 219.328 2.503 20 0 BFADHN CC[C@@H](NC/C=C/CO)c1ccc(Cl)s1 ZINC000658134083 411259260 /nfs/dbraw/zinc/25/92/60/411259260.db2.gz PETARYCCBDSESF-CDAZIORVSA-N 0 3 245.775 2.991 20 0 BFADHN CC(C)[C@H](N[C@@H](C)c1ccn(C)n1)C1CC1 ZINC000658298831 411326872 /nfs/dbraw/zinc/32/68/72/411326872.db2.gz CBWVIPJIGNEJCA-GWCFXTLKSA-N 0 3 221.348 2.505 20 0 BFADHN Cc1ccc([C@@H](N[C@H]2C[C@H](O)C2)C2CCCC2)o1 ZINC000658348905 411349452 /nfs/dbraw/zinc/34/94/52/411349452.db2.gz CZGJXXAILGEAAS-YDHLFZDLSA-N 0 3 249.354 2.932 20 0 BFADHN CSc1ccc([C@@H](C)N[C@H]2C[C@H](O)C2)cc1 ZINC000658348948 411350299 /nfs/dbraw/zinc/35/02/99/411350299.db2.gz FHKJOUUFROYKAZ-YUSALJHKSA-N 0 3 237.368 2.582 20 0 BFADHN CSc1ccc([C@H](C)N[C@H]2C[C@H](O)C2)cc1 ZINC000658348947 411350550 /nfs/dbraw/zinc/35/05/50/411350550.db2.gz FHKJOUUFROYKAZ-DLOVCJGASA-N 0 3 237.368 2.582 20 0 BFADHN CCCOc1ccc([C@@H](C)N[C@H]2C[C@H](O)C2)cc1 ZINC000658350517 411352237 /nfs/dbraw/zinc/35/22/37/411352237.db2.gz FQGWYKRYVFNNBJ-MRVWCRGKSA-N 0 3 249.354 2.649 20 0 BFADHN Cc1c2ccccc2oc1[C@@H](C)N[C@H]1C[C@H](O)C1 ZINC000658351514 411353467 /nfs/dbraw/zinc/35/34/67/411353467.db2.gz NBRFHRUFAULGIO-IJLUTSLNSA-N 0 3 245.322 2.915 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C3CCC3)C2)nn1C ZINC000662043367 411354110 /nfs/dbraw/zinc/35/41/10/411354110.db2.gz ILPHDHUFMNOIDF-CQSZACIVSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@@H]1CN(CCC(C)(C)C2CC2)[C@H](C)CO1 ZINC000653484073 411373471 /nfs/dbraw/zinc/37/34/71/411373471.db2.gz PEFKFNFVUFNVKS-VXGBXAGGSA-N 0 3 225.376 2.922 20 0 BFADHN Cn1ccc(CN2CCCC[C@H]2CC2CCC2)n1 ZINC000662156980 411369251 /nfs/dbraw/zinc/36/92/51/411369251.db2.gz NVLAEFQTAGGPDW-HNNXBMFYSA-N 0 3 247.386 2.965 20 0 BFADHN CC[C@H](C)NC(=O)CN1[C@H](CC)CCC[C@@H]1C ZINC000662158057 411369715 /nfs/dbraw/zinc/36/97/15/411369715.db2.gz OSJMDRQUZIWDLT-RWMBFGLXSA-N 0 3 240.391 2.554 20 0 BFADHN C[C@H]1C[C@@H](NCCCc2ccco2)c2nccn21 ZINC000658324921 411334421 /nfs/dbraw/zinc/33/44/21/411334421.db2.gz GTMFWKJHRSVRCE-WCQYABFASA-N 0 3 245.326 2.704 20 0 BFADHN c1ccc2c(c1)onc2CCN1CC[C@@H]2C[C@@H]2C1 ZINC000661967083 411334503 /nfs/dbraw/zinc/33/45/03/411334503.db2.gz NTMZFUJMHFVICF-VXGBXAGGSA-N 0 3 242.322 2.712 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1cc2cnccc2o1 ZINC000658329689 411336915 /nfs/dbraw/zinc/33/69/15/411336915.db2.gz GBDGPHPJVAKUCW-FZZIBODNSA-N 0 3 246.310 2.656 20 0 BFADHN Fc1ccc(CN2CC[C@@H]3C[C@@H]3C2)cc1 ZINC000661968813 411338235 /nfs/dbraw/zinc/33/82/35/411338235.db2.gz HRPUUGYDKVRPRR-VXGBXAGGSA-N 0 3 205.276 2.668 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1cc(C)oc1C ZINC000658333384 411340551 /nfs/dbraw/zinc/34/05/51/411340551.db2.gz XTBRDJVHYKKKSP-BIMULSAOSA-N 0 3 223.316 2.724 20 0 BFADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H]1C[C@]1(C)OC ZINC000658332897 411340911 /nfs/dbraw/zinc/34/09/11/411340911.db2.gz TXZSJYYYJYWUDO-ATGSNQNLSA-N 0 3 249.354 2.530 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1cccs1 ZINC000658334278 411342066 /nfs/dbraw/zinc/34/20/66/411342066.db2.gz HHUJCILVQVXJQV-IEBDPFPHSA-N 0 3 211.330 2.576 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1cc(F)cc(F)c1 ZINC000658334898 411343259 /nfs/dbraw/zinc/34/32/59/411343259.db2.gz XBACEUPYNGHZCU-CKLFPEKLSA-N 0 3 241.281 2.793 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCc2cc(F)ccc21 ZINC000658334664 411343464 /nfs/dbraw/zinc/34/34/64/411343464.db2.gz RCYQCUACLLUHAJ-MJBXVCDLSA-N 0 3 235.302 2.580 20 0 BFADHN CC(C)[C@H]1CCC[C@@H]1N[C@@H](C)c1nccn1C ZINC000658335698 411343505 /nfs/dbraw/zinc/34/35/05/411343505.db2.gz FILBZUJCAWHULG-XQQFMLRXSA-N 0 3 235.375 2.895 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCc2cc(F)ccc21 ZINC000658334663 411343915 /nfs/dbraw/zinc/34/39/15/411343915.db2.gz RCYQCUACLLUHAJ-MCIONIFRSA-N 0 3 235.302 2.580 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1NCc1ccc(Cl)s1 ZINC000163376796 168000092 /nfs/dbraw/zinc/00/00/92/168000092.db2.gz RIIPMWAOEFGVAX-IUCAKERBSA-N 0 3 245.775 2.651 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1NCc1ccc2occc2c1 ZINC000285780529 168000163 /nfs/dbraw/zinc/00/01/63/168000163.db2.gz DXWCNLOXLUUGDX-KBPBESRZSA-N 0 3 245.322 2.682 20 0 BFADHN CC1(C)[C@H](NCc2ccc3occc3c2)C[C@@H]1O ZINC000285780531 168001049 /nfs/dbraw/zinc/00/10/49/168001049.db2.gz DXWCNLOXLUUGDX-KGLIPLIRSA-N 0 3 245.322 2.682 20 0 BFADHN CO[C@@H](CN(C)Cc1ccoc1C)C1CCC1 ZINC000659853862 411344885 /nfs/dbraw/zinc/34/48/85/411344885.db2.gz UPEBARJCKDNKJJ-AWEZNQCLSA-N 0 3 237.343 2.835 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NCc1cc2ccccc2o1 ZINC000129522947 168008335 /nfs/dbraw/zinc/00/83/35/168008335.db2.gz SLTALTJECRFMCP-ZIAGYGMSSA-N 0 3 245.322 2.682 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NCc1ccc(C2CC2)cc1 ZINC000128131840 168008371 /nfs/dbraw/zinc/00/83/71/168008371.db2.gz ACFRARQVQVWMLB-HUUCEWRRSA-N 0 3 245.366 2.813 20 0 BFADHN C[C@H](CC1CCC1)N[C@@H](C)c1nccn1C ZINC000658338442 411346104 /nfs/dbraw/zinc/34/61/04/411346104.db2.gz YEEZWSPRLSWTKO-MNOVXSKESA-N 0 3 221.348 2.649 20 0 BFADHN C[C@@H](N[C@@H](C)C(C)(C)C(F)F)c1nccn1C ZINC000658340221 411347341 /nfs/dbraw/zinc/34/73/41/411347341.db2.gz ZRLQBTXBSHYYDN-BDAKNGLRSA-N 0 3 245.317 2.751 20 0 BFADHN CC1(CNCc2cc(F)ncc2F)CCC1 ZINC000294909702 168042850 /nfs/dbraw/zinc/04/28/50/168042850.db2.gz ADIWIRKMEITCOD-UHFFFAOYSA-N 0 3 226.270 2.640 20 0 BFADHN CC1(CNCc2cn3ccccc3n2)CCC1 ZINC000226787974 168043706 /nfs/dbraw/zinc/04/37/06/168043706.db2.gz DQVIMBIVDLAUSB-UHFFFAOYSA-N 0 3 229.327 2.614 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)CC2)nc(C)n1 ZINC000659858036 411348188 /nfs/dbraw/zinc/34/81/88/411348188.db2.gz RWPFNINDPPRORU-LLVKDONJSA-N 0 3 233.359 2.715 20 0 BFADHN CC1(NCc2cscn2)CCCCC1 ZINC000045042895 168064517 /nfs/dbraw/zinc/06/45/17/168064517.db2.gz ZTORVMWQJPSAMN-UHFFFAOYSA-N 0 3 210.346 2.956 20 0 BFADHN CC1(O)CCN(Cc2ccc3c(c2)CCC3)CC1 ZINC000126809353 168067273 /nfs/dbraw/zinc/06/72/73/168067273.db2.gz NGGVZQRMWLVUIO-UHFFFAOYSA-N 0 3 245.366 2.522 20 0 BFADHN CC1=C(C)CN(Cc2ccc(CO)cc2)CC1 ZINC000280521583 168084012 /nfs/dbraw/zinc/08/40/12/168084012.db2.gz DWPXDZPMUDAECQ-UHFFFAOYSA-N 0 3 231.339 2.721 20 0 BFADHN CC1=C(C)CN(CCc2cscn2)CC1 ZINC000295920266 168085080 /nfs/dbraw/zinc/08/50/80/168085080.db2.gz GHXWHVNFNLUZPJ-UHFFFAOYSA-N 0 3 222.357 2.728 20 0 BFADHN CC1=C(C)CN(Cc2cccc(O)c2)CC1 ZINC000280923929 168085250 /nfs/dbraw/zinc/08/52/50/168085250.db2.gz RHWSLFSBOOVPNR-UHFFFAOYSA-N 0 3 217.312 2.934 20 0 BFADHN CC1=C(C)CN(C[C@H](O)c2ccccc2F)CC1 ZINC000280777968 168085900 /nfs/dbraw/zinc/08/59/00/168085900.db2.gz PSTQSAOIIBGJFK-HNNXBMFYSA-N 0 3 249.329 2.901 20 0 BFADHN CC1=CCCN(CCC(=O)c2ccccc2)C1 ZINC000280950132 168098587 /nfs/dbraw/zinc/09/85/87/168098587.db2.gz XHDBIGPLKTZJOD-UHFFFAOYSA-N 0 3 229.323 2.911 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN1CCOCC12CC2 ZINC000338994851 168103431 /nfs/dbraw/zinc/10/34/31/168103431.db2.gz CWKCALUFRPBNDJ-KBPBESRZSA-N 0 3 235.371 2.844 20 0 BFADHN CC1=CCN(CCNCc2ccc(F)cc2)CC1 ZINC000358129662 168112907 /nfs/dbraw/zinc/11/29/07/168112907.db2.gz DPAWJRYYXQZEST-UHFFFAOYSA-N 0 3 248.345 2.567 20 0 BFADHN CC1=CCN(CCOc2cccc(F)c2)CC1 ZINC000339660285 168113081 /nfs/dbraw/zinc/11/30/81/168113081.db2.gz VZCJZSNNFAQLAB-UHFFFAOYSA-N 0 3 235.302 2.857 20 0 BFADHN C[C@@H](CN[C@H]1CCCn2ccnc21)CC(F)F ZINC000655779951 411380294 /nfs/dbraw/zinc/38/02/94/411380294.db2.gz UUPZLIBLKZLVNC-ZJUUUORDSA-N 0 3 243.301 2.599 20 0 BFADHN CC1=CCN(C[C@H]2CCC(C)(C)O2)CC1 ZINC000334152425 168114696 /nfs/dbraw/zinc/11/46/96/168114696.db2.gz VZSGNMBAZSJCFU-GFCCVEGCSA-N 0 3 209.333 2.596 20 0 BFADHN CC1=CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000271156233 168115969 /nfs/dbraw/zinc/11/59/69/168115969.db2.gz BIKCUIGJNBYWPW-UHFFFAOYSA-N 0 3 229.323 2.774 20 0 BFADHN CC1=CCN(Cc2ccc3c(c2)ncn3C)CC1 ZINC000271876760 168116399 /nfs/dbraw/zinc/11/63/99/168116399.db2.gz UDBNPXOWULALLJ-UHFFFAOYSA-N 0 3 241.338 2.725 20 0 BFADHN CC1=CC[C@@H](NCc2nccn2C(F)F)CC1 ZINC000293777257 168118463 /nfs/dbraw/zinc/11/84/63/168118463.db2.gz ASZGWHILQKOZQZ-SNVBAGLBSA-N 0 3 241.285 2.867 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN(C)Cc2cnn(C)c2)C1 ZINC000290547439 168129664 /nfs/dbraw/zinc/12/96/64/168129664.db2.gz WMMUETLZDHMNOR-JSGCOSHPSA-N 0 3 247.386 2.844 20 0 BFADHN CC1CC(NCc2c(F)cccc2F)C1 ZINC000128889657 168146447 /nfs/dbraw/zinc/14/64/47/168146447.db2.gz BSIWIYMGBQPUIY-UHFFFAOYSA-N 0 3 211.255 2.853 20 0 BFADHN CC1CC(NCc2ccc(F)cc2F)C1 ZINC000128863994 168146648 /nfs/dbraw/zinc/14/66/48/168146648.db2.gz LXJCLZLZOJSACC-UHFFFAOYSA-N 0 3 211.255 2.853 20 0 BFADHN CC1CC(NCc2cc(F)cc(F)c2)C1 ZINC000162836722 168146860 /nfs/dbraw/zinc/14/68/60/168146860.db2.gz BFHXLHXBDIAYQZ-UHFFFAOYSA-N 0 3 211.255 2.853 20 0 BFADHN CC1CCC(C)(CNCc2cncnc2)CC1 ZINC000355422753 168151185 /nfs/dbraw/zinc/15/11/85/168151185.db2.gz RFVOGYBJNVBFIQ-UHFFFAOYSA-N 0 3 233.359 2.783 20 0 BFADHN CC1CCC(CN[C@H]2CCn3ccnc32)CC1 ZINC000335954404 168156463 /nfs/dbraw/zinc/15/64/63/168156463.db2.gz PEHZIKLRKGYFGD-BPCQOVAHSA-N 0 3 233.359 2.744 20 0 BFADHN CC1CCC(CNCc2ccc(CO)o2)CC1 ZINC000083924673 168156926 /nfs/dbraw/zinc/15/69/26/168156926.db2.gz GMQNOASQLFUXHX-UHFFFAOYSA-N 0 3 237.343 2.688 20 0 BFADHN CC1CCC(NCc2ccc(F)cn2)CC1 ZINC000334668466 168164684 /nfs/dbraw/zinc/16/46/84/168164684.db2.gz DYVZMCFMKNJGFL-UHFFFAOYSA-N 0 3 222.307 2.889 20 0 BFADHN CC1CN(CCSCc2ccccc2)C1 ZINC000338953448 168190098 /nfs/dbraw/zinc/19/00/98/168190098.db2.gz UQJLIKAPMJXKON-UHFFFAOYSA-N 0 3 221.369 2.872 20 0 BFADHN CC(C)Oc1ccc(CN2CC(C)C2)cc1 ZINC000338955259 168191047 /nfs/dbraw/zinc/19/10/47/168191047.db2.gz SGYYVROHYDBDHP-UHFFFAOYSA-N 0 3 219.328 2.926 20 0 BFADHN CCC(=O)CCN(C)[C@H]1CCc2ccccc21 ZINC000190184872 168200815 /nfs/dbraw/zinc/20/08/15/168200815.db2.gz WQAQWKVDAVMSCI-HNNXBMFYSA-N 0 3 231.339 2.975 20 0 BFADHN CCC(=O)CCN(C)Cc1ccc(C)cc1 ZINC000190177860 168201013 /nfs/dbraw/zinc/20/10/13/168201013.db2.gz OUULTNHHFQFQCQ-UHFFFAOYSA-N 0 3 219.328 2.796 20 0 BFADHN CCC(=O)CCN1CC[C@H](c2ccc(F)cc2)C1 ZINC000276883980 168202993 /nfs/dbraw/zinc/20/29/93/168202993.db2.gz MSWVHWKAMNXILE-ZDUSSCGKSA-N 0 3 249.329 2.984 20 0 BFADHN CCC(=O)CCN1CCc2ccccc2[C@@H]1C ZINC000190223578 168203013 /nfs/dbraw/zinc/20/30/13/168203013.db2.gz WDWRHCFZWVSXSW-LBPRGKRZSA-N 0 3 231.339 2.975 20 0 BFADHN CCC(=O)CCN1CCc2ccccc2[C@H]1C ZINC000190223599 168203941 /nfs/dbraw/zinc/20/39/41/168203941.db2.gz WDWRHCFZWVSXSW-GFCCVEGCSA-N 0 3 231.339 2.975 20 0 BFADHN CC[C@@H]1CN(CCC2CCC2)C[C@@H](C)O1 ZINC000659919467 411382383 /nfs/dbraw/zinc/38/23/83/411382383.db2.gz RELRGAFGIGHKDM-DGCLKSJQSA-N 0 3 211.349 2.676 20 0 BFADHN CCC(C)(C)C(=O)Nc1cccc(CN)c1 ZINC000054401394 168269161 /nfs/dbraw/zinc/26/91/61/168269161.db2.gz KPMKQZGPYNIDLN-UHFFFAOYSA-N 0 3 220.316 2.520 20 0 BFADHN CCC(C)(C)CNCc1cccc(O)c1OC ZINC000277679118 168281836 /nfs/dbraw/zinc/28/18/36/168281836.db2.gz QDTJFNASHZHEBI-UHFFFAOYSA-N 0 3 237.343 2.927 20 0 BFADHN CCC(C)(C)CN[C@H](C)c1cc(C)n(C)n1 ZINC000336751151 168281846 /nfs/dbraw/zinc/28/18/46/168281846.db2.gz BZBUONINXZZPKK-LLVKDONJSA-N 0 3 223.364 2.815 20 0 BFADHN CCC(C)(C)CN[C@@H](C)c1cnccn1 ZINC000189992376 168282298 /nfs/dbraw/zinc/28/22/98/168282298.db2.gz MTJNRRWLIKVFKX-JTQLQIEISA-N 0 3 207.321 2.563 20 0 BFADHN OCC[C@H](NCCCC1CCC1)c1ccco1 ZINC000660297035 411387378 /nfs/dbraw/zinc/38/73/78/411387378.db2.gz IYBARMBMHPDPND-ZDUSSCGKSA-N 0 3 237.343 2.873 20 0 BFADHN CCC(C)(C)N(C)CC(=O)N(C(C)C)C(C)C ZINC000357768395 168286541 /nfs/dbraw/zinc/28/65/41/168286541.db2.gz WUSHJWNORGCDAW-UHFFFAOYSA-N 0 3 242.407 2.752 20 0 BFADHN CCC(C)(C)NC(=O)CN(CC(C)C)C(C)C ZINC000340911627 168289651 /nfs/dbraw/zinc/28/96/51/168289651.db2.gz IXSPXNJTLJEXRI-UHFFFAOYSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1c[nH]c(CN[C@@H](C)Cc2cccs2)n1 ZINC000657300249 411455129 /nfs/dbraw/zinc/45/51/29/411455129.db2.gz KJJWRQAIGDWAAD-VIFPVBQESA-N 0 3 235.356 2.500 20 0 BFADHN CCC(C)(C)NCc1c(C)nn(C)c1Cl ZINC000060379197 168299104 /nfs/dbraw/zinc/29/91/04/168299104.db2.gz LPWJXIVGPXHHMV-UHFFFAOYSA-N 0 3 229.755 2.660 20 0 BFADHN CCC(C)(C)NCc1ccn(C(F)F)n1 ZINC000309039920 168299351 /nfs/dbraw/zinc/29/93/51/168299351.db2.gz KNZOQXPVUQZYEL-UHFFFAOYSA-N 0 3 217.263 2.556 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)Cc2cccs2)n1 ZINC000657300248 411455635 /nfs/dbraw/zinc/45/56/35/411455635.db2.gz KJJWRQAIGDWAAD-SECBINFHSA-N 0 3 235.356 2.500 20 0 BFADHN Cc1c[nH]c(CNCC2(c3ccccc3)CC2)n1 ZINC000657301879 411455981 /nfs/dbraw/zinc/45/59/81/411455981.db2.gz PICPPJQGVLGJTR-UHFFFAOYSA-N 0 3 241.338 2.540 20 0 BFADHN CC[C@@H]1C[C@@H](NC[C@H](OC)c2ccccc2)CO1 ZINC000657326215 411464433 /nfs/dbraw/zinc/46/44/33/411464433.db2.gz KMSUSEVDPHVCQS-KFWWJZLASA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccc(C)s2)CO1 ZINC000657313891 411459972 /nfs/dbraw/zinc/45/99/72/411459972.db2.gz WOJCDGSKCUSYFK-QWRGUYRKSA-N 0 3 225.357 2.714 20 0 BFADHN CC(C)=CCCN1CCN(CC(C)C)CC1 ZINC000659809368 411460104 /nfs/dbraw/zinc/46/01/04/411460104.db2.gz CXCFENQPAUQUIN-UHFFFAOYSA-N 0 3 224.392 2.616 20 0 BFADHN Cc1c[nH]c(CNCC(C)(C)c2ccccc2)n1 ZINC000657307638 411460874 /nfs/dbraw/zinc/46/08/74/411460874.db2.gz RSSHYVCUUUEDFL-UHFFFAOYSA-N 0 3 243.354 2.786 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3CCC[C@H]32)nc(C)n1 ZINC000659954889 411398053 /nfs/dbraw/zinc/39/80/53/411398053.db2.gz DNGNBOOMELWZEF-DZGCQCFKSA-N 0 3 245.370 2.858 20 0 BFADHN CC(C)C1(CN[C@H]2CCCn3ccnc32)CC1 ZINC000655731942 411398922 /nfs/dbraw/zinc/39/89/22/411398922.db2.gz WNXLKIZFVBYOCM-LBPRGKRZSA-N 0 3 233.359 2.744 20 0 BFADHN CCCN(Cc1cc(C)nc(C)n1)CC1CC1 ZINC000659815407 411461670 /nfs/dbraw/zinc/46/16/70/411461670.db2.gz FBVNFZKFNOTNTG-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN CC[C@@H]1C[C@H](Nc2cccc(CN(C)C)c2)CO1 ZINC000657322206 411461771 /nfs/dbraw/zinc/46/17/71/411461771.db2.gz JBWAUIKCSJAUAZ-LSDHHAIUSA-N 0 3 248.370 2.728 20 0 BFADHN Cc1ccc(C)c([C@@H](C)N[C@@H]2CCOC2)c1 ZINC000070966873 411410275 /nfs/dbraw/zinc/41/02/75/411410275.db2.gz JCNKBZFOHZRARG-CHWSQXEVSA-N 0 3 219.328 2.743 20 0 BFADHN CCCN(Cc1cc(OC)ns1)CC1CC1 ZINC000659815793 411461940 /nfs/dbraw/zinc/46/19/40/411461940.db2.gz KMRBRFPQZGCWLH-UHFFFAOYSA-N 0 3 240.372 2.774 20 0 BFADHN CC1(C)C[C@H](N2CCc3ccccc3C2)CO1 ZINC000659814837 411461974 /nfs/dbraw/zinc/46/19/74/411461974.db2.gz XALVITQJFOSZHL-AWEZNQCLSA-N 0 3 231.339 2.612 20 0 BFADHN CC[C@@H](NCc1ccoc1C)[C@H]1CCCO1 ZINC000414371022 411412256 /nfs/dbraw/zinc/41/22/56/411412256.db2.gz XSIWOEXFKOLNCS-CHWSQXEVSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1ccc(CN2CC[C@H](CC(C)C)C2)nn1 ZINC000414389243 411414075 /nfs/dbraw/zinc/41/40/75/411414075.db2.gz PQLGWNPLYDWQBT-CYBMUJFWSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1cc(C)cc(CN2CCC[C@@H]3COC[C@@H]32)c1 ZINC000657208820 411419101 /nfs/dbraw/zinc/41/91/01/411419101.db2.gz CSTMATVQWUUBSC-CVEARBPZSA-N 0 3 245.366 2.914 20 0 BFADHN CCc1ncc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)cn1 ZINC000414442817 411419435 /nfs/dbraw/zinc/41/94/35/411419435.db2.gz YNYURSRYDIWSGW-JHJVBQTASA-N 0 3 247.386 2.905 20 0 BFADHN CC[C@H](CC(F)F)CN1C[C@H](C)OC[C@@H]1C ZINC000659821398 411462723 /nfs/dbraw/zinc/46/27/23/411462723.db2.gz NHNACXMYDQKFSY-GARJFASQSA-N 0 3 235.318 2.777 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@H](C)[C@H]2C)cs1 ZINC000306175046 411437235 /nfs/dbraw/zinc/43/72/35/411437235.db2.gz MCFMBYXCSIICAQ-VAOFZXAKSA-N 0 3 210.346 2.586 20 0 BFADHN CCN(Cc1cncc(F)c1)C1CCCC1 ZINC000274326836 411438115 /nfs/dbraw/zinc/43/81/15/411438115.db2.gz DXWUZALZMDTZTJ-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCS[C@H]1C ZINC000306356888 411443854 /nfs/dbraw/zinc/44/38/54/411443854.db2.gz UDUBANWDWDOQFX-VHSXEESVSA-N 0 3 211.330 2.572 20 0 BFADHN CC(C)CCNCc1c(C(F)(F)F)cnn1C ZINC000657285787 411444855 /nfs/dbraw/zinc/44/48/55/411444855.db2.gz BXLBPUKULPFLRU-UHFFFAOYSA-N 0 3 249.280 2.575 20 0 BFADHN CCc1cccc2cc(CNCCOC)oc21 ZINC000657286682 411446037 /nfs/dbraw/zinc/44/60/37/411446037.db2.gz BGEKWKNTUNBIQZ-UHFFFAOYSA-N 0 3 233.311 2.731 20 0 BFADHN CC[C@@H]1CCCN(Cc2cc(C)nc(C)n2)C1 ZINC000659825622 411463824 /nfs/dbraw/zinc/46/38/24/411463824.db2.gz WKPOZDKYKBAJMN-CYBMUJFWSA-N 0 3 233.359 2.715 20 0 BFADHN CCCOc1ccc(CNCCOC)c(C)c1 ZINC000657287752 411447120 /nfs/dbraw/zinc/44/71/20/411447120.db2.gz VBWBDFDBGXJCKA-UHFFFAOYSA-N 0 3 237.343 2.520 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H]2CCc3cc(F)ccc32)CO1 ZINC000657334409 411464004 /nfs/dbraw/zinc/46/40/04/411464004.db2.gz ZMGJCPYXTLSXQO-GUTXKFCHSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1ncc(CN[C@@H](C)c2cccs2)n1C ZINC000657290728 411451289 /nfs/dbraw/zinc/45/12/89/411451289.db2.gz MOFSGBHEEWVAMD-VIFPVBQESA-N 0 3 235.356 2.641 20 0 BFADHN CO[C@H]1CCN(CCOc2ccccc2)[C@H](C)C1 ZINC000281832193 411454143 /nfs/dbraw/zinc/45/41/43/411454143.db2.gz XUWHIKQAVGMGNZ-HIFRSBDPSA-N 0 3 249.354 2.565 20 0 BFADHN CCc1ccccc1CN1CCC[C@@H]2COC[C@@H]21 ZINC000657294829 411454837 /nfs/dbraw/zinc/45/48/37/411454837.db2.gz OSCYLQNRYSCDPT-CVEARBPZSA-N 0 3 245.366 2.860 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2CCCC[C@@H]2C)n1 ZINC000657328671 411467269 /nfs/dbraw/zinc/46/72/69/411467269.db2.gz ALVQJUQCQGUYKN-CMPLNLGQSA-N 0 3 221.348 2.634 20 0 BFADHN C[C@H]1CCC[C@@H](CNCc2cc3n(n2)CCC3)C1 ZINC000657328519 411467305 /nfs/dbraw/zinc/46/73/05/411467305.db2.gz YHJQVKWPADWMCF-QWHCGFSZSA-N 0 3 247.386 2.745 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2sccc2C)CO1 ZINC000657331478 411468811 /nfs/dbraw/zinc/46/88/11/411468811.db2.gz DODKPBDMHGVEJG-GHMZBOCLSA-N 0 3 225.357 2.714 20 0 BFADHN COCC(C)(C)NCc1cc2c(cccc2C)[nH]1 ZINC000657345742 411470589 /nfs/dbraw/zinc/47/05/89/411470589.db2.gz XNZIHFFSZFRFET-UHFFFAOYSA-N 0 3 246.354 2.991 20 0 BFADHN CC(C)n1ccnc1CNC1(C)CCCC1 ZINC000657345703 411470740 /nfs/dbraw/zinc/47/07/40/411470740.db2.gz PLHHQMSGLKDUBL-UHFFFAOYSA-N 0 3 221.348 2.886 20 0 BFADHN CCc1nocc1CN([C@@H](C)C1CC1)C1CC1 ZINC000659841524 411472377 /nfs/dbraw/zinc/47/23/77/411472377.db2.gz CIOKIDAVQMVFOM-JTQLQIEISA-N 0 3 234.343 3.000 20 0 BFADHN Cc1ccc2cc(CN[C@@H]3CCCOC3)[nH]c2c1 ZINC000657352188 411472870 /nfs/dbraw/zinc/47/28/70/411472870.db2.gz DLMVTNHXGSASGI-CYBMUJFWSA-N 0 3 244.338 2.745 20 0 BFADHN Cc1ncc(CN(C)CC2CC=CC2)s1 ZINC000659844675 411474488 /nfs/dbraw/zinc/47/44/88/411474488.db2.gz FGGFETWWSHQCKI-UHFFFAOYSA-N 0 3 222.357 2.850 20 0 BFADHN Cc1ncc(CN(C)C[C@@H]2C[C@H]3C[C@H]3C2)s1 ZINC000659847201 411476501 /nfs/dbraw/zinc/47/65/01/411476501.db2.gz WCQZQRVOWGKNJE-ZSBIGDGJSA-N 0 3 236.384 2.929 20 0 BFADHN CO[C@H](CN(C)Cc1cccc(C)n1)C1CCC1 ZINC000660082411 411535356 /nfs/dbraw/zinc/53/53/56/411535356.db2.gz YWHMYPUGSADLFA-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1c[nH]c(CNCCCc2cccc(F)c2)n1 ZINC000657359519 411476691 /nfs/dbraw/zinc/47/66/91/411476691.db2.gz VXUJBGYPVMQERR-UHFFFAOYSA-N 0 3 247.317 2.580 20 0 BFADHN CC(C)=CCCN1C[C@H](C)OC(C)(C)C1 ZINC000659855686 411478132 /nfs/dbraw/zinc/47/81/32/411478132.db2.gz JJFBKZVCFHETRZ-LBPRGKRZSA-N 0 3 211.349 2.842 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CCC[C@H](C3CC3)C2)n1 ZINC000657496009 411535341 /nfs/dbraw/zinc/53/53/41/411535341.db2.gz GARWLWOPLUJWQK-QWHCGFSZSA-N 0 3 233.359 2.777 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1CC1(C)C ZINC000657358380 411479397 /nfs/dbraw/zinc/47/93/97/411479397.db2.gz CNAQJNHNUJEDRD-NSHDSACASA-N 0 3 221.348 2.600 20 0 BFADHN CC[C@@H]1C[C@H](NC2(c3ccccc3)CC2)CO1 ZINC000657357838 411479598 /nfs/dbraw/zinc/47/95/98/411479598.db2.gz FTRUFCKXLAMWRW-UONOGXRCSA-N 0 3 231.339 2.833 20 0 BFADHN CCN(Cc1coc(C)n1)[C@@H](C)C(C)C ZINC000659857817 411480198 /nfs/dbraw/zinc/48/01/98/411480198.db2.gz SCJCRCCBVYZBAW-JTQLQIEISA-N 0 3 210.321 2.849 20 0 BFADHN CCc1nc([C@@H](C)N(C)CC2CCCC2)n[nH]1 ZINC000659860995 411480752 /nfs/dbraw/zinc/48/07/52/411480752.db2.gz KZPWMSIBSZOZBN-SNVBAGLBSA-N 0 3 236.363 2.550 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2c(C)noc2C)CO1 ZINC000657366138 411481684 /nfs/dbraw/zinc/48/16/84/411481684.db2.gz HINREDOZGBKICJ-GGZOMVNGSA-N 0 3 238.331 2.510 20 0 BFADHN CC[C@H](N[C@@H]1CO[C@H](CC)C1)c1ccncc1 ZINC000657374586 411482168 /nfs/dbraw/zinc/48/21/68/411482168.db2.gz OSWZDJLJWWFRJQ-MJBXVCDLSA-N 0 3 234.343 2.690 20 0 BFADHN CC[C@H](N[C@H]1CO[C@H](CC)C1)c1ccncc1 ZINC000657374584 411482746 /nfs/dbraw/zinc/48/27/46/411482746.db2.gz OSWZDJLJWWFRJQ-MCIONIFRSA-N 0 3 234.343 2.690 20 0 BFADHN CCc1ccccc1CN[C@H]1CO[C@H](CC)C1 ZINC000657372315 411484594 /nfs/dbraw/zinc/48/45/94/411484594.db2.gz SJWJULQDBCDBDH-HUUCEWRRSA-N 0 3 233.355 2.906 20 0 BFADHN CCc1cccc(F)c1CN[C@H](CC)COC ZINC000657371812 411484600 /nfs/dbraw/zinc/48/46/00/411484600.db2.gz WNWUSNZXNDVCBG-GFCCVEGCSA-N 0 3 239.334 2.903 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2nc(C)c[nH]2)C1 ZINC000657372548 411484711 /nfs/dbraw/zinc/48/47/11/411484711.db2.gz HKXZDHWFHIQISX-NEPJUHHUSA-N 0 3 221.348 2.777 20 0 BFADHN CC(C)C[C@H]1CCN(Cc2ccc(N)nc2)C1 ZINC000307780102 411485261 /nfs/dbraw/zinc/48/52/61/411485261.db2.gz BCQKQRJACVJMQB-GFCCVEGCSA-N 0 3 233.359 2.532 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)CCc2ccc(C)o2)n1 ZINC000657376994 411486190 /nfs/dbraw/zinc/48/61/90/411486190.db2.gz MKRRWOMQCFCFLX-SNVBAGLBSA-N 0 3 247.342 2.730 20 0 BFADHN C[C@H](CN(C)Cc1cn(C)cn1)c1ccccc1 ZINC000659873474 411486373 /nfs/dbraw/zinc/48/63/73/411486373.db2.gz IQUFIZGTTJPSPB-CYBMUJFWSA-N 0 3 243.354 2.656 20 0 BFADHN CC(C)n1ccnc1CNCC(C1CC1)C1CC1 ZINC000657379109 411486761 /nfs/dbraw/zinc/48/67/61/411486761.db2.gz WIOJAJFNISAVCB-UHFFFAOYSA-N 0 3 247.386 2.990 20 0 BFADHN CC(C)C[C@H]1CCN(Cc2cccnc2N)C1 ZINC000307832133 411486794 /nfs/dbraw/zinc/48/67/94/411486794.db2.gz QSTQSIXCBZORSL-GFCCVEGCSA-N 0 3 233.359 2.532 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1nc(C)c[nH]1 ZINC000657377975 411487012 /nfs/dbraw/zinc/48/70/12/411487012.db2.gz SNMGPQOWOCPXBG-NXEZZACHSA-N 0 3 209.337 2.632 20 0 BFADHN C[C@@H](CO)NCc1ccc(-c2cccs2)cc1 ZINC000657378545 411487063 /nfs/dbraw/zinc/48/70/63/411487063.db2.gz LTXYLVZRAVYJDW-NSHDSACASA-N 0 3 247.363 2.886 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1nc(C)c[nH]1 ZINC000657377980 411487082 /nfs/dbraw/zinc/48/70/82/411487082.db2.gz SNMGPQOWOCPXBG-ZJUUUORDSA-N 0 3 209.337 2.632 20 0 BFADHN c1c(CN2CCC[C@H]2C2CC2)onc1C1CC1 ZINC000659878293 411488033 /nfs/dbraw/zinc/48/80/33/411488033.db2.gz NKAQSLQIXJRKAI-AWEZNQCLSA-N 0 3 232.327 2.926 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cn(C2CCC2)nn1 ZINC000657393607 411490200 /nfs/dbraw/zinc/49/02/00/411490200.db2.gz BUGNLYSGZPFDSO-FZMZJTMJSA-N 0 3 248.374 2.671 20 0 BFADHN Cn1ncc(C2CCC2)c1CNCC1(C)CC1 ZINC000657386851 411490211 /nfs/dbraw/zinc/49/02/11/411490211.db2.gz ITMJONODGCEJLI-UHFFFAOYSA-N 0 3 233.359 2.577 20 0 BFADHN CC(C)CC1(CNCc2cc3n(n2)CCC3)CC1 ZINC000657393763 411492515 /nfs/dbraw/zinc/49/25/15/411492515.db2.gz XGKWCHQFMXZZRU-UHFFFAOYSA-N 0 3 247.386 2.745 20 0 BFADHN CC1(C)C[C@H](N2Cc3ccccc3C2)CO1 ZINC000659904808 411492719 /nfs/dbraw/zinc/49/27/19/411492719.db2.gz RAXIBMYIOFJKDG-ZDUSSCGKSA-N 0 3 217.312 2.570 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1cccnc1 ZINC000453618018 411493575 /nfs/dbraw/zinc/49/35/75/411493575.db2.gz UQIMICIQXOHEFH-KGLIPLIRSA-N 0 3 218.344 2.996 20 0 BFADHN CCC1(CNCc2cc3n(n2)CCC3)CCCC1 ZINC000657397477 411493546 /nfs/dbraw/zinc/49/35/46/411493546.db2.gz WCNPWXHPTNXSIK-UHFFFAOYSA-N 0 3 247.386 2.889 20 0 BFADHN FCCCNCc1cccc(OC2CC2)c1F ZINC000657400380 411496108 /nfs/dbraw/zinc/49/61/08/411496108.db2.gz LOJUXKWVKOSJFT-UHFFFAOYSA-N 0 3 241.281 2.816 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2C[C@H]3C[C@H]3C2)C[C@@H](C)O1 ZINC000659920018 411498080 /nfs/dbraw/zinc/49/80/80/411498080.db2.gz TZFIDRWQFIQFOV-RKQHYHRCSA-N 0 3 223.360 2.532 20 0 BFADHN CC[C@@H]1C[C@@H](Nc2cc(C)cc(C)n2)CO1 ZINC000657414299 411498769 /nfs/dbraw/zinc/49/87/69/411498769.db2.gz VTKROODBUWZZFR-VXGBXAGGSA-N 0 3 220.316 2.678 20 0 BFADHN CC[C@H]1C[C@@H](NCc2cc(C)cc(OC)c2)CO1 ZINC000657414993 411499607 /nfs/dbraw/zinc/49/96/07/411499607.db2.gz YABYNKOZNLKZHH-KGLIPLIRSA-N 0 3 249.354 2.661 20 0 BFADHN C(CC1CCC1)CN1CCN(C2CCC2)CC1 ZINC000659925013 411500624 /nfs/dbraw/zinc/50/06/24/411500624.db2.gz AUVNCJLYLXSIRO-UHFFFAOYSA-N 0 3 236.403 2.737 20 0 BFADHN Cc1cc(CN2CCCC(C)(C)C2)nc(C)n1 ZINC000659925999 411500797 /nfs/dbraw/zinc/50/07/97/411500797.db2.gz JLWPRVNIYMTUMC-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](c2cccnc2)C2CC2)CO1 ZINC000657419220 411501504 /nfs/dbraw/zinc/50/15/04/411501504.db2.gz JUKBVDALICQPRY-RRFJBIMHSA-N 0 3 246.354 2.690 20 0 BFADHN CC1(C)C[C@H](N2CCC[C@H]2c2ccco2)CO1 ZINC000659933982 411503104 /nfs/dbraw/zinc/50/31/04/411503104.db2.gz LWQSNFOINKQZIY-RYUDHWBXSA-N 0 3 235.327 2.984 20 0 BFADHN CC[C@H](CC(F)F)CN1CCOC[C@@H]1CC ZINC000659935135 411503119 /nfs/dbraw/zinc/50/31/19/411503119.db2.gz VLHMVTUTHXEAQM-MNOVXSKESA-N 0 3 235.318 2.779 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCOC[C@H]1CC ZINC000659935137 411503432 /nfs/dbraw/zinc/50/34/32/411503432.db2.gz VLHMVTUTHXEAQM-WDEREUQCSA-N 0 3 235.318 2.779 20 0 BFADHN CCc1ccc(CN[C@@H](C)CF)s1 ZINC000308297199 411505823 /nfs/dbraw/zinc/50/58/23/411505823.db2.gz WZNXACKVAMXEGN-QMMMGPOBSA-N 0 3 201.310 2.758 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CC[C@H](C)[C@H](C)C2)n1 ZINC000657436565 411509132 /nfs/dbraw/zinc/50/91/32/411509132.db2.gz WDIGMSDEGMFRNP-HOSYDEDBSA-N 0 3 221.348 2.632 20 0 BFADHN CCOC[C@H](C)NCc1cc2c(cccc2C)[nH]1 ZINC000657440256 411509138 /nfs/dbraw/zinc/50/91/38/411509138.db2.gz PUNCGCLTFHYJHG-LBPRGKRZSA-N 0 3 246.354 2.991 20 0 BFADHN Cn1ncc(C2CC2)c1CN[C@H]1CC12CCCC2 ZINC000657449192 411510111 /nfs/dbraw/zinc/51/01/11/411510111.db2.gz QWQTVRALDLYCEO-AWEZNQCLSA-N 0 3 245.370 2.720 20 0 BFADHN Cc1c[nH]c(CNC2(Cc3ccccc3)CC2)n1 ZINC000657437167 411510234 /nfs/dbraw/zinc/51/02/34/411510234.db2.gz QHNFFHSUQWEFCQ-UHFFFAOYSA-N 0 3 241.338 2.583 20 0 BFADHN Cc1ccc([C@H](C)NC[C@]2(C)CCOC2)o1 ZINC000336750321 411510931 /nfs/dbraw/zinc/51/09/31/411510931.db2.gz UPPKUHHBBWQLFA-AAEUAGOBSA-N 0 3 223.316 2.665 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CCCC(C)(C)C2)n1 ZINC000657442994 411511545 /nfs/dbraw/zinc/51/15/45/411511545.db2.gz VMRNEIOWBRASGR-LLVKDONJSA-N 0 3 221.348 2.777 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CCC[C@@H](C)CC2)n1 ZINC000657441747 411511617 /nfs/dbraw/zinc/51/16/17/411511617.db2.gz HBOLCQOZGJUZNP-ZYHUDNBSSA-N 0 3 221.348 2.777 20 0 BFADHN CO[C@@H](C)CNCc1cc2c(cccc2C)[nH]1 ZINC000657445312 411512668 /nfs/dbraw/zinc/51/26/68/411512668.db2.gz FSJQDEZHRPWLKJ-NSHDSACASA-N 0 3 232.327 2.601 20 0 BFADHN CC[C@H]1C[C@@H](NCc2cccc3cc[nH]c32)CO1 ZINC000657447125 411513690 /nfs/dbraw/zinc/51/36/90/411513690.db2.gz PMBHDOWIJVQWQO-KGLIPLIRSA-N 0 3 244.338 2.825 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CC[C@H]2C)nc(C)n1 ZINC000659969877 411513724 /nfs/dbraw/zinc/51/37/24/411513724.db2.gz FWXVLOXFKIHHBI-CMPLNLGQSA-N 0 3 233.359 2.714 20 0 BFADHN CCc1nocc1CN1C[C@@H](C)CC[C@@H]1C ZINC000659971255 411513740 /nfs/dbraw/zinc/51/37/40/411513740.db2.gz OCMGPLFHSXYUCB-QWRGUYRKSA-N 0 3 222.332 2.857 20 0 BFADHN C[C@H]1CN(Cc2cnc3ccccc3n2)C[C@H]1C ZINC000659971671 411513774 /nfs/dbraw/zinc/51/37/74/411513774.db2.gz OWKNTOPVMYEEGV-TXEJJXNPSA-N 0 3 241.338 2.718 20 0 BFADHN CC[C@@]1(C)CCCN(CCO[C@H]2CC2(F)F)C1 ZINC000659974302 411515113 /nfs/dbraw/zinc/51/51/13/411515113.db2.gz HGMUZJDFFCXKRS-RYUDHWBXSA-N 0 3 247.329 2.923 20 0 BFADHN Cc1ncncc1[C@@H](C)NC1(C2CCC2)CC1 ZINC000655793712 411516016 /nfs/dbraw/zinc/51/60/16/411516016.db2.gz ZSGZIOAUJSJAFN-LLVKDONJSA-N 0 3 231.343 2.768 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CCC[C@@H](C)[C@@H]2C)n1 ZINC000657455124 411516496 /nfs/dbraw/zinc/51/64/96/411516496.db2.gz PLTNYUKTJIIAGA-WXHSDQCUSA-N 0 3 235.375 2.880 20 0 BFADHN C[C@H]1CC[C@H]1NCc1ccc(F)c(F)c1F ZINC000657465693 411519268 /nfs/dbraw/zinc/51/92/68/411519268.db2.gz DTNQEBGWPRXNOQ-OIBJUYFYSA-N 0 3 229.245 2.992 20 0 BFADHN COc1c(C)cccc1CN[C@@H](C)[C@H]1CCCO1 ZINC000655811152 411519554 /nfs/dbraw/zinc/51/95/54/411519554.db2.gz IQVUGWNEDIUBMA-GXTWGEPZSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1ccc2cc(CN[C@H](C)CF)[nH]c2c1 ZINC000657466662 411519679 /nfs/dbraw/zinc/51/96/79/411519679.db2.gz MCSHOSMNNULWON-SNVBAGLBSA-N 0 3 220.291 2.924 20 0 BFADHN CCCn1nccc1CNCCC1(F)CCC1 ZINC000657467406 411520418 /nfs/dbraw/zinc/52/04/18/411520418.db2.gz KXCQEQHUVGPKSQ-UHFFFAOYSA-N 0 3 239.338 2.665 20 0 BFADHN CCOC[C@@H](C)NCc1cccc(C)c1OC ZINC000655809909 411522170 /nfs/dbraw/zinc/52/21/70/411522170.db2.gz FTMYJTVSCRKXAI-GFCCVEGCSA-N 0 3 237.343 2.518 20 0 BFADHN C=Cc1ccc(CCNCc2nc(C)c[nH]2)cc1 ZINC000657470169 411522385 /nfs/dbraw/zinc/52/23/85/411522385.db2.gz OBDDJTFICRPZNJ-UHFFFAOYSA-N 0 3 241.338 2.693 20 0 BFADHN c1coc(CN[C@H](C2CC2)[C@H]2CCCCO2)c1 ZINC000655809795 411522626 /nfs/dbraw/zinc/52/26/26/411522626.db2.gz CNONOUXRMRQQGP-ZIAGYGMSSA-N 0 3 235.327 2.717 20 0 BFADHN COCC[C@H](C)NCc1cccc(C)c1OC ZINC000655809580 411522641 /nfs/dbraw/zinc/52/26/41/411522641.db2.gz APUDNBISNUFQNG-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN Cc1occc1CN[C@@H](C)Cc1ccccn1 ZINC000655844004 411535034 /nfs/dbraw/zinc/53/50/34/411535034.db2.gz XYXGWPUKQCATTC-NSHDSACASA-N 0 3 230.311 2.704 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cc(C2CC2)no1 ZINC000659999617 411525256 /nfs/dbraw/zinc/52/52/56/411525256.db2.gz CKHCSGPNMIZYER-NXEZZACHSA-N 0 3 220.316 2.925 20 0 BFADHN CCC[C@H]1CCCN(CCO[C@H]2CC2(F)F)C1 ZINC000660000106 411525426 /nfs/dbraw/zinc/52/54/26/411525426.db2.gz NCLULQLBTWUHAT-RYUDHWBXSA-N 0 3 247.329 2.923 20 0 BFADHN CC(C)C[C@@H]1CCCN(Cc2cn(C)cn2)C1 ZINC000660000839 411526151 /nfs/dbraw/zinc/52/61/51/411526151.db2.gz IJYDHHADZDBOKA-ZDUSSCGKSA-N 0 3 235.375 2.678 20 0 BFADHN COCC1(CN[C@H](C)c2ccc(C)o2)CC1 ZINC000655820962 411526877 /nfs/dbraw/zinc/52/68/77/411526877.db2.gz PQJVBAMOFVFABE-LLVKDONJSA-N 0 3 223.316 2.665 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1nccn1C(C)C ZINC000657480980 411526996 /nfs/dbraw/zinc/52/69/96/411526996.db2.gz DUBNOYZNYNFZGI-NEPJUHHUSA-N 0 3 221.348 2.600 20 0 BFADHN CC1(C)C[C@H](N2CCC(C(F)F)CC2)CO1 ZINC000660013235 411528828 /nfs/dbraw/zinc/52/88/28/411528828.db2.gz HBNIAIYTGUNEKW-JTQLQIEISA-N 0 3 233.302 2.531 20 0 BFADHN CC1(C2(NCc3ccnn3CC3CC3)CC2)CC1 ZINC000657486067 411529679 /nfs/dbraw/zinc/52/96/79/411529679.db2.gz XSVUIUFQLMLNQF-UHFFFAOYSA-N 0 3 245.370 2.715 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H]2C[C@H]2c2ccccc2F)CO1 ZINC000657488064 411531130 /nfs/dbraw/zinc/53/11/30/411531130.db2.gz KFWIPBPDQOEWLS-YODMDTAWSA-N 0 3 249.329 2.839 20 0 BFADHN Cc1c[nH]c(CN[C@@]2(C)CCCC[C@@H]2C)n1 ZINC000657489244 411532457 /nfs/dbraw/zinc/53/24/57/411532457.db2.gz YIHWOBRDJUTEBP-GWCFXTLKSA-N 0 3 221.348 2.777 20 0 BFADHN CO[C@@H](CN(C)Cc1ccccc1)C1CCC1 ZINC000659827591 411465023 /nfs/dbraw/zinc/46/50/23/411465023.db2.gz SHLNDQXANFJNSL-HNNXBMFYSA-N 0 3 233.355 2.934 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)C(C)C)nc(C)n1 ZINC000659825330 411465221 /nfs/dbraw/zinc/46/52/21/411465221.db2.gz SBTAVPGQYQFDRQ-NSHDSACASA-N 0 3 221.348 2.570 20 0 BFADHN CN(Cc1cccs1)[C@H]1COC(C)(C)C1 ZINC000659826876 411465547 /nfs/dbraw/zinc/46/55/47/411465547.db2.gz RJQRDRBTCLYPRM-SNVBAGLBSA-N 0 3 225.357 2.747 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CO[C@H](CC)C1 ZINC000657327533 411465963 /nfs/dbraw/zinc/46/59/63/411465963.db2.gz XWSBJWXRRRLCLX-UONOGXRCSA-N 0 3 249.354 2.742 20 0 BFADHN CCN1CC[C@H](Oc2cccc(Cl)c2)C1 ZINC000120104015 170022632 /nfs/dbraw/zinc/02/26/32/170022632.db2.gz QAEZRCRHUNYESU-LBPRGKRZSA-N 0 3 225.719 2.813 20 0 BFADHN CN(CCC1CCC1)[C@H]1CCCc2c1cnn2C ZINC000660119229 411539265 /nfs/dbraw/zinc/53/92/65/411539265.db2.gz WZLPPZMUGFYDNI-AWEZNQCLSA-N 0 3 247.386 2.920 20 0 BFADHN CC(C)CNCc1ccc(F)c(F)c1F ZINC000069049590 411539456 /nfs/dbraw/zinc/53/94/56/411539456.db2.gz AEFLZMWMVPCSIH-UHFFFAOYSA-N 0 3 217.234 2.850 20 0 BFADHN Cc1occc1CN[C@@H]1CCCN2CCCC[C@H]12 ZINC000655858924 411540108 /nfs/dbraw/zinc/54/01/08/411540108.db2.gz BNOODZBWPVDMAI-HUUCEWRRSA-N 0 3 248.370 2.695 20 0 BFADHN CCN1C[C@H](C)N(Cc2ccc(C)o2)C[C@H]1C ZINC000353814365 170033360 /nfs/dbraw/zinc/03/33/60/170033360.db2.gz ZTTIHSDJQOAICV-NEPJUHHUSA-N 0 3 236.359 2.503 20 0 BFADHN CCN1C[C@H](C)N(Cc2occc2C)C[C@H]1C ZINC000353816886 170033775 /nfs/dbraw/zinc/03/37/75/170033775.db2.gz MWGZYHKLAMYTFU-OLZOCXBDSA-N 0 3 236.359 2.503 20 0 BFADHN Cc1nc(CN2CC[C@H](C)C[C@H](C)C2)co1 ZINC000660120791 411540564 /nfs/dbraw/zinc/54/05/64/411540564.db2.gz IDAJIHJCSYZMRW-QWRGUYRKSA-N 0 3 222.332 2.851 20 0 BFADHN CO[C@@H](CN(C)Cc1cccnc1)C1CCCC1 ZINC000660126727 411540987 /nfs/dbraw/zinc/54/09/87/411540987.db2.gz UVEIDEZEIMPGLC-HNNXBMFYSA-N 0 3 248.370 2.719 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CCN1C(C)(C)C ZINC000657525566 411543421 /nfs/dbraw/zinc/54/34/21/411543421.db2.gz SRGFQABEXNKDLL-LBPRGKRZSA-N 0 3 236.359 2.550 20 0 BFADHN CC1(C)CCCC[C@H]1CN1CCc2n[nH]cc2C1 ZINC000660136846 411544322 /nfs/dbraw/zinc/54/43/22/411544322.db2.gz NGOBMNCBBBHGDE-ZDUSSCGKSA-N 0 3 247.386 2.984 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2CC3CCC2CC3)n1 ZINC000657498665 411536436 /nfs/dbraw/zinc/53/64/36/411536436.db2.gz WMDGIYUFQQVDRP-WXRRBKDZSA-N 0 3 233.359 2.634 20 0 BFADHN c1cc(CNC2(C3CCC3)CC2)n(CC2CC2)n1 ZINC000657500071 411536969 /nfs/dbraw/zinc/53/69/69/411536969.db2.gz GHIUVMSCJMYPCO-UHFFFAOYSA-N 0 3 245.370 2.715 20 0 BFADHN Fc1ccc(CN[C@@H]2C[C@H]3C[C@H]3C2)c(F)c1F ZINC000657497410 411537280 /nfs/dbraw/zinc/53/72/80/411537280.db2.gz RYBVEKBXVMRDAB-ILWJIGKKSA-N 0 3 241.256 2.992 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCCN2CCCC[C@@H]12 ZINC000655855408 411537758 /nfs/dbraw/zinc/53/77/58/411537758.db2.gz GYZJAZSCJICEFB-KGLIPLIRSA-N 0 3 248.370 2.695 20 0 BFADHN Cc1cccc2[nH]c(CN[C@@H]3CO[C@@H](C)C3)cc21 ZINC000657500976 411537949 /nfs/dbraw/zinc/53/79/49/411537949.db2.gz SWORJRZWIIPYNO-AAEUAGOBSA-N 0 3 244.338 2.743 20 0 BFADHN OC[C@H](NCc1cscc1Cl)C1CCC1 ZINC000657559516 411551074 /nfs/dbraw/zinc/55/10/74/411551074.db2.gz ZXXJNYVCPWWIMI-NSHDSACASA-N 0 3 245.775 2.652 20 0 BFADHN COc1cc(CN(C(C)C)C(C)C)sn1 ZINC000660168621 411551352 /nfs/dbraw/zinc/55/13/52/411551352.db2.gz WESPGOCWMZMIJZ-UHFFFAOYSA-N 0 3 228.361 2.771 20 0 BFADHN Cc1cc(F)ccc1C[C@@H](C)NCc1cc[nH]n1 ZINC000657559976 411551364 /nfs/dbraw/zinc/55/13/64/411551364.db2.gz VBDLPBYUJGBSMX-LLVKDONJSA-N 0 3 247.317 2.578 20 0 BFADHN COc1ccc(CN[C@]23C[C@H]2CCC3)c(F)c1 ZINC000657550567 411551452 /nfs/dbraw/zinc/55/14/52/411551452.db2.gz DEFMOLGYOJMMHE-BXUZGUMPSA-N 0 3 235.302 2.867 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cc(OC)ns1 ZINC000660169625 411551580 /nfs/dbraw/zinc/55/15/80/411551580.db2.gz PMFSDPSAUMQKDS-UWVGGRQHSA-N 0 3 240.372 2.915 20 0 BFADHN CC[C@H](COCC1CC1)NCc1cccc(O)c1 ZINC000657560272 411551734 /nfs/dbraw/zinc/55/17/34/411551734.db2.gz FPDOIOQRLNAMHM-CQSZACIVSA-N 0 3 249.354 2.687 20 0 BFADHN CCc1ccc(CN[C@]23C[C@H]2CCC3)o1 ZINC000657550810 411551812 /nfs/dbraw/zinc/55/18/12/411551812.db2.gz KOEPEODUVHYZFV-ZWNOBZJWSA-N 0 3 205.301 2.874 20 0 BFADHN Cc1occc1CN[C@@H]1CCN(C(C)(C)C)C1 ZINC000657563732 411552451 /nfs/dbraw/zinc/55/24/51/411552451.db2.gz QFXUPPSRUZFHAZ-CYBMUJFWSA-N 0 3 236.359 2.550 20 0 BFADHN CCNCc1c(Cl)cccc1N(C)C ZINC000034824268 170124521 /nfs/dbraw/zinc/12/45/21/170124521.db2.gz YHXUBLHMTVUQLD-UHFFFAOYSA-N 0 3 212.724 2.516 20 0 BFADHN CCNCc1ccc(Sc2ccccn2)o1 ZINC000279371797 170127460 /nfs/dbraw/zinc/12/74/60/170127460.db2.gz XCSGPNCGBQCNLJ-UHFFFAOYSA-N 0 3 234.324 2.935 20 0 BFADHN CCNCc1cccc(OC)c1OC(C)C ZINC000035099522 170128215 /nfs/dbraw/zinc/12/82/15/170128215.db2.gz JQURRDQYHIYEBP-UHFFFAOYSA-N 0 3 223.316 2.592 20 0 BFADHN CCNCc1nc(-c2ccccc2OC)cs1 ZINC000045206539 170130668 /nfs/dbraw/zinc/13/06/68/170130668.db2.gz DJGVQOXFAQXXQG-UHFFFAOYSA-N 0 3 248.351 2.928 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1ccc(CO)o1 ZINC000657578898 411553919 /nfs/dbraw/zinc/55/39/19/411553919.db2.gz MYKNABUWWOZHRU-JTQLQIEISA-N 0 3 225.332 2.686 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1cn(C(C)C)nn1 ZINC000657580578 411554542 /nfs/dbraw/zinc/55/45/42/411554542.db2.gz XEXQMEFIUTUSML-LLVKDONJSA-N 0 3 238.379 2.773 20 0 BFADHN CO[C@H](CN1CC[C@H](C)[C@@H](F)C1)C1CCCC1 ZINC000660221330 411554956 /nfs/dbraw/zinc/55/49/56/411554956.db2.gz OHEADGCZICBTDS-FPMFFAJLSA-N 0 3 243.366 2.872 20 0 BFADHN CC(C)[C@@H]1CN(CCC2CCC2)CCO1 ZINC000660219370 411555487 /nfs/dbraw/zinc/55/54/87/411555487.db2.gz CEOOJVOEMRTSBA-ZDUSSCGKSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H]1CCN(C[C@@H]2C[C@H]3C[C@H]3C2)C[C@@H]1F ZINC000660223174 411555812 /nfs/dbraw/zinc/55/58/12/411555812.db2.gz ZUBRYONPDTUJLD-LBELIVKGSA-N 0 3 211.324 2.712 20 0 BFADHN C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCOC[C@H]1C1CC1 ZINC000660151629 411546943 /nfs/dbraw/zinc/54/69/43/411546943.db2.gz UQJCMXQVKCAGIK-RZFFKMDDSA-N 0 3 235.371 2.533 20 0 BFADHN CCNc1ccnc2cc(OC)c(OC)cc21 ZINC000050844202 170175082 /nfs/dbraw/zinc/17/50/82/170175082.db2.gz QSFLBAVWMUWUMB-UHFFFAOYSA-N 0 3 232.283 2.684 20 0 BFADHN CCOc1cccc(CN[C@@]23C[C@@H]2CCC3)n1 ZINC000657551478 411547747 /nfs/dbraw/zinc/54/77/47/411547747.db2.gz NWEMOCUGRHMMDQ-FZMZJTMJSA-N 0 3 232.327 2.513 20 0 BFADHN COc1ccsc1CN[C@@]12C[C@@H]1CCC2 ZINC000657551536 411547800 /nfs/dbraw/zinc/54/78/00/411547800.db2.gz OVBSDIVENYCVOH-CABZTGNLSA-N 0 3 223.341 2.789 20 0 BFADHN OC[C@H](NCc1cc2ccccc2o1)C1CCC1 ZINC000657556975 411549427 /nfs/dbraw/zinc/54/94/27/411549427.db2.gz CBPDFSXYYVDWOY-AWEZNQCLSA-N 0 3 245.322 2.683 20 0 BFADHN CCc1nocc1CN(C(C)C)C(C)C ZINC000660168659 411550075 /nfs/dbraw/zinc/55/00/75/411550075.db2.gz YKNUWHMPZUTJJI-UHFFFAOYSA-N 0 3 210.321 2.856 20 0 BFADHN OC[C@H](NCc1ccccc1Cl)C1CCC1 ZINC000657557540 411550364 /nfs/dbraw/zinc/55/03/64/411550364.db2.gz UIKNHOBHFUPCMA-ZDUSSCGKSA-N 0 3 239.746 2.591 20 0 BFADHN CCOC(=O)CCN1CCc2ccccc2[C@@H]1C ZINC000106935369 170206859 /nfs/dbraw/zinc/20/68/59/170206859.db2.gz JXGXAAIOWNKYSD-LBPRGKRZSA-N 0 3 247.338 2.559 20 0 BFADHN CCOC(=O)CCN1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000177827451 170206884 /nfs/dbraw/zinc/20/68/84/170206884.db2.gz GBYWSKFOCHXNBG-IUODEOHRSA-N 0 3 247.338 2.633 20 0 BFADHN CCOC(=O)CCN1CCc2ccccc2[C@H]1C ZINC000106935371 170207622 /nfs/dbraw/zinc/20/76/22/170207622.db2.gz JXGXAAIOWNKYSD-GFCCVEGCSA-N 0 3 247.338 2.559 20 0 BFADHN CCOC(=O)CCN[C@@H](C)c1ccc(C)cc1 ZINC000044388883 170209856 /nfs/dbraw/zinc/20/98/56/170209856.db2.gz VUQKKFFOXKOFOK-LBPRGKRZSA-N 0 3 235.327 2.599 20 0 BFADHN COc1cc(CN[C@H]2CCCCC[C@@H]2C)on1 ZINC000660304634 411566401 /nfs/dbraw/zinc/56/64/01/411566401.db2.gz GBLVGLVQJNAKNF-JQWIXIFHSA-N 0 3 238.331 2.742 20 0 BFADHN Fc1ccccc1CN[C@H]1COC2(CCC2)C1 ZINC000657630158 411566565 /nfs/dbraw/zinc/56/65/65/411566565.db2.gz VTUOARJPFOLCII-GFCCVEGCSA-N 0 3 235.302 2.627 20 0 BFADHN CC[C@@H](C)CCNCc1cccc2c1OCCO2 ZINC000657586409 411557255 /nfs/dbraw/zinc/55/72/55/411557255.db2.gz IRXPBPXSNOLNNQ-GFCCVEGCSA-N 0 3 249.354 2.984 20 0 BFADHN COc1cccc(OC)c1CN[C@H]1CCC[C@@H]1C ZINC000657585340 411557310 /nfs/dbraw/zinc/55/73/10/411557310.db2.gz JDTMXCZGAFZEDI-AAEUAGOBSA-N 0 3 249.354 2.982 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@H]3CCCC[C@@H]32)co1 ZINC000660238953 411557631 /nfs/dbraw/zinc/55/76/31/411557631.db2.gz OGITWUAOVAJWKF-GDLCADMTSA-N 0 3 234.343 2.994 20 0 BFADHN CCc1oc2ccccc2c1CNC/C=C/CO ZINC000657593261 411559214 /nfs/dbraw/zinc/55/92/14/411559214.db2.gz RJFGDMHCHRSUHD-AATRIKPKSA-N 0 3 245.322 2.633 20 0 BFADHN COC[C@@H](NC[C@@H]1C[C@H]2C[C@H]2C1)c1ccco1 ZINC000660251805 411560288 /nfs/dbraw/zinc/56/02/88/411560288.db2.gz QWBFVHLMVHNUBI-YVECIDJPSA-N 0 3 235.327 2.603 20 0 BFADHN CCOC(=O)[C@H](C)N(CC)CC(CC)CC ZINC000043638736 170266265 /nfs/dbraw/zinc/26/62/65/170266265.db2.gz KFFJZLIHWCTZKR-NSHDSACASA-N 0 3 229.364 2.696 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1CC[C@H](C)[C@H]1C ZINC000360027217 170270779 /nfs/dbraw/zinc/27/07/79/170270779.db2.gz GETGUVGIEWUMOA-XQQFMLRXSA-N 0 3 241.375 2.695 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccccc2Cl)C1 ZINC000657609826 411562426 /nfs/dbraw/zinc/56/24/26/411562426.db2.gz JSOINOVQNIYLNK-HAQNSBGRSA-N 0 3 239.746 2.997 20 0 BFADHN CCO[C@H]1C[C@H](NCc2cc(F)ccc2C)C1 ZINC000657610545 411562770 /nfs/dbraw/zinc/56/27/70/411562770.db2.gz WDYZMRHLSZODCZ-HDJSIYSDSA-N 0 3 237.318 2.791 20 0 BFADHN CCOC(=O)[C@H]1CCCCN1CCC(C)(C)C ZINC000105940707 170279970 /nfs/dbraw/zinc/27/99/70/170279970.db2.gz PZSOKJGTJFEYIS-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN CCOc1cccc(CN[C@H]2C[C@H](OCC)C2)c1 ZINC000657611107 411563465 /nfs/dbraw/zinc/56/34/65/411563465.db2.gz VUVYQVBNVURHPW-CTYIDZIISA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)[C@@H](N[C@@H]1CCOC1)c1ccccc1F ZINC000657616463 411564522 /nfs/dbraw/zinc/56/45/22/411564522.db2.gz YKZJTAILUXDZQC-BXUZGUMPSA-N 0 3 237.318 2.901 20 0 BFADHN Cc1cn[nH]c1CNCc1cc(C)cc(C)c1 ZINC000657626204 411565521 /nfs/dbraw/zinc/56/55/21/411565521.db2.gz RPGSZPIDDSRGKG-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN CC[C@H]1C[C@H](NCc2cc(C)cc(C)c2)CO1 ZINC000657626493 411565658 /nfs/dbraw/zinc/56/56/58/411565658.db2.gz XNEHRGVRPAKEML-GJZGRUSLSA-N 0 3 233.355 2.961 20 0 BFADHN c1cc(CCNCc2ccsc2)co1 ZINC000657653300 411570552 /nfs/dbraw/zinc/57/05/52/411570552.db2.gz YZMBNCPMGKSINA-UHFFFAOYSA-N 0 3 207.298 2.673 20 0 BFADHN c1c(CN2CC[C@@H]3CCC[C@H]32)onc1C1CC1 ZINC000660332688 411571027 /nfs/dbraw/zinc/57/10/27/411571027.db2.gz SRKRLQIMDKSQJA-SMDDNHRTSA-N 0 3 232.327 2.926 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000657656419 411571395 /nfs/dbraw/zinc/57/13/95/411571395.db2.gz OIGCWTWFJISHLI-RWMBFGLXSA-N 0 3 234.343 2.817 20 0 BFADHN CCOC(C)(C)CNCc1ccsc1C ZINC000293224033 170313348 /nfs/dbraw/zinc/31/33/48/170313348.db2.gz KDYUTIUKFRQYJV-UHFFFAOYSA-N 0 3 227.373 2.961 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1ccncc1 ZINC000166948303 170313857 /nfs/dbraw/zinc/31/38/57/170313857.db2.gz LYTVPHUNKQMIJS-LLVKDONJSA-N 0 3 222.332 2.547 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1ccco1 ZINC000166964819 170314001 /nfs/dbraw/zinc/31/40/01/170314001.db2.gz UOSBFZVSNGVLIH-SNVBAGLBSA-N 0 3 211.305 2.745 20 0 BFADHN C[C@@]1(CNCc2ccccc2F)CC1(F)F ZINC000657667603 411573001 /nfs/dbraw/zinc/57/30/01/411573001.db2.gz ANYLXOYQNADVKY-NSHDSACASA-N 0 3 229.245 2.961 20 0 BFADHN CCOC1(C)CCN(CCc2cccnc2)CC1 ZINC000298336455 170317646 /nfs/dbraw/zinc/31/76/46/170317646.db2.gz RWFXWYHSAOOUFB-UHFFFAOYSA-N 0 3 248.370 2.515 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2ccc(F)cc2)O1 ZINC000657667489 411573340 /nfs/dbraw/zinc/57/33/40/411573340.db2.gz WCVXUDGLXUYAGY-RISCZKNCSA-N 0 3 237.318 2.873 20 0 BFADHN CCOC1CC(CN2CCCc3occc3C2)C1 ZINC000288771586 170325324 /nfs/dbraw/zinc/32/53/24/170325324.db2.gz JSGJOMXBDJHFAU-UHFFFAOYSA-N 0 3 249.354 2.843 20 0 BFADHN CCOC1CC(N(C)Cc2ccc(CC)cn2)C1 ZINC000339198483 170328564 /nfs/dbraw/zinc/32/85/64/170328564.db2.gz ISNJULAPTCRGAJ-UHFFFAOYSA-N 0 3 248.370 2.643 20 0 BFADHN CCOC1CC(N[C@@H]2CCc3c2cccc3O)C1 ZINC000224387443 170329220 /nfs/dbraw/zinc/32/92/20/170329220.db2.gz ORNFXHHUQHTHLQ-QIMFLAQGSA-N 0 3 247.338 2.537 20 0 BFADHN CCOC1CC(N[C@@H](C)c2ccccc2OC)C1 ZINC000124766164 170329243 /nfs/dbraw/zinc/32/92/43/170329243.db2.gz LZQFELUNWZFTOW-HIFPTAJRSA-N 0 3 249.354 2.913 20 0 BFADHN CCOC1CC(NCc2ccc(F)cc2F)C1 ZINC000124471455 170329652 /nfs/dbraw/zinc/32/96/52/170329652.db2.gz LBRAUYAINCAXJB-UHFFFAOYSA-N 0 3 241.281 2.622 20 0 BFADHN CCOC1CC(N[C@H]2c3ccccc3O[C@H]2C)C1 ZINC000289222508 170330482 /nfs/dbraw/zinc/33/04/82/170330482.db2.gz ABOFDHQVWBIWDM-ZIULKBFBSA-N 0 3 247.338 2.666 20 0 BFADHN CCOCC(C)(C)CNCc1ccc(C)cn1 ZINC000310255103 170351170 /nfs/dbraw/zinc/35/11/70/170351170.db2.gz GKTJHHJLJPUBBH-UHFFFAOYSA-N 0 3 236.359 2.542 20 0 BFADHN CCOCC(C)(C)NCc1sccc1OC ZINC000339449264 170355891 /nfs/dbraw/zinc/35/58/91/170355891.db2.gz HQZTXBDHPGPDHF-UHFFFAOYSA-N 0 3 243.372 2.661 20 0 BFADHN COc1ccnc(CN[C@H]2CC[C@@H](C)C2)c1F ZINC000657672996 411575864 /nfs/dbraw/zinc/57/58/64/411575864.db2.gz LYDPWUFGYQNMHJ-ZJUUUORDSA-N 0 3 238.306 2.508 20 0 BFADHN COc1ccnc(CN[C@H]2CC[C@H](C)C2)c1F ZINC000657672990 411575895 /nfs/dbraw/zinc/57/58/95/411575895.db2.gz LYDPWUFGYQNMHJ-UWVGGRQHSA-N 0 3 238.306 2.508 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1nc(C)oc1C ZINC000660316968 411567925 /nfs/dbraw/zinc/56/79/25/411567925.db2.gz LZRBXLNLSVUXDT-ZANVPECISA-N 0 3 222.332 2.912 20 0 BFADHN CCOCCCCN[C@H](C)c1ccccn1 ZINC000127523573 170369213 /nfs/dbraw/zinc/36/92/13/170369213.db2.gz BZBOZINFPYZOIQ-GFCCVEGCSA-N 0 3 222.332 2.549 20 0 BFADHN CCOCCCCN[C@H](C)c1nccs1 ZINC000093399348 170369517 /nfs/dbraw/zinc/36/95/17/170369517.db2.gz NEQOSOWQPCUNDQ-SNVBAGLBSA-N 0 3 228.361 2.610 20 0 BFADHN CCOCCCN1CCC[C@H](C(F)(F)F)C1 ZINC000357675509 170372942 /nfs/dbraw/zinc/37/29/42/170372942.db2.gz OGSUAXQWCYEUJH-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN CCOCCCN1CCc2cc(C)ccc2C1 ZINC000361847056 170375409 /nfs/dbraw/zinc/37/54/09/170375409.db2.gz AQZOEQCYKKTDDE-UHFFFAOYSA-N 0 3 233.355 2.780 20 0 BFADHN CCOCCCNC(C)(C)c1c(C)noc1C ZINC000353566176 170379204 /nfs/dbraw/zinc/37/92/04/170379204.db2.gz DRWOXVHNQVPQDN-UHFFFAOYSA-N 0 3 240.347 2.543 20 0 BFADHN CCOCCCN[C@@H](C)c1cc(C)oc1C ZINC000020438395 170381455 /nfs/dbraw/zinc/38/14/55/170381455.db2.gz FMBXGAJVQVFMAQ-NSHDSACASA-N 0 3 225.332 2.974 20 0 BFADHN CCOCCCNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000019962872 170381795 /nfs/dbraw/zinc/38/17/95/170381795.db2.gz WSLJBLPZEZSSLE-DGCLKSJQSA-N 0 3 237.343 2.919 20 0 BFADHN CCOCCCN[C@@H](CC)c1nc(C)cs1 ZINC000309652171 170382433 /nfs/dbraw/zinc/38/24/33/170382433.db2.gz ONEVDNBPJIARRL-NSHDSACASA-N 0 3 242.388 2.919 20 0 BFADHN CC[C@H]1C[C@@H](N[C@@H]2CCc3ccccc32)CO1 ZINC000657643475 411569731 /nfs/dbraw/zinc/56/97/31/411569731.db2.gz LEJKDKVFMBVDFT-VNHYZAJKSA-N 0 3 231.339 2.831 20 0 BFADHN Cc1nc(CN2CC[C@H]3CCC[C@H]32)c(C)o1 ZINC000660332236 411570048 /nfs/dbraw/zinc/57/00/48/411570048.db2.gz JFZWLQDKARWRSM-DGCLKSJQSA-N 0 3 220.316 2.666 20 0 BFADHN Cc1ccoc1CNCCc1ccoc1 ZINC000657653071 411570403 /nfs/dbraw/zinc/57/04/03/411570403.db2.gz HUZZTNSFRHLIIJ-UHFFFAOYSA-N 0 3 205.257 2.513 20 0 BFADHN CCOCCN(C)Cc1ccc(C)c(F)c1 ZINC000272328628 170390745 /nfs/dbraw/zinc/39/07/45/170390745.db2.gz DDHRQJRORPISKN-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN COc1cc(CN[C@]2(C)CCCC[C@H]2C)on1 ZINC000660766935 411623236 /nfs/dbraw/zinc/62/32/36/411623236.db2.gz JOSOXKJDYIHWFZ-ZWNOBZJWSA-N 0 3 238.331 2.742 20 0 BFADHN CCOCCN1CCCC[C@@H]1c1cccn1C ZINC000175118053 170398080 /nfs/dbraw/zinc/39/80/80/170398080.db2.gz QKNSEGGBZKUTLL-CQSZACIVSA-N 0 3 236.359 2.589 20 0 BFADHN CC(C)=CCCOc1ccc2c(c1)OCCNC2 ZINC000663337437 411627833 /nfs/dbraw/zinc/62/78/33/411627833.db2.gz XZCJMKVVSKIECN-UHFFFAOYSA-N 0 3 247.338 2.904 20 0 BFADHN CCc1nocc1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000660780746 411628458 /nfs/dbraw/zinc/62/84/58/411628458.db2.gz PEBKNSDRZBFFFQ-CDMKHQONSA-N 0 3 220.316 2.515 20 0 BFADHN FCCOCCNCc1ccc2occc2c1 ZINC000663340665 411631549 /nfs/dbraw/zinc/63/15/49/411631549.db2.gz IRMCDUOPRAXERL-UHFFFAOYSA-N 0 3 237.274 2.509 20 0 BFADHN FCCOCCNCc1coc2ccccc12 ZINC000663342096 411632077 /nfs/dbraw/zinc/63/20/77/411632077.db2.gz WOWMSPCMOMZMMX-UHFFFAOYSA-N 0 3 237.274 2.509 20 0 BFADHN CCOCCN[C@H](C)c1ccc(F)c(F)c1 ZINC000035151242 170414771 /nfs/dbraw/zinc/41/47/71/170414771.db2.gz LEPYSNXKDSQKMC-SECBINFHSA-N 0 3 229.270 2.652 20 0 BFADHN COc1ccccc1CNC[C@H]1CCC[C@H](C)O1 ZINC000657662690 411576073 /nfs/dbraw/zinc/57/60/73/411576073.db2.gz FNECFQDGFPDMOG-GXTWGEPZSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2cccs2)O1 ZINC000657663926 411576396 /nfs/dbraw/zinc/57/63/96/411576396.db2.gz KENNVVWGANYNSD-MNOVXSKESA-N 0 3 225.357 2.795 20 0 BFADHN Cc1ncsc1CNC[C@@]1(C)CC1(F)F ZINC000657662979 411576411 /nfs/dbraw/zinc/57/64/11/411576411.db2.gz AFPGSRNAXMZUFG-SECBINFHSA-N 0 3 232.299 2.586 20 0 BFADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1cncc(F)c1 ZINC000657665533 411577723 /nfs/dbraw/zinc/57/77/23/411577723.db2.gz NHJWQFBSQHOIDU-GZMMTYOYSA-N 0 3 244.260 2.917 20 0 BFADHN COc1cccc(CNC[C@H]2CCC[C@H](C)O2)c1 ZINC000657665670 411578063 /nfs/dbraw/zinc/57/80/63/411578063.db2.gz PKNKBVBQUQJXSR-SWLSCSKDSA-N 0 3 249.354 2.742 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1ccncc1CC ZINC000359342545 170432478 /nfs/dbraw/zinc/43/24/78/170432478.db2.gz ODQFTZXGWGDYDS-NEPJUHHUSA-N 0 3 236.359 2.720 20 0 BFADHN CC[C@@](C)(NCc1conc1C)C1CC1 ZINC000657689473 411579528 /nfs/dbraw/zinc/57/95/28/411579528.db2.gz PIQPCRKYQNLAQQ-GFCCVEGCSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H]2CCc3ccc(O)cc32)CO1 ZINC000657693136 411579950 /nfs/dbraw/zinc/57/99/50/411579950.db2.gz HBCYIEWKHBMQMV-UXIGCNINSA-N 0 3 247.338 2.537 20 0 BFADHN CC(C)OC[C@H](C)N[C@H]1CCc2ccc(O)cc21 ZINC000657692791 411580184 /nfs/dbraw/zinc/58/01/84/411580184.db2.gz DNXGNMNVRVMEQK-NHYWBVRUSA-N 0 3 249.354 2.783 20 0 BFADHN CC[C@@H](NCc1nc2c(s1)CCC2)C1CC1 ZINC000657681803 411580795 /nfs/dbraw/zinc/58/07/95/411580795.db2.gz SBBSKTRPLNXFJF-SNVBAGLBSA-N 0 3 236.384 2.910 20 0 BFADHN Cc1nc(CN(CC2CCC2)C(C)C)co1 ZINC000660423424 411582897 /nfs/dbraw/zinc/58/28/97/411582897.db2.gz PUTFQWVUENGYOF-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1cc(CN[C@H]2C[C@H](O)C23CCC3)ccc1F ZINC000657704927 411583714 /nfs/dbraw/zinc/58/37/14/411583714.db2.gz JTJQXDCQSINFPQ-KBPBESRZSA-N 0 3 249.329 2.527 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H](O)C23CCC3)cc1F ZINC000657704175 411584004 /nfs/dbraw/zinc/58/40/04/411584004.db2.gz DKEQATVINOYIQA-ZIAGYGMSSA-N 0 3 249.329 2.527 20 0 BFADHN Cc1ccc(F)cc1CN[C@H]1C[C@@H](O)C12CCC2 ZINC000657704920 411584089 /nfs/dbraw/zinc/58/40/89/411584089.db2.gz JQCVKFIEDGHIHA-UONOGXRCSA-N 0 3 249.329 2.527 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2C[C@H](O)C23CCC3)c1 ZINC000657704368 411584150 /nfs/dbraw/zinc/58/41/50/411584150.db2.gz FPELNHMRYWQGJU-KBPBESRZSA-N 0 3 249.329 2.527 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](O)C12CCC2)c1ccccc1F ZINC000657708576 411585178 /nfs/dbraw/zinc/58/51/78/411585178.db2.gz LURXERPGNFJJKD-GDLCADMTSA-N 0 3 249.329 2.780 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CC[C@@H]1c1ccccc1 ZINC000657715160 411586265 /nfs/dbraw/zinc/58/62/65/411586265.db2.gz HXCCKSLYKZASNQ-ZIAGYGMSSA-N 0 3 241.338 2.754 20 0 BFADHN COc1cc(CN(C)[C@H]2CCC(C)(C)C2)on1 ZINC000660452103 411586385 /nfs/dbraw/zinc/58/63/85/411586385.db2.gz AXRNYHXLZAOJBD-JTQLQIEISA-N 0 3 238.331 2.694 20 0 BFADHN C(N[C@H]1[C@@H]2CCC[C@@H]21)c1nc2c(s1)CCCC2 ZINC000657733490 411586999 /nfs/dbraw/zinc/58/69/99/411586999.db2.gz CBUBVNWGZBETTK-MSRIBSCDSA-N 0 3 248.395 2.910 20 0 BFADHN CCc1ccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)o1 ZINC000657733552 411587148 /nfs/dbraw/zinc/58/71/48/411587148.db2.gz IRSRILVUUNHAMH-CLLJXQQHSA-N 0 3 205.301 2.730 20 0 BFADHN Cc1cccc2ncc(CN[C@@]3(C)CC3(C)C)n21 ZINC000657761424 411591354 /nfs/dbraw/zinc/59/13/54/411591354.db2.gz KJQIAPREOMNYSX-HNNXBMFYSA-N 0 3 243.354 2.921 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1sccc1OC ZINC000309952374 170446898 /nfs/dbraw/zinc/44/68/98/170446898.db2.gz GTGZHLUZKZVAIZ-UWVGGRQHSA-N 0 3 243.372 2.832 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1cnc2ccccn12 ZINC000657762189 411591688 /nfs/dbraw/zinc/59/16/88/411591688.db2.gz XFBDQVZXOQGVNT-CQSZACIVSA-N 0 3 229.327 2.613 20 0 BFADHN Cc1nn(C(C)C)cc1CNCC1=CCCC1 ZINC000657749666 411592111 /nfs/dbraw/zinc/59/21/11/411592111.db2.gz ITMKAAWIXYYWPL-UHFFFAOYSA-N 0 3 233.359 2.972 20 0 BFADHN COc1cc(C)c(CNCC2=CCCC2)c(C)n1 ZINC000657749717 411592250 /nfs/dbraw/zinc/59/22/50/411592250.db2.gz KBQBLRYFVNSRKE-UHFFFAOYSA-N 0 3 246.354 2.907 20 0 BFADHN Cc1cccn2c(CNCC3=CCCC3)cnc12 ZINC000657749589 411592387 /nfs/dbraw/zinc/59/23/87/411592387.db2.gz GYARQMTXHJQZRY-UHFFFAOYSA-N 0 3 241.338 2.843 20 0 BFADHN CC(C)Cn1nccc1CNCC1=CCCC1 ZINC000657749447 411592442 /nfs/dbraw/zinc/59/24/42/411592442.db2.gz CVXGTINAVGNYEI-UHFFFAOYSA-N 0 3 233.359 2.739 20 0 BFADHN CO[C@H](CNCc1ccc(C)nc1)C1CCCC1 ZINC000657765318 411592850 /nfs/dbraw/zinc/59/28/50/411592850.db2.gz ATOQGVIKXHFJOO-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN CCOc1cccc(CNCC2=CCCC2)n1 ZINC000657749974 411592857 /nfs/dbraw/zinc/59/28/57/411592857.db2.gz VZGLDTOMMOUNBL-UHFFFAOYSA-N 0 3 232.327 2.680 20 0 BFADHN CC(C)n1cc(CNCC2=CCCC2)cn1 ZINC000657750026 411592885 /nfs/dbraw/zinc/59/28/85/411592885.db2.gz XRRWLXWNNSLFKM-UHFFFAOYSA-N 0 3 219.332 2.664 20 0 BFADHN CO[C@@H](CNCc1cccc(O)c1)C1CCCC1 ZINC000657764116 411593081 /nfs/dbraw/zinc/59/30/81/411593081.db2.gz OBPHLSBTENUVLT-HNNXBMFYSA-N 0 3 249.354 2.687 20 0 BFADHN CO[C@H](CNCc1cccc(O)c1)C1CCCC1 ZINC000657764120 411593193 /nfs/dbraw/zinc/59/31/93/411593193.db2.gz OBPHLSBTENUVLT-OAHLLOKOSA-N 0 3 249.354 2.687 20 0 BFADHN CO[C@@H](CNCc1ccc(C)o1)C1CCCC1 ZINC000657768038 411593605 /nfs/dbraw/zinc/59/36/05/411593605.db2.gz TYCHTDKJKPAGES-AWEZNQCLSA-N 0 3 237.343 2.883 20 0 BFADHN CC(C)CC1(NCc2ccccn2)CC1 ZINC000657773641 411593663 /nfs/dbraw/zinc/59/36/63/411593663.db2.gz AADJEHCZBVTAKJ-UHFFFAOYSA-N 0 3 204.317 2.750 20 0 BFADHN CCn1nccc1CNC1(CC(C)C)CC1 ZINC000657775567 411594268 /nfs/dbraw/zinc/59/42/68/411594268.db2.gz RVNFEGJMUWHUKK-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cncc1CNC1(CC(C)C)CC1 ZINC000657774901 411594590 /nfs/dbraw/zinc/59/45/90/411594590.db2.gz NQBSBUVCTICLNW-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN CO[C@H](CNCc1ccccn1)C1CCCCC1 ZINC000657783035 411594804 /nfs/dbraw/zinc/59/48/04/411594804.db2.gz PXVBUXUXJDFZKR-OAHLLOKOSA-N 0 3 248.370 2.767 20 0 BFADHN CCc1ccc(CNC[C@H]2CCOC2(C)C)o1 ZINC000657785141 411595188 /nfs/dbraw/zinc/59/51/88/411595188.db2.gz IKJNGKQHLAWYLZ-LLVKDONJSA-N 0 3 237.343 2.747 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cc(C)sc1C ZINC000657788098 411596058 /nfs/dbraw/zinc/59/60/58/411596058.db2.gz FLYMNWCWVLIVSZ-NEPJUHHUSA-N 0 3 225.357 2.632 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cccc(Cl)c1 ZINC000657787382 411596251 /nfs/dbraw/zinc/59/62/51/411596251.db2.gz ADSIUOVCYIURJH-NEPJUHHUSA-N 0 3 225.719 2.607 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccccc1C1CC1 ZINC000657788762 411596727 /nfs/dbraw/zinc/59/67/27/411596727.db2.gz VTJPRISRQMIWBL-CABCVRRESA-N 0 3 231.339 2.831 20 0 BFADHN CCN1CCN(CCCC2CCC2)[C@@H](C)C1 ZINC000660534904 411596922 /nfs/dbraw/zinc/59/69/22/411596922.db2.gz HHTBBLOETDCSOD-ZDUSSCGKSA-N 0 3 224.392 2.593 20 0 BFADHN CO[C@@H](CNCC1(F)CC1)C1CCCCC1 ZINC000657781800 411597574 /nfs/dbraw/zinc/59/75/74/411597574.db2.gz IQRYEPHDJQVVLE-LBPRGKRZSA-N 0 3 229.339 2.673 20 0 BFADHN CCC[C@@H](C)CN[C@H](C)c1nccn1C ZINC000657792383 411597898 /nfs/dbraw/zinc/59/78/98/411597898.db2.gz AMUMPDDUDPKJCT-GHMZBOCLSA-N 0 3 209.337 2.507 20 0 BFADHN CCC[C@@H](C)CN[C@@H](C)c1nccn1C ZINC000657792385 411598227 /nfs/dbraw/zinc/59/82/27/411598227.db2.gz AMUMPDDUDPKJCT-MNOVXSKESA-N 0 3 209.337 2.507 20 0 BFADHN CC(C)c1cccc(CN(C)C2(CO)CC2)c1 ZINC000660544641 411598226 /nfs/dbraw/zinc/59/82/26/411598226.db2.gz UYKAXJXRAKXGRJ-UHFFFAOYSA-N 0 3 233.355 2.767 20 0 BFADHN CC1(C)CC(NCc2ccnn2CC2CC2)C1 ZINC000657801729 411599415 /nfs/dbraw/zinc/59/94/15/411599415.db2.gz GODRTRFSSIHREP-UHFFFAOYSA-N 0 3 233.359 2.571 20 0 BFADHN O[C@H]1C[C@H](NCc2csc3ccccc23)C1 ZINC000657808748 411600905 /nfs/dbraw/zinc/60/09/05/411600905.db2.gz BXROTUMIJMPBRB-XYPYZODXSA-N 0 3 233.336 2.514 20 0 BFADHN C[C@H](NC1CC1)c1cc2cnccc2o1 ZINC000657816258 411604785 /nfs/dbraw/zinc/60/47/85/411604785.db2.gz IZWVWCGNDWQYFI-QMMMGPOBSA-N 0 3 202.257 2.641 20 0 BFADHN C[C@H](O)[C@@H](NCc1cccs1)c1ccccc1 ZINC000657817126 411606249 /nfs/dbraw/zinc/60/62/49/411606249.db2.gz MLWDXOFBGNTMJN-SMDDNHRTSA-N 0 3 247.363 2.960 20 0 BFADHN c1cc2c(o1)CCC[C@H]2N[C@H]1COC2(CCC2)C1 ZINC000657835109 411609325 /nfs/dbraw/zinc/60/93/25/411609325.db2.gz OFAFQTRTTCSQGE-DGCLKSJQSA-N 0 3 247.338 2.958 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1cc2cnccc2o1 ZINC000657875282 411614925 /nfs/dbraw/zinc/61/49/25/411614925.db2.gz IUMFSXYQSMLAHZ-ZYHUDNBSSA-N 0 3 246.310 2.657 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1cc2cnccc2o1 ZINC000657878390 411615640 /nfs/dbraw/zinc/61/56/40/411615640.db2.gz JTLLNUQVLUOMIG-PWSUYJOCSA-N 0 3 248.326 2.639 20 0 BFADHN Cc1nc(CN2C[C@@H]3CCCC[C@@H]3C2)c(C)o1 ZINC000660723673 411619352 /nfs/dbraw/zinc/61/93/52/411619352.db2.gz KVAOVPHPSCUFNE-BETUJISGSA-N 0 3 234.343 2.913 20 0 BFADHN Cn1cnc(CN2CC[C@@H](CC(C)(C)C)C2)c1 ZINC000660756590 411621088 /nfs/dbraw/zinc/62/10/88/411621088.db2.gz BTHRNXMCGZJDFG-LBPRGKRZSA-N 0 3 235.375 2.678 20 0 BFADHN CC[C@H](C)N(CC)CC(=O)N(C)c1ccccc1 ZINC000661105794 411711279 /nfs/dbraw/zinc/71/12/79/411711279.db2.gz IXGLKPISSWTQHF-ZDUSSCGKSA-N 0 3 248.370 2.770 20 0 BFADHN CC[C@H](C)N(CC)CC(=O)NCCC(C)(C)C ZINC000661108784 411712307 /nfs/dbraw/zinc/71/23/07/411712307.db2.gz OGROQCUQCYZLOF-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1ccc([C@H](C)NCCOCCF)c(C)c1 ZINC000663464465 411664618 /nfs/dbraw/zinc/66/46/18/411664618.db2.gz QXFGGDBEVCPWQN-ZDUSSCGKSA-N 0 3 239.334 2.940 20 0 BFADHN FCCOCCN[C@@H]1CCCc2sccc21 ZINC000663463311 411665416 /nfs/dbraw/zinc/66/54/16/411665416.db2.gz KWTAVAJEERNRRZ-LLVKDONJSA-N 0 3 243.347 2.701 20 0 BFADHN CC[C@H]1CN(CCC2CCC2)[C@H](C)CO1 ZINC000660912354 411668789 /nfs/dbraw/zinc/66/87/89/411668789.db2.gz JWVQPQSERUWYAF-YPMHNXCESA-N 0 3 211.349 2.676 20 0 BFADHN Cc1cccnc1CN1C[C@@H]2CCCC[C@@H]21 ZINC000660932758 411681313 /nfs/dbraw/zinc/68/13/13/411681313.db2.gz CXUPDLCONVNYOX-JSGCOSHPSA-N 0 3 216.328 2.764 20 0 BFADHN C[C@@H]1CCC[C@@H](CN(C)Cc2c[nH]cn2)C1 ZINC000661089090 411699533 /nfs/dbraw/zinc/69/95/33/411699533.db2.gz XCEILYYOIGWLFV-VXGBXAGGSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@@H]1CCC[C@@H](CN(C)Cc2cnc[nH]2)C1 ZINC000661089090 411699536 /nfs/dbraw/zinc/69/95/36/411699536.db2.gz XCEILYYOIGWLFV-VXGBXAGGSA-N 0 3 221.348 2.668 20 0 BFADHN CN(CCC1=CCCCC1)Cc1cnc[nH]1 ZINC000661090085 411703232 /nfs/dbraw/zinc/70/32/32/411703232.db2.gz LQKHQGHGZZQJEJ-UHFFFAOYSA-N 0 3 219.332 2.732 20 0 BFADHN CCOc1cccc(CN[C@@H]2CCC[C@H]2C)n1 ZINC000337284122 170579343 /nfs/dbraw/zinc/57/93/43/170579343.db2.gz JHMDQTMUMZXUPH-DGCLKSJQSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1ccncc1[C@H](C)NCCc1cncs1 ZINC000663758801 411740339 /nfs/dbraw/zinc/74/03/39/411740339.db2.gz FXLAOTUPHGXVAQ-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN CCOc1ccccc1[C@H](C)NC1CC1 ZINC000022151081 170598996 /nfs/dbraw/zinc/59/89/96/170598996.db2.gz CAFISFSXLRGHCJ-JTQLQIEISA-N 0 3 205.301 2.898 20 0 BFADHN CC(C)[C@H](O)CN1CC[C@@H]1c1ccc(F)cc1 ZINC000661510958 411792472 /nfs/dbraw/zinc/79/24/72/411792472.db2.gz WAJYICSSISNQIV-ZIAGYGMSSA-N 0 3 237.318 2.589 20 0 BFADHN CCOCCCN1CCc2ccc(C)cc2C1 ZINC000661371295 411756175 /nfs/dbraw/zinc/75/61/75/411756175.db2.gz HVHIFSACSIUXNE-UHFFFAOYSA-N 0 3 233.355 2.780 20 0 BFADHN CC(C)c1ccc2c(c1)CN(CCCO)CC2 ZINC000661373171 411758446 /nfs/dbraw/zinc/75/84/46/411758446.db2.gz IWNHJSNKXBNKQC-UHFFFAOYSA-N 0 3 233.355 2.551 20 0 BFADHN CC(C)c1ccc2c(c1)CN(C[C@H](C)O)CC2 ZINC000661375738 411760490 /nfs/dbraw/zinc/76/04/90/411760490.db2.gz QGIVDSZGNKFLFH-LBPRGKRZSA-N 0 3 233.355 2.549 20 0 BFADHN C[C@H]1COC2(CCC2)CN1Cc1ccccc1 ZINC000661468221 411782243 /nfs/dbraw/zinc/78/22/43/411782243.db2.gz SWZIYNAHTCCDID-ZDUSSCGKSA-N 0 3 231.339 2.830 20 0 BFADHN Cc1ccccc1CN1CCO[C@](C)(C2CC2)C1 ZINC000661164017 411724019 /nfs/dbraw/zinc/72/40/19/411724019.db2.gz HVCMTGMPRODOLE-INIZCTEOSA-N 0 3 245.366 2.996 20 0 BFADHN C[C@@]1(C2CC2)CN([C@@H]2C=CCCC2)CCO1 ZINC000661169667 411726576 /nfs/dbraw/zinc/72/65/76/411726576.db2.gz FZUNCAMALGREDL-KGLIPLIRSA-N 0 3 221.344 2.596 20 0 BFADHN Cn1cc(CN2CC[C@H](C3CCCCC3)C2)cn1 ZINC000661578032 411807863 /nfs/dbraw/zinc/80/78/63/411807863.db2.gz IFFMZCZMHVFQMW-HNNXBMFYSA-N 0 3 247.386 2.822 20 0 BFADHN Cc1cccnc1CN1CCC[C@H](CCF)C1 ZINC000661690124 411839277 /nfs/dbraw/zinc/83/92/77/411839277.db2.gz JKQYZBAYUVLAMA-CYBMUJFWSA-N 0 3 236.334 2.962 20 0 BFADHN FCC[C@H]1CCCN(Cc2ccccn2)C1 ZINC000661693014 411841192 /nfs/dbraw/zinc/84/11/92/411841192.db2.gz KAQHZTGFUSAHFS-GFCCVEGCSA-N 0 3 222.307 2.653 20 0 BFADHN Cc1cncc(CN2CCC[C@H](CCF)C2)c1 ZINC000661690675 411841661 /nfs/dbraw/zinc/84/16/61/411841661.db2.gz PNXGIROHEBICIH-CYBMUJFWSA-N 0 3 236.334 2.962 20 0 BFADHN CCc1nocc1CN1CCC[C@@H](CCF)C1 ZINC000661690835 411842061 /nfs/dbraw/zinc/84/20/61/411842061.db2.gz VIIUKWAKHSAXFD-NSHDSACASA-N 0 3 240.322 2.809 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)O[C@@H](C)C2)cc1 ZINC000661857540 411905761 /nfs/dbraw/zinc/90/57/61/411905761.db2.gz PJAISCJXDOUCLA-OLZOCXBDSA-N 0 3 249.354 2.695 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2snnc2C)C1 ZINC000661860068 411906336 /nfs/dbraw/zinc/90/63/36/411906336.db2.gz RHNJBKATYCZUOR-MWLCHTKSSA-N 0 3 239.388 2.857 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2cc(C)ncn2)C1 ZINC000661860200 411907661 /nfs/dbraw/zinc/90/76/61/411907661.db2.gz VUVXQGFYQGNXMA-CHWSQXEVSA-N 0 3 233.359 2.796 20 0 BFADHN O=C1CCCC12CCN([C@@H]1C=CCCC1)CC2 ZINC000661955050 411918592 /nfs/dbraw/zinc/91/85/92/411918592.db2.gz BDJQYZJGVSNWQL-CYBMUJFWSA-N 0 3 233.355 2.930 20 0 BFADHN O=C1CCCC12CCN(CC1=CCCC1)CC2 ZINC000661960154 411920451 /nfs/dbraw/zinc/92/04/51/411920451.db2.gz HGOJRWJFJNOZBS-UHFFFAOYSA-N 0 3 233.355 2.932 20 0 BFADHN CC[C@@H](CN[C@H]1CCCc2c(O)cccc21)OC ZINC000291059878 170831201 /nfs/dbraw/zinc/83/12/01/170831201.db2.gz ADFRFEFANDKMKM-FZMZJTMJSA-N 0 3 249.354 2.784 20 0 BFADHN Cc1cc(CN[C@H](C)C2CCC(F)CC2)no1 ZINC000661998537 411937168 /nfs/dbraw/zinc/93/71/68/411937168.db2.gz LDCHRXSQQFLNCO-VOMCLLRMSA-N 0 3 240.322 2.989 20 0 BFADHN CC[C@@H](NCc1nc(-c2ccoc2)no1)C1CC1 ZINC000661758742 411869289 /nfs/dbraw/zinc/86/92/89/411869289.db2.gz QKAGAEYTKWWUFF-LLVKDONJSA-N 0 3 247.298 2.608 20 0 BFADHN CC[C@H](NCc1nc(C)oc1C)C1CC1 ZINC000661762995 411873786 /nfs/dbraw/zinc/87/37/86/411873786.db2.gz ZIRZROHPTRNDMT-NSHDSACASA-N 0 3 208.305 2.570 20 0 BFADHN CC[C@@H](N)C(=O)N[C@H](CC(C)C)c1ccccc1 ZINC000037508859 170863771 /nfs/dbraw/zinc/86/37/71/170863771.db2.gz AWXXDMHYRYBJHV-ZIAGYGMSSA-N 0 3 248.370 2.627 20 0 BFADHN CCc1nc([C@@H](C)NC2(CC(C)C)CC2)n[nH]1 ZINC000662066442 411969226 /nfs/dbraw/zinc/96/92/26/411969226.db2.gz LZNBRVREDOUXHW-SNVBAGLBSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+]C2(CC(C)C)CC2)[n-]1 ZINC000662066442 411969231 /nfs/dbraw/zinc/96/92/31/411969231.db2.gz LZNBRVREDOUXHW-SNVBAGLBSA-N 0 3 236.363 2.596 20 0 BFADHN CSc1ccccc1CN1CCC2(COC2)C1 ZINC000662071937 411972680 /nfs/dbraw/zinc/97/26/80/411972680.db2.gz DILXMDIHCMKQDK-UHFFFAOYSA-N 0 3 249.379 2.631 20 0 BFADHN C[C@@H](c1ccccc1F)N1CCC2(COC2)C1 ZINC000662075722 411976550 /nfs/dbraw/zinc/97/65/50/411976550.db2.gz JFICDIZLPLVOSE-NSHDSACASA-N 0 3 235.302 2.609 20 0 BFADHN CC[C@@H](NCC(C)(C)C)c1ccn(C)n1 ZINC000308961135 170890435 /nfs/dbraw/zinc/89/04/35/170890435.db2.gz SCCJAMHCHBUOQD-SNVBAGLBSA-N 0 3 209.337 2.507 20 0 BFADHN Cc1nocc1CN(CCC1CC1)CC1CC1 ZINC000662177807 412019981 /nfs/dbraw/zinc/01/99/81/412019981.db2.gz PGSMZNFMDIQOFL-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCc3nccnc3C2)C1 ZINC000662121619 411991825 /nfs/dbraw/zinc/99/18/25/411991825.db2.gz QAMYWPIMNNAHEB-CHWSQXEVSA-N 0 3 245.370 2.661 20 0 BFADHN CC[C@@H](NCC[C@H](C)F)c1nccs1 ZINC000336653555 170896660 /nfs/dbraw/zinc/89/66/60/170896660.db2.gz LQTKEAVUCJOPFX-DTWKUNHWSA-N 0 3 216.325 2.932 20 0 BFADHN CC[C@@H](NCC[C@@H]1CCOC1)c1nccs1 ZINC000336742457 170896677 /nfs/dbraw/zinc/89/66/77/170896677.db2.gz SDOYFYNRIZBKAQ-GHMZBOCLSA-N 0 3 240.372 2.610 20 0 BFADHN CC[C@@H](N[C@@H]1CC=CCC1)c1nccn1C ZINC000132262105 170909645 /nfs/dbraw/zinc/90/96/45/170909645.db2.gz DTNSEODXOWDIGO-VXGBXAGGSA-N 0 3 219.332 2.570 20 0 BFADHN Cc1nnc([C@@H](C)N(CCC2CC2)CC2CC2)o1 ZINC000662179382 412020807 /nfs/dbraw/zinc/02/08/07/412020807.db2.gz NQZNWVMBTKZTEH-SNVBAGLBSA-N 0 3 249.358 2.951 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1ccon1 ZINC000662156783 412010418 /nfs/dbraw/zinc/01/04/18/412010418.db2.gz DWTHYBMLTAWHGX-CMPLNLGQSA-N 0 3 208.305 2.828 20 0 BFADHN Cn1cnc(CN2CCCC[C@H]2CC2CCC2)c1 ZINC000662157142 412011695 /nfs/dbraw/zinc/01/16/95/412011695.db2.gz OGTUPNZAFOUMSB-HNNXBMFYSA-N 0 3 247.386 2.965 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1C[C@H](O)C(F)(F)F ZINC000662161668 412013700 /nfs/dbraw/zinc/01/37/00/412013700.db2.gz WNGRZMQWWFPYLW-AEJSXWLSSA-N 0 3 239.281 2.563 20 0 BFADHN CCn1ccnc1CN(CCC1CC1)CC1CC1 ZINC000662178831 412021230 /nfs/dbraw/zinc/02/12/30/412021230.db2.gz HTUVRSIRENGLEO-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1cc(CN(CCC2CC2)CC2CC2)nn1C ZINC000662176516 412018625 /nfs/dbraw/zinc/01/86/25/412018625.db2.gz BSWHZCANSWCJAW-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN C1=C[C@H](N2CC[C@@H]3COC[C@@H]3C2)CCCCC1 ZINC000662036985 411953473 /nfs/dbraw/zinc/95/34/73/411953473.db2.gz SVHIDBVSBCYURB-ILXRZTDVSA-N 0 3 235.371 2.844 20 0 BFADHN Cc1nccc(CN2CCC[C@H](C3CCC3)C2)n1 ZINC000662044262 411963470 /nfs/dbraw/zinc/96/34/70/411963470.db2.gz PUSXOYXEXCRCDW-AWEZNQCLSA-N 0 3 245.370 2.797 20 0 BFADHN CC1(C)CN(CCCCCO)[C@@H]1c1cccnc1 ZINC000664824860 412027570 /nfs/dbraw/zinc/02/75/70/412027570.db2.gz DYIJTFFARSUSQN-CQSZACIVSA-N 0 3 248.370 2.627 20 0 BFADHN c1ccc2c(c1)CNCCN2C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000662245186 412042090 /nfs/dbraw/zinc/04/20/90/412042090.db2.gz IOPUUNDROYOJMQ-YOWGUQMCSA-N 0 3 242.366 2.642 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2cnoc2C)C1 ZINC000336291001 171049909 /nfs/dbraw/zinc/04/99/09/171049909.db2.gz MHCUEFGVXFVMAD-CMPLNLGQSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cncc(OC)c1 ZINC000290041637 171054881 /nfs/dbraw/zinc/05/48/81/171054881.db2.gz URXSBVVOKMZBCJ-WCQYABFASA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1nccn1C(F)F ZINC000309611823 171059683 /nfs/dbraw/zinc/05/96/83/171059683.db2.gz AKGHRXIPHIOABO-RKDXNWHRSA-N 0 3 229.274 2.556 20 0 BFADHN CC[C@@H]1CN(C/C=C/c2ccc(F)cc2)C[C@@H]1O ZINC000271390792 171073971 /nfs/dbraw/zinc/07/39/71/171073971.db2.gz TVJAPZNTLBGSOJ-LNMOPNHASA-N 0 3 249.329 2.542 20 0 BFADHN CC[C@@H]1CN(CCCc2ccncc2)C[C@H](C)O1 ZINC000360454018 171081050 /nfs/dbraw/zinc/08/10/50/171081050.db2.gz HUPYHQGZJSFBFD-DZGCQCFKSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@@H]1CN(CCSC(C)C)C[C@@H](C)O1 ZINC000336699916 171085069 /nfs/dbraw/zinc/08/50/69/171085069.db2.gz PBPIFCXEULIMIP-VXGBXAGGSA-N 0 3 231.405 2.627 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCCC(F)(F)C2)CCO1 ZINC000338249777 171086570 /nfs/dbraw/zinc/08/65/70/171086570.db2.gz HCNVVHIBONONLK-NWDGAFQWSA-N 0 3 247.329 2.923 20 0 BFADHN CC[C@@H]1CN([C@@H](C)c2cccnc2)CCS1 ZINC000266594547 171094874 /nfs/dbraw/zinc/09/48/74/171094874.db2.gz VJDHYRQLMWMLSF-WCQYABFASA-N 0 3 236.384 2.970 20 0 BFADHN CC[C@@H]1CN([C@H](C)c2cccc(F)c2)C[C@H]1O ZINC000270822774 171095727 /nfs/dbraw/zinc/09/57/27/171095727.db2.gz BLAAIOYELORBPL-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@@H]1COCCN1CCC1CCCC1 ZINC000121064285 171107032 /nfs/dbraw/zinc/10/70/32/171107032.db2.gz WPRLVQZZAKFFHO-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@H]1NCc1nccn1C ZINC000354297028 171115683 /nfs/dbraw/zinc/11/56/83/171115683.db2.gz QBPLMDDGQKFCNW-YNEHKIRRSA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1conc1C ZINC000336471607 171118525 /nfs/dbraw/zinc/11/85/25/171118525.db2.gz VRIQJWHBSIIHHM-BXKDBHETSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1conc1C ZINC000336471609 171127979 /nfs/dbraw/zinc/12/79/79/171127979.db2.gz VRIQJWHBSIIHHM-JOYOIKCWSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cnccc1OC ZINC000287842634 171155523 /nfs/dbraw/zinc/15/55/23/171155523.db2.gz QRQOEQJBFONAOT-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1ccc(F)cn1 ZINC000276293704 171155850 /nfs/dbraw/zinc/15/58/50/171155850.db2.gz VYYYZXSGMJWIEH-GXFFZTMASA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1CC(=O)c1cc(C)[nH]c1C ZINC000360459819 171156361 /nfs/dbraw/zinc/15/63/61/171156361.db2.gz MMOPNHJVRLAFGS-IINYFYTJSA-N 0 3 248.370 2.935 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cc(C)no1 ZINC000274821729 171156605 /nfs/dbraw/zinc/15/66/05/171156605.db2.gz BKGZHBPCHRPNMX-JOYOIKCWSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@](C)(CCO)NCc1ccc(F)cc1F ZINC000230222753 171165166 /nfs/dbraw/zinc/16/51/66/171165166.db2.gz YFDMGXDPPLKSME-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN CC[C@@](C)(CO)NCc1ccc(Cl)cc1F ZINC000162965762 171171789 /nfs/dbraw/zinc/17/17/89/171171789.db2.gz AEGKWQUZEXCKQK-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@](C)(O)CNCc1ccsc1Cl ZINC000308602255 171183851 /nfs/dbraw/zinc/18/38/51/171183851.db2.gz OOJWEVZZPMSCEB-SNVBAGLBSA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@@](C)(O)CNCc1cccc(Cl)c1F ZINC000131130103 171184290 /nfs/dbraw/zinc/18/42/90/171184290.db2.gz RHZZVOWTEBZWDG-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@](C)(O)CNCc1ccc(Cl)s1 ZINC000082687476 171184339 /nfs/dbraw/zinc/18/43/39/171184339.db2.gz XBOHHNHPKWXYMV-SNVBAGLBSA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@@](C)(O)CNCc1cc(Cl)cs1 ZINC000086333012 171185172 /nfs/dbraw/zinc/18/51/72/171185172.db2.gz VUUAZADXYQLYCW-SNVBAGLBSA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccc(OC)cn2)C1 ZINC000338272901 171200372 /nfs/dbraw/zinc/20/03/72/171200372.db2.gz FPHVWWRYAACOAT-AWEZNQCLSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cnn3ccccc23)C1 ZINC000354081077 171202643 /nfs/dbraw/zinc/20/26/43/171202643.db2.gz FANKTWPWQOIKSE-HNNXBMFYSA-N 0 3 243.354 2.956 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cn3ccccc3n2)C1 ZINC000354108359 171203194 /nfs/dbraw/zinc/20/31/94/171203194.db2.gz VFOWNBXRTYHMRH-HNNXBMFYSA-N 0 3 243.354 2.956 20 0 BFADHN CC[C@@]1(C)C[C@H]1C(=O)N(C)Cc1cnccc1C ZINC000357820438 171207000 /nfs/dbraw/zinc/20/70/00/171207000.db2.gz XCCZHHGTPHTKGA-ZFWWWQNUSA-N 0 3 246.354 2.785 20 0 BFADHN CC[C@@]1(CO)CCCN([C@@H](C)c2ccccn2)C1 ZINC000187561551 171210130 /nfs/dbraw/zinc/21/01/30/171210130.db2.gz LVSWGXDRWDSFIQ-DZGCQCFKSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H](C(N)=O)N1C[C@H](C)CC(C)(C)C1 ZINC000336499196 171220979 /nfs/dbraw/zinc/22/09/79/171220979.db2.gz PFONJBJKIIUTOX-NXEZZACHSA-N 0 3 212.337 2.668 20 0 BFADHN CC[C@H](C(N)=O)N1CCCC2(CCCC2)C1 ZINC000336495930 171221121 /nfs/dbraw/zinc/22/11/21/171221121.db2.gz JXUQKAWZSRHNJZ-LLVKDONJSA-N 0 3 224.348 2.956 20 0 BFADHN CC[C@H](C(=O)N1[C@@H](C)CC[C@@H]1C)N(CC)CC ZINC000359888762 171225900 /nfs/dbraw/zinc/22/59/00/171225900.db2.gz GJQBOIPQOORGIQ-RWMBFGLXSA-N 0 3 240.391 2.506 20 0 BFADHN CC[C@H](C(=O)N[C@H](C)c1ccccc1C)N(C)C ZINC000268756541 171233374 /nfs/dbraw/zinc/23/33/74/171233374.db2.gz YBHKAPBJZNVFKM-TZMCWYRMSA-N 0 3 248.370 2.512 20 0 BFADHN CC[C@H](C(=O)OC(C)(C)C)N1CC[C@@H](C)[C@@H]1C ZINC000361826127 171239643 /nfs/dbraw/zinc/23/96/43/171239643.db2.gz SJKRJEPELYHFET-GRYCIOLGSA-N 0 3 241.375 2.837 20 0 BFADHN CC[C@H](C)CN(C)Cc1ccc(F)cn1 ZINC000276398219 171274162 /nfs/dbraw/zinc/27/41/62/171274162.db2.gz TXAOIIXUOJENRD-JTQLQIEISA-N 0 3 210.296 2.699 20 0 BFADHN CC[C@H](C)CN(C)[C@@H](C)c1cnccn1 ZINC000184544947 171275327 /nfs/dbraw/zinc/27/53/27/171275327.db2.gz ZDSBKMAPYQXHPX-QWRGUYRKSA-N 0 3 207.321 2.516 20 0 BFADHN CC[C@H](C)CN1C[C@]2(CCCO2)[C@H]1C1CC1 ZINC000354717436 171282392 /nfs/dbraw/zinc/28/23/92/171282392.db2.gz YNAVBBBWDJIOKX-IACUBPJLSA-N 0 3 223.360 2.676 20 0 BFADHN CC[C@H](C)CN1C[C@@]2(CCCO2)[C@H]1C1CC1 ZINC000354717440 171282569 /nfs/dbraw/zinc/28/25/69/171282569.db2.gz YNAVBBBWDJIOKX-YUTCNCBUSA-N 0 3 223.360 2.676 20 0 BFADHN CC[C@H](C)CN[C@H]1C[C@H](C)n2ccnc21 ZINC000345360804 171287818 /nfs/dbraw/zinc/28/78/18/171287818.db2.gz UAROEQXMKZBVRG-DCAQKATOSA-N 0 3 207.321 2.525 20 0 BFADHN CC[C@H](C)CN[C@H]1C[C@@H](C)n2ccnc21 ZINC000345360803 171288324 /nfs/dbraw/zinc/28/83/24/171288324.db2.gz UAROEQXMKZBVRG-AXFHLTTASA-N 0 3 207.321 2.525 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1ncnn1CC ZINC000355599761 171296740 /nfs/dbraw/zinc/29/67/40/171296740.db2.gz ODSSTYMNGMIRJB-NWDGAFQWSA-N 0 3 238.379 2.602 20 0 BFADHN CC[C@H](C)N(C)Cc1nc2cccnc2s1 ZINC000341671371 171309443 /nfs/dbraw/zinc/30/94/43/171309443.db2.gz FFQZZKZSRZJLSB-VIFPVBQESA-N 0 3 235.356 2.922 20 0 BFADHN CC[C@H](C)N(CCC1CCCC1)CC(=O)OC ZINC000337231490 171314367 /nfs/dbraw/zinc/31/43/67/171314367.db2.gz IWXSPCMFWHNTSK-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CC[C@H](C)N1CCN(CCc2ccccc2)CC1 ZINC000172691561 171319291 /nfs/dbraw/zinc/31/92/91/171319291.db2.gz MYCWJNJFIGXNEW-HNNXBMFYSA-N 0 3 246.398 2.645 20 0 BFADHN CC[C@H](C)N1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289718947 171320143 /nfs/dbraw/zinc/32/01/43/171320143.db2.gz CUBCKFOPRHKDPT-ONGXEEELSA-N 0 3 227.739 2.582 20 0 BFADHN CC[C@H](C)N1CCc2cc(OC)c(F)cc2C1 ZINC000337310810 171321039 /nfs/dbraw/zinc/32/10/39/171321039.db2.gz BLAWJELGQQSTHR-JTQLQIEISA-N 0 3 237.318 2.991 20 0 BFADHN CC[C@H](C)NC(=O)CN[C@H](C)c1ccccc1C ZINC000042224504 171326206 /nfs/dbraw/zinc/32/62/06/171326206.db2.gz XOIBYEWPUAIDAL-QWHCGFSZSA-N 0 3 248.370 2.560 20 0 BFADHN CC[C@H](C)NCc1c(Cl)nc2ccccn21 ZINC000296161534 171341852 /nfs/dbraw/zinc/34/18/52/171341852.db2.gz YFHBRFWTGVLDQO-VIFPVBQESA-N 0 3 237.734 2.876 20 0 BFADHN CC[C@H](C)NCc1c(OC)cccc1OC ZINC000038065427 171342423 /nfs/dbraw/zinc/34/24/23/171342423.db2.gz FKVJCHIUVHFAGY-JTQLQIEISA-N 0 3 223.316 2.592 20 0 BFADHN CC[C@H](C)NCc1ccn(Cc2ccccc2)n1 ZINC000284506550 171344063 /nfs/dbraw/zinc/34/40/63/171344063.db2.gz YAAMKFBEFQGGBQ-ZDUSSCGKSA-N 0 3 243.354 2.820 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cnccc1OC ZINC000287590716 171365134 /nfs/dbraw/zinc/36/51/34/171365134.db2.gz CTVMLUTUKJKULF-WDEREUQCSA-N 0 3 222.332 2.614 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1csc(C)n1 ZINC000041010461 171365476 /nfs/dbraw/zinc/36/54/76/171365476.db2.gz ZCPNVFHEDXBWKO-DTWKUNHWSA-N 0 3 212.362 2.976 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ccc(F)cn1 ZINC000268977662 171365747 /nfs/dbraw/zinc/36/57/47/171365747.db2.gz BORWQVVQNNBKIV-VHSXEESVSA-N 0 3 210.296 2.745 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ncc(C)s1 ZINC000122862034 171365827 /nfs/dbraw/zinc/36/58/27/171365827.db2.gz SZYYULYRKXKXHY-WCBMZHEXSA-N 0 3 212.362 2.976 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](c1nccn1C)C1CC1 ZINC000353864943 171366411 /nfs/dbraw/zinc/36/64/11/171366411.db2.gz HGXONPDJAWCWGM-LOWVWBTDSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1ccc(C)nc1)OC ZINC000362977845 171368954 /nfs/dbraw/zinc/36/89/54/171368954.db2.gz VDHNTVGJZNAIOQ-SMDDNHRTSA-N 0 3 236.359 2.541 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)NCC(CC)(CC)CC ZINC000237061265 171371787 /nfs/dbraw/zinc/37/17/87/171371787.db2.gz HLIBRHPZCZLLJY-NWDGAFQWSA-N 0 3 242.407 2.692 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@@H](C)c1ccccc1F ZINC000268881564 171378832 /nfs/dbraw/zinc/37/88/32/171378832.db2.gz LWYZNSYUWZQIJA-MJVIPROJSA-N 0 3 239.334 2.883 20 0 BFADHN CC[C@H](C)[C@H](C)NC(=O)Nc1cccc(CN)c1 ZINC000042574486 171387708 /nfs/dbraw/zinc/38/77/08/171387708.db2.gz NVBHZEDHHSSHSS-QWRGUYRKSA-N 0 3 249.358 2.701 20 0 BFADHN CC[C@H](C)[C@H](C)NCC(=O)N(C)c1ccccc1 ZINC000183033459 171388801 /nfs/dbraw/zinc/38/88/01/171388801.db2.gz HMTUBGQHPRNYGZ-STQMWFEESA-N 0 3 248.370 2.674 20 0 BFADHN CC[C@H](C)[C@H](CNCc1ccccc1F)OC ZINC000362977471 171392440 /nfs/dbraw/zinc/39/24/40/171392440.db2.gz RITNNFJUKFMGLR-FZMZJTMJSA-N 0 3 239.334 2.976 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)Nc1cc(C)cc(C)c1 ZINC000011959723 171396571 /nfs/dbraw/zinc/39/65/71/171396571.db2.gz VXFVJPCTADMQRV-AAEUAGOBSA-N 0 3 234.343 2.615 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)Nc1ccc(C)c(C)c1 ZINC000011959716 171397045 /nfs/dbraw/zinc/39/70/45/171397045.db2.gz HWQCWGQTKYZNHQ-ZANVPECISA-N 0 3 234.343 2.615 20 0 BFADHN CC12CC(C1)CN2Cc1cnc2ccccc2n1 ZINC000662386102 412139557 /nfs/dbraw/zinc/13/95/57/412139557.db2.gz SSWAPIDHSDMSHT-UHFFFAOYSA-N 0 3 239.322 2.614 20 0 BFADHN COCC1(NCc2ccc(C)nc2)CCCCC1 ZINC000662339596 412107748 /nfs/dbraw/zinc/10/77/48/412107748.db2.gz KKDRZYAACGCVQL-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN COCC1(NCc2ccccn2)CCCCC1 ZINC000662339083 412108629 /nfs/dbraw/zinc/10/86/29/412108629.db2.gz SDGIWLIWPYLKRI-UHFFFAOYSA-N 0 3 234.343 2.521 20 0 BFADHN COCC1(NCc2cnccc2C)CCCCC1 ZINC000662341763 412109809 /nfs/dbraw/zinc/10/98/09/412109809.db2.gz VDRMUQBNFHLPJC-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CC[C@H](O)CN[C@@]1(c2ccccc2)CC1(C)C ZINC000662340933 412110366 /nfs/dbraw/zinc/11/03/66/412110366.db2.gz QDYRESJIODMXHJ-DZGCQCFKSA-N 0 3 233.355 2.672 20 0 BFADHN Cc1nc(C)c(CN(C)C[C@H]2CC2(C)C)o1 ZINC000662345928 412116687 /nfs/dbraw/zinc/11/66/87/412116687.db2.gz OLMXYUSCYMJLPR-LLVKDONJSA-N 0 3 222.332 2.769 20 0 BFADHN CC[C@H](C)CN1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662359524 412124029 /nfs/dbraw/zinc/12/40/29/412124029.db2.gz KXLIOBZILXBFLY-UMNHJUIQSA-N 0 3 235.318 2.777 20 0 BFADHN C[C@@H]1CN(C2CCCC2)C[C@@](C)(C(F)F)O1 ZINC000662360731 412124180 /nfs/dbraw/zinc/12/41/80/412124180.db2.gz RYSFZCQIRJTDMK-SKDRFNHKSA-N 0 3 233.302 2.674 20 0 BFADHN C[C@]1(C(F)F)CN(CC2CCCCC2)CCO1 ZINC000662375335 412129862 /nfs/dbraw/zinc/12/98/62/412129862.db2.gz PCAUJVGSPBPOLH-CYBMUJFWSA-N 0 3 247.329 2.923 20 0 BFADHN CCn1nccc1-c1cc(CN(C)C)ccc1F ZINC000665195750 412130288 /nfs/dbraw/zinc/13/02/88/412130288.db2.gz OBTIGTOKWTXYJI-UHFFFAOYSA-N 0 3 247.317 2.771 20 0 BFADHN COc1cccc(CN2CC3CC2(C)C3)c1 ZINC000662379187 412132155 /nfs/dbraw/zinc/13/21/55/412132155.db2.gz ASLAIQFQNQBHIJ-UHFFFAOYSA-N 0 3 217.312 2.680 20 0 BFADHN COc1c(C)cnc(CN2CC3CC2(C)C3)c1C ZINC000662382117 412133233 /nfs/dbraw/zinc/13/32/33/412133233.db2.gz NEPCKKFOZPUDQI-UHFFFAOYSA-N 0 3 246.354 2.691 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1cc(Cl)ccc1C ZINC000662438062 412175433 /nfs/dbraw/zinc/17/54/33/412175433.db2.gz XGJLECYCVXTPAL-SNVBAGLBSA-N 0 3 240.734 2.585 20 0 BFADHN CC[C@H](N[C@@H](C)CCO)c1ccccc1F ZINC000166348809 171537168 /nfs/dbraw/zinc/53/71/68/171537168.db2.gz ROHYMRSBXGBMCK-GWCFXTLKSA-N 0 3 225.307 2.637 20 0 BFADHN CC[C@H](N[C@@H]1CCC1(C)C)c1nccn1C ZINC000309840347 171539782 /nfs/dbraw/zinc/53/97/82/171539782.db2.gz CVVOVRBBALLMEJ-WDEREUQCSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@H](NC)C(=O)Nc1ccc(C)cc1Cl ZINC000662434023 412171999 /nfs/dbraw/zinc/17/19/99/412171999.db2.gz DPUFTUSAUXJLPG-JTQLQIEISA-N 0 3 240.734 2.585 20 0 BFADHN CC[C@H](O)CCNCc1cc(F)cc(Cl)c1 ZINC000168276767 171559925 /nfs/dbraw/zinc/55/99/25/171559925.db2.gz BOQZVZXUNJOBIL-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN Cc1nc(CN2CC[C@@H](C3CCC3)C2)oc1C ZINC000665286113 412231159 /nfs/dbraw/zinc/23/11/59/412231159.db2.gz FKNMUDXLWDAZSF-CYBMUJFWSA-N 0 3 234.343 2.913 20 0 BFADHN COc1ccnc(CN2CC[C@@H](C3CCC3)C2)c1 ZINC000665286941 412234449 /nfs/dbraw/zinc/23/44/49/412234449.db2.gz YKHAUOWSDITWSV-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1ncccc1C ZINC000336733876 171648555 /nfs/dbraw/zinc/64/85/55/171648555.db2.gz MRQUDYBJPMVXOK-NWDGAFQWSA-N 0 3 204.317 2.668 20 0 BFADHN CC[C@](C)(O)CNCc1ccccc1Cl ZINC000082506009 171703306 /nfs/dbraw/zinc/70/33/06/171703306.db2.gz NPBDFYAMPUIQEL-LBPRGKRZSA-N 0 3 227.735 2.591 20 0 BFADHN CCCCC[C@H]1CCCCN1C(=O)[C@H](N)CC ZINC000662932062 412348049 /nfs/dbraw/zinc/34/80/49/412348049.db2.gz HHLPXGZITPNMBS-QWHCGFSZSA-N 0 3 240.391 2.685 20 0 BFADHN CCc1cc(N(C)C[C@H](C)O)c2ccccc2n1 ZINC000292405519 171759802 /nfs/dbraw/zinc/75/98/02/171759802.db2.gz SDDLHGHMZIEUKT-NSHDSACASA-N 0 3 244.338 2.614 20 0 BFADHN CCc1cc(OC)ccc1CN[C@@H]1CCCOC1 ZINC000337268167 171773212 /nfs/dbraw/zinc/77/32/12/171773212.db2.gz XGVUAIDXABXKBG-CQSZACIVSA-N 0 3 249.354 2.526 20 0 BFADHN CC(C)(C)c1noc(-c2cccc3c2CNC3)n1 ZINC000663249589 412400983 /nfs/dbraw/zinc/40/09/83/412400983.db2.gz IDXNGBFXYWVZTG-UHFFFAOYSA-N 0 3 243.310 2.637 20 0 BFADHN CCc1ccc(CNCC(C)(C)COC)o1 ZINC000291689408 171805294 /nfs/dbraw/zinc/80/52/94/171805294.db2.gz IXFQDKXLLAUSTL-UHFFFAOYSA-N 0 3 225.332 2.604 20 0 BFADHN Cc1cccc([C@H](C)NCCOCCF)c1 ZINC000663309590 412414357 /nfs/dbraw/zinc/41/43/57/412414357.db2.gz BDMNFXUEIDGIEY-LBPRGKRZSA-N 0 3 225.307 2.632 20 0 BFADHN CCc1ccc([C@@H](C)NCC[C@H](O)CC)o1 ZINC000123419567 171829913 /nfs/dbraw/zinc/82/99/13/171829913.db2.gz UTEZROPOYCVXPK-GHMZBOCLSA-N 0 3 225.332 2.654 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H]2CCSC2)o1 ZINC000271531752 171831034 /nfs/dbraw/zinc/83/10/34/171831034.db2.gz RZUOKZWMXXRQSL-NXEZZACHSA-N 0 3 225.357 2.998 20 0 BFADHN CCc1ccc([C@H](C)NCCF)s1 ZINC000280950944 171838930 /nfs/dbraw/zinc/83/89/30/171838930.db2.gz GYBBBUBVHNWLOB-QMMMGPOBSA-N 0 3 201.310 2.931 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000556887285 322930172 /nfs/dbraw/zinc/93/01/72/322930172.db2.gz SRSSARHLQWRNKF-XJFOESAGSA-N 0 3 248.370 2.997 20 0 BFADHN CCc1ncc(CN2CCC[C@@H](C)C2)s1 ZINC000270983253 172017366 /nfs/dbraw/zinc/01/73/66/172017366.db2.gz FBRRSKNBCOGYEF-SNVBAGLBSA-N 0 3 224.373 2.937 20 0 BFADHN CCc1ncc(CN2C[C@@H](C)C[C@@H]2C)s1 ZINC000353748335 172017652 /nfs/dbraw/zinc/01/76/52/172017652.db2.gz HYKQZASVIPEAHT-UWVGGRQHSA-N 0 3 224.373 2.936 20 0 BFADHN CCc1ncc(CNCC2(C(F)F)CC2)s1 ZINC000336777505 172018742 /nfs/dbraw/zinc/01/87/42/172018742.db2.gz IRGMYGJSBHNHBX-UHFFFAOYSA-N 0 3 246.326 2.840 20 0 BFADHN CCc1ncc(CN[C@@H](C)CSC)s1 ZINC000129829360 172018884 /nfs/dbraw/zinc/01/88/84/172018884.db2.gz NWSJVVKFQCXTRU-QMMMGPOBSA-N 0 3 230.402 2.547 20 0 BFADHN CCc1ncc(CN[C@H]2CSC[C@@H]2C)s1 ZINC000309037612 172020229 /nfs/dbraw/zinc/02/02/29/172020229.db2.gz JCVQMKFBDFQEDT-WPRPVWTQSA-N 0 3 242.413 2.547 20 0 BFADHN CCc1ncc(CN[C@H]2CC2(C)C)s1 ZINC000045117869 172020704 /nfs/dbraw/zinc/02/07/04/172020704.db2.gz ARHYOHXTSLXZRT-VIFPVBQESA-N 0 3 210.346 2.594 20 0 BFADHN CCc1nnc(CN[C@@H]2CCC[C@H](C)C2)s1 ZINC000309970575 172053174 /nfs/dbraw/zinc/05/31/74/172053174.db2.gz PHEFDLDNSWAOFU-VHSXEESVSA-N 0 3 239.388 2.769 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1C[C@@H](C)[C@H]1C ZINC000340440104 172078767 /nfs/dbraw/zinc/07/87/67/172078767.db2.gz ODSCREYBWIOVRW-JRKPZEMJSA-N 0 3 222.332 2.680 20 0 BFADHN CCc1noc(C)c1CNC[C@H]1CC=CCC1 ZINC000292999379 172078986 /nfs/dbraw/zinc/07/89/86/172078986.db2.gz ORZGSDAHYYLIBA-LBPRGKRZSA-N 0 3 234.343 2.991 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CCC[C@@H]1C ZINC000309667649 172080035 /nfs/dbraw/zinc/08/00/35/172080035.db2.gz UWLSXZSWBIUPCA-TVQRCGJNSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CCC1(C)C ZINC000340439634 172081109 /nfs/dbraw/zinc/08/11/09/172081109.db2.gz RAXGQAKRMJJTIQ-LBPRGKRZSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1nocc1CN(C)[C@@H]1CC[C@H](C)C1 ZINC000339604943 172095912 /nfs/dbraw/zinc/09/59/12/172095912.db2.gz JQOWZSPXMHZCKW-CMPLNLGQSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1nocc1CN1CC[C@H](C)[C@H]1CC ZINC000352943345 172097396 /nfs/dbraw/zinc/09/73/96/172097396.db2.gz CCBZPZCGXSAZLC-GXFFZTMASA-N 0 3 222.332 2.857 20 0 BFADHN CCc1nocc1CN1CC[C@@H](C)[C@@H]1CC ZINC000352943346 172098648 /nfs/dbraw/zinc/09/86/48/172098648.db2.gz CCBZPZCGXSAZLC-MFKMUULPSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1nocc1CNC[C@H]1CCC(F)(F)C1 ZINC000339387012 172099267 /nfs/dbraw/zinc/09/92/67/172099267.db2.gz ZWODYJMUGOSATO-VIFPVBQESA-N 0 3 244.285 2.762 20 0 BFADHN CCc1nocc1CNCCc1ccc(C)cc1 ZINC000339263957 172099299 /nfs/dbraw/zinc/09/92/99/172099299.db2.gz KTVVKRCAJIFOSC-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN CCc1oc2ccccc2c1CN(C)C[C@@H](C)O ZINC000130640828 172112088 /nfs/dbraw/zinc/11/20/88/172112088.db2.gz VSNBJJIXEHATRU-LLVKDONJSA-N 0 3 247.338 2.808 20 0 BFADHN CCn1cc(CN2CCC[C@@H]2C(C)(C)C)cn1 ZINC000353439929 172166018 /nfs/dbraw/zinc/16/60/18/172166018.db2.gz XOPUHILDLSYHNK-CYBMUJFWSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1cc(CNCc2sccc2C)c(C)n1 ZINC000020351228 172172515 /nfs/dbraw/zinc/17/25/15/172172515.db2.gz MCMJAILBQBJQRX-UHFFFAOYSA-N 0 3 249.383 2.871 20 0 BFADHN CCn1cc([C@H](C)NCCC(F)(F)F)cn1 ZINC000063099773 172195685 /nfs/dbraw/zinc/19/56/85/172195685.db2.gz GZXOTKMDDHEEIS-QMMMGPOBSA-N 0 3 235.253 2.506 20 0 BFADHN CCn1ccnc1CN[C@H]1CCCC[C@H]1C ZINC000051920897 172225000 /nfs/dbraw/zinc/22/50/00/172225000.db2.gz SLKCYVQSHSHWNU-NEPJUHHUSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CC=CCC1 ZINC000296823019 172229724 /nfs/dbraw/zinc/22/97/24/172229724.db2.gz MHRNTAYTERERMA-NWDGAFQWSA-N 0 3 219.332 2.662 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)C(C)C ZINC000295235832 172230117 /nfs/dbraw/zinc/23/01/17/172230117.db2.gz OKYZATPOSAOMFA-MNOVXSKESA-N 0 3 209.337 2.598 20 0 BFADHN CCn1cncc1CNCc1cc(C)cs1 ZINC000344612609 172233960 /nfs/dbraw/zinc/23/39/60/172233960.db2.gz NXUBIQUZNYUXSH-UHFFFAOYSA-N 0 3 235.356 2.563 20 0 BFADHN CCn1cnnc1CN[C@H](C)CCC(C)(C)C ZINC000336713754 172236386 /nfs/dbraw/zinc/23/63/86/172236386.db2.gz RKBMTZMKBGRFAE-LLVKDONJSA-N 0 3 238.379 2.602 20 0 BFADHN CCn1nccc1CN(C)C(C1CC1)C1CC1 ZINC000123646060 172263946 /nfs/dbraw/zinc/26/39/46/172263946.db2.gz LZDWJZRGSSXCSU-UHFFFAOYSA-N 0 3 233.359 2.523 20 0 BFADHN CCn1nccc1CN(C)[C@@H]1CC[C@H](C)C1 ZINC000339130837 172264858 /nfs/dbraw/zinc/26/48/58/172264858.db2.gz PKSYPTHCJPPJLU-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1nccc1CN1CCCC(C)(C)C1 ZINC000121135774 172267477 /nfs/dbraw/zinc/26/74/77/172267477.db2.gz OXZBJHIDSSSHPX-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1nccc1CN(CC(C)C)C1CC1 ZINC000122161455 172267679 /nfs/dbraw/zinc/26/76/79/172267679.db2.gz QMPHBGBGDPMIHI-UHFFFAOYSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1nccc1CN1C[C@H](C)C[C@H](C)[C@H]1C ZINC000355072150 172269295 /nfs/dbraw/zinc/26/92/95/172269295.db2.gz CVPOSCUTGLHBBY-FRRDWIJNSA-N 0 3 235.375 2.769 20 0 BFADHN CCn1nccc1CNCCCCCSC ZINC000185166338 172270945 /nfs/dbraw/zinc/27/09/45/172270945.db2.gz BTXIKYRTVLZCEZ-UHFFFAOYSA-N 0 3 241.404 2.526 20 0 BFADHN CN(Cc1cc[nH]n1)C1CCCCCC1 ZINC000179884816 172844537 /nfs/dbraw/zinc/84/45/37/172844537.db2.gz FKEWYOMULBMXNW-UHFFFAOYSA-N 0 3 207.321 2.564 20 0 BFADHN CN1CCC[C@@H](NCc2ccccc2Cl)C1 ZINC000040671653 173020155 /nfs/dbraw/zinc/02/01/55/173020155.db2.gz RJBQIOZYZFDZGB-GFCCVEGCSA-N 0 3 238.762 2.524 20 0 BFADHN CNCc1nc(-c2ccc(OC)cc2)cs1 ZINC000003710562 173394388 /nfs/dbraw/zinc/39/43/88/173394388.db2.gz MRQVGKROTVKHLJ-UHFFFAOYSA-N 0 3 234.324 2.538 20 0 BFADHN COC(=O)c1coc([C@H](C)N[C@@H](C)C2CC2)c1 ZINC000278159313 173913718 /nfs/dbraw/zinc/91/37/18/173913718.db2.gz BFGLMXQOMDCRLV-IUCAKERBSA-N 0 3 237.299 2.515 20 0 BFADHN COC1(CN[C@@H](C)c2ccco2)CCCC1 ZINC000297372587 174003901 /nfs/dbraw/zinc/00/39/01/174003901.db2.gz QOOUCBUUWXJSSB-NSHDSACASA-N 0 3 223.316 2.889 20 0 BFADHN COC1(CN[C@H](C)c2cc(C)ccn2)CCC1 ZINC000353680745 174004645 /nfs/dbraw/zinc/00/46/45/174004645.db2.gz KWESDTRIWWGMPU-GFCCVEGCSA-N 0 3 234.343 2.610 20 0 BFADHN COC1(C[C@@H](C)NCc2ncccc2C)CCC1 ZINC000277040638 174008238 /nfs/dbraw/zinc/00/82/38/174008238.db2.gz GAFYRIXPPCLSPA-CYBMUJFWSA-N 0 3 248.370 2.827 20 0 BFADHN COC1CCN(Cc2csc(C)c2)CC1 ZINC000294582609 174030854 /nfs/dbraw/zinc/03/08/54/174030854.db2.gz QINSEBKXSKKBGJ-UHFFFAOYSA-N 0 3 225.357 2.667 20 0 BFADHN COCC(C)(C)CNCc1cccc(F)c1F ZINC000291785585 174072871 /nfs/dbraw/zinc/07/28/71/174072871.db2.gz VJYMLIWLUXMPEX-UHFFFAOYSA-N 0 3 243.297 2.727 20 0 BFADHN COCC(C)(C)CN[C@H](C)c1ccncc1F ZINC000340387387 174076441 /nfs/dbraw/zinc/07/64/41/174076441.db2.gz DECOIBGISVUYAG-SNVBAGLBSA-N 0 3 240.322 2.544 20 0 BFADHN COCC(C)(C)CN[C@H](C)c1cncc(F)c1 ZINC000291710771 174076631 /nfs/dbraw/zinc/07/66/31/174076631.db2.gz LJQSXSUCFADWHX-SNVBAGLBSA-N 0 3 240.322 2.544 20 0 BFADHN COCC(C)(C)N(C)Cc1cccc(F)c1 ZINC000292359339 174080226 /nfs/dbraw/zinc/08/02/26/174080226.db2.gz QHTNKJRANKLFPO-UHFFFAOYSA-N 0 3 225.307 2.683 20 0 BFADHN COCC1(CNCc2ccccc2OC)CCC1 ZINC000282175543 174103289 /nfs/dbraw/zinc/10/32/89/174103289.db2.gz YYLOLAQOQRYBGU-UHFFFAOYSA-N 0 3 249.354 2.602 20 0 BFADHN COCC1(CN[C@H](C)c2cncs2)CCC1 ZINC000282494548 174104928 /nfs/dbraw/zinc/10/49/28/174104928.db2.gz HWHCZUASYSFNMY-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN COCC1(NCc2ccsc2C)CCC1 ZINC000339395335 174109076 /nfs/dbraw/zinc/10/90/76/174109076.db2.gz KJFDCKMXQFJXFS-UHFFFAOYSA-N 0 3 225.357 2.715 20 0 BFADHN COCC1(NCc2cccc(C)c2C)CCC1 ZINC000283904044 174109209 /nfs/dbraw/zinc/10/92/09/174109209.db2.gz HZEONAKVFZDHQG-UHFFFAOYSA-N 0 3 233.355 2.962 20 0 BFADHN COCC1(NCc2ccc(C)c(F)c2)CCC1 ZINC000284182660 174110146 /nfs/dbraw/zinc/11/01/46/174110146.db2.gz XSCKQYHAQIPJNN-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN COCC1(NCc2csc(C)c2)CCC1 ZINC000293610793 174110654 /nfs/dbraw/zinc/11/06/54/174110654.db2.gz HPNAAZOQPWLJBH-UHFFFAOYSA-N 0 3 225.357 2.715 20 0 BFADHN COCC1=CCN(CCc2ccc(C)cc2)CC1 ZINC000185886143 174114404 /nfs/dbraw/zinc/11/44/04/174114404.db2.gz CQZGWSYNCJYAQO-UHFFFAOYSA-N 0 3 245.366 2.816 20 0 BFADHN COCC1CCN([C@@H](C)c2cccnc2)CC1 ZINC000264889930 174120489 /nfs/dbraw/zinc/12/04/89/174120489.db2.gz WXGKPULVSCRNIZ-LBPRGKRZSA-N 0 3 234.343 2.501 20 0 BFADHN COCCC(C)(C)CN[C@H](C)c1cc(C)on1 ZINC000340502986 174143568 /nfs/dbraw/zinc/14/35/68/174143568.db2.gz MKCIITYTILCGAP-LLVKDONJSA-N 0 3 240.347 2.696 20 0 BFADHN COCCC1(C)CN(Cc2ccc(C)cc2)C1 ZINC000297424885 174152941 /nfs/dbraw/zinc/15/29/41/174152941.db2.gz NUPCMLJQFLBYKD-UHFFFAOYSA-N 0 3 233.355 2.853 20 0 BFADHN COCCC1(CN[C@H](C)c2cc(C)ccn2)CC1 ZINC000285926422 174156547 /nfs/dbraw/zinc/15/65/47/174156547.db2.gz CSALWFAPKDKKEI-CYBMUJFWSA-N 0 3 248.370 2.857 20 0 BFADHN COCCC1(CN[C@H](C)c2cscn2)CC1 ZINC000131018416 174156602 /nfs/dbraw/zinc/15/66/02/174156602.db2.gz QJTIVTWRYCWABL-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN COCCC1(NCc2ccc(C)o2)CCC1 ZINC000336760431 174159645 /nfs/dbraw/zinc/15/96/45/174159645.db2.gz JSMLNIBHUIJSLQ-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN COCCCCCN(C)Cc1occc1C ZINC000292100819 174176232 /nfs/dbraw/zinc/17/62/32/174176232.db2.gz GEOFPMPJMVZJLC-UHFFFAOYSA-N 0 3 225.332 2.837 20 0 BFADHN COCCCCCN(Cc1cccnc1)C1CC1 ZINC000124744678 174178140 /nfs/dbraw/zinc/17/81/40/174178140.db2.gz ZYKYVYGJZOTLCT-UHFFFAOYSA-N 0 3 248.370 2.863 20 0 BFADHN COCCCCCN[C@H](C)c1ccccn1 ZINC000127530307 174184881 /nfs/dbraw/zinc/18/48/81/174184881.db2.gz YSFYOOYHZASNGN-GFCCVEGCSA-N 0 3 222.332 2.549 20 0 BFADHN COCCCCN(C)Cc1ccccc1OC ZINC000172173420 174188172 /nfs/dbraw/zinc/18/81/72/174188172.db2.gz AOEASPMFHKNCCB-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN COCCCCN1CCC=C(c2ccco2)C1 ZINC000285188546 174190288 /nfs/dbraw/zinc/19/02/88/174190288.db2.gz CGACYTYMRRFHMB-UHFFFAOYSA-N 0 3 235.327 2.795 20 0 BFADHN COCCCCN1CCc2cccc(F)c2C1 ZINC000158053616 174193540 /nfs/dbraw/zinc/19/35/40/174193540.db2.gz ZAGWZGZGFZYMCT-UHFFFAOYSA-N 0 3 237.318 2.610 20 0 BFADHN COCCCCN1Cc2ccccc2OC[C@@H]1C ZINC000272980824 174195781 /nfs/dbraw/zinc/19/57/81/174195781.db2.gz QJZBCJVRTMYMMD-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN COCCCCN1Cc2ccccc2O[C@@H](C)C1 ZINC000292347733 174195808 /nfs/dbraw/zinc/19/58/08/174195808.db2.gz HGLWFZRZQZVCAO-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN COCCCN(C)Cc1cc(F)ccc1C ZINC000179997636 174218581 /nfs/dbraw/zinc/21/85/81/174218581.db2.gz WRKLIZZPMPZVNZ-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN COCCCN(C)Cc1cc(Cl)cs1 ZINC000194358163 174218992 /nfs/dbraw/zinc/21/89/92/174218992.db2.gz XYFOUKDXKZXFDC-UHFFFAOYSA-N 0 3 233.764 2.870 20 0 BFADHN COCCCN1CCc2cc(F)cc(C)c2C1 ZINC000337472369 174233075 /nfs/dbraw/zinc/23/30/75/174233075.db2.gz WJCOVYBULHNESX-UHFFFAOYSA-N 0 3 237.318 2.529 20 0 BFADHN COCCC[C@@H](C)NCc1ccc(Cl)cn1 ZINC000182117293 174261472 /nfs/dbraw/zinc/26/14/72/174261472.db2.gz AYMDMISRIAWJES-SNVBAGLBSA-N 0 3 242.750 2.640 20 0 BFADHN CCc1cccc(CN[C@@H]2CO[C@H](C3CC3)C2)c1 ZINC000668290617 487628335 /nfs/dbraw/zinc/62/83/35/487628335.db2.gz UODZTZFSQQTAQT-HOTGVXAUSA-N 0 3 245.366 2.906 20 0 BFADHN COCCN(C)C/C=C/c1ccc(F)c(F)c1 ZINC000289106344 174276231 /nfs/dbraw/zinc/27/62/31/174276231.db2.gz MLMLNMGSZDVBEJ-ONEGZZNKSA-N 0 3 241.281 2.556 20 0 BFADHN COCCN(C)Cc1ccc(OC(C)C)cc1 ZINC000263834485 174280098 /nfs/dbraw/zinc/28/00/98/174280098.db2.gz WHVPEPSPLLUDPA-UHFFFAOYSA-N 0 3 237.343 2.552 20 0 BFADHN COCCN(C)[C@@H]1CCCc2cc(OC)ccc21 ZINC000338735339 174282221 /nfs/dbraw/zinc/28/22/21/174282221.db2.gz VREVAZFCTUFWFU-OAHLLOKOSA-N 0 3 249.354 2.651 20 0 BFADHN COCCN(Cc1cccc(F)c1)C(C)C ZINC000299140992 174299645 /nfs/dbraw/zinc/29/96/45/174299645.db2.gz SRDIOGQACKANES-UHFFFAOYSA-N 0 3 225.307 2.683 20 0 BFADHN COCCN(Cc1cc(F)ccc1C)C1CC1 ZINC000352693839 174300128 /nfs/dbraw/zinc/30/01/28/174300128.db2.gz UHGODWWIUCADAB-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN COCCN1CCC(c2cccc(F)c2)CC1 ZINC000377989927 174304793 /nfs/dbraw/zinc/30/47/93/174304793.db2.gz HBNKCMKZQXXEST-UHFFFAOYSA-N 0 3 237.318 2.652 20 0 BFADHN COCCN1CCC[C@@H]1/C=C/c1ccccc1 ZINC000378601791 174306973 /nfs/dbraw/zinc/30/69/73/174306973.db2.gz UYIMHJDWESYGIH-BOLDSZDNSA-N 0 3 231.339 2.811 20 0 BFADHN COCCN1C[C@H](C)C[C@H]1c1cccc(F)c1 ZINC000075842890 174318804 /nfs/dbraw/zinc/31/88/04/174318804.db2.gz AANMVQNQUZGEFY-RISCZKNCSA-N 0 3 237.318 2.855 20 0 BFADHN COCCN[C@@H](c1cccnc1)C1CCCCC1 ZINC000372605527 174338206 /nfs/dbraw/zinc/33/82/06/174338206.db2.gz IGEAIQCGURJSJE-OAHLLOKOSA-N 0 3 248.370 2.939 20 0 BFADHN COCCN[C@@H](C)c1ccc(OC(F)F)cc1 ZINC000020085578 174338599 /nfs/dbraw/zinc/33/85/99/174338599.db2.gz LZIYJQZCPXQXQK-VIFPVBQESA-N 0 3 245.269 2.585 20 0 BFADHN COCCN[C@H](C)c1cc(C)sc1C ZINC000020085617 174339021 /nfs/dbraw/zinc/33/90/21/174339021.db2.gz AUXPMLPUHOBNGL-SECBINFHSA-N 0 3 213.346 2.662 20 0 BFADHN COCCN[C@H](C)c1cc2cccc(F)c2o1 ZINC000355723222 174340031 /nfs/dbraw/zinc/34/00/31/174340031.db2.gz FROFDPYVXBQOQK-SECBINFHSA-N 0 3 237.274 2.869 20 0 BFADHN COCCN[C@H]1CCc2ccc(Cl)cc21 ZINC000127150718 174340072 /nfs/dbraw/zinc/34/00/72/174340072.db2.gz RHHFKEFVYNDTOE-LBPRGKRZSA-N 0 3 225.719 2.563 20 0 BFADHN COCCN[C@H]1CCSc2c(F)cccc21 ZINC000037186387 174340183 /nfs/dbraw/zinc/34/01/83/174340183.db2.gz QRROACJUJHRUSI-NSHDSACASA-N 0 3 241.331 2.599 20 0 BFADHN COCC[C@@H](C)NCc1nc(C(C)C)cs1 ZINC000123455691 174383948 /nfs/dbraw/zinc/38/39/48/174383948.db2.gz KYHKWUYZYPBYTI-SNVBAGLBSA-N 0 3 242.388 2.781 20 0 BFADHN COCC[C@@H](C)NCc1cc(C)cc(Cl)n1 ZINC000283610545 174384348 /nfs/dbraw/zinc/38/43/48/174384348.db2.gz UJVXIMLZRMXGQF-SNVBAGLBSA-N 0 3 242.750 2.558 20 0 BFADHN COCC[C@@H](C)N[C@H](C)c1ccc(C)o1 ZINC000045020856 174385264 /nfs/dbraw/zinc/38/52/64/174385264.db2.gz NFXDHBPQSLXLFV-MWLCHTKSSA-N 0 3 211.305 2.664 20 0 BFADHN COCC[C@@H](NCc1ccco1)C(C)(C)C ZINC000309441037 174393456 /nfs/dbraw/zinc/39/34/56/174393456.db2.gz INVHKZNPJGXQLT-GFCCVEGCSA-N 0 3 225.332 2.820 20 0 BFADHN COCC[C@@H](N[C@@H](C)C1CC1)c1ccco1 ZINC000272623130 174395310 /nfs/dbraw/zinc/39/53/10/174395310.db2.gz YNGIDCXOSDCGDN-CMPLNLGQSA-N 0 3 223.316 2.745 20 0 BFADHN COCC[C@@H]1CCC[C@H]1N[C@@H](C)c1ccon1 ZINC000337464973 174397338 /nfs/dbraw/zinc/39/73/38/174397338.db2.gz LRUGRFJSUFALJV-GMXVVIOVSA-N 0 3 238.331 2.530 20 0 BFADHN COC[C@H](NC[C@H]1CC[C@H](C)O1)c1ccccc1 ZINC000191050032 174581790 /nfs/dbraw/zinc/58/17/90/174581790.db2.gz CNRGFBCQIKGJHW-CFVMTHIKSA-N 0 3 249.354 2.531 20 0 BFADHN COCc1ccccc1/C=C(\C)CNCCF ZINC000353284925 174633466 /nfs/dbraw/zinc/63/34/66/174633466.db2.gz FXJNIBOVWVNGSI-FMIVXFBMSA-N 0 3 237.318 2.795 20 0 BFADHN CO[C@H](C)CN(Cc1ccc(C)nc1)C(C)C ZINC000360984469 174825940 /nfs/dbraw/zinc/82/59/40/174825940.db2.gz DLMCKZVKPSXVQF-CYBMUJFWSA-N 0 3 236.359 2.635 20 0 BFADHN CO[C@H](CN(C)C1CCC1)c1ccccc1 ZINC000284434021 174856857 /nfs/dbraw/zinc/85/68/57/174856857.db2.gz PNAQCJDXRAOQPH-CQSZACIVSA-N 0 3 219.328 2.858 20 0 BFADHN COc1cc(CN[C@@H]2CC2(C)C)cc(OC)c1 ZINC000044372298 174985835 /nfs/dbraw/zinc/98/58/35/174985835.db2.gz NSLWBRVSTCHRBO-CYBMUJFWSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cc([C@@H](C)N[C@@H](C)C2CC2)c(F)cn1 ZINC000286881151 175013822 /nfs/dbraw/zinc/01/38/22/175013822.db2.gz IGJILTRYKMFPER-DTWKUNHWSA-N 0 3 238.306 2.678 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2CC2(C)C)c(F)cn1 ZINC000287720487 175015629 /nfs/dbraw/zinc/01/56/29/175015629.db2.gz OPPSNNRHVHYXRD-GZMMTYOYSA-N 0 3 238.306 2.678 20 0 BFADHN COc1cc([C@H](C)NC[C@H]2C[C@@H]2C)c(F)cn1 ZINC000287572349 175016101 /nfs/dbraw/zinc/01/61/01/175016101.db2.gz GWGYLQTZLXGONC-LPEHRKFASA-N 0 3 238.306 2.536 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1CC1(C)C ZINC000044370967 175076210 /nfs/dbraw/zinc/07/62/10/175076210.db2.gz FIIOWFVNTXGDMO-ZDUSSCGKSA-N 0 3 219.328 2.892 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1CCC[C@@H]1OC ZINC000049948808 175076337 /nfs/dbraw/zinc/07/63/37/175076337.db2.gz OPWAKUWVGBQCEB-HIFRSBDPSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)NCC1(O)CCC1 ZINC000124439566 175079433 /nfs/dbraw/zinc/07/94/33/175079433.db2.gz LWEULEABATYTGK-GFCCVEGCSA-N 0 3 249.354 2.569 20 0 BFADHN COc1ccc(C)cc1[C@H](C)NCc1cnc[nH]1 ZINC000054764373 175081631 /nfs/dbraw/zinc/08/16/31/175081631.db2.gz MIRFTLIQCXXQSN-NSHDSACASA-N 0 3 245.326 2.578 20 0 BFADHN COc1ccc(C2(NCCOC(C)C)CC2)cc1 ZINC000341707230 175084308 /nfs/dbraw/zinc/08/43/08/175084308.db2.gz RGGWJICFANGZKE-UHFFFAOYSA-N 0 3 249.354 2.699 20 0 BFADHN COc1ccc(CC[C@H](C)N[C@H](C)CF)cc1 ZINC000289224085 175093482 /nfs/dbraw/zinc/09/34/82/175093482.db2.gz AIBWJSJPPLECRM-NWDGAFQWSA-N 0 3 239.334 2.964 20 0 BFADHN COc1ccc(CN(C)CCSC)cc1F ZINC000096954295 175095081 /nfs/dbraw/zinc/09/50/81/175095081.db2.gz BMGAZGMHZWGGPI-UHFFFAOYSA-N 0 3 243.347 2.629 20 0 BFADHN COc1ccc(CN(C)C[C@H]2CCCO2)cc1C ZINC000171392740 175095573 /nfs/dbraw/zinc/09/55/73/175095573.db2.gz QJOWQVNKIWGBIN-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN COc1ccc(CN2CCC(C(C)C)CC2)nc1 ZINC000338311717 175098474 /nfs/dbraw/zinc/09/84/74/175098474.db2.gz CGCVBGXETKPWNQ-UHFFFAOYSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccc(CN2CCCC[C@@H](C)C2)cn1 ZINC000360600405 175098988 /nfs/dbraw/zinc/09/89/88/175098988.db2.gz UIATXRJFETUJDR-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccc(CN2CCC[C@H]3CCC[C@@H]32)cn1 ZINC000085823241 175100325 /nfs/dbraw/zinc/10/03/25/175100325.db2.gz BPJWSRLXKOOVEB-KGLIPLIRSA-N 0 3 246.354 2.855 20 0 BFADHN COc1ccc(CN2[C@@H](C)CCC[C@@H]2C)nc1 ZINC000271711921 175103302 /nfs/dbraw/zinc/10/33/02/175103302.db2.gz BBNZUVGVJJXLGF-RYUDHWBXSA-N 0 3 234.343 2.853 20 0 BFADHN COc1ccc(CN[C@H]2CCCCC2(C)C)nn1 ZINC000282493153 175112228 /nfs/dbraw/zinc/11/22/28/175112228.db2.gz MTBMRCPWRFCGOT-LBPRGKRZSA-N 0 3 249.358 2.544 20 0 BFADHN COc1ccc(Cl)cc1CNCC1CC1 ZINC000037865328 175120803 /nfs/dbraw/zinc/12/08/03/175120803.db2.gz JJEJQIKTHUEJPZ-UHFFFAOYSA-N 0 3 225.719 2.848 20 0 BFADHN COc1ccc(F)c(CNC2CCCC2)c1 ZINC000294257838 175124184 /nfs/dbraw/zinc/12/41/84/175124184.db2.gz UVUCAUIPYUTRNI-UHFFFAOYSA-N 0 3 223.291 2.867 20 0 BFADHN COc1ccc(F)c(CN[C@@H](C)C(C)C)c1 ZINC000293995092 175125703 /nfs/dbraw/zinc/12/57/03/175125703.db2.gz FRQIZGCHVKCHGB-JTQLQIEISA-N 0 3 225.307 2.968 20 0 BFADHN COc1ccc(F)cc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC000357745868 175128714 /nfs/dbraw/zinc/12/87/14/175128714.db2.gz JYXDZDVWYPIJHN-BETUJISGSA-N 0 3 249.329 2.922 20 0 BFADHN COc1ccc(F)cc1CN1CC[C@H](C)C1 ZINC000299692788 175128767 /nfs/dbraw/zinc/12/87/67/175128767.db2.gz ZPORYWPXQWHYSY-JTQLQIEISA-N 0 3 223.291 2.676 20 0 BFADHN COc1ccc(F)cc1CN[C@@H](C)C(C)C ZINC000237174903 175129612 /nfs/dbraw/zinc/12/96/12/175129612.db2.gz ACCBUGLCNLVFMH-JTQLQIEISA-N 0 3 225.307 2.968 20 0 BFADHN COc1ccc(F)cc1CN[C@H]1CC[C@@H](F)C1 ZINC000361117847 175129834 /nfs/dbraw/zinc/12/98/34/175129834.db2.gz PCPHUIJCRXRIQX-NEPJUHHUSA-N 0 3 241.281 2.815 20 0 BFADHN COc1ccc(F)cc1CN[C@@H](C)C1CC1 ZINC000237174945 175130071 /nfs/dbraw/zinc/13/00/71/175130071.db2.gz OTAHNMGEGJRTSG-VIFPVBQESA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(OC)c(CN2C[C@@H](C)[C@H](C)C2)c1 ZINC000353883209 175165590 /nfs/dbraw/zinc/16/55/90/175165590.db2.gz SHZJJUCCKFNHKB-VXGBXAGGSA-N 0 3 249.354 2.792 20 0 BFADHN COc1ccc(OC)c(CN(C)CC2CC2)c1 ZINC000121067272 175165676 /nfs/dbraw/zinc/16/56/76/175165676.db2.gz LINLVSAODMUSAA-UHFFFAOYSA-N 0 3 235.327 2.546 20 0 BFADHN COc1ccc(OC)c(CN[C@H]2CC[C@H]2C)c1 ZINC000336702033 175166225 /nfs/dbraw/zinc/16/62/25/175166225.db2.gz KXGOGUXSCKICLV-MFKMUULPSA-N 0 3 235.327 2.592 20 0 BFADHN COc1ccc(OC)c(CN[C@H]2CC[C@@H]2C)c1 ZINC000336702029 175166409 /nfs/dbraw/zinc/16/64/09/175166409.db2.gz KXGOGUXSCKICLV-GWCFXTLKSA-N 0 3 235.327 2.592 20 0 BFADHN COc1ccc([C@@H](C)NC[C@H]2CCCCO2)cc1 ZINC000042812200 175176688 /nfs/dbraw/zinc/17/66/88/175176688.db2.gz PTOVNFURPBANBF-IUODEOHRSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccc([C@@H](C)NCCOC(C)C)cc1 ZINC000090340223 175177001 /nfs/dbraw/zinc/17/70/01/175177001.db2.gz AXTYDEGLBAWBQQ-GFCCVEGCSA-N 0 3 237.343 2.771 20 0 BFADHN COc1ccc([C@H](C)NC2CCC2)c(OC)c1 ZINC000044480770 175188151 /nfs/dbraw/zinc/18/81/51/175188151.db2.gz LGUPBUWTNFDNJS-JTQLQIEISA-N 0 3 235.327 2.907 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2C[C@@H](O)C2(C)C)cc1 ZINC000164053498 175190237 /nfs/dbraw/zinc/19/02/37/175190237.db2.gz NQXXJJOTQXHGLP-LEWSCRJBSA-N 0 3 249.354 2.505 20 0 BFADHN COc1ccc([C@H]2C[C@@H]2[C@H](C)NCCF)cc1 ZINC000284272448 175198307 /nfs/dbraw/zinc/19/83/07/175198307.db2.gz JLJUBUSBSRTHHV-ZLKJLUDKSA-N 0 3 237.318 2.746 20 0 BFADHN COc1ccc2c(c1)OCCN(C[C@H]1C[C@@H]1C)C2 ZINC000338995637 175212886 /nfs/dbraw/zinc/21/28/86/175212886.db2.gz GVFCFHLVKDYNRR-WCQYABFASA-N 0 3 247.338 2.546 20 0 BFADHN COc1cccc(CCN[C@@H](C)c2ccco2)n1 ZINC000361926160 175252337 /nfs/dbraw/zinc/25/23/37/175252337.db2.gz QFOXINYPLJRZBP-NSHDSACASA-N 0 3 246.310 2.577 20 0 BFADHN COc1cccc(CN(C(C)C)[C@@H]2CCOC2)c1 ZINC000291355980 175253478 /nfs/dbraw/zinc/25/34/78/175253478.db2.gz XTKORHMSPNJSCO-CQSZACIVSA-N 0 3 249.354 2.695 20 0 BFADHN COc1cccc(CN2CCC[C@@H]3CCC[C@@H]32)n1 ZINC000173806127 175258223 /nfs/dbraw/zinc/25/82/23/175258223.db2.gz HTOBRZCFAGXASK-JSGCOSHPSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cccc(CN[C@H](C)c2cccnc2)c1 ZINC000020127452 175267471 /nfs/dbraw/zinc/26/74/71/175267471.db2.gz YRRGSBRLVMHGTR-GFCCVEGCSA-N 0 3 242.322 2.941 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1CC[C@@H]1C ZINC000353140456 175281505 /nfs/dbraw/zinc/28/15/05/175281505.db2.gz PMLCFYJHULUCDK-CMPLNLGQSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc(OC)c1CNCC(C)(C)C ZINC000285445168 175282033 /nfs/dbraw/zinc/28/20/33/175282033.db2.gz LRWSGPHPPNANOJ-UHFFFAOYSA-N 0 3 237.343 2.840 20 0 BFADHN COc1cccc([C@@H](C)N(C)C[C@H](C)OC)c1 ZINC000353208088 175286430 /nfs/dbraw/zinc/28/64/30/175286430.db2.gz WLQBXZUKSVDYBH-NWDGAFQWSA-N 0 3 237.343 2.723 20 0 BFADHN COc1cccc([C@@H](C)NCC2=CCCOC2)c1 ZINC000269267463 175286834 /nfs/dbraw/zinc/28/68/34/175286834.db2.gz DXRDLVYPRCSNOG-GFCCVEGCSA-N 0 3 247.338 2.693 20 0 BFADHN COc1cccc([C@@H](C)N[C@@H](C)[C@@H](C)OC)c1 ZINC000129212618 175288099 /nfs/dbraw/zinc/28/80/99/175288099.db2.gz GLVNRBDUNWWDAF-QJPTWQEYSA-N 0 3 237.343 2.769 20 0 BFADHN COc1cccc([C@H](C)N[C@H](C)[C@@H](C)OC)c1 ZINC000129212814 175293015 /nfs/dbraw/zinc/29/30/15/175293015.db2.gz GLVNRBDUNWWDAF-GRYCIOLGSA-N 0 3 237.343 2.769 20 0 BFADHN COc1cccc([C@H](C)NC[C@H]2CCCCO2)c1 ZINC000042812212 175293280 /nfs/dbraw/zinc/29/32/80/175293280.db2.gz VPRNMZUPLXWDLW-SWLSCSKDSA-N 0 3 249.354 2.915 20 0 BFADHN COc1cccc([C@H](C)NCc2[nH]ncc2C)c1 ZINC000289744639 175293536 /nfs/dbraw/zinc/29/35/36/175293536.db2.gz FBHKAGUCWSYLGU-NSHDSACASA-N 0 3 245.326 2.578 20 0 BFADHN COc1cccc([C@H](C)NCc2ccncc2)c1 ZINC000020139932 175293668 /nfs/dbraw/zinc/29/36/68/175293668.db2.gz OEDAFGGRKMTKOP-LBPRGKRZSA-N 0 3 242.322 2.941 20 0 BFADHN COc1cccc([C@H](C)N[C@H]2C[C@@H](O)C2(C)C)c1 ZINC000164055957 175293738 /nfs/dbraw/zinc/29/37/38/175293738.db2.gz NGBUDVRRPSMDBK-LEWSCRJBSA-N 0 3 249.354 2.505 20 0 BFADHN COc1cccc2c1CCN(CC1CCC1)C2 ZINC000359859262 175300939 /nfs/dbraw/zinc/30/09/39/175300939.db2.gz IXXPGUWTHOHLJG-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN COc1cccc2c1CC[C@@H](N[C@H](C)CF)C2 ZINC000290275284 175302332 /nfs/dbraw/zinc/30/23/32/175302332.db2.gz YLCIDJNWSJDYQC-ZYHUDNBSSA-N 0 3 237.318 2.500 20 0 BFADHN COc1ccccc1CN(C)CCCSC ZINC000173490107 175324078 /nfs/dbraw/zinc/32/40/78/175324078.db2.gz UNQOPMRKVYZZGV-UHFFFAOYSA-N 0 3 239.384 2.880 20 0 BFADHN COc1ccccc1CN1CCC[C@@](C)(OC)C1 ZINC000279672127 175327047 /nfs/dbraw/zinc/32/70/47/175327047.db2.gz NJTGMXBIRWEOPV-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccccc1CNC[C@H](C)SC ZINC000128125566 175329997 /nfs/dbraw/zinc/32/99/97/175329997.db2.gz PODKUCNSLSKRPM-JTQLQIEISA-N 0 3 225.357 2.536 20 0 BFADHN COc1ccccc1CN[C@@H]1CC[C@H](F)C1 ZINC000309923863 175330393 /nfs/dbraw/zinc/33/03/93/175330393.db2.gz UIZAOUQQFBHOOG-NWDGAFQWSA-N 0 3 223.291 2.675 20 0 BFADHN COc1ccccc1CN[C@H]1CC1(C)C ZINC000044371995 175331786 /nfs/dbraw/zinc/33/17/86/175331786.db2.gz RQERCYLHJMXNAI-LBPRGKRZSA-N 0 3 205.301 2.583 20 0 BFADHN COc1ccccc1[C@@H](C)NCc1cc[nH]c1 ZINC000090994256 175345080 /nfs/dbraw/zinc/34/50/80/175345080.db2.gz CPWVJMMDHYVJNH-LLVKDONJSA-N 0 3 230.311 2.874 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1CCSC1 ZINC000020096628 175346133 /nfs/dbraw/zinc/34/61/33/175346133.db2.gz VVLMELSPLHRZJQ-MNOVXSKESA-N 0 3 237.368 2.851 20 0 BFADHN COc1ccccc1[C@H](C)NCCC(C)(C)O ZINC000149239522 175351175 /nfs/dbraw/zinc/35/11/75/175351175.db2.gz NPDUWZRIAVKKNX-NSHDSACASA-N 0 3 237.343 2.507 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@@H]1CCC[C@H]1O ZINC000251273214 175351807 /nfs/dbraw/zinc/35/18/07/175351807.db2.gz OYDWXFUXWQVTBH-SGMGOOAPSA-N 0 3 249.354 2.507 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@@](C)(O)C1CC1 ZINC000336796356 175352162 /nfs/dbraw/zinc/35/21/62/175352162.db2.gz RVZJVBAKFPYXHU-XHDPSFHLSA-N 0 3 249.354 2.507 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H](C)[C@@H]1CCCO1 ZINC000020206461 175352335 /nfs/dbraw/zinc/35/23/35/175352335.db2.gz JDCJOYJUMCUMGC-OBJOEFQTSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H](C)[C@H](C)OC ZINC000161258931 175352479 /nfs/dbraw/zinc/35/24/79/175352479.db2.gz UHJPPLTUHNKPDV-WOPDTQHZSA-N 0 3 237.343 2.769 20 0 BFADHN COc1ccnc(CN2CCC[C@H]2C(C)C)c1 ZINC000264459229 175359123 /nfs/dbraw/zinc/35/91/23/175359123.db2.gz UORUWNDMZMEPOU-AWEZNQCLSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN[C@@H]2CCCC2(C)C)c1 ZINC000276981091 175360344 /nfs/dbraw/zinc/36/03/44/175360344.db2.gz YLODIGCOZHQVGZ-CYBMUJFWSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccnc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)c1 ZINC000355777091 175360530 /nfs/dbraw/zinc/36/05/30/175360530.db2.gz CLLDWLCBUARNFR-JHJVBQTASA-N 0 3 248.370 2.957 20 0 BFADHN COc1ccncc1CN1CC[C@@H](C)[C@@H](C)C1 ZINC000287916581 175369897 /nfs/dbraw/zinc/36/98/97/175369897.db2.gz VVDTXWCUAMBRII-NEPJUHHUSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccncc1CN1C[C@@H](C)CC1(C)C ZINC000287911413 175370027 /nfs/dbraw/zinc/37/00/27/175370027.db2.gz VNEQLPYZOBRHQX-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CN[C@@H]1CCCC1(C)C ZINC000287964138 175371140 /nfs/dbraw/zinc/37/11/40/175371140.db2.gz YWPJNCOBAHMDNQ-CYBMUJFWSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccsc1CN[C@@H]1CSC1(C)C ZINC000282694483 175378617 /nfs/dbraw/zinc/37/86/17/175378617.db2.gz LKNHQECUOSTIQH-SNVBAGLBSA-N 0 3 243.397 2.740 20 0 BFADHN COc1ccsc1[C@H](C)N[C@H](C)CCCO ZINC000186192503 175380357 /nfs/dbraw/zinc/38/03/57/175380357.db2.gz JUKLFDHXVTVGNI-ZJUUUORDSA-N 0 3 243.372 2.568 20 0 BFADHN COc1ccsc1[C@H](C)N[C@H](C)C[C@@H](C)O ZINC000336657511 175380496 /nfs/dbraw/zinc/38/04/96/175380496.db2.gz BBDNBUSBXGNVST-BBBLOLIVSA-N 0 3 243.372 2.567 20 0 BFADHN COc1ncc(CN(C)C2CCCCC2)c(C)n1 ZINC000337126295 175398534 /nfs/dbraw/zinc/39/85/34/175398534.db2.gz FRMKZCLQZHGRDU-UHFFFAOYSA-N 0 3 249.358 2.558 20 0 BFADHN C[C@@H](CN1CCSCC1)c1ccccc1 ZINC000116877509 175720141 /nfs/dbraw/zinc/72/01/41/175720141.db2.gz APNKWFHGEPYRJH-LBPRGKRZSA-N 0 3 221.369 2.839 20 0 BFADHN C[C@@H](Cn1cccn1)N[C@@H](C)c1ccccc1F ZINC000037155472 175781543 /nfs/dbraw/zinc/78/15/43/175781543.db2.gz RLNVGLZDOMUVQI-RYUDHWBXSA-N 0 3 247.317 2.762 20 0 BFADHN C[C@@H](NC[C@@H]1CCCCO1)c1cccc(O)c1 ZINC000042812554 175887789 /nfs/dbraw/zinc/88/77/89/175887789.db2.gz BQUQFSPQODQGPM-RISCZKNCSA-N 0 3 235.327 2.612 20 0 BFADHN C[C@@H](NCc1ccccc1F)[C@]1(C)CCCO1 ZINC000340176070 175900809 /nfs/dbraw/zinc/90/08/09/175900809.db2.gz BAROPSUVFSRBFG-RISCZKNCSA-N 0 3 237.318 2.873 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1)c1cc2ccccc2o1 ZINC000112758948 175916207 /nfs/dbraw/zinc/91/62/07/175916207.db2.gz AFGXZHKHXMURIC-ZYHUDNBSSA-N 0 3 231.295 2.872 20 0 BFADHN C[C@@H](N[C@H](CCO)c1ccco1)C(C)(C)C ZINC000186544571 175922075 /nfs/dbraw/zinc/92/20/75/175922075.db2.gz LHMKWKBKCWTTDY-GHMZBOCLSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@@H](N[C@H]1CCCC[C@@H]1O)c1ccccc1F ZINC000042237391 175924324 /nfs/dbraw/zinc/92/43/24/175924324.db2.gz AJWQJOIZPYOVDM-SWHYSGLUSA-N 0 3 237.318 2.780 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1cc(F)cc(Cl)c1 ZINC000168278290 175945593 /nfs/dbraw/zinc/94/55/93/175945593.db2.gz NNVWGTPCKNSEKS-MRVPVSSYSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@@H](O)[C@@H]1CCN(Cc2ccccc2Cl)C1 ZINC000166083158 175994629 /nfs/dbraw/zinc/99/46/29/175994629.db2.gz DORPJJJJJNZFMI-GHMZBOCLSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@@H](c1ccc(F)c(Cl)c1)N(C)CCCO ZINC000281998032 176014667 /nfs/dbraw/zinc/01/46/67/176014667.db2.gz XGCHPDCZPYVNRT-VIFPVBQESA-N 0 3 245.725 2.854 20 0 BFADHN C[C@@H](c1cccc(C(F)(F)F)c1)N(C)CCO ZINC000267153342 176016597 /nfs/dbraw/zinc/01/65/97/176016597.db2.gz NGCHSTFABMLNBE-VIFPVBQESA-N 0 3 247.260 2.691 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CC[C@@H](C)[C@@H](O)C1 ZINC000357576553 176017590 /nfs/dbraw/zinc/01/75/90/176017590.db2.gz ZMDZZCSQOHDYFJ-SUNKGSAMSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](NCc1ncc[nH]1)[C@@H](C)c1ccccc1 ZINC000080247898 176023325 /nfs/dbraw/zinc/02/33/25/176023325.db2.gz GQLLAVYQPRFIHM-VXGBXAGGSA-N 0 3 229.327 2.692 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)CC(C)(C)O ZINC000062016099 176024572 /nfs/dbraw/zinc/02/45/72/176024572.db2.gz LAMWFUIBMIFESY-JTQLQIEISA-N 0 3 225.307 2.589 20 0 BFADHN C[C@@H](c1cccnc1)N(C)C[C@@H]1CCSC1 ZINC000278538786 176029098 /nfs/dbraw/zinc/02/90/98/176029098.db2.gz CKALFJXTKWWGLX-RYUDHWBXSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CC[C@@H]1CCCO1 ZINC000191048095 176029433 /nfs/dbraw/zinc/02/94/33/176029433.db2.gz JNYHXXGDMKESBF-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H](c1cccnc1)N1CC[C@@H](O)CC(C)(C)C1 ZINC000295297090 176030752 /nfs/dbraw/zinc/03/07/52/176030752.db2.gz HACIKBFYGGKMSM-GXTWGEPZSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@H](O)CCCN([C@@H](C)c1ccco1)C1CC1 ZINC000336710942 176032168 /nfs/dbraw/zinc/03/21/68/176032168.db2.gz NHQLLKMEWCKUGZ-NEPJUHHUSA-N 0 3 237.343 2.966 20 0 BFADHN C[C@@H](c1cnccn1)N(C)CCc1ccccc1 ZINC000184452593 176034935 /nfs/dbraw/zinc/03/49/35/176034935.db2.gz CNRTYYWUGVZDDQ-ZDUSSCGKSA-N 0 3 241.338 2.712 20 0 BFADHN C[C@@H](c1cnccn1)N1CCCCCCC1 ZINC000184397582 176035338 /nfs/dbraw/zinc/03/53/38/176035338.db2.gz GZMLUBWOWOTDDK-LBPRGKRZSA-N 0 3 219.332 2.804 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@H](CC2CC2)C1 ZINC000339680423 176037708 /nfs/dbraw/zinc/03/77/08/176037708.db2.gz UVXBFQGAOZJDSJ-WCQYABFASA-N 0 3 231.343 2.660 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@@H]1[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000333332703 176052217 /nfs/dbraw/zinc/05/22/17/176052217.db2.gz REDZWHJWVNJFTO-KOLCDFICSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@@H]1NCc1nnc(C2CC2)[nH]1 ZINC000333332703 176052219 /nfs/dbraw/zinc/05/22/19/176052219.db2.gz REDZWHJWVNJFTO-KOLCDFICSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@H]1[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000333332706 176052634 /nfs/dbraw/zinc/05/26/34/176052634.db2.gz REDZWHJWVNJFTO-MWLCHTKSSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@H]1NCc1nnc(C2CC2)[nH]1 ZINC000333332706 176052635 /nfs/dbraw/zinc/05/26/35/176052635.db2.gz REDZWHJWVNJFTO-MWLCHTKSSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CCCCN(Cc2ccncc2F)C1 ZINC000336224568 176065585 /nfs/dbraw/zinc/06/55/85/176065585.db2.gz MAZNZUDVSPRUQE-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H]1CCCC[C@]1(C)NCc1cnns1 ZINC000308688765 176090303 /nfs/dbraw/zinc/09/03/03/176090303.db2.gz CIQIMSHRGLSFPF-KOLCDFICSA-N 0 3 225.361 2.597 20 0 BFADHN C[C@@H]1CCCN(C[C@@H](O)c2ccccc2F)C1 ZINC000221091852 176100347 /nfs/dbraw/zinc/10/03/47/176100347.db2.gz FNLFJZKDZAKUGQ-BXUZGUMPSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@@H]1CCCN1C[C@@H](O)c1ccccc1Cl ZINC000247703892 176108166 /nfs/dbraw/zinc/10/81/66/176108166.db2.gz YLAXIDURFANEDF-ZWNOBZJWSA-N 0 3 239.746 2.858 20 0 BFADHN C[C@@H]1CCCN1Cc1ccc(N)cc1Cl ZINC000049963138 176110096 /nfs/dbraw/zinc/11/00/96/176110096.db2.gz GUTHTUJBOWMQGN-SECBINFHSA-N 0 3 224.735 2.907 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1Cc1ccccn1 ZINC000066665453 176115961 /nfs/dbraw/zinc/11/59/61/176115961.db2.gz FUBISUFEXDSKMJ-VXGBXAGGSA-N 0 3 204.317 2.845 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000357011225 176117536 /nfs/dbraw/zinc/11/75/36/176117536.db2.gz UKUWAJJMTVLYQM-SYQHCUMBSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2ncc[nH]2)[C@@H]1C ZINC000336724273 176139911 /nfs/dbraw/zinc/13/99/11/176139911.db2.gz BFRVQBWPCSNMLC-IJLUTSLNSA-N 0 3 221.348 2.572 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1nc(-c2ccco2)no1 ZINC000279199807 176150916 /nfs/dbraw/zinc/15/09/16/176150916.db2.gz FVJRJJNTUJBCPN-NXEZZACHSA-N 0 3 247.298 2.608 20 0 BFADHN C[C@@H]1CCN(CCc2cscn2)[C@H](C)C1 ZINC000295113345 176170110 /nfs/dbraw/zinc/17/01/10/176170110.db2.gz VSEJMTUBTVBXBE-GHMZBOCLSA-N 0 3 224.373 2.806 20 0 BFADHN C[C@@H]1CCN(Cc2ccnc(N)c2)CC12CCC2 ZINC000278596767 176176966 /nfs/dbraw/zinc/17/69/66/176176966.db2.gz GRRAMOXYDFYODW-GFCCVEGCSA-N 0 3 245.370 2.676 20 0 BFADHN C[C@@H]1CCN(Cc2cnn(-c3ccccc3)c2)C1 ZINC000263689147 176177471 /nfs/dbraw/zinc/17/74/71/176177471.db2.gz JNUCSCDRIDCCPO-CYBMUJFWSA-N 0 3 241.338 2.714 20 0 BFADHN C[C@@H]1CCN(Cc2sccc2Cl)[C@@H]1CO ZINC000278715897 176178852 /nfs/dbraw/zinc/17/88/52/176178852.db2.gz QEIROYLDCKVHJI-PSASIEDQSA-N 0 3 245.775 2.604 20 0 BFADHN C[C@@H]1CCOCCN1CCCCC(F)(F)F ZINC000374990523 176188726 /nfs/dbraw/zinc/18/87/26/176188726.db2.gz MZPNSJVHXKSNKL-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCCc3occc3C2)O1 ZINC000288880834 176203500 /nfs/dbraw/zinc/20/35/00/176203500.db2.gz REFHEDJKSVLRGH-YPMHNXCESA-N 0 3 235.327 2.595 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@H](c2nccn2C)C2CC2)C1 ZINC000359038919 176206271 /nfs/dbraw/zinc/20/62/71/176206271.db2.gz VHNXSYITDHRNPY-BZPMIXESSA-N 0 3 247.386 2.897 20 0 BFADHN C[C@@H]1CC[C@@H](N(C)Cc2cccnc2)C1 ZINC000275588802 176207478 /nfs/dbraw/zinc/20/74/78/176207478.db2.gz JQWMZCIEFKWNOI-DGCLKSJQSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ccno2)[C@H](C)C1 ZINC000273685423 176213197 /nfs/dbraw/zinc/21/31/97/176213197.db2.gz HQEJEMSNPOUIND-CKYFFXLPSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CC[C@@H]([NH2+]Cc2cc([O-])cc(F)c2)C1 ZINC000128537309 176213650 /nfs/dbraw/zinc/21/36/50/176213650.db2.gz VCVIXBJKSAMQQF-BXKDBHETSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1cc(F)c(F)c(F)c1 ZINC000309468162 176217122 /nfs/dbraw/zinc/21/71/22/176217122.db2.gz WGCLWHOAWRRZAO-HQJQHLMTSA-N 0 3 229.245 2.992 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1ccc(Br)o1 ZINC000307217559 176217347 /nfs/dbraw/zinc/21/73/47/176217347.db2.gz GAHVYLJPMQJTGL-APPZFPTMSA-N 0 3 244.132 2.930 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2ccccc2Cl)O1 ZINC000148365284 176227021 /nfs/dbraw/zinc/22/70/21/176227021.db2.gz BHNSNAGIVDDALF-ZYHUDNBSSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C1)C(=O)Nc1ccccc1 ZINC000222025198 176234514 /nfs/dbraw/zinc/23/45/14/176234514.db2.gz KAWBAERXWKESPZ-BZPMIXESSA-N 0 3 246.354 2.792 20 0 BFADHN C[C@@H]1CC[C@H](N[C@H]2C[C@@H](C)n3ccnc32)C1 ZINC000345355524 176234552 /nfs/dbraw/zinc/23/45/52/176234552.db2.gz MIQZSQHDOBPQGP-WYUUTHIRSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@@H]1CC[C@H](N[C@H]2CCCc3c[nH]nc32)C1 ZINC000336783082 176234598 /nfs/dbraw/zinc/23/45/98/176234598.db2.gz ZJURVSIGFZJKRZ-USWWRNFRSA-N 0 3 219.332 2.565 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1cc(F)c(F)cc1F ZINC000336649407 176236679 /nfs/dbraw/zinc/23/66/79/176236679.db2.gz GXFGYPMEWSAJAG-JMCQJSRRSA-N 0 3 229.245 2.992 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1cc(F)c(F)c(F)c1 ZINC000309468163 176236940 /nfs/dbraw/zinc/23/69/40/176236940.db2.gz WGCLWHOAWRRZAO-RDDDGLTNSA-N 0 3 229.245 2.992 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1c(F)cccc1F ZINC000336687252 176237110 /nfs/dbraw/zinc/23/71/10/176237110.db2.gz LIODWDYNMBDZFY-PRHODGIISA-N 0 3 211.255 2.853 20 0 BFADHN C[C@@H]1CC[C@]2(CCN(CCOCC(F)F)C2)C1 ZINC000372749198 176238136 /nfs/dbraw/zinc/23/81/36/176238136.db2.gz LPXLNTKOYKQNCS-YPMHNXCESA-N 0 3 247.329 2.780 20 0 BFADHN C[C@@H]1CCc2nc(CNC3CCC3)sc2C1 ZINC000336751869 176240244 /nfs/dbraw/zinc/24/02/44/176240244.db2.gz COXAYTUWVXSEOA-SECBINFHSA-N 0 3 236.384 2.910 20 0 BFADHN C[C@@H]1CN(C)C[C@@H]1c1nc(C2CCCCC2)no1 ZINC000359911163 176273795 /nfs/dbraw/zinc/27/37/95/176273795.db2.gz KWPFIXZGTBDMRW-PWSUYJOCSA-N 0 3 249.358 2.782 20 0 BFADHN C[C@@H]1CN(CC(=O)Nc2ccccc2)C(C)(C)C1 ZINC000266953521 176285417 /nfs/dbraw/zinc/28/54/17/176285417.db2.gz VGFGSSJRMIPSML-LBPRGKRZSA-N 0 3 246.354 2.746 20 0 BFADHN C[C@@H]1CN(CCCCCF)CC(C)(C)O1 ZINC000341838473 176288155 /nfs/dbraw/zinc/28/81/55/176288155.db2.gz ZSKKHSOKDALLPM-LLVKDONJSA-N 0 3 217.328 2.626 20 0 BFADHN C[C@@H]1CN(CCOc2cccc(F)c2)[C@@H]1C ZINC000339566793 176292571 /nfs/dbraw/zinc/29/25/71/176292571.db2.gz RKZOJONSZRXQTM-GHMZBOCLSA-N 0 3 223.291 2.545 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2)CCS1 ZINC000171697571 176293863 /nfs/dbraw/zinc/29/38/63/176293863.db2.gz YCFSVYSMGPYKAQ-GFCCVEGCSA-N 0 3 221.369 2.666 20 0 BFADHN C[C@@H]1CN(CCc2ccc(F)c(F)c2)[C@@H]1C ZINC000339567166 176294490 /nfs/dbraw/zinc/29/44/90/176294490.db2.gz MTZIUHQGYVVQOZ-NXEZZACHSA-N 0 3 225.282 2.848 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCc3ccccc3C2)CCO1 ZINC000339591742 176297831 /nfs/dbraw/zinc/29/78/31/176297831.db2.gz XFEHWGDIDPBFOV-ZIAGYGMSSA-N 0 3 245.366 2.512 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)C[C@@H](C)O1 ZINC000340244202 176298251 /nfs/dbraw/zinc/29/82/51/176298251.db2.gz VMDWEIZXEVPYBZ-GMTAPVOTSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC(F)(F)C2)C[C@@H](C)O1 ZINC000340244203 176300174 /nfs/dbraw/zinc/30/01/74/176300174.db2.gz VMDWEIZXEVPYBZ-MXWKQRLJSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@@H]1CN(Cc2cccc(Cl)n2)C[C@H]1C ZINC000076111735 176305707 /nfs/dbraw/zinc/30/57/07/176305707.db2.gz MIUNIXTUVIODPL-NXEZZACHSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@@H]1CN(Cc2ccccc2)CCS1 ZINC000171703023 176306256 /nfs/dbraw/zinc/30/62/56/176306256.db2.gz KOORPMYTGLXUNW-LLVKDONJSA-N 0 3 207.342 2.624 20 0 BFADHN C[C@@H]1CN(Cc2nc3ccccc3n2C)C[C@H]1C ZINC000267837493 176312739 /nfs/dbraw/zinc/31/27/39/176312739.db2.gz SRMZCUICLNPABR-VXGBXAGGSA-N 0 3 243.354 2.661 20 0 BFADHN C[C@@H]1CN([C@@H]2CCc3ccc(F)cc32)CCCO1 ZINC000177703371 176316109 /nfs/dbraw/zinc/31/61/09/176316109.db2.gz VPUSFCUVUIJCRA-IAQYHMDHSA-N 0 3 249.329 2.924 20 0 BFADHN C[C@H](C(=O)Nc1ccccc1)N1C[C@H](C)[C@H](C)C1 ZINC000362450270 176316486 /nfs/dbraw/zinc/31/64/86/176316486.db2.gz QXNDUGGWJPHFFM-FRRDWIJNSA-N 0 3 246.354 2.601 20 0 BFADHN C[C@@H]1CN([C@@H]2C[C@@H]2c2ccccc2)CCCO1 ZINC000291066254 176316621 /nfs/dbraw/zinc/31/66/21/176316621.db2.gz DPEOVPOZPZOSGN-BPLDGKMQSA-N 0 3 231.339 2.653 20 0 BFADHN C[C@@H]1CSCCN(C/C=C/c2ccncc2)C1 ZINC000335696461 176349134 /nfs/dbraw/zinc/34/91/34/176349134.db2.gz LHRUCWPRNMDXCZ-IBUXWKBASA-N 0 3 248.395 2.780 20 0 BFADHN C[C@@H]1CSCCN1Cc1ccccc1 ZINC000172589617 176351848 /nfs/dbraw/zinc/35/18/48/176351848.db2.gz ZJDFMZXGXJISED-LLVKDONJSA-N 0 3 207.342 2.624 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1ccc(Cl)nc1 ZINC000286213053 176355900 /nfs/dbraw/zinc/35/59/00/176355900.db2.gz YJGCXKVTVHTBBR-PSASIEDQSA-N 0 3 242.775 2.576 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2ccc(F)cn2)C1 ZINC000276398704 176362208 /nfs/dbraw/zinc/36/22/08/176362208.db2.gz UCSMNAIGNOLQSQ-GHMZBOCLSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@@H]1C[C@@H](CN(C)Cc2ccco2)CCO1 ZINC000352743499 176368307 /nfs/dbraw/zinc/36/83/07/176368307.db2.gz IJBDPRJTIWGSBW-NEPJUHHUSA-N 0 3 223.316 2.527 20 0 BFADHN C[C@@H]1C[C@@H](NCC(C)(C)C)c2nccn21 ZINC000293869470 176375489 /nfs/dbraw/zinc/37/54/89/176375489.db2.gz DWRPSZSPNPURRC-NXEZZACHSA-N 0 3 207.321 2.525 20 0 BFADHN C[C@@H]1C[C@@H](NCCn2cccn2)c2ccccc21 ZINC000336791691 176376502 /nfs/dbraw/zinc/37/65/02/176376502.db2.gz OOQKGIPPSXGZIU-IUODEOHRSA-N 0 3 241.338 2.721 20 0 BFADHN C[C@@H]1C[C@@H]1CNC(C)(C)c1nccs1 ZINC000308592406 176395617 /nfs/dbraw/zinc/39/56/17/176395617.db2.gz KWIHIIGLVWNRRQ-RKDXNWHRSA-N 0 3 210.346 2.624 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(Cl)cc2)[C@H](C)O1 ZINC000294906464 176485180 /nfs/dbraw/zinc/48/51/80/176485180.db2.gz ZRJRDHKIZVNLNY-NRUUGDAUSA-N 0 3 239.746 2.996 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1NC[C@H]1CCC=CO1 ZINC000290238143 176487279 /nfs/dbraw/zinc/48/72/79/176487279.db2.gz UJBKIZCWTIEZGX-ZOWXZIJZSA-N 0 3 245.322 2.791 20 0 BFADHN CC[C@@H](C)CN1CCOc2ccccc2C1 ZINC000674861229 487634831 /nfs/dbraw/zinc/63/48/31/487634831.db2.gz PCADXPQSPJYRBX-GFCCVEGCSA-N 0 3 219.328 2.927 20 0 BFADHN C[C@H](CO)CCCNCc1sccc1Cl ZINC000278476143 176690102 /nfs/dbraw/zinc/69/01/02/176690102.db2.gz JZCKHBJZKYPPJK-VIFPVBQESA-N 0 3 247.791 2.900 20 0 BFADHN C[C@H](NCCC(C)(F)F)c1cncc(F)c1 ZINC000294189530 176840368 /nfs/dbraw/zinc/84/03/68/176840368.db2.gz KXEAJOGOUIGVOV-QMMMGPOBSA-N 0 3 232.249 2.917 20 0 BFADHN C[C@H](NCCc1cccc(F)c1)c1cnccn1 ZINC000070477945 176853101 /nfs/dbraw/zinc/85/31/01/176853101.db2.gz LABUAEOORYTYLR-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN C[C@H](NC[C@H]1CCOC1)c1c(F)cccc1F ZINC000037738045 176869039 /nfs/dbraw/zinc/86/90/39/176869039.db2.gz LBODGQZZGWOPGN-VHSXEESVSA-N 0 3 241.281 2.652 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@H]1C)c1ccon1 ZINC000308995877 176894739 /nfs/dbraw/zinc/89/47/39/176894739.db2.gz MOEXFPQPKMVAON-OUAUKWLOSA-N 0 3 208.305 2.904 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1O)c1csc(Cl)c1 ZINC000276482299 176898037 /nfs/dbraw/zinc/89/80/37/176898037.db2.gz GDKLEHIQYMEWCV-FXBDTBDDSA-N 0 3 245.775 2.966 20 0 BFADHN C[C@H](N[C@@H]1COCC1(C)C)c1ccc(F)cc1 ZINC000313149905 176904619 /nfs/dbraw/zinc/90/46/19/176904619.db2.gz UYPJRAOUMTUGEU-GXFFZTMASA-N 0 3 237.318 2.901 20 0 BFADHN C[C@@H](N[C@@H](C)c1cscn1)C1CCOCC1 ZINC000127895252 176908708 /nfs/dbraw/zinc/90/87/08/176908708.db2.gz GZSVPDVSKGHYFX-ZJUUUORDSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@H](N[C@H]1CC[C@H](F)C1)c1nccs1 ZINC000306291362 176926741 /nfs/dbraw/zinc/92/67/41/176926741.db2.gz QXKMSMUVEWCCII-CIUDSAMLSA-N 0 3 214.309 2.684 20 0 BFADHN C[C@H](O)[C@@H]1CCCCN1Cc1ccc(F)cc1 ZINC000264436617 177008760 /nfs/dbraw/zinc/00/87/60/177008760.db2.gz PIOOICKNHKHACT-FZMZJTMJSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@H](O)[C@@H]1CCN(Cc2ccc([C@@H]3C[C@H]3C)o2)C1 ZINC000272537849 177009696 /nfs/dbraw/zinc/00/96/96/177009696.db2.gz OJFBOZOHFVSEHW-GFQSEFKGSA-N 0 3 249.354 2.606 20 0 BFADHN C[C@H](c1cccc(F)c1)N(C)CCCCO ZINC000263980635 177036624 /nfs/dbraw/zinc/03/66/24/177036624.db2.gz FPNXUIQCTNLYJG-LLVKDONJSA-N 0 3 225.307 2.591 20 0 BFADHN C[C@H](c1ccccc1)N(C)CCOCC(F)F ZINC000188626458 177037536 /nfs/dbraw/zinc/03/75/36/177037536.db2.gz PZMWNMGPGODUMO-LLVKDONJSA-N 0 3 243.297 2.961 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)C[C@H]1CCCO1 ZINC000252958364 177037796 /nfs/dbraw/zinc/03/77/96/177037796.db2.gz LFDRWOQCVYUPGV-BXUZGUMPSA-N 0 3 235.327 2.564 20 0 BFADHN C[C@H](c1ccccc1F)N1CCC[C@@](C)(O)C1 ZINC000124223625 177041163 /nfs/dbraw/zinc/04/11/63/177041163.db2.gz IJFZSAIELLDGTR-BXUZGUMPSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H](c1ccccc1F)N1CCC[C@H](O)CC1 ZINC000293001430 177041181 /nfs/dbraw/zinc/04/11/81/177041181.db2.gz CXSYEPDFYUMFRW-NEPJUHHUSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H](c1cccnc1)N1CCC(C)(C)C1 ZINC000266606826 177044601 /nfs/dbraw/zinc/04/46/01/177044601.db2.gz UAAZOPZQPNBLJO-LLVKDONJSA-N 0 3 204.317 2.875 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@@H](c2ccco2)C1 ZINC000368367002 177052080 /nfs/dbraw/zinc/05/20/80/177052080.db2.gz KPMHRNAMPRPBHV-VXGBXAGGSA-N 0 3 243.310 2.620 20 0 BFADHN C[C@H]1CCCCCN1CCOCC(F)(F)F ZINC000336703785 177070161 /nfs/dbraw/zinc/07/01/61/177070161.db2.gz OBKOUAMCKCHMPM-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN C[C@H]1CCCCN(Cc2cncs2)C1 ZINC000187517389 177070988 /nfs/dbraw/zinc/07/09/88/177070988.db2.gz KAPHGNCUVIDBIJ-JTQLQIEISA-N 0 3 210.346 2.765 20 0 BFADHN C[C@H]1CCCCN(Cc2ccc(F)cn2)C1 ZINC000276143581 177071236 /nfs/dbraw/zinc/07/12/36/177071236.db2.gz AVYOXLRFMLXBLQ-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN C[C@H]1CCCCN(CC(=O)Nc2ccccc2)C1 ZINC000187965943 177071633 /nfs/dbraw/zinc/07/16/33/177071633.db2.gz BAGUENNIYQNLRH-ZDUSSCGKSA-N 0 3 246.354 2.747 20 0 BFADHN C[C@@H](NCCN1CCCC[C@@H]1C)c1ccncc1 ZINC000271064452 177074734 /nfs/dbraw/zinc/07/47/34/177074734.db2.gz ILPPXAOKYXILEI-UONOGXRCSA-N 0 3 247.386 2.607 20 0 BFADHN C[C@H]1CCCCN1Cc1cccc2nccn21 ZINC000183471953 177077093 /nfs/dbraw/zinc/07/70/93/177077093.db2.gz SWWJRWQIPBLWCO-LBPRGKRZSA-N 0 3 229.327 2.709 20 0 BFADHN C[C@H]1CCCC[C@H]1CN(C)Cc1ccnn1C ZINC000355285098 177084810 /nfs/dbraw/zinc/08/48/10/177084810.db2.gz SOVPAZMSRITPOR-STQMWFEESA-N 0 3 235.375 2.678 20 0 BFADHN C[C@H]1CCCC[C@H]1CCNCc1cc[nH]n1 ZINC000336742828 177085468 /nfs/dbraw/zinc/08/54/68/177085468.db2.gz OPLIUWJFSKBTGL-RYUDHWBXSA-N 0 3 221.348 2.716 20 0 BFADHN C[C@H]1CCCC[C@H]1NCc1nccn1C(F)F ZINC000034938364 177088915 /nfs/dbraw/zinc/08/89/15/177088915.db2.gz SVPHTKLRSITRMB-VHSXEESVSA-N 0 3 243.301 2.947 20 0 BFADHN C[C@H]1CCCC[C@H]1OCCN1CCCC1 ZINC000120024575 177089722 /nfs/dbraw/zinc/08/97/22/177089722.db2.gz JCAJZGTUFQPRIF-QWHCGFSZSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@H]1CCCN(Cc2ccc(F)cn2)[C@H]1C ZINC000336432994 177101158 /nfs/dbraw/zinc/10/11/58/177101158.db2.gz INXYOUSZCVCMDW-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1cncc(F)c1 ZINC000275139995 177101337 /nfs/dbraw/zinc/10/13/37/177101337.db2.gz OOBAOOODAFOWMY-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CCCN1CCC(=O)c1ccc(F)cc1 ZINC000037911629 177108723 /nfs/dbraw/zinc/10/87/23/177108723.db2.gz ILQGDAMUOLWHLK-NSHDSACASA-N 0 3 235.302 2.883 20 0 BFADHN C[C@H]1CCCN1Cc1ccc(N2CCCC2)nc1 ZINC000124328897 177110544 /nfs/dbraw/zinc/11/05/44/177110544.db2.gz XVOHJSIFVHHHNN-ZDUSSCGKSA-N 0 3 245.370 2.666 20 0 BFADHN C[C@H]1CCCN1Cc1cccc2c1OCCCO2 ZINC000124287722 177110912 /nfs/dbraw/zinc/11/09/12/177110912.db2.gz HOAKSLRXTXRBCO-LBPRGKRZSA-N 0 3 247.338 2.832 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1Cc1cncc(F)c1 ZINC000274880015 177117811 /nfs/dbraw/zinc/11/78/11/177117811.db2.gz WHTNHHQMBFNTCW-PHIMTYICSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1nccn1C(F)F ZINC000070831333 177143026 /nfs/dbraw/zinc/14/30/26/177143026.db2.gz KESFJCDJWNVFMM-DTWKUNHWSA-N 0 3 229.274 2.556 20 0 BFADHN C[C@H]1CCN(CCOCC(F)F)CC12CCC2 ZINC000364264501 177160976 /nfs/dbraw/zinc/16/09/76/177160976.db2.gz FBFCVKXOMTYKQV-NSHDSACASA-N 0 3 247.329 2.780 20 0 BFADHN C[C@@H](O)CN1CC[C@H](C)[C@H]1c1cccc(F)c1 ZINC000297915879 177162309 /nfs/dbraw/zinc/16/23/09/177162309.db2.gz SRCBTFQJILHVCQ-WDMOLILDSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H]1CCN(Cc2cccc(Cl)c2)[C@H]1CO ZINC000248890951 177165393 /nfs/dbraw/zinc/16/53/93/177165393.db2.gz FTFTTXHMURVLMG-GWCFXTLKSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H]1CCN(Cc2nc3ccccc3n2C)[C@H]1C ZINC000248366997 177168449 /nfs/dbraw/zinc/16/84/49/177168449.db2.gz SUAYHXWKVULJTJ-RYUDHWBXSA-N 0 3 243.354 2.804 20 0 BFADHN C[C@@H](c1ccccn1)N1CCS[C@@H](C)CC1 ZINC000192177400 177169611 /nfs/dbraw/zinc/16/96/11/177169611.db2.gz ZMSYGEXQTXWDFX-RYUDHWBXSA-N 0 3 236.384 2.970 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@H](C)[C@@H](C)C1 ZINC000184704837 177169856 /nfs/dbraw/zinc/16/98/56/177169856.db2.gz QWYVLBKDCXANRM-SRVKXCTJSA-N 0 3 219.332 2.516 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1cn2ccccc2n1 ZINC000174469454 177184826 /nfs/dbraw/zinc/18/48/26/177184826.db2.gz AZSDCSBYLGKQLJ-QWHCGFSZSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cccc2c1OCCO2 ZINC000123415675 177187333 /nfs/dbraw/zinc/18/73/33/177187333.db2.gz JJHGMRQVJGGSSL-TXEJJXNPSA-N 0 3 247.338 2.831 20 0 BFADHN C[C@@H](C(=O)Nc1ccccc1)N1[C@H](C)CC[C@@H]1C ZINC000070266743 177187851 /nfs/dbraw/zinc/18/78/51/177187851.db2.gz DESBGULDWNTCSO-XQQFMLRXSA-N 0 3 246.354 2.886 20 0 BFADHN C[C@H]1CC[C@@H](CN2CCC=C(c3ccco3)C2)O1 ZINC000285525164 177189936 /nfs/dbraw/zinc/18/99/36/177189936.db2.gz GYPJSGUDABUIFM-JSGCOSHPSA-N 0 3 247.338 2.936 20 0 BFADHN C[C@H]1CC[C@@H]([NH2+]Cc2cc([O-])cc(F)c2)C1 ZINC000128537505 177193081 /nfs/dbraw/zinc/19/30/81/177193081.db2.gz VCVIXBJKSAMQQF-JOYOIKCWSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2ccc(F)cn2)C1 ZINC000276189635 177197947 /nfs/dbraw/zinc/19/79/47/177197947.db2.gz IBXGOVJZCRMUEN-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1ccc(F)cn1 ZINC000336508896 177200037 /nfs/dbraw/zinc/20/00/37/177200037.db2.gz QMSYTNHDXLYNRA-UWVGGRQHSA-N 0 3 208.280 2.594 20 0 BFADHN C[C@H]1CC[C@H](CN(C)CCc2cccs2)O1 ZINC000188557404 177201071 /nfs/dbraw/zinc/20/10/71/177201071.db2.gz ALRJCHICEIHONS-NWDGAFQWSA-N 0 3 239.384 2.790 20 0 BFADHN C[C@H]1CC[C@H]([NH2+]Cc2cc([O-])cc(F)c2)C1 ZINC000128537077 177205337 /nfs/dbraw/zinc/20/53/37/177205337.db2.gz VCVIXBJKSAMQQF-CABZTGNLSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@H]1CC[C@H]1NCc1nccn1-c1ccccc1 ZINC000353136478 177207350 /nfs/dbraw/zinc/20/73/50/177207350.db2.gz KZCMLUZXDSSEHI-GXTWGEPZSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@H]1CC[C@H]1[NH2+]Cc1c(F)ccc([O-])c1F ZINC000336383922 177207506 /nfs/dbraw/zinc/20/75/06/177207506.db2.gz WSPAQHRNCGFAIF-OIBJUYFYSA-N 0 3 227.254 2.559 20 0 BFADHN C[C@H]1CN(CC2(C)CCC2)CC(C)(C)O1 ZINC000340291398 177245038 /nfs/dbraw/zinc/24/50/38/177245038.db2.gz RFILIIPLNTUCMG-NSHDSACASA-N 0 3 211.349 2.676 20 0 BFADHN C[C@H]1CN(CCCCC(F)(F)F)CCCO1 ZINC000366715975 177248269 /nfs/dbraw/zinc/24/82/69/177248269.db2.gz DFGGYQRWYRPLJP-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN C[C@H]1CN(C[C@H]2CCc3ccccc3C2)CCO1 ZINC000339591739 177259861 /nfs/dbraw/zinc/25/98/61/177259861.db2.gz XFEHWGDIDPBFOV-KBPBESRZSA-N 0 3 245.366 2.512 20 0 BFADHN C[C@H]1CN(Cc2ccc3c(c2)CCC3)CCCO1 ZINC000172289353 177263914 /nfs/dbraw/zinc/26/39/14/177263914.db2.gz RTHXVEUHGFNFPY-ZDUSSCGKSA-N 0 3 245.366 2.786 20 0 BFADHN C[C@H]1CN(Cc2ccnc(Cl)c2)C[C@@H]1C ZINC000076112339 177268071 /nfs/dbraw/zinc/26/80/71/177268071.db2.gz JVZYOCBVCQPKKN-UWVGGRQHSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1ccc(Cl)o1 ZINC000306276286 177305944 /nfs/dbraw/zinc/30/59/44/177305944.db2.gz DQGXPGZGQHCCCP-CBAPKCEASA-N 0 3 231.748 2.774 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1ccc(Cl)cn1 ZINC000309163040 177306934 /nfs/dbraw/zinc/30/69/34/177306934.db2.gz ZVNHYYFTVUOGMA-GZMMTYOYSA-N 0 3 242.775 2.576 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1ccccc1F ZINC000286114782 177307184 /nfs/dbraw/zinc/30/71/84/177307184.db2.gz UOHXDDVDQNSPEF-JOYOIKCWSA-N 0 3 225.332 2.667 20 0 BFADHN C[C@H]1C[C@H](N[C@H]2CCn3ccnc32)C[C@@H](C)C1 ZINC000345353173 177319019 /nfs/dbraw/zinc/31/90/19/177319019.db2.gz PYONRWSACDQJIH-LOWDOPEQSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H]1C[C@@H](NCC2(C)OCCO2)c2ccccc21 ZINC000290358899 177331247 /nfs/dbraw/zinc/33/12/47/177331247.db2.gz QQTHHQAXGQKTAN-SMDDNHRTSA-N 0 3 247.338 2.588 20 0 BFADHN C[C@H]1C[C@@H](NC(C2CC2)C2CC2)c2nccn21 ZINC000345342804 177331343 /nfs/dbraw/zinc/33/13/43/177331343.db2.gz GHXCAPZRVJYTIH-JOYOIKCWSA-N 0 3 231.343 2.667 20 0 BFADHN C[C@H]1C[C@@H](NCc2cc(F)ccc2F)CCO1 ZINC000070362201 177332027 /nfs/dbraw/zinc/33/20/27/177332027.db2.gz ZWXKHUKEKOMEKM-CABZTGNLSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H]1C[C@@H](NCc2cc[nH]n2)CC(C)(C)C1 ZINC000041014536 177332147 /nfs/dbraw/zinc/33/21/47/177332147.db2.gz BAKUSLGSLFSBCM-CMPLNLGQSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@@H]1CC[C@H]([NH2+]Cc2nnc(C3CC3)[n-]2)C[C@@H]1C ZINC000331522052 177333970 /nfs/dbraw/zinc/33/39/70/177333970.db2.gz OASNJJZGHGWNHB-SCVCMEIPSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@H](NCc2nnc(C3CC3)[nH]2)C[C@@H]1C ZINC000331522052 177333972 /nfs/dbraw/zinc/33/39/72/177333972.db2.gz OASNJJZGHGWNHB-SCVCMEIPSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H]1C[C@@H](c2cccc(F)c2)N(CCCO)C1 ZINC000266581814 177337890 /nfs/dbraw/zinc/33/78/90/177337890.db2.gz IFBFHWDYPXZOQC-FZMZJTMJSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@H](CCO)CNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000227372445 177351191 /nfs/dbraw/zinc/35/11/91/177351191.db2.gz BWGUPXGAERXCLT-MDZLAQPJSA-N 0 3 237.343 2.511 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNC[C@@H]2CCCN2C)o1 ZINC000221146298 177351353 /nfs/dbraw/zinc/35/13/53/177351353.db2.gz WLGVYDYECMHXEL-OBJOEFQTSA-N 0 3 248.370 2.587 20 0 BFADHN C[C@@H]1CC[C@H](N[C@@H]2CCn3ccnc32)[C@@H](C)C1 ZINC000345349535 177356060 /nfs/dbraw/zinc/35/60/60/177356060.db2.gz NAWFQLHMCLNPJO-MROQNXINSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H]1C[C@H](NC2CCCCC2)c2nccn21 ZINC000334468278 177369367 /nfs/dbraw/zinc/36/93/67/177369367.db2.gz FXCSKVJYBSIKLX-JQWIXIFHSA-N 0 3 219.332 2.811 20 0 BFADHN C[C@H]1C[C@H](NCCCC(C)(F)F)c2nccn21 ZINC000296634947 177369428 /nfs/dbraw/zinc/36/94/28/177369428.db2.gz BQCWBYJHUCYWKR-UWVGGRQHSA-N 0 3 243.301 2.914 20 0 BFADHN C[C@H]1C[C@H](NCCCC(F)(F)F)c2nccn21 ZINC000294215328 177369755 /nfs/dbraw/zinc/36/97/55/177369755.db2.gz UOJNNZRDNSPDFO-IUCAKERBSA-N 0 3 247.264 2.821 20 0 BFADHN C[C@H]1C[C@H](NCc2cc(F)ccc2F)CCO1 ZINC000070362199 177369959 /nfs/dbraw/zinc/36/99/59/177369959.db2.gz ZWXKHUKEKOMEKM-JOYOIKCWSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H]1C[C@H](NCCc2ccccc2)c2nccn21 ZINC000345366330 177369965 /nfs/dbraw/zinc/36/99/65/177369965.db2.gz ZPQJJXIAZRFORO-JSGCOSHPSA-N 0 3 241.338 2.721 20 0 BFADHN C[C@H]1C[C@H](NCc2ccccc2F)CN1C1CC1 ZINC000122324970 177370267 /nfs/dbraw/zinc/37/02/67/177370267.db2.gz OEWXNBPECYEIAV-AAEUAGOBSA-N 0 3 248.345 2.541 20 0 BFADHN C[C@H]1C[C@H](O)CN1Cc1cc2ccccc2s1 ZINC000336590641 177374017 /nfs/dbraw/zinc/37/40/17/177374017.db2.gz VGYJRDSKYLSAAM-JQWIXIFHSA-N 0 3 247.363 2.856 20 0 BFADHN C[C@H]1C[C@H]1CNCc1c(F)cc(F)cc1F ZINC000354329666 177381580 /nfs/dbraw/zinc/38/15/80/177381580.db2.gz SWLYIWZHUVFHLC-YUMQZZPRSA-N 0 3 229.245 2.850 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN[C@H]2CCCOC2)o1 ZINC000288464999 177387350 /nfs/dbraw/zinc/38/73/50/177387350.db2.gz OJKQOJVQYLFLEM-GMXVVIOVSA-N 0 3 235.327 2.672 20 0 BFADHN CC[C@H](COC)N[C@@H]1COc2c1ccc(C)c2C ZINC000271525591 487638315 /nfs/dbraw/zinc/63/83/15/487638315.db2.gz VSFGIDLYIMTAFZ-TZMCWYRMSA-N 0 3 249.354 2.752 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cc(C)on1 ZINC000271517037 487638058 /nfs/dbraw/zinc/63/80/58/487638058.db2.gz KSDLKRBHGXNIND-CABZTGNLSA-N 0 3 208.305 2.746 20 0 BFADHN C[C@]1(NCc2cccc(Cl)c2)CCOC1 ZINC000120174870 177471039 /nfs/dbraw/zinc/47/10/39/177471039.db2.gz JKLGSZXXPDQAHU-LBPRGKRZSA-N 0 3 225.719 2.609 20 0 BFADHN Cc1c(CNC/C=C\c2ccccc2)cnn1C ZINC000336783927 177591788 /nfs/dbraw/zinc/59/17/88/177591788.db2.gz JBHDOSMIXPUCKJ-TWGQIWQCSA-N 0 3 241.338 2.532 20 0 BFADHN C[C@@H]1C[C@H](N2CCc3cccc(F)c3C2)CCO1 ZINC000335493764 487639959 /nfs/dbraw/zinc/63/99/59/487639959.db2.gz DYIOJWLFCNUDBB-DGCLKSJQSA-N 0 3 249.329 2.751 20 0 BFADHN COC[C@H](N[C@H](C)[C@@H]1CC1(C)C)c1ccco1 ZINC000487320325 225297871 /nfs/dbraw/zinc/29/78/71/225297871.db2.gz IJCOQSHMLYIJBI-WOPDTQHZSA-N 0 3 237.343 2.991 20 0 BFADHN Cc1cc(CN([C@@H](C)C(C)C)C2CC2)nn1C ZINC000487385950 225302385 /nfs/dbraw/zinc/30/23/85/225302385.db2.gz AVZDUEOFPQAEMC-LBPRGKRZSA-N 0 3 235.375 2.737 20 0 BFADHN Cc1cc(CN2CCC[C@H]2C2CCCC2)nn1C ZINC000487382861 225303325 /nfs/dbraw/zinc/30/33/25/225303325.db2.gz WHMYGTBZJYTANZ-HNNXBMFYSA-N 0 3 247.386 2.883 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCCC[C@H]2C)nn1C ZINC000487395702 225303726 /nfs/dbraw/zinc/30/37/26/225303726.db2.gz LGOROTWKSNNGKE-RISCZKNCSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1nc2sccn2c1CN(C)C[C@H]1C[C@@H]1C ZINC000488353171 225384110 /nfs/dbraw/zinc/38/41/10/225384110.db2.gz PLNIXDODPSACOT-GXSJLCMTSA-N 0 3 249.383 2.792 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCOC[C@@H]1c1ccccc1 ZINC000488300677 225364211 /nfs/dbraw/zinc/36/42/11/225364211.db2.gz RWBRQNQRAYNJAN-VHDGCEQUSA-N 0 3 231.339 2.716 20 0 BFADHN Cc1ccccc1N1CCN(C[C@@H]2C[C@@H]2C)CC1 ZINC000488307964 225367196 /nfs/dbraw/zinc/36/71/96/225367196.db2.gz BSFZKNGIVRULMH-GJZGRUSLSA-N 0 3 244.382 2.773 20 0 BFADHN CCCCc1nc(C2C[C@H]3CC[C@@H](C2)N3C)no1 ZINC000680775590 487647033 /nfs/dbraw/zinc/64/70/33/487647033.db2.gz BTXRFKVYIZWFCK-YOGCLGLASA-N 0 3 249.358 2.752 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H](C)COC(C)C ZINC000669684309 487647470 /nfs/dbraw/zinc/64/74/70/487647470.db2.gz YTOOXCVPQNOONH-QWHCGFSZSA-N 0 3 236.359 2.854 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CC[C@H](C)CC2)nn1C ZINC000502464518 226330054 /nfs/dbraw/zinc/33/00/54/226330054.db2.gz SMMFOMDNVGVGTD-RAIGVLPGSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cccc2ncc(CN3CCC[C@@H](C)C3)n21 ZINC000505321829 226354153 /nfs/dbraw/zinc/35/41/53/226354153.db2.gz ZEGHGABRRVTUPY-GFCCVEGCSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1cnc(CN([C@@H](C)C2CC2)C2CC2)cn1 ZINC000505612008 226357408 /nfs/dbraw/zinc/35/74/08/226357408.db2.gz OFNPWQDEAHNXQO-NSHDSACASA-N 0 3 231.343 2.548 20 0 BFADHN Cc1cc([C@H](C)NCCc2cncn2C)c(C)o1 ZINC000401796573 226362182 /nfs/dbraw/zinc/36/21/82/226362182.db2.gz ZAVDKHBRBRSXQI-NSHDSACASA-N 0 3 247.342 2.523 20 0 BFADHN COc1ccc(F)c(CN(C)CC(C)C)c1 ZINC000507122871 226365578 /nfs/dbraw/zinc/36/55/78/226365578.db2.gz VQNNKBFVPDYCFI-UHFFFAOYSA-N 0 3 225.307 2.922 20 0 BFADHN COCCN(C/C=C\c1ccc(F)cc1)C1CC1 ZINC000507156683 226366134 /nfs/dbraw/zinc/36/61/34/226366134.db2.gz UNUXXKIWGCLPJV-IHWYPQMZSA-N 0 3 249.329 2.950 20 0 BFADHN COCCN(Cc1cccc(C)c1C)C1CC1 ZINC000507157661 226366141 /nfs/dbraw/zinc/36/61/41/226366141.db2.gz BJCRCPCDXWILSG-UHFFFAOYSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1ncc(CN2CCC[C@H]2CC(C)C)cn1 ZINC000507146976 226366399 /nfs/dbraw/zinc/36/63/99/226366399.db2.gz YVTDSOGJWUHHIJ-AWEZNQCLSA-N 0 3 233.359 2.796 20 0 BFADHN Fc1ccc(CN(C2CC2)C2CC2)cc1 ZINC000680834534 487655282 /nfs/dbraw/zinc/65/52/82/487655282.db2.gz DOZBLZLZWQQSEY-UHFFFAOYSA-N 0 3 205.276 2.953 20 0 BFADHN COc1ncccc1CN[C@@H]1CCCC12CCC2 ZINC000394817327 262748874 /nfs/dbraw/zinc/74/88/74/262748874.db2.gz RTMZBOGGEGDDAT-CYBMUJFWSA-N 0 3 246.354 2.903 20 0 BFADHN C[C@H](NCCCC1(O)CCC1)c1ccco1 ZINC000421859609 229154364 /nfs/dbraw/zinc/15/43/64/229154364.db2.gz QTLKLRSDSGAJJL-NSHDSACASA-N 0 3 223.316 2.625 20 0 BFADHN COCCN(Cc1cccs1)C[C@@H]1C[C@H]1C ZINC000488314845 229181106 /nfs/dbraw/zinc/18/11/06/229181106.db2.gz CTNQCNJABLCJMV-NEPJUHHUSA-N 0 3 239.384 2.853 20 0 BFADHN Cc1cc([C@@H]2CCCN2C[C@@H]2C[C@H]2C)on1 ZINC000488319202 229181547 /nfs/dbraw/zinc/18/15/47/229181547.db2.gz BUTXJULDABOXPR-USWWRNFRSA-N 0 3 220.316 2.776 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2ccco2)C12CCC2 ZINC000308368935 229230750 /nfs/dbraw/zinc/23/07/50/229230750.db2.gz NSICEZAVCPIUAI-RAIGVLPGSA-N 0 3 235.327 2.888 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2ccco2)C12CCC2 ZINC000308368933 229231303 /nfs/dbraw/zinc/23/13/03/229231303.db2.gz NSICEZAVCPIUAI-KGYLQXTDSA-N 0 3 235.327 2.888 20 0 BFADHN COc1ccc(CN[C@]2(C)CCCC[C@@H]2C)nn1 ZINC000308707061 229244379 /nfs/dbraw/zinc/24/43/79/229244379.db2.gz IVJZSEFEMFUQCH-SMDDNHRTSA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@H](O)[C@H]1CCCCN1Cc1ccc(C)nc1 ZINC000680933979 487674538 /nfs/dbraw/zinc/67/45/38/487674538.db2.gz IHBGUMAGBCEORN-CABCVRRESA-N 0 3 248.370 2.515 20 0 BFADHN Cc1ccc(CN2CC[C@H](c3ccco3)C2)cn1 ZINC000680935048 487675056 /nfs/dbraw/zinc/67/50/56/487675056.db2.gz UMJGORKOIIWBJC-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN CCCN(CC)Cc1ccc(OCC)cn1 ZINC000674862223 487680222 /nfs/dbraw/zinc/68/02/22/487680222.db2.gz LOJCTLZDORVYNN-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CCCSC[C@@H](C)NC/C=C\Cl ZINC000898429118 584133444 /nfs/dbraw/zinc/13/34/44/584133444.db2.gz RKXKYLCMMBERAL-XRVBUDJMSA-N 0 3 207.770 2.860 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)[C@@H](C)C2)ncn1 ZINC000680972508 487684796 /nfs/dbraw/zinc/68/47/96/487684796.db2.gz GMRRBQSMZCMJOE-GMXVVIOVSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)[C@H](C)C2)ncn1 ZINC000680972510 487685308 /nfs/dbraw/zinc/68/53/08/487685308.db2.gz GMRRBQSMZCMJOE-NQBHXWOUSA-N 0 3 233.359 2.699 20 0 BFADHN C[C@H](CN1CCCc2c(cnn2C)C1)C(C)(C)C ZINC000666403742 487692004 /nfs/dbraw/zinc/69/20/04/487692004.db2.gz PAIVANXDFSGBLY-GFCCVEGCSA-N 0 3 249.402 2.851 20 0 BFADHN CC/C=C\CCN1CC=C(c2ccnn2C)CC1 ZINC000671700463 487696728 /nfs/dbraw/zinc/69/67/28/487696728.db2.gz YLYUEZMRJXNXRE-PLNGDYQASA-N 0 3 245.370 2.866 20 0 BFADHN CCCn1nccc1CN1C[C@@H](C)CC[C@@H]1C ZINC000667650266 487696429 /nfs/dbraw/zinc/69/64/29/487696429.db2.gz QZJDKKHLLJNEMY-STQMWFEESA-N 0 3 235.375 2.914 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](CO)CC2CC2)oc1C ZINC000669701539 487697893 /nfs/dbraw/zinc/69/78/93/487697893.db2.gz BOOUZQPSJIFFTP-ZWNOBZJWSA-N 0 3 237.343 2.708 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1Cc1cccc(Cl)c1 ZINC000399211018 262779528 /nfs/dbraw/zinc/77/95/28/262779528.db2.gz FZQXVVUEOPJBLA-ZWNOBZJWSA-N 0 3 239.746 2.685 20 0 BFADHN C[C@@H](NCC1C(C)(C)C1(C)C)c1ccncn1 ZINC000395888340 262794283 /nfs/dbraw/zinc/79/42/83/262794283.db2.gz JWFBBKRGFORWFJ-SNVBAGLBSA-N 0 3 233.359 2.809 20 0 BFADHN FC1(CN[C@H]2CCO[C@H](c3ccccc3)C2)CC1 ZINC000526984889 262800265 /nfs/dbraw/zinc/80/02/65/262800265.db2.gz VLLMBKZIYWERCG-KBPBESRZSA-N 0 3 249.329 2.998 20 0 BFADHN CCC[C@H](NCc1cnns1)C1CCC1 ZINC000325066506 262801521 /nfs/dbraw/zinc/80/15/21/262801521.db2.gz ZEHUNBDAGULFJU-NSHDSACASA-N 0 3 225.361 2.597 20 0 BFADHN COC[C@H](N[C@H]1COC(C)(C)C1)c1ccccc1 ZINC000396513364 262805342 /nfs/dbraw/zinc/80/53/42/262805342.db2.gz CJKQEGLAZGZLGI-KGLIPLIRSA-N 0 3 249.354 2.531 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2COC(C)(C)C2)cc1 ZINC000396847420 262824153 /nfs/dbraw/zinc/82/41/53/262824153.db2.gz CYBIVOQDELAMJI-AAEUAGOBSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H](N[C@H](C)[C@@H]1C[C@H]1C1CC1)c1ccn(C)n1 ZINC000527721360 262876449 /nfs/dbraw/zinc/87/64/49/262876449.db2.gz RPQBEYBPYDNVBK-AAXDQBDMSA-N 0 3 233.359 2.505 20 0 BFADHN COc1cccc([C@H](C)NCC2=CCOCC2)c1 ZINC000397709489 262930275 /nfs/dbraw/zinc/93/02/75/262930275.db2.gz ONJRRVYDQWEFEJ-LBPRGKRZSA-N 0 3 247.338 2.693 20 0 BFADHN CCOC[C@@H]1CCCN(C/C=C(\C)Cl)C1 ZINC000528379460 262943560 /nfs/dbraw/zinc/94/35/60/262943560.db2.gz HBZPSDXOLUMKKU-IGEMTJHASA-N 0 3 231.767 2.878 20 0 BFADHN CC(C)=CCCN1CCOCC12CCCC2 ZINC000660149812 487699302 /nfs/dbraw/zinc/69/93/02/487699302.db2.gz LIZBHAVPIKMGCI-UHFFFAOYSA-N 0 3 223.360 2.988 20 0 BFADHN CC[C@H](C(N)=O)N1CCCc2ccccc2CC1 ZINC000336292517 487699317 /nfs/dbraw/zinc/69/93/17/487699317.db2.gz IFXANCQTBKTHIX-CQSZACIVSA-N 0 3 246.354 2.791 20 0 BFADHN COc1cccc(OC)c1CNC[C@@H](C)C1CC1 ZINC000671707563 487700809 /nfs/dbraw/zinc/70/08/09/487700809.db2.gz GKDCBIXRLKVTFR-LLVKDONJSA-N 0 3 249.354 2.840 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCSC2)nc1 ZINC000683839512 487705214 /nfs/dbraw/zinc/70/52/14/487705214.db2.gz KCMZUZWLUMWZBK-LBPRGKRZSA-N 0 3 236.384 2.575 20 0 BFADHN CC[C@@H](C)CCCCC(=O)N[C@@H](C)CN(C)C ZINC000672516956 487705316 /nfs/dbraw/zinc/70/53/16/487705316.db2.gz QXIUKVDQMGNLKJ-OLZOCXBDSA-N 0 3 242.407 2.659 20 0 BFADHN COc1cccc(CN2CC[C@@H](C)C2)c1F ZINC000669707663 487711083 /nfs/dbraw/zinc/71/10/83/487711083.db2.gz CJGOMSUDLZENKO-SNVBAGLBSA-N 0 3 223.291 2.676 20 0 BFADHN Cc1nccc(CN2CCC3(CCC3)CC2)n1 ZINC000671728800 487711142 /nfs/dbraw/zinc/71/11/42/487711142.db2.gz IQSQUGDYKMTRKB-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN COc1cccc(CN2C[C@H](C)[C@@H](C)C2)c1F ZINC000669708569 487712516 /nfs/dbraw/zinc/71/25/16/487712516.db2.gz XPIGVROQYKWYTE-QWRGUYRKSA-N 0 3 237.318 2.922 20 0 BFADHN COc1cccc(CN2C[C@H](C)[C@H](C)C2)c1F ZINC000669708568 487712621 /nfs/dbraw/zinc/71/26/21/487712621.db2.gz XPIGVROQYKWYTE-PHIMTYICSA-N 0 3 237.318 2.922 20 0 BFADHN CCCn1nccc1CNCC1(C2CC2)CC1 ZINC000233406193 487713784 /nfs/dbraw/zinc/71/37/84/487713784.db2.gz BNJMGJPGOAWUQY-UHFFFAOYSA-N 0 3 233.359 2.573 20 0 BFADHN C[C@@H]1OCC[C@@H]1NC1(c2ccc(F)cc2)CCC1 ZINC000679017026 487723754 /nfs/dbraw/zinc/72/37/54/487723754.db2.gz SOSFCJGNDNUZFA-FZMZJTMJSA-N 0 3 249.329 2.972 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN(C)Cc1c[nH]cn1 ZINC000668422177 487727732 /nfs/dbraw/zinc/72/77/32/487727732.db2.gz SHSRYNOEXCMMTD-JSGCOSHPSA-N 0 3 233.359 2.834 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN(C)Cc1cnc[nH]1 ZINC000668422177 487727736 /nfs/dbraw/zinc/72/77/36/487727736.db2.gz SHSRYNOEXCMMTD-JSGCOSHPSA-N 0 3 233.359 2.834 20 0 BFADHN C[C@@H]1CCN(CCCC2CCOCC2)C[C@@H]1F ZINC000681121769 487733910 /nfs/dbraw/zinc/73/39/10/487733910.db2.gz YSJRVCNMOQQVPH-OCCSQVGLSA-N 0 3 243.366 2.873 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1ncnn1C(C)C ZINC000088592754 487738213 /nfs/dbraw/zinc/73/82/13/487738213.db2.gz VNFQYHAENBIFOU-VXGBXAGGSA-N 0 3 238.379 2.773 20 0 BFADHN CCC[C@@H](C)NC(=O)Nc1cccc(CNC)c1 ZINC000667786777 487742425 /nfs/dbraw/zinc/74/24/25/487742425.db2.gz RNBDLFFEEGFPBC-LLVKDONJSA-N 0 3 249.358 2.716 20 0 BFADHN COc1c(C)cccc1CN[C@H]1CCSC1 ZINC000076684103 487744796 /nfs/dbraw/zinc/74/47/96/487744796.db2.gz VZUHQKBUHCXBCM-LBPRGKRZSA-N 0 3 237.368 2.599 20 0 BFADHN CC(C)(C)N(CCC1CCCCC1)CC(N)=O ZINC000675549067 487746798 /nfs/dbraw/zinc/74/67/98/487746798.db2.gz CKYBTCBIFBOSAJ-UHFFFAOYSA-N 0 3 240.391 2.543 20 0 BFADHN COc1ccc([C@@H](C)N[C@H]2COCC2(C)C)cc1 ZINC000312976199 487748950 /nfs/dbraw/zinc/74/89/50/487748950.db2.gz DDFHSYAAXBOXDI-RISCZKNCSA-N 0 3 249.354 2.771 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2COCC2(C)C)cc1 ZINC000312976197 487748729 /nfs/dbraw/zinc/74/87/29/487748729.db2.gz DDFHSYAAXBOXDI-FZMZJTMJSA-N 0 3 249.354 2.771 20 0 BFADHN FC1(F)C[C@@]12CCN(Cc1ccsc1)C2 ZINC000652062215 487758171 /nfs/dbraw/zinc/75/81/71/487758171.db2.gz CDGSSPPGUFEBGR-SNVBAGLBSA-N 0 3 229.295 2.979 20 0 BFADHN FC1(F)C[C@]12CCN(Cc1ccsc1)C2 ZINC000652062214 487758291 /nfs/dbraw/zinc/75/82/91/487758291.db2.gz CDGSSPPGUFEBGR-JTQLQIEISA-N 0 3 229.295 2.979 20 0 BFADHN C[C@@H]1c2ccccc2OCCN1CC1CC1 ZINC000675645267 487759646 /nfs/dbraw/zinc/75/96/46/487759646.db2.gz HNTPYIMBERDUGE-LLVKDONJSA-N 0 3 217.312 2.852 20 0 BFADHN CCCOC(=O)[C@H](C)N(CC)C[C@@H](C)CC ZINC000748829445 585197191 /nfs/dbraw/zinc/19/71/91/585197191.db2.gz YSFLUPHWXAKBTC-RYUDHWBXSA-N 0 3 229.364 2.696 20 0 BFADHN COc1c(C)cnc(CN[C@@H]2CCC2(C)C)c1C ZINC000385141918 487766000 /nfs/dbraw/zinc/76/60/00/487766000.db2.gz WXQMXAGSYRDSLB-CYBMUJFWSA-N 0 3 248.370 2.985 20 0 BFADHN CCCC[C@H](CC)CCN1CC(C)(CO)C1 ZINC000459620026 487779395 /nfs/dbraw/zinc/77/93/95/487779395.db2.gz KDTPCLRGAFZMQT-ZDUSSCGKSA-N 0 3 227.392 2.907 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@H](C)C(C)C ZINC000675808353 487781401 /nfs/dbraw/zinc/78/14/01/487781401.db2.gz NBIRNIBFXIWSJD-GFCCVEGCSA-N 0 3 236.359 2.841 20 0 BFADHN FCCCN1CCCO[C@@H](c2ccccc2)C1 ZINC000675888058 487787292 /nfs/dbraw/zinc/78/72/92/487787292.db2.gz QESBDLXPQHLWGU-CQSZACIVSA-N 0 3 237.318 2.810 20 0 BFADHN Cc1cccc([C@H]2CCCN2CC2(C)COC2)n1 ZINC000675897944 487790253 /nfs/dbraw/zinc/79/02/53/487790253.db2.gz YHISQFZHGPJZFY-CQSZACIVSA-N 0 3 246.354 2.563 20 0 BFADHN COCCC1CN([C@@H](C)Cc2ccsc2)C1 ZINC000668472504 487797124 /nfs/dbraw/zinc/79/71/24/487797124.db2.gz AQKXHWVBUDIOHG-NSHDSACASA-N 0 3 239.384 2.647 20 0 BFADHN CC(C)(NCCC1CC1)C(=O)Nc1ccccc1 ZINC000675973286 487797864 /nfs/dbraw/zinc/79/78/64/487797864.db2.gz VVOXCJJFYIRAFW-UHFFFAOYSA-N 0 3 246.354 2.793 20 0 BFADHN CCN(Cc1ccoc1)C[C@H](O)C(C)(C)C ZINC000676004620 487799406 /nfs/dbraw/zinc/79/94/06/487799406.db2.gz IYCRAZVPAMQOKL-LBPRGKRZSA-N 0 3 225.332 2.509 20 0 BFADHN CC(C)(C)c1ccncc1CN[C@H]1CCCOC1 ZINC000414229447 487799552 /nfs/dbraw/zinc/79/95/52/487799552.db2.gz MYKONXQBEVOGNH-ZDUSSCGKSA-N 0 3 248.370 2.648 20 0 BFADHN C[C@@H]1CCN(Cc2cc3cnccc3o2)C1 ZINC000651624495 487801576 /nfs/dbraw/zinc/80/15/76/487801576.db2.gz RJADAAKFHZUIGG-SNVBAGLBSA-N 0 3 216.284 2.670 20 0 BFADHN C[C@H]1C[C@H](NCc2n[nH]c3ccccc32)CS1 ZINC000666417788 487803320 /nfs/dbraw/zinc/80/33/20/487803320.db2.gz SFUKESRDZKDJFL-UWVGGRQHSA-N 0 3 247.367 2.547 20 0 BFADHN C[C@H]1C[C@H](NCc2[nH]nc3ccccc32)CS1 ZINC000666417788 487803321 /nfs/dbraw/zinc/80/33/21/487803321.db2.gz SFUKESRDZKDJFL-UWVGGRQHSA-N 0 3 247.367 2.547 20 0 BFADHN F[C@@H]1CCCC[C@H]1NCc1n[nH]c2ccccc21 ZINC000666436863 487809460 /nfs/dbraw/zinc/80/94/60/487809460.db2.gz GSMKTCAOSAHPPX-DGCLKSJQSA-N 0 3 247.317 2.933 20 0 BFADHN F[C@@H]1CCCC[C@H]1NCc1[nH]nc2ccccc21 ZINC000666436863 487809462 /nfs/dbraw/zinc/80/94/62/487809462.db2.gz GSMKTCAOSAHPPX-DGCLKSJQSA-N 0 3 247.317 2.933 20 0 BFADHN Cc1ccc(CN2CCCOC[C@@H]2C)cc1C ZINC000678273097 487809775 /nfs/dbraw/zinc/80/97/75/487809775.db2.gz JRXALYVDBDCEJZ-AWEZNQCLSA-N 0 3 233.355 2.914 20 0 BFADHN CCN(CCC(F)(F)F)C[C@@H]1CCCOC1 ZINC000676082978 487809902 /nfs/dbraw/zinc/80/99/02/487809902.db2.gz WHBLIILDAUMJCV-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN COC(C)(C)CN(C)Cc1cc2cnccc2o1 ZINC000651650045 487812044 /nfs/dbraw/zinc/81/20/44/487812044.db2.gz ARRIMFDCKRKOSS-UHFFFAOYSA-N 0 3 248.326 2.685 20 0 BFADHN c1[nH]nc2c1CCN(CC1CCCCCC1)C2 ZINC000678273555 487812150 /nfs/dbraw/zinc/81/21/50/487812150.db2.gz SLIYTWXACZOBAU-UHFFFAOYSA-N 0 3 233.359 2.738 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCCOC2)c(C)n1 ZINC000676086454 487812396 /nfs/dbraw/zinc/81/23/96/487812396.db2.gz DSMMAIGFAZHASL-CHWSQXEVSA-N 0 3 234.343 2.528 20 0 BFADHN Cc1ccc(CNCCOCC2CCCC2)nc1 ZINC000313301224 487813315 /nfs/dbraw/zinc/81/33/15/487813315.db2.gz PAQHGSNOPWPQHW-UHFFFAOYSA-N 0 3 248.370 2.686 20 0 BFADHN CCCn1cc(CN2CC[C@H](C3CCC3)C2)cn1 ZINC000666488242 487819133 /nfs/dbraw/zinc/81/91/33/487819133.db2.gz SYOZJCLPGPNDCK-HNNXBMFYSA-N 0 3 247.386 2.915 20 0 BFADHN c1ncc(CN2CC[C@H](C3CCC3)C2)s1 ZINC000666487743 487819315 /nfs/dbraw/zinc/81/93/15/487819315.db2.gz KDMXDYFGKISWAE-NSHDSACASA-N 0 3 222.357 2.765 20 0 BFADHN Cc1cc(C)c2c(c1)CN([C@@H]1CCCOC1)CC2 ZINC000681601035 487821689 /nfs/dbraw/zinc/82/16/89/487821689.db2.gz HAKFKOCDNKQHDK-OAHLLOKOSA-N 0 3 245.366 2.841 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1cc2cnccc2o1 ZINC000651805411 487829571 /nfs/dbraw/zinc/82/95/71/487829571.db2.gz QQYUACWKODHKNC-JOYOIKCWSA-N 0 3 248.351 2.669 20 0 BFADHN C[C@H](N[C@@H]1CCOC1)c1cc(F)c(F)c(F)c1 ZINC000089555091 487830365 /nfs/dbraw/zinc/83/03/65/487830365.db2.gz YXFMHXYNUFGBDY-IONNQARKSA-N 0 3 245.244 2.543 20 0 BFADHN CCN(C[C@@H](C)OC)C[C@@H]1CC1(Cl)Cl ZINC000812908487 586588751 /nfs/dbraw/zinc/58/87/51/586588751.db2.gz LTGNQFGYLFKPPU-BDAKNGLRSA-N 0 3 240.174 2.537 20 0 BFADHN Cl/C=C/CN1CCSC[C@H]1C1CCC1 ZINC000842171455 588004388 /nfs/dbraw/zinc/00/43/88/588004388.db2.gz RIFKTOQBIMLJCW-DGXRNCNBSA-N 0 3 231.792 2.956 20 0 BFADHN CC[C@@H](C)N1CCOc2ccccc2C1 ZINC000681682515 487838735 /nfs/dbraw/zinc/83/87/35/487838735.db2.gz SEWLLWAPQIPSPC-LLVKDONJSA-N 0 3 205.301 2.680 20 0 BFADHN C[C@@H]1CCN(CCOC(F)F)CC1(C)C ZINC000851936793 588646050 /nfs/dbraw/zinc/64/60/50/588646050.db2.gz WXGOHQAUKRXGOZ-SECBINFHSA-N 0 3 221.291 2.594 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCCC[C@H]2C)n1C ZINC000668115587 487843700 /nfs/dbraw/zinc/84/37/00/487843700.db2.gz CALBXOPPUATUMK-BXUZGUMPSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@H]1CN(CCO[C@H]2CC2(F)F)CC(C)(C)C1 ZINC000660248966 487845410 /nfs/dbraw/zinc/84/54/10/487845410.db2.gz WHKSEOSPKFTDGS-MNOVXSKESA-N 0 3 247.329 2.779 20 0 BFADHN CC[C@@]1(CO)CCCN([C@@H](C)c2ccncc2)C1 ZINC000681710862 487847183 /nfs/dbraw/zinc/84/71/83/487847183.db2.gz XWSPEWZMJRJSOF-DZGCQCFKSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1nc2ccccc2nc1CNCC1CCC1 ZINC000676424304 487850399 /nfs/dbraw/zinc/85/03/99/487850399.db2.gz WSQIYRVJHNGHMR-UHFFFAOYSA-N 0 3 241.338 2.828 20 0 BFADHN Fc1cccnc1CN[C@@H]1CC[C@@H]1C1CCC1 ZINC000558699049 322954040 /nfs/dbraw/zinc/95/40/40/322954040.db2.gz VSPHHRCCGZNSFB-DGCLKSJQSA-N 0 3 234.318 2.889 20 0 BFADHN CC[C@@H]1CN(C[C@H](C)C(C)(C)C)CCO1 ZINC000670262106 487858124 /nfs/dbraw/zinc/85/81/24/487858124.db2.gz QSNRFLZZPISENR-NWDGAFQWSA-N 0 3 213.365 2.779 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1cccs1 ZINC000071011364 487861108 /nfs/dbraw/zinc/86/11/08/487861108.db2.gz JFAYRBJWYVUUEW-ZJUUUORDSA-N 0 3 211.330 2.578 20 0 BFADHN C(N[C@@H]1CCCC12CC2)c1noc2c1CCCC2 ZINC000668174766 487863777 /nfs/dbraw/zinc/86/37/77/487863777.db2.gz XICLOXSERVAKOX-CQSZACIVSA-N 0 3 246.354 2.976 20 0 BFADHN CC(C)C1CN(Cc2ccc3c(c2)CCO3)C1 ZINC000684407722 487869480 /nfs/dbraw/zinc/86/94/80/487869480.db2.gz CCVJLFCYALQOMW-UHFFFAOYSA-N 0 3 231.339 2.709 20 0 BFADHN Cc1nc(CN2CCC[C@H](C)CC2)c(C)o1 ZINC000659856317 487871720 /nfs/dbraw/zinc/87/17/20/487871720.db2.gz CRMBWRXFZSTRFT-JTQLQIEISA-N 0 3 222.332 2.913 20 0 BFADHN C[C@H](NCCc1ccncc1)c1ccoc1 ZINC000087289902 322963665 /nfs/dbraw/zinc/96/36/65/322963665.db2.gz TUOLBZBPKCZVIC-NSHDSACASA-N 0 3 216.284 2.568 20 0 BFADHN C[C@@H](NCCCOCC1CC1)c1ccoc1 ZINC000087289629 322963662 /nfs/dbraw/zinc/96/36/62/322963662.db2.gz CIAMPKVTAJAOKB-LLVKDONJSA-N 0 3 223.316 2.747 20 0 BFADHN c1ccc([C@H]2CCN2[C@@H]2CCCOC2)cc1 ZINC000676627063 487878623 /nfs/dbraw/zinc/87/86/23/487878623.db2.gz MIIPNWZTOITORL-ZIAGYGMSSA-N 0 3 217.312 2.612 20 0 BFADHN CN(CC1(c2ccccc2)CC1)[C@H]1CCCOC1 ZINC000682030690 487883345 /nfs/dbraw/zinc/88/33/45/487883345.db2.gz ONUAOTFQPBWFDI-HNNXBMFYSA-N 0 3 245.366 2.829 20 0 BFADHN CCc1ccc(CNC2(COC)CCC2)s1 ZINC000309975608 487883352 /nfs/dbraw/zinc/88/33/52/487883352.db2.gz SLXOKDWADYBMLV-UHFFFAOYSA-N 0 3 239.384 2.969 20 0 BFADHN Cc1cc(C)cc(CN[C@@H]2CO[C@@H](C3CC3)C2)c1 ZINC000668531140 487894224 /nfs/dbraw/zinc/89/42/24/487894224.db2.gz PICCILAXWKTOGS-JKSUJKDBSA-N 0 3 245.366 2.961 20 0 BFADHN CC(C)N[C@@H](Cn1cccn1)c1ccccc1 ZINC000676703603 487896421 /nfs/dbraw/zinc/89/64/21/487896421.db2.gz QOBGKMPBXWIFAW-AWEZNQCLSA-N 0 3 229.327 2.622 20 0 BFADHN c1cncc(CNC[C@@H]2CCC3(CCCC3)O2)c1 ZINC000229269629 487900053 /nfs/dbraw/zinc/90/00/53/487900053.db2.gz HKACUXSCJVAYQF-AWEZNQCLSA-N 0 3 246.354 2.663 20 0 BFADHN CN(CCC1CC1)[C@H]1CCCc2c1cnn2C ZINC000676717455 487904938 /nfs/dbraw/zinc/90/49/38/487904938.db2.gz KEQGDUCKOWCLED-ZDUSSCGKSA-N 0 3 233.359 2.529 20 0 BFADHN C[C@H](N[C@H](CO)CCF)c1ccccc1Cl ZINC000652306905 487907175 /nfs/dbraw/zinc/90/71/75/487907175.db2.gz IKYOSQKUYGWLOB-UWVGGRQHSA-N 0 3 245.725 2.711 20 0 BFADHN C[C@@H]1CN(CCCOC(C)(C)C)[C@@H](C)[C@H](C)O1 ZINC000682091123 487908883 /nfs/dbraw/zinc/90/88/83/487908883.db2.gz IEIFUQDXISBZOD-AGIUHOORSA-N 0 3 243.391 2.689 20 0 BFADHN c1ncc(CNC[C@H]2Cc3ccccc32)s1 ZINC000054788112 487910383 /nfs/dbraw/zinc/91/03/83/487910383.db2.gz SYLKIFGSYPJBDV-LLVKDONJSA-N 0 3 230.336 2.573 20 0 BFADHN Fc1cccc([C@@H]2CCN2CC[C@H]2CCOC2)c1 ZINC000682155699 487916468 /nfs/dbraw/zinc/91/64/68/487916468.db2.gz CITUPKNWVRJFHQ-WFASDCNBSA-N 0 3 249.329 2.999 20 0 BFADHN Fc1ccc(/C=C\CN[C@@H]2C[C@H]3CC[C@@H]2O3)cc1 ZINC000255111215 487917625 /nfs/dbraw/zinc/91/76/25/487917625.db2.gz IIFUBAMLAFVMDD-XPWLIZJSSA-N 0 3 247.313 2.748 20 0 BFADHN C[C@@H](CF)NC[C@@H]1C[C@H]1c1ccccc1 ZINC000308946558 533702888 /nfs/dbraw/zinc/70/28/88/533702888.db2.gz SHVADNMSNHUUCT-DRZSPHRISA-N 0 3 207.292 2.738 20 0 BFADHN COc1cc(CN2CC[C@H]3CCC[C@H]32)sn1 ZINC000660332311 487941187 /nfs/dbraw/zinc/94/11/87/487941187.db2.gz KRPHNGYNSFSVEO-MWLCHTKSSA-N 0 3 238.356 2.526 20 0 BFADHN CC[C@H](C)N(C)Cc1cnc(OC)c(Cl)c1 ZINC000676893036 487943301 /nfs/dbraw/zinc/94/33/01/487943301.db2.gz AXFPJVSOITUBBB-VIFPVBQESA-N 0 3 242.750 2.974 20 0 BFADHN Cc1noc([C@H](C)N2CC[C@H](C)C[C@H](C)C2)n1 ZINC000676904568 487945361 /nfs/dbraw/zinc/94/53/61/487945361.db2.gz JVELMHWNYVYDQQ-DCAQKATOSA-N 0 3 237.347 2.807 20 0 BFADHN CC1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000109824840 322891029 /nfs/dbraw/zinc/89/10/29/322891029.db2.gz AOAXLXYDDPLXAF-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN COC[C@H](N[C@H](C)c1cc(C)ccn1)C(C)C ZINC000560525803 322989078 /nfs/dbraw/zinc/98/90/78/322989078.db2.gz YTWOPFCGJMXWMB-OCCSQVGLSA-N 0 3 236.359 2.712 20 0 BFADHN C[C@H](NC1CC(C)C1)c1cn2ccccc2n1 ZINC000566475929 323000549 /nfs/dbraw/zinc/00/05/49/323000549.db2.gz RCAJMZHIZDCPOQ-CXQJBGSLSA-N 0 3 229.327 2.783 20 0 BFADHN F[C@@]1(c2ccccc2)CCN(C[C@H]2CCOC2)C1 ZINC000561487602 323002856 /nfs/dbraw/zinc/00/28/56/323002856.db2.gz UECYOXXSQWNSGX-HIFRSBDPSA-N 0 3 249.329 2.594 20 0 BFADHN F[C@]1(c2ccccc2)CCN(C[C@H]2CCOC2)C1 ZINC000561487603 323002875 /nfs/dbraw/zinc/00/28/75/323002875.db2.gz UECYOXXSQWNSGX-UKRRQHHQSA-N 0 3 249.329 2.594 20 0 BFADHN CCN(CCO)Cc1c(C)cc(Cl)cc1C ZINC000127951766 323005989 /nfs/dbraw/zinc/00/59/89/323005989.db2.gz QWOXZHCGIJNRJI-UHFFFAOYSA-N 0 3 241.762 2.771 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCC2(C1)Oc1ccccc1O2 ZINC000561986554 323009962 /nfs/dbraw/zinc/00/99/62/323009962.db2.gz KETBFSYEERGQLE-NWDGAFQWSA-N 0 3 245.322 2.516 20 0 BFADHN CCCC[C@@H](C)N(C)CC(=O)NC(C)(C)CC ZINC000562058750 323011378 /nfs/dbraw/zinc/01/13/78/323011378.db2.gz QECCYLRKFLBLOS-GFCCVEGCSA-N 0 3 242.407 2.802 20 0 BFADHN Cc1ncc(CN[C@@H]2C=CCCC2)s1 ZINC000151187017 323012722 /nfs/dbraw/zinc/01/27/22/323012722.db2.gz YLLQPIKGEURMES-SNVBAGLBSA-N 0 3 208.330 2.650 20 0 BFADHN Cc1ccc(CN2C[C@H](O)C[C@@H]2C)cc1Cl ZINC000562488752 323021845 /nfs/dbraw/zinc/02/18/45/323021845.db2.gz SPWZQKBTFSFIDG-CMPLNLGQSA-N 0 3 239.746 2.604 20 0 BFADHN CCC[C@H](N[C@@H]1C[C@@H]1OCC)c1cccnc1 ZINC000562498683 323022031 /nfs/dbraw/zinc/02/20/31/323022031.db2.gz CYMVUANACYFNHI-MJBXVCDLSA-N 0 3 234.343 2.690 20 0 BFADHN CC1(NCc2ccncc2Cl)CCC1 ZINC000228853479 323023378 /nfs/dbraw/zinc/02/33/78/323023378.db2.gz YQJQCWZNWLIYRR-UHFFFAOYSA-N 0 3 210.708 2.767 20 0 BFADHN C(=C\c1ccccc1)\CN1CC2(C1)CCOCC2 ZINC000562686647 323027692 /nfs/dbraw/zinc/02/76/92/323027692.db2.gz PWLFQQCKYVGQBE-DAXSKMNVSA-N 0 3 243.350 2.812 20 0 BFADHN c1ccc(CCN2CCOC3(CCCC3)C2)cc1 ZINC000139799658 323034453 /nfs/dbraw/zinc/03/44/53/323034453.db2.gz QQQZQNMDIMFLFE-UHFFFAOYSA-N 0 3 245.366 2.874 20 0 BFADHN CC[C@](C)(CNCc1ccncc1Cl)OC ZINC000322688744 323046915 /nfs/dbraw/zinc/04/69/15/323046915.db2.gz KCRKVHTYQOQSJO-GFCCVEGCSA-N 0 3 242.750 2.640 20 0 BFADHN C[C@@H](NC1CC1)c1cncc(Br)c1 ZINC000570057797 323048730 /nfs/dbraw/zinc/04/87/30/323048730.db2.gz GVMLFIQXKDBEHA-SSDOTTSWSA-N 0 3 241.132 2.657 20 0 BFADHN CC[C@@H](C)N(C)Cc1c[nH]nc1C(C)(C)C ZINC000154566632 323057525 /nfs/dbraw/zinc/05/75/25/323057525.db2.gz LEXXOWVQFZBNRI-SNVBAGLBSA-N 0 3 223.364 2.938 20 0 BFADHN Cc1nnc(CN[C@@H](C2CC2)C2CCC2)s1 ZINC000570485891 323060946 /nfs/dbraw/zinc/06/09/46/323060946.db2.gz MGCPFECZJUSKGF-GFCCVEGCSA-N 0 3 237.372 2.515 20 0 BFADHN C[C@H](NCCCC1CC1)c1nccs1 ZINC000161708829 323067835 /nfs/dbraw/zinc/06/78/35/323067835.db2.gz HAWZVCZIFBWXDS-VIFPVBQESA-N 0 3 210.346 2.984 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1cccnc1C ZINC000570762055 323069526 /nfs/dbraw/zinc/06/95/26/323069526.db2.gz KKHYBGHBITUJOO-ZDUSSCGKSA-N 0 3 238.400 2.963 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H](c2cccnc2)C2CCC2)CO1 ZINC000570801153 323070685 /nfs/dbraw/zinc/07/06/85/323070685.db2.gz BZYUROOSXYGEHI-BYCMXARLSA-N 0 3 246.354 2.690 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CCC(C)CC1 ZINC000158871890 323073991 /nfs/dbraw/zinc/07/39/91/323073991.db2.gz RZJZJJYKRZSILG-UHFFFAOYSA-N 0 3 249.402 2.777 20 0 BFADHN CCc1noc(C)c1CN1CCCC1(C)C ZINC000163463503 323076975 /nfs/dbraw/zinc/07/69/75/323076975.db2.gz MDAFYVAIFCETJN-UHFFFAOYSA-N 0 3 222.332 2.920 20 0 BFADHN C[C@H](O)[C@H](C)NCc1cc(C2CC2)ccc1F ZINC000562998408 323078967 /nfs/dbraw/zinc/07/89/67/323078967.db2.gz HVGSNKSPPRPUTE-UWVGGRQHSA-N 0 3 237.318 2.562 20 0 BFADHN CC1(C)COC[C@H]1NCc1ccc2cc[nH]c2c1 ZINC000313034865 323080388 /nfs/dbraw/zinc/08/03/88/323080388.db2.gz WKVRSFINPUELMD-CQSZACIVSA-N 0 3 244.338 2.683 20 0 BFADHN CC(C)O[C@@H]1CCN(C[C@H](C)C(F)(F)F)C1 ZINC000563066443 323084119 /nfs/dbraw/zinc/08/41/19/323084119.db2.gz PNKSWWRMNXQNPJ-VHSXEESVSA-N 0 3 239.281 2.684 20 0 BFADHN c1ccc([C@@H]2CCCN2CCOCC2CC2)nc1 ZINC000531201386 323085179 /nfs/dbraw/zinc/08/51/79/323085179.db2.gz MFVSEVSWIDGRRH-HNNXBMFYSA-N 0 3 246.354 2.645 20 0 BFADHN CC/C=C/CCN1C[C@H](C)O[C@H](C)[C@@H]1C ZINC000446060289 323087360 /nfs/dbraw/zinc/08/73/60/323087360.db2.gz MFGOHOYAQJITFW-PDSHNHIRSA-N 0 3 211.349 2.840 20 0 BFADHN COCCCCN(C)CCC(=O)c1ccccc1 ZINC000397300637 323087457 /nfs/dbraw/zinc/08/74/57/323087457.db2.gz LKVPBBXAHWAXSO-UHFFFAOYSA-N 0 3 249.354 2.618 20 0 BFADHN C[C@@H]1CCN(Cc2cncn2C)[C@@H]2CCCC[C@@H]12 ZINC000531438113 323089641 /nfs/dbraw/zinc/08/96/41/323089641.db2.gz ASANMECKTVEPRU-VHDGCEQUSA-N 0 3 247.386 2.821 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCC2=CCOCC2)o1 ZINC000397722099 323090577 /nfs/dbraw/zinc/09/05/77/323090577.db2.gz WUNAWGJPOCZNHM-RISCZKNCSA-N 0 3 247.338 2.839 20 0 BFADHN C[C@H](NCC1=CCOCC1)c1cccc(F)c1 ZINC000397746705 323091534 /nfs/dbraw/zinc/09/15/34/323091534.db2.gz ZEOINAZAIKLWCZ-NSHDSACASA-N 0 3 235.302 2.823 20 0 BFADHN CC[C@H](N[C@@H]1CCC[C@H](F)C1)c1nccn1C ZINC000563124845 323094194 /nfs/dbraw/zinc/09/41/94/323094194.db2.gz KHPZLWMURWTZMM-TUAOUCFPSA-N 0 3 239.338 2.742 20 0 BFADHN Cc1cnc(CNC[C@H]2CCCC2(F)F)s1 ZINC000394732010 323096376 /nfs/dbraw/zinc/09/63/76/323096376.db2.gz NXZDHUBDWSXFPY-SECBINFHSA-N 0 3 246.326 2.977 20 0 BFADHN COC[C@@H](CC(C)C)N[C@@H]1CCCC[C@H]1F ZINC000393473707 323097983 /nfs/dbraw/zinc/09/79/83/323097983.db2.gz OCQHHJVQEMURIS-JHJVBQTASA-N 0 3 231.355 2.918 20 0 BFADHN CCn1ccnc1CN[C@H]1CCCC12CCC2 ZINC000394745429 323100033 /nfs/dbraw/zinc/10/00/33/323100033.db2.gz WETQZCRCTWLKPZ-LBPRGKRZSA-N 0 3 233.359 2.715 20 0 BFADHN CC[C@@H](NCCc1ccc(C)o1)c1cnn(C)c1 ZINC000531686403 323111086 /nfs/dbraw/zinc/11/10/86/323111086.db2.gz YEANQIYPSFIKBU-CQSZACIVSA-N 0 3 247.342 2.605 20 0 BFADHN Cc1c(CN(C)[C@@H](C)C(C)(C)C)cnn1C ZINC000534349997 323117487 /nfs/dbraw/zinc/11/74/87/323117487.db2.gz PLHGGAOLIHQLCO-NSHDSACASA-N 0 3 223.364 2.595 20 0 BFADHN CN1CCN(C/C=C/c2ccccc2)CC1(C)C ZINC000563356196 323120514 /nfs/dbraw/zinc/12/05/14/323120514.db2.gz VKSJCBOXNIQWJU-JXMROGBWSA-N 0 3 244.382 2.726 20 0 BFADHN COC[C@@H](NCc1noc2ccccc12)C(C)C ZINC000563418899 323123820 /nfs/dbraw/zinc/12/38/20/323123820.db2.gz QXHJOCRKODOIGA-CYBMUJFWSA-N 0 3 248.326 2.588 20 0 BFADHN COCCN[C@@H]1c2cccc(F)c2CC[C@H]1C ZINC000152098549 323126284 /nfs/dbraw/zinc/12/62/84/323126284.db2.gz VFIXTCPQDICEPH-YGRLFVJLSA-N 0 3 237.318 2.685 20 0 BFADHN COc1ccc(OC)c(CN[C@@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000563441586 323126710 /nfs/dbraw/zinc/12/67/10/323126710.db2.gz BASZLWGTTATCSW-AGGWBTHJSA-N 0 3 247.338 2.592 20 0 BFADHN C[C@@H](NCC1(CF)CCOCC1)c1ccoc1 ZINC000563510471 323128358 /nfs/dbraw/zinc/12/83/58/323128358.db2.gz NDDAIYYKNPWALM-LLVKDONJSA-N 0 3 241.306 2.697 20 0 BFADHN CSc1ccc(CN[C@H]2CO[C@H](C)C2)cc1 ZINC000402188708 323134320 /nfs/dbraw/zinc/13/43/20/323134320.db2.gz DCPZETSMXZPNHS-ZYHUDNBSSA-N 0 3 237.368 2.676 20 0 BFADHN FC1(CN2CCC(C3CCOCC3)CC2)CC1 ZINC000571158192 323136917 /nfs/dbraw/zinc/13/69/17/323136917.db2.gz HXPMMBZPRYICFV-UHFFFAOYSA-N 0 3 241.350 2.627 20 0 BFADHN C/C(=C/c1ccccc1)CN[C@@H]1CO[C@@H](C)C1 ZINC000571208575 323138617 /nfs/dbraw/zinc/13/86/17/323138617.db2.gz SHPREGXVAWVFQD-QFGWSVGLSA-N 0 3 231.339 2.857 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](CC)c1ccc(OC)cc1 ZINC000571389287 323143443 /nfs/dbraw/zinc/14/34/43/323143443.db2.gz LZNFKUXHWBLZKQ-QLFBSQMISA-N 0 3 249.354 2.913 20 0 BFADHN CC1=CC[C@@H]([NH2+]Cc2nnc(C(C)(C)C)[n-]2)CC1 ZINC000571524489 323147295 /nfs/dbraw/zinc/14/72/95/323147295.db2.gz PSEDJYIVVVTUAZ-LLVKDONJSA-N 0 3 248.374 2.691 20 0 BFADHN CC1=CC[C@@H](NCc2nnc(C(C)(C)C)[nH]2)CC1 ZINC000571524489 323147296 /nfs/dbraw/zinc/14/72/96/323147296.db2.gz PSEDJYIVVVTUAZ-LLVKDONJSA-N 0 3 248.374 2.691 20 0 BFADHN Cc1nc(CN[C@@H]2CC3CCC2CC3)[nH]c1C ZINC000571539544 323147585 /nfs/dbraw/zinc/14/75/85/323147585.db2.gz ZCOBXQPLUBVJPR-WXRRBKDZSA-N 0 3 233.359 2.695 20 0 BFADHN Cc1cncc([C@@H](C)NC[C@H]2CCC(C)(C)O2)c1 ZINC000187860534 323154485 /nfs/dbraw/zinc/15/44/85/323154485.db2.gz DSYWKVSPEHPZFW-TZMCWYRMSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1cncc([C@H](C)NC[C@@H]2CCC(C)(C)O2)c1 ZINC000187860505 323154515 /nfs/dbraw/zinc/15/45/15/323154515.db2.gz DSYWKVSPEHPZFW-JSGCOSHPSA-N 0 3 248.370 2.998 20 0 BFADHN COc1ccc(CN2C3CCC2CC3)c(OC)c1 ZINC000535066030 323164978 /nfs/dbraw/zinc/16/49/78/323164978.db2.gz FZXZPLQJMZBCPE-UHFFFAOYSA-N 0 3 247.338 2.831 20 0 BFADHN C[C@@H]1CCN(Cc2cnn(C)c2)[C@H]2CCCC[C@@H]12 ZINC000531988675 323165009 /nfs/dbraw/zinc/16/50/09/323165009.db2.gz CBANYYLCHHMWKM-SNPRPXQTSA-N 0 3 247.386 2.821 20 0 BFADHN CC(=O)Nc1ccccc1CN1C2CCC1CC2 ZINC000535066362 323165039 /nfs/dbraw/zinc/16/50/39/323165039.db2.gz NFQPNCRFCRPHTL-UHFFFAOYSA-N 0 3 244.338 2.772 20 0 BFADHN CCCCN(CCO)Cc1ccc(CC)s1 ZINC000050106154 323166285 /nfs/dbraw/zinc/16/62/85/323166285.db2.gz BTSXLUBHQMIYOO-UHFFFAOYSA-N 0 3 241.400 2.905 20 0 BFADHN CC(C)n1cncc1CN1C[C@@H](C)[C@H](C)[C@@H]1C ZINC000425371081 323173949 /nfs/dbraw/zinc/17/39/49/323173949.db2.gz PNDYXKVGMRKXBB-AGIUHOORSA-N 0 3 235.375 2.940 20 0 BFADHN COCCCCOc1cc(C)nc(C)c1C ZINC000563791471 323177992 /nfs/dbraw/zinc/17/79/92/323177992.db2.gz WWJZVLMHEUYIIS-UHFFFAOYSA-N 0 3 223.316 2.812 20 0 BFADHN CCc1nnc(CNCC(CC)(CC)CC)[nH]1 ZINC000395118726 323187671 /nfs/dbraw/zinc/18/76/71/323187671.db2.gz IPAKYZOYUQXGPM-UHFFFAOYSA-N 0 3 238.379 2.673 20 0 BFADHN CCc1nnc(C[NH2+]CC(CC)(CC)CC)[n-]1 ZINC000395118726 323187672 /nfs/dbraw/zinc/18/76/72/323187672.db2.gz IPAKYZOYUQXGPM-UHFFFAOYSA-N 0 3 238.379 2.673 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2ccccn2)o1 ZINC000535754171 323190368 /nfs/dbraw/zinc/19/03/68/323190368.db2.gz NTXJFVZPTKEZQD-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN CCN(CC)Cc1cc2c(cc1OC)C[C@@H](C)O2 ZINC000535778358 323191075 /nfs/dbraw/zinc/19/10/75/323191075.db2.gz MHSUYHFRZDIQCR-LLVKDONJSA-N 0 3 249.354 2.860 20 0 BFADHN Cc1ccc(CN2C3CCC2CC3)nc1 ZINC000535782519 323191278 /nfs/dbraw/zinc/19/12/78/323191278.db2.gz KIGIMGHOXAMWLJ-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN CC(C)OC1CCN(Cc2cccc(O)c2)CC1 ZINC000535796941 323191632 /nfs/dbraw/zinc/19/16/32/323191632.db2.gz COBBQXUOPXGQOB-UHFFFAOYSA-N 0 3 249.354 2.782 20 0 BFADHN CC[C@H](NCc1cc[nH]n1)C1CCCCC1 ZINC000052335838 323192799 /nfs/dbraw/zinc/19/27/99/323192799.db2.gz BDEMWLWEEJOPAX-ZDUSSCGKSA-N 0 3 221.348 2.858 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)[C@@H](C)C(C)(C)C ZINC000535826695 323192837 /nfs/dbraw/zinc/19/28/37/323192837.db2.gz RIWOXDKKFBEHEZ-NSHDSACASA-N 0 3 223.364 2.893 20 0 BFADHN COC(C)(C)CN(C)Cc1ccsc1 ZINC000535852360 323193527 /nfs/dbraw/zinc/19/35/27/323193527.db2.gz VMFIITBJJZLMHE-UHFFFAOYSA-N 0 3 213.346 2.605 20 0 BFADHN CCN(CC)CC(=O)N(CC)C1CCCCC1 ZINC000052868268 323195790 /nfs/dbraw/zinc/19/57/90/323195790.db2.gz UARCHIOUAFPENM-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CCCOc1ccc(CNC[C@H](C)OC)cc1 ZINC000223965749 323195879 /nfs/dbraw/zinc/19/58/79/323195879.db2.gz RYQPHUUJAJCKTH-LBPRGKRZSA-N 0 3 237.343 2.600 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1cc(C)sc1C ZINC000309040507 323197621 /nfs/dbraw/zinc/19/76/21/323197621.db2.gz KZPZPHGEOQVAAM-NEPJUHHUSA-N 0 3 225.357 2.632 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1cc(C)sc1C ZINC000309040508 323197634 /nfs/dbraw/zinc/19/76/34/323197634.db2.gz KZPZPHGEOQVAAM-NWDGAFQWSA-N 0 3 225.357 2.632 20 0 BFADHN COc1cc(CN2C[C@H](C)C[C@@H]2C)cc(OC)c1 ZINC000536682373 323200775 /nfs/dbraw/zinc/20/07/75/323200775.db2.gz KOWHBAPWVBPWBT-NEPJUHHUSA-N 0 3 249.354 2.934 20 0 BFADHN COc1cc(CN2C[C@@H](C)C[C@@H]2C)cc(OC)c1 ZINC000536682375 323200829 /nfs/dbraw/zinc/20/08/29/323200829.db2.gz KOWHBAPWVBPWBT-RYUDHWBXSA-N 0 3 249.354 2.934 20 0 BFADHN Cc1nc(CNC[C@@H]2CC[C@@H](C)C2)[nH]c1C ZINC000563898333 323206308 /nfs/dbraw/zinc/20/63/08/323206308.db2.gz FJFBPOCVDBSEHC-BXKDBHETSA-N 0 3 221.348 2.552 20 0 BFADHN COC[C@@H](CC(C)C)NCc1cccc(C)n1 ZINC000532193706 323208696 /nfs/dbraw/zinc/20/86/96/323208696.db2.gz QRFMULDIBRPOLT-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN CCC(CC)N(Cc1cc(C)ncn1)C1CC1 ZINC000520742630 323223596 /nfs/dbraw/zinc/22/35/96/323223596.db2.gz HQKPMQOPPFZFFP-UHFFFAOYSA-N 0 3 233.359 2.938 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)C1)c1ccncn1 ZINC000395914743 323263861 /nfs/dbraw/zinc/26/38/61/323263861.db2.gz QSXRCNOJZGXOBI-GRYCIOLGSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H]1C)c1ccncn1 ZINC000395913594 323265826 /nfs/dbraw/zinc/26/58/26/323265826.db2.gz QHVICICXMHDXCA-SDDRHHMPSA-N 0 3 219.332 2.563 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@H]1C)c1ccncn1 ZINC000395913593 323265950 /nfs/dbraw/zinc/26/59/50/323265950.db2.gz QHVICICXMHDXCA-GRYCIOLGSA-N 0 3 219.332 2.563 20 0 BFADHN C[C@@H](N[C@@H](C1CC1)C1CCC1)c1nccn1C ZINC000324711503 323266198 /nfs/dbraw/zinc/26/61/98/323266198.db2.gz CEKGRDJIMAPTSW-ZWNOBZJWSA-N 0 3 233.359 2.649 20 0 BFADHN CC(C)CC[C@H](C)N[C@@H](C)c1ccncn1 ZINC000395923359 323267473 /nfs/dbraw/zinc/26/74/73/323267473.db2.gz UTMNAVVXVLMMKG-RYUDHWBXSA-N 0 3 221.348 2.952 20 0 BFADHN Cc1ccccc1CCN[C@H](C)c1ccncn1 ZINC000395924409 323267536 /nfs/dbraw/zinc/26/75/36/323267536.db2.gz PHVJQGJBSJIRCK-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@@H](NCCC(C)(C)C)c1ccncn1 ZINC000395925364 323267707 /nfs/dbraw/zinc/26/77/07/323267707.db2.gz VRHZRQPXZSJPHA-SNVBAGLBSA-N 0 3 207.321 2.563 20 0 BFADHN COCC1(NCc2ccnc3ccccc23)CC1 ZINC000532479250 323269698 /nfs/dbraw/zinc/26/96/98/323269698.db2.gz GEEDPZOCKGHYAC-UHFFFAOYSA-N 0 3 242.322 2.503 20 0 BFADHN COCc1ccc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)o1 ZINC000403252797 323338532 /nfs/dbraw/zinc/33/85/32/323338532.db2.gz VDTNNYNEAFVAEC-FRRDWIJNSA-N 0 3 249.354 2.952 20 0 BFADHN CCc1nc(CNC(C)(C)C2CC2)cs1 ZINC000230674745 323398176 /nfs/dbraw/zinc/39/81/76/323398176.db2.gz ICMNPWHQCGVGFK-UHFFFAOYSA-N 0 3 224.373 2.984 20 0 BFADHN C[C@H](NCC1CC(C)(C)C1)c1cnccn1 ZINC000309610240 323430784 /nfs/dbraw/zinc/43/07/84/323430784.db2.gz ZTBTYRBZSNCESE-JTQLQIEISA-N 0 3 219.332 2.563 20 0 BFADHN CC[C@@H](NCCCC1CC1)c1ccn(C)n1 ZINC000309713864 323452949 /nfs/dbraw/zinc/45/29/49/323452949.db2.gz RXXGGJKDHQPJHF-GFCCVEGCSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1ccc(CNCC2CC(C)(C)C2)nc1 ZINC000309722608 323453500 /nfs/dbraw/zinc/45/35/00/323453500.db2.gz WNLGRWIDSDVQSE-UHFFFAOYSA-N 0 3 218.344 2.916 20 0 BFADHN COc1ccc(CN2[C@@H](C)C[C@@H]2C)cc1OC ZINC000527529578 323672902 /nfs/dbraw/zinc/67/29/02/323672902.db2.gz DIQQBQUTDGMVFW-QWRGUYRKSA-N 0 3 235.327 2.687 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1csc(C)c1 ZINC000527783406 323691218 /nfs/dbraw/zinc/69/12/18/323691218.db2.gz UBMLNJYUTMUQJH-NEPJUHHUSA-N 0 3 225.357 2.714 20 0 BFADHN C[C@@H](NCC12CC(C1)CO2)c1ccccc1F ZINC000527789328 323696341 /nfs/dbraw/zinc/69/63/41/323696341.db2.gz IMTYNMLCIKQUKX-CDWSIMAYSA-N 0 3 235.302 2.655 20 0 BFADHN Cc1csc(CN[C@@H](C)[C@H]2CC23CC3)n1 ZINC000527844664 323702174 /nfs/dbraw/zinc/70/21/74/323702174.db2.gz HLZYOGWMIPOXGW-VHSXEESVSA-N 0 3 222.357 2.730 20 0 BFADHN CCN[C@H](C)c1cc(-c2ccccc2)n(C)n1 ZINC000527845055 323703017 /nfs/dbraw/zinc/70/30/17/323703017.db2.gz IIDWUQVAVIOCIS-LLVKDONJSA-N 0 3 229.327 2.758 20 0 BFADHN CCCc1ncc(CN[C@H](C)[C@H]2CC23CC3)o1 ZINC000527907236 323716777 /nfs/dbraw/zinc/71/67/77/323716777.db2.gz UUJXUXCVHDTZAR-ZYHUDNBSSA-N 0 3 234.343 2.905 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CC12CC2)c1nccs1 ZINC000527907867 323718165 /nfs/dbraw/zinc/71/81/65/323718165.db2.gz JASYTKIEAGFXGD-LPEHRKFASA-N 0 3 222.357 2.982 20 0 BFADHN CC[C@H](NC[C@@H]1CC[C@H]2C[C@H]2C1)c1nccn1C ZINC000527926288 323732439 /nfs/dbraw/zinc/73/24/39/323732439.db2.gz LZUXIAHQVYWKAY-RQJABVFESA-N 0 3 247.386 2.897 20 0 BFADHN CCn1ncc(CN[C@H]2CCCC23CCCC3)n1 ZINC000527926394 323732681 /nfs/dbraw/zinc/73/26/81/323732681.db2.gz ZTWWWWURWNJCNI-ZDUSSCGKSA-N 0 3 248.374 2.501 20 0 BFADHN CCC[C@H](CC)N[C@@H]1Cc2ccccc2NC1=O ZINC000528000176 323735739 /nfs/dbraw/zinc/73/57/39/323735739.db2.gz NMGYPSXZOTWTHX-GXTWGEPZSA-N 0 3 246.354 2.718 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](C)c1cncc(C)c1 ZINC000527976848 323740384 /nfs/dbraw/zinc/74/03/84/323740384.db2.gz GHDRZHIMXJFJKR-YUTCNCBUSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@@H](c1ccccn1)N1C[C@@H](C)S[C@H](C)C1 ZINC000532970064 323808163 /nfs/dbraw/zinc/80/81/63/323808163.db2.gz TYFSRFXTCHQKBA-UTUOFQBUSA-N 0 3 236.384 2.968 20 0 BFADHN C[C@@H](N[C@@H]1CS[C@@H](C)C1)c1cccc(O)c1 ZINC000227503888 487962027 /nfs/dbraw/zinc/96/20/27/487962027.db2.gz OOOHDXZBHZBWIY-UMNHJUIQSA-N 0 3 237.368 2.937 20 0 BFADHN C[C@H](NCCCO)c1ccc(Cl)c(F)c1 ZINC000212790625 323904902 /nfs/dbraw/zinc/90/49/02/323904902.db2.gz JCWHSQAIXJPGDH-QMMMGPOBSA-N 0 3 231.698 2.512 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCO[C@@H]2C)cc1F ZINC000121634226 324018672 /nfs/dbraw/zinc/01/86/72/324018672.db2.gz BXKRNWFZMCZXCG-JTNHKYCSSA-N 0 3 237.318 2.962 20 0 BFADHN CC(C)N(Cc1cc(F)cc(F)c1)C[C@@H](C)O ZINC000093143312 324037452 /nfs/dbraw/zinc/03/74/52/324037452.db2.gz LHHLPXJZCJBASI-SNVBAGLBSA-N 0 3 243.297 2.556 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2ccc(C)o2)C1(C)C ZINC000072926312 324061175 /nfs/dbraw/zinc/06/11/75/324061175.db2.gz ODTNXFIGWIDBSW-OCCSQVGLSA-N 0 3 237.343 2.881 20 0 BFADHN CC[C@H](NC1(COC)CC1)c1cccc(OC)c1 ZINC000537449808 324072681 /nfs/dbraw/zinc/07/26/81/324072681.db2.gz OHNHJCYHAQNCKK-AWEZNQCLSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccc(CN2CCC2(C)C)cc1C ZINC000537633432 324091940 /nfs/dbraw/zinc/09/19/40/324091940.db2.gz NGOPKEADFFFAJJ-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN Cc1cccnc1CN1CCC(OC(C)C)CC1 ZINC000537861787 324105610 /nfs/dbraw/zinc/10/56/10/324105610.db2.gz NQVUBVQXEYVGBF-UHFFFAOYSA-N 0 3 248.370 2.779 20 0 BFADHN CN(CCC1CC1)Cc1cnc(C2CC2)nc1 ZINC000459369326 324146588 /nfs/dbraw/zinc/14/65/88/324146588.db2.gz WRRCAGBMXCBYBD-UHFFFAOYSA-N 0 3 231.343 2.586 20 0 BFADHN CC1(O)CCN(Cc2ccc3cc[nH]c3c2)CC1 ZINC000529532549 324326698 /nfs/dbraw/zinc/32/66/98/324326698.db2.gz QNRUDIZDUNUYCJ-UHFFFAOYSA-N 0 3 244.338 2.515 20 0 BFADHN Cc1cc(CN2CC[C@H]2C(C)C)ccn1 ZINC000529536173 324328693 /nfs/dbraw/zinc/32/86/93/324328693.db2.gz LKDITELPEPWTPI-ZDUSSCGKSA-N 0 3 204.317 2.620 20 0 BFADHN Fc1ccc(CNCC23CCC(CC2)C3)nc1 ZINC000529542873 324332720 /nfs/dbraw/zinc/33/27/20/324332720.db2.gz MCPZIGPBRQKKFW-UHFFFAOYSA-N 0 3 234.318 2.891 20 0 BFADHN Cc1scnc1NC(=O)C(C)C(F)(F)F ZINC000529549729 324337654 /nfs/dbraw/zinc/33/76/54/324337654.db2.gz RXNVKLZVYIBABJ-SCSAIBSYSA-N 0 3 238.234 2.588 20 0 BFADHN Fc1ccc(CNCCCC2CC2)nc1 ZINC000529551901 324339034 /nfs/dbraw/zinc/33/90/34/324339034.db2.gz WONKJYKMBDSLEI-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN C[C@H]1CC[C@H](CNCc2ccc(F)cn2)C1 ZINC000529560112 324342904 /nfs/dbraw/zinc/34/29/04/324342904.db2.gz YJAPIYLCKHMJLB-QWRGUYRKSA-N 0 3 222.307 2.747 20 0 BFADHN CCN(Cc1ccc2cc[nH]c2c1)[C@H]1CCOC1 ZINC000529572597 324347064 /nfs/dbraw/zinc/34/70/64/324347064.db2.gz HEHYFWJOUKSSGX-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1ncc(CNCC[C@@H]2CC=CCC2)o1 ZINC000529661829 324372367 /nfs/dbraw/zinc/37/23/67/324372367.db2.gz AMYAVNCXEMOGIU-GFCCVEGCSA-N 0 3 220.316 2.819 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2N1C[C@H]2CC[C@@H](C1)O2 ZINC000682438909 487963783 /nfs/dbraw/zinc/96/37/83/487963783.db2.gz SMHGLHWPNGUPDX-GUTXKFCHSA-N 0 3 229.323 2.537 20 0 BFADHN CC[C@@H](N[C@H](CO)c1ccccc1OC)C1CC1 ZINC000537981691 324603143 /nfs/dbraw/zinc/60/31/43/324603143.db2.gz VPEVABYIELXGLC-ZIAGYGMSSA-N 0 3 249.354 2.507 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H]1CCCc2occc21 ZINC000124022334 324608399 /nfs/dbraw/zinc/60/83/99/324608399.db2.gz OOYJUGPLHBMAEW-YRGRVCCFSA-N 0 3 235.327 2.814 20 0 BFADHN O[C@H]1CCCC[C@@H]1CN[C@@H]1CCCc2occc21 ZINC000124055069 324609366 /nfs/dbraw/zinc/60/93/66/324609366.db2.gz SIOLOAGCXBYVHA-BNOWGMLFSA-N 0 3 249.354 2.798 20 0 BFADHN Cc1ncc([C@H](C)N2C[C@@H]3CCC[C@@H]32)c(C)n1 ZINC000682439277 487964881 /nfs/dbraw/zinc/96/48/81/487964881.db2.gz PCSGPLZUUJWSPT-JKOKRWQUSA-N 0 3 231.343 2.639 20 0 BFADHN COCCN[C@H]1C[C@@H](C)c2c1cccc2C ZINC000127816252 324789590 /nfs/dbraw/zinc/78/95/90/324789590.db2.gz FUVZDXYLDLSWCO-YPMHNXCESA-N 0 3 219.328 2.779 20 0 BFADHN CCn1ccnc1CNCC1(CC)CCC1 ZINC000227762457 324864011 /nfs/dbraw/zinc/86/40/11/324864011.db2.gz PJPALIXJTCIZLV-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN COc1c(C)cnc(CNC2(C3CC3)CC2)c1C ZINC000541597771 324968127 /nfs/dbraw/zinc/96/81/27/324968127.db2.gz ZGSICKQHOPUITC-UHFFFAOYSA-N 0 3 246.354 2.739 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@H](C)c1nccn1C ZINC000070445291 325009993 /nfs/dbraw/zinc/00/99/93/325009993.db2.gz HASUAFUMJVYEHI-GHMZBOCLSA-N 0 3 249.383 2.763 20 0 BFADHN CCn1cc(CN(C2CC2)C2CCCC2)cn1 ZINC000130210661 325024058 /nfs/dbraw/zinc/02/40/58/325024058.db2.gz UZWZRDJPJVWEOV-UHFFFAOYSA-N 0 3 233.359 2.810 20 0 BFADHN OCc1ccc(CN(C2CC2)C2CCCC2)o1 ZINC000130219920 325025341 /nfs/dbraw/zinc/02/53/41/325025341.db2.gz NCDZDKGRXZJYQK-UHFFFAOYSA-N 0 3 235.327 2.679 20 0 BFADHN CC(C)C[C@@H]1CCCN(Cc2ccnn2C)C1 ZINC000130274047 325032273 /nfs/dbraw/zinc/03/22/73/325032273.db2.gz JZBYACIGLLKIMC-ZDUSSCGKSA-N 0 3 235.375 2.678 20 0 BFADHN FC1(CN2CC3(CCC3)[C@H]2C2CC2)CC1 ZINC000564262305 325062170 /nfs/dbraw/zinc/06/21/70/325062170.db2.gz XQRSIOBQYROMBJ-LLVKDONJSA-N 0 3 209.308 2.753 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1CC[C@H](CC)C1 ZINC000542929143 325063649 /nfs/dbraw/zinc/06/36/49/325063649.db2.gz RUNNZPVMFZHEIP-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@@H](NCCc1ccc(C)o1)c1ccn(C)n1 ZINC000543601529 325096639 /nfs/dbraw/zinc/09/66/39/325096639.db2.gz LRNYAYYXZDXCKA-CYBMUJFWSA-N 0 3 247.342 2.605 20 0 BFADHN CC[C@H](NCCc1ccsc1)c1ccn(C)n1 ZINC000543628640 325098754 /nfs/dbraw/zinc/09/87/54/325098754.db2.gz VDUXRPGATCJQGW-LBPRGKRZSA-N 0 3 249.383 2.765 20 0 BFADHN CO[C@H]1CC[C@H](NCc2cccc(F)c2F)C1 ZINC000231680824 325101985 /nfs/dbraw/zinc/10/19/85/325101985.db2.gz AVDZUEKPPYFBQI-QWRGUYRKSA-N 0 3 241.281 2.622 20 0 BFADHN CCc1ccc([C@@H](CO)N[C@@H]2C=CCCC2)cc1 ZINC000543809656 325115622 /nfs/dbraw/zinc/11/56/22/325115622.db2.gz JBHDOTUGDDIQSC-HZPDHXFCSA-N 0 3 245.366 2.981 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N(C)C[C@H](C)CC ZINC000543857949 325118413 /nfs/dbraw/zinc/11/84/13/325118413.db2.gz HHNIAOVOYUPBPD-CHWSQXEVSA-N 0 3 243.391 2.942 20 0 BFADHN C[C@H](N[C@@H](CO)CC(C)(C)C)c1ccoc1 ZINC000131135641 325120866 /nfs/dbraw/zinc/12/08/66/325120866.db2.gz SPFVIWKLSJQBJI-CMPLNLGQSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1nc2ccccc2c(SCCN(C)C)n1 ZINC000072205083 325126924 /nfs/dbraw/zinc/12/69/24/325126924.db2.gz NWGIELGFKMEDRY-UHFFFAOYSA-N 0 3 247.367 2.592 20 0 BFADHN c1ccc([C@H](NCC2CC2)c2cncnc2)cc1 ZINC000544581518 325150276 /nfs/dbraw/zinc/15/02/76/325150276.db2.gz KSFDROGYNAUESA-HNNXBMFYSA-N 0 3 239.322 2.566 20 0 BFADHN Cc1nc(CN[C@@H]2CCCSC2)sc1C ZINC000131643680 325172863 /nfs/dbraw/zinc/17/28/63/325172863.db2.gz LSYSXGXXWZYDRB-SNVBAGLBSA-N 0 3 242.413 2.745 20 0 BFADHN C[C@@H](O)CN[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000131852837 325187328 /nfs/dbraw/zinc/18/73/28/325187328.db2.gz YAMZZTDZTLIKRX-SFYZADRCSA-N 0 3 231.698 2.511 20 0 BFADHN CC1(C)CN(Cc2ccno2)CC(C)(C)C1 ZINC000545224905 325196325 /nfs/dbraw/zinc/19/63/25/325196325.db2.gz JBMCUYINNYTTAV-UHFFFAOYSA-N 0 3 222.332 2.933 20 0 BFADHN CCc1ccc(CN[C@@H](C)C[C@H]2CCCO2)o1 ZINC000132149552 325201887 /nfs/dbraw/zinc/20/18/87/325201887.db2.gz OLNNSEGGMSLKBT-WCQYABFASA-N 0 3 237.343 2.889 20 0 BFADHN COc1ccc(CN2C[C@@H]3CCCC[C@@H]3C2)cn1 ZINC000132351083 325212276 /nfs/dbraw/zinc/21/22/76/325212276.db2.gz VGUKWARMBOOWKY-OKILXGFUSA-N 0 3 246.354 2.712 20 0 BFADHN C[C@H](CN1CCOC[C@@H]1C1CC1)c1ccccc1 ZINC000132358850 325212473 /nfs/dbraw/zinc/21/24/73/325212473.db2.gz HQEBADSFRXIVGV-CZUORRHYSA-N 0 3 245.366 2.901 20 0 BFADHN CCC[C@H](C)CNCc1ncc(C)s1 ZINC000133202945 325276841 /nfs/dbraw/zinc/27/68/41/325276841.db2.gz HINQWSZDPUJJPR-VIFPVBQESA-N 0 3 212.362 2.977 20 0 BFADHN Cc1cnc(CN2CCCC[C@@H]2C(C)C)o1 ZINC000075747723 325310183 /nfs/dbraw/zinc/31/01/83/325310183.db2.gz PPVIOSDONGVOQO-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1cnc(CN2C[C@H](C)CCC[C@@H]2C)o1 ZINC000075767271 325310765 /nfs/dbraw/zinc/31/07/65/325310765.db2.gz NQBMZFBCJWXFAU-MNOVXSKESA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@H](NCCCF)c1ccc(F)cn1 ZINC000134593195 325366486 /nfs/dbraw/zinc/36/64/86/325366486.db2.gz QLHMYZMKHPGUSL-SNVBAGLBSA-N 0 3 214.259 2.621 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1cc[nH]n1 ZINC000564326156 325376511 /nfs/dbraw/zinc/37/65/11/325376511.db2.gz REBZQEAMWIZASU-YPMHNXCESA-N 0 3 221.348 2.810 20 0 BFADHN C[C@H]1C[C@H](C)N1CCc1ccccc1F ZINC000547769469 325391714 /nfs/dbraw/zinc/39/17/14/325391714.db2.gz XJMDASYJLLRLCF-QWRGUYRKSA-N 0 3 207.292 2.851 20 0 BFADHN C[C@H]1C[C@H](C)N1CCCC(=O)OC(C)(C)C ZINC000547769061 325394362 /nfs/dbraw/zinc/39/43/62/325394362.db2.gz JRYOZNNAWDVQMI-QWRGUYRKSA-N 0 3 227.348 2.591 20 0 BFADHN CCCc1ccc([C@H](C)N[C@H](C)CC(N)=O)cc1 ZINC000135727111 325424710 /nfs/dbraw/zinc/42/47/10/325424710.db2.gz QQFNNWQROCOCGY-NEPJUHHUSA-N 0 3 248.370 2.554 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CCOc1ccc(F)cc1 ZINC000548098697 325430023 /nfs/dbraw/zinc/43/00/23/325430023.db2.gz YIKPQNZUFDXVPQ-GHMZBOCLSA-N 0 3 223.291 2.687 20 0 BFADHN C[C@H](N[C@@H]1CCS[C@H]1C)c1cncs1 ZINC000306447671 487967592 /nfs/dbraw/zinc/96/75/92/487967592.db2.gz VXIKCBVSNXZOMP-XHNCKOQMSA-N 0 3 228.386 2.688 20 0 BFADHN COc1cccc(OCCN2[C@@H](C)C[C@@H]2C)c1 ZINC000548753477 325478138 /nfs/dbraw/zinc/47/81/38/325478138.db2.gz ZZOBWOCCHVJGSI-RYUDHWBXSA-N 0 3 235.327 2.557 20 0 BFADHN C[C@]12C[C@H]1CCC[C@H]2NCc1ncccc1F ZINC000548792786 325484691 /nfs/dbraw/zinc/48/46/91/325484691.db2.gz RTDXBGFOOVFIRP-HONMWMINSA-N 0 3 234.318 2.889 20 0 BFADHN Fc1ccccc1CCN1CCC2(CCO2)CC1 ZINC000548797370 325485286 /nfs/dbraw/zinc/48/52/86/325485286.db2.gz OUPROTSLICHWHA-UHFFFAOYSA-N 0 3 249.329 2.623 20 0 BFADHN C[C@H](NC[C@H]1CCC2(CCCC2)O1)c1cn[nH]c1 ZINC000549134186 325497465 /nfs/dbraw/zinc/49/74/65/325497465.db2.gz UMPLKARTLNOLKJ-WCQYABFASA-N 0 3 249.358 2.552 20 0 BFADHN F[C@@H]1CCC[C@@H]1Nc1ccnc2ccccc21 ZINC000549699660 325512596 /nfs/dbraw/zinc/51/25/96/325512596.db2.gz QKYHVYQJMLREPZ-RISCZKNCSA-N 0 3 230.286 2.959 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1nccn1CC ZINC000083863548 325575884 /nfs/dbraw/zinc/57/58/84/325575884.db2.gz SNTZJTXNHZYHJH-NWDGAFQWSA-N 0 3 223.364 2.817 20 0 BFADHN Cc1ccnc([C@@H](C)NCCOCC2CCC2)c1 ZINC000552065201 325593378 /nfs/dbraw/zinc/59/33/78/325593378.db2.gz JTACLYDBKLQTDT-CYBMUJFWSA-N 0 3 248.370 2.857 20 0 BFADHN c1ccc2c(c1)CCN(CCOC1CCC1)CC2 ZINC000553885154 325700932 /nfs/dbraw/zinc/70/09/32/325700932.db2.gz GJUBWEQKYBWPJH-UHFFFAOYSA-N 0 3 245.366 2.656 20 0 BFADHN Cc1cc([C@H](C)NCC2CC(C)(C)C2)nn1C ZINC000554557481 325727350 /nfs/dbraw/zinc/72/73/50/325727350.db2.gz YQCXFPQWUJFEKQ-NSHDSACASA-N 0 3 235.375 2.815 20 0 BFADHN COc1ccc(F)c(CNC2(C3CC3)CC2)c1 ZINC000555564549 325762847 /nfs/dbraw/zinc/76/28/47/325762847.db2.gz PIKAEAFTHAGRNZ-UHFFFAOYSA-N 0 3 235.302 2.867 20 0 BFADHN CO[C@@H](CN1CCc2ccccc2CC1)C1CC1 ZINC000564880100 325822177 /nfs/dbraw/zinc/82/21/77/325822177.db2.gz BIEOENPQTDPMTK-INIZCTEOSA-N 0 3 245.366 2.512 20 0 BFADHN Cc1cc(OCc2cccnc2)c(C)c(C)n1 ZINC000565223372 325880054 /nfs/dbraw/zinc/88/00/54/325880054.db2.gz ZMZRBWCOUJTYOI-UHFFFAOYSA-N 0 3 228.295 2.981 20 0 BFADHN CC(C)(C)c1ncc(CN[C@@H]2[C@@H]3CCC[C@@H]32)cn1 ZINC000565963362 325979564 /nfs/dbraw/zinc/97/95/64/325979564.db2.gz YBOZXRDOGFNGGK-CLLJXQQHSA-N 0 3 245.370 2.662 20 0 BFADHN CC[C@H](N[C@H]1CCC[C@@H](F)C1)c1ccn(C)n1 ZINC000566221755 326017325 /nfs/dbraw/zinc/01/73/25/326017325.db2.gz QQPZLMFLGDYEMM-WOPDTQHZSA-N 0 3 239.338 2.742 20 0 BFADHN C[C@@H](Cc1cccc(F)c1)N(C)Cc1ccno1 ZINC000566724203 326076863 /nfs/dbraw/zinc/07/68/63/326076863.db2.gz NZEITEJDBANROE-NSHDSACASA-N 0 3 248.301 2.877 20 0 BFADHN CCC[C@H](C)[C@@H](CO)NCc1ccccc1F ZINC000566740954 326077797 /nfs/dbraw/zinc/07/77/97/326077797.db2.gz QCKSNBXYGJPIDR-SMDDNHRTSA-N 0 3 239.334 2.712 20 0 BFADHN CCC[C@@H](C)[C@@H](CO)NCc1ccccc1F ZINC000566740950 326078940 /nfs/dbraw/zinc/07/89/40/326078940.db2.gz QCKSNBXYGJPIDR-BXUZGUMPSA-N 0 3 239.334 2.712 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CSC[C@@H]1C)CO2 ZINC000566777847 326081626 /nfs/dbraw/zinc/08/16/26/326081626.db2.gz CZEMJTUNOGWRQM-CYZMBNFOSA-N 0 3 249.379 2.770 20 0 BFADHN CCC(CC)NC(=O)[C@H](C)N(CC)CC(C)C ZINC000153758038 326111953 /nfs/dbraw/zinc/11/19/53/326111953.db2.gz WXFNGQGXFLFENR-LBPRGKRZSA-N 0 3 242.407 2.658 20 0 BFADHN COc1cc(CCNCc2ccco2)ccc1C ZINC000153811743 326114294 /nfs/dbraw/zinc/11/42/94/326114294.db2.gz PSLWYGHVLGRMMT-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN Cc1cccc([C@@H](C)CNCc2ncc[nH]2)c1 ZINC000153982320 326123313 /nfs/dbraw/zinc/12/33/13/326123313.db2.gz CMGCBPPFPNQRGQ-LBPRGKRZSA-N 0 3 229.327 2.611 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnc2ccc(C)cn12 ZINC000154579343 326151738 /nfs/dbraw/zinc/15/17/38/326151738.db2.gz NCRKHKMYSNLOAM-GFCCVEGCSA-N 0 3 231.343 2.873 20 0 BFADHN COCC1(CN[C@H](C)c2ccoc2)CCC1 ZINC000309758450 326166843 /nfs/dbraw/zinc/16/68/43/326166843.db2.gz LZXOYWWXXNVRSI-LLVKDONJSA-N 0 3 223.316 2.747 20 0 BFADHN COCCN[C@@H]1CCCc2ccc(Cl)cc21 ZINC000309949434 326176787 /nfs/dbraw/zinc/17/67/87/326176787.db2.gz FLALTCMYYXOYRS-CYBMUJFWSA-N 0 3 239.746 2.953 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)CC1CCCC1 ZINC000155025373 326180275 /nfs/dbraw/zinc/18/02/75/326180275.db2.gz JHCPTYDICAYVLA-UHFFFAOYSA-N 0 3 235.375 2.659 20 0 BFADHN CC[C@H](CNCc1cnccn1)c1ccccc1 ZINC000567448244 326193571 /nfs/dbraw/zinc/19/35/71/326193571.db2.gz QXWHBFLFLIQBIQ-CYBMUJFWSA-N 0 3 241.338 2.760 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H]3CCCC[C@@H]32)n1 ZINC000156099943 326219024 /nfs/dbraw/zinc/21/90/24/326219024.db2.gz MFHZKTXGYUVYQO-JSGCOSHPSA-N 0 3 246.354 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H]3CCCC[C@@H]32)n1 ZINC000156099943 326219025 /nfs/dbraw/zinc/21/90/25/326219025.db2.gz MFHZKTXGYUVYQO-JSGCOSHPSA-N 0 3 246.354 2.860 20 0 BFADHN Cc1ccc2ncc(CN(C)CC3CCC3)n2c1 ZINC000156629490 326237481 /nfs/dbraw/zinc/23/74/81/326237481.db2.gz NZXLIUOEJAUSFS-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1ccc(NC(=O)CN(C)C(C)(C)C)cc1C ZINC000567810722 326260861 /nfs/dbraw/zinc/26/08/61/326260861.db2.gz DVSQYMDGDLWVES-UHFFFAOYSA-N 0 3 248.370 2.972 20 0 BFADHN CCn1nc(C)c(CN2CCCCCC2)c1C ZINC000157374728 326271448 /nfs/dbraw/zinc/27/14/48/326271448.db2.gz NFJPNBAWWTZPTB-UHFFFAOYSA-N 0 3 235.375 2.896 20 0 BFADHN CC(C)[C@@H](N[C@H]1CO[C@H](C)C1)c1cccnc1 ZINC000567857835 326272110 /nfs/dbraw/zinc/27/21/10/326272110.db2.gz NYBFDRSGWSXXHU-MRVWCRGKSA-N 0 3 234.343 2.546 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cnn(CC)c1 ZINC000157446927 326275709 /nfs/dbraw/zinc/27/57/09/326275709.db2.gz WFISNHJSDOKZLC-CYBMUJFWSA-N 0 3 221.348 2.668 20 0 BFADHN CCCN(CCC)Cc1cnc2ccccn12 ZINC000157609463 326286660 /nfs/dbraw/zinc/28/66/60/326286660.db2.gz ZNYUJLVTSCACKI-UHFFFAOYSA-N 0 3 231.343 2.956 20 0 BFADHN C[C@H]1CCCC[C@H]1OCCSCCN(C)C ZINC000157823556 326297099 /nfs/dbraw/zinc/29/70/99/326297099.db2.gz BDCDZKFHNLSZIL-QWHCGFSZSA-N 0 3 245.432 2.877 20 0 BFADHN CCCn1cc(CN2C[C@H](C)C[C@H](C)C2)cn1 ZINC000158010408 326303774 /nfs/dbraw/zinc/30/37/74/326303774.db2.gz IJORMUNSHGPDSA-BETUJISGSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1ccn2c(CN(C)[C@@H](C)C3CC3)cnc2c1 ZINC000158055544 326306243 /nfs/dbraw/zinc/30/62/43/326306243.db2.gz ADKSNGVFHYRQDP-LBPRGKRZSA-N 0 3 243.354 2.873 20 0 BFADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1ccn(C)n1 ZINC000568140743 326312137 /nfs/dbraw/zinc/31/21/37/326312137.db2.gz VWPTXLSPIPRDNZ-JSGCOSHPSA-N 0 3 235.375 2.821 20 0 BFADHN N#Cc1ccc(CN2CCC[C@H]2C2CCC2)nc1 ZINC000568169705 326319414 /nfs/dbraw/zinc/31/94/14/326319414.db2.gz RKGZXTCNZVCHPL-HNNXBMFYSA-N 0 3 241.338 2.718 20 0 BFADHN CO[C@H](C)CN(Cc1ccncc1C)C(C)C ZINC000568180069 326321287 /nfs/dbraw/zinc/32/12/87/326321287.db2.gz ZJVHUXZCIILCID-CYBMUJFWSA-N 0 3 236.359 2.635 20 0 BFADHN C[C@H](NC1CCC1)c1cn2ccccc2n1 ZINC000568238306 326332175 /nfs/dbraw/zinc/33/21/75/326332175.db2.gz RVTGRCSUALZTFH-JTQLQIEISA-N 0 3 215.300 2.537 20 0 BFADHN CC[C@H](C)NCc1noc2ccccc12 ZINC000568238244 326332411 /nfs/dbraw/zinc/33/24/11/326332411.db2.gz QEIVEMQHHIXCKW-VIFPVBQESA-N 0 3 204.273 2.716 20 0 BFADHN c1c(CNCCCC2CC2)nc2ccccn12 ZINC000158655911 326335681 /nfs/dbraw/zinc/33/56/81/326335681.db2.gz FUXWBXICWHNSET-UHFFFAOYSA-N 0 3 229.327 2.614 20 0 BFADHN CC(C)N(C)Cc1cnc(C2CC2)s1 ZINC000158737481 326341236 /nfs/dbraw/zinc/34/12/36/326341236.db2.gz HJMAKUXSABQVCE-UHFFFAOYSA-N 0 3 210.346 2.861 20 0 BFADHN CCCn1cc(CN2CCCC[C@@H]2C)cn1 ZINC000158935476 326345349 /nfs/dbraw/zinc/34/53/49/326345349.db2.gz FTMZMLQORVZMES-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN CC[C@H](NCc1nc(C)c(C)[nH]1)[C@@H]1CC1(C)C ZINC000568533649 326364386 /nfs/dbraw/zinc/36/43/86/326364386.db2.gz OLRRCXZUUULHKI-RYUDHWBXSA-N 0 3 235.375 2.941 20 0 BFADHN Cc1nc(CNC2C[C@@H](C)C[C@H](C)C2)[nH]c1C ZINC000568534103 326364512 /nfs/dbraw/zinc/36/45/12/326364512.db2.gz USISMSWSDXAILG-UWVGGRQHSA-N 0 3 235.375 2.941 20 0 BFADHN CCCCOCCN1CC[C@H](c2ccncc2)C1 ZINC000568644849 326370500 /nfs/dbraw/zinc/37/05/00/326370500.db2.gz UFSVUWZLVCBCAN-HNNXBMFYSA-N 0 3 248.370 2.688 20 0 BFADHN C[C@@H]1CN(CC(C)(C)C)CC2(CCC2)O1 ZINC000568747914 326374874 /nfs/dbraw/zinc/37/48/74/326374874.db2.gz SZBGHHGWWNBWDR-LLVKDONJSA-N 0 3 211.349 2.676 20 0 BFADHN CN(C)Cc1cccc(C(=O)N(C)C(C)(C)C)c1 ZINC000568887089 326381457 /nfs/dbraw/zinc/38/14/57/326381457.db2.gz RTCNFWCCYLLVLB-UHFFFAOYSA-N 0 3 248.370 2.619 20 0 BFADHN C[C@H](c1ccccc1)[C@H](O)CNCc1ccco1 ZINC000569198137 326394587 /nfs/dbraw/zinc/39/45/87/326394587.db2.gz QMQDKGYMKZZZOL-IUODEOHRSA-N 0 3 245.322 2.534 20 0 BFADHN Cc1nccn1CCCN[C@H](C)c1ccoc1 ZINC000165638609 326424800 /nfs/dbraw/zinc/42/48/00/326424800.db2.gz HBSCMXUWWSNXQS-LLVKDONJSA-N 0 3 233.315 2.525 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1ncccc1OC ZINC000573061394 326427630 /nfs/dbraw/zinc/42/76/30/326427630.db2.gz OPBGWXARUPKNSW-NEPJUHHUSA-N 0 3 234.343 2.759 20 0 BFADHN CCC[C@H](CC)NC(=O)Nc1cccc(CN)c1 ZINC000050406834 487976727 /nfs/dbraw/zinc/97/67/27/487976727.db2.gz YKBKRDRDUDUTIG-LBPRGKRZSA-N 0 3 249.358 2.846 20 0 BFADHN Cc1ccc(CN2C[C@@H]3COC[C@]3(C)C2)cc1F ZINC000668594318 487979742 /nfs/dbraw/zinc/97/97/42/487979742.db2.gz BEXSDZPOOSHPME-HIFRSBDPSA-N 0 3 249.329 2.602 20 0 BFADHN CC1(C)C[C@H](NCc2ccc3[nH]ccc3c2)CO1 ZINC000396559996 487983322 /nfs/dbraw/zinc/98/33/22/487983322.db2.gz ZUOVVOXNBRDUMZ-ZDUSSCGKSA-N 0 3 244.338 2.825 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2COC(C)(C)C2)c1 ZINC000396594124 487986613 /nfs/dbraw/zinc/98/66/13/487986613.db2.gz NGFQWFBKOYVMTQ-LBPRGKRZSA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)C[C@@H](C)N1CCO[C@@H](COC(C)C)C1 ZINC000682072149 487987037 /nfs/dbraw/zinc/98/70/37/487987037.db2.gz HPQFXZRYBGCMME-ZIAGYGMSSA-N 0 3 243.391 2.547 20 0 BFADHN CCN(CCOC)Cc1ccc2[nH]ccc2c1 ZINC000682549374 487987817 /nfs/dbraw/zinc/98/78/17/487987817.db2.gz TTZKJJPRWSKCBZ-UHFFFAOYSA-N 0 3 232.327 2.636 20 0 BFADHN CCC[C@H](C)NC(=O)[C@@H](C)[C@@H](N)c1ccccc1 ZINC000226824228 487988290 /nfs/dbraw/zinc/98/82/90/487988290.db2.gz URVGRAWCKIBPNX-SGMGOOAPSA-N 0 3 248.370 2.627 20 0 BFADHN CCN1CCN(CCCC2CCCC2)C[C@H]1C ZINC000677191379 487994868 /nfs/dbraw/zinc/99/48/68/487994868.db2.gz BDVVNOLHEYLZHX-CQSZACIVSA-N 0 3 238.419 2.983 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)C(C)(C)O)oc1C ZINC000309606821 487995624 /nfs/dbraw/zinc/99/56/24/487995624.db2.gz YWAQLEWYAQNCGW-GXSJLCMTSA-N 0 3 225.332 2.706 20 0 BFADHN CCC[C@@H](N)c1cn(CCCC(C)C)nn1 ZINC000229957921 488009684 /nfs/dbraw/zinc/00/96/84/488009684.db2.gz AYZWHGHKTSOZBJ-LLVKDONJSA-N 0 3 224.352 2.514 20 0 BFADHN C[C@@H](NCc1ccsc1)[C@H]1CC1(F)F ZINC000666444166 488010458 /nfs/dbraw/zinc/01/04/58/488010458.db2.gz CLPXMCACAHSWRF-VXNVDRBHSA-N 0 3 217.284 2.881 20 0 BFADHN Cc1ccncc1CN[C@H](C)[C@H]1CC1(F)F ZINC000666444958 488012008 /nfs/dbraw/zinc/01/20/08/488012008.db2.gz MPKZBXJVQNQUGM-MWLCHTKSSA-N 0 3 226.270 2.523 20 0 BFADHN Cc1nc(C)c(CN[C@H](C)[C@H]2CC2(F)F)s1 ZINC000666445402 488012851 /nfs/dbraw/zinc/01/28/51/488012851.db2.gz SZCLCFMROXJMKM-HZGVNTEJSA-N 0 3 246.326 2.893 20 0 BFADHN Cc1ncsc1CN[C@H](C)[C@@H]1CC1(F)F ZINC000666445372 488012721 /nfs/dbraw/zinc/01/27/21/488012721.db2.gz SLEMHKFOUKYKKH-SVRRBLITSA-N 0 3 232.299 2.585 20 0 BFADHN Cc1ccc(CN[C@H](C)Cc2ccccn2)o1 ZINC000655842976 488012779 /nfs/dbraw/zinc/01/27/79/488012779.db2.gz GOYGHHXAMRWKGW-LLVKDONJSA-N 0 3 230.311 2.704 20 0 BFADHN C[C@@H](NCc1cccc(O)c1)[C@@H]1CC1(F)F ZINC000666445227 488012807 /nfs/dbraw/zinc/01/28/07/488012807.db2.gz RLHDGLMBYWRQFS-KCJUWKMLSA-N 0 3 227.254 2.526 20 0 BFADHN CCCCN(CC)Cc1ccnn1CCC ZINC000667473291 488018331 /nfs/dbraw/zinc/01/83/31/488018331.db2.gz FJWAHABHPZOQJK-UHFFFAOYSA-N 0 3 223.364 2.915 20 0 BFADHN CCCCN(CCCC)CC(=O)N[C@@H](C)CC ZINC000052870326 488018342 /nfs/dbraw/zinc/01/83/42/488018342.db2.gz MXDCFWDQPQLFCQ-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN CCCc1ccc(CN[C@H]2CO[C@H](C)C2)cc1 ZINC000647253448 488018714 /nfs/dbraw/zinc/01/87/14/488018714.db2.gz YDWZOQNPALHCQI-IUODEOHRSA-N 0 3 233.355 2.906 20 0 BFADHN Cc1ncc(CN(C)C2CCC(C)CC2)n1C ZINC000667484587 488024552 /nfs/dbraw/zinc/02/45/52/488024552.db2.gz QOCAPVIJWWDODB-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1occc1CN1CC[C@@H](C)O[C@@H](C)C1 ZINC000668626166 488025942 /nfs/dbraw/zinc/02/59/42/488025942.db2.gz SOPZKFWLNJVLJU-MNOVXSKESA-N 0 3 223.316 2.587 20 0 BFADHN CN(Cc1cnc2n1CCC2)CC1CCCCC1 ZINC000667494845 488026521 /nfs/dbraw/zinc/02/65/21/488026521.db2.gz NVDVIHUBKHUMQF-UHFFFAOYSA-N 0 3 247.386 2.841 20 0 BFADHN CC1=CCN([C@H](C)c2ccncc2)CC1 ZINC000677379781 488026523 /nfs/dbraw/zinc/02/65/23/488026523.db2.gz KJOBXQOBJJODER-GFCCVEGCSA-N 0 3 202.301 2.795 20 0 BFADHN COCCN(C)Cc1cc2ccc(C)cc2[nH]1 ZINC000667495672 488029233 /nfs/dbraw/zinc/02/92/33/488029233.db2.gz TZGLIHWJSODSMP-UHFFFAOYSA-N 0 3 232.327 2.555 20 0 BFADHN FC1(CN2CCC[C@H]([C@H]3CCCCO3)C2)CC1 ZINC000668630138 488029370 /nfs/dbraw/zinc/02/93/70/488029370.db2.gz HOQICMRTGSPHQB-QWHCGFSZSA-N 0 3 241.350 2.770 20 0 BFADHN Cc1ncc(CN(C2CC2)C2CCCC2)n1C ZINC000667498683 488029785 /nfs/dbraw/zinc/02/97/85/488029785.db2.gz RGLFZIZNHWQDAY-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1c(C2CC2)cnn1C ZINC000667499790 488030314 /nfs/dbraw/zinc/03/03/14/488030314.db2.gz VKJJRXWTGZOXBS-NSHDSACASA-N 0 3 235.375 2.774 20 0 BFADHN COc1cc(C)nc(CN2CCC[C@@H]2C2CC2)c1 ZINC000677440170 488036299 /nfs/dbraw/zinc/03/62/99/488036299.db2.gz KSUGPBYFLBNORB-OAHLLOKOSA-N 0 3 246.354 2.773 20 0 BFADHN Cc1ncc(CN(C)[C@@H](C)C(C)(C)C)n1C ZINC000667517657 488037130 /nfs/dbraw/zinc/03/71/30/488037130.db2.gz ALCZMNTWOSVEEM-JTQLQIEISA-N 0 3 223.364 2.595 20 0 BFADHN C[C@@H]1CCN(C[C@@H](O)C2CCCCC2)C[C@H]1F ZINC000682772894 488037636 /nfs/dbraw/zinc/03/76/36/488037636.db2.gz UDHCPRIWCBHJPZ-MRVWCRGKSA-N 0 3 243.366 2.608 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(C)C[C@@H](O)C2CC2)o1 ZINC000685460573 488037642 /nfs/dbraw/zinc/03/76/42/488037642.db2.gz BJAZHHVEKBBJBW-DDTOSNHZSA-N 0 3 249.354 2.606 20 0 BFADHN CC(C)N(Cc1c(C2CC2)cnn1C)CC1CC1 ZINC000667523302 488037795 /nfs/dbraw/zinc/03/77/95/488037795.db2.gz BHVRNKRHNBACLH-UHFFFAOYSA-N 0 3 247.386 2.918 20 0 BFADHN CCC1CCN(Cc2c(C3CC3)cnn2C)CC1 ZINC000667534546 488040352 /nfs/dbraw/zinc/04/03/52/488040352.db2.gz DLIDQUPWFZZKAM-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN Fc1ccc2c(c1)[C@H](N[C@@H]1C[C@H]3CC[C@@H]1O3)CC2 ZINC000268939565 488042098 /nfs/dbraw/zinc/04/20/98/488042098.db2.gz SQQUHXSWJVRJSQ-NGFQHRJXSA-N 0 3 247.313 2.723 20 0 BFADHN CCn1nccc1CCN(C)Cc1ccsc1 ZINC000670072094 488044539 /nfs/dbraw/zinc/04/45/39/488044539.db2.gz JLZSLWVEXVGCLS-UHFFFAOYSA-N 0 3 249.383 2.639 20 0 BFADHN CCC(C)(C)NCc1cnc2ccccc2n1 ZINC000037186207 488049205 /nfs/dbraw/zinc/04/92/05/488049205.db2.gz HWYUXIYDDHXULY-UHFFFAOYSA-N 0 3 229.327 2.908 20 0 BFADHN CCC1(C)CCN(Cc2cnn3c2CCC3)CC1 ZINC000667569940 488049675 /nfs/dbraw/zinc/04/96/75/488049675.db2.gz XPXDFBGKXYCRBC-UHFFFAOYSA-N 0 3 247.386 2.841 20 0 BFADHN CC(C)SCCN1CC[C@H](C)[C@@H](F)C1 ZINC000619781310 488050579 /nfs/dbraw/zinc/05/05/79/488050579.db2.gz SDPKUWHLOHNOBG-QWRGUYRKSA-N 0 3 219.369 2.808 20 0 BFADHN CC(C)SCCN1CC[C@@H](C)[C@H](F)C1 ZINC000619781308 488050912 /nfs/dbraw/zinc/05/09/12/488050912.db2.gz SDPKUWHLOHNOBG-GHMZBOCLSA-N 0 3 219.369 2.808 20 0 BFADHN CC[C@H](C)CCCCC(=O)N(C)CCN(C)C ZINC000680193853 488052303 /nfs/dbraw/zinc/05/23/03/488052303.db2.gz YSTUVJDCBVLSNM-ZDUSSCGKSA-N 0 3 242.407 2.613 20 0 BFADHN CC[C@@H](C)CCCCC(=O)N(C)CCN(C)C ZINC000680193850 488052493 /nfs/dbraw/zinc/05/24/93/488052493.db2.gz YSTUVJDCBVLSNM-CYBMUJFWSA-N 0 3 242.407 2.613 20 0 BFADHN CC1(C)CCN(Cc2ccnn2CC2CC2)CC1 ZINC000667588837 488053910 /nfs/dbraw/zinc/05/39/10/488053910.db2.gz GLEPMGCLDNQDTH-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)C(C)(C)C)n(C)n1 ZINC000669492975 488056032 /nfs/dbraw/zinc/05/60/32/488056032.db2.gz SNOBANCDWALCSR-NSHDSACASA-N 0 3 223.364 2.595 20 0 BFADHN CN(Cc1cc2ccncc2s1)[C@H]1CCOC1 ZINC000667597633 488056254 /nfs/dbraw/zinc/05/62/54/488056254.db2.gz URFXZJDCWOJZFO-NSHDSACASA-N 0 3 248.351 2.517 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CCC[C@@H]1C1CC1 ZINC000667596823 488056269 /nfs/dbraw/zinc/05/62/69/488056269.db2.gz SNEFJZOTXHBZHV-CQSZACIVSA-N 0 3 245.370 2.672 20 0 BFADHN CCC1(CC)CCN(Cc2cnc3n2CCC3)C1 ZINC000667621730 488061854 /nfs/dbraw/zinc/06/18/54/488061854.db2.gz TVDMJQJYHZITKI-UHFFFAOYSA-N 0 3 247.386 2.841 20 0 BFADHN COC[C@H](C)NCc1cnc(C(C)(C)C)s1 ZINC000059180690 488063299 /nfs/dbraw/zinc/06/32/99/488063299.db2.gz QJONVPFWLBGDNJ-VIFPVBQESA-N 0 3 242.388 2.565 20 0 BFADHN Cc1ncc(CN2CCCC[C@H]2C(C)C)n1C ZINC000667642650 488067153 /nfs/dbraw/zinc/06/71/53/488067153.db2.gz GDKXPYVHYDPSHI-AWEZNQCLSA-N 0 3 235.375 2.739 20 0 BFADHN Fc1cccc(CN2C3CCC2CC3)c1 ZINC000084669077 488078339 /nfs/dbraw/zinc/07/83/39/488078339.db2.gz HJOLMLNXMCFYQQ-UHFFFAOYSA-N 0 3 205.276 2.953 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2c(C3CC3)cnn2C)C1 ZINC000667664982 488080914 /nfs/dbraw/zinc/08/09/14/488080914.db2.gz VZWFFMBAEBCRBY-QWRGUYRKSA-N 0 3 233.359 2.528 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CCc2c[nH]nc2C1 ZINC000680330447 488081187 /nfs/dbraw/zinc/08/11/87/488081187.db2.gz ZIGSQWCGRREDJC-YPMHNXCESA-N 0 3 233.359 2.594 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN1CCc2c[nH]nc2C1 ZINC000680330434 488081145 /nfs/dbraw/zinc/08/11/45/488081145.db2.gz ZIGSQWCGRREDJC-WCQYABFASA-N 0 3 233.359 2.594 20 0 BFADHN COC1(CN2CC[C@@H](C)[C@H](F)C2)CCCC1 ZINC000682997476 488081857 /nfs/dbraw/zinc/08/18/57/488081857.db2.gz SPWXJYLBFZQSKJ-VXGBXAGGSA-N 0 3 229.339 2.626 20 0 BFADHN C[C@@H](N(C)Cc1ccnn1CC1CC1)C1(C)CC1 ZINC000667695882 488090593 /nfs/dbraw/zinc/09/05/93/488090593.db2.gz FNFMRMLZJYHIQJ-GFCCVEGCSA-N 0 3 247.386 2.914 20 0 BFADHN CCCn1nccc1CNCCc1cccs1 ZINC000159968842 488094685 /nfs/dbraw/zinc/09/46/85/488094685.db2.gz KGIRZYSZGYPISM-UHFFFAOYSA-N 0 3 249.383 2.687 20 0 BFADHN COc1cccc(CN2CC[C@@H]3C[C@@H]3C2)c1OC ZINC000668676005 488095552 /nfs/dbraw/zinc/09/55/52/488095552.db2.gz LENIEXLTDRNZSC-DGCLKSJQSA-N 0 3 247.338 2.546 20 0 BFADHN C[C@@H](c1ccncc1)N1CCCSCC1 ZINC000677710524 488096538 /nfs/dbraw/zinc/09/65/38/488096538.db2.gz QZIZLXJMFJQZPT-NSHDSACASA-N 0 3 222.357 2.582 20 0 BFADHN Cc1ccc(CN2CC[C@H]3C[C@H]3C2)cc1F ZINC000668676552 488097708 /nfs/dbraw/zinc/09/77/08/488097708.db2.gz RWSHERRJLSIZEV-STQMWFEESA-N 0 3 219.303 2.976 20 0 BFADHN Fc1ccccc1CN1CCC2(CCOC2)CC1 ZINC000677718504 488100258 /nfs/dbraw/zinc/10/02/58/488100258.db2.gz DFEXZNAVEVYPMI-UHFFFAOYSA-N 0 3 249.329 2.828 20 0 BFADHN CCn1ccc(CN(C)CCc2cccnc2)c1 ZINC000683030057 488100847 /nfs/dbraw/zinc/10/08/47/488100847.db2.gz WWGYPMJRNHRFEP-UHFFFAOYSA-N 0 3 243.354 2.578 20 0 BFADHN Fc1cccc(CN2CCC3(CCOC3)CC2)c1 ZINC000677720358 488101272 /nfs/dbraw/zinc/10/12/72/488101272.db2.gz UMEYSGAVERHYCI-UHFFFAOYSA-N 0 3 249.329 2.828 20 0 BFADHN COCC1(N(C)Cc2cc(C)cc(C)c2)CC1 ZINC000677725169 488102899 /nfs/dbraw/zinc/10/28/99/488102899.db2.gz COXAJNWUODNOEZ-UHFFFAOYSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1cccc(CN2CC[C@H]3C[C@H]3C2)c1F ZINC000668678459 488103532 /nfs/dbraw/zinc/10/35/32/488103532.db2.gz KHDFMPJZJFOMDW-AAEUAGOBSA-N 0 3 219.303 2.976 20 0 BFADHN Cn1ncc(C2CCC2)c1CN1CC[C@H]2C[C@H]2C1 ZINC000668679111 488105638 /nfs/dbraw/zinc/10/56/38/488105638.db2.gz ZPOKFKIQPGQWBI-STQMWFEESA-N 0 3 245.370 2.529 20 0 BFADHN CC(C)CCOCCN1CC[C@H](C(F)F)C1 ZINC000677729669 488105912 /nfs/dbraw/zinc/10/59/12/488105912.db2.gz HFLACXCDNQCQRU-NSHDSACASA-N 0 3 235.318 2.636 20 0 BFADHN CCc1cc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)on1 ZINC000667756922 488108240 /nfs/dbraw/zinc/10/82/40/488108240.db2.gz KFMFGGVUTBXLNC-JIMOISOXSA-N 0 3 220.316 2.515 20 0 BFADHN COc1cccc(CN2CC[C@@H](C(F)F)C2)c1 ZINC000677732608 488109646 /nfs/dbraw/zinc/10/96/46/488109646.db2.gz IRPKXXCWKFWKLZ-LLVKDONJSA-N 0 3 241.281 2.782 20 0 BFADHN Cc1ccccc1CN[C@H]1CO[C@H](C2CC2)C1 ZINC000667760153 488109500 /nfs/dbraw/zinc/10/95/00/488109500.db2.gz HAIFZPMLDVFEBF-CABCVRRESA-N 0 3 231.339 2.652 20 0 BFADHN CCn1nccc1CN1CCC[C@@H](C2CC2)C1 ZINC000685475912 487536619 /nfs/dbraw/zinc/53/66/19/487536619.db2.gz AXWGSFALPHCBAA-CYBMUJFWSA-N 0 3 233.359 2.525 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCOc2ccccc2C1 ZINC000683108376 488110488 /nfs/dbraw/zinc/11/04/88/488110488.db2.gz AUOLRZDXYSRJDH-DGCLKSJQSA-N 0 3 217.312 2.537 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCOc2ccccc2C1 ZINC000683108378 488110523 /nfs/dbraw/zinc/11/05/23/488110523.db2.gz AUOLRZDXYSRJDH-YPMHNXCESA-N 0 3 217.312 2.537 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C2CC2)C1)c1cccs1 ZINC000667764865 488112455 /nfs/dbraw/zinc/11/24/55/488112455.db2.gz KGMFSOIGQVSNPW-DLOVCJGASA-N 0 3 237.368 2.966 20 0 BFADHN FC1(F)CC2(CN(CCc3ccccc3)C2)C1 ZINC000677735580 488114502 /nfs/dbraw/zinc/11/45/02/488114502.db2.gz DRZNLVIYNMBFFU-UHFFFAOYSA-N 0 3 237.293 2.960 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@@H](C3CC3)C2)cc1F ZINC000667769926 488115739 /nfs/dbraw/zinc/11/57/39/488115739.db2.gz KAKRKSBIUSWTIZ-DZGCQCFKSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1cc(CN[C@@H]2CO[C@@H](C3CC3)C2)ccc1F ZINC000667770917 488116696 /nfs/dbraw/zinc/11/66/96/488116696.db2.gz LIYCJGLWBFOTEU-DZGCQCFKSA-N 0 3 249.329 2.791 20 0 BFADHN C[C@H](c1ccncc1)N1CCS[C@@H](C)CC1 ZINC000683145525 488120557 /nfs/dbraw/zinc/12/05/57/488120557.db2.gz PFIMMOYQPGFBPP-NWDGAFQWSA-N 0 3 236.384 2.970 20 0 BFADHN NCc1ccccc1OC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403391845 488122070 /nfs/dbraw/zinc/12/20/70/488122070.db2.gz SFKDZSZHCMLSIJ-MBNYWOFBSA-N 0 3 231.339 2.960 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@H](C3CC3)C2)c(C)c1 ZINC000667792910 488122104 /nfs/dbraw/zinc/12/21/04/488122104.db2.gz MUCSTRWFLMSVCD-CVEARBPZSA-N 0 3 245.366 2.961 20 0 BFADHN Cc1ccsc1CN[C@@H]1CO[C@H](C2CC2)C1 ZINC000667816972 488124210 /nfs/dbraw/zinc/12/42/10/488124210.db2.gz ILZYTSRKJRKSHE-RYUDHWBXSA-N 0 3 237.368 2.714 20 0 BFADHN CCC[C@H](C)N[C@H](C)c1cc(C)n(C)n1 ZINC000389921780 488124903 /nfs/dbraw/zinc/12/49/03/488124903.db2.gz RSPQWXNEBRRERO-GXSJLCMTSA-N 0 3 209.337 2.568 20 0 BFADHN CCCc1ccc(CNC2([C@@H](C)O)CC2)cc1 ZINC000668695380 488125124 /nfs/dbraw/zinc/12/51/24/488125124.db2.gz FQCCFACPDRQTQQ-GFCCVEGCSA-N 0 3 233.355 2.642 20 0 BFADHN C[C@@H](O)C1(NCc2ccccc2C2CC2)CC1 ZINC000668697846 488127133 /nfs/dbraw/zinc/12/71/33/488127133.db2.gz NBVVXBDXICFCLX-LLVKDONJSA-N 0 3 231.339 2.567 20 0 BFADHN C[C@H](O)C1(NCc2cc3cc(F)ccc3o2)CC1 ZINC000668697568 488127173 /nfs/dbraw/zinc/12/71/73/488127173.db2.gz HGGKMZBYUSMYKI-VIFPVBQESA-N 0 3 249.285 2.575 20 0 BFADHN CC(C)Cc1ccc(CNC2([C@@H](C)O)CC2)cc1 ZINC000668697896 488127649 /nfs/dbraw/zinc/12/76/49/488127649.db2.gz OLRDFYLLUMZADX-CYBMUJFWSA-N 0 3 247.382 2.888 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@H]1CC=CCC1)CCC2 ZINC000655699619 487537755 /nfs/dbraw/zinc/53/77/55/487537755.db2.gz FHRUFEDBTGNEDU-QWHCGFSZSA-N 0 3 231.343 2.664 20 0 BFADHN Cc1cc2c(c(C)c1)[C@@H](NCCF)CC2 ZINC000655764706 487538271 /nfs/dbraw/zinc/53/82/71/487538271.db2.gz PNNJPSOMXGAPOZ-LBPRGKRZSA-N 0 3 207.292 2.850 20 0 BFADHN Cc1cc2c(c(C)c1)[C@H](NCCF)CC2 ZINC000655764705 487538557 /nfs/dbraw/zinc/53/85/57/487538557.db2.gz PNNJPSOMXGAPOZ-GFCCVEGCSA-N 0 3 207.292 2.850 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccc(N(C)C)nc2)C1 ZINC000119318922 487539469 /nfs/dbraw/zinc/53/94/69/487539469.db2.gz ROHNWLKNXISJOV-CYBMUJFWSA-N 0 3 247.386 2.770 20 0 BFADHN CC(C)(C)CCN[C@@H]1CCCn2ccnc21 ZINC000655701154 487543184 /nfs/dbraw/zinc/54/31/84/487543184.db2.gz UWSVLWNKXLGZOP-LLVKDONJSA-N 0 3 221.348 2.744 20 0 BFADHN Cc1nc(C)c(CN2CCC(C)(C)CC2)o1 ZINC000685562435 487545775 /nfs/dbraw/zinc/54/57/75/487545775.db2.gz GFOIRGFXNCOBOV-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@@H](C)c1nccn1C ZINC000658114798 487548243 /nfs/dbraw/zinc/54/82/43/487548243.db2.gz RBKMONSCFJJYHB-QWRGUYRKSA-N 0 3 223.364 2.895 20 0 BFADHN Cc1nc(C)c(CN2[C@H](C)CC[C@H]2C)o1 ZINC000685566548 487549132 /nfs/dbraw/zinc/54/91/32/487549132.db2.gz ROQVOPFNPHPOKF-RKDXNWHRSA-N 0 3 208.305 2.664 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H](C)[C@H](C)C2)o1 ZINC000685570343 487551633 /nfs/dbraw/zinc/55/16/33/487551633.db2.gz JYMSTKXMOWQMIY-VHSXEESVSA-N 0 3 222.332 2.769 20 0 BFADHN Cc1ccc(CCCN(C)Cc2cn[nH]c2)cc1 ZINC000680068087 487530725 /nfs/dbraw/zinc/53/07/25/487530725.db2.gz RBZNIMKNHSOQAX-UHFFFAOYSA-N 0 3 243.354 2.783 20 0 BFADHN Fc1cccc([C@H]2CCN([C@@H]3CCCOC3)C2)c1 ZINC000674301621 487554928 /nfs/dbraw/zinc/55/49/28/487554928.db2.gz UBFQGYBSPOVRQU-DZGCQCFKSA-N 0 3 249.329 2.794 20 0 BFADHN CCN(Cc1cnc(C)n1C)C1CC(C)(C)C1 ZINC000668240664 487532137 /nfs/dbraw/zinc/53/21/37/487532137.db2.gz OLFAPTQGRBONSS-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@H](N[C@@H](C)C1(CO)CC1)c1cccc(F)c1 ZINC000658160478 487556648 /nfs/dbraw/zinc/55/66/48/487556648.db2.gz NRYCBICCGYSSJQ-QWRGUYRKSA-N 0 3 237.318 2.637 20 0 BFADHN CN(Cc1cccc(C2CC2)c1)C1(CO)CC1 ZINC000668798299 487556861 /nfs/dbraw/zinc/55/68/61/487556861.db2.gz NMGRMJIWTOIGCM-UHFFFAOYSA-N 0 3 231.339 2.521 20 0 BFADHN CC(C)[C@H](N[C@H](C)c1cnccn1)C1CC1 ZINC000324122388 487532963 /nfs/dbraw/zinc/53/29/63/487532963.db2.gz NMJILVXEXXQOOE-MFKMUULPSA-N 0 3 219.332 2.562 20 0 BFADHN CCCc1ccc(CNC[C@@H](C)OC)cc1 ZINC000381454420 487470775 /nfs/dbraw/zinc/47/07/75/487470775.db2.gz IVJRXHJNXIVFGY-GFCCVEGCSA-N 0 3 221.344 2.764 20 0 BFADHN CC(C)C[C@@H](C)CN[C@H](C)c1nccn1C ZINC000668746977 487470811 /nfs/dbraw/zinc/47/08/11/487470811.db2.gz ACWZWJGIPIVILJ-VXGBXAGGSA-N 0 3 223.364 2.753 20 0 BFADHN CC1=CC[C@H](N[C@H](C)c2nccn2C)CC1 ZINC000668748269 487476425 /nfs/dbraw/zinc/47/64/25/487476425.db2.gz RXNOQTFLIPDFJL-NEPJUHHUSA-N 0 3 219.332 2.570 20 0 BFADHN CO[C@H](C)CN1C[C@@H](C(F)(F)F)CC[C@H]1C ZINC000679863056 487477164 /nfs/dbraw/zinc/47/71/64/487477164.db2.gz MHGPZUQHYRJMRE-BBBLOLIVSA-N 0 3 239.281 2.684 20 0 BFADHN CO[C@H](C)CN1C[C@H](C(F)(F)F)CC[C@H]1C ZINC000679863058 487477539 /nfs/dbraw/zinc/47/75/39/487477539.db2.gz MHGPZUQHYRJMRE-OPRDCNLKSA-N 0 3 239.281 2.684 20 0 BFADHN CCc1noc(CN2C[C@H](C)[C@H]3CCCC[C@H]32)n1 ZINC000679861666 487477653 /nfs/dbraw/zinc/47/76/53/487477653.db2.gz BHHFQYWARLKIQT-QJPTWQEYSA-N 0 3 249.358 2.643 20 0 BFADHN CC[C@H](N[C@@H](C)c1nccnc1C)C1CC1 ZINC000323995173 487485627 /nfs/dbraw/zinc/48/56/27/487485627.db2.gz KZVRDBUXOMVYKH-JQWIXIFHSA-N 0 3 219.332 2.624 20 0 BFADHN Cc1ncc(CN2CC[C@H](CC(C)(C)C)C2)n1C ZINC000668228898 487490306 /nfs/dbraw/zinc/49/03/06/487490306.db2.gz UDUSZRSZEJJNTA-CYBMUJFWSA-N 0 3 249.402 2.987 20 0 BFADHN CN(CCCc1ccsc1)Cc1cn[nH]c1 ZINC000679926572 487490693 /nfs/dbraw/zinc/49/06/93/487490693.db2.gz AKKLQSAJIKTDEE-UHFFFAOYSA-N 0 3 235.356 2.536 20 0 BFADHN COCCCN1CC[C@H]1c1cccc(F)c1 ZINC000674009478 487497803 /nfs/dbraw/zinc/49/78/03/487497803.db2.gz JJKIJLUFPGFYQV-ZDUSSCGKSA-N 0 3 223.291 2.609 20 0 BFADHN Fc1cccc([C@@H]2CCN2CC2CCOCC2)c1 ZINC000674011552 487500115 /nfs/dbraw/zinc/50/01/15/487500115.db2.gz VQJYJOMXPHWZBJ-HNNXBMFYSA-N 0 3 249.329 2.999 20 0 BFADHN Cc1cccc(NC(=O)C(C)C(F)(F)F)c1O ZINC000674017593 487502570 /nfs/dbraw/zinc/50/25/70/487502570.db2.gz GPHGJLVHXMRFSR-ZETCQYMHSA-N 0 3 247.216 2.838 20 0 BFADHN CCCn1nccc1CNCC1=CCCCC1 ZINC000379570528 488142571 /nfs/dbraw/zinc/14/25/71/488142571.db2.gz PULYFWSEQQAZLN-UHFFFAOYSA-N 0 3 233.359 2.883 20 0 BFADHN C[C@H](Cc1ccsc1)N1CC=CCC1 ZINC000680001315 487513432 /nfs/dbraw/zinc/51/34/32/487513432.db2.gz URDKAEYJMDMZBU-LLVKDONJSA-N 0 3 207.342 2.941 20 0 BFADHN C[C@@H](Cc1ccsc1)N1CCCOC[C@@H]1C ZINC000679988742 487509912 /nfs/dbraw/zinc/50/99/12/487509912.db2.gz BTELPIPHAZOYMK-RYUDHWBXSA-N 0 3 239.384 2.790 20 0 BFADHN C[C@@H](NCc1nn(C)c2ccccc12)[C@@H]1C[C@H]1C ZINC000666128625 487524903 /nfs/dbraw/zinc/52/49/03/487524903.db2.gz QXUOTDORLXHFBX-NQBHXWOUSA-N 0 3 243.354 2.707 20 0 BFADHN Cc1c[nH]nc1CN1CCCC[C@H]1C(C)C ZINC000335828617 488146944 /nfs/dbraw/zinc/14/69/44/488146944.db2.gz LOADJYGLUGMCIK-ZDUSSCGKSA-N 0 3 221.348 2.729 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H](C)[C@@H]1C[C@@H]1C ZINC000321739597 488151217 /nfs/dbraw/zinc/15/12/17/488151217.db2.gz ZAFCSTBIWQBPMC-KIKITERTSA-N 0 3 222.332 2.987 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H]1C1CC1)c1nccs1 ZINC000379944228 488162166 /nfs/dbraw/zinc/16/21/66/488162166.db2.gz CWKMHWHDCDTCFS-GDPRMGEGSA-N 0 3 222.357 2.982 20 0 BFADHN CC1=CCCN(Cc2cccc(F)c2N)C1 ZINC000230839828 488165601 /nfs/dbraw/zinc/16/56/01/488165601.db2.gz DHXNQDZRZMHYIJ-UHFFFAOYSA-N 0 3 220.291 2.560 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2C[C@H]3CC[C@@H]2O3)o1 ZINC000178319797 487609885 /nfs/dbraw/zinc/60/98/85/487609885.db2.gz NDEIIOLPDKQUNT-UZWSLXQKSA-N 0 3 221.300 2.559 20 0 BFADHN C[C@@H](NCc1cc2ccccc2[nH]1)c1cn[nH]c1 ZINC000683928205 487717884 /nfs/dbraw/zinc/71/78/84/487717884.db2.gz JOIXNEXNEZGJFD-SNVBAGLBSA-N 0 3 240.310 2.742 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H](C)c1ccc(C)nc1C ZINC000651436057 487741539 /nfs/dbraw/zinc/74/15/39/487741539.db2.gz NCKNLQQDAUTBGX-NWANDNLSSA-N 0 3 248.370 2.917 20 0 BFADHN CN(Cc1cc2ccccc2o1)C[C@@H](O)C1CC1 ZINC000676051772 487805647 /nfs/dbraw/zinc/80/56/47/487805647.db2.gz JQFDWXZUXPUWLW-CQSZACIVSA-N 0 3 245.322 2.636 20 0 BFADHN CCc1ccc([C@@H](C)NCC[C@@H](O)CC)o1 ZINC000123419918 488072899 /nfs/dbraw/zinc/07/28/99/488072899.db2.gz UTEZROPOYCVXPK-MNOVXSKESA-N 0 3 225.332 2.654 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CC[C@H]2C[C@H]2C1 ZINC000668675996 488095652 /nfs/dbraw/zinc/09/56/52/488095652.db2.gz KXJINIACGVJDIU-QWRGUYRKSA-N 0 3 233.359 2.549 20 0 BFADHN c1cc(-c2ccc(CN3CC[C@H]4C[C@H]4C3)o2)n[nH]1 ZINC000668676929 488099883 /nfs/dbraw/zinc/09/98/83/488099883.db2.gz HAXSUOUOAMCNMA-QWRGUYRKSA-N 0 3 243.310 2.512 20 0 BFADHN c1c2cccnc2oc1CN1CC[C@H]2C[C@H]2C1 ZINC000668679066 488105521 /nfs/dbraw/zinc/10/55/21/488105521.db2.gz YIEDZFYYQPWKGX-JQWIXIFHSA-N 0 3 228.295 2.670 20 0 BFADHN CC(C)[C@H]1CCN1Cc1c(C2CCC2)cnn1C ZINC000667758694 488108516 /nfs/dbraw/zinc/10/85/16/488108516.db2.gz LWAQALYDJYCYBU-CQSZACIVSA-N 0 3 247.386 2.918 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1c(C2CC2)cnn1C ZINC000667758715 488108539 /nfs/dbraw/zinc/10/85/39/488108539.db2.gz MOPHSKAGJCFPEK-ZDUSSCGKSA-N 0 3 233.359 2.528 20 0 BFADHN CCC[C@H](C)NC(=O)Nc1ccc2c(c1)CNC2 ZINC000667852578 488138044 /nfs/dbraw/zinc/13/80/44/488138044.db2.gz YKPUEPJEDMOIFN-JTQLQIEISA-N 0 3 247.342 2.600 20 0 BFADHN C[C@H](O)C1(NCc2cccc(C3CC3)c2)CC1 ZINC000668702247 488139096 /nfs/dbraw/zinc/13/90/96/488139096.db2.gz ZISQZDQUITYQNQ-NSHDSACASA-N 0 3 231.339 2.567 20 0 BFADHN CC[C@H](N[C@@H]1CO[C@@H](C2CC2)C1)c1ccncc1 ZINC000667874207 488145535 /nfs/dbraw/zinc/14/55/35/488145535.db2.gz ADNJMUDUTSNTEH-SOUVJXGZSA-N 0 3 246.354 2.690 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3COC[C@@H]3C2)cc1F ZINC000668706156 488145823 /nfs/dbraw/zinc/14/58/23/488145823.db2.gz PWPSNAFYQNIEKF-KGLIPLIRSA-N 0 3 249.329 2.602 20 0 BFADHN CC[C@H](N[C@H]1CO[C@H](C2CC2)C1)c1ccncc1 ZINC000667874204 488145980 /nfs/dbraw/zinc/14/59/80/488145980.db2.gz ADNJMUDUTSNTEH-ILXRZTDVSA-N 0 3 246.354 2.690 20 0 BFADHN Cc1cc(CN2CC[C@@H]3COC[C@H]3C2)ccc1F ZINC000668707330 488148053 /nfs/dbraw/zinc/14/80/53/488148053.db2.gz ZFOMAYCZNQBHLT-ZIAGYGMSSA-N 0 3 249.329 2.602 20 0 BFADHN CN(C[C@@H](O)C1CC1)[C@@H]1CCc2ccc(F)cc21 ZINC000677893760 488150519 /nfs/dbraw/zinc/15/05/19/488150519.db2.gz RLXIDGPWJKEXQL-HUUCEWRRSA-N 0 3 249.329 2.516 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1CO[C@H](C2CC2)C1 ZINC000667923532 488159572 /nfs/dbraw/zinc/15/95/72/488159572.db2.gz PCOKZXCYISBOOW-LNSITVRQSA-N 0 3 246.354 2.608 20 0 BFADHN CCn1cc(CN[C@@H](C)CCC(C)(C)C)nn1 ZINC000404447254 488161286 /nfs/dbraw/zinc/16/12/86/488161286.db2.gz FYNTVNDUWQDRHM-NSHDSACASA-N 0 3 238.379 2.602 20 0 BFADHN Oc1ccc2c(c1)CC[C@@H](NCc1ccco1)C2 ZINC000683473599 488170598 /nfs/dbraw/zinc/17/05/98/488170598.db2.gz ABKCXNMZWQEPSZ-CYBMUJFWSA-N 0 3 243.306 2.632 20 0 BFADHN CN(Cc1cnc2n1CCC2)C[C@@H]1CC=CCC1 ZINC000667970530 488172101 /nfs/dbraw/zinc/17/21/01/488172101.db2.gz MJJDHMXCKPTJSP-CYBMUJFWSA-N 0 3 245.370 2.617 20 0 BFADHN CN(Cc1cnn2c1CCC2)C[C@@H]1CC=CCC1 ZINC000667970592 488172107 /nfs/dbraw/zinc/17/21/07/488172107.db2.gz OAETUDJGJOYTML-CYBMUJFWSA-N 0 3 245.370 2.617 20 0 BFADHN CCC[C@@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1cccnc1 ZINC000273465166 488179408 /nfs/dbraw/zinc/17/94/08/488179408.db2.gz DOXSQVIPPXZJHB-TUVASFSCSA-N 0 3 246.354 2.832 20 0 BFADHN C[C@@H]1CCCCN(Cc2c(C3CC3)cnn2C)C1 ZINC000668002178 488181462 /nfs/dbraw/zinc/18/14/62/488181462.db2.gz LIBLVJRVLVIBIT-GFCCVEGCSA-N 0 3 247.386 2.920 20 0 BFADHN CCCn1nccc1CN1CC[C@H](C)[C@@H]1CC ZINC000668003160 488181594 /nfs/dbraw/zinc/18/15/94/488181594.db2.gz ISQYHIGSJLXERA-JSGCOSHPSA-N 0 3 235.375 2.914 20 0 BFADHN CCCn1nccc1CN1CC[C@H]2CCC[C@@H]21 ZINC000668012637 488185905 /nfs/dbraw/zinc/18/59/05/488185905.db2.gz GXEUDMAKYUHDJF-OCCSQVGLSA-N 0 3 233.359 2.668 20 0 BFADHN CO[C@@H](C)CNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000223876432 488187912 /nfs/dbraw/zinc/18/79/12/488187912.db2.gz QXHRSUGPDPRDDL-JBLDHEPKSA-N 0 3 223.316 2.528 20 0 BFADHN CO[C@@H](C)CNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000223876492 488188094 /nfs/dbraw/zinc/18/80/94/488188094.db2.gz QXHRSUGPDPRDDL-NHCYSSNCSA-N 0 3 223.316 2.528 20 0 BFADHN Cc1ccc(F)c(CCN[C@H](C)c2ncc[nH]2)c1 ZINC000453351963 488189895 /nfs/dbraw/zinc/18/98/95/488189895.db2.gz MYBVTSLDOBDVCA-LLVKDONJSA-N 0 3 247.317 2.751 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@H]2CCc3ccc(F)cc32)O1 ZINC000453398463 488190776 /nfs/dbraw/zinc/19/07/76/488190776.db2.gz ABCNTGFBQSEGDS-YVLXSGLVSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@@H]2CCc3ccc(F)cc32)O1 ZINC000453398461 488191017 /nfs/dbraw/zinc/19/10/17/488191017.db2.gz ABCNTGFBQSEGDS-RIEGTJTDSA-N 0 3 249.329 2.970 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2cnc3n2CCC3)C1 ZINC000668030612 488191016 /nfs/dbraw/zinc/19/10/16/488191016.db2.gz WZHTUCXWMQMIPF-CYBMUJFWSA-N 0 3 247.386 2.697 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2cnc3n2CCC3)C1 ZINC000668030613 488191243 /nfs/dbraw/zinc/19/12/43/488191243.db2.gz WZHTUCXWMQMIPF-ZDUSSCGKSA-N 0 3 247.386 2.697 20 0 BFADHN C[C@H](N[C@@H](C1CC1)C1CCC1)c1ncc[nH]1 ZINC000453442864 488192554 /nfs/dbraw/zinc/19/25/54/488192554.db2.gz YELMFNMSZCSYCI-JOYOIKCWSA-N 0 3 219.332 2.639 20 0 BFADHN CN(CCCOC(C)(C)C)Cc1cccnc1 ZINC000678082383 488193271 /nfs/dbraw/zinc/19/32/71/488193271.db2.gz NZBPUYRWPWPVIO-UHFFFAOYSA-N 0 3 236.359 2.719 20 0 BFADHN Cc1nc(CCN2CC[C@@H](C(F)F)C2)cs1 ZINC000678083566 488193779 /nfs/dbraw/zinc/19/37/79/488193779.db2.gz NDLFKGKODOTCBZ-SECBINFHSA-N 0 3 246.326 2.581 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)n1C ZINC000668047858 488195232 /nfs/dbraw/zinc/19/52/32/488195232.db2.gz NUKBMQJLBLTZMC-WOPDTQHZSA-N 0 3 235.375 2.595 20 0 BFADHN CC(C)N(Cc1cnc2n1CCC2)CC1CCC1 ZINC000668069451 488197984 /nfs/dbraw/zinc/19/79/84/488197984.db2.gz RBUDUQGPOQODDA-UHFFFAOYSA-N 0 3 247.386 2.840 20 0 BFADHN CCN1CCN(Cc2ccccc2C2CC2)CC1 ZINC000678120719 488199692 /nfs/dbraw/zinc/19/96/92/488199692.db2.gz YFWPUNAWQUVUKV-UHFFFAOYSA-N 0 3 244.382 2.702 20 0 BFADHN c1nc2ccccn2c1CN1CCC[C@@H]1C1CC1 ZINC000675248779 488202515 /nfs/dbraw/zinc/20/25/15/488202515.db2.gz YZDNDDFZIFONKH-CQSZACIVSA-N 0 3 241.338 2.709 20 0 BFADHN c1nc2n(c1CN(CC1CC1)C1CCC1)CCC2 ZINC000668097639 488202832 /nfs/dbraw/zinc/20/28/32/488202832.db2.gz PLUQLAPXQQEGGR-UHFFFAOYSA-N 0 3 245.370 2.594 20 0 BFADHN CC1=C(C)CN(Cc2c(C3CC3)cnn2C)CC1 ZINC000668097800 488203488 /nfs/dbraw/zinc/20/34/88/488203488.db2.gz VSAHQTDUUBYFGM-UHFFFAOYSA-N 0 3 245.370 2.840 20 0 BFADHN CC1CCC(N(Cc2cn[nH]c2)C2CC2)CC1 ZINC000678137107 488204133 /nfs/dbraw/zinc/20/41/33/488204133.db2.gz YEFHLXQPCYEFPN-UHFFFAOYSA-N 0 3 233.359 2.953 20 0 BFADHN CCCCN(Cc1ccc(N(C)C)nc1)C1CC1 ZINC000678138552 488205389 /nfs/dbraw/zinc/20/53/89/488205389.db2.gz YOEHJYYLILIETC-UHFFFAOYSA-N 0 3 247.386 2.912 20 0 BFADHN C[C@@H]1CCN(CCN[C@H]2CCCc3occc32)C1 ZINC000683691169 488207038 /nfs/dbraw/zinc/20/70/38/488207038.db2.gz VQFNZXDMIWMHMK-OCCSQVGLSA-N 0 3 248.370 2.588 20 0 BFADHN c1nn2c(c1CN1CCC3(CCC3)CC1)CCC2 ZINC000668147246 488209026 /nfs/dbraw/zinc/20/90/26/488209026.db2.gz BTJYZMISPCXXBF-UHFFFAOYSA-N 0 3 245.370 2.595 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@@H](C)C2)ncn1 ZINC000678156620 488209077 /nfs/dbraw/zinc/20/90/77/488209077.db2.gz HMKRQVUNVWOHPA-YPMHNXCESA-N 0 3 233.359 2.701 20 0 BFADHN Cc1ccc(CN2CCC23CCOCC3)cc1F ZINC000683718672 488211447 /nfs/dbraw/zinc/21/14/47/488211447.db2.gz NRMJNXRQVNLEKU-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN CC[C@H](C)CN(C)Cc1cn2cccc(F)c2n1 ZINC000678173490 488212291 /nfs/dbraw/zinc/21/22/91/488212291.db2.gz XBMLRBPPMDTOBK-NSHDSACASA-N 0 3 249.333 2.951 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@H]2CCCc3cccnc32)O1 ZINC000398233007 488215769 /nfs/dbraw/zinc/21/57/69/488215769.db2.gz UKFYIHUROTVPSU-BNOWGMLFSA-N 0 3 246.354 2.616 20 0 BFADHN CCC(CC)N[C@@H](CO)c1ccc(F)cc1F ZINC000668746613 488217348 /nfs/dbraw/zinc/21/73/48/488217348.db2.gz RIQDQOZFXQVQJT-ZDUSSCGKSA-N 0 3 243.297 2.776 20 0 BFADHN c1cc2c(cc1OCCC1CCC1)OCCNC2 ZINC000398650328 488221474 /nfs/dbraw/zinc/22/14/74/488221474.db2.gz AGKWLHLCFOVMFY-UHFFFAOYSA-N 0 3 247.338 2.738 20 0 BFADHN CCN(Cc1cn2cccc(F)c2n1)CC1CC1 ZINC000678263188 488225557 /nfs/dbraw/zinc/22/55/57/488225557.db2.gz FJUJOUPVYDQYFS-UHFFFAOYSA-N 0 3 247.317 2.705 20 0 BFADHN CC1(C)CCN(Cc2n[nH]c3ccccc32)C1 ZINC000678261185 488228221 /nfs/dbraw/zinc/22/82/21/488228221.db2.gz XMKANAOJYDPIMX-UHFFFAOYSA-N 0 3 229.327 2.795 20 0 BFADHN CC1(C)CCN(Cc2[nH]nc3ccccc32)C1 ZINC000678261185 488228223 /nfs/dbraw/zinc/22/82/23/488228223.db2.gz XMKANAOJYDPIMX-UHFFFAOYSA-N 0 3 229.327 2.795 20 0 BFADHN CCN1CCN(Cc2ccc(C)cc2C)C[C@H]1C ZINC000678261991 488228793 /nfs/dbraw/zinc/22/87/93/488228793.db2.gz YBDIGVRWOXNSKV-OAHLLOKOSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1ncc(CN2C[C@H](C(C)C)[C@@H]2C(C)C)n1C ZINC000668220473 488230154 /nfs/dbraw/zinc/23/01/54/488230154.db2.gz RONAYFBNTHIQKD-CABCVRRESA-N 0 3 249.402 2.841 20 0 BFADHN CCOCCN(C)Cc1ccc2cnccc2c1 ZINC000683836789 488230322 /nfs/dbraw/zinc/23/03/22/488230322.db2.gz RKTWQSXNCWJCMF-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN Cc1noc(C2(C)CCN(CC3(C)CC3)CC2)n1 ZINC000683836179 488230352 /nfs/dbraw/zinc/23/03/52/488230352.db2.gz BLRQMVMBSGXDBW-UHFFFAOYSA-N 0 3 249.358 2.532 20 0 BFADHN C[C@@H]1CN(Cc2nn(C)c3ccccc23)C[C@H]1C ZINC000678270935 488232560 /nfs/dbraw/zinc/23/25/60/488232560.db2.gz MQNXMXLMFIAGGU-VXGBXAGGSA-N 0 3 243.354 2.661 20 0 BFADHN Cc1ccsc1CN1CCCOC[C@@H]1C ZINC000678274954 488234501 /nfs/dbraw/zinc/23/45/01/488234501.db2.gz SBZNTZARAKUWBR-NSHDSACASA-N 0 3 225.357 2.667 20 0 BFADHN CCN(Cc1nn(C)c2ccccc12)C1CCC1 ZINC000678275039 488234513 /nfs/dbraw/zinc/23/45/13/488234513.db2.gz YXWPUGUJVMPXGI-UHFFFAOYSA-N 0 3 243.354 2.948 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2nn(C)c3ccccc23)C1 ZINC000678276246 488235289 /nfs/dbraw/zinc/23/52/89/488235289.db2.gz CIFQZYQBKKOIHF-NEPJUHHUSA-N 0 3 243.354 2.804 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCC[C@@H]1CCOC1 ZINC000683888736 488237139 /nfs/dbraw/zinc/23/71/39/488237139.db2.gz HBVSHYGHHKQALD-ZIAGYGMSSA-N 0 3 248.370 2.891 20 0 BFADHN Cn1cnc(CN(CC2CC2)C2CCCCC2)c1 ZINC000683897472 488239528 /nfs/dbraw/zinc/23/95/28/488239528.db2.gz DOZZBBVLYZXMIA-UHFFFAOYSA-N 0 3 247.386 2.965 20 0 BFADHN Cn1cnc(CN2CCC3(C2)CCCCC3)c1 ZINC000683897517 488239533 /nfs/dbraw/zinc/23/95/33/488239533.db2.gz AUNQTLPCNCXEGV-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN C[C@@H]1CN(Cc2cc3ccccc3[nH]2)CCCO1 ZINC000684022064 488255947 /nfs/dbraw/zinc/25/59/47/488255947.db2.gz DPQQPMSKSWLTDQ-GFCCVEGCSA-N 0 3 244.338 2.779 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1nn(C)c2ccccc12 ZINC000672608445 488261879 /nfs/dbraw/zinc/26/18/79/488261879.db2.gz ZUKJWAXZDVGRGM-WCQYABFASA-N 0 3 243.354 2.852 20 0 BFADHN Cc1ccc([C@@H](C)NCC2=CCCOC2)c(C)n1 ZINC000672618086 488262325 /nfs/dbraw/zinc/26/23/25/488262325.db2.gz WMFZRSKNJUDOQW-GFCCVEGCSA-N 0 3 246.354 2.696 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCCOC2)c(C)n1 ZINC000672805864 488266608 /nfs/dbraw/zinc/26/66/08/488266608.db2.gz DSMMAIGFAZHASL-STQMWFEESA-N 0 3 234.343 2.528 20 0 BFADHN Cc1ccnc(CN2CCCC[C@@H]2C[C@H](C)O)c1 ZINC000684105716 488266873 /nfs/dbraw/zinc/26/68/73/488266873.db2.gz BVBUPACMFOPZEN-DZGCQCFKSA-N 0 3 248.370 2.515 20 0 BFADHN COC[C@H](N[C@@H](C)c1ccc(C)nc1C)C1CC1 ZINC000678603854 488271017 /nfs/dbraw/zinc/27/10/17/488271017.db2.gz MTPARAFVIRPSPS-WFASDCNBSA-N 0 3 248.370 2.774 20 0 BFADHN Cc1cc(CN[C@@H](C)C2C(C)(C)C2(C)C)ncn1 ZINC000678605349 488271429 /nfs/dbraw/zinc/27/14/29/488271429.db2.gz PPKGKZJMHXFTKH-NSHDSACASA-N 0 3 247.386 2.945 20 0 BFADHN COc1ccc(COCCN2[C@@H](C)C[C@@H]2C)cc1 ZINC000684208654 488276616 /nfs/dbraw/zinc/27/66/16/488276616.db2.gz PSNMVYHRZDHDLF-STQMWFEESA-N 0 3 249.354 2.695 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cn(C)nc1CC ZINC000678814542 488286628 /nfs/dbraw/zinc/28/66/28/488286628.db2.gz FNRVPCFAGHXQIF-LLVKDONJSA-N 0 3 223.364 2.603 20 0 BFADHN C(c1nc2c(s1)CCC2)N1C[C@@H]2CCC[C@@H]2C1 ZINC000678829765 488289200 /nfs/dbraw/zinc/28/92/00/488289200.db2.gz BYFXFAAAOODKTJ-PHIMTYICSA-N 0 3 248.395 2.864 20 0 BFADHN CC[C@@H](NCc1nccc(N)n1)C1CCCCC1 ZINC000678894354 488294649 /nfs/dbraw/zinc/29/46/49/488294649.db2.gz BLGWHUKETJICCV-GFCCVEGCSA-N 0 3 248.374 2.507 20 0 BFADHN FC(F)(F)c1cccnc1CNC1CC=CC1 ZINC000678937891 488296318 /nfs/dbraw/zinc/29/63/18/488296318.db2.gz RRQXDGLBGSMTLK-UHFFFAOYSA-N 0 3 242.244 2.909 20 0 BFADHN CC[C@@H](CNCc1cn(C)cn1)c1ccccc1 ZINC000673599568 488296403 /nfs/dbraw/zinc/29/64/03/488296403.db2.gz HUVGDZJXINOLNV-ZDUSSCGKSA-N 0 3 243.354 2.704 20 0 BFADHN CC(C)[C@@H]1CCC[C@H](NCc2cn(C)cn2)C1 ZINC000673601407 488296810 /nfs/dbraw/zinc/29/68/10/488296810.db2.gz RKNHAWDWUYYPLA-OLZOCXBDSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2cn(C)cn2)[C@H]1C ZINC000673608166 488297395 /nfs/dbraw/zinc/29/73/95/488297395.db2.gz PEVBHXNYQTZGBZ-FRRDWIJNSA-N 0 3 235.375 2.582 20 0 BFADHN Fc1ccc(OCCCN2CC=CCC2)cc1 ZINC000679322789 488336398 /nfs/dbraw/zinc/33/63/98/488336398.db2.gz OGIJVBMJZVNHHF-UHFFFAOYSA-N 0 3 235.302 2.857 20 0 BFADHN COCC1(N[C@@H]2CCCc3ccc(F)cc32)CC1 ZINC000679073591 488306097 /nfs/dbraw/zinc/30/60/97/488306097.db2.gz FEEQJJPQGJKNRB-CQSZACIVSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H]1CCN(CCCOC(C)(C)C)C[C@H]1F ZINC000679227813 488322156 /nfs/dbraw/zinc/32/21/56/488322156.db2.gz SXQQZJATCIZTJZ-NWDGAFQWSA-N 0 3 231.355 2.872 20 0 BFADHN CC1=CCCN([C@H](C)c2ccncc2)C1 ZINC000684773935 488341472 /nfs/dbraw/zinc/34/14/72/488341472.db2.gz MBBADEYQOAQHHT-GFCCVEGCSA-N 0 3 202.301 2.795 20 0 BFADHN c1cnc2ccc(CN3CC=CCC3)cc2c1 ZINC000679358061 488344517 /nfs/dbraw/zinc/34/45/17/488344517.db2.gz AFRRDBHSBUXHHF-UHFFFAOYSA-N 0 3 224.307 2.997 20 0 BFADHN CC(C)CO[C@@H]1CCN(Cc2ccc(O)cc2)C1 ZINC000679391938 488348525 /nfs/dbraw/zinc/34/85/25/488348525.db2.gz AYIFDEIXDFROCA-OAHLLOKOSA-N 0 3 249.354 2.639 20 0 BFADHN CN(Cc1cn[nH]c1)C[C@@H]1CCc2ccccc21 ZINC000679536061 488357072 /nfs/dbraw/zinc/35/70/72/488357072.db2.gz JZRSIQKDHCTNKD-AWEZNQCLSA-N 0 3 241.338 2.572 20 0 BFADHN CCc1cccnc1[C@H](C)NCC1(O)CCCC1 ZINC000679575698 488359017 /nfs/dbraw/zinc/35/90/17/488359017.db2.gz SJJAXUQPCCMHFB-LBPRGKRZSA-N 0 3 248.370 2.600 20 0 BFADHN COc1ccc([C@@H](NC2CSC2)C2CC2)cc1 ZINC000679627861 488360095 /nfs/dbraw/zinc/36/00/95/488360095.db2.gz ZEBZJLFVXHEMGP-AWEZNQCLSA-N 0 3 249.379 2.851 20 0 BFADHN FC(F)O[C@H]1CCCN([C@H]2C=CCCC2)C1 ZINC000679679693 488364010 /nfs/dbraw/zinc/36/40/10/488364010.db2.gz CLEMCIVIHVUGHL-QWRGUYRKSA-N 0 3 231.286 2.799 20 0 BFADHN COc1ccc(C)cc1CCNCC1(F)CC1 ZINC000526974698 490104097 /nfs/dbraw/zinc/10/40/97/490104097.db2.gz UCHDVLRFGLWJAR-UHFFFAOYSA-N 0 3 237.318 2.638 20 0 BFADHN C[C@@]1(O)C[C@H](NCc2ccc(C3CCC3)cc2)C1 ZINC000418111584 490130790 /nfs/dbraw/zinc/13/07/90/490130790.db2.gz XXBCMTZPLPGANP-IYBDPMFKSA-N 0 3 245.366 2.957 20 0 BFADHN CCc1cnc(CN[C@H]2CCS[C@@H]2C)s1 ZINC000220774506 490143536 /nfs/dbraw/zinc/14/35/36/490143536.db2.gz WQRXYTKRNZAUJB-SCZZXKLOSA-N 0 3 242.413 2.689 20 0 BFADHN Cc1ccc([C@@H](C)NC2CSC2)cc1 ZINC000215657325 490162588 /nfs/dbraw/zinc/16/25/88/490162588.db2.gz XYOVVVUDNCXOBN-SNVBAGLBSA-N 0 3 207.342 2.761 20 0 BFADHN Cc1cc(CNC[C@@H]2C(C)=CCC[C@H]2C)ncn1 ZINC000681375552 490168561 /nfs/dbraw/zinc/16/85/61/490168561.db2.gz UHLHPBVWTVFQJP-IUODEOHRSA-N 0 3 245.370 2.867 20 0 BFADHN Cc1cc(CNC[C@@H]2C(C)=CCC[C@@H]2C)ncn1 ZINC000681375553 490168666 /nfs/dbraw/zinc/16/86/66/490168666.db2.gz UHLHPBVWTVFQJP-SWLSCSKDSA-N 0 3 245.370 2.867 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2C[C@H]3CC[C@@H]2O3)c(C)n1 ZINC000652253932 490174756 /nfs/dbraw/zinc/17/47/56/490174756.db2.gz ZSSABGDNUPXCGN-GBOPCIDUSA-N 0 3 246.354 2.669 20 0 BFADHN C[C@@H]1CN([C@@H]2CCCOC2)[C@H]1c1ccccc1 ZINC000674303206 490206843 /nfs/dbraw/zinc/20/68/43/490206843.db2.gz UWVLGEIPOSPLEO-BPLDGKMQSA-N 0 3 231.339 2.858 20 0 BFADHN CO[C@@H]1CCC[C@H](NCc2ccccc2F)C1 ZINC000070325890 490207393 /nfs/dbraw/zinc/20/73/93/490207393.db2.gz CZHXRVDXZITWJT-QWHCGFSZSA-N 0 3 237.318 2.873 20 0 BFADHN Cc1oc2ccccc2c1CN[C@H]1CCOC1 ZINC000123355992 490224151 /nfs/dbraw/zinc/22/41/51/490224151.db2.gz QEYHFQNYZPXEGW-NSHDSACASA-N 0 3 231.295 2.620 20 0 BFADHN c1cncc(CN[C@H](C2CC2)[C@H]2CCCCO2)c1 ZINC000655812092 490259328 /nfs/dbraw/zinc/25/93/28/490259328.db2.gz ZCFTVXOYUIMRNN-HUUCEWRRSA-N 0 3 246.354 2.519 20 0 BFADHN CC(C)(C)O[C@H]1C[C@H](NCc2cccnc2)C1 ZINC000655844621 490261123 /nfs/dbraw/zinc/26/11/23/490261123.db2.gz XYCSRBLTEGBGQH-JOCQHMNTSA-N 0 3 234.343 2.517 20 0 BFADHN Cc1ccc(F)c(CN[C@H](CO)C(C)(C)C)c1 ZINC000194637087 490263808 /nfs/dbraw/zinc/26/38/08/490263808.db2.gz GXMDLVWECWYFAM-CYBMUJFWSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1ccc(CN[C@@H]2CCS[C@H]2C)o1 ZINC000235373006 490276698 /nfs/dbraw/zinc/27/66/98/490276698.db2.gz BSDFMZBXBIINCU-GXSJLCMTSA-N 0 3 211.330 2.572 20 0 BFADHN C[C@@H]1C[C@H](NCC2CCCCCC2)c2ncnn21 ZINC000657571100 490285833 /nfs/dbraw/zinc/28/58/33/490285833.db2.gz VXYFRQBJLHRNHY-YPMHNXCESA-N 0 3 248.374 2.844 20 0 BFADHN C[C@@H]1c2ccsc2CCN1CCc1cn[nH]c1 ZINC000297564006 490317654 /nfs/dbraw/zinc/31/76/54/490317654.db2.gz CKUUIGJLODMLJL-SNVBAGLBSA-N 0 3 247.367 2.633 20 0 BFADHN C[C@H](CO)CN1CC(C)(C)[C@@H]1c1cccs1 ZINC000672578821 490340574 /nfs/dbraw/zinc/34/05/74/490340574.db2.gz HTWFUWXGRSNCEH-JQWIXIFHSA-N 0 3 239.384 2.759 20 0 BFADHN CCN1C[C@@H](CN(C)C(C)C)Oc2ccccc21 ZINC000072980571 490414559 /nfs/dbraw/zinc/41/45/59/490414559.db2.gz XMDCVDKPOOGCBP-CYBMUJFWSA-N 0 3 248.370 2.614 20 0 BFADHN C1=CCC(NCc2cnn(-c3ccccc3)c2)C1 ZINC000125243280 490421789 /nfs/dbraw/zinc/42/17/89/490421789.db2.gz QKXPXKOZWRCECA-UHFFFAOYSA-N 0 3 239.322 2.681 20 0 BFADHN CC[C@@H](C)N(CC)C(=O)c1ccc2c(c1)CNC2 ZINC000131005167 490467734 /nfs/dbraw/zinc/46/77/34/490467734.db2.gz WKIVSFHNCYGOBB-LLVKDONJSA-N 0 3 246.354 2.550 20 0 BFADHN C[C@H](NCc1csc(N(C)C)n1)C(C)(C)C ZINC000122333426 490483055 /nfs/dbraw/zinc/48/30/55/490483055.db2.gz YMHQREDUVDHYTM-VIFPVBQESA-N 0 3 241.404 2.733 20 0 BFADHN COC[C@H](N[C@H](C)c1c(C)noc1C)C(C)C ZINC000126543089 490504327 /nfs/dbraw/zinc/50/43/27/490504327.db2.gz JGYREHQDTBDHNE-SKDRFNHKSA-N 0 3 240.347 2.613 20 0 BFADHN COC[C@@H](N[C@H](C)c1cnc(C)s1)C(C)C ZINC000126985270 490531569 /nfs/dbraw/zinc/53/15/69/490531569.db2.gz QQTRZDYRFJJPHE-MWLCHTKSSA-N 0 3 242.388 2.773 20 0 BFADHN C[C@H]1CN(Cc2ccc(N(C)C)nc2)CC[C@@H]1C ZINC000127654073 490551840 /nfs/dbraw/zinc/55/18/40/490551840.db2.gz ZFVPNKMOWBGBTQ-STQMWFEESA-N 0 3 247.386 2.626 20 0 BFADHN CCC[C@@]1(C)CCCN(Cc2cncnc2)C1 ZINC000128184143 490575350 /nfs/dbraw/zinc/57/53/50/490575350.db2.gz LKFNTUNVCIFGTN-AWEZNQCLSA-N 0 3 233.359 2.879 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2CC[C@H]2C)n1 ZINC000306308048 490633161 /nfs/dbraw/zinc/63/31/61/490633161.db2.gz RIHLVFCTWJDMTN-FKTZTGRPSA-N 0 3 210.346 2.901 20 0 BFADHN FC1(F)CC[C@H](NCc2ccco2)C1 ZINC000306705438 490644764 /nfs/dbraw/zinc/64/47/64/490644764.db2.gz HTEWUHDDBSVKGX-QMMMGPOBSA-N 0 3 201.216 2.557 20 0 BFADHN CCOC(C)(C)CNCc1cc2cnccc2o1 ZINC000651779630 491074948 /nfs/dbraw/zinc/07/49/48/491074948.db2.gz LLZQERBQTLSTAT-UHFFFAOYSA-N 0 3 248.326 2.733 20 0 BFADHN CCOc1ccc(CN2C[C@@H]3[C@H](C2)C3(C)C)nc1 ZINC000088535193 491104454 /nfs/dbraw/zinc/10/44/54/491104454.db2.gz JUXNYNZPZFBMNA-OKILXGFUSA-N 0 3 246.354 2.568 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CC[C@@H]2C2CC2)o1 ZINC000426323971 491109439 /nfs/dbraw/zinc/10/94/39/491109439.db2.gz ORKUTEZJBBKEKP-NEPJUHHUSA-N 0 3 234.343 2.824 20 0 BFADHN C[C@@H]1CN(CC2CCSCC2)CC(C)(C)O1 ZINC000637972322 491110913 /nfs/dbraw/zinc/11/09/13/491110913.db2.gz ZKCVLEOMFVZZPV-LLVKDONJSA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@@H](C)CCNCc1ncc(C)s1 ZINC000394438173 491111270 /nfs/dbraw/zinc/11/12/70/491111270.db2.gz BTPWGIJCXBDWRK-SECBINFHSA-N 0 3 212.362 2.977 20 0 BFADHN C[C@@H](CCO)N[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000124021689 491121455 /nfs/dbraw/zinc/12/14/55/491121455.db2.gz KVVWNGXNGOITNR-IUCAKERBSA-N 0 3 245.725 2.901 20 0 BFADHN CCOCCCN[C@H](C)c1cnc(C)s1 ZINC000090398783 491124774 /nfs/dbraw/zinc/12/47/74/491124774.db2.gz PZYNBXUQRBUBOH-SECBINFHSA-N 0 3 228.361 2.529 20 0 BFADHN Cc1ncc([C@H](C)N[C@H](C)[C@@H]2CCCO2)s1 ZINC000090402497 491125881 /nfs/dbraw/zinc/12/58/81/491125881.db2.gz HRVCVCQOZOKGGN-YWVKMMECSA-N 0 3 240.372 2.670 20 0 BFADHN CCCCOCCN[C@@H](C)c1cnc(C)s1 ZINC000090406284 491126053 /nfs/dbraw/zinc/12/60/53/491126053.db2.gz CMOGGDMGVMQOLI-JTQLQIEISA-N 0 3 242.388 2.919 20 0 BFADHN CC[C@H]1CN(C)CCN1Cc1cccc(C)c1 ZINC000560614589 491129318 /nfs/dbraw/zinc/12/93/18/491129318.db2.gz GCGKNNDMVQXYTB-HNNXBMFYSA-N 0 3 232.371 2.521 20 0 BFADHN C[C@@H](CN[C@H](C)c1ncc[nH]1)c1ccccc1 ZINC000139004284 491130137 /nfs/dbraw/zinc/13/01/37/491130137.db2.gz UUUZTFMNQMHUKP-NWDGAFQWSA-N 0 3 229.327 2.864 20 0 BFADHN CCC[C@](C)(O)CN[C@H]1CCCc2cccnc21 ZINC000230686036 491130664 /nfs/dbraw/zinc/13/06/64/491130664.db2.gz NVLHBSIVEQKFNW-ZFWWWQNUSA-N 0 3 248.370 2.600 20 0 BFADHN CCC[C@@](C)(O)CN[C@H]1CCCc2cccnc21 ZINC000230686032 491130673 /nfs/dbraw/zinc/13/06/73/491130673.db2.gz NVLHBSIVEQKFNW-DZGCQCFKSA-N 0 3 248.370 2.600 20 0 BFADHN C[C@@H](NC1CC=CC1)c1nc2ccccc2n1C ZINC000091370598 491130851 /nfs/dbraw/zinc/13/08/51/491130851.db2.gz UGYDCVFZIIPKSW-LLVKDONJSA-N 0 3 241.338 2.943 20 0 BFADHN C[C@H](NC1CC=CC1)c1nc2ccccc2n1C ZINC000091370597 491130858 /nfs/dbraw/zinc/13/08/58/491130858.db2.gz UGYDCVFZIIPKSW-NSHDSACASA-N 0 3 241.338 2.943 20 0 BFADHN c1cnc2c(c1)CCC[C@H]2NCC[C@H]1CCCO1 ZINC000091661248 491131339 /nfs/dbraw/zinc/13/13/39/491131339.db2.gz CCWLEHHLZOKWNN-ZIAGYGMSSA-N 0 3 246.354 2.618 20 0 BFADHN C=Cn1cc(CN2CCC[C@H](C3CCC3)C2)cn1 ZINC000668719444 491132450 /nfs/dbraw/zinc/13/24/50/491132450.db2.gz VQIOFEDFMHMHGW-HNNXBMFYSA-N 0 3 245.370 2.996 20 0 BFADHN CO[C@@H](C)CCNCc1cc2ccccc2o1 ZINC000263819866 491133043 /nfs/dbraw/zinc/13/30/43/491133043.db2.gz PUEPIEKCTCHOGO-NSHDSACASA-N 0 3 233.311 2.947 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1ccc(Cl)o1 ZINC000307480440 491133616 /nfs/dbraw/zinc/13/36/16/491133616.db2.gz KHIJTQMAOQXSQE-IONNQARKSA-N 0 3 231.748 2.917 20 0 BFADHN Oc1ccc(CN2CCC(C(F)F)CC2)cc1 ZINC000307880735 491134006 /nfs/dbraw/zinc/13/40/06/491134006.db2.gz OSRXQELKVGCDAY-UHFFFAOYSA-N 0 3 241.281 2.869 20 0 BFADHN C[C@H](NCC1(CO)CC1)c1ccc(Cl)s1 ZINC000132359984 491135450 /nfs/dbraw/zinc/13/54/50/491135450.db2.gz FAXSYGLLKOFZPA-QMMMGPOBSA-N 0 3 245.775 2.825 20 0 BFADHN C[C@H](CF)N[C@H](C)Cc1cccs1 ZINC000308264010 491135471 /nfs/dbraw/zinc/13/54/71/491135471.db2.gz OVOSNQVDFXPOEO-RKDXNWHRSA-N 0 3 201.310 2.627 20 0 BFADHN C[C@@H](CC1CCC1)NCc1nccs1 ZINC000132479341 491136295 /nfs/dbraw/zinc/13/62/95/491136295.db2.gz NMEHWVSCZWHJQO-VIFPVBQESA-N 0 3 210.346 2.811 20 0 BFADHN CC(C)OC1CC(NCc2ccc(Cl)o2)C1 ZINC000309586659 491150832 /nfs/dbraw/zinc/15/08/32/491150832.db2.gz RYRVIVDSWVDVNI-UHFFFAOYSA-N 0 3 243.734 2.979 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)O)c1ccc(Cl)cn1 ZINC000309553434 491151293 /nfs/dbraw/zinc/15/12/93/491151293.db2.gz IYXPCPXJVPNPPW-RKDXNWHRSA-N 0 3 242.750 2.545 20 0 BFADHN C[C@H](C[C@H]1CCOC1)N[C@H](C)c1cscn1 ZINC000309744047 491155794 /nfs/dbraw/zinc/15/57/94/491155794.db2.gz IOUBPOUYOSYMBW-GMTAPVOTSA-N 0 3 240.372 2.609 20 0 BFADHN CCOc1ccc(CN2CCC[C@H](C)C2)nc1 ZINC000083595652 491162306 /nfs/dbraw/zinc/16/23/06/491162306.db2.gz HTNPFKQARCBEIG-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@@H](Nc1cccc(CN(C)C)c1)[C@@H]1CCCO1 ZINC000310836473 491162965 /nfs/dbraw/zinc/16/29/65/491162965.db2.gz GVUHQRMXVFGSGM-DOMZBBRYSA-N 0 3 248.370 2.728 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCOC[C@H]1c1ccccc1 ZINC000488300678 533722033 /nfs/dbraw/zinc/72/20/33/533722033.db2.gz RWBRQNQRAYNJAN-YUELXQCFSA-N 0 3 231.339 2.716 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCOC2(CCCCC2)C1 ZINC000488340832 533722123 /nfs/dbraw/zinc/72/21/23/533722123.db2.gz ATFSNHYUAPFNSJ-CHWSQXEVSA-N 0 3 223.360 2.678 20 0 BFADHN CSC[C@H]1CCCN1C/C=C/c1ccncc1 ZINC000494980163 533816487 /nfs/dbraw/zinc/81/64/87/533816487.db2.gz YLHRQEBZDKLWAW-KWEXDPCDSA-N 0 3 248.395 2.922 20 0 BFADHN C[C@H](N[C@H](CCO)c1ccco1)[C@@H]1CC1(C)C ZINC000487575853 533995779 /nfs/dbraw/zinc/99/57/79/533995779.db2.gz RHRZLQBWBUBNOW-SDDRHHMPSA-N 0 3 237.343 2.727 20 0 BFADHN CCC[C@H](C)N(C)Cc1c(C)n[nH]c1C ZINC000350302769 535632399 /nfs/dbraw/zinc/63/23/99/535632399.db2.gz URYTXIOHZDZUAD-VIFPVBQESA-N 0 3 209.337 2.647 20 0 BFADHN C[C@@H]1C[C@H]1CN(C)Cc1ccccc1F ZINC000488290545 534018322 /nfs/dbraw/zinc/01/83/22/534018322.db2.gz CAUCBOPGHGVJGI-PWSUYJOCSA-N 0 3 207.292 2.914 20 0 BFADHN C[C@H](CN1CCO[C@@H](C2CC2)C1)c1ccccc1 ZINC000485832945 534067990 /nfs/dbraw/zinc/06/79/90/534067990.db2.gz SDSAQPOCRYGDMU-CZUORRHYSA-N 0 3 245.366 2.901 20 0 BFADHN CCN(C[C@H]1C[C@]1(C)Br)C1CC1 ZINC000891608793 582701531 /nfs/dbraw/zinc/70/15/31/582701531.db2.gz DKEQTFOECMBVKU-SCZZXKLOSA-N 0 3 232.165 2.644 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cn(C)nc1C1CC1 ZINC000891626247 582703106 /nfs/dbraw/zinc/70/31/06/582703106.db2.gz WPKUPUHEECDSLQ-LLVKDONJSA-N 0 3 235.375 2.918 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](CO)c1ccc(F)cc1 ZINC000348370302 535824942 /nfs/dbraw/zinc/82/49/42/535824942.db2.gz FSTRRDDIYHCOCJ-LERXQTSPSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@H](C)CN(C)Cc1cn(CC)nc1C ZINC000891695309 582710713 /nfs/dbraw/zinc/71/07/13/582710713.db2.gz XCHLMMXPEICZPF-NSHDSACASA-N 0 3 223.364 2.689 20 0 BFADHN C[N@@H+](Cc1cc([O-])cc(Cl)c1)CC1CC1 ZINC000891737047 582715227 /nfs/dbraw/zinc/71/52/27/582715227.db2.gz VYRPQJYUDLZTIG-UHFFFAOYSA-N 0 3 225.719 2.887 20 0 BFADHN Cc1cc(CN(C)C2CCCCCC2)nn1C ZINC000487414685 534168200 /nfs/dbraw/zinc/16/82/00/534168200.db2.gz OBTSYNUVQBCFMG-UHFFFAOYSA-N 0 3 235.375 2.883 20 0 BFADHN C[N@H+](Cc1cc([O-])cc(Cl)c1)CC1CC1 ZINC000891737047 582715229 /nfs/dbraw/zinc/71/52/29/582715229.db2.gz VYRPQJYUDLZTIG-UHFFFAOYSA-N 0 3 225.719 2.887 20 0 BFADHN CC[C@H]1CC[C@@H](NCc2cscn2)C1 ZINC000070644225 536094365 /nfs/dbraw/zinc/09/43/65/536094365.db2.gz KCGQGPCROXORME-VHSXEESVSA-N 0 3 210.346 2.811 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@H](C)[C@@H](C)C1 ZINC000221372679 536112246 /nfs/dbraw/zinc/11/22/46/536112246.db2.gz XMNGYVSBCAQYCI-RWMBFGLXSA-N 0 3 235.375 2.817 20 0 BFADHN C[C@@H](CO)[C@H](C)NCc1sccc1Cl ZINC000193120774 518177531 /nfs/dbraw/zinc/17/75/31/518177531.db2.gz GKGIKQCYIICTPV-YUMQZZPRSA-N 0 3 233.764 2.508 20 0 BFADHN C[C@H](NC[C@H]1CC12CC2)c1ccc(F)cn1 ZINC000417338987 518183369 /nfs/dbraw/zinc/18/33/69/518183369.db2.gz NNKNVRYGZOOUDN-VHSXEESVSA-N 0 3 220.291 2.671 20 0 BFADHN Cc1nc(CNC2[C@@H](C)CCC[C@@H]2C)[nH]c1C ZINC000572060107 518192610 /nfs/dbraw/zinc/19/26/10/518192610.db2.gz QVAOWRDJPMHPAO-UWVGGRQHSA-N 0 3 235.375 2.941 20 0 BFADHN Clc1ccc(CN[C@H]2CCCSC2)o1 ZINC000129249927 518194649 /nfs/dbraw/zinc/19/46/49/518194649.db2.gz WYROJRAFOGYHHX-QMMMGPOBSA-N 0 3 231.748 2.918 20 0 BFADHN CC[C@H]1CCN(Cc2ccc(OC)o2)C1 ZINC000892223398 582809902 /nfs/dbraw/zinc/80/99/02/582809902.db2.gz IDMKPXSPLRNVBG-JTQLQIEISA-N 0 3 209.289 2.520 20 0 BFADHN CCn1cc(CNCc2ccccc2C)cn1 ZINC000020349187 523384001 /nfs/dbraw/zinc/38/40/01/523384001.db2.gz LRWPFIIALJCBMH-UHFFFAOYSA-N 0 3 229.327 2.501 20 0 BFADHN CC(=O)CCN1CCC[C@H]1Cc1cccc(F)c1 ZINC000178714939 534724598 /nfs/dbraw/zinc/72/45/98/534724598.db2.gz UVYGAXRQCCRNPE-HNNXBMFYSA-N 0 3 249.329 2.812 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NC1CC(C(C)C)C1 ZINC000488420771 534849553 /nfs/dbraw/zinc/84/95/53/534849553.db2.gz JEPBKENDGNBPOL-PKSQDBQZSA-N 0 3 235.375 2.814 20 0 BFADHN C/C=C\c1ccc(NC(=O)/C=C/CN(C)C)cc1 ZINC000493727989 526338634 /nfs/dbraw/zinc/33/86/34/526338634.db2.gz RDFXDELFDRLZQS-XGXWUAJZSA-N 0 3 244.338 2.776 20 0 BFADHN CN(Cc1c[nH]nc1-c1ccc(F)cc1)C1CC1 ZINC000179305077 536454894 /nfs/dbraw/zinc/45/48/94/536454894.db2.gz KOVYONGGHPBQMA-UHFFFAOYSA-N 0 3 245.301 2.810 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NC/C=C\c1ccc(F)cc1 ZINC000494468685 527893201 /nfs/dbraw/zinc/89/32/01/527893201.db2.gz CETHXYBNINMUEB-JHZNUDOXSA-N 0 3 249.329 2.588 20 0 BFADHN CCN1C[C@H](C)[C@H](Nc2cc(C)ccc2F)C1 ZINC000482727851 527975754 /nfs/dbraw/zinc/97/57/54/527975754.db2.gz ZZBUYQGSVLFHHE-SMDDNHRTSA-N 0 3 236.334 2.886 20 0 BFADHN CC(C)c1ccc(CN2C[C@@H](O)C3(CC3)C2)cc1 ZINC000494663178 528094780 /nfs/dbraw/zinc/09/47/80/528094780.db2.gz UXOXSSKXDOGJPA-OAHLLOKOSA-N 0 3 245.366 2.767 20 0 BFADHN CCCCC[C@@H]1CCCN1CC(=O)NCCC ZINC000486799081 528261912 /nfs/dbraw/zinc/26/19/12/528261912.db2.gz MGYVZVDRMMDGIN-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN CCCCC[C@H]1CCCN1CC(=O)NCCC ZINC000486799083 528274700 /nfs/dbraw/zinc/27/47/00/528274700.db2.gz MGYVZVDRMMDGIN-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN CCCCN(Cc1cnn(CC)c1)C1CC1 ZINC000507232657 528447381 /nfs/dbraw/zinc/44/73/81/528447381.db2.gz PEZKFOWSYVXPQO-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CCC(C)(C)OCCNCc1cncc(F)c1 ZINC000308700962 528590823 /nfs/dbraw/zinc/59/08/23/528590823.db2.gz XRSLFSJVDDPPKR-UHFFFAOYSA-N 0 3 240.322 2.516 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H]1C[C@@H](C)[C@H]1C ZINC000495079444 528732585 /nfs/dbraw/zinc/73/25/85/528732585.db2.gz ABPFRJOKJJEINP-JHJVBQTASA-N 0 3 235.375 2.673 20 0 BFADHN CCN(Cc1c(C)nc2ccccn21)C1CC1 ZINC000507234295 528755453 /nfs/dbraw/zinc/75/54/53/528755453.db2.gz XBONSKWWGXYUHW-UHFFFAOYSA-N 0 3 229.327 2.627 20 0 BFADHN CCCC[C@@H](COC)NCc1cncc(F)c1 ZINC000494593411 528831926 /nfs/dbraw/zinc/83/19/26/528831926.db2.gz XGMTWMQETNRIJN-ZDUSSCGKSA-N 0 3 240.322 2.516 20 0 BFADHN CCCC[C@H](CC)CN1CCO[C@H](C)C1 ZINC000510611970 528906743 /nfs/dbraw/zinc/90/67/43/528906743.db2.gz CTACHUHYKCNTGT-OLZOCXBDSA-N 0 3 213.365 2.924 20 0 BFADHN CCC[C@@H](C)CN(CC(=O)OC)[C@@H](C)CC ZINC000467515872 528876477 /nfs/dbraw/zinc/87/64/77/528876477.db2.gz BNWARXAVJNUWEJ-NEPJUHHUSA-N 0 3 229.364 2.696 20 0 BFADHN CC[C@H]1CCCC[C@@H]1CNCc1cocn1 ZINC000401738521 529204715 /nfs/dbraw/zinc/20/47/15/529204715.db2.gz IBPVKYUQAPDVFI-NWDGAFQWSA-N 0 3 222.332 2.981 20 0 BFADHN CC[C@@H](O)CN[C@@H](C)c1ccc2ccccc2n1 ZINC000421877430 529232708 /nfs/dbraw/zinc/23/27/08/529232708.db2.gz LTOFNIKCSXTQKP-WCQYABFASA-N 0 3 244.338 2.656 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CNCc1ncc[nH]1 ZINC000401737386 529278203 /nfs/dbraw/zinc/27/82/03/529278203.db2.gz HIOCAAWQYMFRME-VXGBXAGGSA-N 0 3 221.348 2.716 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CNCc1ncc[nH]1 ZINC000401737383 529286775 /nfs/dbraw/zinc/28/67/75/529286775.db2.gz HIOCAAWQYMFRME-NEPJUHHUSA-N 0 3 221.348 2.716 20 0 BFADHN CC[C@@H](C(=O)OC(C)(C)C)N1C[C@H](C)[C@H](C)C1 ZINC000483919336 529295362 /nfs/dbraw/zinc/29/53/62/529295362.db2.gz HADXMIGLMPCVGC-TUAOUCFPSA-N 0 3 241.375 2.695 20 0 BFADHN CC[C@@H](C(=O)OC)N1CCC[C@H](C(C)(C)C)C1 ZINC000483199507 529296896 /nfs/dbraw/zinc/29/68/96/529296896.db2.gz ORLUNJIPBKERHL-RYUDHWBXSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1Cc1cccc(C)c1 ZINC000505603850 529309787 /nfs/dbraw/zinc/30/97/87/529309787.db2.gz AQJRSGAPVYLYAX-ZFWWWQNUSA-N 0 3 233.355 2.994 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnc2cccc(C)n12 ZINC000507187728 529345071 /nfs/dbraw/zinc/34/50/71/529345071.db2.gz MBPXSORSABPYEH-LLVKDONJSA-N 0 3 231.343 2.873 20 0 BFADHN COC[C@@H](C)NCc1ccccc1OC1CCC1 ZINC000174110626 536550506 /nfs/dbraw/zinc/55/05/06/536550506.db2.gz IPVQIMMJTIXLCO-GFCCVEGCSA-N 0 3 249.354 2.742 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3cccc(F)c3)C[C@@H]21 ZINC000172959055 535042039 /nfs/dbraw/zinc/04/20/39/535042039.db2.gz LVVMLGDLNOIIGP-BETUJISGSA-N 0 3 219.303 2.914 20 0 BFADHN CC1(CNCc2cnn(-c3ccccc3)c2)CC1 ZINC000224166809 535154989 /nfs/dbraw/zinc/15/49/89/535154989.db2.gz NWOBUJRCIYWAGP-UHFFFAOYSA-N 0 3 241.338 2.762 20 0 BFADHN CCCCN(C)C(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000249737643 535208766 /nfs/dbraw/zinc/20/87/66/535208766.db2.gz GQPPBLKITCPPQK-UPJWGTAASA-N 0 3 240.391 2.506 20 0 BFADHN CCCCN(CCCO)Cc1ccc(Cl)o1 ZINC000349346878 535254070 /nfs/dbraw/zinc/25/40/70/535254070.db2.gz LGMOMWNJYALWDM-UHFFFAOYSA-N 0 3 245.750 2.918 20 0 BFADHN CC(C)=CCCNCc1cncc(F)c1 ZINC000336767380 535356685 /nfs/dbraw/zinc/35/66/85/535356685.db2.gz SZUCGUVWNRGOET-UHFFFAOYSA-N 0 3 208.280 2.667 20 0 BFADHN CC(C)CCC[C@H](C)N(C)[C@H](C)C[S@@](C)=O ZINC000251883704 535384690 /nfs/dbraw/zinc/38/46/90/535384690.db2.gz VQJVONQSUSHNDZ-WOSRLPQWSA-N 0 3 247.448 2.900 20 0 BFADHN CCN(Cc1ncccn1)[C@H]1CCCC[C@H]1C ZINC000253351000 535392190 /nfs/dbraw/zinc/39/21/90/535392190.db2.gz OBUKVGVPFKUHGZ-OLZOCXBDSA-N 0 3 233.359 2.877 20 0 BFADHN CCN(Cc1ncccn1)[C@@H]1CCCC[C@H]1C ZINC000253350999 535392266 /nfs/dbraw/zinc/39/22/66/535392266.db2.gz OBUKVGVPFKUHGZ-CHWSQXEVSA-N 0 3 233.359 2.877 20 0 BFADHN CC[C@@H](C(=O)NCC(C)(C)C(C)(C)C)N(C)C ZINC000348474042 535405043 /nfs/dbraw/zinc/40/50/43/535405043.db2.gz CVEXKAMHAZNPIA-NSHDSACASA-N 0 3 242.407 2.515 20 0 BFADHN CCC[C@@H]1CCCCN1CC(=O)N(C)C(C)C ZINC000348807637 535408444 /nfs/dbraw/zinc/40/84/44/535408444.db2.gz JRORVVZZWNCAMI-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H](C)N(C)C(=O)[C@@H](C)N1CCCCCC1 ZINC000172100222 535544494 /nfs/dbraw/zinc/54/44/94/535544494.db2.gz YKJUZWITXPANNH-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1C=CCC1 ZINC000850510073 582923705 /nfs/dbraw/zinc/92/37/05/582923705.db2.gz RHGDLZBAXQMAEW-RYUDHWBXSA-N 0 3 202.301 2.759 20 0 BFADHN CCCn1cc(CN2CC[C@H](C)[C@@H]2C)cn1 ZINC000180468670 535609750 /nfs/dbraw/zinc/60/97/50/535609750.db2.gz QIAPLERUWZTBNS-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN CCN(CC)[C@H](C)C(=O)Nc1cccc(C)c1 ZINC000342633155 535666473 /nfs/dbraw/zinc/66/64/73/535666473.db2.gz XPUUHBIFBFQWMQ-GFCCVEGCSA-N 0 3 234.343 2.664 20 0 BFADHN CC[C@@H](NCCOC)c1cccc(F)c1 ZINC000164069122 535803957 /nfs/dbraw/zinc/80/39/57/535803957.db2.gz FCZLXMMJFPGNSE-GFCCVEGCSA-N 0 3 211.280 2.513 20 0 BFADHN CC[C@@H](O)CN1CC[C@H](C)C[C@@H]1c1ccco1 ZINC000076184127 535813682 /nfs/dbraw/zinc/81/36/82/535813682.db2.gz KQFICROVTNLLKK-YNEHKIRRSA-N 0 3 237.343 2.824 20 0 BFADHN CCn1nccc1CN1CC2(CCC2)C[C@@H]1C ZINC000336136684 535867347 /nfs/dbraw/zinc/86/73/47/535867347.db2.gz MSBXWLFCSJOIDL-LBPRGKRZSA-N 0 3 233.359 2.668 20 0 BFADHN CCn1nccc1CN1CC2(CCC2)C[C@H]1C ZINC000336136683 535867904 /nfs/dbraw/zinc/86/79/04/535867904.db2.gz MSBXWLFCSJOIDL-GFCCVEGCSA-N 0 3 233.359 2.668 20 0 BFADHN CC[C@@H]1C[C@@H](CN[C@@H](C)c2ccon2)CCO1 ZINC000351582797 535877728 /nfs/dbraw/zinc/87/77/28/535877728.db2.gz RCEUCAHXAGYEBO-SDDRHHMPSA-N 0 3 238.331 2.530 20 0 BFADHN CC[C@@H]1C[C@@H](CN[C@H](C)c2ccon2)CCO1 ZINC000351582794 535878103 /nfs/dbraw/zinc/87/81/03/535878103.db2.gz RCEUCAHXAGYEBO-GRYCIOLGSA-N 0 3 238.331 2.530 20 0 BFADHN CCc1cccc(F)c1CNCC1=CCCOC1 ZINC000342315592 535890493 /nfs/dbraw/zinc/89/04/93/535890493.db2.gz MTGWFUGDPUZBFW-UHFFFAOYSA-N 0 3 249.329 2.824 20 0 BFADHN CC[C@H](N[C@@H]1CCO[C@H]1C)c1ccccc1OC ZINC000252681583 535940628 /nfs/dbraw/zinc/94/06/28/535940628.db2.gz APMOZDKJFKALCC-FPMFFAJLSA-N 0 3 249.354 2.913 20 0 BFADHN CC[C@H](N[C@H](C)c1cncs1)[C@@H]1CCCO1 ZINC000222439503 535943663 /nfs/dbraw/zinc/94/36/63/535943663.db2.gz QANIGJCKGMPODA-VWYCJHECSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1cn2cc(C)ccc2n1 ZINC000348107825 536101009 /nfs/dbraw/zinc/10/10/09/536101009.db2.gz JBLPZPGYLFSWNY-GXTWGEPZSA-N 0 3 243.354 2.921 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1cn2ccccc2n1 ZINC000348088202 536101122 /nfs/dbraw/zinc/10/11/22/536101122.db2.gz QTMHTPVLHXNJFE-WCQYABFASA-N 0 3 229.327 2.613 20 0 BFADHN CC[C@H](C)NCc1nccn1Cc1ccccc1 ZINC000342911082 536170822 /nfs/dbraw/zinc/17/08/22/536170822.db2.gz KHHISVJPJYPJHD-ZDUSSCGKSA-N 0 3 243.354 2.820 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1CCOCC(F)(F)F ZINC000185661939 536180129 /nfs/dbraw/zinc/18/01/29/536180129.db2.gz KZHPDAFMQNFJGN-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN COC1(CNCc2cc(C)ccc2F)CCC1 ZINC000227325404 536680960 /nfs/dbraw/zinc/68/09/60/536680960.db2.gz YRXLBPBYXGIMJW-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN CO[C@@H]1CCN(Cc2cccc(F)c2)[C@H](C)C1 ZINC000334381075 536687662 /nfs/dbraw/zinc/68/76/62/536687662.db2.gz JBBRJNFSHCGVOA-BXUZGUMPSA-N 0 3 237.318 2.825 20 0 BFADHN COC1CCC(NCc2ccc(C)o2)CC1 ZINC000164256681 536736202 /nfs/dbraw/zinc/73/62/02/536736202.db2.gz FDIYAECXUSWYAH-UHFFFAOYSA-N 0 3 223.316 2.635 20 0 BFADHN COCc1ccc(CN[C@H]2C[C@@H]2C(F)F)cc1 ZINC000342228765 536782248 /nfs/dbraw/zinc/78/22/48/536782248.db2.gz ZPONYDIZOAZSHQ-RYUDHWBXSA-N 0 3 241.281 2.576 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2ccncc2)C1(C)C ZINC000227790311 536809218 /nfs/dbraw/zinc/80/92/18/536809218.db2.gz FLRHSZVJSAYOKA-WCFLWFBJSA-N 0 3 234.343 2.546 20 0 BFADHN COc1cccc2c1CCN(CC[C@@H](C)F)C2 ZINC000351761873 536942524 /nfs/dbraw/zinc/94/25/24/536942524.db2.gz XXPIRMCVMSWAPR-LLVKDONJSA-N 0 3 237.318 2.801 20 0 BFADHN COc1ccccc1CN[C@@H]1C[C@@H](OC)C1(C)C ZINC000227831022 537048704 /nfs/dbraw/zinc/04/87/04/537048704.db2.gz XWUFFURSAFMXDT-ZIAGYGMSSA-N 0 3 249.354 2.598 20 0 BFADHN Fc1cc(F)cc(CNCC[C@H]2CCCO2)c1 ZINC000169087841 537850544 /nfs/dbraw/zinc/85/05/44/537850544.db2.gz PLDZDMXLTWKIBV-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN CC/C=C/CNCc1ccnn1-c1ccccc1 ZINC000295115386 566388648 /nfs/dbraw/zinc/38/86/48/566388648.db2.gz WQTVVFGMXQZXFH-XVNBXDOJSA-N 0 3 241.338 2.928 20 0 BFADHN C[C@H](NCCc1ccc(Cl)cc1)c1ncc[nH]1 ZINC000149256694 566397449 /nfs/dbraw/zinc/39/74/49/566397449.db2.gz PZVVKQOBQKMXKN-JTQLQIEISA-N 0 3 249.745 2.956 20 0 BFADHN C[C@@H](NC1CCC(F)(F)CC1)c1ncc[nH]1 ZINC000335606832 566405497 /nfs/dbraw/zinc/40/54/97/566405497.db2.gz UKLFRZOYPOMLRP-MRVPVSSYSA-N 0 3 229.274 2.638 20 0 BFADHN CCc1onc(C)c1CN[C@H]1CC=CCC1 ZINC000893003513 583027973 /nfs/dbraw/zinc/02/79/73/583027973.db2.gz LGBNUVMUCHYHRZ-NSHDSACASA-N 0 3 220.316 2.744 20 0 BFADHN CCCSC[C@@H](C)NCc1ccc(F)cn1 ZINC000893151075 583058123 /nfs/dbraw/zinc/05/81/23/583058123.db2.gz NWIMKBDEFZHRMT-SNVBAGLBSA-N 0 3 242.363 2.842 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1cn(C)nc1Cl ZINC000856772605 583123767 /nfs/dbraw/zinc/12/37/67/583123767.db2.gz YZOAZGAKRBNKNT-ZJUUUORDSA-N 0 3 243.782 2.988 20 0 BFADHN COc1ccc(CNCC2CC(C)(C)C2)nc1 ZINC000893875831 583238694 /nfs/dbraw/zinc/23/86/94/583238694.db2.gz VDAJSYFCSYYJQC-UHFFFAOYSA-N 0 3 234.343 2.616 20 0 BFADHN CCN(C/C=C/c1cccc(F)c1)CCOC ZINC000893927241 583246426 /nfs/dbraw/zinc/24/64/26/583246426.db2.gz DJWJCZIBZFKPFC-FNORWQNLSA-N 0 3 237.318 2.807 20 0 BFADHN CC[C@@](C)(CNc1cc(N)ncc1Cl)OC ZINC000894054157 583289685 /nfs/dbraw/zinc/28/96/85/583289685.db2.gz SXIXZXVRFMINOM-NSHDSACASA-N 0 3 243.738 2.544 20 0 BFADHN COc1cnc(F)c(CN[C@@H]2CC[C@@H](C)C2)c1 ZINC000877460584 583313852 /nfs/dbraw/zinc/31/38/52/583313852.db2.gz VSNXIEHKUYLOFP-MWLCHTKSSA-N 0 3 238.306 2.508 20 0 BFADHN CO[C@H]1CCC[C@H]1NCc1ccc(C)c(F)c1 ZINC000110976649 588714410 /nfs/dbraw/zinc/71/44/10/588714410.db2.gz OJSFOCYOXATAAS-KGLIPLIRSA-N 0 3 237.318 2.791 20 0 BFADHN CO[C@H]1CCC[C@@H]1NCc1ccc(C)c(F)c1 ZINC000110976652 588714493 /nfs/dbraw/zinc/71/44/93/588714493.db2.gz OJSFOCYOXATAAS-KBPBESRZSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cc(F)ccc1[C@@H](C)NCCOCCF ZINC000853238784 588728286 /nfs/dbraw/zinc/72/82/86/588728286.db2.gz QUZNAASJSYHWFU-LLVKDONJSA-N 0 3 243.297 2.771 20 0 BFADHN CCCN(CCC)CN1CC[C@@H](C(C)C)C1=O ZINC000853490232 588745880 /nfs/dbraw/zinc/74/58/80/588745880.db2.gz QTYMEIYWGFUVEV-ZDUSSCGKSA-N 0 3 240.391 2.570 20 0 BFADHN C[C@H](NCCOC1CCCC1)c1ccccn1 ZINC000111635236 588764931 /nfs/dbraw/zinc/76/49/31/588764931.db2.gz DALPDJLGXCGDIG-LBPRGKRZSA-N 0 3 234.343 2.691 20 0 BFADHN CC(C)c1noc(C[C@@H]2NCc3ccccc32)n1 ZINC000854133848 588776217 /nfs/dbraw/zinc/77/62/17/588776217.db2.gz CCKRHKBZZWVIMY-LBPRGKRZSA-N 0 3 243.310 2.580 20 0 BFADHN CCCCCC[C@H](C)NCc1ccn(C)n1 ZINC000112337119 588794603 /nfs/dbraw/zinc/79/46/03/588794603.db2.gz WBGXLVPPEAZOAW-LBPRGKRZSA-N 0 3 223.364 2.869 20 0 BFADHN Cc1c[nH]nc1CNCC[C@@]1(C)C[C@]1(F)Cl ZINC000846039187 582644132 /nfs/dbraw/zinc/64/41/32/582644132.db2.gz RSGMVZOBDSEYEU-WDEREUQCSA-N 0 3 245.729 2.512 20 0 BFADHN CCCCN(C)c1cc(N)ncc1Cl ZINC000891408083 582679755 /nfs/dbraw/zinc/67/97/55/582679755.db2.gz FZDLLUAAMJPIPQ-UHFFFAOYSA-N 0 3 213.712 2.554 20 0 BFADHN CCN(CC)Cc1cnn(CC2CCC2)c1 ZINC000891438509 582684946 /nfs/dbraw/zinc/68/49/46/582684946.db2.gz NCSVAFUVKBLTOU-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCN(CC)Cc1nccc2c1CCCC2 ZINC000891438566 582685279 /nfs/dbraw/zinc/68/52/79/582685279.db2.gz PMJMBZUVVLFMAE-UHFFFAOYSA-N 0 3 218.344 2.802 20 0 BFADHN Cc1cc(F)ncc1CN1CCCCCC1 ZINC000891444139 582686582 /nfs/dbraw/zinc/68/65/82/582686582.db2.gz NOFKVBOXPVABHV-UHFFFAOYSA-N 0 3 222.307 2.905 20 0 BFADHN Cc1cc(CN2CCCC2)c2c(n1)CCCC2 ZINC000891445567 582686856 /nfs/dbraw/zinc/68/68/56/582686856.db2.gz CNVFXBHEOKVMKX-UHFFFAOYSA-N 0 3 230.355 2.865 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cnn(C)c2C2CC2)C1 ZINC000891449243 582688424 /nfs/dbraw/zinc/68/84/24/582688424.db2.gz PQAKHMOMMJAGAO-RYUDHWBXSA-N 0 3 247.386 2.775 20 0 BFADHN c1cc2c(c(CN3C4CCC3CC4)c1)OCC2 ZINC000891556088 582696958 /nfs/dbraw/zinc/69/69/58/582696958.db2.gz VIULCZXTGILXEJ-UHFFFAOYSA-N 0 3 229.323 2.748 20 0 BFADHN CCOc1ccc(CN(C)CC(C)C)o1 ZINC000891577640 582698289 /nfs/dbraw/zinc/69/82/89/582698289.db2.gz DMXMBIRHASMGAC-UHFFFAOYSA-N 0 3 211.305 2.766 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cnn(C)c1C1CC1 ZINC000891604749 582701050 /nfs/dbraw/zinc/70/10/50/582701050.db2.gz NZZNPTJTFLYDNS-LLVKDONJSA-N 0 3 235.375 2.774 20 0 BFADHN CCN(Cc1cnn(CC2CCC2)c1)C1CC1 ZINC000891608853 582701509 /nfs/dbraw/zinc/70/15/09/582701509.db2.gz FJGUPILWJDQRDG-UHFFFAOYSA-N 0 3 233.359 2.668 20 0 BFADHN Cc1cc(CN(C)[C@H](C)C2CC2)cnc1F ZINC000891644327 582704987 /nfs/dbraw/zinc/70/49/87/582704987.db2.gz VQXLGDXYWYSBSI-SNVBAGLBSA-N 0 3 222.307 2.759 20 0 BFADHN COc1ccc(CN(C)[C@H](C)C2CC2)o1 ZINC000891643644 582705555 /nfs/dbraw/zinc/70/55/55/582705555.db2.gz MYFNGDTXUVICDE-SECBINFHSA-N 0 3 209.289 2.519 20 0 BFADHN CC(C)CN(Cc1c2c(nn1C)CCC2)C(C)C ZINC000891699838 582711105 /nfs/dbraw/zinc/71/11/05/582711105.db2.gz NASMXDBFTAANJL-UHFFFAOYSA-N 0 3 249.402 2.775 20 0 BFADHN CC[C@H]1CCCN1Cc1cccc2[nH]c(=O)oc21 ZINC000891779817 582719873 /nfs/dbraw/zinc/71/98/73/582719873.db2.gz PAEGPHWSVMBITQ-NSHDSACASA-N 0 3 246.310 2.908 20 0 BFADHN C[C@H]1CCN(Cc2nccc3c2CCCC3)C1 ZINC000891797429 582722850 /nfs/dbraw/zinc/72/28/50/582722850.db2.gz IWZSUJAIXLBMPF-LBPRGKRZSA-N 0 3 230.355 2.802 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](C)C2)o1 ZINC000891797494 582724071 /nfs/dbraw/zinc/72/40/71/582724071.db2.gz KUKYQXUFOMDBII-SNVBAGLBSA-N 0 3 209.289 2.520 20 0 BFADHN CCn1cc(CN2CCC[C@H]3CCC[C@H]32)c(C)n1 ZINC000891804089 582724158 /nfs/dbraw/zinc/72/41/58/582724158.db2.gz NRNSGZDMSPIXFI-UKRRQHHQSA-N 0 3 247.386 2.976 20 0 BFADHN CN(Cc1cnn(C)c1C1CC1)CC1CCCC1 ZINC000891845643 582729013 /nfs/dbraw/zinc/72/90/13/582729013.db2.gz BGYBMUHGBOQJJD-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN CCn1cc(CN2CCC[C@@H](C)[C@@H]2C)c(C)n1 ZINC000891861635 582730550 /nfs/dbraw/zinc/73/05/50/582730550.db2.gz JAFVZXCETYUWIL-YPMHNXCESA-N 0 3 235.375 2.832 20 0 BFADHN C[C@H]1CCCN(Cc2cnn(C)c2C2CC2)[C@H]1C ZINC000891863386 582731111 /nfs/dbraw/zinc/73/11/11/582731111.db2.gz WGPBXLOXATYIIF-RYUDHWBXSA-N 0 3 247.386 2.918 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2C2CC2)cnc1F ZINC000891934154 582740274 /nfs/dbraw/zinc/74/02/74/582740274.db2.gz XCZYTGXMGYSEIJ-CYBMUJFWSA-N 0 3 234.318 2.904 20 0 BFADHN Cc1ccc(CCN(C)Cc2cn[nH]c2)cc1C ZINC000892049189 582774423 /nfs/dbraw/zinc/77/44/23/582774423.db2.gz PHLKIXHUKSJRRX-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN CC1(C)CCN1Cc1cccc2c1OCC2 ZINC000892057591 582777122 /nfs/dbraw/zinc/77/71/22/582777122.db2.gz IFUIOSYEBWBMOD-UHFFFAOYSA-N 0 3 217.312 2.606 20 0 BFADHN CN(C[C@H]1C[C@]1(C)Br)C1CCC1 ZINC000892059075 582778698 /nfs/dbraw/zinc/77/86/98/582778698.db2.gz UNFXPLLCAHWSAR-SCZZXKLOSA-N 0 3 232.165 2.644 20 0 BFADHN CN(Cc1cnn(C2CCC2)c1)C1CCC1 ZINC000892059182 582779441 /nfs/dbraw/zinc/77/94/41/582779441.db2.gz YEWBTSGENJQCND-UHFFFAOYSA-N 0 3 219.332 2.592 20 0 BFADHN C[C@@H]1CCN(Cc2cnn(C)c2C2CC2)C[C@@H]1C ZINC000892065262 582782123 /nfs/dbraw/zinc/78/21/23/582782123.db2.gz KSFJHYRBSIGEBH-NEPJUHHUSA-N 0 3 247.386 2.775 20 0 BFADHN C[C@H]1CCN(Cc2cnn(C)c2C2CC2)C[C@H]1C ZINC000892065263 582782322 /nfs/dbraw/zinc/78/23/22/582782322.db2.gz KSFJHYRBSIGEBH-NWDGAFQWSA-N 0 3 247.386 2.775 20 0 BFADHN C[C@H]1CN(Cc2cccc3[nH]c(=O)oc32)C[C@@H]1C ZINC000892068374 582782934 /nfs/dbraw/zinc/78/29/34/582782934.db2.gz LWYJIQSRPMFXQG-UWVGGRQHSA-N 0 3 246.310 2.621 20 0 BFADHN C[C@H]1CN(Cc2cn(C)nc2C2CC2)CC[C@@H]1C ZINC000892066582 582783122 /nfs/dbraw/zinc/78/31/22/582783122.db2.gz WOURFOGGGHINJZ-RYUDHWBXSA-N 0 3 247.386 2.775 20 0 BFADHN C[C@H]1CN(Cc2cccc3[nH]c(=O)oc32)C[C@H]1C ZINC000892068372 582783487 /nfs/dbraw/zinc/78/34/87/582783487.db2.gz LWYJIQSRPMFXQG-AOOOYVTPSA-N 0 3 246.310 2.621 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1ccc(OC)o1 ZINC000892068966 582784522 /nfs/dbraw/zinc/78/45/22/582784522.db2.gz WXWOIRTVEORZCN-GHMZBOCLSA-N 0 3 223.316 2.909 20 0 BFADHN C[C@H]1CN(Cc2ccnn2C2CCC2)C[C@@H]1C ZINC000892068853 582784599 /nfs/dbraw/zinc/78/45/99/582784599.db2.gz UXVGQAZCGOJMOJ-RYUDHWBXSA-N 0 3 233.359 2.696 20 0 BFADHN CCN(Cc1cnn(C)c1C1CC1)C1CCC1 ZINC000892085936 582788176 /nfs/dbraw/zinc/78/81/76/582788176.db2.gz LYWKHJQYLKHNKX-UHFFFAOYSA-N 0 3 233.359 2.672 20 0 BFADHN Cc1cc(CN2C[C@@H](C)C[C@H]2C)cnc1F ZINC000892104906 582792562 /nfs/dbraw/zinc/79/25/62/582792562.db2.gz UDNIMCSJBXJNRX-GXSJLCMTSA-N 0 3 222.307 2.759 20 0 BFADHN CC[C@]1(C)CCN(Cc2c3c(nn2C)CCC3)C1 ZINC000892144943 582801223 /nfs/dbraw/zinc/80/12/23/582801223.db2.gz FZNCHAMBWSAOHV-OAHLLOKOSA-N 0 3 247.386 2.531 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](C3CC3)C2)o1 ZINC000892146588 582801601 /nfs/dbraw/zinc/80/16/01/582801601.db2.gz WWKAFOQZGLAPCJ-GFCCVEGCSA-N 0 3 235.327 2.910 20 0 BFADHN C[C@@H]1CCN(Cc2cnn(C3CCC3)c2)[C@@H]1C ZINC000892215218 582808465 /nfs/dbraw/zinc/80/84/65/582808465.db2.gz TZWSSXGFHGWMQD-VXGBXAGGSA-N 0 3 233.359 2.838 20 0 BFADHN CC[C@H]1CCN(Cc2cnn(CC3CCC3)c2)C1 ZINC000892224092 582809466 /nfs/dbraw/zinc/80/94/66/582809466.db2.gz QMJYKXGFSWYPBT-ZDUSSCGKSA-N 0 3 247.386 2.915 20 0 BFADHN CC[C@@H]1CCN(Cc2cn3ccnc3s2)C1 ZINC000892222823 582809929 /nfs/dbraw/zinc/80/99/29/582809929.db2.gz BBCUUCVUMVVFCK-SNVBAGLBSA-N 0 3 235.356 2.628 20 0 BFADHN CC[C@@H]1COCCN1C[C@H]1CCc2ccccc21 ZINC000780288985 582827421 /nfs/dbraw/zinc/82/74/21/582827421.db2.gz BFTPMIRYSXOLCJ-HUUCEWRRSA-N 0 3 245.366 2.827 20 0 BFADHN CCOc1ccc(CN[C@H]2C=CCC2)cc1 ZINC000829347538 582856473 /nfs/dbraw/zinc/85/64/73/582856473.db2.gz KYUFCKDUWIECQG-ZDUSSCGKSA-N 0 3 217.312 2.894 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cn2ccnc2s1 ZINC000892403059 582876629 /nfs/dbraw/zinc/87/66/29/582876629.db2.gz JOXOIZIETAJJJP-LLVKDONJSA-N 0 3 235.356 2.626 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cn2ccnc2s1 ZINC000892403060 582876863 /nfs/dbraw/zinc/87/68/63/582876863.db2.gz JOXOIZIETAJJJP-NSHDSACASA-N 0 3 235.356 2.626 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cnn(C)c1C1CC1 ZINC000892402564 582876923 /nfs/dbraw/zinc/87/69/23/582876923.db2.gz CTUAZFNBWZUEQN-ZDUSSCGKSA-N 0 3 233.359 2.528 20 0 BFADHN COc1nccc(CNC2CCCCC2)c1F ZINC000892401638 582877035 /nfs/dbraw/zinc/87/70/35/582877035.db2.gz GSPYTXIRUZVYDR-UHFFFAOYSA-N 0 3 238.306 2.652 20 0 BFADHN COc1ccc(CNCC2CCCCC2)nc1 ZINC000892427397 582883992 /nfs/dbraw/zinc/88/39/92/582883992.db2.gz PSAHBUBGWRYXFB-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN CC[C@H](CO)NCc1cc(C(C)(C)C)oc1C ZINC000892478727 582895387 /nfs/dbraw/zinc/89/53/87/582895387.db2.gz PHFKXCXEINBEGX-GFCCVEGCSA-N 0 3 239.359 2.746 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2ccco2)cnc1F ZINC000892514604 582904235 /nfs/dbraw/zinc/90/42/35/582904235.db2.gz MHBZCGKVOFUXRS-NSHDSACASA-N 0 3 248.301 2.843 20 0 BFADHN C[C@@H](CC1CCC1)N[C@H](CO)c1ccsc1 ZINC000393804241 582925967 /nfs/dbraw/zinc/92/59/67/582925967.db2.gz PBZGPARXHSPCJM-GXFFZTMASA-N 0 3 239.384 2.950 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccc(F)cc1C ZINC000850572371 582930318 /nfs/dbraw/zinc/93/03/18/582930318.db2.gz ZYDTWDUBHPKEQO-GDLCADMTSA-N 0 3 237.318 2.962 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ccc(OC)o1 ZINC000892676659 582950150 /nfs/dbraw/zinc/95/01/50/582950150.db2.gz OQJBUDVCGIRYGS-VHSXEESVSA-N 0 3 211.305 2.812 20 0 BFADHN COc1ccc2c(c1)[C@@H](N[C@H]1CC[C@H]1C)CCO2 ZINC000783293552 582955963 /nfs/dbraw/zinc/95/59/63/582955963.db2.gz HHEUKFOCCLEGPZ-SWHYSGLUSA-N 0 3 247.338 2.907 20 0 BFADHN Nc1cc(NCCC(F)(F)F)c(Cl)cn1 ZINC000892734295 582971972 /nfs/dbraw/zinc/97/19/72/582971972.db2.gz VGNYMLALCKPNFW-UHFFFAOYSA-N 0 3 239.628 2.682 20 0 BFADHN CSC(C)(C)CNc1cc(N)ncc1Cl ZINC000892744924 582975283 /nfs/dbraw/zinc/97/52/83/582975283.db2.gz HCGXTKLHRJBWOZ-UHFFFAOYSA-N 0 3 245.779 2.871 20 0 BFADHN C[C@H]1c2ccccc2CN1c1ccncc1CO ZINC000892769535 582978567 /nfs/dbraw/zinc/97/85/67/582978567.db2.gz ASNWATXSDAUFLS-NSHDSACASA-N 0 3 240.306 2.655 20 0 BFADHN CC(=O)OC[C@H](C)N[C@H](C)c1cccc(C)c1C ZINC000892949341 583015811 /nfs/dbraw/zinc/01/58/11/583015811.db2.gz RWMZFCAJPUBJOA-WCQYABFASA-N 0 3 249.354 2.906 20 0 BFADHN CC(C)(O)CNCc1ccc(C(F)F)c(F)c1 ZINC000892951555 583017832 /nfs/dbraw/zinc/01/78/32/583017832.db2.gz RCQDOPZPCXZFEY-UHFFFAOYSA-N 0 3 247.260 2.624 20 0 BFADHN COc1nccc(CNC(C)(C)C2CC2)c1F ZINC000892957167 583020279 /nfs/dbraw/zinc/02/02/79/583020279.db2.gz PBLPNLVSVLAOJZ-UHFFFAOYSA-N 0 3 238.306 2.508 20 0 BFADHN CCC[C@H](CC)NCc1cn(C)nc1Cl ZINC000856769635 588876822 /nfs/dbraw/zinc/87/68/22/588876822.db2.gz WCAPLOLZPQGDGZ-JTQLQIEISA-N 0 3 229.755 2.742 20 0 BFADHN COc1ccc(CNCCCCSC)o1 ZINC000892999955 583026782 /nfs/dbraw/zinc/02/67/82/583026782.db2.gz UXAVPUHEXNQGRX-UHFFFAOYSA-N 0 3 229.345 2.521 20 0 BFADHN CCOc1ccc(CN[C@@H]2CC=CCC2)o1 ZINC000893003953 583028428 /nfs/dbraw/zinc/02/84/28/583028428.db2.gz PKNTYWXFYWMBQX-LLVKDONJSA-N 0 3 221.300 2.877 20 0 BFADHN CCSCC[C@H](C)NCc1ccc(OC)o1 ZINC000893064161 583039939 /nfs/dbraw/zinc/03/99/39/583039939.db2.gz MVTQOUMBRHRTQJ-JTQLQIEISA-N 0 3 243.372 2.910 20 0 BFADHN CCN(Cc1cncc(Cl)c1)C(C)C ZINC000856721298 588875102 /nfs/dbraw/zinc/87/51/02/588875102.db2.gz HVRJNEWKYZDODU-UHFFFAOYSA-N 0 3 212.724 2.965 20 0 BFADHN CCC[C@@H](CC)NCc1cn(C)nc1Cl ZINC000856769636 588876917 /nfs/dbraw/zinc/87/69/17/588876917.db2.gz WCAPLOLZPQGDGZ-SNVBAGLBSA-N 0 3 229.755 2.742 20 0 BFADHN COc1ccc(CN[C@H]2CCC(C)(C)C2)nc1 ZINC000893219353 583073668 /nfs/dbraw/zinc/07/36/68/583073668.db2.gz GALOOAWQGNEIRE-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN C[C@@H]1CCCC[C@H]1NCc1cn(C)nc1Cl ZINC000856764270 588875999 /nfs/dbraw/zinc/87/59/99/588875999.db2.gz UFLPSODWUNJCNP-MWLCHTKSSA-N 0 3 241.766 2.742 20 0 BFADHN Cn1cc(CNC2CCCCCC2)c(Cl)n1 ZINC000856764412 588876230 /nfs/dbraw/zinc/87/62/30/588876230.db2.gz ZTFMJGBXWLSZOL-UHFFFAOYSA-N 0 3 241.766 2.886 20 0 BFADHN CCc1nnc([C@H](C)NCCCCC(C)C)[nH]1 ZINC000893268759 583081259 /nfs/dbraw/zinc/08/12/59/583081259.db2.gz YZDURRPBHZLHND-NSHDSACASA-N 0 3 238.379 2.844 20 0 BFADHN CCc1nnc([C@H](C)[NH2+]CCCCC(C)C)[n-]1 ZINC000893268759 583081263 /nfs/dbraw/zinc/08/12/63/583081263.db2.gz YZDURRPBHZLHND-NSHDSACASA-N 0 3 238.379 2.844 20 0 BFADHN CC[C@H](CSC)NCc1ccc(OC)o1 ZINC000893280409 583084123 /nfs/dbraw/zinc/08/41/23/583084123.db2.gz XNQYCRAMRKOQMW-SECBINFHSA-N 0 3 229.345 2.519 20 0 BFADHN C[C@H]1CC[C@H](NCc2cn(C)nc2Cl)CC1 ZINC000856769567 588876708 /nfs/dbraw/zinc/87/67/08/588876708.db2.gz SRPXLHGZIUQNLJ-HOMQSWHASA-N 0 3 241.766 2.742 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCC(F)(F)C1 ZINC000393808896 583108877 /nfs/dbraw/zinc/10/88/77/583108877.db2.gz RAGOWUQXQLCTHX-VIFPVBQESA-N 0 3 230.258 2.569 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cn(C)nc1Cl ZINC000856773187 588877245 /nfs/dbraw/zinc/87/72/45/588877245.db2.gz SEICBFDGQRBDLE-ONGXEEELSA-N 0 3 241.766 2.742 20 0 BFADHN CCC1(NCc2cn(C)nc2Cl)CCCC1 ZINC000856790074 588877939 /nfs/dbraw/zinc/87/79/39/588877939.db2.gz UZLWLXUMAATGQX-UHFFFAOYSA-N 0 3 241.766 2.886 20 0 BFADHN CC(C)[C@H](NCc1cn(C)nc1Cl)C1CC1 ZINC000856796413 588878036 /nfs/dbraw/zinc/87/80/36/588878036.db2.gz UEBKQBWHTQXXGP-NSHDSACASA-N 0 3 241.766 2.598 20 0 BFADHN CC[C@](C)(NCc1cn(C)nc1Cl)C1CC1 ZINC000856795984 588878065 /nfs/dbraw/zinc/87/80/65/588878065.db2.gz MIRVSAZUKDQQFG-LBPRGKRZSA-N 0 3 241.766 2.742 20 0 BFADHN FC1(F)C[C@@H]1CCNCc1cccnc1Cl ZINC000856802517 588878500 /nfs/dbraw/zinc/87/85/00/588878500.db2.gz RINUSPRQRXBBLA-VIFPVBQESA-N 0 3 246.688 2.870 20 0 BFADHN OCc1cnccc1N1CCCC2(CCCC2)C1 ZINC000893383182 583133505 /nfs/dbraw/zinc/13/35/05/583133505.db2.gz PRMZLUXSXMBORO-UHFFFAOYSA-N 0 3 246.354 2.735 20 0 BFADHN CCN(CCC1CC1)Cc1cn(CC)nc1C ZINC000893591410 583168587 /nfs/dbraw/zinc/16/85/87/583168587.db2.gz UHFQKSXQRHOMNB-UHFFFAOYSA-N 0 3 235.375 2.833 20 0 BFADHN CCc1csc(NC[C@H](C)N(C)C2CC2)n1 ZINC000220118057 583176042 /nfs/dbraw/zinc/17/60/42/583176042.db2.gz WRLHXUCCRAAAEL-VIFPVBQESA-N 0 3 239.388 2.600 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1ccc(F)nc1 ZINC000840970243 583206503 /nfs/dbraw/zinc/20/65/03/583206503.db2.gz SGPGFJLASKWVBG-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN CC(C)(CNCc1ccoc1)c1cccnc1 ZINC000840974422 583211280 /nfs/dbraw/zinc/21/12/80/583211280.db2.gz AHCUOPPPGAHEHU-UHFFFAOYSA-N 0 3 230.311 2.742 20 0 BFADHN C=C/C=C/CCOC(=O)C12CCCN(CCC1)C2 ZINC000893781802 583223806 /nfs/dbraw/zinc/22/38/06/583223806.db2.gz RODALLUFIDPZPU-ONEGZZNKSA-N 0 3 249.354 2.538 20 0 BFADHN C[C@H](NCC[C@@H]1CC1(Cl)Cl)c1ncc[nH]1 ZINC000857081929 588885877 /nfs/dbraw/zinc/88/58/77/588885877.db2.gz KFNBAMICEMDCNU-JGVFFNPUSA-N 0 3 248.157 2.644 20 0 BFADHN C[C@H]1CCCN(Cc2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC000893870393 583235858 /nfs/dbraw/zinc/23/58/58/583235858.db2.gz BDAMJPMTYBLBGK-JTQLQIEISA-N 0 3 245.326 2.500 20 0 BFADHN CC[C@H]1CCCCN1Cc1ocnc1C ZINC000893871411 583237673 /nfs/dbraw/zinc/23/76/73/583237673.db2.gz MXQFZRRYSRKYKV-NSHDSACASA-N 0 3 208.305 2.748 20 0 BFADHN Cc1n[nH]c(CN2CCCCCCC2)c1C ZINC000893878508 583239949 /nfs/dbraw/zinc/23/99/49/583239949.db2.gz XRFKWVJRZSQISX-UHFFFAOYSA-N 0 3 221.348 2.793 20 0 BFADHN Cc1ncoc1CN(C)CC1CCCCC1 ZINC000893919220 583247235 /nfs/dbraw/zinc/24/72/35/583247235.db2.gz JIHVZJPQVISPIF-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1ccnc(N)c1CN(C)CC1CCCCC1 ZINC000893919525 583247312 /nfs/dbraw/zinc/24/73/12/583247312.db2.gz KLLPINOCZZBQPL-UHFFFAOYSA-N 0 3 247.386 2.984 20 0 BFADHN Cc1ccnc(N)c1CN(C)C1CCC(C)CC1 ZINC000893908864 583250870 /nfs/dbraw/zinc/25/08/70/583250870.db2.gz DTVKVBUKYVAIHG-UHFFFAOYSA-N 0 3 247.386 2.983 20 0 BFADHN CC[C@H]1CCCN(Cc2ocnc2C)C1 ZINC000893962101 583257269 /nfs/dbraw/zinc/25/72/69/583257269.db2.gz LCRDVEMYQNQQEU-NSHDSACASA-N 0 3 208.305 2.605 20 0 BFADHN CCCN(Cc1[nH]nc(C)c1C)CC(C)C ZINC000893950050 583260557 /nfs/dbraw/zinc/26/05/57/583260557.db2.gz YEFKMCAHJGOWQL-UHFFFAOYSA-N 0 3 223.364 2.895 20 0 BFADHN COCCN(Cc1cc(C)co1)C1CCCC1 ZINC000893953146 583261602 /nfs/dbraw/zinc/26/16/02/583261602.db2.gz BWJMBDQZRJYDGL-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN Cc1ccnc(N)c1CN(C)CC1CCCC1 ZINC000893980813 583266122 /nfs/dbraw/zinc/26/61/22/583266122.db2.gz ORDGFQZHHCIULR-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN C[C@H]1CN(C/C=C/c2cccc(F)c2)CCCO1 ZINC000893995845 583267908 /nfs/dbraw/zinc/26/79/08/583267908.db2.gz QKXQQESLVYTSNB-YIALFULDSA-N 0 3 249.329 2.950 20 0 BFADHN CN(C/C=C/c1cccc(F)c1)[C@@H]1CCOC1 ZINC000894001282 583271074 /nfs/dbraw/zinc/27/10/74/583271074.db2.gz HKMJEAULDBBAEI-LYKUJDHUSA-N 0 3 235.302 2.560 20 0 BFADHN COC[C@@H]1CCN(C/C=C\c2cccc(F)c2)C1 ZINC000894020818 583275011 /nfs/dbraw/zinc/27/50/11/583275011.db2.gz RFHURTGXKYGIFL-PKXJPQMGSA-N 0 3 249.329 2.807 20 0 BFADHN CC1(O)CCN(C/C=C/c2cccc(F)c2)CC1 ZINC000894030813 583276564 /nfs/dbraw/zinc/27/65/64/583276564.db2.gz LYOVZUMAQMYDPH-HWKANZROSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1n[nH]c(CN(CC2CC2)CC2CC2)c1C ZINC000894035055 583277930 /nfs/dbraw/zinc/27/79/30/583277930.db2.gz XNVLCWHBQDIIGI-UHFFFAOYSA-N 0 3 233.359 2.649 20 0 BFADHN CO[C@@H]1CCN(C/C=C\c2cccc(F)c2)C1 ZINC000894033966 583278399 /nfs/dbraw/zinc/27/83/99/583278399.db2.gz OKWKBZCIPQGWHW-PKXJPQMGSA-N 0 3 235.302 2.560 20 0 BFADHN Cc1ncoc1CN1C[C@@H](C)CC[C@H]1C ZINC000894035189 583279897 /nfs/dbraw/zinc/27/98/97/583279897.db2.gz WGPQMJPOTFSQNL-VHSXEESVSA-N 0 3 208.305 2.603 20 0 BFADHN CN(C/C=C\c1cccc(F)c1)CC(C)(C)O ZINC000894027395 583281587 /nfs/dbraw/zinc/28/15/87/583281587.db2.gz QKHSGZFOOSAGER-ALCCZGGFSA-N 0 3 237.318 2.542 20 0 BFADHN C[C@]1(O)CCCN(C/C=C/c2cccc(F)c2)C1 ZINC000894045355 583286736 /nfs/dbraw/zinc/28/67/36/583286736.db2.gz ZTKUYINZKNRTDV-VFQAQYNWSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1ncoc1CN1CC[C@@H]1Cc1ccccc1 ZINC000894064908 583293433 /nfs/dbraw/zinc/29/34/33/583293433.db2.gz OCFDRYJVLMMSOO-CQSZACIVSA-N 0 3 242.322 2.800 20 0 BFADHN Cc1noc2ncc(CN3CC[C@@H](C)[C@@H]3C)cc12 ZINC000894086352 583295828 /nfs/dbraw/zinc/29/58/28/583295828.db2.gz VVEGJOSUXZAUSM-KOLCDFICSA-N 0 3 245.326 2.762 20 0 BFADHN CS[C@@H]1CCCCN(Cc2ocnc2C)C1 ZINC000894094675 583298300 /nfs/dbraw/zinc/29/83/00/583298300.db2.gz NQRKKRWYHUPQRC-LLVKDONJSA-N 0 3 240.372 2.701 20 0 BFADHN CC[C@]1(C)CCN(Cc2[nH]nc(C)c2C)C1 ZINC000894087224 583298708 /nfs/dbraw/zinc/29/87/08/583298708.db2.gz VSWFLFQWSZMMOP-CYBMUJFWSA-N 0 3 221.348 2.649 20 0 BFADHN Nc1cc(NC[C@@H]2C[C@H]2C2CC2)c(Cl)cn1 ZINC000894117627 583310140 /nfs/dbraw/zinc/31/01/40/583310140.db2.gz BZFJKJYRLAULIZ-IUCAKERBSA-N 0 3 237.734 2.775 20 0 BFADHN CC(C)C1CN(C[C@H]2C[C@]2(C)Br)C1 ZINC000894133735 583313651 /nfs/dbraw/zinc/31/36/51/583313651.db2.gz IKLIJZDXZATYMQ-MNOVXSKESA-N 0 3 246.192 2.748 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@@H]1C[C@]1(C)Br ZINC000894138913 583316350 /nfs/dbraw/zinc/31/63/50/583316350.db2.gz JARQIVJMDUXAFC-JXUBOQSCSA-N 0 3 232.165 2.643 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1nccc2c1CCCC2 ZINC000894141672 583317026 /nfs/dbraw/zinc/31/70/26/583317026.db2.gz YCTPHRWBODWEIW-TXEJJXNPSA-N 0 3 230.355 2.943 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1nccc2c1CCCC2 ZINC000894141673 583317125 /nfs/dbraw/zinc/31/71/25/583317125.db2.gz YCTPHRWBODWEIW-VXGBXAGGSA-N 0 3 230.355 2.943 20 0 BFADHN Cc1ccc(CCNCc2cccnc2F)cc1 ZINC000877491284 583317858 /nfs/dbraw/zinc/31/78/58/583317858.db2.gz QHZNBPGYMGQJPO-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN COc1ccc(CNC[C@@H]2CCC(F)(F)C2)o1 ZINC000894197455 583335206 /nfs/dbraw/zinc/33/52/06/583335206.db2.gz IWGLMAXBPUJTLE-SECBINFHSA-N 0 3 245.269 2.813 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCC[C@@H](C)C1 ZINC000894201332 583337633 /nfs/dbraw/zinc/33/76/33/583337633.db2.gz ZAAFJAMKRUEHOB-ZYHUDNBSSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCCC[C@@H]1C ZINC000894204082 583338816 /nfs/dbraw/zinc/33/88/16/583338816.db2.gz IGXHHYIVTDUHEU-JQWIXIFHSA-N 0 3 222.332 2.899 20 0 BFADHN C[C@H]1C[C@@H](N[C@@H]2CCCC[C@@H]2F)CS1 ZINC000877681700 583343808 /nfs/dbraw/zinc/34/38/08/583343808.db2.gz AAXKGIFVAORRHD-ZRUFSTJUSA-N 0 3 217.353 2.751 20 0 BFADHN Cc1ncccc1CN1CC[C@H]2CSC[C@@H]2C1 ZINC000877737932 583344758 /nfs/dbraw/zinc/34/47/58/583344758.db2.gz IZJQPCPCQKBQAR-KBPBESRZSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1ncccc1CN1CC[C@@H]2CSC[C@@H]2C1 ZINC000877737933 583344919 /nfs/dbraw/zinc/34/49/19/583344919.db2.gz IZJQPCPCQKBQAR-KGLIPLIRSA-N 0 3 248.395 2.575 20 0 BFADHN CC[C@@H](C)CN1CCO[C@H](C(F)(F)F)CC1 ZINC000877819995 583348934 /nfs/dbraw/zinc/34/89/34/583348934.db2.gz KTAYRZLIFITNHZ-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H]1CSCCN1C[C@H]1CCCC(C)(C)O1 ZINC000878122297 583362150 /nfs/dbraw/zinc/36/21/50/583362150.db2.gz YZKFKHAAFMJTSN-VXGBXAGGSA-N 0 3 243.416 2.771 20 0 BFADHN Cc1ccccc1C(C)(C)CNCc1c[nH]cn1 ZINC000894211240 583376957 /nfs/dbraw/zinc/37/69/57/583376957.db2.gz MLRYHHFLQPFQGL-UHFFFAOYSA-N 0 3 243.354 2.786 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](C)C2CC2)no1 ZINC000389856738 583405478 /nfs/dbraw/zinc/40/54/78/583405478.db2.gz AGWXIZNUIAGGCS-WPRPVWTQSA-N 0 3 208.305 2.680 20 0 BFADHN Cc1ncoc1CNC1C[C@@H](C)C[C@H](C)C1 ZINC000894281272 583408540 /nfs/dbraw/zinc/40/85/40/583408540.db2.gz SRLDCNONONVCKP-UWVGGRQHSA-N 0 3 222.332 2.897 20 0 BFADHN c1nc(CNCC2(Cc3ccccc3)CC2)c[nH]1 ZINC000894359020 583435706 /nfs/dbraw/zinc/43/57/06/583435706.db2.gz MIYITGVPFQHDGK-UHFFFAOYSA-N 0 3 241.338 2.522 20 0 BFADHN Cc1ncoc1CN(C)C[C@H]1CC=CCC1 ZINC000894445252 583460906 /nfs/dbraw/zinc/46/09/06/583460906.db2.gz PLNKXMAPRDHJAH-LBPRGKRZSA-N 0 3 220.316 2.771 20 0 BFADHN Cn1ncc(CN[C@H]2CCC2(C)C)c1C1CC1 ZINC000894446230 583461000 /nfs/dbraw/zinc/46/10/00/583461000.db2.gz RFUYZAIZTGQRGG-LBPRGKRZSA-N 0 3 233.359 2.576 20 0 BFADHN CSCCCCCCNCc1ocnc1C ZINC000894445675 583461331 /nfs/dbraw/zinc/46/13/31/583461331.db2.gz SJPFOFLCGYPYSO-UHFFFAOYSA-N 0 3 242.388 2.996 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1ccc(OC)o1 ZINC000894497305 583473194 /nfs/dbraw/zinc/47/31/94/583473194.db2.gz WWFAXSJDIVTPEK-JTQLQIEISA-N 0 3 243.372 2.862 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1nccn1C1CC1 ZINC000894500552 583474808 /nfs/dbraw/zinc/47/48/08/583474808.db2.gz VWCZZHVLDMIMQN-VXGBXAGGSA-N 0 3 233.359 2.981 20 0 BFADHN Cc1ncoc1CN1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000894540424 583481020 /nfs/dbraw/zinc/48/10/20/583481020.db2.gz IIMFNIXUZDTVIR-FOGDFJRCSA-N 0 3 222.332 2.849 20 0 BFADHN C[C@@]1(Br)C[C@@H]1CN1CC(C2CC2)C1 ZINC000894566633 583485071 /nfs/dbraw/zinc/48/50/71/583485071.db2.gz RJAKJQBZCPDKLZ-GHMZBOCLSA-N 0 3 244.176 2.502 20 0 BFADHN C[C@@H](CF)N[C@@H]1C[C@@H](OC(C)(C)C)C1(C)C ZINC000894600429 583490509 /nfs/dbraw/zinc/49/05/09/583490509.db2.gz GXUDWWKFARZPGX-HBNTYKKESA-N 0 3 231.355 2.916 20 0 BFADHN C[C@@H](F)CC[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000894624229 583496262 /nfs/dbraw/zinc/49/62/62/583496262.db2.gz MZWUIJAHHOKWIC-MRVPVSSYSA-N 0 3 231.698 2.883 20 0 BFADHN Cc1ncoc1CN(C)[C@H]1CCC(C)(C)C1 ZINC000894629043 583496861 /nfs/dbraw/zinc/49/68/61/583496861.db2.gz PRCSBAXALILYLJ-NSHDSACASA-N 0 3 222.332 2.994 20 0 BFADHN CCC[C@@H](CNCc1ccc(OCC)o1)OC ZINC000894663066 583501001 /nfs/dbraw/zinc/50/10/01/583501001.db2.gz GLECOORFZRXTQJ-NSHDSACASA-N 0 3 241.331 2.583 20 0 BFADHN Cn1cc(CNCC2=CCCCC2)c(C2CC2)n1 ZINC000894664660 583502191 /nfs/dbraw/zinc/50/21/91/583502191.db2.gz XAKHDPHVXGHGEB-UHFFFAOYSA-N 0 3 245.370 2.888 20 0 BFADHN CC(C)[C@H](N[C@H]1COC(C)(C)C1)c1ccccn1 ZINC000841863458 583506419 /nfs/dbraw/zinc/50/64/19/583506419.db2.gz MAMMIOXTNIHMEB-OCCSQVGLSA-N 0 3 248.370 2.936 20 0 BFADHN Clc1cnn(CN(CC2CC2)CC2CC2)c1 ZINC000115514247 588907332 /nfs/dbraw/zinc/90/73/32/588907332.db2.gz IKANOAGIYGOBJB-UHFFFAOYSA-N 0 3 239.750 2.616 20 0 BFADHN Cc1ncoc1CN(C)[C@H]1CCCC[C@@H]1C ZINC000894703457 583510902 /nfs/dbraw/zinc/51/09/02/583510902.db2.gz XOCIDUODXAPEPZ-JQWIXIFHSA-N 0 3 222.332 2.994 20 0 BFADHN CC(C)CCCCN1CC[C@](O)(C(F)F)C1 ZINC000894705654 583512278 /nfs/dbraw/zinc/51/22/78/583512278.db2.gz AVKFILOXVGPICV-GFCCVEGCSA-N 0 3 235.318 2.515 20 0 BFADHN COc1ccc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)o1 ZINC000894736874 583514805 /nfs/dbraw/zinc/51/48/05/583514805.db2.gz VWLQKXOGEHHEMH-DZGCQCFKSA-N 0 3 247.338 2.909 20 0 BFADHN Cc1n[nH]c(CN2CCC3(CCC3)CC2)c1C ZINC000894750379 583515851 /nfs/dbraw/zinc/51/58/51/583515851.db2.gz VMBRICSVLDJWFS-UHFFFAOYSA-N 0 3 233.359 2.793 20 0 BFADHN Cc1[nH]nc(CN2CCC3(CCC3)CC2)c1C ZINC000894750379 583515852 /nfs/dbraw/zinc/51/58/52/583515852.db2.gz VMBRICSVLDJWFS-UHFFFAOYSA-N 0 3 233.359 2.793 20 0 BFADHN Cc1nnc([C@@H](C)N[C@@H](C)CCCC(C)C)[nH]1 ZINC000894773367 583517427 /nfs/dbraw/zinc/51/74/27/583517427.db2.gz UWGNOVZBJZXOEY-WDEREUQCSA-N 0 3 238.379 2.979 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+][C@@H](C)CCCC(C)C)[n-]1 ZINC000894773367 583517429 /nfs/dbraw/zinc/51/74/29/583517429.db2.gz UWGNOVZBJZXOEY-WDEREUQCSA-N 0 3 238.379 2.979 20 0 BFADHN CCCC[C@H](C)[C@H](C)[NH2+][C@H](C)c1nnc(C)[n-]1 ZINC000894774541 583517781 /nfs/dbraw/zinc/51/77/81/583517781.db2.gz UPHMARFFLFJLEZ-GARJFASQSA-N 0 3 238.379 2.979 20 0 BFADHN CC[C@]1(C)CCC[C@H]1[NH2+][C@@H](C)c1nnc(C)[n-]1 ZINC000894775939 583518660 /nfs/dbraw/zinc/51/86/60/583518660.db2.gz ARRDCMOTSBJWDF-UFGOTCBOSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1coc(CN(C)C2CCC(CO)CC2)c1 ZINC000894783199 583521210 /nfs/dbraw/zinc/52/12/10/583521210.db2.gz QQHJZUKNJFXYAU-UHFFFAOYSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1ccnc(N)c1CN1CCC[C@@H](C2CC2)C1 ZINC000894786263 583521834 /nfs/dbraw/zinc/52/18/34/583521834.db2.gz DRWRSFQBRDOVNJ-CYBMUJFWSA-N 0 3 245.370 2.594 20 0 BFADHN CCC1CN(CCc2ccc(F)c(F)c2)C1 ZINC000894814241 583524344 /nfs/dbraw/zinc/52/43/44/583524344.db2.gz ZTKHXQPPLUKIJM-UHFFFAOYSA-N 0 3 225.282 2.849 20 0 BFADHN C[C@H]1c2sccc2CCN1CCn1ccnc1 ZINC000880376899 583529813 /nfs/dbraw/zinc/52/98/13/583529813.db2.gz LEPQHYCKVMBDRY-NSHDSACASA-N 0 3 247.367 2.564 20 0 BFADHN CC[C@@H](CO)N(C)C/C=C/c1cccc(F)c1 ZINC000894870594 583539639 /nfs/dbraw/zinc/53/96/39/583539639.db2.gz MVPLLPRLAODDAZ-DYLGSBMWSA-N 0 3 237.318 2.542 20 0 BFADHN Cc1csc(CNCc2c(C)ccnc2N)c1 ZINC000894896024 583550405 /nfs/dbraw/zinc/55/04/05/583550405.db2.gz VRRVCMWXJWGCGX-UHFFFAOYSA-N 0 3 247.367 2.632 20 0 BFADHN Cc1n[nH]c(CN2C[C@@H](C3CC3)[C@H]2C2CC2)c1C ZINC000894904925 583553656 /nfs/dbraw/zinc/55/36/56/583553656.db2.gz BKAUMSRUKZMMTH-DZGCQCFKSA-N 0 3 245.370 2.647 20 0 BFADHN Cc1[nH]nc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)c1C ZINC000894904925 583553658 /nfs/dbraw/zinc/55/36/58/583553658.db2.gz BKAUMSRUKZMMTH-DZGCQCFKSA-N 0 3 245.370 2.647 20 0 BFADHN Cc1n[nH]c(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)c1C ZINC000894904930 583553777 /nfs/dbraw/zinc/55/37/77/583553777.db2.gz BKAUMSRUKZMMTH-ZFWWWQNUSA-N 0 3 245.370 2.647 20 0 BFADHN Cc1[nH]nc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)c1C ZINC000894904930 583553778 /nfs/dbraw/zinc/55/37/78/583553778.db2.gz BKAUMSRUKZMMTH-ZFWWWQNUSA-N 0 3 245.370 2.647 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1cnn(C)c1C1CC1 ZINC000894921164 583555379 /nfs/dbraw/zinc/55/53/79/583555379.db2.gz HFNOMPYKAITJFL-LLVKDONJSA-N 0 3 247.386 2.918 20 0 BFADHN COc1ccc(CN2CC(C)(C)C[C@H]2C)o1 ZINC000894921751 583556404 /nfs/dbraw/zinc/55/64/04/583556404.db2.gz LOLAFXCTQSKSIY-SNVBAGLBSA-N 0 3 223.316 2.909 20 0 BFADHN CCn1cc(CN2CC(C)(C)C[C@H]2C)c(C)n1 ZINC000894923632 583556869 /nfs/dbraw/zinc/55/68/69/583556869.db2.gz VILOBSKDGZETDQ-LLVKDONJSA-N 0 3 235.375 2.832 20 0 BFADHN Cc1ncoc1CN[C@H](C)CC(C)(C)C ZINC000894970090 583560747 /nfs/dbraw/zinc/56/07/47/583560747.db2.gz VODSDTTVQQDHHP-SECBINFHSA-N 0 3 210.321 2.897 20 0 BFADHN CC[C@H](NCc1ocnc1C)[C@@H]1CC1(C)C ZINC000895023846 583569706 /nfs/dbraw/zinc/56/97/06/583569706.db2.gz FUNGDJHTJOQKIC-QWRGUYRKSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@@H](N[C@H]1CCOC2(CCCC2)C1)c1ncc[nH]1 ZINC000334320269 583579901 /nfs/dbraw/zinc/57/99/01/583579901.db2.gz VNLLCTAJPLLGNU-NEPJUHHUSA-N 0 3 249.358 2.552 20 0 BFADHN CCC[C@@H](CC)NCc1ncnn1C(C)C ZINC000083978005 583581575 /nfs/dbraw/zinc/58/15/75/583581575.db2.gz GTOIWKNYBAWSLI-LLVKDONJSA-N 0 3 224.352 2.527 20 0 BFADHN Cc1ncoc1CN(C)CCC(C)(C)C ZINC000895078407 583581787 /nfs/dbraw/zinc/58/17/87/583581787.db2.gz OPNNQSDNHZIQHR-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN FC(F)(F)CCCN[C@H]1CCCc2c[nH]nc21 ZINC000857856691 588913743 /nfs/dbraw/zinc/91/37/43/588913743.db2.gz NSCPQAXSKLAUNW-VIFPVBQESA-N 0 3 247.264 2.719 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCCC1CCC1 ZINC000857858227 588913867 /nfs/dbraw/zinc/91/38/67/588913867.db2.gz KSXFHVFELMIOEY-LBPRGKRZSA-N 0 3 219.332 2.567 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCC12CCC(CC1)C2 ZINC000857857180 588914144 /nfs/dbraw/zinc/91/41/44/588914144.db2.gz BENZVPZHEUNBGV-QRJNDHJOSA-N 0 3 245.370 2.957 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NCC1(C2CC2)CCC1 ZINC000857859395 588914575 /nfs/dbraw/zinc/91/45/75/588914575.db2.gz UGIGKWKDTJVBCV-CYBMUJFWSA-N 0 3 245.370 2.957 20 0 BFADHN CC(C)Cc1ccc(CN[C@@H]2CO[C@@H](C)C2)cn1 ZINC000895123413 583596454 /nfs/dbraw/zinc/59/64/54/583596454.db2.gz KAXMFPCUQWTLNL-WFASDCNBSA-N 0 3 248.370 2.547 20 0 BFADHN Cc1ncoc1CNCC[C@@]1(C)C[C@@]1(F)Cl ZINC000895135264 583601836 /nfs/dbraw/zinc/60/18/36/583601836.db2.gz UKKLYNASSXEMPJ-QWRGUYRKSA-N 0 3 246.713 2.777 20 0 BFADHN C[C@H](CCO)N(C)C/C=C/c1cccc(F)c1 ZINC000895149195 583605600 /nfs/dbraw/zinc/60/56/00/583605600.db2.gz DHANICJCLMUSSN-FVOPLDGLSA-N 0 3 237.318 2.542 20 0 BFADHN COc1ccc(CN[C@H](C)C(C)(C)C)o1 ZINC000895182645 583617788 /nfs/dbraw/zinc/61/77/88/583617788.db2.gz RHPCJBPRUCNAAQ-SECBINFHSA-N 0 3 211.305 2.812 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NC[C@@H]1C[C@H]1C1CCC1 ZINC000857870463 588917259 /nfs/dbraw/zinc/91/72/59/588917259.db2.gz XMMKBRZFVHBYIH-IHRRRGAJSA-N 0 3 245.370 2.813 20 0 BFADHN CC(C)(F)CCN[C@H]1CCCc2c[nH]nc21 ZINC000857872497 588917507 /nfs/dbraw/zinc/91/75/07/588917507.db2.gz NVRSRKKBNPMTAZ-JTQLQIEISA-N 0 3 225.311 2.515 20 0 BFADHN CCn1cc(CN2C[C@@H]3CCCC[C@@H]32)c(C)n1 ZINC000895236802 583629835 /nfs/dbraw/zinc/62/98/35/583629835.db2.gz RBZQKAZTYTUDAZ-JSGCOSHPSA-N 0 3 233.359 2.586 20 0 BFADHN CC1=NO[C@@H](CNCc2cccc(C3CC3)c2)C1 ZINC000895299840 583641744 /nfs/dbraw/zinc/64/17/44/583641744.db2.gz GQHNEAFUCHIYOM-OAHLLOKOSA-N 0 3 244.338 2.818 20 0 BFADHN CC1=NO[C@@H](CN[C@@H](C)Cc2ccccc2C)C1 ZINC000895304670 583642504 /nfs/dbraw/zinc/64/25/04/583642504.db2.gz PEKONEKTISOGIZ-SWLSCSKDSA-N 0 3 246.354 2.680 20 0 BFADHN CC1=NO[C@H](CN[C@H](C)CSC(C)(C)C)C1 ZINC000895306609 583643633 /nfs/dbraw/zinc/64/36/33/583643633.db2.gz HWWBONWBKVWUKP-MNOVXSKESA-N 0 3 244.404 2.661 20 0 BFADHN C/C(=C/c1ccccc1)CNC[C@H]1CC(C)=NO1 ZINC000895309627 583646283 /nfs/dbraw/zinc/64/62/83/583646283.db2.gz DEIZBEHFOMGTDH-LDCOFTPGSA-N 0 3 244.338 2.844 20 0 BFADHN CC1=NO[C@H](CNC2CC(c3ccccc3)C2)C1 ZINC000895307038 583647462 /nfs/dbraw/zinc/64/74/62/583647462.db2.gz NEIKJICDOSRDPO-NRXISQOPSA-N 0 3 244.338 2.687 20 0 BFADHN Fc1cccc(/C=C/CN2CCC3(COC3)C2)c1 ZINC000895333524 583652412 /nfs/dbraw/zinc/65/24/12/583652412.db2.gz AHKCAFOYIHNFMX-DUXPYHPUSA-N 0 3 247.313 2.561 20 0 BFADHN CCCCC[C@@H](C)N1CCc2n[nH]cc2C1 ZINC000857877844 588918965 /nfs/dbraw/zinc/91/89/65/588918965.db2.gz JBCGUKIPJUPZIY-LLVKDONJSA-N 0 3 221.348 2.737 20 0 BFADHN Cc1ncoc1CNC1(CC(C)C)CC1 ZINC000895336435 583653367 /nfs/dbraw/zinc/65/33/67/583653367.db2.gz VZYHWPUCNXDQCO-UHFFFAOYSA-N 0 3 208.305 2.651 20 0 BFADHN COc1ccc(C)cc1CCNCc1ccoc1 ZINC000727464250 583655739 /nfs/dbraw/zinc/65/57/39/583655739.db2.gz NFWPKCZJLAYKBS-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN CC1(C)CCC[C@H]1CCNCc1c[nH]cn1 ZINC000895387486 583663229 /nfs/dbraw/zinc/66/32/29/583663229.db2.gz CMNDGIDYSOFZFY-NSHDSACASA-N 0 3 221.348 2.716 20 0 BFADHN C[C@@H]1CCC[C@H](N2CCc3n[nH]cc3C2)CC1 ZINC000895390251 583663771 /nfs/dbraw/zinc/66/37/71/583663771.db2.gz VIZMYJDCZFUQJI-YPMHNXCESA-N 0 3 233.359 2.737 20 0 BFADHN CC[C@H](NCc1ccc(OC)o1)C1CC1 ZINC000895392313 583663987 /nfs/dbraw/zinc/66/39/87/583663987.db2.gz RKOKRFVELQTSDH-NSHDSACASA-N 0 3 209.289 2.566 20 0 BFADHN CCC(O)(CC)CN(C)Cc1cc(C)co1 ZINC000895410457 583666574 /nfs/dbraw/zinc/66/65/74/583666574.db2.gz GYXQXEJEKDGIDA-UHFFFAOYSA-N 0 3 225.332 2.571 20 0 BFADHN OCc1cc(NC[C@@]23C[C@@H]2CCCC3)ccn1 ZINC000895427197 583667925 /nfs/dbraw/zinc/66/79/25/583667925.db2.gz NKOKMXSTWBFWMX-SMDDNHRTSA-N 0 3 232.327 2.566 20 0 BFADHN Cc1cnc(Cl)c(CN2CC[C@H]3C[C@H]3C2)c1 ZINC000895434140 583672769 /nfs/dbraw/zinc/67/27/69/583672769.db2.gz RLSJKXNESQEHHN-QWRGUYRKSA-N 0 3 236.746 2.885 20 0 BFADHN Cc1cc(F)c(CNCC2CSC2)c(F)c1 ZINC000895423834 583674189 /nfs/dbraw/zinc/67/41/89/583674189.db2.gz XDUISFOTXGBEMS-UHFFFAOYSA-N 0 3 243.322 2.726 20 0 BFADHN COc1ccc(CN[C@]2(C)CC2(C)C)o1 ZINC000895441945 583675266 /nfs/dbraw/zinc/67/52/66/583675266.db2.gz HWVBWJXVOYVQBQ-GFCCVEGCSA-N 0 3 209.289 2.566 20 0 BFADHN CC1(C)CN(Cc2cccc3c2NCCC3)C1 ZINC000895456096 583676982 /nfs/dbraw/zinc/67/69/82/583676982.db2.gz BXSGUIXZZLGBLU-UHFFFAOYSA-N 0 3 230.355 2.887 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2CC2(C)C)o1 ZINC000895481633 583680722 /nfs/dbraw/zinc/68/07/22/583680722.db2.gz PKJSDMNKUQDCBH-JTQLQIEISA-N 0 3 223.316 2.766 20 0 BFADHN CCOC(=O)c1ccc(CNC[C@H]2C=CCC2)o1 ZINC000895527201 583684695 /nfs/dbraw/zinc/68/46/95/583684695.db2.gz JBTLRDMRVQZNBM-NSHDSACASA-N 0 3 249.310 2.512 20 0 BFADHN C=C/C=C/CCNCc1ccc(C(=O)OCC)o1 ZINC000895540758 583687726 /nfs/dbraw/zinc/68/77/26/583687726.db2.gz ICMNBCGRRASULM-AATRIKPKSA-N 0 3 249.310 2.678 20 0 BFADHN Cc1ncoc1CNCC[C@H]1CCCC1(F)F ZINC000895544811 583689291 /nfs/dbraw/zinc/68/92/91/583689291.db2.gz NTNCKLHDNCWYNL-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN COc1cc(C)ccc1CN1CC[C@@H](CF)C1 ZINC000895572764 583692345 /nfs/dbraw/zinc/69/23/45/583692345.db2.gz LGEIHPPGKJADOG-LBPRGKRZSA-N 0 3 237.318 2.795 20 0 BFADHN FC[C@@H]1CCN(Cc2cc3cccnc3o2)C1 ZINC000895572885 583692460 /nfs/dbraw/zinc/69/24/60/583692460.db2.gz NAKDFAIHYIFNEP-JTQLQIEISA-N 0 3 234.274 2.619 20 0 BFADHN CCc1ccc(CN2CC[C@@H](CF)C2)o1 ZINC000895574705 583692757 /nfs/dbraw/zinc/69/27/57/583692757.db2.gz YOALPJLDQSOYRP-JTQLQIEISA-N 0 3 211.280 2.633 20 0 BFADHN CCc1ccc(CN2CC[C@H](CF)C2)o1 ZINC000895574706 583693053 /nfs/dbraw/zinc/69/30/53/583693053.db2.gz YOALPJLDQSOYRP-SNVBAGLBSA-N 0 3 211.280 2.633 20 0 BFADHN FC[C@@H]1CCN(Cc2scnc2C2CC2)C1 ZINC000895573209 583693147 /nfs/dbraw/zinc/69/31/47/583693147.db2.gz OTGJPXHSDFLGFX-VIFPVBQESA-N 0 3 240.347 2.812 20 0 BFADHN FC[C@H]1CCN(Cc2ccc(C(F)F)nc2)C1 ZINC000895566789 583694027 /nfs/dbraw/zinc/69/40/27/583694027.db2.gz CMQACILEWBFACA-SECBINFHSA-N 0 3 244.260 2.811 20 0 BFADHN C/C=C/CNCc1ccc(CC(C)C)nc1 ZINC000895630627 583698227 /nfs/dbraw/zinc/69/82/27/583698227.db2.gz JYXAKJURVVIXLF-SNAWJCMRSA-N 0 3 218.344 2.946 20 0 BFADHN c1cc(CN2CCC3(CCCCO3)CC2)co1 ZINC000895654322 583701081 /nfs/dbraw/zinc/70/10/81/583701081.db2.gz PYEWBDGRSFEIGL-UHFFFAOYSA-N 0 3 235.327 2.815 20 0 BFADHN Cc1ccc2oc(N[C@H]3CCN(C)[C@@H]3C)nc2c1 ZINC000895741046 583714656 /nfs/dbraw/zinc/71/46/56/583714656.db2.gz XJAIMDNDRAPJHB-MNOVXSKESA-N 0 3 245.326 2.641 20 0 BFADHN c1c(CNC[C@@H]2C[C@H]3C[C@H]3C2)onc1C1CC1 ZINC000895764378 583722557 /nfs/dbraw/zinc/72/25/57/583722557.db2.gz GNDGSFLVJKVSBQ-YHPBZONMSA-N 0 3 232.327 2.688 20 0 BFADHN Cc1ccc(CN2CC[C@@H]([C@@H]3CCCO3)C2)o1 ZINC000895843432 583734987 /nfs/dbraw/zinc/73/49/87/583734987.db2.gz IFOZLIKIMYZHMU-OCCSQVGLSA-N 0 3 235.327 2.589 20 0 BFADHN CCCSC[C@H](C)N[C@H](C)c1ccnn1C ZINC000895862794 583736004 /nfs/dbraw/zinc/73/60/04/583736004.db2.gz FERRBLXGORFREX-WDEREUQCSA-N 0 3 241.404 2.602 20 0 BFADHN CCCSC[C@@H](C)N[C@H](C)c1ccnn1C ZINC000895862786 583736090 /nfs/dbraw/zinc/73/60/90/583736090.db2.gz FERRBLXGORFREX-GHMZBOCLSA-N 0 3 241.404 2.602 20 0 BFADHN CCc1ccc(CN2CC[C@@H]([C@@H]3CCCO3)C2)o1 ZINC000895848847 583736625 /nfs/dbraw/zinc/73/66/25/583736625.db2.gz USOJSGGDIMIEFB-DOMZBBRYSA-N 0 3 249.354 2.843 20 0 BFADHN Clc1ccc(CNC2(C3CC3)CCC2)nn1 ZINC000895900828 583741650 /nfs/dbraw/zinc/74/16/50/583741650.db2.gz HQOQBSWOWAPHBJ-UHFFFAOYSA-N 0 3 237.734 2.552 20 0 BFADHN CN(CCCF)Cc1ccc2[nH]ccc2c1 ZINC000895896156 583743853 /nfs/dbraw/zinc/74/38/53/583743853.db2.gz PCTOFWZDSKCCEK-UHFFFAOYSA-N 0 3 220.291 2.959 20 0 BFADHN Cc1coc(CN2CC(C)(C)CC[C@H]2CO)c1 ZINC000895922391 583746816 /nfs/dbraw/zinc/74/68/16/583746816.db2.gz FYUBHPKESXIHGI-LBPRGKRZSA-N 0 3 237.343 2.571 20 0 BFADHN CO[C@@H]1CC[C@H](C)N(Cc2cc(C)co2)C1 ZINC000895926242 583747767 /nfs/dbraw/zinc/74/77/67/583747767.db2.gz BTSOKUXAGOESFJ-NWDGAFQWSA-N 0 3 223.316 2.587 20 0 BFADHN COc1ccccc1CN1C[C@H](OC)CC[C@H]1C ZINC000895946469 583749179 /nfs/dbraw/zinc/74/91/79/583749179.db2.gz PRARWGIWIQRGRO-TZMCWYRMSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccccc1CN1C[C@@H](OC)CC[C@@H]1C ZINC000895946467 583749473 /nfs/dbraw/zinc/74/94/73/583749473.db2.gz PRARWGIWIQRGRO-JSGCOSHPSA-N 0 3 249.354 2.695 20 0 BFADHN COCC1CN(Cc2ccccc2C(C)C)C1 ZINC000895974778 583756905 /nfs/dbraw/zinc/75/69/05/583756905.db2.gz KXSWKILTLNNDLJ-UHFFFAOYSA-N 0 3 233.355 2.888 20 0 BFADHN C=C/C=C/CCNCc1cnn(C)c1C1CC1 ZINC000895995879 583761282 /nfs/dbraw/zinc/76/12/82/583761282.db2.gz MHUBYXLKYFRYDM-SNAWJCMRSA-N 0 3 231.343 2.519 20 0 BFADHN CCOc1ccc(CNC[C@@H]2C=CCC2)o1 ZINC000895994548 583761664 /nfs/dbraw/zinc/76/16/64/583761664.db2.gz LTYPWZVWLMFUBS-LLVKDONJSA-N 0 3 221.300 2.734 20 0 BFADHN COc1ccc(CNC[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)o1 ZINC000896046278 583765689 /nfs/dbraw/zinc/76/56/89/583765689.db2.gz CRMFUJBCNIGHHC-DNIRFERGSA-N 0 3 235.327 2.670 20 0 BFADHN COc1ccc(CN(C)CCCF)c(C)c1 ZINC000896070526 583767986 /nfs/dbraw/zinc/76/79/86/583767986.db2.gz YIMVZXWVDHUOPR-UHFFFAOYSA-N 0 3 225.307 2.795 20 0 BFADHN COc1ccc(CNC2CCC=CCC2)o1 ZINC000896069631 583768254 /nfs/dbraw/zinc/76/82/54/583768254.db2.gz FDZPUTRBUODKNO-UHFFFAOYSA-N 0 3 221.300 2.877 20 0 BFADHN CCC[C@@H](O)CCNc1ccnc2ccccc21 ZINC000896185776 583780983 /nfs/dbraw/zinc/78/09/83/583780983.db2.gz AGHGJBWXUCFJTM-GFCCVEGCSA-N 0 3 244.338 2.620 20 0 BFADHN CC[C@@H]1CCCN1C[C@@H](O)c1cccc(F)c1 ZINC000727832437 583781896 /nfs/dbraw/zinc/78/18/96/583781896.db2.gz BLVWQVMFOXZYNI-ZIAGYGMSSA-N 0 3 237.318 2.734 20 0 BFADHN FC1(CN2C[C@H]3C[C@@]3(c3ccccc3)C2)CC1 ZINC000896229641 583784600 /nfs/dbraw/zinc/78/46/00/583784600.db2.gz BDTOVZNTDNKKSS-HIFRSBDPSA-N 0 3 231.314 2.762 20 0 BFADHN CCC/C(C)=C/C(=O)N(CCC)CCN(C)C ZINC000727863226 583787632 /nfs/dbraw/zinc/78/76/32/583787632.db2.gz YOZHBYYQUPFWGC-OUKQBFOZSA-N 0 3 240.391 2.533 20 0 BFADHN CC(C)N1CC[C@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858044443 588931051 /nfs/dbraw/zinc/93/10/51/588931051.db2.gz SBOBCWFHQDFUKM-AWEZNQCLSA-N 0 3 246.354 2.821 20 0 BFADHN Cc1sccc1CN1CCO[C@H](C)CC1 ZINC000896577436 583814038 /nfs/dbraw/zinc/81/40/38/583814038.db2.gz LERXYZXWHVGCOH-SNVBAGLBSA-N 0 3 225.357 2.667 20 0 BFADHN COc1ccc(CN2CCO[C@H](C)CC2)c(C)c1 ZINC000896580504 583814429 /nfs/dbraw/zinc/81/44/29/583814429.db2.gz VUDPULLZQGZQSA-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN COc1ccc(CN2CCO[C@@H](C)CC2)c(C)c1 ZINC000896580505 583814455 /nfs/dbraw/zinc/81/44/55/583814455.db2.gz VUDPULLZQGZQSA-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1ccc(CN2CCO[C@H](C)CC2)s1 ZINC000896584663 583815284 /nfs/dbraw/zinc/81/52/84/583815284.db2.gz QIVJMTWHXXGLIH-SNVBAGLBSA-N 0 3 225.357 2.667 20 0 BFADHN CCOc1cccc(CN2CCO[C@H](C)CC2)c1 ZINC000896585734 583815363 /nfs/dbraw/zinc/81/53/63/583815363.db2.gz WYUISLJEQOCFNL-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN CC1(C)COC[C@H]1N[C@H](c1ccccn1)C1CC1 ZINC000896608149 583817146 /nfs/dbraw/zinc/81/71/46/583817146.db2.gz CLIYWNXVDGEWQK-KGLIPLIRSA-N 0 3 246.354 2.547 20 0 BFADHN CC[C@](C)(CN[C@@H](c1ccccn1)C1CC1)OC ZINC000896610620 583817245 /nfs/dbraw/zinc/81/72/45/583817245.db2.gz XKNDSJUEJLXCID-HUUCEWRRSA-N 0 3 248.370 2.937 20 0 BFADHN CCC[C@H](CN[C@H](c1ccccn1)C1CC1)OC ZINC000896608133 583817522 /nfs/dbraw/zinc/81/75/22/583817522.db2.gz CGOHVJZXPNPURM-HIFRSBDPSA-N 0 3 248.370 2.937 20 0 BFADHN CC1(C)COC[C@H]1N[C@@H](c1ccccn1)C1CC1 ZINC000896608151 583817531 /nfs/dbraw/zinc/81/75/31/583817531.db2.gz CLIYWNXVDGEWQK-ZIAGYGMSSA-N 0 3 246.354 2.547 20 0 BFADHN CCCC[C@@H](COC)NCc1ccnc(F)c1 ZINC000858142402 588934937 /nfs/dbraw/zinc/93/49/37/588934937.db2.gz ZHYWHBYBLVPLCR-LBPRGKRZSA-N 0 3 240.322 2.516 20 0 BFADHN CO[C@@H](CNC(C)(C)/C=C\Cl)C1CC1 ZINC000896748759 583830019 /nfs/dbraw/zinc/83/00/19/583830019.db2.gz ZITHVOMEOFLIQW-GFVADAIESA-N 0 3 217.740 2.532 20 0 BFADHN CC(C)C1(CN2CCn3cccc3C2)CC1 ZINC000896762663 583831436 /nfs/dbraw/zinc/83/14/36/583831436.db2.gz DWEFNTQPQXLUJX-UHFFFAOYSA-N 0 3 218.344 2.740 20 0 BFADHN CCSC1(CNCc2ccnc(F)c2)CC1 ZINC000858193355 588938535 /nfs/dbraw/zinc/93/85/35/588938535.db2.gz WFVKVRMWDAYUMG-UHFFFAOYSA-N 0 3 240.347 2.596 20 0 BFADHN CC1(C)CCC[C@@H]1CCNCc1cncnc1 ZINC000858202896 588938596 /nfs/dbraw/zinc/93/85/96/588938596.db2.gz KXCONTCTCQXDGX-CYBMUJFWSA-N 0 3 233.359 2.783 20 0 BFADHN CSCC1CCN(Cc2cccn2C)CC1 ZINC000896937246 583862231 /nfs/dbraw/zinc/86/22/31/583862231.db2.gz MKDBGATWAIANKY-UHFFFAOYSA-N 0 3 238.400 2.600 20 0 BFADHN Cc1cc(N)nc(N[C@H]2CCCC3(CC3)C2)n1 ZINC000897043338 583873916 /nfs/dbraw/zinc/87/39/16/583873916.db2.gz VDZQKPLCRATPRN-JTQLQIEISA-N 0 3 232.331 2.502 20 0 BFADHN CCO[C@H]1CCN(Cc2ccsc2C)C1 ZINC000897067127 583876247 /nfs/dbraw/zinc/87/62/47/583876247.db2.gz DHVPJXSOFLBJPR-LBPRGKRZSA-N 0 3 225.357 2.667 20 0 BFADHN CCO[C@H]1CCN(Cc2sccc2C)C1 ZINC000897070520 583877337 /nfs/dbraw/zinc/87/73/37/583877337.db2.gz GLLAZUAHNUCANB-NSHDSACASA-N 0 3 225.357 2.667 20 0 BFADHN CCO[C@H]1CCN(C/C=C/c2ccc(F)cc2)C1 ZINC000897068893 583877367 /nfs/dbraw/zinc/87/73/67/583877367.db2.gz OFVCAEJOXOTDTN-BWPKMQGJSA-N 0 3 249.329 2.950 20 0 BFADHN Cc1cc(CN[C@H](CO)CCC(C)C)c(C)o1 ZINC000858241318 588940237 /nfs/dbraw/zinc/94/02/37/588940237.db2.gz PAYOJNQQEVUDKB-AWEZNQCLSA-N 0 3 239.359 2.783 20 0 BFADHN c1c(CNC[C@]23C[C@H]2CCC3)onc1C1CC1 ZINC000897242348 583901304 /nfs/dbraw/zinc/90/13/04/583901304.db2.gz QNWPLRGHVKWXPP-RISCZKNCSA-N 0 3 232.327 2.832 20 0 BFADHN CC1(C)CCCC[C@@H]1[NH2+]Cc1cncc([O-])c1 ZINC000897314732 583918063 /nfs/dbraw/zinc/91/80/63/583918063.db2.gz AXJLUUOIIVWXNH-ZDUSSCGKSA-N 0 3 234.343 2.846 20 0 BFADHN CCCC(CCC)[NH2+]Cc1cncc([O-])c1 ZINC000897316146 583919078 /nfs/dbraw/zinc/91/90/78/583919078.db2.gz WKYBJSCYDIIIJR-UHFFFAOYSA-N 0 3 222.332 2.846 20 0 BFADHN [O-]c1cncc(C[NH2+][C@@H]2CCCC23CCCC3)c1 ZINC000897316380 583919624 /nfs/dbraw/zinc/91/96/24/583919624.db2.gz ZTXZFOVMTBGWTG-CQSZACIVSA-N 0 3 246.354 2.990 20 0 BFADHN CC1=C[C@H](C)CN(C[C@H](O)c2ccccc2F)C1 ZINC000797526717 583926291 /nfs/dbraw/zinc/92/62/91/583926291.db2.gz XIHPOMAQLRAOCI-NHYWBVRUSA-N 0 3 249.329 2.757 20 0 BFADHN C[C@H]1CCCC[C@H]1Nc1ccnc(CO)c1 ZINC000858312006 588944208 /nfs/dbraw/zinc/94/42/08/588944208.db2.gz RXJWUEHOFFASAM-GXFFZTMASA-N 0 3 220.316 2.565 20 0 BFADHN CC(C)C1(CN(C)Cc2cccc(=O)[nH]2)CC1 ZINC000897391387 583948477 /nfs/dbraw/zinc/94/84/77/583948477.db2.gz NPGILCAIUKCVJU-UHFFFAOYSA-N 0 3 234.343 2.655 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(Cl)c(F)c1 ZINC000393780098 583965265 /nfs/dbraw/zinc/96/52/65/583965265.db2.gz QMBMCGOOZXRQSH-NWDGAFQWSA-N 0 3 243.709 2.746 20 0 BFADHN C[C@@H]1C[C@@H](C)N(C[C@@H](O)c2cccc(F)c2)C1 ZINC000740884257 583978621 /nfs/dbraw/zinc/97/86/21/583978621.db2.gz SRDJHPVYDAVSDY-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN CC(C)(CNCc1ccns1)C1CC1 ZINC000897437212 583978818 /nfs/dbraw/zinc/97/88/18/583978818.db2.gz IDSKZMTZXAROCW-UHFFFAOYSA-N 0 3 210.346 2.669 20 0 BFADHN CC1(C)CC[C@@H](CCNCc2ccoc2)OC1 ZINC000897478087 583991712 /nfs/dbraw/zinc/99/17/12/583991712.db2.gz KELFHLYCCWUAAS-ZDUSSCGKSA-N 0 3 237.343 2.965 20 0 BFADHN Cc1ccc(CNc2ccnc(CO)c2)s1 ZINC000858353464 588948276 /nfs/dbraw/zinc/94/82/76/588948276.db2.gz HXABMUIMUQTFQP-UHFFFAOYSA-N 0 3 234.324 2.556 20 0 BFADHN Cc1nc(F)ccc1CN[C@H](C)Cc1ccco1 ZINC000785420260 584006999 /nfs/dbraw/zinc/00/69/99/584006999.db2.gz RMHVRUMMRPWIJY-SNVBAGLBSA-N 0 3 248.301 2.843 20 0 BFADHN C[C@H](NCC[C@@H]1CCCC[C@@H]1O)c1ccccn1 ZINC000897536956 584010258 /nfs/dbraw/zinc/01/02/58/584010258.db2.gz ZAYAWZLZLZTXEY-YDHLFZDLSA-N 0 3 248.370 2.673 20 0 BFADHN CCC[C@@H](CC)Nc1ccnc(CO)c1 ZINC000858369075 588949160 /nfs/dbraw/zinc/94/91/60/588949160.db2.gz GLYOLORUENPYPD-SNVBAGLBSA-N 0 3 208.305 2.565 20 0 BFADHN C[C@H](NCc1cn(C2CC2)cn1)C1CCCC1 ZINC000865464556 584018554 /nfs/dbraw/zinc/01/85/54/584018554.db2.gz ZADYRGOSEUZNNA-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN Cc1csc([C@@H](C)Nc2ccnc(CO)c2)n1 ZINC000858388528 588950668 /nfs/dbraw/zinc/95/06/68/588950668.db2.gz RWFOJXHAZREKSD-SECBINFHSA-N 0 3 249.339 2.512 20 0 BFADHN Cc1cc(CN[C@H]2CCSC(C)(C)C2)on1 ZINC000897794202 584048635 /nfs/dbraw/zinc/04/86/35/584048635.db2.gz SIFSPBRBIQCPTD-JTQLQIEISA-N 0 3 240.372 2.747 20 0 BFADHN CC1(C)C[C@@H](NCc2cscn2)CCS1 ZINC000897799143 584049860 /nfs/dbraw/zinc/04/98/60/584049860.db2.gz CMEHCMJQVMOYJY-VIFPVBQESA-N 0 3 242.413 2.907 20 0 BFADHN Cc1scc(CN[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c1C ZINC000897808363 584050515 /nfs/dbraw/zinc/05/05/15/584050515.db2.gz AILSENXCTFLLLX-BJJPWKGXSA-N 0 3 249.379 2.630 20 0 BFADHN C/C(=C/c1ccccc1)CN[C@H]1CC12CC(O)C2 ZINC000897851290 584054521 /nfs/dbraw/zinc/05/45/21/584054521.db2.gz UOYBEWKGRODXCV-HYATVBEPSA-N 0 3 243.350 2.593 20 0 BFADHN C/C(=C/c1ccccc1)CN[C@@H]1CC12CC(O)C2 ZINC000897851292 584054670 /nfs/dbraw/zinc/05/46/70/584054670.db2.gz UOYBEWKGRODXCV-YLDAGYQCSA-N 0 3 243.350 2.593 20 0 BFADHN COC[C@@H](CC(C)C)N[C@@H](C)c1cncs1 ZINC000897931728 584059003 /nfs/dbraw/zinc/05/90/03/584059003.db2.gz CBOPJIXOZJTZAJ-WDEREUQCSA-N 0 3 242.388 2.855 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897954074 584064063 /nfs/dbraw/zinc/06/40/63/584064063.db2.gz XQFJNVFTMKVTCN-NTZNESFSSA-N 0 3 220.316 2.570 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CCC[C@H]21)c1ccccn1 ZINC000897954852 584064484 /nfs/dbraw/zinc/06/44/84/584064484.db2.gz LPWRLDMNMOODCD-FMCLSXCISA-N 0 3 216.328 2.921 20 0 BFADHN CC(C)n1nccc1CN[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897954171 584064555 /nfs/dbraw/zinc/06/45/55/584064555.db2.gz BJKWOCIXVWLEHJ-MRVWCRGKSA-N 0 3 233.359 2.742 20 0 BFADHN c1c(CN[C@@H]2C[C@@H]3CCC[C@H]23)nc2ccccn12 ZINC000897954237 584064705 /nfs/dbraw/zinc/06/47/05/584064705.db2.gz YVVQQWODLZWHIE-FPMFFAJLSA-N 0 3 241.338 2.613 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCC[C@H]12)c1ccccn1 ZINC000897954854 584064915 /nfs/dbraw/zinc/06/49/15/584064915.db2.gz LPWRLDMNMOODCD-ZJQBRPOHSA-N 0 3 216.328 2.921 20 0 BFADHN c1cc(CN[C@@H]2C[C@H]3CCC[C@H]32)n(CC2CC2)n1 ZINC000897957123 584066054 /nfs/dbraw/zinc/06/60/54/584066054.db2.gz BNMTUBMANMLVBG-BPLDGKMQSA-N 0 3 245.370 2.571 20 0 BFADHN Cc1cnc(CN[C@@H]2C[C@H]3CCC[C@H]32)s1 ZINC000897958114 584066440 /nfs/dbraw/zinc/06/64/40/584066440.db2.gz HKHYMZWEGZHSCK-GMTAPVOTSA-N 0 3 222.357 2.730 20 0 BFADHN c1cc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)nc2c1CCC2 ZINC000897959285 584067113 /nfs/dbraw/zinc/06/71/13/584067113.db2.gz RTYVOPZFHMPOMN-JGGQBBKZSA-N 0 3 242.366 2.849 20 0 BFADHN CC(C)n1ccnc1CN[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897960238 584068008 /nfs/dbraw/zinc/06/80/08/584068008.db2.gz ZWPICWUMEOGBLA-FRRDWIJNSA-N 0 3 233.359 2.742 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@H]3CCC[C@@H]32)o1 ZINC000897960241 584068200 /nfs/dbraw/zinc/06/82/00/584068200.db2.gz PGWDTHACXSNVSO-KGYLQXTDSA-N 0 3 235.327 2.957 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNC2CC(CCO)C2)o1 ZINC000898008297 584071128 /nfs/dbraw/zinc/07/11/28/584071128.db2.gz RJUHFKYCIQQQMR-BBCYWQGDSA-N 0 3 249.354 2.654 20 0 BFADHN Cc1cc(CNCC[C@H]2CCC(F)(F)C2)on1 ZINC000898013999 584074343 /nfs/dbraw/zinc/07/43/43/584074343.db2.gz LWNPWIGLROJEGC-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN CC(C)[C@H](C)NCc1cc(C(F)(F)F)n(C)n1 ZINC000898021599 584075938 /nfs/dbraw/zinc/07/59/38/584075938.db2.gz MUMFFNVTKMKRGC-QMMMGPOBSA-N 0 3 249.280 2.573 20 0 BFADHN CCn1nncc1CNC[C@@H]1CCC=CCCC1 ZINC000898031701 584077636 /nfs/dbraw/zinc/07/76/36/584077636.db2.gz GXBQDEIQMPNVJX-CYBMUJFWSA-N 0 3 248.374 2.524 20 0 BFADHN c1nc(CNC[C@@H]2CCC=CCCC2)co1 ZINC000898032468 584077892 /nfs/dbraw/zinc/07/78/92/584077892.db2.gz RKUXQZFQVXJKQB-GFCCVEGCSA-N 0 3 220.316 2.901 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2CCC=CCCC2)n1 ZINC000898032621 584078204 /nfs/dbraw/zinc/07/82/04/584078204.db2.gz VFPLCGLFWNOFEM-ZDUSSCGKSA-N 0 3 233.359 2.944 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)NCc1cnn(CC)n1 ZINC000858445054 588955301 /nfs/dbraw/zinc/95/53/01/588955301.db2.gz YWTVZBGVEXZZIH-VXGBXAGGSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCOC12CCCC2 ZINC000898112678 584083840 /nfs/dbraw/zinc/08/38/40/584083840.db2.gz PCLFUVDKXKBUJX-CYBMUJFWSA-N 0 3 235.327 2.779 20 0 BFADHN Cc1ccc(CN[C@@H]2CCOC23CCCC3)o1 ZINC000898113402 584084289 /nfs/dbraw/zinc/08/42/89/584084289.db2.gz ZFKVMRBHDUCDQW-CYBMUJFWSA-N 0 3 235.327 2.779 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1cnn(CC)n1 ZINC000858444551 588955517 /nfs/dbraw/zinc/95/55/17/588955517.db2.gz YVVYDMXKDGZUQI-VXGBXAGGSA-N 0 3 238.379 2.602 20 0 BFADHN c1cc(CC2CN(C[C@H]3CCSC3)C2)co1 ZINC000898160871 584088136 /nfs/dbraw/zinc/08/81/36/584088136.db2.gz XNFBJPBTJXFJQB-GFCCVEGCSA-N 0 3 237.368 2.507 20 0 BFADHN OCCc1ccc(CNCc2ccsc2)cc1 ZINC000898172629 584088755 /nfs/dbraw/zinc/08/87/55/584088755.db2.gz SLXHBBZTJWMUKL-UHFFFAOYSA-N 0 3 247.363 2.573 20 0 BFADHN CC(C)CC1CCN(c2ccnc(CO)c2)CC1 ZINC000858446610 588956023 /nfs/dbraw/zinc/95/60/23/588956023.db2.gz ZQLUMUZPGWVGDQ-UHFFFAOYSA-N 0 3 248.370 2.836 20 0 BFADHN O[C@@H](CCNCc1ccc(Cl)s1)C1CC1 ZINC000898206756 584091748 /nfs/dbraw/zinc/09/17/48/584091748.db2.gz VFZYWTKMEPNFNC-JTQLQIEISA-N 0 3 245.775 2.652 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCC[C@H](O)C2CC2)o1 ZINC000898205255 584092075 /nfs/dbraw/zinc/09/20/75/584092075.db2.gz CDCOOHVYYMMREG-SWHYSGLUSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@H]1CCC[C@@H](Nc2ccnc(CO)c2)CC1 ZINC000858508432 588961329 /nfs/dbraw/zinc/96/13/29/588961329.db2.gz NOQXLNILZAEAKH-NWDGAFQWSA-N 0 3 234.343 2.955 20 0 BFADHN Cc1coc(CNCCc2cccnc2C)c1 ZINC000898250204 584100719 /nfs/dbraw/zinc/10/07/19/584100719.db2.gz NGALBYXDZCRVGE-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN COCc1ccc(CN[C@@H]2CC=CC[C@H]2C)o1 ZINC000898224049 584102086 /nfs/dbraw/zinc/10/20/86/584102086.db2.gz MWUJDZRUWAVPRD-BXUZGUMPSA-N 0 3 235.327 2.870 20 0 BFADHN c1cc(CN[C@@H](c2ccncc2)C2CC2)c[nH]1 ZINC000898327032 584107458 /nfs/dbraw/zinc/10/74/58/584107458.db2.gz ZBAFUGSDKLCCIE-CQSZACIVSA-N 0 3 227.311 2.651 20 0 BFADHN CCOC[C@@H](C)N[C@@H](c1ccncc1)C1CC1 ZINC000898323129 584107623 /nfs/dbraw/zinc/10/76/23/584107623.db2.gz YCONYCQSKVWSLG-BXUZGUMPSA-N 0 3 234.343 2.547 20 0 BFADHN CSCC(C)(C)NCc1cccc(O)c1 ZINC000898305949 584108995 /nfs/dbraw/zinc/10/89/95/584108995.db2.gz ZAHRKIDVIGBDID-UHFFFAOYSA-N 0 3 225.357 2.623 20 0 BFADHN CC1(C)CCC[C@@H](Nc2ccnc(CO)c2)C1 ZINC000858507300 588961354 /nfs/dbraw/zinc/96/13/54/588961354.db2.gz ICBOICQJWKMQGZ-GFCCVEGCSA-N 0 3 234.343 2.955 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H](c1ccncc1)C1CC1 ZINC000898319242 584110860 /nfs/dbraw/zinc/11/08/60/584110860.db2.gz BALRHGPFPOPWIB-SWLSCSKDSA-N 0 3 248.370 2.936 20 0 BFADHN Cc1cccc(CN[C@@H]2COC[C@@H]2C2CC2)c1F ZINC000898379681 584113273 /nfs/dbraw/zinc/11/32/73/584113273.db2.gz HWTOTGDEMYQXND-ZIAGYGMSSA-N 0 3 249.329 2.649 20 0 BFADHN CC[C@@H](O)CCN[C@H](c1ccccn1)C(C)C ZINC000866287088 584117345 /nfs/dbraw/zinc/11/73/45/584117345.db2.gz IMDJHXKJLNEWNB-OCCSQVGLSA-N 0 3 236.359 2.529 20 0 BFADHN Cl/C=C\CNC[C@@H]1CC1(Cl)Cl ZINC000898429379 584133230 /nfs/dbraw/zinc/13/32/30/584133230.db2.gz OAJUPTYZLOZNJJ-UDIFJAKLSA-N 0 3 214.523 2.522 20 0 BFADHN CC(C)n1ccnc1CN[C@H]1CCCC12CC2 ZINC000631192496 584127562 /nfs/dbraw/zinc/12/75/62/584127562.db2.gz LIQAXXJVZXYUAA-LBPRGKRZSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H]1CC[C@]2(CCN(c3ccnc(CO)c3)C2)C1 ZINC000858478645 588959140 /nfs/dbraw/zinc/95/91/40/588959140.db2.gz WSSPHZSXBROQJL-WFASDCNBSA-N 0 3 246.354 2.590 20 0 BFADHN C[C@@H]1CC[C@@H](Nc2ccnc(CO)c2)C[C@@H]1C ZINC000858481390 588959400 /nfs/dbraw/zinc/95/94/00/588959400.db2.gz KAWWPBCATVYBGA-GRYCIOLGSA-N 0 3 234.343 2.811 20 0 BFADHN Cc1ncc(CNC2(C)C(C)(C)C2(C)C)o1 ZINC000898414305 584131753 /nfs/dbraw/zinc/13/17/53/584131753.db2.gz LPOCPNLMXLJMDF-UHFFFAOYSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@@H](Cc1ccc(O)cc1)NC/C=C/Cl ZINC000898427695 584132943 /nfs/dbraw/zinc/13/29/43/584132943.db2.gz DNFYREIRKFHSER-BPQDVTRRSA-N 0 3 225.719 2.665 20 0 BFADHN CCCSC[C@H](C)NC/C=C/Cl ZINC000898429114 584133556 /nfs/dbraw/zinc/13/35/56/584133556.db2.gz RKXKYLCMMBERAL-MOVJSRMASA-N 0 3 207.770 2.860 20 0 BFADHN CCCC[C@H](COC)NC/C=C\Cl ZINC000898432421 584135098 /nfs/dbraw/zinc/13/50/98/584135098.db2.gz FSSCYKZLVRVMNF-ONRRBMGISA-N 0 3 205.729 2.534 20 0 BFADHN Cc1ccc(CCCNC/C=C/Cl)cn1 ZINC000898434458 584135656 /nfs/dbraw/zinc/13/56/56/584135656.db2.gz VUOKUUQLTHODIX-XVNBXDOJSA-N 0 3 224.735 2.665 20 0 BFADHN CCOC(=O)C1=CC[C@@H](N[C@H](C)c2ccoc2)C1 ZINC000858505440 588960827 /nfs/dbraw/zinc/96/08/27/588960827.db2.gz KLLZOVCNFREYEE-ZWNOBZJWSA-N 0 3 249.310 2.582 20 0 BFADHN OCc1cc(N2CCCC3(CCCC3)C2)ccn1 ZINC000858505395 588960855 /nfs/dbraw/zinc/96/08/55/588960855.db2.gz JMCXEJIPJOXVJD-UHFFFAOYSA-N 0 3 246.354 2.735 20 0 BFADHN OCc1cc(NC2CCC3(CC3)CC2)ccn1 ZINC000858516783 588962475 /nfs/dbraw/zinc/96/24/75/588962475.db2.gz MRJXQTHPVCTGRE-UHFFFAOYSA-N 0 3 232.327 2.709 20 0 BFADHN C/C=C/CN[C@H]1CCc2nc(Cl)ccc21 ZINC000858537549 588963490 /nfs/dbraw/zinc/96/34/90/588963490.db2.gz OHPLZXGJEORRBC-PBKGFPTLSA-N 0 3 222.719 2.888 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2ccc(Cl)nc2)C1 ZINC000858583452 588969240 /nfs/dbraw/zinc/96/92/40/588969240.db2.gz BWFJZXVKSOXCFT-FBIMIBRVSA-N 0 3 240.734 2.563 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2ccnc(Cl)c2)C1 ZINC000858586091 588969317 /nfs/dbraw/zinc/96/93/17/588969317.db2.gz RWVAFCABNDQLPW-LSJOCFKGSA-N 0 3 240.734 2.563 20 0 BFADHN CN[C@@H](C)c1cc(-c2ccc(F)cc2)no1 ZINC000901385295 584436200 /nfs/dbraw/zinc/43/62/00/584436200.db2.gz PYFQLPOCOFHZDP-QMMMGPOBSA-N 0 3 220.247 2.761 20 0 BFADHN CN[C@H](C)c1cc(-c2ccc(F)cc2)no1 ZINC000901385294 584436270 /nfs/dbraw/zinc/43/62/70/584436270.db2.gz PYFQLPOCOFHZDP-MRVPVSSYSA-N 0 3 220.247 2.761 20 0 BFADHN CN[C@H](C)c1cc(-c2ccccc2OC)no1 ZINC000901385235 584436455 /nfs/dbraw/zinc/43/64/55/584436455.db2.gz NZJBDRDEZBQKMW-SECBINFHSA-N 0 3 232.283 2.631 20 0 BFADHN c1c(CCN2CCCCC2)onc1C1CC1 ZINC000901397407 584438992 /nfs/dbraw/zinc/43/89/92/584438992.db2.gz WPFLAUHFZMNNPR-UHFFFAOYSA-N 0 3 220.316 2.580 20 0 BFADHN COC/C(C)=C\CNc1cccc(CN(C)C)c1 ZINC000901432071 584445037 /nfs/dbraw/zinc/44/50/37/584445037.db2.gz FCDPBFPNZUNOEJ-JYRVWZFOSA-N 0 3 248.370 2.753 20 0 BFADHN CN(C)CCSC[C@H]1CCCC2(CCC2)O1 ZINC000901510012 584456649 /nfs/dbraw/zinc/45/66/49/584456649.db2.gz WBXKBVWKBMHMAZ-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN CN(C)CCSC[C@@H]1CCCC2(CCC2)O1 ZINC000901510013 584456753 /nfs/dbraw/zinc/45/67/53/584456753.db2.gz WBXKBVWKBMHMAZ-LBPRGKRZSA-N 0 3 243.416 2.773 20 0 BFADHN CNc1ccccc1CNCCc1ccco1 ZINC000902034182 584519190 /nfs/dbraw/zinc/51/91/90/584519190.db2.gz HVRUCHWOOQPBRO-UHFFFAOYSA-N 0 3 230.311 2.654 20 0 BFADHN CCn1cnc(CNC[C@@H]2CCCC[C@@H]2C)c1 ZINC000902105334 584523859 /nfs/dbraw/zinc/52/38/59/584523859.db2.gz WITTZKUNVKIDBP-STQMWFEESA-N 0 3 235.375 2.819 20 0 BFADHN CNc1ccccc1CN[C@@H](C)CSC ZINC000902257103 584544923 /nfs/dbraw/zinc/54/49/23/584544923.db2.gz WLJSSRKWBPCLOU-JTQLQIEISA-N 0 3 224.373 2.569 20 0 BFADHN CCNc1ccccc1CNCC(C)(C)OC ZINC000902280009 584547310 /nfs/dbraw/zinc/54/73/10/584547310.db2.gz QXWWNYYLSYMTPH-UHFFFAOYSA-N 0 3 236.359 2.633 20 0 BFADHN CNc1ccc(C)cc1CN[C@H]1CCSC1 ZINC000902278504 584550906 /nfs/dbraw/zinc/55/09/06/584550906.db2.gz DULQBLGNHYQQLX-LBPRGKRZSA-N 0 3 236.384 2.632 20 0 BFADHN CCn1cnc(CN[C@H]2C[C@H]2C2CCCCC2)c1 ZINC000902276724 584550945 /nfs/dbraw/zinc/55/09/45/584550945.db2.gz YIQVSPYYENWNJL-GJZGRUSLSA-N 0 3 247.386 2.961 20 0 BFADHN CCn1cnc(CN[C@H]2CCC(C)(C)C2)c1 ZINC000902443806 584598547 /nfs/dbraw/zinc/59/85/47/584598547.db2.gz DXMCCZYPCYMSAY-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN CNc1ccc(C)cc1CN[C@]1(C)CCO[C@H]1C ZINC000902477153 584603444 /nfs/dbraw/zinc/60/34/44/584603444.db2.gz PUIDDHOCIBEYBD-SWLSCSKDSA-N 0 3 248.370 2.694 20 0 BFADHN CNc1ccc(C)cc1CN[C@@]1(C)CCCOC1 ZINC000902452521 584607420 /nfs/dbraw/zinc/60/74/20/584607420.db2.gz KNZMABPJSMSROA-HNNXBMFYSA-N 0 3 248.370 2.695 20 0 BFADHN C[C@@H]1CCC[C@H](C)[C@H]1NCc1csc(N)n1 ZINC000902490469 584617531 /nfs/dbraw/zinc/61/75/31/584617531.db2.gz OIDDDDPAWRHRPN-JZYVYDRUSA-N 0 3 239.388 2.640 20 0 BFADHN C[C@@H]1CC[C@H](NCc2csc(N)n2)[C@@H](C)C1 ZINC000902499961 584620056 /nfs/dbraw/zinc/62/00/56/584620056.db2.gz RFPANVKLZTYXME-YWVKMMECSA-N 0 3 239.388 2.640 20 0 BFADHN CNc1ccccc1CNC[C@@H]1CCC(C)(C)O1 ZINC000902503914 584621252 /nfs/dbraw/zinc/62/12/52/584621252.db2.gz TWEYETRCSFSKPD-ZDUSSCGKSA-N 0 3 248.370 2.776 20 0 BFADHN CNc1ccccc1CN[C@@H]1CSC1(C)C ZINC000902538662 584627900 /nfs/dbraw/zinc/62/79/00/584627900.db2.gz UFSBOWFVGJELRD-GFCCVEGCSA-N 0 3 236.384 2.712 20 0 BFADHN CNc1ccccc1CNC1CC(F)(F)C1 ZINC000902529000 584632571 /nfs/dbraw/zinc/63/25/71/584632571.db2.gz SCWPCOGHHXWJRY-UHFFFAOYSA-N 0 3 226.270 2.616 20 0 BFADHN CCC[C@]1(NCc2ccccc2NC)CCOC1 ZINC000902557766 584634599 /nfs/dbraw/zinc/63/45/99/584634599.db2.gz IXPRJUIKFXXEDW-HNNXBMFYSA-N 0 3 248.370 2.777 20 0 BFADHN CCn1cnc(CNC[C@@H]2CCC[C@H]2C2CC2)c1 ZINC000902594645 584635616 /nfs/dbraw/zinc/63/56/16/584635616.db2.gz DIHBLHJFWLWPSQ-ZFWWWQNUSA-N 0 3 247.386 2.819 20 0 BFADHN CNc1ccccc1CN[C@@H]1CS[C@@H](C)C1 ZINC000902596552 584635668 /nfs/dbraw/zinc/63/56/68/584635668.db2.gz ONTINJPHJFCQOL-JQWIXIFHSA-N 0 3 236.384 2.712 20 0 BFADHN CC[C@@H](CNCc1cc(C)ccc1NC)OC ZINC000902572721 584636897 /nfs/dbraw/zinc/63/68/97/584636897.db2.gz XCZJAJJGAJZEDW-ZDUSSCGKSA-N 0 3 236.359 2.551 20 0 BFADHN CCn1cnc(CNC2CC(C(C)(C)C)C2)c1 ZINC000902574373 584637121 /nfs/dbraw/zinc/63/71/21/584637121.db2.gz BOTDLBQQHHGVHB-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN CCNc1ccccc1CNC[C@H](OC)C1CC1 ZINC000902574350 584637509 /nfs/dbraw/zinc/63/75/09/584637509.db2.gz ARMVFHLLRBOORL-HNNXBMFYSA-N 0 3 248.370 2.633 20 0 BFADHN CCNc1ccccc1CNC[C@H]1CC[C@H](C)O1 ZINC000902585263 584639359 /nfs/dbraw/zinc/63/93/59/584639359.db2.gz DAVKMRFYBNIKLB-GXTWGEPZSA-N 0 3 248.370 2.776 20 0 BFADHN CCn1cnc(CNCC(C)(C)C2CCC2)c1 ZINC000902586171 584639773 /nfs/dbraw/zinc/63/97/73/584639773.db2.gz ZERBTZVWTFHSNK-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN CCn1cnc(CNC(CC)(C2CC2)C2CC2)c1 ZINC000902624187 584640244 /nfs/dbraw/zinc/64/02/44/584640244.db2.gz FQEVOTNNSXYFLE-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN CNc1ccc(C)cc1CN[C@H]1CC12CC2 ZINC000902608155 584642999 /nfs/dbraw/zinc/64/29/99/584642999.db2.gz UHQHWZBSPMWPKP-ZDUSSCGKSA-N 0 3 216.328 2.679 20 0 BFADHN CNc1ccccc1CN[C@@H](C)C1(OC)CCC1 ZINC000902610750 584643027 /nfs/dbraw/zinc/64/30/27/584643027.db2.gz YREIYYZHGXGWSK-LBPRGKRZSA-N 0 3 248.370 2.776 20 0 BFADHN CCn1cnc(CNC[C@@H]2CCCC23CC3)c1 ZINC000902627544 584646480 /nfs/dbraw/zinc/64/64/80/584646480.db2.gz WALDFCUJYCVTEY-LBPRGKRZSA-N 0 3 233.359 2.573 20 0 BFADHN CCn1cnc(CNCCC[C@H]2C=CCC2)c1 ZINC000902637954 584649271 /nfs/dbraw/zinc/64/92/71/584649271.db2.gz LTHUZXCWIOSIKH-ZDUSSCGKSA-N 0 3 233.359 2.739 20 0 BFADHN CNc1ccccc1CNC[C@@]1(C)CCCCO1 ZINC000902656607 584650580 /nfs/dbraw/zinc/65/05/80/584650580.db2.gz GQSILQSAFMQJBB-OAHLLOKOSA-N 0 3 248.370 2.777 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1ccccc1F ZINC000902681580 584655206 /nfs/dbraw/zinc/65/52/06/584655206.db2.gz BYIXKVLVEKSPEF-UVIDGUDFSA-N 0 3 247.313 2.652 20 0 BFADHN CCCCC1(NCc2cn(CC)cn2)CC1 ZINC000902689819 584656614 /nfs/dbraw/zinc/65/66/14/584656614.db2.gz TWRKLEQCWAUUNR-UHFFFAOYSA-N 0 3 221.348 2.715 20 0 BFADHN COCCN[C@H]1C[C@@H](C)Cc2cc(OC)ccc21 ZINC000902720955 584659816 /nfs/dbraw/zinc/65/98/16/584659816.db2.gz RAYCLBUUJHZHHT-NHYWBVRUSA-N 0 3 249.354 2.555 20 0 BFADHN CCC[C@@H](C)N(C)Cc1ccnc(F)c1 ZINC000859073389 588997266 /nfs/dbraw/zinc/99/72/66/588997266.db2.gz XUISNWWZJVFQAP-SNVBAGLBSA-N 0 3 210.296 2.841 20 0 BFADHN Fc1cccc(CNC2C[C@@H]3CCC[C@H]3C2)n1 ZINC000902884801 584689234 /nfs/dbraw/zinc/68/92/34/584689234.db2.gz MQVNHPRQNNELPP-QWRGUYRKSA-N 0 3 234.318 2.889 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H]2C[C@H]3CCC[C@H]3C2)[n-]1 ZINC000902889906 584689957 /nfs/dbraw/zinc/68/99/57/584689957.db2.gz SGYKZJJZDIBTNF-YFKTTZPYSA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nnc([C@H](C)N[C@@H]2C[C@H]3CCC[C@H]3C2)[nH]1 ZINC000902889906 584689959 /nfs/dbraw/zinc/68/99/59/584689959.db2.gz SGYKZJJZDIBTNF-YFKTTZPYSA-N 0 3 248.374 2.596 20 0 BFADHN F[C@@H]1CCCN(C[C@H]2CCC(F)(F)C2)C1 ZINC000902993304 584711556 /nfs/dbraw/zinc/71/15/56/584711556.db2.gz SLQMVWCBHURUKU-VHSXEESVSA-N 0 3 221.266 2.856 20 0 BFADHN Cc1nnsc1CNC1C[C@H]2CCC[C@@H]2C1 ZINC000902999233 584715122 /nfs/dbraw/zinc/71/51/22/584715122.db2.gz NBBKBFWTNZYPLR-NXEZZACHSA-N 0 3 237.372 2.515 20 0 BFADHN F[C@@H]1CCCC[C@@H]1N[C@H]1CCCc2cn[nH]c21 ZINC000903077830 584724684 /nfs/dbraw/zinc/72/46/84/584724684.db2.gz REPPBZGYTMSSJT-WOPDTQHZSA-N 0 3 237.322 2.657 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2N[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC000903088111 584725222 /nfs/dbraw/zinc/72/52/22/584725222.db2.gz JHJCWBBVSCLZMF-SCUASFONSA-N 0 3 245.370 2.955 20 0 BFADHN C=CC=CCCN[C@H]1CCCc2c[nH]nc21 ZINC000903086727 584725577 /nfs/dbraw/zinc/72/55/77/584725577.db2.gz IOPIGRJUDYECHE-PCAWENJQSA-N 0 3 217.316 2.509 20 0 BFADHN CSC[C@H](C)N[C@H](c1ccccn1)C1CC1 ZINC000903098509 584726841 /nfs/dbraw/zinc/72/68/41/584726841.db2.gz PTLWITFKMZYHQH-GWCFXTLKSA-N 0 3 236.384 2.874 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2ccon2)[C@H]1C ZINC000903104884 584727915 /nfs/dbraw/zinc/72/79/15/584727915.db2.gz NEJPPWJMUGAOIT-BLFANLJRSA-N 0 3 222.332 2.835 20 0 BFADHN CCC[C@](C)(O)CN[C@H](c1ccccn1)C1CC1 ZINC000903096888 584729024 /nfs/dbraw/zinc/72/90/24/584729024.db2.gz MQARIIQLWYRVNZ-GJZGRUSLSA-N 0 3 248.370 2.673 20 0 BFADHN CO[C@@H]1CCC[C@H]1NC1(c2ccc(F)cc2)CC1 ZINC000903136924 584730430 /nfs/dbraw/zinc/73/04/30/584730430.db2.gz HIEABGWEXHSQIA-ZIAGYGMSSA-N 0 3 249.329 2.972 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NC[C@]12C[C@H]1CCCC2 ZINC000903161991 584731619 /nfs/dbraw/zinc/73/16/19/584731619.db2.gz SIUDERFYCIJSBH-IPYPFGDCSA-N 0 3 245.370 2.957 20 0 BFADHN CCn1ccnc1[C@H](C)NCC[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000903165523 584731916 /nfs/dbraw/zinc/73/19/16/584731916.db2.gz VYDZFKPVYSUCNG-IGQOVBAYSA-N 0 3 247.386 2.990 20 0 BFADHN CC[C@H](NCC[C@@H]1CC[C@@H]2C[C@@H]21)c1nccn1C ZINC000903165737 584732197 /nfs/dbraw/zinc/73/21/97/584732197.db2.gz LWKHMRIPPNCXEZ-DGAVXFQQSA-N 0 3 247.386 2.897 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1cnn(CC2CCC2)c1 ZINC000903192570 584733888 /nfs/dbraw/zinc/73/38/88/584733888.db2.gz OGSRSUZMHAHSIL-RISCZKNCSA-N 0 3 245.370 2.886 20 0 BFADHN COc1c(C)cccc1CN[C@@H]1CCC[C@@H]1OC ZINC000903196016 584734455 /nfs/dbraw/zinc/73/44/55/584734455.db2.gz FLDPNRVHFOOZAR-KGLIPLIRSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H](CCC1CC1)NCc1cc(N(C)C)ccn1 ZINC000903199047 584734856 /nfs/dbraw/zinc/73/48/56/584734856.db2.gz SHBDHRSZABLMRS-LBPRGKRZSA-N 0 3 247.386 2.816 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1NCc1cc(N(C)C)ccn1 ZINC000903198847 584734911 /nfs/dbraw/zinc/73/49/11/584734911.db2.gz PYJKDHOUUARORD-LSDHHAIUSA-N 0 3 247.386 2.672 20 0 BFADHN CCC[C@H](CCO)N[C@@H](c1ccccn1)C1CC1 ZINC000903209231 584736871 /nfs/dbraw/zinc/73/68/71/584736871.db2.gz IRHVBCFBHSBGMQ-UKRRQHHQSA-N 0 3 248.370 2.673 20 0 BFADHN CO[C@H]1CCC[C@@H]1N[C@H]1CCCc2cccnc21 ZINC000903228824 584738566 /nfs/dbraw/zinc/73/85/66/584738566.db2.gz XIRMEZYRJDFFOW-IHRRRGAJSA-N 0 3 246.354 2.616 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1N[C@H]1C[C@@H](C)n2ccnc21 ZINC000903252139 584738824 /nfs/dbraw/zinc/73/88/24/584738824.db2.gz AVKCCWGZKPHXGH-HKLHZYFVSA-N 0 3 231.343 2.523 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1cccnc1 ZINC000903253404 584739031 /nfs/dbraw/zinc/73/90/31/584739031.db2.gz JTOSKQJFWONDNX-GPXIKIIXSA-N 0 3 216.328 2.777 20 0 BFADHN Cc1ccc([C@H](C)NCC2(C3(O)CCC3)CC2)o1 ZINC000903258384 584740076 /nfs/dbraw/zinc/74/00/76/584740076.db2.gz BMIPNISCCIPVKF-LBPRGKRZSA-N 0 3 249.354 2.934 20 0 BFADHN c1cc2c(o1)CCC[C@H]2N[C@@H]1CCO[C@H]1C1CC1 ZINC000903264095 584740764 /nfs/dbraw/zinc/74/07/64/584740764.db2.gz CTHIWKQYFUKNBH-NFAWXSAZSA-N 0 3 247.338 2.814 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@H]1CCCC2(CC2)C1 ZINC000903279109 584742609 /nfs/dbraw/zinc/74/26/09/584742609.db2.gz KHQGMVOBYYOSKL-KOLCDFICSA-N 0 3 235.331 2.751 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1ccsc1 ZINC000903286365 584745451 /nfs/dbraw/zinc/74/54/51/584745451.db2.gz HXTQEGDBPROCPY-FDFVQJQPSA-N 0 3 249.379 2.965 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c(C)o1 ZINC000903287800 584745743 /nfs/dbraw/zinc/74/57/43/584745743.db2.gz GLLCMXBSAXZMFY-AACJMNEGSA-N 0 3 247.338 2.723 20 0 BFADHN CCCCC1(N[C@H](C)c2ccnn2C)CC1 ZINC000903308684 584747618 /nfs/dbraw/zinc/74/76/18/584747618.db2.gz BECZAZTVNQLOIS-LLVKDONJSA-N 0 3 221.348 2.794 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2C[C@H]3CCC[C@H]32)on1 ZINC000903316466 584749552 /nfs/dbraw/zinc/74/95/52/584749552.db2.gz IWKOOERVQZQENF-LNFKQOIKSA-N 0 3 236.315 2.522 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CCC[C@@H]21)c1cncs1 ZINC000903316227 584749623 /nfs/dbraw/zinc/74/96/23/584749623.db2.gz IQNTUAMMFVJATJ-ZRUFSTJUSA-N 0 3 222.357 2.982 20 0 BFADHN C[C@H](N[C@@H](c1ccccn1)C1CC1)[C@@H]1CCCO1 ZINC000903335919 584751515 /nfs/dbraw/zinc/75/15/15/584751515.db2.gz UTNYEWLBLMVPAH-TUKIKUTGSA-N 0 3 246.354 2.690 20 0 BFADHN c1ccc([C@@H](N[C@H]2CCSC2)C2CC2)nc1 ZINC000903337326 584751658 /nfs/dbraw/zinc/75/16/58/584751658.db2.gz CQTUNCQFAJOVLU-AAEUAGOBSA-N 0 3 234.368 2.628 20 0 BFADHN CC(C)c1ccc(N[C@H]2CN(C)C[C@@H]2C)cn1 ZINC000903340543 584752129 /nfs/dbraw/zinc/75/21/29/584752129.db2.gz UZAPUMBAKHHRMA-FZMZJTMJSA-N 0 3 233.359 2.567 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](c1ccccn1)C1CC1 ZINC000903339814 584752404 /nfs/dbraw/zinc/75/24/04/584752404.db2.gz NFWFXGUSTOUHFD-MISXGVKJSA-N 0 3 234.343 2.546 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1N[C@H](c1ncccn1)C1CC1 ZINC000903326213 584753345 /nfs/dbraw/zinc/75/33/45/584753345.db2.gz XENIOJOIWNTLEL-MJBXVCDLSA-N 0 3 245.370 2.952 20 0 BFADHN CC[C@@H](N[C@@H](c1ncccn1)C1CC1)C1CC1 ZINC000903327387 584753377 /nfs/dbraw/zinc/75/33/77/584753377.db2.gz SLOIUTPEFTWWDK-CHWSQXEVSA-N 0 3 231.343 2.706 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1N[C@@H](c1ncccn1)C1CC1 ZINC000903326210 584753381 /nfs/dbraw/zinc/75/33/81/584753381.db2.gz XENIOJOIWNTLEL-BFHYXJOUSA-N 0 3 245.370 2.952 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](c1ncccn1)C1CC1 ZINC000903326574 584753538 /nfs/dbraw/zinc/75/35/38/584753538.db2.gz YMFDSGYVAJWPCD-KGYLQXTDSA-N 0 3 231.343 2.706 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@H](c1ncccn1)C1CC1 ZINC000903326211 584753650 /nfs/dbraw/zinc/75/36/50/584753650.db2.gz XENIOJOIWNTLEL-IHRRRGAJSA-N 0 3 245.370 2.952 20 0 BFADHN CC(C)[C@H](C)N[C@@H](c1ncccn1)C1CC1 ZINC000903329934 584753947 /nfs/dbraw/zinc/75/39/47/584753947.db2.gz GKHFMEWYDFEGEN-CMPLNLGQSA-N 0 3 219.332 2.562 20 0 BFADHN C[C@@H]1CC[C@@H](N[C@H](c2ncccn2)C2CC2)C1 ZINC000903329390 584754077 /nfs/dbraw/zinc/75/40/77/584754077.db2.gz CFEODAQRYXMCMI-RTXFEEFZSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@@H]1CCC[C@@H]1N[C@H](c1ncccn1)C1CC1 ZINC000903332207 584754611 /nfs/dbraw/zinc/75/46/11/584754611.db2.gz LRLDSSWOWKUQLQ-WXHSDQCUSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@H](CC1CC1)N[C@@H](c1ncccn1)C1CC1 ZINC000903332884 584754932 /nfs/dbraw/zinc/75/49/32/584754932.db2.gz PBJCJOOLQPIPKE-ZWNOBZJWSA-N 0 3 231.343 2.706 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@@H]1NCc1cn(C)cn1 ZINC000903353136 584755667 /nfs/dbraw/zinc/75/56/67/584755667.db2.gz ZJDVVBJJIXDAMJ-SCRDCRAPSA-N 0 3 235.375 2.725 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2COC[C@H]2C)o1 ZINC000903395024 584757069 /nfs/dbraw/zinc/75/70/69/584757069.db2.gz JEGNBVDKBMVKQK-JFGNBEQYSA-N 0 3 223.316 2.528 20 0 BFADHN CCC[C@H](NCC[C@@H](O)C1CC1)c1ccccn1 ZINC000903405956 584758357 /nfs/dbraw/zinc/75/83/57/584758357.db2.gz GNRGQYQXFFJGOA-DZGCQCFKSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CC=CC[C@H]2C)c2nccn21 ZINC000903414030 584759938 /nfs/dbraw/zinc/75/99/38/584759938.db2.gz UGPKVWPRMNSTCW-LPWJVIDDSA-N 0 3 231.343 2.833 20 0 BFADHN Cc1ncccc1CCN[C@@H](C)c1ccco1 ZINC000903414612 584759996 /nfs/dbraw/zinc/75/99/96/584759996.db2.gz CHCHYMIGUPEWDR-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1ncccc1CCN[C@@H](C)c1cncs1 ZINC000903416161 584760306 /nfs/dbraw/zinc/76/03/06/584760306.db2.gz QWVYAOJPSXJUGE-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2COC[C@H]2C2CC2)oc1C ZINC000903435584 584761912 /nfs/dbraw/zinc/76/19/12/584761912.db2.gz DOBXQHRWYNYLLM-LEWSCRJBSA-N 0 3 249.354 2.972 20 0 BFADHN C[C@H]([NH2+]C/C=C/Cl)c1cc(F)ccc1[O-] ZINC000903443092 584767954 /nfs/dbraw/zinc/76/79/54/584767954.db2.gz BHONDIDEMSSCIP-NCJLJLRUSA-N 0 3 229.682 2.934 20 0 BFADHN CC(C)N(Cc1ccnc(F)c1)CC1CC1 ZINC000859172828 589013582 /nfs/dbraw/zinc/01/35/82/589013582.db2.gz PPAAOYSSZYZZLW-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN CCc1ccc(CNCc2cc(N)ccn2)s1 ZINC000903949867 584830463 /nfs/dbraw/zinc/83/04/63/584830463.db2.gz FXTROISPIKQHMG-UHFFFAOYSA-N 0 3 247.367 2.578 20 0 BFADHN CCCCC[C@@H](C)NCc1cc(N)ccn1 ZINC000903950073 584830986 /nfs/dbraw/zinc/83/09/86/584830986.db2.gz HUSZIJMCSDHCOO-LLVKDONJSA-N 0 3 221.348 2.722 20 0 BFADHN CCc1ccc(CNCc2cc(N)ccn2)cc1 ZINC000903950976 584830993 /nfs/dbraw/zinc/83/09/93/584830993.db2.gz UQIBEBASBABXIN-UHFFFAOYSA-N 0 3 241.338 2.516 20 0 BFADHN Cc1cccc(C)c1CNCc1cc(N)ccn1 ZINC000903950947 584831018 /nfs/dbraw/zinc/83/10/18/584831018.db2.gz UDVJRCXBSUEFLC-UHFFFAOYSA-N 0 3 241.338 2.570 20 0 BFADHN CCCCC[C@@H]1CCCN1Cc1ccc(N)nn1 ZINC000904328555 584866241 /nfs/dbraw/zinc/86/62/41/584866241.db2.gz QVOYGFHCGUIQET-CYBMUJFWSA-N 0 3 248.374 2.604 20 0 BFADHN CO[C@@H](CNCc1c(C)cccc1F)C1CC1 ZINC000877068346 584889587 /nfs/dbraw/zinc/88/95/87/584889587.db2.gz PILSRARRRSBPAM-AWEZNQCLSA-N 0 3 237.318 2.649 20 0 BFADHN CO[C@H](CNCc1c(C)cccc1F)C1CC1 ZINC000877068347 584889593 /nfs/dbraw/zinc/88/95/93/584889593.db2.gz PILSRARRRSBPAM-CQSZACIVSA-N 0 3 237.318 2.649 20 0 BFADHN FCCN1CCC[C@H]1Cc1ccc(F)cc1 ZINC000759328413 584906544 /nfs/dbraw/zinc/90/65/44/584906544.db2.gz RQCSCVPWFRLJSX-ZDUSSCGKSA-N 0 3 225.282 2.802 20 0 BFADHN O[C@@H](CN1CCC2(CC2)CC1)c1cccc(F)c1 ZINC000761063430 584908869 /nfs/dbraw/zinc/90/88/69/584908869.db2.gz UZFZSIPTKRWPSF-AWEZNQCLSA-N 0 3 249.329 2.735 20 0 BFADHN Clc1ccc(OCCN2CC[C@@H]3C[C@@H]32)cc1 ZINC000761086585 584909332 /nfs/dbraw/zinc/90/93/32/584909332.db2.gz OKXNGYWCJQXHKY-MFKMUULPSA-N 0 3 237.730 2.813 20 0 BFADHN CC[C@H](C)OC(=O)c1cccc(CN(C)C)c1 ZINC000835096765 584919763 /nfs/dbraw/zinc/91/97/63/584919763.db2.gz FBQSVOTVAYBJPP-NSHDSACASA-N 0 3 235.327 2.704 20 0 BFADHN O=C(CCN1CCC[C@@H]1c1ccccn1)C1CC1 ZINC000767416426 584944931 /nfs/dbraw/zinc/94/49/31/584944931.db2.gz SOYLEDUOUCHTAI-CQSZACIVSA-N 0 3 244.338 2.588 20 0 BFADHN CCCCN(CN1C[C@H](C)CC1=O)[C@@H](C)CC ZINC000733291099 584946034 /nfs/dbraw/zinc/94/60/34/584946034.db2.gz CIVDPODRWYKTRU-OLZOCXBDSA-N 0 3 240.391 2.713 20 0 BFADHN CCCCCN(C)Cc1c(C)nn(C)c1C ZINC000735490057 584977918 /nfs/dbraw/zinc/97/79/18/584977918.db2.gz AWMRRTSFOULEBP-UHFFFAOYSA-N 0 3 223.364 2.659 20 0 BFADHN C[C@@H]1CN(Cc2cn(C)nc2C(C)(C)C)[C@@H]1C ZINC000816648962 584981492 /nfs/dbraw/zinc/98/14/92/584981492.db2.gz RDNSQOPPJALGSW-GHMZBOCLSA-N 0 3 235.375 2.558 20 0 BFADHN CC/C=C\CNC[C@H](O)c1ccc(F)cc1C ZINC000819344689 585002118 /nfs/dbraw/zinc/00/21/18/585002118.db2.gz LAFLDRRVPZZAQE-WSNITJDQSA-N 0 3 237.318 2.723 20 0 BFADHN c1cc2c(s1)CCN(C[C@H]1C[C@@]13CCOC3)C2 ZINC000885942174 585031246 /nfs/dbraw/zinc/03/12/46/585031246.db2.gz KYYMGMWGZCXPLD-TZMCWYRMSA-N 0 3 249.379 2.533 20 0 BFADHN Cc1coc(CNC[C@H](C)Cn2ccnc2C)c1 ZINC000885969208 585032079 /nfs/dbraw/zinc/03/20/79/585032079.db2.gz MRYSJKCBTHFVSF-LBPRGKRZSA-N 0 3 247.342 2.519 20 0 BFADHN CC[C@H](C)[C@H]1CCCCN1Cc1nonc1C ZINC000738313314 585042712 /nfs/dbraw/zinc/04/27/12/585042712.db2.gz GRNXZSLQVGSBPG-GXFFZTMASA-N 0 3 237.347 2.779 20 0 BFADHN O[C@@H](CN1CCC[C@H]1c1cccc(F)c1)C1CC1 ZINC000838797910 585068947 /nfs/dbraw/zinc/06/89/47/585068947.db2.gz DOLLHBYQESPIAX-GJZGRUSLSA-N 0 3 249.329 2.734 20 0 BFADHN O[C@@H](CN(Cc1ccc(F)cc1)C1CC1)C1CC1 ZINC000838781361 585069682 /nfs/dbraw/zinc/06/96/82/585069682.db2.gz UQPZGKYIWCYBBX-HNNXBMFYSA-N 0 3 249.329 2.561 20 0 BFADHN C[C@@H]1c2ccc(F)cc2CCN1C[C@H](O)C1CC1 ZINC000838854701 585074986 /nfs/dbraw/zinc/07/49/86/585074986.db2.gz QUSNLXGTCOFOLY-BMIGLBTASA-N 0 3 249.329 2.516 20 0 BFADHN CCn1ncc(CNC(C)(C)CC(C)(C)C)n1 ZINC000838897995 585079587 /nfs/dbraw/zinc/07/95/87/585079587.db2.gz VZLYRGZPRQFSCK-UHFFFAOYSA-N 0 3 238.379 2.602 20 0 BFADHN CC1(C)CN(C[C@@H](O)C2CC2)[C@H]1c1ccccc1 ZINC000838900780 585079954 /nfs/dbraw/zinc/07/99/54/585079954.db2.gz ORNLQIBJFGUMDP-CABCVRRESA-N 0 3 245.366 2.840 20 0 BFADHN CC1(C)CN(C[C@H](O)C2CC2)[C@@H]1c1ccccc1 ZINC000838900789 585080044 /nfs/dbraw/zinc/08/00/44/585080044.db2.gz ORNLQIBJFGUMDP-LSDHHAIUSA-N 0 3 245.366 2.840 20 0 BFADHN C[C@@H](CNCc1cn2cccnc2n1)C(C)(C)C ZINC000838955649 585080675 /nfs/dbraw/zinc/08/06/75/585080675.db2.gz MSUKVXHRXBUDFW-NSHDSACASA-N 0 3 246.358 2.501 20 0 BFADHN CC1=C(c2ccco2)CN(C[C@@H](O)C2CC2)CC1 ZINC000838983096 585084488 /nfs/dbraw/zinc/08/44/88/585084488.db2.gz LVGIOCZAIQKHEY-CQSZACIVSA-N 0 3 247.338 2.530 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cc(C)oc2C)C1 ZINC000839147518 585092284 /nfs/dbraw/zinc/09/22/84/585092284.db2.gz LKELVGHAZHZMBI-BETUJISGSA-N 0 3 223.316 2.554 20 0 BFADHN Cc1cc(CN[C@H]2C[C@@H](OC(C)C)C2)c(C)o1 ZINC000839148065 585092403 /nfs/dbraw/zinc/09/24/03/585092403.db2.gz WEGRAEFAXHBFFI-OKILXGFUSA-N 0 3 237.343 2.942 20 0 BFADHN C1=CO[C@@H](CN2CC3(C2)CCCCC3)CC1 ZINC000839132020 585094097 /nfs/dbraw/zinc/09/40/97/585094097.db2.gz INMWMFNNVYAIRA-CYBMUJFWSA-N 0 3 221.344 2.945 20 0 BFADHN CCOC[C@H]1CCCCN1C/C=C\Cl ZINC000839180669 585096886 /nfs/dbraw/zinc/09/68/86/585096886.db2.gz WQQOARXUTDQHBI-JPVGGKMYSA-N 0 3 217.740 2.630 20 0 BFADHN Fc1cc(CN[C@H]2CCC[C@@H]3C[C@@H]32)c(F)cn1 ZINC000839192519 585097325 /nfs/dbraw/zinc/09/73/25/585097325.db2.gz VJKWWTQWGVKOOG-QRTLGDNMSA-N 0 3 238.281 2.638 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1ccc(CF)cc1 ZINC000839198609 585099287 /nfs/dbraw/zinc/09/92/87/585099287.db2.gz SBZKKGZCDZLXTL-KGLIPLIRSA-N 0 3 237.318 2.813 20 0 BFADHN CC[C@H](CNC/C=C/c1cccc(F)c1)OC ZINC000886120583 585105791 /nfs/dbraw/zinc/10/57/91/585105791.db2.gz IOJWWLNINHNCGS-HZRUHFOJSA-N 0 3 237.318 2.854 20 0 BFADHN OCC[C@H](CNCc1ccoc1)c1ccccc1 ZINC000740898957 585107777 /nfs/dbraw/zinc/10/77/77/585107777.db2.gz IUNVTGXFLQFBHS-OAHLLOKOSA-N 0 3 245.322 2.535 20 0 BFADHN C[C@@H](C(=O)OC/C=C/Cl)N1[C@H](C)CC[C@@H]1C ZINC000741021107 585108933 /nfs/dbraw/zinc/10/89/33/585108933.db2.gz BPNZBLRTGDUDSN-WFMWREOHSA-N 0 3 245.750 2.543 20 0 BFADHN Cc1coc(CNCC[C@H](O)C2CCCC2)c1 ZINC000886180904 585111065 /nfs/dbraw/zinc/11/10/65/585111065.db2.gz AAAHOTSJRCKJQM-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN CCCCCCC(=O)N[C@H]1CCN(C)[C@H](C)C1 ZINC000741724630 585117193 /nfs/dbraw/zinc/11/71/93/585117193.db2.gz LFKJBAGYQQISCG-OLZOCXBDSA-N 0 3 240.391 2.556 20 0 BFADHN CCCOC(=O)[C@H](C)N1C[C@H](C)CCC[C@H]1C ZINC000741795694 585119083 /nfs/dbraw/zinc/11/90/83/585119083.db2.gz SAICGRGSIUVVFJ-UPJWGTAASA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H]1CCCN1CCNc1nc2ccccc2o1 ZINC000742424981 585126126 /nfs/dbraw/zinc/12/61/26/585126126.db2.gz IVRYHWZBJYPPPX-LLVKDONJSA-N 0 3 245.326 2.724 20 0 BFADHN C[C@@H]1CCC[C@H](C)N(Cn2cc[nH]c2=S)C1 ZINC000743371606 585136461 /nfs/dbraw/zinc/13/64/61/585136461.db2.gz WXHLQCACDLFHPR-MNOVXSKESA-N 0 3 239.388 2.640 20 0 BFADHN CCN(CCc1ccccn1)C[C@@H]1CCCCO1 ZINC000744200588 585146102 /nfs/dbraw/zinc/14/61/02/585146102.db2.gz LJIFEUZCGMXZGO-HNNXBMFYSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1nonc1CN1[C@H](C)C[C@@H]2CCCC[C@H]21 ZINC000744402901 585149809 /nfs/dbraw/zinc/14/98/09/585149809.db2.gz IPQCXHTVJCIYGB-SUZMYJTESA-N 0 3 235.331 2.531 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cn1cccnc1=S ZINC000744441668 585150354 /nfs/dbraw/zinc/15/03/54/585150354.db2.gz RWFYVXQMUVTCMM-MNOVXSKESA-N 0 3 237.372 2.690 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cn1cccnc1=S ZINC000744441667 585150436 /nfs/dbraw/zinc/15/04/36/585150436.db2.gz RWFYVXQMUVTCMM-GHMZBOCLSA-N 0 3 237.372 2.690 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cn1cccnc1=S ZINC000744441670 585150459 /nfs/dbraw/zinc/15/04/59/585150459.db2.gz RWFYVXQMUVTCMM-WDEREUQCSA-N 0 3 237.372 2.690 20 0 BFADHN CCc1cc(CN[C@H](C)c2ccccc2)n[nH]1 ZINC000746046937 585162745 /nfs/dbraw/zinc/16/27/45/585162745.db2.gz HHEPUKAKMNYMNC-LLVKDONJSA-N 0 3 229.327 2.823 20 0 BFADHN c1cn2c(n1)[C@@H](NC1(C3CC3)CCC1)CCC2 ZINC000886825009 585180782 /nfs/dbraw/zinc/18/07/82/585180782.db2.gz KRYPBSHYPASDHA-LBPRGKRZSA-N 0 3 231.343 2.640 20 0 BFADHN CC(C)CCCCCC(=O)NC[C@@H](C)N(C)C ZINC000747908172 585185572 /nfs/dbraw/zinc/18/55/72/585185572.db2.gz WTMZKRZDCOGOQO-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@H](NCc1ccco1)[C@@H](O)c1cccc(F)c1 ZINC000749113872 585199519 /nfs/dbraw/zinc/19/95/19/585199519.db2.gz CRLUFONNJAYSSR-QMTHXVAHSA-N 0 3 249.285 2.630 20 0 BFADHN C[C@H](NCc1ccco1)[C@@H](O)c1cccc(F)c1 ZINC000749113869 585199821 /nfs/dbraw/zinc/19/98/21/585199821.db2.gz CRLUFONNJAYSSR-IINYFYTJSA-N 0 3 249.285 2.630 20 0 BFADHN Cc1csc(CNC[C@H](C)c2ccncc2)n1 ZINC000749295042 585201130 /nfs/dbraw/zinc/20/11/30/585201130.db2.gz IIJFZWAZYXUUFZ-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN COCCN[C@@H](C)c1cc(C)c(OC)cc1C ZINC000753608850 585263976 /nfs/dbraw/zinc/26/39/76/585263976.db2.gz GBVGCYNHPZYHNT-LBPRGKRZSA-N 0 3 237.343 2.609 20 0 BFADHN Cc1cccc(F)c1CN[C@@H](C)[C@@H]1CCCO1 ZINC000753663751 585265809 /nfs/dbraw/zinc/26/58/09/585265809.db2.gz NCAOOGXPEFWEPL-FZMZJTMJSA-N 0 3 237.318 2.791 20 0 BFADHN CCC(=O)CCN(C)Cc1cccc(C)c1 ZINC000753704792 585266749 /nfs/dbraw/zinc/26/67/49/585266749.db2.gz NIXXYWIRJVZGNY-UHFFFAOYSA-N 0 3 219.328 2.796 20 0 BFADHN OCCNCc1ccc2oc3ccccc3c2c1 ZINC000753948050 585272759 /nfs/dbraw/zinc/27/27/59/585272759.db2.gz VOZJVMZAAHCCJU-UHFFFAOYSA-N 0 3 241.290 2.668 20 0 BFADHN CCCCCCN1CCN(CC)[C@@H](C)C1 ZINC000754384636 585283924 /nfs/dbraw/zinc/28/39/24/585283924.db2.gz DUCQLMACGCABGC-ZDUSSCGKSA-N 0 3 212.381 2.593 20 0 BFADHN CCCC[C@H]1CCC[C@@H]1NCc1nonc1C ZINC000755047038 585294026 /nfs/dbraw/zinc/29/40/26/585294026.db2.gz DOAZSPXSIZIJTH-RYUDHWBXSA-N 0 3 237.347 2.827 20 0 BFADHN C[C@H]1CCN(Cc2ccoc2)CCS1 ZINC000756147544 585315372 /nfs/dbraw/zinc/31/53/72/585315372.db2.gz SUPOAZZTSVHNHS-JTQLQIEISA-N 0 3 211.330 2.607 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1CC=C(C)CC1 ZINC000756634823 585321973 /nfs/dbraw/zinc/32/19/73/585321973.db2.gz GQGNEQQXFWFVBC-OAHLLOKOSA-N 0 3 249.329 2.820 20 0 BFADHN Cc1cc(F)ccc1[C@@H](O)CN1CC=C(C)CC1 ZINC000756634821 585322064 /nfs/dbraw/zinc/32/20/64/585322064.db2.gz GQGNEQQXFWFVBC-HNNXBMFYSA-N 0 3 249.329 2.820 20 0 BFADHN Cc1cc(NCC2(CC(C)C)CCC2)nc(N)n1 ZINC000756975845 585326035 /nfs/dbraw/zinc/32/60/35/585326035.db2.gz VXPQFPHNFZEFSR-UHFFFAOYSA-N 0 3 248.374 2.996 20 0 BFADHN Cc1cccc(C)c1OCCN1CC[C@H]2C[C@H]21 ZINC000839533615 585329231 /nfs/dbraw/zinc/32/92/31/585329231.db2.gz LQEWRIXJPNQTJQ-UONOGXRCSA-N 0 3 231.339 2.776 20 0 BFADHN CO[C@@](C)([C@H](C)NC/C=C/Cl)C1CC1 ZINC000839638438 585334950 /nfs/dbraw/zinc/33/49/50/585334950.db2.gz IRYNGJDRYAXPKR-VHHUFXSCSA-N 0 3 217.740 2.532 20 0 BFADHN Fc1ccc(CN2CC[C@H](C3CCC3)C2)nc1 ZINC000839681161 585336948 /nfs/dbraw/zinc/33/69/48/585336948.db2.gz QEOJKLLAPPYGNW-LBPRGKRZSA-N 0 3 234.318 2.843 20 0 BFADHN CCN(CC)C[C@H](O)c1ccc(Cl)c(F)c1 ZINC000759050573 585363793 /nfs/dbraw/zinc/36/37/93/585363793.db2.gz RCNGSJAZNORZQF-LBPRGKRZSA-N 0 3 245.725 2.854 20 0 BFADHN CC(C)[C@H]1CCN1C[C@H](O)c1ccccc1F ZINC000759071387 585363980 /nfs/dbraw/zinc/36/39/80/585363980.db2.gz QAUPKXOMLRKPBC-KGLIPLIRSA-N 0 3 237.318 2.589 20 0 BFADHN CC(=O)CCN1Cc2ccccc2C(C)(C)C1 ZINC000759306950 585366815 /nfs/dbraw/zinc/36/68/15/585366815.db2.gz RPFSGMRGUZSXKB-UHFFFAOYSA-N 0 3 231.339 2.759 20 0 BFADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1CCF ZINC000759343834 585369407 /nfs/dbraw/zinc/36/94/07/585369407.db2.gz AXXZJKFYPFTVAA-GXTWGEPZSA-N 0 3 221.319 2.909 20 0 BFADHN FCCN1CC[C@@H](Cc2ccccc2)C1 ZINC000759343120 585369609 /nfs/dbraw/zinc/36/96/09/585369609.db2.gz LEARBRIXFGVLTP-ZDUSSCGKSA-N 0 3 207.292 2.521 20 0 BFADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1CCF ZINC000759346507 585370030 /nfs/dbraw/zinc/37/00/30/585370030.db2.gz YROJTYISEIEAAV-ZYHUDNBSSA-N 0 3 225.282 2.973 20 0 BFADHN CCCCCC[C@H](O)CN1CC[C@@H](C)[C@@H](F)C1 ZINC000759430994 585372989 /nfs/dbraw/zinc/37/29/89/585372989.db2.gz XKPTUAVOHUMPLB-RDBSUJKOSA-N 0 3 245.382 2.998 20 0 BFADHN CCCCCCN1CCC[C@@]1(C)C(=O)OC ZINC000759807477 585380613 /nfs/dbraw/zinc/38/06/13/585380613.db2.gz VRYALXNLQQQLEK-ZDUSSCGKSA-N 0 3 227.348 2.594 20 0 BFADHN CCCN(C)C[C@@H](O)c1ccc(Cl)c(F)c1 ZINC000759842988 585381213 /nfs/dbraw/zinc/38/12/13/585381213.db2.gz FUNFOBVEGODCSN-GFCCVEGCSA-N 0 3 245.725 2.854 20 0 BFADHN CC[C@@H](CC(F)(F)F)Nc1cc(C)nc(N)n1 ZINC000760600331 585394538 /nfs/dbraw/zinc/39/45/38/585394538.db2.gz DNQJDWURWCNHDF-ZETCQYMHSA-N 0 3 248.252 2.510 20 0 BFADHN Cc1ccc(CN(CCN2CCCC2)C2CC2)o1 ZINC000760819515 585397982 /nfs/dbraw/zinc/39/79/82/585397982.db2.gz SHOFAOCDKIMXAV-UHFFFAOYSA-N 0 3 248.370 2.648 20 0 BFADHN CCN(CCC1CC1)Cc1ccc(OC)cn1 ZINC000760881973 585401140 /nfs/dbraw/zinc/40/11/40/585401140.db2.gz UOAKYGZAKRVARL-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@H]2C[C@H]21 ZINC000761081246 585406664 /nfs/dbraw/zinc/40/66/64/585406664.db2.gz FTKYWDBLDIYCJT-SUZMYJTESA-N 0 3 205.276 2.981 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@@H]2C[C@@H]21 ZINC000761091189 585408181 /nfs/dbraw/zinc/40/81/81/585408181.db2.gz UYSOSUXINPJONH-XWIASGKRSA-N 0 3 205.276 2.981 20 0 BFADHN FC(F)(F)CCCCN1CC[C@@H]2C[C@@H]21 ZINC000761091536 585408244 /nfs/dbraw/zinc/40/82/44/585408244.db2.gz LAPZJYMNLSQZTG-BDAKNGLRSA-N 0 3 207.239 2.813 20 0 BFADHN Fc1cc2cccnc2c(CN2CC[C@H]3C[C@H]32)c1 ZINC000761095956 585408783 /nfs/dbraw/zinc/40/87/83/585408783.db2.gz QBMYUSUFEWWLOJ-IINYFYTJSA-N 0 3 242.297 2.968 20 0 BFADHN Clc1ccccc1CN1CC[C@H]2C[C@H]21 ZINC000761102132 585409584 /nfs/dbraw/zinc/40/95/84/585409584.db2.gz ZKTKZUICYWRZAJ-JOYOIKCWSA-N 0 3 207.704 2.934 20 0 BFADHN FC(F)[C@@H]1CCN(C[C@H]2CC2(Cl)Cl)C1 ZINC000761113979 585410323 /nfs/dbraw/zinc/41/03/23/585410323.db2.gz SEDVTUHXYKQNCH-RNFRBKRXSA-N 0 3 244.112 2.767 20 0 BFADHN COc1ccc(C[C@@H](C)CN(C)CCF)cc1 ZINC000761744506 585418238 /nfs/dbraw/zinc/41/82/38/585418238.db2.gz XKEARIPAKJDZMJ-GFCCVEGCSA-N 0 3 239.334 2.775 20 0 BFADHN Cc1cnc(CCN(C)CCCF)c(C)c1 ZINC000887142207 585447258 /nfs/dbraw/zinc/44/72/58/585447258.db2.gz AEYJDPYZNAVXBW-UHFFFAOYSA-N 0 3 224.323 2.532 20 0 BFADHN C[C@@H]1N(CCc2cccs2)CCOC1(C)C ZINC000763415692 585448033 /nfs/dbraw/zinc/44/80/33/585448033.db2.gz JWSDSPZEINWOHX-NSHDSACASA-N 0 3 239.384 2.790 20 0 BFADHN COCC1(NCc2cc(C)c(OC)c(C)c2)CC1 ZINC000765274131 585483650 /nfs/dbraw/zinc/48/36/50/585483650.db2.gz XOCKTGGWHCSFRS-UHFFFAOYSA-N 0 3 249.354 2.581 20 0 BFADHN c1ccc2c(c1)ccnc2CN[C@@H]1CCSC1 ZINC000765406586 585485669 /nfs/dbraw/zinc/48/56/69/585485669.db2.gz VYVZGAHWEGVPBO-GFCCVEGCSA-N 0 3 244.363 2.830 20 0 BFADHN Cc1cc(NCc2c(C)cccc2C)nc(N)n1 ZINC000765596806 585490907 /nfs/dbraw/zinc/49/09/07/585490907.db2.gz YOZAFGZLFIFSRT-UHFFFAOYSA-N 0 3 242.326 2.596 20 0 BFADHN C[C@@H]1CCCN(Cc2cccc3nsnc32)C1 ZINC000766009281 585495681 /nfs/dbraw/zinc/49/56/81/585495681.db2.gz SMSSHABOWLYONN-SNVBAGLBSA-N 0 3 247.367 2.923 20 0 BFADHN CC[C@H]1CCN(Cc2cccc3nsnc32)C1 ZINC000766092508 585497536 /nfs/dbraw/zinc/49/75/36/585497536.db2.gz RZSMNZWVYRJUAK-JTQLQIEISA-N 0 3 247.367 2.923 20 0 BFADHN Cc1ccc([C@@H](C)NCCN2CCC[C@H]2C)o1 ZINC000766267681 585499540 /nfs/dbraw/zinc/49/95/40/585499540.db2.gz HRUYSAUDWCUSNF-DGCLKSJQSA-N 0 3 236.359 2.723 20 0 BFADHN C[C@@H](NCCNc1ccccc1)c1cncs1 ZINC000766515019 585503741 /nfs/dbraw/zinc/50/37/41/585503741.db2.gz LUTMMOPMTABKSU-LLVKDONJSA-N 0 3 247.367 2.906 20 0 BFADHN Cc1c(CN2CCC(CC(C)C)CC2)cnn1C ZINC000766939077 585512298 /nfs/dbraw/zinc/51/22/98/585512298.db2.gz RTXFDPNMWDATMF-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN C[C@H]1c2ccccc2CCN1CCC(=O)C1CC1 ZINC000767375149 585518419 /nfs/dbraw/zinc/51/84/19/585518419.db2.gz XTZMTTNKKLFUMI-LBPRGKRZSA-N 0 3 243.350 2.975 20 0 BFADHN Cc1cc(CN[C@@H]2[C@H]3CCO[C@H]3C2(C)C)c(C)o1 ZINC000767377366 585518889 /nfs/dbraw/zinc/51/88/89/585518889.db2.gz KVVGTOLVYCYZEC-MGPQQGTHSA-N 0 3 249.354 2.800 20 0 BFADHN O=C(CCN1CCC[C@H]1c1ccccn1)C1CC1 ZINC000767416421 585519471 /nfs/dbraw/zinc/51/94/71/585519471.db2.gz SOYLEDUOUCHTAI-AWEZNQCLSA-N 0 3 244.338 2.588 20 0 BFADHN CCCCC[C@@H]1CCCN1CN1CCCC1=O ZINC000767763253 585525665 /nfs/dbraw/zinc/52/56/65/585525665.db2.gz SLXLVNLULGGIDV-CYBMUJFWSA-N 0 3 238.375 2.611 20 0 BFADHN CN(Cc1ccc2c(c1)COC2)[C@@H]1CCSC1 ZINC000768262868 585534974 /nfs/dbraw/zinc/53/49/74/585534974.db2.gz KXYKPBYPMIWPJQ-CQSZACIVSA-N 0 3 249.379 2.654 20 0 BFADHN CCCCC[C@@H](C)N1CCC[C@@H]1C(=O)OCC ZINC000768531913 585540001 /nfs/dbraw/zinc/54/00/01/585540001.db2.gz BDPAOBZIFOEBAB-CHWSQXEVSA-N 0 3 241.375 2.983 20 0 BFADHN COC1CC(N[C@@H](c2ccccn2)C(C)C)C1 ZINC000769523286 585558621 /nfs/dbraw/zinc/55/86/21/585558621.db2.gz WAJFIJFLEWZUDN-ORHYLEIMSA-N 0 3 234.343 2.546 20 0 BFADHN CCc1noc(C)c1[C@@H](C)NCC(C)(C)OC ZINC000769874924 585566015 /nfs/dbraw/zinc/56/60/15/585566015.db2.gz YUCXJGTTXOSTSB-SECBINFHSA-N 0 3 240.347 2.621 20 0 BFADHN CC[C@@H](C)[C@@H](C)C(=O)OCCN1CCCCC1 ZINC000770415382 585573736 /nfs/dbraw/zinc/57/37/36/585573736.db2.gz PFRIHVUMRWOSRA-CHWSQXEVSA-N 0 3 241.375 2.698 20 0 BFADHN O[C@H](CN1CCCC2(CC2)C1)c1cccc(F)c1 ZINC000770701865 585580272 /nfs/dbraw/zinc/58/02/72/585580272.db2.gz UVMLCRHOEZQSLM-CQSZACIVSA-N 0 3 249.329 2.735 20 0 BFADHN Cc1cc(N2CCCC[C@@H]2CC(C)C)nc(N)n1 ZINC000771174596 585591457 /nfs/dbraw/zinc/59/14/57/585591457.db2.gz XJROODAAUKXUMU-GFCCVEGCSA-N 0 3 248.374 2.772 20 0 BFADHN Cc1cc(N2CCCC[C@H]2CC(C)C)nc(N)n1 ZINC000771174600 585591475 /nfs/dbraw/zinc/59/14/75/585591475.db2.gz XJROODAAUKXUMU-LBPRGKRZSA-N 0 3 248.374 2.772 20 0 BFADHN CCCCC[C@H](C)CC(=O)N[C@@H](C)CN(C)C ZINC000805423121 585591910 /nfs/dbraw/zinc/59/19/10/585591910.db2.gz BBPPAKCNXCHTKJ-STQMWFEESA-N 0 3 242.407 2.659 20 0 BFADHN CC(=O)CCN(CCc1ccccc1)C1CC1 ZINC000771807642 585600811 /nfs/dbraw/zinc/60/08/11/585600811.db2.gz RWTYFNOMTQZUJJ-UHFFFAOYSA-N 0 3 231.339 2.673 20 0 BFADHN CCN(CC)CCOC(=O)C[C@@H](C)CC(C)C ZINC000772079690 585608182 /nfs/dbraw/zinc/60/81/82/585608182.db2.gz CFVVOZLRTGZLOC-ZDUSSCGKSA-N 0 3 243.391 2.944 20 0 BFADHN CCN(CC)CCOC(=O)c1cscc1C ZINC000772167858 585609349 /nfs/dbraw/zinc/60/93/49/585609349.db2.gz NHFSLVCYSYNJNU-UHFFFAOYSA-N 0 3 241.356 2.555 20 0 BFADHN CCN(CC)CCOC(=O)C(F)=C1CCCC1 ZINC000772181444 585609585 /nfs/dbraw/zinc/60/95/85/585609585.db2.gz ARWHXUWBYPDGFH-UHFFFAOYSA-N 0 3 243.322 2.669 20 0 BFADHN CCC(CC)[C@@H](C)C(=O)OCCN(CC)CC ZINC000772232651 585610605 /nfs/dbraw/zinc/61/06/05/585610605.db2.gz RSHHTKXRKUIHBB-GFCCVEGCSA-N 0 3 243.391 2.944 20 0 BFADHN CC/C(C)=C(\C)C(=O)OCCN(CC)CC ZINC000772254729 585611285 /nfs/dbraw/zinc/61/12/85/585611285.db2.gz KHVDKAHDFGCROP-VAWYXSNFSA-N 0 3 227.348 2.618 20 0 BFADHN CCN(CC)CCOC(=O)[C@H](C)c1ccccc1 ZINC000772294098 585612087 /nfs/dbraw/zinc/61/20/87/585612087.db2.gz CHVHYQNSESJKJK-CYBMUJFWSA-N 0 3 249.354 2.675 20 0 BFADHN CCN(CC)CCOC(=O)C[C@H]1CCC[C@@H]1C ZINC000772365118 585613335 /nfs/dbraw/zinc/61/33/35/585613335.db2.gz ZKXYUCQTSKYGSF-QWHCGFSZSA-N 0 3 241.375 2.698 20 0 BFADHN C[C@@H](NC[C@@H](O)c1cccnc1)c1ccsc1 ZINC000773080515 585630795 /nfs/dbraw/zinc/63/07/95/585630795.db2.gz GBOWWPLUHHYOOY-ZWNOBZJWSA-N 0 3 248.351 2.527 20 0 BFADHN Cc1ccccc1C[C@H](C)N(C)CCF ZINC000773936361 585646701 /nfs/dbraw/zinc/64/67/01/585646701.db2.gz WSUMREUXGCAKRY-LBPRGKRZSA-N 0 3 209.308 2.827 20 0 BFADHN CC(C)N(Cc1ccccn1)C[C@H]1CCC=CO1 ZINC000774846149 585667206 /nfs/dbraw/zinc/66/72/06/585667206.db2.gz ODPBUHHKXMBVDN-OAHLLOKOSA-N 0 3 246.354 2.985 20 0 BFADHN CCN(CCc1ccccn1)C[C@H]1CCC=CO1 ZINC000774851340 585667491 /nfs/dbraw/zinc/66/74/91/585667491.db2.gz BWYCBHOTJOWAHS-OAHLLOKOSA-N 0 3 246.354 2.639 20 0 BFADHN FC(F)C1CCN(C[C@@H]2CCC=CO2)CC1 ZINC000774883490 585668490 /nfs/dbraw/zinc/66/84/90/585668490.db2.gz FXWLVXGHZTUGRX-NSHDSACASA-N 0 3 231.286 2.656 20 0 BFADHN CCc1cnccc1[C@@H](C)NC[C@H]1CCC=CO1 ZINC000775051971 585670980 /nfs/dbraw/zinc/67/09/80/585670980.db2.gz RKDIVRPRULINCF-TZMCWYRMSA-N 0 3 246.354 2.987 20 0 BFADHN CC(C)[C@@H](NCCC(C)(C)O)c1ccccn1 ZINC000775445042 585677744 /nfs/dbraw/zinc/67/77/44/585677744.db2.gz DZMZKCJULRUIHH-CYBMUJFWSA-N 0 3 236.359 2.529 20 0 BFADHN CCCCC[C@@H](CC)C(=O)N(C)CCN(C)C ZINC000775767735 585684457 /nfs/dbraw/zinc/68/44/57/585684457.db2.gz IIUFJEOXVZSTEQ-CYBMUJFWSA-N 0 3 242.407 2.613 20 0 BFADHN O=C(C1CCN(CC2CCC2)CC1)C(F)(F)F ZINC000775853849 585686409 /nfs/dbraw/zinc/68/64/09/585686409.db2.gz DBJZMKBZPQHENO-UHFFFAOYSA-N 0 3 249.276 2.630 20 0 BFADHN C[C@H](N[C@@H](C)c1cccc(CO)c1)c1ccc[nH]1 ZINC000776309516 585695569 /nfs/dbraw/zinc/69/55/69/585695569.db2.gz KQVIRKCSPKJLKO-RYUDHWBXSA-N 0 3 244.338 2.919 20 0 BFADHN CC(C)[C@H](c1ccccc1)N(C)Cn1cnnc1 ZINC000776759461 585704894 /nfs/dbraw/zinc/70/48/94/585704894.db2.gz QBDHMELKLNWIGU-CQSZACIVSA-N 0 3 244.342 2.565 20 0 BFADHN CC(C)C[C@@H]1C[C@H](NCc2ccoc2)CCO1 ZINC000776955236 585707050 /nfs/dbraw/zinc/70/70/50/585707050.db2.gz VSKYXRVAZYMNBA-ZIAGYGMSSA-N 0 3 237.343 2.963 20 0 BFADHN CCOc1cccc([C@H](C)NC2(COC)CC2)c1 ZINC000777239285 585709666 /nfs/dbraw/zinc/70/96/66/585709666.db2.gz WNIUQNHPMMTHST-LBPRGKRZSA-N 0 3 249.354 2.915 20 0 BFADHN Cc1cccc(N2CCN([C@@H](C)C3CC3)CC2)c1 ZINC000777509071 585713753 /nfs/dbraw/zinc/71/37/53/585713753.db2.gz SNSSBQPIAOWZDT-AWEZNQCLSA-N 0 3 244.382 2.916 20 0 BFADHN COc1ccc2c(c1)CN([C@H](C)C1CC1)CC2 ZINC000777984578 585724748 /nfs/dbraw/zinc/72/47/48/585724748.db2.gz VPDRRMSNSWKWFN-LLVKDONJSA-N 0 3 231.339 2.852 20 0 BFADHN C[C@@H]1CCN(CCc2cccs2)C[C@@H]1F ZINC000778239233 585728741 /nfs/dbraw/zinc/72/87/41/585728741.db2.gz RJBMLVXXCCMGGI-PWSUYJOCSA-N 0 3 227.348 2.971 20 0 BFADHN CCCCCCN1CCO[C@H](C(C)C)C1 ZINC000778241112 585729238 /nfs/dbraw/zinc/72/92/38/585729238.db2.gz BGQFJTAIPJYBBV-ZDUSSCGKSA-N 0 3 213.365 2.924 20 0 BFADHN CCC(C)(C)CCN1CCC[C@]12CCOC2=O ZINC000778244584 585729452 /nfs/dbraw/zinc/72/94/52/585729452.db2.gz AVOAYAJSUCQDKS-CQSZACIVSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)CCCC(C)C ZINC000778357730 585732193 /nfs/dbraw/zinc/73/21/93/585732193.db2.gz COLHQBGLMIBNTH-UHFFFAOYSA-N 0 3 237.391 2.905 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@@H](C)Cc1ccco1 ZINC000779006296 585743796 /nfs/dbraw/zinc/74/37/96/585743796.db2.gz LNZVRKQOZRECIK-VHSXEESVSA-N 0 3 233.315 2.593 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@H](C)Cc1ccco1 ZINC000779006297 585743968 /nfs/dbraw/zinc/74/39/68/585743968.db2.gz LNZVRKQOZRECIK-ZJUUUORDSA-N 0 3 233.315 2.593 20 0 BFADHN CN(Cc1ccc(F)nc1)CC(C)(C)C ZINC000779153045 585745664 /nfs/dbraw/zinc/74/56/64/585745664.db2.gz DKEWPUQMWREUHC-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1ccc(F)nc1 ZINC000779158385 585746105 /nfs/dbraw/zinc/74/61/05/585746105.db2.gz PYZMJAQEAYBZBY-CMPLNLGQSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1ccc(F)nc1 ZINC000779170980 585746768 /nfs/dbraw/zinc/74/67/68/585746768.db2.gz FICOLCRKXJKRGY-PWSUYJOCSA-N 0 3 222.307 2.841 20 0 BFADHN CCOCCN(C)Cc1cncc2ccccc21 ZINC000779598441 585756726 /nfs/dbraw/zinc/75/67/26/585756726.db2.gz IPTMUPOXRXQEBT-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1ccnc(F)c1 ZINC000859257917 589025303 /nfs/dbraw/zinc/02/53/03/589025303.db2.gz HVFWSNYRKIMBFM-PWSUYJOCSA-N 0 3 222.307 2.841 20 0 BFADHN CC1(C)COCCN1C[C@@H]1CCc2ccccc21 ZINC000780283989 585776841 /nfs/dbraw/zinc/77/68/41/585776841.db2.gz ADNIYXACDHKRRN-AWEZNQCLSA-N 0 3 245.366 2.827 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cn3cccc(F)c3n2)C1 ZINC000780575930 585781698 /nfs/dbraw/zinc/78/16/98/585781698.db2.gz HDLRWLSTYGLUSK-GHMZBOCLSA-N 0 3 247.317 2.752 20 0 BFADHN CCN(CC)CCOC(=O)C1(C)CCCCC1 ZINC000781269436 585794179 /nfs/dbraw/zinc/79/41/79/585794179.db2.gz WHIGTNRILGXCJN-UHFFFAOYSA-N 0 3 241.375 2.842 20 0 BFADHN CC[C@](C)(NCC(OC)OC)c1ccccc1 ZINC000781902900 585805017 /nfs/dbraw/zinc/80/50/17/585805017.db2.gz MOZMUNHRYISGPY-AWEZNQCLSA-N 0 3 237.343 2.520 20 0 BFADHN Cc1oncc1CN1C2CCCC1CCC2 ZINC000782500586 585819347 /nfs/dbraw/zinc/81/93/47/585819347.db2.gz UNQDILBZUWRGPS-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@@H]2C[C@@H]21 ZINC000782524267 585819429 /nfs/dbraw/zinc/81/94/29/585819429.db2.gz JKWRUKAVJCFZRV-MFKMUULPSA-N 0 3 205.276 2.728 20 0 BFADHN C[C@@H](c1ccncc1)N1CCC(CCCO)CC1 ZINC000782537288 585819933 /nfs/dbraw/zinc/81/99/33/585819933.db2.gz PHSHJRFRKYYGSX-ZDUSSCGKSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@@H]1CN(CCc2cccs2)[C@@H](C)[C@H](C)O1 ZINC000782619858 585822737 /nfs/dbraw/zinc/82/27/37/585822737.db2.gz FVABJGNOQJEJDX-WOPDTQHZSA-N 0 3 239.384 2.788 20 0 BFADHN CC(C)c1ccc(CN2C[C@@H]3C[C@]3(CO)C2)cc1 ZINC000782618952 585822779 /nfs/dbraw/zinc/82/27/79/585822779.db2.gz RNCGAFFVFZRCSV-JKSUJKDBSA-N 0 3 245.366 2.624 20 0 BFADHN Cc1cccc(C)c1NC(=O)CN[C@@H]1CC[C@H]1C ZINC000783216209 585830934 /nfs/dbraw/zinc/83/09/34/585830934.db2.gz QOSNDKPPNDQXCR-ZWNOBZJWSA-N 0 3 246.354 2.630 20 0 BFADHN COc1cccc2c1OCC[C@@H]2N[C@H]1CC[C@H]1C ZINC000783249281 585832048 /nfs/dbraw/zinc/83/20/48/585832048.db2.gz BPFHVHPOURVTQO-WXHSDQCUSA-N 0 3 247.338 2.907 20 0 BFADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1CC[C@H]1C ZINC000783249279 585832179 /nfs/dbraw/zinc/83/21/79/585832179.db2.gz BPFHVHPOURVTQO-RTXFEEFZSA-N 0 3 247.338 2.907 20 0 BFADHN c1n[nH]cc1CN1CCCC2(CCCC2)C1 ZINC000783742804 585842004 /nfs/dbraw/zinc/84/20/04/585842004.db2.gz ATAQEMXVWIEPMF-UHFFFAOYSA-N 0 3 219.332 2.566 20 0 BFADHN CCCCN1CCN(c2ccc(C)cc2)CC1 ZINC000784393311 585858257 /nfs/dbraw/zinc/85/82/57/585858257.db2.gz UGRQLFYADZHMBA-UHFFFAOYSA-N 0 3 232.371 2.917 20 0 BFADHN CCOc1cccc(CNC23CCC(CC2)C3)n1 ZINC000784643919 585865333 /nfs/dbraw/zinc/86/53/33/585865333.db2.gz OYEQQHMKFQAJQA-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cncc2ccccc21 ZINC000786579318 585895241 /nfs/dbraw/zinc/89/52/41/585895241.db2.gz OUBMBJQWUFWTDP-RYUDHWBXSA-N 0 3 244.338 2.748 20 0 BFADHN OCCCN(Cc1cc(Cl)cs1)C1CC1 ZINC000787181309 585902374 /nfs/dbraw/zinc/90/23/74/585902374.db2.gz VYSRMAVLBSVQHM-UHFFFAOYSA-N 0 3 245.775 2.748 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2CC(C)(C)C2)c1 ZINC000788435350 585923068 /nfs/dbraw/zinc/92/30/68/585923068.db2.gz PZWIFZMXRNZWPA-CQSZACIVSA-N 0 3 233.355 2.679 20 0 BFADHN CCN(CCc1ccccn1)C[C@H]1CC[C@@H](C)O1 ZINC000789335785 585940005 /nfs/dbraw/zinc/94/00/05/585940005.db2.gz LYONBZQLIIECKF-UKRRQHHQSA-N 0 3 248.370 2.514 20 0 BFADHN C/C=C/COC(=O)[C@H]1CCCN1C1CCCC1 ZINC000789801160 585950379 /nfs/dbraw/zinc/95/03/79/585950379.db2.gz NSJZLXUUCFGYBC-YWVDXFKGSA-N 0 3 237.343 2.513 20 0 BFADHN Cc1ccc(OCCN2[C@H](C)C[C@H]2C)cc1 ZINC000791568967 585984455 /nfs/dbraw/zinc/98/44/55/585984455.db2.gz ZOSQCFWWISALBV-CHWSQXEVSA-N 0 3 219.328 2.857 20 0 BFADHN CCC(=O)CCN1CC[C@@H](C(F)(F)F)[C@H]1C ZINC000791583234 585984791 /nfs/dbraw/zinc/98/47/91/585984791.db2.gz HJVZWMKNRYCGCC-PSASIEDQSA-N 0 3 237.265 2.628 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@@H](O)c1ccc(Cl)cc1 ZINC000791578355 585984924 /nfs/dbraw/zinc/98/49/24/585984924.db2.gz TUCBFPIDMIWLGX-GBIKHYSHSA-N 0 3 239.746 2.856 20 0 BFADHN C[C@@H](C[C@H](O)c1ccccc1)NCC1(F)CC1 ZINC000793032241 586008473 /nfs/dbraw/zinc/00/84/73/586008473.db2.gz KSMDVEQHPXJNTD-AAEUAGOBSA-N 0 3 237.318 2.590 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2cc(C)oc2C)C1 ZINC000793217074 586014157 /nfs/dbraw/zinc/01/41/57/586014157.db2.gz LVNVVKBUXJDKPD-AWEZNQCLSA-N 0 3 237.343 2.897 20 0 BFADHN CCCC[C@@H](COC)NCc1cccnc1F ZINC000793285548 586016004 /nfs/dbraw/zinc/01/60/04/586016004.db2.gz PYLOROXADPUHJY-LBPRGKRZSA-N 0 3 240.322 2.516 20 0 BFADHN CC1(CN2CCC(F)(F)CC2)CCC1 ZINC000793362685 586016913 /nfs/dbraw/zinc/01/69/13/586016913.db2.gz UOOQQJCDYFLNNU-UHFFFAOYSA-N 0 3 203.276 2.908 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)CC(F)(F)F)n(C)n1 ZINC000793552806 586022771 /nfs/dbraw/zinc/02/27/71/586022771.db2.gz LLQMGKOAPJMALL-BDAKNGLRSA-N 0 3 249.280 2.720 20 0 BFADHN CC(C)C(CN1CCO[C@H](C(F)F)C1)C(C)C ZINC000793596428 586023741 /nfs/dbraw/zinc/02/37/41/586023741.db2.gz YKJAJZNPNDUIDN-LBPRGKRZSA-N 0 3 249.345 2.881 20 0 BFADHN Cc1cc(CN2CC[C@@]3(C2)CCCOC3)c(C)o1 ZINC000793620170 586024181 /nfs/dbraw/zinc/02/41/81/586024181.db2.gz MILXMTZSFYBSKA-OAHLLOKOSA-N 0 3 249.354 2.899 20 0 BFADHN CC(C)c1nc(CN2CCCCCCC2)n[nH]1 ZINC000794254797 586036189 /nfs/dbraw/zinc/03/61/89/586036189.db2.gz LZCMCBPVESDKRN-UHFFFAOYSA-N 0 3 236.363 2.694 20 0 BFADHN CC(C)n1ccc(CNC2[C@@H](C)CC[C@@H]2C)n1 ZINC000794604340 586045491 /nfs/dbraw/zinc/04/54/91/586045491.db2.gz KRMOUUKCABJIDP-RYUDHWBXSA-N 0 3 235.375 2.988 20 0 BFADHN C[C@]12CCN(C/C=C/Cl)C[C@H]1C2(F)F ZINC000794607060 586045908 /nfs/dbraw/zinc/04/59/08/586045908.db2.gz VQKOXEARSADWRR-DTBGECHCSA-N 0 3 221.678 2.716 20 0 BFADHN CC[C@@H]1CCN1C[C@H](O)c1cc(C)cc(C)c1 ZINC000795647563 586090203 /nfs/dbraw/zinc/09/02/03/586090203.db2.gz FQDFGSMJZCNSPR-CABCVRRESA-N 0 3 233.355 2.821 20 0 BFADHN CCN(CC)CCOC(=O)CC1CC(C)(C)C1 ZINC000796268963 586099733 /nfs/dbraw/zinc/09/97/33/586099733.db2.gz UEZQCYLNLAATPG-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN CCN1CCN(CCSC(C)(C)C)[C@H](C)C1 ZINC000796326420 586099989 /nfs/dbraw/zinc/09/99/89/586099989.db2.gz ZEWRUFYLEOFENP-GFCCVEGCSA-N 0 3 244.448 2.544 20 0 BFADHN CCCCCCN1CCN(CC)C[C@H]1C ZINC000796316725 586100338 /nfs/dbraw/zinc/10/03/38/586100338.db2.gz OARRQQULNSDHKN-CYBMUJFWSA-N 0 3 212.381 2.593 20 0 BFADHN CON(CCN1CCC(C)CC1)CC(C)(C)C ZINC000796471604 586104539 /nfs/dbraw/zinc/10/45/39/586104539.db2.gz OOTLBSSSQWMHGP-UHFFFAOYSA-N 0 3 242.407 2.628 20 0 BFADHN C[C@H]1CC[C@H]1NCc1c(Cl)nc2ccccn21 ZINC000796526191 586106094 /nfs/dbraw/zinc/10/60/94/586106094.db2.gz KAFSGDFMMVHOCS-VHSXEESVSA-N 0 3 249.745 2.876 20 0 BFADHN FC1(F)CCN(CCCc2ccncc2)CC1 ZINC000796543511 586106714 /nfs/dbraw/zinc/10/67/14/586106714.db2.gz WRZVXNRVIPQYDM-UHFFFAOYSA-N 0 3 240.297 2.745 20 0 BFADHN Cc1cc(CNCc2ccn(C)c2)cc(Cl)n1 ZINC000797601935 586129528 /nfs/dbraw/zinc/12/95/28/586129528.db2.gz FGFJHMXSZUFLBY-UHFFFAOYSA-N 0 3 249.745 2.672 20 0 BFADHN C(N[C@@H]1CC[C@H]1C1CC1)c1nc2c(s1)CCC2 ZINC000797904211 586133811 /nfs/dbraw/zinc/13/38/11/586133811.db2.gz MOPBSYVIURJBMG-WDEREUQCSA-N 0 3 248.395 2.910 20 0 BFADHN C(N[C@H]1CC[C@@H]1C1CC1)c1nc2c(s1)CCC2 ZINC000797904209 586133860 /nfs/dbraw/zinc/13/38/60/586133860.db2.gz MOPBSYVIURJBMG-MNOVXSKESA-N 0 3 248.395 2.910 20 0 BFADHN Cc1nn(C(C)C)cc1CNC[C@@H]1CCC=CO1 ZINC000798497551 586144294 /nfs/dbraw/zinc/14/42/94/586144294.db2.gz RLALULKYAGGDQM-AWEZNQCLSA-N 0 3 249.358 2.555 20 0 BFADHN Cc1cc(C)cc([C@H](O)CNCc2ccoc2)c1 ZINC000800896513 586235962 /nfs/dbraw/zinc/23/59/62/586235962.db2.gz LRVYPSCZGFGFSY-OAHLLOKOSA-N 0 3 245.322 2.720 20 0 BFADHN Fc1cccc(CN[C@@H]2CC[C@H]2C2CCC2)n1 ZINC000800974709 586238498 /nfs/dbraw/zinc/23/84/98/586238498.db2.gz LYXRSJGGZVDLFC-QWHCGFSZSA-N 0 3 234.318 2.889 20 0 BFADHN O=C(O[C@H]1C=CCCC1)C1CCN(C2CC2)CC1 ZINC000801874257 586275783 /nfs/dbraw/zinc/27/57/83/586275783.db2.gz OBEYNZGEPWTLFL-AWEZNQCLSA-N 0 3 249.354 2.513 20 0 BFADHN CC(C)=CCN1CCC[C@@](O)(c2ccccc2)C1 ZINC000859585326 589047304 /nfs/dbraw/zinc/04/73/04/589047304.db2.gz NLRRSTUNJFDURC-INIZCTEOSA-N 0 3 245.366 2.936 20 0 BFADHN CCCCOC(=O)CC[C@H]1CCCCN1C ZINC000803859572 586377185 /nfs/dbraw/zinc/37/71/85/586377185.db2.gz RJNMFCYDWJTACP-GFCCVEGCSA-N 0 3 227.348 2.594 20 0 BFADHN CCCCOC(=O)CC[C@@H]1CCCCN1C ZINC000803859573 586378019 /nfs/dbraw/zinc/37/80/19/586378019.db2.gz RJNMFCYDWJTACP-LBPRGKRZSA-N 0 3 227.348 2.594 20 0 BFADHN CC[C@@H](C)CCC(=O)OCCN(CC)CC ZINC000803876361 586378800 /nfs/dbraw/zinc/37/88/00/586378800.db2.gz DCXVMSGDXKHAFZ-GFCCVEGCSA-N 0 3 229.364 2.698 20 0 BFADHN CC[C@@H](C)CN(CC)CN1CCC(C)(C)C1=O ZINC000840021075 586382905 /nfs/dbraw/zinc/38/29/05/586382905.db2.gz ADJAKGFZKLRMEY-GFCCVEGCSA-N 0 3 240.391 2.570 20 0 BFADHN CC(C)=CCOC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000808520250 586477132 /nfs/dbraw/zinc/47/71/32/586477132.db2.gz NPAYVVNOELMCNT-FRRDWIJNSA-N 0 3 239.359 2.757 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1ccc(F)nc1 ZINC000809768200 586518465 /nfs/dbraw/zinc/51/84/65/586518465.db2.gz DVBUNAOFNRXILD-ZYHUDNBSSA-N 0 3 222.307 2.984 20 0 BFADHN COc1ccc(CN2CCOCC[C@H]2C)cc1C ZINC000809765263 586518825 /nfs/dbraw/zinc/51/88/25/586518825.db2.gz LTUWEVSAFDPNKR-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1cn[nH]c1CNC(C)(C)c1ccc(F)cc1 ZINC000810141758 586535433 /nfs/dbraw/zinc/53/54/33/586535433.db2.gz JVDOMRUWFODIHU-UHFFFAOYSA-N 0 3 247.317 2.882 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC=CO2)CCC1(F)F ZINC000811512009 586560218 /nfs/dbraw/zinc/56/02/18/586560218.db2.gz BLGSWIHZLJAFQR-GHMZBOCLSA-N 0 3 231.286 2.656 20 0 BFADHN CCN(Cc1cc(C)oc1C)C[C@H](C)OC ZINC000812807341 586587165 /nfs/dbraw/zinc/58/71/65/586587165.db2.gz KKDSRQCSAZXYBQ-NSHDSACASA-N 0 3 225.332 2.753 20 0 BFADHN CCN(Cc1ccc2c(c1)COC2)C[C@@H](C)OC ZINC000812809292 586587325 /nfs/dbraw/zinc/58/73/25/586587325.db2.gz URXQSHWQYLSYPJ-GFCCVEGCSA-N 0 3 249.354 2.574 20 0 BFADHN C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCCN2CCF)C1 ZINC000812842621 586588017 /nfs/dbraw/zinc/58/80/17/586588017.db2.gz NKHLZLSZNSAAOH-RQJABVFESA-N 0 3 243.366 2.608 20 0 BFADHN CCOC1(C)CCN(Cc2ccoc2)CC1 ZINC000814169893 586615356 /nfs/dbraw/zinc/61/53/56/586615356.db2.gz OAFCKJMSUJGXEL-UHFFFAOYSA-N 0 3 223.316 2.671 20 0 BFADHN O[C@H](CN1CC=CCC1)c1cc2ccccc2o1 ZINC000815086752 586666189 /nfs/dbraw/zinc/66/61/89/586666189.db2.gz URCKUNGUVRXJLM-CYBMUJFWSA-N 0 3 243.306 2.728 20 0 BFADHN Cc1cc(CNC[C@@]2(O)CCC[C@@H]2C)c(C)o1 ZINC000815159155 586670876 /nfs/dbraw/zinc/67/08/76/586670876.db2.gz KGEOEENADPFBFM-HZMBPMFUSA-N 0 3 237.343 2.537 20 0 BFADHN Cc1ccc(OCCN2C[C@H](C)[C@H]2C)cc1 ZINC000815163955 586671260 /nfs/dbraw/zinc/67/12/60/586671260.db2.gz SONSUIQJRXTNGH-QWHCGFSZSA-N 0 3 219.328 2.714 20 0 BFADHN C[C@H]1CN(Cc2ccc(CF)cc2)[C@H]1C ZINC000815161866 586671681 /nfs/dbraw/zinc/67/16/81/586671681.db2.gz CUBPPPLRZYLDHX-QWRGUYRKSA-N 0 3 207.292 2.996 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1ccc(CF)cc1 ZINC000815161867 586671798 /nfs/dbraw/zinc/67/17/98/586671798.db2.gz CUBPPPLRZYLDHX-WDEREUQCSA-N 0 3 207.292 2.996 20 0 BFADHN COCC1(N[C@@H](C)c2ccc(F)cc2C)CC1 ZINC000815607596 586688751 /nfs/dbraw/zinc/68/87/51/586688751.db2.gz CQEIFVVNJCGVNP-NSHDSACASA-N 0 3 237.318 2.964 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1cnn(C)c1Cl ZINC000816486996 586735638 /nfs/dbraw/zinc/73/56/38/586735638.db2.gz ZXKJZHGYIWLVFI-LLVKDONJSA-N 0 3 241.766 2.694 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cnn(C)c1Cl ZINC000816573319 586741424 /nfs/dbraw/zinc/74/14/24/586741424.db2.gz VMHAXVHBRVILAY-KOLCDFICSA-N 0 3 241.766 2.694 20 0 BFADHN Cc1nonc1CN[C@H]1CCCC12CCCC2 ZINC000816611312 586743905 /nfs/dbraw/zinc/74/39/05/586743905.db2.gz NFBXWZHKESJFQK-LBPRGKRZSA-N 0 3 235.331 2.581 20 0 BFADHN C[C@H]1CN(CC2=Cc3ccccc3OC2)[C@H]1C ZINC000816646343 586748245 /nfs/dbraw/zinc/74/82/45/586748245.db2.gz CDPFALZXRCYXNE-RYUDHWBXSA-N 0 3 229.323 2.803 20 0 BFADHN Cc1cc(CN2C[C@@H](C)[C@@H]2C)cc(C)c1O ZINC000816647422 586748693 /nfs/dbraw/zinc/74/86/93/586748693.db2.gz RXMLMYFCJHLUBC-NEPJUHHUSA-N 0 3 219.328 2.849 20 0 BFADHN CC[C@H](NC(C)C)C(=O)Nc1ccc(C)cc1 ZINC000816811007 586765420 /nfs/dbraw/zinc/76/54/20/586765420.db2.gz JDVJNKZXUSATQU-ZDUSSCGKSA-N 0 3 234.343 2.710 20 0 BFADHN CC[C@@H](NC(C)C)C(=O)Nc1ccc(C)cc1 ZINC000816811006 586765668 /nfs/dbraw/zinc/76/56/68/586765668.db2.gz JDVJNKZXUSATQU-CYBMUJFWSA-N 0 3 234.343 2.710 20 0 BFADHN CC(C)Cc1nc(-c2ccc(CN)cc2F)no1 ZINC000817866272 586831194 /nfs/dbraw/zinc/83/11/94/586831194.db2.gz WNIMYPDIZMLXFP-UHFFFAOYSA-N 0 3 249.289 2.533 20 0 BFADHN Cc1nc(F)ccc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000818230699 586881101 /nfs/dbraw/zinc/88/11/01/586881101.db2.gz RNWJLLHXRJWIMA-QWHCGFSZSA-N 0 3 234.318 2.807 20 0 BFADHN Cc1cc(NC[C@@H]2CCC[C@@H]2C2CC2)nc(N)n1 ZINC000840356503 586883402 /nfs/dbraw/zinc/88/34/02/586883402.db2.gz JTNIPHWXKKGRKF-NWDGAFQWSA-N 0 3 246.358 2.605 20 0 BFADHN C[C@H](CC(=O)Nc1ccc2c(c1)CNC2)C1CC1 ZINC000818596469 586904799 /nfs/dbraw/zinc/90/47/99/586904799.db2.gz HPYVEHVSPYQGKJ-SNVBAGLBSA-N 0 3 244.338 2.665 20 0 BFADHN CCCCCC[C@H](O)CNCc1ccoc1 ZINC000818974687 586918799 /nfs/dbraw/zinc/91/87/99/586918799.db2.gz DDECICYPRCUVAW-ZDUSSCGKSA-N 0 3 225.332 2.701 20 0 BFADHN Cc1ccc([C@H](O)CN[C@@H](C)c2ccc[nH]2)cc1 ZINC000819194428 586937153 /nfs/dbraw/zinc/93/71/53/586937153.db2.gz RCSSFCQMWOBJKM-SWLSCSKDSA-N 0 3 244.338 2.707 20 0 BFADHN C[C@H](NC[C@H](O)c1ccc(F)cc1)c1ccc[nH]1 ZINC000819194751 586938031 /nfs/dbraw/zinc/93/80/31/586938031.db2.gz TXJPJLUWDROZLP-HZMBPMFUSA-N 0 3 248.301 2.538 20 0 BFADHN C[C@@H](NCCCc1ccncc1)c1ccns1 ZINC000860058098 589077727 /nfs/dbraw/zinc/07/77/27/589077727.db2.gz HBPFCXDWKKAENF-LLVKDONJSA-N 0 3 247.367 2.822 20 0 BFADHN C[C@H](NCCC(C)(F)F)c1ccns1 ZINC000860062578 589078229 /nfs/dbraw/zinc/07/82/29/589078229.db2.gz YQASHBIPPORVJM-ZETCQYMHSA-N 0 3 220.288 2.839 20 0 BFADHN Fc1cccc(CN2CCCC3(CC3)CC2)n1 ZINC000860073691 589079501 /nfs/dbraw/zinc/07/95/01/589079501.db2.gz PDBBSSYDQZGEJT-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN CO[C@@H]1CC[C@@H](N2CCc3cc(F)ccc3C2)C1 ZINC000821402658 587117058 /nfs/dbraw/zinc/11/70/58/587117058.db2.gz WJNOOTGDDPBFOE-HUUCEWRRSA-N 0 3 249.329 2.751 20 0 BFADHN CS[C@H]1CCN(CCSC(F)(F)F)C1 ZINC000821679438 587133652 /nfs/dbraw/zinc/13/36/52/587133652.db2.gz NNTLFVNCFIKFTC-ZETCQYMHSA-N 0 3 245.335 2.677 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H](C)c1ccc[nH]1 ZINC000822464079 587168528 /nfs/dbraw/zinc/16/85/28/587168528.db2.gz KRNAWZCSNGWPOU-JMJZKYOTSA-N 0 3 210.346 2.559 20 0 BFADHN C[C@H](NCc1cc(F)ncc1F)C1(C)CC1 ZINC000823716919 587237592 /nfs/dbraw/zinc/23/75/92/587237592.db2.gz WNPMQHQLNFNBJO-QMMMGPOBSA-N 0 3 226.270 2.638 20 0 BFADHN Fc1cc(CNC23CCC(CC2)C3)c(F)cn1 ZINC000824147786 587258469 /nfs/dbraw/zinc/25/84/69/587258469.db2.gz PMQYVGVYHYTPTJ-UHFFFAOYSA-N 0 3 238.281 2.782 20 0 BFADHN CC[C@H](NCc1cccnc1F)C1CCC1 ZINC000824315343 587265841 /nfs/dbraw/zinc/26/58/41/587265841.db2.gz URPJRUQDXLHKJK-LBPRGKRZSA-N 0 3 222.307 2.889 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1ccnc(Cl)c1F ZINC000824376966 587268313 /nfs/dbraw/zinc/26/83/13/587268313.db2.gz QIYFZLHTKPYILW-CBAPKCEASA-N 0 3 228.698 2.762 20 0 BFADHN CCCC[C@@H](CC)N[C@H]1CCn2ccnc21 ZINC000824525990 587273161 /nfs/dbraw/zinc/27/31/61/587273161.db2.gz GOWSDUMJKDUTCW-NEPJUHHUSA-N 0 3 221.348 2.886 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H]1N[C@H]1CCn2ccnc21 ZINC000824526599 587273481 /nfs/dbraw/zinc/27/34/81/587273481.db2.gz NSZJULFVFVIWSI-AVGNSLFASA-N 0 3 233.359 2.742 20 0 BFADHN c1ccc2c(c1)OCC[C@H]2CN1CC2(CC2)C1 ZINC000824534036 587273784 /nfs/dbraw/zinc/27/37/84/587273784.db2.gz XXZWTHQDDCKANQ-LBPRGKRZSA-N 0 3 229.323 2.649 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](N[C@H]2CCn3ccnc32)C1 ZINC000824533872 587274115 /nfs/dbraw/zinc/27/41/15/587274115.db2.gz RJBURMKIYDJLID-VOAKCMCISA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](N[C@H]2CCn3ccnc32)C1 ZINC000824533871 587274315 /nfs/dbraw/zinc/27/43/15/587274315.db2.gz RJBURMKIYDJLID-MROQNXINSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1cc(F)cnc1Cl ZINC000824544051 587275536 /nfs/dbraw/zinc/27/55/36/587275536.db2.gz SMENETLNYPJKSX-SFYZADRCSA-N 0 3 228.698 2.620 20 0 BFADHN CCC1(NCc2ccc(Cl)nc2)CC1 ZINC000824620359 587279639 /nfs/dbraw/zinc/27/96/39/587279639.db2.gz LMYKPTGWTWFYHY-UHFFFAOYSA-N 0 3 210.708 2.767 20 0 BFADHN CO[C@@H]1CCN(Cc2cc3c(cccc3C)[nH]2)C1 ZINC000824829242 587289156 /nfs/dbraw/zinc/28/91/56/587289156.db2.gz HZMISGRGTWQUAD-CYBMUJFWSA-N 0 3 244.338 2.697 20 0 BFADHN c1cc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)co1 ZINC000824941098 587293992 /nfs/dbraw/zinc/29/39/92/587293992.db2.gz INVRKSPQMAIOBQ-UONOGXRCSA-N 0 3 217.312 2.900 20 0 BFADHN Cn1ccc(CN2CCCCCCCC2)n1 ZINC000824982625 587296644 /nfs/dbraw/zinc/29/66/44/587296644.db2.gz JHCBBSAKZLGYRR-UHFFFAOYSA-N 0 3 221.348 2.576 20 0 BFADHN CCc1nc(CN[C@@H](C)[C@H](C)CC)co1 ZINC000825057882 587298788 /nfs/dbraw/zinc/29/87/88/587298788.db2.gz RCGNTJADNSYWHK-ZJUUUORDSA-N 0 3 210.321 2.761 20 0 BFADHN CCc1nc(CN[C@H]2CC[C@@H](C)C2)co1 ZINC000825056637 587301044 /nfs/dbraw/zinc/30/10/44/587301044.db2.gz IDGIGDJJKCFTMV-ZJUUUORDSA-N 0 3 208.305 2.515 20 0 BFADHN CCC[C@@H](C)CNCc1coc(CC)n1 ZINC000825058364 587301312 /nfs/dbraw/zinc/30/13/12/587301312.db2.gz WRLBDYOMSZZPCN-SNVBAGLBSA-N 0 3 210.321 2.763 20 0 BFADHN CCC[C@@H](CC)NCc1coc(CC)n1 ZINC000825058249 587301363 /nfs/dbraw/zinc/30/13/63/587301363.db2.gz VIQORCIWLJSQGE-SNVBAGLBSA-N 0 3 210.321 2.905 20 0 BFADHN CCCc1ncc(CN[C@@H]2CC[C@@H]2C)o1 ZINC000825084069 587303221 /nfs/dbraw/zinc/30/32/21/587303221.db2.gz BVVNLIZFAWQRFI-GXSJLCMTSA-N 0 3 208.305 2.515 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@@H]3COC[C@@H]32)c(C)o1 ZINC000825332106 587315585 /nfs/dbraw/zinc/31/55/85/587315585.db2.gz SAVCWMJGHJYHJX-KGLIPLIRSA-N 0 3 249.354 2.753 20 0 BFADHN Cc1cc(CN2C[C@]3(C)COC[C@]3(C)C2)c(C)o1 ZINC000827017202 587368011 /nfs/dbraw/zinc/36/80/11/587368011.db2.gz BQYVQRXBVSUSBI-GASCZTMLSA-N 0 3 249.354 2.755 20 0 BFADHN COCCN(CCF)C[C@@H]1C(C)=CCC[C@@H]1C ZINC000827053885 587369147 /nfs/dbraw/zinc/36/91/47/587369147.db2.gz ILGKQSYOKQKWSP-UONOGXRCSA-N 0 3 243.366 2.897 20 0 BFADHN CCc1cc(N(C)Cc2c(C)noc2C)ccn1 ZINC000827377668 587378917 /nfs/dbraw/zinc/37/89/17/587378917.db2.gz BJRGVHLMTDLZGY-UHFFFAOYSA-N 0 3 245.326 2.885 20 0 BFADHN Cc1noc(C)c1CN(C)CC1CC(F)(F)C1 ZINC000827401103 587379809 /nfs/dbraw/zinc/37/98/09/587379809.db2.gz YZFSOHKRCOFWMG-UHFFFAOYSA-N 0 3 244.285 2.769 20 0 BFADHN COCCCN(C)C[C@@]1(C)CC1(Cl)Cl ZINC000827501474 587384134 /nfs/dbraw/zinc/38/41/34/587384134.db2.gz SRHWXQKYYPZQET-SECBINFHSA-N 0 3 240.174 2.539 20 0 BFADHN c1n[nH]cc1C1CCN(CC2=CCCC2)CC1 ZINC000827844755 587405205 /nfs/dbraw/zinc/40/52/05/587405205.db2.gz GBDAFJVNASYJEO-UHFFFAOYSA-N 0 3 231.343 2.699 20 0 BFADHN CC(C)CC(=O)CCN1CCS[C@H](C)[C@@H]1C ZINC000827930495 587410464 /nfs/dbraw/zinc/41/04/64/587410464.db2.gz LIZLIPILBHQLOU-NWDGAFQWSA-N 0 3 243.416 2.818 20 0 BFADHN CC(C)CC(=O)CCN1CCSCC[C@H]1C ZINC000828421283 587441589 /nfs/dbraw/zinc/44/15/89/587441589.db2.gz PCIAWFDLJHHQFS-GFCCVEGCSA-N 0 3 243.416 2.819 20 0 BFADHN FC1(F)CCN(CCC[C@@H]2CCOC2)CC1 ZINC000828456629 587443487 /nfs/dbraw/zinc/44/34/87/587443487.db2.gz GSIBGPSWPVLUAN-LLVKDONJSA-N 0 3 233.302 2.534 20 0 BFADHN O[C@H](CNC1(c2cccc(F)c2)CCC1)C1CC1 ZINC000829706378 587510852 /nfs/dbraw/zinc/51/08/52/587510852.db2.gz DVZPJGDLWONMMX-CQSZACIVSA-N 0 3 249.329 2.565 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccccc1OC1CC1 ZINC000829767722 587514659 /nfs/dbraw/zinc/51/46/59/587514659.db2.gz DFPNEIIKGZSFNC-GFCCVEGCSA-N 0 3 249.354 2.695 20 0 BFADHN C[C@@H]1CN(C[C@]2(C)CC2(Cl)Cl)[C@@H]1C ZINC000830028172 587532751 /nfs/dbraw/zinc/53/27/51/587532751.db2.gz HCVDGBCMGSCWOW-HLTSFMKQSA-N 0 3 222.159 2.911 20 0 BFADHN Cc1ccccc1CCN(C)CCC(=O)C1CC1 ZINC000830391349 587548603 /nfs/dbraw/zinc/54/86/03/587548603.db2.gz QAMOXTPWYZOZMM-UHFFFAOYSA-N 0 3 245.366 2.839 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1cn(C)cn1 ZINC000830520073 587555516 /nfs/dbraw/zinc/55/55/16/587555516.db2.gz OZZFBLUFVZDGHY-GFCCVEGCSA-N 0 3 221.348 2.645 20 0 BFADHN Cc1cnc(CN2CCCC[C@H]2C2CCC2)cn1 ZINC000830989739 587579988 /nfs/dbraw/zinc/57/99/88/587579988.db2.gz YVGWOXUZHJCMCD-HNNXBMFYSA-N 0 3 245.370 2.940 20 0 BFADHN CCN(CCSC)Cc1ccnc(Cl)c1 ZINC000830994322 587580654 /nfs/dbraw/zinc/58/06/54/587580654.db2.gz MDSBHJNEGHILMQ-UHFFFAOYSA-N 0 3 244.791 2.920 20 0 BFADHN C[C@H]1[C@@H](O)CCCN1Cc1ccc(CF)cc1 ZINC000831255558 587593856 /nfs/dbraw/zinc/59/38/56/587593856.db2.gz QMHOWRPPVLVEGL-FZMZJTMJSA-N 0 3 237.318 2.501 20 0 BFADHN CO[C@]1(C)C[C@H](NC/C=C\Cl)C1(C)C ZINC000831338502 587599322 /nfs/dbraw/zinc/59/93/22/587599322.db2.gz JIMPJDJNTMALTG-KHKGIKIOSA-N 0 3 217.740 2.532 20 0 BFADHN C[C@@H](c1nncn1C)N1CCCCCCCC1 ZINC000831937752 587637725 /nfs/dbraw/zinc/63/77/25/587637725.db2.gz VSIBVLKOOGFVOG-LBPRGKRZSA-N 0 3 236.363 2.532 20 0 BFADHN CO[C@@H]1C[C@H](N(C)C/C=C\Cl)C12CCC2 ZINC000832204496 587650348 /nfs/dbraw/zinc/65/03/48/587650348.db2.gz MZDMHBDENJEWER-LEOBQNDHSA-N 0 3 229.751 2.628 20 0 BFADHN C[C@H](CC(=O)Nc1cccc(CN)c1)C1CC1 ZINC000832222897 587651061 /nfs/dbraw/zinc/65/10/61/587651061.db2.gz KHSTZVOSRMSFAU-SNVBAGLBSA-N 0 3 232.327 2.520 20 0 BFADHN Cc1ccc([C@H](O)CN2CC[C@H]2C2CC2)cc1 ZINC000834382296 587753856 /nfs/dbraw/zinc/75/38/56/587753856.db2.gz JJLWYRKBNNDOFQ-LSDHHAIUSA-N 0 3 231.339 2.513 20 0 BFADHN CC[C@@H](NC[C@H](O)CC(C)C)c1ccncc1 ZINC000834548274 587759001 /nfs/dbraw/zinc/75/90/01/587759001.db2.gz MMTUAHSRFGERET-ZIAGYGMSSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccc(Cl)nc1)[C@@H](C)O ZINC000834889320 587769535 /nfs/dbraw/zinc/76/95/35/587769535.db2.gz WCYPDDOFMIVCGT-NGZCFLSTSA-N 0 3 242.750 2.545 20 0 BFADHN CCc1nc(CN[C@@H](CC)C2CC2)co1 ZINC000834898685 587769650 /nfs/dbraw/zinc/76/96/50/587769650.db2.gz BIGOUKXKTQUYGF-NSHDSACASA-N 0 3 208.305 2.515 20 0 BFADHN CCc1nc(CN[C@@H]2CCC[C@H]2CC)co1 ZINC000834901450 587770081 /nfs/dbraw/zinc/77/00/81/587770081.db2.gz VWDHXHKIGDBKOB-ZYHUDNBSSA-N 0 3 222.332 2.905 20 0 BFADHN Cc1occc1CNC[C@@H](O)c1ccccc1F ZINC000840882225 587927884 /nfs/dbraw/zinc/92/78/84/587927884.db2.gz NMJDIGPIZVLUEC-CQSZACIVSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1occc1CN[C@H](C)C[C@@H](O)c1ccco1 ZINC000840908375 587929711 /nfs/dbraw/zinc/92/97/11/587929711.db2.gz WABHMBQKTVSSHM-ZWNOBZJWSA-N 0 3 249.310 2.783 20 0 BFADHN Cc1nc(F)ccc1CN[C@@H]1CS[C@@H](C)C1 ZINC000840957451 587931821 /nfs/dbraw/zinc/93/18/21/587931821.db2.gz BRUKLTDUWAPMPM-KWQFWETISA-N 0 3 240.347 2.513 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cccnc2Cl)CS1 ZINC000840959832 587932680 /nfs/dbraw/zinc/93/26/80/587932680.db2.gz ZTRBNAMWTVMGQT-PSASIEDQSA-N 0 3 242.775 2.719 20 0 BFADHN COC1([C@H](C)NCc2cc(C)oc2C)CCC1 ZINC000840969567 587933372 /nfs/dbraw/zinc/93/33/72/587933372.db2.gz ITCNKPUIOMITRO-LBPRGKRZSA-N 0 3 237.343 2.944 20 0 BFADHN CC[C@H](C)CNc1ccc2c(c1)CNCCO2 ZINC000841083039 587946008 /nfs/dbraw/zinc/94/60/08/587946008.db2.gz QDQWUNYPLBEODV-NSHDSACASA-N 0 3 234.343 2.627 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@H]1C[C@@](C)(O)C1 ZINC000841758155 587974035 /nfs/dbraw/zinc/97/40/35/587974035.db2.gz DMTOVCYRSOARHG-VHRBIJSZSA-N 0 3 237.318 2.698 20 0 BFADHN CCCCCC[C@@H](C)NCc1cnnn1CC ZINC000841854082 587978150 /nfs/dbraw/zinc/97/81/50/587978150.db2.gz JJGCHYBMJXSDAD-GFCCVEGCSA-N 0 3 238.379 2.747 20 0 BFADHN Cc1cc(CN2CCCCCCCC2)n(C)n1 ZINC000841886746 587981385 /nfs/dbraw/zinc/98/13/85/587981385.db2.gz XGUZFGILBCPLQY-UHFFFAOYSA-N 0 3 235.375 2.885 20 0 BFADHN CN(C)CCSCC[C@H]1CC1(Cl)Cl ZINC000842567074 588043172 /nfs/dbraw/zinc/04/31/72/588043172.db2.gz UQJMIMOXFLYCJQ-QMMMGPOBSA-N 0 3 242.215 2.865 20 0 BFADHN CCCC[C@](C)(CO)NCc1cc(C)oc1C ZINC000842745965 588058751 /nfs/dbraw/zinc/05/87/51/588058751.db2.gz IVMDBIGNOVIDDD-CQSZACIVSA-N 0 3 239.359 2.927 20 0 BFADHN C[C@@H](NC[C@H]1C[C@@H]1c1ccccc1)c1ncc[nH]1 ZINC000842900751 588071381 /nfs/dbraw/zinc/07/13/81/588071381.db2.gz KPGORIXIEMHZOF-MRVWCRGKSA-N 0 3 241.338 2.864 20 0 BFADHN C[C@H](NCC1CCC(F)CC1)c1ncc[nH]1 ZINC000842929235 588071994 /nfs/dbraw/zinc/07/19/94/588071994.db2.gz NRQJTUAAXHAKGV-WHXUTIOJSA-N 0 3 225.311 2.589 20 0 BFADHN C[C@@H]1CC[C@@H]1N[C@@H](CCO)c1ccccc1F ZINC000842923811 588071996 /nfs/dbraw/zinc/07/19/96/588071996.db2.gz KNSGJPQSAQCHMN-SWHYSGLUSA-N 0 3 237.318 2.637 20 0 BFADHN CC(C)(C)/C=C/C(=O)Nc1cccc(CN)c1 ZINC000843289292 588097982 /nfs/dbraw/zinc/09/79/82/588097982.db2.gz PYADBLGGXKNZIX-BQYQJAHWSA-N 0 3 232.327 2.686 20 0 BFADHN CCC/C(C)=C\C(=O)NC[C@@H](N)c1ccccc1 ZINC000843337058 588100515 /nfs/dbraw/zinc/10/05/15/588100515.db2.gz KUFQIDHJRIXNQN-GAJOTYCWSA-N 0 3 246.354 2.549 20 0 BFADHN CCCN[C@@H](C)C(=O)N(CC)c1ccc(C)cc1 ZINC000843373674 588105177 /nfs/dbraw/zinc/10/51/77/588105177.db2.gz OXEIKVGLVXBBCU-ZDUSSCGKSA-N 0 3 248.370 2.736 20 0 BFADHN FC1(F)C[C@]12CCCN(C/C=C/Cl)C2 ZINC000844435292 588193366 /nfs/dbraw/zinc/19/33/66/588193366.db2.gz NBBFRUMZXVXMGC-LXOKAJLYSA-N 0 3 221.678 2.860 20 0 BFADHN CC(C)COCCN1CCC[C@@]2(CC2(F)F)C1 ZINC000844441755 588193917 /nfs/dbraw/zinc/19/39/17/588193917.db2.gz SCIFQBIZSYRSAZ-GFCCVEGCSA-N 0 3 247.329 2.780 20 0 BFADHN CC/C=C/CCN1C[C@H]2[C@@H](C1)C2(F)F ZINC000844457099 588196111 /nfs/dbraw/zinc/19/61/11/588196111.db2.gz IZIUCMCRBSIVFW-LDYOUVJNSA-N 0 3 201.260 2.540 20 0 BFADHN C[C@@H](CN1CCCC1)OC(=O)/C=C\C(C)(C)C ZINC000845667078 588240295 /nfs/dbraw/zinc/24/02/95/588240295.db2.gz BIYMFFDTYHUDPI-KRZKBDHCSA-N 0 3 239.359 2.616 20 0 BFADHN C[C@@H](CN1CCCC1)OC(=O)CC1CCCC1 ZINC000845671312 588240522 /nfs/dbraw/zinc/24/05/22/588240522.db2.gz IYASWFHUSRIXOM-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN CC(C)[C@@H](C)C(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845741127 588243454 /nfs/dbraw/zinc/24/34/54/588243454.db2.gz RYHDXROFYMUTIP-NEPJUHHUSA-N 0 3 241.375 2.695 20 0 BFADHN CCc1cccc(CNC[C@@H](O)c2ccco2)c1 ZINC000845921559 588250423 /nfs/dbraw/zinc/25/04/23/588250423.db2.gz OWTITRVHIQRGNX-CQSZACIVSA-N 0 3 245.322 2.665 20 0 BFADHN CCc1cc(CNCc2cccc(CC)c2)n[nH]1 ZINC000845937168 588250655 /nfs/dbraw/zinc/25/06/55/588250655.db2.gz PEMQHBFNJQXSAX-UHFFFAOYSA-N 0 3 243.354 2.824 20 0 BFADHN CC(C)O[C@@H]1CCCN(C[C@H]2CCC=CO2)C1 ZINC000846016489 588253829 /nfs/dbraw/zinc/25/38/29/588253829.db2.gz UJUZOIHHTNGVMV-ZIAGYGMSSA-N 0 3 239.359 2.569 20 0 BFADHN C[C@@H](CCO)N(C)Cc1cc(Cl)cs1 ZINC000846110701 588256877 /nfs/dbraw/zinc/25/68/77/588256877.db2.gz KELYJNSCFIVIAD-QMMMGPOBSA-N 0 3 233.764 2.604 20 0 BFADHN FC1(F)C[C@@]12CCCN(Cc1ccco1)C2 ZINC000846106928 588256977 /nfs/dbraw/zinc/25/69/77/588256977.db2.gz CWFIZRGYMAYFIR-LLVKDONJSA-N 0 3 227.254 2.901 20 0 BFADHN Cc1ccc(CN2C[C@H]3[C@@H](C2)C3(F)F)s1 ZINC000846112395 588257264 /nfs/dbraw/zinc/25/72/64/588257264.db2.gz VSGPNZNXPULCGQ-AOOOYVTPSA-N 0 3 229.295 2.753 20 0 BFADHN FC1(F)C[C@]12CCN(Cc1ccoc1)C2 ZINC000846115974 588257554 /nfs/dbraw/zinc/25/75/54/588257554.db2.gz CQPGUDJKHBZDEY-JTQLQIEISA-N 0 3 213.227 2.511 20 0 BFADHN Cc1cc([C@@H](C)NC2(C3CCC3)CC2)n(C)n1 ZINC000846207581 588260060 /nfs/dbraw/zinc/26/00/60/588260060.db2.gz PVAKXCITYMFABC-LLVKDONJSA-N 0 3 233.359 2.712 20 0 BFADHN CC(C)[C@H](C)N(C)CC1(Br)CC1 ZINC000846768191 588286932 /nfs/dbraw/zinc/28/69/32/588286932.db2.gz WXWZMXQMUJHDMJ-VIFPVBQESA-N 0 3 234.181 2.890 20 0 BFADHN COc1cncc(-c2ccc(CN(C)C)cc2)c1 ZINC000846779919 588287903 /nfs/dbraw/zinc/28/79/03/588287903.db2.gz QTLDCNYTBOYSHV-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN CC[C@H](C)CN(C)CC1(Br)CC1 ZINC000846803983 588289168 /nfs/dbraw/zinc/28/91/68/588289168.db2.gz VLXJHQSDVCDYMN-VIFPVBQESA-N 0 3 234.181 2.892 20 0 BFADHN CC[C@@H](C)CN(C)CC1(Br)CC1 ZINC000846803982 588289371 /nfs/dbraw/zinc/28/93/71/588289371.db2.gz VLXJHQSDVCDYMN-SECBINFHSA-N 0 3 234.181 2.892 20 0 BFADHN CN(Cc1cnc(Cl)c(F)c1)C1CCC1 ZINC000846808411 588289918 /nfs/dbraw/zinc/28/99/18/588289918.db2.gz ZUUIUFDZAROIIV-UHFFFAOYSA-N 0 3 228.698 2.858 20 0 BFADHN CC[C@@H]1CCN(CC2(Br)CC2)C1 ZINC000846814187 588290942 /nfs/dbraw/zinc/29/09/42/588290942.db2.gz PFBOXJFLYIUZNL-SECBINFHSA-N 0 3 232.165 2.646 20 0 BFADHN BrC1(CN2CCCC23CCC3)CC1 ZINC000846880894 588295217 /nfs/dbraw/zinc/29/52/17/588295217.db2.gz ZSNLEVRFLWNEKP-UHFFFAOYSA-N 0 3 244.176 2.932 20 0 BFADHN c1cc(CN2CCC23CCCC3)cc2nonc12 ZINC000847007941 588301354 /nfs/dbraw/zinc/30/13/54/588301354.db2.gz ICTCNIXLZRDRTM-UHFFFAOYSA-N 0 3 243.310 2.741 20 0 BFADHN CC(C)OC(=O)C[C@H](NC/C=C/Cl)C(C)C ZINC000847073583 588305591 /nfs/dbraw/zinc/30/55/91/588305591.db2.gz GWHNVYRPEDQBJG-QRGHLMKCSA-N 0 3 247.766 2.695 20 0 BFADHN CCC[C@H](C)C(=O)OC[C@H](C)N1CCCCC1 ZINC000847960800 588344964 /nfs/dbraw/zinc/34/49/64/588344964.db2.gz QTCMJNGIURCLLX-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H](COC(=O)C1CCCC1)N1CCCCC1 ZINC000847958311 588345362 /nfs/dbraw/zinc/34/53/62/588345362.db2.gz HHKOUYYVCAAJRQ-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN C/C=C(\CC)C(=O)OC[C@H](C)N1CCCCC1 ZINC000847962154 588346061 /nfs/dbraw/zinc/34/60/61/588346061.db2.gz HBAUBLTXYOKPIJ-XUKLUBCTSA-N 0 3 239.359 2.760 20 0 BFADHN CC=CC(=O)Nc1cnccc1NC(CC)CC ZINC000848108424 588356047 /nfs/dbraw/zinc/35/60/47/588356047.db2.gz KQIWYEOQMJTLIY-DAXSKMNVSA-N 0 3 247.342 2.619 20 0 BFADHN CCCCC[C@@H](NC(=O)[C@H](C)NC)C(C)(C)C ZINC000848401132 588378092 /nfs/dbraw/zinc/37/80/92/588378092.db2.gz UYXGYWDVAPWMKH-NWDGAFQWSA-N 0 3 242.407 2.706 20 0 BFADHN CCCCC[C@H](NC(=O)[C@H](C)NC)C(C)(C)C ZINC000848401133 588378154 /nfs/dbraw/zinc/37/81/54/588378154.db2.gz UYXGYWDVAPWMKH-RYUDHWBXSA-N 0 3 242.407 2.706 20 0 BFADHN CC(C)c1ccccc1CNCCn1ccnc1 ZINC000848526363 588393315 /nfs/dbraw/zinc/39/33/15/588393315.db2.gz XYDFIOXYRREGLG-UHFFFAOYSA-N 0 3 243.354 2.796 20 0 BFADHN C[C@H]1CC[C@@H](NCc2cccc(F)n2)CS1 ZINC000848536990 588394788 /nfs/dbraw/zinc/39/47/88/588394788.db2.gz ZBEIJYVRDCTTSC-GXSJLCMTSA-N 0 3 240.347 2.594 20 0 BFADHN CC(C)c1ccccc1CN[C@H]1C[C@@](C)(O)C1 ZINC000848556215 588397270 /nfs/dbraw/zinc/39/72/70/588397270.db2.gz QEXIBGLZROFFQX-OTVXOJSOSA-N 0 3 233.355 2.813 20 0 BFADHN CC[C@@H](NCc1ccoc1)[C@@H]1CCCCO1 ZINC000848575860 588398582 /nfs/dbraw/zinc/39/85/82/588398582.db2.gz WUDPIMBIYGSFGW-OLZOCXBDSA-N 0 3 223.316 2.717 20 0 BFADHN CC[C@](C)(NCc1cncn1C)c1ccccc1 ZINC000107717495 588400934 /nfs/dbraw/zinc/40/09/34/588400934.db2.gz QXDFOLIGZAAINK-HNNXBMFYSA-N 0 3 243.354 2.835 20 0 BFADHN Fc1cccc(N[C@H]2CCCN3CCCC[C@H]23)n1 ZINC000848784235 588413492 /nfs/dbraw/zinc/41/34/92/588413492.db2.gz VLMVBGYMWZZJFY-NWDGAFQWSA-N 0 3 249.333 2.650 20 0 BFADHN CN(Cc1cnc(Cl)n1C)CC1CCCC1 ZINC000848883428 588415717 /nfs/dbraw/zinc/41/57/17/588415717.db2.gz NQYVPNMIBXVXJK-UHFFFAOYSA-N 0 3 241.766 2.696 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnc(Cl)n1C ZINC000848880639 588415988 /nfs/dbraw/zinc/41/59/88/588415988.db2.gz GTPDDOGFTPIYGX-SECBINFHSA-N 0 3 229.755 2.694 20 0 BFADHN COCCN(C)Cc1ccccc1C(C)C ZINC000848881974 588416093 /nfs/dbraw/zinc/41/60/93/588416093.db2.gz VXOQTXGTEMATTO-UHFFFAOYSA-N 0 3 221.344 2.888 20 0 BFADHN CCN(CCC1CC1)Cc1cnc(Cl)n1C ZINC000848902298 588418892 /nfs/dbraw/zinc/41/88/92/588418892.db2.gz CEGYKMUPLQOJQM-UHFFFAOYSA-N 0 3 241.766 2.696 20 0 BFADHN CC(C)c1ccccc1CN(C)[C@H](C)CO ZINC000848910417 588419639 /nfs/dbraw/zinc/41/96/39/588419639.db2.gz INBGKAJTJSYAHB-GFCCVEGCSA-N 0 3 221.344 2.623 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2cnc(Cl)n2C)[C@H]1C ZINC000848917233 588420510 /nfs/dbraw/zinc/42/05/10/588420510.db2.gz DOPDJOQNZXRMCJ-BBBLOLIVSA-N 0 3 241.766 2.550 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2cnc(Cl)n2C)C[C@H]1C ZINC000848917236 588420763 /nfs/dbraw/zinc/42/07/63/588420763.db2.gz DOPDJOQNZXRMCJ-OPRDCNLKSA-N 0 3 241.766 2.550 20 0 BFADHN O[C@@H](CN1C[C@@H]2CCCC[C@@H]21)c1ccccc1F ZINC000849325817 588472912 /nfs/dbraw/zinc/47/29/12/588472912.db2.gz UOKVIWGNYGYIRA-CQDKDKBSSA-N 0 3 249.329 2.734 20 0 BFADHN Cc1c[nH]c(CNCC[C@]2(C)C[C@]2(F)Cl)n1 ZINC000850340281 588537632 /nfs/dbraw/zinc/53/76/32/588537632.db2.gz VOMKYTDMBDKWBM-GHMZBOCLSA-N 0 3 245.729 2.512 20 0 BFADHN Cc1ccc(CNCC2C[C@@H](C)C[C@H](C)C2)nn1 ZINC000850356810 588538536 /nfs/dbraw/zinc/53/85/36/588538536.db2.gz XRACHSSVHMWGHM-RYUDHWBXSA-N 0 3 247.386 2.947 20 0 BFADHN CC(C)N(C)c1ccc(CN[C@@H]2C=CCC2)cn1 ZINC000850358645 588539336 /nfs/dbraw/zinc/53/93/36/588539336.db2.gz IOITWBWLHZYLSI-CQSZACIVSA-N 0 3 245.370 2.734 20 0 BFADHN C1=C[C@H](NCc2cc3ccccc3[nH]2)CC1 ZINC000850358882 588539714 /nfs/dbraw/zinc/53/97/14/588539714.db2.gz MSNDARHPJGLUPK-LBPRGKRZSA-N 0 3 212.296 2.976 20 0 BFADHN CCCn1nc(C)c(CN[C@H]2C=CCC2)c1C ZINC000850359174 588539786 /nfs/dbraw/zinc/53/97/86/588539786.db2.gz ZOFDSQWQFRCLIT-ZDUSSCGKSA-N 0 3 233.359 2.718 20 0 BFADHN Cc1cc(CN[C@H]2COC3(CCC3)C2)c(C)o1 ZINC000850369665 588540911 /nfs/dbraw/zinc/54/09/11/588540911.db2.gz IMFQRIODSAJJHV-CYBMUJFWSA-N 0 3 235.327 2.698 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@H](C)O2)c(C)o1 ZINC000850379407 588541936 /nfs/dbraw/zinc/54/19/36/588541936.db2.gz OADHRNBRSWQZIC-HZMBPMFUSA-N 0 3 237.343 2.944 20 0 BFADHN C[C@]1(CCNCc2ccoc2)CC1(F)F ZINC000850380966 588542470 /nfs/dbraw/zinc/54/24/70/588542470.db2.gz RTYSCPYRLBVKOA-JTQLQIEISA-N 0 3 215.243 2.805 20 0 BFADHN CC[C@H]1COC[C@@H]1NCc1ccc(C)c(OC)c1 ZINC000850383168 588542514 /nfs/dbraw/zinc/54/25/14/588542514.db2.gz MUALVYYWCFLHMZ-KBPBESRZSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1cc(CN[C@@H]2[C@@H]3CCC[C@@H]32)cnc1Cl ZINC000850393828 588543595 /nfs/dbraw/zinc/54/35/95/588543595.db2.gz XLARRSCGKRICHV-ZSBIGDGJSA-N 0 3 236.746 2.932 20 0 BFADHN Cc1ncc(CNC[C@@H]2C(C)(C)C2(F)F)s1 ZINC000850393742 588543605 /nfs/dbraw/zinc/54/36/05/588543605.db2.gz GGTPGFPVHQDTCA-SECBINFHSA-N 0 3 246.326 2.832 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1cc(C(F)(F)F)n[nH]1 ZINC000850399852 588544145 /nfs/dbraw/zinc/54/41/45/588544145.db2.gz SJABHCKYTSIMJF-SNVBAGLBSA-N 0 3 247.264 2.707 20 0 BFADHN CC(C)CC1(NCc2ccc(F)nc2)CC1 ZINC000850404267 588544276 /nfs/dbraw/zinc/54/42/76/588544276.db2.gz DKVOLVHNUOIWAA-UHFFFAOYSA-N 0 3 222.307 2.889 20 0 BFADHN Cc1ncc(CNCCCc2ccco2)s1 ZINC000850407916 588544288 /nfs/dbraw/zinc/54/42/88/588544288.db2.gz SGSXMMMVUZLYTO-UHFFFAOYSA-N 0 3 236.340 2.767 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000850419522 588544957 /nfs/dbraw/zinc/54/49/57/588544957.db2.gz CAUMRUZEEHXPBB-NEPJUHHUSA-N 0 3 249.354 2.697 20 0 BFADHN C[C@H](Cc1ccco1)N[C@@H]1CCCn2nccc21 ZINC000850426307 588545359 /nfs/dbraw/zinc/54/53/59/588545359.db2.gz YCVAIOFNYXKMSN-DGCLKSJQSA-N 0 3 245.326 2.532 20 0 BFADHN Fc1ncccc1CN[C@H]1CCCSCC1 ZINC000850465661 588547872 /nfs/dbraw/zinc/54/78/72/588547872.db2.gz CXTYPQSBGOTXJZ-NSHDSACASA-N 0 3 240.347 2.596 20 0 BFADHN C[C@@H](N[C@@H]1CCn2ccnc21)C(C)(C)C(F)F ZINC000850472009 588549012 /nfs/dbraw/zinc/54/90/12/588549012.db2.gz VUXATROOHVAGMY-RKDXNWHRSA-N 0 3 243.301 2.597 20 0 BFADHN CCCCC[C@H](C)N[C@H]1C[C@@H](C)n2ncnc21 ZINC000850498996 588552015 /nfs/dbraw/zinc/55/20/15/588552015.db2.gz HQALMZZRFFLBKL-TUAOUCFPSA-N 0 3 236.363 2.842 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2C=CCC2)c1 ZINC000850507277 588553089 /nfs/dbraw/zinc/55/30/89/588553089.db2.gz DWFSFLQHXAPKQA-WCQYABFASA-N 0 3 202.301 2.759 20 0 BFADHN COc1ccc([C@@H](C)N[C@H]2C=CCC2)cc1O ZINC000850510490 588555515 /nfs/dbraw/zinc/55/55/15/588555515.db2.gz XDHMNNMWQZUUSG-PWSUYJOCSA-N 0 3 233.311 2.770 20 0 BFADHN CC(C)[C@@H](N[C@@H](C)CCO)c1ccccc1F ZINC000850516619 588555767 /nfs/dbraw/zinc/55/57/67/588555767.db2.gz GAPDZPYIJIYROG-SMDDNHRTSA-N 0 3 239.334 2.883 20 0 BFADHN COC(C)(C)[C@H](C)N[C@H]1C[C@H](O)c2ccccc21 ZINC000850524832 588556894 /nfs/dbraw/zinc/55/68/94/588556894.db2.gz DSAPWIRLIPBLLJ-BPNCWPANSA-N 0 3 249.354 2.568 20 0 BFADHN C[C@@H](NCCCC1CCC1)c1ncc[nH]1 ZINC000850535820 588558565 /nfs/dbraw/zinc/55/85/65/588558565.db2.gz BYYGVWGYWYFPIT-SNVBAGLBSA-N 0 3 207.321 2.641 20 0 BFADHN CC1(C)C[C@@]1(C)N[C@H]1CCCc2n[nH]cc21 ZINC000850554085 588560195 /nfs/dbraw/zinc/56/01/95/588560195.db2.gz BDNDEOWNNZSSMF-GXFFZTMASA-N 0 3 219.332 2.565 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCc2cc(C)ccc21 ZINC000850571325 588560977 /nfs/dbraw/zinc/56/09/77/588560977.db2.gz PSMXSHCRBHSEOA-KFWWJZLASA-N 0 3 231.339 2.749 20 0 BFADHN CC(C)CC1(N[C@H](C)c2ncc[nH]2)CC1 ZINC000850567219 588561333 /nfs/dbraw/zinc/56/13/33/588561333.db2.gz YGVKBWJQSXCWTL-SNVBAGLBSA-N 0 3 207.321 2.639 20 0 BFADHN CCc1nc([C@@H](C)N2CCCCCCC2)n[nH]1 ZINC000851715117 588615566 /nfs/dbraw/zinc/61/55/66/588615566.db2.gz XJPPKWPZRCMRLR-LLVKDONJSA-N 0 3 236.363 2.694 20 0 BFADHN Cc1cc(CN2CCCCCCC2)nc(C)n1 ZINC000851714173 588615678 /nfs/dbraw/zinc/61/56/78/588615678.db2.gz NFSZFURHTAJWGC-UHFFFAOYSA-N 0 3 233.359 2.860 20 0 BFADHN CC[C@@H]1CCCN(Cc2cccc(F)n2)C1 ZINC000851719938 588616661 /nfs/dbraw/zinc/61/66/61/588616661.db2.gz WKDCQBZADWZXON-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN Cc1ccccc1[C@@H](C)N(C)C[C@@H]1CCC(=O)O1 ZINC000851733991 588619757 /nfs/dbraw/zinc/61/97/57/588619757.db2.gz MADAXIBKZARTLS-OLZOCXBDSA-N 0 3 247.338 2.693 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1cccc(F)n1 ZINC000851735611 588620441 /nfs/dbraw/zinc/62/04/41/588620441.db2.gz ZDUMCGKDAXYCED-GFCCVEGCSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1Cc1cccc(F)n1 ZINC000851763804 588624424 /nfs/dbraw/zinc/62/44/24/588624424.db2.gz FMWNVLKDRKGICJ-PHIMTYICSA-N 0 3 222.307 2.984 20 0 BFADHN CCN(Cc1cccc(F)n1)C1CCC1 ZINC000851778275 588626915 /nfs/dbraw/zinc/62/69/15/588626915.db2.gz DQLLKGAFYUADAY-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN FC(F)OCCN1CCC2(CCCC2)CC1 ZINC000851779096 588626957 /nfs/dbraw/zinc/62/69/57/588626957.db2.gz QGYLAPSRAGCZBX-UHFFFAOYSA-N 0 3 233.302 2.882 20 0 BFADHN FC1(F)C[C@@H]1OCCN1CCC2(CCCC2)C1 ZINC000851790551 588629018 /nfs/dbraw/zinc/62/90/18/588629018.db2.gz LFYNRVMTWJMRLD-NSHDSACASA-N 0 3 245.313 2.677 20 0 BFADHN Fc1cccc(CN2CCC3(CCCC3)C2)n1 ZINC000851790839 588629314 /nfs/dbraw/zinc/62/93/14/588629314.db2.gz PQPOSCPNBVYMAK-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN CCC[C@H]1CCCN(CCOC(F)F)C1 ZINC000851807375 588631613 /nfs/dbraw/zinc/63/16/13/588631613.db2.gz DENBIFRRMLMDTQ-JTQLQIEISA-N 0 3 221.291 2.738 20 0 BFADHN CCC[C@H]1CCCN(C[C@@H](O)c2ccccn2)C1 ZINC000851808503 588631826 /nfs/dbraw/zinc/63/18/26/588631826.db2.gz OBDRUUNTHLMBMP-DZGCQCFKSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)CCOC(F)F ZINC000851842178 588634239 /nfs/dbraw/zinc/63/42/39/588634239.db2.gz QJTLCQIPKWHOSH-VIFPVBQESA-N 0 3 245.269 2.624 20 0 BFADHN COc1cccc(SCCN2CC[C@H]3C[C@H]32)c1 ZINC000851877998 588638955 /nfs/dbraw/zinc/63/89/55/588638955.db2.gz YEJMAWXASRHBFX-SMDDNHRTSA-N 0 3 249.379 2.882 20 0 BFADHN FC(F)[C@@H]1CCN(Cc2ccsc2)C1 ZINC000851881130 588639586 /nfs/dbraw/zinc/63/95/86/588639586.db2.gz JEGCKTLYQHLELR-SECBINFHSA-N 0 3 217.284 2.835 20 0 BFADHN c1c[nH]c([C@H]2CCCN2C[C@@H]2C[C@H]3C[C@H]3C2)n1 ZINC000851887674 588640393 /nfs/dbraw/zinc/64/03/93/588640393.db2.gz SYFIFWGTBQDVFJ-YVECIDJPSA-N 0 3 231.343 2.593 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cccc(F)n1 ZINC000851889590 588640884 /nfs/dbraw/zinc/64/08/84/588640884.db2.gz CPYMGHFUGPGHJD-JQWIXIFHSA-N 0 3 222.307 2.984 20 0 BFADHN CC[C@H](NC[C@@H]1CCC(=O)O1)c1ccc(C)cc1 ZINC000851892733 588641300 /nfs/dbraw/zinc/64/13/00/588641300.db2.gz LPFDEQCOZXWRLZ-KBPBESRZSA-N 0 3 247.338 2.741 20 0 BFADHN CO[C@@H]1CC[C@@H](N[C@@H](C)c2c(C)noc2C)C1 ZINC000851902851 588641957 /nfs/dbraw/zinc/64/19/57/588641957.db2.gz OPVDTQRXARVYLM-XXILOJSOSA-N 0 3 238.331 2.510 20 0 BFADHN CC(C)(NCCOC(F)F)c1cccc(F)c1 ZINC000851904090 588641960 /nfs/dbraw/zinc/64/19/60/588641960.db2.gz UMHLKFDCZJMFBD-UHFFFAOYSA-N 0 3 247.260 2.890 20 0 BFADHN CC[C@H](NCc1cnon1)C1CCCCC1 ZINC000851905570 588642049 /nfs/dbraw/zinc/64/20/49/588642049.db2.gz DJCXDUDLOAIWBL-LBPRGKRZSA-N 0 3 223.320 2.518 20 0 BFADHN CCC[C@@H](NCc1cnon1)C1CCCCC1 ZINC000851907390 588642318 /nfs/dbraw/zinc/64/23/18/588642318.db2.gz UEHRMECYYSRUJW-CYBMUJFWSA-N 0 3 237.347 2.908 20 0 BFADHN CCC[C@H](NCc1cnon1)C1CCCCC1 ZINC000851907393 588642573 /nfs/dbraw/zinc/64/25/73/588642573.db2.gz UEHRMECYYSRUJW-ZDUSSCGKSA-N 0 3 237.347 2.908 20 0 BFADHN CO[C@@H](CN1CCC[C@](C)(F)C1)C1CCC1 ZINC000852096279 588662115 /nfs/dbraw/zinc/66/21/15/588662115.db2.gz KDSZYEMVPUDQGM-STQMWFEESA-N 0 3 229.339 2.626 20 0 BFADHN CCN(C[C@@H](O)c1ccccn1)C1CC(C)(C)C1 ZINC000852175680 588669394 /nfs/dbraw/zinc/66/93/94/588669394.db2.gz JOIBLWBMEBHTLI-CQSZACIVSA-N 0 3 248.370 2.626 20 0 BFADHN CC(C)=CCCN1C[C@H]2[C@@H](C1)C2(F)F ZINC000852212625 588672631 /nfs/dbraw/zinc/67/26/31/588672631.db2.gz DMLCGBKLSNDVAC-AOOOYVTPSA-N 0 3 201.260 2.540 20 0 BFADHN C[C@H](NC[Si](C)(C)C)c1cscn1 ZINC000852417813 588681618 /nfs/dbraw/zinc/68/16/18/588681618.db2.gz NZKMHBBJULGPKJ-QMMMGPOBSA-N 0 3 214.410 2.671 20 0 BFADHN COCCN(CCF)C[C@@H](C)CC(C)C ZINC000852479678 588683275 /nfs/dbraw/zinc/68/32/75/588683275.db2.gz JDSNNUADWSKEBQ-LBPRGKRZSA-N 0 3 219.344 2.587 20 0 BFADHN COCCN(CCF)CCC1CCCCC1 ZINC000852482401 588684661 /nfs/dbraw/zinc/68/46/61/588684661.db2.gz GWUWNGIRKFUSEC-UHFFFAOYSA-N 0 3 231.355 2.875 20 0 BFADHN Cc1oncc1CN1CCC[C@H](CCF)C1 ZINC000852533185 588688368 /nfs/dbraw/zinc/68/83/68/588688368.db2.gz QISURSQTJFWTNZ-LLVKDONJSA-N 0 3 226.295 2.555 20 0 BFADHN Cc1oncc1CN[C@H](C)C1CCC(F)CC1 ZINC000852650381 588694084 /nfs/dbraw/zinc/69/40/84/588694084.db2.gz KFPOOBCWIZUHSG-CBZQXFMTSA-N 0 3 240.322 2.989 20 0 BFADHN CCCCC[C@@H]1CCCCN1CC[S@@](C)=O ZINC000852712494 588697148 /nfs/dbraw/zinc/69/71/48/588697148.db2.gz QLDWTRMZNVQGEW-CZUORRHYSA-N 0 3 245.432 2.800 20 0 BFADHN CN(CCF)C[C@@H]1CCc2ccccc21 ZINC000852724979 588697862 /nfs/dbraw/zinc/69/78/62/588697862.db2.gz SGHVYDNOPXBVFJ-LBPRGKRZSA-N 0 3 207.292 2.618 20 0 BFADHN CN(Cc1ccc(F)cn1)C[C@H]1CC1(C)C ZINC000852771137 588701372 /nfs/dbraw/zinc/70/13/72/588701372.db2.gz CFQLMSWSYZBKAJ-SNVBAGLBSA-N 0 3 222.307 2.699 20 0 BFADHN CCC/C=C\C(=O)Nc1cccc(CNC)c1 ZINC000852793307 588702980 /nfs/dbraw/zinc/70/29/80/588702980.db2.gz WTBGKBKLJFCEMA-UITAMQMPSA-N 0 3 232.327 2.701 20 0 BFADHN Cc1ncc(CN2[C@H](C)CCC[C@H]2C)o1 ZINC000862212119 589194510 /nfs/dbraw/zinc/19/45/10/589194510.db2.gz QAJGMIYSUQZBPX-NXEZZACHSA-N 0 3 208.305 2.746 20 0 BFADHN Cc1c(CN2CCC[C@@H]2C2CC2)ccnc1F ZINC000862239082 589196176 /nfs/dbraw/zinc/19/61/76/589196176.db2.gz UUXSGDQPCWPWTL-CYBMUJFWSA-N 0 3 234.318 2.904 20 0 BFADHN Cc1ncc(CN2CCC3(CCCC3)C2)o1 ZINC000862242934 589196943 /nfs/dbraw/zinc/19/69/43/589196943.db2.gz UUNKYBYISNMDRC-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN Cc1c(CN[C@H]2CCS[C@@H]2C)ccnc1F ZINC000862399742 589209301 /nfs/dbraw/zinc/20/93/01/589209301.db2.gz BDXBVVBDUAZYNH-KOLCDFICSA-N 0 3 240.347 2.513 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC=CO2)C[C@@H](C)C1(F)F ZINC000862442554 589213181 /nfs/dbraw/zinc/21/31/81/589213181.db2.gz IHQHZGKAKIVCDL-UTUOFQBUSA-N 0 3 245.313 2.902 20 0 BFADHN Cc1ccc(CN2CCCC3(CC3)CC2)nn1 ZINC000862539378 589218180 /nfs/dbraw/zinc/21/81/80/589218180.db2.gz NNYPGCDLIFELCY-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN Fc1ccc(CN2CCCC3(CC3)CC2)cn1 ZINC000862538539 589218340 /nfs/dbraw/zinc/21/83/40/589218340.db2.gz BEAGACFYJJRVCT-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cncnc2)C1 ZINC000862550272 589220851 /nfs/dbraw/zinc/22/08/51/589220851.db2.gz KQLAYRLROMTGGX-XQJDBVBESA-N 0 3 231.343 2.655 20 0 BFADHN C/C=C/CNC[C@@H](O)c1cccc(Cl)c1 ZINC000863581661 589301416 /nfs/dbraw/zinc/30/14/16/589301416.db2.gz DTXVRKRKDYHJSL-QAVQXKDTSA-N 0 3 225.719 2.539 20 0 BFADHN C/C=C\CNC[C@H](O)c1ccc(Cl)c(F)c1 ZINC000863582577 589301812 /nfs/dbraw/zinc/30/18/12/589301812.db2.gz ODVJHBQJICXOOC-DLGQBQFBSA-N 0 3 243.709 2.678 20 0 BFADHN Cc1ccc(F)cc1-c1cnn(CCN(C)C)c1 ZINC000863725342 589313209 /nfs/dbraw/zinc/31/32/09/589313209.db2.gz PJUZWMZVGBNWPQ-UHFFFAOYSA-N 0 3 247.317 2.559 20 0 BFADHN CCOc1cc(F)cc(CNc2nccn2C)c1 ZINC000865293058 589420114 /nfs/dbraw/zinc/42/01/14/589420114.db2.gz XSZZBQWQUXLLAR-UHFFFAOYSA-N 0 3 249.289 2.570 20 0 BFADHN COC[C@H](C)NCc1cccc(Cl)c1C ZINC000865397461 589432028 /nfs/dbraw/zinc/43/20/28/589432028.db2.gz FVYPGBQRAISCCW-VIFPVBQESA-N 0 3 227.735 2.773 20 0 BFADHN COCCNCc1cccc(OC(C)(C)C)c1 ZINC000865401093 589432856 /nfs/dbraw/zinc/43/28/56/589432856.db2.gz HBNAKCRGYTWKCZ-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1cnc(Cl)c(F)c1 ZINC000865413916 589434336 /nfs/dbraw/zinc/43/43/36/589434336.db2.gz CWXDTWJCXVZHAN-VXNVDRBHSA-N 0 3 228.698 2.620 20 0 BFADHN Cc1c(Cl)cccc1CNCCn1cccn1 ZINC000865424985 589434776 /nfs/dbraw/zinc/43/47/76/589434776.db2.gz INEBTHCQWMXUOV-UHFFFAOYSA-N 0 3 249.745 2.635 20 0 BFADHN CCC1(NCc2cn(C3CC3)cn2)CCC1 ZINC000865481429 589440478 /nfs/dbraw/zinc/44/04/78/589440478.db2.gz QQWLFBGBCDYUJP-UHFFFAOYSA-N 0 3 219.332 2.640 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cn(C2CC2)cn1 ZINC000865490370 589440917 /nfs/dbraw/zinc/44/09/17/589440917.db2.gz TZFUEQBHESTXCZ-FZMZJTMJSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1cn(C2CC2)cn1 ZINC000865552479 589445638 /nfs/dbraw/zinc/44/56/38/589445638.db2.gz MFVMADSBNREPSS-RYUDHWBXSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@]1(NCc2cn(C3CC3)cn2)CC=CCC1 ZINC000865601387 589450532 /nfs/dbraw/zinc/45/05/32/589450532.db2.gz RBFSWWDOQXGFEF-CQSZACIVSA-N 0 3 231.343 2.806 20 0 BFADHN Cc1cc(C)c(/C=C\CN[C@@H]2CC[C@H]2O)c(C)c1 ZINC000865608897 589451033 /nfs/dbraw/zinc/45/10/33/589451033.db2.gz DQIQGWUVCJKFGH-KSLSASGISA-N 0 3 245.366 2.738 20 0 BFADHN c1nc(CNC2(C3CCC3)CC2)cn1C1CC1 ZINC000865618173 589451566 /nfs/dbraw/zinc/45/15/66/589451566.db2.gz ZYLPMUVQVPBLBR-UHFFFAOYSA-N 0 3 231.343 2.640 20 0 BFADHN CC[C@H](NCc1cc2c(cc[nH]c2=O)o1)C1CC1 ZINC000865628261 589452435 /nfs/dbraw/zinc/45/24/35/589452435.db2.gz NTEMDJCMALTFDY-LBPRGKRZSA-N 0 3 246.310 2.812 20 0 BFADHN CN(C)c1ccc(F)cc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000865632526 589452466 /nfs/dbraw/zinc/45/24/66/589452466.db2.gz WZRVSCXTNFQNSX-NHAGDIPZSA-N 0 3 248.345 2.780 20 0 BFADHN c1nc(CNC[C@@H]2CCCC23CC3)cn1C1CC1 ZINC000865645752 589454238 /nfs/dbraw/zinc/45/42/38/589454238.db2.gz POTIDPQYYMYOPX-LBPRGKRZSA-N 0 3 245.370 2.888 20 0 BFADHN CCn1nc(C)c(CNCCC(C)(C)CC)n1 ZINC000865679488 589455888 /nfs/dbraw/zinc/45/58/88/589455888.db2.gz UYBQUZRBDMGYDG-UHFFFAOYSA-N 0 3 238.379 2.522 20 0 BFADHN Cc1cnccc1CN[C@@H]1CCCC[C@@H]1F ZINC000865697387 589457116 /nfs/dbraw/zinc/45/71/16/589457116.db2.gz ZSXYLZVOQKTLPI-QWHCGFSZSA-N 0 3 222.307 2.760 20 0 BFADHN Fc1cc(CN[C@]23C[C@H]2CCCC3)ccn1 ZINC000865702937 589457355 /nfs/dbraw/zinc/45/73/55/589457355.db2.gz AORQFYFWZUBQOC-DGCLKSJQSA-N 0 3 220.291 2.643 20 0 BFADHN COc1ccsc1CN[C@H]1C[C@H](SC)C1 ZINC000865734189 589458996 /nfs/dbraw/zinc/45/89/96/589458996.db2.gz NDXCGGZVHSXKRG-KYZUINATSA-N 0 3 243.397 2.740 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@H](SC)C2)o1 ZINC000865734136 589459261 /nfs/dbraw/zinc/45/92/61/589459261.db2.gz KDQNAWMEEMNQLY-OTCDBFHCSA-N 0 3 225.357 2.826 20 0 BFADHN CS[C@H]1C[C@H](NCc2cccnc2Cl)C1 ZINC000865734456 589459367 /nfs/dbraw/zinc/45/93/67/589459367.db2.gz ZFKFUOIFEIDRAN-MGCOHNPYSA-N 0 3 242.775 2.719 20 0 BFADHN Cc1ncccc1CNC(C)(C)[C@H]1CCCCO1 ZINC000865745084 589460309 /nfs/dbraw/zinc/46/03/09/589460309.db2.gz FATOKXOEXWHSGG-CQSZACIVSA-N 0 3 248.370 2.827 20 0 BFADHN CC(C)(NCc1cccc(O)c1)[C@H]1CCCCO1 ZINC000865746122 589460373 /nfs/dbraw/zinc/46/03/73/589460373.db2.gz LWVSHQIKBDIMCA-CQSZACIVSA-N 0 3 249.354 2.830 20 0 BFADHN Cc1ncccc1CNC(C)(C)[C@@H]1CCCCO1 ZINC000865745082 589460485 /nfs/dbraw/zinc/46/04/85/589460485.db2.gz FATOKXOEXWHSGG-AWEZNQCLSA-N 0 3 248.370 2.827 20 0 BFADHN CC(C)(NCc1ccccn1)[C@@H]1CCCCO1 ZINC000865747970 589460827 /nfs/dbraw/zinc/46/08/27/589460827.db2.gz XBXNQFXSMLOAEL-ZDUSSCGKSA-N 0 3 234.343 2.519 20 0 BFADHN CN1Cc2ccccc2[C@H]1CNCc1ccco1 ZINC000865807522 589462500 /nfs/dbraw/zinc/46/25/00/589462500.db2.gz TWTJIBUSMCOWPZ-OAHLLOKOSA-N 0 3 242.322 2.556 20 0 BFADHN c1coc(CNC2([C@H]3CCCCO3)CCC2)c1 ZINC000865857191 589465323 /nfs/dbraw/zinc/46/53/23/589465323.db2.gz NUONDLCGHYDCKI-CYBMUJFWSA-N 0 3 235.327 2.861 20 0 BFADHN CC[C@@H](C[C@@H](C)O)NCc1cc(C)oc1C ZINC000865872056 589466233 /nfs/dbraw/zinc/46/62/33/589466233.db2.gz IOBALXZNCPJYFW-RNCFNFMXSA-N 0 3 225.332 2.536 20 0 BFADHN CCc1cc(N2CC[C@H]3CSC[C@H]3C2)ccn1 ZINC000866202248 589479919 /nfs/dbraw/zinc/47/99/19/589479919.db2.gz TVMUVEOKOUJBSF-NWDGAFQWSA-N 0 3 248.395 2.833 20 0 BFADHN C[C@H](CCc1cccnc1)NCc1nccs1 ZINC000866238107 589482256 /nfs/dbraw/zinc/48/22/56/589482256.db2.gz MDFJTRAGWADMDS-LLVKDONJSA-N 0 3 247.367 2.649 20 0 BFADHN CCC[C@H](N[C@H]1CCN(C)C1)c1cc(C)ccn1 ZINC000866260718 589483508 /nfs/dbraw/zinc/48/35/08/589483508.db2.gz WMNLNSWLLYMLSH-KBPBESRZSA-N 0 3 247.386 2.525 20 0 BFADHN COCC1(N[C@H]2CCCc3c(F)cccc32)CC1 ZINC000866323431 589486223 /nfs/dbraw/zinc/48/62/23/589486223.db2.gz IBHAJLCWSBOMKO-AWEZNQCLSA-N 0 3 249.329 2.972 20 0 BFADHN c1cnc2c(c1)[C@H](NC[C@H]1CCC=CO1)CCC2 ZINC000866343597 589487342 /nfs/dbraw/zinc/48/73/42/589487342.db2.gz LRGGEDVGPVXSSX-IUODEOHRSA-N 0 3 244.338 2.741 20 0 BFADHN CC(C)[C@H](NCC1=CCOCC1)c1ccccn1 ZINC000866366372 589488184 /nfs/dbraw/zinc/48/81/84/589488184.db2.gz LVORVEQFQYYYIN-HNNXBMFYSA-N 0 3 246.354 2.715 20 0 BFADHN C[C@H]1CCc2ccc(F)cc2[C@H]1N[C@H]1C[C@@H](O)C1 ZINC000866368621 589488500 /nfs/dbraw/zinc/48/85/00/589488500.db2.gz DRBYMAIUDYTPCQ-WTKQLKIWSA-N 0 3 249.329 2.562 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H](c2ccccn2)C(C)C)C1 ZINC000866370329 589488647 /nfs/dbraw/zinc/48/86/47/589488647.db2.gz VQPXXMZPQJVONQ-GUTXKFCHSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@@]1(O)C[C@H](N[C@@H]2CCCc3c(F)cccc32)C1 ZINC000866375785 589488849 /nfs/dbraw/zinc/48/88/49/589488849.db2.gz FKZZLXZSIRHSAH-KMUNFCNLSA-N 0 3 249.329 2.706 20 0 BFADHN C[C@@H]1CCc2ccc(F)cc2[C@@H]1NC/C=C/CO ZINC000866386331 589489260 /nfs/dbraw/zinc/48/92/60/589489260.db2.gz CFYOBPMUASZOJV-OMHDXBGXSA-N 0 3 249.329 2.587 20 0 BFADHN COc1ccc2c(c1)CC[C@H]2N[C@@H]1C=CCC1 ZINC000866389898 589489592 /nfs/dbraw/zinc/48/95/92/589489592.db2.gz XNBUXGOGGUXZQW-IUODEOHRSA-N 0 3 229.323 2.991 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2nc(C)cs2)C1 ZINC000866487685 589496766 /nfs/dbraw/zinc/49/67/66/589496766.db2.gz FHOXRRJYSUKTED-GUBZILKMSA-N 0 3 242.413 2.996 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1noc2ccc(F)cc12 ZINC000866510690 589498522 /nfs/dbraw/zinc/49/85/22/589498522.db2.gz IDPFPSVGWOCEOC-KWQFWETISA-N 0 3 234.274 2.855 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C1CCOCC1)c1ccco1 ZINC000866536659 589499371 /nfs/dbraw/zinc/49/93/71/589499371.db2.gz GIZOGHJLLZBWTL-UBHSHLNASA-N 0 3 249.354 2.993 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1nn(C)cc1C(F)F ZINC000866566820 589500843 /nfs/dbraw/zinc/50/08/43/589500843.db2.gz HPCLCSOXDGMSCZ-WCBMZHEXSA-N 0 3 243.301 2.636 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@@H]2CSC[C@H]2C1 ZINC000866584039 589501642 /nfs/dbraw/zinc/50/16/42/589501642.db2.gz WTZKONVMOFRTNO-MRVWCRGKSA-N 0 3 248.395 2.828 20 0 BFADHN C[C@@H](NCC[C@@H](O)C1CCCC1)c1ccco1 ZINC000866636761 589506580 /nfs/dbraw/zinc/50/65/80/589506580.db2.gz OQOKOANXHWQAMO-DGCLKSJQSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](NCC[C@@H](O)C1CCCC1)c1ccccn1 ZINC000866638847 589507009 /nfs/dbraw/zinc/50/70/09/589507009.db2.gz TZGDBTBIMQEXHJ-SWLSCSKDSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H](NCC[C@H](O)C1CCCC1)c1ccccn1 ZINC000866638845 589507252 /nfs/dbraw/zinc/50/72/52/589507252.db2.gz TZGDBTBIMQEXHJ-DOMZBBRYSA-N 0 3 248.370 2.673 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCS2)c(C)o1 ZINC000121680515 589524883 /nfs/dbraw/zinc/52/48/83/589524883.db2.gz YGQATRLHRGBKRG-LBPRGKRZSA-N 0 3 225.357 2.882 20 0 BFADHN CC1(C)[C@H](N)c2ccccc2N1C(=O)C1CCC1 ZINC000867991947 589542601 /nfs/dbraw/zinc/54/26/01/589542601.db2.gz UOAGQMUJSSDJPK-CYBMUJFWSA-N 0 3 244.338 2.612 20 0 BFADHN C/C(=C\C(=O)Nc1cc(F)cc(CN)c1)C1CC1 ZINC000868068638 589547732 /nfs/dbraw/zinc/54/77/32/589547732.db2.gz YEZNQDZKISFLEF-RUDMXATFSA-N 0 3 248.301 2.579 20 0 BFADHN Cc1cc(C[C@@H](C)NCc2cc(C)oc2C)n[nH]1 ZINC000122209811 589565681 /nfs/dbraw/zinc/56/56/81/589565681.db2.gz KRHBGNGYANRFPE-SECBINFHSA-N 0 3 247.342 2.649 20 0 BFADHN Cc1cc(C[C@@H](C)NCc2cc(C)oc2C)[nH]n1 ZINC000122209811 589565683 /nfs/dbraw/zinc/56/56/83/589565683.db2.gz KRHBGNGYANRFPE-SECBINFHSA-N 0 3 247.342 2.649 20 0 BFADHN c1c(CNCCC2CCC2)nc2ccccn12 ZINC000123014899 589651800 /nfs/dbraw/zinc/65/18/00/589651800.db2.gz AANIOFDDJMNUEW-UHFFFAOYSA-N 0 3 229.327 2.614 20 0 BFADHN C[C@@H]1CC[C@H](C)N1C[C@H](O)c1ccccc1F ZINC000123180666 589671650 /nfs/dbraw/zinc/67/16/50/589671650.db2.gz IVENYRLIANYXDF-WDMOLILDSA-N 0 3 237.318 2.732 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)c1csc(C)c1 ZINC000870553791 589675599 /nfs/dbraw/zinc/67/55/99/589675599.db2.gz RPCJZWHKOKJCES-LLVKDONJSA-N 0 3 241.356 2.554 20 0 BFADHN CCCCCC(=O)N1CCN(C)C(C)(C)CC1 ZINC000871151898 589739616 /nfs/dbraw/zinc/73/96/16/589739616.db2.gz FOGHDMQSSFHRLE-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1nn(C)cc1CNCc1c(C)cccc1C ZINC000123661756 589764648 /nfs/dbraw/zinc/76/46/48/589764648.db2.gz LRKFVFNCNBFTRN-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN C[C@H](NCCC[C@H]1C=CCC1)c1cnccn1 ZINC000871932259 589833912 /nfs/dbraw/zinc/83/39/12/589833912.db2.gz SNXQGWPQFHXMEO-STQMWFEESA-N 0 3 231.343 2.874 20 0 BFADHN CCCCN[C@H](C)c1cc(O)ccc1F ZINC000872017191 589856077 /nfs/dbraw/zinc/85/60/77/589856077.db2.gz VZCBEKGKYZNUJL-SECBINFHSA-N 0 3 211.280 2.982 20 0 BFADHN CC[C@H](NCc1nccn1C)C(C)(C)CC ZINC000872032182 589857246 /nfs/dbraw/zinc/85/72/46/589857246.db2.gz PXKRHGWTZBNHFM-NSHDSACASA-N 0 3 223.364 2.725 20 0 BFADHN COCC[C@@H](C)[NH2+][C@@H](C)c1cccc([O-])c1F ZINC000872031637 589857589 /nfs/dbraw/zinc/85/75/89/589857589.db2.gz RRUNWFCSXWHZIY-ZJUUUORDSA-N 0 3 241.306 2.607 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1cccc(O)c1F ZINC000872038036 589858891 /nfs/dbraw/zinc/85/88/91/589858891.db2.gz KGERFRYDLJRWQU-UWVGGRQHSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@@H](NCC1(C)CC1)c1cc(O)ccc1F ZINC000872048978 589860390 /nfs/dbraw/zinc/86/03/90/589860390.db2.gz OIULTOPHVFAHEA-SECBINFHSA-N 0 3 223.291 2.982 20 0 BFADHN C[C@@H](N[C@H]1CCSC1)c1cc(O)ccc1F ZINC000872047919 589860831 /nfs/dbraw/zinc/86/08/31/589860831.db2.gz GXXNAWQAPKVDMB-BDAKNGLRSA-N 0 3 241.331 2.687 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1cc(O)ccc1F ZINC000872051421 589861561 /nfs/dbraw/zinc/86/15/61/589861561.db2.gz IDZBNZGONIQGHT-BDAKNGLRSA-N 0 3 243.347 2.933 20 0 BFADHN CC[C@H](CN[C@H](C)c1cccc(O)c1F)OC ZINC000872072909 589864898 /nfs/dbraw/zinc/86/48/98/589864898.db2.gz LLCBJHJUVRQUEW-NXEZZACHSA-N 0 3 241.306 2.607 20 0 BFADHN CC[C@H](NC[C@H]1CC(C)(C)CO1)c1ccncc1 ZINC000872106250 589872827 /nfs/dbraw/zinc/87/28/27/589872827.db2.gz QJTMGJXAMUZGCM-KGLIPLIRSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H](NCCC[C@H]1C=CCC1)c1nccn1C ZINC000872105745 589872976 /nfs/dbraw/zinc/87/29/76/589872976.db2.gz BGOQMEKEVDHKTJ-OLZOCXBDSA-N 0 3 233.359 2.817 20 0 BFADHN CC[C@H](NC[C@@H]1CC(C)(C)CO1)c1ccncc1 ZINC000872106249 589873023 /nfs/dbraw/zinc/87/30/23/589873023.db2.gz QJTMGJXAMUZGCM-KBPBESRZSA-N 0 3 248.370 2.937 20 0 BFADHN c1cn2c(n1)[C@H](NCCC[C@@H]1C=CCC1)CC2 ZINC000872107703 589873240 /nfs/dbraw/zinc/87/32/40/589873240.db2.gz REHYSHJFNFKPCE-CHWSQXEVSA-N 0 3 231.343 2.664 20 0 BFADHN CCC[C@@H](NC[C@H]1C[C@H](O)C1)c1cc(C)ccn1 ZINC000872125424 589876056 /nfs/dbraw/zinc/87/60/56/589876056.db2.gz BOTADOPEEJRRIE-MGPQQGTHSA-N 0 3 248.370 2.592 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](C)c1nccnc1C ZINC000872131910 589877746 /nfs/dbraw/zinc/87/77/46/589877746.db2.gz AULWFYQNDMJOCL-IJLUTSLNSA-N 0 3 219.332 2.624 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@H]1NCc1nonc1C ZINC000872135261 589878453 /nfs/dbraw/zinc/87/84/53/589878453.db2.gz CXGFOZLWTUPZGF-YUSALJHKSA-N 0 3 237.347 2.682 20 0 BFADHN CCC[C@@H](NCc1nonc1C)[C@@H]1CC1(C)C ZINC000872136692 589879248 /nfs/dbraw/zinc/87/92/48/589879248.db2.gz MSVXRUXXVWLGND-WDEREUQCSA-N 0 3 237.347 2.682 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@@H]1NCc1nonc1C ZINC000872135960 589879318 /nfs/dbraw/zinc/87/93/18/589879318.db2.gz JSRLLGCTTFMWGD-JLLWLGSASA-N 0 3 237.347 2.682 20 0 BFADHN ClC(Cl)=CCN[C@]12CCC[C@H]1OCC2 ZINC000872316770 589910597 /nfs/dbraw/zinc/91/05/97/589910597.db2.gz UXTQPUSIJFABQM-SCZZXKLOSA-N 0 3 236.142 2.607 20 0 BFADHN Cc1csc(CNC[C@@H](C)C2CC2)n1 ZINC000124320145 589974727 /nfs/dbraw/zinc/97/47/27/589974727.db2.gz JAXBUBLPIFRGLO-MRVPVSSYSA-N 0 3 210.346 2.587 20 0 BFADHN Cc1cc(CNCCC[C@@H]2C=CCC2)nn1C ZINC000873154181 589987625 /nfs/dbraw/zinc/98/76/25/589987625.db2.gz QWZSOKSUGUHXPW-CYBMUJFWSA-N 0 3 233.359 2.565 20 0 BFADHN CCn1nccc1CNCCC[C@H]1C=CCC1 ZINC000873154242 589987869 /nfs/dbraw/zinc/98/78/69/589987869.db2.gz SHZPFQJCWHRTRW-ZDUSSCGKSA-N 0 3 233.359 2.739 20 0 BFADHN Cc1occc1CNC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000873156612 589988123 /nfs/dbraw/zinc/98/81/23/589988123.db2.gz XHLDEKDACYZQPB-KWCYVHTRSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1cc(CNC[C@@H]2C[C@H]3CCC[C@@H]3O2)c(C)o1 ZINC000873155150 589988417 /nfs/dbraw/zinc/98/84/17/589988417.db2.gz DCZWXIVMDOPECW-SNPRPXQTSA-N 0 3 249.354 2.944 20 0 BFADHN Cc1cc(CNC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c(C)o1 ZINC000873155149 589988716 /nfs/dbraw/zinc/98/87/16/589988716.db2.gz DCZWXIVMDOPECW-QEJZJMRPSA-N 0 3 249.354 2.944 20 0 BFADHN Cc1cc(CNCCC[C@@H]2C=CCC2)n(C)n1 ZINC000873154442 589988787 /nfs/dbraw/zinc/98/87/87/589988787.db2.gz WXMKJYGKQALXIW-CYBMUJFWSA-N 0 3 233.359 2.565 20 0 BFADHN CC(C)OC1(CNCc2ccco2)CCC1 ZINC000873167259 589989070 /nfs/dbraw/zinc/98/90/70/589989070.db2.gz QIGUENAZFAHOHD-UHFFFAOYSA-N 0 3 223.316 2.717 20 0 BFADHN C[C@H](CC1CCC1)N[C@H](C)c1ncc[nH]1 ZINC000124792874 590085261 /nfs/dbraw/zinc/08/52/61/590085261.db2.gz DOLKAKYVFZEKOL-NXEZZACHSA-N 0 3 207.321 2.639 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccc(F)cc1)c1ccoc1 ZINC000124911183 590098019 /nfs/dbraw/zinc/09/80/19/590098019.db2.gz XPFPOLGXIXVEBM-YGRLFVJLSA-N 0 3 249.285 2.803 20 0 BFADHN CC[C@H]1CCN(C[C@H](O)c2cccc(F)c2)C1 ZINC000125145022 590126259 /nfs/dbraw/zinc/12/62/59/590126259.db2.gz OJUCRBQJYHXIEO-FZMZJTMJSA-N 0 3 237.318 2.591 20 0 BFADHN c1nc(SCCN2CCCC2)n2ccccc12 ZINC000875345446 590163043 /nfs/dbraw/zinc/16/30/43/590163043.db2.gz HDPLIOOMZIPAAC-UHFFFAOYSA-N 0 3 247.367 2.522 20 0 BFADHN CC(=O)Oc1ccc(CN2CCC(C)CC2)cc1 ZINC000125517445 590167290 /nfs/dbraw/zinc/16/72/90/590167290.db2.gz UPHGPVCULQCXHD-UHFFFAOYSA-N 0 3 247.338 2.844 20 0 BFADHN Cc1ccc(N2CCN(CC3CC3)CC2)cc1C ZINC000125729725 590185603 /nfs/dbraw/zinc/18/56/03/590185603.db2.gz XSSFBRHHZTZKEL-UHFFFAOYSA-N 0 3 244.382 2.835 20 0 BFADHN Cc1ccc(NC(=O)[C@H]2C[C@H](C)CCN2)c(C)c1 ZINC000125990908 590202381 /nfs/dbraw/zinc/20/23/81/590202381.db2.gz GZYYGNYVACDYLI-BXUZGUMPSA-N 0 3 246.354 2.630 20 0 BFADHN CC/C=C(/F)C(=O)Nc1cccc(CNC)c1 ZINC000876509206 590205188 /nfs/dbraw/zinc/20/51/88/590205188.db2.gz CLSQGSIXZAMACK-LFYBBSHMSA-N 0 3 236.290 2.608 20 0 BFADHN CC/C=C(/F)C(=O)Nc1ccc2c(c1)CNCC2 ZINC000876531414 590210146 /nfs/dbraw/zinc/21/01/46/590210146.db2.gz FPOAPHMWHBZRAQ-QLKAYGNNSA-N 0 3 248.301 2.534 20 0 BFADHN CC/C=C(\F)C(=O)Nc1ccc2c(c1)CNCC2 ZINC000876531413 590210287 /nfs/dbraw/zinc/21/02/87/590210287.db2.gz FPOAPHMWHBZRAQ-DXNYSGJVSA-N 0 3 248.301 2.534 20 0 BFADHN CSC(C)(C)CCN1C[C@H](C)OC[C@H]1C ZINC000876597597 590216680 /nfs/dbraw/zinc/21/66/80/590216680.db2.gz PAYJZLHARCDBLF-MNOVXSKESA-N 0 3 231.405 2.627 20 0 BFADHN CSC(C)(C)CCN1C[C@@H](C)OC[C@H]1C ZINC000876597594 590220280 /nfs/dbraw/zinc/22/02/80/590220280.db2.gz PAYJZLHARCDBLF-GHMZBOCLSA-N 0 3 231.405 2.627 20 0 BFADHN CCCn1cc(CN(CC(C)C)C(C)C)nn1 ZINC000876612550 590221785 /nfs/dbraw/zinc/22/17/85/590221785.db2.gz PHCYSGVFJSJXTI-UHFFFAOYSA-N 0 3 238.379 2.555 20 0 BFADHN CC[C@](C)(CN(C)Cc1c(C)noc1C)OC ZINC000876611567 590221991 /nfs/dbraw/zinc/22/19/91/590221991.db2.gz KCHUXHYIHIKYNC-CYBMUJFWSA-N 0 3 240.347 2.538 20 0 BFADHN CO[C@@H]1CCN(Cc2csc(C)c2C)C1 ZINC000876751357 590237682 /nfs/dbraw/zinc/23/76/82/590237682.db2.gz XDVYVQDAOWWYQX-GFCCVEGCSA-N 0 3 225.357 2.586 20 0 BFADHN CSC(C)(C)CCN1CCOCC12CCC2 ZINC000876750158 590237766 /nfs/dbraw/zinc/23/77/66/590237766.db2.gz QQORJVYBEIRURN-UHFFFAOYSA-N 0 3 243.416 2.773 20 0 BFADHN CCN(CCCO)Cc1cccc(Cl)c1C ZINC000876766707 590239528 /nfs/dbraw/zinc/23/95/28/590239528.db2.gz QJBDHSRSQMWLOV-UHFFFAOYSA-N 0 3 241.762 2.853 20 0 BFADHN Cc1c(Cl)cccc1CN1CC[C@](C)(O)C1 ZINC000876790648 590241488 /nfs/dbraw/zinc/24/14/88/590241488.db2.gz AENPXPHWZNCJPQ-ZDUSSCGKSA-N 0 3 239.746 2.605 20 0 BFADHN Clc1ccc(CNC2CCCCC2)nn1 ZINC000876876891 590252225 /nfs/dbraw/zinc/25/22/25/590252225.db2.gz KPPSNRSSSKMFHU-UHFFFAOYSA-N 0 3 225.723 2.552 20 0 BFADHN CC(C)[C@@H]1CCN1C[C@H]1CC1(Cl)Cl ZINC000876880103 590252924 /nfs/dbraw/zinc/25/29/24/590252924.db2.gz ZOXXKNWCLCCTTK-BDAKNGLRSA-N 0 3 222.159 2.911 20 0 BFADHN CC1(NCc2ccc(Cl)nn2)CCCC1 ZINC000876899183 590255487 /nfs/dbraw/zinc/25/54/87/590255487.db2.gz SFVHCBOYFPIKIT-UHFFFAOYSA-N 0 3 225.723 2.552 20 0 BFADHN COc1cnc(F)c(CNCCCC(C)C)c1 ZINC000876915046 590256325 /nfs/dbraw/zinc/25/63/25/590256325.db2.gz KORXIWMTOMHXEX-UHFFFAOYSA-N 0 3 240.322 2.755 20 0 BFADHN C[C@H]1CCC[C@H](NCc2ccc(Cl)nn2)C1 ZINC000876916056 590256890 /nfs/dbraw/zinc/25/68/90/590256890.db2.gz JGLXCEMLYOXNRE-UWVGGRQHSA-N 0 3 239.750 2.798 20 0 BFADHN C[C@H](CNCc1nc(Cl)cs1)C1CC1 ZINC000876967328 590262368 /nfs/dbraw/zinc/26/23/68/590262368.db2.gz FFSGWHXQTOOPPW-SSDOTTSWSA-N 0 3 230.764 2.932 20 0 BFADHN CCCC(C)(C)NCc1ccc(Cl)nn1 ZINC000877009657 590268932 /nfs/dbraw/zinc/26/89/32/590268932.db2.gz VESSBMQROAJUCC-UHFFFAOYSA-N 0 3 227.739 2.798 20 0 BFADHN C[C@@H](CNCc1ccc(Cl)nn1)C(C)(C)C ZINC000877042251 590273658 /nfs/dbraw/zinc/27/36/58/590273658.db2.gz NRHHXHISXQZFHH-VIFPVBQESA-N 0 3 241.766 2.902 20 0 BFADHN CSCC[C@@H](C)NCc1cc(C2CC2)no1 ZINC000877056557 590275766 /nfs/dbraw/zinc/27/57/66/590275766.db2.gz VLQJDWUNZFITQS-SECBINFHSA-N 0 3 240.372 2.783 20 0 BFADHN FCCCNCc1cc2c(ccnc2Cl)o1 ZINC000877091227 590281104 /nfs/dbraw/zinc/28/11/04/590281104.db2.gz DSIDVSXOTIKVPY-UHFFFAOYSA-N 0 3 242.681 2.930 20 0 BFADHN CCCC1(CNCc2ccc(Cl)nn2)CC1 ZINC000877092993 590281454 /nfs/dbraw/zinc/28/14/54/590281454.db2.gz CFVMOOHVVWAVPS-UHFFFAOYSA-N 0 3 239.750 2.800 20 0 BFADHN COc1ccc(CNC[C@@H]2CCCCO2)c(C)c1 ZINC000877110362 590286829 /nfs/dbraw/zinc/28/68/29/590286829.db2.gz RTVFKYQZBDRKAW-HNNXBMFYSA-N 0 3 249.354 2.662 20 0 BFADHN Cc1ccc(CCNCc2cccc(F)n2)cc1 ZINC000877114980 590287798 /nfs/dbraw/zinc/28/77/98/590287798.db2.gz GBIYDUSJWBKERR-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN Cc1c(Cl)cccc1CN(C)C1CC(O)C1 ZINC000877344827 590318629 /nfs/dbraw/zinc/31/86/29/590318629.db2.gz PBAALIVPDPBEMB-UHFFFAOYSA-N 0 3 239.746 2.604 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2ccc(Cl)nn2)C1 ZINC000877491570 590339858 /nfs/dbraw/zinc/33/98/58/590339858.db2.gz MNOLLMKHPWPXTR-VHSXEESVSA-N 0 3 239.750 2.656 20 0 BFADHN CO[C@@](C)(CN1CCC(F)(F)CC1)C1CC1 ZINC000877492582 590340202 /nfs/dbraw/zinc/34/02/02/590340202.db2.gz MIRDHJCTUNMKGT-NSHDSACASA-N 0 3 233.302 2.533 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2ccc(Cl)nn2)C1 ZINC000877491567 590340422 /nfs/dbraw/zinc/34/04/22/590340422.db2.gz MNOLLMKHPWPXTR-NXEZZACHSA-N 0 3 239.750 2.656 20 0 BFADHN COc1cnc(F)c(CN[C@H]2CCC2(C)C)c1 ZINC000877521875 590346737 /nfs/dbraw/zinc/34/67/37/590346737.db2.gz JTXVIEKOBAFOJE-NSHDSACASA-N 0 3 238.306 2.508 20 0 BFADHN c1ccc(N2CC[C@H](CN3CC4(CC4)C3)C2)cc1 ZINC000877556460 590357721 /nfs/dbraw/zinc/35/77/21/590357721.db2.gz RRTSCWZGBGQXKP-CQSZACIVSA-N 0 3 242.366 2.609 20 0 BFADHN c1ccc(N2CC[C@@H](CN3CC4(CC4)C3)C2)cc1 ZINC000877556459 590358216 /nfs/dbraw/zinc/35/82/16/590358216.db2.gz RRTSCWZGBGQXKP-AWEZNQCLSA-N 0 3 242.366 2.609 20 0 BFADHN Cc1cc(CNC[C@H]2CC2(Cl)Cl)ccn1 ZINC000877558299 590358466 /nfs/dbraw/zinc/35/84/66/590358466.db2.gz CHZOVDUMCGSBEH-SNVBAGLBSA-N 0 3 245.153 2.673 20 0 BFADHN COc1c(C)cnc(CN2CC[C@@H]2C2CC2)c1C ZINC000877561285 590360107 /nfs/dbraw/zinc/36/01/07/590360107.db2.gz DPDCYKBRAWVZNG-CQSZACIVSA-N 0 3 246.354 2.691 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1ccc(Cl)nn1 ZINC000877569776 590362389 /nfs/dbraw/zinc/36/23/89/590362389.db2.gz ZMJUJHOPYXKSQR-ONGXEEELSA-N 0 3 239.750 2.512 20 0 BFADHN Clc1csc(CN[C@@H]2C[C@H]3C[C@H]3C2)n1 ZINC000877600668 590371717 /nfs/dbraw/zinc/37/17/17/590371717.db2.gz QHSMEPZKLYSWSH-RNLVFQAGSA-N 0 3 228.748 2.685 20 0 BFADHN CC[C@](C)(CNCc1noc2ccccc12)OC ZINC000877619857 590375821 /nfs/dbraw/zinc/37/58/21/590375821.db2.gz ARJOGJVYJYXXAD-CQSZACIVSA-N 0 3 248.326 2.733 20 0 BFADHN COc1cccc(C)c1CN[C@@H]1C=CCC1 ZINC000877624173 590376630 /nfs/dbraw/zinc/37/66/30/590376630.db2.gz MAMIAYOVHDCEFV-GFCCVEGCSA-N 0 3 217.312 2.812 20 0 BFADHN Fc1ccc(CNC2CSC2)c2ncccc12 ZINC000877634624 590379698 /nfs/dbraw/zinc/37/96/98/590379698.db2.gz OMTJREFWWYORQC-UHFFFAOYSA-N 0 3 248.326 2.579 20 0 BFADHN CC(C)CC1(NCc2ccc(Cl)nn2)CC1 ZINC000877638697 590381727 /nfs/dbraw/zinc/38/17/27/590381727.db2.gz LWWHSNHGSBMOAB-UHFFFAOYSA-N 0 3 239.750 2.798 20 0 BFADHN CSC(C)(C)CCN[C@H](C)c1nccn1C ZINC000877639976 590382109 /nfs/dbraw/zinc/38/21/09/590382109.db2.gz HRMJBBMNEKEIMD-SNVBAGLBSA-N 0 3 241.404 2.602 20 0 BFADHN Fc1cccc2cc(CN3C[C@@H]4C[C@@H]4C3)cnc12 ZINC000877651619 590385166 /nfs/dbraw/zinc/38/51/66/590385166.db2.gz ZAQPQVKWFRKUIW-BETUJISGSA-N 0 3 242.297 2.826 20 0 BFADHN CC(C)(F)CNC[C@@H]1CC1(Cl)Cl ZINC000877663827 590388898 /nfs/dbraw/zinc/38/88/98/590388898.db2.gz ZWJUYGDGAWRBCL-LURJTMIESA-N 0 3 214.111 2.518 20 0 BFADHN CC[C@@H](C)[C@H](CN[C@@H]1CCCC[C@@H]1F)OC ZINC000877681746 590390624 /nfs/dbraw/zinc/39/06/24/590390624.db2.gz BVVNHQVUEVFERD-XQHKEYJVSA-N 0 3 231.355 2.918 20 0 BFADHN CSC(C)(C)CCNCc1ccnc(F)c1 ZINC000877697410 590394213 /nfs/dbraw/zinc/39/42/13/590394213.db2.gz LKBWUSIDXDBSNJ-UHFFFAOYSA-N 0 3 242.363 2.842 20 0 BFADHN CS[C@H]1C[C@H](NCc2ccc3c(n2)CCC3)C1 ZINC000877700582 590394646 /nfs/dbraw/zinc/39/46/46/590394646.db2.gz RHFNLECQRQQKLH-JOCQHMNTSA-N 0 3 248.395 2.554 20 0 BFADHN Cc1cnc(CN2C[C@@H]3CC=CC[C@@H]3C2)s1 ZINC000877718607 590399261 /nfs/dbraw/zinc/39/92/61/590399261.db2.gz NLMNTOPEOPHJCM-TXEJJXNPSA-N 0 3 234.368 2.850 20 0 BFADHN COC[C@H](C)CNCc1ccc(C(C)(C)C)o1 ZINC000877734949 590403741 /nfs/dbraw/zinc/40/37/41/590403741.db2.gz LAOHQYWQTDROMA-LLVKDONJSA-N 0 3 239.359 2.949 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3CSC[C@H]3C2)nc1 ZINC000877737098 590404355 /nfs/dbraw/zinc/40/43/55/590404355.db2.gz CRJGMDWQVXDMKV-CHWSQXEVSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1cnccc1CN1CC[C@@H]2CSC[C@H]2C1 ZINC000877738448 590405697 /nfs/dbraw/zinc/40/56/97/590405697.db2.gz NYIZILJQOMRWEX-ZIAGYGMSSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1ccncc1CN1CC[C@H]2CSC[C@H]2C1 ZINC000877738530 590407379 /nfs/dbraw/zinc/40/73/79/590407379.db2.gz OKNVMKSXJQMZHU-GXTWGEPZSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1occc1CN1CCC[C@@H](C(C)(C)O)C1 ZINC000877795519 590424159 /nfs/dbraw/zinc/42/41/59/590424159.db2.gz NESLZEFDYJSXOU-CYBMUJFWSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1ccoc1CN1CCC[C@H](C(C)(C)O)C1 ZINC000877797273 590424995 /nfs/dbraw/zinc/42/49/95/590424995.db2.gz SEVBLKUQFTZDGS-LBPRGKRZSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1ncc(CNCCC[C@H]2C=CCC2)o1 ZINC000877807222 590426374 /nfs/dbraw/zinc/42/63/74/590426374.db2.gz VZQKGWLAOZGMCN-LBPRGKRZSA-N 0 3 220.316 2.819 20 0 BFADHN Cn1ccc(CN2CC[C@](C)(C(F)(F)F)C2)c1 ZINC000877811993 590427116 /nfs/dbraw/zinc/42/71/16/590427116.db2.gz DTIMGDDVXMHZDS-NSHDSACASA-N 0 3 246.276 2.799 20 0 BFADHN C[C@@]1(C(F)(F)F)CCN(CC2=CCCOC2)C1 ZINC000877812414 590427603 /nfs/dbraw/zinc/42/76/03/590427603.db2.gz PIJLDDWXFSUBFU-LLVKDONJSA-N 0 3 249.276 2.607 20 0 BFADHN CC(C)CCN1CCO[C@@H](C(F)(F)F)CC1 ZINC000877821377 590428395 /nfs/dbraw/zinc/42/83/95/590428395.db2.gz XGZGBMNFYIDDCZ-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN CN(CCCOC(F)(F)F)Cc1ccco1 ZINC000877906540 590444358 /nfs/dbraw/zinc/44/43/58/590444358.db2.gz RQUYDHQTOBMCCE-UHFFFAOYSA-N 0 3 237.221 2.638 20 0 BFADHN CC(C)[C@H](O)CCN(C)Cc1ccsc1 ZINC000877921221 590447631 /nfs/dbraw/zinc/44/76/31/590447631.db2.gz HMVAXGZDDJFPIX-GFCCVEGCSA-N 0 3 227.373 2.587 20 0 BFADHN CC(C)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC000877929801 590450299 /nfs/dbraw/zinc/45/02/99/590450299.db2.gz NMDOKKMBURPXAP-UHFFFAOYSA-N 0 3 236.403 2.593 20 0 BFADHN C=C/C=C/CCN1CCC(c2nc(C)no2)CC1 ZINC000877991320 590467174 /nfs/dbraw/zinc/46/71/74/590467174.db2.gz OXTOCGSSLXTRAA-SNAWJCMRSA-N 0 3 247.342 2.690 20 0 BFADHN CCN(Cc1nocc1C)[C@H](C)C(C)C ZINC000878000999 590469986 /nfs/dbraw/zinc/46/99/86/590469986.db2.gz PDGSQOQCICDZPK-LLVKDONJSA-N 0 3 210.321 2.849 20 0 BFADHN C[C@@H](CN1CCN(C2CC2)CC1)CC(C)(C)C ZINC000878001165 590470310 /nfs/dbraw/zinc/47/03/10/590470310.db2.gz REIRHKWYPDIECT-CYBMUJFWSA-N 0 3 238.419 2.839 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1ccc(Cl)nc1 ZINC000126870718 590478187 /nfs/dbraw/zinc/47/81/87/590478187.db2.gz VIHPVXZHZNODLB-KOLCDFICSA-N 0 3 242.750 2.547 20 0 BFADHN COCC(C)(C)NCc1ccc(C)c(Cl)n1 ZINC000878045414 590480485 /nfs/dbraw/zinc/48/04/85/590480485.db2.gz ZIMSRNNWNOYNRA-UHFFFAOYSA-N 0 3 242.750 2.558 20 0 BFADHN C=C/C=C/CCN1C[C@@H](CC)O[C@@H](C)C1 ZINC000878093622 590488150 /nfs/dbraw/zinc/48/81/50/590488150.db2.gz IHISOWJWQJRPIE-VFZNBBLXSA-N 0 3 209.333 2.618 20 0 BFADHN C=C/C=C\CCN1C[C@@H](CC)O[C@@H](C)C1 ZINC000878093620 590491683 /nfs/dbraw/zinc/49/16/83/590491683.db2.gz IHISOWJWQJRPIE-ASOISWSRSA-N 0 3 209.333 2.618 20 0 BFADHN Fc1ccc2c(c1)CC[C@H]2NCc1ccncc1 ZINC000126907408 590494118 /nfs/dbraw/zinc/49/41/18/590494118.db2.gz BXTGJHMNZQRSMA-OAHLLOKOSA-N 0 3 242.297 2.998 20 0 BFADHN Cc1conc1CN1CCCC[C@H]1C(C)C ZINC000878113093 590497399 /nfs/dbraw/zinc/49/73/99/590497399.db2.gz SMCXHRGCMGDVQH-ZDUSSCGKSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@@H]1CSCCN1C[C@@H]1CCC(C)(C)CO1 ZINC000878120402 590499131 /nfs/dbraw/zinc/49/91/31/590499131.db2.gz HPTXUAOLNMIFNO-NEPJUHHUSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1conc1CN1C[C@@H](C)CCC[C@@H]1C ZINC000878128277 590501095 /nfs/dbraw/zinc/50/10/95/590501095.db2.gz AKCNKCXIFFFSBP-JQWIXIFHSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@@H](CN(C)Cc1cnccn1)CC(C)(C)C ZINC000878171094 590515076 /nfs/dbraw/zinc/51/50/76/590515076.db2.gz ZCASUSZLBYQYTM-GFCCVEGCSA-N 0 3 235.375 2.981 20 0 BFADHN C[C@H]1OCCN(CC2CC3(CCC3)C2)[C@@H]1C ZINC000878197203 590522757 /nfs/dbraw/zinc/52/27/57/590522757.db2.gz KTECGSAHVRLQGJ-VXGBXAGGSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@H](NCCCF)c1cccnc1Cl ZINC000126967609 590525871 /nfs/dbraw/zinc/52/58/71/590525871.db2.gz FOSDNAVQRAFZKW-QMMMGPOBSA-N 0 3 216.687 2.745 20 0 BFADHN C[C@@H](NCCCF)c1cccnc1Cl ZINC000126967867 590526075 /nfs/dbraw/zinc/52/60/75/590526075.db2.gz FOSDNAVQRAFZKW-MRVPVSSYSA-N 0 3 216.687 2.745 20 0 BFADHN C=C/C=C/CCN1CCO[C@@H](CCC)C1 ZINC000878306633 590534903 /nfs/dbraw/zinc/53/49/03/590534903.db2.gz COFBADFASUTPNV-GFUIURDCSA-N 0 3 209.333 2.620 20 0 BFADHN C=C/C=C\CCN(C)[C@H]1CCCc2c1cnn2C ZINC000878289584 590535589 /nfs/dbraw/zinc/53/55/89/590535589.db2.gz BSAWYZOABYKTGY-GXMNPTEKSA-N 0 3 245.370 2.862 20 0 BFADHN CN(CCCC1CC1)[C@@H]1CCCc2c1cnn2C ZINC000878289957 590535862 /nfs/dbraw/zinc/53/58/62/590535862.db2.gz GUVIGYKUHBLEQA-CQSZACIVSA-N 0 3 247.386 2.920 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2C[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000878294915 590536688 /nfs/dbraw/zinc/53/66/88/590536688.db2.gz UFYFMGQOYXATJX-RMEBNNNOSA-N 0 3 233.355 2.737 20 0 BFADHN C=C/C=C/CCN(C)Cc1cccnc1 ZINC000878299033 590537603 /nfs/dbraw/zinc/53/76/03/590537603.db2.gz PEYVJCAGRBEXHF-SNAWJCMRSA-N 0 3 202.301 2.646 20 0 BFADHN C[C@@H]1OCC[C@H]1CN1CCc2c(F)cccc2C1 ZINC000878303238 590538537 /nfs/dbraw/zinc/53/85/37/590538537.db2.gz KUFOWDUIYQDTDQ-RYUDHWBXSA-N 0 3 249.329 2.609 20 0 BFADHN CC(C)[C@H](O)CCN1CCC[C@H]1c1cccnc1 ZINC000878308960 590540299 /nfs/dbraw/zinc/54/02/99/590540299.db2.gz JPKISWSNBPYUEZ-LSDHHAIUSA-N 0 3 248.370 2.626 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1nocc1C ZINC000878340783 590551418 /nfs/dbraw/zinc/55/14/18/590551418.db2.gz OURGIWCSLXWQKE-MNOVXSKESA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1nocc1C ZINC000878340787 590552437 /nfs/dbraw/zinc/55/24/37/590552437.db2.gz OURGIWCSLXWQKE-WDEREUQCSA-N 0 3 208.305 2.746 20 0 BFADHN C[C@H]1OCC[C@H]1CNC1(c2ccccc2F)CC1 ZINC000878366738 590554489 /nfs/dbraw/zinc/55/44/89/590554489.db2.gz XALNSXPDYOWUHO-NEPJUHHUSA-N 0 3 249.329 2.829 20 0 BFADHN Cc1conc1CN1C[C@H](C)[C@@H]2CCCC[C@H]21 ZINC000878399049 590559469 /nfs/dbraw/zinc/55/94/69/590559469.db2.gz IAUCHGCDRGOGCZ-VHRBIJSZSA-N 0 3 234.343 2.994 20 0 BFADHN OC[C@H](NCCCC1CC1)c1ccc(F)cc1 ZINC000878395715 590559811 /nfs/dbraw/zinc/55/98/11/590559811.db2.gz GGZWTGMSBVQAIZ-AWEZNQCLSA-N 0 3 237.318 2.639 20 0 BFADHN OC[C@@H](NCCCC1CC1)c1ccc(F)cc1 ZINC000878395716 590559863 /nfs/dbraw/zinc/55/98/63/590559863.db2.gz GGZWTGMSBVQAIZ-CQSZACIVSA-N 0 3 237.318 2.639 20 0 BFADHN C[C@H](C[S@](C)=O)N(C)C[C@@H](C)CC(C)(C)C ZINC000878405710 590561769 /nfs/dbraw/zinc/56/17/69/590561769.db2.gz IVXNETJVRURQTL-OZVIIMIRSA-N 0 3 247.448 2.758 20 0 BFADHN OCC[C@@H](NCC1CC2(CCC2)C1)c1ccco1 ZINC000878441355 590568310 /nfs/dbraw/zinc/56/83/10/590568310.db2.gz SMIQWMBQRGUYGZ-CYBMUJFWSA-N 0 3 249.354 2.873 20 0 BFADHN OCC[C@H](NCC1CC2(CCC2)C1)c1ccco1 ZINC000878441356 590568748 /nfs/dbraw/zinc/56/87/48/590568748.db2.gz SMIQWMBQRGUYGZ-ZDUSSCGKSA-N 0 3 249.354 2.873 20 0 BFADHN C=C/C=C\CCN1C[C@H](C)O[C@H](C)[C@@H]1C ZINC000878482446 590577679 /nfs/dbraw/zinc/57/76/79/590577679.db2.gz RFDURORJYGRAPZ-LECYDYFVSA-N 0 3 209.333 2.616 20 0 BFADHN CC1=CCCN(C[C@@H]2CC3(CCC3)CO2)C1 ZINC000878548093 590590008 /nfs/dbraw/zinc/59/00/08/590590008.db2.gz GFYSTVFXNKTRKM-ZDUSSCGKSA-N 0 3 221.344 2.598 20 0 BFADHN CC1=CCCN(C[C@@H]2CCC3(CCC3)O2)C1 ZINC000878548225 590590356 /nfs/dbraw/zinc/59/03/56/590590356.db2.gz GXRUFVWMBJOYCL-ZDUSSCGKSA-N 0 3 221.344 2.740 20 0 BFADHN Cc1conc1CN1CC[C@H](C2CCCC2)C1 ZINC000878721128 590615988 /nfs/dbraw/zinc/61/59/88/590615988.db2.gz SXQWFABFNFSYJC-ZDUSSCGKSA-N 0 3 234.343 2.995 20 0 BFADHN C=C/C=C\CCN1CCOC[C@@H]1C1CCC1 ZINC000878763096 590619704 /nfs/dbraw/zinc/61/97/04/590619704.db2.gz SDSNBHWPYYRDGY-VQTKUKTRSA-N 0 3 221.344 2.620 20 0 BFADHN C=C/C=C\CCN1CCOC[C@H]1C1CCC1 ZINC000878763094 590620079 /nfs/dbraw/zinc/62/00/79/590620079.db2.gz SDSNBHWPYYRDGY-NQHOJNORSA-N 0 3 221.344 2.620 20 0 BFADHN CCN(CCSC)C[C@H]1CC2(CCC2)CO1 ZINC000878771255 590620278 /nfs/dbraw/zinc/62/02/78/590620278.db2.gz HADPDKFXXYHNAX-GFCCVEGCSA-N 0 3 243.416 2.631 20 0 BFADHN C=C/C=C\CCN1C[C@H](C)OC2(CCC2)C1 ZINC000878771020 590620306 /nfs/dbraw/zinc/62/03/06/590620306.db2.gz ZYCJXTVWCVBROS-ZFDPJTLLSA-N 0 3 221.344 2.762 20 0 BFADHN Cc1cccc(CN[C@H](C)CC2CCOCC2)n1 ZINC000127331733 590621671 /nfs/dbraw/zinc/62/16/71/590621671.db2.gz GOUVEXOTYKHLKB-CYBMUJFWSA-N 0 3 248.370 2.685 20 0 BFADHN C=C/C=C/CCN1CCO[C@](C)(C2CC2)C1 ZINC000878888992 590642234 /nfs/dbraw/zinc/64/22/34/590642234.db2.gz RISYNZPXLOIUGB-NNTXTVRGSA-N 0 3 221.344 2.620 20 0 BFADHN C[C@@H]1CN(CC2CC3(CCC3)C2)C[C@@H](C)C1=O ZINC000878919037 590651941 /nfs/dbraw/zinc/65/19/41/590651941.db2.gz GNZBFZBSPCPAAT-VXGBXAGGSA-N 0 3 235.371 2.724 20 0 BFADHN CC1(C)CC[C@H](CN(C2CC2)C2CC2)OC1 ZINC000879038393 590684678 /nfs/dbraw/zinc/68/46/78/590684678.db2.gz SRQWLISQGNWCDW-CYBMUJFWSA-N 0 3 223.360 2.818 20 0 BFADHN CC(C)[C@@H](O)CCN1CCC(F)(F)C[C@@H]1C ZINC000879053203 590685622 /nfs/dbraw/zinc/68/56/22/590685622.db2.gz SRPMOQGSXTUMCA-QWRGUYRKSA-N 0 3 235.318 2.513 20 0 BFADHN C=C/C=C/CCN1CCc2c(O)cccc2C1 ZINC000879055932 590688997 /nfs/dbraw/zinc/68/89/97/590688997.db2.gz NFDULNDRBCSDDB-ONEGZZNKSA-N 0 3 229.323 2.883 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@@H]2CCCO2)c(C)o1 ZINC000127586602 590716894 /nfs/dbraw/zinc/71/68/94/590716894.db2.gz AVBMXJHMGBSYES-GWCFXTLKSA-N 0 3 223.316 2.554 20 0 BFADHN CO[C@@H]1CC[C@@H](C)N(Cc2cccc(F)c2)C1 ZINC000879318251 590739282 /nfs/dbraw/zinc/73/92/82/590739282.db2.gz RQHBVLCVDSGWIB-BXUZGUMPSA-N 0 3 237.318 2.825 20 0 BFADHN CO[C@H]1CC[C@@H](C)N(Cc2ccc(F)cc2)C1 ZINC000879320050 590740788 /nfs/dbraw/zinc/74/07/88/590740788.db2.gz YNOWJKCLPHZCPI-RISCZKNCSA-N 0 3 237.318 2.825 20 0 BFADHN Cc1nc(CN2C[C@@H]3CC=CC[C@@H]3C2)oc1C ZINC000879528937 590791519 /nfs/dbraw/zinc/79/15/19/590791519.db2.gz NVQVHECITMSIEM-BETUJISGSA-N 0 3 232.327 2.689 20 0 BFADHN C1=C[C@H](N2CC[C@H]3CSC[C@H]3C2)CCC1 ZINC000879558831 590801976 /nfs/dbraw/zinc/80/19/76/590801976.db2.gz PCZPUNIPZGIHKB-XQQFMLRXSA-N 0 3 223.385 2.780 20 0 BFADHN CC(C)[C@@H](O)CN(C)Cc1ccc2cc[nH]c2c1 ZINC000879729064 590857311 /nfs/dbraw/zinc/85/73/11/590857311.db2.gz UWKYRHMAGWELIM-HNNXBMFYSA-N 0 3 246.354 2.617 20 0 BFADHN COCCCN1CCC[C@H]1c1cncc(C)c1 ZINC000879746517 590861214 /nfs/dbraw/zinc/86/12/14/590861214.db2.gz AALKTLBNHREWKM-AWEZNQCLSA-N 0 3 234.343 2.563 20 0 BFADHN CO[C@@H](C)CN1CCC[C@H]1c1cncc(C)c1 ZINC000879750726 590863102 /nfs/dbraw/zinc/86/31/02/590863102.db2.gz YNJPIIRVVPBNQK-JSGCOSHPSA-N 0 3 234.343 2.562 20 0 BFADHN CC[C@H](CN1CCC[C@H]1c1cncc(C)c1)OC ZINC000879752144 590863242 /nfs/dbraw/zinc/86/32/42/590863242.db2.gz PYDAPDQOULNDHY-CABCVRRESA-N 0 3 248.370 2.952 20 0 BFADHN C[C@@H](c1cccnc1)N1CCC[C@@H](C(C)(C)O)C1 ZINC000879961736 590900113 /nfs/dbraw/zinc/90/01/13/590900113.db2.gz HMHLRHCAPWSJHT-GXTWGEPZSA-N 0 3 248.370 2.626 20 0 BFADHN C=C/C=C\CCN1CCO[C@@H](C(F)(F)F)CC1 ZINC000880051406 590914772 /nfs/dbraw/zinc/91/47/72/590914772.db2.gz LTSGRUPWDCSURI-DLRQAJBASA-N 0 3 249.276 2.772 20 0 BFADHN CCCCN1CC[C@@H](C(F)(F)F)O[C@H](C)C1 ZINC000880059149 590914820 /nfs/dbraw/zinc/91/48/20/590914820.db2.gz HDJLKNJMEYGKFE-ZJUUUORDSA-N 0 3 239.281 2.828 20 0 BFADHN CC[C@H](C)N1CC[C@H](C(F)(F)F)O[C@@H](C)C1 ZINC000880067372 590916851 /nfs/dbraw/zinc/91/68/51/590916851.db2.gz RTQYNKQCRIQJIA-LPEHRKFASA-N 0 3 239.281 2.827 20 0 BFADHN CCC1(CC)CCCN1Cc1ncc(C)cn1 ZINC000880094706 590924321 /nfs/dbraw/zinc/92/43/21/590924321.db2.gz MYPVGRDAKVTYLV-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN CCC1(CC)CCCN1Cc1ccn(C)n1 ZINC000880094774 590924545 /nfs/dbraw/zinc/92/45/45/590924545.db2.gz PMVPDAWNHOIMQE-UHFFFAOYSA-N 0 3 221.348 2.575 20 0 BFADHN CCC1(CC)CCCN1Cc1cc[nH]n1 ZINC000880094374 590924686 /nfs/dbraw/zinc/92/46/86/590924686.db2.gz HJECCVWXMPXOPE-UHFFFAOYSA-N 0 3 207.321 2.564 20 0 BFADHN CC(C)=CCN[C@@]1(c2ccccc2)C[C@@H](O)C1 ZINC000880222266 590960603 /nfs/dbraw/zinc/96/06/03/590960603.db2.gz KSDYDAJGCSIASJ-GASCZTMLSA-N 0 3 231.339 2.592 20 0 BFADHN FC[C@H]1CCCN(Cc2cccs2)C1 ZINC000880237089 590968052 /nfs/dbraw/zinc/96/80/52/590968052.db2.gz PVSBTOTYAHHPJJ-SNVBAGLBSA-N 0 3 213.321 2.930 20 0 BFADHN CCc1ccc(CN2CCC[C@H](CF)C2)nc1 ZINC000880237705 590969758 /nfs/dbraw/zinc/96/97/58/590969758.db2.gz FOCVZPQKRUOVIF-CYBMUJFWSA-N 0 3 236.334 2.826 20 0 BFADHN CC(C)(C)SCCN1CCC(F)CC1 ZINC000880238220 590970116 /nfs/dbraw/zinc/97/01/16/590970116.db2.gz BDHWGKIYUVXFRQ-UHFFFAOYSA-N 0 3 219.369 2.952 20 0 BFADHN FC[C@H]1CCCN(CCOCC2CCCC2)C1 ZINC000880238934 590970821 /nfs/dbraw/zinc/97/08/21/590970821.db2.gz ZJAOEECRKZPDGD-CQSZACIVSA-N 0 3 243.366 2.875 20 0 BFADHN Cc1nc(C)c(CN2CCC(F)CC2)s1 ZINC000880242405 590975667 /nfs/dbraw/zinc/97/56/67/590975667.db2.gz XWWWXUSHSUCIDK-UHFFFAOYSA-N 0 3 228.336 2.694 20 0 BFADHN Fc1ccc(CCN2CCC(F)CC2)cc1F ZINC000880241006 590975809 /nfs/dbraw/zinc/97/58/09/590975809.db2.gz CJIORKCEWJJGCN-UHFFFAOYSA-N 0 3 243.272 2.941 20 0 BFADHN CC(=O)CN1CCC[C@@]1(C)c1ccccc1 ZINC000880371375 591013338 /nfs/dbraw/zinc/01/33/38/591013338.db2.gz GMGQNFYSGBQZTM-AWEZNQCLSA-N 0 3 217.312 2.587 20 0 BFADHN C[C@]1(c2ccccc2)CCCN1CCF ZINC000880371915 591013804 /nfs/dbraw/zinc/01/38/04/591013804.db2.gz PWRRIOHTYSBHTN-CYBMUJFWSA-N 0 3 207.292 2.967 20 0 BFADHN C[C@@]1(c2ccccc2)CCCN1Cc1cn[nH]c1 ZINC000880372131 591014406 /nfs/dbraw/zinc/01/44/06/591014406.db2.gz SPAKMJBIWXLING-HNNXBMFYSA-N 0 3 241.338 2.921 20 0 BFADHN C[C@H]1c2sccc2CCN1CC1(C)COC1 ZINC000880375329 591015773 /nfs/dbraw/zinc/01/57/73/591015773.db2.gz DDZGXDKEWHKXSV-JTQLQIEISA-N 0 3 237.368 2.704 20 0 BFADHN COCCCN1CCc2ccsc2[C@@H]1C ZINC000880375979 591016826 /nfs/dbraw/zinc/01/68/26/591016826.db2.gz BGMGHGVEBCMFAA-JTQLQIEISA-N 0 3 225.357 2.704 20 0 BFADHN C[C@@H]1CC[C@H](CO)N1Cc1cccc(Cl)c1 ZINC000880443461 591045230 /nfs/dbraw/zinc/04/52/30/591045230.db2.gz ISRKUFRXIJMISS-ZWNOBZJWSA-N 0 3 239.746 2.685 20 0 BFADHN C[C@@H]1CC[C@H](CO)N1Cc1ccccc1Cl ZINC000880444175 591045544 /nfs/dbraw/zinc/04/55/44/591045544.db2.gz QAUWMPRJJSOQQL-ZYHUDNBSSA-N 0 3 239.746 2.685 20 0 BFADHN c1cncc(CN2CCC3(CCCCO3)CC2)c1 ZINC000880455018 591048997 /nfs/dbraw/zinc/04/89/97/591048997.db2.gz NBIXIVQVFADSJH-UHFFFAOYSA-N 0 3 246.354 2.617 20 0 BFADHN CC(C)[C@H](N)c1cn(CC2CC3(CCC3)C2)nn1 ZINC000881426522 591203207 /nfs/dbraw/zinc/20/32/07/591203207.db2.gz JULNPTRCEFVYMD-ZDUSSCGKSA-N 0 3 248.374 2.514 20 0 BFADHN CC(C)c1noc([C@H](C)[C@H](N)c2ccccc2)n1 ZINC000129583099 591330040 /nfs/dbraw/zinc/33/00/40/591330040.db2.gz BHSUFFWZBHUXBF-PWSUYJOCSA-N 0 3 245.326 2.997 20 0 BFADHN CC(C)c1noc([C@@H](C)[C@@H](N)c2ccccc2)n1 ZINC000129583309 591330692 /nfs/dbraw/zinc/33/06/92/591330692.db2.gz BHSUFFWZBHUXBF-CMPLNLGQSA-N 0 3 245.326 2.997 20 0 BFADHN Cc1cc(CN(C[C@@H]2CCOC2)C2CC2)c(C)o1 ZINC000130007157 591428763 /nfs/dbraw/zinc/42/87/63/591428763.db2.gz JZPHSGNZUPRMPK-ZDUSSCGKSA-N 0 3 249.354 2.897 20 0 BFADHN Cc1cc(CN(C[C@H]2CCOC2)C2CC2)c(C)o1 ZINC000130007363 591428877 /nfs/dbraw/zinc/42/88/77/591428877.db2.gz JZPHSGNZUPRMPK-CYBMUJFWSA-N 0 3 249.354 2.897 20 0 BFADHN CC(C)c1c[nH]c(CNCCc2ccsc2)n1 ZINC000882883177 591462673 /nfs/dbraw/zinc/46/26/73/591462673.db2.gz PYEIRVBNTBDJJK-UHFFFAOYSA-N 0 3 249.383 2.927 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@@H](C)C2CC2)n1 ZINC000882920615 591474868 /nfs/dbraw/zinc/47/48/68/591474868.db2.gz MVQSSKFXSYSFNO-SNVBAGLBSA-N 0 3 221.348 2.669 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2CCC[C@H]2C)n1 ZINC000882987259 591495038 /nfs/dbraw/zinc/49/50/38/591495038.db2.gz XHLXMHQIZFDZLV-GHMZBOCLSA-N 0 3 221.348 2.811 20 0 BFADHN CSCCCCNCc1nc(C(C)C)c[nH]1 ZINC000883014514 591514932 /nfs/dbraw/zinc/51/49/32/591514932.db2.gz TXWKTZZIMRIQGW-UHFFFAOYSA-N 0 3 241.404 2.766 20 0 BFADHN CCCCCC[C@H](CC)C(=O)NCCN(C)C ZINC000130821463 591524972 /nfs/dbraw/zinc/52/49/72/591524972.db2.gz OMHUUOFHCVCSSO-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN Cc1cccc(C)c1CNCc1ccncc1N ZINC000883048587 591527979 /nfs/dbraw/zinc/52/79/79/591527979.db2.gz RLYHZSCMDUCXDP-UHFFFAOYSA-N 0 3 241.338 2.570 20 0 BFADHN CCc1ncc(CN[C@H]2C[C@@H]3CC[C@@H](C3)C2)o1 ZINC000883136589 591548110 /nfs/dbraw/zinc/54/81/10/591548110.db2.gz IATQQPURBFTJPC-GDNZZTSVSA-N 0 3 234.343 2.905 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2CC[C@@H]3C[C@@H]3C2)n1 ZINC000883155702 591555789 /nfs/dbraw/zinc/55/57/89/591555789.db2.gz PTTJQMLZWRJBMB-IJLUTSLNSA-N 0 3 233.359 2.811 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2CC[C@H]3C[C@H]3C2)n1 ZINC000883155704 591556487 /nfs/dbraw/zinc/55/64/87/591556487.db2.gz PTTJQMLZWRJBMB-SDDRHHMPSA-N 0 3 233.359 2.811 20 0 BFADHN C1=C[C@H](NCc2cccc3c2CCOC3)CC1 ZINC000883166711 591558139 /nfs/dbraw/zinc/55/81/39/591558139.db2.gz HHWQYGDAONWSEY-AWEZNQCLSA-N 0 3 229.323 2.568 20 0 BFADHN CC[C@@H](NCCCO)c1sccc1Cl ZINC000883165240 591558430 /nfs/dbraw/zinc/55/84/30/591558430.db2.gz ZSGUKKWHKWMHMC-SECBINFHSA-N 0 3 233.764 2.825 20 0 BFADHN CC(C)c1c[nH]c(CNCCC(C)(C)F)n1 ZINC000883170653 591559258 /nfs/dbraw/zinc/55/92/58/591559258.db2.gz YSIXGWUGSGEEAV-UHFFFAOYSA-N 0 3 227.327 2.761 20 0 BFADHN CON(C)CCNCc1ccc(C2CCC2)cc1 ZINC000883170715 591559385 /nfs/dbraw/zinc/55/93/85/591559385.db2.gz AJIWXZFHCGVSRZ-UHFFFAOYSA-N 0 3 248.370 2.537 20 0 BFADHN CC[C@H](NCc1nc(C(C)C)c[nH]1)C1CC1 ZINC000883175371 591563004 /nfs/dbraw/zinc/56/30/04/591563004.db2.gz FMPRXMMBDYUFIL-NSHDSACASA-N 0 3 221.348 2.811 20 0 BFADHN CC(C)c1c[nH]c(CNCC2CC=CC2)n1 ZINC000883185853 591565252 /nfs/dbraw/zinc/56/52/52/591565252.db2.gz FYSWWEUTMGXKCZ-UHFFFAOYSA-N 0 3 219.332 2.589 20 0 BFADHN CC(C)c1c[nH]c(CN[C@H]2CCCC23CC3)n1 ZINC000883193315 591568314 /nfs/dbraw/zinc/56/83/14/591568314.db2.gz SWNIKEDWAIMUML-LBPRGKRZSA-N 0 3 233.359 2.955 20 0 BFADHN C[C@H](N[C@H]1CCCC2(CC2)C1)c1ccn(C)n1 ZINC000883228737 591579764 /nfs/dbraw/zinc/57/97/64/591579764.db2.gz SPPVZGBBTVSAAY-RYUDHWBXSA-N 0 3 233.359 2.794 20 0 BFADHN CC[C@H](N[C@H]1C[C@@H](O)C1)c1sccc1Cl ZINC000883229041 591579956 /nfs/dbraw/zinc/57/99/56/591579956.db2.gz ZMCLCEOBTYLWFV-XKSSXDPKSA-N 0 3 245.775 2.966 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCC3(CC3)C2)c2ncnn21 ZINC000883234609 591580846 /nfs/dbraw/zinc/58/08/46/591580846.db2.gz IQIPMEVLGMMJBZ-GRYCIOLGSA-N 0 3 246.358 2.596 20 0 BFADHN Fc1cccn2cc(CNC[C@H]3C=CCC3)nc12 ZINC000883237512 591583588 /nfs/dbraw/zinc/58/35/88/591583588.db2.gz DDGLTSBPHIBNGY-NSHDSACASA-N 0 3 245.301 2.529 20 0 BFADHN C[C@@H](NC[C@@H]1C=CCC1)c1cn2ccccc2n1 ZINC000883245240 591586213 /nfs/dbraw/zinc/58/62/13/591586213.db2.gz HYSIDLZSUZCTOO-CHWSQXEVSA-N 0 3 241.338 2.951 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2C=CCC2)no1 ZINC000883245589 591586329 /nfs/dbraw/zinc/58/63/29/591586329.db2.gz NZSJEACFSLAEPX-MNOVXSKESA-N 0 3 206.289 2.600 20 0 BFADHN Cc1cncc([C@H](C)NCCc2ccns2)c1 ZINC000883247590 591588560 /nfs/dbraw/zinc/58/85/60/591588560.db2.gz PHWIQTLDRWHSLJ-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN c1nc(CNCC2(CC3CC3)CC2)cn1C1CC1 ZINC000883248572 591590068 /nfs/dbraw/zinc/59/00/68/591590068.db2.gz XXRVRZOFKXOZQK-UHFFFAOYSA-N 0 3 245.370 2.888 20 0 BFADHN CCC[C@@H](C)CCNCc1cn(CCC)nn1 ZINC000883250643 591591303 /nfs/dbraw/zinc/59/13/03/591591303.db2.gz HQONEXCDPQWDJT-GFCCVEGCSA-N 0 3 238.379 2.604 20 0 BFADHN c1cc(CNCC2(CC3CC3)CC2)co1 ZINC000883249919 591591360 /nfs/dbraw/zinc/59/13/60/591591360.db2.gz USNJXLHUCIMVJI-UHFFFAOYSA-N 0 3 205.301 2.950 20 0 BFADHN CCC[C@H](C)CCNCc1cc2n(n1)CCC2 ZINC000883250959 591591448 /nfs/dbraw/zinc/59/14/48/591591448.db2.gz WRILXFMJHZHBRS-LBPRGKRZSA-N 0 3 235.375 2.745 20 0 BFADHN Cc1noc(C)c1CNCC1(CC2CC2)CC1 ZINC000883249480 591591522 /nfs/dbraw/zinc/59/15/22/591591522.db2.gz HWOXALFZYMCXTJ-UHFFFAOYSA-N 0 3 234.343 2.961 20 0 BFADHN CCC[C@H](C)CCNCc1nc(C)c[nH]1 ZINC000883250943 591591540 /nfs/dbraw/zinc/59/15/40/591591540.db2.gz WDJSHMZGNUSNJD-JTQLQIEISA-N 0 3 209.337 2.634 20 0 BFADHN CCC[C@H](C)CCNCc1ncc(Cl)n1C ZINC000883251545 591593025 /nfs/dbraw/zinc/59/30/25/591593025.db2.gz TYYJCZZNVLYQET-JTQLQIEISA-N 0 3 243.782 2.989 20 0 BFADHN CC1(C)C[C@@]1(C)CNCc1cc2n(n1)CCCC2 ZINC000883252312 591593028 /nfs/dbraw/zinc/59/30/28/591593028.db2.gz CLICGGGAYHHJEG-HNNXBMFYSA-N 0 3 247.386 2.745 20 0 BFADHN CCC[C@H](C)CCN[C@H]1CCn2ccnc21 ZINC000883257661 591594993 /nfs/dbraw/zinc/59/49/93/591594993.db2.gz HOIYVZCUILQLQH-RYUDHWBXSA-N 0 3 221.348 2.744 20 0 BFADHN C[C@@H](NC[C@@]1(C)CC1(C)C)c1cc2n(n1)CCC2 ZINC000883259931 591597145 /nfs/dbraw/zinc/59/71/45/591597145.db2.gz FDRXFAKUHFGMTE-IAQYHMDHSA-N 0 3 247.386 2.916 20 0 BFADHN CC1(C)C[C@@]1(C)CN[C@H]1CCCn2ccnc21 ZINC000883259859 591597328 /nfs/dbraw/zinc/59/73/28/591597328.db2.gz BHAMGRRQSBAOFK-FZMZJTMJSA-N 0 3 233.359 2.744 20 0 BFADHN C=C/C=C\CCNCc1c(C2CC2)cnn1C ZINC000883259911 591597509 /nfs/dbraw/zinc/59/75/09/591597509.db2.gz DPVQCDLWVKPNRX-PLNGDYQASA-N 0 3 231.343 2.519 20 0 BFADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1cccnc1 ZINC000131337777 591597617 /nfs/dbraw/zinc/59/76/17/591597617.db2.gz RSMYUGXZGIMERH-UONOGXRCSA-N 0 3 248.370 2.765 20 0 BFADHN C[C@@H](NC[C@]1(C)CC1(C)C)c1cc2n(n1)CCC2 ZINC000883259930 591597803 /nfs/dbraw/zinc/59/78/03/591597803.db2.gz FDRXFAKUHFGMTE-ABAIWWIYSA-N 0 3 247.386 2.916 20 0 BFADHN Cc1cc(CNCC[C@H]2CCCC2(F)F)on1 ZINC000883264728 591600342 /nfs/dbraw/zinc/60/03/42/591600342.db2.gz ILBKFTOWBMADQG-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN C=C/C=C/CCN[C@H](C)c1cnc(C)nc1C ZINC000883267767 591601105 /nfs/dbraw/zinc/60/11/05/591601105.db2.gz PNHMFHICJLTCBI-XUIVZRPNSA-N 0 3 231.343 2.876 20 0 BFADHN C=C/C=C\CCN[C@H](C)c1cncnc1C ZINC000883268577 591601984 /nfs/dbraw/zinc/60/19/84/591601984.db2.gz OJVWCQVXAFNIIC-ISALQUGTSA-N 0 3 217.316 2.568 20 0 BFADHN C=C/C=C/CCN[C@@H](C)c1cncnc1C ZINC000883268579 591602034 /nfs/dbraw/zinc/60/20/34/591602034.db2.gz OJVWCQVXAFNIIC-QRGHLMKCSA-N 0 3 217.316 2.568 20 0 BFADHN C=C/C=C/CCN[C@H](C)c1cc(C)n(C)n1 ZINC000883269125 591602317 /nfs/dbraw/zinc/60/23/17/591602317.db2.gz ZYGYZZMEAWPPDZ-NNNHXZLVSA-N 0 3 219.332 2.511 20 0 BFADHN C[C@@H](NCC[C@@H]1CCCC1(F)F)c1ncc[nH]1 ZINC000883274851 591605228 /nfs/dbraw/zinc/60/52/28/591605228.db2.gz WZEULXZKVNBHQH-ZJUUUORDSA-N 0 3 243.301 2.886 20 0 BFADHN CC[C@H](C)NCc1ccc(C)nc1Cl ZINC000883301055 591609957 /nfs/dbraw/zinc/60/99/57/591609957.db2.gz ONMJFMSRUSHIOI-QMMMGPOBSA-N 0 3 212.724 2.932 20 0 BFADHN c1cnc(C2(NC[C@@H]3CC=CCC3)CCC2)nc1 ZINC000883308296 591611152 /nfs/dbraw/zinc/61/11/52/591611152.db2.gz LXFKWVMMLHPRIP-CYBMUJFWSA-N 0 3 243.354 2.802 20 0 BFADHN Oc1ccc(Cl)c(CN[C@H]2CCSC2)c1 ZINC000883325477 591615703 /nfs/dbraw/zinc/61/57/03/591615703.db2.gz BXAWRZGGMDPHKP-VIFPVBQESA-N 0 3 243.759 2.641 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H]2CCCC[C@H]12)c1ccn(C)n1 ZINC000883322073 591616104 /nfs/dbraw/zinc/61/61/04/591616104.db2.gz UNIKMRLWADBFLX-OWTLIXCDSA-N 0 3 233.359 2.649 20 0 BFADHN CCC[C@H](NC[C@H]1CCN1CC)c1ccccn1 ZINC000883354702 591624988 /nfs/dbraw/zinc/62/49/88/591624988.db2.gz AWXBFQTVFHKLDY-KGLIPLIRSA-N 0 3 247.386 2.607 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@]23CCCO3)o1 ZINC000883370889 591634671 /nfs/dbraw/zinc/63/46/71/591634671.db2.gz UHISXGVXIMZPFQ-KGLIPLIRSA-N 0 3 235.327 2.779 20 0 BFADHN C[C@@H](NC1CCC2(COC2)CC1)c1ccco1 ZINC000883378208 591636701 /nfs/dbraw/zinc/63/67/01/591636701.db2.gz CKGDXZYPCZCMGL-LLVKDONJSA-N 0 3 235.327 2.889 20 0 BFADHN C=C/C=C\CCN1CCOc2cc(N)ccc2C1 ZINC000883439660 591668722 /nfs/dbraw/zinc/66/87/22/591668722.db2.gz XFRGNPLZLQQYGD-ARJAWSKDSA-N 0 3 244.338 2.596 20 0 BFADHN CCN(C/C=C(\C)C(=O)OC)Cc1ccccc1 ZINC000214636575 591675462 /nfs/dbraw/zinc/67/54/62/591675462.db2.gz CBDIBYBYNPADHU-JLHYYAGUSA-N 0 3 247.338 2.628 20 0 BFADHN Cc1ccc([C@H](C)NCCCCCCO)o1 ZINC000133032050 591757561 /nfs/dbraw/zinc/75/75/61/591757561.db2.gz WERKKBQFOKMFKS-LBPRGKRZSA-N 0 3 225.332 2.791 20 0 BFADHN CN[C@@H](CC(C)C)C(=O)Nc1ccccc1C ZINC000884044694 591825192 /nfs/dbraw/zinc/82/51/92/591825192.db2.gz RJSKGQYZUIJPRP-ZDUSSCGKSA-N 0 3 234.343 2.568 20 0 BFADHN CCc1cccc(NC(=O)[C@H](CC(C)C)NC)c1 ZINC000884047761 591826307 /nfs/dbraw/zinc/82/63/07/591826307.db2.gz KJDJZRVEDYFGQD-AWEZNQCLSA-N 0 3 248.370 2.822 20 0 BFADHN CS[C@H]1CC[C@@H](NCc2c(C)noc2C)C1 ZINC000133947841 591870255 /nfs/dbraw/zinc/87/02/55/591870255.db2.gz YATHYFKMVDMBGY-MNOVXSKESA-N 0 3 240.372 2.665 20 0 BFADHN CS[C@H]1CC[C@H](NCc2ccc(C)cn2)C1 ZINC000134372353 591923704 /nfs/dbraw/zinc/92/37/04/591923704.db2.gz MJASYBZEODTAHD-AAEUAGOBSA-N 0 3 236.384 2.764 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2nnc(C)s2)C1 ZINC000134664201 591946076 /nfs/dbraw/zinc/94/60/76/591946076.db2.gz RQVMSCZSBVFFDG-WDEREUQCSA-N 0 3 239.388 2.905 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2nnc(C)s2)C1 ZINC000134664141 591946143 /nfs/dbraw/zinc/94/61/43/591946143.db2.gz RQVMSCZSBVFFDG-MNOVXSKESA-N 0 3 239.388 2.905 20 0 BFADHN CCC[C@H](CC)NCc1nnc(C)s1 ZINC000134720466 591948001 /nfs/dbraw/zinc/94/80/01/591948001.db2.gz XEAZESMPBMHBPD-VIFPVBQESA-N 0 3 213.350 2.515 20 0 BFADHN CC(C)OCCCCNCc1ccoc1 ZINC000134795968 591950334 /nfs/dbraw/zinc/95/03/34/591950334.db2.gz RLTQNSUSWRXLAW-UHFFFAOYSA-N 0 3 211.305 2.574 20 0 BFADHN c1ccc2c(c1)cncc2CN[C@@H]1CCCOC1 ZINC000135378611 592011059 /nfs/dbraw/zinc/01/10/59/592011059.db2.gz XIRBGTIBOHTCMX-CQSZACIVSA-N 0 3 242.322 2.503 20 0 BFADHN CC[C@@]1(C)CN(CCc2cccs2)CCO1 ZINC000135711700 592032515 /nfs/dbraw/zinc/03/25/15/592032515.db2.gz AUDBDBDIZQILEU-ZDUSSCGKSA-N 0 3 239.384 2.792 20 0 BFADHN Cc1coc(CNCCOc2ccccc2F)c1 ZINC000885898715 592106642 /nfs/dbraw/zinc/10/66/42/592106642.db2.gz UPQGSOVTOGFGLQ-UHFFFAOYSA-N 0 3 249.285 2.896 20 0 BFADHN Cc1coc(CNC[C@H](O)c2ccc(C)cc2)c1 ZINC000885935339 592110665 /nfs/dbraw/zinc/11/06/65/592110665.db2.gz IKXNCHPWGMVZQA-HNNXBMFYSA-N 0 3 245.322 2.720 20 0 BFADHN c1cc2c(s1)CCN(C[C@@H]1C[C@@]13CCOC3)C2 ZINC000885942171 592112235 /nfs/dbraw/zinc/11/22/35/592112235.db2.gz KYYMGMWGZCXPLD-GXTWGEPZSA-N 0 3 249.379 2.533 20 0 BFADHN Cc1coc(CNCCc2ccccc2O)c1 ZINC000885961239 592115537 /nfs/dbraw/zinc/11/55/37/592115537.db2.gz WDDCIHMGJVFJHV-UHFFFAOYSA-N 0 3 231.295 2.626 20 0 BFADHN Cc1coc(CNC[C@H](O)C2CCCCC2)c1 ZINC000885967440 592118429 /nfs/dbraw/zinc/11/84/29/592118429.db2.gz PDICZNGAIJPDSI-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN CCN1CCCC[C@H]1CNCc1cc(C)co1 ZINC000885977363 592119373 /nfs/dbraw/zinc/11/93/73/592119373.db2.gz HPOBGOZHHAKUDQ-ZDUSSCGKSA-N 0 3 236.359 2.552 20 0 BFADHN CCN(CC[C@@H]1C[C@H]1C1CC1)Cc1cnn(C)c1 ZINC000885979662 592119671 /nfs/dbraw/zinc/11/96/71/592119671.db2.gz JFGKONQPWUPPDI-CABCVRRESA-N 0 3 247.386 2.678 20 0 BFADHN Cc1n[nH]c(CNCC=Cc2ccccc2)c1C ZINC000885981816 592120975 /nfs/dbraw/zinc/12/09/75/592120975.db2.gz ODZLWASXWMLRMR-TWGQIWQCSA-N 0 3 241.338 2.830 20 0 BFADHN Cc1[nH]nc(CNCC=Cc2ccccc2)c1C ZINC000885981816 592120977 /nfs/dbraw/zinc/12/09/77/592120977.db2.gz ODZLWASXWMLRMR-TWGQIWQCSA-N 0 3 241.338 2.830 20 0 BFADHN Cc1coc(CNCCCCn2ccnc2C)c1 ZINC000885999220 592126224 /nfs/dbraw/zinc/12/62/24/592126224.db2.gz GHMFBRFFFPYWFR-UHFFFAOYSA-N 0 3 247.342 2.663 20 0 BFADHN Cc1coc(CN[C@H](C)Cc2ccncc2)c1 ZINC000886010946 592130322 /nfs/dbraw/zinc/13/03/22/592130322.db2.gz SXRIPYCRSMWBAL-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN Cc1n[nH]c(CN[C@@H]2CCCC(F)(F)C2)c1C ZINC000886025899 592135643 /nfs/dbraw/zinc/13/56/43/592135643.db2.gz MAXMBNHPRARRLN-SNVBAGLBSA-N 0 3 243.301 2.694 20 0 BFADHN Cc1n[nH]c(CN[C@H]2CCCC(F)(F)C2)c1C ZINC000886025898 592135988 /nfs/dbraw/zinc/13/59/88/592135988.db2.gz MAXMBNHPRARRLN-JTQLQIEISA-N 0 3 243.301 2.694 20 0 BFADHN Cc1coc(CNCCc2ccncc2C)c1 ZINC000886046884 592140143 /nfs/dbraw/zinc/14/01/43/592140143.db2.gz RQPNENBLPJOYCK-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1coc(CNCC(C)(C)[C@@H](O)C(C)C)c1 ZINC000886046863 592140266 /nfs/dbraw/zinc/14/02/66/592140266.db2.gz RBHQCPVWEIEHLO-ZDUSSCGKSA-N 0 3 239.359 2.721 20 0 BFADHN CC[C@@H](NCc1cc(C)co1)[C@H]1CCCO1 ZINC000886046349 592140380 /nfs/dbraw/zinc/14/03/80/592140380.db2.gz GSQZTNHMDCUSAN-CHWSQXEVSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1[nH]nc(C)c1C ZINC000886065680 592141651 /nfs/dbraw/zinc/14/16/51/592141651.db2.gz VCANKFSMARIVJU-VIFPVBQESA-N 0 3 249.280 2.847 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1n[nH]c(C)c1C ZINC000886065680 592141653 /nfs/dbraw/zinc/14/16/53/592141653.db2.gz VCANKFSMARIVJU-VIFPVBQESA-N 0 3 249.280 2.847 20 0 BFADHN Cc1coc(CN[C@@H]2CCO[C@H](C3CC3)C2)c1 ZINC000886064844 592142143 /nfs/dbraw/zinc/14/21/43/592142143.db2.gz JOBKOIRPVNOFRM-OCCSQVGLSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@H](c1ccccn1)N(C)C[C@H]1C[C@@]12CCOC2 ZINC000886092077 592146030 /nfs/dbraw/zinc/14/60/30/592146030.db2.gz NEZFBVQAOPKLPN-UMVBOHGHSA-N 0 3 246.354 2.501 20 0 BFADHN Cc1coc(CNC[C@H]2CCCCN2C2CC2)c1 ZINC000886092255 592146701 /nfs/dbraw/zinc/14/67/01/592146701.db2.gz SALWWNBDRJIATP-CQSZACIVSA-N 0 3 248.370 2.695 20 0 BFADHN FC(F)[C@@H]1CCN(CCOC2CCCCC2)C1 ZINC000886094333 592147604 /nfs/dbraw/zinc/14/76/04/592147604.db2.gz PLAMXMLQBUROKI-LLVKDONJSA-N 0 3 247.329 2.923 20 0 BFADHN COc1ccccc1CCNCc1ccoc1 ZINC000218069064 592151269 /nfs/dbraw/zinc/15/12/69/592151269.db2.gz ZWGRJQSZJXOAJC-UHFFFAOYSA-N 0 3 231.295 2.621 20 0 BFADHN Cc1coc(CNC[C@H]2COc3ccccc32)c1 ZINC000886118301 592157378 /nfs/dbraw/zinc/15/73/78/592157378.db2.gz BIGJSOIZVODDEQ-LBPRGKRZSA-N 0 3 243.306 2.854 20 0 BFADHN Cc1[nH]nc(CNc2cc3c(cn2)CCC3)c1C ZINC000886127131 592158881 /nfs/dbraw/zinc/15/88/81/592158881.db2.gz BTIDOBISRDEZAX-UHFFFAOYSA-N 0 3 242.326 2.522 20 0 BFADHN CC[C@@H]1C[C@H](NCc2cc(C)co2)CCO1 ZINC000886149315 592163716 /nfs/dbraw/zinc/16/37/16/592163716.db2.gz LGXBVUXOGPJWPY-VXGBXAGGSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@H](COCC1CC1)NCc1cc(C)co1 ZINC000886158152 592165332 /nfs/dbraw/zinc/16/53/32/592165332.db2.gz SREJSIHWWVVXLB-CYBMUJFWSA-N 0 3 237.343 2.883 20 0 BFADHN C/C=C/CNCc1cc(C)cc(OC)c1OC ZINC000886171828 592168379 /nfs/dbraw/zinc/16/83/79/592168379.db2.gz PMHCWMBTVXHNKF-AATRIKPKSA-N 0 3 235.327 2.678 20 0 BFADHN Cc1coc(CN[C@@H]2CC(C)(C)CC[C@H]2O)c1 ZINC000886175389 592170784 /nfs/dbraw/zinc/17/07/84/592170784.db2.gz ZBFRFXBZQDZYAX-CHWSQXEVSA-N 0 3 237.343 2.617 20 0 BFADHN CC1=C(C)CN(C[C@H]2CC[C@H](C3CC3)O2)CC1 ZINC000886184274 592171688 /nfs/dbraw/zinc/17/16/88/592171688.db2.gz YEYKHWJCBGIZNG-HUUCEWRRSA-N 0 3 235.371 2.986 20 0 BFADHN CC1=CCCN(C[C@H]2CCC3(CCC3)CO2)C1 ZINC000886185831 592171873 /nfs/dbraw/zinc/17/18/73/592171873.db2.gz IZONPMUASILHMA-CQSZACIVSA-N 0 3 235.371 2.988 20 0 BFADHN CS[C@@H]1CCN(CCOC2CCCCC2)C1 ZINC000886226622 592180868 /nfs/dbraw/zinc/18/08/68/592180868.db2.gz PFDSHAMOHKERDM-CYBMUJFWSA-N 0 3 243.416 2.773 20 0 BFADHN CCN(CCSC)C[C@@H]1CC[C@H](C2CC2)O1 ZINC000886267134 592190669 /nfs/dbraw/zinc/19/06/69/592190669.db2.gz GSOXHSUUHNEKFY-QWHCGFSZSA-N 0 3 243.416 2.629 20 0 BFADHN CCN(CCSC)C[C@H]1CC[C@@H](C2CC2)O1 ZINC000886267133 592191010 /nfs/dbraw/zinc/19/10/10/592191010.db2.gz GSOXHSUUHNEKFY-OLZOCXBDSA-N 0 3 243.416 2.629 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNCc1cn(C2CC2)cn1 ZINC000886281401 592199005 /nfs/dbraw/zinc/19/90/05/592199005.db2.gz YIGFPWLCHKSYNC-PKIAMQTDSA-N 0 3 245.370 2.600 20 0 BFADHN CCc1ccc(CNC[C@H]2CC3(CCC3)CO2)o1 ZINC000886288605 592200952 /nfs/dbraw/zinc/20/09/52/592200952.db2.gz CSYXOIKILKCEBR-CQSZACIVSA-N 0 3 249.354 2.891 20 0 BFADHN C([C@@H]1CCC2(CCC2)CO1)N(C1CC1)C1CC1 ZINC000886296801 592201664 /nfs/dbraw/zinc/20/16/64/592201664.db2.gz ASGRRDVNOVXGOA-AWEZNQCLSA-N 0 3 235.371 2.963 20 0 BFADHN FC(F)(F)SCCN(C1CC1)C1CC1 ZINC000886297533 592202366 /nfs/dbraw/zinc/20/23/66/592202366.db2.gz UFVIEJXANHEPHN-UHFFFAOYSA-N 0 3 225.279 2.866 20 0 BFADHN COc1ccc(F)cc1CCNCc1ccoc1 ZINC000886312225 592206145 /nfs/dbraw/zinc/20/61/45/592206145.db2.gz XJYCGTWSVSFBHX-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN Clc1cccc(OCCN2C[C@@H]3C[C@@H]3C2)c1 ZINC000886326439 592208656 /nfs/dbraw/zinc/20/86/56/592208656.db2.gz KFFIRNWKNKOFGO-PHIMTYICSA-N 0 3 237.730 2.671 20 0 BFADHN Cc1ccccc1CNC[C@@H](O)c1ccsc1 ZINC000219107609 592208725 /nfs/dbraw/zinc/20/87/25/592208725.db2.gz QQQUEJYTDPQBJJ-CQSZACIVSA-N 0 3 247.363 2.880 20 0 BFADHN CSc1ccccc1CN1C[C@@H]2C[C@@H]2C1 ZINC000886346114 592213018 /nfs/dbraw/zinc/21/30/18/592213018.db2.gz AXAIGQJHXPDOGH-TXEJJXNPSA-N 0 3 219.353 2.860 20 0 BFADHN CC(C)[C@H](NCc1ccccc1)c1cnn(C)c1 ZINC000886489394 592236126 /nfs/dbraw/zinc/23/61/26/592236126.db2.gz HTLSNWHXAHFFTB-HNNXBMFYSA-N 0 3 243.354 2.907 20 0 BFADHN COC(C)(C)C[C@@H](C)N[C@@H]1CCc2cccnc21 ZINC000886533372 592249836 /nfs/dbraw/zinc/24/98/36/592249836.db2.gz ZMZGNTMLZNKOCC-DGCLKSJQSA-N 0 3 248.370 2.862 20 0 BFADHN C[C@H]1CCN(Cc2c[nH]cn2)[C@H]1c1ccccc1 ZINC000886540630 592254071 /nfs/dbraw/zinc/25/40/71/592254071.db2.gz IRYSYZDJLVBJKR-SWLSCSKDSA-N 0 3 241.338 2.993 20 0 BFADHN C[C@H]1CCN(Cc2cnc[nH]2)[C@H]1c1ccccc1 ZINC000886540630 592254076 /nfs/dbraw/zinc/25/40/76/592254076.db2.gz IRYSYZDJLVBJKR-SWLSCSKDSA-N 0 3 241.338 2.993 20 0 BFADHN CC(=O)CN1CC[C@H](C)[C@@H]1c1ccccc1 ZINC000886541457 592256216 /nfs/dbraw/zinc/25/62/16/592256216.db2.gz WQGYLRHMDALTLJ-SMDDNHRTSA-N 0 3 217.312 2.659 20 0 BFADHN CC1(N[C@H]2CCc3cccnc32)CCC1 ZINC000886585811 592268442 /nfs/dbraw/zinc/26/84/42/592268442.db2.gz GEJKVWUNHBFILW-NSHDSACASA-N 0 3 202.301 2.601 20 0 BFADHN C[C@@H](c1ccccc1F)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000886580623 592269353 /nfs/dbraw/zinc/26/93/53/592269353.db2.gz QSORRHFPOALGIQ-MEDZGJRSSA-N 0 3 249.329 2.589 20 0 BFADHN CC/C=C/CN[C@@H](c1cnn(C)c1)C(C)C ZINC000886618694 592277775 /nfs/dbraw/zinc/27/77/75/592277775.db2.gz IHUVAZRKKDEXAL-KTRBRXNASA-N 0 3 221.348 2.673 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)nn1C ZINC000886694553 592304827 /nfs/dbraw/zinc/30/48/27/592304827.db2.gz YFTYFXNUBHDBKG-QJPDTAOJSA-N 0 3 247.386 2.671 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C)c1nccn1C ZINC000886693572 592305018 /nfs/dbraw/zinc/30/50/18/592305018.db2.gz DVQWTIXLLQYWQO-BJJPWKGXSA-N 0 3 247.386 2.753 20 0 BFADHN c1cn2c(n1)[C@@H](NC1CCC=CCC1)CCC2 ZINC000886815780 592337779 /nfs/dbraw/zinc/33/77/79/592337779.db2.gz JRBUINKAQWDVII-ZDUSSCGKSA-N 0 3 231.343 2.806 20 0 BFADHN Fc1ccc(CN2CC[C@H]([C@H]3CCCO3)C2)cc1 ZINC000886821523 592345487 /nfs/dbraw/zinc/34/54/87/592345487.db2.gz DCHMPFOXAPFLCQ-DZGCQCFKSA-N 0 3 249.329 2.827 20 0 BFADHN Fc1ccccc1CN1CC[C@H]([C@H]2CCCO2)C1 ZINC000886832715 592354565 /nfs/dbraw/zinc/35/45/65/592354565.db2.gz LYQKDVWBCMSMQT-DZGCQCFKSA-N 0 3 249.329 2.827 20 0 BFADHN Fc1ccccc1CN1CC[C@H]([C@@H]2CCCO2)C1 ZINC000886832718 592354992 /nfs/dbraw/zinc/35/49/92/592354992.db2.gz LYQKDVWBCMSMQT-ZFWWWQNUSA-N 0 3 249.329 2.827 20 0 BFADHN CN(CCCF)Cc1cc2ccccc2[nH]c1=O ZINC000887138951 592452776 /nfs/dbraw/zinc/45/27/76/592452776.db2.gz SLPYKYAQNMCCEP-UHFFFAOYSA-N 0 3 248.301 2.732 20 0 BFADHN CN(CCCF)C[C@@H](O)c1ccc(Cl)cc1 ZINC000887141198 592455044 /nfs/dbraw/zinc/45/50/44/592455044.db2.gz JRNTYIDWIAIRQE-GFCCVEGCSA-N 0 3 245.725 2.665 20 0 BFADHN CN(CCCF)Cc1ccc(-n2ccnc2)cc1 ZINC000887139955 592455308 /nfs/dbraw/zinc/45/53/08/592455308.db2.gz LBPJASGHEFVJQI-UHFFFAOYSA-N 0 3 247.317 2.664 20 0 BFADHN CC(C)C[C@H](O)CN[C@H](C)c1ccccc1F ZINC000222935767 592511228 /nfs/dbraw/zinc/51/12/28/592511228.db2.gz XNPFMZMEWXDGRF-NEPJUHHUSA-N 0 3 239.334 2.883 20 0 BFADHN CCn1cc(CN2CCCC23CCCCC3)nn1 ZINC000887545138 592522581 /nfs/dbraw/zinc/52/25/81/592522581.db2.gz HNDNMZFZDXDXHL-UHFFFAOYSA-N 0 3 248.374 2.597 20 0 BFADHN c1ccc2ncc(CN[C@@H]3CCCOC3)cc2c1 ZINC000301314317 592533091 /nfs/dbraw/zinc/53/30/91/592533091.db2.gz GQOOBXIBWUDNEF-CQSZACIVSA-N 0 3 242.322 2.503 20 0 BFADHN CCc1cc(NC(=O)[C@@H](N)CC2CC2)ccc1C ZINC000302658183 592551246 /nfs/dbraw/zinc/55/12/46/592551246.db2.gz IRWJJALBAZGXGK-AWEZNQCLSA-N 0 3 246.354 2.623 20 0 BFADHN CN[C@@H]1CCN1[C@H](C)C[C@@H](C)CC(C)C ZINC001246900374 988674967 /nfs/dbraw/zinc/67/49/67/988674967.db2.gz RIUWGWRFSDRWGG-XQQFMLRXSA-N 0 3 212.381 2.698 20 0 BFADHN CC[C@@H](C)[C@@H](C(=O)OC)N(C)CC(C)(C)C ZINC001559518168 991135288 /nfs/dbraw/zinc/13/52/88/991135288.db2.gz MGNGXYZADSHPON-MNOVXSKESA-N 0 3 229.364 2.552 20 0 BFADHN CSC(C)(C)C[C@H](C)N1CCOC[C@H]1C ZINC001258335800 991247258 /nfs/dbraw/zinc/24/72/58/991247258.db2.gz PRDFSGRXUGAREY-WDEREUQCSA-N 0 3 231.405 2.627 20 0 BFADHN Cc1ccc(CN[C@H]2CCCc3n[nH]cc32)s1 ZINC000335765451 634517090 /nfs/dbraw/zinc/51/70/90/634517090.db2.gz QAEVUQXVIMDVAW-LBPRGKRZSA-N 0 3 247.367 2.947 20 0 BFADHN Cc1cncc([C@H]2CCCN2CCOC2CC2)c1 ZINC000931567772 634597967 /nfs/dbraw/zinc/59/79/67/634597967.db2.gz XUEFUERIVVIKMN-OAHLLOKOSA-N 0 3 246.354 2.706 20 0 BFADHN c1[nH]nc2c1[C@@H](NCc1ccccc1)CCC2 ZINC000336116249 634606287 /nfs/dbraw/zinc/60/62/87/634606287.db2.gz JGHOUTOKKHNCOG-ZDUSSCGKSA-N 0 3 227.311 2.577 20 0 BFADHN Cc1conc1CN1CC[C@@H](C)C[C@@H](C)C1 ZINC000932125620 635587991 /nfs/dbraw/zinc/58/79/91/635587991.db2.gz BXLNZYUTIOKSGQ-GHMZBOCLSA-N 0 3 222.332 2.851 20 0 BFADHN CCN(CC)Cc1c(C)nn(C(C)C)c1C ZINC000891436884 631252917 /nfs/dbraw/zinc/25/29/17/631252917.db2.gz REQOUAFNGLUBMN-UHFFFAOYSA-N 0 3 223.364 2.923 20 0 BFADHN c1nn(C2CCC2)cc1CN1CCCCCC1 ZINC000891445935 631318975 /nfs/dbraw/zinc/31/89/75/631318975.db2.gz YIIJRJOUOPIQOL-UHFFFAOYSA-N 0 3 233.359 2.984 20 0 BFADHN CCN(CCO)Cc1ccc(C(F)F)c(F)c1 ZINC000891451037 631350729 /nfs/dbraw/zinc/35/07/29/631350729.db2.gz MCZZWHDSPBLENB-UHFFFAOYSA-N 0 3 247.260 2.578 20 0 BFADHN CN(CCc1cccs1)Cc1cccc(=O)[nH]1 ZINC000933397248 631357905 /nfs/dbraw/zinc/35/79/05/631357905.db2.gz ANJPBRHULOWFHV-UHFFFAOYSA-N 0 3 248.351 2.523 20 0 BFADHN CC1CCN(Cc2cn3ccnc3s2)CC1 ZINC000891454069 631366045 /nfs/dbraw/zinc/36/60/45/631366045.db2.gz KRWAKBVWBLSWNV-UHFFFAOYSA-N 0 3 235.356 2.628 20 0 BFADHN CC1CCN(Cc2cnn(CC3CCC3)c2)CC1 ZINC000891455188 631366941 /nfs/dbraw/zinc/36/69/41/631366941.db2.gz XMVABVDUPFTGQG-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1cc(CN(C)C2CC2)c2c(n1)CCCC2 ZINC000891620065 631413286 /nfs/dbraw/zinc/41/32/86/631413286.db2.gz NLOHBKLEHGRQNM-UHFFFAOYSA-N 0 3 230.355 2.863 20 0 BFADHN C[C@H](NC/C(Cl)=C\Cl)C1CCOCC1 ZINC000308623871 631447011 /nfs/dbraw/zinc/44/70/11/631447011.db2.gz ISYFCPFGZKSERJ-QMEHYBMDSA-N 0 3 238.158 2.710 20 0 BFADHN Cc1cc(NCCC2CCCCC2)nc(N)n1 ZINC000088263546 631490302 /nfs/dbraw/zinc/49/03/02/631490302.db2.gz FFBAHFPXZDOUAL-UHFFFAOYSA-N 0 3 234.347 2.750 20 0 BFADHN CCCCCC(C)(C)CNCc1ncnn1C ZINC000094987655 631584496 /nfs/dbraw/zinc/58/44/96/631584496.db2.gz IGVAGOVVXLZVET-UHFFFAOYSA-N 0 3 238.379 2.511 20 0 BFADHN C[C@@H](O)[C@H](C)NCc1ccc(Cl)cc1Cl ZINC000308864828 631701689 /nfs/dbraw/zinc/70/16/89/631701689.db2.gz ACFNFLBMUIBTMV-JGVFFNPUSA-N 0 3 248.153 2.852 20 0 BFADHN Cc1nc(CN[C@H]2CC[C@H]2C(C)C)cs1 ZINC000308858212 631699299 /nfs/dbraw/zinc/69/92/99/631699299.db2.gz QPRZRIFSJOQZNF-RYUDHWBXSA-N 0 3 224.373 2.976 20 0 BFADHN CCCCC1(N[C@@H](C)c2cnccn2)CC1 ZINC000934204286 631732895 /nfs/dbraw/zinc/73/28/95/631732895.db2.gz AXBHVJIMOXXKBX-NSHDSACASA-N 0 3 219.332 2.850 20 0 BFADHN Cc1nnsc1[C@@H](C)N1C[C@@H]2CCC[C@@H]2C1 ZINC000934344586 631792860 /nfs/dbraw/zinc/79/28/60/631792860.db2.gz DSVABXJGEJMCMB-MXWKQRLJSA-N 0 3 237.372 2.639 20 0 BFADHN Cc1nc(CNCCC(C)C)sc1C ZINC000049815202 631915722 /nfs/dbraw/zinc/91/57/22/631915722.db2.gz VTQROGIRLLEHRE-UHFFFAOYSA-N 0 3 212.362 2.896 20 0 BFADHN CCCC[C@H](CC)CN1CC[C@@H](O)[C@@H](F)C1 ZINC000934565504 631931077 /nfs/dbraw/zinc/93/10/77/631931077.db2.gz COLZYIWAGRLMMJ-RWMBFGLXSA-N 0 3 231.355 2.608 20 0 BFADHN CCC[C@H](N[C@@H]1COC[C@H]1C)c1ccccn1 ZINC000924945236 635797348 /nfs/dbraw/zinc/79/73/48/635797348.db2.gz DOABSXQAMPFSLZ-KWCYVHTRSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)=CCC[C@@H](C)N[C@@H](C)c1cnn(C)n1 ZINC000926513312 632413049 /nfs/dbraw/zinc/41/30/49/632413049.db2.gz MBDYVTXBUIMSQV-NEPJUHHUSA-N 0 3 236.363 2.601 20 0 BFADHN CC(C)=CCC[C@@H](C)N[C@H](C)c1cnn(C)n1 ZINC000926513316 632413183 /nfs/dbraw/zinc/41/31/83/632413183.db2.gz MBDYVTXBUIMSQV-VXGBXAGGSA-N 0 3 236.363 2.601 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@H](C)c1ccns1)C1CC1 ZINC000926539895 632462300 /nfs/dbraw/zinc/46/23/00/632462300.db2.gz LENWXUOEOLNMBJ-LNLATYFQSA-N 0 3 240.372 2.607 20 0 BFADHN Fc1ccc(-c2cncnc2)cc1CNC1CC1 ZINC000052886635 632515664 /nfs/dbraw/zinc/51/56/64/632515664.db2.gz MQHFJXVUNJBOCS-UHFFFAOYSA-N 0 3 243.285 2.535 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@H]1CC1(C)C ZINC000926580329 632589706 /nfs/dbraw/zinc/58/97/06/632589706.db2.gz OYIGRBDGKNBKRG-XCBNKYQSSA-N 0 3 208.305 2.741 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@H]1NCc1ccon1 ZINC000235307263 632655207 /nfs/dbraw/zinc/65/52/07/632655207.db2.gz USCRXVHLGFHMBX-MWLCHTKSSA-N 0 3 208.305 2.589 20 0 BFADHN Cc1nnc(CNCC2CCCCCC2)s1 ZINC000309720176 632656158 /nfs/dbraw/zinc/65/61/58/632656158.db2.gz VUKIHWVUJMKGMO-UHFFFAOYSA-N 0 3 239.388 2.907 20 0 BFADHN Cc1cc(CNC[C@H]2CCC=CO2)c(C)o1 ZINC000309843367 632674637 /nfs/dbraw/zinc/67/46/37/632674637.db2.gz FHJROPBGTQWTJM-CYBMUJFWSA-N 0 3 221.300 2.679 20 0 BFADHN CCCN[C@H]1COCc2cccc(Cl)c21 ZINC000924526714 632681751 /nfs/dbraw/zinc/68/17/51/632681751.db2.gz WYGMUESJVSCNGV-NSHDSACASA-N 0 3 225.719 2.911 20 0 BFADHN CC[C@@H](N[C@@H]1CC12CC2)c1cccc(OC)n1 ZINC000926614365 632687803 /nfs/dbraw/zinc/68/78/03/632687803.db2.gz JIJHIBMEKOLQHB-ZYHUDNBSSA-N 0 3 232.327 2.683 20 0 BFADHN CCCC[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000892480031 632764396 /nfs/dbraw/zinc/76/43/96/632764396.db2.gz XWISLIGAZSMFKZ-UHFFFAOYSA-N 0 3 213.708 2.935 20 0 BFADHN CCCC[C@H](CC)CN[C@@H](C)c1cnn(C)n1 ZINC000924537858 632774794 /nfs/dbraw/zinc/77/47/94/632774794.db2.gz PGUYXQIHFRHLIC-RYUDHWBXSA-N 0 3 238.379 2.682 20 0 BFADHN CCCCN1CCc2c(F)cccc2C1 ZINC000152769292 632807969 /nfs/dbraw/zinc/80/79/69/632807969.db2.gz DTKNDRDVRTXKJT-UHFFFAOYSA-N 0 3 207.292 2.984 20 0 BFADHN C[C@@H]1C[C@H](C)C[N@H+]1Cc1ccc([O-])c(F)c1 ZINC000235706656 632817352 /nfs/dbraw/zinc/81/73/52/632817352.db2.gz YEEPZYHEZWMIIX-VHSXEESVSA-N 0 3 223.291 2.762 20 0 BFADHN C[C@@H]1C[C@H](C)C[N@@H+]1Cc1ccc([O-])c(F)c1 ZINC000235706656 632817355 /nfs/dbraw/zinc/81/73/55/632817355.db2.gz YEEPZYHEZWMIIX-VHSXEESVSA-N 0 3 223.291 2.762 20 0 BFADHN CC1(C)CC[C@@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000309218110 632819883 /nfs/dbraw/zinc/81/98/83/632819883.db2.gz PTEDRJJXBWEIOB-LBPRGKRZSA-N 0 3 223.291 2.810 20 0 BFADHN CCCN(CCC)CN1C(=O)C(C)(C)C1(C)C ZINC000177680622 632859360 /nfs/dbraw/zinc/85/93/60/632859360.db2.gz TZVRPALEUVBPJJ-UHFFFAOYSA-N 0 3 240.391 2.713 20 0 BFADHN CC(C)[C@H]1CCCN(c2ccncc2CO)CC1 ZINC000126519854 635847737 /nfs/dbraw/zinc/84/77/37/635847737.db2.gz VJZNRSBHZUUULA-ZDUSSCGKSA-N 0 3 248.370 2.836 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(Cl)n2)[C@@H]1C ZINC000177879329 632895494 /nfs/dbraw/zinc/89/54/94/632895494.db2.gz YQUUFUYNFZLHFU-NXEZZACHSA-N 0 3 224.735 2.965 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NCC1CC=CC1 ZINC000926744081 632941428 /nfs/dbraw/zinc/94/14/28/632941428.db2.gz LCDZLCMQXNKAKZ-GFCCVEGCSA-N 0 3 232.327 2.916 20 0 BFADHN Cc1ccccc1[C@@H](O)CN[C@@H](C)c1ccoc1 ZINC000178126067 632949185 /nfs/dbraw/zinc/94/91/85/632949185.db2.gz QPMKZRJHQCJUON-WFASDCNBSA-N 0 3 245.322 2.972 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@H]1CCCC12CC2 ZINC000926774624 633033446 /nfs/dbraw/zinc/03/34/46/633033446.db2.gz ATRCTDAPDQRVRY-GXSJLCMTSA-N 0 3 235.331 2.609 20 0 BFADHN COCC1(N[C@@H](C)c2ccc(Cl)nc2)CC1 ZINC000309458655 633090389 /nfs/dbraw/zinc/09/03/89/633090389.db2.gz NHCWLBKTELVLAC-VIFPVBQESA-N 0 3 240.734 2.565 20 0 BFADHN C[C@H](N[C@@H]1CCc2ccccc21)c1ccnnc1 ZINC000924591049 633115481 /nfs/dbraw/zinc/11/54/81/633115481.db2.gz UMONCUPUBONHHY-XHDPSFHLSA-N 0 3 239.322 2.815 20 0 BFADHN CCCOC(=O)[C@@H](C)N(CC)C1CCCCC1 ZINC000303942328 635934668 /nfs/dbraw/zinc/93/46/68/635934668.db2.gz TULKZQAZAWSWPX-GFCCVEGCSA-N 0 3 241.375 2.983 20 0 BFADHN Cc1cc(CN[C@@H]2CCc3cccc(C)c32)[nH]n1 ZINC000925102350 636015998 /nfs/dbraw/zinc/01/59/98/636015998.db2.gz JHXVKOLEVLXUOT-CQSZACIVSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1cc(CN[C@@H]2CCc3cccc(C)c32)n[nH]1 ZINC000925102350 636016000 /nfs/dbraw/zinc/01/60/00/636016000.db2.gz JHXVKOLEVLXUOT-CQSZACIVSA-N 0 3 241.338 2.804 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1cccnc1Cl ZINC000307528322 635989827 /nfs/dbraw/zinc/98/98/27/635989827.db2.gz GLRDXJLYISGSQC-PSASIEDQSA-N 0 3 210.708 2.623 20 0 BFADHN C[C@@H](C1CC1)N1CCC2(C1)Oc1ccccc1O2 ZINC000930501816 633851534 /nfs/dbraw/zinc/85/15/34/633851534.db2.gz BLCXINDVAPJDJY-NSHDSACASA-N 0 3 245.322 2.658 20 0 BFADHN c1cc(CCN2CCCc3occc3C2)sn1 ZINC000930506820 633855617 /nfs/dbraw/zinc/85/56/17/633855617.db2.gz XKGOJSMCJRWTFQ-UHFFFAOYSA-N 0 3 248.351 2.727 20 0 BFADHN COc1cc([C@@H](C)NCCC2CCC2)on1 ZINC000925515974 633868139 /nfs/dbraw/zinc/86/81/39/633868139.db2.gz KDTBEHCTABBSFM-SECBINFHSA-N 0 3 224.304 2.524 20 0 BFADHN CCC(CC)(CC)CN[C@@H](C)c1cnn(C)n1 ZINC000925525203 633901146 /nfs/dbraw/zinc/90/11/46/633901146.db2.gz AVVXBILJRFEFEN-NSHDSACASA-N 0 3 238.379 2.682 20 0 BFADHN CS[C@H]1CCN(Cc2cc(C)cc(C)n2)C1 ZINC000930609825 633932766 /nfs/dbraw/zinc/93/27/66/633932766.db2.gz MLZDXHHIBNZYNT-ZDUSSCGKSA-N 0 3 236.384 2.636 20 0 BFADHN CN(CCCc1cccnc1)Cc1ccccn1 ZINC000930614429 633936192 /nfs/dbraw/zinc/93/61/92/633936192.db2.gz ABOWZSSWGZUITE-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN Cc1cc([C@@H](C)NCCC2CCC2)nn1C ZINC000309504544 633938432 /nfs/dbraw/zinc/93/84/32/633938432.db2.gz VPPOTGXPVMHZNL-LLVKDONJSA-N 0 3 221.348 2.569 20 0 BFADHN COC1([C@@H](C)NCc2cc(C)cc(C)n2)CCC1 ZINC000930721722 634068453 /nfs/dbraw/zinc/06/84/53/634068453.db2.gz JWMZQTORVXREHM-CYBMUJFWSA-N 0 3 248.370 2.746 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2CCC(C)(C)C2)on1 ZINC000925678450 634271698 /nfs/dbraw/zinc/27/16/98/634271698.db2.gz URTFPSZFMHOHBZ-VHSXEESVSA-N 0 3 238.331 2.913 20 0 BFADHN COc1cc([C@@H](C)N[C@@H]2CCC(C)(C)C2)on1 ZINC000925678447 634272212 /nfs/dbraw/zinc/27/22/12/634272212.db2.gz URTFPSZFMHOHBZ-NXEZZACHSA-N 0 3 238.331 2.913 20 0 BFADHN COc1cc([C@@H](C)N[C@H]2CCC(C)(C)C2)on1 ZINC000925678452 634273040 /nfs/dbraw/zinc/27/30/40/634273040.db2.gz URTFPSZFMHOHBZ-ZJUUUORDSA-N 0 3 238.331 2.913 20 0 BFADHN COC(OC)[C@@H](C)NCc1ccc(C2CC2)cc1 ZINC000094519975 635367441 /nfs/dbraw/zinc/36/74/41/635367441.db2.gz FQCUEZZXTWEOTH-LLVKDONJSA-N 0 3 249.354 2.661 20 0 BFADHN CCC(CC)(CNCc1c[nH]cn1)C(F)(F)F ZINC000895453542 636144589 /nfs/dbraw/zinc/14/45/89/636144589.db2.gz MDLIRGJQQGCVMI-UHFFFAOYSA-N 0 3 249.280 2.868 20 0 BFADHN CC[C@H](N[C@@H](C)[C@@H](C)O)c1cc(F)ccc1F ZINC000341981725 636311595 /nfs/dbraw/zinc/31/15/95/636311595.db2.gz JOFVVGUEYVHWFF-RWEMILLDSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@H](N[C@H]1CCCc2[nH]ccc21)c1ccnnc1 ZINC000925223760 636416028 /nfs/dbraw/zinc/41/60/28/636416028.db2.gz CNISAHXLRILKCG-HZMBPMFUSA-N 0 3 242.326 2.533 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@@H](C)c1nonc1C ZINC000925256052 636527446 /nfs/dbraw/zinc/52/74/46/636527446.db2.gz HZAOJYBBOAIEBH-GDPRMGEGSA-N 0 3 223.320 2.607 20 0 BFADHN C[C@@H]([NH2+]C1CC=CC1)c1cc([O-])cc(F)c1 ZINC000925271945 636693100 /nfs/dbraw/zinc/69/31/00/636693100.db2.gz VFCNMTRDJSJTQL-SECBINFHSA-N 0 3 221.275 2.901 20 0 BFADHN CC(C)OC[C@H](C)N[C@H](C)c1cncs1 ZINC000897932806 636846539 /nfs/dbraw/zinc/84/65/39/636846539.db2.gz QBXYWABBJXZQIH-VHSXEESVSA-N 0 3 228.361 2.607 20 0 BFADHN C[C@H]([NH2+]CC1CC1)c1cc([O-])cc(F)c1 ZINC000924625428 636940723 /nfs/dbraw/zinc/94/07/23/636940723.db2.gz GQUVUBZGTXGPCV-QMMMGPOBSA-N 0 3 209.264 2.592 20 0 BFADHN C[C@@H](NC[C@@]1(C)CCCCO1)c1ccccn1 ZINC000927017625 636989067 /nfs/dbraw/zinc/98/90/67/636989067.db2.gz JTZUAZYTKMNYEJ-TZMCWYRMSA-N 0 3 234.343 2.691 20 0 BFADHN C[C@H](NCC[C@@H]1CCC2(CCC2)O1)c1ncc[nH]1 ZINC000927124169 637039872 /nfs/dbraw/zinc/03/98/72/637039872.db2.gz HSDFUMHYPWVLOX-RYUDHWBXSA-N 0 3 249.358 2.552 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2COC[C@@H]2C2CC2)o1 ZINC000903437166 637360373 /nfs/dbraw/zinc/36/03/73/637360373.db2.gz LYQDDMURRNMUIP-UHTWSYAYSA-N 0 3 235.327 2.664 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2COC[C@H]2C2CC2)o1 ZINC000903437167 637361019 /nfs/dbraw/zinc/36/10/19/637361019.db2.gz LYQDDMURRNMUIP-WCFLWFBJSA-N 0 3 235.327 2.664 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@H]1C[C@@H]1C ZINC000924796055 637390978 /nfs/dbraw/zinc/39/09/78/637390978.db2.gz ALVSWRZHOKKLEI-GDPRMGEGSA-N 0 3 220.316 2.606 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@@H]1C[C@@H]1C ZINC000924796065 637391839 /nfs/dbraw/zinc/39/18/39/637391839.db2.gz ALVSWRZHOKKLEI-LSJOCFKGSA-N 0 3 220.316 2.606 20 0 BFADHN C[C@H](c1noc([C@@]2(C)CCCN2)n1)C(C)(C)C ZINC000904612177 637443582 /nfs/dbraw/zinc/44/35/82/637443582.db2.gz JBRGYHMWTGFAIW-NOZJJQNGSA-N 0 3 237.347 2.818 20 0 BFADHN COc1ccc(-c2ccc(CN(C)C)s2)nc1 ZINC000904865493 637513373 /nfs/dbraw/zinc/51/33/73/637513373.db2.gz ZADVPAIDXWSTDT-UHFFFAOYSA-N 0 3 248.351 2.880 20 0 BFADHN CCC[C@H](C)CCN[C@@H](C(N)=O)c1ccccc1 ZINC000905776649 637907115 /nfs/dbraw/zinc/90/71/15/637907115.db2.gz JFOJDAANSPPHGG-GXTWGEPZSA-N 0 3 248.370 2.629 20 0 BFADHN CCCCCC[C@H](O)CNCc1ccccn1 ZINC000905871857 637957168 /nfs/dbraw/zinc/95/71/68/637957168.db2.gz ZBBBZURKZGWVCD-AWEZNQCLSA-N 0 3 236.359 2.503 20 0 BFADHN Cc1ccsc1-c1cnc([C@@H]2CCCN2)nc1 ZINC000906166107 638080026 /nfs/dbraw/zinc/08/00/26/638080026.db2.gz XSMDFVVOWUFVHF-NSHDSACASA-N 0 3 245.351 2.938 20 0 BFADHN CCCCNCc1cc(F)cnc1Cl ZINC000906530771 638197896 /nfs/dbraw/zinc/19/78/96/638197896.db2.gz BRCLZPVDGNFGMQ-UHFFFAOYSA-N 0 3 216.687 2.764 20 0 BFADHN CCCCNCc1noc2cc(F)ccc12 ZINC000906533893 638201707 /nfs/dbraw/zinc/20/17/07/638201707.db2.gz JOGQLXZZKUNYKD-UHFFFAOYSA-N 0 3 222.263 2.857 20 0 BFADHN CN(Cc1cn[nH]c1)[C@H]1C[C@@H]1c1cccc(F)c1 ZINC000906592949 638215495 /nfs/dbraw/zinc/21/54/95/638215495.db2.gz SFLAKEKVJVXDKU-KGLIPLIRSA-N 0 3 245.301 2.537 20 0 BFADHN FC(F)c1ccc(CNCc2cnc[nH]2)cc1 ZINC000150174165 639390266 /nfs/dbraw/zinc/39/02/66/639390266.db2.gz UGPZOFUJPRIKNC-UHFFFAOYSA-N 0 3 237.253 2.637 20 0 BFADHN CC(C)n1cc([C@H](C)NC[C@@H](C)C2CC2)nn1 ZINC000924829989 639407268 /nfs/dbraw/zinc/40/72/68/639407268.db2.gz YWHFSVFQJAQICJ-MNOVXSKESA-N 0 3 236.363 2.556 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1ncccn1 ZINC000930229093 639642440 /nfs/dbraw/zinc/64/24/40/639642440.db2.gz YOZFAVGSUHZWKO-HBNTYKKESA-N 0 3 207.321 2.562 20 0 BFADHN CO[C@]1(C)CCCN(Cc2cc(C)cc(C)n2)C1 ZINC000930271097 639677110 /nfs/dbraw/zinc/67/71/10/639677110.db2.gz UNUZZUCYIQEBKR-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CC[C@@H]1CN(Cc2cc(C)cc(C)n2)CCCO1 ZINC000930317989 639701213 /nfs/dbraw/zinc/70/12/13/639701213.db2.gz XHQIWFRTFXGOPG-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CO[C@H]1CCCC[C@@H]1NCc1cc(C)cc(C)n1 ZINC000930325521 639706782 /nfs/dbraw/zinc/70/67/82/639706782.db2.gz SPYVFDCBMMBHTC-GJZGRUSLSA-N 0 3 248.370 2.746 20 0 BFADHN CC[C@@H]1C[C@H]1CN1CCCC[C@H]1c1cc[nH]n1 ZINC000930447820 639756507 /nfs/dbraw/zinc/75/65/07/639756507.db2.gz IQSGYWJLAXGSDX-DYEKYZERSA-N 0 3 233.359 2.983 20 0 BFADHN CCC(CC)N(CC)Cc1nn(C)cc1C ZINC000930502495 639785037 /nfs/dbraw/zinc/78/50/37/639785037.db2.gz KJIOTDZSGZPUGV-UHFFFAOYSA-N 0 3 223.364 2.739 20 0 BFADHN CCN(C[C@H](C)OC)[C@@H](C)c1ccc(F)nc1 ZINC000930542101 639807415 /nfs/dbraw/zinc/80/74/15/639807415.db2.gz YMMUEYABVIZJPQ-QWRGUYRKSA-N 0 3 240.322 2.639 20 0 BFADHN CCN(C[C@@H](C)OC)[C@@H](C)c1ccc(F)nc1 ZINC000930542100 639808266 /nfs/dbraw/zinc/80/82/66/639808266.db2.gz YMMUEYABVIZJPQ-MNOVXSKESA-N 0 3 240.322 2.639 20 0 BFADHN C[C@H](N[C@@H]1CC2CCC1CC2)c1ncccn1 ZINC000930565752 639811848 /nfs/dbraw/zinc/81/18/48/639811848.db2.gz BDMOWLKXPRZXCD-YWPUVAFDSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@H]1CN(CCSc2ccncc2)[C@H]1C ZINC000930582770 639812541 /nfs/dbraw/zinc/81/25/41/639812541.db2.gz QVCUUGYMZBLZRH-QWRGUYRKSA-N 0 3 222.357 2.514 20 0 BFADHN C[C@@H](c1ncccn1)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000930635668 639833615 /nfs/dbraw/zinc/83/36/15/639833615.db2.gz CXEYOKOSDVKYKG-RWMBFGLXSA-N 0 3 231.343 2.660 20 0 BFADHN COc1ccc2c(c1)CN([C@H](C)C1CC1)CCO2 ZINC000930643398 639840925 /nfs/dbraw/zinc/84/09/25/639840925.db2.gz VRVLCEPDBRPYFK-LLVKDONJSA-N 0 3 247.338 2.688 20 0 BFADHN COc1cccc2c1CN([C@H](C)C1CC1)CC2 ZINC000930651775 639845247 /nfs/dbraw/zinc/84/52/47/639845247.db2.gz BBERPANBMVOVLX-LLVKDONJSA-N 0 3 231.339 2.852 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2nn(C)cc2C)C1 ZINC000930660302 639850810 /nfs/dbraw/zinc/85/08/10/639850810.db2.gz LGRUWJDTTRHSGQ-QWHCGFSZSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@H](CC(C)(C)C)C1 ZINC000930669397 639853744 /nfs/dbraw/zinc/85/37/44/639853744.db2.gz DPZKZSWSXIHIPG-CYBMUJFWSA-N 0 3 249.402 2.987 20 0 BFADHN CC[C@H](NCc1c(F)cncc1F)C1CC1 ZINC000931020497 640023782 /nfs/dbraw/zinc/02/37/82/640023782.db2.gz AKWKPXXSCMIPEL-LBPRGKRZSA-N 0 3 226.270 2.638 20 0 BFADHN CC12CC(C1)CN2C[C@H]1CC[C@@H](C(F)(F)F)O1 ZINC000931078592 640058619 /nfs/dbraw/zinc/05/86/19/640058619.db2.gz AHHMCGMXVSRJSJ-WIFZPCQCSA-N 0 3 249.276 2.581 20 0 BFADHN C[C@@H](C1CC1)N1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000931081332 640062761 /nfs/dbraw/zinc/06/27/61/640062761.db2.gz ZEMZSLHJMJBKPK-PTRXPTGYSA-N 0 3 233.302 2.529 20 0 BFADHN c1nnc([C@@H]2CCCN2CCCC2CCCC2)[nH]1 ZINC000931135139 640071726 /nfs/dbraw/zinc/07/17/26/640071726.db2.gz SUJHJBKPBGUUDN-ZDUSSCGKSA-N 0 3 248.374 2.912 20 0 BFADHN C[C@H]1CN(CC2CCCCC2)Cc2c[nH]nc21 ZINC000931456975 640211754 /nfs/dbraw/zinc/21/17/54/640211754.db2.gz ZVQWPTWIDYBGDX-NSHDSACASA-N 0 3 233.359 2.909 20 0 BFADHN CC/C=C/CCN1Cc2c[nH]nc2[C@@H](C)C1 ZINC000931461639 640220240 /nfs/dbraw/zinc/22/02/40/640220240.db2.gz WPBHZHOAQKZXFH-ZWNMCFTASA-N 0 3 219.332 2.685 20 0 BFADHN CC[C@@H](CO)N[C@@H](C)c1cccc(Cl)c1F ZINC000931775342 640368495 /nfs/dbraw/zinc/36/84/95/640368495.db2.gz WVFBAQYRCPGBNW-IUCAKERBSA-N 0 3 245.725 2.901 20 0 BFADHN CC/C=C\CCN1CC[C@@H](c2noc(C)n2)C1 ZINC000931940177 640399262 /nfs/dbraw/zinc/39/92/62/640399262.db2.gz WQOYWQCZEFRTNU-QMAVJUDZSA-N 0 3 235.331 2.524 20 0 BFADHN CCO[C@H]1CCCN(Cc2cc(C)oc2C)C1 ZINC000156523579 640463998 /nfs/dbraw/zinc/46/39/98/640463998.db2.gz AXKBBXWHGYIUMX-AWEZNQCLSA-N 0 3 237.343 2.897 20 0 BFADHN COc1cc(CN2CCO[C@H](C)CC2)ccc1C ZINC000932343849 640487053 /nfs/dbraw/zinc/48/70/53/640487053.db2.gz ULLFZSQJDPAHLA-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCO[C@H](C)CC1 ZINC000932346616 640491634 /nfs/dbraw/zinc/49/16/34/640491634.db2.gz WUFORTCPKSJUMU-VXGBXAGGSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCO[C@@H](C)CC1 ZINC000932351578 640493064 /nfs/dbraw/zinc/49/30/64/640493064.db2.gz ZYXMHISHEXXEEY-RYUDHWBXSA-N 0 3 237.318 2.998 20 0 BFADHN COc1ccc(C)cc1CN1CCO[C@H](C)CC1 ZINC000932350689 640494986 /nfs/dbraw/zinc/49/49/86/640494986.db2.gz VPZNETFFNLJQGU-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN C/C=C/CN[C@@H](c1ccccn1)C1CC1 ZINC000932383314 640511324 /nfs/dbraw/zinc/51/13/24/640511324.db2.gz XPPUQGYQMNNASX-YWVDXFKGSA-N 0 3 202.301 2.698 20 0 BFADHN Cc1cccc(Cl)c1CN[C@H]1COC[C@@H]1C ZINC000932487331 640552434 /nfs/dbraw/zinc/55/24/34/640552434.db2.gz AEPLGWTWNRQUIT-GWCFXTLKSA-N 0 3 239.746 2.773 20 0 BFADHN CC/C=C/CCN1CCn2cccc2C1 ZINC000932526690 640567403 /nfs/dbraw/zinc/56/74/03/640567403.db2.gz VRGDDGMZHAYPLE-ONEGZZNKSA-N 0 3 204.317 2.660 20 0 BFADHN Cc1conc1CN1C[C@@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1 ZINC000933706668 640751450 /nfs/dbraw/zinc/75/14/50/640751450.db2.gz XPWAXVSCMJLFRC-COMQUAJESA-N 0 3 246.354 2.851 20 0 BFADHN CC[C@H](CN(Cc1ccoc1)C1CC1)OC ZINC000934005513 640805699 /nfs/dbraw/zinc/80/56/99/640805699.db2.gz QCBAXDXKGWPQPE-CYBMUJFWSA-N 0 3 223.316 2.669 20 0 BFADHN C[C@H]1CCC[C@@H](c2noc([C@@]3(C)CCCN3)n2)C1 ZINC000227069750 640814056 /nfs/dbraw/zinc/81/40/56/640814056.db2.gz VRNFXSWCUKDODU-MISXGVKJSA-N 0 3 249.358 2.962 20 0 BFADHN CC(C)=CCN[C@@H]1CCc2cccnc21 ZINC000228855944 640899943 /nfs/dbraw/zinc/89/99/43/640899943.db2.gz XANSPUNNRDREOV-GFCCVEGCSA-N 0 3 202.301 2.625 20 0 BFADHN c1cc2c(s1)CCC[C@@H]2NCc1cnc[nH]1 ZINC000061360266 640957814 /nfs/dbraw/zinc/95/78/14/640957814.db2.gz GEJISFRRBBSFPN-NSHDSACASA-N 0 3 233.340 2.638 20 0 BFADHN CC(C)C(CNc1ccnc(CO)c1)C(C)C ZINC000229712613 640971487 /nfs/dbraw/zinc/97/14/87/640971487.db2.gz RNHSBNYSJIZXIR-UHFFFAOYSA-N 0 3 236.359 2.914 20 0 BFADHN CCN(Cc1cnc(Cl)cn1)CC1CCC1 ZINC000230313527 641045637 /nfs/dbraw/zinc/04/56/37/641045637.db2.gz PWNWCYOYYLEULM-UHFFFAOYSA-N 0 3 239.750 2.752 20 0 BFADHN Cc1cc(N[C@H](C)c2ccsc2)nc(N)n1 ZINC000087828443 641057951 /nfs/dbraw/zinc/05/79/51/641057951.db2.gz QXEFHNOTHJGTRO-MRVPVSSYSA-N 0 3 234.328 2.602 20 0 BFADHN CC[C@@H](NC1COC(C)(C)OC1)c1ccccc1 ZINC000230681566 641082725 /nfs/dbraw/zinc/08/27/25/641082725.db2.gz XHJKVENSXKHBPH-CQSZACIVSA-N 0 3 249.354 2.879 20 0 BFADHN CS[C@@H]1CC[C@@H](NCc2nccs2)C1 ZINC000230698092 641085028 /nfs/dbraw/zinc/08/50/28/641085028.db2.gz GXKASSOCQFIPSH-RKDXNWHRSA-N 0 3 228.386 2.517 20 0 BFADHN COc1ccc(C)cc1CN(C)[C@@H]1CC[C@H]1OC ZINC000934123811 641086513 /nfs/dbraw/zinc/08/65/13/641086513.db2.gz AUAAUDZDEOWGLZ-UKRRQHHQSA-N 0 3 249.354 2.613 20 0 BFADHN CCCCC1(NCC(=O)Nc2ccccc2)CC1 ZINC000934209421 641103375 /nfs/dbraw/zinc/10/33/75/641103375.db2.gz NNJNDSCZOPVFOM-UHFFFAOYSA-N 0 3 246.354 2.938 20 0 BFADHN CCCOC(=O)[C@H](C)N(CC(C)C)C(C)C ZINC000172748895 641106545 /nfs/dbraw/zinc/10/65/45/641106545.db2.gz LNRHOBWPRHHVIT-LBPRGKRZSA-N 0 3 229.364 2.695 20 0 BFADHN Cc1cccc(CN[C@@H]2CCOC23CCCC3)n1 ZINC000934380532 641135928 /nfs/dbraw/zinc/13/59/28/641135928.db2.gz BWPAXZVRXGVOBQ-CQSZACIVSA-N 0 3 246.354 2.581 20 0 BFADHN CC(C)CN(Cc1cnc(Cl)cn1)C1CC1 ZINC000231279397 641150776 /nfs/dbraw/zinc/15/07/76/641150776.db2.gz TUMNNZTZWBYKPT-UHFFFAOYSA-N 0 3 239.750 2.750 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC000932970804 641165747 /nfs/dbraw/zinc/16/57/47/641165747.db2.gz YKTVNUPUKAVMIJ-AWEZNQCLSA-N 0 3 237.318 2.745 20 0 BFADHN CCO[C@H]1CCN(Cc2c(C)cc(C)nc2C)C1 ZINC000932970183 641166116 /nfs/dbraw/zinc/16/61/16/641166116.db2.gz AAAUXYBCPOSPAW-AWEZNQCLSA-N 0 3 248.370 2.618 20 0 BFADHN C[C@@]1(C2CC2)COCCN1CC1=CCCC1 ZINC000934476661 641168535 /nfs/dbraw/zinc/16/85/35/641168535.db2.gz YBDVEZHBYGACKQ-AWEZNQCLSA-N 0 3 221.344 2.598 20 0 BFADHN C/C=C/CN[C@H](C)c1cnn(CC2CCC2)c1 ZINC000934553236 641194573 /nfs/dbraw/zinc/19/45/73/641194573.db2.gz DLEYHSYBZFGZAQ-AAOUONPWSA-N 0 3 233.359 2.910 20 0 BFADHN CCCN(C)CC(=O)NC1CCCCCCC1 ZINC000063762038 641228877 /nfs/dbraw/zinc/22/88/77/641228877.db2.gz QAUKDGHNKIXLPO-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN Cn1cnc(CNC2(C3CCCCC3)CC2)c1 ZINC000934790295 641272009 /nfs/dbraw/zinc/27/20/09/641272009.db2.gz XNHMELIXCROYSG-UHFFFAOYSA-N 0 3 233.359 2.623 20 0 BFADHN [O-]c1ccc(C[NH2+]CCC(F)(F)F)cc1F ZINC000232422711 641276047 /nfs/dbraw/zinc/27/60/47/641276047.db2.gz DKMANTFUTPWTAA-UHFFFAOYSA-N 0 3 237.196 2.573 20 0 BFADHN Oc1ccc(CNCCC(F)(F)F)cc1F ZINC000232422711 641276057 /nfs/dbraw/zinc/27/60/57/641276057.db2.gz DKMANTFUTPWTAA-UHFFFAOYSA-N 0 3 237.196 2.573 20 0 BFADHN CC1([NH2+]Cc2ccc([O-])c(F)c2)CCCC1 ZINC000232422474 641276263 /nfs/dbraw/zinc/27/62/63/641276263.db2.gz HPYDLKGCRRVUDV-UHFFFAOYSA-N 0 3 223.291 2.954 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1ccon1 ZINC000088052785 641281440 /nfs/dbraw/zinc/28/14/40/641281440.db2.gz QVOXCXQOGVSINI-MNOVXSKESA-N 0 3 210.321 2.979 20 0 BFADHN COc1cc([C@H](C)NCC2(C)CCCC2)on1 ZINC000925383416 641402284 /nfs/dbraw/zinc/40/22/84/641402284.db2.gz UILWKQGOHXBNFY-JTQLQIEISA-N 0 3 238.331 2.914 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NC[C@H]1CC1(C)C ZINC000925391725 641420932 /nfs/dbraw/zinc/42/09/32/641420932.db2.gz AJWCGRNXSHZPRY-GHMZBOCLSA-N 0 3 234.343 2.996 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCN(C)[C@@H](C)C1 ZINC000761071037 641572602 /nfs/dbraw/zinc/57/26/02/641572602.db2.gz BICGQNKJZHRJIV-RYUDHWBXSA-N 0 3 236.334 2.523 20 0 BFADHN CCn1cnnc1CNC1CCCCCCC1 ZINC000158487056 641637861 /nfs/dbraw/zinc/63/78/61/641637861.db2.gz YKYJRISWGWRLPT-UHFFFAOYSA-N 0 3 236.363 2.501 20 0 BFADHN CCN(CCC(C)=O)Cc1cccs1 ZINC000048298856 641683463 /nfs/dbraw/zinc/68/34/63/641683463.db2.gz VWYVALUMGUDWPP-UHFFFAOYSA-N 0 3 211.330 2.549 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc(F)nc2)[C@@H]1C ZINC000189313583 641802501 /nfs/dbraw/zinc/80/25/01/641802501.db2.gz NDEQNPVOLUSJMP-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN Fc1ccc(CN2CCC[C@@H]3CCC[C@@H]32)cn1 ZINC000189307709 641802821 /nfs/dbraw/zinc/80/28/21/641802821.db2.gz TYHSKQRPVBNDCF-STQMWFEESA-N 0 3 234.318 2.985 20 0 BFADHN C[C@@H](c1ccncc1)N(C)C[C@@H]1CCC=CO1 ZINC000190210374 641849368 /nfs/dbraw/zinc/84/93/68/641849368.db2.gz OVEWKTKMFQYQLF-JSGCOSHPSA-N 0 3 232.327 2.767 20 0 BFADHN CN(CCOc1ccccc1)C[C@@H]1CCC=CO1 ZINC000191055858 641897519 /nfs/dbraw/zinc/89/75/19/641897519.db2.gz UFZVJYUYVVTNSW-HNNXBMFYSA-N 0 3 247.338 2.690 20 0 BFADHN Cc1ccc(CNC[C@@H](O)c2ccco2)cc1F ZINC000078542072 641933359 /nfs/dbraw/zinc/93/33/59/641933359.db2.gz WFNQHJKFRMSLOB-CYBMUJFWSA-N 0 3 249.285 2.550 20 0 BFADHN FCCCCCN1CCOC[C@H]1CC1CC1 ZINC000933209136 642389204 /nfs/dbraw/zinc/38/92/04/642389204.db2.gz OUTICEIEOAXQLO-CYBMUJFWSA-N 0 3 229.339 2.627 20 0 BFADHN CC(C)SCCN1CCOC[C@H]1CC1CC1 ZINC000933213176 642392133 /nfs/dbraw/zinc/39/21/33/642392133.db2.gz MJQBLOJTYZRPGL-CYBMUJFWSA-N 0 3 243.416 2.629 20 0 BFADHN CSC[C@H]1CCCN(C/C=C\Cl)C1 ZINC000308165647 642477660 /nfs/dbraw/zinc/47/76/60/642477660.db2.gz AWZNQJGYMMYTSX-ATPLWMGHSA-N 0 3 219.781 2.814 20 0 BFADHN CC[C@@H](C)NCc1c(Cl)n[nH]c1C1CC1 ZINC000921474183 642632549 /nfs/dbraw/zinc/63/25/49/642632549.db2.gz PFHRWTROGZTMSO-SSDOTTSWSA-N 0 3 227.739 2.829 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](O)CC(C)C)o1 ZINC000305111801 642642541 /nfs/dbraw/zinc/64/25/41/642642541.db2.gz GGLKYSYEZCNDQI-NWDGAFQWSA-N 0 3 239.359 2.900 20 0 BFADHN C[C@H](C[C@H](O)c1ccccc1)NCc1ccco1 ZINC000305754512 642686105 /nfs/dbraw/zinc/68/61/05/642686105.db2.gz WHGQHBAGGNXQEH-DOMZBBRYSA-N 0 3 245.322 2.881 20 0 BFADHN C[C@@H](C[C@@H](O)c1ccccc1)NCc1ccco1 ZINC000305754514 642686903 /nfs/dbraw/zinc/68/69/03/642686903.db2.gz WHGQHBAGGNXQEH-SWLSCSKDSA-N 0 3 245.322 2.881 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](O)c2ccco2)c(C)o1 ZINC000070141827 642975064 /nfs/dbraw/zinc/97/50/64/642975064.db2.gz SEDUDGARTDUEAC-ZWNOBZJWSA-N 0 3 249.310 2.874 20 0 BFADHN Cc1nnsc1[C@@H](C)N1CCC[C@H](C)C1 ZINC000929212827 642994806 /nfs/dbraw/zinc/99/48/06/642994806.db2.gz YODPJCKMVCXUSZ-WCBMZHEXSA-N 0 3 225.361 2.639 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccco1)c1ccsc1 ZINC000070797467 643070654 /nfs/dbraw/zinc/07/06/54/643070654.db2.gz YTCMASBQNQQBGI-MWLCHTKSSA-N 0 3 237.324 2.725 20 0 BFADHN Cc1ccc(F)cc1CN1CCO[C@H](C)CC1 ZINC000932339437 643141675 /nfs/dbraw/zinc/14/16/75/643141675.db2.gz URDIYDXOVSMPSU-GFCCVEGCSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1ccc(F)cc1CN1CCO[C@@H](C)CC1 ZINC000932339438 643145528 /nfs/dbraw/zinc/14/55/28/643145528.db2.gz URDIYDXOVSMPSU-LBPRGKRZSA-N 0 3 237.318 2.745 20 0 BFADHN CC[C@@H](NCc1ccco1)[C@@H](O)c1ccccc1 ZINC000071686922 643166935 /nfs/dbraw/zinc/16/69/35/643166935.db2.gz DHDYLSWSOBJTRY-CABCVRRESA-N 0 3 245.322 2.881 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cn1cc[nH]c1=S ZINC000072918740 643331981 /nfs/dbraw/zinc/33/19/81/643331981.db2.gz AHWPUSSLHXQCSC-ZJUUUORDSA-N 0 3 225.361 2.624 20 0 BFADHN CC1=CCCN(C[C@H]2CC2(Cl)Cl)C1 ZINC000307552893 643502933 /nfs/dbraw/zinc/50/29/33/643502933.db2.gz QXNSAVBGXBBKIB-SECBINFHSA-N 0 3 220.143 2.832 20 0 BFADHN CO[C@H]1CCC[C@H]1NCc1ccc(F)cc1F ZINC000049948979 643566191 /nfs/dbraw/zinc/56/61/91/643566191.db2.gz IMTJUVUJLRMSLH-OLZOCXBDSA-N 0 3 241.281 2.622 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1ncc(Cl)n1C ZINC000083864949 643626676 /nfs/dbraw/zinc/62/66/76/643626676.db2.gz VLUBAARNNJJPEV-VHSXEESVSA-N 0 3 243.782 2.988 20 0 BFADHN Cc1ccc(CNC[C@H](O)c2cccc(F)c2)o1 ZINC000049918469 643627093 /nfs/dbraw/zinc/62/70/93/643627093.db2.gz VIEPBUFQXGZDFT-AWEZNQCLSA-N 0 3 249.285 2.550 20 0 BFADHN C[C@H](NC[C@@H]1CCC=CO1)c1ccco1 ZINC000050267928 643671583 /nfs/dbraw/zinc/67/15/83/643671583.db2.gz QMLZJAMHHBJBJF-QWRGUYRKSA-N 0 3 207.273 2.623 20 0 BFADHN CC(C)(C)C[C@H]1CCN(c2ccnc(CO)c2)C1 ZINC000307843120 643687240 /nfs/dbraw/zinc/68/72/40/643687240.db2.gz GHKAOYSGDZSWGG-GFCCVEGCSA-N 0 3 248.370 2.836 20 0 BFADHN COCC[C@@H](C)NCc1cc(C)cnc1Cl ZINC000921650836 643702286 /nfs/dbraw/zinc/70/22/86/643702286.db2.gz ZNJYTAFBRPJNRK-SNVBAGLBSA-N 0 3 242.750 2.558 20 0 BFADHN Cc1cnc(Cl)c(CN[C@@H]2C[C@@H]2C)c1 ZINC000921686258 643739144 /nfs/dbraw/zinc/73/91/44/643739144.db2.gz PSYUKTFVQSSVHH-WCBMZHEXSA-N 0 3 210.708 2.541 20 0 BFADHN C[C@H](NCCSC(C)(C)C)c1ccnnc1 ZINC000925346369 643754274 /nfs/dbraw/zinc/75/42/74/643754274.db2.gz BRYZIOFAKZYDAO-JTQLQIEISA-N 0 3 239.388 2.659 20 0 BFADHN CCc1[nH]nc(Cl)c1CNCCC1CC1 ZINC000921794096 643791802 /nfs/dbraw/zinc/79/18/02/643791802.db2.gz QECOASRWQSBBOE-UHFFFAOYSA-N 0 3 227.739 2.515 20 0 BFADHN CCC[C@H]1C[C@H]1NCc1c(Cl)n[nH]c1CC ZINC000921808020 643799688 /nfs/dbraw/zinc/79/96/88/643799688.db2.gz XNUJZCCJWFSAGG-GZMMTYOYSA-N 0 3 241.766 2.904 20 0 BFADHN CCc1[nH]nc(Cl)c1CNCC1(CC)CC1 ZINC000921815752 643801845 /nfs/dbraw/zinc/80/18/45/643801845.db2.gz MPGKVLGXXXYOEY-UHFFFAOYSA-N 0 3 241.766 2.905 20 0 BFADHN CS[C@@H](C)CNCc1cc(C)cnc1Cl ZINC000921923721 643825406 /nfs/dbraw/zinc/82/54/06/643825406.db2.gz GGZWXTCDNFYISJ-VIFPVBQESA-N 0 3 244.791 2.885 20 0 BFADHN CC(F)(F)CCNCc1cnn(C2CCC2)c1 ZINC000922152421 643873755 /nfs/dbraw/zinc/87/37/55/643873755.db2.gz LGTSRYOIZUWEFF-UHFFFAOYSA-N 0 3 243.301 2.743 20 0 BFADHN CC/C=C/CNCc1cnn(C2CCC2)c1 ZINC000922155487 643877535 /nfs/dbraw/zinc/87/75/35/643877535.db2.gz AAUQDYHJILLICD-ONEGZZNKSA-N 0 3 219.332 2.664 20 0 BFADHN CC1(C)C[C@@H]1NCc1nccc2c1CCCC2 ZINC000922181879 643895095 /nfs/dbraw/zinc/89/50/95/643895095.db2.gz CLTKDZKNRMSYCL-AWEZNQCLSA-N 0 3 230.355 2.849 20 0 BFADHN Cn1cc(CN[C@@H]2C=CCC2)c(C2CCCC2)n1 ZINC000922240277 643916808 /nfs/dbraw/zinc/91/68/08/643916808.db2.gz DPPVUXLBIFCOIF-CQSZACIVSA-N 0 3 245.370 2.886 20 0 BFADHN CCc1[nH]nc(Cl)c1CNC1CC(C)(C)C1 ZINC000922265185 643934753 /nfs/dbraw/zinc/93/47/53/643934753.db2.gz AJKCLDUSVVCHJA-UHFFFAOYSA-N 0 3 241.766 2.904 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H](c1ccccn1)C1CC1 ZINC000922584468 644037726 /nfs/dbraw/zinc/03/77/26/644037726.db2.gz LEWDGVAMPCXERL-IUODEOHRSA-N 0 3 248.370 2.936 20 0 BFADHN Cc1[nH]nc(CNC(C)(C)/C=C\Cl)c1C ZINC000922645634 644050884 /nfs/dbraw/zinc/05/08/84/644050884.db2.gz TWDCCTZPQPQAQB-WAYWQWQTSA-N 0 3 227.739 2.647 20 0 BFADHN CC(C)(/C=C\Cl)NCc1cnc2ccccn12 ZINC000922648190 644053408 /nfs/dbraw/zinc/05/34/08/644053408.db2.gz FJKOWACJGYJROH-SREVYHEPSA-N 0 3 249.745 2.955 20 0 BFADHN CC(C)(/C=C/Cl)NCc1ccncc1 ZINC000922651925 644060059 /nfs/dbraw/zinc/06/00/59/644060059.db2.gz ZKAYLWWCDQUNIP-AATRIKPKSA-N 0 3 210.708 2.702 20 0 BFADHN C[C@H]1Cc2cc(CNCC3(F)CC3)ccc2O1 ZINC000922701050 644070549 /nfs/dbraw/zinc/07/05/49/644070549.db2.gz APUOVXKMJZHDKA-JTQLQIEISA-N 0 3 235.302 2.602 20 0 BFADHN CCC[C@H](O)CCNCc1ccc(Cl)o1 ZINC000922826123 644102829 /nfs/dbraw/zinc/10/28/29/644102829.db2.gz VRQXJUIPIDFGHC-VIFPVBQESA-N 0 3 231.723 2.574 20 0 BFADHN C#CCN(C)[C@@H]1CCc2c1cccc2Cl ZINC000192239506 644139319 /nfs/dbraw/zinc/13/93/19/644139319.db2.gz XICDZKVSGLCVJF-CYBMUJFWSA-N 0 3 219.715 2.892 20 0 BFADHN CCOC(CN[C@H](C)c1cccs1)OCC ZINC000086493131 644142939 /nfs/dbraw/zinc/14/29/39/644142939.db2.gz OZRAOVAOWXCVAG-SNVBAGLBSA-N 0 3 243.372 2.798 20 0 BFADHN CC(C)OC[C@@H](C)NCc1cccc2c1OCC2 ZINC000922944136 644198339 /nfs/dbraw/zinc/19/83/39/644198339.db2.gz KUXDGBXBBVIXHH-GFCCVEGCSA-N 0 3 249.354 2.525 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cc(C3CC3)n(C)n2)C1 ZINC000922957361 644201227 /nfs/dbraw/zinc/20/12/27/644201227.db2.gz UAUKDOWUXLGICU-ZYHUDNBSSA-N 0 3 233.359 2.576 20 0 BFADHN c1nc(CNCC2CCC=CCC2)co1 ZINC000922966350 644201750 /nfs/dbraw/zinc/20/17/50/644201750.db2.gz MMGLUJRGASGHRL-UHFFFAOYSA-N 0 3 206.289 2.511 20 0 BFADHN Cc1cc(CNCC2CCC=CCC2)no1 ZINC000922966578 644202899 /nfs/dbraw/zinc/20/28/99/644202899.db2.gz WARDUQKEZJCTQJ-UHFFFAOYSA-N 0 3 220.316 2.819 20 0 BFADHN C[C@H]1CCCC[C@H]1C[NH2+]Cc1cncc([O-])c1 ZINC000922975541 644206595 /nfs/dbraw/zinc/20/65/95/644206595.db2.gz IDEWVGVJAGSBKD-AAEUAGOBSA-N 0 3 234.343 2.703 20 0 BFADHN Clc1ncccc1CN[C@]12C[C@H]1CCC2 ZINC000923022949 644235052 /nfs/dbraw/zinc/23/50/52/644235052.db2.gz CPUKLEVQOQDMDI-ZYHUDNBSSA-N 0 3 222.719 2.767 20 0 BFADHN Cc1ccncc1CNC1([C@@H]2CCCCO2)CC1 ZINC000923028736 644242173 /nfs/dbraw/zinc/24/21/73/644242173.db2.gz BTRRLIXMBGIRJJ-AWEZNQCLSA-N 0 3 246.354 2.581 20 0 BFADHN CCC(=O)O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000193699439 644272532 /nfs/dbraw/zinc/27/25/32/644272532.db2.gz BAUOJJHACAFDCD-CQSZACIVSA-N 0 3 247.338 2.604 20 0 BFADHN Cc1cc(NC2C[C@H](C)C[C@@H](C)C2)nc(N)n1 ZINC000084439013 644425248 /nfs/dbraw/zinc/42/52/48/644425248.db2.gz YCTDUHOOEVOBMY-RKDXNWHRSA-N 0 3 234.347 2.604 20 0 BFADHN CCCCC[C@H](C)NCc1ncnn1C(C)C ZINC000088590204 644523184 /nfs/dbraw/zinc/52/31/84/644523184.db2.gz ANSGLSDILCEKKH-LBPRGKRZSA-N 0 3 238.379 2.917 20 0 BFADHN CC[C@H]1CCCC[C@H]1Nc1cc(C)nc(N)n1 ZINC000091015666 644609854 /nfs/dbraw/zinc/60/98/54/644609854.db2.gz RDAHQFBUGDDODT-WDEREUQCSA-N 0 3 234.347 2.748 20 0 BFADHN CC1(C)CCN1CC1=Cc2ccccc2OC1 ZINC000093460343 644688786 /nfs/dbraw/zinc/68/87/86/644688786.db2.gz YNHLERFKIHAPRV-UHFFFAOYSA-N 0 3 229.323 2.947 20 0 BFADHN CCO[C@H]1C[C@H](N(C)Cc2ccoc2)C1(C)C ZINC000093605352 644695583 /nfs/dbraw/zinc/69/55/83/644695583.db2.gz DCRVAJBVNSTQGY-STQMWFEESA-N 0 3 237.343 2.915 20 0 BFADHN Fc1cccc(-c2cnc([C@H]3CCCN3)nc2)c1 ZINC000094576939 644720527 /nfs/dbraw/zinc/72/05/27/644720527.db2.gz PZAFDSFMDKANIA-CYBMUJFWSA-N 0 3 243.285 2.707 20 0 BFADHN Cc1cccc(-c2cnc([C@@H]3CCCN3)nc2)c1 ZINC000094576926 644721279 /nfs/dbraw/zinc/72/12/79/644721279.db2.gz JNHFZZWGDZYHFI-AWEZNQCLSA-N 0 3 239.322 2.877 20 0 BFADHN OC1(CCNC2(c3ccccc3F)CC2)CCC1 ZINC000411471752 644804001 /nfs/dbraw/zinc/80/40/01/644804001.db2.gz RESXUUCTADBLNE-UHFFFAOYSA-N 0 3 249.329 2.710 20 0 BFADHN F[C@@H]1CCCC[C@H]1N[C@H]1CCCc2n[nH]cc21 ZINC000414312944 644858286 /nfs/dbraw/zinc/85/82/86/644858286.db2.gz OFPXTCGEOUQWLB-NTZNESFSSA-N 0 3 237.322 2.657 20 0 BFADHN Cc1cc(N[C@@H]2CC[C@@H](C)C[C@H]2C)nc(N)n1 ZINC000252674631 644874939 /nfs/dbraw/zinc/87/49/39/644874939.db2.gz SXGTYLLQZGRRMM-FXPVBKGRSA-N 0 3 234.347 2.604 20 0 BFADHN CC(C)[C@@]1(CO)CCCN(Cc2ccoc2)C1 ZINC000816662025 644965200 /nfs/dbraw/zinc/96/52/00/644965200.db2.gz FUQQEISFKXRLPH-AWEZNQCLSA-N 0 3 237.343 2.510 20 0 BFADHN CCC[C@H](N[C@@H](C)c1cnn(C)n1)C(C)(C)C ZINC000926513659 645247862 /nfs/dbraw/zinc/24/78/62/645247862.db2.gz BOZQYDVPVRJJKM-JQWIXIFHSA-N 0 3 238.379 2.681 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)nn1 ZINC000926575714 645295223 /nfs/dbraw/zinc/29/52/23/645295223.db2.gz IGHAMEDYXLFEDO-FVCCEPFGSA-N 0 3 248.374 2.698 20 0 BFADHN CCn1ccc(CNC2CC3(C2)CCCC3)n1 ZINC000926576451 645296082 /nfs/dbraw/zinc/29/60/82/645296082.db2.gz DAQOETRKBXISBM-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN C[C@@H]([NH2+][C@H]1CC1(C)C)c1cc([O-])cc(F)c1 ZINC000926580424 645299499 /nfs/dbraw/zinc/29/94/99/645299499.db2.gz RQXNXCCBLXYDMB-PELKAZGASA-N 0 3 223.291 2.980 20 0 BFADHN COc1cc([C@H](C)NC[C@@H](C)CC(F)F)on1 ZINC000926627858 645311755 /nfs/dbraw/zinc/31/17/55/645311755.db2.gz FWHCOGFCLBNJTG-YUMQZZPRSA-N 0 3 248.273 2.625 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1ccnn1CC1CCC1 ZINC000926613696 645314070 /nfs/dbraw/zinc/31/40/70/645314070.db2.gz DQBXZIYMMDULIG-BXUZGUMPSA-N 0 3 245.370 2.886 20 0 BFADHN C[C@@H]1COC[C@H]1NC1(c2ccccc2F)CCC1 ZINC000926633103 645324798 /nfs/dbraw/zinc/32/47/98/645324798.db2.gz JLKSKYMOUPGMSF-BXUZGUMPSA-N 0 3 249.329 2.829 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1cn(C)cn1 ZINC000926652036 645335795 /nfs/dbraw/zinc/33/57/95/645335795.db2.gz SHECQCPBQLLLHY-SDDRHHMPSA-N 0 3 235.375 2.895 20 0 BFADHN CCn1nc(C)c([C@H](C)N[C@H](C)C(C)(C)C)n1 ZINC000926657002 645340033 /nfs/dbraw/zinc/34/00/33/645340033.db2.gz GHNMFKVIHFZZQL-GXSJLCMTSA-N 0 3 238.379 2.692 20 0 BFADHN CC[C@@H](N[C@@H]1COC[C@@H]1C)c1ccccc1F ZINC000926663226 645341943 /nfs/dbraw/zinc/34/19/43/645341943.db2.gz VJGBWKDCQGWRDM-ZLKJLUDKSA-N 0 3 237.318 2.901 20 0 BFADHN CC[C@@H](N[C@H]1COC[C@@H]1C)c1ccccc1F ZINC000926663223 645344209 /nfs/dbraw/zinc/34/42/09/645344209.db2.gz VJGBWKDCQGWRDM-GDLCADMTSA-N 0 3 237.318 2.901 20 0 BFADHN C[C@@H](N[C@H]1C=CCC1)c1cccc2c1OCO2 ZINC000926690764 645359627 /nfs/dbraw/zinc/35/96/27/645359627.db2.gz QNJOMOOTGSYJHD-MNOVXSKESA-N 0 3 231.295 2.785 20 0 BFADHN C[C@H](N[C@@H]1C=CCC1)c1cccc2c1OCO2 ZINC000926690768 645360755 /nfs/dbraw/zinc/36/07/55/645360755.db2.gz QNJOMOOTGSYJHD-WDEREUQCSA-N 0 3 231.295 2.785 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NCC1CC(C)C1 ZINC000926737115 645393832 /nfs/dbraw/zinc/39/38/32/645393832.db2.gz LOXFZLIGJMIJPG-QEWOUOOISA-N 0 3 234.343 2.996 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC12CC2)c1ncco1 ZINC000926775229 645423245 /nfs/dbraw/zinc/42/32/45/645423245.db2.gz GOMFFRPATQMOOO-MNOVXSKESA-N 0 3 220.316 2.906 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2ccns2)C1 ZINC000926790980 645435798 /nfs/dbraw/zinc/43/57/98/645435798.db2.gz PKUKHADFICRUJB-CIUDSAMLSA-N 0 3 228.386 2.688 20 0 BFADHN C[C@@H]1COC[C@@H]1NCc1ccc(C(C)(C)C)o1 ZINC000926804306 645439665 /nfs/dbraw/zinc/43/96/65/645439665.db2.gz XFZZWGZEZPCWFS-PWSUYJOCSA-N 0 3 237.343 2.702 20 0 BFADHN Cc1nonc1CN[C@H]1CCC[C@H]1C(C)(C)C ZINC000926839549 645448542 /nfs/dbraw/zinc/44/85/42/645448542.db2.gz JYPWMDMLBKYDIU-MNOVXSKESA-N 0 3 237.347 2.682 20 0 BFADHN Cc1cc([C@H](C)NCCC2CC=CC2)no1 ZINC000926952518 645498594 /nfs/dbraw/zinc/49/85/94/645498594.db2.gz ZLXAQDSTTKTTBU-NSHDSACASA-N 0 3 220.316 2.990 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2C[C@H]2C(F)(F)F)no1 ZINC000926951638 645499355 /nfs/dbraw/zinc/49/93/55/645499355.db2.gz SRCZQYPQRBLOMJ-HRDYMLBCSA-N 0 3 248.248 2.832 20 0 BFADHN CCC[C@H](O)CCN[C@H](C)c1ccc(C)o1 ZINC000927031149 645529471 /nfs/dbraw/zinc/52/94/71/645529471.db2.gz ZBUKEVZFCHHSEX-NEPJUHHUSA-N 0 3 225.332 2.790 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1NCc1cc(C2CC2)n(C)n1 ZINC000927107605 645548387 /nfs/dbraw/zinc/54/83/87/645548387.db2.gz LANUFHIONNLEGP-KBPBESRZSA-N 0 3 247.386 2.822 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1NCc1cc(C2CC2)n(C)n1 ZINC000927107607 645549992 /nfs/dbraw/zinc/54/99/92/645549992.db2.gz LANUFHIONNLEGP-UONOGXRCSA-N 0 3 247.386 2.822 20 0 BFADHN Cn1nc(CN[C@@H]2CCCC23CC3)cc1C1CC1 ZINC000927107828 645553433 /nfs/dbraw/zinc/55/34/33/645553433.db2.gz LTVAZLVYDBOWQW-CQSZACIVSA-N 0 3 245.370 2.720 20 0 BFADHN C[C@@H](NC[C@]12C[C@H]1CCC2)c1nccs1 ZINC000927120594 645555097 /nfs/dbraw/zinc/55/50/97/645555097.db2.gz VCFBUURCQXIMHG-FOGDFJRCSA-N 0 3 222.357 2.984 20 0 BFADHN CO[C@H]1C[C@@H](CN2CC[C@H]2c2ccc(F)cc2)C1 ZINC000878921921 645826809 /nfs/dbraw/zinc/82/68/09/645826809.db2.gz YAEAEGOABQYQRA-GLQYFDAESA-N 0 3 249.329 2.998 20 0 BFADHN COC(CN1C[C@@H]2CC[C@H]1c1ccccc12)OC ZINC000880537724 645836761 /nfs/dbraw/zinc/83/67/61/645836761.db2.gz WTDLTINMKTWPKF-FZMZJTMJSA-N 0 3 247.338 2.540 20 0 BFADHN COc1ccc2c(c1)OCCN([C@H](C)C1CC1)C2 ZINC000930355995 645955566 /nfs/dbraw/zinc/95/55/66/645955566.db2.gz AAJSOPGXOCZVIO-LLVKDONJSA-N 0 3 247.338 2.688 20 0 BFADHN COC(=O)/C=C(/C)CN(C)[C@H](C)c1ccccc1 ZINC000929175648 645988294 /nfs/dbraw/zinc/98/82/94/645988294.db2.gz VBAZBIZEXXLYAO-KXXVWKPMSA-N 0 3 247.338 2.799 20 0 BFADHN CCc1ncc(CN2CCC[C@H](CC)C2)o1 ZINC000929200464 646002158 /nfs/dbraw/zinc/00/21/58/646002158.db2.gz IQLWOFUVXONNPB-NSHDSACASA-N 0 3 222.332 2.859 20 0 BFADHN COC/C(C)=C/CN(C)CCOc1ccccc1 ZINC000929208204 646005706 /nfs/dbraw/zinc/00/57/06/646005706.db2.gz ZPNVOCIUDBAFCC-NTEUORMPSA-N 0 3 249.354 2.590 20 0 BFADHN FC(F)(F)[C@H]1CC[C@H](CN2C3CCC2CC3)O1 ZINC000929247894 646030818 /nfs/dbraw/zinc/03/08/18/646030818.db2.gz DSRNLIUGRMWGAE-JPPWEJMLSA-N 0 3 249.276 2.723 20 0 BFADHN CCc1ncc(CN(C)CCC(C)C)o1 ZINC000929294870 646068378 /nfs/dbraw/zinc/06/83/78/646068378.db2.gz YTZOFARLPLYJLL-UHFFFAOYSA-N 0 3 210.321 2.715 20 0 BFADHN CCc1onc(C)c1CN(CC)C(C)C ZINC000929316312 646078049 /nfs/dbraw/zinc/07/80/49/646078049.db2.gz RMJBINOBIYLGPZ-UHFFFAOYSA-N 0 3 210.321 2.776 20 0 BFADHN CCOC1CCN(Cc2cc(C)cc(C)n2)CC1 ZINC000929327762 646082713 /nfs/dbraw/zinc/08/27/13/646082713.db2.gz KRYUKNISITTYDO-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](C)c1cn(C(C)C)nn1 ZINC000924772998 646104639 /nfs/dbraw/zinc/10/46/39/646104639.db2.gz GVTPESHEEVWHHX-SDDRHHMPSA-N 0 3 238.379 2.944 20 0 BFADHN CCn1nc(C)c([C@H](C)NCCC(C)(C)C)n1 ZINC000924793035 646106555 /nfs/dbraw/zinc/10/65/55/646106555.db2.gz PJGVQLDYQOPDKW-JTQLQIEISA-N 0 3 238.379 2.693 20 0 BFADHN COc1cc([C@@H](C)NCCC(C)(C)C)on1 ZINC000924794144 646108900 /nfs/dbraw/zinc/10/89/00/646108900.db2.gz YMFROYGKQONAAG-SECBINFHSA-N 0 3 226.320 2.770 20 0 BFADHN C[C@@H](NC(C1CC1)C1CC1)c1ncco1 ZINC000924804451 646113121 /nfs/dbraw/zinc/11/31/21/646113121.db2.gz PMOBHIHXYBGKIX-MRVPVSSYSA-N 0 3 206.289 2.514 20 0 BFADHN CCN(Cc1cc(C)cc(C)n1)C[C@@H]1CCCO1 ZINC000929417511 646113793 /nfs/dbraw/zinc/11/37/93/646113793.db2.gz RAASTLQESSLZEK-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cc2ccco2)o1 ZINC000035254641 646132593 /nfs/dbraw/zinc/13/25/93/646132593.db2.gz RLOIDUVHFMOGIS-JTQLQIEISA-N 0 3 219.284 2.902 20 0 BFADHN Cc1ccc(CN[C@H](C)Cc2ccco2)o1 ZINC000035254643 646134983 /nfs/dbraw/zinc/13/49/83/646134983.db2.gz RLOIDUVHFMOGIS-SNVBAGLBSA-N 0 3 219.284 2.902 20 0 BFADHN CCCN(Cc1c(C)noc1CC)C1CC1 ZINC000929515268 646164809 /nfs/dbraw/zinc/16/48/09/646164809.db2.gz CAALEUZRBOYLRI-UHFFFAOYSA-N 0 3 222.332 2.920 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CC[C@H]2CCC[C@H]2C1 ZINC000925150850 646194753 /nfs/dbraw/zinc/19/47/53/646194753.db2.gz GBKRMESBTPLQAM-ZBAXXZLZSA-N 0 3 249.358 2.997 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CC[C@@H]2CCC[C@H]2C1 ZINC000925150848 646195339 /nfs/dbraw/zinc/19/53/39/646195339.db2.gz GBKRMESBTPLQAM-XYJRDEOASA-N 0 3 249.358 2.997 20 0 BFADHN CCc1ncc(CN(C)C[C@H](C)CC)o1 ZINC000929619697 646205203 /nfs/dbraw/zinc/20/52/03/646205203.db2.gz OCPHFQFYMXDXMY-SNVBAGLBSA-N 0 3 210.321 2.715 20 0 BFADHN CC[C@@H]1CN(CCCc2cccnc2)C[C@@H](C)O1 ZINC000929621775 646206805 /nfs/dbraw/zinc/20/68/05/646206805.db2.gz RKINOHIQVGDVTO-UKRRQHHQSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@@H](C)c1ncco1 ZINC000925258953 646222998 /nfs/dbraw/zinc/22/29/98/646222998.db2.gz ZIGHTWNDACHJRD-AXFHLTTASA-N 0 3 208.305 2.904 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCCC2(C)C)c2ncnn21 ZINC000925260759 646223140 /nfs/dbraw/zinc/22/31/40/646223140.db2.gz QVGGLKPHODRVNZ-UTUOFQBUSA-N 0 3 248.374 2.842 20 0 BFADHN CCC1(CN[C@@H]2C[C@H](C)n3ncnc32)CCCC1 ZINC000925278623 646228948 /nfs/dbraw/zinc/22/89/48/646228948.db2.gz RJSXFXWZYIZJDQ-NWDGAFQWSA-N 0 3 248.374 2.844 20 0 BFADHN Cc1cc(CN2CCC[C@H]3CCC[C@@H]32)c(C)nn1 ZINC000929714201 646267793 /nfs/dbraw/zinc/26/77/93/646267793.db2.gz QUXLQAPFRNDUIG-HIFRSBDPSA-N 0 3 245.370 2.858 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NCCC1CC1 ZINC000925479822 646291848 /nfs/dbraw/zinc/29/18/48/646291848.db2.gz KKDPFPLCUJMUDN-NSHDSACASA-N 0 3 220.316 2.750 20 0 BFADHN CCC[C@@H]1C[C@@H]1N[C@@H](C)c1ccns1 ZINC000925486385 646297945 /nfs/dbraw/zinc/29/79/45/646297945.db2.gz RMSQMFJAMFYYKM-AEJSXWLSSA-N 0 3 210.346 2.982 20 0 BFADHN Cc1nnsc1[C@H](C)N1CC[C@H](C)[C@H]1C ZINC000929785129 646300786 /nfs/dbraw/zinc/30/07/86/646300786.db2.gz MSLSHDQHOCXFIS-SFGNSQDASA-N 0 3 225.361 2.638 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NCC1(C)CC1 ZINC000925496200 646301275 /nfs/dbraw/zinc/30/12/75/646301275.db2.gz YMBWJAOGYJWQKY-JTQLQIEISA-N 0 3 220.316 2.750 20 0 BFADHN C[C@@H](N[C@H]1COC[C@H]1C)c1ccc(F)cc1F ZINC000925510682 646308017 /nfs/dbraw/zinc/30/80/17/646308017.db2.gz ZUNALMGNJRMLHE-KKFJDGPESA-N 0 3 241.281 2.650 20 0 BFADHN c1ccc([C@@H]2CCN2CCOC2CC2)cc1 ZINC000929790245 646321714 /nfs/dbraw/zinc/32/17/14/646321714.db2.gz VSHHPLHVWIVBEQ-AWEZNQCLSA-N 0 3 217.312 2.612 20 0 BFADHN CCC1(CC)CCN(Cc2nn(C)cc2C)C1 ZINC000929824215 646338672 /nfs/dbraw/zinc/33/86/72/646338672.db2.gz UPQDZMNUHCIGRB-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CCc1ncc(CN2CC[C@@](C)(CC)C2)o1 ZINC000929828106 646345184 /nfs/dbraw/zinc/34/51/84/646345184.db2.gz HJLRYNIRYTXBLD-CYBMUJFWSA-N 0 3 222.332 2.859 20 0 BFADHN COC(C)(C)CN(C)[C@H](C)c1ccc(F)nc1 ZINC000929831846 646348964 /nfs/dbraw/zinc/34/89/64/646348964.db2.gz RASNZWQECBUIJU-SNVBAGLBSA-N 0 3 240.322 2.639 20 0 BFADHN COc1cc([C@@H](C)N[C@H](C)CC(C)C)on1 ZINC000925599607 646352684 /nfs/dbraw/zinc/35/26/84/646352684.db2.gz ARIOXCIOLZANEQ-NXEZZACHSA-N 0 3 226.320 2.768 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@@H](C)C2CCC2)nn1 ZINC000925633990 646377530 /nfs/dbraw/zinc/37/75/30/646377530.db2.gz GIJBNROPWXQJAX-WDEREUQCSA-N 0 3 236.363 2.698 20 0 BFADHN CCc1ncc(CN(C)[C@H](C)CCSC)o1 ZINC000929985756 646383817 /nfs/dbraw/zinc/38/38/17/646383817.db2.gz FUUYKGMVDMZLPJ-SNVBAGLBSA-N 0 3 242.388 2.810 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C)[C@H](C)C2)o1 ZINC000930145608 646460008 /nfs/dbraw/zinc/46/00/08/646460008.db2.gz KJOHOJPWYBJEKN-GHMZBOCLSA-N 0 3 222.332 2.715 20 0 BFADHN Cc1cc([C@@H](C)NCc2cc(N)ccn2)oc1C ZINC000925769121 646470591 /nfs/dbraw/zinc/47/05/91/646470591.db2.gz YNDAHVOSZVKRST-SNVBAGLBSA-N 0 3 245.326 2.724 20 0 BFADHN Cc1ccccc1[C@H](C)NCc1cc(N)ccn1 ZINC000925772990 646473691 /nfs/dbraw/zinc/47/36/91/646473691.db2.gz YSBQKLSEDGPDPC-LBPRGKRZSA-N 0 3 241.338 2.823 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@H](C)C2(CCC2)C1 ZINC000930172114 646473757 /nfs/dbraw/zinc/47/37/57/646473757.db2.gz ZRLSBTIBFCVIIK-ZDUSSCGKSA-N 0 3 247.386 2.741 20 0 BFADHN COC/C(C)=C/CN[C@@H](C)c1ccccc1OC ZINC000930179869 646481646 /nfs/dbraw/zinc/48/16/46/646481646.db2.gz IZSLOLFAHYSLQQ-SRXBQZRASA-N 0 3 249.354 2.939 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H]2C[C@H]3C=C[C@@H]2CC3)s1 ZINC000926162240 646587767 /nfs/dbraw/zinc/58/77/67/646587767.db2.gz AKXMHJXJZUNYOP-OHBODLIOSA-N 0 3 249.383 2.852 20 0 BFADHN COc1cc([C@H](C)N[C@H]2CC[C@H](C)C2)on1 ZINC000926232966 646602009 /nfs/dbraw/zinc/60/20/09/646602009.db2.gz QNWOJMZAXAZPDG-GUBZILKMSA-N 0 3 224.304 2.522 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@H]1CCCC(C)(C)C1 ZINC000926242147 646603289 /nfs/dbraw/zinc/60/32/89/646603289.db2.gz VMETVQUNBIDQLQ-KOLCDFICSA-N 0 3 237.347 2.997 20 0 BFADHN COc1cc([C@H](C)NCCC=C(C)C)on1 ZINC000926267666 646608409 /nfs/dbraw/zinc/60/84/09/646608409.db2.gz YZRHKHSEKRCDSQ-JTQLQIEISA-N 0 3 224.304 2.690 20 0 BFADHN COc1cc([C@H](C)NC[C@@H]2CC[C@@H](C)C2)on1 ZINC000926339141 646620383 /nfs/dbraw/zinc/62/03/83/646620383.db2.gz KBHPUJJTEJDWJT-OUAUKWLOSA-N 0 3 238.331 2.770 20 0 BFADHN CC[C@H](C[NH2+][C@H](C)c1cc([O-])cc(F)c1)OC ZINC000926455057 646644657 /nfs/dbraw/zinc/64/46/57/646644657.db2.gz GPLWFBNVVJTVHJ-NOZJJQNGSA-N 0 3 241.306 2.607 20 0 BFADHN CC[C@H](C[NH2+][C@@H](C)c1cc([O-])cc(F)c1)OC ZINC000926455063 646645142 /nfs/dbraw/zinc/64/51/42/646645142.db2.gz GPLWFBNVVJTVHJ-TVQRCGJNSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@@H]1COC[C@@H]1NCc1ccc(C2CC2)cc1F ZINC000926463394 646649356 /nfs/dbraw/zinc/64/93/56/646649356.db2.gz AWGFMAGJFVYZSL-BMIGLBTASA-N 0 3 249.329 2.828 20 0 BFADHN CC[C@H]1CC[C@H](N[C@@H]2C[C@@H](C)n3ncnc32)CC1 ZINC000926485954 646657648 /nfs/dbraw/zinc/65/76/48/646657648.db2.gz MRTFVJPCGPBBNT-FDYHWXHSSA-N 0 3 248.374 2.842 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@H]1CCCC1(C)C ZINC000926492024 646660861 /nfs/dbraw/zinc/66/08/61/646660861.db2.gz WWZLLNYFLXEZAG-GXSJLCMTSA-N 0 3 237.347 2.855 20 0 BFADHN Cc1nonc1[C@@H](C)NC[C@H]1CCCC1(C)C ZINC000926492026 646661024 /nfs/dbraw/zinc/66/10/24/646661024.db2.gz WWZLLNYFLXEZAG-MWLCHTKSSA-N 0 3 237.347 2.855 20 0 BFADHN COc1ccnc([C@H](C)NCCC(C)(F)F)c1 ZINC000926494474 646661812 /nfs/dbraw/zinc/66/18/12/646661812.db2.gz GGPWSAJJYKHDIS-VIFPVBQESA-N 0 3 244.285 2.786 20 0 BFADHN C[C@H](NCCOC(C)(C)C)c1ccns1 ZINC000715949615 711561896 /nfs/dbraw/zinc/56/18/96/711561896.db2.gz HUQBYFBGMRRZNM-VIFPVBQESA-N 0 3 228.361 2.609 20 0 BFADHN Cc1cc(CNCCCCC(C)C)on1 ZINC000309061423 711586116 /nfs/dbraw/zinc/58/61/16/711586116.db2.gz WFLSYWZBCKSZHH-UHFFFAOYSA-N 0 3 210.321 2.899 20 0 BFADHN CC(C)Cc1ccc(CN2CCCC2)cn1 ZINC000225123219 711593054 /nfs/dbraw/zinc/59/30/54/711593054.db2.gz VBQNPKMAZNVMSV-UHFFFAOYSA-N 0 3 218.344 2.876 20 0 BFADHN CCC[C@H](C)[NH2+]Cc1ccc([O-])c(F)c1 ZINC000167963817 711831136 /nfs/dbraw/zinc/83/11/36/711831136.db2.gz GGBLEHQMKFDKSD-VIFPVBQESA-N 0 3 211.280 2.810 20 0 BFADHN Cc1cc(Cl)ccc1CNC1CSC1 ZINC000706437994 712146354 /nfs/dbraw/zinc/14/63/54/712146354.db2.gz HCNRSZUBBQMJHH-UHFFFAOYSA-N 0 3 227.760 2.853 20 0 BFADHN CSC1CC(NCc2nc(C)c(C)s2)C1 ZINC000708307780 712186128 /nfs/dbraw/zinc/18/61/28/712186128.db2.gz ZTEHAXKXVAXYAW-UHFFFAOYSA-N 0 3 242.413 2.744 20 0 BFADHN CCSCC[C@@H](C)N[C@H](C)c1cn(C)cn1 ZINC000709992335 712238114 /nfs/dbraw/zinc/23/81/14/712238114.db2.gz FLQLWBFUTNBFGH-GHMZBOCLSA-N 0 3 241.404 2.602 20 0 BFADHN C[C@H](NC[C@]12C[C@H]1CCC2)c1cscn1 ZINC000710589542 712263521 /nfs/dbraw/zinc/26/35/21/712263521.db2.gz BKIZFTJXDHTMGH-UMNHJUIQSA-N 0 3 222.357 2.984 20 0 BFADHN c1cn(C2CC2)c(CNC2CCCCC2)n1 ZINC000711818336 712332460 /nfs/dbraw/zinc/33/24/60/712332460.db2.gz SGDUSYCVFPZJAN-UHFFFAOYSA-N 0 3 219.332 2.640 20 0 BFADHN CCS[C@@H]1CC[C@@H](N(C)CCF)C1 ZINC000379397506 712381938 /nfs/dbraw/zinc/38/19/38/712381938.db2.gz OKJUVBIUVHOTIV-NXEZZACHSA-N 0 3 205.342 2.562 20 0 BFADHN CC(=O)CCN1C[C@@H](C(F)(F)F)CC[C@@H]1C ZINC000780384221 712416362 /nfs/dbraw/zinc/41/63/62/712416362.db2.gz FUHUOKWSERBFHA-WPRPVWTQSA-N 0 3 237.265 2.628 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H]2CCCC[C@H]12)c1ncc[nH]1 ZINC000712451975 712430305 /nfs/dbraw/zinc/43/03/05/712430305.db2.gz ICDGHGSGFXODKT-NOOOWODRSA-N 0 3 219.332 2.639 20 0 BFADHN CCC[C@H](C)N[C@@H](C)c1cn(CCC)nn1 ZINC000715853162 712536278 /nfs/dbraw/zinc/53/62/78/712536278.db2.gz RUKLDVXYCYHRIW-QWRGUYRKSA-N 0 3 224.352 2.527 20 0 BFADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1ccns1 ZINC000715939377 712544178 /nfs/dbraw/zinc/54/41/78/712544178.db2.gz CBKJSWDSLLTEBI-HLTSFMKQSA-N 0 3 228.386 2.688 20 0 BFADHN CC[C@@H](C[C@H](C)O)NCc1c(F)cccc1F ZINC000716856184 712578900 /nfs/dbraw/zinc/57/89/00/712578900.db2.gz ZSBOUFBHJBLATD-UWVGGRQHSA-N 0 3 243.297 2.604 20 0 BFADHN CC[C@@H](C[C@@H](C)O)NCc1c(F)cccc1F ZINC000716856199 712578918 /nfs/dbraw/zinc/57/89/18/712578918.db2.gz ZSBOUFBHJBLATD-ZJUUUORDSA-N 0 3 243.297 2.604 20 0 BFADHN Clc1cnccc1CNC[C@@H]1CCC=CO1 ZINC000380243063 712843204 /nfs/dbraw/zinc/84/32/04/712843204.db2.gz WLYZMJZVGQNSKF-NSHDSACASA-N 0 3 238.718 2.517 20 0 BFADHN CSC1CCC(CNCc2ccon2)CC1 ZINC000720612548 712858954 /nfs/dbraw/zinc/85/89/54/712858954.db2.gz PYEOMWKIQKQWSX-UHFFFAOYSA-N 0 3 240.372 2.686 20 0 BFADHN C[C@@H](C[C@@H](O)c1cccs1)NCC1(F)CC1 ZINC000381193597 710608241 /nfs/dbraw/zinc/60/82/41/710608241.db2.gz ZKQOCLJEKLGIHD-VHSXEESVSA-N 0 3 243.347 2.652 20 0 BFADHN CCO[C@@H]1CCC[C@H](NCc2nccs2)C1 ZINC000721202750 712898512 /nfs/dbraw/zinc/89/85/12/712898512.db2.gz IODLTGZYQOQOJZ-WDEREUQCSA-N 0 3 240.372 2.580 20 0 BFADHN CS[C@@H]1CCCC[C@H]1N[C@@H](C)c1ncc[nH]1 ZINC000381399637 710633053 /nfs/dbraw/zinc/63/30/53/710633053.db2.gz QPYWOFVPPWAJKD-HBNTYKKESA-N 0 3 239.388 2.735 20 0 BFADHN CCc1noc(C)c1[C@@H](C)N[C@@H]1CCSC1 ZINC000381459873 710639629 /nfs/dbraw/zinc/63/96/29/710639629.db2.gz KRIBTXLCTFNGLE-PSASIEDQSA-N 0 3 240.372 2.702 20 0 BFADHN Cc1nnc(CN[C@@H]2CC[C@@H](C)[C@@H](C)C2)s1 ZINC000381508837 710648672 /nfs/dbraw/zinc/64/86/72/710648672.db2.gz QKXRMOFDEFRLTE-WCABBAIRSA-N 0 3 239.388 2.761 20 0 BFADHN CC(C)Oc1cccc(CNC2CSC2)c1 ZINC000381507839 710649036 /nfs/dbraw/zinc/64/90/36/710649036.db2.gz QGHOZXYAWOCEPA-UHFFFAOYSA-N 0 3 237.368 2.679 20 0 BFADHN C[C@H](NCc1ccon1)C1C(C)(C)C1(C)C ZINC000381530468 710651663 /nfs/dbraw/zinc/65/16/63/710651663.db2.gz YUJHUFONCCIQJK-VIFPVBQESA-N 0 3 222.332 2.835 20 0 BFADHN CCc1nocc1CNCCSC(C)(C)C ZINC000381990931 710764018 /nfs/dbraw/zinc/76/40/18/710764018.db2.gz HNTTWTWRUHUTNF-UHFFFAOYSA-N 0 3 242.388 2.858 20 0 BFADHN CO[C@](C)(CNCc1ccoc1)c1ccccc1 ZINC000384333272 710880579 /nfs/dbraw/zinc/88/05/79/710880579.db2.gz VCOBLROTEVZVTJ-OAHLLOKOSA-N 0 3 245.322 2.931 20 0 BFADHN COCc1ccc(CN[C@@H](C)Cc2ccco2)o1 ZINC000382530199 710973276 /nfs/dbraw/zinc/97/32/76/710973276.db2.gz BSUNQQWMJCNALE-NSHDSACASA-N 0 3 249.310 2.740 20 0 BFADHN Cc1nc(C)c(CN[C@H](C)Cc2ccco2)o1 ZINC000383021444 710975512 /nfs/dbraw/zinc/97/55/12/710975512.db2.gz RODCPVBRBIQUTE-SECBINFHSA-N 0 3 234.299 2.605 20 0 BFADHN O[C@@H](CN1CCC1)c1cccc2ccccc21 ZINC000383160608 710976994 /nfs/dbraw/zinc/97/69/94/710976994.db2.gz LPDMNEHBBWZSTD-HNNXBMFYSA-N 0 3 227.307 2.579 20 0 BFADHN CC/C=C/CNC[C@H](O)c1c(F)cccc1F ZINC000385091072 711006216 /nfs/dbraw/zinc/00/62/16/711006216.db2.gz YBNYZNYKVWTQPR-PCAWENJQSA-N 0 3 241.281 2.554 20 0 BFADHN OCc1cc(N2CCC3(C2)CCCCC3)ccn1 ZINC000385484300 711012864 /nfs/dbraw/zinc/01/28/64/711012864.db2.gz OVTLKYXZBATPMM-UHFFFAOYSA-N 0 3 246.354 2.735 20 0 BFADHN CCOC(=O)/C=C\CNC(C)(C)c1ccccc1 ZINC000385446256 711012926 /nfs/dbraw/zinc/01/29/26/711012926.db2.gz FNVXPMVZZLZSEM-FLIBITNWSA-N 0 3 247.338 2.631 20 0 BFADHN C[C@@H](N(C)Cc1ccc(Cl)nn1)C1(C)CC1 ZINC000385601450 711016050 /nfs/dbraw/zinc/01/60/50/711016050.db2.gz FWTQHTYWZBCYBP-SECBINFHSA-N 0 3 239.750 2.750 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ccc(Cl)nc1 ZINC000390491812 711122019 /nfs/dbraw/zinc/12/20/19/711122019.db2.gz QQLBHUYADKKPFV-UWVGGRQHSA-N 0 3 242.750 2.811 20 0 BFADHN CC1(C)SC[C@@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000393887664 711178003 /nfs/dbraw/zinc/17/80/03/711178003.db2.gz UUOJOILUFFWPAN-NSHDSACASA-N 0 3 241.331 2.515 20 0 BFADHN CC[C@H](C)[C@H](CN[C@H]1CCc2cccnc21)OC ZINC000394431803 711191812 /nfs/dbraw/zinc/19/18/12/711191812.db2.gz CAMPLMLFZNCZKJ-UBHSHLNASA-N 0 3 248.370 2.720 20 0 BFADHN CSCC[C@@H](C)NCc1ncc(C)s1 ZINC000394723793 711196915 /nfs/dbraw/zinc/19/69/15/711196915.db2.gz HNYRICMEQTZFMG-MRVPVSSYSA-N 0 3 230.402 2.683 20 0 BFADHN CC[C@H](NC1CSC1)c1ccccc1OC ZINC000396440946 711221358 /nfs/dbraw/zinc/22/13/58/711221358.db2.gz FEBSPLAQDOGKOH-LBPRGKRZSA-N 0 3 237.368 2.851 20 0 BFADHN CC[C@H]([NH2+][C@H](C)c1nnc(C)[n-]1)[C@H]1CC1(C)C ZINC000397621931 711387592 /nfs/dbraw/zinc/38/75/92/711387592.db2.gz QYCAUJNSTBUNGP-IEBDPFPHSA-N 0 3 236.363 2.588 20 0 BFADHN CC[C@H]([NH2+][C@H](C)c1nnc(C)[n-]1)[C@@H]1CC1(C)C ZINC000397621934 711387731 /nfs/dbraw/zinc/38/77/31/711387731.db2.gz QYCAUJNSTBUNGP-MIMYLULJSA-N 0 3 236.363 2.588 20 0 BFADHN CCCC[C@@H](C)NCc1nc(C#N)cs1 ZINC000385941563 711020478 /nfs/dbraw/zinc/02/04/78/711020478.db2.gz BDHRVCASVVEMLC-SECBINFHSA-N 0 3 223.345 2.683 20 0 BFADHN Cc1nnc([C@H](C)NC[C@@H](C)c2ccccc2)[nH]1 ZINC000392347228 711144903 /nfs/dbraw/zinc/14/49/03/711144903.db2.gz GPCBGWPEQXMELX-MNOVXSKESA-N 0 3 244.342 2.567 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCC[C@H](C3CC3)C2)[n-]1 ZINC000392380208 711145253 /nfs/dbraw/zinc/14/52/53/711145253.db2.gz RKPKDUQZDMUFKJ-XDTLVQLUSA-N 0 3 248.374 2.733 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2C[C@H](C)CC[C@H]2C)[n-]1 ZINC000392360111 711145260 /nfs/dbraw/zinc/14/52/60/711145260.db2.gz AIZAJSMVRWKLQR-MWGHHZFTSA-N 0 3 236.363 2.588 20 0 BFADHN CC[C@H]([NH2+][C@H](C)c1nnc(C)[n-]1)C1CCCC1 ZINC000392363436 711145624 /nfs/dbraw/zinc/14/56/24/711145624.db2.gz DKOWLBGIABBZRM-SKDRFNHKSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C[C@H]2CC(C)=C[C@H](C)C2)[n-]1 ZINC000392390228 711146793 /nfs/dbraw/zinc/14/67/93/711146793.db2.gz UBAPDUADLWINRW-XHVZSJERSA-N 0 3 248.374 2.756 20 0 BFADHN CCCCC[C@@H](C)N[C@@H](C)c1nnc(C)[nH]1 ZINC000392483787 711149137 /nfs/dbraw/zinc/14/91/37/711149137.db2.gz VWPPQLINVQREOM-ZJUUUORDSA-N 0 3 224.352 2.733 20 0 BFADHN CC[C@H]([NH2+][C@@H](C)c1nnc(C)[n-]1)[C@@H]1CC1(C)C ZINC000397621932 711388067 /nfs/dbraw/zinc/38/80/67/711388067.db2.gz QYCAUJNSTBUNGP-LSJOCFKGSA-N 0 3 236.363 2.588 20 0 BFADHN CSCC[C@H](C)N(C)Cc1ccnc(F)c1 ZINC000859193750 711458481 /nfs/dbraw/zinc/45/84/81/711458481.db2.gz CZJYDKGMDNLYQN-JTQLQIEISA-N 0 3 242.363 2.794 20 0 BFADHN COC(C)(C)[C@H](C)NCc1cccc(Cl)n1 ZINC000393469275 711477482 /nfs/dbraw/zinc/47/74/82/711477482.db2.gz DCSJVTOMRRUPJZ-VIFPVBQESA-N 0 3 242.750 2.638 20 0 BFADHN C=C/C=C/CCNCc1nc(C)cs1 ZINC000713657947 711492015 /nfs/dbraw/zinc/49/20/15/711492015.db2.gz GULSBIWNFGZGOP-SNAWJCMRSA-N 0 3 208.330 2.673 20 0 BFADHN Cc1ccsc1CN1CC[C@H](OC(F)F)C1 ZINC000584244465 711519874 /nfs/dbraw/zinc/51/98/74/711519874.db2.gz LCFBFLRJQZWLEI-VIFPVBQESA-N 0 3 247.310 2.870 20 0 BFADHN CSCC[C@@H](C)NCc1oc(C)nc1C ZINC000723608377 711525076 /nfs/dbraw/zinc/52/50/76/711525076.db2.gz RFIFQMBQXRYQJT-MRVPVSSYSA-N 0 3 228.361 2.523 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2cc(C)cc(C)n2)C1(C)C ZINC000723605586 711525287 /nfs/dbraw/zinc/52/52/87/711525287.db2.gz BYGSEWHRSBUGRY-ZIAGYGMSSA-N 0 3 248.370 2.602 20 0 BFADHN CCC[C@]1(NCc2cc(C)cc(C)n2)CCOC1 ZINC000723639225 711528951 /nfs/dbraw/zinc/52/89/51/711528951.db2.gz DSYWPFUUBBLREB-HNNXBMFYSA-N 0 3 248.370 2.747 20 0 BFADHN CC(C)(C)[C@H](O)CN(Cc1ccoc1)C1CC1 ZINC000723770066 711537076 /nfs/dbraw/zinc/53/70/76/711537076.db2.gz OJKHVMUFTGEQJI-CYBMUJFWSA-N 0 3 237.343 2.651 20 0 BFADHN C[C@@H]1C[C@@H](NCCC2CC=CC2)c2nccn21 ZINC000724187206 711567689 /nfs/dbraw/zinc/56/76/89/711567689.db2.gz SDIFBABRFPIHKS-DGCLKSJQSA-N 0 3 231.343 2.835 20 0 BFADHN Cc1nc(C)c(CN(C)CCC(C)(C)C)[nH]1 ZINC000724791775 711615133 /nfs/dbraw/zinc/61/51/33/711615133.db2.gz BAKYTJRBNDEPRJ-UHFFFAOYSA-N 0 3 223.364 2.895 20 0 BFADHN CCn1cc([C@H](C)N[C@@H](C)C2(Cl)CC2)cn1 ZINC000926541413 711633382 /nfs/dbraw/zinc/63/33/82/711633382.db2.gz JENXTVJULCOKPJ-UWVGGRQHSA-N 0 3 241.766 2.714 20 0 BFADHN CC(C)CC[C@@H](C)NCc1cnn(C)c1Cl ZINC000382300641 711656431 /nfs/dbraw/zinc/65/64/31/711656431.db2.gz NHPUDEZWXJHMSD-SNVBAGLBSA-N 0 3 243.782 2.988 20 0 BFADHN Cc1cc([C@H](C)NCCC2CCC2)no1 ZINC000309095106 711661941 /nfs/dbraw/zinc/66/19/41/711661941.db2.gz CMTNMCBPYXQBGH-JTQLQIEISA-N 0 3 208.305 2.824 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@H]2CCCC23CC3)nn1 ZINC000926776504 711680588 /nfs/dbraw/zinc/68/05/88/711680588.db2.gz CKHNOOSXWAVYCI-YPMHNXCESA-N 0 3 248.374 2.842 20 0 BFADHN CC[C@H](C)[C@H](CN[C@@H]1CCc2cccnc21)OC ZINC000394431805 711687558 /nfs/dbraw/zinc/68/75/58/711687558.db2.gz CAMPLMLFZNCZKJ-YUTCNCBUSA-N 0 3 248.370 2.720 20 0 BFADHN CC(C)(C)[C@H](NCc1cocn1)C1CC1 ZINC000395086934 711692404 /nfs/dbraw/zinc/69/24/04/711692404.db2.gz DIOQJRBEYMNVNP-LLVKDONJSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@H](C2CC2)O1)c1ccoc1 ZINC000722317335 711734974 /nfs/dbraw/zinc/73/49/74/711734974.db2.gz YVCXQGYDHWIHBL-LEWSCRJBSA-N 0 3 235.327 2.888 20 0 BFADHN [O-]c1ccc(C[NH2+][C@H]2CC=CCC2)cc1F ZINC000232422935 711760526 /nfs/dbraw/zinc/76/05/26/711760526.db2.gz ITCANHUWOQWJER-NSHDSACASA-N 0 3 221.275 2.730 20 0 BFADHN CO[C@@H]1CC[C@@H](C)N(Cc2ccc(C)nc2C)C1 ZINC000879324816 711768687 /nfs/dbraw/zinc/76/86/87/711768687.db2.gz KBGUCOVUAWFIFK-IUODEOHRSA-N 0 3 248.370 2.698 20 0 BFADHN COc1cncc(CNCc2sccc2C)c1 ZINC000700018053 711982446 /nfs/dbraw/zinc/98/24/46/711982446.db2.gz OYQQMJUWLOXUMO-UHFFFAOYSA-N 0 3 248.351 2.750 20 0 BFADHN Cc1ncc(CNC[C@@]23C[C@@H]2CCCC3)o1 ZINC000700836304 712007699 /nfs/dbraw/zinc/00/76/99/712007699.db2.gz FYTAEGVDLMIYBW-WCQYABFASA-N 0 3 220.316 2.653 20 0 BFADHN CCC(CC)(CNCc1cnc(C)o1)SC ZINC000700844020 712008380 /nfs/dbraw/zinc/00/83/80/712008380.db2.gz GALVGIMEBPMITH-UHFFFAOYSA-N 0 3 242.388 2.994 20 0 BFADHN Cc1csc(CNCC[C@H]2CC2(F)F)n1 ZINC000701135392 712016491 /nfs/dbraw/zinc/01/64/91/712016491.db2.gz PGBGRYSDJYIBGA-QMMMGPOBSA-N 0 3 232.299 2.586 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@@]2(C)CC2(C)C)c2nccn21 ZINC000701986868 712035210 /nfs/dbraw/zinc/03/52/10/712035210.db2.gz PDCBSUFFIRPYMH-JTNHKYCSSA-N 0 3 233.359 2.915 20 0 BFADHN C[C@@H](NC[C@@]1(C)CC1(C)C)c1nccn1C ZINC000701987295 712035221 /nfs/dbraw/zinc/03/52/21/712035221.db2.gz QIUOFYRHBXEOIZ-ZWNOBZJWSA-N 0 3 221.348 2.507 20 0 BFADHN CC(C)(C)[C@H](N)c1cn(CCCC2CC2)nn1 ZINC000702230159 712043219 /nfs/dbraw/zinc/04/32/19/712043219.db2.gz YHXGIFDDIOGYCS-GFCCVEGCSA-N 0 3 236.363 2.514 20 0 BFADHN CC[C@H](CSC)NCc1ccc(Cl)cn1 ZINC000703906293 712094308 /nfs/dbraw/zinc/09/43/08/712094308.db2.gz PVVUPTDCMBSSLA-SNVBAGLBSA-N 0 3 244.791 2.966 20 0 BFADHN Cc1nnc(CNC2(C)CCCCC2)s1 ZINC000704652908 712112945 /nfs/dbraw/zinc/11/29/45/712112945.db2.gz SSXXZCIWXVYJOH-UHFFFAOYSA-N 0 3 225.361 2.659 20 0 BFADHN Cc1csc(CNCC[C@H]2CCSC2)n1 ZINC000704867425 712119538 /nfs/dbraw/zinc/11/95/38/712119538.db2.gz MSHGRWRMIVHLIV-JTQLQIEISA-N 0 3 242.413 2.684 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cc(Cl)n(C)n1 ZINC000706152623 712141026 /nfs/dbraw/zinc/14/10/26/712141026.db2.gz UFNGTDGQSNWEQJ-BDAKNGLRSA-N 0 3 229.755 2.598 20 0 BFADHN [O-]c1ccc(C[NH2+][C@H]2CCCC23CC3)cc1F ZINC000706924755 712161201 /nfs/dbraw/zinc/16/12/01/712161201.db2.gz ZAJVTMMRCNDIIY-ZDUSSCGKSA-N 0 3 235.302 2.954 20 0 BFADHN CSC1CC(NCc2occc2C)C1 ZINC000706939927 712162155 /nfs/dbraw/zinc/16/21/55/712162155.db2.gz XHVZHYFUAHSNNF-UHFFFAOYSA-N 0 3 211.330 2.572 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)[C@]23C[C@H]2CCCC3)n1 ZINC000709171757 712198299 /nfs/dbraw/zinc/19/82/99/712198299.db2.gz YCHSGCQYYNNMJB-BZPMIXESSA-N 0 3 233.359 2.777 20 0 BFADHN C[C@H](N[C@@H]1CCn2ccnc21)[C@@]12C[C@@H]1CCCC2 ZINC000708872789 712201694 /nfs/dbraw/zinc/20/16/94/712201694.db2.gz ZNTJHSZTKDFCAM-RMRHIDDWSA-N 0 3 245.370 2.886 20 0 BFADHN Cc1nc(CNC[C@@]23C[C@@H]2CCCC3)[nH]c1C ZINC000708969231 712204760 /nfs/dbraw/zinc/20/47/60/712204760.db2.gz DGZCTYUUZOFCCZ-GXTWGEPZSA-N 0 3 233.359 2.696 20 0 BFADHN C[C@@H](NCCc1ccns1)c1ccco1 ZINC000710008589 712240030 /nfs/dbraw/zinc/24/00/30/712240030.db2.gz FWWSENRHHGJRSR-SECBINFHSA-N 0 3 222.313 2.629 20 0 BFADHN CCCC1(CN[C@@H](C)c2cn(C)cn2)CC1 ZINC000710009552 712240149 /nfs/dbraw/zinc/24/01/49/712240149.db2.gz CYAORPADOCJXQS-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN C[C@@H](NCCc1ccns1)c1ccoc1 ZINC000710026589 712242286 /nfs/dbraw/zinc/24/22/86/712242286.db2.gz LDLJXPYLODCREC-SECBINFHSA-N 0 3 222.313 2.629 20 0 BFADHN C[C@H](N[C@H]1COC[C@H]1C)c1cccc(F)c1F ZINC000710856144 712259748 /nfs/dbraw/zinc/25/97/48/712259748.db2.gz XXPNFTMUWMRHKE-PTRXPTGYSA-N 0 3 241.281 2.650 20 0 BFADHN COC1(OC)CC[C@@H]1N[C@H](C)c1ccccc1C ZINC000710987110 712272233 /nfs/dbraw/zinc/27/22/33/712272233.db2.gz HHSISFVLQKEMCK-OCCSQVGLSA-N 0 3 249.354 2.797 20 0 BFADHN COC1(OC)CC[C@@H]1NCc1cc(C)cc(C)c1 ZINC000710990183 712272681 /nfs/dbraw/zinc/27/26/81/712272681.db2.gz SOJOHRFYRDCFIW-AWEZNQCLSA-N 0 3 249.354 2.545 20 0 BFADHN Cc1cc(CN[C@H]2C[C@@H]3CC[C@@H](C3)C2)no1 ZINC000711119017 712282468 /nfs/dbraw/zinc/28/24/68/712282468.db2.gz MPONSVMVJUOKGR-GDNZZTSVSA-N 0 3 220.316 2.651 20 0 BFADHN Oc1ccc(CN2CCC[C@H](CF)C2)cc1F ZINC000711475113 712305321 /nfs/dbraw/zinc/30/53/21/712305321.db2.gz HSTZAEYTKHSOFQ-LLVKDONJSA-N 0 3 241.281 2.713 20 0 BFADHN Cc1ccc(CNC[C@H]2CCC3(CCC3)O2)nc1 ZINC000711484612 712306428 /nfs/dbraw/zinc/30/64/28/712306428.db2.gz NFNJKTBZYVLXSC-CQSZACIVSA-N 0 3 246.354 2.581 20 0 BFADHN c1cn(C2CC2)c(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)n1 ZINC000711800862 712331595 /nfs/dbraw/zinc/33/15/95/712331595.db2.gz DRQGEISTOSKDEM-UPJWGTAASA-N 0 3 245.370 2.744 20 0 BFADHN c1cn(C2CC2)c(CNCCc2ccsc2)n1 ZINC000711819020 712332462 /nfs/dbraw/zinc/33/24/62/712332462.db2.gz WYHMMIXPXPQRQR-UHFFFAOYSA-N 0 3 247.367 2.612 20 0 BFADHN CCCCCNCc1ccsc1C(=O)OC ZINC000378706423 712358171 /nfs/dbraw/zinc/35/81/71/712358171.db2.gz IKCYMQPSDZRUQO-UHFFFAOYSA-N 0 3 241.356 2.815 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1c(F)cc(F)cc1F ZINC000378854177 712366846 /nfs/dbraw/zinc/36/68/46/712366846.db2.gz INZATGVJKHBXGD-JMCQJSRRSA-N 0 3 229.245 2.992 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1c(F)cc(F)cc1F ZINC000378854180 712367072 /nfs/dbraw/zinc/36/70/72/712367072.db2.gz INZATGVJKHBXGD-KRTXAFLBSA-N 0 3 229.245 2.992 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1c(F)cc(F)cc1F ZINC000378854181 712367177 /nfs/dbraw/zinc/36/71/77/712367177.db2.gz INZATGVJKHBXGD-MADCSZMMSA-N 0 3 229.245 2.992 20 0 BFADHN CCCOC(=O)[C@H](C)N1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000789449990 712420736 /nfs/dbraw/zinc/42/07/36/712420736.db2.gz ATEXEDPLRZCRQR-VOAKCMCISA-N 0 3 241.375 2.695 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cnn(C)c1Cl ZINC000712443845 712429664 /nfs/dbraw/zinc/42/96/64/712429664.db2.gz PLXVSBURNGCHTD-MWLCHTKSSA-N 0 3 241.766 2.598 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CCC(C)(C)CO1 ZINC000713611950 712467096 /nfs/dbraw/zinc/46/70/96/712467096.db2.gz WUHGEONHWJQEGY-LBPRGKRZSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1ccoc1CNC[C@H]1CCC(C)(C)CO1 ZINC000713611949 712467182 /nfs/dbraw/zinc/46/71/82/712467182.db2.gz WUHGEONHWJQEGY-GFCCVEGCSA-N 0 3 237.343 2.883 20 0 BFADHN CCCn1cc(CNCCC(C)(C)CC)nn1 ZINC000714455065 712490381 /nfs/dbraw/zinc/49/03/81/712490381.db2.gz HLNNNMLHVASISN-UHFFFAOYSA-N 0 3 238.379 2.604 20 0 BFADHN CCC[C@@H](N)c1cn(CC[C@@H]2C[C@H]2C2CC2)nn1 ZINC000714841483 712501615 /nfs/dbraw/zinc/50/16/15/712501615.db2.gz PBAFXKBGOSKBSG-FRRDWIJNSA-N 0 3 248.374 2.514 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CC[C@H](C2CC2)O1 ZINC000715406144 712517122 /nfs/dbraw/zinc/51/71/22/712517122.db2.gz YGVPENWQLCQXAN-QWHCGFSZSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@H](NC1CCCCCCC1)c1nncn1C ZINC000037016757 712529145 /nfs/dbraw/zinc/52/91/45/712529145.db2.gz WEWBVHDDFIBYHP-NSHDSACASA-N 0 3 236.363 2.579 20 0 BFADHN CCCn1cc([C@@H](C)N[C@@H]2CCCC23CC3)nn1 ZINC000715885303 712539931 /nfs/dbraw/zinc/53/99/31/712539931.db2.gz RGWVBPVFRGRADL-DGCLKSJQSA-N 0 3 248.374 2.671 20 0 BFADHN CCCn1cc([C@@H](C)N[C@@H](C)C2CCC2)nn1 ZINC000715921521 712542685 /nfs/dbraw/zinc/54/26/85/712542685.db2.gz LRONKFBWERAXHN-WDEREUQCSA-N 0 3 236.363 2.527 20 0 BFADHN CCC(CC)(CC)CN[C@@H](C)c1cn(C)nn1 ZINC000715927904 712543034 /nfs/dbraw/zinc/54/30/34/712543034.db2.gz NILLAUGWILGCTL-NSHDSACASA-N 0 3 238.379 2.682 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H](C)[C@H](C)CC)nn1 ZINC000715937162 712544347 /nfs/dbraw/zinc/54/43/47/712544347.db2.gz SSVADFWNEVWDEF-WOPDTQHZSA-N 0 3 238.379 2.773 20 0 BFADHN C[C@H](NCCOc1ccccc1)c1ccns1 ZINC000716031624 712547136 /nfs/dbraw/zinc/54/71/36/712547136.db2.gz SLGVGJIMJBVCLS-NSHDSACASA-N 0 3 248.351 2.873 20 0 BFADHN CC(C)(C)[C@H](Cn1ccnc1)NCc1ccco1 ZINC000037156297 712549769 /nfs/dbraw/zinc/54/97/69/712549769.db2.gz VOPLBRNWGDEXHC-ZDUSSCGKSA-N 0 3 247.342 2.681 20 0 BFADHN Cc1nc(CNCCCCC2CC2)[nH]c1C ZINC000716358015 712556144 /nfs/dbraw/zinc/55/61/44/712556144.db2.gz KILOYJAARORBFW-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN Cc1ccc(CN2CCC(C(C)(C)O)CC2)o1 ZINC000716413319 712559395 /nfs/dbraw/zinc/55/93/95/712559395.db2.gz QHVLSXIABKKROZ-UHFFFAOYSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)cs1 ZINC000716565047 712563816 /nfs/dbraw/zinc/56/38/16/712563816.db2.gz OMBXHXWHSDCQBC-LGOOBZPGSA-N 0 3 236.384 2.833 20 0 BFADHN CCOCCNCc1cc2cccc(OC)c2o1 ZINC000037269092 712565069 /nfs/dbraw/zinc/56/50/69/712565069.db2.gz JXFOFYLCQDVMAV-UHFFFAOYSA-N 0 3 249.310 2.568 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNCc1cscn1 ZINC000716530193 712567177 /nfs/dbraw/zinc/56/71/77/712567177.db2.gz JBIUWXKJGCQRRK-PKZYVASSSA-N 0 3 222.357 2.525 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CN[C@H]1C[C@H](C)n2ccnc21 ZINC000716636600 712568667 /nfs/dbraw/zinc/56/86/67/712568667.db2.gz NXZVYZRJLXGFGJ-WZBKEBKWSA-N 0 3 245.370 2.771 20 0 BFADHN CC[C@H](C[C@H](C)O)N[C@@H](C)c1nc(C)cs1 ZINC000716895889 712581254 /nfs/dbraw/zinc/58/12/54/712581254.db2.gz UOEUWDJMUXXKIO-GARJFASQSA-N 0 3 242.388 2.652 20 0 BFADHN CC[C@H](C[C@@H](C)O)N[C@@H](C)c1nc(C)cs1 ZINC000716895898 712581314 /nfs/dbraw/zinc/58/13/14/712581314.db2.gz UOEUWDJMUXXKIO-OUAUKWLOSA-N 0 3 242.388 2.652 20 0 BFADHN Cc1nc(CNCC[C@@H]2CC[C@@H]3C[C@@H]32)[nH]c1C ZINC000716997358 712603022 /nfs/dbraw/zinc/60/30/22/712603022.db2.gz DVMPHTLMWLANAB-YNEHKIRRSA-N 0 3 233.359 2.552 20 0 BFADHN C[C@H](N(C)Cc1cnc(Cl)n1C)C(C)(C)C ZINC000848881367 712610046 /nfs/dbraw/zinc/61/00/46/712610046.db2.gz MYPZMOYTOKFZTO-VIFPVBQESA-N 0 3 243.782 2.940 20 0 BFADHN Cc1csc(CNC[C@@H](C)CC(F)F)n1 ZINC000700079201 712643355 /nfs/dbraw/zinc/64/33/55/712643355.db2.gz IWYLAMCKZORJPP-ZETCQYMHSA-N 0 3 234.315 2.832 20 0 BFADHN C[C@H](NC[C@@H]1CCC=CO1)c1ccoc1 ZINC000380109698 712687574 /nfs/dbraw/zinc/68/75/74/712687574.db2.gz NVMAJZPTAGAREC-JQWIXIFHSA-N 0 3 207.273 2.623 20 0 BFADHN CC/C=C/CNCc1cccc([N+](=O)[O-])c1C ZINC000385037302 712707934 /nfs/dbraw/zinc/70/79/34/712707934.db2.gz QSDFFFKFPQQKIP-SNAWJCMRSA-N 0 3 234.299 2.959 20 0 BFADHN CCc1noc(C)c1[C@@H](C)NCCCF ZINC000380195117 712710635 /nfs/dbraw/zinc/71/06/35/712710635.db2.gz QRHORKPQTZFLFF-MRVPVSSYSA-N 0 3 214.284 2.556 20 0 BFADHN COc1ccc(C)cc1CNC[C@@H]1CCC=CO1 ZINC000380209614 712725787 /nfs/dbraw/zinc/72/57/87/712725787.db2.gz HHPNYZMXHSFFLE-AWEZNQCLSA-N 0 3 247.338 2.786 20 0 BFADHN CCCn1cc([C@H](C)NC2CCC=CCC2)nn1 ZINC000718029679 712736639 /nfs/dbraw/zinc/73/66/39/712736639.db2.gz NSOVBHGFAWGMNI-LBPRGKRZSA-N 0 3 248.374 2.838 20 0 BFADHN Cc1ccc(CN[C@]23C[C@H]2COC3)cc1Cl ZINC000718091495 712742011 /nfs/dbraw/zinc/74/20/11/712742011.db2.gz IQCQUOPSOVXDST-AAEUAGOBSA-N 0 3 237.730 2.527 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1cc(C)co1)OC ZINC000718132934 712747146 /nfs/dbraw/zinc/74/71/46/712747146.db2.gz SFTSOQCBABYOAS-DGCLKSJQSA-N 0 3 225.332 2.739 20 0 BFADHN Cc1ccoc1CNC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000720820885 712869014 /nfs/dbraw/zinc/86/90/14/712869014.db2.gz OOTWBISJRCLSAF-RWMBFGLXSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1nc(CNCC2(C)CC(F)(F)C2)cs1 ZINC000720923345 712879134 /nfs/dbraw/zinc/87/91/34/712879134.db2.gz PJTSMDMVAGAJME-UHFFFAOYSA-N 0 3 246.326 2.977 20 0 BFADHN Cc1occc1CNC1(C)CC(F)(F)C1 ZINC000720948458 712881657 /nfs/dbraw/zinc/88/16/57/712881657.db2.gz AFOVTNCHLYKRQS-UHFFFAOYSA-N 0 3 215.243 2.865 20 0 BFADHN Cc1ccc(CNC2(C)CC(F)(F)C2)cn1 ZINC000720948997 712881855 /nfs/dbraw/zinc/88/18/55/712881855.db2.gz HLUPFWJJEYYUNI-UHFFFAOYSA-N 0 3 226.270 2.667 20 0 BFADHN CC1(CNCc2nccs2)CC(F)(F)C1 ZINC000720994784 712886224 /nfs/dbraw/zinc/88/62/24/712886224.db2.gz YSCLWTDPJPIFRU-UHFFFAOYSA-N 0 3 232.299 2.668 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1cccnc1Cl ZINC000380351004 712892025 /nfs/dbraw/zinc/89/20/25/712892025.db2.gz VDRCGFHKXBDYHC-WCBMZHEXSA-N 0 3 242.775 2.576 20 0 BFADHN Cc1cc(NC2=CCN(C)CC2)cnc1Cl ZINC001208717024 957129226 /nfs/dbraw/zinc/12/92/26/957129226.db2.gz AMCURCJCLKBBJI-UHFFFAOYSA-N 0 3 237.734 2.675 20 0 BFADHN C[C@H](CN1CCc2ccncc2C1)C(F)(F)F ZINC001208905830 957236894 /nfs/dbraw/zinc/23/68/94/957236894.db2.gz SATFVDWKPCERNC-SECBINFHSA-N 0 3 244.260 2.638 20 0 BFADHN CCOC(C)(C)CN(C)Cc1ccc(C)nc1 ZINC001209076396 957314819 /nfs/dbraw/zinc/31/48/19/957314819.db2.gz KDGVQKQUYDYHCO-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN CN(c1ccc(CN2CCCC2)cn1)C(C)(C)C ZINC001165023033 721833934 /nfs/dbraw/zinc/83/39/34/721833934.db2.gz QWLVMYSSBLPGEH-UHFFFAOYSA-N 0 3 247.386 2.912 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1c1cc(CN(C)C)ccn1 ZINC001165051643 721998675 /nfs/dbraw/zinc/99/86/75/721998675.db2.gz INFWLKFFCWUXCT-QWHCGFSZSA-N 0 3 247.386 2.768 20 0 BFADHN CCC(C)(C)CCN[C@@H]1C[C@H](C)n2ncnc21 ZINC000926780574 959959384 /nfs/dbraw/zinc/95/93/84/959959384.db2.gz DVVXIQXRSMFTGD-WDEREUQCSA-N 0 3 236.363 2.700 20 0 BFADHN CC(C)[C@H](Oc1ccnc(N)c1)C(F)(F)F ZINC001226657456 975007058 /nfs/dbraw/zinc/00/70/58/975007058.db2.gz QAAIXUKGNHKFSP-VIFPVBQESA-N 0 3 234.221 2.630 20 0 BFADHN FC1(F)CC[C@@H]2CN(Cc3ccoc3)C[C@@H]21 ZINC000585381667 960670401 /nfs/dbraw/zinc/67/04/01/960670401.db2.gz SZWRQQOILWIODD-MNOVXSKESA-N 0 3 227.254 2.757 20 0 BFADHN CC[C@H](C)C[C@@H](C)Nc1cccc(N)n1 ZINC000087726456 961732935 /nfs/dbraw/zinc/73/29/35/961732935.db2.gz MFDIJCKACJFHGY-VHSXEESVSA-N 0 3 207.321 2.900 20 0 BFADHN Fc1cc(F)c(F)c(NC2=CNCCC2)c1F ZINC001159502663 964117064 /nfs/dbraw/zinc/11/70/64/964117064.db2.gz WPNVSBIFLYHRAT-UHFFFAOYSA-N 0 3 246.207 2.880 20 0 BFADHN Cc1nn(C)c(CNCCC2(C)CC2)c1Cl ZINC000698152111 965066595 /nfs/dbraw/zinc/06/65/95/965066595.db2.gz VPERJYNNFOQUJR-UHFFFAOYSA-N 0 3 241.766 2.662 20 0 BFADHN CCN(CC)Cc1cc(Br)c[nH]1 ZINC001231572181 965270215 /nfs/dbraw/zinc/27/02/15/965270215.db2.gz RUVGYRULUQWHLS-UHFFFAOYSA-N 0 3 231.137 2.619 20 0 BFADHN Cc1cccc(CN2CC3(CSC3)C2)c1 ZINC001203891906 966808114 /nfs/dbraw/zinc/80/81/14/966808114.db2.gz AEUSAFWAIYTINX-UHFFFAOYSA-N 0 3 219.353 2.544 20 0 BFADHN CCCC[C@@H](CC)COC(=O)N1CC[C@@H]1NC ZINC001239335672 966863276 /nfs/dbraw/zinc/86/32/76/966863276.db2.gz SPIFXVPPJWWOFR-VXGBXAGGSA-N 0 3 242.363 2.591 20 0 BFADHN COc1ccc(CN2CCCC2)c(OC)c1C ZINC001204253869 966949012 /nfs/dbraw/zinc/94/90/12/966949012.db2.gz LXKPDDDWCCKZPV-UHFFFAOYSA-N 0 3 235.327 2.608 20 0 BFADHN COc1cc(F)c(C)cc1CN1CCCC1 ZINC001250087879 967351146 /nfs/dbraw/zinc/35/11/46/967351146.db2.gz HWJPHEVMOKVWBW-UHFFFAOYSA-N 0 3 223.291 2.739 20 0 BFADHN C[C@]1(CNCc2ccc(Cl)cn2)CC1(F)F ZINC000703887367 967882508 /nfs/dbraw/zinc/88/25/08/967882508.db2.gz VRXFORXAZWHHNW-SNVBAGLBSA-N 0 3 246.688 2.870 20 0 BFADHN COc1ccccc1CN[C@H]1C=CCC1 ZINC000698326088 968325194 /nfs/dbraw/zinc/32/51/94/968325194.db2.gz FSAZTKBNLHSNKX-LBPRGKRZSA-N 0 3 203.285 2.503 20 0 BFADHN Cc1cn(C)nc1CN1C[C@@H](C)CC(C)(C)C1 ZINC001119807957 968469108 /nfs/dbraw/zinc/46/91/08/968469108.db2.gz HSCUHKPNEYSYTE-NSHDSACASA-N 0 3 235.375 2.597 20 0 BFADHN CCCC[C@@H](O)CN1CCc2ccsc2C1 ZINC001252090881 968511677 /nfs/dbraw/zinc/51/16/77/968511677.db2.gz ZMLWKGZIFZNZRB-GFCCVEGCSA-N 0 3 239.384 2.657 20 0 BFADHN CCc1nccc(CN(C)CC2CCCC2)n1 ZINC001207088836 968620668 /nfs/dbraw/zinc/62/06/68/968620668.db2.gz UWUDSAFHHWEQMS-UHFFFAOYSA-N 0 3 233.359 2.661 20 0 BFADHN Cc1ccc(CCCN2CCSCC2)o1 ZINC001207942979 968938471 /nfs/dbraw/zinc/93/84/71/968938471.db2.gz ALJJEDOKCOZKSB-UHFFFAOYSA-N 0 3 225.357 2.569 20 0 BFADHN OC[C@@H]1C[C@H](F)CN(CCCC2CCCC2)C1 ZINC001208087222 968991878 /nfs/dbraw/zinc/99/18/78/968991878.db2.gz CLPAGQNHMCQBEN-KGLIPLIRSA-N 0 3 243.366 2.609 20 0 BFADHN CC/C=C\CCCN1C[C@@H](C)O[C@@H](C)C1 ZINC001208131695 969027838 /nfs/dbraw/zinc/02/78/38/969027838.db2.gz NDSDWBFXYQLTMY-QXXLOIIESA-N 0 3 211.349 2.842 20 0 BFADHN CC/C=C\CCCN(C)Cc1cnn(C)c1 ZINC001208131333 969032958 /nfs/dbraw/zinc/03/29/58/969032958.db2.gz LKUVHSAYDAXEAP-WAYWQWQTSA-N 0 3 221.348 2.598 20 0 BFADHN CCCCC[C@@H](O)CN1CCC[C@@](C)(F)C1 ZINC001253524541 969199823 /nfs/dbraw/zinc/19/98/23/969199823.db2.gz CKEMDTZDVPIRKY-CHWSQXEVSA-N 0 3 231.355 2.752 20 0 BFADHN CC/C=C\CCN1CCCC(=O)[C@@H](C)C1 ZINC001208726265 969212983 /nfs/dbraw/zinc/21/29/83/969212983.db2.gz ZLSLRWXANLSODC-RXNFCKPNSA-N 0 3 209.333 2.644 20 0 BFADHN CC(C)CCCN1CCCCC12COC2 ZINC001208734644 969224017 /nfs/dbraw/zinc/22/40/17/969224017.db2.gz AUOFOZNZROOOMJ-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN CCC(=O)C(C)(C)CN(C)Cc1cccnc1 ZINC001208915748 969300063 /nfs/dbraw/zinc/30/00/63/969300063.db2.gz JJNZYBPCMQHBLG-UHFFFAOYSA-N 0 3 234.343 2.519 20 0 BFADHN C[C@@H]1CN(CCc2cccs2)C[C@@H]1F ZINC001209224042 969408034 /nfs/dbraw/zinc/40/80/34/969408034.db2.gz SARSAMAMHQEANJ-KOLCDFICSA-N 0 3 213.321 2.580 20 0 BFADHN CCOC(=O)CCCCCCN1CC[C@@H](F)C1 ZINC001209345041 969446897 /nfs/dbraw/zinc/44/68/97/969446897.db2.gz BUQKFCBMDVYMEJ-GFCCVEGCSA-N 0 3 245.338 2.544 20 0 BFADHN C[C@]1(F)CCN(C2CCSCC2)C[C@H]1F ZINC001255087730 969922209 /nfs/dbraw/zinc/92/22/09/969922209.db2.gz HOQPZRNQWVRANX-MNOVXSKESA-N 0 3 235.343 2.654 20 0 BFADHN c1cc2c(s1)CCN([C@@H]1CCSC1)C2 ZINC001255287569 970041964 /nfs/dbraw/zinc/04/19/64/970041964.db2.gz YXKMBCPFHOBMRR-SNVBAGLBSA-N 0 3 225.382 2.612 20 0 BFADHN CC(=O)[C@@H]1CCCN([C@H](C)CC(F)(F)F)C1 ZINC001255654813 970432593 /nfs/dbraw/zinc/43/25/93/970432593.db2.gz GYTBUOWLDKVLHG-PSASIEDQSA-N 0 3 237.265 2.628 20 0 BFADHN NCc1ccn([C@H]2CCC[C@@H](C(F)(F)F)C2)n1 ZINC001255690008 970456882 /nfs/dbraw/zinc/45/68/82/970456882.db2.gz QDTGJEGFPWOPFH-SCZZXKLOSA-N 0 3 247.264 2.635 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)Nc1ccccc1C ZINC000400762805 970624171 /nfs/dbraw/zinc/62/41/71/970624171.db2.gz JBBBACMKZWDNAY-CMPLNLGQSA-N 0 3 234.343 2.697 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N1CCCCCCC1 ZINC000400981209 970652173 /nfs/dbraw/zinc/65/21/73/970652173.db2.gz RTOREZXVARQBSV-QWHCGFSZSA-N 0 3 240.391 2.543 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)N1CCCCCCC1 ZINC000400981200 970653306 /nfs/dbraw/zinc/65/33/06/970653306.db2.gz RTOREZXVARQBSV-CHWSQXEVSA-N 0 3 240.391 2.543 20 0 BFADHN CC(C)CC[C@H](C)n1ccnc1[C@H](C)N ZINC001256351473 970744602 /nfs/dbraw/zinc/74/46/02/970744602.db2.gz PPAFLRFXARCJOZ-QWRGUYRKSA-N 0 3 209.337 2.900 20 0 BFADHN CCCC[C@H](CC(C)C)n1nncc1CN ZINC001173253169 975090656 /nfs/dbraw/zinc/09/06/56/975090656.db2.gz NUVDWZSGHHCILY-LLVKDONJSA-N 0 3 224.352 2.514 20 0 BFADHN CCSC[C@H](C)N1CCC[C@H](F)C1 ZINC001173306556 975210617 /nfs/dbraw/zinc/21/06/17/975210617.db2.gz OZORIOKOXTUYLD-UWVGGRQHSA-N 0 3 205.342 2.562 20 0 BFADHN Cc1cc(Cl)ncc1O[C@H]1CCCN(C)C1 ZINC001228532796 976299190 /nfs/dbraw/zinc/29/91/90/976299190.db2.gz KPRCKDLLUBCSFE-JTQLQIEISA-N 0 3 240.734 2.516 20 0 BFADHN Cc1ccnc(Cl)c1OC1CCN(C)CC1 ZINC001228985630 976610442 /nfs/dbraw/zinc/61/04/42/976610442.db2.gz AUFOYXGXJFTTBB-UHFFFAOYSA-N 0 3 240.734 2.516 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2N[C@@H]1CC[C@H]1C ZINC001335281051 976920049 /nfs/dbraw/zinc/92/00/49/976920049.db2.gz IZJQAEFLAADYFM-IEBDPFPHSA-N 0 3 220.316 2.749 20 0 BFADHN CCCN(C)Cc1cc(Br)c[nH]1 ZINC001231572247 977632495 /nfs/dbraw/zinc/63/24/95/977632495.db2.gz SPALMSIICHZYQS-UHFFFAOYSA-N 0 3 231.137 2.619 20 0 BFADHN CCCN(CCC)Cc1cc(F)cnc1OC ZINC001231741451 977726161 /nfs/dbraw/zinc/72/61/61/977726161.db2.gz XAMAVSXFVDSNHT-UHFFFAOYSA-N 0 3 240.322 2.851 20 0 BFADHN Cc1cc(F)ncc1CN1CCC[C@@H]2C[C@@H]21 ZINC001231985907 977821276 /nfs/dbraw/zinc/82/12/76/977821276.db2.gz VSTWAUIZYZSYNS-PWSUYJOCSA-N 0 3 220.291 2.513 20 0 BFADHN CCN(Cc1cn(C)nc1C(F)(F)F)C(C)C ZINC001232086034 977888222 /nfs/dbraw/zinc/88/82/22/977888222.db2.gz KLNSILQYMOKHOO-UHFFFAOYSA-N 0 3 249.280 2.669 20 0 BFADHN c1cc(CN2CC[C@H]3CCC[C@H]3C2)sn1 ZINC001232188788 977911216 /nfs/dbraw/zinc/91/12/16/977911216.db2.gz LPYSQTXUSCOJJX-MNOVXSKESA-N 0 3 222.357 2.765 20 0 BFADHN COC(C)(C)[C@H]1CCCN1Cc1cnsc1 ZINC001232227650 977955583 /nfs/dbraw/zinc/95/55/83/977955583.db2.gz QXIRLGAUNSYBFW-LLVKDONJSA-N 0 3 240.372 2.533 20 0 BFADHN C[C@@H]1CCCCCN1Cc1cc(F)ccn1 ZINC001232492929 978147188 /nfs/dbraw/zinc/14/71/88/978147188.db2.gz BCSWSVWRDCLTIC-LLVKDONJSA-N 0 3 222.307 2.985 20 0 BFADHN CCCN(CCC)Cc1cn(CCC)cn1 ZINC001232793952 978274489 /nfs/dbraw/zinc/27/44/89/978274489.db2.gz GTINIOPTBKHENE-UHFFFAOYSA-N 0 3 223.364 2.915 20 0 BFADHN C[C@@H]1CCCN1Cc1cccc(O)c1F ZINC001233049379 978443530 /nfs/dbraw/zinc/44/35/30/978443530.db2.gz MWTCTMWKGOGUHG-SECBINFHSA-N 0 3 209.264 2.516 20 0 BFADHN CCC(CC)N(C)Cc1cncc(Cl)n1 ZINC001233450526 978605101 /nfs/dbraw/zinc/60/51/01/978605101.db2.gz YQRKMKIUGZPWTR-UHFFFAOYSA-N 0 3 227.739 2.750 20 0 BFADHN CC[C@@H]1CCN(Cc2cc(C)cnc2F)C1 ZINC001235344547 979029876 /nfs/dbraw/zinc/02/98/76/979029876.db2.gz XVDIRBYMAFPJLY-LLVKDONJSA-N 0 3 222.307 2.761 20 0 BFADHN CC(C)C(CN(C1CC1)C1COC1)C(C)C ZINC001181987308 979391547 /nfs/dbraw/zinc/39/15/47/979391547.db2.gz RCIWZAPWFRRPHT-UHFFFAOYSA-N 0 3 225.376 2.778 20 0 BFADHN CN[C@@H]1CCN1CC[C@H]1CC=C(C)C1(C)C ZINC001236165390 979418438 /nfs/dbraw/zinc/41/84/38/979418438.db2.gz DIQHRPCDMAQSIY-OLZOCXBDSA-N 0 3 222.376 2.620 20 0 BFADHN CCN(CC)Cc1cc(F)cc2c1OCCC2 ZINC001236615757 979679497 /nfs/dbraw/zinc/67/94/97/979679497.db2.gz KDKCMOXSCCYOPE-UHFFFAOYSA-N 0 3 237.318 2.993 20 0 BFADHN [S-]c1ccoc1C[NH+]1C[C@H]2CCC[C@@H]2C1 ZINC001237183597 979832650 /nfs/dbraw/zinc/83/26/50/979832650.db2.gz SRGHLKYBIAMPOU-NXEZZACHSA-N 0 3 223.341 2.800 20 0 BFADHN CO[C@H]1CC[N@H+](Cc2occc2[S-])[C@@H](C)C1 ZINC001237188382 979839676 /nfs/dbraw/zinc/83/96/76/979839676.db2.gz WZFOEIKCHNARLL-UWVGGRQHSA-N 0 3 241.356 2.568 20 0 BFADHN CO[C@H]1CC[N@@H+](Cc2occc2[S-])[C@@H](C)C1 ZINC001237188382 979839678 /nfs/dbraw/zinc/83/96/78/979839678.db2.gz WZFOEIKCHNARLL-UWVGGRQHSA-N 0 3 241.356 2.568 20 0 BFADHN Cc1nc(CN2CCCCCC2)ccc1F ZINC001237812904 980059638 /nfs/dbraw/zinc/05/96/38/980059638.db2.gz GHMMUPMGCKXOSV-UHFFFAOYSA-N 0 3 222.307 2.905 20 0 BFADHN CCSC[C@@H](C)N(C)Cc1ccc(C)nc1 ZINC000153971542 980171196 /nfs/dbraw/zinc/17/11/96/980171196.db2.gz IXKZBSNDAWTUOL-GFCCVEGCSA-N 0 3 238.400 2.963 20 0 BFADHN CCN(Cc1cncc(OC(C)C)c1)C1CC1 ZINC001238462415 980272540 /nfs/dbraw/zinc/27/25/40/980272540.db2.gz NJCKTXLBQKFXOI-UHFFFAOYSA-N 0 3 234.343 2.853 20 0 BFADHN CCN(Cc1cccnc1OC)C(C)(C)C ZINC001344334351 980371507 /nfs/dbraw/zinc/37/15/07/980371507.db2.gz HKVALKDKZRRQHE-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN Cc1ccccc1CN(C)Cc1cc[nH]c1 ZINC000270983002 980533810 /nfs/dbraw/zinc/53/38/10/980533810.db2.gz DSIQIKFYFFROQW-UHFFFAOYSA-N 0 3 214.312 2.955 20 0 BFADHN CN1CC=C(c2cc(C3CC3)ccn2)CC1 ZINC001241310706 982457024 /nfs/dbraw/zinc/45/70/24/982457024.db2.gz JZUNKYCJQVXNQQ-UHFFFAOYSA-N 0 3 214.312 2.678 20 0 BFADHN CC(C)(C)CN1CCC(=O)[C@H]2CCCC[C@H]21 ZINC001201426602 983223326 /nfs/dbraw/zinc/22/33/26/983223326.db2.gz NSNFLHSFMQIOSZ-NWDGAFQWSA-N 0 3 223.360 2.866 20 0 BFADHN CCC[C@@H](C)CN(C)[C@H](C(=O)OC)[C@@H](C)CC ZINC001201553756 983310184 /nfs/dbraw/zinc/31/01/84/983310184.db2.gz PLENJUQBJUYAFF-AGIUHOORSA-N 0 3 243.391 2.942 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1nncs1 ZINC001354625509 983335734 /nfs/dbraw/zinc/33/57/34/983335734.db2.gz XLRBAKAWFKKSDW-ZJUUUORDSA-N 0 3 225.361 2.597 20 0 BFADHN CCCCN1CCC(=O)[C@H]2CCCC[C@H]21 ZINC001201700205 983410871 /nfs/dbraw/zinc/41/08/71/983410871.db2.gz CLESJPGCJXGYBU-NWDGAFQWSA-N 0 3 209.333 2.620 20 0 BFADHN [NH3+]Cc1cccc(-c2cccc(F)c2[O-])c1 ZINC001242350571 983619815 /nfs/dbraw/zinc/61/98/15/983619815.db2.gz GVEPLEHLLZNRFS-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN CCCC[C@H](O)CN[C@@H](C)c1cccc(C)n1 ZINC001252111587 983693955 /nfs/dbraw/zinc/69/39/55/983693955.db2.gz YATFBIFOZNLFGF-STQMWFEESA-N 0 3 236.359 2.592 20 0 BFADHN Cc1csc(CN2CC3(C2)CCOCC3)c1 ZINC001137157096 983997622 /nfs/dbraw/zinc/99/76/22/983997622.db2.gz KVFHLYOQAQDMNH-UHFFFAOYSA-N 0 3 237.368 2.669 20 0 BFADHN Cc1csc(CN2CCN(C)C(C)(C)C2)c1 ZINC001137158065 984002154 /nfs/dbraw/zinc/00/21/54/984002154.db2.gz CBADCQOGQFEDGY-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN CCOCC[C@@H](C)N1Cc2ccccc2C1 ZINC001172422628 974696690 /nfs/dbraw/zinc/69/66/90/974696690.db2.gz GUVGZEURPVEDOT-GFCCVEGCSA-N 0 3 219.328 2.817 20 0 BFADHN Cc1cnc(CN[C@H](C)CCOC(C)C)s1 ZINC001172444798 974805005 /nfs/dbraw/zinc/80/50/05/974805005.db2.gz YTKNJZBPFDTBFB-SNVBAGLBSA-N 0 3 242.388 2.745 20 0 BFADHN CC(C)OCC[C@@H](C)N[C@@H](C)c1nccs1 ZINC001172447370 974810605 /nfs/dbraw/zinc/81/06/05/974810605.db2.gz HZJAVOIXOHKSOY-MNOVXSKESA-N 0 3 242.388 2.997 20 0 BFADHN CC(C)OCC[C@H](C)N1CCc2occc2C1 ZINC001172453149 974816824 /nfs/dbraw/zinc/81/68/24/974816824.db2.gz DVPLFQRUNBFYTC-LBPRGKRZSA-N 0 3 237.343 2.841 20 0 BFADHN CC(C)COCC[C@H](C)n1ccnc1[C@H](C)N ZINC001172455317 974818761 /nfs/dbraw/zinc/81/87/61/974818761.db2.gz QYDNDGLQRNWDJT-RYUDHWBXSA-N 0 3 239.363 2.527 20 0 BFADHN COC(=O)[C@@]1(C)CCCN1[C@@H](C)CCC(C)C ZINC001256363815 970766146 /nfs/dbraw/zinc/76/61/46/970766146.db2.gz MKGAEXRAKUZJRC-GXTWGEPZSA-N 0 3 241.375 2.839 20 0 BFADHN CC(C)CC[C@@H](C)N1CCc2ncncc2C1 ZINC001256371700 970786523 /nfs/dbraw/zinc/78/65/23/970786523.db2.gz TVKFHPLUTJKVKB-GFCCVEGCSA-N 0 3 233.359 2.659 20 0 BFADHN CCOc1ccc(Nc2ccncc2C)c(C)n1 ZINC001215577054 970794633 /nfs/dbraw/zinc/79/46/33/970794633.db2.gz REFDTKQHNRMNEX-UHFFFAOYSA-N 0 3 243.310 2.658 20 0 BFADHN C[C@@H](Cc1ccccn1)N1C[C@@H](C)C[C@H]1CF ZINC001256411414 970819839 /nfs/dbraw/zinc/81/98/39/970819839.db2.gz QZASEOQCPHTLKW-OBJOEFQTSA-N 0 3 236.334 2.693 20 0 BFADHN C[C@H](Cc1ccccn1)N1CCCC[C@@H](F)C1 ZINC001256410431 970819995 /nfs/dbraw/zinc/81/99/95/970819995.db2.gz KXEUOJNGLWUMGA-CHWSQXEVSA-N 0 3 236.334 2.837 20 0 BFADHN Cn1ccnc1Nc1cc(Cl)cc2n[nH]cc21 ZINC001215857197 970866325 /nfs/dbraw/zinc/86/63/25/970866325.db2.gz CMQANBWSDGMSFY-UHFFFAOYSA-N 0 3 247.689 2.693 20 0 BFADHN COc1cccc2c1CC[C@H](N1CC[C@H](F)C1)C2 ZINC001256646549 970903499 /nfs/dbraw/zinc/90/34/99/970903499.db2.gz OEUOCTFMBLGIAG-STQMWFEESA-N 0 3 249.329 2.596 20 0 BFADHN COC[C@H](NCC=C(Cl)Cl)C(C)C ZINC000403388818 970929070 /nfs/dbraw/zinc/92/90/70/970929070.db2.gz RRMVCXGBCQWTQF-QMMMGPOBSA-N 0 3 226.147 2.566 20 0 BFADHN CCc1cc(Nc2cncc(F)c2C)ccn1 ZINC001216192110 970976310 /nfs/dbraw/zinc/97/63/10/970976310.db2.gz OGPUYDWNQYSHDX-UHFFFAOYSA-N 0 3 231.274 2.652 20 0 BFADHN CN(CCF)[C@H]1CCO[C@@H](c2ccccc2)C1 ZINC001256826005 970997199 /nfs/dbraw/zinc/99/71/99/970997199.db2.gz YTMPWYLQQUMURO-UONOGXRCSA-N 0 3 237.318 2.808 20 0 BFADHN CN(CCF)[C@@H]1CCO[C@H](c2ccccc2)C1 ZINC001256826004 970998445 /nfs/dbraw/zinc/99/84/45/970998445.db2.gz YTMPWYLQQUMURO-KGLIPLIRSA-N 0 3 237.318 2.808 20 0 BFADHN CCOC(=O)c1ccc(C2=CNCCC2)cc1F ZINC001243950660 971041705 /nfs/dbraw/zinc/04/17/05/971041705.db2.gz KGHCPYMYDFCNEX-UHFFFAOYSA-N 0 3 249.285 2.727 20 0 BFADHN CCOCC(C)(C)NCC=C(Cl)Cl ZINC000403780552 971060376 /nfs/dbraw/zinc/06/03/76/971060376.db2.gz XQECLINCHYTHBO-UHFFFAOYSA-N 0 3 226.147 2.710 20 0 BFADHN CCC[C@@]1(NCC=C(Cl)Cl)CCOC1 ZINC000403776056 971061752 /nfs/dbraw/zinc/06/17/52/971061752.db2.gz WGKJGYLDEISBNG-SNVBAGLBSA-N 0 3 238.158 2.854 20 0 BFADHN C[C@H]1CC(NCOc2ccccc2)C[C@H](C)O1 ZINC001257077448 971090580 /nfs/dbraw/zinc/09/05/80/971090580.db2.gz DEMWLUDSSFFKSS-RYUDHWBXSA-N 0 3 235.327 2.569 20 0 BFADHN CCCCN(C)Cc1ccc(O)cc1F ZINC000404079559 971118459 /nfs/dbraw/zinc/11/84/59/971118459.db2.gz RTUMYNBWUBYQDW-UHFFFAOYSA-N 0 3 211.280 2.763 20 0 BFADHN Cc1cc(C)c(-c2ccc3[nH]c(N)nc3c2)cn1 ZINC001244146997 971124975 /nfs/dbraw/zinc/12/49/75/971124975.db2.gz NZOVGKCSURXZEW-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN Cc1cc(C)c(-c2ccc3nc(N)[nH]c3c2)cn1 ZINC001244146997 971124980 /nfs/dbraw/zinc/12/49/80/971124980.db2.gz NZOVGKCSURXZEW-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN CCC[C@@H](CC)N1CCCC[C@H]1C(=O)OCC ZINC001257264974 971163956 /nfs/dbraw/zinc/16/39/56/971163956.db2.gz XQIABEDNZLBXBY-OLZOCXBDSA-N 0 3 241.375 2.983 20 0 BFADHN CCC[C@H](CC)N(CCC)CC(=O)OCC ZINC001257265442 971168498 /nfs/dbraw/zinc/16/84/98/971168498.db2.gz DRHUSZSGWAEFCD-LBPRGKRZSA-N 0 3 229.364 2.840 20 0 BFADHN CCCc1cc(CN)nn1C(CC)CC ZINC001257291034 971178489 /nfs/dbraw/zinc/17/84/89/971178489.db2.gz SFSPZDXGBATMDG-UHFFFAOYSA-N 0 3 209.337 2.655 20 0 BFADHN CCC(CC)N1CCc2ccncc2C1 ZINC001257301054 971190055 /nfs/dbraw/zinc/19/00/55/971190055.db2.gz NPGXGYOXZAOWFM-UHFFFAOYSA-N 0 3 204.317 2.628 20 0 BFADHN CCC[C@@H](C)NCc1nccn1CC(F)(F)F ZINC001257312085 971204824 /nfs/dbraw/zinc/20/48/24/971204824.db2.gz UDFQFEOTJMBJLE-SECBINFHSA-N 0 3 249.280 2.724 20 0 BFADHN CCCC(=O)[C@@H](CCC)OC[C@@H]1CCCN1C ZINC001224817400 973999695 /nfs/dbraw/zinc/99/96/95/973999695.db2.gz OYZHPWHFMDYCAH-GXTWGEPZSA-N 0 3 241.375 2.635 20 0 BFADHN CCC[C@@H](C)N1CCC[C@@H]1c1ncccn1 ZINC001257326779 971229026 /nfs/dbraw/zinc/22/90/26/971229026.db2.gz CHBOAUMTULSZMD-VXGBXAGGSA-N 0 3 219.332 2.802 20 0 BFADHN CCCC(CCC)NCc1nccc(C)n1 ZINC001257327992 971233351 /nfs/dbraw/zinc/23/33/51/971233351.db2.gz JBQOUJDKEYMFIV-UHFFFAOYSA-N 0 3 221.348 2.843 20 0 BFADHN CCC[C@@H](C)N(CC(=O)OCC)C1CCCC1 ZINC001257329509 971236553 /nfs/dbraw/zinc/23/65/53/971236553.db2.gz ZUSAERQRAJKFFW-GFCCVEGCSA-N 0 3 241.375 2.983 20 0 BFADHN CCCC(CCC)n1ncc2c1CCNC2 ZINC001257334914 971241979 /nfs/dbraw/zinc/24/19/79/971241979.db2.gz HJXWRCDPPFNMSE-UHFFFAOYSA-N 0 3 221.348 2.670 20 0 BFADHN CC(C)Cn1cnc(CNC2CCCC2)c1 ZINC001257346387 971257567 /nfs/dbraw/zinc/25/75/67/971257567.db2.gz GJDUTSJWUNGPAU-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN CCCCCC[C@@H](C)N1CC(C(=O)OCC)C1 ZINC001257369278 971287234 /nfs/dbraw/zinc/28/72/34/971287234.db2.gz VPVUMEAMWGUFGQ-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H]1[C@@H](N[C@H]2CSc3ccccc32)CO[C@H]1C ZINC000405681419 971292988 /nfs/dbraw/zinc/29/29/88/971292988.db2.gz KUJUVIOMDNFJRW-UKJIMTQDSA-N 0 3 249.379 2.846 20 0 BFADHN CC[C@H](C)N[C@H]1CCc2ccccc2C1=O ZINC001257435517 971344695 /nfs/dbraw/zinc/34/46/95/971344695.db2.gz GAEXZIWWPORGJG-GWCFXTLKSA-N 0 3 217.312 2.572 20 0 BFADHN CC[C@@H](C)N1Cc2ccc(OC)cc2C1 ZINC001257442134 971351937 /nfs/dbraw/zinc/35/19/37/971351937.db2.gz CRYUNNRRLYUNBS-SNVBAGLBSA-N 0 3 205.301 2.809 20 0 BFADHN CC[C@H](C)N1Cc2ccc(OC)cc2C1 ZINC001257442132 971354220 /nfs/dbraw/zinc/35/42/20/971354220.db2.gz CRYUNNRRLYUNBS-JTQLQIEISA-N 0 3 205.301 2.809 20 0 BFADHN CC[C@H](C)N1CCOC[C@H]1Cc1ccccc1 ZINC001257445729 971361418 /nfs/dbraw/zinc/36/14/18/971361418.db2.gz DGNINYPYJWESOZ-DZGCQCFKSA-N 0 3 233.355 2.728 20 0 BFADHN Fc1cnc(CNC2CCCCC2)c(F)c1 ZINC001257450789 971370963 /nfs/dbraw/zinc/37/09/63/971370963.db2.gz KBODKFALDSIOOH-UHFFFAOYSA-N 0 3 226.270 2.782 20 0 BFADHN CC[C@H](C)N1CCc2ncc(C)cc2C1 ZINC001257446193 971362333 /nfs/dbraw/zinc/36/23/33/971362333.db2.gz JSAJWOOSBBUDDL-NSHDSACASA-N 0 3 204.317 2.547 20 0 BFADHN CCCCCCc1nnc([C@@]2(C)CCCN2)o1 ZINC001217727024 971366679 /nfs/dbraw/zinc/36/66/79/971366679.db2.gz AUUBZIWLYFWNOS-CYBMUJFWSA-N 0 3 237.347 2.791 20 0 BFADHN C1N(C2CCCCC2)CC12CCCCO2 ZINC001257456636 971377974 /nfs/dbraw/zinc/37/79/74/971377974.db2.gz XAMIZTHQEOYHLS-UHFFFAOYSA-N 0 3 209.333 2.574 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N1CC[C@](F)(CO)C1 ZINC001257474356 971396578 /nfs/dbraw/zinc/39/65/78/971396578.db2.gz ARQNQUXHKMFMQF-BFHYXJOUSA-N 0 3 245.382 2.998 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N(C)CC(=O)NCC ZINC001257478239 971399685 /nfs/dbraw/zinc/39/96/85/971399685.db2.gz RAWOBCYPZOGWQC-OLZOCXBDSA-N 0 3 242.407 2.659 20 0 BFADHN CCCCC[C@H](C)n1nc(CN)cc1C ZINC001257500573 971416409 /nfs/dbraw/zinc/41/64/09/971416409.db2.gz UMDCOCOXTGPGMH-JTQLQIEISA-N 0 3 209.337 2.792 20 0 BFADHN CCCCC[C@@H](C)NCc1ccn(CCF)n1 ZINC001257504750 971422973 /nfs/dbraw/zinc/42/29/73/971422973.db2.gz NISWMZMRQVRBLR-GFCCVEGCSA-N 0 3 241.354 2.911 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1OC[C@@H]1COC(C)(C)N1 ZINC001217836823 971429666 /nfs/dbraw/zinc/42/96/66/971429666.db2.gz INWTZYARUFHNJG-UPJWGTAASA-N 0 3 241.375 2.696 20 0 BFADHN CCCCC[C@@H](C)N(CC)[C@@H](C)C(=O)OC ZINC001257518396 971441494 /nfs/dbraw/zinc/44/14/94/971441494.db2.gz LTYGRSVQYAFCAK-NEPJUHHUSA-N 0 3 229.364 2.839 20 0 BFADHN CCC(CC)[C@@H](CC)OC[C@H]1COC(C)(C)N1 ZINC001217865250 971458469 /nfs/dbraw/zinc/45/84/69/971458469.db2.gz GWYGWNOGJJLVSG-QWHCGFSZSA-N 0 3 243.391 2.942 20 0 BFADHN Cc1ccccc1[C@H](C)OC[C@H]1COC(C)(C)N1 ZINC001217870554 971464552 /nfs/dbraw/zinc/46/45/52/971464552.db2.gz LRMQEYQZXVCEOG-STQMWFEESA-N 0 3 249.354 2.797 20 0 BFADHN Cc1ccccc1[C@@H](C)OC[C@H]1COC(C)(C)N1 ZINC001217870552 971465491 /nfs/dbraw/zinc/46/54/91/971465491.db2.gz LRMQEYQZXVCEOG-OLZOCXBDSA-N 0 3 249.354 2.797 20 0 BFADHN CC1(C)N[C@@H](CO[C@@H]2CCCC(C)(C)C2)CO1 ZINC001217888917 971486371 /nfs/dbraw/zinc/48/63/71/971486371.db2.gz HJSJREFBESTRKW-NWDGAFQWSA-N 0 3 241.375 2.696 20 0 BFADHN CCC1CCC(OC[C@H]2COC(C)(C)N2)CC1 ZINC001217893242 971494065 /nfs/dbraw/zinc/49/40/65/971494065.db2.gz LTCHHXIDVIETOD-CPCZMJQVSA-N 0 3 241.375 2.696 20 0 BFADHN CCCCC[C@@H](CCC)OC[C@H]1CNCCO1 ZINC001217894984 971496906 /nfs/dbraw/zinc/49/69/06/971496906.db2.gz HVUYTSCCTVGZQS-ZIAGYGMSSA-N 0 3 243.391 2.740 20 0 BFADHN CCCCCC(=O)c1ccc(OC2CNC2)cc1 ZINC001218052286 971590284 /nfs/dbraw/zinc/59/02/84/971590284.db2.gz GMOWVKXTUUYSGO-UHFFFAOYSA-N 0 3 247.338 2.800 20 0 BFADHN Cc1c(F)ccc(O[C@H]2CCNC[C@@H]2F)c1C ZINC001218068790 971593993 /nfs/dbraw/zinc/59/39/93/971593993.db2.gz FZPSZFFASKABRE-AAEUAGOBSA-N 0 3 241.281 2.521 20 0 BFADHN Cc1cc(Cl)cc(O[C@H]2CCNC[C@H]2F)c1 ZINC001218066790 971595436 /nfs/dbraw/zinc/59/54/36/971595436.db2.gz NZGQCVLNUNUJIW-NEPJUHHUSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1ccc(O[C@@H]2CCNC[C@@H]2F)c(Cl)c1 ZINC001218063452 971595566 /nfs/dbraw/zinc/59/55/66/971595566.db2.gz AEDQIIVZIRWRCR-CMPLNLGQSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1ccc(Cl)cc1O[C@@H]1CCNC[C@H]1F ZINC001218064481 971593524 /nfs/dbraw/zinc/59/35/24/971593524.db2.gz LNEFLOBDMUPTOI-GHMZBOCLSA-N 0 3 243.709 2.727 20 0 BFADHN CCCc1cc(CN)nn1[C@@H]1C[C@@H]2[C@H](C1)C2(C)C ZINC001170731432 971669511 /nfs/dbraw/zinc/66/95/11/971669511.db2.gz QLUZPKUGOLCMGU-ZSOGYDGISA-N 0 3 247.386 2.901 20 0 BFADHN C1=C[C@@H]2C[C@H]1C[C@@H]2Oc1cccc2c1CNC2 ZINC001218294878 971695751 /nfs/dbraw/zinc/69/57/51/971695751.db2.gz PNKBTZKZYSNAJI-RWSFTLGLSA-N 0 3 227.307 2.633 20 0 BFADHN CC1(C)[C@@H]2C[C@H](N3C[C@@H]4C[C@@H]4[C@@H](F)C3)C[C@@H]21 ZINC001170745011 971706449 /nfs/dbraw/zinc/70/64/49/971706449.db2.gz CXVDOGMPSNRDQV-PJGZEUCASA-N 0 3 223.335 2.711 20 0 BFADHN CC1(C)[C@@H]2C[C@H](N3CCc4ccncc4C3)C[C@@H]21 ZINC001170760174 971738292 /nfs/dbraw/zinc/73/82/92/971738292.db2.gz HADHFJQHEASNIX-QDMKHBRRSA-N 0 3 242.366 2.874 20 0 BFADHN Cc1ccc2ccnc(NCC3CN(C)C3)c2c1 ZINC001159219218 971744050 /nfs/dbraw/zinc/74/40/50/971744050.db2.gz XVRGBQIATRMUOA-UHFFFAOYSA-N 0 3 241.338 2.517 20 0 BFADHN CC1(C)[C@@H]2C[C@@H](N3CCc4ncsc4C3)C[C@@H]21 ZINC001170761337 971745258 /nfs/dbraw/zinc/74/52/58/971745258.db2.gz LKUMMTZQXGOXQC-RTCCRHLQSA-N 0 3 248.395 2.936 20 0 BFADHN C[C@H]1NC[C@@H]1Oc1nc(-c2ccccc2)cs1 ZINC001218383244 971760346 /nfs/dbraw/zinc/76/03/46/971760346.db2.gz FTEQANMSQAJKHN-SKDRFNHKSA-N 0 3 246.335 2.549 20 0 BFADHN FC[C@@H](N[C@H]1CCCOCC1)c1ccccc1 ZINC001170789214 971827134 /nfs/dbraw/zinc/82/71/34/971827134.db2.gz AQJLDOYMYRTXQO-UONOGXRCSA-N 0 3 237.318 2.856 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001172331106 974593110 /nfs/dbraw/zinc/59/31/10/974593110.db2.gz PBQXTHCLNNJXTH-IKOXMDCHSA-N 0 3 243.366 2.870 20 0 BFADHN [O-]c1cccc(F)c1-c1cccc2c1C[NH2+]C2 ZINC001245654947 971940888 /nfs/dbraw/zinc/94/08/88/971940888.db2.gz JEXOUJSSYWUNLM-UHFFFAOYSA-N 0 3 229.254 2.802 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1C[C@@H](C)O[C@@H](C)C1 ZINC001172334374 974597418 /nfs/dbraw/zinc/59/74/18/974597418.db2.gz BMXLHRZULDUHFT-XDQVBPFNSA-N 0 3 243.391 2.689 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N1CC[C@H](F)C1 ZINC001172339137 974602871 /nfs/dbraw/zinc/60/28/71/974602871.db2.gz NXBDWJMEUXDQDK-WOPDTQHZSA-N 0 3 217.328 2.624 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N(C)Cc1ccno1 ZINC001172344890 974615957 /nfs/dbraw/zinc/61/59/57/974615957.db2.gz BNKFSASUIUBOIX-RYUDHWBXSA-N 0 3 240.347 2.700 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1C[C@@H](C)OC[C@H]1C ZINC001172348104 974618706 /nfs/dbraw/zinc/61/87/06/974618706.db2.gz OAXLZJHJEUEYJH-RFQIPJPRSA-N 0 3 243.391 2.689 20 0 BFADHN c1cnn(CCCN(Cc2ccco2)C2CC2)c1 ZINC001208081703 956929393 /nfs/dbraw/zinc/92/93/93/956929393.db2.gz AGMJOBJLPXWYNI-UHFFFAOYSA-N 0 3 245.326 2.531 20 0 BFADHN Cc1ccccc1NC1=CCN(C)CC1 ZINC001208838819 957182696 /nfs/dbraw/zinc/18/26/96/957182696.db2.gz SYQOZULSPIYMEV-UHFFFAOYSA-N 0 3 202.301 2.626 20 0 BFADHN F[C@H]1CCCCN(CCCc2ccncc2)C1 ZINC001209183995 957342686 /nfs/dbraw/zinc/34/26/86/957342686.db2.gz GCIIUJAOJWNJMJ-AWEZNQCLSA-N 0 3 236.334 2.838 20 0 BFADHN Fc1cc(CNCCCC2CC2)c(F)cn1 ZINC000823911908 972260213 /nfs/dbraw/zinc/26/02/13/972260213.db2.gz YZBRKXGCELFJSO-UHFFFAOYSA-N 0 3 226.270 2.640 20 0 BFADHN CC(C)CN(C)Cc1cc(Cl)ccn1 ZINC000086129550 957561633 /nfs/dbraw/zinc/56/16/33/957561633.db2.gz VXKLNUUOZNOSAO-UHFFFAOYSA-N 0 3 212.724 2.823 20 0 BFADHN Cc1cc(C)nc(Nc2cnc(N)cc2C)c1 ZINC001209962154 957588768 /nfs/dbraw/zinc/58/87/68/957588768.db2.gz RYFUIBLUMMMNDB-UHFFFAOYSA-N 0 3 228.299 2.728 20 0 BFADHN CN(Cc1cc(Cl)ccc1N)C1CC1 ZINC000086549313 957635430 /nfs/dbraw/zinc/63/54/30/957635430.db2.gz ZDJHJOYYSCHENA-UHFFFAOYSA-N 0 3 210.708 2.516 20 0 BFADHN Cc1c(F)cc(Nc2nccn2C)cc1F ZINC001210105879 957641244 /nfs/dbraw/zinc/64/12/44/957641244.db2.gz KDCUECSOJOWARF-UHFFFAOYSA-N 0 3 223.226 2.750 20 0 BFADHN Cc1cccc(CNCCOC2CCC2)c1F ZINC000690701830 957688748 /nfs/dbraw/zinc/68/87/48/957688748.db2.gz BUCHQHXSIPSCJY-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN CCC[C@H](CNCc1cccc2c1OCC2)OC ZINC000693146420 957735090 /nfs/dbraw/zinc/73/50/90/957735090.db2.gz XFMCXKNGTDXCIS-CQSZACIVSA-N 0 3 249.354 2.526 20 0 BFADHN Fc1cccnc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC001207027133 957785403 /nfs/dbraw/zinc/78/54/03/957785403.db2.gz WBZPHOOENLJDIS-RYUDHWBXSA-N 0 3 234.318 2.843 20 0 BFADHN Cc1cc(CN2CCC[C@@]23CCOC3)oc1C ZINC001204532281 957931558 /nfs/dbraw/zinc/93/15/58/957931558.db2.gz CQJLTGOWHMXELP-AWEZNQCLSA-N 0 3 235.327 2.651 20 0 BFADHN COc1ncc(CN2CCC[C@H](C)C2)cc1C ZINC001136998626 972312288 /nfs/dbraw/zinc/31/22/88/972312288.db2.gz AMIXTOJGVNRARM-NSHDSACASA-N 0 3 234.343 2.631 20 0 BFADHN CC1CN(Cc2c[nH]c3cccc(F)c23)C1 ZINC001136979780 972309462 /nfs/dbraw/zinc/30/94/62/972309462.db2.gz QLTHNZWASHNSSU-UHFFFAOYSA-N 0 3 218.275 2.759 20 0 BFADHN C[C@@H]1CCN1Cc1c[nH]c2cccc(F)c12 ZINC001136979482 972310073 /nfs/dbraw/zinc/31/00/73/972310073.db2.gz KQIHQTYTPACLIY-SECBINFHSA-N 0 3 218.275 2.901 20 0 BFADHN CSc1cncc(Nc2ccncc2C)c1 ZINC001211068566 958014822 /nfs/dbraw/zinc/01/48/22/958014822.db2.gz BFBMWBIDJXNVMW-UHFFFAOYSA-N 0 3 231.324 2.672 20 0 BFADHN COCCN(Cc1cncc(Cl)c1)C(C)C ZINC001136994361 972313280 /nfs/dbraw/zinc/31/32/80/972313280.db2.gz RSNXQGVXLCXIKW-UHFFFAOYSA-N 0 3 242.750 2.592 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1ccon1 ZINC000088052783 958151783 /nfs/dbraw/zinc/15/17/83/958151783.db2.gz QVOXCXQOGVSINI-GHMZBOCLSA-N 0 3 210.321 2.979 20 0 BFADHN Cc1cccc(C)c1CN1CCCC12COC2 ZINC001137851660 972340873 /nfs/dbraw/zinc/34/08/73/972340873.db2.gz NEZCZUXNZPSUCO-UHFFFAOYSA-N 0 3 231.339 2.668 20 0 BFADHN F[C@@H]1CCCCN(Cc2ccc3[nH]cnc3c2)C1 ZINC001137041247 972340934 /nfs/dbraw/zinc/34/09/34/972340934.db2.gz SSFDHIONOGSGIJ-GFCCVEGCSA-N 0 3 247.317 2.887 20 0 BFADHN F[C@@H]1CCCCN(Cc2ccc3nc[nH]c3c2)C1 ZINC001137041247 972340937 /nfs/dbraw/zinc/34/09/37/972340937.db2.gz SSFDHIONOGSGIJ-GFCCVEGCSA-N 0 3 247.317 2.887 20 0 BFADHN Nc1ccc(F)cc1CN1CCC12CCCC2 ZINC000707668506 958658679 /nfs/dbraw/zinc/65/86/79/958658679.db2.gz NJAZCFBQTZOVLK-UHFFFAOYSA-N 0 3 234.318 2.926 20 0 BFADHN Nc1cccc(F)c1CN1C2CCC1CC2 ZINC000399410229 958708833 /nfs/dbraw/zinc/70/88/33/958708833.db2.gz QZMCINZWAHNAIG-UHFFFAOYSA-N 0 3 220.291 2.535 20 0 BFADHN CCc1cnc(CNC[C@]23C[C@H]2CCC3)s1 ZINC000693546382 958755105 /nfs/dbraw/zinc/75/51/05/958755105.db2.gz TZNCFULTJKOCIE-MFKMUULPSA-N 0 3 236.384 2.985 20 0 BFADHN C#CCN(Cc1cccs1)CC1CC1 ZINC000092099236 958857004 /nfs/dbraw/zinc/85/70/04/958857004.db2.gz PPVQRBTWDMKNLO-UHFFFAOYSA-N 0 3 205.326 2.593 20 0 BFADHN CCN(Cc1ccc(O)cc1F)C(C)C ZINC000404069615 959043828 /nfs/dbraw/zinc/04/38/28/959043828.db2.gz OUCWAJRETDAETI-UHFFFAOYSA-N 0 3 211.280 2.762 20 0 BFADHN CSCc1ccc(CNCc2cnc[nH]2)cc1 ZINC000724810339 959319780 /nfs/dbraw/zinc/31/97/80/959319780.db2.gz YRYCGFSZWNODOW-UHFFFAOYSA-N 0 3 247.367 2.563 20 0 BFADHN CCCCC[C@@H](OC[C@H]1CNCCO1)C(C)C ZINC001217893367 959722470 /nfs/dbraw/zinc/72/24/70/959722470.db2.gz YBJFBOLKNKSMHE-ZIAGYGMSSA-N 0 3 243.391 2.596 20 0 BFADHN F[C@H]1CNCC[C@@H]1Oc1cccc2c1CCCC2 ZINC001218066308 959854033 /nfs/dbraw/zinc/85/40/33/959854033.db2.gz KNUFUWBGNCANQL-ZFWWWQNUSA-N 0 3 249.329 2.644 20 0 BFADHN c1ncc(CN2CC[C@H]3CCCC[C@@H]3C2)o1 ZINC001140932934 960102423 /nfs/dbraw/zinc/10/24/23/960102423.db2.gz GIOOGMXFFGRORS-VXGBXAGGSA-N 0 3 220.316 2.687 20 0 BFADHN COC[C@@H]1CCCCN1Cc1cc(C)cc(C)n1 ZINC000930664233 960330732 /nfs/dbraw/zinc/33/07/32/960330732.db2.gz FBHMKJRKMFSTAK-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN Fc1cnc(Cl)cc1CN1CC2CC(C2)C1 ZINC001140611949 960461615 /nfs/dbraw/zinc/46/16/15/960461615.db2.gz WNOQCRSBCOYNKE-UHFFFAOYSA-N 0 3 240.709 2.716 20 0 BFADHN C[C@@]1(F)CCCCN(Cc2cc[nH]c2)C1 ZINC001140704962 960512670 /nfs/dbraw/zinc/51/26/70/960512670.db2.gz RBDAJOUTGMJOJB-GFCCVEGCSA-N 0 3 210.296 2.729 20 0 BFADHN CCCCN1CCN(Cc2[nH]cc(C)c2C)CC1 ZINC001140860144 960632599 /nfs/dbraw/zinc/63/25/99/960632599.db2.gz LVIFKDGWMUFNTP-UHFFFAOYSA-N 0 3 249.402 2.549 20 0 BFADHN C[C@@H]1CC[N@H+](Cc2ccc([O-])c(F)c2F)C1 ZINC001140887975 960665465 /nfs/dbraw/zinc/66/54/65/960665465.db2.gz KPARBNCITKRETA-MRVPVSSYSA-N 0 3 227.254 2.512 20 0 BFADHN C[C@@H]1CC[N@@H+](Cc2ccc([O-])c(F)c2F)C1 ZINC001140887975 960665479 /nfs/dbraw/zinc/66/54/79/960665479.db2.gz KPARBNCITKRETA-MRVPVSSYSA-N 0 3 227.254 2.512 20 0 BFADHN CC[N@H+](Cc1ccc([O-])c(F)c1F)C1CC1 ZINC001140890140 960666916 /nfs/dbraw/zinc/66/69/16/960666916.db2.gz WXMKGLNZJRSFRO-UHFFFAOYSA-N 0 3 227.254 2.655 20 0 BFADHN CC[N@@H+](Cc1ccc([O-])c(F)c1F)C1CC1 ZINC001140890140 960666923 /nfs/dbraw/zinc/66/69/23/960666923.db2.gz WXMKGLNZJRSFRO-UHFFFAOYSA-N 0 3 227.254 2.655 20 0 BFADHN CCN(Cc1ccc(O)c(F)c1F)C1CC1 ZINC001140890140 960666928 /nfs/dbraw/zinc/66/69/28/960666928.db2.gz WXMKGLNZJRSFRO-UHFFFAOYSA-N 0 3 227.254 2.655 20 0 BFADHN CCN(Cc1c[nH]nc1C(F)(F)F)C(C)C ZINC001141012519 960815042 /nfs/dbraw/zinc/81/50/42/960815042.db2.gz OQHNYPJDUDEJAC-UHFFFAOYSA-N 0 3 235.253 2.659 20 0 BFADHN c1cc2c(cc1CN1CCCC13CC3)OCCO2 ZINC001141036441 960823487 /nfs/dbraw/zinc/82/34/87/960823487.db2.gz CAXCXBCLXSRYNH-UHFFFAOYSA-N 0 3 245.322 2.586 20 0 BFADHN Cc1ccncc1CN1CC[C@]2(C1)CCCCO2 ZINC001141033626 960824555 /nfs/dbraw/zinc/82/45/55/960824555.db2.gz YNRXMOOCPTYRLI-HNNXBMFYSA-N 0 3 246.354 2.535 20 0 BFADHN c1cn2ccc(CN3CC4CCC3CC4)cc2n1 ZINC001141046194 960833660 /nfs/dbraw/zinc/83/36/60/960833660.db2.gz QGQGQKRHMZUSJY-UHFFFAOYSA-N 0 3 241.338 2.709 20 0 BFADHN COc1ccc2nccc(CN3CC[C@H]3C)c2c1 ZINC001141146977 960887274 /nfs/dbraw/zinc/88/72/74/960887274.db2.gz NTWXVNBZMRDMSV-LLVKDONJSA-N 0 3 242.322 2.838 20 0 BFADHN COc1ccc2nccc(CN3CC(C)C3)c2c1 ZINC001141146946 960888376 /nfs/dbraw/zinc/88/83/76/960888376.db2.gz MKVCYLNLBSBBPA-UHFFFAOYSA-N 0 3 242.322 2.695 20 0 BFADHN Cc1nc2ccc(NC3(CO)CCCC3)cc2[nH]1 ZINC001167805209 961069180 /nfs/dbraw/zinc/06/91/80/961069180.db2.gz UCVGXNQFVNMBCI-UHFFFAOYSA-N 0 3 245.326 2.588 20 0 BFADHN C[C@@H](NCC1SCCS1)c1cccnc1 ZINC000692889274 961181349 /nfs/dbraw/zinc/18/13/49/961181349.db2.gz VJVZNBLPJLXFON-SECBINFHSA-N 0 3 240.397 2.538 20 0 BFADHN CC1CC(N(C)Cc2cccc3c2OCO3)C1 ZINC001141950145 961188870 /nfs/dbraw/zinc/18/88/70/961188870.db2.gz KWZANQAYIABMMF-UHFFFAOYSA-N 0 3 233.311 2.646 20 0 BFADHN N=CNc1ccnc(OCc2ccccc2)c1 ZINC001167865900 961347250 /nfs/dbraw/zinc/34/72/50/961347250.db2.gz XIYCBJITAZPWGM-UHFFFAOYSA-N 0 3 227.267 2.680 20 0 BFADHN N=CNc1cnc(F)c(-c2ccccc2)c1 ZINC001167870841 961376768 /nfs/dbraw/zinc/37/67/68/961376768.db2.gz DUCZPOMJMFOZBM-UHFFFAOYSA-N 0 3 215.231 2.907 20 0 BFADHN CCC(=O)NCCP(C(C)C)C(C)C ZINC001142723612 961687776 /nfs/dbraw/zinc/68/77/76/961687776.db2.gz HPKSOYCHKHEBGM-UHFFFAOYSA-N 0 3 217.293 2.811 20 0 BFADHN COc1ncc(CN(C(C)C)C(C)C)s1 ZINC001139815114 961696999 /nfs/dbraw/zinc/69/69/99/961696999.db2.gz WINMINOLPIUCCB-UHFFFAOYSA-N 0 3 228.361 2.771 20 0 BFADHN COc1cc(C)cc(Nc2cnc(C)n2C)c1 ZINC001211726684 961721003 /nfs/dbraw/zinc/72/10/03/961721003.db2.gz RLWXLNHJUVYGQV-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN COc1cc(C)cc(CN2CCCO[C@@H](C)C2)c1 ZINC001143150926 961889463 /nfs/dbraw/zinc/88/94/63/961889463.db2.gz YQYNMSQMHYUTCE-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN COc1cccc2c1CN([C@@H]1C[C@H]3C[C@H]3C1)CC2 ZINC001167929966 962036691 /nfs/dbraw/zinc/03/66/91/962036691.db2.gz FMRKVPYPVBHKCL-BTTYYORXSA-N 0 3 243.350 2.852 20 0 BFADHN c1cc2cncc(-c3ccc4nonc4c3)c2[nH]1 ZINC001204945770 962126852 /nfs/dbraw/zinc/12/68/52/962126852.db2.gz PPBUCWOSZRBASH-UHFFFAOYSA-N 0 3 236.234 2.766 20 0 BFADHN CC[N@H+](Cc1ccc([O-])cn1)C1CCCCC1 ZINC000131765564 962313145 /nfs/dbraw/zinc/31/31/45/962313145.db2.gz ZGZJOZYFBQUPMI-UHFFFAOYSA-N 0 3 234.343 2.942 20 0 BFADHN CC[N@@H+](Cc1ccc([O-])cn1)C1CCCCC1 ZINC000131765564 962313166 /nfs/dbraw/zinc/31/31/66/962313166.db2.gz ZGZJOZYFBQUPMI-UHFFFAOYSA-N 0 3 234.343 2.942 20 0 BFADHN Cc1ccc(CN2CCC(C(C)C)CC2)c(N)n1 ZINC000711147786 962627888 /nfs/dbraw/zinc/62/78/88/962627888.db2.gz YEOWTLLRMRCEOA-UHFFFAOYSA-N 0 3 247.386 2.840 20 0 BFADHN COc1cccnc1CN1C[C@H](C)C[C@H](C)C1 ZINC001206650584 962646817 /nfs/dbraw/zinc/64/68/17/962646817.db2.gz QKFBWBFLENFYAC-TXEJJXNPSA-N 0 3 234.343 2.568 20 0 BFADHN CCCC(C)(C)NCc1nccn1C1CC1 ZINC000711819177 962777236 /nfs/dbraw/zinc/77/72/36/962777236.db2.gz CFBNSAWYXNBFPY-UHFFFAOYSA-N 0 3 221.348 2.886 20 0 BFADHN Cc1ccnc(N)c1CN1CC(C)(C)[C@H]1C(C)C ZINC000894854197 963107196 /nfs/dbraw/zinc/10/71/96/963107196.db2.gz CXDSLBCLVFGEOU-CYBMUJFWSA-N 0 3 247.386 2.839 20 0 BFADHN Cc1ccnc(N)c1CN1CC(C)(C)[C@@H]1C(C)C ZINC000894854198 963108786 /nfs/dbraw/zinc/10/87/86/963108786.db2.gz CXDSLBCLVFGEOU-ZDUSSCGKSA-N 0 3 247.386 2.839 20 0 BFADHN COc1ccc([C@@H](C)Oc2ccnc(N)c2)cc1 ZINC001226657849 963275046 /nfs/dbraw/zinc/27/50/46/963275046.db2.gz SEIWGJNRPMEOQC-SNVBAGLBSA-N 0 3 244.294 2.812 20 0 BFADHN Cc1cc(F)cc(CN(C)[C@H]2CCCOC2)c1 ZINC001143592913 963425363 /nfs/dbraw/zinc/42/53/63/963425363.db2.gz XVIJPLOVOGHDSP-AWEZNQCLSA-N 0 3 237.318 2.745 20 0 BFADHN CCN1CCC[C@H](Oc2ccc(C(C)=O)cc2)C1 ZINC001227465507 963529574 /nfs/dbraw/zinc/52/95/74/963529574.db2.gz XPFVLRMDAXSRNN-HNNXBMFYSA-N 0 3 247.338 2.752 20 0 BFADHN Cc1cc(C)nc(NCC2(F)CCOCC2)c1 ZINC001157350114 963579367 /nfs/dbraw/zinc/57/93/67/963579367.db2.gz OKWGTMUSRNEOHE-UHFFFAOYSA-N 0 3 238.306 2.629 20 0 BFADHN CC(C)(NC1CSC1)c1ccnc(Cl)c1 ZINC001157580773 963668812 /nfs/dbraw/zinc/66/88/12/963668812.db2.gz IWPSEZYUVVLXTJ-UHFFFAOYSA-N 0 3 242.775 2.675 20 0 BFADHN CCN1CC[C@H](Oc2ccc(C(C)=O)c(C)c2)C1 ZINC001228216377 963694559 /nfs/dbraw/zinc/69/45/59/963694559.db2.gz IAJGSYCXOMPQEM-AWEZNQCLSA-N 0 3 247.338 2.671 20 0 BFADHN Nc1cccc(N[C@@H]2CCCc3cccnc32)n1 ZINC001157842328 963743332 /nfs/dbraw/zinc/74/33/32/963743332.db2.gz FGUPIGHRMDQVHK-LLVKDONJSA-N 0 3 240.310 2.548 20 0 BFADHN CC(C)Oc1ccccc1Nc1ncc[nH]1 ZINC000084484235 963751999 /nfs/dbraw/zinc/75/19/99/963751999.db2.gz CABNQHDPTXVWGW-UHFFFAOYSA-N 0 3 217.272 2.941 20 0 BFADHN Cc1cnc(N2CCN(C(C)C)[C@@H](C)C2)c(C)c1 ZINC001158494609 963882550 /nfs/dbraw/zinc/88/25/50/963882550.db2.gz LUMGFXCMQWUNBP-AWEZNQCLSA-N 0 3 247.386 2.617 20 0 BFADHN C[C@H](N)c1nccn1C1CCC(C)CC1 ZINC001168192779 963955085 /nfs/dbraw/zinc/95/50/85/963955085.db2.gz CTSALSMAIRZBOV-YVNMAJEFSA-N 0 3 207.321 2.654 20 0 BFADHN FC(F)(F)c1cccnc1NC1=CNCCC1 ZINC001159152592 964009716 /nfs/dbraw/zinc/00/97/16/964009716.db2.gz PQKFWGUWSWODEW-UHFFFAOYSA-N 0 3 243.232 2.737 20 0 BFADHN Clc1cccc2nc(NC3=CNCCC3)cn21 ZINC001159363899 964070181 /nfs/dbraw/zinc/07/01/81/964070181.db2.gz FDAPVUSGNVAZFO-UHFFFAOYSA-N 0 3 248.717 2.624 20 0 BFADHN CCCc1ccccc1Nc1ccncc1CN ZINC001159715377 964165472 /nfs/dbraw/zinc/16/54/72/964165472.db2.gz WUSJVXWGXKUMQO-UHFFFAOYSA-N 0 3 241.338 2.658 20 0 BFADHN Cc1ncc(Nc2ccc(N)c(Cl)c2)n1C ZINC001212111203 964403317 /nfs/dbraw/zinc/40/33/17/964403317.db2.gz XODDWDGMKKESMN-UHFFFAOYSA-N 0 3 236.706 2.708 20 0 BFADHN COc1ccc(-c2cc(N(C)C)ccn2)cc1 ZINC000200045912 964561009 /nfs/dbraw/zinc/56/10/09/964561009.db2.gz IEABCXRDVFPPIM-UHFFFAOYSA-N 0 3 228.295 2.823 20 0 BFADHN Nc1nc(CN[C@@H]2CCC23CCCC3)cs1 ZINC000721347479 964714508 /nfs/dbraw/zinc/71/45/08/964714508.db2.gz NILBHWBAXBTXNH-SNVBAGLBSA-N 0 3 237.372 2.538 20 0 BFADHN Cc1cc2cc(NCC3COC3)ccc2cn1 ZINC001161955764 964839106 /nfs/dbraw/zinc/83/91/06/964839106.db2.gz UQMGOBJXXBQEBH-UHFFFAOYSA-N 0 3 228.295 2.602 20 0 BFADHN Cc1oncc1CNc1ccc2nc[nH]c2c1C ZINC001162272096 964900002 /nfs/dbraw/zinc/90/00/02/964900002.db2.gz BSRNKCPLZHLCCE-UHFFFAOYSA-N 0 3 242.282 2.780 20 0 BFADHN Cc1c2[nH]c(CN(C)C)nc2ccc1Cl ZINC000380055516 964942295 /nfs/dbraw/zinc/94/22/95/964942295.db2.gz YEDCOMMEHOFKMG-UHFFFAOYSA-N 0 3 223.707 2.586 20 0 BFADHN NCc1ccnn1-c1cccc(C2CCC2)c1 ZINC001162597958 965069053 /nfs/dbraw/zinc/06/90/53/965069053.db2.gz WWFPQNJGFUOKHZ-UHFFFAOYSA-N 0 3 227.311 2.599 20 0 BFADHN Cc1cc(C)nc(NCc2cnc(Cl)cn2)c1 ZINC001162649931 965089262 /nfs/dbraw/zinc/08/92/62/965089262.db2.gz BEFHVFJELCDDKN-UHFFFAOYSA-N 0 3 248.717 2.754 20 0 BFADHN CC(C)(C)C(=N)Nc1cnc(C2CC2)nc1 ZINC001162660052 965094738 /nfs/dbraw/zinc/09/47/38/965094738.db2.gz CNENESCHVPIGOL-UHFFFAOYSA-N 0 3 218.304 2.789 20 0 BFADHN CC(C)(C)C(=N)Nc1cc2cc[nH]c2cn1 ZINC001162656755 965095477 /nfs/dbraw/zinc/09/54/77/965095477.db2.gz WVYHJABULQJLFK-UHFFFAOYSA-N 0 3 216.288 2.998 20 0 BFADHN COc1nc(C)ccc1NC(=N)C(C)(C)C ZINC001162664458 965100221 /nfs/dbraw/zinc/10/02/21/965100221.db2.gz GNFCGLGZNMWBEI-UHFFFAOYSA-N 0 3 221.304 2.834 20 0 BFADHN Cc1cc2cc(NCC3CC(=O)C3)ccc2cn1 ZINC001168396153 965151319 /nfs/dbraw/zinc/15/13/19/965151319.db2.gz PRBOWDQXNHMTFE-UHFFFAOYSA-N 0 3 240.306 2.934 20 0 BFADHN Cc1ccc(N[C@H]2CNCc3ccsc32)cn1 ZINC001163226764 965307251 /nfs/dbraw/zinc/30/72/51/965307251.db2.gz LPBRTPDEOKAWDI-LBPRGKRZSA-N 0 3 245.351 2.708 20 0 BFADHN Cc1ncccc1N[C@H]1CNCc2ccsc21 ZINC001163227882 965307625 /nfs/dbraw/zinc/30/76/25/965307625.db2.gz DIQKEAGPUQJCSB-LBPRGKRZSA-N 0 3 245.351 2.708 20 0 BFADHN CN(Cc1cc(F)ccn1)CC(C)(C)C ZINC001232495420 965369885 /nfs/dbraw/zinc/36/98/85/965369885.db2.gz BFLQFKXCAVEFJB-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN Cc1nc(N(C)C)cc(N2[C@@H](C)CCC[C@@H]2C)n1 ZINC001163540923 965439389 /nfs/dbraw/zinc/43/93/89/965439389.db2.gz PFFGMKOSLXFHLQ-QWRGUYRKSA-N 0 3 248.374 2.618 20 0 BFADHN C[C@H]1CCC[C@H](C)N1c1cc(CN(C)C)ccn1 ZINC001163549749 965442481 /nfs/dbraw/zinc/44/24/81/965442481.db2.gz GIMMBYCSTAAVMB-STQMWFEESA-N 0 3 247.386 2.911 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H](N1CC[C@H](F)C1)C2 ZINC001168430472 965477458 /nfs/dbraw/zinc/47/74/58/965477458.db2.gz ZETKDGFJSOXGHF-UONOGXRCSA-N 0 3 237.293 2.727 20 0 BFADHN CCCCC[C@H](C)CC(=O)N(C)CCN(C)C ZINC000176386191 965653076 /nfs/dbraw/zinc/65/30/76/965653076.db2.gz PXKXUZGGAVCZRL-ZDUSSCGKSA-N 0 3 242.407 2.613 20 0 BFADHN Cc1cc(CN)nn1-c1cccc2c1CCCC2 ZINC001163982022 965675165 /nfs/dbraw/zinc/67/51/65/965675165.db2.gz WVQKXYXFWWPPJN-UHFFFAOYSA-N 0 3 241.338 2.518 20 0 BFADHN C[C@@H](N)c1nccn1[C@H](C)Cc1cccc(F)c1 ZINC001168454225 965758794 /nfs/dbraw/zinc/75/87/94/965758794.db2.gz NNDPHTDKLGUMJS-GHMZBOCLSA-N 0 3 247.317 2.846 20 0 BFADHN C[C@H](Cc1cccc(F)c1)n1ccnc1[C@H](C)N ZINC001168454229 965761430 /nfs/dbraw/zinc/76/14/30/965761430.db2.gz NNDPHTDKLGUMJS-MNOVXSKESA-N 0 3 247.317 2.846 20 0 BFADHN C[C@@H](C[NH+](C)C)Oc1c(Cl)ccc([O-])c1F ZINC001234264138 965772973 /nfs/dbraw/zinc/77/29/73/965772973.db2.gz NMTFRJHXZJWQEQ-ZETCQYMHSA-N 0 3 247.697 2.514 20 0 BFADHN CC[C@H]1COC[C@H]1NCc1cc2ccccc2o1 ZINC000699540063 965951965 /nfs/dbraw/zinc/95/19/65/965951965.db2.gz VQRUWDWBNGJBPG-SMDDNHRTSA-N 0 3 245.322 2.947 20 0 BFADHN c1cc2c[nH]cc(NC[C@@H]3CCCCO3)c-2n1 ZINC001165228429 965994324 /nfs/dbraw/zinc/99/43/24/965994324.db2.gz BFRLQHINXIHMLE-NSHDSACASA-N 0 3 231.299 2.544 20 0 BFADHN c1cc2cncc(NC[C@@H]3CCCCO3)c2[nH]1 ZINC001165228429 965994349 /nfs/dbraw/zinc/99/43/49/965994349.db2.gz BFRLQHINXIHMLE-NSHDSACASA-N 0 3 231.299 2.544 20 0 BFADHN CCc1ccc(CN2C[C@@H]3CCCC(=O)[C@H]3C2)o1 ZINC001204397478 966040269 /nfs/dbraw/zinc/04/02/69/966040269.db2.gz XLFKLEBXNJTDAC-FZMZJTMJSA-N 0 3 247.338 2.643 20 0 BFADHN CC[C@H](N[C@H]1COC[C@H]1C)c1ccccc1F ZINC000699792062 966095887 /nfs/dbraw/zinc/09/58/87/966095887.db2.gz VJGBWKDCQGWRDM-SWHYSGLUSA-N 0 3 237.318 2.901 20 0 BFADHN Cc1cc2cc(N[C@@H](C)CCO)ccc2cn1 ZINC001165967479 966216387 /nfs/dbraw/zinc/21/63/87/966216387.db2.gz XMTAWMJMOBFEIK-JTQLQIEISA-N 0 3 230.311 2.726 20 0 BFADHN CCN(Cc1cccc(C)c1F)CC(C)(C)O ZINC000294696050 966479118 /nfs/dbraw/zinc/47/91/18/966479118.db2.gz DWOMOEXFLIVIHR-UHFFFAOYSA-N 0 3 239.334 2.727 20 0 BFADHN c1cc2c(cccc2CN2CC[C@]23CCOC3)o1 ZINC001236740052 966527397 /nfs/dbraw/zinc/52/73/97/966527397.db2.gz SHUGJPMPWPNQLS-OAHLLOKOSA-N 0 3 243.306 2.798 20 0 BFADHN CCCn1cc(CNCC2=CCCC2)c(C)n1 ZINC001203187244 966547356 /nfs/dbraw/zinc/54/73/56/966547356.db2.gz IKSYFZNRUVVUCU-UHFFFAOYSA-N 0 3 233.359 2.801 20 0 BFADHN CCc1cc(CN2CCC3(CC3)CC2)on1 ZINC001203218891 966563664 /nfs/dbraw/zinc/56/36/64/966563664.db2.gz OHVYOPKUSDLXBM-UHFFFAOYSA-N 0 3 220.316 2.613 20 0 BFADHN Cn1cccc1CN1CCC[C@H](C(F)F)C1 ZINC001203264659 966583965 /nfs/dbraw/zinc/58/39/65/966583965.db2.gz YTCVSIGKNVWCBQ-JTQLQIEISA-N 0 3 228.286 2.502 20 0 BFADHN FC1CC2(C1)CCN(Cc1ccco1)CC2 ZINC001203272560 966589479 /nfs/dbraw/zinc/58/94/79/966589479.db2.gz JCMVYFNIMCMNGD-UHFFFAOYSA-N 0 3 223.291 2.994 20 0 BFADHN Cc1sccc1CN1CCNC2=CCCC[C@H]21 ZINC001237227493 966647481 /nfs/dbraw/zinc/64/74/81/966647481.db2.gz AAFWYIKKJFXNCU-CQSZACIVSA-N 0 3 248.395 2.898 20 0 BFADHN COc1ccc(OC)c(CN2CCC3(CC3)C2)c1 ZINC001203520093 966665784 /nfs/dbraw/zinc/66/57/84/966665784.db2.gz FUQRZSOQAWEYKZ-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN COc1ccoc1CN1CC[C@H](C)C[C@H]1C ZINC001237875269 966699339 /nfs/dbraw/zinc/69/93/39/966699339.db2.gz UFSDKOYJQHEKSW-WDEREUQCSA-N 0 3 223.316 2.909 20 0 BFADHN Cc1cnc(C)c(Nc2ccncc2C)c1 ZINC001203654904 966723201 /nfs/dbraw/zinc/72/32/01/966723201.db2.gz ROPHDMHZERCKNQ-UHFFFAOYSA-N 0 3 213.284 2.567 20 0 BFADHN COc1ccc(CN2CC=CC2)c(C)c1C ZINC001203713384 966742280 /nfs/dbraw/zinc/74/22/80/966742280.db2.gz SGVWTKMJJRBENX-UHFFFAOYSA-N 0 3 217.312 2.684 20 0 BFADHN Cc1ccc(CN2CC(N3CCCC3)C2)c(C)c1 ZINC001203736590 966755205 /nfs/dbraw/zinc/75/52/05/966755205.db2.gz VAQRKSAOBJTSHQ-UHFFFAOYSA-N 0 3 244.382 2.583 20 0 BFADHN C[C@H]1CC[C@@H](CO)N1Cc1cccc(Cl)c1 ZINC001203797327 966787346 /nfs/dbraw/zinc/78/73/46/966787346.db2.gz ISRKUFRXIJMISS-GWCFXTLKSA-N 0 3 239.746 2.685 20 0 BFADHN O=C1CCC[C@H]2CN(Cc3ccc(F)cc3)C[C@H]12 ZINC001203917959 966820078 /nfs/dbraw/zinc/82/00/78/966820078.db2.gz JHABCKCNFAKUMU-JSGCOSHPSA-N 0 3 247.313 2.627 20 0 BFADHN CN(Cc1ncc[nH]1)C12CC3CC(CC(C3)C1)C2 ZINC001203979641 966839158 /nfs/dbraw/zinc/83/91/58/966839158.db2.gz WSKUHRSYVVCOES-UHFFFAOYSA-N 0 3 245.370 2.810 20 0 BFADHN c1csc(CN2CCC[C@@]3(CCO3)C2)c1 ZINC001204015730 966855479 /nfs/dbraw/zinc/85/54/79/966855479.db2.gz JZVZIEWAJJERNV-GFCCVEGCSA-N 0 3 223.341 2.503 20 0 BFADHN c1cc(CN2CC[C@@H]3CCCO[C@@H]3C2)cs1 ZINC001204034723 966859898 /nfs/dbraw/zinc/85/98/98/966859898.db2.gz KXJRNFHRQYFHPQ-QWHCGFSZSA-N 0 3 237.368 2.749 20 0 BFADHN CCN(CCCC(=O)OC)Cc1ccsc1 ZINC001204034908 966861846 /nfs/dbraw/zinc/86/18/46/966861846.db2.gz WTFKJVSGZWXWQP-UHFFFAOYSA-N 0 3 241.356 2.523 20 0 BFADHN CC(C)O[C@H]1CCN(Cc2ccsc2)C1 ZINC001204043185 966870154 /nfs/dbraw/zinc/87/01/54/966870154.db2.gz YPXATWBQRUPOLO-LBPRGKRZSA-N 0 3 225.357 2.747 20 0 BFADHN CSc1ccc(CN2C[C@@H]3CCO[C@@H]3C2)cc1 ZINC001204141420 966902717 /nfs/dbraw/zinc/90/27/17/966902717.db2.gz RZNHKZJRZVNDHF-GXTWGEPZSA-N 0 3 249.379 2.629 20 0 BFADHN C=Cc1ccc(CN/C(C)=C/C=C/N)cc1 ZINC001168578532 966904065 /nfs/dbraw/zinc/90/40/65/966904065.db2.gz RUTQKWQABXHTAY-JBZDOKMESA-N 0 3 214.312 2.795 20 0 BFADHN CCN(Cc1ccc(C(C)C)cc1)C1CN(C)C1 ZINC001204167806 966907138 /nfs/dbraw/zinc/90/71/38/966907138.db2.gz ATLPNSNZABZDLE-UHFFFAOYSA-N 0 3 246.398 2.946 20 0 BFADHN Cc1ccc(CN2CC(c3cccnc3)C2)cc1 ZINC001204174517 966911563 /nfs/dbraw/zinc/91/15/63/966911563.db2.gz UZCXKFFFIWHBIH-UHFFFAOYSA-N 0 3 238.334 2.989 20 0 BFADHN Fc1c(CN2CCCC2)cccc1C1=CCOC1 ZINC001239494423 966922627 /nfs/dbraw/zinc/92/26/27/966922627.db2.gz IVLKNXREUDGNAH-UHFFFAOYSA-N 0 3 247.313 2.835 20 0 BFADHN COCCN(Cc1cc(F)cc(F)c1)C(C)C ZINC001204234603 966938175 /nfs/dbraw/zinc/93/81/75/966938175.db2.gz SLHVRBCBFBMJRN-UHFFFAOYSA-N 0 3 243.297 2.822 20 0 BFADHN CCCCCN1CCN(Cc2ccoc2)CC1 ZINC001204242835 966941503 /nfs/dbraw/zinc/94/15/03/966941503.db2.gz BUIKPLNSONAUQV-UHFFFAOYSA-N 0 3 236.359 2.587 20 0 BFADHN CC[C@@H]1CCN([C@H](C)c2ncccn2)[C@@H]1C ZINC001307702533 966958599 /nfs/dbraw/zinc/95/85/99/966958599.db2.gz JRQTVYFKLKFUQN-IJLUTSLNSA-N 0 3 219.332 2.658 20 0 BFADHN Cc1ccc(CN2CC(N3CCCC3)C2)cc1C ZINC001204355062 966977294 /nfs/dbraw/zinc/97/72/94/966977294.db2.gz VONNXNFVCYJHAQ-UHFFFAOYSA-N 0 3 244.382 2.583 20 0 BFADHN CCCCC1CCN(Cc2cncn2C)CC1 ZINC001204382026 966988150 /nfs/dbraw/zinc/98/81/50/966988150.db2.gz GCAFPKNDRRSBOL-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN CCc1ccc(CN2C[C@@H]3C[C@H]2C[C@H]3F)o1 ZINC001204393784 966989559 /nfs/dbraw/zinc/98/95/59/966989559.db2.gz STSOUJCYEYZZKC-OUJBWJOFSA-N 0 3 223.291 2.774 20 0 BFADHN c1cc(CN2CCC[C@@H]3C[C@@H]32)cc2c1OCC2 ZINC001204494220 967005749 /nfs/dbraw/zinc/00/57/49/967005749.db2.gz FXPSOEGVKHHKKN-OCCSQVGLSA-N 0 3 229.323 2.606 20 0 BFADHN CO[C@@H]1CCCN(Cc2cccc(F)c2C)C1 ZINC001204506748 967013974 /nfs/dbraw/zinc/01/39/74/967013974.db2.gz MNBDTNPUZSJUFU-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cc(C)cc(CN2C[C@H]3CC[C@@H](C2)C3=O)c1 ZINC001204526782 967023677 /nfs/dbraw/zinc/02/36/77/967023677.db2.gz RXZXPSJSEYHSPU-GASCZTMLSA-N 0 3 243.350 2.714 20 0 BFADHN Cc1cc(CN2CCC[C@@]3(CCO3)C2)oc1C ZINC001204533289 967028239 /nfs/dbraw/zinc/02/82/39/967028239.db2.gz OAEDEMYQKNDSBN-CQSZACIVSA-N 0 3 235.327 2.651 20 0 BFADHN Cc1cccc(C)c1-c1ccc(CN)nc1 ZINC001239598206 967032302 /nfs/dbraw/zinc/03/23/02/967032302.db2.gz STFJQTHUTJDKKS-UHFFFAOYSA-N 0 3 212.296 2.824 20 0 BFADHN CN(Cc1cccc(N)c1)Cc1cccc(O)c1 ZINC000094680801 967065469 /nfs/dbraw/zinc/06/54/69/967065469.db2.gz LVSJETQEJQTBHO-UHFFFAOYSA-N 0 3 242.322 2.606 20 0 BFADHN Cc1ccc2[nH]c(Nc3nccn3C)cc2c1 ZINC001249839767 967080604 /nfs/dbraw/zinc/08/06/04/967080604.db2.gz CWDRRNPWIKCWRK-UHFFFAOYSA-N 0 3 226.283 2.953 20 0 BFADHN Fc1cccnc1CN1CCC[C@@H]1C1CCC1 ZINC001204601684 967095485 /nfs/dbraw/zinc/09/54/85/967095485.db2.gz JEMADGAYNYDETP-CQSZACIVSA-N 0 3 234.318 2.985 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1ncccc1F ZINC001204626126 967106111 /nfs/dbraw/zinc/10/61/11/967106111.db2.gz ZWDGPSNYCCGZOR-AOOOYVTPSA-N 0 3 208.280 2.594 20 0 BFADHN CC(C)CCC[C@@H](C)NCc1cnco1 ZINC000084413538 967129947 /nfs/dbraw/zinc/12/99/47/967129947.db2.gz CVMKBOCGOCBADQ-LLVKDONJSA-N 0 3 210.321 2.979 20 0 BFADHN COc1ccc(CN2CCCC23CC3)nc1C ZINC001249892162 967131721 /nfs/dbraw/zinc/13/17/21/967131721.db2.gz MJMPCMQYFCABPD-UHFFFAOYSA-N 0 3 232.327 2.527 20 0 BFADHN COc1ccc(CN2CCCCC23CC3)nc1C ZINC001249908236 967147136 /nfs/dbraw/zinc/14/71/36/967147136.db2.gz YXZKDAJSDRNWAZ-UHFFFAOYSA-N 0 3 246.354 2.917 20 0 BFADHN CCCc1ccc(CNc2cncn2C)cc1 ZINC001168635511 967155668 /nfs/dbraw/zinc/15/56/68/967155668.db2.gz QCFZNMFZMMJVMZ-UHFFFAOYSA-N 0 3 229.327 2.985 20 0 BFADHN Cc1ncc(Nc2cc(Cl)ccc2N)n1C ZINC001212433760 967246209 /nfs/dbraw/zinc/24/62/09/967246209.db2.gz GSWHXEMTYAHIAN-UHFFFAOYSA-N 0 3 236.706 2.708 20 0 BFADHN CCCn1cncc1CNCc1sccc1C ZINC000094875141 967283360 /nfs/dbraw/zinc/28/33/60/967283360.db2.gz VTTVTAQGQBCKGJ-UHFFFAOYSA-N 0 3 249.383 2.953 20 0 BFADHN CCOc1cc(OC)ccc1CN1C[C@@H]2C[C@@H]2C1 ZINC001250052624 967314911 /nfs/dbraw/zinc/31/49/11/967314911.db2.gz XEVSCLDCJVXYBR-BETUJISGSA-N 0 3 247.338 2.546 20 0 BFADHN CCN(CC)Cc1cc(C)c(F)cc1OC ZINC001250088683 967352343 /nfs/dbraw/zinc/35/23/43/967352343.db2.gz UAYRLEKTFOLDMI-UHFFFAOYSA-N 0 3 225.307 2.985 20 0 BFADHN Cc1cc(CN2CCCC3(COC3)C2)ccc1F ZINC001205161770 967404573 /nfs/dbraw/zinc/40/45/73/967404573.db2.gz JVUNATQOZIANLC-UHFFFAOYSA-N 0 3 249.329 2.747 20 0 BFADHN Cc1ccc(CN2CC[C@]3(CCCO3)C2)cc1F ZINC001205214773 967427436 /nfs/dbraw/zinc/42/74/36/967427436.db2.gz ASGBRUZYIUXTMR-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN CN(C)CCc1c(F)ccc(Cl)c1F ZINC001250163024 967456401 /nfs/dbraw/zinc/45/64/01/967456401.db2.gz ZZUKPDSVCLMYKP-UHFFFAOYSA-N 0 3 219.662 2.722 20 0 BFADHN CC(C)Oc1cc(F)c(CCN(C)C)c(F)c1 ZINC001250162533 967457106 /nfs/dbraw/zinc/45/71/06/967457106.db2.gz QNWZISWTJVTGTC-UHFFFAOYSA-N 0 3 243.297 2.856 20 0 BFADHN Cc1ccc(CN2CC[C@@H](O)C2)c(C)c1Cl ZINC001250189087 967498109 /nfs/dbraw/zinc/49/81/09/967498109.db2.gz HXPAKWWTRWLVIT-GFCCVEGCSA-N 0 3 239.746 2.523 20 0 BFADHN Clc1ccncc1CCN1CCCCC1 ZINC001250241621 967551294 /nfs/dbraw/zinc/55/12/94/967551294.db2.gz HOPWUSFECUNXDY-UHFFFAOYSA-N 0 3 224.735 2.763 20 0 BFADHN Cc1ccc(F)c(CCN2CCCCC2)c1O ZINC001250242662 967553063 /nfs/dbraw/zinc/55/30/63/967553063.db2.gz YTCFBPFQGVWCDT-UHFFFAOYSA-N 0 3 237.318 2.868 20 0 BFADHN Cc1c(F)cncc1CCN1CCCCC1 ZINC001250242536 967556242 /nfs/dbraw/zinc/55/62/42/967556242.db2.gz RZVCLHIHWMSSGY-UHFFFAOYSA-N 0 3 222.307 2.558 20 0 BFADHN FCCCCC1=CC[C@@H](N2CCOCC2)CC1 ZINC001250264979 967582694 /nfs/dbraw/zinc/58/26/94/967582694.db2.gz OFQIDKOXVLBUHW-CQSZACIVSA-N 0 3 241.350 2.937 20 0 BFADHN CCN(C)Cc1ccc(C(F)(F)F)nc1 ZINC001205358419 967583537 /nfs/dbraw/zinc/58/35/37/967583537.db2.gz DQAWNQDAOAWPFV-UHFFFAOYSA-N 0 3 218.222 2.552 20 0 BFADHN Cc1ncoc1CN[C@@H]1CC12CCCCC2 ZINC000922247155 967614180 /nfs/dbraw/zinc/61/41/80/967614180.db2.gz RVUQNFLDTDHYDM-GFCCVEGCSA-N 0 3 220.316 2.795 20 0 BFADHN C[C@@H]1CCN1[C@H]1Cc2cccc(Cl)c2C1 ZINC001168717549 967619667 /nfs/dbraw/zinc/61/96/67/967619667.db2.gz AJKUUDUJANOQPS-KOLCDFICSA-N 0 3 221.731 2.901 20 0 BFADHN CC[C@@H]1CCN(Cc2cn(C3CC3)cn2)[C@@H]1C ZINC001205472292 967636989 /nfs/dbraw/zinc/63/69/89/967636989.db2.gz ZZOJFVAOROGYFG-VXGBXAGGSA-N 0 3 233.359 2.838 20 0 BFADHN CN1CC=C(CC(=O)c2cccs2)CC1 ZINC001250305508 967649728 /nfs/dbraw/zinc/64/97/28/967649728.db2.gz ZKLVQYDRYQRTAC-UHFFFAOYSA-N 0 3 221.325 2.583 20 0 BFADHN c1nocc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001205731885 967806693 /nfs/dbraw/zinc/80/66/93/967806693.db2.gz LHQMFLIUUKYPOX-CHWSQXEVSA-N 0 3 220.316 2.687 20 0 BFADHN Cc1c2[nH]c(CC3CCOCC3)nc2ccc1F ZINC001250433416 967851579 /nfs/dbraw/zinc/85/15/79/967851579.db2.gz MOVWZRJVBLPZDP-UHFFFAOYSA-N 0 3 248.301 2.980 20 0 BFADHN CCCOC1CCN(Cc2ccncc2C)CC1 ZINC001205857390 967856913 /nfs/dbraw/zinc/85/69/13/967856913.db2.gz BCMFKKICGRLDBC-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CSC[C@@H](C)NCc1ccc(Cl)cn1 ZINC000703891977 967882004 /nfs/dbraw/zinc/88/20/04/967882004.db2.gz MJYVIOIJHTVUMG-MRVPVSSYSA-N 0 3 230.764 2.576 20 0 BFADHN CC(C)(C)C(=N)Nc1ccc2[nH]ccc2n1 ZINC001162657439 967884068 /nfs/dbraw/zinc/88/40/68/967884068.db2.gz KXMWEKCBUOALHO-UHFFFAOYSA-N 0 3 216.288 2.998 20 0 BFADHN CCCN(CC)c1ccc(CN(C)C2CC2)cn1 ZINC001163606889 968083197 /nfs/dbraw/zinc/08/31/97/968083197.db2.gz OXVOKVRDDCBXDJ-UHFFFAOYSA-N 0 3 247.386 2.912 20 0 BFADHN CN1CC=C(c2ccc(F)c(F)c2)CC1 ZINC001250566506 968083880 /nfs/dbraw/zinc/08/38/80/968083880.db2.gz XCUGSNWEOMJMDE-UHFFFAOYSA-N 0 3 209.239 2.684 20 0 BFADHN C[C@H]1C[C@@H](O)CN(C/C=C/c2ccc(F)cc2)C1 ZINC001206307356 968215264 /nfs/dbraw/zinc/21/52/64/968215264.db2.gz DEMRMCQPWCNXNY-PGFASWDNSA-N 0 3 249.329 2.542 20 0 BFADHN c1nc(CN2CC[C@@H]3CCCC[C@@H]32)cn1C1CC1 ZINC001206439838 968306319 /nfs/dbraw/zinc/30/63/19/968306319.db2.gz KPSPIKAQWHMCQW-WFASDCNBSA-N 0 3 245.370 2.983 20 0 BFADHN COc1cnccc1CN1CCC[C@H](C)[C@@H]1C ZINC001206473352 968332086 /nfs/dbraw/zinc/33/20/86/968332086.db2.gz KWSZDBTUSBBILT-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](O)CN1CCCC[C@@H]1c1ccccc1F ZINC001251961304 968399011 /nfs/dbraw/zinc/39/90/11/968399011.db2.gz FEUVYDCMEUMDNV-SMDDNHRTSA-N 0 3 237.318 2.734 20 0 BFADHN CCC(CC)N(C)Cc1cccnc1OC ZINC001206590309 968408757 /nfs/dbraw/zinc/40/87/57/968408757.db2.gz QUEKZFGPEAPGJQ-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN COc1cccnc1CN1CCC[C@@H](C)CC1 ZINC001206619265 968423808 /nfs/dbraw/zinc/42/38/08/968423808.db2.gz JDXCHVRXIBBCAM-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cccnc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001206618607 968425143 /nfs/dbraw/zinc/42/51/43/968425143.db2.gz APJGAJRJZRBXGR-CHWSQXEVSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cccnc1CN1CC[C@H](C)C[C@H]1C ZINC001206643870 968428895 /nfs/dbraw/zinc/42/88/95/968428895.db2.gz BWYHOCIBDZLKQR-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cccnc1CN1CCC2(CCCC2)C1 ZINC001206643919 968429135 /nfs/dbraw/zinc/42/91/35/968429135.db2.gz DSWQZVYFVNGGIV-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN Fc1cnccc1CN1CC2CCC(CC2)C1 ZINC001206788993 968474200 /nfs/dbraw/zinc/47/42/00/968474200.db2.gz LSWQJKWOJKFIOO-UHFFFAOYSA-N 0 3 234.318 2.843 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]3CCCC[C@H]32)n1C ZINC001206794853 968478881 /nfs/dbraw/zinc/47/88/81/968478881.db2.gz ZIGIJTQLKLHTSP-DZGCQCFKSA-N 0 3 247.386 2.883 20 0 BFADHN CCCN(Cc1cc(C)on1)[C@H](C)CC ZINC001206818255 968488383 /nfs/dbraw/zinc/48/83/83/968488383.db2.gz PKSZKGLIXUAFRZ-SNVBAGLBSA-N 0 3 210.321 2.994 20 0 BFADHN Cc1ccnc(CN2CCC3(CCCO3)CC2)c1 ZINC001206853811 968498682 /nfs/dbraw/zinc/49/86/82/968498682.db2.gz BZJWQADKGPMPGG-UHFFFAOYSA-N 0 3 246.354 2.535 20 0 BFADHN COc1cncc(CN2CC[C@H]3CCC[C@H]3C2)c1 ZINC001206993483 968532965 /nfs/dbraw/zinc/53/29/65/968532965.db2.gz GFRWFUYWPUOBIP-KGLIPLIRSA-N 0 3 246.354 2.712 20 0 BFADHN O=c1[nH]cccc1CN1CC[C@@H]1c1ccccc1 ZINC001207009049 968546199 /nfs/dbraw/zinc/54/61/99/968546199.db2.gz PWSKYEAESREGNA-CQSZACIVSA-N 0 3 240.306 2.734 20 0 BFADHN Fc1cncc(CN2CC[C@H]3CCC[C@H]3C2)c1 ZINC001207018376 968554882 /nfs/dbraw/zinc/55/48/82/968554882.db2.gz LNVGSCODDMBMFE-OLZOCXBDSA-N 0 3 234.318 2.843 20 0 BFADHN C[C@@H]1CCCCN(Cc2ncccc2F)C1 ZINC001207025932 968557142 /nfs/dbraw/zinc/55/71/42/968557142.db2.gz AUSNWTTYEIXVBR-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN CCCCCN(C)Cc1ccc(F)cn1 ZINC001207037358 968568902 /nfs/dbraw/zinc/56/89/02/968568902.db2.gz WIGSTPIWCZIETN-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN CC(C)N(Cc1ncc2ccccn21)CC1CC1 ZINC001207050571 968576725 /nfs/dbraw/zinc/57/67/25/968576725.db2.gz CICJRGUDPMUBOR-UHFFFAOYSA-N 0 3 243.354 2.955 20 0 BFADHN CCCC1CCN(Cc2cccc(=O)[nH]2)CC1 ZINC001207070034 968600654 /nfs/dbraw/zinc/60/06/54/968600654.db2.gz ZGWSYJCKRBXAGD-UHFFFAOYSA-N 0 3 234.343 2.799 20 0 BFADHN Cc1cc(O)ccc1-c1nccc2c1CCN2 ZINC001240640358 968608941 /nfs/dbraw/zinc/60/89/41/968608941.db2.gz VKXXBOIHOGPPKO-UHFFFAOYSA-N 0 3 226.279 2.731 20 0 BFADHN CCc1nccc(CN2CC[C@H]3CCC[C@@H]3C2)n1 ZINC001207083721 968617607 /nfs/dbraw/zinc/61/76/07/968617607.db2.gz FJAUQVKGHKSOLR-CHWSQXEVSA-N 0 3 245.370 2.661 20 0 BFADHN C[C@]1(F)CCCCN(Cc2cc[nH]c(=O)c2)C1 ZINC001207119684 968639801 /nfs/dbraw/zinc/63/98/01/968639801.db2.gz WVLGPWBSRROEAR-ZDUSSCGKSA-N 0 3 238.306 2.501 20 0 BFADHN Cc1ccc([C@H](C)NCC(C)(C)O)c(F)c1F ZINC001252368601 968649362 /nfs/dbraw/zinc/64/93/62/968649362.db2.gz JPFFPFHGNBLUOT-VIFPVBQESA-N 0 3 243.297 2.695 20 0 BFADHN CCOCC1CCN(Cc2cncc(C)c2)CC1 ZINC001207160377 968664174 /nfs/dbraw/zinc/66/41/74/968664174.db2.gz CPORDGOBRSTHTI-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1cncc(CN2CC[C@](C)(CF)C2)c1 ZINC001207155712 968666899 /nfs/dbraw/zinc/66/68/99/968666899.db2.gz ZULUFXBLMWQSQS-CYBMUJFWSA-N 0 3 222.307 2.572 20 0 BFADHN Cc1nccc(CN2CCC23CCCCC3)n1 ZINC001207164025 968676121 /nfs/dbraw/zinc/67/61/21/968676121.db2.gz UDDAJBKTMMKTID-UHFFFAOYSA-N 0 3 231.343 2.694 20 0 BFADHN CC1(C)CN(CCOCc2ccccc2)C1 ZINC001207228438 968686780 /nfs/dbraw/zinc/68/67/80/968686780.db2.gz NNXYDEMBMQYBBR-UHFFFAOYSA-N 0 3 219.328 2.545 20 0 BFADHN CCN(CCC1CCCCC1)C1COC1 ZINC001207263051 968700676 /nfs/dbraw/zinc/70/06/76/968700676.db2.gz TWBFTSTYERJRAV-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN Cn1cc2c(n1)CCN(CCC1CCCCC1)C2 ZINC001207261201 968703475 /nfs/dbraw/zinc/70/34/75/968703475.db2.gz MWXNFYAWULFGKE-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN COc1ccccc1CCN1CC2(CCC2)C1 ZINC001207454336 968780450 /nfs/dbraw/zinc/78/04/50/968780450.db2.gz IVGHQTBQGQRBJT-UHFFFAOYSA-N 0 3 231.339 2.724 20 0 BFADHN CC(C)c1ccc(CCN2CC(N(C)C)C2)cc1 ZINC001207518434 968816072 /nfs/dbraw/zinc/81/60/72/968816072.db2.gz BWDDUGINDMRQTE-UHFFFAOYSA-N 0 3 246.398 2.598 20 0 BFADHN CN(CCF)CCCc1cccc(F)c1 ZINC001207606911 968830630 /nfs/dbraw/zinc/83/06/30/968830630.db2.gz XYSHCEOUCJYUJV-UHFFFAOYSA-N 0 3 213.271 2.660 20 0 BFADHN C[C@]1(F)CCN(CC2CCSCC2)C[C@H]1F ZINC001207696596 968865817 /nfs/dbraw/zinc/86/58/17/968865817.db2.gz IZDSYUYSGPLLOY-NEPJUHHUSA-N 0 3 249.370 2.902 20 0 BFADHN c1ccc2c(c1)CN(C[C@@H]1CCCO1)CCS2 ZINC001207894687 968919344 /nfs/dbraw/zinc/91/93/44/968919344.db2.gz UFNVVYTWAMCTHD-ZDUSSCGKSA-N 0 3 249.379 2.773 20 0 BFADHN Cc1cc2nc(C3=CCN(C)CC3)ccc2[nH]1 ZINC001241307357 968970268 /nfs/dbraw/zinc/97/02/68/968970268.db2.gz AFSRYPPKWQUUIA-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CNc1cccc(C2=CCN(C)CC2)c1C ZINC001241331760 968979018 /nfs/dbraw/zinc/97/90/18/968979018.db2.gz CCWKEAZCOUMOPV-UHFFFAOYSA-N 0 3 216.328 2.756 20 0 BFADHN CSc1ccc(C2=CCN(C)CC2)c(C)n1 ZINC001241333407 968979875 /nfs/dbraw/zinc/97/98/75/968979875.db2.gz VJNCYIAOLPDDGC-UHFFFAOYSA-N 0 3 234.368 2.831 20 0 BFADHN CC/C=C\CCCN1CCCCC12COC2 ZINC001208136289 969040485 /nfs/dbraw/zinc/04/04/85/969040485.db2.gz TWPBRUMNOLHLJT-ARJAWSKDSA-N 0 3 223.360 2.988 20 0 BFADHN COC[C@@H]1CCN1CC[C@H](C)c1ccc(C)o1 ZINC001208165048 969095415 /nfs/dbraw/zinc/09/54/15/969095415.db2.gz QXATWDBQICYTEJ-AAEUAGOBSA-N 0 3 237.343 2.802 20 0 BFADHN Cc1cscc1-c1ccc(CN)nc1 ZINC001241729693 969108166 /nfs/dbraw/zinc/10/81/66/969108166.db2.gz QRCBBAMXPZISAV-UHFFFAOYSA-N 0 3 204.298 2.577 20 0 BFADHN CC1=C[C@H](C)[C@H](CN(C2CC2)C2COC2)CC1 ZINC001208180633 969113753 /nfs/dbraw/zinc/11/37/53/969113753.db2.gz HTTKDMCOMGBEKD-STQMWFEESA-N 0 3 235.371 2.842 20 0 BFADHN CCc1cnc(CNCCCCCOC)s1 ZINC000381712952 969165662 /nfs/dbraw/zinc/16/56/62/969165662.db2.gz KOGZNZZJHHZMAG-UHFFFAOYSA-N 0 3 242.388 2.612 20 0 BFADHN CC(C)CCCN1CCCC(=O)[C@H](C)C1 ZINC001208733085 969217351 /nfs/dbraw/zinc/21/73/51/969217351.db2.gz CQFYTJWOAFZVBO-GFCCVEGCSA-N 0 3 211.349 2.724 20 0 BFADHN CC/C=C\CCN1CCc2ccncc2C1 ZINC001208728932 969213507 /nfs/dbraw/zinc/21/35/07/969213507.db2.gz HHHLCQNFLGOPQM-ARJAWSKDSA-N 0 3 216.328 2.796 20 0 BFADHN CC(C)c1ccc(NC2=CCN(C)CC2)cn1 ZINC001208741491 969226493 /nfs/dbraw/zinc/22/64/93/969226493.db2.gz JLVFHPOFGSCLLH-UHFFFAOYSA-N 0 3 231.343 2.836 20 0 BFADHN COc1cc2c(cn1)CCN(CCCC(C)C)C2 ZINC001208737226 969226640 /nfs/dbraw/zinc/22/66/40/969226640.db2.gz JZBQVVJFLMIMEI-UHFFFAOYSA-N 0 3 248.370 2.885 20 0 BFADHN COC(=O)[C@H](C(C)C)N(C)CCCC(C)C ZINC001208736119 969227244 /nfs/dbraw/zinc/22/72/44/969227244.db2.gz BXANCKJPRBOBPY-LBPRGKRZSA-N 0 3 229.364 2.552 20 0 BFADHN CCCCC[C@@H](O)CNC1(c2ccncc2)CC1 ZINC001253553918 969228186 /nfs/dbraw/zinc/22/81/86/969228186.db2.gz NDEYYNZWOBCQBV-CQSZACIVSA-N 0 3 248.370 2.602 20 0 BFADHN Cc1cccc(NC2=CCN(C)CC2)c1C ZINC001208838873 969247114 /nfs/dbraw/zinc/24/71/14/969247114.db2.gz UMDWZPMLANOQBG-UHFFFAOYSA-N 0 3 216.328 2.935 20 0 BFADHN Cc1cc(NC2=CCN(C)CC2)c(C)cc1O ZINC001208837336 969250520 /nfs/dbraw/zinc/25/05/20/969250520.db2.gz GONUZZSIIYRYCJ-UHFFFAOYSA-N 0 3 232.327 2.640 20 0 BFADHN CN1CC=C(Nc2cc(F)ccc2F)CC1 ZINC001208837928 969251180 /nfs/dbraw/zinc/25/11/80/969251180.db2.gz KZGLKABRTHQRNC-UHFFFAOYSA-N 0 3 224.254 2.596 20 0 BFADHN Clc1ccccc1CCCN1CCC1 ZINC001208872442 969260884 /nfs/dbraw/zinc/26/08/84/969260884.db2.gz PRQSWPRROMBXHL-UHFFFAOYSA-N 0 3 209.720 2.978 20 0 BFADHN COc1cccc(NC2=CCN(C)CC2)c1C ZINC001208843849 969257502 /nfs/dbraw/zinc/25/75/02/969257502.db2.gz JDBGDETVDOLJOH-UHFFFAOYSA-N 0 3 232.327 2.635 20 0 BFADHN Cc1cc(F)c(NC2=CCN(C)CC2)cc1F ZINC001208909858 969297842 /nfs/dbraw/zinc/29/78/42/969297842.db2.gz HQOLHEMQZFAMPI-UHFFFAOYSA-N 0 3 238.281 2.905 20 0 BFADHN CCC(=O)C(C)(C)CN(C)C1CC(F)(F)C1 ZINC001208916731 969300603 /nfs/dbraw/zinc/30/06/03/969300603.db2.gz VWKCPLFGNCMCDP-UHFFFAOYSA-N 0 3 233.302 2.721 20 0 BFADHN CCOC(C)(C)CN1CCc2ncc(C)cc2C1 ZINC001209076353 969349293 /nfs/dbraw/zinc/34/92/93/969349293.db2.gz JMBKMODWZLLFBK-UHFFFAOYSA-N 0 3 248.370 2.563 20 0 BFADHN Fc1cc(F)cc(CCN2CC3CC(C3)C2)c1 ZINC001209133855 969352890 /nfs/dbraw/zinc/35/28/90/969352890.db2.gz XHMNCAZJAOPDHI-UHFFFAOYSA-N 0 3 237.293 2.849 20 0 BFADHN CCN(Cc1ccccc1F)C[C@H](O)C(C)C ZINC001253764458 969381650 /nfs/dbraw/zinc/38/16/50/969381650.db2.gz BXQWHAOOHFDOIO-AWEZNQCLSA-N 0 3 239.334 2.665 20 0 BFADHN COC(C)(C)CCN1CC[C@@](C)(F)[C@H](F)C1 ZINC001209403099 969473517 /nfs/dbraw/zinc/47/35/17/969473517.db2.gz WPWHUULWQLGHRE-ZYHUDNBSSA-N 0 3 235.318 2.574 20 0 BFADHN C[C@H]1C[C@H]1CN1CCOC[C@@H]1c1ccccc1 ZINC001209418679 969483222 /nfs/dbraw/zinc/48/32/22/969483222.db2.gz RWBRQNQRAYNJAN-AEGPPILISA-N 0 3 231.339 2.716 20 0 BFADHN NCc1cc(C2=CCC(F)(F)CC2)ccn1 ZINC001242234872 969490387 /nfs/dbraw/zinc/49/03/87/969490387.db2.gz CTUJGYZWIPFXKV-UHFFFAOYSA-N 0 3 224.254 2.743 20 0 BFADHN CCc1ccc(F)c(-n2ccc([C@H](C)N)n2)c1 ZINC001169235397 969493743 /nfs/dbraw/zinc/49/37/43/969493743.db2.gz VPMPCYAWDCTTSV-VIFPVBQESA-N 0 3 233.290 2.594 20 0 BFADHN Cc1cc2cc(-n3ccc([C@H](C)N)n3)ccc2[nH]1 ZINC001169232974 969512605 /nfs/dbraw/zinc/51/26/05/969512605.db2.gz KZKCTOIZZGENIN-JTQLQIEISA-N 0 3 240.310 2.682 20 0 BFADHN COC[C@@H]1CCN1Cc1c[nH]c2c1cccc2C ZINC001209489551 969523931 /nfs/dbraw/zinc/52/39/31/969523931.db2.gz JNDGQRRJRFRLHD-ZDUSSCGKSA-N 0 3 244.338 2.697 20 0 BFADHN Cc1c2[nH]ccc2ccc1-n1ccc([C@@H](C)N)n1 ZINC001169235616 969524919 /nfs/dbraw/zinc/52/49/19/969524919.db2.gz YMKVEQDRZDUNTL-SNVBAGLBSA-N 0 3 240.310 2.682 20 0 BFADHN F[C@@H]1CCCN(C2CCC(F)(F)CC2)C1 ZINC001254219593 969537019 /nfs/dbraw/zinc/53/70/19/969537019.db2.gz ASWIQPZMUNEQMM-SECBINFHSA-N 0 3 221.266 2.998 20 0 BFADHN Cc1ccc(CN2CCC(C)(F)CC2)[nH]1 ZINC001209566076 969546857 /nfs/dbraw/zinc/54/68/57/969546857.db2.gz GXMYGAOVXLBVGF-UHFFFAOYSA-N 0 3 210.296 2.647 20 0 BFADHN Cn1nc(CN2C[C@H]3CC[C@@H]2C3)c2ccccc21 ZINC001209581890 969560020 /nfs/dbraw/zinc/56/00/20/969560020.db2.gz AHIJWAOYYKABFZ-NWDGAFQWSA-N 0 3 241.338 2.558 20 0 BFADHN Cn1nc(CN2CCCC23CC3)c2ccccc21 ZINC001209576865 969563315 /nfs/dbraw/zinc/56/33/15/969563315.db2.gz GZSRFWYNDXPSLA-UHFFFAOYSA-N 0 3 241.338 2.702 20 0 BFADHN Fc1ccccc1C1(N[C@H]2CCCOC2)CC1 ZINC001254365761 969578316 /nfs/dbraw/zinc/57/83/16/969578316.db2.gz FKQFOZBYOSCEGU-NSHDSACASA-N 0 3 235.302 2.583 20 0 BFADHN c1cncc([C@@H]2CCCCN2[C@@H]2CCCOC2)c1 ZINC001254383421 969601145 /nfs/dbraw/zinc/60/11/45/969601145.db2.gz FBYZLYTXVAJLQG-CABCVRRESA-N 0 3 246.354 2.788 20 0 BFADHN c1cnc(C2(NC3CCC4(CC4)CC3)CC2)cn1 ZINC001254437848 969625452 /nfs/dbraw/zinc/62/54/52/969625452.db2.gz KKUGSWYEJBFNAI-UHFFFAOYSA-N 0 3 243.354 2.778 20 0 BFADHN c1cn2cc(Nc3ccc4nc[nH]c4c3)ccc2n1 ZINC001209843428 969654878 /nfs/dbraw/zinc/65/48/78/969654878.db2.gz XOBVCKKFGHCJIV-UHFFFAOYSA-N 0 3 249.277 2.954 20 0 BFADHN CC(C)Oc1ccccc1Nc1nccn1C ZINC001210021197 969762763 /nfs/dbraw/zinc/76/27/63/969762763.db2.gz VJARFASPQOOFHM-UHFFFAOYSA-N 0 3 231.299 2.951 20 0 BFADHN CO[C@@H](C)CNc1ccncc1-c1ccccc1 ZINC001169776818 969840195 /nfs/dbraw/zinc/84/01/95/969840195.db2.gz NMGXQEHWHYAQNX-LBPRGKRZSA-N 0 3 242.322 2.617 20 0 BFADHN c1ccc(OCNC2Cc3ccccc3C2)cc1 ZINC001255015444 969846938 /nfs/dbraw/zinc/84/69/38/969846938.db2.gz HFBYGGGORBYJGU-UHFFFAOYSA-N 0 3 239.318 2.780 20 0 BFADHN Cc1cc(Nc2cnc(C)n2C)c(C)o1 ZINC001210279900 969847466 /nfs/dbraw/zinc/84/74/66/969847466.db2.gz CFYYVJHYAXCZMZ-UHFFFAOYSA-N 0 3 205.261 2.682 20 0 BFADHN CC(=O)[C@@H]1CCCCN1C1Cc2ccccc2C1 ZINC001255027436 969852378 /nfs/dbraw/zinc/85/23/78/969852378.db2.gz UZCHZXZJGVKPDX-INIZCTEOSA-N 0 3 243.350 2.597 20 0 BFADHN C[NH+](C)Cc1cncc(-c2cccc(F)c2[O-])c1 ZINC001242351988 969899026 /nfs/dbraw/zinc/89/90/26/969899026.db2.gz GKGZYNIUPOEXHY-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN c1cnc([C@H]2CCCN2C2CCSCC2)nc1 ZINC001255096263 969931084 /nfs/dbraw/zinc/93/10/84/969931084.db2.gz KQCSKWJAPMUHPY-GFCCVEGCSA-N 0 3 249.383 2.509 20 0 BFADHN O=c1[nH]cc(Nc2ccnc(C3CC3)c2)cc1F ZINC001210599445 969972685 /nfs/dbraw/zinc/97/26/85/969972685.db2.gz HDKVWIFOXVBBDL-UHFFFAOYSA-N 0 3 245.257 2.942 20 0 BFADHN Fc1ccc2c(c1)CCN([C@@H]1CCSC1)C2 ZINC001255292234 970051239 /nfs/dbraw/zinc/05/12/39/970051239.db2.gz DYWPZXUVYPMUMX-CYBMUJFWSA-N 0 3 237.343 2.689 20 0 BFADHN Cc1cc(CN)nn1C1CCC(C)(C)CC1 ZINC001255297481 970062134 /nfs/dbraw/zinc/06/21/34/970062134.db2.gz PMUFTCKSMINKOI-UHFFFAOYSA-N 0 3 221.348 2.792 20 0 BFADHN COC1(C)CN(C2CCC(C)(C)CC2)C1 ZINC001255303175 970065612 /nfs/dbraw/zinc/06/56/12/970065612.db2.gz RPBBSCALZQGXIF-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN CCCC[C@H](C)NCc1nnc2c(C)cccn12 ZINC001255309402 970075954 /nfs/dbraw/zinc/07/59/54/970075954.db2.gz GMCCOQIEOWIOHZ-LBPRGKRZSA-N 0 3 246.358 2.706 20 0 BFADHN CCCC[C@H](C)N1CCCc2ccc(CN)nc21 ZINC001255314804 970084539 /nfs/dbraw/zinc/08/45/39/970084539.db2.gz TWOOTHXSBOPFNQ-LBPRGKRZSA-N 0 3 247.386 2.872 20 0 BFADHN CCCC[C@H](C)N1CCC(=O)[C@@H](F)CC1 ZINC001255321949 970095506 /nfs/dbraw/zinc/09/55/06/970095506.db2.gz FNSHVLSINJAXLA-QWRGUYRKSA-N 0 3 215.312 2.568 20 0 BFADHN CC(C)CCC[C@@H](C)N1C=C(N)C=CC1 ZINC001170065151 970130167 /nfs/dbraw/zinc/13/01/67/970130167.db2.gz XNISSVWEWGUSFO-GFCCVEGCSA-N 0 3 208.349 2.873 20 0 BFADHN COc1cc(C)ccc1Nc1cnc(C)n1C ZINC001211115295 970153065 /nfs/dbraw/zinc/15/30/65/970153065.db2.gz SMNPVLGCHNPJSU-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN Cc1cccc2c1[C@H](N[C@@H]1CC[C@@H](C)C1)C(=O)N2 ZINC001170098395 970272990 /nfs/dbraw/zinc/27/29/90/970272990.db2.gz QOMHRUMFEXNOIY-UDZFHETQSA-N 0 3 244.338 2.766 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CC[C@@H](C)C2)n1 ZINC001170102485 970302042 /nfs/dbraw/zinc/30/20/42/970302042.db2.gz UKBKBMCMZJRPJO-KOLCDFICSA-N 0 3 222.307 2.807 20 0 BFADHN C[C@H]1CC[C@H](NCC(=O)c2ccccc2F)C1 ZINC001170102283 970302265 /nfs/dbraw/zinc/30/22/65/970302265.db2.gz ADMHFNJBSUYJMV-QWRGUYRKSA-N 0 3 235.302 2.787 20 0 BFADHN CCCc1cc(CN)nn1[C@@H]1CC[C@@H](C)C1 ZINC001170111528 970322761 /nfs/dbraw/zinc/32/27/61/970322761.db2.gz DDPUNJBHNANJOZ-ZWNOBZJWSA-N 0 3 221.348 2.655 20 0 BFADHN Cc1ccccc1C1(N[C@@H]2CC[C@@H](C)C2)COC1 ZINC001170117716 970340241 /nfs/dbraw/zinc/34/02/41/970340241.db2.gz NGLPZCGQAUJVRY-TZMCWYRMSA-N 0 3 245.366 2.999 20 0 BFADHN COC[C@@H](C)NC1(c2ccccc2OC)CCC1 ZINC001255561849 970348514 /nfs/dbraw/zinc/34/85/14/970348514.db2.gz LBUBJKGSOCWLBF-GFCCVEGCSA-N 0 3 249.354 2.699 20 0 BFADHN COCc1ccc(C)c(Nc2cnc(C)n2C)c1 ZINC001213573897 970361620 /nfs/dbraw/zinc/36/16/20/970361620.db2.gz LTLAKYZSPDYOAP-UHFFFAOYSA-N 0 3 245.326 2.927 20 0 BFADHN C[C@@H](CC(F)(F)F)N[C@@H]1CCc2ncccc21 ZINC001255640452 970416095 /nfs/dbraw/zinc/41/60/95/970416095.db2.gz BHEUCHCPDBMERL-GZMMTYOYSA-N 0 3 244.260 2.999 20 0 BFADHN C[C@@H]1CC[C@H](N2CCN(C)c3ncccc3C2)C1 ZINC001170144860 970429042 /nfs/dbraw/zinc/42/90/42/970429042.db2.gz PRSNZKQCGPDTLT-OCCSQVGLSA-N 0 3 245.370 2.522 20 0 BFADHN CN(C)Cc1cccc(-c2cc(N)ccc2F)n1 ZINC001243283807 970445766 /nfs/dbraw/zinc/44/57/66/970445766.db2.gz RNGBRNABNSCIQH-UHFFFAOYSA-N 0 3 245.301 2.532 20 0 BFADHN C[C@@H]1CC[C@H](N2CCc3ncccc3C2)C1 ZINC001170151227 970460794 /nfs/dbraw/zinc/46/07/94/970460794.db2.gz GBWKGCSPQUFCIN-YPMHNXCESA-N 0 3 216.328 2.628 20 0 BFADHN C[C@H]1CC[C@H](N2CCc3ccncc3C2)C1 ZINC001170154372 970476160 /nfs/dbraw/zinc/47/61/60/970476160.db2.gz YJGGNJVWHNZBJM-FZMZJTMJSA-N 0 3 216.328 2.628 20 0 BFADHN Cc1cc(CN)nn1[C@H](C)Cc1ccc(C)cc1 ZINC001255773863 970477684 /nfs/dbraw/zinc/47/76/84/970477684.db2.gz RDGCNGKQDGWSEO-GFCCVEGCSA-N 0 3 243.354 2.762 20 0 BFADHN C[C@H](Cc1ccccc1F)n1ccc([C@H](C)N)n1 ZINC001255835561 970505543 /nfs/dbraw/zinc/50/55/43/970505543.db2.gz DQRKAOVCVQFVGB-MNOVXSKESA-N 0 3 247.317 2.846 20 0 BFADHN C[C@@H]1CC[C@H](N2CCC[C@H]2c2ncccn2)C1 ZINC001170163011 970510205 /nfs/dbraw/zinc/51/02/05/970510205.db2.gz WRIMGZVRLSWVHG-AGIUHOORSA-N 0 3 231.343 2.802 20 0 BFADHN C[C@@H](Cc1ccccc1F)N1CC(C)C1 ZINC001255842589 970512086 /nfs/dbraw/zinc/51/20/86/970512086.db2.gz VGMOTCZTKKFUMZ-NSHDSACASA-N 0 3 207.292 2.708 20 0 BFADHN C[C@H](Cc1ccccc1F)N1CCSCC1 ZINC001255845693 970516067 /nfs/dbraw/zinc/51/60/67/970516067.db2.gz KESMQHKVHZAZHE-LLVKDONJSA-N 0 3 239.359 2.806 20 0 BFADHN C[C@H](Cc1ccc(F)cc1)N(C)CCF ZINC001255880467 970544269 /nfs/dbraw/zinc/54/42/69/970544269.db2.gz SWPVTBYPHXEBRL-SNVBAGLBSA-N 0 3 213.271 2.658 20 0 BFADHN O=C(CNC1CCCCCC1)c1cccnc1 ZINC001255884091 970549032 /nfs/dbraw/zinc/54/90/32/970549032.db2.gz SKZNXZCEFANEOJ-UHFFFAOYSA-N 0 3 232.327 2.577 20 0 BFADHN Cn1cc2c(n1)CCN(C1CCCCCC1)C2 ZINC001255892660 970556940 /nfs/dbraw/zinc/55/69/40/970556940.db2.gz QZTZHUPXIWIABP-UHFFFAOYSA-N 0 3 233.359 2.501 20 0 BFADHN Clc1ccc(CN2CC[C@H](C3CC3)C2)cn1 ZINC000400403637 970586062 /nfs/dbraw/zinc/58/60/62/970586062.db2.gz RBEMEOCMTPYLLR-LBPRGKRZSA-N 0 3 236.746 2.967 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N(C)[C@H](C)C(C)(C)C ZINC000400794738 970627023 /nfs/dbraw/zinc/62/70/23/970627023.db2.gz CZZBOMXBYDMVCH-TUAOUCFPSA-N 0 3 242.407 2.643 20 0 BFADHN CCc1ccccc1NC(=O)[C@@H](N)C[C@@H](C)CC ZINC000400784370 970628498 /nfs/dbraw/zinc/62/84/98/970628498.db2.gz QVJOTAVNPGZCLR-AAEUAGOBSA-N 0 3 248.370 2.951 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)Nc1cccc(F)c1 ZINC000400859649 970638950 /nfs/dbraw/zinc/63/89/50/970638950.db2.gz HUXYQUJWRNYPPW-SKDRFNHKSA-N 0 3 238.306 2.528 20 0 BFADHN Cc1cc(NCC2CN(C)C2)cc2cccnc12 ZINC001170256426 970643252 /nfs/dbraw/zinc/64/32/52/970643252.db2.gz LNLKRHRDEKCJNH-UHFFFAOYSA-N 0 3 241.338 2.517 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)NC1CCCCCC1 ZINC000400956274 970646942 /nfs/dbraw/zinc/64/69/42/970646942.db2.gz KKJQTZWAJFJXSU-YPMHNXCESA-N 0 3 240.391 2.589 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1CO[C@@H](C)C1 ZINC000401829487 970732367 /nfs/dbraw/zinc/73/23/67/970732367.db2.gz HWFHXUJWAHKUQZ-QJPTWQEYSA-N 0 3 235.327 2.523 20 0 BFADHN CC(C)CC[C@@H](C)N[C@H](CO)c1ccccn1 ZINC001256357123 970756488 /nfs/dbraw/zinc/75/64/88/970756488.db2.gz BKDSPSLCONQYLL-TZMCWYRMSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)CC[C@H](C)NC1(c2cnccn2)CC1 ZINC001256359475 970757428 /nfs/dbraw/zinc/75/74/28/970757428.db2.gz RLNBUFUAXOXJHA-LBPRGKRZSA-N 0 3 233.359 2.880 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CSCc3ccccc32)CO1 ZINC000402097056 970760216 /nfs/dbraw/zinc/76/02/16/970760216.db2.gz XLQORIBYFUDVAW-OSMZGAPFSA-N 0 3 249.379 2.742 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cccc(O)c2C)C1 ZINC001144119221 972597101 /nfs/dbraw/zinc/59/71/01/972597101.db2.gz XUDKNRJBHPLFRQ-CQSZACIVSA-N 0 3 249.354 2.702 20 0 BFADHN C[C@H]1CCCN1Cc1n[nH]c2ccc(F)cc21 ZINC001144186796 972676646 /nfs/dbraw/zinc/67/66/46/972676646.db2.gz IQCUTLXFFMURBA-VIFPVBQESA-N 0 3 233.290 2.686 20 0 BFADHN C[C@H]1CCCN1Cc1[nH]nc2ccc(F)cc21 ZINC001144186796 972676658 /nfs/dbraw/zinc/67/66/58/972676658.db2.gz IQCUTLXFFMURBA-VIFPVBQESA-N 0 3 233.290 2.686 20 0 BFADHN Fc1ccc2[nH]nc(CN3C[C@H]4CC[C@@H]3C4)c2c1 ZINC001144202240 972685078 /nfs/dbraw/zinc/68/50/78/972685078.db2.gz KDPPMTAFKGZEDV-GXSJLCMTSA-N 0 3 245.301 2.686 20 0 BFADHN Fc1ccc2n[nH]c(CN3C[C@H]4CC[C@@H]3C4)c2c1 ZINC001144202240 972685088 /nfs/dbraw/zinc/68/50/88/972685088.db2.gz KDPPMTAFKGZEDV-GXSJLCMTSA-N 0 3 245.301 2.686 20 0 BFADHN Nc1ccncc1-c1cccc(Cl)c1F ZINC000720782283 972817143 /nfs/dbraw/zinc/81/71/43/972817143.db2.gz VQNCXYUYFVYLNO-UHFFFAOYSA-N 0 3 222.650 2.954 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2ncn3ccccc23)C1 ZINC001144624242 972885685 /nfs/dbraw/zinc/88/56/85/972885685.db2.gz ASQNJBPPKZWLMZ-BETUJISGSA-N 0 3 243.354 2.812 20 0 BFADHN C[C@H]1CCCCN1Cc1ncn2ccccc12 ZINC001144611863 972892105 /nfs/dbraw/zinc/89/21/05/972892105.db2.gz VCPZNZKMFSWPET-LBPRGKRZSA-N 0 3 229.327 2.709 20 0 BFADHN Cc1ccc(N2CCCC3(CN(C)C3)C2)c(C)c1 ZINC001276896412 973130523 /nfs/dbraw/zinc/13/05/23/973130523.db2.gz FMHJNSORUINCHY-UHFFFAOYSA-N 0 3 244.382 2.835 20 0 BFADHN Cc1ccccc1N1CCCC2(CN(C)C2)C1 ZINC001276897359 973139090 /nfs/dbraw/zinc/13/90/90/973139090.db2.gz UNGBDLNWXDLGHD-UHFFFAOYSA-N 0 3 230.355 2.527 20 0 BFADHN CNc1ncccc1CN1CCC(C(C)C)CC1 ZINC000234626275 973675442 /nfs/dbraw/zinc/67/54/42/973675442.db2.gz VNLHFRFSTPSWSG-UHFFFAOYSA-N 0 3 247.386 2.991 20 0 BFADHN c1ccc(CC2CCC(N3CCNC3)CC2)cc1 ZINC001246993531 973786428 /nfs/dbraw/zinc/78/64/28/973786428.db2.gz NZXOWNSKPSRRSF-UHFFFAOYSA-N 0 3 244.382 2.651 20 0 BFADHN CCC(C)(C)C1CCC(N2CCNC2)CC1 ZINC001246997616 973787681 /nfs/dbraw/zinc/78/76/81/973787681.db2.gz WJDRERDLAYYZJK-UHFFFAOYSA-N 0 3 224.392 2.844 20 0 BFADHN CCOc1cncc(CN[C@@H]2C=CCCC2)c1 ZINC001329490453 973796319 /nfs/dbraw/zinc/79/63/19/973796319.db2.gz DHBQCDSDHDLPLV-CYBMUJFWSA-N 0 3 232.327 2.679 20 0 BFADHN Fc1cc(F)c2c(c1)C[C@@H](N[C@@H]1C[C@H]1F)CC2 ZINC001171345914 973923236 /nfs/dbraw/zinc/92/32/36/973923236.db2.gz OMVYVPWNFMFMTL-ZWKOPEQDSA-N 0 3 241.256 2.522 20 0 BFADHN CC1CN([C@@H]2CCc3c(F)cc(F)cc3C2)C1 ZINC001171367384 973964598 /nfs/dbraw/zinc/96/45/98/973964598.db2.gz VEFGMHONABTRHD-GFCCVEGCSA-N 0 3 237.293 2.774 20 0 BFADHN Fc1cc2c(cc1F)C[C@H](N1CC=CC1)CC2 ZINC001171475718 974080334 /nfs/dbraw/zinc/08/03/34/974080334.db2.gz FWTJMFDAWVTASG-GFCCVEGCSA-N 0 3 235.277 2.694 20 0 BFADHN Cc1ccc(N2CCCC3(CN(C)C3)C2)cc1F ZINC001277009321 974102624 /nfs/dbraw/zinc/10/26/24/974102624.db2.gz IUWJTOFIKNJVKQ-UHFFFAOYSA-N 0 3 248.345 2.666 20 0 BFADHN Cc1cccc2c1CC[C@@H](N1CCO[C@H](C)C1)C2 ZINC001171565143 974103770 /nfs/dbraw/zinc/10/37/70/974103770.db2.gz LWZDSQDQCNSUDB-UKRRQHHQSA-N 0 3 245.366 2.573 20 0 BFADHN Cc1cccc2c1CC[C@H](N1CCOC[C@H]1C)C2 ZINC001171565342 974105090 /nfs/dbraw/zinc/10/50/90/974105090.db2.gz NSYMKUREPHCIOM-HIFRSBDPSA-N 0 3 245.366 2.573 20 0 BFADHN COC[C@H](CN1CCC=C(Cl)C1)C(C)C ZINC001171665928 974127633 /nfs/dbraw/zinc/12/76/33/974127633.db2.gz JILWLXGXCICBAJ-NSHDSACASA-N 0 3 231.767 2.733 20 0 BFADHN Cc1ccc(CN[C@H]2Cc3cccc(F)c3C2)o1 ZINC001171769074 974153946 /nfs/dbraw/zinc/15/39/46/974153946.db2.gz MOIQAZWNBHOBMN-LBPRGKRZSA-N 0 3 245.297 2.984 20 0 BFADHN CC1(C)CC[C@@H](n2cc(CNCC3CC3)nn2)C1 ZINC001330290186 974215510 /nfs/dbraw/zinc/21/55/10/974215510.db2.gz SVJZBZFJAIJMAF-CYBMUJFWSA-N 0 3 248.374 2.529 20 0 BFADHN CC(C)Oc1ccccc1O[C@@H]1CCCN(C)C1 ZINC001225208995 974231971 /nfs/dbraw/zinc/23/19/71/974231971.db2.gz GWVFIZCIKFSTOO-CYBMUJFWSA-N 0 3 249.354 2.947 20 0 BFADHN Cc1cc(F)ccc1O[C@H]1CCCN(C)C1 ZINC001225347900 974428317 /nfs/dbraw/zinc/42/83/17/974428317.db2.gz MLOPVHAQKDYISQ-LBPRGKRZSA-N 0 3 223.291 2.607 20 0 BFADHN CC(C)c1ncccc1OC1CCN(C)CC1 ZINC001225525127 974539784 /nfs/dbraw/zinc/53/97/84/974539784.db2.gz QAASXHLVCBWCOF-UHFFFAOYSA-N 0 3 234.343 2.678 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCc3ncncc3C2)C[C@@H]1C ZINC001172373418 974570735 /nfs/dbraw/zinc/57/07/35/974570735.db2.gz LWYVCTFRCSOZMJ-MBNYWOFBSA-N 0 3 245.370 2.659 20 0 BFADHN Cc1cccnc1CN1CC(CC2CC2)C1 ZINC001331067317 974585627 /nfs/dbraw/zinc/58/56/27/974585627.db2.gz MOIRSTKQQOMTGE-UHFFFAOYSA-N 0 3 216.328 2.622 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)N1CCOC[C@H]1CC ZINC001172355716 974623422 /nfs/dbraw/zinc/62/34/22/974623422.db2.gz VTEZNRAJDXLRNT-MGPQQGTHSA-N 0 3 243.391 2.691 20 0 BFADHN C[C@@H]1CC[C@H](N2CCC(=O)[C@H](F)CC2)C[C@@H]1C ZINC001172365221 974643524 /nfs/dbraw/zinc/64/35/24/974643524.db2.gz JUCFCCKOQMKIHE-MROQNXINSA-N 0 3 241.350 2.814 20 0 BFADHN C[C@@H]1CC[C@@H](n2ncc3c2CCNC3)C[C@H]1C ZINC001172367449 974645914 /nfs/dbraw/zinc/64/59/14/974645914.db2.gz SBEJAEIBKNELQG-NQBHXWOUSA-N 0 3 233.359 2.526 20 0 BFADHN CC[C@H](CC(C)C)N1CCc2ncncc2C1 ZINC001172408080 974668813 /nfs/dbraw/zinc/66/88/13/974668813.db2.gz REAZKEXQLCZRCQ-CYBMUJFWSA-N 0 3 233.359 2.659 20 0 BFADHN CCOCC[C@@H](C)NCc1ncccc1Cl ZINC001172410618 974674054 /nfs/dbraw/zinc/67/40/54/974674054.db2.gz IOBKKZLVYUFVJU-SNVBAGLBSA-N 0 3 242.750 2.640 20 0 BFADHN CCOCC[C@H](C)NCc1noc2ccccc12 ZINC001172418225 974691688 /nfs/dbraw/zinc/69/16/88/974691688.db2.gz CFQUWLZRLUVDMN-NSHDSACASA-N 0 3 248.326 2.733 20 0 BFADHN CC[C@@H](CC(C)C)N1CC[C@](O)(CF)[C@@H](F)C1 ZINC001172397512 974754369 /nfs/dbraw/zinc/75/43/69/974754369.db2.gz QWQCBSRNDCVOFB-AVGNSLFASA-N 0 3 249.345 2.556 20 0 BFADHN CC[C@@H](CC(C)C)N1CC2(C1)CCCO2 ZINC001172398724 974759016 /nfs/dbraw/zinc/75/90/16/974759016.db2.gz VMEHIWRQPDNJFK-LBPRGKRZSA-N 0 3 211.349 2.676 20 0 BFADHN COC[C@H](C)NCc1ccc(C(C)(C)C)o1 ZINC001331741530 974810134 /nfs/dbraw/zinc/81/01/34/974810134.db2.gz JRZXFSSAKSOGQX-JTQLQIEISA-N 0 3 225.332 2.702 20 0 BFADHN CC(C)OCC[C@H](C)N1CCC[C@@H](F)C1 ZINC001172450971 974814172 /nfs/dbraw/zinc/81/41/72/974814172.db2.gz LUYDBZSDAIAQQM-NWDGAFQWSA-N 0 3 217.328 2.624 20 0 BFADHN NCc1cncn1[C@H]1CC[C@@H](c2ccccc2)C1 ZINC001172548237 974877917 /nfs/dbraw/zinc/87/79/17/974877917.db2.gz CIYFXUXBXOWNHE-KGLIPLIRSA-N 0 3 241.338 2.851 20 0 BFADHN C[C@H]1C[C@@H](NCCCC(F)F)c2nccn21 ZINC001331964976 974892943 /nfs/dbraw/zinc/89/29/43/974892943.db2.gz NGFUJWNETTVBBO-DTWKUNHWSA-N 0 3 229.274 2.524 20 0 BFADHN CCN1CC[C@H](Oc2nsc3ccccc32)C1 ZINC001226432883 974897693 /nfs/dbraw/zinc/89/76/93/974897693.db2.gz JZXQIVSOVWEDMI-JTQLQIEISA-N 0 3 248.351 2.769 20 0 BFADHN Cc1noc2cc(OC3CCN(C)CC3)ccc12 ZINC001226539110 974948104 /nfs/dbraw/zinc/94/81/04/974948104.db2.gz XFUFVBNLMQGMLZ-UHFFFAOYSA-N 0 3 246.310 2.609 20 0 BFADHN Cc1ccc2c(c1)C[C@H](N1C=C(N)C=CC1)CC2 ZINC001172827338 974948584 /nfs/dbraw/zinc/94/85/84/974948584.db2.gz BMPFHSGPTAUQLV-MRXNPFEDSA-N 0 3 240.350 2.524 20 0 BFADHN C[C@H](Cc1c(F)cccc1Cl)NCCF ZINC001172837046 974954379 /nfs/dbraw/zinc/95/43/79/974954379.db2.gz KFXGUZIJDFQQHX-MRVPVSSYSA-N 0 3 233.689 2.969 20 0 BFADHN Cc1ccc2c(c1)C[C@H](N(C)CCF)CC2 ZINC001172840467 974956320 /nfs/dbraw/zinc/95/63/20/974956320.db2.gz ZIEXYQPGECBAEN-CQSZACIVSA-N 0 3 221.319 2.754 20 0 BFADHN CC[C@H](Oc1ccnc(N)c1)C(C)(C)C ZINC001226657015 975002648 /nfs/dbraw/zinc/00/26/48/975002648.db2.gz NPYWHQBHKHFHNG-JTQLQIEISA-N 0 3 208.305 2.867 20 0 BFADHN CCSC[C@H](C)Nc1cccc(F)c1CN ZINC001173292928 975170553 /nfs/dbraw/zinc/17/05/53/975170553.db2.gz UKMCDJDJLNTOIC-VIFPVBQESA-N 0 3 242.363 2.838 20 0 BFADHN CCCc1cc(CN)nn1[C@H](C)CSCC ZINC001173298140 975186415 /nfs/dbraw/zinc/18/64/15/975186415.db2.gz KLQDCDLMBAMWQO-SNVBAGLBSA-N 0 3 241.404 2.608 20 0 BFADHN CO[C@@H]1CCN(c2c(C)cnc3ccccc32)C1 ZINC001332943916 975331925 /nfs/dbraw/zinc/33/19/25/975331925.db2.gz CLNIVDWDZWFHQW-GFCCVEGCSA-N 0 3 242.322 2.768 20 0 BFADHN Fc1cc2c(cc1F)CC(N1CCC[C@H]3C[C@H]31)C2 ZINC001173482097 975383734 /nfs/dbraw/zinc/38/37/34/975383734.db2.gz WEKTZIYKYKXZKM-BJOHPYRUSA-N 0 3 249.304 2.916 20 0 BFADHN Fc1cc2c(cc1F)CC(N1CC3CC(C3)C1)C2 ZINC001173482799 975387093 /nfs/dbraw/zinc/38/70/93/975387093.db2.gz KPYLJQOTUQUWFV-UHFFFAOYSA-N 0 3 249.304 2.774 20 0 BFADHN Fc1ccccc1CN1CC[C@@]2(C1)CCCCO2 ZINC001203346650 975393718 /nfs/dbraw/zinc/39/37/18/975393718.db2.gz SLYHSBNTBZYXCQ-OAHLLOKOSA-N 0 3 249.329 2.971 20 0 BFADHN Cc1ncc(Nc2cccnc2C2CC2)n1C ZINC001212737967 975408520 /nfs/dbraw/zinc/40/85/20/975408520.db2.gz MVJYOJAXKLWTOS-UHFFFAOYSA-N 0 3 228.299 2.745 20 0 BFADHN Cc1ncc(Nc2ccccc2F)n1C ZINC001173846311 975740432 /nfs/dbraw/zinc/74/04/32/975740432.db2.gz YCELERILBSAETI-UHFFFAOYSA-N 0 3 205.236 2.611 20 0 BFADHN CCN1CCC[C@H](Oc2cccc(Cl)n2)C1 ZINC001227928254 975796907 /nfs/dbraw/zinc/79/69/07/975796907.db2.gz XPJADHNTZCZUIB-JTQLQIEISA-N 0 3 240.734 2.598 20 0 BFADHN CC(=O)c1ccc(Nc2cnc(C)n2C)cc1 ZINC001173895632 975882061 /nfs/dbraw/zinc/88/20/61/975882061.db2.gz APOGJBPUIBVGHP-UHFFFAOYSA-N 0 3 229.283 2.675 20 0 BFADHN CSc1ccc(O[C@@H]2CN3CCC2CC3)cc1 ZINC001228156910 975958165 /nfs/dbraw/zinc/95/81/65/975958165.db2.gz ARQNJOGEXOZRCH-CQSZACIVSA-N 0 3 249.379 2.882 20 0 BFADHN Cc1cc(N)cc2c1ccn2-c1ccncc1 ZINC001173883607 975959403 /nfs/dbraw/zinc/95/94/03/975959403.db2.gz UXXQVPCSFBMKGC-UHFFFAOYSA-N 0 3 223.279 2.916 20 0 BFADHN Cc1cc2ccc(N)cc2n1-c1ccncc1 ZINC001173884294 975964142 /nfs/dbraw/zinc/96/41/42/975964142.db2.gz LVCPXZYAKRKIRT-UHFFFAOYSA-N 0 3 223.279 2.916 20 0 BFADHN CCN1CC[C@@H](Oc2cccc(C)c2F)C1 ZINC001228219501 976002073 /nfs/dbraw/zinc/00/20/73/976002073.db2.gz RHRRRBUALOFOTB-LLVKDONJSA-N 0 3 223.291 2.607 20 0 BFADHN CN(C)Cc1ccccc1Nc1cscn1 ZINC001173929418 976037045 /nfs/dbraw/zinc/03/70/45/976037045.db2.gz ADRMLCMBQMKCFI-UHFFFAOYSA-N 0 3 233.340 2.948 20 0 BFADHN Cc1nccnc1Nc1ccccc1CN(C)C ZINC001173927549 976038695 /nfs/dbraw/zinc/03/86/95/976038695.db2.gz GVPJTALGCNCAAC-UHFFFAOYSA-N 0 3 242.326 2.590 20 0 BFADHN Cc1nsc(Nc2ccccc2CN(C)C)n1 ZINC001173927733 976040203 /nfs/dbraw/zinc/04/02/03/976040203.db2.gz PPZZXZUJKDOYKM-UHFFFAOYSA-N 0 3 248.355 2.652 20 0 BFADHN CN(c1cc(N)ccn1)c1cc(F)ccc1F ZINC001173949803 976104340 /nfs/dbraw/zinc/10/43/40/976104340.db2.gz KNDQTBGBTCXVQM-UHFFFAOYSA-N 0 3 235.237 2.710 20 0 BFADHN CC(C)c1cccc(O[C@@H]2CN3CCC2CC3)n1 ZINC001228318696 976105463 /nfs/dbraw/zinc/10/54/63/976105463.db2.gz PUCVNQIPZPUXBP-CQSZACIVSA-N 0 3 246.354 2.678 20 0 BFADHN Cc1nc2ccc(O[C@@H]3CCCN(C)C3)cc2o1 ZINC001228339351 976135885 /nfs/dbraw/zinc/13/58/85/976135885.db2.gz VQIWMQSQWQPCKN-GFCCVEGCSA-N 0 3 246.310 2.609 20 0 BFADHN CC(C)Oc1cccc(Nc2nccn2C)c1 ZINC001174113745 976328970 /nfs/dbraw/zinc/32/89/70/976328970.db2.gz PJXTUYNEGYUCMD-UHFFFAOYSA-N 0 3 231.299 2.951 20 0 BFADHN COc1cc(F)ccc1Nc1ccncc1C ZINC001174127987 976362706 /nfs/dbraw/zinc/36/27/06/976362706.db2.gz HOQAXZZPNQBBCQ-UHFFFAOYSA-N 0 3 232.258 2.703 20 0 BFADHN COC/C(C)=C\CN1CCc2cccc(C)c2C1 ZINC001334201481 976370589 /nfs/dbraw/zinc/37/05/89/976370589.db2.gz KVGHBGSHUZIWAM-QPEQYQDCSA-N 0 3 245.366 2.946 20 0 BFADHN COc1ccc(F)c(Nc2cnc(C)n2C)c1 ZINC001174211037 976388986 /nfs/dbraw/zinc/38/89/86/976388986.db2.gz OFKSZJJSEBPTHA-UHFFFAOYSA-N 0 3 235.262 2.620 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@@H]1CC[C@H]1C ZINC001334232608 976392622 /nfs/dbraw/zinc/39/26/22/976392622.db2.gz KKKGJUZKLFYHQQ-GMSGAONNSA-N 0 3 227.739 2.514 20 0 BFADHN COc1cccc(F)c1CN[C@@H]1CC[C@@H]1C ZINC001334234219 976394403 /nfs/dbraw/zinc/39/44/03/976394403.db2.gz NTAJBNCFBFLQRK-JOYOIKCWSA-N 0 3 223.291 2.722 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1cn(C)nc1Cl ZINC001334669831 976584481 /nfs/dbraw/zinc/58/44/81/976584481.db2.gz RFIDVFKZUKQXSR-NSHDSACASA-N 0 3 241.766 2.694 20 0 BFADHN Cc1ncc(Nc2cc(C)cc(F)c2)n1C ZINC001174529906 976810729 /nfs/dbraw/zinc/81/07/29/976810729.db2.gz JOZPHOXOIBUGFM-UHFFFAOYSA-N 0 3 219.263 2.920 20 0 BFADHN CCCc1ccc(O[C@@H]2CCN(C)C2)c(OC)c1 ZINC001229285612 976812395 /nfs/dbraw/zinc/81/23/95/976812395.db2.gz XGZZBCHSLZPARF-CYBMUJFWSA-N 0 3 249.354 2.731 20 0 BFADHN Cc1nc2ccccn2c1Nc1ccncc1C ZINC001174536732 976816022 /nfs/dbraw/zinc/81/60/22/976816022.db2.gz KXSKLEOODOMWFM-UHFFFAOYSA-N 0 3 238.294 2.512 20 0 BFADHN Cc1cnccc1Nc1ccc(C2CC2)nc1 ZINC001174536673 976816766 /nfs/dbraw/zinc/81/67/66/976816766.db2.gz RRIZJXPBIXKNAN-UHFFFAOYSA-N 0 3 225.295 2.828 20 0 BFADHN Cc1cnccc1Nc1ccc2c(c1)CCC2=O ZINC001174539780 976827394 /nfs/dbraw/zinc/82/73/94/976827394.db2.gz RGIJHUFPGRGYGL-UHFFFAOYSA-N 0 3 238.290 2.684 20 0 BFADHN Cc1cnccc1Nc1ccc(CO)c(Cl)c1 ZINC001174539764 976827410 /nfs/dbraw/zinc/82/74/10/976827410.db2.gz QLHRRBYHZSJXHT-UHFFFAOYSA-N 0 3 248.713 2.701 20 0 BFADHN Cc1cnccc1Nc1ccccc1C(C)(C)O ZINC001174539619 976827876 /nfs/dbraw/zinc/82/78/76/976827876.db2.gz NKXOMCKCVLXIOL-UHFFFAOYSA-N 0 3 242.322 2.783 20 0 BFADHN CCOc1ccc(N)cc1Nc1ccncc1C ZINC001174539464 976828295 /nfs/dbraw/zinc/82/82/95/976828295.db2.gz GQNYFBYRXJOAQF-UHFFFAOYSA-N 0 3 243.310 2.536 20 0 BFADHN COCc1ccc(Nc2ccncc2C)cc1F ZINC001174539757 976829238 /nfs/dbraw/zinc/82/92/38/976829238.db2.gz PXDYPEYIIWUWJZ-UHFFFAOYSA-N 0 3 246.285 2.841 20 0 BFADHN COc1cccc(F)c1Nc1ccncc1C ZINC001174539412 976829819 /nfs/dbraw/zinc/82/98/19/976829819.db2.gz KXAAPQLZJLFSQA-UHFFFAOYSA-N 0 3 232.258 2.703 20 0 BFADHN Cc1ncc(Nc2ccc3ccn(C)c3c2)n1C ZINC001174517579 976838899 /nfs/dbraw/zinc/83/88/99/976838899.db2.gz NZQASKKXNPMWMW-UHFFFAOYSA-N 0 3 240.310 2.964 20 0 BFADHN Cn1ccc2ccc(Nc3nccn3C)cc21 ZINC001174514167 976839259 /nfs/dbraw/zinc/83/92/59/976839259.db2.gz WKRQMNIKKCMHPZ-UHFFFAOYSA-N 0 3 226.283 2.655 20 0 BFADHN C[C@H]1CCN([C@H]2CCc3c2cccc3F)CCO1 ZINC001335119090 976857402 /nfs/dbraw/zinc/85/74/02/976857402.db2.gz XCOZRWSLDPVXQT-NHYWBVRUSA-N 0 3 249.329 2.924 20 0 BFADHN C(=C\C1CC1)\CNCc1cnn(CC2CCC2)c1 ZINC001335164588 976870734 /nfs/dbraw/zinc/87/07/34/976870734.db2.gz IEPOOJOLSDJVEL-DJWKRKHSSA-N 0 3 245.370 2.739 20 0 BFADHN CCCCc1ccc(O)cc1O[C@H]1CCN(C)C1 ZINC001229571880 976897433 /nfs/dbraw/zinc/89/74/33/976897433.db2.gz CTANRVMQICPTDV-AWEZNQCLSA-N 0 3 249.354 2.818 20 0 BFADHN Cc1cnc(Nc2ccnc(C)c2)c(Cl)c1 ZINC001174653827 976939140 /nfs/dbraw/zinc/93/91/40/976939140.db2.gz LFXBMWCKVBCKAK-UHFFFAOYSA-N 0 3 233.702 2.912 20 0 BFADHN C[C@@H](Oc1ccccc1CN(C)C)C1CC1 ZINC001229699714 976947764 /nfs/dbraw/zinc/94/77/64/976947764.db2.gz QEWXLZZZDHKPFM-LLVKDONJSA-N 0 3 219.328 2.926 20 0 BFADHN Cc1cc(-n2cc3c(n2)c(N)ccc3C)ccn1 ZINC001174655898 976948395 /nfs/dbraw/zinc/94/83/95/976948395.db2.gz WFCACYMQPGINLG-UHFFFAOYSA-N 0 3 238.294 2.620 20 0 BFADHN CC(C)c1cccc(O)c1O[C@@H]1CCCN(C)C1 ZINC001229706911 976953692 /nfs/dbraw/zinc/95/36/92/976953692.db2.gz GLEVPWJLCQDPRX-GFCCVEGCSA-N 0 3 249.354 2.989 20 0 BFADHN Cc1cccc2sc(O[C@@H]3CCN(C)C3)nc21 ZINC001229930265 977027718 /nfs/dbraw/zinc/02/77/18/977027718.db2.gz PGAZAQHFZRLGQL-SNVBAGLBSA-N 0 3 248.351 2.688 20 0 BFADHN CCc1cc(Nc2cncc(C)c2)ccn1 ZINC001174752990 977047786 /nfs/dbraw/zinc/04/77/86/977047786.db2.gz HUPABDOFCOOOOS-UHFFFAOYSA-N 0 3 213.284 2.513 20 0 BFADHN CC(C)n1ccnc1CNCCCC(F)F ZINC001335506539 977104626 /nfs/dbraw/zinc/10/46/26/977104626.db2.gz KZVULDGKBKLZMV-UHFFFAOYSA-N 0 3 231.290 2.599 20 0 BFADHN CCOc1cccc(O[C@@H]2CCCN(C)C2)c1 ZINC001230554562 977250821 /nfs/dbraw/zinc/25/08/21/977250821.db2.gz OYRWCZXHHVYASK-CQSZACIVSA-N 0 3 235.327 2.558 20 0 BFADHN CCCCCCN1CC[C@H]1CN(C)C(=O)CC ZINC001230563013 977254236 /nfs/dbraw/zinc/25/42/36/977254236.db2.gz WAQMVWAVHLXSMV-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN COc1cc(C)c(Nc2nccn2C)cc1C ZINC001175113119 977257392 /nfs/dbraw/zinc/25/73/92/977257392.db2.gz XYSHIMCJGYLRRO-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@@H]1C)c1ncccn1 ZINC001335766771 977276331 /nfs/dbraw/zinc/27/63/31/977276331.db2.gz YRYGUFYXXUVEMF-SRVKXCTJSA-N 0 3 219.332 2.706 20 0 BFADHN CCc1ccc(OC2CCN(C3CC3)CC2)nc1 ZINC001230845961 977349329 /nfs/dbraw/zinc/34/93/29/977349329.db2.gz RQSGYRASGNDZRF-UHFFFAOYSA-N 0 3 246.354 2.650 20 0 BFADHN CCCCC[C@@H](C)Oc1nncc2n[nH]cc21 ZINC001230895612 977360788 /nfs/dbraw/zinc/36/07/88/977360788.db2.gz HRKUDCAOOHQQOW-SECBINFHSA-N 0 3 234.303 2.701 20 0 BFADHN C[C@@H]1CC[C@H](Oc2nncc3n[nH]cc32)C[C@H]1C ZINC001230899865 977369229 /nfs/dbraw/zinc/36/92/29/977369229.db2.gz BPJRFKATMGIXIB-BBBLOLIVSA-N 0 3 246.314 2.556 20 0 BFADHN CN1CCC=C(n2ccc3c2c(N)ccc3F)C1 ZINC001175582639 977515877 /nfs/dbraw/zinc/51/58/77/977515877.db2.gz ITCSHINVZKHAAS-UHFFFAOYSA-N 0 3 245.301 2.539 20 0 BFADHN Cc1cccc(NC2=CCCN(C)C2)c1 ZINC001175575866 977577625 /nfs/dbraw/zinc/57/76/25/977577625.db2.gz BHXUCVZPMQSQNO-UHFFFAOYSA-N 0 3 202.301 2.626 20 0 BFADHN Cc1cccc(NC2=CCCN(C)C2)c1C ZINC001175576481 977582035 /nfs/dbraw/zinc/58/20/35/977582035.db2.gz JMMQQLMCCJZUDY-UHFFFAOYSA-N 0 3 216.328 2.935 20 0 BFADHN CN1CCC=C(Nc2ccccc2C(C)(C)O)C1 ZINC001175578796 977598412 /nfs/dbraw/zinc/59/84/12/977598412.db2.gz JXJVISIRBFHVKL-UHFFFAOYSA-N 0 3 246.354 2.545 20 0 BFADHN c1[nH]c2cccnc2c1CN1CC2CCC1CC2 ZINC001231491692 977611464 /nfs/dbraw/zinc/61/14/64/977611464.db2.gz YHWSPQGVZKEIFO-UHFFFAOYSA-N 0 3 241.338 2.937 20 0 BFADHN CCc1ccc(CN2C[C@@H]3CCO[C@@H]3C2)s1 ZINC001231502608 977617355 /nfs/dbraw/zinc/61/73/55/977617355.db2.gz PFUUYSGMBRMVEJ-GXFFZTMASA-N 0 3 237.368 2.531 20 0 BFADHN COc1cc(F)ccc1CN1CCC[C@@H]1C ZINC001231603309 977647803 /nfs/dbraw/zinc/64/78/03/977647803.db2.gz YXJLYAZGRZCZBF-JTQLQIEISA-N 0 3 223.291 2.819 20 0 BFADHN CCN(Cc1ccc(F)cc1OC)C1CC1 ZINC001231602278 977650018 /nfs/dbraw/zinc/65/00/18/977650018.db2.gz NPVBPLKNPVIELP-UHFFFAOYSA-N 0 3 223.291 2.819 20 0 BFADHN Cc1sc2ccccc2c1CN1CC(CO)C1 ZINC001231644578 977657613 /nfs/dbraw/zinc/65/76/13/977657613.db2.gz YXWKHDOGVBEGEI-UHFFFAOYSA-N 0 3 247.363 2.634 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@H]3CCC[C@H]3C2)n1 ZINC001231671278 977686308 /nfs/dbraw/zinc/68/63/08/977686308.db2.gz RTBKBFRQUAEDCM-OLZOCXBDSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@H]3CCC[C@H]3C2)n1 ZINC001231671278 977686321 /nfs/dbraw/zinc/68/63/21/977686321.db2.gz RTBKBFRQUAEDCM-OLZOCXBDSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@H](C)[C@@H](C)C2)n1 ZINC001231670016 977686728 /nfs/dbraw/zinc/68/67/28/977686728.db2.gz VVHSOEXHXGMYFQ-RYUDHWBXSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@H](C)[C@@H](C)C2)n1 ZINC001231670016 977686736 /nfs/dbraw/zinc/68/67/36/977686736.db2.gz VVHSOEXHXGMYFQ-RYUDHWBXSA-N 0 3 248.370 2.964 20 0 BFADHN CC(C)Oc1ncccc1CN1CC[C@@H](C)C1 ZINC001231756358 977737908 /nfs/dbraw/zinc/73/79/08/977737908.db2.gz CKXNHHVGTKEIMM-GFCCVEGCSA-N 0 3 234.343 2.711 20 0 BFADHN CCOc1ncccc1CN(C)C[C@@H]1CC1(C)C ZINC001231768605 977739161 /nfs/dbraw/zinc/73/91/61/977739161.db2.gz OUAUYSHTTMPWLQ-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN CCOc1ncccc1CN(C)C[C@H]1CC1(C)C ZINC001231768604 977743717 /nfs/dbraw/zinc/74/37/17/977743717.db2.gz OUAUYSHTTMPWLQ-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN CSc1ccccc1CN1CC(C)C1 ZINC001231784071 977747633 /nfs/dbraw/zinc/74/76/33/977747633.db2.gz OXRPFUUHWINOEQ-UHFFFAOYSA-N 0 3 207.342 2.860 20 0 BFADHN CSc1ccccc1CN1CC[C@@H](C(C)=O)C1 ZINC001231786552 977748200 /nfs/dbraw/zinc/74/82/00/977748200.db2.gz FFKCQCHQVNLNIS-GFCCVEGCSA-N 0 3 249.379 2.819 20 0 BFADHN CCCOc1ncccc1CN1CC[C@H](C)C1 ZINC001231859066 977770602 /nfs/dbraw/zinc/77/06/02/977770602.db2.gz UCGCWMGKYITQRF-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN CC1CC(N(C)Cc2cccc3c2OCCO3)C1 ZINC001231881316 977780907 /nfs/dbraw/zinc/78/09/07/977780907.db2.gz AZIGCFWKDJUVRA-UHFFFAOYSA-N 0 3 247.338 2.688 20 0 BFADHN Cc1cc(CN2C[C@H](C)CC23CC3)cnc1F ZINC001231926069 977799059 /nfs/dbraw/zinc/79/90/59/977799059.db2.gz HVTSHYMGQAYGPI-SNVBAGLBSA-N 0 3 234.318 2.904 20 0 BFADHN F[C@H]1CCCCN(Cc2cccc3c[nH]nc32)C1 ZINC001231970384 977816708 /nfs/dbraw/zinc/81/67/08/977816708.db2.gz NYSATVOPSWTPGT-ZDUSSCGKSA-N 0 3 247.317 2.887 20 0 BFADHN CCN(Cc1cnc(F)cc1C)C1CC1 ZINC001231978706 977823267 /nfs/dbraw/zinc/82/32/67/977823267.db2.gz FNAMRIVRAMEOIX-UHFFFAOYSA-N 0 3 208.280 2.513 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CCCCO2)cc(C)n1 ZINC001232000341 977829947 /nfs/dbraw/zinc/82/99/47/977829947.db2.gz IVBRLPKKPXHCFS-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN C[C@@H]1CCN(Cc2cc3c[nH]ccc-3n2)C[C@H]1F ZINC001232010774 977840937 /nfs/dbraw/zinc/84/09/37/977840937.db2.gz ADXBQROCJICKCW-ZWNOBZJWSA-N 0 3 247.317 2.743 20 0 BFADHN C[C@@H]1CCN(Cc2cc3cnccc3[nH]2)C[C@H]1F ZINC001232010774 977840938 /nfs/dbraw/zinc/84/09/38/977840938.db2.gz ADXBQROCJICKCW-ZWNOBZJWSA-N 0 3 247.317 2.743 20 0 BFADHN Cc1cc(C)nc(Nc2ccc3nn[nH]c3c2)c1 ZINC001175996336 977853739 /nfs/dbraw/zinc/85/37/39/977853739.db2.gz QDLLTVVMQKKSCN-UHFFFAOYSA-N 0 3 239.282 2.713 20 0 BFADHN Cc1cc(C)nc(Nc2ccc3n[nH]nc3c2)c1 ZINC001175996336 977853744 /nfs/dbraw/zinc/85/37/44/977853744.db2.gz QDLLTVVMQKKSCN-UHFFFAOYSA-N 0 3 239.282 2.713 20 0 BFADHN CC1(C)CC(CN2CCn3cccc3C2)C1 ZINC001175999593 977855292 /nfs/dbraw/zinc/85/52/92/977855292.db2.gz BIRUQTVZQGIOKC-UHFFFAOYSA-N 0 3 218.344 2.740 20 0 BFADHN Cc1cc(Nc2ncc[nH]2)n(-c2ccccc2)n1 ZINC001176079291 977873456 /nfs/dbraw/zinc/87/34/56/977873456.db2.gz IEMPAXFIVYNYGY-UHFFFAOYSA-N 0 3 239.282 2.647 20 0 BFADHN C[C@]1(CF)CCN(Cc2cc3ncccc3[nH]2)C1 ZINC001232061879 977874957 /nfs/dbraw/zinc/87/49/57/977874957.db2.gz QGNLSSCYEIHJIM-CQSZACIVSA-N 0 3 247.317 2.744 20 0 BFADHN CC1(F)CCN(Cc2cc3ncccc3[nH]2)CC1 ZINC001232063412 977877064 /nfs/dbraw/zinc/87/70/64/977877064.db2.gz KBOFZRDUFOAHBN-UHFFFAOYSA-N 0 3 247.317 2.887 20 0 BFADHN COCCN(Cc1ccc(C)nc1C)C(C)C ZINC001232083659 977884829 /nfs/dbraw/zinc/88/48/29/977884829.db2.gz GAWZWCWPVQKDBV-UHFFFAOYSA-N 0 3 236.359 2.555 20 0 BFADHN CCC(C)(C)c1ccc(CN2CC(CO)C2)cc1 ZINC001232081162 977885408 /nfs/dbraw/zinc/88/54/08/977885408.db2.gz RAYRXKMDORVTRS-UHFFFAOYSA-N 0 3 247.382 2.798 20 0 BFADHN Cc1ccc(CN2CC[C@](C)(CF)C2)c(C)n1 ZINC001232081674 977886751 /nfs/dbraw/zinc/88/67/51/977886751.db2.gz BADFDHPQTIIOSO-CQSZACIVSA-N 0 3 236.334 2.880 20 0 BFADHN c1c2ccncc2[nH]c1CN1CCC2(CC2)C1 ZINC001232091727 977889464 /nfs/dbraw/zinc/88/94/64/977889464.db2.gz YQXDHRBDLVEFFQ-UHFFFAOYSA-N 0 3 227.311 2.549 20 0 BFADHN Fc1cnc2c(cccc2Nc2ncc[nH]2)c1 ZINC001176085835 977889553 /nfs/dbraw/zinc/88/95/53/977889553.db2.gz QXGREBGYKWCTLX-UHFFFAOYSA-N 0 3 228.230 2.841 20 0 BFADHN C[C@H]1CCN(Cc2ccns2)[C@@H](C)C1 ZINC001232191845 977913636 /nfs/dbraw/zinc/91/36/36/977913636.db2.gz JAOSOLJNFVOMQY-UWVGGRQHSA-N 0 3 210.346 2.764 20 0 BFADHN CC(C)(C)c1cc(CN2CCCC2)ccn1 ZINC001232212162 977935818 /nfs/dbraw/zinc/93/58/18/977935818.db2.gz JKIBOGVDQPQHTF-UHFFFAOYSA-N 0 3 218.344 2.975 20 0 BFADHN COCc1cccc(CN2CCCC[C@@H]2C)n1 ZINC001232251245 977982104 /nfs/dbraw/zinc/98/21/04/977982104.db2.gz OAMDBSUWGGFUNO-LBPRGKRZSA-N 0 3 234.343 2.602 20 0 BFADHN Cc1ncc(Nc2ccc3c(c2)CCC3=O)n1C ZINC001176232224 978003090 /nfs/dbraw/zinc/00/30/90/978003090.db2.gz ODNGFDIATJZIAY-UHFFFAOYSA-N 0 3 241.294 2.601 20 0 BFADHN CCN(CC)Cc1ccc(SC)nc1 ZINC001232324900 978006557 /nfs/dbraw/zinc/00/65/57/978006557.db2.gz QZSNLSRGFZDPBV-UHFFFAOYSA-N 0 3 210.346 2.645 20 0 BFADHN COc1ccc(CN2C3CCC2CC3)c(C)n1 ZINC001232335292 978008019 /nfs/dbraw/zinc/00/80/19/978008019.db2.gz WSUMVGNDGLKLPP-UHFFFAOYSA-N 0 3 232.327 2.525 20 0 BFADHN CSc1ccc(CN2C[C@@H]3[C@H](C2)C3(C)C)cn1 ZINC001232333407 978009245 /nfs/dbraw/zinc/00/92/45/978009245.db2.gz QQLIDRSRAOCGOS-TXEJJXNPSA-N 0 3 248.395 2.891 20 0 BFADHN CCC[C@@H](C)CCNC(=O)[C@H](C(C)C)N(C)C ZINC001176312601 978037174 /nfs/dbraw/zinc/03/71/74/978037174.db2.gz MVKJEXLCKIBDSJ-OLZOCXBDSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1c(F)cc(CN(C)C2CC2)cc1F ZINC001232420806 978054844 /nfs/dbraw/zinc/05/48/44/978054844.db2.gz UJACWWXYVLICRY-UHFFFAOYSA-N 0 3 211.255 2.867 20 0 BFADHN CN(Cc1cn2cc(F)ccc2n1)C(C)(C)C ZINC001232413470 978059653 /nfs/dbraw/zinc/05/96/53/978059653.db2.gz SEGCBBHQVGPQQI-UHFFFAOYSA-N 0 3 235.306 2.704 20 0 BFADHN C(c1[nH]nc2c1CCC2)N1CCC12CCCCC2 ZINC001232424918 978061924 /nfs/dbraw/zinc/06/19/24/978061924.db2.gz OYLDGSXQTPWABB-UHFFFAOYSA-N 0 3 245.370 2.807 20 0 BFADHN C(c1[nH]nc2c1CCC2)N1CC[C@H]2CCC[C@H]2C1 ZINC001232425089 978065010 /nfs/dbraw/zinc/06/50/10/978065010.db2.gz SJHPOVFSKOEHHB-NEPJUHHUSA-N 0 3 245.370 2.520 20 0 BFADHN Cc1ncc(CN(C)Cc2cccc(C)c2)[nH]1 ZINC001232458606 978088037 /nfs/dbraw/zinc/08/80/37/978088037.db2.gz NMKYZFPSLLMPON-UHFFFAOYSA-N 0 3 229.327 2.659 20 0 BFADHN Cc1ncc(CN2CCc3c(C)cccc3C2)[nH]1 ZINC001232458369 978091999 /nfs/dbraw/zinc/09/19/99/978091999.db2.gz GMZQYOICJFQWKU-UHFFFAOYSA-N 0 3 241.338 2.585 20 0 BFADHN Cc1ncc(CN2CC[C@@H]2c2ccccc2)[nH]1 ZINC001232463981 978106136 /nfs/dbraw/zinc/10/61/36/978106136.db2.gz QIMIBUBYZJVOQA-CQSZACIVSA-N 0 3 227.311 2.665 20 0 BFADHN Cc1ncc(CN2CCc3cc(C)ccc3C2)[nH]1 ZINC001232465303 978110386 /nfs/dbraw/zinc/11/03/86/978110386.db2.gz ICRNKOHUHGYRNF-UHFFFAOYSA-N 0 3 241.338 2.585 20 0 BFADHN COCCN(Cc1c(C)cccc1F)C(C)C ZINC001232484445 978132433 /nfs/dbraw/zinc/13/24/33/978132433.db2.gz GGNKUJAIMCSILM-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN CCc1cc(Nc2cc(O)ccc2OC)ccn1 ZINC001176576329 978134204 /nfs/dbraw/zinc/13/42/04/978134204.db2.gz SQNUIHYQWDLWIM-UHFFFAOYSA-N 0 3 244.294 2.524 20 0 BFADHN CN(CCc1ccccc1)Cc1cc(F)ccn1 ZINC001232490293 978144271 /nfs/dbraw/zinc/14/42/71/978144271.db2.gz JRYASIHMDQPNNL-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN CN(Cc1cc(F)ccn1)CC1CC(F)(F)C1 ZINC001232495247 978146854 /nfs/dbraw/zinc/14/68/54/978146854.db2.gz UAGNPHDOTYCBKY-UHFFFAOYSA-N 0 3 244.260 2.698 20 0 BFADHN C[C@@H]1CCCN(Cc2cc(F)ccn2)[C@H]1C ZINC001232496516 978151204 /nfs/dbraw/zinc/15/12/04/978151204.db2.gz VDXPPDFOWFLVRO-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CCCN(Cc2cc(F)ccn2)[C@@H]1C ZINC001232496515 978152405 /nfs/dbraw/zinc/15/24/05/978152405.db2.gz VDXPPDFOWFLVRO-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN Fc1ccnc(CN2CCC3(CCCC3)C2)c1 ZINC001232496198 978153329 /nfs/dbraw/zinc/15/33/29/978153329.db2.gz PPOJYWGIRAVLDW-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN C[C@H]1CCCCN1Cc1cccc(CF)n1 ZINC001232532726 978161545 /nfs/dbraw/zinc/16/15/45/978161545.db2.gz XXNYSEBAGAONGL-NSHDSACASA-N 0 3 222.307 2.926 20 0 BFADHN FCc1cccc(CN2CCC[C@H](F)CC2)n1 ZINC001232536203 978165522 /nfs/dbraw/zinc/16/55/22/978165522.db2.gz YFBHPKIQYSUGAD-NSHDSACASA-N 0 3 240.297 2.875 20 0 BFADHN C[C@H]1CN(Cc2cccc(CF)n2)C2(CC2)C1 ZINC001232538456 978166255 /nfs/dbraw/zinc/16/62/55/978166255.db2.gz QOHCPMZUEAQERT-LLVKDONJSA-N 0 3 234.318 2.926 20 0 BFADHN CCCN(CCOC)Cc1cc2ccoc2cn1 ZINC001232549174 978169729 /nfs/dbraw/zinc/16/97/29/978169729.db2.gz CPKSCQNKAILDRX-UHFFFAOYSA-N 0 3 248.326 2.686 20 0 BFADHN CCCN(C)Cc1cc2ccoc2cn1 ZINC001232549896 978175222 /nfs/dbraw/zinc/17/52/22/978175222.db2.gz NZDAOBKPHBHMTA-UHFFFAOYSA-N 0 3 204.273 2.670 20 0 BFADHN C[C@H]1CCCN1Cc1cc2ccoc2cn1 ZINC001232549609 978175574 /nfs/dbraw/zinc/17/55/74/978175574.db2.gz JDRMQHWKZVKKPI-JTQLQIEISA-N 0 3 216.284 2.812 20 0 BFADHN CCOc1ccnc(CN(C)[C@@H](C)CC)c1 ZINC001232580789 978184822 /nfs/dbraw/zinc/18/48/22/978184822.db2.gz DOFOFCCLRQOOFA-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN CNc1ccccc1CN1CC(C)(C)C1 ZINC001232666840 978216480 /nfs/dbraw/zinc/21/64/80/978216480.db2.gz OEVDHZIEEVNKON-UHFFFAOYSA-N 0 3 204.317 2.570 20 0 BFADHN C1=CCN(Cc2cccc3ncsc32)C1 ZINC001232733480 978249055 /nfs/dbraw/zinc/24/90/55/978249055.db2.gz IUSNJUXOUINZPH-UHFFFAOYSA-N 0 3 216.309 2.668 20 0 BFADHN Cc1cccnc1[C@@H](C)NCCc1ccns1 ZINC001176896746 978253161 /nfs/dbraw/zinc/25/31/61/978253161.db2.gz IFLYDGLDUBTDQJ-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN c1nc2cccc(CN3CCC3)c2s1 ZINC001232734591 978254061 /nfs/dbraw/zinc/25/40/61/978254061.db2.gz VCDFKPHBRHKLRS-UHFFFAOYSA-N 0 3 204.298 2.502 20 0 BFADHN Cc1cccnc1[C@@H](C)NCCc1cscn1 ZINC001176898529 978257177 /nfs/dbraw/zinc/25/71/77/978257177.db2.gz UAIBKTUHBKBDBH-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cc(C)nc(NC[C@H](O)C(C)(C)C)c1 ZINC000721897024 978263389 /nfs/dbraw/zinc/26/33/89/978263389.db2.gz GJAPPIRKSJMPAR-NSHDSACASA-N 0 3 222.332 2.517 20 0 BFADHN CCCn1cnc(CN2C[C@@H]3CCC[C@@H]3C2)c1 ZINC001232793660 978278810 /nfs/dbraw/zinc/27/88/10/978278810.db2.gz DHNRDCOJJBMOAT-BETUJISGSA-N 0 3 233.359 2.525 20 0 BFADHN CCCn1cnc(CN2C[C@@H]3CCCC[C@@H]3C2)c1 ZINC001232793844 978280139 /nfs/dbraw/zinc/28/01/39/978280139.db2.gz DSQMJKNQRKLVAW-OKILXGFUSA-N 0 3 247.386 2.915 20 0 BFADHN COc1cc(O)cc(CN2CCC(C)(C)C2)c1 ZINC001232803246 978298776 /nfs/dbraw/zinc/29/87/76/978298776.db2.gz JHFCUCNBMXBKET-UHFFFAOYSA-N 0 3 235.327 2.633 20 0 BFADHN CCCN(C)Cc1cc(OC)c(C)cc1OC ZINC001232834592 978302828 /nfs/dbraw/zinc/30/28/28/978302828.db2.gz YPJDWOKFGKVAIE-UHFFFAOYSA-N 0 3 237.343 2.854 20 0 BFADHN Cc1cc(O)cc(CN2CC[C@@H](OC(C)C)C2)c1 ZINC001232883635 978325344 /nfs/dbraw/zinc/32/53/44/978325344.db2.gz RZNBNDJYDYGTMQ-OAHLLOKOSA-N 0 3 249.354 2.700 20 0 BFADHN CCO[C@H]1CCCN(Cc2cc(C)cc(O)c2)C1 ZINC001232884964 978327305 /nfs/dbraw/zinc/32/73/05/978327305.db2.gz VCRYROJDTOVFFD-HNNXBMFYSA-N 0 3 249.354 2.702 20 0 BFADHN c1nc2ccc(CN3CCC[C@H]4C[C@H]43)cc2o1 ZINC001232944284 978356058 /nfs/dbraw/zinc/35/60/58/978356058.db2.gz QTIAZIJYPLHAHT-WCQYABFASA-N 0 3 228.295 2.812 20 0 BFADHN F[C@H]1C[C@@H]2C[C@H]1CN2Cc1ccc2ncoc2c1 ZINC001232945585 978356197 /nfs/dbraw/zinc/35/61/97/978356197.db2.gz XZIGTVKLKFVICK-SRVKXCTJSA-N 0 3 246.285 2.760 20 0 BFADHN CCN(Cc1cc(F)cnc1C)C1CC1 ZINC001232986284 978379727 /nfs/dbraw/zinc/37/97/27/978379727.db2.gz NLTRHJZSUWIBTC-UHFFFAOYSA-N 0 3 208.280 2.513 20 0 BFADHN CCN(Cc1ccc(C2CC2)cc1)C1CN(C)C1 ZINC001232987560 978390015 /nfs/dbraw/zinc/39/00/15/978390015.db2.gz ZWJMKJJFAZTJSF-UHFFFAOYSA-N 0 3 244.382 2.700 20 0 BFADHN Cc1ncc(F)cc1CN1CCC[C@H]1C ZINC001232987257 978390171 /nfs/dbraw/zinc/39/01/71/978390171.db2.gz RYCYMVKIDCXDQE-SECBINFHSA-N 0 3 208.280 2.513 20 0 BFADHN Cc1ncc(F)cc1CN1C[C@H]2CC[C@@H]1C2 ZINC001232990534 978395329 /nfs/dbraw/zinc/39/53/29/978395329.db2.gz FFLOXJIRQZISFU-GXFFZTMASA-N 0 3 220.291 2.513 20 0 BFADHN COCC1CCN(Cc2cnc(C)cc2C)CC1 ZINC001233006478 978399324 /nfs/dbraw/zinc/39/93/24/978399324.db2.gz BUYJNIAFEGVBDU-UHFFFAOYSA-N 0 3 248.370 2.557 20 0 BFADHN Cc1cc(C)c(CN2CCC[C@H](CF)C2)cn1 ZINC001233007865 978401883 /nfs/dbraw/zinc/40/18/83/978401883.db2.gz VGMMKMQWBSINJV-CYBMUJFWSA-N 0 3 236.334 2.880 20 0 BFADHN CC1(C)CC[N@H+](Cc2cc(Cl)ncc2[O-])C1 ZINC001233037171 978420873 /nfs/dbraw/zinc/42/08/73/978420873.db2.gz YXKRDWPETBURGC-UHFFFAOYSA-N 0 3 240.734 2.673 20 0 BFADHN CC1(C)CC[N@@H+](Cc2cc(Cl)ncc2[O-])C1 ZINC001233037171 978420887 /nfs/dbraw/zinc/42/08/87/978420887.db2.gz YXKRDWPETBURGC-UHFFFAOYSA-N 0 3 240.734 2.673 20 0 BFADHN Cc1c2ccccc2oc1CNCc1cnc[nH]1 ZINC001177855542 978464029 /nfs/dbraw/zinc/46/40/29/978464029.db2.gz YMBUUNCWQGOFSR-UHFFFAOYSA-N 0 3 241.294 2.754 20 0 BFADHN Fc1ccc2c(c1)n[nH]c2CN1CCCCC1 ZINC001233122398 978467519 /nfs/dbraw/zinc/46/75/19/978467519.db2.gz XNJPKUBTMVCHFD-UHFFFAOYSA-N 0 3 233.290 2.688 20 0 BFADHN C[C@H]1CCCN(Cc2[nH]nc3cc(F)ccc32)C1 ZINC001233122332 978469868 /nfs/dbraw/zinc/46/98/68/978469868.db2.gz UVLCIVGTWRMVIO-JTQLQIEISA-N 0 3 247.317 2.934 20 0 BFADHN CO[C@H]1CCN(Cc2cnc(C)c(C)c2)[C@@H](C)C1 ZINC001233165109 978485267 /nfs/dbraw/zinc/48/52/67/978485267.db2.gz SUMSOJAESQCKLC-WFASDCNBSA-N 0 3 248.370 2.698 20 0 BFADHN Cc1cnsc1CN1CCCC[C@@H]1C ZINC001233318100 978550561 /nfs/dbraw/zinc/55/05/61/978550561.db2.gz PWUGUAADINCYTC-JTQLQIEISA-N 0 3 210.346 2.826 20 0 BFADHN Cc1cc(CN(CC2CC2)C2CC2)sn1 ZINC001233313134 978542339 /nfs/dbraw/zinc/54/23/39/978542339.db2.gz FIKYIIVMEXCLHK-UHFFFAOYSA-N 0 3 222.357 2.826 20 0 BFADHN CN(Cc1cnc2cccc(Cl)n12)CC1CC1 ZINC001233355721 978571324 /nfs/dbraw/zinc/57/13/24/978571324.db2.gz JYDLNKUSUWNACW-UHFFFAOYSA-N 0 3 249.745 2.830 20 0 BFADHN CCN(Cc1cnc2cccc(Cl)n12)C1CC1 ZINC001233355768 978572879 /nfs/dbraw/zinc/57/28/79/978572879.db2.gz LSFOHJPQOURWOZ-UHFFFAOYSA-N 0 3 249.745 2.972 20 0 BFADHN CC[C@@H]1CCN(Cc2cc(F)c(F)cc2N)C1 ZINC001233383157 978590875 /nfs/dbraw/zinc/59/08/75/978590875.db2.gz XSOGRUWCPSDGIY-SECBINFHSA-N 0 3 240.297 2.779 20 0 BFADHN Fc1ccccc1CN1C[C@@H]2C[C@H]1C[C@@H]2F ZINC001203357276 978612509 /nfs/dbraw/zinc/61/25/09/978612509.db2.gz HQALYSWDALCOHC-GVXVVHGQSA-N 0 3 223.266 2.758 20 0 BFADHN OCc1cc(NC[C@@H]2C[C@@H]2C2CCCC2)ccn1 ZINC001338144441 978620846 /nfs/dbraw/zinc/62/08/46/978620846.db2.gz WEQKOPGFMIHQTJ-SWLSCSKDSA-N 0 3 246.354 2.812 20 0 BFADHN C=Cc1ccc(O[C@@H]2CCN(CC)C2)c(OC)c1 ZINC001233571105 978628830 /nfs/dbraw/zinc/62/88/30/978628830.db2.gz VARNNYBHFIQAOA-CYBMUJFWSA-N 0 3 247.338 2.811 20 0 BFADHN CC1CC(NCc2cc(O)ccc2Cl)C1 ZINC001338185526 978630360 /nfs/dbraw/zinc/63/03/60/978630360.db2.gz CPOVBYWMIPXIFM-UHFFFAOYSA-N 0 3 225.719 2.934 20 0 BFADHN CC[C@](C)(NCc1ncc(Cl)n1C)C1CC1 ZINC000691603073 978645239 /nfs/dbraw/zinc/64/52/39/978645239.db2.gz BYYOFHROEAEPCA-LBPRGKRZSA-N 0 3 241.766 2.742 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)o1 ZINC001179620685 978735711 /nfs/dbraw/zinc/73/57/11/978735711.db2.gz CZDNMAYKWGHRBA-WBMYTEFPSA-N 0 3 219.328 2.976 20 0 BFADHN CSc1ccc(C)c(O[C@H]2CCN(C)C2)c1 ZINC001234234279 978799288 /nfs/dbraw/zinc/79/92/88/978799288.db2.gz RWESXWNXOHCMMZ-NSHDSACASA-N 0 3 237.368 2.800 20 0 BFADHN C[C@@H](C[NH+](C)C)Oc1c([O-])cc(Cl)cc1F ZINC001234445948 978839594 /nfs/dbraw/zinc/83/95/94/978839594.db2.gz CRRDBZXIDQMLBN-ZETCQYMHSA-N 0 3 247.697 2.514 20 0 BFADHN CC[C@@H]1CC[C@H](NCc2cc(C)on2)C1 ZINC000070643735 978861971 /nfs/dbraw/zinc/86/19/71/978861971.db2.gz OOMLGIXQJXCKEG-MNOVXSKESA-N 0 3 208.305 2.651 20 0 BFADHN CN(Cc1c(N)cccc1F)CC1CCC1 ZINC000070961798 978949939 /nfs/dbraw/zinc/94/99/39/978949939.db2.gz GYXUBACDQRQKAC-UHFFFAOYSA-N 0 3 222.307 2.640 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2cn(C)cn2)cc1 ZINC001340050258 978961473 /nfs/dbraw/zinc/96/14/73/978961473.db2.gz RVSQKYIHOJCQJY-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN COC[C@@H]1CN(CCCCC(C)C)C[C@H](C)O1 ZINC001180985752 978988045 /nfs/dbraw/zinc/98/80/45/978988045.db2.gz OPGDNCZAUZCIGA-KBPBESRZSA-N 0 3 243.391 2.548 20 0 BFADHN CCc1cccc(CN2CCC[C@@H](F)CC2)n1 ZINC001235255374 979009091 /nfs/dbraw/zinc/00/90/91/979009091.db2.gz RWEINAZURYAORR-GFCCVEGCSA-N 0 3 236.334 2.968 20 0 BFADHN CCc1cccc(CN2CC[C@H](OC(C)C)C2)n1 ZINC001235262862 979013542 /nfs/dbraw/zinc/01/35/42/979013542.db2.gz GZEULVCXRXKDEA-HNNXBMFYSA-N 0 3 248.370 2.643 20 0 BFADHN Cc1cnc(F)c(CN2CC3CCC2CC3)c1 ZINC001235338873 979027115 /nfs/dbraw/zinc/02/71/15/979027115.db2.gz KUPHRVOOZSRUJR-UHFFFAOYSA-N 0 3 234.318 2.904 20 0 BFADHN CCOc1cc(O)cc(CN2CCC3(CC3)C2)c1 ZINC001235394760 979036496 /nfs/dbraw/zinc/03/64/96/979036496.db2.gz VKVIZXMXNUXCQB-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN COc1cncc(CN2CC3CCC2CC3)c1C ZINC001235466274 979048413 /nfs/dbraw/zinc/04/84/13/979048413.db2.gz ZKKQMFNMMAPTCA-UHFFFAOYSA-N 0 3 246.354 2.773 20 0 BFADHN Cc1ncn(C)c1CN1CCC(C(C)C)CC1 ZINC001235550150 979093786 /nfs/dbraw/zinc/09/37/86/979093786.db2.gz UEXIXBVUINKGRV-UHFFFAOYSA-N 0 3 235.375 2.597 20 0 BFADHN Nc1ccnc(-c2cc(O)cc(Cl)c2)c1 ZINC001235898872 979187978 /nfs/dbraw/zinc/18/79/78/979187978.db2.gz FBHXLOZOJPPDMA-UHFFFAOYSA-N 0 3 220.659 2.690 20 0 BFADHN CN[C@@H]1CCN1C[C@@H](C)CCC=C(C)C ZINC001236166668 979417732 /nfs/dbraw/zinc/41/77/32/979417732.db2.gz MIDMDSVNZSRRDN-STQMWFEESA-N 0 3 210.365 2.620 20 0 BFADHN CN[C@H]1CCN1CCC12CC3CC(CC(C3)C1)C2 ZINC001236166945 979419199 /nfs/dbraw/zinc/41/91/99/979419199.db2.gz NRBOXRIQRYUYEZ-IBHBGHMQSA-N 0 3 248.414 2.844 20 0 BFADHN COc1cc(-c2cc(N)ccn2)cc(C)c1F ZINC001236177369 979435536 /nfs/dbraw/zinc/43/55/36/979435536.db2.gz DGDGAFHUQQVZCZ-UHFFFAOYSA-N 0 3 232.258 2.787 20 0 BFADHN COc1cc(C)cc(F)c1-c1cccc(CN)n1 ZINC001236204429 979448987 /nfs/dbraw/zinc/44/89/87/979448987.db2.gz HZWJWQMSNWSCIX-UHFFFAOYSA-N 0 3 246.285 2.663 20 0 BFADHN Cc1cc(CN2CC[C@@H]3CCCC[C@@H]3C2)[nH]n1 ZINC001341524382 979453125 /nfs/dbraw/zinc/45/31/25/979453125.db2.gz RSLXSCGQTFNVQZ-QWHCGFSZSA-N 0 3 233.359 2.730 20 0 BFADHN CC(C)Oc1cc(-c2cc(N)ccn2)ccn1 ZINC001236548405 979628435 /nfs/dbraw/zinc/62/84/35/979628435.db2.gz QDERMBVLHNRWLF-UHFFFAOYSA-N 0 3 229.283 2.513 20 0 BFADHN Cn1ncc(CN2C[C@H]3CCCC[C@H]32)c1C1CC1 ZINC001182743758 979634507 /nfs/dbraw/zinc/63/45/07/979634507.db2.gz SAZMKHQMLNHSLP-TZMCWYRMSA-N 0 3 245.370 2.672 20 0 BFADHN Cc1nc(-c2cncc(O)c2)cc2[nH]ccc21 ZINC001236579069 979656204 /nfs/dbraw/zinc/65/62/04/979656204.db2.gz UUWLEDAORLDQAG-UHFFFAOYSA-N 0 3 225.251 2.639 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@H](F)CC2)o1 ZINC001236589664 979669520 /nfs/dbraw/zinc/66/95/20/979669520.db2.gz NYMPTJKCJUJCEN-LLVKDONJSA-N 0 3 226.295 2.615 20 0 BFADHN Cc1nc(C)c(CN2CCCCC23CC3)o1 ZINC001236595901 979671518 /nfs/dbraw/zinc/67/15/18/979671518.db2.gz ZNXYGHURPLTGOA-UHFFFAOYSA-N 0 3 220.316 2.810 20 0 BFADHN CCCCN(C)Cc1cnc(OCC)c(F)c1 ZINC001236691382 979691237 /nfs/dbraw/zinc/69/12/37/979691237.db2.gz UFFANLBYMRGENX-UHFFFAOYSA-N 0 3 240.322 2.851 20 0 BFADHN c1cc(-c2ccccc2)sc1CN1CCNC1 ZINC001236844348 979755540 /nfs/dbraw/zinc/75/55/40/979755540.db2.gz UMNZQHJKDQBFMM-UHFFFAOYSA-N 0 3 244.363 2.778 20 0 BFADHN CCOc1cncc(CN2C[C@@H](C)CC23CC3)c1 ZINC001236845212 979757251 /nfs/dbraw/zinc/75/72/51/979757251.db2.gz HCVYEQPQTICILB-LBPRGKRZSA-N 0 3 246.354 2.855 20 0 BFADHN c1cc(-c2cccc(CN3CCNC3)c2)cs1 ZINC001236850364 979759834 /nfs/dbraw/zinc/75/98/34/979759834.db2.gz LAZCPRZZIBKXSR-UHFFFAOYSA-N 0 3 244.363 2.778 20 0 BFADHN COc1cccc2c(CN3CC[C@@H]3C)ccnc12 ZINC001237113538 979819544 /nfs/dbraw/zinc/81/95/44/979819544.db2.gz LMESFRAOVAUZQC-NSHDSACASA-N 0 3 242.322 2.838 20 0 BFADHN c1cc(CN2CC3(COC3)C2)c2cccccc1-2 ZINC001237185780 979835852 /nfs/dbraw/zinc/83/58/52/979835852.db2.gz FSTAZCSIFIMVRS-UHFFFAOYSA-N 0 3 239.318 2.624 20 0 BFADHN C[C@H](N)C(=O)Nc1cccc2c1[C@@H](C)CC2(C)C ZINC001342605790 979838222 /nfs/dbraw/zinc/83/82/22/979838222.db2.gz FVCMBUPIKGGSRT-UWVGGRQHSA-N 0 3 246.354 2.757 20 0 BFADHN Cc1ccc(CN2CCNC3=CCCC[C@H]32)cc1 ZINC001237221482 979851442 /nfs/dbraw/zinc/85/14/42/979851442.db2.gz XCJUPHBHKIZDTJ-MRXNPFEDSA-N 0 3 242.366 2.837 20 0 BFADHN [O-]c1cc(F)cc(C[N@H+](CC2CC2)C2CC2)c1 ZINC001237284372 979860580 /nfs/dbraw/zinc/86/05/80/979860580.db2.gz UUBMHQRHSOZMEH-UHFFFAOYSA-N 0 3 235.302 2.906 20 0 BFADHN [O-]c1cc(F)cc(C[N@@H+](CC2CC2)C2CC2)c1 ZINC001237284372 979860586 /nfs/dbraw/zinc/86/05/86/979860586.db2.gz UUBMHQRHSOZMEH-UHFFFAOYSA-N 0 3 235.302 2.906 20 0 BFADHN COc1ccc2c(c1)[nH]nc2CN1CCC[C@H]1C ZINC001237388098 979881052 /nfs/dbraw/zinc/88/10/52/979881052.db2.gz IAMNGXXFDOTMIK-SNVBAGLBSA-N 0 3 245.326 2.556 20 0 BFADHN CN(Cc1cc2ccccn2n1)C1CCCC1 ZINC001237446760 979895435 /nfs/dbraw/zinc/89/54/35/979895435.db2.gz PUKIHDPXJPSIKF-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN CC(C)c1cccc(CN2CC3(CN(C)C3)C2)c1 ZINC001237460303 979902146 /nfs/dbraw/zinc/90/21/46/979902146.db2.gz MSRLTRQZMVZWNT-UHFFFAOYSA-N 0 3 244.382 2.557 20 0 BFADHN Cc1ccc([C@H](C)Nc2nc(C)cc(N)n2)cc1 ZINC001342848496 979917819 /nfs/dbraw/zinc/91/78/19/979917819.db2.gz SOBSGSHEPKWAFQ-NSHDSACASA-N 0 3 242.326 2.849 20 0 BFADHN FC[C@@H]1CCCN(Cc2ccn3nccc3c2)C1 ZINC001237538718 979932619 /nfs/dbraw/zinc/93/26/19/979932619.db2.gz HVQOJHPZCTTXOT-ZDUSSCGKSA-N 0 3 247.317 2.516 20 0 BFADHN F[C@H]1CCCN(Cc2ccn3nccc3c2)CC1 ZINC001237548809 979936843 /nfs/dbraw/zinc/93/68/43/979936843.db2.gz IJCAVIKXSQBLQF-ZDUSSCGKSA-N 0 3 247.317 2.658 20 0 BFADHN CCCN(Cc1nc(C)co1)[C@@H](C)CC ZINC001237632473 979975637 /nfs/dbraw/zinc/97/56/37/979975637.db2.gz DEYNRFXPOOEBBV-NSHDSACASA-N 0 3 210.321 2.994 20 0 BFADHN C[C@H]1CCCN1Cc1ccnc(OC(F)F)c1 ZINC001237612585 979976255 /nfs/dbraw/zinc/97/62/55/979976255.db2.gz UZQPPXVALMYXPI-VIFPVBQESA-N 0 3 242.269 2.667 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc(F)c(C)n2)C1 ZINC001237821884 980072143 /nfs/dbraw/zinc/07/21/43/980072143.db2.gz GIOUKLLETVQVPB-LLVKDONJSA-N 0 3 222.307 2.761 20 0 BFADHN CCN(C)Cc1cccc(-c2ccccn2)n1 ZINC001237829755 980076535 /nfs/dbraw/zinc/07/65/35/980076535.db2.gz KDPDXQWOLLPAAZ-UHFFFAOYSA-N 0 3 227.311 2.595 20 0 BFADHN COc1ccoc1CN(C)CC1CCCC1 ZINC001237875413 980107516 /nfs/dbraw/zinc/10/75/16/980107516.db2.gz VLKOJRRWGNHUNW-UHFFFAOYSA-N 0 3 223.316 2.910 20 0 BFADHN CCCOc1ccc(F)c(CCN(C)C)c1F ZINC001250159507 980115021 /nfs/dbraw/zinc/11/50/21/980115021.db2.gz BSSYWGATZNVAKG-UHFFFAOYSA-N 0 3 243.297 2.858 20 0 BFADHN CC(=O)[C@@H]1CCCN(Cc2ccsc2C)C1 ZINC001237969328 980120981 /nfs/dbraw/zinc/12/09/81/980120981.db2.gz UPWQLOQXEBURIT-GFCCVEGCSA-N 0 3 237.368 2.858 20 0 BFADHN CCOc1cccc(F)c1CCN1CCCC1 ZINC001250164187 980121827 /nfs/dbraw/zinc/12/18/27/980121827.db2.gz NLLKQNXLVOMHCN-UHFFFAOYSA-N 0 3 237.318 2.863 20 0 BFADHN CCOc1cc(CN2CC[C@H]2C)ccc1C ZINC001238067990 980136151 /nfs/dbraw/zinc/13/61/51/980136151.db2.gz MIHCCIIODYPNTH-GFCCVEGCSA-N 0 3 219.328 2.988 20 0 BFADHN CCCO[C@H]1CCN(Cc2cc(O)ccc2C)C1 ZINC001238098554 980147557 /nfs/dbraw/zinc/14/75/57/980147557.db2.gz LDJPTUQEJAULIP-HNNXBMFYSA-N 0 3 249.354 2.702 20 0 BFADHN c1ncn2c1cccc2CN1CC2(C1)CCCC2 ZINC001238107412 980154597 /nfs/dbraw/zinc/15/45/97/980154597.db2.gz QAQINYXOHRRUIE-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN CN(C)c1ccncc1NC(=O)CC1(C)CCC1 ZINC001185091811 980168210 /nfs/dbraw/zinc/16/82/10/980168210.db2.gz NDXTYAXNILQFOF-UHFFFAOYSA-N 0 3 247.342 2.666 20 0 BFADHN FC[C@H]1[C@@H]2CN(Cc3cncc(C4CC4)c3)C[C@H]12 ZINC001238187459 980217694 /nfs/dbraw/zinc/21/76/94/980217694.db2.gz AWAHSGJCUYXHNS-QKDCVEJESA-N 0 3 246.329 2.606 20 0 BFADHN CC1(C)CN(Cc2cccc(-c3cc[nH]n3)c2)C1 ZINC001238197178 980221254 /nfs/dbraw/zinc/22/12/54/980221254.db2.gz LTHFOSBJWYDZLP-UHFFFAOYSA-N 0 3 241.338 2.919 20 0 BFADHN c1ncc(C2CC2)cc1CN1CC2CC(C2)C1 ZINC001238195823 980222412 /nfs/dbraw/zinc/22/24/12/980222412.db2.gz VRCCWRSFGNVEKU-UHFFFAOYSA-N 0 3 228.339 2.801 20 0 BFADHN Cc1cnc(CN2CCC(CF)CC2)c(C)c1 ZINC001238431882 980255430 /nfs/dbraw/zinc/25/54/30/980255430.db2.gz IXFIRSYMZAZCEW-UHFFFAOYSA-N 0 3 236.334 2.880 20 0 BFADHN CCCN(C)Cc1cc(C)c(Cl)cn1 ZINC001238427935 980258354 /nfs/dbraw/zinc/25/83/54/980258354.db2.gz TYQBIUATFZBMMN-UHFFFAOYSA-N 0 3 212.724 2.885 20 0 BFADHN CCCN(C)Cc1cncc(OC(C)C)c1 ZINC001238464541 980270796 /nfs/dbraw/zinc/27/07/96/980270796.db2.gz MUZOMDWVNDMVKK-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN Cc1cc(CNCc2c(C)cccc2C)n[nH]1 ZINC000716493096 980271675 /nfs/dbraw/zinc/27/16/75/980271675.db2.gz IPELGGPQNPBFBG-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN Cc1cc(CNCc2c(C)cccc2C)[nH]n1 ZINC000716493096 980271681 /nfs/dbraw/zinc/27/16/81/980271681.db2.gz IPELGGPQNPBFBG-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN CN1CC=C(Cc2c(F)ccc(F)c2F)CC1 ZINC001250213770 980284848 /nfs/dbraw/zinc/28/48/48/980284848.db2.gz HAMUZJABEDEZBY-UHFFFAOYSA-N 0 3 241.256 2.908 20 0 BFADHN Cc1cc(CN2CCCC2)cnc1C1CC1 ZINC001238616930 980300801 /nfs/dbraw/zinc/30/08/01/980300801.db2.gz PULAQLVFSIYSIK-UHFFFAOYSA-N 0 3 216.328 2.863 20 0 BFADHN CCN(C)Cc1cnc(C2CC2)c(C)c1 ZINC001238616468 980303895 /nfs/dbraw/zinc/30/38/95/980303895.db2.gz PMYQIRYKNXRKIP-UHFFFAOYSA-N 0 3 204.317 2.719 20 0 BFADHN CCOc1ccc(C)c(CN2CCCOCC2)c1 ZINC001238705031 980308778 /nfs/dbraw/zinc/30/87/78/980308778.db2.gz NZJFRHDKWSVADP-UHFFFAOYSA-N 0 3 249.354 2.616 20 0 BFADHN COc1c(C)ccc(F)c1CN1CC[C@H](C)C1 ZINC001250224332 980308799 /nfs/dbraw/zinc/30/87/99/980308799.db2.gz IHVCCTHKRDLEIO-JTQLQIEISA-N 0 3 237.318 2.985 20 0 BFADHN CC1(C)CCN1Cc1cccn1C1CC1 ZINC001344061436 980332170 /nfs/dbraw/zinc/33/21/70/980332170.db2.gz JQQGZTVCSBMECX-UHFFFAOYSA-N 0 3 204.317 2.807 20 0 BFADHN CCN(Cc1cnc([C@H](C)O)s1)C(C)(C)C ZINC001344075449 980339085 /nfs/dbraw/zinc/33/90/85/980339085.db2.gz OOQGSENCCBVSGG-VIFPVBQESA-N 0 3 242.388 2.817 20 0 BFADHN Cc1cnc(-c2ccc(C3CC3)nc2)cc1N ZINC001238851679 980341822 /nfs/dbraw/zinc/34/18/22/980341822.db2.gz XDCNSQKVMSUMCF-UHFFFAOYSA-N 0 3 225.295 2.912 20 0 BFADHN NCc1cccc(-c2cccc(Cl)c2F)n1 ZINC001238953770 980392867 /nfs/dbraw/zinc/39/28/67/980392867.db2.gz LVWBSOPNJWYNOG-UHFFFAOYSA-N 0 3 236.677 3.000 20 0 BFADHN CC(C)n1ccc(-c2ccc3c(n2)CNCC3)c1 ZINC001239066154 980433468 /nfs/dbraw/zinc/43/34/68/980433468.db2.gz KKNFCWPSFYNLBZ-UHFFFAOYSA-N 0 3 241.338 2.777 20 0 BFADHN CCC[C@](C)(NC(=O)[C@H](N)CC)c1ccccc1 ZINC001345466877 980615715 /nfs/dbraw/zinc/61/57/15/980615715.db2.gz PTLHXGAZTIVECQ-HIFRSBDPSA-N 0 3 248.370 2.555 20 0 BFADHN COc1cccc(-c2cccc(CN(C)C)n2)c1 ZINC001239519682 980688891 /nfs/dbraw/zinc/68/88/91/980688891.db2.gz SNOXXKJIEZJWST-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN Cn1cc(-c2ccc(CN)nc2)c2ccccc21 ZINC001239536125 980712030 /nfs/dbraw/zinc/71/20/30/980712030.db2.gz XBARPGOKRYSLAR-UHFFFAOYSA-N 0 3 237.306 2.699 20 0 BFADHN COc1ccccc1-c1cc(CN(C)C)ccn1 ZINC001239539811 980717252 /nfs/dbraw/zinc/71/72/52/980717252.db2.gz KMEHLHNPPCURRL-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN CC[C@@]12C[C@@H](CO1)N(Cc1ccccc1C)C2 ZINC001203709651 980820171 /nfs/dbraw/zinc/82/01/71/980820171.db2.gz OFKOBBGCXRLNNN-GJZGRUSLSA-N 0 3 231.339 2.748 20 0 BFADHN CCCCN(C)Cc1[nH]c(CC)nc1C ZINC001203235565 980849111 /nfs/dbraw/zinc/84/91/11/980849111.db2.gz XGLNZIBGXABYHJ-UHFFFAOYSA-N 0 3 209.337 2.512 20 0 BFADHN F[C@@H]1CCC[C@@H](N[C@H]2CCCn3ccnc32)C1 ZINC001346503930 980853542 /nfs/dbraw/zinc/85/35/42/980853542.db2.gz IOUZMSRDVBPSLE-UTUOFQBUSA-N 0 3 237.322 2.588 20 0 BFADHN Cc1cc(F)ccc1-c1ccc2c(n1)CNCC2 ZINC001239736021 980883157 /nfs/dbraw/zinc/88/31/57/980883157.db2.gz DABIJGJBRMRYEL-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN Cc1cc(F)ccc1-c1ccnc(CN)c1 ZINC001239740411 980886561 /nfs/dbraw/zinc/88/65/61/980886561.db2.gz BSDFIOPDKPQXAN-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN CCCCNC(=O)Nc1ccccc1CN(C)C ZINC001190616280 980889909 /nfs/dbraw/zinc/88/99/09/980889909.db2.gz YIHANSDCXIJDIN-UHFFFAOYSA-N 0 3 249.358 2.670 20 0 BFADHN COc1ccc(C)cc1-c1cccc(CN)n1 ZINC001239764962 980903692 /nfs/dbraw/zinc/90/36/92/980903692.db2.gz UQCUXQDPFXJCKN-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN CCc1nc(OC)ccc1-c1nccc(N)c1C ZINC001239768276 980906297 /nfs/dbraw/zinc/90/62/97/980906297.db2.gz XGKIROJCRMMHFM-UHFFFAOYSA-N 0 3 243.310 2.605 20 0 BFADHN Cc1ccccc1[C@H]1CCN(CC2=NOCC2)C1 ZINC001190692471 980911748 /nfs/dbraw/zinc/91/17/48/980911748.db2.gz XONBKIMRSZVCBV-ZDUSSCGKSA-N 0 3 244.338 2.561 20 0 BFADHN C[C@@H](c1ccccn1)N1CC[C@@H](OCC2CC2)C1 ZINC001205541031 980918288 /nfs/dbraw/zinc/91/82/88/980918288.db2.gz KOYXMXCNKMKBBJ-GXTWGEPZSA-N 0 3 246.354 2.644 20 0 BFADHN C[C@H](c1ccccn1)N1CC[C@@H](OCC2CC2)C1 ZINC001205541032 980918798 /nfs/dbraw/zinc/91/87/98/980918798.db2.gz KOYXMXCNKMKBBJ-TZMCWYRMSA-N 0 3 246.354 2.644 20 0 BFADHN CSc1ccccc1[C@@H](C)NC/C=C/CO ZINC001346870315 980923346 /nfs/dbraw/zinc/92/33/46/980923346.db2.gz XDLYAGUJICEKED-MVIFTORASA-N 0 3 237.368 2.608 20 0 BFADHN Cc1ccc(-c2ccc(CN)nc2)cc1F ZINC001239821466 980965959 /nfs/dbraw/zinc/96/59/59/980965959.db2.gz NAWDCHZRODPEFH-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN COc1cc(F)ccc1-c1nccc(N)c1C ZINC001239995522 981089249 /nfs/dbraw/zinc/08/92/49/981089249.db2.gz XPDOAWQOOSUTRY-UHFFFAOYSA-N 0 3 232.258 2.787 20 0 BFADHN CC1(C)CN(CCc2cscn2)C1(C)C ZINC001347826615 981185742 /nfs/dbraw/zinc/18/57/42/981185742.db2.gz LPTOKEXORVOYRM-UHFFFAOYSA-N 0 3 224.373 2.806 20 0 BFADHN Cc1cccc2nc(SCCN(C)C)oc21 ZINC001347828062 981187740 /nfs/dbraw/zinc/18/77/40/981187740.db2.gz SYKBOQNAPGWSAJ-UHFFFAOYSA-N 0 3 236.340 2.790 20 0 BFADHN Fc1c(CN2CCCC2)cccc1-c1cn[nH]c1 ZINC001240243342 981220426 /nfs/dbraw/zinc/22/04/26/981220426.db2.gz FUCYXDYAGZYKHT-UHFFFAOYSA-N 0 3 245.301 2.812 20 0 BFADHN Nc1nc2cc(-c3cnc4[nH]ccc4c3)ccc2[nH]1 ZINC001240392573 981352659 /nfs/dbraw/zinc/35/26/59/981352659.db2.gz HYSNUNWTAWSMRR-UHFFFAOYSA-N 0 3 249.277 2.640 20 0 BFADHN Nc1nc2ccc(-c3cnc4[nH]ccc4c3)cc2[nH]1 ZINC001240392573 981352665 /nfs/dbraw/zinc/35/26/65/981352665.db2.gz HYSNUNWTAWSMRR-UHFFFAOYSA-N 0 3 249.277 2.640 20 0 BFADHN CC[C@H]1CCN(Cc2nocc2C)[C@@H]1C ZINC001193603650 981394776 /nfs/dbraw/zinc/39/47/76/981394776.db2.gz CEVMFGCMDDHCFM-MNOVXSKESA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@H]1CCN(Cc2nocc2C)[C@H]1C ZINC001193603654 981395117 /nfs/dbraw/zinc/39/51/17/981395117.db2.gz CEVMFGCMDDHCFM-QWRGUYRKSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(O)ccc1-c1cc(CN(C)C)ccn1 ZINC001240642988 981536651 /nfs/dbraw/zinc/53/66/51/981536651.db2.gz NRKSYXNYEPIKBS-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN Cc1cc(O)ccc1-c1ccc2[nH]c(N)nc2c1 ZINC001240644538 981540823 /nfs/dbraw/zinc/54/08/23/981540823.db2.gz QOBWPNRFMGMDKA-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN Cc1cc(O)ccc1-c1ccc2nc(N)[nH]c2c1 ZINC001240644538 981540830 /nfs/dbraw/zinc/54/08/30/981540830.db2.gz QOBWPNRFMGMDKA-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN CCCOC(=O)Nc1ccc2c(c1)CN(C)CC2 ZINC001194130082 981563177 /nfs/dbraw/zinc/56/31/77/981563177.db2.gz AUMJURMZTZWBSF-UHFFFAOYSA-N 0 3 248.326 2.633 20 0 BFADHN CC[C@@H](C)[C@H](CN(C)CCC(F)(F)F)OC ZINC001349595987 981590272 /nfs/dbraw/zinc/59/02/72/981590272.db2.gz DRUBDORKUQZLOL-ZJUUUORDSA-N 0 3 241.297 2.932 20 0 BFADHN Fc1ccc(C2CN(CCC[C@@H]3CCO3)C2)cc1 ZINC001349626768 981598656 /nfs/dbraw/zinc/59/86/56/981598656.db2.gz UQEOUCYQOBIAFJ-OAHLLOKOSA-N 0 3 249.329 2.794 20 0 BFADHN CCc1cccc(CN2CCN(CC)C[C@@H]2C)c1 ZINC001194269379 981632782 /nfs/dbraw/zinc/63/27/82/981632782.db2.gz OWGIXVNIBCUBMO-AWEZNQCLSA-N 0 3 246.398 2.775 20 0 BFADHN [NH3+]CCc1ccc(-c2ccc([O-])c(F)c2)cc1 ZINC001240787796 981833429 /nfs/dbraw/zinc/83/34/29/981833429.db2.gz QUXULAFOIIBKGH-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN CCCC1CCN(Cc2c(C)ccnc2N)CC1 ZINC001350309949 981920103 /nfs/dbraw/zinc/92/01/03/981920103.db2.gz OVWMNRVEJOXJFY-UHFFFAOYSA-N 0 3 247.386 2.984 20 0 BFADHN c1cc2cncc(-c3ccc4c(c3)OCO4)c2[nH]1 ZINC001240901316 981952843 /nfs/dbraw/zinc/95/28/43/981952843.db2.gz QFXFXPSIPPCACT-UHFFFAOYSA-N 0 3 238.246 2.959 20 0 BFADHN Oc1cccc(-c2cncc3cc[nH]c32)c1 ZINC001240924344 981989013 /nfs/dbraw/zinc/98/90/13/981989013.db2.gz LCAPJIJURGUOQO-UHFFFAOYSA-N 0 3 210.236 2.936 20 0 BFADHN NCc1cc(-c2ccc(F)c(F)c2F)ccn1 ZINC001240990663 982076964 /nfs/dbraw/zinc/07/69/64/982076964.db2.gz GBOURSCQQVXNJG-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN CN(C)Cc1cncc(-c2ccccc2O)c1 ZINC001241021511 982116676 /nfs/dbraw/zinc/11/66/76/982116676.db2.gz ZKCITATYRDPHJT-UHFFFAOYSA-N 0 3 228.295 2.516 20 0 BFADHN CCOc1cc(F)cc(-c2cccc(CN)n2)c1 ZINC001241022894 982118258 /nfs/dbraw/zinc/11/82/58/982118258.db2.gz PZGHJGDYPMPIKQ-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN CC(C)(C)Oc1ncccc1-c1cnccc1N ZINC001241144448 982276035 /nfs/dbraw/zinc/27/60/35/982276035.db2.gz QZUBOCUTUYNUSS-UHFFFAOYSA-N 0 3 243.310 2.733 20 0 BFADHN c1cc2c(c(-c3ccc4c(c3)OCC4)n1)CCN2 ZINC001241207136 982357145 /nfs/dbraw/zinc/35/71/45/982357145.db2.gz RRBDBSVRKKZNIC-UHFFFAOYSA-N 0 3 238.290 2.652 20 0 BFADHN Fc1cnccc1-c1c[nH]cc2ccnc1-2 ZINC001241227147 982376874 /nfs/dbraw/zinc/37/68/74/982376874.db2.gz YKKUAKWGXCOEQY-UHFFFAOYSA-N 0 3 213.215 2.764 20 0 BFADHN CCOc1ccc(OC)cc1-c1cnccc1N ZINC001241239340 982387105 /nfs/dbraw/zinc/38/71/05/982387105.db2.gz NPWRWOOGARNUQH-UHFFFAOYSA-N 0 3 244.294 2.568 20 0 BFADHN CC(=O)c1ccc(-c2cccc(CN)n2)cc1F ZINC001241255432 982393095 /nfs/dbraw/zinc/39/30/95/982393095.db2.gz WAPGNPRBJJGMLR-UHFFFAOYSA-N 0 3 244.269 2.549 20 0 BFADHN CC(=O)c1ccc(-c2ccnc(CN)c2)cc1F ZINC001241259816 982397826 /nfs/dbraw/zinc/39/78/26/982397826.db2.gz SIMCAISXGZHORT-UHFFFAOYSA-N 0 3 244.269 2.549 20 0 BFADHN C[NH2+]Cc1ccc(-c2cc([O-])cc(F)c2)cc1 ZINC001241276673 982407823 /nfs/dbraw/zinc/40/78/23/982407823.db2.gz AGVRFJGMIXJTPG-UHFFFAOYSA-N 0 3 231.270 2.918 20 0 BFADHN Cc1cc(-c2ccc3c(n2)CNCC3)ccc1F ZINC001241278305 982412043 /nfs/dbraw/zinc/41/20/43/982412043.db2.gz CLRKULDJCQTNLT-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN [NH3+]CCc1ccccc1-c1c(F)ccc([O-])c1F ZINC001241293258 982432418 /nfs/dbraw/zinc/43/24/18/982432418.db2.gz FMNSJWVXJXPOQK-UHFFFAOYSA-N 0 3 249.260 2.839 20 0 BFADHN CC(=O)c1ccc(F)c(-c2cccc(CN)n2)c1 ZINC001241297894 982439124 /nfs/dbraw/zinc/43/91/24/982439124.db2.gz APNATZBRKXGNEK-UHFFFAOYSA-N 0 3 244.269 2.549 20 0 BFADHN CN1CC=C(c2nccc3occc32)CC1 ZINC001241307528 982443206 /nfs/dbraw/zinc/44/32/06/982443206.db2.gz IHXMOOGTOFESMT-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN Cc1nsc2nc(C3=CCN(C)CC3)ccc12 ZINC001241310420 982459693 /nfs/dbraw/zinc/45/96/93/982459693.db2.gz DHFAYWOGJQISDP-UHFFFAOYSA-N 0 3 245.351 2.719 20 0 BFADHN CNCc1cc(-c2cccc(SC)c2)ncn1 ZINC001241313053 982464275 /nfs/dbraw/zinc/46/42/75/982464275.db2.gz LBBPHRPJLOUPNE-UHFFFAOYSA-N 0 3 245.351 2.585 20 0 BFADHN CSc1cccc(-c2ccnc(CN)c2)c1 ZINC001241316057 982470566 /nfs/dbraw/zinc/47/05/66/982470566.db2.gz SRJGPWIMXNMADY-UHFFFAOYSA-N 0 3 230.336 2.929 20 0 BFADHN Cc1cc2cccnc2c(C2=CCN(C)CC2)n1 ZINC001241317537 982472991 /nfs/dbraw/zinc/47/29/91/982472991.db2.gz OXBSWVBSURSWHC-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1nc2cc(C3=CCN(C)CC3)cnc2s1 ZINC001241321713 982479218 /nfs/dbraw/zinc/47/92/18/982479218.db2.gz AVCKQFWXPAAJHD-UHFFFAOYSA-N 0 3 245.351 2.719 20 0 BFADHN COC(=O)c1cc(C)cc(C2=CCN(C)CC2)c1 ZINC001241326980 982487446 /nfs/dbraw/zinc/48/74/46/982487446.db2.gz DTFGAZLBTZJFIY-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN COc1cc(C2=CCN(C)CC2)cc2[nH]ccc21 ZINC001241327241 982487852 /nfs/dbraw/zinc/48/78/52/982487852.db2.gz JSILXBBVULHCHP-UHFFFAOYSA-N 0 3 242.322 2.895 20 0 BFADHN CN1CC=C(c2cnc3c(c2)NCC3(C)C)CC1 ZINC001241333013 982495713 /nfs/dbraw/zinc/49/57/13/982495713.db2.gz PCLQHYRTDSQOMB-UHFFFAOYSA-N 0 3 243.354 2.504 20 0 BFADHN CCc1cc(C2=CCN(C)CC2)ccc1OC ZINC001241333431 982499665 /nfs/dbraw/zinc/49/96/65/982499665.db2.gz URABOXJXYBABKK-UHFFFAOYSA-N 0 3 231.339 2.977 20 0 BFADHN Oc1cccc(CN2CCC[C@@H](C(F)F)C2)c1 ZINC001203862454 982503408 /nfs/dbraw/zinc/50/34/08/982503408.db2.gz HTDURETVUPVBGO-LLVKDONJSA-N 0 3 241.281 2.869 20 0 BFADHN CCc1cncc(-c2nccc(N)c2C)c1 ZINC001241365508 982527292 /nfs/dbraw/zinc/52/72/92/982527292.db2.gz IKIZOHBTMITEEA-UHFFFAOYSA-N 0 3 213.284 2.597 20 0 BFADHN CN(C)c1ccc(-c2cncc3cc[nH]c32)cn1 ZINC001241370771 982532478 /nfs/dbraw/zinc/53/24/78/982532478.db2.gz QMRPAGGHDFWKNR-UHFFFAOYSA-N 0 3 238.294 2.691 20 0 BFADHN Nc1ccnc(-c2ccc(-n3cccn3)cc2)c1 ZINC001241390174 982548094 /nfs/dbraw/zinc/54/80/94/982548094.db2.gz QOIZDTRFHTYHRF-UHFFFAOYSA-N 0 3 236.278 2.517 20 0 BFADHN CC[C@H](C)[C@@H](C)N1Cc2c[nH]nc2C[C@H](C)C1 ZINC001197785412 982594622 /nfs/dbraw/zinc/59/46/22/982594622.db2.gz FNBORUPYEDHYLI-SDDRHHMPSA-N 0 3 235.375 2.839 20 0 BFADHN [O-]c1ccc(C[N@@H+]2CC[C@H]3CCCC[C@@H]3C2)nc1 ZINC000132367057 982600628 /nfs/dbraw/zinc/60/06/28/982600628.db2.gz SKWAKNJIFCXJTM-CHWSQXEVSA-N 0 3 246.354 2.799 20 0 BFADHN [O-]c1ccc(C[N@H+]2CC[C@H]3CCCC[C@@H]3C2)nc1 ZINC000132367057 982600638 /nfs/dbraw/zinc/60/06/38/982600638.db2.gz SKWAKNJIFCXJTM-CHWSQXEVSA-N 0 3 246.354 2.799 20 0 BFADHN CO[C@H](CN1CC(c2ccc(F)cc2)C1)C1CC1 ZINC001352865588 982613555 /nfs/dbraw/zinc/61/35/55/982613555.db2.gz ZXSBVIVIJYTGHC-OAHLLOKOSA-N 0 3 249.329 2.650 20 0 BFADHN CN1CCC=C(c2nccc3ccccc32)C1 ZINC001241565904 982805439 /nfs/dbraw/zinc/80/54/39/982805439.db2.gz OSZYVWARJUJCPK-UHFFFAOYSA-N 0 3 224.307 2.954 20 0 BFADHN COC(=O)c1cccc(C2=CCCN(C)C2)c1C ZINC001241568142 982811808 /nfs/dbraw/zinc/81/18/08/982811808.db2.gz BLRNIXOPMOTGJW-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN CSCc1ccc(C2=CCCN(C)C2)nc1 ZINC001241567460 982812213 /nfs/dbraw/zinc/81/22/13/982812213.db2.gz CTDLWKFBLHYCKV-UHFFFAOYSA-N 0 3 234.368 2.664 20 0 BFADHN CN1CCC=C(c2ccccc2C(C)(C)O)C1 ZINC001241569042 982815444 /nfs/dbraw/zinc/81/54/44/982815444.db2.gz PSJKHMODPWUXKS-UHFFFAOYSA-N 0 3 231.339 2.633 20 0 BFADHN COC(OC)c1ccccc1C1=CCCN(C)C1 ZINC001241568987 982816119 /nfs/dbraw/zinc/81/61/19/982816119.db2.gz OCJVDJZVTDVCPY-UHFFFAOYSA-N 0 3 247.338 2.697 20 0 BFADHN COC(=O)c1ccc(C2=CCCN(C)C2)cc1C ZINC001241568966 982817041 /nfs/dbraw/zinc/81/70/41/982817041.db2.gz MZPKDFANELHIGQ-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN Cc1nc2c(cccc2C2=CCCN(C)C2)[nH]1 ZINC001241570085 982819612 /nfs/dbraw/zinc/81/96/12/982819612.db2.gz FSSFFNHEBGPQHN-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CCOc1ccc(C2=CCCN(C)C2)cc1OC ZINC001241570590 982821983 /nfs/dbraw/zinc/82/19/83/982821983.db2.gz KPBHKAZYXSSONB-UHFFFAOYSA-N 0 3 247.338 2.813 20 0 BFADHN CN1CCC=C(c2ccc3ccc(=O)oc3c2)C1 ZINC001241570959 982822018 /nfs/dbraw/zinc/82/20/18/982822018.db2.gz SCMGNKKCJQSHPG-UHFFFAOYSA-N 0 3 241.290 2.512 20 0 BFADHN Cc1c[nH]c2ncc(C3=CCCN(C)C3)cc12 ZINC001241571168 982822703 /nfs/dbraw/zinc/82/27/03/982822703.db2.gz VDVLTQKPUXIWJZ-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CN1CCC=C(c2ccc3c(c2)CCCO3)C1 ZINC001241571057 982822751 /nfs/dbraw/zinc/82/27/51/982822751.db2.gz UTNWYYBZRSXFCK-UHFFFAOYSA-N 0 3 229.323 2.731 20 0 BFADHN Fc1ccc(CN2CC[C@]3(C2)CCCCO3)cc1 ZINC001203912692 982824247 /nfs/dbraw/zinc/82/42/47/982824247.db2.gz FLXOLFGFAMHLKX-HNNXBMFYSA-N 0 3 249.329 2.971 20 0 BFADHN CN1CCC=C(c2cccc3ccc(N)nc32)C1 ZINC001241570926 982825194 /nfs/dbraw/zinc/82/51/94/982825194.db2.gz QUYLCLIOFYNVLV-UHFFFAOYSA-N 0 3 239.322 2.536 20 0 BFADHN Fc1ccc(CN2CCCC[C@@]23CCOC3)cc1 ZINC001198762406 982834263 /nfs/dbraw/zinc/83/42/63/982834263.db2.gz ZEOUHPMYGFYPCJ-HNNXBMFYSA-N 0 3 249.329 2.971 20 0 BFADHN COCCNCc1cc2cc(C)c(C)cc2o1 ZINC001198935502 982876262 /nfs/dbraw/zinc/87/62/62/982876262.db2.gz YQRHDAGIMSNERJ-UHFFFAOYSA-N 0 3 233.311 2.786 20 0 BFADHN c1csc(CNCc2cccc3c[nH]nc32)c1 ZINC001198908295 982878050 /nfs/dbraw/zinc/87/80/50/982878050.db2.gz OTDNJSJHKPBLMH-UHFFFAOYSA-N 0 3 243.335 2.914 20 0 BFADHN Cc1coc(CNCCN(C)c2ccccc2)c1 ZINC001199152355 982890663 /nfs/dbraw/zinc/89/06/63/982890663.db2.gz RMHMJZFFQMEHKW-UHFFFAOYSA-N 0 3 244.338 2.814 20 0 BFADHN Cc1n[nH]c(CN2CCCC(C)(C)C2)c1C ZINC001353581829 982898409 /nfs/dbraw/zinc/89/84/09/982898409.db2.gz PGIFSEWSHTXOQB-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1[nH]nc(CN2CCC(C)=C(C)C2)c1C ZINC001353595593 982910043 /nfs/dbraw/zinc/91/00/43/982910043.db2.gz XJIMQZNKEGGOOW-UHFFFAOYSA-N 0 3 219.332 2.569 20 0 BFADHN c1cc(C2=CCCCC2)cc2c1C1(CNC1)OC2 ZINC001241718696 982993315 /nfs/dbraw/zinc/99/33/15/982993315.db2.gz RYYHMLSXCKEVIK-UHFFFAOYSA-N 0 3 241.334 2.973 20 0 BFADHN Cc1cc(Cl)cc(Cl)c1CNC[C@H](C)O ZINC001251969799 983052415 /nfs/dbraw/zinc/05/24/15/983052415.db2.gz SRRANCJBCSFJEI-QMMMGPOBSA-N 0 3 248.153 2.772 20 0 BFADHN Fc1c(CN2CCCC2)cccc1-c1ncc[nH]1 ZINC001242018838 983222868 /nfs/dbraw/zinc/22/28/68/983222868.db2.gz ACLKYKJGIMUCLX-UHFFFAOYSA-N 0 3 245.301 2.812 20 0 BFADHN CC(C)(C)CN1CCC(=O)[C@@H]2CCCC[C@H]21 ZINC001201426604 983225188 /nfs/dbraw/zinc/22/51/88/983225188.db2.gz NSNFLHSFMQIOSZ-VXGBXAGGSA-N 0 3 223.360 2.866 20 0 BFADHN Fc1ccc(-c2ncc[nH]2)cc1CN1CCCC1 ZINC001242019482 983225797 /nfs/dbraw/zinc/22/57/97/983225797.db2.gz ZUZCOHHPHTVBHN-UHFFFAOYSA-N 0 3 245.301 2.812 20 0 BFADHN CC(C)(C)CN1CC2(C1)CC(F)(F)C2 ZINC001201427358 983228351 /nfs/dbraw/zinc/22/83/51/983228351.db2.gz ZXPSHSRQGFHDNR-UHFFFAOYSA-N 0 3 203.276 2.764 20 0 BFADHN CC(C)(C)CN1CC2(C1)CC(F)(F)CS2 ZINC001201442719 983242980 /nfs/dbraw/zinc/24/29/80/983242980.db2.gz ICPAXYRTBKYMJI-UHFFFAOYSA-N 0 3 235.343 2.859 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2ccc(=O)[nH]c2)n1 ZINC001242061092 983275526 /nfs/dbraw/zinc/27/55/26/983275526.db2.gz MBFNJJXHNALFLC-UHFFFAOYSA-N 0 3 225.251 2.639 20 0 BFADHN Cc1cnccc1Nc1cncc(OC(C)C)c1 ZINC001201534084 983326707 /nfs/dbraw/zinc/32/67/07/983326707.db2.gz CZKKHNDVCMHTRB-UHFFFAOYSA-N 0 3 243.310 2.738 20 0 BFADHN CCCC[C@@H](NCc1nncs1)C1CCC1 ZINC001354595643 983328532 /nfs/dbraw/zinc/32/85/32/983328532.db2.gz WHITWNXBPGNQEF-LLVKDONJSA-N 0 3 239.388 2.987 20 0 BFADHN CCCC[C@@H](CC)CN1CC(OCC)C1 ZINC001201563146 983331359 /nfs/dbraw/zinc/33/13/59/983331359.db2.gz LGJHARRRRMXWFR-GFCCVEGCSA-N 0 3 213.365 2.924 20 0 BFADHN CCCC[C@@H](CC)CN1CC[C@@H](O)[C@H](F)C1 ZINC001201562361 983332218 /nfs/dbraw/zinc/33/22/18/983332218.db2.gz COLZYIWAGRLMMJ-JHJVBQTASA-N 0 3 231.355 2.608 20 0 BFADHN CCCC[C@H](CC)CN1CCc2ncn(C)c2C1 ZINC001201572696 983338335 /nfs/dbraw/zinc/33/83/35/983338335.db2.gz VXPMJDCOKPUOPW-ZDUSSCGKSA-N 0 3 249.402 2.995 20 0 BFADHN CN(CCc1ccccc1)C1CC(F)(F)C1 ZINC001201594345 983352666 /nfs/dbraw/zinc/35/26/66/983352666.db2.gz ANBXXNLHRLERPR-UHFFFAOYSA-N 0 3 225.282 2.959 20 0 BFADHN C[C@H]1CN(CCc2ccccc2)CCCC1=O ZINC001201595789 983357185 /nfs/dbraw/zinc/35/71/85/983357185.db2.gz RCDMIHYEWSWLFN-ZDUSSCGKSA-N 0 3 231.339 2.530 20 0 BFADHN Cc1cc(-c2ccc3[nH]c(N)nc3c2)ccc1O ZINC001242119561 983363910 /nfs/dbraw/zinc/36/39/10/983363910.db2.gz TVULZTXHBHCYKD-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN Cc1cc(-c2ccc3nc(N)[nH]c3c2)ccc1O ZINC001242119561 983363918 /nfs/dbraw/zinc/36/39/18/983363918.db2.gz TVULZTXHBHCYKD-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN NCc1cc(/C=C/Cc2ccccc2)ccn1 ZINC001242143174 983374413 /nfs/dbraw/zinc/37/44/13/983374413.db2.gz SZRSCBBHPIFGFG-XBXARRHUSA-N 0 3 224.307 2.796 20 0 BFADHN FC(F)C1CN(CCCc2ccccc2)C1 ZINC001201682120 983393926 /nfs/dbraw/zinc/39/39/26/983393926.db2.gz OZRAUJUQFPECDY-UHFFFAOYSA-N 0 3 225.282 2.816 20 0 BFADHN [O-]c1cc(F)ccc1-c1ccc2c(c1)CC[NH2+]C2 ZINC001242217701 983416247 /nfs/dbraw/zinc/41/62/47/983416247.db2.gz JOWWBXMBIVHCRQ-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN [O-]c1cc(F)ccc1-c1cccc2c1C[NH2+]C2 ZINC001242218431 983420014 /nfs/dbraw/zinc/42/00/14/983420014.db2.gz DREDDWNXOIPPPT-UHFFFAOYSA-N 0 3 229.254 2.802 20 0 BFADHN Nc1ccnc(C2=CCC(F)(F)CC2)c1 ZINC001242231131 983432736 /nfs/dbraw/zinc/43/27/36/983432736.db2.gz GZZWASOJOKJDRU-UHFFFAOYSA-N 0 3 210.227 2.866 20 0 BFADHN CCCCCN1CC(c2cccnc2)C1 ZINC001201734842 983471777 /nfs/dbraw/zinc/47/17/77/983471777.db2.gz BTRYTDVZUQGEPB-UHFFFAOYSA-N 0 3 204.317 2.671 20 0 BFADHN CCC/C=C/c1ccc2c(n1)CNCC2 ZINC001242252874 983473153 /nfs/dbraw/zinc/47/31/53/983473153.db2.gz ORTLKMDPXRRPBQ-SNAWJCMRSA-N 0 3 202.301 2.541 20 0 BFADHN Cc1c(F)cc(-c2ccc(CN)nc2)cc1F ZINC001242287920 983512993 /nfs/dbraw/zinc/51/29/93/983512993.db2.gz WAWHYZQFLFXPCD-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN Cc1cccc(C)c1N1CCN(CC2CC2)CC1 ZINC001201952551 983523062 /nfs/dbraw/zinc/52/30/62/983523062.db2.gz SUTJOUZCQPGJTN-UHFFFAOYSA-N 0 3 244.382 2.835 20 0 BFADHN CNCc1cc(C2=CCC(C)(C)CC2)ncn1 ZINC001242327586 983562705 /nfs/dbraw/zinc/56/27/05/983562705.db2.gz CLFQETDRHRBLOP-UHFFFAOYSA-N 0 3 231.343 2.790 20 0 BFADHN NCc1cccc(-c2cccc3ccncc32)n1 ZINC001242369341 983661562 /nfs/dbraw/zinc/66/15/62/983661562.db2.gz ZBWXSOUQFKGKSF-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2cncc(N)c2)n1 ZINC001242497312 983817251 /nfs/dbraw/zinc/81/72/51/983817251.db2.gz HTAXUCSXRXBAER-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN NCc1ccc(-c2cccc(F)c2Cl)cn1 ZINC001242571595 983887324 /nfs/dbraw/zinc/88/73/24/983887324.db2.gz DCVKKUDCGDGSRJ-UHFFFAOYSA-N 0 3 236.677 3.000 20 0 BFADHN C[C@H]1CC[C@@H](N2CCc3ncsc3C2)C1 ZINC000339110537 983890508 /nfs/dbraw/zinc/89/05/08/983890508.db2.gz GOUFPUBBNRRCHD-VHSXEESVSA-N 0 3 222.357 2.690 20 0 BFADHN C[C@@H]1CCN(C/C=C/c2cccc(F)c2)C[C@@H]1O ZINC001474501823 983908736 /nfs/dbraw/zinc/90/87/36/983908736.db2.gz KEWMBMUYTARRKX-MOEUOMFESA-N 0 3 249.329 2.542 20 0 BFADHN c1nc2cc(-c3nccc4c3CCN4)ccc2o1 ZINC001242594827 983922315 /nfs/dbraw/zinc/92/23/15/983922315.db2.gz UAFUWAZVVFATFY-UHFFFAOYSA-N 0 3 237.262 2.858 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2C[C@H]2C2CCCC2)n1 ZINC001560621971 983939160 /nfs/dbraw/zinc/93/91/60/983939160.db2.gz CAHCOHSEWABTFI-STQMWFEESA-N 0 3 233.359 2.634 20 0 BFADHN Cc1csc(CN2CCN(C(C)C)CC2)c1 ZINC001137152671 983978695 /nfs/dbraw/zinc/97/86/95/983978695.db2.gz YBILTYFLDQVYGU-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN Cc1noc([C@@H]2CCCN2[C@@H]2CC[C@@H](C)C2)n1 ZINC000339196544 984009796 /nfs/dbraw/zinc/00/97/96/984009796.db2.gz AORNHCKDCLAGTC-JLLWLGSASA-N 0 3 235.331 2.704 20 0 BFADHN Cc1noc([C@@H]2CCCN2[C@H]2CC[C@H](C)C2)n1 ZINC000339196540 984010059 /nfs/dbraw/zinc/01/00/59/984010059.db2.gz AORNHCKDCLAGTC-DLOVCJGASA-N 0 3 235.331 2.704 20 0 BFADHN Clc1ccc(CN2CCC[C@H]3C[C@H]32)cn1 ZINC001137169638 984022978 /nfs/dbraw/zinc/02/29/78/984022978.db2.gz KWXFNIVCASSGSR-WDEREUQCSA-N 0 3 222.719 2.719 20 0 BFADHN NCc1ccc(-c2ccc3ccccc3n2)cn1 ZINC001242775028 984164114 /nfs/dbraw/zinc/16/41/14/984164114.db2.gz WZAYCNNBJYSXJL-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN CCCCCCN1CCN(Cc2ccc[nH]2)CC1 ZINC001204009659 984165072 /nfs/dbraw/zinc/16/50/72/984165072.db2.gz CVJUPEJXLVAKFE-UHFFFAOYSA-N 0 3 249.402 2.713 20 0 BFADHN c1c[nH]c(CN2CCC[C@@H](c3ccccn3)C2)c1 ZINC001204010963 984169839 /nfs/dbraw/zinc/16/98/39/984169839.db2.gz YTWLQALJCVGDHL-CYBMUJFWSA-N 0 3 241.338 2.789 20 0 BFADHN C[C@@H]1CC[C@@H](C(=O)Nc2cccc3c2CNC3)C1 ZINC001576637051 984179755 /nfs/dbraw/zinc/17/97/55/984179755.db2.gz OZAUHVPVPACFRQ-GHMZBOCLSA-N 0 3 244.338 2.665 20 0 BFADHN CCn1ccnc1CNCCCCC(C)C ZINC000096867545 984249355 /nfs/dbraw/zinc/24/93/55/984249355.db2.gz ACPDDRVLZCZWMX-UHFFFAOYSA-N 0 3 223.364 2.819 20 0 BFADHN CSc1cncc(-c2cc(N)c(C)cn2)c1 ZINC001242948447 984310673 /nfs/dbraw/zinc/31/06/73/984310673.db2.gz ZGCHTCCHRLAOGQ-UHFFFAOYSA-N 0 3 231.324 2.756 20 0 BFADHN [NH3+]Cc1cccc(-c2ccc(F)c([O-])c2)c1 ZINC001243048967 984397630 /nfs/dbraw/zinc/39/76/30/984397630.db2.gz KNDACIXACXKWSF-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN Cc1occc1-c1cncc(CN(C)C)c1 ZINC001243062588 984448068 /nfs/dbraw/zinc/44/80/68/984448068.db2.gz MYZHWLAFRNGGBX-UHFFFAOYSA-N 0 3 216.284 2.712 20 0 BFADHN CCCC[C@@H](CC)CN1C[C@H]2C[C@@H](C1)N2C ZINC001275046104 984449940 /nfs/dbraw/zinc/44/99/40/984449940.db2.gz XNBXUXMTRJOUIZ-MCIONIFRSA-N 0 3 224.392 2.591 20 0 BFADHN C[C@H](Cc1ccccc1F)NCc1cnoc1 ZINC000718886001 984556075 /nfs/dbraw/zinc/55/60/75/984556075.db2.gz JSSXZVHYCDEINL-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN [O-]c1ccc(C[NH+]2C3CCCC2CCC3)nc1 ZINC000707149774 984747017 /nfs/dbraw/zinc/74/70/17/984747017.db2.gz BYNPZZPNADAYJK-UHFFFAOYSA-N 0 3 232.327 2.694 20 0 BFADHN Cc1cc(-c2ccc(CN)nc2)cc(C)c1O ZINC001243308302 984822425 /nfs/dbraw/zinc/82/24/25/984822425.db2.gz XVHYBEAPBLFYTL-UHFFFAOYSA-N 0 3 228.295 2.530 20 0 BFADHN Cn1c(-c2cnccc2N)cc2ccccc21 ZINC001243352196 984837569 /nfs/dbraw/zinc/83/75/69/984837569.db2.gz BNLVLHUTCNMJSC-UHFFFAOYSA-N 0 3 223.279 2.653 20 0 BFADHN Cc1cc(-c2nccc3c2CCN3)cnc1F ZINC001243396075 984882706 /nfs/dbraw/zinc/88/27/06/984882706.db2.gz RMLVCFGFYVLDBV-UHFFFAOYSA-N 0 3 229.258 2.559 20 0 BFADHN CCC[C@H](C)NCc1cc2ccccn2n1 ZINC001257314263 984888305 /nfs/dbraw/zinc/88/83/05/984888305.db2.gz KRLXXPAVCJVRAR-NSHDSACASA-N 0 3 217.316 2.613 20 0 BFADHN CCC[C@H](C)NCC(=O)c1cc(F)cc(F)c1 ZINC001257314261 984890720 /nfs/dbraw/zinc/89/07/20/984890720.db2.gz KQINJWOMNCEGKJ-VIFPVBQESA-N 0 3 241.281 2.926 20 0 BFADHN CCC[C@H](C)N1CCN(c2ncccc2C)CC1 ZINC001257316992 984891651 /nfs/dbraw/zinc/89/16/51/984891651.db2.gz PMNNXUNKXHDFNP-AWEZNQCLSA-N 0 3 247.386 2.701 20 0 BFADHN C[C@]1(F)CCN(C2CCCC2)C[C@H]1F ZINC001257350195 984918397 /nfs/dbraw/zinc/91/83/97/984918397.db2.gz HDGJXIFRZCFZLQ-MNOVXSKESA-N 0 3 203.276 2.701 20 0 BFADHN C[C@@]1(F)CCN(C2CCCC2)C[C@H]1F ZINC001257350192 984919188 /nfs/dbraw/zinc/91/91/88/984919188.db2.gz HDGJXIFRZCFZLQ-GHMZBOCLSA-N 0 3 203.276 2.701 20 0 BFADHN COC/C(C)=C\CN(C)[C@@H](C)c1ccncc1 ZINC000930119963 984946438 /nfs/dbraw/zinc/94/64/38/984946438.db2.gz SZCDJTIHICMUGD-OTAKNEKHSA-N 0 3 234.343 2.667 20 0 BFADHN COC/C(C)=C\CN1CCC[C@@H]1c1ccncc1 ZINC000930109231 984946832 /nfs/dbraw/zinc/94/68/32/984946832.db2.gz GGQMUXDRYDEINZ-LPYTZGJOSA-N 0 3 246.354 2.811 20 0 BFADHN CN(C)Cc1cncc(-c2ccc(F)c(N)c2)c1 ZINC001243455865 984969525 /nfs/dbraw/zinc/96/95/25/984969525.db2.gz DQLVMQMWDKGMAD-UHFFFAOYSA-N 0 3 245.301 2.532 20 0 BFADHN CC[C@@H](C)N1CC2(CCN2Cc2ccccc2)C1 ZINC001257442568 985019715 /nfs/dbraw/zinc/01/97/15/985019715.db2.gz KYPTZGSILHLNNQ-CQSZACIVSA-N 0 3 244.382 2.745 20 0 BFADHN Cc1c(N)ccnc1-c1cc(Cl)ccc1N ZINC001243482999 985042724 /nfs/dbraw/zinc/04/27/24/985042724.db2.gz ZCXUJWZTODLTAF-UHFFFAOYSA-N 0 3 233.702 2.875 20 0 BFADHN CCOc1c(F)cccc1-c1cnccc1N ZINC001243535749 985067784 /nfs/dbraw/zinc/06/77/84/985067784.db2.gz VZUVQUDPBCIRPR-UHFFFAOYSA-N 0 3 232.258 2.699 20 0 BFADHN CCOc1c(F)cccc1-c1ccc(CN)nc1 ZINC001243535284 985072646 /nfs/dbraw/zinc/07/26/46/985072646.db2.gz OZYCMTKBVKQTFH-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN [O-]c1cccc(-c2ccc3c(c2)C[NH2+]CC3)c1F ZINC001243544649 985084439 /nfs/dbraw/zinc/08/44/39/985084439.db2.gz ISZWJYRVIZDULM-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN [O-]c1cccc(-c2cccc3c2C[NH2+]CC3)c1F ZINC001243546684 985087550 /nfs/dbraw/zinc/08/75/50/985087550.db2.gz CLAVJDPSGWZPHU-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN CCC[C@H](C)CC[C@H](C)n1nncc1CN ZINC001257469792 985091134 /nfs/dbraw/zinc/09/11/34/985091134.db2.gz ZQPHQBFGPFFXHG-QWRGUYRKSA-N 0 3 224.352 2.514 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N1C[C@@H](O)[C@@H](F)C1 ZINC001257475593 985092667 /nfs/dbraw/zinc/09/26/67/985092667.db2.gz GUEPFMHMMKXOCQ-FVCCEPFGSA-N 0 3 231.355 2.606 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N1CC(C(=O)OC)C1 ZINC001257479075 985107668 /nfs/dbraw/zinc/10/76/68/985107668.db2.gz VYRFCGSSIRDLQW-VXGBXAGGSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1ccc(CN[C@@H]2CCO[C@H]2C2CC2)c(F)c1 ZINC000686495592 985122975 /nfs/dbraw/zinc/12/29/75/985122975.db2.gz NNCWWOBTSMZBKP-CABCVRRESA-N 0 3 249.329 2.791 20 0 BFADHN Cc1ccc(CNC[C@H](O)CC(C)C)c(F)c1 ZINC000686492653 985123564 /nfs/dbraw/zinc/12/35/64/985123564.db2.gz QQAACZNZQDSEJI-CYBMUJFWSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1cnc(F)cc1-c1cncc(CN(C)C)c1 ZINC001243783721 985331531 /nfs/dbraw/zinc/33/15/31/985331531.db2.gz JKZDOCMINDPVEC-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN Cc1cnc(F)cc1-c1cccc(CN(C)C)n1 ZINC001243785476 985335433 /nfs/dbraw/zinc/33/54/33/985335433.db2.gz VDGNQCQNBHEMFZ-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN CC(C)=CCC[C@H](C)n1cnc2c1CNCC2 ZINC001258088506 985392147 /nfs/dbraw/zinc/39/21/47/985392147.db2.gz MHKCLXSMTHWHKX-LBPRGKRZSA-N 0 3 233.359 2.836 20 0 BFADHN CC(C)=CCC[C@H](C)N1CCc2ncncc2C1 ZINC001258100004 985416845 /nfs/dbraw/zinc/41/68/45/985416845.db2.gz UPSPKHBALXPZNP-ZDUSSCGKSA-N 0 3 245.370 2.970 20 0 BFADHN Nc1ccncc1-c1cc(C2CC2)cnc1F ZINC001243861043 985502480 /nfs/dbraw/zinc/50/24/80/985502480.db2.gz PXIASHOEKWNFLF-UHFFFAOYSA-N 0 3 229.258 2.573 20 0 BFADHN C[C@@H](Cc1ccco1)NCc1cccnc1F ZINC001258176116 985569073 /nfs/dbraw/zinc/56/90/73/985569073.db2.gz JCWCRGLAXXYFKP-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2COC3(CCC3)C2)c1 ZINC000688279752 985600801 /nfs/dbraw/zinc/60/08/01/985600801.db2.gz KZQTXPOCFOUXGF-ZDUSSCGKSA-N 0 3 249.329 2.935 20 0 BFADHN C[C@H](N[C@@H]1COC2(CCC2)C1)c1ccoc1 ZINC000688342244 985623745 /nfs/dbraw/zinc/62/37/45/985623745.db2.gz MHFJSLHXCCYQLW-JQWIXIFHSA-N 0 3 221.300 2.642 20 0 BFADHN c1cncc(-c2ccc(C3=CNCCC3)cn2)c1 ZINC001243949299 985636010 /nfs/dbraw/zinc/63/60/10/985636010.db2.gz FJFLZRHBHUGNBA-UHFFFAOYSA-N 0 3 237.306 2.868 20 0 BFADHN c1noc2ccc(C3=CNCCC3)cc12 ZINC001243950056 985644631 /nfs/dbraw/zinc/64/46/31/985644631.db2.gz LRMUTDDFYBJWNB-UHFFFAOYSA-N 0 3 200.241 2.552 20 0 BFADHN c1ccc(-c2cc(C3=CNCCC3)ccn2)nc1 ZINC001243951136 985646976 /nfs/dbraw/zinc/64/69/76/985646976.db2.gz DVPNXNZFESUDLI-UHFFFAOYSA-N 0 3 237.306 2.868 20 0 BFADHN c1cc(C2CC2)ncc1C1=CNCCC1 ZINC001243951674 985654279 /nfs/dbraw/zinc/65/42/79/985654279.db2.gz KQAKEJQUKGHBHK-UHFFFAOYSA-N 0 3 200.285 2.683 20 0 BFADHN Cc1cc(C2=CNCCC2)cc(OC(F)F)n1 ZINC001243951596 985658479 /nfs/dbraw/zinc/65/84/79/985658479.db2.gz IVPVRZANKJYBEN-UHFFFAOYSA-N 0 3 240.253 2.716 20 0 BFADHN c1ncc(C2CC2)cc1C1=CNCCC1 ZINC001243952716 985661327 /nfs/dbraw/zinc/66/13/27/985661327.db2.gz RMNVCKPCQMDUBB-UHFFFAOYSA-N 0 3 200.285 2.683 20 0 BFADHN CCOC(=O)c1cc(C2=CNCCC2)ccc1F ZINC001243952777 985662347 /nfs/dbraw/zinc/66/23/47/985662347.db2.gz UGLZTTSUQUKCGY-UHFFFAOYSA-N 0 3 249.285 2.727 20 0 BFADHN COc1cccc(C)c1C1=CNCCC1 ZINC001243952463 985663243 /nfs/dbraw/zinc/66/32/43/985663243.db2.gz QHAIKAHFCSXUHC-UHFFFAOYSA-N 0 3 203.285 2.728 20 0 BFADHN c1cc2c(c(C3=CNCCC3)c1)OCCC2 ZINC001243954745 985666270 /nfs/dbraw/zinc/66/62/70/985666270.db2.gz XFWZEMGWFZTKMW-UHFFFAOYSA-N 0 3 215.296 2.736 20 0 BFADHN COc1c(C)cccc1C1=CNCCC1 ZINC001243953173 985670086 /nfs/dbraw/zinc/67/00/86/985670086.db2.gz XEPYJPQQPPAXBR-UHFFFAOYSA-N 0 3 203.285 2.728 20 0 BFADHN Cc1ccc(CN2CC[C@H]3C[C@H]3C2)c(Cl)n1 ZINC000688556146 985679054 /nfs/dbraw/zinc/67/90/54/985679054.db2.gz FPYZMEZWLZYWEF-JQWIXIFHSA-N 0 3 236.746 2.885 20 0 BFADHN CC1(C)CC[C@H](CN[C@@H]2CCc3cccnc32)O1 ZINC000691846013 985699581 /nfs/dbraw/zinc/69/95/81/985699581.db2.gz BGMHYMRMFIPRIC-CHWSQXEVSA-N 0 3 246.354 2.616 20 0 BFADHN CC(C)[C@H](NC/C(Cl)=C/Cl)C(C)(C)O ZINC001460082959 985732727 /nfs/dbraw/zinc/73/27/27/985732727.db2.gz SMNQKMIPEWBLME-RDOCRHDPSA-N 0 3 240.174 2.691 20 0 BFADHN CSC(C)(C)C[C@@H](C)N1CCO[C@H](C)C1 ZINC001258336368 985812625 /nfs/dbraw/zinc/81/26/25/985812625.db2.gz YIPOPHWQKJHGTJ-GHMZBOCLSA-N 0 3 231.405 2.627 20 0 BFADHN Cc1ncc(C2CC2)cc1-c1ccnc(CN)c1 ZINC001244141917 985842409 /nfs/dbraw/zinc/84/24/09/985842409.db2.gz FRODDHDJUNISGW-UHFFFAOYSA-N 0 3 239.322 2.788 20 0 BFADHN COCc1ccc(C)c(-c2ccc(CN)nc2)c1 ZINC001244172479 985874578 /nfs/dbraw/zinc/87/45/78/985874578.db2.gz ZDCUHONBWITEDO-UHFFFAOYSA-N 0 3 242.322 2.662 20 0 BFADHN C[C@H](CC1CC1)n1nc(CN)c2ccccc21 ZINC001258448060 985886748 /nfs/dbraw/zinc/88/67/48/985886748.db2.gz AFGJZVDILCNVLQ-SNVBAGLBSA-N 0 3 229.327 2.856 20 0 BFADHN Cc1nc(CN[C@H](C)CC2CC2)c(C)o1 ZINC001258448610 985890811 /nfs/dbraw/zinc/89/08/11/985890811.db2.gz WYNLEQPGGFFAHB-MRVPVSSYSA-N 0 3 208.305 2.570 20 0 BFADHN Cc1nn(C)c2cc(-c3cc(N)ccn3)ccc12 ZINC001244226722 985895939 /nfs/dbraw/zinc/89/59/39/985895939.db2.gz OZNLZIJJTXZDPN-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN CCOC(=O)[C@H]1CCCCN1[C@H](C)CC1CC1 ZINC001258468122 985922008 /nfs/dbraw/zinc/92/20/08/985922008.db2.gz STFVAFJHRFFIDE-DGCLKSJQSA-N 0 3 239.359 2.593 20 0 BFADHN NCc1cc(-c2ccc3sccc3c2)ncn1 ZINC001244301059 985939666 /nfs/dbraw/zinc/93/96/66/985939666.db2.gz XXWNGYURWLRBMN-UHFFFAOYSA-N 0 3 241.319 2.817 20 0 BFADHN CC(C)c1cc(-c2ccc(CN)nc2)ccc1N ZINC001244323378 985953901 /nfs/dbraw/zinc/95/39/01/985953901.db2.gz ILVSYSLYZBXZEF-UHFFFAOYSA-N 0 3 241.338 2.913 20 0 BFADHN C[C@H](CO)NCc1ccc(-c2ccccc2F)o1 ZINC001461102537 986007059 /nfs/dbraw/zinc/00/70/59/986007059.db2.gz ZOESHJYWGVPJQK-SNVBAGLBSA-N 0 3 249.285 2.556 20 0 BFADHN C[C@H]1C[C@@H](n2ccc(CN)n2)CC(C)(C)C1 ZINC001258687786 986010516 /nfs/dbraw/zinc/01/05/16/986010516.db2.gz UOCWVTSMZBBCBG-CMPLNLGQSA-N 0 3 221.348 2.729 20 0 BFADHN C[C@H]1C[C@H](n2ccc(CN)n2)CC(C)(C)C1 ZINC001258687787 986011894 /nfs/dbraw/zinc/01/18/94/986011894.db2.gz UOCWVTSMZBBCBG-JQWIXIFHSA-N 0 3 221.348 2.729 20 0 BFADHN CCN(CC(=O)OC)[C@H]1C[C@@H](C)CC(C)(C)C1 ZINC001258700991 986029884 /nfs/dbraw/zinc/02/98/84/986029884.db2.gz BPUUZHOGVPFAKC-NEPJUHHUSA-N 0 3 241.375 2.696 20 0 BFADHN CCn1ccc(CNCC23CCC(CC2)C3)n1 ZINC001461409576 986052776 /nfs/dbraw/zinc/05/27/76/986052776.db2.gz VGOKRTPLURPMMA-UHFFFAOYSA-N 0 3 233.359 2.573 20 0 BFADHN CCn1ccc(CNC[C@H](C)CC(F)(F)F)n1 ZINC001461585252 986087992 /nfs/dbraw/zinc/08/79/92/986087992.db2.gz SEAPOYUAKSEBKB-SECBINFHSA-N 0 3 249.280 2.581 20 0 BFADHN Cc1csc2nc(C)c(CN3CC[C@H](C)C3)n12 ZINC001461982509 986247354 /nfs/dbraw/zinc/24/73/54/986247354.db2.gz JVKPFYFINNRGOJ-VIFPVBQESA-N 0 3 249.383 2.854 20 0 BFADHN Cc1cc(Cl)c(N)c(-c2ccc(CN)nc2)c1 ZINC001244576513 986258182 /nfs/dbraw/zinc/25/81/82/986258182.db2.gz BMKPTCVVVAUZLN-UHFFFAOYSA-N 0 3 247.729 2.751 20 0 BFADHN CC(=O)c1cc(-c2cc(N)c(C)cn2)cs1 ZINC001244624317 986285866 /nfs/dbraw/zinc/28/58/66/986285866.db2.gz RTOUWKBTXPSYQD-UHFFFAOYSA-N 0 3 232.308 2.903 20 0 BFADHN Cc1ccn2cc(CN(C)CC3CCC3)nc2c1 ZINC001202793110 986311207 /nfs/dbraw/zinc/31/12/07/986311207.db2.gz RPRRAPDODASQHH-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1c(F)cncc1-c1cc(CN(C)C)ccn1 ZINC001244833354 986373328 /nfs/dbraw/zinc/37/33/28/986373328.db2.gz BWIRVKSVZPRDFO-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN CN(Cc1cnc[nH]1)Cc1cccc2ccoc21 ZINC001462422990 986383476 /nfs/dbraw/zinc/38/34/76/986383476.db2.gz BHYMYBSJSAFABD-UHFFFAOYSA-N 0 3 241.294 2.788 20 0 BFADHN CCOc1ccc(C)c(-c2ccnc(CN)c2)c1 ZINC001244869538 986408665 /nfs/dbraw/zinc/40/86/65/986408665.db2.gz OBUVYBLKPSXXRF-UHFFFAOYSA-N 0 3 242.322 2.914 20 0 BFADHN CC1(C)C[C@@]1(C)CNCc1ncccc1F ZINC001462543960 986419724 /nfs/dbraw/zinc/41/97/24/986419724.db2.gz DOZXMIRBAZOTRQ-ZDUSSCGKSA-N 0 3 222.307 2.747 20 0 BFADHN C[C@@H]1C[C@H]([NH2+]Cc2ccc([O-])c(F)c2)CS1 ZINC000397808907 986465457 /nfs/dbraw/zinc/46/54/57/986465457.db2.gz FYEARLQBYWDBLE-SCZZXKLOSA-N 0 3 241.331 2.515 20 0 BFADHN COc1cc(C)c(C)cc1-c1ccc(CN)nc1 ZINC001245023577 986598014 /nfs/dbraw/zinc/59/80/14/986598014.db2.gz SQARCCGVVMEOBE-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN C[C@@H](NC/C=C\C1CC1)c1cncs1 ZINC000378854980 986623825 /nfs/dbraw/zinc/62/38/25/986623825.db2.gz JJOBWPAOWPZCTP-PKRMOACSSA-N 0 3 208.330 2.760 20 0 BFADHN Cc1nc(C2=CCCOC2)cc2[nH]ccc21 ZINC001245158936 986695556 /nfs/dbraw/zinc/69/55/56/986695556.db2.gz KQBSEAIQOKFJST-UHFFFAOYSA-N 0 3 214.268 2.675 20 0 BFADHN CCCCN(C)Cc1nc2ccccn2c1C ZINC001137267391 986702577 /nfs/dbraw/zinc/70/25/77/986702577.db2.gz YMXGZBWQZUTMAT-UHFFFAOYSA-N 0 3 231.343 2.875 20 0 BFADHN Cc1c(CN(C)C(C)(C)C)nc2ccccn21 ZINC001137272209 986704312 /nfs/dbraw/zinc/70/43/12/986704312.db2.gz MKYJPMCGOWZRFX-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN C[C@@H]1CCN1Cc1c[nH]c2ccc(F)cc12 ZINC001137335127 986801585 /nfs/dbraw/zinc/80/15/85/986801585.db2.gz HIMJHRBBJSUTIK-SECBINFHSA-N 0 3 218.275 2.901 20 0 BFADHN FCC1CCN(Cc2n[nH]c3ccccc32)CC1 ZINC001137349688 986812901 /nfs/dbraw/zinc/81/29/01/986812901.db2.gz FPZOKCHKWOLRLQ-UHFFFAOYSA-N 0 3 247.317 2.744 20 0 BFADHN FCC1CCN(Cc2[nH]nc3ccccc32)CC1 ZINC001137349688 986812904 /nfs/dbraw/zinc/81/29/04/986812904.db2.gz FPZOKCHKWOLRLQ-UHFFFAOYSA-N 0 3 247.317 2.744 20 0 BFADHN Cc1cccc2[nH]c(-c3ccc(CN)nc3)cc21 ZINC001245344287 986817511 /nfs/dbraw/zinc/81/75/11/986817511.db2.gz HJHODKXOOACGSI-UHFFFAOYSA-N 0 3 237.306 2.997 20 0 BFADHN Cc1cccc2[nH]c(-c3cnccc3N)cc21 ZINC001245344437 986818524 /nfs/dbraw/zinc/81/85/24/986818524.db2.gz KOQODWOVOPNABH-UHFFFAOYSA-N 0 3 223.279 2.951 20 0 BFADHN NCc1cccc(-c2ccc3cc(N)ccc3c2)n1 ZINC001245366759 986826337 /nfs/dbraw/zinc/82/63/37/986826337.db2.gz MKEWJZYIBJQXRF-UHFFFAOYSA-N 0 3 249.317 2.943 20 0 BFADHN Cc1cnc(-c2c[nH]c3cnccc32)cc1N ZINC001245393701 986829209 /nfs/dbraw/zinc/82/92/09/986829209.db2.gz LEHGBKHRXREBTG-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN CC(C)(C)c1ccc(CN2CCC3(COC3)C2)[nH]1 ZINC001137372138 986834296 /nfs/dbraw/zinc/83/42/96/986834296.db2.gz KBVUPOCWSFZIFF-UHFFFAOYSA-N 0 3 248.370 2.535 20 0 BFADHN Nc1ccc2c(c1)OCCN([C@@H]1C=CCCC1)C2 ZINC001580453219 986855900 /nfs/dbraw/zinc/85/59/00/986855900.db2.gz STQGUTWWOQEMPI-CQSZACIVSA-N 0 3 244.338 2.572 20 0 BFADHN c1[nH]c2ncccc2c1CN1CC2(CCC2)C1 ZINC001137397266 986877216 /nfs/dbraw/zinc/87/72/16/986877216.db2.gz GHKZSKFSJUMNPK-UHFFFAOYSA-N 0 3 227.311 2.549 20 0 BFADHN Nc1ccc(-c2nccc3c2CCN3)c(Cl)c1 ZINC001245501347 986880654 /nfs/dbraw/zinc/88/06/54/986880654.db2.gz QTHJWUOUDIBSBH-UHFFFAOYSA-N 0 3 245.713 2.952 20 0 BFADHN c1ncc(CN2CCC[C@@H]3CCCC[C@@H]32)cn1 ZINC001137669163 986889044 /nfs/dbraw/zinc/88/90/44/986889044.db2.gz DGXRYHLYKZKSHD-KBPBESRZSA-N 0 3 231.343 2.631 20 0 BFADHN NCc1cccc(-c2cc3cc(F)ccc3[nH]2)n1 ZINC001245573554 986972079 /nfs/dbraw/zinc/97/20/79/986972079.db2.gz JSYISBBKBICJGD-UHFFFAOYSA-N 0 3 241.269 2.828 20 0 BFADHN COC[C@@H](C)N[C@@H](c1ccccc1F)C1CC1 ZINC001255561272 987016281 /nfs/dbraw/zinc/01/62/81/987016281.db2.gz MLBGSFNVUIGLCK-QMTHXVAHSA-N 0 3 237.318 2.901 20 0 BFADHN [O-]c1cccc(F)c1-c1ccc2c(c1)CC[NH2+]C2 ZINC001245652619 987021970 /nfs/dbraw/zinc/02/19/70/987021970.db2.gz GGRJBRLTHZUMGN-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN C[C@H](CC(F)(F)F)NCc1ccnc(F)c1 ZINC001255641590 987038317 /nfs/dbraw/zinc/03/83/17/987038317.db2.gz KNUHGVKXJNYFGV-SSDOTTSWSA-N 0 3 236.212 2.651 20 0 BFADHN C[NH2+]Cc1ccccc1-c1c([O-])cccc1F ZINC001245657754 987043139 /nfs/dbraw/zinc/04/31/39/987043139.db2.gz SXXSVZQEVHQNFW-UHFFFAOYSA-N 0 3 231.270 2.918 20 0 BFADHN C[C@@H](CC(F)(F)F)N1CCC(F)CC1 ZINC001255646238 987043914 /nfs/dbraw/zinc/04/39/14/987043914.db2.gz MQGWNUBGTDJZAG-ZETCQYMHSA-N 0 3 213.218 2.761 20 0 BFADHN C[C@@H](N)c1nccn1[C@@H](C)Cc1ccc(F)cc1 ZINC001255854352 987074107 /nfs/dbraw/zinc/07/41/07/987074107.db2.gz BRMAWGRILWGTGV-WDEREUQCSA-N 0 3 247.317 2.846 20 0 BFADHN COC[C@H]1CCCN1Cc1cccc(C)c1F ZINC001137672674 987383281 /nfs/dbraw/zinc/38/32/81/987383281.db2.gz SKHKZQBXOXPLOZ-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN CC(C)[C@@H]1CN(CCCC2CCC2)CCO1 ZINC001473308471 987394263 /nfs/dbraw/zinc/39/42/63/987394263.db2.gz ZZQCITLXKPDESR-AWEZNQCLSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1csnc1OC ZINC001473409293 987422541 /nfs/dbraw/zinc/42/25/41/987422541.db2.gz CZOLAKYPAWQPOV-ONGXEEELSA-N 0 3 240.372 2.772 20 0 BFADHN CC1(NCc2cnc(-c3ccccc3)nc2)CC1 ZINC001116094071 987444795 /nfs/dbraw/zinc/44/47/95/987444795.db2.gz LLAGMUPUPZJUMW-UHFFFAOYSA-N 0 3 239.322 2.786 20 0 BFADHN C[C@@H]1CN([C@@H]2CCc3c2cccc3F)CCCO1 ZINC001116328104 987520991 /nfs/dbraw/zinc/52/09/91/987520991.db2.gz VNQLWFGNLBYJCY-IAQYHMDHSA-N 0 3 249.329 2.924 20 0 BFADHN CCC[C@H]1CCCN1Cc1snnc1C ZINC001473859850 987591521 /nfs/dbraw/zinc/59/15/21/987591521.db2.gz XZNPZIFFLSSLJE-JTQLQIEISA-N 0 3 225.361 2.611 20 0 BFADHN CCn1ccc(CNCCC2=CCCCC2)n1 ZINC001473918469 987616379 /nfs/dbraw/zinc/61/63/79/987616379.db2.gz WLVLOYRUBHHNGS-UHFFFAOYSA-N 0 3 233.359 2.883 20 0 BFADHN c1cn2c(cccc2CNCc2ccsc2)n1 ZINC001473932470 987618679 /nfs/dbraw/zinc/61/86/79/987618679.db2.gz IBXCFHJQXTZXKR-UHFFFAOYSA-N 0 3 243.335 2.686 20 0 BFADHN CC(C)CCCNCc1cn2cccc(F)c2n1 ZINC001473921010 987621344 /nfs/dbraw/zinc/62/13/44/987621344.db2.gz BBVVSUJEYISJRA-UHFFFAOYSA-N 0 3 249.333 2.999 20 0 BFADHN Cc1cn(C)nc1CNCCCc1cccs1 ZINC001474070724 987635755 /nfs/dbraw/zinc/63/57/55/987635755.db2.gz DADWSRQLCVMCMB-UHFFFAOYSA-N 0 3 249.383 2.512 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@H]2C(C)C)c1F ZINC001474307492 987685997 /nfs/dbraw/zinc/68/59/97/987685997.db2.gz SIYDTDYQYCAEQS-NWDGAFQWSA-N 0 3 237.318 2.968 20 0 BFADHN CCNc1ccccc1CN1CCCOC[C@@H]1C ZINC001474324616 987689806 /nfs/dbraw/zinc/68/98/06/987689806.db2.gz ZNCOUTYQCJLISF-ZDUSSCGKSA-N 0 3 248.370 2.729 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1ccn(CC)n1 ZINC001474330636 987691859 /nfs/dbraw/zinc/69/18/59/987691859.db2.gz BVXCUGYAIKESKB-GFCCVEGCSA-N 0 3 223.364 2.771 20 0 BFADHN CCN(CCC1CC1)Cc1cn(C2CC2)cn1 ZINC001474417148 987715554 /nfs/dbraw/zinc/71/55/54/987715554.db2.gz BJVQOHSPFQSKPG-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN CC(C)=CCCNCc1ncccc1F ZINC001474438445 987722662 /nfs/dbraw/zinc/72/26/62/987722662.db2.gz IPGFPSUJQQQPAZ-UHFFFAOYSA-N 0 3 208.280 2.667 20 0 BFADHN CCNc1ccccc1CN1CCO[C@H](C)CC1 ZINC001474716374 987807434 /nfs/dbraw/zinc/80/74/34/987807434.db2.gz KVOIPUYUFSLDBV-CYBMUJFWSA-N 0 3 248.370 2.729 20 0 BFADHN Cc1nn(C(C)C)cc1CNC/C=C\C1CC1 ZINC001117706762 987869173 /nfs/dbraw/zinc/86/91/73/987869173.db2.gz OBKGHXKJRZZSDK-PLNGDYQASA-N 0 3 233.359 2.828 20 0 BFADHN CCN(CC(=O)Nc1ccccc1)C(C)(C)C ZINC001117805037 987928806 /nfs/dbraw/zinc/92/88/06/987928806.db2.gz SWWZZCAILRANGQ-UHFFFAOYSA-N 0 3 234.343 2.746 20 0 BFADHN Cc1cnc2ccccc2c1N[C@@H]1CO[C@H](C)C1 ZINC001118126304 988027730 /nfs/dbraw/zinc/02/77/30/988027730.db2.gz LCYVKSWFTPZAJE-NEPJUHHUSA-N 0 3 242.322 2.554 20 0 BFADHN CC(C)CCC[C@@H](C)N1CCC(F)(F)[C@@H](N)C1 ZINC001246599823 988206591 /nfs/dbraw/zinc/20/65/91/988206591.db2.gz QRICCXOGILXABR-NEPJUHHUSA-N 0 3 248.361 2.870 20 0 BFADHN CS[C@H]1CC[C@H](N(C)Cc2cncs2)C1 ZINC001118495308 988378204 /nfs/dbraw/zinc/37/82/04/988378204.db2.gz VELQQAMIQBUXPM-UWVGGRQHSA-N 0 3 242.413 2.859 20 0 BFADHN CS[C@H]1CC[C@@H](N(C)Cc2conc2C)C1 ZINC001118495519 988384818 /nfs/dbraw/zinc/38/48/18/988384818.db2.gz XMOCSNHDJCKCAV-NEPJUHHUSA-N 0 3 240.372 2.699 20 0 BFADHN CCC1CCC(N(Cc2nnc[nH]2)C2CC2)CC1 ZINC001118558700 988439717 /nfs/dbraw/zinc/43/97/17/988439717.db2.gz RCGPUIHQZAQOBQ-UHFFFAOYSA-N 0 3 248.374 2.738 20 0 BFADHN COC(=O)CN(CCC1CCCC1)CC(C)C ZINC001323796183 988618558 /nfs/dbraw/zinc/61/85/58/988618558.db2.gz BAKJRZGDNXXNRE-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN CN[C@H]1CCN1[C@@H](C)Cc1cccc(Cl)c1 ZINC001246897176 988671626 /nfs/dbraw/zinc/67/16/26/988671626.db2.gz IKTVSVDLWFNDDP-GXFFZTMASA-N 0 3 238.762 2.522 20 0 BFADHN CN[C@H]1CCN1C(CC(C)C)CC(C)C ZINC001246901688 988673591 /nfs/dbraw/zinc/67/35/91/988673591.db2.gz ZKMIOEOUIJTBJY-CYBMUJFWSA-N 0 3 212.381 2.698 20 0 BFADHN CN[C@H]1CCN1[C@@H](C)Cc1ccc(Cl)cc1 ZINC001246899875 988674937 /nfs/dbraw/zinc/67/49/37/988674937.db2.gz QTRGLPJXRIKEAB-GXFFZTMASA-N 0 3 238.762 2.522 20 0 BFADHN CN[C@H]1CCN1[C@@H](Cc1ccccc1)CC(C)C ZINC001246901240 988676675 /nfs/dbraw/zinc/67/66/75/988676675.db2.gz WMRWHOIAFSVJCG-HZPDHXFCSA-N 0 3 246.398 2.895 20 0 BFADHN c1ccc([C@@H]2CCC[C@@H](N3CCNC3)C2)cc1 ZINC001246998125 988830917 /nfs/dbraw/zinc/83/09/17/988830917.db2.gz XEZJWODRIPKLLS-HUUCEWRRSA-N 0 3 230.355 2.576 20 0 BFADHN CCCOc1ccc2nc([C@@H](C)N)n(CC)c2c1 ZINC001247042076 988848949 /nfs/dbraw/zinc/84/89/49/988848949.db2.gz DUNJTNWQLUBNGE-SNVBAGLBSA-N 0 3 247.342 2.865 20 0 BFADHN Cc1ncc([C@@H](C)NC/C=C/C2CC2)c(C)n1 ZINC001331674966 988899033 /nfs/dbraw/zinc/89/90/33/988899033.db2.gz YIHULRXRHAOEAD-ORAHPGNNSA-N 0 3 231.343 2.710 20 0 BFADHN CCN1CC[C@H]1CNc1nc2cccc(C)c2o1 ZINC001119345021 988984760 /nfs/dbraw/zinc/98/47/60/988984760.db2.gz ZSGKZQKGQRYTLG-NSHDSACASA-N 0 3 245.326 2.642 20 0 BFADHN Cc1cc(C)nc(CN2CCC[C@@H](C)[C@@H](C)C2)n1 ZINC001248933261 989218174 /nfs/dbraw/zinc/21/81/74/989218174.db2.gz VMROLNNVHGQCTR-NEPJUHHUSA-N 0 3 247.386 2.961 20 0 BFADHN Cc1csc(SC[C@H]2CCCN2C)n1 ZINC001119610472 989237317 /nfs/dbraw/zinc/23/73/17/989237317.db2.gz WZZLONAROZIIOL-SECBINFHSA-N 0 3 228.386 2.638 20 0 BFADHN CC(C)(C)c1c[nH]c(CN2C[C@@H]3C[C@@H]3C2)c1 ZINC001249476911 989289077 /nfs/dbraw/zinc/28/90/77/989289077.db2.gz KYASWDNJNRGZHA-PHIMTYICSA-N 0 3 218.344 2.764 20 0 BFADHN CCSc1ncc2cccc(CN(C)CC)n21 ZINC001249498174 989292346 /nfs/dbraw/zinc/29/23/46/989292346.db2.gz WTBYQWITIWKYBG-UHFFFAOYSA-N 0 3 249.383 2.898 20 0 BFADHN COC(=O)CN(CCC(C)(C)C)CC(C)C ZINC001326044618 989341259 /nfs/dbraw/zinc/34/12/59/989341259.db2.gz PULLKKMJXCBTEF-UHFFFAOYSA-N 0 3 229.364 2.554 20 0 BFADHN CC(C)O[C@@H]1CCN(Cc2cccn2C2CC2)C1 ZINC001326338219 989502403 /nfs/dbraw/zinc/50/24/03/989502403.db2.gz DBAZKPPOXJXGBL-OAHLLOKOSA-N 0 3 248.370 2.822 20 0 BFADHN COC(=O)c1cccc(CNC/C=C\C2CC2)c1 ZINC001326342955 989509671 /nfs/dbraw/zinc/50/96/71/989509671.db2.gz KMWCMEOFYHWQCY-HYXAFXHYSA-N 0 3 245.322 2.529 20 0 BFADHN F[C@H]1CCC[C@@H](NCc2nccs2)C1 ZINC001326492050 989583988 /nfs/dbraw/zinc/58/39/88/989583988.db2.gz VKHVCCNACRYBMJ-DTWKUNHWSA-N 0 3 214.309 2.513 20 0 BFADHN F[C@@H]1CCC[C@H](NCc2nccs2)C1 ZINC001326492049 989584179 /nfs/dbraw/zinc/58/41/79/989584179.db2.gz VKHVCCNACRYBMJ-BDAKNGLRSA-N 0 3 214.309 2.513 20 0 BFADHN CCC/C=C/C(=O)Nc1cccc2c1CNC2 ZINC001122137299 990253294 /nfs/dbraw/zinc/25/32/94/990253294.db2.gz NEVBASQMYFMPKW-XBXARRHUSA-N 0 3 230.311 2.585 20 0 BFADHN COc1ccc(F)c(CN2C[C@H]3CC[C@@H]2C3)c1 ZINC001137996318 990874685 /nfs/dbraw/zinc/87/46/85/990874685.db2.gz HMGWWALYVIPEIN-CMPLNLGQSA-N 0 3 235.302 2.819 20 0 BFADHN Cc1ccc2[nH]c(CN(C)[C@H]3CCOC3)cc2c1 ZINC001138419838 990944774 /nfs/dbraw/zinc/94/47/74/990944774.db2.gz GKYUYNZFCWZPFG-AWEZNQCLSA-N 0 3 244.338 2.697 20 0 BFADHN Cc1c2ccccc2sc1CN1CC(CO)C1 ZINC001138460129 990950215 /nfs/dbraw/zinc/95/02/15/990950215.db2.gz DEWGUFCFBAPKNW-UHFFFAOYSA-N 0 3 247.363 2.634 20 0 BFADHN Cc1cccc([C@H](C)CNCc2nccn2C)c1 ZINC001308282027 990959356 /nfs/dbraw/zinc/95/93/56/990959356.db2.gz KLVMCQOUWUDGSR-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN CN(C)c1ccc(CN2CC3(CCC3)C2)s1 ZINC001138488335 990962479 /nfs/dbraw/zinc/96/24/79/990962479.db2.gz QBTWYVQYMXWRIE-UHFFFAOYSA-N 0 3 236.384 2.800 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)N1CCN2CC[C@H]1C2 ZINC001258076253 990971912 /nfs/dbraw/zinc/97/19/12/990971912.db2.gz YKLABALDAXKTEC-KFWWJZLASA-N 0 3 238.419 2.837 20 0 BFADHN CO[C@@H](C)C1CN(Cc2cc(C)sc2C)C1 ZINC001138570098 990974208 /nfs/dbraw/zinc/97/42/08/990974208.db2.gz QKFHPQDAIISGSF-JTQLQIEISA-N 0 3 239.384 2.832 20 0 BFADHN CN(C)Cc1cccn1-c1ccccc1F ZINC001138631630 990984517 /nfs/dbraw/zinc/98/45/17/990984517.db2.gz WIXUGCIDWPPNDU-UHFFFAOYSA-N 0 3 218.275 2.678 20 0 BFADHN COC(=O)[C@@H]1CCCN1[C@@H](C)CCC=C(C)C ZINC001258080780 990984933 /nfs/dbraw/zinc/98/49/33/990984933.db2.gz LMMCGAWPVBWQGW-STQMWFEESA-N 0 3 239.359 2.759 20 0 BFADHN CCCn1ncc(CN2C3CCC2CC3)c1C ZINC001138639608 990992861 /nfs/dbraw/zinc/99/28/61/990992861.db2.gz QRFGVNKOZPKUDR-UHFFFAOYSA-N 0 3 233.359 2.728 20 0 BFADHN CCCn1ncc(CN2CC3CCC2CC3)c1C ZINC001138639932 990993719 /nfs/dbraw/zinc/99/37/19/990993719.db2.gz ZWKIDIKUURGDHH-UHFFFAOYSA-N 0 3 247.386 2.976 20 0 BFADHN CSc1ncc(CN(C)CC(C)(C)C)cn1 ZINC001138706062 991013007 /nfs/dbraw/zinc/01/30/07/991013007.db2.gz MQJSTSGMAQAEAO-UHFFFAOYSA-N 0 3 239.388 2.676 20 0 BFADHN C[C@H](O)C(C)(C)CNCc1cscc1Cl ZINC001308540978 991022420 /nfs/dbraw/zinc/02/24/20/991022420.db2.gz CRRBRHOVMGHAKK-QMMMGPOBSA-N 0 3 247.791 2.898 20 0 BFADHN C[C@H](N)c1nccn1C1C[C@H](C)C[C@@H](C)C1 ZINC001258150810 991028587 /nfs/dbraw/zinc/02/85/87/991028587.db2.gz ANIYTYFBDDTUBR-MXWKQRLJSA-N 0 3 221.348 2.900 20 0 BFADHN Cc1ncc(CNC[C@@H]2C[C@@H]2C2CCCC2)o1 ZINC001308553171 991035202 /nfs/dbraw/zinc/03/52/02/991035202.db2.gz IFDQVBMCNAJQBZ-GXTWGEPZSA-N 0 3 234.343 2.899 20 0 BFADHN C[C@@H](N)c1ccn([C@H]2C[C@H](C)C[C@H](C)C2)n1 ZINC001258152955 991039007 /nfs/dbraw/zinc/03/90/07/991039007.db2.gz DSMBUIKXSKNLOF-KXNHARMFSA-N 0 3 221.348 2.900 20 0 BFADHN Fc1ncccc1CN1CCC2(CCC2)CC1 ZINC001138782990 991040792 /nfs/dbraw/zinc/04/07/92/991040792.db2.gz JPOBXXSOKCQMAZ-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN C[C@@H]1CCCCN(Cc2cccnc2F)C1 ZINC001138783371 991045306 /nfs/dbraw/zinc/04/53/06/991045306.db2.gz PZNUCLIOCHUZLP-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H]1CC(N2Cc3cncnc3C2)C[C@@H](C)C1 ZINC001258165444 991060077 /nfs/dbraw/zinc/06/00/77/991060077.db2.gz ZOLDSFAETQGSPF-QWRGUYRKSA-N 0 3 231.343 2.617 20 0 BFADHN C[C@@H]1CC(N2Cc3cnn(C)c3C2)C[C@@H](C)C1 ZINC001258169134 991062753 /nfs/dbraw/zinc/06/27/53/991062753.db2.gz URCXAUHHGVCVPX-QWRGUYRKSA-N 0 3 233.359 2.560 20 0 BFADHN C[C@@H]1CCCCN1Cc1cccc2ccnn21 ZINC001138804663 991064509 /nfs/dbraw/zinc/06/45/09/991064509.db2.gz RHXVIEQONZHQOZ-GFCCVEGCSA-N 0 3 229.327 2.709 20 0 BFADHN CCN([C@@H](C)C(=O)OC)C1C[C@@H](C)C[C@H](C)C1 ZINC001258171354 991068244 /nfs/dbraw/zinc/06/82/44/991068244.db2.gz WLZLKWJMPPQXKI-SRVKXCTJSA-N 0 3 241.375 2.695 20 0 BFADHN C[C@H](Cc1ccco1)NCc1cc(F)ccn1 ZINC001258179440 991078942 /nfs/dbraw/zinc/07/89/42/991078942.db2.gz QTHPXUSCLDMBJC-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN C[C@H](Cc1ccco1)NC1(c2ccccn2)CC1 ZINC001258180322 991080648 /nfs/dbraw/zinc/08/06/48/991080648.db2.gz LRYHWIINKVHZDC-GFCCVEGCSA-N 0 3 242.322 2.885 20 0 BFADHN CCCCN(C)Cc1ccc(C)c(OC)n1 ZINC001308647051 991083148 /nfs/dbraw/zinc/08/31/48/991083148.db2.gz CJVJVAICBMXEIV-UHFFFAOYSA-N 0 3 222.332 2.631 20 0 BFADHN CCCN(Cc1nc(C)c[nH]1)[C@H](C)CC ZINC001138935198 991103935 /nfs/dbraw/zinc/10/39/35/991103935.db2.gz GWCOMGKAOYFPJE-LLVKDONJSA-N 0 3 209.337 2.729 20 0 BFADHN CCCOc1ccccc1CN1CC[C@@H](OC)C1 ZINC001138995491 991118375 /nfs/dbraw/zinc/11/83/75/991118375.db2.gz MLKFBMCQJKZBDP-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN C[C@@H]1C[C@H](NC2CC(C(F)F)C2)c2nccn21 ZINC001308764224 991120128 /nfs/dbraw/zinc/12/01/28/991120128.db2.gz HBHJIOZKOJRBEX-WOOKNIGNSA-N 0 3 241.285 2.522 20 0 BFADHN CCCOc1ccccc1CN(C)CC ZINC001138999332 991124458 /nfs/dbraw/zinc/12/44/58/991124458.db2.gz BDWOHAVAAGRTCA-UHFFFAOYSA-N 0 3 207.317 2.927 20 0 BFADHN COC(=O)c1ccc(CN2C[C@@H](C)[C@@H]2C)cc1C ZINC001308789613 991134907 /nfs/dbraw/zinc/13/49/07/991134907.db2.gz FPDKUVKDBXSPFN-NEPJUHHUSA-N 0 3 247.338 2.622 20 0 BFADHN CO[C@H](C)C1CN(Cc2cc3ccccc3o2)C1 ZINC001139063879 991136392 /nfs/dbraw/zinc/13/63/92/991136392.db2.gz BRIPJDMDLWZXBJ-LLVKDONJSA-N 0 3 245.322 2.900 20 0 BFADHN C[C@@]1(Br)C[C@@H]1CN1CC2(CCC2)C1 ZINC001308809980 991151070 /nfs/dbraw/zinc/15/10/70/991151070.db2.gz QGTYKFQNLNMAMT-NXEZZACHSA-N 0 3 244.176 2.646 20 0 BFADHN CSCC[C@@H](C)N1CCc2cccnc2C1 ZINC001258226352 991158893 /nfs/dbraw/zinc/15/88/93/991158893.db2.gz LPJXKRDRMCYNCQ-LLVKDONJSA-N 0 3 236.384 2.581 20 0 BFADHN CCOC1CN(C2CCCCCCC2)C1 ZINC001258237101 991178708 /nfs/dbraw/zinc/17/87/08/991178708.db2.gz FXAJBDWWDMDJKY-UHFFFAOYSA-N 0 3 211.349 2.820 20 0 BFADHN COC(=O)[C@H](C)N(C)C1CCCCCCC1 ZINC001258239874 991185443 /nfs/dbraw/zinc/18/54/43/991185443.db2.gz FGMGTVBSFXYTFR-NSHDSACASA-N 0 3 227.348 2.593 20 0 BFADHN Cn1ncc2c1CN(C1CCCCCCC1)C2 ZINC001258239866 991187582 /nfs/dbraw/zinc/18/75/82/991187582.db2.gz DXTRUCYCZIZQBI-UHFFFAOYSA-N 0 3 233.359 2.849 20 0 BFADHN CC1(C)CCC[C@@H](N2CCc3ncncc3C2)C1 ZINC001258289216 991225433 /nfs/dbraw/zinc/22/54/33/991225433.db2.gz MBOQFSYEKCZWAE-CYBMUJFWSA-N 0 3 245.370 2.804 20 0 BFADHN CSC(C)(C)C[C@H](C)n1ccnc1[C@H](C)N ZINC001258317705 991242085 /nfs/dbraw/zinc/24/20/85/991242085.db2.gz ASLOJUPWVWKHDR-UWVGGRQHSA-N 0 3 241.404 2.996 20 0 BFADHN CSC(C)(C)C[C@H](C)NCc1ccno1 ZINC001258318321 991243965 /nfs/dbraw/zinc/24/39/65/991243965.db2.gz DJUKOYAYDZANDV-VIFPVBQESA-N 0 3 228.361 2.684 20 0 BFADHN CSC(C)(C)C[C@H](C)n1ccnc1[C@@H](C)N ZINC001258317707 991245185 /nfs/dbraw/zinc/24/51/85/991245185.db2.gz ASLOJUPWVWKHDR-VHSXEESVSA-N 0 3 241.404 2.996 20 0 BFADHN CN(Cc1ccc(F)cc1)Cc1ccc(=O)[nH]c1 ZINC001139463880 991248716 /nfs/dbraw/zinc/24/87/16/991248716.db2.gz PHKDXPVIABBERK-UHFFFAOYSA-N 0 3 246.285 2.558 20 0 BFADHN CSC(C)(C)C[C@@H](C)n1ccc([C@H](C)N)n1 ZINC001258324246 991253657 /nfs/dbraw/zinc/25/36/57/991253657.db2.gz PHOMUCQTMTUVFK-ZJUUUORDSA-N 0 3 241.404 2.996 20 0 BFADHN COc1ccncc1CN(C)C[C@@H]1CC1(C)C ZINC001139610287 991291875 /nfs/dbraw/zinc/29/18/75/991291875.db2.gz NNKNZYYWRNNTEW-LBPRGKRZSA-N 0 3 234.343 2.568 20 0 BFADHN CCOc1ccncc1CN(C)C[C@H]1CC1(C)C ZINC001139629088 991300045 /nfs/dbraw/zinc/30/00/45/991300045.db2.gz LJMUELIAPVRJEK-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN CCOc1ccncc1CN(C)CC(C)C ZINC001139626991 991301775 /nfs/dbraw/zinc/30/17/75/991301775.db2.gz BLCLAHPMWXCCQT-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN CCC1(CN(C)Cc2ccccc2F)COC1 ZINC001202958758 991312149 /nfs/dbraw/zinc/31/21/49/991312149.db2.gz WADJLNUDRLOHSX-UHFFFAOYSA-N 0 3 237.318 2.684 20 0 BFADHN CCC1(CN(C)Cc2ccccc2OC)COC1 ZINC001202958269 991315067 /nfs/dbraw/zinc/31/50/67/991315067.db2.gz NNMHHMYRXLVALC-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN COC(=O)CC[C@H](C)N1CC[C@@H]1c1ccccc1 ZINC001258376077 991328149 /nfs/dbraw/zinc/32/81/49/991328149.db2.gz ZEZGVCGOPIIGCF-GXTWGEPZSA-N 0 3 247.338 2.775 20 0 BFADHN CC1CCN(Cc2cnc3cccnc3c2)CC1 ZINC001139741235 991351150 /nfs/dbraw/zinc/35/11/50/991351150.db2.gz RQXCCEATTLOOML-UHFFFAOYSA-N 0 3 241.338 2.862 20 0 BFADHN c1cnc2cc(CN3CCC[C@H]4C[C@H]43)cnc2c1 ZINC001139743413 991352924 /nfs/dbraw/zinc/35/29/24/991352924.db2.gz FOWJSGNDEGTHPP-SWLSCSKDSA-N 0 3 239.322 2.614 20 0 BFADHN CCCOC(=O)[C@@H](C)N1[C@H](CC)CCC[C@@H]1C ZINC001560018066 991358266 /nfs/dbraw/zinc/35/82/66/991358266.db2.gz DSIUGACOXCQCAJ-YNEHKIRRSA-N 0 3 241.375 2.981 20 0 BFADHN CCCCC[C@H](CC)n1cnc(CN)c1 ZINC001258430235 991360531 /nfs/dbraw/zinc/36/05/31/991360531.db2.gz KRKYRJXZPUKSQX-LBPRGKRZSA-N 0 3 209.337 2.873 20 0 BFADHN COCc1ccc(CN2CCCCC2)s1 ZINC001139824307 991381540 /nfs/dbraw/zinc/38/15/40/991381540.db2.gz TZSRPYPDQZLNPQ-UHFFFAOYSA-N 0 3 225.357 2.880 20 0 BFADHN CCCCC[C@@H](CC)N(C)[C@@H](C)C(=O)OC ZINC001258444652 991384483 /nfs/dbraw/zinc/38/44/83/991384483.db2.gz ZIPHAKOGGMGPKX-NWDGAFQWSA-N 0 3 229.364 2.839 20 0 BFADHN Cc1ccc(CN2CCC[C@H](F)CC2)nc1 ZINC001139837720 991394643 /nfs/dbraw/zinc/39/46/43/991394643.db2.gz RQWSFSPNYJTLSQ-LBPRGKRZSA-N 0 3 222.307 2.714 20 0 BFADHN C[C@H](CC1CC1)NCC(=O)c1ccccc1F ZINC001258448100 991395461 /nfs/dbraw/zinc/39/54/61/991395461.db2.gz CPXKTYZRSNMWKG-SNVBAGLBSA-N 0 3 235.302 2.787 20 0 BFADHN CC(C)C[C@H](C)N1Cc2c[nH]nc2C[C@@H](C)C1 ZINC001560144300 991395679 /nfs/dbraw/zinc/39/56/79/991395679.db2.gz XOHJSTYAEIRWRJ-NEPJUHHUSA-N 0 3 235.375 2.839 20 0 BFADHN C[C@H]1CCN1Cc1cc2ccccc2n1C ZINC001139868677 991407615 /nfs/dbraw/zinc/40/76/15/991407615.db2.gz UZLYDCDNHDCDFC-NSHDSACASA-N 0 3 214.312 2.773 20 0 BFADHN Cc1ccc(CN2CC[C@]3(C2)CCCCO3)cn1 ZINC001139929145 991421871 /nfs/dbraw/zinc/42/18/71/991421871.db2.gz QGMDEZNNXQRYQD-HNNXBMFYSA-N 0 3 246.354 2.535 20 0 BFADHN CCCOC1CCN(Cc2ccc(C)nc2)CC1 ZINC001139931314 991424240 /nfs/dbraw/zinc/42/42/40/991424240.db2.gz KGDDYWOHURUKJM-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CCOc1cccc(F)c1CNC[C@H]1C[C@H]1C ZINC001560267417 991444387 /nfs/dbraw/zinc/44/43/87/991444387.db2.gz RDXLJHOXGLYRPU-GHMZBOCLSA-N 0 3 237.318 2.970 20 0 BFADHN CC(C)CCCCNCc1cn(C)nc1Cl ZINC001560346592 991457645 /nfs/dbraw/zinc/45/76/45/991457645.db2.gz DSJMZRZJCXLBFB-UHFFFAOYSA-N 0 3 243.782 2.989 20 0 BFADHN CCOC(=O)CC[C@H](C)N1CCCC[C@@H](F)C1 ZINC001258490518 991464448 /nfs/dbraw/zinc/46/44/48/991464448.db2.gz YFVHXOJGLLSCLF-NWDGAFQWSA-N 0 3 245.338 2.542 20 0 BFADHN CN(Cc1cnc(Cl)nc1)CC1CCCC1 ZINC001139991198 991468893 /nfs/dbraw/zinc/46/88/93/991468893.db2.gz HKFDDUALHLLMOH-UHFFFAOYSA-N 0 3 239.750 2.752 20 0 BFADHN CCCC1CCC(N2CC(OC)C2)CC1 ZINC001258530427 991478502 /nfs/dbraw/zinc/47/85/02/991478502.db2.gz HFPDHFSPPIGUHV-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN COc1nsc(CN[C@H]2CC[C@@H]2C)c1Cl ZINC001560466200 991479522 /nfs/dbraw/zinc/47/95/22/991479522.db2.gz YWAKJCMLFLRESU-BQBZGAKWSA-N 0 3 246.763 2.693 20 0 BFADHN C[C@@H](N)c1ccn([C@H]2CCC(C)(C)C2)n1 ZINC001258596436 991482821 /nfs/dbraw/zinc/48/28/21/991482821.db2.gz ZUSVLLAQYHWYEK-ZJUUUORDSA-N 0 3 207.321 2.654 20 0 BFADHN CSc1nccc(CN(C)C2CCCC2)n1 ZINC001140037879 991494160 /nfs/dbraw/zinc/49/41/60/991494160.db2.gz GSSFIOMDRBSAEM-UHFFFAOYSA-N 0 3 237.372 2.573 20 0 BFADHN CN(Cc1cc(O)ccc1F)CC1CCC1 ZINC001140048542 991497287 /nfs/dbraw/zinc/49/72/87/991497287.db2.gz JOHQTZGLZXJVFE-UHFFFAOYSA-N 0 3 223.291 2.763 20 0 BFADHN COc1ccc2[nH]nc(CN3CCCCC3)c2c1 ZINC001140066176 991514624 /nfs/dbraw/zinc/51/46/24/991514624.db2.gz SVWFXLVXDWVJPL-UHFFFAOYSA-N 0 3 245.326 2.557 20 0 BFADHN COc1ccc2n[nH]c(CN3CCCCC3)c2c1 ZINC001140066176 991514635 /nfs/dbraw/zinc/51/46/35/991514635.db2.gz SVWFXLVXDWVJPL-UHFFFAOYSA-N 0 3 245.326 2.557 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3ccc(O)cc3F)C[C@@H]21 ZINC001140128902 991524936 /nfs/dbraw/zinc/52/49/36/991524936.db2.gz JESLZUQSQRWTHF-TXEJJXNPSA-N 0 3 235.302 2.619 20 0 BFADHN CCn1ccc(CN(CC(C)C)C(C)C)n1 ZINC001560655258 991545858 /nfs/dbraw/zinc/54/58/58/991545858.db2.gz SACBKVJJKJHBNC-UHFFFAOYSA-N 0 3 223.364 2.769 20 0 BFADHN C[C@H](Cc1cccnc1)NCOc1ccccc1 ZINC001258617289 991546287 /nfs/dbraw/zinc/54/62/87/991546287.db2.gz RBJNVTPGTLWBSW-CYBMUJFWSA-N 0 3 242.322 2.639 20 0 BFADHN CCN1C[C@H](C)N(Cc2cc(C)co2)C[C@@H]1C ZINC001560676847 991548835 /nfs/dbraw/zinc/54/88/35/991548835.db2.gz MQKPIHMOIVDJDW-STQMWFEESA-N 0 3 236.359 2.503 20 0 BFADHN C[C@H](Cc1cccnc1)N1CCC[C@@](C)(F)C1 ZINC001258622478 991555845 /nfs/dbraw/zinc/55/58/45/991555845.db2.gz NKUVFMVHKBVWSE-TZMCWYRMSA-N 0 3 236.334 2.837 20 0 BFADHN Cc1cc(CN2CCSCC2)c(C)cc1O ZINC001140199670 991561189 /nfs/dbraw/zinc/56/11/89/991561189.db2.gz XVUSXWSLETZSGB-UHFFFAOYSA-N 0 3 237.368 2.558 20 0 BFADHN CCCn1cncc1CN(C)C[C@@H](C)CC ZINC001560697016 991561327 /nfs/dbraw/zinc/56/13/27/991561327.db2.gz JSDVXEWKEYRZBS-LBPRGKRZSA-N 0 3 223.364 2.771 20 0 BFADHN CCC[C@H](Cc1ccccc1)n1cncc1CN ZINC001258649483 991580152 /nfs/dbraw/zinc/58/01/52/991580152.db2.gz INECNHNLWXMGNB-CQSZACIVSA-N 0 3 243.354 2.926 20 0 BFADHN [O-]c1cnccc1C[N@@H+]1CC[C@H]1c1ccccc1 ZINC001140276776 991587374 /nfs/dbraw/zinc/58/73/74/991587374.db2.gz KNAFIALUUANGDP-AWEZNQCLSA-N 0 3 240.306 2.734 20 0 BFADHN [O-]c1cnccc1C[N@H+]1CC[C@H]1c1ccccc1 ZINC001140276776 991587384 /nfs/dbraw/zinc/58/73/84/991587384.db2.gz KNAFIALUUANGDP-AWEZNQCLSA-N 0 3 240.306 2.734 20 0 BFADHN Cc1ccc2[nH]nc(CN3CCCCC3)c2c1 ZINC001140328072 991605906 /nfs/dbraw/zinc/60/59/06/991605906.db2.gz SEONRQFOWHBYQZ-UHFFFAOYSA-N 0 3 229.327 2.857 20 0 BFADHN Cc1ccc2n[nH]c(CN3CCCCC3)c2c1 ZINC001140328072 991605912 /nfs/dbraw/zinc/60/59/12/991605912.db2.gz SEONRQFOWHBYQZ-UHFFFAOYSA-N 0 3 229.327 2.857 20 0 BFADHN CCc1nc(CN[C@H]2CC[C@@H]3CCC[C@@H]32)co1 ZINC001560837183 991618845 /nfs/dbraw/zinc/61/88/45/991618845.db2.gz LIZPWEBJOKGKLN-DRZSPHRISA-N 0 3 234.343 2.905 20 0 BFADHN CCN(CC)Cc1nc(Cl)ccc1C ZINC001140441404 991637633 /nfs/dbraw/zinc/63/76/33/991637633.db2.gz KTTMZRSFPZWWAB-UHFFFAOYSA-N 0 3 212.724 2.885 20 0 BFADHN CCOC1CN(C[C@@H]2C(C)=CCC[C@@H]2C)C1 ZINC001560874837 991633322 /nfs/dbraw/zinc/63/33/22/991633322.db2.gz PZGMBLPXGIZNLY-GXTWGEPZSA-N 0 3 223.360 2.700 20 0 BFADHN Cc1ccc(Cl)nc1CN1CC[C@H](C)C1 ZINC001140443001 991641202 /nfs/dbraw/zinc/64/12/02/991641202.db2.gz WQBLYFUFKQWAEI-VIFPVBQESA-N 0 3 224.735 2.885 20 0 BFADHN Cc1ccc(Cl)nc1CN(C)C(C)C ZINC001140442062 991641572 /nfs/dbraw/zinc/64/15/72/991641572.db2.gz WEDAACLMHPNHAN-UHFFFAOYSA-N 0 3 212.724 2.884 20 0 BFADHN CCCC[C@H](Cc1ccccc1)N1CC(C)(O)C1 ZINC001258710124 991642140 /nfs/dbraw/zinc/64/21/40/991642140.db2.gz RQOQDBINMITUGI-OAHLLOKOSA-N 0 3 247.382 2.855 20 0 BFADHN C[C@@H](Cc1cccc(Cl)c1)NC1(C)COC1 ZINC001258736930 991688385 /nfs/dbraw/zinc/68/83/85/991688385.db2.gz BGKBAXCMLCVADH-JTQLQIEISA-N 0 3 239.746 2.650 20 0 BFADHN CCCC[C@@H](CCC)N1CCN(CC)C(=O)C1 ZINC001258767653 991734731 /nfs/dbraw/zinc/73/47/31/991734731.db2.gz WJIODSXUFRNHRL-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1cnc2ccccc2c1N1CCO[C@H](C)C1 ZINC001318927333 991741605 /nfs/dbraw/zinc/74/16/05/991741605.db2.gz BRDNIOFGHSFFMW-GFCCVEGCSA-N 0 3 242.322 2.768 20 0 BFADHN CC[C@H](C)C[C@H](CC)n1cncc1CN ZINC001258778284 991745500 /nfs/dbraw/zinc/74/55/00/991745500.db2.gz CCDUZEPZVOIKCS-QWRGUYRKSA-N 0 3 209.337 2.729 20 0 BFADHN CCOC1CN([C@@H](CC)C[C@@H](C)CC)C1 ZINC001258789352 991771826 /nfs/dbraw/zinc/77/18/26/991771826.db2.gz ZPPBWOQLODDTTQ-RYUDHWBXSA-N 0 3 213.365 2.922 20 0 BFADHN COC(=O)CN(CC(C)C)CC1CCCCC1 ZINC001319087674 991785882 /nfs/dbraw/zinc/78/58/82/991785882.db2.gz FYHCQJYWDCGHNT-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN CO[C@@H]1CN(C2CCC(C(C)C)CC2)C[C@@H]1F ZINC001258802908 991803752 /nfs/dbraw/zinc/80/37/52/991803752.db2.gz FQUPHXSJDNCUJK-CLRIEMFWSA-N 0 3 243.366 2.870 20 0 BFADHN CCC[C@@H]1[C@H](C)CCCN1CC(=O)OC(C)C ZINC001319380813 991852517 /nfs/dbraw/zinc/85/25/17/991852517.db2.gz MJRFMCFBJIMJGK-CHWSQXEVSA-N 0 3 241.375 2.839 20 0 BFADHN CC[C@H](Cc1ccccc1)N1CCOC[C@@H]1C ZINC001258844301 991875103 /nfs/dbraw/zinc/87/51/03/991875103.db2.gz LQAWZRVUFFOGEF-DZGCQCFKSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@@H](Cc1ccccc1)N1C[C@H]2CC[C@@H]1CO2 ZINC001258853869 991883158 /nfs/dbraw/zinc/88/31/58/991883158.db2.gz WWLSNEUJSITRKV-ARFHVFGLSA-N 0 3 245.366 2.871 20 0 BFADHN CC[C@H](Cc1ccccc1)N(CC)C1COC1 ZINC001258852029 991885242 /nfs/dbraw/zinc/88/52/42/991885242.db2.gz WFUMJAGGXJOYDT-CQSZACIVSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@H](Cc1ccccc1)N1CCOC2(CC2)C1 ZINC001258852542 991885564 /nfs/dbraw/zinc/88/55/64/991885564.db2.gz OISDDTASRLYOJI-OAHLLOKOSA-N 0 3 245.366 2.873 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCC[C@@H](C)C2)[nH]n1 ZINC001319687362 991936437 /nfs/dbraw/zinc/93/64/37/991936437.db2.gz GMAVEXQKFMIKIN-MFKMUULPSA-N 0 3 221.348 2.729 20 0 BFADHN CCn1cc(CN2CC[C@@H]3CCCC[C@@H]3C2)cn1 ZINC001319924755 992032750 /nfs/dbraw/zinc/03/27/50/992032750.db2.gz LXXZAYCICFHULK-LSDHHAIUSA-N 0 3 247.386 2.915 20 0 BFADHN CC1(C)CN(Cc2cnn3ccccc23)C1(C)C ZINC001319948091 992036222 /nfs/dbraw/zinc/03/62/22/992036222.db2.gz HANKBWZUVJFUHM-UHFFFAOYSA-N 0 3 243.354 2.955 20 0 BFADHN Cc1ccc2c(c1)CCN(Cc1cc[nH]c1)C2 ZINC000361729340 992185754 /nfs/dbraw/zinc/18/57/54/992185754.db2.gz SULNKNCFSWGDRA-UHFFFAOYSA-N 0 3 226.323 2.881 20 0 BFADHN C=Cn1cc(CN2CCC(CCC)CC2)cn1 ZINC001320890132 992391405 /nfs/dbraw/zinc/39/14/05/992391405.db2.gz YUNYRASQTDUCHS-UHFFFAOYSA-N 0 3 233.359 2.996 20 0 BFADHN Cc1cc(CNc2nccc(C)c2C2CC2)n[nH]1 ZINC001261671591 992456965 /nfs/dbraw/zinc/45/69/65/992456965.db2.gz UOAGHJCJNHHGNE-UHFFFAOYSA-N 0 3 242.326 2.911 20 0 BFADHN CC[C@H](NCCN(C#N)CC)c1ccc(F)cc1 ZINC001262013605 992604399 /nfs/dbraw/zinc/60/43/99/992604399.db2.gz HRSJMQHMWXWMSP-AWEZNQCLSA-N 0 3 249.333 2.669 20 0 BFADHN CC[C@H](C)N(C(=O)[C@H](N)C(C)(C)C)[C@@H](C)CC ZINC001573679776 992674589 /nfs/dbraw/zinc/67/45/89/992674589.db2.gz XBGFIRYMACKLKW-SRVKXCTJSA-N 0 3 242.407 2.785 20 0 BFADHN CC1=NO[C@H](CNCc2cccc3ccoc32)C1 ZINC001262112330 992686374 /nfs/dbraw/zinc/68/63/74/992686374.db2.gz GAHAVXULYXTPHK-ZDUSSCGKSA-N 0 3 244.294 2.687 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCCC(F)F ZINC001262160979 992721571 /nfs/dbraw/zinc/72/15/71/992721571.db2.gz VAUZSFGTZHSGPG-SECBINFHSA-N 0 3 231.290 2.599 20 0 BFADHN COC[C@@H](NCCCC(C)(F)F)c1ccco1 ZINC001262256731 992820448 /nfs/dbraw/zinc/82/04/48/992820448.db2.gz SNPAXNQOKAMHQI-SNVBAGLBSA-N 0 3 247.285 2.992 20 0 BFADHN Cc1nnc(CNC2(C)CCC(C)CC2)s1 ZINC001262359828 992857973 /nfs/dbraw/zinc/85/79/73/992857973.db2.gz LSMAHVNQQDOHDB-UHFFFAOYSA-N 0 3 239.388 2.905 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)Nc1ccsc1C ZINC001263172073 993147869 /nfs/dbraw/zinc/14/78/69/993147869.db2.gz JWFZOJMHACQSNT-SCZZXKLOSA-N 0 3 240.372 2.759 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)Nc1ccsc1C ZINC001263172074 993148028 /nfs/dbraw/zinc/14/80/28/993148028.db2.gz JWFZOJMHACQSNT-WCBMZHEXSA-N 0 3 240.372 2.759 20 0 BFADHN C[C@H](CC(F)(F)F)NCC1(F)CCC1 ZINC000379640872 993264560 /nfs/dbraw/zinc/26/45/60/993264560.db2.gz UUVSZWZBFMNZOQ-SSDOTTSWSA-N 0 3 213.218 2.809 20 0 BFADHN Cc1nc2c(n1[C@@H](C)CCC(C)C)CNCC2 ZINC000380025572 993306939 /nfs/dbraw/zinc/30/69/39/993306939.db2.gz FECZQQIGPXCNPU-NSHDSACASA-N 0 3 235.375 2.834 20 0 BFADHN Cc1cc(C#N)ccc1CN1CC(C)(C)C1 ZINC000381507949 993402182 /nfs/dbraw/zinc/40/21/82/993402182.db2.gz MCJPZHRALBVMAH-UHFFFAOYSA-N 0 3 214.312 2.709 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC001576981179 993478329 /nfs/dbraw/zinc/47/83/29/993478329.db2.gz NIBUGABGECOBMU-FVCCEPFGSA-N 0 3 240.391 2.539 20 0 BFADHN CC(=O)[C@@H]1CCCN(Cc2csc(C)c2)C1 ZINC000390634660 993798667 /nfs/dbraw/zinc/79/86/67/993798667.db2.gz LQJPULVEYABVRX-CYBMUJFWSA-N 0 3 237.368 2.858 20 0 BFADHN Cc1cc(CNCc2ccc(C#N)cc2)ccn1 ZINC000391165421 993809564 /nfs/dbraw/zinc/80/95/64/993809564.db2.gz FFHJAEUAUCPOEY-UHFFFAOYSA-N 0 3 237.306 2.552 20 0 BFADHN Cc1[nH]ncc1CNc1cncc2ccccc21 ZINC000396424890 994061385 /nfs/dbraw/zinc/06/13/85/994061385.db2.gz LSCXHMRYDJSNFU-UHFFFAOYSA-N 0 3 238.294 2.878 20 0 BFADHN CCn1cc(CN2CCC(C3CC3)CC2)c(C)n1 ZINC001336813399 995108502 /nfs/dbraw/zinc/10/85/02/995108502.db2.gz QMLWSJOIZGRYGG-UHFFFAOYSA-N 0 3 247.386 2.833 20 0 BFADHN CC(C)N(CCc1ccccc1)Cc1cc[nH]n1 ZINC001307433387 995357531 /nfs/dbraw/zinc/35/75/31/995357531.db2.gz GKKYTQLBVXAVHF-UHFFFAOYSA-N 0 3 243.354 2.863 20 0 BFADHN Cc1cc(Cl)ccc1CN1CC[C@H](O)[C@@H]1C ZINC001307776103 995458737 /nfs/dbraw/zinc/45/87/37/995458737.db2.gz QDPRSWSFRLTMMW-GWCFXTLKSA-N 0 3 239.746 2.604 20 0 BFADHN CC[C@@H]1C[C@H]1CN1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC001307947500 995503890 /nfs/dbraw/zinc/50/38/90/995503890.db2.gz UAXPYACMEAVZNA-DCQANWLSSA-N 0 3 247.329 2.777 20 0 BFADHN CCC[C@H]1CCCN1Cc1nocc1C ZINC001308134826 995562154 /nfs/dbraw/zinc/56/21/54/995562154.db2.gz OSNCFYVONVNUEI-NSHDSACASA-N 0 3 208.305 2.748 20 0 BFADHN CCCCCNCc1cn(-c2ccccc2)nn1 ZINC001308178895 995596012 /nfs/dbraw/zinc/59/60/12/995596012.db2.gz GFTCDPIPLJAEEK-UHFFFAOYSA-N 0 3 244.342 2.547 20 0 BFADHN CCC(C)(CC)NCc1ccoc1C(=O)OC ZINC000119275204 168310739 /nfs/dbraw/zinc/31/07/39/168310739.db2.gz FPNQWDBJYKIHBK-UHFFFAOYSA-N 0 3 239.315 2.735 20 0 BFADHN Cc1nc(CN[C@H]2CCC[C@H]2C)cs1 ZINC000070827221 398139315 /nfs/dbraw/zinc/13/93/15/398139315.db2.gz PYLQPNFLWFPPQO-KCJUWKMLSA-N 0 3 210.346 2.730 20 0 BFADHN CCC(CC)(CC)NCc1cn(C(C)C)nn1 ZINC000336704558 168317513 /nfs/dbraw/zinc/31/75/13/168317513.db2.gz MDMACVWNEANRAL-UHFFFAOYSA-N 0 3 238.379 2.917 20 0 BFADHN Cc1cccc(CSCCN(C)C)c1 ZINC000072261320 343977329 /nfs/dbraw/zinc/97/73/29/343977329.db2.gz LJCJIPARZXNCDT-UHFFFAOYSA-N 0 3 209.358 2.790 20 0 BFADHN CC[C@H](C(=O)N(C)CCC(C)C)N(CC)CC ZINC000605425224 343977643 /nfs/dbraw/zinc/97/76/43/343977643.db2.gz CUSRMYZBPYNHHO-CYBMUJFWSA-N 0 3 242.407 2.611 20 0 BFADHN CC[C@H](NCCCC(C)(F)F)c1nccn1C ZINC000297361462 398143937 /nfs/dbraw/zinc/14/39/37/398143937.db2.gz PTNCFFTUFGXNGG-JTQLQIEISA-N 0 3 245.317 2.896 20 0 BFADHN CCN(Cc1ncc(C)c(OC)c1C)C1CC1 ZINC000171234162 346811581 /nfs/dbraw/zinc/81/15/81/346811581.db2.gz GMFFTFBMBZFVOF-UHFFFAOYSA-N 0 3 234.343 2.691 20 0 BFADHN C[C@@H](CCO)N(C)Cc1cccc(Cl)c1 ZINC000649863303 398144844 /nfs/dbraw/zinc/14/48/44/398144844.db2.gz BVITZBVXHIYORB-JTQLQIEISA-N 0 3 227.735 2.543 20 0 BFADHN CCc1noc(CN2[C@H](C)C[C@@H]3CCCC[C@H]32)n1 ZINC000605421700 343978460 /nfs/dbraw/zinc/97/84/60/343978460.db2.gz SSGYGIRJFTWPFQ-GRYCIOLGSA-N 0 3 249.358 2.785 20 0 BFADHN CCC(CC)(CO)NCc1cc(F)cc(F)c1 ZINC000125647855 168330019 /nfs/dbraw/zinc/33/00/19/168330019.db2.gz RWUSFDUHLYQMGD-UHFFFAOYSA-N 0 3 243.297 2.606 20 0 BFADHN C[C@H](NCCC1CCC1)c1cscn1 ZINC000161871417 538919664 /nfs/dbraw/zinc/91/96/64/538919664.db2.gz DFDKXMNIMAFYRO-VIFPVBQESA-N 0 3 210.346 2.984 20 0 BFADHN C[C@@H](CCO)N(C)Cc1ccc(Cl)c(F)c1 ZINC000649870754 398158701 /nfs/dbraw/zinc/15/87/01/398158701.db2.gz JBYIRTRGLJCQNT-VIFPVBQESA-N 0 3 245.725 2.682 20 0 BFADHN C[C@@H](N[C@@H](C)c1cscn1)C1CCC1 ZINC000161987510 538920136 /nfs/dbraw/zinc/92/01/36/538920136.db2.gz GGTWZVDVMMNRRH-BDAKNGLRSA-N 0 3 210.346 2.982 20 0 BFADHN Cc1ccc(CN[C@@H](C)CC(C)(C)C)nn1 ZINC000396558210 262806003 /nfs/dbraw/zinc/80/60/03/262806003.db2.gz RTFRYCYBVXSTLF-NSHDSACASA-N 0 3 221.348 2.699 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C[C@H](C)C2)ncn1 ZINC000292657590 178000196 /nfs/dbraw/zinc/00/01/96/178000196.db2.gz AWMTZAMQTHCEMS-RYUDHWBXSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C(C)(C)C2)on1 ZINC000336353624 178000266 /nfs/dbraw/zinc/00/02/66/178000266.db2.gz OTQDWNZMORWXQJ-JTQLQIEISA-N 0 3 222.332 2.851 20 0 BFADHN Cc1cc(CN2CC[C@@H](CC3CC3)C2)on1 ZINC000339679016 178000930 /nfs/dbraw/zinc/00/09/30/178000930.db2.gz QXCPAGWEXQYZNR-LBPRGKRZSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1cc(CN2CC[C@H](n3cccn3)C2)cs1 ZINC000296162263 178003784 /nfs/dbraw/zinc/00/37/84/178003784.db2.gz WOLGLQDRBLXZFM-ZDUSSCGKSA-N 0 3 247.367 2.700 20 0 BFADHN Cc1cc(CN2CC[C@H](c3ccco3)C2)on1 ZINC000374008431 178003873 /nfs/dbraw/zinc/00/38/73/178003873.db2.gz QBISHTRBUSWQRI-NSHDSACASA-N 0 3 232.283 2.566 20 0 BFADHN CCN(C(=O)c1ccc(CN(C)C)cc1)C(C)C ZINC000299695627 398152293 /nfs/dbraw/zinc/15/22/93/398152293.db2.gz XZZMXIZUEGYZDP-UHFFFAOYSA-N 0 3 248.370 2.619 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)on1 ZINC000336661160 178007007 /nfs/dbraw/zinc/00/70/07/178007007.db2.gz DYRSSXZMQJMTHS-SCVCMEIPSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)no1 ZINC000336662303 178007361 /nfs/dbraw/zinc/00/73/61/178007361.db2.gz JRSJHTYXYGDOPQ-CKYFFXLPSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1cc(CNC/C=C\c2ccccc2)n(C)n1 ZINC000341358351 178016975 /nfs/dbraw/zinc/01/69/75/178016975.db2.gz XLMFNEUQAQULQP-TWGQIWQCSA-N 0 3 241.338 2.532 20 0 BFADHN Cc1cc(CNC2CCC3(CC3)CC2)on1 ZINC000309705316 178018862 /nfs/dbraw/zinc/01/88/62/178018862.db2.gz OGICPMCFZWKEBJ-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCCO2)ccc1F ZINC000052684766 178022228 /nfs/dbraw/zinc/02/22/28/178022228.db2.gz VBEKDSIGXHRJBR-ZDUSSCGKSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1cc(CNCCOCC(F)F)ccc1F ZINC000190897002 178019909 /nfs/dbraw/zinc/01/99/09/178019909.db2.gz FLLYQIONFIYNPS-UHFFFAOYSA-N 0 3 247.260 2.505 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC(F)(F)C2)no1 ZINC000302484575 178023633 /nfs/dbraw/zinc/02/36/33/178023633.db2.gz RHXOKPGKZVEQGW-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN Cc1cc(CNC[C@H](C)Cc2ccccc2)on1 ZINC000187364950 178024743 /nfs/dbraw/zinc/02/47/43/178024743.db2.gz LRKACPSQTNUYJZ-GFCCVEGCSA-N 0 3 244.338 2.951 20 0 BFADHN Cc1cc(CNC[C@H]2CCCO2)ccc1Cl ZINC000125467483 178024904 /nfs/dbraw/zinc/02/49/04/178024904.db2.gz LDOOSFVTONOJDK-GFCCVEGCSA-N 0 3 239.746 2.917 20 0 BFADHN FC1(CN2CC[C@H](Nc3ccccc3)C2)CC1 ZINC000527510539 398170229 /nfs/dbraw/zinc/17/02/29/398170229.db2.gz HWLSBBCNSGQIBW-ZDUSSCGKSA-N 0 3 234.318 2.675 20 0 BFADHN Cc1cc(CN[C@@H](C)C2CCCC2)no1 ZINC000054081357 178029244 /nfs/dbraw/zinc/02/92/44/178029244.db2.gz VYAJFOXLIGTQEG-JTQLQIEISA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H]2CCCF)on1 ZINC000337994416 178032516 /nfs/dbraw/zinc/03/25/16/178032516.db2.gz KUSLQGWUYKDPEF-WCQYABFASA-N 0 3 240.322 2.991 20 0 BFADHN CN(Cc1cc(Cl)ccn1)C(C)(C)C ZINC000306683446 398172158 /nfs/dbraw/zinc/17/21/58/398172158.db2.gz VSFRLZGILMTWQP-UHFFFAOYSA-N 0 3 212.724 2.965 20 0 BFADHN Cc1cc(CN[C@@H]2CC3CCC2CC3)on1 ZINC000310035886 178032866 /nfs/dbraw/zinc/03/28/66/178032866.db2.gz UFKPPTNMRBKBGN-GCZXYKMCSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC2(C)C)nc(C)n1 ZINC000336328119 178032884 /nfs/dbraw/zinc/03/28/84/178032884.db2.gz TZJPVDOCRIPLEU-CYBMUJFWSA-N 0 3 233.359 2.762 20 0 BFADHN CC(C)[C@H](N[C@@H](C)c1nccs1)C(C)(C)O ZINC000276951854 398172263 /nfs/dbraw/zinc/17/22/63/398172263.db2.gz VLLHGECYSPMQDC-UWVGGRQHSA-N 0 3 242.388 2.589 20 0 BFADHN Cc1cc(CN[C@@H]2CCOC2(C)C)cs1 ZINC000292723851 178033498 /nfs/dbraw/zinc/03/34/98/178033498.db2.gz PINSBQZHEHQJFD-LLVKDONJSA-N 0 3 225.357 2.714 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC2(C)C)no1 ZINC000086857139 178033595 /nfs/dbraw/zinc/03/35/95/178033595.db2.gz IULXODKUGPPVPO-LLVKDONJSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H](C)C2)no1 ZINC000044692157 178033985 /nfs/dbraw/zinc/03/39/85/178033985.db2.gz LSCKOOOYNWTDDX-MWLCHTKSSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)ncn1 ZINC000272628314 178034038 /nfs/dbraw/zinc/03/40/38/178034038.db2.gz AHJHDWADWOJMBQ-SCDSUCTJSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cc(CN[C@H]2C[C@H](C)C[C@H](C)C2)no1 ZINC000250966528 178034852 /nfs/dbraw/zinc/03/48/52/178034852.db2.gz PZWWSRWBVQIIBN-IAZYJMLFSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)ncn1 ZINC000273341881 178035740 /nfs/dbraw/zinc/03/57/40/178035740.db2.gz LEQFDJUVIUYDGN-JTNHKYCSSA-N 0 3 233.359 2.699 20 0 BFADHN C[C@H](N[C@H]1CC1(C)C)c1ccncc1F ZINC000334243898 136215739 /nfs/dbraw/zinc/21/57/39/136215739.db2.gz RSLKMMLPPKDRQN-KWQFWETISA-N 0 3 208.280 2.670 20 0 BFADHN CC(C)(C)OCCN1CC[C@]2(CC2(F)F)C1 ZINC000649903844 398174568 /nfs/dbraw/zinc/17/45/68/398174568.db2.gz YGVUARDIKWBNEQ-NSHDSACASA-N 0 3 233.302 2.533 20 0 BFADHN Cc1cc(CN[C@H]2CCCC2(C)C)nc(C)n1 ZINC000336328120 178039715 /nfs/dbraw/zinc/03/97/15/178039715.db2.gz TZJPVDOCRIPLEU-ZDUSSCGKSA-N 0 3 233.359 2.762 20 0 BFADHN Cc1cc(CN[C@H]2CCOC2(C)C)cs1 ZINC000292723855 178039938 /nfs/dbraw/zinc/03/99/38/178039938.db2.gz PINSBQZHEHQJFD-NSHDSACASA-N 0 3 225.357 2.714 20 0 BFADHN Cc1cc(CN[C@H]2CCCCC2(C)C)ncn1 ZINC000271451549 178039986 /nfs/dbraw/zinc/03/99/86/178039986.db2.gz GEEHBPFSJASNGM-ZDUSSCGKSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1cc(CN[C@H]2CCCC[C@H]2C)nc(C)n1 ZINC000336330000 178040055 /nfs/dbraw/zinc/04/00/55/178040055.db2.gz FZBMDQRPGAWMAH-YGRLFVJLSA-N 0 3 233.359 2.762 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@@H](C)C2)on1 ZINC000044985458 178040664 /nfs/dbraw/zinc/04/06/64/178040664.db2.gz PQKNJOIOFGCRDC-KOLCDFICSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@H](C)C2)no1 ZINC000044692155 178040676 /nfs/dbraw/zinc/04/06/76/178040676.db2.gz LSCKOOOYNWTDDX-ONGXEEELSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CCCC[C@H]2C)on1 ZINC000044300982 178040817 /nfs/dbraw/zinc/04/08/17/178040817.db2.gz GILOGGCZDLSGPV-SKDRFNHKSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CCc3ccccc3C2)no1 ZINC000044296460 178041147 /nfs/dbraw/zinc/04/11/47/178041147.db2.gz PPFNFKUIMGYNFR-AWEZNQCLSA-N 0 3 242.322 2.630 20 0 BFADHN Cc1cccc(CN2CC[C@@]3(CC3(F)F)C2)n1 ZINC000649904507 398176861 /nfs/dbraw/zinc/17/68/61/398176861.db2.gz HGHRDLLNNQAVQQ-GFCCVEGCSA-N 0 3 238.281 2.621 20 0 BFADHN Cc1cccc(CN2CC[C@]3(CC3(F)F)C2)n1 ZINC000649904508 398177145 /nfs/dbraw/zinc/17/71/45/398177145.db2.gz HGHRDLLNNQAVQQ-LBPRGKRZSA-N 0 3 238.281 2.621 20 0 BFADHN O[C@@H]1CCC[C@H]1CNCc1cscc1Cl ZINC000380020896 398182154 /nfs/dbraw/zinc/18/21/54/398182154.db2.gz WHNAYPYHHYHKNQ-GZMMTYOYSA-N 0 3 245.775 2.652 20 0 BFADHN CC[C@@H](NC[C@H](O)C(F)F)c1cccc(C)c1 ZINC000336682549 398185814 /nfs/dbraw/zinc/18/58/14/398185814.db2.gz CDXZFCUQEVOAEB-NEPJUHHUSA-N 0 3 243.297 2.662 20 0 BFADHN Cc1cc(F)cc2c1CN(CC1(C)COC1)CC2 ZINC000337432777 178080944 /nfs/dbraw/zinc/08/09/44/178080944.db2.gz ZSJICJRJERDHQT-UHFFFAOYSA-N 0 3 249.329 2.529 20 0 BFADHN CC[C@H]1C[C@H](CNCc2ccco2)CCO1 ZINC000336746076 398188035 /nfs/dbraw/zinc/18/80/35/398188035.db2.gz WDEIIJDSBBSCQH-NEPJUHHUSA-N 0 3 223.316 2.574 20 0 BFADHN Cc1cc(F)ccc1CN1CC([C@@H]2CCOC2)C1 ZINC000373464848 178085575 /nfs/dbraw/zinc/08/55/75/178085575.db2.gz HUKRVVFADNSXAF-CYBMUJFWSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1cc(F)ccc1CN(C)C[C@H]1CCCO1 ZINC000128063083 178086039 /nfs/dbraw/zinc/08/60/39/178086039.db2.gz JXPWZPPIDCIIQB-CQSZACIVSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cc(F)ccc1CNCc1ccn(C)c1 ZINC000267110509 178087030 /nfs/dbraw/zinc/08/70/30/178087030.db2.gz RCMFYQZCSRIMBQ-UHFFFAOYSA-N 0 3 232.302 2.762 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000152795824 178087127 /nfs/dbraw/zinc/08/71/27/178087127.db2.gz HLHYXGUELCNYQT-MCIONIFRSA-N 0 3 235.302 2.544 20 0 BFADHN CCOCCN(CC)Cc1ccccc1 ZINC000072948746 398190305 /nfs/dbraw/zinc/19/03/05/398190305.db2.gz VTNDRXIZKBPYBS-UHFFFAOYSA-N 0 3 207.317 2.545 20 0 BFADHN CC[C@@H]1c2ccccc2CCN1CCC(=O)OC ZINC000114563781 398200041 /nfs/dbraw/zinc/20/00/41/398200041.db2.gz JSMPSTRJTFEFKF-CQSZACIVSA-N 0 3 247.338 2.559 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC000093441862 398196434 /nfs/dbraw/zinc/19/64/34/398196434.db2.gz FOZSTORWXKKPAF-BETUJISGSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC000093441862 398196438 /nfs/dbraw/zinc/19/64/38/398196438.db2.gz FOZSTORWXKKPAF-BETUJISGSA-N 0 3 246.354 2.718 20 0 BFADHN CC[C@@H](NCc1nccn1C(F)F)C1CC1 ZINC000162271861 538921860 /nfs/dbraw/zinc/92/18/60/538921860.db2.gz UHUFVHHIERXOIG-SECBINFHSA-N 0 3 229.274 2.556 20 0 BFADHN Cc1cc(COc2cc(C)nc(C)c2C)on1 ZINC000576273966 365545700 /nfs/dbraw/zinc/54/57/00/365545700.db2.gz PZENQCUCFPYCLD-UHFFFAOYSA-N 0 3 232.283 2.882 20 0 BFADHN CO[C@@H]1[C@H](C)[C@H](NCc2cc(C)ccn2)C1(C)C ZINC000649983111 398205914 /nfs/dbraw/zinc/20/59/14/398205914.db2.gz QRATVBVRVBGQGO-KWCYVHTRSA-N 0 3 248.370 2.539 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@H](C)C(C)C ZINC000188050088 398208048 /nfs/dbraw/zinc/20/80/48/398208048.db2.gz GXNKRXUQAPGBEI-JQWIXIFHSA-N 0 3 221.348 2.728 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2cc(C)ccn2)C1(C)C ZINC000649970672 398200435 /nfs/dbraw/zinc/20/04/35/398200435.db2.gz DHAFXOUAPIILJX-DZGCQCFKSA-N 0 3 248.370 2.683 20 0 BFADHN CC[C@H](C)N[C@@H](c1cnn(C)c1)c1ccccc1 ZINC000273313750 538927012 /nfs/dbraw/zinc/92/70/12/538927012.db2.gz XJQSQHZECLLEOV-SWLSCSKDSA-N 0 3 243.354 2.898 20 0 BFADHN O=C(CCCN1CC=CCC1)c1ccccc1 ZINC000649417246 398217814 /nfs/dbraw/zinc/21/78/14/398217814.db2.gz IDFFABZJJQNYLN-UHFFFAOYSA-N 0 3 229.323 2.911 20 0 BFADHN CC(C)OC(=O)c1ccc(CN2CCCC2)cc1 ZINC000192480004 365575274 /nfs/dbraw/zinc/57/52/74/365575274.db2.gz WAPHKFSXDHGEIJ-UHFFFAOYSA-N 0 3 247.338 2.848 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCC[C@H](CO)C1 ZINC000175731224 365581184 /nfs/dbraw/zinc/58/11/84/365581184.db2.gz AYDVWVWCUOYJDH-NEPJUHHUSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@@H](CCc1ccc(F)cc1)NCCF ZINC000336767411 136221376 /nfs/dbraw/zinc/22/13/76/136221376.db2.gz TUSAMEANDXXIBB-JTQLQIEISA-N 0 3 213.271 2.706 20 0 BFADHN Cc1noc([C@@H](C)N2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC000650014848 398219653 /nfs/dbraw/zinc/21/96/53/398219653.db2.gz RKPHTUCALBQIIY-JLLWLGSASA-N 0 3 235.331 2.561 20 0 BFADHN Cc1cc(NC[C@@H](O)C2CC2)c2ccccc2n1 ZINC000109384916 178165923 /nfs/dbraw/zinc/16/59/23/178165923.db2.gz YKDZCNQMJMJVSM-OAHLLOKOSA-N 0 3 242.322 2.726 20 0 BFADHN Cc1cc(NC[C@H](C)CCO)c2ccccc2n1 ZINC000097443462 178169238 /nfs/dbraw/zinc/16/92/38/178169238.db2.gz BQYHKUYEJRGBPA-LLVKDONJSA-N 0 3 244.338 2.974 20 0 BFADHN Cc1noc(C)c1C[C@@H](C)NCc1ccoc1C ZINC000414362707 398220136 /nfs/dbraw/zinc/22/01/36/398220136.db2.gz WIPNOZFQOLCJMY-SECBINFHSA-N 0 3 248.326 2.914 20 0 BFADHN C[C@H](NCCc1cscn1)c1ccco1 ZINC000082626213 136221788 /nfs/dbraw/zinc/22/17/88/136221788.db2.gz ZCODXUWCXSNZNU-VIFPVBQESA-N 0 3 222.313 2.629 20 0 BFADHN C[C@@H](NCCc1cscn1)c1ccco1 ZINC000082626214 136221881 /nfs/dbraw/zinc/22/18/81/136221881.db2.gz ZCODXUWCXSNZNU-SECBINFHSA-N 0 3 222.313 2.629 20 0 BFADHN CCC(CC)CN(CC)C(=O)[C@H](CC)N(C)C ZINC000124803826 168348250 /nfs/dbraw/zinc/34/82/50/168348250.db2.gz CJJSHRJULJRJQM-ZDUSSCGKSA-N 0 3 242.407 2.611 20 0 BFADHN CCN(C)Cc1ccc(Cl)c(F)c1 ZINC000127691271 398222485 /nfs/dbraw/zinc/22/24/85/398222485.db2.gz GTRPDIRWRYJZOY-UHFFFAOYSA-N 0 3 201.672 2.931 20 0 BFADHN CCOCCCN[C@@H](C)c1nc(C)sc1C ZINC000020092331 538930751 /nfs/dbraw/zinc/93/07/51/538930751.db2.gz WLUIXBVVQRSAID-VIFPVBQESA-N 0 3 242.388 2.837 20 0 BFADHN c1csc(CCCN[C@@H]2CCn3ccnc32)c1 ZINC000576436430 365594857 /nfs/dbraw/zinc/59/48/57/365594857.db2.gz KTTBHEILNVTYFB-GFCCVEGCSA-N 0 3 247.367 2.612 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@@H]2C)c(C)s1 ZINC000334508566 398228451 /nfs/dbraw/zinc/22/84/51/398228451.db2.gz QXBJVGIBXBULPW-BDAKNGLRSA-N 0 3 224.373 2.990 20 0 BFADHN CCC(CC)CN1CCO[C@@H](C2CC2)C1 ZINC000362516147 168352990 /nfs/dbraw/zinc/35/29/90/168352990.db2.gz MTSOSFDWZXRPTQ-CYBMUJFWSA-N 0 3 211.349 2.533 20 0 BFADHN C/C(=C\c1ccccc1)CN1CC[C@@]12CCOC2 ZINC000421393074 192328406 /nfs/dbraw/zinc/32/84/06/192328406.db2.gz DDASSDWTZSFJSI-UKYUDJEDSA-N 0 3 243.350 2.955 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@H]2C)s1 ZINC000076116395 398232089 /nfs/dbraw/zinc/23/20/89/398232089.db2.gz JPPGOLUGDZUALX-QMMMGPOBSA-N 0 3 210.346 2.744 20 0 BFADHN CO[C@@H](C)[C@@H](C)Nc1cccc(CN(C)C)c1 ZINC000165140296 538934720 /nfs/dbraw/zinc/93/47/20/538934720.db2.gz DYWSUDWUGUERRI-NEPJUHHUSA-N 0 3 236.359 2.584 20 0 BFADHN CC[C@H]1CN(CC(C)(C)COC)C[C@H](CC)O1 ZINC000421394784 192329496 /nfs/dbraw/zinc/32/94/96/192329496.db2.gz TUVDAGNBEXVEFG-STQMWFEESA-N 0 3 243.391 2.548 20 0 BFADHN CCCCOCCN1CCC(CCOC)CC1 ZINC000625630243 398233104 /nfs/dbraw/zinc/23/31/04/398233104.db2.gz UCVDHEPVCPRGAO-UHFFFAOYSA-N 0 3 243.391 2.552 20 0 BFADHN CCn1cc(CN2CC[C@H](C3CCCC3)C2)cn1 ZINC000421397152 192330033 /nfs/dbraw/zinc/33/00/33/192330033.db2.gz XEZXJYDGYPDIAZ-HNNXBMFYSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1cc([C@@H](C)NCC(C)(C)CCO)c(C)o1 ZINC000219280902 178221793 /nfs/dbraw/zinc/22/17/93/178221793.db2.gz AANWVCZPCXTBCZ-LLVKDONJSA-N 0 3 239.359 2.956 20 0 BFADHN Cc1cc([C@@H](C)NCCC(C)(C)C)nn1C ZINC000309454485 178222960 /nfs/dbraw/zinc/22/29/60/178222960.db2.gz NIGDTTAURNEIRW-LLVKDONJSA-N 0 3 223.364 2.815 20 0 BFADHN C[C@H](NCC1(c2ccccc2)CC1)c1ncc[nH]1 ZINC000278841093 538934913 /nfs/dbraw/zinc/93/49/13/538934913.db2.gz DGRMXKTVYHGVME-LBPRGKRZSA-N 0 3 241.338 2.792 20 0 BFADHN Cc1cc([C@@H](C)NCCOC(C)C)oc1C ZINC000282840001 178224635 /nfs/dbraw/zinc/22/46/35/178224635.db2.gz SQVBCYFCEKNETK-LLVKDONJSA-N 0 3 225.332 2.972 20 0 BFADHN Cc1cc([C@@H](C)NCCC2(F)CCC2)nn1C ZINC000340389112 178225102 /nfs/dbraw/zinc/22/51/02/178225102.db2.gz DEBWXXQUPXZTSL-LLVKDONJSA-N 0 3 239.338 2.661 20 0 BFADHN Cc1cc([C@@H](C)NCCOCC(F)F)oc1C ZINC000282807889 178225367 /nfs/dbraw/zinc/22/53/67/178225367.db2.gz RDKUEZPPASUIQQ-SECBINFHSA-N 0 3 247.285 2.829 20 0 BFADHN Cc1cc([C@@H](C)NCCc2cnccn2)c(C)o1 ZINC000358988062 178225963 /nfs/dbraw/zinc/22/59/63/178225963.db2.gz PPLWXLYMLLBZML-LLVKDONJSA-N 0 3 245.326 2.580 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CCCO2)c(C)o1 ZINC000020438416 178227199 /nfs/dbraw/zinc/22/71/99/178227199.db2.gz FQDQEHPPFGWVAO-PWSUYJOCSA-N 0 3 223.316 2.726 20 0 BFADHN CO[C@H](CN1CC[C@H]2C[C@H]2C1)c1ccc(F)cc1 ZINC000625557951 343988977 /nfs/dbraw/zinc/98/89/77/343988977.db2.gz RBTLNNGEMJFRSC-KCQAQPDRSA-N 0 3 249.329 2.855 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](O)C(C)C)cs1 ZINC000294291217 178227749 /nfs/dbraw/zinc/22/77/49/178227749.db2.gz UGZNEPVXVRDGMH-ZYHUDNBSSA-N 0 3 227.373 2.724 20 0 BFADHN Cc1cc([C@@H](C)NCCc2cnn(C)c2)oc1C ZINC000282225483 178227897 /nfs/dbraw/zinc/22/78/97/178227897.db2.gz PDFXPSBACGUBON-LLVKDONJSA-N 0 3 247.342 2.523 20 0 BFADHN Cc1cc([C@@H](C)NCCn2ccnc2)ccc1F ZINC000268744208 178227908 /nfs/dbraw/zinc/22/79/08/178227908.db2.gz UCMFAGYBAKKKAK-GFCCVEGCSA-N 0 3 247.317 2.681 20 0 BFADHN Cc1cc([C@@H](C)NCc2cnc[nH]2)ccc1F ZINC000280306368 178229380 /nfs/dbraw/zinc/22/93/80/178229380.db2.gz WMMYXYHICLMRRH-SNVBAGLBSA-N 0 3 233.290 2.708 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2CCCO2)oc1C ZINC000282155362 178229511 /nfs/dbraw/zinc/22/95/11/178229511.db2.gz LJWUIHLOXFCOGF-ZYHUDNBSSA-N 0 3 223.316 2.726 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CC=CCC2)no1 ZINC000290682560 178231567 /nfs/dbraw/zinc/23/15/67/178231567.db2.gz MBILYQJLTXDLER-GHMZBOCLSA-N 0 3 206.289 2.742 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)CC2CC2)no1 ZINC000308822216 178232247 /nfs/dbraw/zinc/23/22/47/178232247.db2.gz CLRDYZCBCKSECU-PSASIEDQSA-N 0 3 208.305 2.822 20 0 BFADHN FC(F)C[C@H](N[C@H]1CCOC1)c1ccccc1 ZINC000421372391 192335427 /nfs/dbraw/zinc/33/54/27/192335427.db2.gz MHIBALCHZWIQMR-RYUDHWBXSA-N 0 3 241.281 2.761 20 0 BFADHN FC(F)C[C@@H](N[C@@H]1CCOC1)c1ccccc1 ZINC000421372393 192335603 /nfs/dbraw/zinc/33/56/03/192335603.db2.gz MHIBALCHZWIQMR-VXGBXAGGSA-N 0 3 241.281 2.761 20 0 BFADHN Cc1cnc(CN(C)[C@H]2CCC[C@H](C)C2)cn1 ZINC000334494416 136225881 /nfs/dbraw/zinc/22/58/81/136225881.db2.gz RTTZPTGDXGUHAF-FZMZJTMJSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCC[C@H]2C)no1 ZINC000282876745 178253540 /nfs/dbraw/zinc/25/35/40/178253540.db2.gz VLLULVBVKQFWEQ-DVVUODLYSA-N 0 3 208.305 2.822 20 0 BFADHN Cc1cc([C@H](C)NC2CCOCC2)oc1C ZINC000282291033 178245353 /nfs/dbraw/zinc/24/53/53/178245353.db2.gz SCIVAPNTPIVJQJ-JTQLQIEISA-N 0 3 223.316 2.726 20 0 BFADHN Cc1oncc1-c1cccc(OCCN(C)C)c1 ZINC000649430777 398233411 /nfs/dbraw/zinc/23/34/11/398233411.db2.gz QEZMKVMISRMYAK-UHFFFAOYSA-N 0 3 246.310 2.590 20 0 BFADHN Cc1cc([C@H](C)NCC2CCSCC2)no1 ZINC000309492415 178246422 /nfs/dbraw/zinc/24/64/22/178246422.db2.gz SNQWHEMVBYRUGT-JTQLQIEISA-N 0 3 240.372 2.777 20 0 BFADHN Cc1cc([C@H](C)NCCC[C@H](C)O)c(C)o1 ZINC000228041366 178246685 /nfs/dbraw/zinc/24/66/85/178246685.db2.gz YDLIWBKRHBASHQ-ONGXEEELSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@H](C)NCCCC(C)(F)F)nn1C ZINC000297275704 178247291 /nfs/dbraw/zinc/24/72/91/178247291.db2.gz KZTXHLASAVAVFI-JTQLQIEISA-N 0 3 245.317 2.815 20 0 BFADHN Cc1cc([C@H](C)NCCC[C@@H](C)O)oc1C ZINC000282761693 178247412 /nfs/dbraw/zinc/24/74/12/178247412.db2.gz OIBQDBVPPNQDPH-MNOVXSKESA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@H](C)NCCc2ccccc2)nn1C ZINC000337403071 178247914 /nfs/dbraw/zinc/24/79/14/178247914.db2.gz DLMMOCHZRYJHCL-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)Cn2ccnc2)c(C)o1 ZINC000037158386 178253817 /nfs/dbraw/zinc/25/38/17/178253817.db2.gz BDEPPFDOOZSTIG-JQWIXIFHSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](CO)C2CC2)oc1C ZINC000353494464 178249055 /nfs/dbraw/zinc/24/90/55/178249055.db2.gz YLJYTGOXODSWIP-GWCFXTLKSA-N 0 3 237.343 2.566 20 0 BFADHN Cc1cc([C@H](C)NCCn2ccnc2)ccc1F ZINC000268744211 178249130 /nfs/dbraw/zinc/24/91/30/178249130.db2.gz UCMFAGYBAKKKAK-LBPRGKRZSA-N 0 3 247.317 2.681 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](C)C[C@@H](C)O)oc1C ZINC000282273881 178249135 /nfs/dbraw/zinc/24/91/35/178249135.db2.gz RILQKLLLAHYRKO-WCQGTBRESA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)NCCc2cnccn2)c(C)o1 ZINC000358988063 178249272 /nfs/dbraw/zinc/24/92/72/178249272.db2.gz PPLWXLYMLLBZML-NSHDSACASA-N 0 3 245.326 2.580 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CC[C@@H](O)C2)oc1C ZINC000282819483 178250048 /nfs/dbraw/zinc/25/00/48/178250048.db2.gz RSZMVQMXDMRBDW-CYZMBNFOSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)NC[C@@](C)(O)C2CC2)c(C)o1 ZINC000336717191 178250161 /nfs/dbraw/zinc/25/01/61/178250161.db2.gz QIGPOSSBHGZPPT-IINYFYTJSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)CC2CC2)no1 ZINC000308822217 178254998 /nfs/dbraw/zinc/25/49/98/178254998.db2.gz CLRDYZCBCKSECU-SCZZXKLOSA-N 0 3 208.305 2.822 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CC[C@@H](CO)C2)oc1C ZINC000296602372 178258056 /nfs/dbraw/zinc/25/80/56/178258056.db2.gz VSDWVCODXYFZMQ-UHTWSYAYSA-N 0 3 237.343 2.708 20 0 BFADHN COc1nc(-c2cccc(CN(C)C)c2)cs1 ZINC000649436683 398238163 /nfs/dbraw/zinc/23/81/63/398238163.db2.gz OWHCMMGLSLCQIZ-UHFFFAOYSA-N 0 3 248.351 2.880 20 0 BFADHN C[C@H](NC1CC1)c1ccnn1-c1ccccc1 ZINC000281350873 538938663 /nfs/dbraw/zinc/93/86/63/538938663.db2.gz VHDAERCPVPWTQE-NSHDSACASA-N 0 3 227.311 2.685 20 0 BFADHN CCn1nccc1-c1cccc(CN(C)C)c1 ZINC000649437002 398238843 /nfs/dbraw/zinc/23/88/43/398238843.db2.gz YSPVXZMWNLYRNJ-UHFFFAOYSA-N 0 3 229.327 2.632 20 0 BFADHN CCCC(C)(C)NCc1ccc(C(=O)OC)o1 ZINC000134164746 398241680 /nfs/dbraw/zinc/24/16/80/398241680.db2.gz GNNNZLDRLBGLPR-UHFFFAOYSA-N 0 3 239.315 2.735 20 0 BFADHN C[C@]1(O)CCCN(Cc2ccccc2F)CC1 ZINC000230375678 136228265 /nfs/dbraw/zinc/22/82/65/136228265.db2.gz QXEGUTOKXOEIEM-AWEZNQCLSA-N 0 3 237.318 2.563 20 0 BFADHN CC[C@H](N[C@H](C)[C@@H](C)OC)c1nc(C)cs1 ZINC000167372466 538941196 /nfs/dbraw/zinc/94/11/96/538941196.db2.gz MAGFJAUVLFYAKP-MXWKQRLJSA-N 0 3 242.388 2.916 20 0 BFADHN Cc1cccc(CCN(C)[C@H]2CC2(C)C)n1 ZINC000564906166 398243888 /nfs/dbraw/zinc/24/38/88/398243888.db2.gz SLTQDAKTQJELFC-ZDUSSCGKSA-N 0 3 218.344 2.663 20 0 BFADHN CC/C=C\CCN(C)[C@H](C)c1cnn(C)c1 ZINC000343611930 365610899 /nfs/dbraw/zinc/61/08/99/365610899.db2.gz RCXXSSFAPRDSSN-ZHRWSRJISA-N 0 3 221.348 2.769 20 0 BFADHN Cc1ccoc1CN[C@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000576582571 365630124 /nfs/dbraw/zinc/63/01/24/365630124.db2.gz ZSKFXADKMXCMHO-GDNZZTSVSA-N 0 3 223.316 2.634 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2ncc[nH]2)cc1 ZINC000286195614 538943180 /nfs/dbraw/zinc/94/31/80/538943180.db2.gz RAJCFYQLYHJRSK-GFCCVEGCSA-N 0 3 229.327 2.611 20 0 BFADHN CN(Cc1ccc(F)c(F)c1)CC1CC1 ZINC000076747173 398246282 /nfs/dbraw/zinc/24/62/82/398246282.db2.gz JXRZVPLEECNYGL-UHFFFAOYSA-N 0 3 211.255 2.807 20 0 BFADHN CSC[C@H](C)NCC1=Cc2ccccc2OC1 ZINC000076769131 398246651 /nfs/dbraw/zinc/24/66/51/398246651.db2.gz RYGRWAZQFFYFCF-NSHDSACASA-N 0 3 249.379 2.804 20 0 BFADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2N[C@H]1CCOC1 ZINC000104052017 178293603 /nfs/dbraw/zinc/29/36/03/178293603.db2.gz ARLZCDARIOYUDV-AAEUAGOBSA-N 0 3 249.354 2.980 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@@H]1NCc1ncccn1 ZINC000576650015 365651334 /nfs/dbraw/zinc/65/13/34/365651334.db2.gz WHBOCNKIUUKBKE-XQQFMLRXSA-N 0 3 233.359 2.781 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNC[C@H]2CCCN2C)o1 ZINC000623634188 365652257 /nfs/dbraw/zinc/65/22/57/365652257.db2.gz WLGVYDYECMHXEL-OUCADQQQSA-N 0 3 248.370 2.587 20 0 BFADHN CCCC1(CN[C@@H](C)c2ncc[nH]2)CC1 ZINC000286114742 538943452 /nfs/dbraw/zinc/94/34/52/538943452.db2.gz NGYHGVQHRFRZAQ-JTQLQIEISA-N 0 3 207.321 2.641 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2ncc[nH]2)cc1 ZINC000286195617 538943467 /nfs/dbraw/zinc/94/34/67/538943467.db2.gz RAJCFYQLYHJRSK-LBPRGKRZSA-N 0 3 229.327 2.611 20 0 BFADHN Cc1ccccc1C[C@@H](C)N[C@H](C)c1ncc[nH]1 ZINC000286295080 538943724 /nfs/dbraw/zinc/94/37/24/538943724.db2.gz WJXOAEZMLTYHKO-CHWSQXEVSA-N 0 3 243.354 3.000 20 0 BFADHN CCn1cncc1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000417697679 398253006 /nfs/dbraw/zinc/25/30/06/398253006.db2.gz CZEOYHMIWCBBSO-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1ccc(-c2ccc(CN(C)CCO)o2)cc1 ZINC000157752063 178324259 /nfs/dbraw/zinc/32/42/59/178324259.db2.gz UDBRHOAKVHIZEN-UHFFFAOYSA-N 0 3 245.322 2.679 20 0 BFADHN CC[C@H](N[C@@H](C)COC)c1ccsc1 ZINC000382167987 398256054 /nfs/dbraw/zinc/25/60/54/398256054.db2.gz VQRGZLIMDAFKBE-ONGXEEELSA-N 0 3 213.346 2.824 20 0 BFADHN Cc1ccsc1[C@H](C)NCc1cncn1C ZINC000289595762 538945566 /nfs/dbraw/zinc/94/55/66/538945566.db2.gz CIPIKTXZCGDUIZ-JTQLQIEISA-N 0 3 235.356 2.641 20 0 BFADHN CC[C@H](CN[C@@H]1CCc2ccc(F)cc21)OC ZINC000290227137 538946168 /nfs/dbraw/zinc/94/61/68/538946168.db2.gz RJUBWTJYZMZJKK-TZMCWYRMSA-N 0 3 237.318 2.828 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1sccc1C ZINC000379914681 365670847 /nfs/dbraw/zinc/67/08/47/365670847.db2.gz BCFLEIMUYRCEMB-HBNTYKKESA-N 0 3 225.357 2.885 20 0 BFADHN C[C@H](O)CN[C@]1(c2ccc(Cl)cc2)C[C@H]1C ZINC000336781828 398255929 /nfs/dbraw/zinc/25/59/29/398255929.db2.gz VVLRVLVMUBNYSQ-GBIKHYSHSA-N 0 3 239.746 2.546 20 0 BFADHN CCC(CC)N(CC)Cc1cc(C)ncn1 ZINC000298344226 168371985 /nfs/dbraw/zinc/37/19/85/168371985.db2.gz SLCATGSSQSBCTF-UHFFFAOYSA-N 0 3 221.348 2.796 20 0 BFADHN COc1ccc(-c2cc(CNC(C)C)on2)cc1 ZINC000202675249 538951327 /nfs/dbraw/zinc/95/13/27/538951327.db2.gz UHVCTQUCSJSRKB-UHFFFAOYSA-N 0 3 246.310 2.848 20 0 BFADHN COc1cccc([C@H](C)N2CCC(C)(O)CC2)c1 ZINC000177331180 538951728 /nfs/dbraw/zinc/95/17/28/538951728.db2.gz GPQRDHSKMBTQTQ-LBPRGKRZSA-N 0 3 249.354 2.603 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1O)c1ccc(Cl)cc1 ZINC000378758395 346826463 /nfs/dbraw/zinc/82/64/63/346826463.db2.gz ZIZLGNWWZIMCPT-XXILOJSOSA-N 0 3 225.719 2.514 20 0 BFADHN CCC(CC)N(CCOC)Cc1ccco1 ZINC000092565973 168373916 /nfs/dbraw/zinc/37/39/16/168373916.db2.gz HVIXDESOMMPVQD-UHFFFAOYSA-N 0 3 225.332 2.917 20 0 BFADHN COc1ncncc1CNC1CCC(C)(C)CC1 ZINC000647186909 398269364 /nfs/dbraw/zinc/26/93/64/398269364.db2.gz YXCMSNMJZJXNMM-UHFFFAOYSA-N 0 3 249.358 2.544 20 0 BFADHN CCc1nn(C)cc1CN(C)CC1CCCCC1 ZINC000179664404 538955473 /nfs/dbraw/zinc/95/54/73/538955473.db2.gz VEXAXGFVZYERRU-UHFFFAOYSA-N 0 3 249.402 2.995 20 0 BFADHN CCc1cccc(CN(C)[C@@H](C)COC)c1 ZINC000188782375 346840073 /nfs/dbraw/zinc/84/00/73/346840073.db2.gz INDMQUVSCFWXQC-LBPRGKRZSA-N 0 3 221.344 2.716 20 0 BFADHN CC[C@H]1CN(CC23CCC(CC2)C3)CCO1 ZINC000347745911 136234235 /nfs/dbraw/zinc/23/42/35/136234235.db2.gz JJYIDJRTTMNWAJ-MOKVOYLWSA-N 0 3 223.360 2.678 20 0 BFADHN CCCC[C@@H](COC)N[C@@H](C)c1c[nH]nc1C ZINC000180579060 538958296 /nfs/dbraw/zinc/95/82/96/538958296.db2.gz MIBGEJJOMOWACQ-JQWIXIFHSA-N 0 3 239.363 2.574 20 0 BFADHN CCCC[C@H](COC)N[C@@H](C)c1c[nH]nc1C ZINC000180579080 538958324 /nfs/dbraw/zinc/95/83/24/538958324.db2.gz MIBGEJJOMOWACQ-CMPLNLGQSA-N 0 3 239.363 2.574 20 0 BFADHN CCc1nn(C)cc1CN([C@H](C)C1CC1)C1CC1 ZINC000179731241 538956064 /nfs/dbraw/zinc/95/60/64/538956064.db2.gz QSGCHHFXGIRTEC-LLVKDONJSA-N 0 3 247.386 2.745 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000378884148 346853105 /nfs/dbraw/zinc/85/31/05/346853105.db2.gz JUMPANCRWPTIOC-WOPDTQHZSA-N 0 3 234.343 2.824 20 0 BFADHN CCc1cnc(CN[C@H]2CC[C@H](C)C2)s1 ZINC000164905126 361834044 /nfs/dbraw/zinc/83/40/44/361834044.db2.gz DPNPXGNIATWKEH-UWVGGRQHSA-N 0 3 224.373 2.984 20 0 BFADHN C[C@H]1C[C@@H](NCc2cc3ccc(F)cc3[nH]2)CO1 ZINC000335390827 136235022 /nfs/dbraw/zinc/23/50/22/136235022.db2.gz BDGQBEBRHHLEST-TVQRCGJNSA-N 0 3 248.301 2.574 20 0 BFADHN O[C@H]1CCN(Cc2ccsc2)C2(CCC2)C1 ZINC000335437023 136235177 /nfs/dbraw/zinc/23/51/77/136235177.db2.gz XRZOPRKUIMMJBC-LBPRGKRZSA-N 0 3 237.368 2.628 20 0 BFADHN CS[C@@H]1CCCCN(Cc2cnc(C)o2)C1 ZINC000600133262 342687250 /nfs/dbraw/zinc/68/72/50/342687250.db2.gz PHOOZZPIMPEYAJ-GFCCVEGCSA-N 0 3 240.372 2.701 20 0 BFADHN Cc1ccc2c(c1)[C@@H](N[C@H]1CCOC1)CCCO2 ZINC000182386740 538963345 /nfs/dbraw/zinc/96/33/45/538963345.db2.gz ZYYSECQCVKPODP-JSGCOSHPSA-N 0 3 247.338 2.587 20 0 BFADHN C/C(=C\c1ccccc1)CN1CCCOCC1 ZINC000370074824 538961487 /nfs/dbraw/zinc/96/14/87/538961487.db2.gz XMJZLZPHUHQPBD-WYMLVPIESA-N 0 3 231.339 2.812 20 0 BFADHN Cc1ccc2c(c1)OCCC[C@H]2N[C@H]1CCOC1 ZINC000182231922 538962151 /nfs/dbraw/zinc/96/21/51/538962151.db2.gz GYRJVRMKNZQKHV-GXTWGEPZSA-N 0 3 247.338 2.587 20 0 BFADHN C[C@H](N[C@@H]1CCS[C@H]1C)c1ccc(F)cn1 ZINC000381870918 398281075 /nfs/dbraw/zinc/28/10/75/398281075.db2.gz YTHOFZGIKHTRBS-HOTUBEGUSA-N 0 3 240.347 2.765 20 0 BFADHN C[C@H](Cc1ccc(F)cc1)NCc1ccno1 ZINC000231881779 342742838 /nfs/dbraw/zinc/74/28/38/342742838.db2.gz LYKGWKFOUFADFI-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccno2)CC(C)(C)C1 ZINC000231883317 342742878 /nfs/dbraw/zinc/74/28/78/342742878.db2.gz GSXIJURSTYJZBF-MNOVXSKESA-N 0 3 222.332 2.979 20 0 BFADHN CCc1nn(C)cc1CN([C@@H](C)C(C)C)C1CC1 ZINC000183446602 538967176 /nfs/dbraw/zinc/96/71/76/538967176.db2.gz TWRAHSIFOKCIPI-LBPRGKRZSA-N 0 3 249.402 2.991 20 0 BFADHN CC(C)[C@@H](C)CNCc1ccncc1F ZINC000449158223 398280468 /nfs/dbraw/zinc/28/04/68/398280468.db2.gz SNHCAUOSMUKWQT-JTQLQIEISA-N 0 3 210.296 2.602 20 0 BFADHN Fc1cnccc1CNCCCC(F)(F)F ZINC000449166427 398280941 /nfs/dbraw/zinc/28/09/41/398280941.db2.gz CVARZBBEHOLNSG-UHFFFAOYSA-N 0 3 236.212 2.653 20 0 BFADHN CCN(Cc1ccc(Cl)s1)C[C@@H](C)O ZINC000172563580 346861140 /nfs/dbraw/zinc/86/11/40/346861140.db2.gz QYVXEQXQILFKOO-MRVPVSSYSA-N 0 3 233.764 2.604 20 0 BFADHN CCC(CC)NCC(=O)Nc1ccc(F)cc1 ZINC000020037294 168387022 /nfs/dbraw/zinc/38/70/22/168387022.db2.gz OTYSOGFKMQZNTD-UHFFFAOYSA-N 0 3 238.306 2.542 20 0 BFADHN CCN[C@H](C)C(=O)Nc1cc(Cl)ccc1C ZINC000309651417 342776017 /nfs/dbraw/zinc/77/60/17/342776017.db2.gz OEKSCTWOABIBER-SECBINFHSA-N 0 3 240.734 2.585 20 0 BFADHN CCC(C)(C)CCN[C@@H](C(N)=O)c1ccccc1 ZINC000634576723 342782002 /nfs/dbraw/zinc/78/20/02/342782002.db2.gz YTXPYMYFPMIMPX-CYBMUJFWSA-N 0 3 248.370 2.629 20 0 BFADHN CCOCCCN1CCOc2ccccc2[C@H]1C ZINC000607728731 342782705 /nfs/dbraw/zinc/78/27/05/342782705.db2.gz QKTSUBHQTGWSRH-CYBMUJFWSA-N 0 3 249.354 2.869 20 0 BFADHN CCC(CC)NCc1cn2cc(C)ccc2n1 ZINC000263860801 168390303 /nfs/dbraw/zinc/39/03/03/168390303.db2.gz IFKPFOLXNHBPQZ-UHFFFAOYSA-N 0 3 231.343 2.921 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1nc(C)c(C)o1 ZINC000075021918 342792088 /nfs/dbraw/zinc/79/20/88/342792088.db2.gz KZFKWQALVVQFSE-BXKDBHETSA-N 0 3 222.332 2.912 20 0 BFADHN CCCc1ncc(CN[C@H]2CS[C@H](C)C2)o1 ZINC000639254232 398285913 /nfs/dbraw/zinc/28/59/13/398285913.db2.gz WFFBUXGIZROELD-NXEZZACHSA-N 0 3 240.372 2.611 20 0 BFADHN C[C@H](NC[C@H]1CC(C)(C)CO1)c1cccnc1 ZINC000634582014 342797644 /nfs/dbraw/zinc/79/76/44/342797644.db2.gz IXVKZAKUMOWUMJ-WCQYABFASA-N 0 3 234.343 2.547 20 0 BFADHN CC1(C)C(CNCc2ccncc2F)C1(C)C ZINC000449298354 398290345 /nfs/dbraw/zinc/29/03/45/398290345.db2.gz JPBQTSVDDUODGQ-UHFFFAOYSA-N 0 3 236.334 2.993 20 0 BFADHN C[C@H](N[C@H]1CCCN(C)C1)c1ccccc1F ZINC000040671949 538972843 /nfs/dbraw/zinc/97/28/43/538972843.db2.gz BDOUNKBRUVFZPX-RYUDHWBXSA-N 0 3 236.334 2.571 20 0 BFADHN C[C@@H](N[C@@H]1CCCN(C)C1)c1ccccc1F ZINC000040671948 538973081 /nfs/dbraw/zinc/97/30/81/538973081.db2.gz BDOUNKBRUVFZPX-VXGBXAGGSA-N 0 3 236.334 2.571 20 0 BFADHN CCC(C)(C)CNCc1ccncc1F ZINC000449285649 398288398 /nfs/dbraw/zinc/28/83/98/398288398.db2.gz RCXMAIGXKYNQRV-UHFFFAOYSA-N 0 3 210.296 2.747 20 0 BFADHN COc1cccc(C)c1CNC[C@H](C)SC ZINC000602572586 349622320 /nfs/dbraw/zinc/62/23/20/349622320.db2.gz RINPCEUEKCASQD-NSHDSACASA-N 0 3 239.384 2.845 20 0 BFADHN CC(C)OCCN[C@H]1CCc2ccc(F)cc21 ZINC000186636077 538974176 /nfs/dbraw/zinc/97/41/76/538974176.db2.gz GLQTWTOBCKRPNJ-AWEZNQCLSA-N 0 3 237.318 2.828 20 0 BFADHN C[C@H](NCc1ccccc1F)[C@@H]1CCCCO1 ZINC000379255582 538976487 /nfs/dbraw/zinc/97/64/87/538976487.db2.gz IVRKWPMVUDHULD-FZMZJTMJSA-N 0 3 237.318 2.873 20 0 BFADHN CCN1CCC2(CC1)OCCc1ccsc12 ZINC000336483875 342807611 /nfs/dbraw/zinc/80/76/11/342807611.db2.gz YYVMDCWRWDLLLG-UHFFFAOYSA-N 0 3 237.368 2.632 20 0 BFADHN c1ccc(CNC2CC3(CCC3)C2)nc1 ZINC000380154203 538986482 /nfs/dbraw/zinc/98/64/82/538986482.db2.gz YOOUXKWLCDUDGM-UHFFFAOYSA-N 0 3 202.301 2.504 20 0 BFADHN CCN(Cc1sc(C)nc1C)CC1CC1 ZINC000172804872 346864521 /nfs/dbraw/zinc/86/45/21/346864521.db2.gz OHRGTDPZZFPWHU-UHFFFAOYSA-N 0 3 224.373 2.992 20 0 BFADHN O[C@@H]1CC[C@H](CNCc2cscc2Cl)C1 ZINC000380189810 538986987 /nfs/dbraw/zinc/98/69/87/538986987.db2.gz OJAHXRWVCUNYEO-WCBMZHEXSA-N 0 3 245.775 2.652 20 0 BFADHN CC[C@H](CN[C@@H](C)c1cnc(C)s1)OC ZINC000380207885 538987609 /nfs/dbraw/zinc/98/76/09/538987609.db2.gz XJELMTKEKTZCCQ-WCBMZHEXSA-N 0 3 228.361 2.527 20 0 BFADHN C[C@@H](NCc1ccsc1)[C@@H]1CCCCO1 ZINC000380354003 538989477 /nfs/dbraw/zinc/98/94/77/538989477.db2.gz WFOLNHVCFMVGIQ-PWSUYJOCSA-N 0 3 225.357 2.795 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN(C)CC2CC(O)C2)o1 ZINC000191157917 538991465 /nfs/dbraw/zinc/99/14/65/538991465.db2.gz CKNPCUIEFNYVLL-MLCFOIATSA-N 0 3 249.354 2.606 20 0 BFADHN COC[C@@H](C)CN[C@@H](C)c1nc(C)sc1C ZINC000045657044 538993163 /nfs/dbraw/zinc/99/31/63/538993163.db2.gz NATWQJMMRYCUCQ-IUCAKERBSA-N 0 3 242.388 2.693 20 0 BFADHN CC[C@@H](C)N[C@@H](C)c1ccncc1OC ZINC000188759883 538979709 /nfs/dbraw/zinc/97/97/09/538979709.db2.gz OTHWOJQPKWDBHZ-ZJUUUORDSA-N 0 3 208.305 2.539 20 0 BFADHN Cc1cccc(CN2CC3(CC3)C2)c1C ZINC000449370871 398292311 /nfs/dbraw/zinc/29/23/11/398292311.db2.gz NXQNTQBPJDHKRA-UHFFFAOYSA-N 0 3 201.313 2.899 20 0 BFADHN COCC(C)(C)CCCNCc1nccs1 ZINC000381488213 539002101 /nfs/dbraw/zinc/00/21/01/539002101.db2.gz WGDBPTCRGDCYBZ-UHFFFAOYSA-N 0 3 242.388 2.686 20 0 BFADHN Fc1cccc2c1CCC[C@H]2N[C@@H]1CCCOC1 ZINC000449349426 398294048 /nfs/dbraw/zinc/29/40/48/398294048.db2.gz JKDMUOHUIHZCDC-IAQYHMDHSA-N 0 3 249.329 2.972 20 0 BFADHN CC(C)n1ccnc1CNC1CCCCC1 ZINC000381501242 539002463 /nfs/dbraw/zinc/00/24/63/539002463.db2.gz OXHMBHRVGJSEDU-UHFFFAOYSA-N 0 3 221.348 2.886 20 0 BFADHN CO[C@@H](CN[C@H]1CCCc2cccnc21)C(C)C ZINC000381935311 539008233 /nfs/dbraw/zinc/00/82/33/539008233.db2.gz SCISWBSSPSGRPQ-KBPBESRZSA-N 0 3 248.370 2.720 20 0 BFADHN CC(C)OC1CCC(NCc2cocn2)CC1 ZINC000192335843 538994413 /nfs/dbraw/zinc/99/44/13/538994413.db2.gz CBMJHYXUXVQOHH-UHFFFAOYSA-N 0 3 238.331 2.500 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@@H]1CCC(F)(F)C1 ZINC000381330133 538997999 /nfs/dbraw/zinc/99/79/99/538997999.db2.gz STPMZQVJYIIYBC-VXNVDRBHSA-N 0 3 229.274 2.557 20 0 BFADHN CC(C)(CC(F)(F)F)NCc1cccnc1 ZINC000390246690 539020587 /nfs/dbraw/zinc/02/05/87/539020587.db2.gz JDSNAYGOCRCCGD-UHFFFAOYSA-N 0 3 232.249 2.902 20 0 BFADHN CC(C)Cn1nccc1CN1C[C@H]2CCC[C@@H]2C1 ZINC000648008818 398299517 /nfs/dbraw/zinc/29/95/17/398299517.db2.gz AAGJKMVQTSKUOB-ZIAGYGMSSA-N 0 3 247.386 2.771 20 0 BFADHN C[C@@H](NCc1ccc([C@H]2C[C@H]2C)o1)C(C)(C)O ZINC000393959037 344006378 /nfs/dbraw/zinc/00/63/78/344006378.db2.gz AGIQAYOXOKKCTP-FOGDFJRCSA-N 0 3 237.343 2.652 20 0 BFADHN C/C=C\CN[C@H]1CCN(C(C)=O)c2ccccc21 ZINC000624199456 342842471 /nfs/dbraw/zinc/84/24/71/342842471.db2.gz PMSHPRWUPQIODD-NQHOJNORSA-N 0 3 244.338 2.650 20 0 BFADHN Cc1ncc([C@@H](C)N(C)C(C)(C)C)c(C)n1 ZINC000639287066 398297385 /nfs/dbraw/zinc/29/73/85/398297385.db2.gz XQZZATHRBWAYIZ-SNVBAGLBSA-N 0 3 221.348 2.885 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc(C(=O)N(C)C)cc1 ZINC000624199804 342843702 /nfs/dbraw/zinc/84/37/02/342843702.db2.gz YQWKGHIHNCNANM-FYJFLYSWSA-N 0 3 246.354 2.615 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc(C(=O)N(C)C)cc1 ZINC000624199802 342843750 /nfs/dbraw/zinc/84/37/50/342843750.db2.gz YQWKGHIHNCNANM-FJOGCWAESA-N 0 3 246.354 2.615 20 0 BFADHN OC[C@@H](CC1CC1)NCc1sccc1Cl ZINC000389879505 539017824 /nfs/dbraw/zinc/01/78/24/539017824.db2.gz NJPYUOXAGXQIPF-SECBINFHSA-N 0 3 245.775 2.652 20 0 BFADHN C[C@@H](NCc1ccc([C@@H]2C[C@H]2C)o1)C(C)(C)O ZINC000393959033 344006225 /nfs/dbraw/zinc/00/62/25/344006225.db2.gz AGIQAYOXOKKCTP-CKYFFXLPSA-N 0 3 237.343 2.652 20 0 BFADHN CSCCNCc1sccc1Cl ZINC000379331592 342841600 /nfs/dbraw/zinc/84/16/00/342841600.db2.gz DIZPGIHQLWEDFV-UHFFFAOYSA-N 0 3 221.778 2.854 20 0 BFADHN CCCC1(CNCc2snnc2C)CCC1 ZINC000391989130 398300154 /nfs/dbraw/zinc/30/01/54/398300154.db2.gz YPMWPEUSMSNVOU-UHFFFAOYSA-N 0 3 239.388 2.907 20 0 BFADHN CCCCCn1cc([C@@H](N)C(C)(C)C)nn1 ZINC000640752068 361993537 /nfs/dbraw/zinc/99/35/37/361993537.db2.gz BIWRBJJGSFQPIY-LLVKDONJSA-N 0 3 224.352 2.514 20 0 BFADHN Cc1cnccc1CNCC(C)(C)OCC1CC1 ZINC000580295953 346868321 /nfs/dbraw/zinc/86/83/21/346868321.db2.gz POJCQFPGUMZVBU-UHFFFAOYSA-N 0 3 248.370 2.685 20 0 BFADHN Fc1cnccc1CNC[C@@H]1CCCC1(F)F ZINC000449492303 398301156 /nfs/dbraw/zinc/30/11/56/398301156.db2.gz IYIWMQJMGSKEGP-JTQLQIEISA-N 0 3 244.260 2.746 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@H]2CCCO2)o1 ZINC000252133423 398301218 /nfs/dbraw/zinc/30/12/18/398301218.db2.gz MGOIYRDLYCYBSH-NQBHXWOUSA-N 0 3 235.327 2.672 20 0 BFADHN COc1cncc(CN(C)C2CCCCCC2)n1 ZINC000625052661 342846357 /nfs/dbraw/zinc/84/63/57/342846357.db2.gz KHMNTCJFDBZDHU-UHFFFAOYSA-N 0 3 249.358 2.640 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CC[C@H]1C(C)C ZINC000449565067 398301379 /nfs/dbraw/zinc/30/13/79/398301379.db2.gz GFMQTGGEKPHWPB-RWMBFGLXSA-N 0 3 235.375 2.988 20 0 BFADHN C[C@@H]1CCCN(Cc2cc(CO)ccc2F)C1 ZINC000625065952 342847884 /nfs/dbraw/zinc/84/78/84/342847884.db2.gz SJCWYPBQZCFPEO-LLVKDONJSA-N 0 3 237.318 2.550 20 0 BFADHN CC(C)CN(CC(=O)NCC(C)(C)C)C(C)C ZINC000625082640 342849052 /nfs/dbraw/zinc/84/90/52/342849052.db2.gz BVHYYHWUASPEHN-UHFFFAOYSA-N 0 3 242.407 2.515 20 0 BFADHN CCC[C@@H](C)CCCN(CC)CC(=O)N(C)C ZINC000625080574 342850160 /nfs/dbraw/zinc/85/01/60/342850160.db2.gz DLFKNVYEEGBLMW-CYBMUJFWSA-N 0 3 242.407 2.613 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@@H]2C(C)C)o1 ZINC000449610522 398303650 /nfs/dbraw/zinc/30/36/50/398303650.db2.gz QYTOGMWOOMEQIH-NEPJUHHUSA-N 0 3 222.332 2.761 20 0 BFADHN CC(C)(C)[C@@H]1CCCN1Cc1cc2n(n1)CCC2 ZINC000649510214 398304470 /nfs/dbraw/zinc/30/44/70/398304470.db2.gz VFJRIQOOQWWAFM-AWEZNQCLSA-N 0 3 247.386 2.840 20 0 BFADHN CN(Cc1ccc(Cl)nc1)[C@H]1CCSC1 ZINC000037174786 398304695 /nfs/dbraw/zinc/30/46/95/398304695.db2.gz IFTLVKZMOGOMOX-JTQLQIEISA-N 0 3 242.775 2.672 20 0 BFADHN Cc1nccnc1CN[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000621325637 342866901 /nfs/dbraw/zinc/86/69/01/342866901.db2.gz FCIUBQARVFOMBL-NTZNESFSSA-N 0 3 233.359 2.699 20 0 BFADHN CN1CCC[C@H](CNc2nc(Cl)cs2)C1 ZINC000165014529 342868695 /nfs/dbraw/zinc/86/86/95/342868695.db2.gz YSYKLRQEIIPFGJ-MRVPVSSYSA-N 0 3 245.779 2.550 20 0 BFADHN CN1CCC[C@@H]1CNCc1cscc1Cl ZINC000308940496 342876821 /nfs/dbraw/zinc/87/68/21/342876821.db2.gz LZMWVZGYLFRNCQ-SNVBAGLBSA-N 0 3 244.791 2.585 20 0 BFADHN CN1CCC[C@H]1CNCc1cscc1Cl ZINC000308940495 342876950 /nfs/dbraw/zinc/87/69/50/342876950.db2.gz LZMWVZGYLFRNCQ-JTQLQIEISA-N 0 3 244.791 2.585 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1cccc(C(F)(F)F)n1 ZINC000449403220 398310652 /nfs/dbraw/zinc/31/06/52/398310652.db2.gz VJGCPICOSVQKLP-BDAKNGLRSA-N 0 3 244.260 2.846 20 0 BFADHN CCO[C@@H](CN1Cc2ccccc2C1)C1CC1 ZINC000625148806 342894039 /nfs/dbraw/zinc/89/40/39/342894039.db2.gz AACDBYAXOMLCJI-HNNXBMFYSA-N 0 3 231.339 2.817 20 0 BFADHN CCO[C@H](CN(CC)Cc1ccoc1)C1CC1 ZINC000625131463 342884808 /nfs/dbraw/zinc/88/48/08/342884808.db2.gz BBOTWHOUSSRNLV-CQSZACIVSA-N 0 3 237.343 2.917 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2ccncc2F)C1 ZINC000449298378 398314534 /nfs/dbraw/zinc/31/45/34/398314534.db2.gz JUNOAKVAVOJRBR-MNOVXSKESA-N 0 3 222.307 2.747 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CO[C@@H](C)C2)cc1 ZINC000401819983 259328087 /nfs/dbraw/zinc/32/80/87/259328087.db2.gz JLQYLSCCWXMQAA-SGMGOOAPSA-N 0 3 219.328 2.823 20 0 BFADHN CCOCCNCc1ccc(C)cc1Cl ZINC000233727405 342911970 /nfs/dbraw/zinc/91/19/70/342911970.db2.gz IDAWRQCHVJAFOZ-UHFFFAOYSA-N 0 3 227.735 2.775 20 0 BFADHN CCO[C@H](CN(C)Cc1cccc(O)c1)C1CC1 ZINC000625208932 342912303 /nfs/dbraw/zinc/91/23/03/342912303.db2.gz PCDUPBSBOACXJM-OAHLLOKOSA-N 0 3 249.354 2.639 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCSc3ccccc32)CO1 ZINC000401922811 259329086 /nfs/dbraw/zinc/32/90/86/259329086.db2.gz OXRZGDHQJSBCBW-NQBHXWOUSA-N 0 3 249.379 2.991 20 0 BFADHN CCN(CCSC)CCC(F)(F)F ZINC000608784410 342923941 /nfs/dbraw/zinc/92/39/41/342923941.db2.gz UQSBJUCSZSYXNR-UHFFFAOYSA-N 0 3 215.284 2.624 20 0 BFADHN CC[C@@H](O)CN(C)[C@H](C)c1sc(C)nc1C ZINC000173712301 346873047 /nfs/dbraw/zinc/87/30/47/346873047.db2.gz WUDISPXGUWRDRX-MWLCHTKSSA-N 0 3 242.388 2.524 20 0 BFADHN CCN(Cc1cc(C)ccc1OC)C1CC1 ZINC000045770941 342918651 /nfs/dbraw/zinc/91/86/51/342918651.db2.gz SOIMETBGCGTABD-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cc(CO)ccc1F ZINC000625223323 342920375 /nfs/dbraw/zinc/92/03/75/342920375.db2.gz MPKSUMARYKWLMM-QWRGUYRKSA-N 0 3 237.318 2.691 20 0 BFADHN CCO[C@@H](CN(CC)Cc1ccccn1)C1CC1 ZINC000625223355 342920435 /nfs/dbraw/zinc/92/04/35/342920435.db2.gz NEIPFESHAMVFMR-HNNXBMFYSA-N 0 3 248.370 2.719 20 0 BFADHN Cc1cc(CN[C@@H]2COCC2(C)C)ccc1F ZINC000313059361 259330184 /nfs/dbraw/zinc/33/01/84/259330184.db2.gz FGMMUEDXXGEWJW-CYBMUJFWSA-N 0 3 237.318 2.649 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cc(OC)ccn1 ZINC000466927329 529439470 /nfs/dbraw/zinc/43/94/70/529439470.db2.gz QWDFUFQHWYTBMA-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@]1(O)CCN(C/C=C\c2ccccc2)C1 ZINC000495190232 529440684 /nfs/dbraw/zinc/44/06/84/529440684.db2.gz IBVZGYLJSKIWJT-AJHXJQRKSA-N 0 3 231.339 2.547 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1CCC(C)(C)CC1 ZINC000351750926 536648935 /nfs/dbraw/zinc/64/89/35/536648935.db2.gz CTJDRYUCDIKVFC-LBPRGKRZSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H]1CN(C[C@@]2(C)CCCS2)CC(C)(C)O1 ZINC000628154379 398336237 /nfs/dbraw/zinc/33/62/37/398336237.db2.gz VBLHZGRQQGQYKN-WCQYABFASA-N 0 3 243.416 2.771 20 0 BFADHN CN(Cc1ccccn1)C1CC(OC(C)(C)C)C1 ZINC000153845092 398337858 /nfs/dbraw/zinc/33/78/58/398337858.db2.gz VYTMFBVAYLDYRV-UHFFFAOYSA-N 0 3 248.370 2.860 20 0 BFADHN Cc1cnc([C@H](C)NCCc2ccccn2)s1 ZINC000124377051 398338782 /nfs/dbraw/zinc/33/87/82/398338782.db2.gz CIWRTWWICDTPSQ-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cnc(CN2CC[C@H](C)C[C@@H](C)C2)o1 ZINC000246728816 398331171 /nfs/dbraw/zinc/33/11/71/398331171.db2.gz OOQJRPGPDCKOJK-WDEREUQCSA-N 0 3 222.332 2.851 20 0 BFADHN CC[C@@H](O)CCNCc1ccsc1Cl ZINC000308597490 346886353 /nfs/dbraw/zinc/88/63/53/346886353.db2.gz NCBBBXSYQWQVLY-SECBINFHSA-N 0 3 233.764 2.652 20 0 BFADHN Cc1scc(CN[C@@H]2CO[C@@H](C)C2)c1C ZINC000401848310 398333662 /nfs/dbraw/zinc/33/36/62/398333662.db2.gz YFRCQCKAPZOGOF-UFBFGSQYSA-N 0 3 225.357 2.632 20 0 BFADHN COCc1ccc([C@@H]2CCCN2CCCF)o1 ZINC000131367856 398340748 /nfs/dbraw/zinc/34/07/48/398340748.db2.gz UFLZGCMPJJDXBG-LBPRGKRZSA-N 0 3 241.306 2.923 20 0 BFADHN CC[C@@H](COC)N[C@@H]1CCCOc2ccccc21 ZINC000133660985 398341690 /nfs/dbraw/zinc/34/16/90/398341690.db2.gz FULXCHAKFNIECA-GXTWGEPZSA-N 0 3 249.354 2.915 20 0 BFADHN C[C@@H](N[C@@H]1CC=CCC1)c1ccncc1F ZINC000335742015 398342332 /nfs/dbraw/zinc/34/23/32/398342332.db2.gz QYZDBMQXSORYTI-GHMZBOCLSA-N 0 3 220.291 2.980 20 0 BFADHN C[C@H](N[C@@H]1CC=CCC1)c1ccncc1F ZINC000335742018 398342334 /nfs/dbraw/zinc/34/23/34/398342334.db2.gz QYZDBMQXSORYTI-WDEREUQCSA-N 0 3 220.291 2.980 20 0 BFADHN Cc1ncc(CN(C)C[C@H]2CC=CCC2)cn1 ZINC000351752096 136251009 /nfs/dbraw/zinc/25/10/09/136251009.db2.gz MRWJIMRZYBJTQJ-ZDUSSCGKSA-N 0 3 231.343 2.573 20 0 BFADHN CC(C)c1ccc(NC(=O)[C@@H]2CCCCN2)cc1 ZINC000019412554 342948292 /nfs/dbraw/zinc/94/82/92/342948292.db2.gz MSGCODYLPNIIQL-AWEZNQCLSA-N 0 3 246.354 2.891 20 0 BFADHN CCCCN(CC)C(=O)C[C@@H](N)c1ccccc1 ZINC000019430668 342948645 /nfs/dbraw/zinc/94/86/45/342948645.db2.gz DSRALASTKQPFCK-CQSZACIVSA-N 0 3 248.370 2.725 20 0 BFADHN C[C@H](F)CCN(Cc1ccncc1)C1CC1 ZINC000351759712 136252575 /nfs/dbraw/zinc/25/25/75/136252575.db2.gz SJHCIEVJFIPNAG-NSHDSACASA-N 0 3 222.307 2.794 20 0 BFADHN CCN(CC[C@@H](C)F)Cc1ccncc1 ZINC000351758862 136252621 /nfs/dbraw/zinc/25/26/21/136252621.db2.gz KIUBOQPZEKZAST-LLVKDONJSA-N 0 3 210.296 2.652 20 0 BFADHN C[C@H](F)CCN(C)C[C@H](C)c1nccs1 ZINC000351761355 136252705 /nfs/dbraw/zinc/25/27/05/136252705.db2.gz XHBIPWXDLPKPLO-UWVGGRQHSA-N 0 3 230.352 2.927 20 0 BFADHN C[C@H](O)CCN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000075781493 344017248 /nfs/dbraw/zinc/01/72/48/344017248.db2.gz QAFCVZFWPCCQJM-UWVGGRQHSA-N 0 3 243.297 2.729 20 0 BFADHN CCC[C@@H](NCc1ncc[nH]1)C1CCCC1 ZINC000313388318 259334265 /nfs/dbraw/zinc/33/42/65/259334265.db2.gz BTIXUUQESSDGDE-GFCCVEGCSA-N 0 3 221.348 2.858 20 0 BFADHN CCc1cnc(CN[C@H]2CC[C@@H]2C)s1 ZINC000336679161 346900135 /nfs/dbraw/zinc/90/01/35/346900135.db2.gz FSUWNMQHJDAQOB-WPRPVWTQSA-N 0 3 210.346 2.594 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H](CC)COC ZINC000356889306 342954604 /nfs/dbraw/zinc/95/46/04/342954604.db2.gz FQBRMZXEOSNJGA-WCQYABFASA-N 0 3 236.359 2.720 20 0 BFADHN COC1CC(N(C)Cc2cc(C)sc2C)C1 ZINC000352211264 536718029 /nfs/dbraw/zinc/71/80/29/536718029.db2.gz JISUAGXNVBKFLJ-UHFFFAOYSA-N 0 3 239.384 2.974 20 0 BFADHN Cc1ccc(CNCCCOCC(C)C)o1 ZINC000020147190 342972123 /nfs/dbraw/zinc/97/21/23/342972123.db2.gz MKKCLVVVKUKFOL-UHFFFAOYSA-N 0 3 225.332 2.740 20 0 BFADHN Cc1ccc(CNC[C@H](CC(C)C)N(C)C)o1 ZINC000020201209 342976226 /nfs/dbraw/zinc/97/62/26/342976226.db2.gz FBSRMLIRLHXYAH-ZDUSSCGKSA-N 0 3 238.375 2.654 20 0 BFADHN Cc1ccc(CNC[C@@H](CC(C)C)N(C)C)o1 ZINC000020201212 342976787 /nfs/dbraw/zinc/97/67/87/342976787.db2.gz FBSRMLIRLHXYAH-CYBMUJFWSA-N 0 3 238.375 2.654 20 0 BFADHN CC(C)C[C@H](CN[C@H](C)c1ccccn1)N(C)C ZINC000020201352 342976812 /nfs/dbraw/zinc/97/68/12/342976812.db2.gz VEWSRHQVYXDIJN-ZIAGYGMSSA-N 0 3 249.402 2.709 20 0 BFADHN COC1CCC(N[C@@H](C)c2nccs2)CC1 ZINC000164264847 536740525 /nfs/dbraw/zinc/74/05/25/536740525.db2.gz AUGLXKDRDMVMLV-WHXUTIOJSA-N 0 3 240.372 2.751 20 0 BFADHN CCC[C@H](N)C(=O)Nc1cccc(C(C)C)c1 ZINC000036873082 342992543 /nfs/dbraw/zinc/99/25/43/342992543.db2.gz FTKTTZUPLHJLJO-ZDUSSCGKSA-N 0 3 234.343 2.876 20 0 BFADHN C[C@@H](N[C@H](C)c1ccccc1)C(=O)NC(C)(C)C ZINC000020311956 342981618 /nfs/dbraw/zinc/98/16/18/342981618.db2.gz WLYVGLFEXUARRB-VXGBXAGGSA-N 0 3 248.370 2.640 20 0 BFADHN CSC1CCN(Cc2cnc(C)s2)CC1 ZINC000602724966 349714406 /nfs/dbraw/zinc/71/44/06/349714406.db2.gz GIMKQDCBOCVLKO-UHFFFAOYSA-N 0 3 242.413 2.779 20 0 BFADHN CSC1CCN(Cc2ccoc2C)CC1 ZINC000602728293 349716260 /nfs/dbraw/zinc/71/62/60/349716260.db2.gz YZZKQRVALYAGDK-UHFFFAOYSA-N 0 3 225.357 2.915 20 0 BFADHN CCN(C#N)CCN(C1CC1)C1CCCCC1 ZINC000602764834 349734552 /nfs/dbraw/zinc/73/45/52/349734552.db2.gz ZKPARLMNXZIVLX-UHFFFAOYSA-N 0 3 235.375 2.586 20 0 BFADHN CCCCCNC(=O)CN(CCCC)C1CC1 ZINC000046092967 343021185 /nfs/dbraw/zinc/02/11/85/343021185.db2.gz LNMXKFNFHCMNFN-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN C[C@H]1CCCN1[C@@H](C(=O)OC(C)(C)C)C1CC1 ZINC000602784360 349749225 /nfs/dbraw/zinc/74/92/25/349749225.db2.gz MYQAYCMORXQWAU-CMPLNLGQSA-N 0 3 239.359 2.591 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1ccc(C)s1 ZINC000309029521 259341575 /nfs/dbraw/zinc/34/15/75/259341575.db2.gz CTBHHGHEOHOXME-GMTAPVOTSA-N 0 3 225.357 2.885 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H]1CC[C@H](OC)C1 ZINC000471789002 529624036 /nfs/dbraw/zinc/62/40/36/529624036.db2.gz CKUBKENWVBGMTQ-UBHSHLNASA-N 0 3 248.370 2.862 20 0 BFADHN C[C@@H](CNCc1ncc[nH]1)C1CCCCC1 ZINC000309516461 259343256 /nfs/dbraw/zinc/34/32/56/259343256.db2.gz YVBZBRSKQORABR-NSHDSACASA-N 0 3 221.348 2.716 20 0 BFADHN CCCC[C@H](CC)CN1CCC[C@H]1C(=O)OC ZINC000059836188 343045852 /nfs/dbraw/zinc/04/58/52/343045852.db2.gz KIDFIAPBQNNNKX-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN c1cc(CN2CCC[C@H]3CCCC[C@H]32)no1 ZINC000247043881 343052778 /nfs/dbraw/zinc/05/27/78/343052778.db2.gz QDHKRSUAWYLIBY-DGCLKSJQSA-N 0 3 220.316 2.829 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1nc(C)cs1 ZINC000038090863 343059620 /nfs/dbraw/zinc/05/96/20/343059620.db2.gz LOUXLNSBLWYBKY-PSASIEDQSA-N 0 3 212.362 2.976 20 0 BFADHN Cc1csc(CN[C@H](C)CC(C)C)n1 ZINC000038090749 343059664 /nfs/dbraw/zinc/05/96/64/343059664.db2.gz KJCMYPUHCPOIBC-SECBINFHSA-N 0 3 212.362 2.976 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1cccc(Cl)c1 ZINC000379917478 365697683 /nfs/dbraw/zinc/69/76/83/365697683.db2.gz DOQGLTLGRULUDL-RYUDHWBXSA-N 0 3 225.719 2.607 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1c(C)cccc1C ZINC000309838490 343063612 /nfs/dbraw/zinc/06/36/12/343063612.db2.gz BWIWTYZGBSTLOS-UONOGXRCSA-N 0 3 219.328 2.570 20 0 BFADHN CC(C)n1ccnc1CN1C[C@H]2CCC[C@@H]2C1 ZINC000176254792 365698970 /nfs/dbraw/zinc/69/89/70/365698970.db2.gz VRGRYRJDTMYWAK-CHWSQXEVSA-N 0 3 233.359 2.696 20 0 BFADHN Cc1cccc(C)c1CN1C[C@@H](C)OC[C@H]1C ZINC000247083784 343066269 /nfs/dbraw/zinc/06/62/69/343066269.db2.gz NMKNVCYPKPBLON-ZIAGYGMSSA-N 0 3 233.355 2.913 20 0 BFADHN Cc1cnc(CN2CC[C@H](C3CC3)C2)s1 ZINC000336626819 136264705 /nfs/dbraw/zinc/26/47/05/136264705.db2.gz MXPZIIIUAAUTKJ-NSHDSACASA-N 0 3 222.357 2.683 20 0 BFADHN CC[C@](C)(CNCc1sccc1OC)OC ZINC000449472862 259349247 /nfs/dbraw/zinc/34/92/47/259349247.db2.gz GJWYXGBHNXJDTR-GFCCVEGCSA-N 0 3 243.372 2.661 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CCc1ccccc1 ZINC000356039603 136266316 /nfs/dbraw/zinc/26/63/16/136266316.db2.gz DPCHBNJCTREHDA-ZFWWWQNUSA-N 0 3 233.355 2.728 20 0 BFADHN CN(Cc1ccc(F)c2cccnc12)C1CC1 ZINC000042123818 343096607 /nfs/dbraw/zinc/09/66/07/343096607.db2.gz DNWRUEKURCSASO-UHFFFAOYSA-N 0 3 230.286 2.968 20 0 BFADHN C[C@H]1SCCN(CCC(F)(F)F)[C@@H]1C ZINC000077317994 343099553 /nfs/dbraw/zinc/09/95/53/343099553.db2.gz QELYWGPERIOFGF-HTQZYQBOSA-N 0 3 227.295 2.765 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(CC)cc1 ZINC000309954766 343108759 /nfs/dbraw/zinc/10/87/59/343108759.db2.gz HSKWOSVIRYSTNN-ZIAGYGMSSA-N 0 3 219.328 2.516 20 0 BFADHN CCO[C@H]1CCCN(Cc2ccc(F)cc2)C1 ZINC000076403576 343109440 /nfs/dbraw/zinc/10/94/40/343109440.db2.gz SSWAQHUNLUKOBB-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN CCCCN(C)Cc1cc(C(=O)OC)oc1C ZINC000143072786 343117549 /nfs/dbraw/zinc/11/75/49/343117549.db2.gz YPAWQEIIDJOUMU-UHFFFAOYSA-N 0 3 239.315 2.607 20 0 BFADHN Cn1ccc(CNC/C=C\c2ccc(F)cc2)c1 ZINC000344600114 365709670 /nfs/dbraw/zinc/70/96/70/365709670.db2.gz GYEPKHBTQIJCET-IHWYPQMZSA-N 0 3 244.313 2.967 20 0 BFADHN CCC[C@H](C)n1cc([C@@H](N)C(C)(C)C)nn1 ZINC000640942395 362085307 /nfs/dbraw/zinc/08/53/07/362085307.db2.gz RNMOZAADHDMQRH-GXSJLCMTSA-N 0 3 224.352 2.685 20 0 BFADHN CC(C)CCCn1cc([C@@H](N)C(C)(C)C)nn1 ZINC000640940790 362087341 /nfs/dbraw/zinc/08/73/41/362087341.db2.gz ZTNAEUOZSSRKFS-GFCCVEGCSA-N 0 3 238.379 2.760 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CCC[C@H]3C[C@H]32)o1 ZINC000576923509 365723004 /nfs/dbraw/zinc/72/30/04/365723004.db2.gz JUMPANCRWPTIOC-TUAOUCFPSA-N 0 3 234.343 2.824 20 0 BFADHN CC[C@H](C)N(C)Cc1ccc(COC)o1 ZINC000507188226 529691240 /nfs/dbraw/zinc/69/12/40/529691240.db2.gz OPPYTUIJTGFOLJ-JTQLQIEISA-N 0 3 211.305 2.656 20 0 BFADHN CCC1(CN[C@@H](C)c2cncnc2C)CC1 ZINC000576956862 365732328 /nfs/dbraw/zinc/73/23/28/365732328.db2.gz IQRVXFJYFIEOLT-JTQLQIEISA-N 0 3 219.332 2.626 20 0 BFADHN CC(C)(CNCc1cnccn1)c1cccs1 ZINC000126144707 343169160 /nfs/dbraw/zinc/16/91/60/343169160.db2.gz PLXLXKORZZLVRP-UHFFFAOYSA-N 0 3 247.367 2.606 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2ccc(F)cc2F)O1 ZINC000128459044 343174574 /nfs/dbraw/zinc/17/45/74/343174574.db2.gz JGQSGPZJVAFRLE-CABZTGNLSA-N 0 3 241.281 2.622 20 0 BFADHN CC[C@H](c1ccccc1)n1cc([C@@H](N)CC)nn1 ZINC000641011018 362125803 /nfs/dbraw/zinc/12/58/03/362125803.db2.gz BYSONVNONIXMDV-GXTWGEPZSA-N 0 3 244.342 2.687 20 0 BFADHN CC(C)n1ncnc1CN[C@@H]1CC2CCC1CC2 ZINC000311231243 136275853 /nfs/dbraw/zinc/27/58/53/136275853.db2.gz TUIZRDARDAYRBJ-WXRRBKDZSA-N 0 3 248.374 2.527 20 0 BFADHN CCO[C@H](CN(C)[C@@H](C)c1ccccn1)C1CC1 ZINC000625272866 343192737 /nfs/dbraw/zinc/19/27/37/343192737.db2.gz WUWWLZDAHJAEBM-SWLSCSKDSA-N 0 3 248.370 2.890 20 0 BFADHN CCO[C@@H](CN[C@@H](C)c1cccnc1)C1CC1 ZINC000625297141 343225423 /nfs/dbraw/zinc/22/54/23/343225423.db2.gz VVTBLDVWLPSPPW-FZMZJTMJSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@H]1CC(C)(C)CO1 ZINC000634686302 343233430 /nfs/dbraw/zinc/23/34/30/343233430.db2.gz HNHGBDWMBHHNIW-QWHCGFSZSA-N 0 3 248.370 2.856 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@@H](C)CC(C)C ZINC000678153954 488209553 /nfs/dbraw/zinc/20/95/53/488209553.db2.gz VZWZYRUCYCSESL-JTQLQIEISA-N 0 3 209.337 2.585 20 0 BFADHN CC[C@@H](N)c1cn(CCC(C)(C)CC)nn1 ZINC000641049963 362157528 /nfs/dbraw/zinc/15/75/28/362157528.db2.gz QVQHTSVLBZSXQF-SNVBAGLBSA-N 0 3 224.352 2.514 20 0 BFADHN CC[C@H](NC1CSC1)c1ccccc1 ZINC000308582816 488209792 /nfs/dbraw/zinc/20/97/92/488209792.db2.gz HHILDBHHAUNYHW-LBPRGKRZSA-N 0 3 207.342 2.843 20 0 BFADHN CN(C)Cc1cccc(N[C@@H]2CCSC2)c1 ZINC000036979599 343329169 /nfs/dbraw/zinc/32/91/69/343329169.db2.gz MSKHGJXCTKYZGG-CYBMUJFWSA-N 0 3 236.384 2.666 20 0 BFADHN CC[C@H](NCc1ccnn1C)c1ccc(C)cc1 ZINC000037048215 343332040 /nfs/dbraw/zinc/33/20/40/343332040.db2.gz PWMZRKGOTWCCJY-HNNXBMFYSA-N 0 3 243.354 2.969 20 0 BFADHN C[C@@H](Cn1cccn1)NCc1ccccc1Cl ZINC000035259089 343291544 /nfs/dbraw/zinc/29/15/44/343291544.db2.gz CNMGXEGZONNBGW-NSHDSACASA-N 0 3 249.745 2.715 20 0 BFADHN Cc1ccc(CN[C@@H](C)CN2CCCCC2)o1 ZINC000035258915 343291586 /nfs/dbraw/zinc/29/15/86/343291586.db2.gz SHUODBCHBOMHOT-LBPRGKRZSA-N 0 3 236.359 2.552 20 0 BFADHN C[C@H](Cn1ccnc1)NCc1cccc(Cl)c1 ZINC000035274797 343292048 /nfs/dbraw/zinc/29/20/48/343292048.db2.gz MDXKNMJZVLJQCW-LLVKDONJSA-N 0 3 249.745 2.715 20 0 BFADHN C[C@H](Cn1cccn1)N[C@H](C)c1ccccc1 ZINC000035276532 343292354 /nfs/dbraw/zinc/29/23/54/343292354.db2.gz GDAHFHSYUUFSMS-CHWSQXEVSA-N 0 3 229.327 2.622 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)[C@H]1CCCOC1 ZINC000348713865 136293615 /nfs/dbraw/zinc/29/36/15/136293615.db2.gz LPQWSKQECZLLHS-OMDKTOEGSA-N 0 3 249.329 2.950 20 0 BFADHN C[C@@H](O)CN[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000035601924 343298945 /nfs/dbraw/zinc/29/89/45/343298945.db2.gz QQPZPJAZZRBRSC-RKDXNWHRSA-N 0 3 247.260 2.737 20 0 BFADHN C[C@H](CO)N[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000035602423 343299387 /nfs/dbraw/zinc/29/93/87/343299387.db2.gz IYPOQQCYAPLJBD-SFYZADRCSA-N 0 3 231.698 2.511 20 0 BFADHN CCCC[C@H](C)NCc1c(C)noc1C ZINC000070125795 343300509 /nfs/dbraw/zinc/30/05/09/343300509.db2.gz BKXAQVYHDSNKFG-VIFPVBQESA-N 0 3 210.321 2.960 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)[C@@H]1CCCOC1 ZINC000348713867 136294088 /nfs/dbraw/zinc/29/40/88/136294088.db2.gz LPQWSKQECZLLHS-TXTHVTMNSA-N 0 3 249.329 2.950 20 0 BFADHN COc1ccc(CN(C)C2CC(OC)C2)cc1C ZINC000348716309 136294251 /nfs/dbraw/zinc/29/42/51/136294251.db2.gz RJXUBXUPRBXRQG-UHFFFAOYSA-N 0 3 249.354 2.613 20 0 BFADHN COC1CC(N(C)C/C=C/c2ccc(F)cc2)C1 ZINC000348714305 136294648 /nfs/dbraw/zinc/29/46/48/136294648.db2.gz YICJHSJJZAHGAR-ONEGZZNKSA-N 0 3 249.329 2.948 20 0 BFADHN CCc1ccc(CN2CC(C3CCC3)C2)cn1 ZINC000348716346 136294743 /nfs/dbraw/zinc/29/47/43/136294743.db2.gz RSNZPNIDSWSQNZ-UHFFFAOYSA-N 0 3 230.355 2.876 20 0 BFADHN CCCCC(=O)NC[C@H](c1ccccc1)N(C)C ZINC000036078124 343308874 /nfs/dbraw/zinc/30/88/74/343308874.db2.gz VJGODOFGAAQPGQ-CQSZACIVSA-N 0 3 248.370 2.596 20 0 BFADHN CC[C@H](C)N1CCN(c2ccccc2C)CC1 ZINC000501100383 529701115 /nfs/dbraw/zinc/70/11/15/529701115.db2.gz ICVNUHOXYOJAKU-AWEZNQCLSA-N 0 3 232.371 2.916 20 0 BFADHN C[C@@H](NC[C@H](C)N(C)C)c1ccccc1Cl ZINC000038088802 343370145 /nfs/dbraw/zinc/37/01/45/343370145.db2.gz OMPHRPSJESGSCE-WDEREUQCSA-N 0 3 240.778 2.941 20 0 BFADHN C[C@H](CN[C@H](C)c1ccccc1Cl)N(C)C ZINC000038088803 343370378 /nfs/dbraw/zinc/37/03/78/343370378.db2.gz OMPHRPSJESGSCE-GHMZBOCLSA-N 0 3 240.778 2.941 20 0 BFADHN Fc1ccc(CNC[C@@H]2CCOC2)c(Cl)c1 ZINC000038094087 343370854 /nfs/dbraw/zinc/37/08/54/343370854.db2.gz HYINKKUPQBBFPT-VIFPVBQESA-N 0 3 243.709 2.605 20 0 BFADHN Fc1ccc(CNC[C@H]2CCOC2)c(Cl)c1 ZINC000038094088 343371114 /nfs/dbraw/zinc/37/11/14/343371114.db2.gz HYINKKUPQBBFPT-SECBINFHSA-N 0 3 243.709 2.605 20 0 BFADHN CN(C)Cc1ccc(NC(=O)c2ccco2)cc1 ZINC000023898884 343379883 /nfs/dbraw/zinc/37/98/83/343379883.db2.gz BUCJFRGEURYSAW-UHFFFAOYSA-N 0 3 244.294 2.594 20 0 BFADHN C[C@@H](N[C@@H](C)CN1CCCCC1)c1ccco1 ZINC000037154072 343336779 /nfs/dbraw/zinc/33/67/79/343336779.db2.gz OOXUHXYHEJIUJC-QWHCGFSZSA-N 0 3 236.359 2.805 20 0 BFADHN C[C@@H](Cn1ccnc1)N[C@@H](C)c1ccc(F)cc1 ZINC000037157912 343337256 /nfs/dbraw/zinc/33/72/56/343337256.db2.gz LLYPIFNGBGANQH-RYUDHWBXSA-N 0 3 247.317 2.762 20 0 BFADHN C[C@H](Cn1ccnc1)N[C@@H](C)c1ccccc1F ZINC000037157874 343337266 /nfs/dbraw/zinc/33/72/66/343337266.db2.gz PJUVGARTUDQSBD-NEPJUHHUSA-N 0 3 247.317 2.762 20 0 BFADHN C[C@H](Cn1ccnc1)N[C@@H](C)c1cccc(F)c1 ZINC000037158338 343337289 /nfs/dbraw/zinc/33/72/89/343337289.db2.gz XMHFUNZDCNVFBI-NEPJUHHUSA-N 0 3 247.317 2.762 20 0 BFADHN C[C@@H](N[C@@H](C)Cn1ccnc1)c1ccc(F)cc1 ZINC000037157916 343337299 /nfs/dbraw/zinc/33/72/99/343337299.db2.gz LLYPIFNGBGANQH-NWDGAFQWSA-N 0 3 247.317 2.762 20 0 BFADHN C[C@H](Cn1cccn1)N[C@@H](C)c1cccc(F)c1 ZINC000037155916 343337542 /nfs/dbraw/zinc/33/75/42/343337542.db2.gz JYJLPBZODZWWGR-NEPJUHHUSA-N 0 3 247.317 2.762 20 0 BFADHN CO[C@@H](C)CN(C)Cc1coc2ccccc12 ZINC000348835629 136301705 /nfs/dbraw/zinc/30/17/05/136301705.db2.gz AJNYLWUIRGRDNC-NSHDSACASA-N 0 3 233.311 2.900 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1NCc1ccccc1F ZINC000037212025 343339660 /nfs/dbraw/zinc/33/96/60/343339660.db2.gz CEXVMEVBSVDHNP-UONOGXRCSA-N 0 3 237.318 2.873 20 0 BFADHN Cn1c(CNCC2CC2)nc2cccc(Cl)c21 ZINC000037443543 343345527 /nfs/dbraw/zinc/34/55/27/343345527.db2.gz INWKYPIWKQPYKW-UHFFFAOYSA-N 0 3 249.745 2.726 20 0 BFADHN CCCC[C@@H](C)NCc1cccnc1OC ZINC000037485392 343346686 /nfs/dbraw/zinc/34/66/86/343346686.db2.gz MUUPDCPKRQFSAK-LLVKDONJSA-N 0 3 222.332 2.759 20 0 BFADHN CC(C)c1ccc(NC(=O)CNCC2CC2)cc1 ZINC000037611860 343349074 /nfs/dbraw/zinc/34/90/74/343349074.db2.gz IWVAHUYPNRZAQK-UHFFFAOYSA-N 0 3 246.354 2.748 20 0 BFADHN CCOc1cc(C)ccc1NC1CCN(C)CC1 ZINC000037777979 343354496 /nfs/dbraw/zinc/35/44/96/343354496.db2.gz IDDCTUISBCWWME-UHFFFAOYSA-N 0 3 248.370 2.900 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc(Cl)cs2)CO1 ZINC000335664646 136316620 /nfs/dbraw/zinc/31/66/20/136316620.db2.gz VZCBMCAEXSWEMD-APPZFPTMSA-N 0 3 231.748 2.669 20 0 BFADHN Cc1cnc(CNCC[C@@H]2CC2(F)F)s1 ZINC000621377288 343380883 /nfs/dbraw/zinc/38/08/83/343380883.db2.gz VEQFOJBYAJLUDH-MRVPVSSYSA-N 0 3 232.299 2.586 20 0 BFADHN CC[C@H](O)CN[C@@H]1CCCOc2ccc(C)cc21 ZINC000421877183 529708978 /nfs/dbraw/zinc/70/89/78/529708978.db2.gz LKWHGHATCIQVMS-GXTWGEPZSA-N 0 3 249.354 2.569 20 0 BFADHN CCSc1ccccc1[C@H](C)NCCCO ZINC000166254415 136322665 /nfs/dbraw/zinc/32/26/65/136322665.db2.gz CQTLBHBABQQJDG-NSHDSACASA-N 0 3 239.384 2.832 20 0 BFADHN Clc1ccc(-n2cc(CNC3CC3)cn2)cc1 ZINC000040443662 343403586 /nfs/dbraw/zinc/40/35/86/343403586.db2.gz KCSLDPBKWBHEQH-UHFFFAOYSA-N 0 3 247.729 2.778 20 0 BFADHN CCCCOCCNCc1ccc(F)cc1 ZINC000040505920 343405874 /nfs/dbraw/zinc/40/58/74/343405874.db2.gz GEFQQMJYBJDEAZ-UHFFFAOYSA-N 0 3 225.307 2.732 20 0 BFADHN CCC[C@@H](C)CCCN1CC[C@@](F)(CO)C1 ZINC000625400387 343410626 /nfs/dbraw/zinc/41/06/26/343410626.db2.gz IDXPMEOZUIOOMN-OLZOCXBDSA-N 0 3 231.355 2.609 20 0 BFADHN CC[C@@H](NCc1cnn(C)c1)c1ccc(F)cc1 ZINC000041158875 343416223 /nfs/dbraw/zinc/41/62/23/343416223.db2.gz CWVNMDNRQXIIIG-CQSZACIVSA-N 0 3 247.317 2.800 20 0 BFADHN CC[C@H](N)c1cn(CCC2=CCCCC2)nn1 ZINC000641079692 362193082 /nfs/dbraw/zinc/19/30/82/362193082.db2.gz QQKTVWPFRXABQE-LBPRGKRZSA-N 0 3 234.347 2.578 20 0 BFADHN Cc1nc(CNC[C@H]2CC[C@@H](C)C2)cs1 ZINC000230413560 343424892 /nfs/dbraw/zinc/42/48/92/343424892.db2.gz ODZSQNFENKDNBN-KOLCDFICSA-N 0 3 224.373 2.977 20 0 BFADHN CC(C)(C)[C@H](N)c1cn(CC2(C)CCC2)nn1 ZINC000641087473 362208822 /nfs/dbraw/zinc/20/88/22/362208822.db2.gz HGXSNKZSJWWQMR-LLVKDONJSA-N 0 3 236.363 2.514 20 0 BFADHN C[C@@H]1C[C@@H]1CN(Cc1cccnc1)C1CC1 ZINC000349272800 136333602 /nfs/dbraw/zinc/33/36/02/136333602.db2.gz DSAMFHQAOCXKBF-DGCLKSJQSA-N 0 3 216.328 2.702 20 0 BFADHN C[C@@H](F)CCN1CCc2sccc2C1 ZINC000335705750 136335534 /nfs/dbraw/zinc/33/55/34/136335534.db2.gz HDBWBKMVLPEELL-SECBINFHSA-N 0 3 213.321 2.854 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCCC[C@@H]2C)cn1 ZINC000335710218 136337072 /nfs/dbraw/zinc/33/70/72/136337072.db2.gz NGJCTKQOMLBOTO-SMDDNHRTSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ccc(CN(C)CCN(C)C2CC2)cc1C ZINC000349341715 136342006 /nfs/dbraw/zinc/34/20/06/136342006.db2.gz CNSHSUAHRXNANU-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN CC[C@@H](NCc1ccn(C(C)C)n1)C(C)C ZINC000082866406 362243023 /nfs/dbraw/zinc/24/30/23/362243023.db2.gz YSMPJVKFLNYKKS-CYBMUJFWSA-N 0 3 223.364 2.988 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnc2ccccn12 ZINC000350279086 136356868 /nfs/dbraw/zinc/35/68/68/136356868.db2.gz NEPROMGYTHOQMB-GFCCVEGCSA-N 0 3 231.343 2.955 20 0 BFADHN CCOc1ccccc1CNC[C@@H]1CCCCO1 ZINC000042811735 343454673 /nfs/dbraw/zinc/45/46/73/343454673.db2.gz HTDFHSRHFBJHQJ-AWEZNQCLSA-N 0 3 249.354 2.744 20 0 BFADHN COC(C)(C)CNCc1cc(F)cc(Cl)c1 ZINC000168269284 536888435 /nfs/dbraw/zinc/88/84/35/536888435.db2.gz IPCZEWMUMRAVLI-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN Cc1ccc(CN[C@H]2COC(C)(C)C2)s1 ZINC000335655286 136376226 /nfs/dbraw/zinc/37/62/26/136376226.db2.gz CHNZCKZBZKZVJT-SNVBAGLBSA-N 0 3 225.357 2.714 20 0 BFADHN Cc1ccsc1CN[C@@H]1COC(C)(C)C1 ZINC000335656191 136376230 /nfs/dbraw/zinc/37/62/30/136376230.db2.gz JTHPUGXMNYMENR-JTQLQIEISA-N 0 3 225.357 2.714 20 0 BFADHN C[C@@H](CCNCc1cc[nH]n1)c1ccccc1 ZINC000043534308 343477183 /nfs/dbraw/zinc/47/71/83/343477183.db2.gz NZEAZLDSEDKROK-LBPRGKRZSA-N 0 3 229.327 2.693 20 0 BFADHN CSC1CCN(CCc2cncs2)CC1 ZINC000602921691 349810802 /nfs/dbraw/zinc/81/08/02/349810802.db2.gz XYRAJCGQDCNGIX-UHFFFAOYSA-N 0 3 242.413 2.513 20 0 BFADHN Cc1csc(CNC[C@@H](C)C(C)C)n1 ZINC000082829153 343467403 /nfs/dbraw/zinc/46/74/03/343467403.db2.gz VOVYBLBCLSRLNK-SECBINFHSA-N 0 3 212.362 2.833 20 0 BFADHN CN[C@@H](CC(C)(C)C)C(=O)Nc1cccc(C)c1 ZINC000387614575 346946039 /nfs/dbraw/zinc/94/60/39/346946039.db2.gz ZRNGETGRNSOUIQ-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@H](CNCc1ccc(F)cc1Cl)N(C)C ZINC000045107769 343523788 /nfs/dbraw/zinc/52/37/88/343523788.db2.gz GVXITXWFEORYJK-SECBINFHSA-N 0 3 244.741 2.519 20 0 BFADHN CC[C@H](NCc1cnc(C)o1)[C@H]1C[C@H]1C ZINC000398016131 262942312 /nfs/dbraw/zinc/94/23/12/262942312.db2.gz QJMHZQQNWFYNBD-ZHAHWJHGSA-N 0 3 208.305 2.507 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccc1)c1cnn(C)c1 ZINC000044494227 343503207 /nfs/dbraw/zinc/50/32/07/343503207.db2.gz YAWXOXNDOTYEAN-NWDGAFQWSA-N 0 3 229.327 2.832 20 0 BFADHN Cc1ccc(CN[C@H](C)c2cnn(C)c2)cc1 ZINC000044494790 343503281 /nfs/dbraw/zinc/50/32/81/343503281.db2.gz YTUCAOLORRVKBY-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN CCN(C)Cc1ccc(Br)s1 ZINC000048584560 343584143 /nfs/dbraw/zinc/58/41/43/343584143.db2.gz WPOZXZFVZLNCKW-UHFFFAOYSA-N 0 3 234.162 2.962 20 0 BFADHN CCCc1ccc(CN2CC[C@@H](CO)C2)s1 ZINC000013570138 343578738 /nfs/dbraw/zinc/57/87/38/343578738.db2.gz LMUFDTFETQTFEZ-LLVKDONJSA-N 0 3 239.384 2.515 20 0 BFADHN CC1(C)C(NCc2cccnc2)C1(C)C ZINC000086239719 343615505 /nfs/dbraw/zinc/61/55/05/343615505.db2.gz IVOQJQIXHYKOIQ-UHFFFAOYSA-N 0 3 204.317 2.606 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@H](C)CC(F)(F)F ZINC000390329075 537791556 /nfs/dbraw/zinc/79/15/56/537791556.db2.gz KACFWGYKJIRKSQ-RQJHMYQMSA-N 0 3 235.253 2.710 20 0 BFADHN C[C@H](CN1CCCCC1)Nc1ccc(F)cn1 ZINC000049502055 343600869 /nfs/dbraw/zinc/60/08/69/343600869.db2.gz UUINQQBWAGJFJD-LLVKDONJSA-N 0 3 237.322 2.507 20 0 BFADHN CC[C@@H](C)NC(=O)CN[C@H](C)c1ccc(C)cc1 ZINC000049556796 343602708 /nfs/dbraw/zinc/60/27/08/343602708.db2.gz PAVIOGVDQXJWBP-CHWSQXEVSA-N 0 3 248.370 2.560 20 0 BFADHN COc1cc(CN[C@H]2CCCC2(C)C)sn1 ZINC000399170658 488227415 /nfs/dbraw/zinc/22/74/15/488227415.db2.gz LCTTVNVPCLHYLB-JTQLQIEISA-N 0 3 240.372 2.820 20 0 BFADHN CC[C@@H](C)CNCc1nc(C)c(C)s1 ZINC000087375958 343646558 /nfs/dbraw/zinc/64/65/58/343646558.db2.gz WLTJRBZTCSSECT-MRVPVSSYSA-N 0 3 212.362 2.896 20 0 BFADHN CCCC[C@@H]1CCC[C@@H]1NCc1nccn1C ZINC000348317821 534947218 /nfs/dbraw/zinc/94/72/18/534947218.db2.gz NNUVQVOIOSLLHS-OLZOCXBDSA-N 0 3 235.375 2.869 20 0 BFADHN C[C@@H](NC[C@@H]1CCCS1)c1ccco1 ZINC000087667557 343656234 /nfs/dbraw/zinc/65/62/34/343656234.db2.gz MMMVJMWUQTUABU-ZJUUUORDSA-N 0 3 211.330 2.826 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCCS2)o1 ZINC000087683762 343657216 /nfs/dbraw/zinc/65/72/16/343657216.db2.gz QEJITPWRKFYCAI-GFCCVEGCSA-N 0 3 225.357 2.963 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCCS2)o1 ZINC000087683760 343657328 /nfs/dbraw/zinc/65/73/28/343657328.db2.gz QEJITPWRKFYCAI-LBPRGKRZSA-N 0 3 225.357 2.963 20 0 BFADHN Cc1cc(C)cc(CN2CCSCC2)c1 ZINC000047993825 343690656 /nfs/dbraw/zinc/69/06/56/343690656.db2.gz HWDWIHNTDVLHOP-UHFFFAOYSA-N 0 3 221.369 2.852 20 0 BFADHN CC1CCN(CCOc2cccc(F)c2)CC1 ZINC000048060747 343706774 /nfs/dbraw/zinc/70/67/74/343706774.db2.gz DYKOHHCAXXXNKT-UHFFFAOYSA-N 0 3 237.318 2.936 20 0 BFADHN CCCC[C@H](CC)CN1CCc2nc[nH]c2C1 ZINC000625436139 343733561 /nfs/dbraw/zinc/73/35/61/343733561.db2.gz FRVFLSLTQPAMOL-LBPRGKRZSA-N 0 3 235.375 2.984 20 0 BFADHN C[C@@H](CN1CCc2nc[nH]c2C1)C1CCCCC1 ZINC000625435851 343733887 /nfs/dbraw/zinc/73/38/87/343733887.db2.gz YCUWTEHCVCFZFM-LBPRGKRZSA-N 0 3 247.386 2.984 20 0 BFADHN CC1(C)CC[C@@H]1N[C@H](CO)c1ccsc1 ZINC000309096963 346962255 /nfs/dbraw/zinc/96/22/55/346962255.db2.gz DHGCFCXGTAJHCP-MNOVXSKESA-N 0 3 225.357 2.560 20 0 BFADHN CC1(C)CCCC[C@@H]1CN1CCc2nc[nH]c2C1 ZINC000625434201 343734956 /nfs/dbraw/zinc/73/49/56/343734956.db2.gz JCERPTIVILNWTR-GFCCVEGCSA-N 0 3 247.386 2.984 20 0 BFADHN CC1(C)CC[C@@H]1N[C@@H](CO)c1ccsc1 ZINC000309097221 346962500 /nfs/dbraw/zinc/96/25/00/346962500.db2.gz DHGCFCXGTAJHCP-QWRGUYRKSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@H](CO)CNCc1cc(Cl)cc(Cl)c1 ZINC000088195537 343711082 /nfs/dbraw/zinc/71/10/82/343711082.db2.gz LCNFELHOERATPG-QMMMGPOBSA-N 0 3 248.153 2.711 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@H](C)c1cnc(C)s1 ZINC000380265523 343712025 /nfs/dbraw/zinc/71/20/25/343712025.db2.gz TYUDKSDCYFMNNZ-SZEHBUNVSA-N 0 3 242.413 2.996 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCC=C(c2ccccn2)C1 ZINC000625447861 343772351 /nfs/dbraw/zinc/77/23/51/343772351.db2.gz KUKYDRQBIFNRKU-GXTWGEPZSA-N 0 3 228.339 2.827 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C)[C@H](C)C2)nc1 ZINC000122768341 178579051 /nfs/dbraw/zinc/57/90/51/178579051.db2.gz OPGXMTBZTVTQRP-CHWSQXEVSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1nccnc1CN(C)C1CCCCC1 ZINC000621708788 343761345 /nfs/dbraw/zinc/76/13/45/343761345.db2.gz ACGTYWBCZIVEAT-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN Cc1csc(CNCc2ccn(C)c2)c1 ZINC000344611689 343764320 /nfs/dbraw/zinc/76/43/20/343764320.db2.gz MFVQKLAZBHCTLM-UHFFFAOYSA-N 0 3 220.341 2.685 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CO[C@H](C)C2)cs1 ZINC000402135262 349864010 /nfs/dbraw/zinc/86/40/10/349864010.db2.gz KJDNPRDWSFXKGM-QRTLGDNMSA-N 0 3 225.357 2.885 20 0 BFADHN FC1(F)C[C@H]2CC[C@@H](C1)N2C[C@@H]1CCCCO1 ZINC000625682567 344038142 /nfs/dbraw/zinc/03/81/42/344038142.db2.gz FYXFXLLQNNXWQS-TUAOUCFPSA-N 0 3 245.313 2.818 20 0 BFADHN CN(CCC(F)(F)F)C[C@@H]1CCSC1 ZINC000494962061 529874368 /nfs/dbraw/zinc/87/43/68/529874368.db2.gz UDHVXLZJMCXWNO-QMMMGPOBSA-N 0 3 227.295 2.624 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@@H](CO)c1ccsc1 ZINC000336675206 537822126 /nfs/dbraw/zinc/82/21/26/537822126.db2.gz PITGKTGDJPYYPI-AVGNSLFASA-N 0 3 239.384 2.806 20 0 BFADHN Cc1oncc1CN1CCCC[C@@H](C)C1 ZINC000189590420 346984010 /nfs/dbraw/zinc/98/40/10/346984010.db2.gz PQMFSHCJHMUOHS-SNVBAGLBSA-N 0 3 208.305 2.605 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H](C)c1ccccn1 ZINC000387661113 346994880 /nfs/dbraw/zinc/99/48/80/346994880.db2.gz HLIFIKDJUASSRW-TUAOUCFPSA-N 0 3 204.317 2.778 20 0 BFADHN C[C@H]1CN(Cc2cnn3ccccc23)CC[C@@H]1C ZINC000179756180 346995853 /nfs/dbraw/zinc/99/58/53/346995853.db2.gz PASMFQVEULXQGF-STQMWFEESA-N 0 3 243.354 2.812 20 0 BFADHN c1cnn(CCN2CCC[C@@H]2c2cccs2)c1 ZINC000066411371 343868906 /nfs/dbraw/zinc/86/89/06/343868906.db2.gz MMZQLSKOILFLEZ-GFCCVEGCSA-N 0 3 247.367 2.782 20 0 BFADHN CCOc1ncccc1CN[C@H]1CC[C@H]1C1CC1 ZINC000348101996 537836506 /nfs/dbraw/zinc/83/65/06/537836506.db2.gz KFDPUCHCORARIB-KBPBESRZSA-N 0 3 246.354 2.759 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1scnc1C1CC1 ZINC000336757981 537837012 /nfs/dbraw/zinc/83/70/12/537837012.db2.gz HXLLJVWIIAOALG-DTWKUNHWSA-N 0 3 240.372 2.534 20 0 BFADHN CCOc1ncccc1CN[C@@H]1CC[C@@H]1C1CC1 ZINC000348101999 537837566 /nfs/dbraw/zinc/83/75/66/537837566.db2.gz KFDPUCHCORARIB-ZIAGYGMSSA-N 0 3 246.354 2.759 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cnc(C)o1 ZINC000628118980 343969895 /nfs/dbraw/zinc/96/98/95/343969895.db2.gz UOFOSMNFFYDQLP-LLVKDONJSA-N 0 3 208.305 2.748 20 0 BFADHN C[C@H](O)CCCN[C@@H](c1ccccn1)C1CCC1 ZINC000625785431 344073902 /nfs/dbraw/zinc/07/39/02/344073902.db2.gz BNRLPFYEFOGJHY-SWLSCSKDSA-N 0 3 248.370 2.673 20 0 BFADHN CC1(CN[C@H](c2ccccn2)C2CCC2)COC1 ZINC000625785905 344073915 /nfs/dbraw/zinc/07/39/15/344073915.db2.gz WOHXUUBORCORFV-AWEZNQCLSA-N 0 3 246.354 2.549 20 0 BFADHN COc1ccc(CN2CC[C@H]3CCC[C@H]32)cc1O ZINC000336484068 192068737 /nfs/dbraw/zinc/06/87/37/192068737.db2.gz KZNQBIIKEOYQAU-CHWSQXEVSA-N 0 3 247.338 2.775 20 0 BFADHN Cn1cc(CNCc2ccccc2C2CC2)cn1 ZINC000094357787 537838265 /nfs/dbraw/zinc/83/82/65/537838265.db2.gz OTXHBEAEYFPSFN-UHFFFAOYSA-N 0 3 241.338 2.587 20 0 BFADHN FC1(F)CCCN(CCc2ccccn2)CC1 ZINC000625767934 344069200 /nfs/dbraw/zinc/06/92/00/344069200.db2.gz QADYBKIRNZHCNC-UHFFFAOYSA-N 0 3 240.297 2.745 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@H]2CC[C@@H](C1)S2 ZINC000625777083 344071578 /nfs/dbraw/zinc/07/15/78/344071578.db2.gz YUBIOKLWUZPABT-TUAOUCFPSA-N 0 3 249.383 2.508 20 0 BFADHN Cc1cc(CN(C)CC2(C)COC2)c(C)s1 ZINC000625788577 344073427 /nfs/dbraw/zinc/07/34/27/344073427.db2.gz WUHIVVBLXTWAPO-UHFFFAOYSA-N 0 3 239.384 2.833 20 0 BFADHN Cc1ccc(CN[C@H](CO)CCC(C)(C)C)o1 ZINC000355729506 178632364 /nfs/dbraw/zinc/63/23/64/178632364.db2.gz LRWLSZAMACXTBG-LBPRGKRZSA-N 0 3 239.359 2.865 20 0 BFADHN COc1ccc(CN(C2CC2)C2CC2)cc1F ZINC000625837162 344090190 /nfs/dbraw/zinc/09/01/90/344090190.db2.gz LVGRWHSYRQNTOS-UHFFFAOYSA-N 0 3 235.302 2.961 20 0 BFADHN Cc1cnc(CCN(C2CC2)C2CC2)c(C)c1 ZINC000625840822 344091344 /nfs/dbraw/zinc/09/13/44/344091344.db2.gz BWOUESCEUHSOPK-UHFFFAOYSA-N 0 3 230.355 2.868 20 0 BFADHN Cc1nc(C)c(CN(C2CC2)C2CC2)s1 ZINC000625838765 344091890 /nfs/dbraw/zinc/09/18/90/344091890.db2.gz KDPBREMTEYWRKR-UHFFFAOYSA-N 0 3 222.357 2.887 20 0 BFADHN Cc1cc(CN(C2CC2)C2CC2)ccn1 ZINC000625843025 344094181 /nfs/dbraw/zinc/09/41/81/344094181.db2.gz XPOMIVHEMPGTNX-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN Cc1ccc(CN(C2CC2)C2CC2)c(C)n1 ZINC000625841121 344095043 /nfs/dbraw/zinc/09/50/43/344095043.db2.gz CWZJRTPPUKEHBW-UHFFFAOYSA-N 0 3 216.328 2.825 20 0 BFADHN CC(C)C(C)(C)NC(=O)C[C@@H](N)c1ccccc1 ZINC000573324603 344096152 /nfs/dbraw/zinc/09/61/52/344096152.db2.gz VIAZJHLSIJIJNX-CYBMUJFWSA-N 0 3 248.370 2.627 20 0 BFADHN C/C=C\CN[C@H]1CCc2c1cccc2F ZINC000395128931 344097651 /nfs/dbraw/zinc/09/76/51/344097651.db2.gz PORSSSRTHNGHLB-ZRMMWKCHSA-N 0 3 205.276 2.979 20 0 BFADHN C/C=C/CN[C@H]1CCc2c1cccc2F ZINC000395128919 344097688 /nfs/dbraw/zinc/09/76/88/344097688.db2.gz PORSSSRTHNGHLB-IBUXWKBASA-N 0 3 205.276 2.979 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H]1CC[C@H]1C1CC1 ZINC000348111228 537839482 /nfs/dbraw/zinc/83/94/82/537839482.db2.gz OHKNAMUDEOEGCA-KBPBESRZSA-N 0 3 247.386 2.817 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H](C)C(C)(C)C ZINC000188003952 259372982 /nfs/dbraw/zinc/37/29/82/259372982.db2.gz BHLHDRCZFYFBCT-WDEREUQCSA-N 0 3 221.348 2.870 20 0 BFADHN CC(C)n1cc(NC(=O)C(C)C(F)(F)F)cn1 ZINC000079945912 344121197 /nfs/dbraw/zinc/12/11/97/344121197.db2.gz VCSVOYYMAZCQJH-SSDOTTSWSA-N 0 3 249.236 2.601 20 0 BFADHN CC(C)CC[C@H](C)NCc1ccc(CO)o1 ZINC000081546900 344144952 /nfs/dbraw/zinc/14/49/52/344144952.db2.gz RKTBQNNHNAUEMX-NSHDSACASA-N 0 3 225.332 2.686 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)CCC(C)(C)O ZINC000081671576 344146925 /nfs/dbraw/zinc/14/69/25/344146925.db2.gz NDFWEXUALKFZBR-NSHDSACASA-N 0 3 237.343 2.546 20 0 BFADHN COCCCCCNCc1ccncc1Cl ZINC000234598144 344155231 /nfs/dbraw/zinc/15/52/31/344155231.db2.gz QPURGNJAROOHST-UHFFFAOYSA-N 0 3 242.750 2.641 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCC[C@@H](C)C2)o1 ZINC000628131750 344159300 /nfs/dbraw/zinc/15/93/00/344159300.db2.gz IJGCPYLWZURBKX-PWSUYJOCSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@H](C[C@H]1CCCO1)N[C@@H](C)c1ccoc1 ZINC000381224202 344216211 /nfs/dbraw/zinc/21/62/11/344216211.db2.gz JKBYTBGAROMDCN-NTZNESFSSA-N 0 3 223.316 2.888 20 0 BFADHN COC[C@@H](C)NCc1cnc(C2CCC2)s1 ZINC000129977781 344217535 /nfs/dbraw/zinc/21/75/35/344217535.db2.gz UZXNYNHBIDTNJL-SECBINFHSA-N 0 3 240.372 2.535 20 0 BFADHN CC(C)=CCCN1CCO[C@@H](C(F)F)CC1 ZINC000634759036 344232724 /nfs/dbraw/zinc/23/27/24/344232724.db2.gz ARPGHHYIVAVKKY-LLVKDONJSA-N 0 3 233.302 2.699 20 0 BFADHN Cc1nc(CN2CCC[C@H]2C(C)C)[nH]c1C ZINC000628134374 344187542 /nfs/dbraw/zinc/18/75/42/344187542.db2.gz NHWCJHXMFIZEAE-LBPRGKRZSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1ncc(CN2CCC[C@H]2C(C)C)o1 ZINC000628134125 344188068 /nfs/dbraw/zinc/18/80/68/344188068.db2.gz FWMMVQOUZAFGKQ-LBPRGKRZSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@H](C)N(C)Cc1cnc(C2CC2)o1 ZINC000628136154 344202057 /nfs/dbraw/zinc/20/20/57/344202057.db2.gz SFOAHQBVYGWKQN-VIFPVBQESA-N 0 3 208.305 2.782 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2ccc(CO)o2)C1 ZINC000085063607 344202080 /nfs/dbraw/zinc/20/20/80/344202080.db2.gz QTXVUCUARSKHRW-NEPJUHHUSA-N 0 3 237.343 2.830 20 0 BFADHN C/C=C/CNCc1cc(C)ccc1OC ZINC000384876802 344203176 /nfs/dbraw/zinc/20/31/76/344203176.db2.gz HJZVCHWXTAGUKE-SNAWJCMRSA-N 0 3 205.301 2.669 20 0 BFADHN C[C@@H]1C[C@H](Nc2ccnc3ccccc32)[C@@H](C)O1 ZINC000384870776 344203243 /nfs/dbraw/zinc/20/32/43/344203243.db2.gz IJQZCJRVGNEFGS-HFAKWTLXSA-N 0 3 242.322 2.635 20 0 BFADHN C[C@H](F)CCNCc1ccnn1CC1CCC1 ZINC000631143374 344262255 /nfs/dbraw/zinc/26/22/55/344262255.db2.gz QWNDQGSYTFMAOK-NSHDSACASA-N 0 3 239.338 2.521 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1ccc(CO)o1 ZINC000290372382 344266706 /nfs/dbraw/zinc/26/67/06/344266706.db2.gz AQNNWPZVSUWVHF-LLVKDONJSA-N 0 3 225.332 2.782 20 0 BFADHN CCCn1cc(CN2CCC[C@@H](C)C2)cn1 ZINC000157691173 323070175 /nfs/dbraw/zinc/07/01/75/323070175.db2.gz ZCSJILQIYCSJHQ-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN Cc1ncc(CN[C@H](C)c2ccc(C)cc2)n1C ZINC000573459961 344274030 /nfs/dbraw/zinc/27/40/30/344274030.db2.gz RJVCKGFKJQITIP-GFCCVEGCSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@H](c1ccncc1)N1CCC(C)CC1 ZINC000067715478 344285194 /nfs/dbraw/zinc/28/51/94/344285194.db2.gz QKQWAGVZOFFQND-GFCCVEGCSA-N 0 3 204.317 2.875 20 0 BFADHN C/C=C\CN[C@@H]1CCc2cc(F)ccc21 ZINC000384984413 344285945 /nfs/dbraw/zinc/28/59/45/344285945.db2.gz ZMJLHMVYPUQUGU-XQJDBVBESA-N 0 3 205.276 2.979 20 0 BFADHN CCN(Cc1ccsc1)C[C@@H]1CCCO1 ZINC000092407809 344294945 /nfs/dbraw/zinc/29/49/45/344294945.db2.gz FXOBSMAMAHSJDT-LBPRGKRZSA-N 0 3 225.357 2.749 20 0 BFADHN CCN(Cc1ccc(C)o1)C[C@H]1CCCO1 ZINC000092407116 344295048 /nfs/dbraw/zinc/29/50/48/344295048.db2.gz ILCMNTKNZLBBLO-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN C[C@H](NCC1C(C)(C)C1(C)C)c1ncc[nH]1 ZINC000336758747 537844066 /nfs/dbraw/zinc/84/40/66/537844066.db2.gz ZRLOJJMOOURYJP-VIFPVBQESA-N 0 3 221.348 2.743 20 0 BFADHN CC1(C)C[C@@H](NCc2ccccn2)C(C)(C)O1 ZINC000094422504 344329271 /nfs/dbraw/zinc/32/92/71/344329271.db2.gz HEMIHLRSQJUTMP-GFCCVEGCSA-N 0 3 234.343 2.517 20 0 BFADHN CC1(C)C[C@H](NCc2ccncc2)C(C)(C)O1 ZINC000094422776 344329283 /nfs/dbraw/zinc/32/92/83/344329283.db2.gz JLBRVMGICWJFLK-LBPRGKRZSA-N 0 3 234.343 2.517 20 0 BFADHN CC1(C)C[C@H](NCc2ccccn2)C(C)(C)O1 ZINC000094422503 344329343 /nfs/dbraw/zinc/32/93/43/344329343.db2.gz HEMIHLRSQJUTMP-LBPRGKRZSA-N 0 3 234.343 2.517 20 0 BFADHN COc1cccc(CNCCC(F)(F)F)c1 ZINC000059167833 344355701 /nfs/dbraw/zinc/35/57/01/344355701.db2.gz KSOOVJFPOCPLTK-UHFFFAOYSA-N 0 3 233.233 2.737 20 0 BFADHN C[C@@H](NC[C@@H]1CC2CCC1CC2)c1ccncn1 ZINC000400093229 344301117 /nfs/dbraw/zinc/30/11/17/344301117.db2.gz ZINGUFINXHWOLO-RYSNWHEDSA-N 0 3 245.370 2.954 20 0 BFADHN CC[C@@H](O)[C@H]1CCCCN1Cc1ncccc1C ZINC000093175138 344310156 /nfs/dbraw/zinc/31/01/56/344310156.db2.gz HDGYDOIEARJCPK-HUUCEWRRSA-N 0 3 248.370 2.515 20 0 BFADHN C[C@@H](C(=O)N(C)C(C)(C)C)[C@@H](N)c1ccccc1 ZINC000381959783 347050495 /nfs/dbraw/zinc/05/04/95/347050495.db2.gz FNSYRPLWRVBUEB-DGCLKSJQSA-N 0 3 248.370 2.579 20 0 BFADHN CCCC[C@@H](NC(=O)[C@H](N)CCC)C1CCC1 ZINC000236851118 344409582 /nfs/dbraw/zinc/40/95/82/344409582.db2.gz MTOSOFJWDFVEBK-CHWSQXEVSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1ccc(CNCCc2ccsc2)o1 ZINC000042249107 344447998 /nfs/dbraw/zinc/44/79/98/344447998.db2.gz YSOZECPLDRHYCQ-UHFFFAOYSA-N 0 3 221.325 2.982 20 0 BFADHN CN(CCCCO)Cc1cccc(Cl)c1 ZINC000042437310 344453063 /nfs/dbraw/zinc/45/30/63/344453063.db2.gz NEXPKLQAFQTOIX-UHFFFAOYSA-N 0 3 227.735 2.544 20 0 BFADHN CCc1nc(CN[C@@H]2CC[C@@H]2C2CC2)cs1 ZINC000309900121 344472211 /nfs/dbraw/zinc/47/22/11/344472211.db2.gz HGLUELNDTLYIPJ-VXGBXAGGSA-N 0 3 236.384 2.984 20 0 BFADHN Cc1ccc2c(c1)[C@H](NC[C@@H]1C[C@@H]1C)CO2 ZINC000381247112 344489898 /nfs/dbraw/zinc/48/98/98/344489898.db2.gz OMQBFJQNVNXMKD-GMXVVIOVSA-N 0 3 217.312 2.674 20 0 BFADHN Clc1cnccc1CN[C@@H]1CCCSC1 ZINC000220716878 344570764 /nfs/dbraw/zinc/57/07/64/344570764.db2.gz YCXSQTNJVOUIRE-SNVBAGLBSA-N 0 3 242.775 2.720 20 0 BFADHN COc1cc(Cl)ccc1CNC(C)C ZINC000043565306 344528585 /nfs/dbraw/zinc/52/85/85/344528585.db2.gz PHICTEHLRVEGTJ-UHFFFAOYSA-N 0 3 213.708 2.847 20 0 BFADHN C[C@H](NCc1ccno1)[C@@H]1CCC[C@@H](C)C1 ZINC000309815178 259377907 /nfs/dbraw/zinc/37/79/07/259377907.db2.gz VCAVIIOTKUUZGD-GRYCIOLGSA-N 0 3 222.332 2.979 20 0 BFADHN FCC[C@@H]1CN(CCC2CCCC2)CCO1 ZINC000626002597 344663287 /nfs/dbraw/zinc/66/32/87/344663287.db2.gz QQJNHDYJMBFCRO-CYBMUJFWSA-N 0 3 229.339 2.627 20 0 BFADHN CCCN[C@@H](COC)c1ccc(F)cc1 ZINC000049684686 344681279 /nfs/dbraw/zinc/68/12/79/344681279.db2.gz WGMLCNKGIFSMSF-LBPRGKRZSA-N 0 3 211.280 2.513 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CCO[C@H](CCF)C1 ZINC000626005386 344667554 /nfs/dbraw/zinc/66/75/54/344667554.db2.gz BPFAZXYHKHTLKS-HZSPNIEDSA-N 0 3 243.366 2.873 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(Cl)cc1C ZINC000309962908 259378912 /nfs/dbraw/zinc/37/89/12/259378912.db2.gz MEIXPMJXTPMYMY-OLZOCXBDSA-N 0 3 239.746 2.915 20 0 BFADHN CC(C)CCN1CCc2c(O)cccc2C1 ZINC000626026036 344679203 /nfs/dbraw/zinc/67/92/03/344679203.db2.gz TXRNIQWIFQRTQL-UHFFFAOYSA-N 0 3 219.328 2.796 20 0 BFADHN CC[C@@H](NCc1cccc(F)c1)[C@H]1CCCO1 ZINC000119847069 344638633 /nfs/dbraw/zinc/63/86/33/344638633.db2.gz OKVSAEJSTJVCQU-ZIAGYGMSSA-N 0 3 237.318 2.873 20 0 BFADHN CCCCOCCN1CCC(F)(F)C[C@H]1C ZINC000625979740 344644155 /nfs/dbraw/zinc/64/41/55/344644155.db2.gz TZIIEXVWBHMQAE-LLVKDONJSA-N 0 3 235.318 2.923 20 0 BFADHN C(C1CC1)N1CCN(CC2CCCCC2)CC1 ZINC000048775727 344736834 /nfs/dbraw/zinc/73/68/34/344736834.db2.gz DSDRZKGEVYCFGJ-UHFFFAOYSA-N 0 3 236.403 2.594 20 0 BFADHN CN(c1ccnc2ccccc21)C1CCOCC1 ZINC000074775405 344759035 /nfs/dbraw/zinc/75/90/35/344759035.db2.gz KNKCZKBQPUMLKH-UHFFFAOYSA-N 0 3 242.322 2.850 20 0 BFADHN COC[C@@H](C)N1CC[C@H](c2ccccc2F)C1 ZINC000075718532 344778096 /nfs/dbraw/zinc/77/80/96/344778096.db2.gz KYYNMPIYNQPQMM-NEPJUHHUSA-N 0 3 237.318 2.650 20 0 BFADHN COCC[C@H](C)NC(C)(C)c1ncc(C)s1 ZINC000134276949 537858288 /nfs/dbraw/zinc/85/82/88/537858288.db2.gz CNVDXOJOLJPHFD-VIFPVBQESA-N 0 3 242.388 2.701 20 0 BFADHN Cc1cc(CN2CCC[C@H]3CCC[C@@H]32)on1 ZINC000075769297 344791804 /nfs/dbraw/zinc/79/18/04/344791804.db2.gz RSOIPJXKHJBHCS-YPMHNXCESA-N 0 3 220.316 2.748 20 0 BFADHN OC[C@H](N[C@@H]1CC[C@H]1C1CC1)c1cccc(F)c1 ZINC000348367289 537858524 /nfs/dbraw/zinc/85/85/24/537858524.db2.gz CXARKILQZJYGFR-ZNMIVQPWSA-N 0 3 249.329 2.637 20 0 BFADHN Cc1cc(CN2CCC(C(C)C)CC2)on1 ZINC000075833755 344792822 /nfs/dbraw/zinc/79/28/22/344792822.db2.gz XWYQFGPWPNBDRK-UHFFFAOYSA-N 0 3 222.332 2.851 20 0 BFADHN C[C@H]1CN(Cc2nc3ccccc3o2)C[C@H]1C ZINC000076110865 344794883 /nfs/dbraw/zinc/79/48/83/344794883.db2.gz AEUVOJKJQDQWCF-PHIMTYICSA-N 0 3 230.311 2.916 20 0 BFADHN CN(C)[C@H](CNc1ccccn1)c1ccsc1 ZINC000076651389 344805356 /nfs/dbraw/zinc/80/53/56/344805356.db2.gz JOQWRQZYFVCYKS-GFCCVEGCSA-N 0 3 247.367 2.858 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1CC[C@H]1C1CC1 ZINC000348363966 537860535 /nfs/dbraw/zinc/86/05/35/537860535.db2.gz BDMOXODFIRQRAF-XQQFMLRXSA-N 0 3 231.343 2.565 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2C2CC2)cs1 ZINC000076726980 344809124 /nfs/dbraw/zinc/80/91/24/344809124.db2.gz KKBQGIZKZBHLCC-GFCCVEGCSA-N 0 3 222.357 2.826 20 0 BFADHN CC(C)(C)CN(CCCO)CCC(F)(F)F ZINC000077283531 344814956 /nfs/dbraw/zinc/81/49/56/344814956.db2.gz FVHJBNNZBZTJAK-UHFFFAOYSA-N 0 3 241.297 2.669 20 0 BFADHN CC[C@@H](NCc1ccc(C)cc1F)C(C)(C)O ZINC000631144984 344829876 /nfs/dbraw/zinc/82/98/76/344829876.db2.gz YAVNYABLLYJQJX-CYBMUJFWSA-N 0 3 239.334 2.773 20 0 BFADHN CCN(Cc1nc(C)c(C)[nH]1)[C@@H](C)C(C)C ZINC000628156165 344847844 /nfs/dbraw/zinc/84/78/44/344847844.db2.gz DPPQSQIWOFUVTR-LBPRGKRZSA-N 0 3 223.364 2.893 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](C)CC2)o1 ZINC000628156343 344848431 /nfs/dbraw/zinc/84/84/31/344848431.db2.gz MAYXKSIPESAUFB-SNVBAGLBSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1ncc(CN2CCC[C@H]2C2CCC2)o1 ZINC000628160580 344856856 /nfs/dbraw/zinc/85/68/56/344856856.db2.gz ZQQCEIIDVYLKII-ZDUSSCGKSA-N 0 3 220.316 2.748 20 0 BFADHN CCc1nc(CNCCCCCOC)cs1 ZINC000119764540 344862716 /nfs/dbraw/zinc/86/27/16/344862716.db2.gz MKDKYDAIOJHPNV-UHFFFAOYSA-N 0 3 242.388 2.612 20 0 BFADHN Cc1ncc(CN2CCC[C@H]2C(C)(C)C)o1 ZINC000628162679 344864159 /nfs/dbraw/zinc/86/41/59/344864159.db2.gz ZLHCUEVVKUBWDS-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN COC1(CN[C@@H]2CCCc3cccnc32)CCC1 ZINC000234655041 537868319 /nfs/dbraw/zinc/86/83/19/537868319.db2.gz SXJIIYJMOHIKLB-CYBMUJFWSA-N 0 3 246.354 2.618 20 0 BFADHN Cc1ccc([C@H](C)CN2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000628177057 344906002 /nfs/dbraw/zinc/90/60/02/344906002.db2.gz JOKFUQFRBFKTQT-BMFZPTHFSA-N 0 3 245.366 2.962 20 0 BFADHN CCN(Cc1ccc(OC)c(OC)c1)C1CC1 ZINC000121028146 344907953 /nfs/dbraw/zinc/90/79/53/344907953.db2.gz STTFAWPLENXWDQ-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN CC[C@H]([NH2+]Cc1nnc(C2CC2)[n-]1)[C@@H]1CC1(C)C ZINC000584040472 537873501 /nfs/dbraw/zinc/87/35/01/537873501.db2.gz BBCMINYRNUPWQU-QWRGUYRKSA-N 0 3 248.374 2.596 20 0 BFADHN CC[C@H](NCc1nnc(C2CC2)[nH]1)[C@@H]1CC1(C)C ZINC000584040472 537873508 /nfs/dbraw/zinc/87/35/08/537873508.db2.gz BBCMINYRNUPWQU-QWRGUYRKSA-N 0 3 248.374 2.596 20 0 BFADHN CCN(Cc1cnc(C2CC2)o1)C1CCC1 ZINC000628181423 344920864 /nfs/dbraw/zinc/92/08/64/344920864.db2.gz BULKYSNZPIFLPN-UHFFFAOYSA-N 0 3 220.316 2.926 20 0 BFADHN Cc1cnc(CCN(C)Cc2ccco2)c(C)c1 ZINC000583437742 344954670 /nfs/dbraw/zinc/95/46/70/344954670.db2.gz CMHXVWVJJCESPF-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN Cc1nc(C)c(CNCCc2ccccc2F)o1 ZINC000628198657 344962314 /nfs/dbraw/zinc/96/23/14/344962314.db2.gz GSGKIZRHNHGYDM-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN CC/C=C\CNCc1cccc(NC(C)=O)c1 ZINC000631149833 344964723 /nfs/dbraw/zinc/96/47/23/344964723.db2.gz KZMAUAXYCDVXFE-PLNGDYQASA-N 0 3 232.327 2.701 20 0 BFADHN COc1ccc(CN2C[C@@H]3CCCC[C@@]32C)cn1 ZINC000626104835 344967978 /nfs/dbraw/zinc/96/79/78/344967978.db2.gz GBAAWBKHFWXTCY-ZFWWWQNUSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1ncc(CNC2(C)CCCCC2)o1 ZINC000628200287 344971699 /nfs/dbraw/zinc/97/16/99/344971699.db2.gz GJRLYSLTKPEAMP-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cnc(C2CC2)o1 ZINC000628190855 344944328 /nfs/dbraw/zinc/94/43/28/344944328.db2.gz SNSVWMBLSHBXLV-NXEZZACHSA-N 0 3 220.316 2.925 20 0 BFADHN C[C@@H](O)[C@@H](NC1CCCC1)c1ccccc1F ZINC000583445543 344987497 /nfs/dbraw/zinc/98/74/97/344987497.db2.gz QMMNMFAIDFMGPV-QMTHXVAHSA-N 0 3 237.318 2.780 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1cccnc1Cl ZINC000123396890 344998520 /nfs/dbraw/zinc/99/85/20/344998520.db2.gz SFVWLRSYNLXJIP-NXEZZACHSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1cnc(NC(=O)C(C)C(F)(F)F)s1 ZINC000079633009 345007902 /nfs/dbraw/zinc/00/79/02/345007902.db2.gz XPJLIFLVMGZRAT-RXMQYKEDSA-N 0 3 238.234 2.588 20 0 BFADHN Cc1cccc(C)c1CN1C[C@H](C)O[C@@H](C)C1 ZINC000154014768 345008202 /nfs/dbraw/zinc/00/82/02/345008202.db2.gz VDUNTYQAQCZQIE-KBPBESRZSA-N 0 3 233.355 2.913 20 0 BFADHN CCOC[C@H]1CCCN(Cc2ccc(C)cn2)C1 ZINC000154805757 345049622 /nfs/dbraw/zinc/04/96/22/345049622.db2.gz HZGGZUPIPTZFEH-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1cscn1 ZINC000307132555 345031351 /nfs/dbraw/zinc/03/13/51/345031351.db2.gz IBICZZYUHPZFLQ-DOLQZWNJSA-N 0 3 208.330 2.592 20 0 BFADHN Fc1ccccc1CNCCCCn1ccnc1 ZINC000044353181 345032509 /nfs/dbraw/zinc/03/25/09/345032509.db2.gz QXOKYNLIIACUEJ-UHFFFAOYSA-N 0 3 247.317 2.592 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccnn2C)c2ccccc21 ZINC000080199627 345118425 /nfs/dbraw/zinc/11/84/25/345118425.db2.gz BRSSIWXSCRQMSU-XHDPSFHLSA-N 0 3 241.338 2.758 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccnn2C)c2ccccc21 ZINC000080199624 345118477 /nfs/dbraw/zinc/11/84/77/345118477.db2.gz BRSSIWXSCRQMSU-IAQYHMDHSA-N 0 3 241.338 2.758 20 0 BFADHN CN(Cc1ccncc1)CC1(C)CCC1 ZINC000446752259 537888111 /nfs/dbraw/zinc/88/81/11/537888111.db2.gz GOQIUSPEIMVCBJ-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN C[C@H]1C[C@H](CNCc2ccon2)C[C@@H](C)C1 ZINC000634985678 345164862 /nfs/dbraw/zinc/16/48/62/345164862.db2.gz AIGNGZFNLOMLDC-GDNZZTSVSA-N 0 3 222.332 2.837 20 0 BFADHN CCC(CC)NC(=O)[C@H](C)N(C)C[C@@H](C)CC ZINC000171950712 345126138 /nfs/dbraw/zinc/12/61/38/345126138.db2.gz DVCRUAIHXCXCIQ-RYUDHWBXSA-N 0 3 242.407 2.658 20 0 BFADHN C[C@@H]1OCCN(CCC2CCCC2)[C@H]1C ZINC000156124397 345129629 /nfs/dbraw/zinc/12/96/29/345129629.db2.gz CDVDHOVWLUPNEZ-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@H]1OCCN(CCC2CCCC2)[C@H]1C ZINC000156124628 345129673 /nfs/dbraw/zinc/12/96/73/345129673.db2.gz CDVDHOVWLUPNEZ-NWDGAFQWSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)OC1CCC(NCc2ccon2)CC1 ZINC000634972589 345147889 /nfs/dbraw/zinc/14/78/89/345147889.db2.gz BXHLAQXJQNOMFE-UHFFFAOYSA-N 0 3 238.331 2.500 20 0 BFADHN C[C@H]1OCCN(CCCc2ccccc2)[C@@H]1C ZINC000156311626 345148667 /nfs/dbraw/zinc/14/86/67/345148667.db2.gz DLPXPWKOGZUQEZ-ZIAGYGMSSA-N 0 3 233.355 2.728 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1nc2cc(F)ccc2o1 ZINC000634980378 345158221 /nfs/dbraw/zinc/15/82/21/345158221.db2.gz DDNRNJIJTSULES-WPRPVWTQSA-N 0 3 234.274 2.855 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2ccon2)[C@H]1C ZINC000634979953 345160215 /nfs/dbraw/zinc/16/02/15/345160215.db2.gz SANVAMFFMGTUAX-WOPDTQHZSA-N 0 3 222.332 2.837 20 0 BFADHN C[C@@H](NCc1cc[nH]n1)C1C(C)(C)C1(C)C ZINC000381280413 345227397 /nfs/dbraw/zinc/22/73/97/345227397.db2.gz XZFADHQMICUZCQ-SECBINFHSA-N 0 3 221.348 2.570 20 0 BFADHN CSCCCN1CCC[C@@H]1c1ccccn1 ZINC000531200810 323085071 /nfs/dbraw/zinc/08/50/71/323085071.db2.gz BPCAVVYVTYYAJL-CYBMUJFWSA-N 0 3 236.384 2.972 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1ccc(N)nc1 ZINC000635002334 345204239 /nfs/dbraw/zinc/20/42/39/345204239.db2.gz QJDGKOYBDAIVLA-GFCCVEGCSA-N 0 3 241.338 2.653 20 0 BFADHN CC1(F)CCN(Cc2cccc3c2NCC3)CC1 ZINC000635002612 345204547 /nfs/dbraw/zinc/20/45/47/345204547.db2.gz VZORBLDPJXSCHL-UHFFFAOYSA-N 0 3 248.345 2.979 20 0 BFADHN CCc1cc(CN[C@H](C)[C@H](C)CC)on1 ZINC000168167721 345289522 /nfs/dbraw/zinc/28/95/22/345289522.db2.gz YKRZSZUGJSUOQV-NXEZZACHSA-N 0 3 210.321 2.761 20 0 BFADHN CC[C@H](C)[C@@H](C)NC(=O)CN(C)CC(C)(C)C ZINC000635419597 345358717 /nfs/dbraw/zinc/35/87/17/345358717.db2.gz CNSCWRLFKNFFMI-NWDGAFQWSA-N 0 3 242.407 2.515 20 0 BFADHN CCN(Cc1cnc2ccc(C)cn12)C1CC1 ZINC000133336851 345370658 /nfs/dbraw/zinc/37/06/58/345370658.db2.gz NXEOBMUORKEPGZ-UHFFFAOYSA-N 0 3 229.327 2.627 20 0 BFADHN C[C@H]1CCCN(Cc2cnc3ccccn23)CC1 ZINC000158773756 345373423 /nfs/dbraw/zinc/37/34/23/345373423.db2.gz IMQADIVIJYKLLX-ZDUSSCGKSA-N 0 3 243.354 2.956 20 0 BFADHN Cc1cc(CN2CC[C@@H](CC(C)C)C2)on1 ZINC000080643003 345378345 /nfs/dbraw/zinc/37/83/45/345378345.db2.gz KPZUWQUCBWLRRI-LBPRGKRZSA-N 0 3 222.332 2.851 20 0 BFADHN CCOCCCN(C)Cc1ccc(F)cc1 ZINC000158383431 345328007 /nfs/dbraw/zinc/32/80/07/345328007.db2.gz CJKADSRLRMOIQG-UHFFFAOYSA-N 0 3 225.307 2.684 20 0 BFADHN c1ncc(CN2CCCC3(CC3)CC2)s1 ZINC000626115400 345331823 /nfs/dbraw/zinc/33/18/23/345331823.db2.gz SAQZUZFLCGVMIH-UHFFFAOYSA-N 0 3 222.357 2.909 20 0 BFADHN CC[N@@H+](Cc1nc(C)ccc1[O-])CC(C)C ZINC000132532793 345333668 /nfs/dbraw/zinc/33/36/68/345333668.db2.gz QWTJLRDOOBAYOI-UHFFFAOYSA-N 0 3 222.332 2.574 20 0 BFADHN CC[N@H+](Cc1nc(C)ccc1[O-])CC(C)C ZINC000132532793 345333672 /nfs/dbraw/zinc/33/36/72/345333672.db2.gz QWTJLRDOOBAYOI-UHFFFAOYSA-N 0 3 222.332 2.574 20 0 BFADHN CC1(C)C[C@H](NCc2ccoc2)C(C)(C)O1 ZINC000132529862 345333979 /nfs/dbraw/zinc/33/39/79/345333979.db2.gz QIJHSXDGGQTCOB-NSHDSACASA-N 0 3 223.316 2.715 20 0 BFADHN CSCCNCc1ccc(F)cc1Cl ZINC000070184558 345455557 /nfs/dbraw/zinc/45/55/57/345455557.db2.gz XJWWMAHVEOBFED-UHFFFAOYSA-N 0 3 233.739 2.932 20 0 BFADHN CC1(C)CC[C@@H](NCc2ccc(F)cn2)C1 ZINC000335605385 345419932 /nfs/dbraw/zinc/41/99/32/345419932.db2.gz YJBLXUOHMCJNQV-LLVKDONJSA-N 0 3 222.307 2.889 20 0 BFADHN FC(F)(F)CCN1CCC[C@H](c2ncc[nH]2)C1 ZINC000080959840 345455579 /nfs/dbraw/zinc/45/55/79/345455579.db2.gz PDTHYASGXIVFSX-VIFPVBQESA-N 0 3 247.264 2.542 20 0 BFADHN Cc1nnc([C@H](C)N[C@H](C)C(C)C)s1 ZINC000135011221 345429386 /nfs/dbraw/zinc/42/93/86/345429386.db2.gz SOBHUEWRGIQJNX-SFYZADRCSA-N 0 3 213.350 2.542 20 0 BFADHN C[C@@H](CC1CC1)[NH2+]Cc1cc([O-])cc(F)c1 ZINC000159602721 345445625 /nfs/dbraw/zinc/44/56/25/345445625.db2.gz DCROHMNGJDNKSV-VIFPVBQESA-N 0 3 223.291 2.810 20 0 BFADHN CCC1CCN(CCc2cscn2)CC1 ZINC000295617879 168529064 /nfs/dbraw/zinc/52/90/64/168529064.db2.gz WBHHLSHBSKITCV-UHFFFAOYSA-N 0 3 224.373 2.808 20 0 BFADHN CCCC[C@@H](COC)N[C@H](C)c1cncs1 ZINC000134030971 345396325 /nfs/dbraw/zinc/39/63/25/345396325.db2.gz OYZJAOPORKQICL-MNOVXSKESA-N 0 3 242.388 2.999 20 0 BFADHN CCOC[C@H]1CCN([C@@H](C)c2cccnc2)C1 ZINC000081521080 345475926 /nfs/dbraw/zinc/47/59/26/345475926.db2.gz XVRNZPBZGNQTMO-STQMWFEESA-N 0 3 234.343 2.501 20 0 BFADHN CCOC[C@@H]1CCN([C@@H](C)c2cccnc2)C1 ZINC000081521079 345476020 /nfs/dbraw/zinc/47/60/20/345476020.db2.gz XVRNZPBZGNQTMO-QWHCGFSZSA-N 0 3 234.343 2.501 20 0 BFADHN C/C(Cl)=C/CN1CCC[C@H](CCO)CC1 ZINC000528526572 323091342 /nfs/dbraw/zinc/09/13/42/323091342.db2.gz KIQLOOUJVQKSRG-MCWZUMHDSA-N 0 3 231.767 2.614 20 0 BFADHN Cc1ncsc1CN[C@@H](C)Cc1ccoc1 ZINC000084961434 345530328 /nfs/dbraw/zinc/53/03/28/345530328.db2.gz NKTGFRROCXWENG-VIFPVBQESA-N 0 3 236.340 2.765 20 0 BFADHN Cc1csc(CN[C@H](C)Cc2ccoc2)n1 ZINC000084961433 345530504 /nfs/dbraw/zinc/53/05/04/345530504.db2.gz GOLQJDXDTATQBV-SECBINFHSA-N 0 3 236.340 2.765 20 0 BFADHN C[C@@H](Cc1ccoc1)NCc1cccc(O)c1 ZINC000084961628 345530530 /nfs/dbraw/zinc/53/05/30/345530530.db2.gz FACIJOLOWBTEKW-NSHDSACASA-N 0 3 231.295 2.706 20 0 BFADHN CC(C)n1cc([C@@H](C)NCc2cc[nH]c2)cn1 ZINC000086253762 345536603 /nfs/dbraw/zinc/53/66/03/345536603.db2.gz VMXLTGUVBKVCJI-LLVKDONJSA-N 0 3 232.331 2.643 20 0 BFADHN OCCC[C@H](NCc1cc[nH]c1)c1ccccc1 ZINC000086254936 345537146 /nfs/dbraw/zinc/53/71/46/345537146.db2.gz FLUQLPATNHPXBF-HNNXBMFYSA-N 0 3 244.338 2.618 20 0 BFADHN Cc1csc(CN2C[C@@H](C)C[C@@H]2C)n1 ZINC000088601495 345542409 /nfs/dbraw/zinc/54/24/09/345542409.db2.gz FWYUQOKMXAHCPE-WPRPVWTQSA-N 0 3 210.346 2.682 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@@H]1CCCc2ccc(F)cc21 ZINC000089213808 345549314 /nfs/dbraw/zinc/54/93/14/345549314.db2.gz WFADQPWHXGGJSO-COLVAYQJSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000089552646 345560554 /nfs/dbraw/zinc/56/05/54/345560554.db2.gz OSMXRKDTEXJAES-CUOATXAZSA-N 0 3 235.302 2.580 20 0 BFADHN Cc1nnc([C@H](C)N[C@H]2CCC[C@H]3C[C@H]32)s1 ZINC000378772951 345561120 /nfs/dbraw/zinc/56/11/20/345561120.db2.gz GMJHCODRRKHMOE-BBHBSTQDSA-N 0 3 237.372 2.686 20 0 BFADHN COC[C@H](N[C@H]1COc2ccc(C)cc21)C(C)C ZINC000090452738 345568859 /nfs/dbraw/zinc/56/88/59/345568859.db2.gz CGQWLVPWAWUULV-KBPBESRZSA-N 0 3 249.354 2.689 20 0 BFADHN C[C@@H](N[C@H](CO)CC(C)(C)C)c1cccnc1 ZINC000090588445 345573900 /nfs/dbraw/zinc/57/39/00/345573900.db2.gz UKHHDESACXPFDH-YPMHNXCESA-N 0 3 236.359 2.529 20 0 BFADHN Cc1cnccc1CCN[C@H](C)c1nccs1 ZINC000090846991 345576701 /nfs/dbraw/zinc/57/67/01/345576701.db2.gz PCNQHRSMGLVEFB-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN Fc1ccc2c(c1)CCN(CC1CCC1)C2 ZINC000091898258 345612013 /nfs/dbraw/zinc/61/20/13/345612013.db2.gz XWECQNSJIFYVBB-UHFFFAOYSA-N 0 3 219.303 2.984 20 0 BFADHN c1csc(CN2CCC[C@H](c3ncc[nH]3)C2)c1 ZINC000092073785 345615197 /nfs/dbraw/zinc/61/51/97/345615197.db2.gz FPGSKLVHFDETLC-NSHDSACASA-N 0 3 247.367 2.851 20 0 BFADHN OC[C@H]1CCCN1Cc1cc2cc(F)ccc2o1 ZINC000092470708 345622139 /nfs/dbraw/zinc/62/21/39/345622139.db2.gz XDEDDTDEWDKFPP-GFCCVEGCSA-N 0 3 249.285 2.529 20 0 BFADHN COc1cc(CN2CC[C@H](C)C2)cc(OC)c1 ZINC000092471381 345623484 /nfs/dbraw/zinc/62/34/84/345623484.db2.gz NVMGOJBWBQTFHK-NSHDSACASA-N 0 3 235.327 2.546 20 0 BFADHN C[C@H]1CCN(Cc2cc(-c3ccccc3)n[nH]2)C1 ZINC000092471708 345624163 /nfs/dbraw/zinc/62/41/63/345624163.db2.gz KDACQRCVYMPILW-LBPRGKRZSA-N 0 3 241.338 2.919 20 0 BFADHN CCOc1ccc(CN2CC[C@H](C)C2)cc1OC ZINC000092471644 345624415 /nfs/dbraw/zinc/62/44/15/345624415.db2.gz DQABXJAWSGRMBE-LBPRGKRZSA-N 0 3 249.354 2.936 20 0 BFADHN Cc1ccoc1CN(CCCO)CC(C)(C)C ZINC000093146273 345657860 /nfs/dbraw/zinc/65/78/60/345657860.db2.gz SVLFZYXKKDHRFM-UHFFFAOYSA-N 0 3 239.359 2.819 20 0 BFADHN CCC(C)(C)N(C)Cc1cnc(N(C)C)s1 ZINC000093279492 345660249 /nfs/dbraw/zinc/66/02/49/345660249.db2.gz PSOSDBDSBQTMGV-UHFFFAOYSA-N 0 3 241.404 2.830 20 0 BFADHN Cc1cccn2c(CN3C[C@H](C)[C@@H](C)C3)cnc12 ZINC000093503708 345661492 /nfs/dbraw/zinc/66/14/92/345661492.db2.gz XKCKAQDZYNMFKK-STQMWFEESA-N 0 3 243.354 2.731 20 0 BFADHN Cc1ccc2ncc(CN3C[C@@H](C)[C@H](C)C3)n2c1 ZINC000093504210 345662390 /nfs/dbraw/zinc/66/23/90/345662390.db2.gz YGZMJIUKFVBLDA-CHWSQXEVSA-N 0 3 243.354 2.731 20 0 BFADHN CC(F)(F)CCCNCc1cncc(F)c1 ZINC000390251015 259384165 /nfs/dbraw/zinc/38/41/65/259384165.db2.gz TWSIHBXMHMUKDY-UHFFFAOYSA-N 0 3 232.249 2.746 20 0 BFADHN COc1ccsc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC000093661020 345663587 /nfs/dbraw/zinc/66/35/87/345663587.db2.gz RLYGDKKIHDVWGS-AOOOYVTPSA-N 0 3 237.368 2.845 20 0 BFADHN Nc1cnccc1CNCCC1=CCCCC1 ZINC000641701523 362614120 /nfs/dbraw/zinc/61/41/20/362614120.db2.gz SWECWGUPVWGYAP-UHFFFAOYSA-N 0 3 231.343 2.644 20 0 BFADHN CCOCCCCNCc1ccc(SC)o1 ZINC000641708168 362617354 /nfs/dbraw/zinc/61/73/54/362617354.db2.gz PVZHTUNTFWTQAM-UHFFFAOYSA-N 0 3 243.372 2.908 20 0 BFADHN CC[C@H](C)[C@](C)(O)CN[C@@H](C)c1cccnc1 ZINC000092748824 345642332 /nfs/dbraw/zinc/64/23/32/345642332.db2.gz SJYUBVWKBWHKMS-SGMGOOAPSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H](c1ccccc1F)N1CC[C@@H](C)[C@@H](O)C1 ZINC000093333842 345652898 /nfs/dbraw/zinc/65/28/98/345652898.db2.gz XISYSEGFLOGENB-SUNKGSAMSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](CN(C)Cc1ccnn1C)c1ccccc1 ZINC000093009024 345654463 /nfs/dbraw/zinc/65/44/63/345654463.db2.gz NVKNUEYJMWJUFN-CYBMUJFWSA-N 0 3 243.354 2.656 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1C[C@@H]1C[C@@H]1c1ccccc1 ZINC000093418193 345670631 /nfs/dbraw/zinc/67/06/31/345670631.db2.gz KVIZEBXEGVGVRF-BFJAYTPKSA-N 0 3 245.366 2.899 20 0 BFADHN COCc1ccc(CN2CCC2(C)C)cc1 ZINC000093460296 345671466 /nfs/dbraw/zinc/67/14/66/345671466.db2.gz SZTISYTYPBEZKG-UHFFFAOYSA-N 0 3 219.328 2.817 20 0 BFADHN C/C(=C\c1ccccc1)CN(C)CC[C@H](C)O ZINC000093481565 345672348 /nfs/dbraw/zinc/67/23/48/345672348.db2.gz ZBLFCPNZLMSLLM-CMPYXILNSA-N 0 3 233.355 2.793 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CCC1(C)C ZINC000093460509 345672796 /nfs/dbraw/zinc/67/27/96/345672796.db2.gz KHZGTULOYQTSHV-UHFFFAOYSA-N 0 3 235.375 2.529 20 0 BFADHN COc1cc(CN2C[C@H](C)[C@@H](C)C2)cc(OC)c1 ZINC000093503537 345674216 /nfs/dbraw/zinc/67/42/16/345674216.db2.gz CGNWPGMMWDZMQY-RYUDHWBXSA-N 0 3 249.354 2.792 20 0 BFADHN CC1(C)CN(CCc2cncs2)CCS1 ZINC000334548471 345759776 /nfs/dbraw/zinc/75/97/76/345759776.db2.gz WRWYNGLLBJDBRH-UHFFFAOYSA-N 0 3 242.413 2.513 20 0 BFADHN CC(=O)c1ccc(CN2CCCCC2)cc1 ZINC000166711966 345720910 /nfs/dbraw/zinc/72/09/10/345720910.db2.gz LUNHJIFSUMASSJ-UHFFFAOYSA-N 0 3 217.312 2.875 20 0 BFADHN COCc1ccc(CN2CC[C@H]3CCC[C@@H]32)o1 ZINC000212296566 345726930 /nfs/dbraw/zinc/72/69/30/345726930.db2.gz GIABFRJEAGWRPQ-RISCZKNCSA-N 0 3 235.327 2.800 20 0 BFADHN CC1CCN(Cc2cc(CO)ccc2F)CC1 ZINC000211213046 345737571 /nfs/dbraw/zinc/73/75/71/345737571.db2.gz GVDKMNYMUMJTMV-UHFFFAOYSA-N 0 3 237.318 2.550 20 0 BFADHN CC(C)[C@@H]1CCCN1CC(=O)Nc1ccccc1 ZINC000140437826 345809490 /nfs/dbraw/zinc/80/94/90/345809490.db2.gz ZDRYDAYBNJFXMI-AWEZNQCLSA-N 0 3 246.354 2.746 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2C(C)C)on1 ZINC000140481941 345810193 /nfs/dbraw/zinc/81/01/93/345810193.db2.gz XYPUAAGDJSFULG-GFCCVEGCSA-N 0 3 208.305 2.603 20 0 BFADHN CCC(C)(C)NCc1cnc(C2CC2)o1 ZINC000628210952 345817588 /nfs/dbraw/zinc/81/75/88/345817588.db2.gz QFMMBVKKXCWPJF-UHFFFAOYSA-N 0 3 208.305 2.830 20 0 BFADHN C[C@@H]1C[C@H](NCc2ncc[nH]2)CC(C)(C)C1 ZINC000070621334 345791494 /nfs/dbraw/zinc/79/14/94/345791494.db2.gz RMTTULOOKKQFNQ-MNOVXSKESA-N 0 3 221.348 2.714 20 0 BFADHN CC[C@@](C)(CCO)NCc1ccc(C)cc1F ZINC000631091480 345854474 /nfs/dbraw/zinc/85/44/74/345854474.db2.gz PXKUWHKXQBRCMB-AWEZNQCLSA-N 0 3 239.334 2.775 20 0 BFADHN c1ccc2c(c1)nccc2NC[C@H]1CC12CC2 ZINC000418982435 192091227 /nfs/dbraw/zinc/09/12/27/192091227.db2.gz AUBGJFFDUKYYQG-LLVKDONJSA-N 0 3 224.307 2.869 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@@H]2Cc2ccccc2)o1 ZINC000628217070 345928706 /nfs/dbraw/zinc/92/87/06/345928706.db2.gz KAHITPQYZWAPON-DZGCQCFKSA-N 0 3 242.322 2.704 20 0 BFADHN C[C@H](Cc1ccsc1)N(C)Cc1cnn(C)c1 ZINC000176435894 345902921 /nfs/dbraw/zinc/90/29/21/345902921.db2.gz XCHMRYKRERZKNA-LLVKDONJSA-N 0 3 249.383 2.545 20 0 BFADHN CCCC[C@@H](CC)CCN(C)CC(=O)N(C)C ZINC000437609122 537923610 /nfs/dbraw/zinc/92/36/10/537923610.db2.gz ZETXJGNDCQBHSQ-CYBMUJFWSA-N 0 3 242.407 2.613 20 0 BFADHN COCC1(N[C@@H](C)c2cccc(F)c2)CC1 ZINC000381460167 345945072 /nfs/dbraw/zinc/94/50/72/345945072.db2.gz KUUCDVXHFXPJCB-JTQLQIEISA-N 0 3 223.291 2.655 20 0 BFADHN Cc1ncc(CNCC(C2CC2)C2CC2)o1 ZINC000628223643 345965003 /nfs/dbraw/zinc/96/50/03/345965003.db2.gz MJZSAMNFNDYPEH-UHFFFAOYSA-N 0 3 220.316 2.509 20 0 BFADHN Cc1cc(CN(C)C(C)(C)CO)c(C)s1 ZINC000605574775 345968588 /nfs/dbraw/zinc/96/85/88/345968588.db2.gz LJKMKNPVJPXZNO-UHFFFAOYSA-N 0 3 227.373 2.568 20 0 BFADHN Cc1ccc2nc(CNCCC(C)C)cn2c1 ZINC000263784527 178976613 /nfs/dbraw/zinc/97/66/13/178976613.db2.gz QLKPBIWDCBIPBS-UHFFFAOYSA-N 0 3 231.343 2.778 20 0 BFADHN CN1CCC(Nc2ccc3cnccc3c2)CC1 ZINC000149077122 346081630 /nfs/dbraw/zinc/08/16/30/346081630.db2.gz DETKWTATWJJAPT-UHFFFAOYSA-N 0 3 241.338 2.741 20 0 BFADHN Cc1ccc2nc(CN[C@@H](C)C(C)C)cn2c1 ZINC000263873419 178977338 /nfs/dbraw/zinc/97/73/38/178977338.db2.gz HNFYXTBHESBPQF-LBPRGKRZSA-N 0 3 231.343 2.777 20 0 BFADHN Cc1ccc2nc(CN[C@@H](C)C3CC3)cn2c1 ZINC000272996936 178977740 /nfs/dbraw/zinc/97/77/40/178977740.db2.gz NVRJHPBDXIGPSF-NSHDSACASA-N 0 3 229.327 2.531 20 0 BFADHN Fc1ccc(OCCN2C3CCC2CC3)cc1 ZINC000635900515 346105799 /nfs/dbraw/zinc/10/57/99/346105799.db2.gz KQLJWQKDFOWWGT-UHFFFAOYSA-N 0 3 235.302 2.831 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@@H](N)C(C)(C)C ZINC000226071064 535025578 /nfs/dbraw/zinc/02/55/78/535025578.db2.gz QTKVPWLPHCGQBL-NWDGAFQWSA-N 0 3 242.407 2.835 20 0 BFADHN Cc1ccnc(NCC2(N(C)C)CC2)c1Cl ZINC000419006898 192097426 /nfs/dbraw/zinc/09/74/26/192097426.db2.gz IXQJRPUOBMTMCV-UHFFFAOYSA-N 0 3 239.750 2.550 20 0 BFADHN CCOc1ccc(CN2CC(CCOC)C2)cc1 ZINC000668474270 537947917 /nfs/dbraw/zinc/94/79/17/537947917.db2.gz SSLYGXCQZYSPKX-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN Cc1ccc2nccc(N[C@@H](C)[C@H](C)CO)c2c1 ZINC000302166557 178984469 /nfs/dbraw/zinc/98/44/69/178984469.db2.gz RNUMQEBURBJLMY-NEPJUHHUSA-N 0 3 244.338 2.972 20 0 BFADHN CC(C)[C@H](C)NCc1ncc(Cl)s1 ZINC000225301169 346169320 /nfs/dbraw/zinc/16/93/20/346169320.db2.gz RJZPHILTJBMCBK-ZETCQYMHSA-N 0 3 218.753 2.931 20 0 BFADHN Cc1ncc(CNC2(Cc3ccccc3)CC2)o1 ZINC000628240744 346203456 /nfs/dbraw/zinc/20/34/56/346203456.db2.gz RLGTUKRJXKGQSH-UHFFFAOYSA-N 0 3 242.322 2.848 20 0 BFADHN C[C@@]1(CNCc2ncccc2F)CCCS1 ZINC000628230048 346179497 /nfs/dbraw/zinc/17/94/97/346179497.db2.gz RMYWCMLVCZYQCE-LBPRGKRZSA-N 0 3 240.347 2.596 20 0 BFADHN Cc1nc(C)c(CNCC2(C3CC3)CCC2)o1 ZINC000628229955 346179537 /nfs/dbraw/zinc/17/95/37/346179537.db2.gz NKKDXDLSBZRBPO-UHFFFAOYSA-N 0 3 234.343 2.961 20 0 BFADHN Cc1ncc(CN2CC[C@@]3(CC[C@H](C)C3)C2)o1 ZINC000628236991 346192017 /nfs/dbraw/zinc/19/20/17/346192017.db2.gz XOLJTBPDPQILEU-SMDDNHRTSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1ncc(CN2CC3(CCC3)C[C@H]2C)o1 ZINC000628253195 346227452 /nfs/dbraw/zinc/22/74/52/346227452.db2.gz XMQIUEVEDABJFF-SNVBAGLBSA-N 0 3 220.316 2.748 20 0 BFADHN COc1cncc(CNC2(C3(C)CC3)CC2)c1C ZINC000631153637 346233537 /nfs/dbraw/zinc/23/35/37/346233537.db2.gz CVMKBDMFGDEAOB-UHFFFAOYSA-N 0 3 246.354 2.821 20 0 BFADHN CC[C@H](C)CCNCc1oc(C)nc1C ZINC000394487300 346218281 /nfs/dbraw/zinc/21/82/81/346218281.db2.gz YTJVOOYZMXHQSL-VIFPVBQESA-N 0 3 210.321 2.817 20 0 BFADHN Cc1cccc(CN2CC[C@H](c3ccco3)C2)n1 ZINC000605576763 346221103 /nfs/dbraw/zinc/22/11/03/346221103.db2.gz ZWWTXOIUFXCJHJ-ZDUSSCGKSA-N 0 3 242.322 2.973 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CC23CCCC3)o1 ZINC000628251997 346224413 /nfs/dbraw/zinc/22/44/13/346224413.db2.gz DNWLFICGCCUNGI-LBPRGKRZSA-N 0 3 220.316 2.714 20 0 BFADHN CCCC[C@@H](COC)N[C@@H](C)c1ccccn1 ZINC000152381914 346256478 /nfs/dbraw/zinc/25/64/78/346256478.db2.gz YUMPWYGZCNXUHV-STQMWFEESA-N 0 3 236.359 2.937 20 0 BFADHN CCN(Cc1ccc(Cl)nc1)C[C@@H](C)OC ZINC000291141921 346286110 /nfs/dbraw/zinc/28/61/10/346286110.db2.gz XZWGJVPXHRTHLK-SNVBAGLBSA-N 0 3 242.750 2.592 20 0 BFADHN CSc1ccc(CN[C@@H]2CCO[C@H](C)C2)o1 ZINC000641744443 362665925 /nfs/dbraw/zinc/66/59/25/362665925.db2.gz SBFMTBQXZNTNDA-NXEZZACHSA-N 0 3 241.356 2.659 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H](C)CC2)ncn1 ZINC000641744841 362666765 /nfs/dbraw/zinc/66/67/65/362666765.db2.gz LSKJQYYKPVCQPE-DGCLKSJQSA-N 0 3 233.359 2.843 20 0 BFADHN C/C=C/C[C@@H]1CCCN([C@H](C)c2n[nH]c(C)n2)C1 ZINC000626164662 346271370 /nfs/dbraw/zinc/27/13/70/346271370.db2.gz MYJZZKBFKUDKMW-WPCUQIPDSA-N 0 3 248.374 2.852 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cn(C)cn2)C1 ZINC000626163393 346275174 /nfs/dbraw/zinc/27/51/74/346275174.db2.gz JTVUXGNHZAYKCW-SCOBNMCVSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2c[nH]cn2)C1 ZINC000626163324 346275409 /nfs/dbraw/zinc/27/54/09/346275409.db2.gz IMJAQBJCLHCYKO-JDGPPOGSSA-N 0 3 219.332 2.588 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cnc[nH]2)C1 ZINC000626163324 346275410 /nfs/dbraw/zinc/27/54/10/346275410.db2.gz IMJAQBJCLHCYKO-JDGPPOGSSA-N 0 3 219.332 2.588 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cnc(C)nc2)C1 ZINC000626164000 346276919 /nfs/dbraw/zinc/27/69/19/346276919.db2.gz UVAKQGJAPYQELY-VQTKUKTRSA-N 0 3 245.370 2.963 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cc(C)ncn2)C1 ZINC000626164140 346277218 /nfs/dbraw/zinc/27/72/18/346277218.db2.gz XIGKUSRFNCWFBR-VQTKUKTRSA-N 0 3 245.370 2.963 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cccnc2N)C1 ZINC000626164236 346277353 /nfs/dbraw/zinc/27/73/53/346277353.db2.gz ZJGJGAAJPLZGJU-IBUXWKBASA-N 0 3 245.370 2.842 20 0 BFADHN CCc1nn(C)cc1CN1CCC[C@@H](C)[C@H]1C ZINC000246012316 537962429 /nfs/dbraw/zinc/96/24/29/537962429.db2.gz YSELQEXRLIOPEO-VXGBXAGGSA-N 0 3 235.375 2.603 20 0 BFADHN CN(Cc1ccc2[nH]ccc2c1)[C@H]1CCCOC1 ZINC000628271986 346282373 /nfs/dbraw/zinc/28/23/73/346282373.db2.gz ZHXDBXYADKECFH-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN CC[C@@](C)(CCO)NCc1ccc(SC)o1 ZINC000641737593 362664698 /nfs/dbraw/zinc/66/46/98/362664698.db2.gz YAESHBVXBUCDBL-LBPRGKRZSA-N 0 3 243.372 2.642 20 0 BFADHN CCn1nccc1CN(C)[C@@H](C)C(C)(C)C ZINC000534349855 323117611 /nfs/dbraw/zinc/11/76/11/323117611.db2.gz FWPVITPBNVDNMG-NSHDSACASA-N 0 3 223.364 2.769 20 0 BFADHN CCC(CC)N(CC)Cc1cnc(C)o1 ZINC000628283339 346295412 /nfs/dbraw/zinc/29/54/12/346295412.db2.gz PSJFTXZKZXGMCL-UHFFFAOYSA-N 0 3 210.321 2.994 20 0 BFADHN CSc1ccc(CN[C@@H]2CCOC[C@H]2C)o1 ZINC000641751802 362679683 /nfs/dbraw/zinc/67/96/83/362679683.db2.gz YLQAEQJLBWXWCS-MWLCHTKSSA-N 0 3 241.356 2.516 20 0 BFADHN CSc1ccc(CN[C@H](C)CC(C)(C)O)o1 ZINC000641758501 362688285 /nfs/dbraw/zinc/68/82/85/362688285.db2.gz NTLUKTKHFJOJKM-SECBINFHSA-N 0 3 243.372 2.641 20 0 BFADHN CSc1ccc(CN[C@H](C)CCCCO)o1 ZINC000641758720 362688509 /nfs/dbraw/zinc/68/85/09/362688509.db2.gz RWJFKOUKHCGGKF-SNVBAGLBSA-N 0 3 243.372 2.642 20 0 BFADHN CSc1ccc(CNC[C@H]2CC[C@H](C)O2)o1 ZINC000641760648 362693193 /nfs/dbraw/zinc/69/31/93/362693193.db2.gz LRKWWBOIWJQTNW-VHSXEESVSA-N 0 3 241.356 2.659 20 0 BFADHN CSc1ccc(CN[C@H]2CC[C@H]2C)o1 ZINC000641755119 362684121 /nfs/dbraw/zinc/68/41/21/362684121.db2.gz VRHVATMJBJLGOI-SCZZXKLOSA-N 0 3 211.330 2.890 20 0 BFADHN Cc1cccc(C)c1OCCNCc1cc[nH]c1 ZINC000175699916 179046929 /nfs/dbraw/zinc/04/69/29/179046929.db2.gz TXNMTVBISYDRGA-UHFFFAOYSA-N 0 3 244.338 2.800 20 0 BFADHN CC(C)(C)NCc1nccc2c1CCCC2 ZINC000669378569 537968631 /nfs/dbraw/zinc/96/86/31/537968631.db2.gz HIIKNIAALGFYHD-UHFFFAOYSA-N 0 3 218.344 2.849 20 0 BFADHN Cc1cccc(C)c1[C@@H](C)NCc1cncn1C ZINC000354634868 179048897 /nfs/dbraw/zinc/04/88/97/179048897.db2.gz GUKJIPJPHVZLAD-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cc(C)cc(CNCc2ccncc2N)c1 ZINC000641770850 362708452 /nfs/dbraw/zinc/70/84/52/362708452.db2.gz KQVZKDAJFGGCBD-UHFFFAOYSA-N 0 3 241.338 2.570 20 0 BFADHN CCN(Cc1ccnc(Cl)c1)C(C)C ZINC000052709200 346367297 /nfs/dbraw/zinc/36/72/97/346367297.db2.gz CPFXIZXHLHSQCY-UHFFFAOYSA-N 0 3 212.724 2.965 20 0 BFADHN CC[C@@H](N[C@@H](C)c1ccc(C)o1)C(C)(C)O ZINC000295105995 346363410 /nfs/dbraw/zinc/36/34/10/346363410.db2.gz WQEXNOXLHVJTBC-CMPLNLGQSA-N 0 3 225.332 2.788 20 0 BFADHN CSc1ccc(CN[C@@H]2CCS[C@H]2C)o1 ZINC000641768383 362706262 /nfs/dbraw/zinc/70/62/62/362706262.db2.gz WTUFGEZUSJPJNJ-WCBMZHEXSA-N 0 3 243.397 2.985 20 0 BFADHN CCC1(CC)[C@H](OC)C[C@@H]1NCC1(F)CC1 ZINC000381555781 346341534 /nfs/dbraw/zinc/34/15/34/346341534.db2.gz OXFKFKKKABBNKV-WDEREUQCSA-N 0 3 229.339 2.672 20 0 BFADHN CC[C@@H](C)NCc1nc2ccccc2nc1C ZINC000589031895 346374387 /nfs/dbraw/zinc/37/43/87/346374387.db2.gz PMWWMQLBFAVYIP-SNVBAGLBSA-N 0 3 229.327 2.826 20 0 BFADHN Cc1cccc(CC[C@@H](C)NCCF)c1 ZINC000281181769 179061377 /nfs/dbraw/zinc/06/13/77/179061377.db2.gz WYELMPGPJGVKRK-GFCCVEGCSA-N 0 3 209.308 2.875 20 0 BFADHN Cc1nc2ccccc2nc1CNC(C)(C)C ZINC000589033994 346382998 /nfs/dbraw/zinc/38/29/98/346382998.db2.gz XXLQOIMUYFNTGF-UHFFFAOYSA-N 0 3 229.327 2.826 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1ccn(C(C)C)n1 ZINC000381579518 346383022 /nfs/dbraw/zinc/38/30/22/346383022.db2.gz DXCCCIOSSGRJAY-AAEUAGOBSA-N 0 3 221.348 2.742 20 0 BFADHN CCN(Cc1ccc(F)cc1C)C[C@H](C)OC ZINC000361015307 346383631 /nfs/dbraw/zinc/38/36/31/346383631.db2.gz BAHGMVBFOWOTGW-LBPRGKRZSA-N 0 3 239.334 2.991 20 0 BFADHN C[C@H]1C[C@H](N[C@H]2CCC[C@@H](C)CC2)c2ncnn21 ZINC000641781927 362720815 /nfs/dbraw/zinc/72/08/15/362720815.db2.gz DWRQIKHQKGLZKF-VOAKCMCISA-N 0 3 248.374 2.842 20 0 BFADHN Cc1cccc(CN(C)[C@H]2CCCOC2)c1 ZINC000336376341 179071925 /nfs/dbraw/zinc/07/19/25/179071925.db2.gz JZTRDXJOOSHKRW-AWEZNQCLSA-N 0 3 219.328 2.606 20 0 BFADHN Cc1cccc(CN2CCC3(CCC3)C2)n1 ZINC000336468122 179072960 /nfs/dbraw/zinc/07/29/60/179072960.db2.gz HRGUPAKMCWLNKD-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CC[C@@H](CN(CC)Cc1cccs1)OC ZINC000419203181 192102286 /nfs/dbraw/zinc/10/22/86/192102286.db2.gz NSCJXNYURVUMBP-NSHDSACASA-N 0 3 227.373 2.995 20 0 BFADHN CSc1ccc(CN[C@@H]2C[C@H](C)O[C@@H]2C)o1 ZINC000641776526 362717218 /nfs/dbraw/zinc/71/72/18/362717218.db2.gz QPYGZFAARQULGE-IQJOONFLSA-N 0 3 241.356 2.657 20 0 BFADHN CSc1ccc(CN[C@@H]2C[C@H](C)O[C@H]2C)o1 ZINC000641776524 362717302 /nfs/dbraw/zinc/71/73/02/362717302.db2.gz QPYGZFAARQULGE-ATZCPNFKSA-N 0 3 241.356 2.657 20 0 BFADHN CCN(Cc1ccc(F)c(C)c1)[C@@H]1CCOC1 ZINC000361237100 346409078 /nfs/dbraw/zinc/40/90/78/346409078.db2.gz NINWENMXJAVBCZ-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cccc(CN(C)Cc2cnc[nH]2)c1C ZINC000298452906 179065026 /nfs/dbraw/zinc/06/50/26/179065026.db2.gz ZYEQQBLUCDQHBV-UHFFFAOYSA-N 0 3 229.327 2.659 20 0 BFADHN Cc1cccc(CN2CCOCC3(CCC3)C2)c1 ZINC000353572272 179078292 /nfs/dbraw/zinc/07/82/92/179078292.db2.gz RIRGUHPVEUYXHR-UHFFFAOYSA-N 0 3 245.366 2.998 20 0 BFADHN COc1ccc(F)c(CN[C@@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000574928278 346416596 /nfs/dbraw/zinc/41/65/96/346416596.db2.gz PISCDFBHZUKGBI-DABQJJPHSA-N 0 3 235.302 2.722 20 0 BFADHN CC(C)NCc1nsc2ccccc12 ZINC000641792318 362727496 /nfs/dbraw/zinc/72/74/96/362727496.db2.gz RDWMXBJTQKFCKZ-UHFFFAOYSA-N 0 3 206.314 2.794 20 0 BFADHN Cc1cccc(CN2CCSC[C@H]2C2CC2)n1 ZINC000336291770 179080493 /nfs/dbraw/zinc/08/04/93/179080493.db2.gz PECXEJJBDPRHOK-AWEZNQCLSA-N 0 3 248.395 2.717 20 0 BFADHN C[C@@H](NCc1noc2c1CCCC2)C1CCC1 ZINC000574944607 346423413 /nfs/dbraw/zinc/42/34/13/346423413.db2.gz WZDMWACDNBLHAE-SNVBAGLBSA-N 0 3 234.343 2.832 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1cc2cnccc2o1 ZINC000641787352 362723504 /nfs/dbraw/zinc/72/35/04/362723504.db2.gz AFFNLQJATQNXII-UWVGGRQHSA-N 0 3 234.299 2.513 20 0 BFADHN COC[C@H]1CCCN([C@H](C)c2ccncc2)C1 ZINC000603413107 349961172 /nfs/dbraw/zinc/96/11/72/349961172.db2.gz CRWFURRFRZNSSQ-OLZOCXBDSA-N 0 3 234.343 2.501 20 0 BFADHN CC1(C)[C@@H](O)CCN1C/C=C\c1ccccc1 ZINC000380031047 365816150 /nfs/dbraw/zinc/81/61/50/365816150.db2.gz OYMCKGRQIGGGLC-PIZKADEWSA-N 0 3 231.339 2.545 20 0 BFADHN Cc1cccc(CNCCOC(C)C)c1C ZINC000134126099 179092782 /nfs/dbraw/zinc/09/27/82/179092782.db2.gz VBWSFNXUIHGVJK-UHFFFAOYSA-N 0 3 221.344 2.818 20 0 BFADHN C[C@H](NCc1cnccn1)[C@H]1CC2CCC1CC2 ZINC000382039352 347094216 /nfs/dbraw/zinc/09/42/16/347094216.db2.gz DCKKABBVKVKXJE-LFUGVXIPSA-N 0 3 245.370 2.781 20 0 BFADHN Cc1cccc(CNCc2ccncc2)c1 ZINC000020133917 179096802 /nfs/dbraw/zinc/09/68/02/179096802.db2.gz CLUCJXCNYYHFKI-UHFFFAOYSA-N 0 3 212.296 2.680 20 0 BFADHN Cc1cccc(Cl)c1CN[C@@H](C)[C@@H](C)CO ZINC000295645985 179107249 /nfs/dbraw/zinc/10/72/49/179107249.db2.gz CPKGTAIRNSYLBL-QWRGUYRKSA-N 0 3 241.762 2.755 20 0 BFADHN CC/C=C\CCN(C)C1(C(=O)OC)CCCC1 ZINC000341317030 346508356 /nfs/dbraw/zinc/50/83/56/346508356.db2.gz KPVAAYXHVLQEDX-WAYWQWQTSA-N 0 3 239.359 2.760 20 0 BFADHN CO[C@H](CC(C)C)CN(C)C[C@@H]1CCCCO1 ZINC000419206457 192103678 /nfs/dbraw/zinc/10/36/78/192103678.db2.gz KZXNULFLQMDUSV-UONOGXRCSA-N 0 3 243.391 2.548 20 0 BFADHN Cc1cccc(CN[C@H]2CCC[C@@H]2F)c1 ZINC000339585655 179101698 /nfs/dbraw/zinc/10/16/98/179101698.db2.gz YCZSFRSTHJALGR-STQMWFEESA-N 0 3 207.292 2.975 20 0 BFADHN Cc1cccc(CN[C@H]2CCOC2(C)C)c1F ZINC000294766632 179101866 /nfs/dbraw/zinc/10/18/66/179101866.db2.gz JRQFLQNNHUQIAA-LBPRGKRZSA-N 0 3 237.318 2.791 20 0 BFADHN CCCN1CCO[C@@H](CCc2ccccc2)C1 ZINC000186075584 346491883 /nfs/dbraw/zinc/49/18/83/346491883.db2.gz MWGVWZBONSYMMT-HNNXBMFYSA-N 0 3 233.355 2.730 20 0 BFADHN COCC1(N[C@@H]2CCCc3c(O)cccc32)CC1 ZINC000577118615 365824325 /nfs/dbraw/zinc/82/43/25/365824325.db2.gz GANNMPKIWFGFIU-CYBMUJFWSA-N 0 3 247.338 2.538 20 0 BFADHN Cc1cccc(N(C)C(=O)[C@H](C(C)C)N(C)C)c1 ZINC000268221792 179114498 /nfs/dbraw/zinc/11/44/98/179114498.db2.gz JPFUKWDDRYXNFY-AWEZNQCLSA-N 0 3 248.370 2.544 20 0 BFADHN FC1(CNCCCc2ccccc2)CC1 ZINC000380192799 346538022 /nfs/dbraw/zinc/53/80/22/346538022.db2.gz PJXXLGGXKBEAOU-UHFFFAOYSA-N 0 3 207.292 2.711 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1NCc1ccccc1Cl ZINC000163307686 346554681 /nfs/dbraw/zinc/55/46/81/346554681.db2.gz SHXBTCLEJYSBBY-RYUDHWBXSA-N 0 3 239.746 2.589 20 0 BFADHN C[C@H](NCC1(CF)CCOCC1)c1ccoc1 ZINC000563510475 323128220 /nfs/dbraw/zinc/12/82/20/323128220.db2.gz NDDAIYYKNPWALM-NSHDSACASA-N 0 3 241.306 2.697 20 0 BFADHN CCc1ncc(CN[C@@H]2CC=C(C)CC2)o1 ZINC000580155482 346571880 /nfs/dbraw/zinc/57/18/80/346571880.db2.gz YYIDDIJWMAYJKN-LLVKDONJSA-N 0 3 220.316 2.825 20 0 BFADHN CC[C@H](C)[C@@H](C)N(Cc1cnccn1)C1CC1 ZINC000580164499 346585691 /nfs/dbraw/zinc/58/56/91/346585691.db2.gz VUFDOTLGUKEJHU-NWDGAFQWSA-N 0 3 233.359 2.876 20 0 BFADHN Cc1cccc(NC(=O)[C@@H](C)N2CC[C@H](C)C2)c1 ZINC000338256855 179138000 /nfs/dbraw/zinc/13/80/00/179138000.db2.gz BKISXJJWXTZMPV-QWHCGFSZSA-N 0 3 246.354 2.664 20 0 BFADHN CSCCCN(C)Cc1cccc(F)c1N ZINC000300893671 346635607 /nfs/dbraw/zinc/63/56/07/346635607.db2.gz UYQWCGXZQRKZIP-UHFFFAOYSA-N 0 3 242.363 2.593 20 0 BFADHN CN1CCC[C@@H](CNc2nc(Cl)cs2)C1 ZINC000165014665 346636194 /nfs/dbraw/zinc/63/61/94/346636194.db2.gz YSYKLRQEIIPFGJ-QMMMGPOBSA-N 0 3 245.779 2.550 20 0 BFADHN Cc1n[nH]c(C)c1CNC[C@@H]1CCCC1(F)F ZINC000390547385 259392998 /nfs/dbraw/zinc/39/29/98/259392998.db2.gz IYTXDFNIXZKLDD-JTQLQIEISA-N 0 3 243.301 2.552 20 0 BFADHN CCCCCC(=O)N(CC)[C@@H]1CCN(CC)C1 ZINC000617932108 346702905 /nfs/dbraw/zinc/70/29/05/346702905.db2.gz KVTOTLCUSHWCNF-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1cccc(NC(=O)[C@H](C)N2CC[C@H](C)C2)c1 ZINC000338256865 179140714 /nfs/dbraw/zinc/14/07/14/179140714.db2.gz BKISXJJWXTZMPV-STQMWFEESA-N 0 3 246.354 2.664 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1ccc(OC)cc1F ZINC000037196213 346677618 /nfs/dbraw/zinc/67/76/18/346677618.db2.gz BKPRFSHZFIHAJI-UWVGGRQHSA-N 0 3 241.306 2.520 20 0 BFADHN CCOC1CC(CN[C@@H](C)c2nccs2)C1 ZINC000381695806 346699283 /nfs/dbraw/zinc/69/92/83/346699283.db2.gz HMALQOMYJNJLNP-WHXUTIOJSA-N 0 3 240.372 2.609 20 0 BFADHN CC(C)(C)c1noc(C[C@H](N)c2ccccc2)n1 ZINC000021515981 187371492 /nfs/dbraw/zinc/37/14/92/187371492.db2.gz BFGJBXMPJCPKOZ-NSHDSACASA-N 0 3 245.326 2.610 20 0 BFADHN CC[C@@H](O)CN[C@@H](C)c1cc2ccccc2o1 ZINC000168677704 346741049 /nfs/dbraw/zinc/74/10/49/346741049.db2.gz IBDUBOKZZRGJSV-CMPLNLGQSA-N 0 3 233.311 2.854 20 0 BFADHN C[C@@H]1CC[C@H](N[C@H]2CCn3ccnc32)C[C@@H]1C ZINC000345350671 365860116 /nfs/dbraw/zinc/86/01/16/365860116.db2.gz NPXYATBYNMXFLX-VOAKCMCISA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1CC[C@H](N[C@H]2CCn3ccnc32)C[C@H]1C ZINC000345350669 365860703 /nfs/dbraw/zinc/86/07/03/365860703.db2.gz NPXYATBYNMXFLX-NDBYEHHHSA-N 0 3 233.359 2.742 20 0 BFADHN Cc1cccc(OCCNCc2cncs2)c1 ZINC000054788377 179167827 /nfs/dbraw/zinc/16/78/27/179167827.db2.gz CSACJMDPDTVTAC-UHFFFAOYSA-N 0 3 248.351 2.620 20 0 BFADHN Cc1cccc(OCCNCc2cccnc2)c1 ZINC000032100262 179168432 /nfs/dbraw/zinc/16/84/32/179168432.db2.gz KFARMHRBDZJNDF-UHFFFAOYSA-N 0 3 242.322 2.559 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CC[C@@H](C)C2)o1 ZINC000309615749 347122186 /nfs/dbraw/zinc/12/21/86/347122186.db2.gz CUIYWJPDWIHLKG-MWLCHTKSSA-N 0 3 222.332 2.824 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1cccc(F)c1F ZINC000183283829 347123305 /nfs/dbraw/zinc/12/33/05/347123305.db2.gz AKCUZKHDZQSVMK-NXEZZACHSA-N 0 3 243.297 2.776 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000183899149 347141049 /nfs/dbraw/zinc/14/10/49/347141049.db2.gz RVORWIAIOQNXJZ-HZSPNIEDSA-N 0 3 249.329 2.562 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@H]1CCSC1 ZINC000309665581 347130760 /nfs/dbraw/zinc/13/07/60/347130760.db2.gz TXBKMJFYEXIHAL-JQWIXIFHSA-N 0 3 236.384 2.800 20 0 BFADHN C[C@H](C[S@@](C)=O)N(C)CCCC1CCCC1 ZINC000185101896 347190774 /nfs/dbraw/zinc/19/07/74/347190774.db2.gz NKWDRWBFZHKFHS-MLGOLLRUSA-N 0 3 245.432 2.656 20 0 BFADHN Cc1cccc([C@@H](C)NCc2cncn2C)c1C ZINC000115630182 179183464 /nfs/dbraw/zinc/18/34/64/179183464.db2.gz KAHVCONSHCYHAM-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccc(CN[C@H](C)CSCCF)o1 ZINC000601303405 347178241 /nfs/dbraw/zinc/17/82/41/347178241.db2.gz FNLUBFHLFRIWBI-SECBINFHSA-N 0 3 231.336 2.769 20 0 BFADHN Cc1cccc([C@@H](C)NCC2(C)OCCCO2)c1 ZINC000190656889 179181131 /nfs/dbraw/zinc/18/11/31/179181131.db2.gz NHBXNOSWEQVLLQ-CYBMUJFWSA-N 0 3 249.354 2.799 20 0 BFADHN CC(C)C1CC(N[C@H](c2nccn2C)C2CC2)C1 ZINC000353915970 187373483 /nfs/dbraw/zinc/37/34/83/187373483.db2.gz GZDCZDLWDHDATC-RUXDESIVSA-N 0 3 247.386 2.895 20 0 BFADHN CCc1nc(C)c(CNC2CC(CC)C2)o1 ZINC000310013588 347217135 /nfs/dbraw/zinc/21/71/35/347217135.db2.gz JUYXEZOGQUVITR-UHFFFAOYSA-N 0 3 222.332 2.824 20 0 BFADHN CC1(C)CCC[C@@H]1N[C@H](CCO)c1ccco1 ZINC000186517823 347235333 /nfs/dbraw/zinc/23/53/33/347235333.db2.gz OLDPOAHQJSEXLR-YPMHNXCESA-N 0 3 237.343 2.871 20 0 BFADHN CC1(C)CCC[C@@H]1N[C@@H](CCO)c1ccco1 ZINC000186517790 347235437 /nfs/dbraw/zinc/23/54/37/347235437.db2.gz OLDPOAHQJSEXLR-AAEUAGOBSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@H]1CCn2ccnc21 ZINC000379080854 347235524 /nfs/dbraw/zinc/23/55/24/347235524.db2.gz GPTVOJYTDNCUMZ-PWSUYJOCSA-N 0 3 247.367 2.610 20 0 BFADHN Cc1cccc([C@H](C)NCC2(C)OCCO2)c1C ZINC000179576904 179194624 /nfs/dbraw/zinc/19/46/24/179194624.db2.gz DIFSBBKYZWPUPA-ZDUSSCGKSA-N 0 3 249.354 2.717 20 0 BFADHN Cc1c[nH]c(CN2CC(C)(C)[C@H]3CCC[C@@H]32)n1 ZINC000628296505 347244033 /nfs/dbraw/zinc/24/40/33/347244033.db2.gz NYAOSZREMRKNCR-RYUDHWBXSA-N 0 3 233.359 2.729 20 0 BFADHN Cc1ncc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)o1 ZINC000628296792 347245122 /nfs/dbraw/zinc/24/51/22/347245122.db2.gz YZZKXOFEWHAPAU-OLZOCXBDSA-N 0 3 234.343 2.994 20 0 BFADHN Cc1cccc([C@H](C)N[C@@H](C)CC(=O)N(C)C)c1 ZINC000336792882 179195931 /nfs/dbraw/zinc/19/59/31/179195931.db2.gz UPLVJCFJPILKON-STQMWFEESA-N 0 3 248.370 2.512 20 0 BFADHN Cc1cccc([C@H](C)NCCn2cccn2)c1 ZINC000042539538 179196403 /nfs/dbraw/zinc/19/64/03/179196403.db2.gz DUSWXJZHAVXHKP-ZDUSSCGKSA-N 0 3 229.327 2.542 20 0 BFADHN c1ccc2nc(CN3CC[C@@H]3C3CC3)cnc2c1 ZINC000628297571 347257735 /nfs/dbraw/zinc/25/77/35/347257735.db2.gz CSYJXUNZEFUADN-OAHLLOKOSA-N 0 3 239.322 2.614 20 0 BFADHN CC[C@@H](NC[C@](C)(O)CC)c1nc(C)cs1 ZINC000119081058 347260186 /nfs/dbraw/zinc/26/01/86/347260186.db2.gz NHJWIOQSODRDBE-ZYHUDNBSSA-N 0 3 242.388 2.653 20 0 BFADHN CO[C@@H]([C@H](C)NCc1ccc(Cl)o1)C1CC1 ZINC000388253011 347267297 /nfs/dbraw/zinc/26/72/97/347267297.db2.gz AFRSRNIPWRENEL-UFBFGSQYSA-N 0 3 243.734 2.836 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@H](C)c1cccnc1)C1CC1 ZINC000388262620 347275169 /nfs/dbraw/zinc/27/51/69/347275169.db2.gz BQVCNNCPEQMHTC-GYSYKLTISA-N 0 3 234.343 2.546 20 0 BFADHN CC1(C)CN(CCc2ccncc2)CC(C)(C)O1 ZINC000190561245 347332628 /nfs/dbraw/zinc/33/26/28/347332628.db2.gz AOIZSLYIUJSKKU-UHFFFAOYSA-N 0 3 248.370 2.514 20 0 BFADHN Cc1n[nH]cc1CN[C@@H]1CCc2c1cccc2C ZINC000105496616 179217433 /nfs/dbraw/zinc/21/74/33/179217433.db2.gz POZPSIGGJAQEON-OAHLLOKOSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@H]1CCCC(F)(F)C1 ZINC000679355855 488344038 /nfs/dbraw/zinc/34/40/38/488344038.db2.gz YJDPQIMLEQVLKY-WPRPVWTQSA-N 0 3 243.301 2.947 20 0 BFADHN CO[C@@H](CC(C)C)CN(C)Cc1cccnc1 ZINC000419221567 192105766 /nfs/dbraw/zinc/10/57/66/192105766.db2.gz CHLCECITLFMZDL-AWEZNQCLSA-N 0 3 236.359 2.575 20 0 BFADHN C[C@@H](NCc1cn(C(C)(C)C)nn1)C(C)(C)C ZINC000189958223 347316666 /nfs/dbraw/zinc/31/66/66/347316666.db2.gz KBPAZDWRYDXCSQ-SNVBAGLBSA-N 0 3 238.379 2.557 20 0 BFADHN Cc1ccncc1CN1CCC12CCC2 ZINC000335876792 136744811 /nfs/dbraw/zinc/74/48/11/136744811.db2.gz PDCHTFOXXXADGJ-UHFFFAOYSA-N 0 3 202.301 2.518 20 0 BFADHN CO[C@H]1C[C@@H](CNCc2ccccc2Cl)C1 ZINC000623821955 347422597 /nfs/dbraw/zinc/42/25/97/347422597.db2.gz NYINELJLFMKFPR-KLPPZKSPSA-N 0 3 239.746 2.855 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1ccncc1)OC ZINC000319478468 259395559 /nfs/dbraw/zinc/39/55/59/259395559.db2.gz RWZGNPJAMIHTSK-YPMHNXCESA-N 0 3 222.332 2.547 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1CS[C@H](C)C1 ZINC000250995068 362830786 /nfs/dbraw/zinc/83/07/86/362830786.db2.gz SQRZYMBTUHYNHA-FYBVGQRMSA-N 0 3 240.372 2.836 20 0 BFADHN CCCN1CCN(C[C@@H](C)c2ccccc2)CC1 ZINC000192935492 365884682 /nfs/dbraw/zinc/88/46/82/365884682.db2.gz FVMHXUVXUICZII-OAHLLOKOSA-N 0 3 246.398 2.818 20 0 BFADHN CCN(C)Cc1ccc(C(=O)OC)c(Cl)c1 ZINC000590690588 347491344 /nfs/dbraw/zinc/49/13/44/347491344.db2.gz HESMDEBWRHFCBA-UHFFFAOYSA-N 0 3 241.718 2.578 20 0 BFADHN CC[C@]1(C)COCCN1C[C@H](CC(C)C)OC ZINC000419227845 192106912 /nfs/dbraw/zinc/10/69/12/192106912.db2.gz LJVBWVZKUAUDCE-UONOGXRCSA-N 0 3 243.391 2.548 20 0 BFADHN CSCCN1CCC[C@@H](c2ccccn2)C1 ZINC000590602712 347476037 /nfs/dbraw/zinc/47/60/37/347476037.db2.gz WIMIJRLSDDYVKU-GFCCVEGCSA-N 0 3 236.384 2.624 20 0 BFADHN CCN(CC)[C@H](C)c1cc(C(=O)OC)c(C)o1 ZINC000292477563 347511291 /nfs/dbraw/zinc/51/12/91/347511291.db2.gz AFQBCANPYROYRD-SECBINFHSA-N 0 3 239.315 2.777 20 0 BFADHN CC[C@H](C)N(CC)C(=O)CN1[C@H](C)CC[C@@H]1C ZINC000616980421 347556431 /nfs/dbraw/zinc/55/64/31/347556431.db2.gz GUJNCMUIBHLKEK-RWMBFGLXSA-N 0 3 240.391 2.506 20 0 BFADHN CC[C@@H](CNC1(c2ccccc2)CC1)OC ZINC000419228347 192107386 /nfs/dbraw/zinc/10/73/86/192107386.db2.gz MGPFMDATHLTPGF-ZDUSSCGKSA-N 0 3 219.328 2.690 20 0 BFADHN Cc1ccccc1C1CC(NCCF)C1 ZINC000280894462 179256309 /nfs/dbraw/zinc/25/63/09/179256309.db2.gz BUQSOZZXSZXHQL-UHFFFAOYSA-N 0 3 207.292 2.800 20 0 BFADHN CC(=O)c1ccc(CN2CCC[C@H]2C)cc1 ZINC000197834362 347594015 /nfs/dbraw/zinc/59/40/15/347594015.db2.gz AXSNCCUWRAZWCA-LLVKDONJSA-N 0 3 217.312 2.874 20 0 BFADHN CSC1(CN2CC(CC(F)(F)F)C2)CC1 ZINC000590968775 347596671 /nfs/dbraw/zinc/59/66/71/347596671.db2.gz KBHJZVWRJPKVAI-UHFFFAOYSA-N 0 3 239.306 2.766 20 0 BFADHN Cc1ccccc1CN(C)[C@@H]1CCCOC1 ZINC000336348464 179270015 /nfs/dbraw/zinc/27/00/15/179270015.db2.gz GZFVLVCIMDSIPR-CQSZACIVSA-N 0 3 219.328 2.606 20 0 BFADHN Cc1ccccc1CN1CCC[C@H]1CF ZINC000293078411 179273913 /nfs/dbraw/zinc/27/39/13/179273913.db2.gz OQRLQQIAPDGXFO-ZDUSSCGKSA-N 0 3 207.292 2.929 20 0 BFADHN Cc1ccccc1CN1CCC[C@@H]1CF ZINC000293078409 179274798 /nfs/dbraw/zinc/27/47/98/179274798.db2.gz OQRLQQIAPDGXFO-CYBMUJFWSA-N 0 3 207.292 2.929 20 0 BFADHN Cc1ccccc1CNCc1ccn(C)c1 ZINC000080794511 179283343 /nfs/dbraw/zinc/28/33/43/179283343.db2.gz ANYFKTMDHIZAGP-UHFFFAOYSA-N 0 3 214.312 2.623 20 0 BFADHN c1cnc2cc(CN[C@@H]3CCCOC3)ccc2c1 ZINC000592291068 347765249 /nfs/dbraw/zinc/76/52/49/347765249.db2.gz OMAWVUGZTXUQTQ-CQSZACIVSA-N 0 3 242.322 2.503 20 0 BFADHN Cc1ccccc1CN[C@@H](C)c1cn[nH]c1 ZINC000229883809 179283416 /nfs/dbraw/zinc/28/34/16/179283416.db2.gz YLIYWTLAHBOCBP-NSHDSACASA-N 0 3 215.300 2.569 20 0 BFADHN COc1ccccc1[C@H]1CCCCN1CCCO ZINC000592331488 347767863 /nfs/dbraw/zinc/76/78/63/347767863.db2.gz OMUYFSOXHLPMQX-CQSZACIVSA-N 0 3 249.354 2.605 20 0 BFADHN CCCN(Cc1ccccc1F)C[C@@H](O)CC ZINC000592391270 347771202 /nfs/dbraw/zinc/77/12/02/347771202.db2.gz GJVUKWLHHLWWPD-ZDUSSCGKSA-N 0 3 239.334 2.809 20 0 BFADHN CCSCCN1C[C@@H](C)OC2(CCCC2)C1 ZINC000592196314 347749925 /nfs/dbraw/zinc/74/99/25/347749925.db2.gz VVADJCHIHWUDCA-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN CCc1ccc(CN(CC)CCSC)nc1 ZINC000592202815 347754979 /nfs/dbraw/zinc/75/49/79/347754979.db2.gz SZZDYCMQBGXIRG-UHFFFAOYSA-N 0 3 238.400 2.829 20 0 BFADHN Cc1ccccc1CNCc1cccc(O)c1 ZINC000032015366 179282763 /nfs/dbraw/zinc/28/27/63/179282763.db2.gz HEPCFEABPXXENF-UHFFFAOYSA-N 0 3 227.307 2.990 20 0 BFADHN Cc1ccccc1CN[C@@H](C)COC(C)C ZINC000309358685 179282878 /nfs/dbraw/zinc/28/28/78/179282878.db2.gz OIHVCRGIUIPMCP-ZDUSSCGKSA-N 0 3 221.344 2.898 20 0 BFADHN Cc1ccccc1C[C@H](C)NCc1cncnc1 ZINC000054767957 179290682 /nfs/dbraw/zinc/29/06/82/179290682.db2.gz OOGKZCFGBMDSRR-ZDUSSCGKSA-N 0 3 241.338 2.506 20 0 BFADHN CC(C)N(Cc1ccccc1Cl)C[C@H](C)O ZINC000078551569 192371249 /nfs/dbraw/zinc/37/12/49/192371249.db2.gz QOXMXOMVYUIVNU-NSHDSACASA-N 0 3 241.762 2.931 20 0 BFADHN Cc1cc(CN[C@H]2CCc3ccc(C)cc32)[nH]n1 ZINC000592461263 347784163 /nfs/dbraw/zinc/78/41/63/347784163.db2.gz DRGYTURCYJMSTM-HNNXBMFYSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1cc(CN[C@H]2CCc3ccc(C)cc32)n[nH]1 ZINC000592461263 347784165 /nfs/dbraw/zinc/78/41/65/347784165.db2.gz DRGYTURCYJMSTM-HNNXBMFYSA-N 0 3 241.338 2.804 20 0 BFADHN CC(C)(C)CN(CCO)Cc1ccccc1F ZINC000592895791 347829702 /nfs/dbraw/zinc/82/97/02/347829702.db2.gz KPOCRFQIZWINDK-UHFFFAOYSA-N 0 3 239.334 2.666 20 0 BFADHN Cc1ccccc1NC(=O)[C@H](C(C)C)N(C)C ZINC000106407770 179301487 /nfs/dbraw/zinc/30/14/87/179301487.db2.gz ZFSZCFBAEZNSMX-ZDUSSCGKSA-N 0 3 234.343 2.520 20 0 BFADHN Cc1ccccc1NC(=O)[C@H]1NCCCC1(C)C ZINC000235769404 179303576 /nfs/dbraw/zinc/30/35/76/179303576.db2.gz PODLUKVVRYVDDR-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN CC[C@@H]1CO[C@@H](CC)CN1CCCSC ZINC000593056963 347848028 /nfs/dbraw/zinc/84/80/28/347848028.db2.gz BYJOXBYRLHGDOS-NEPJUHHUSA-N 0 3 231.405 2.629 20 0 BFADHN CCSCCN1CC(C)(C)OC[C@@H]1CC ZINC000593056239 347848265 /nfs/dbraw/zinc/84/82/65/347848265.db2.gz CHJMATCIKCDACI-NSHDSACASA-N 0 3 231.405 2.629 20 0 BFADHN CC(C)SCCN1C[C@@H](C)OCC12CCC2 ZINC000593092391 347853657 /nfs/dbraw/zinc/85/36/57/347853657.db2.gz NTYYAMVCZVBTNY-GFCCVEGCSA-N 0 3 243.416 2.771 20 0 BFADHN c1cc2c(s1)CCCN(C[C@@H]1CCCO1)C2 ZINC000592983844 347836119 /nfs/dbraw/zinc/83/61/19/347836119.db2.gz MJBRLNWQCHIZCE-LBPRGKRZSA-N 0 3 237.368 2.675 20 0 BFADHN CO[C@H]1C[C@@H](CNCc2ccsc2Cl)C1 ZINC000623824004 347864346 /nfs/dbraw/zinc/86/43/46/347864346.db2.gz TUZDVJIGJMKVQF-WAAGHKOSSA-N 0 3 245.775 2.916 20 0 BFADHN CC(C)(C)[C@@H]1CCCN(Cc2cncnc2)C1 ZINC000200990788 347864803 /nfs/dbraw/zinc/86/48/03/347864803.db2.gz HSFDFWIUCNMSHS-CYBMUJFWSA-N 0 3 233.359 2.735 20 0 BFADHN Cc1ccc(C)c(CN(C)Cc2cnc[nH]2)c1 ZINC000361321997 187382418 /nfs/dbraw/zinc/38/24/18/187382418.db2.gz RZVOLGLJSPDHSF-UHFFFAOYSA-N 0 3 229.327 2.659 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1cn[nH]c1 ZINC000038005762 179321108 /nfs/dbraw/zinc/32/11/08/179321108.db2.gz OUJDHFKNIOJNSZ-LLVKDONJSA-N 0 3 215.300 2.569 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1c[nH]cn1 ZINC000054763558 179321229 /nfs/dbraw/zinc/32/12/29/179321229.db2.gz ONFXFHMMMJSLMG-LLVKDONJSA-N 0 3 215.300 2.569 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1cnc[nH]1 ZINC000054763558 179321230 /nfs/dbraw/zinc/32/12/30/179321230.db2.gz ONFXFHMMMJSLMG-LLVKDONJSA-N 0 3 215.300 2.569 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1cccnc1N ZINC000289529445 179321339 /nfs/dbraw/zinc/32/13/39/179321339.db2.gz GMCGMXOPEUSWSQ-GFCCVEGCSA-N 0 3 241.338 2.823 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1cncn1C ZINC000112338024 179321774 /nfs/dbraw/zinc/32/17/74/179321774.db2.gz PYVCORPUDMVRBC-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1ccccc1[C@@H](C)NCCOCC(F)F ZINC000189818436 179321967 /nfs/dbraw/zinc/32/19/67/179321967.db2.gz KAEKLVUFWCFDBL-LLVKDONJSA-N 0 3 243.297 2.927 20 0 BFADHN Cc1cn[nH]c1CN[C@H](C)c1ccccc1C ZINC000289843523 179321977 /nfs/dbraw/zinc/32/19/77/179321977.db2.gz KGDVKGPZQHPQMR-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@H]1C[C@H]1C)C2 ZINC000361105755 187382733 /nfs/dbraw/zinc/38/27/33/187382733.db2.gz CJPRWZZZMFKSTL-DGCLKSJQSA-N 0 3 201.313 2.967 20 0 BFADHN CS[C@H](C)CNCc1cc2cnccc2o1 ZINC000593609897 347920330 /nfs/dbraw/zinc/92/03/30/347920330.db2.gz ZFLQRRZIOPGUAI-SECBINFHSA-N 0 3 236.340 2.669 20 0 BFADHN Cc1cccc([C@H](C)N[C@H]2CO[C@@H](C)C2)c1 ZINC000401820037 348020141 /nfs/dbraw/zinc/02/01/41/348020141.db2.gz JOVDHDAOUDCXOW-SGMGOOAPSA-N 0 3 219.328 2.823 20 0 BFADHN CC[C@@H](CSC)N[C@H](C)c1cncnc1C ZINC000594503590 348064161 /nfs/dbraw/zinc/06/41/61/348064161.db2.gz ZJBPWUMQKSAUCC-MNOVXSKESA-N 0 3 239.388 2.577 20 0 BFADHN CCN(CC)CC(=O)N(C(C)C)C1CCCC1 ZINC000120540903 187385384 /nfs/dbraw/zinc/38/53/84/187385384.db2.gz PAUAWGZLUNXZTQ-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H](CN(CC)Cc1occc1C)OC ZINC000419275638 192111723 /nfs/dbraw/zinc/11/17/23/192111723.db2.gz CWRRFLQYAPLHPC-LBPRGKRZSA-N 0 3 225.332 2.835 20 0 BFADHN CC(C)CO[C@@H]1CCN(CCOC(C)(C)C)C1 ZINC000120199096 187385811 /nfs/dbraw/zinc/38/58/11/187385811.db2.gz VBOMRCCOEYLITP-CYBMUJFWSA-N 0 3 243.391 2.548 20 0 BFADHN CO[C@H](CSCCN(C)C)C1CCCCC1 ZINC000594891058 348125304 /nfs/dbraw/zinc/12/53/04/348125304.db2.gz HUEGXFDVMCVXIF-CYBMUJFWSA-N 0 3 245.432 2.877 20 0 BFADHN COC(=O)c1cc(CNC2(C)CC=CC2)c(C)o1 ZINC000594616355 348084040 /nfs/dbraw/zinc/08/40/40/348084040.db2.gz YOWOPKXFKZZVRU-UHFFFAOYSA-N 0 3 249.310 2.573 20 0 BFADHN C[C@H](CSCCF)NCc1cccc(O)c1 ZINC000595029927 348174077 /nfs/dbraw/zinc/17/40/77/348174077.db2.gz BAUGMKYRJJAQDP-SNVBAGLBSA-N 0 3 243.347 2.573 20 0 BFADHN CC[C@@H](CN1Cc2ccccc2OC[C@@H]1C)OC ZINC000419236464 192112627 /nfs/dbraw/zinc/11/26/27/192112627.db2.gz AXGGNBWJGYRDNJ-JSGCOSHPSA-N 0 3 249.354 2.695 20 0 BFADHN C(=C/c1ccccc1)\CN1CC([C@H]2CCOC2)C1 ZINC000376023531 187388705 /nfs/dbraw/zinc/38/87/05/187388705.db2.gz YKFJTQNAQCCIFI-SZTZYQKNSA-N 0 3 243.350 2.668 20 0 BFADHN CC(C)=CCN1CC(C)(C)[C@@H]1[C@H]1CCCO1 ZINC000377646181 187389517 /nfs/dbraw/zinc/38/95/17/187389517.db2.gz QOYLSXMEYOKNJF-OLZOCXBDSA-N 0 3 223.360 2.842 20 0 BFADHN C[C@@H](CO)CN(C)[C@H](C)c1ccccc1Cl ZINC000253178649 348240915 /nfs/dbraw/zinc/24/09/15/348240915.db2.gz CDQDUCZJDRXHQI-GHMZBOCLSA-N 0 3 241.762 2.961 20 0 BFADHN CCc1nocc1CN(C)[C@H](CC)CSC ZINC000595420724 348244729 /nfs/dbraw/zinc/24/47/29/348244729.db2.gz JBVPUZQLMHLGGM-LLVKDONJSA-N 0 3 242.388 2.810 20 0 BFADHN CCS[C@H]1CCC[C@@H]1NCc1conc1C ZINC000595484675 348253488 /nfs/dbraw/zinc/25/34/88/348253488.db2.gz MVHHZGGTZBRNLM-RYUDHWBXSA-N 0 3 240.372 2.747 20 0 BFADHN CCS[C@@H]1CCC[C@H]1NCc1conc1C ZINC000595484677 348253526 /nfs/dbraw/zinc/25/35/26/348253526.db2.gz MVHHZGGTZBRNLM-VXGBXAGGSA-N 0 3 240.372 2.747 20 0 BFADHN CC(C)C[C@@H](C)NCc1cccc2c1OCO2 ZINC000036168433 187392123 /nfs/dbraw/zinc/39/21/23/187392123.db2.gz LFMPTXIDANUBBR-LLVKDONJSA-N 0 3 235.327 2.940 20 0 BFADHN COC(=O)C1(N(CC2CC=CC2)C2CC2)CCC1 ZINC000595549532 348268323 /nfs/dbraw/zinc/26/83/23/348268323.db2.gz IBBRBUXPQSMJFB-UHFFFAOYSA-N 0 3 249.354 2.513 20 0 BFADHN CC[C@@H](CN1Cc2ccc(C)cc2C1)OC ZINC000419298599 192118892 /nfs/dbraw/zinc/11/88/92/192118892.db2.gz WRLGYDQGIYXLAD-AWEZNQCLSA-N 0 3 219.328 2.736 20 0 BFADHN CC[C@H](C)CN(C1CC1)C1(C(=O)OC)CCC1 ZINC000595538116 348277006 /nfs/dbraw/zinc/27/70/06/348277006.db2.gz LLWFRGDMTDPINP-NSHDSACASA-N 0 3 239.359 2.593 20 0 BFADHN CC[C@@H](C)CN(C1CC1)C1(C(=O)OC)CCC1 ZINC000595538115 348277079 /nfs/dbraw/zinc/27/70/79/348277079.db2.gz LLWFRGDMTDPINP-LLVKDONJSA-N 0 3 239.359 2.593 20 0 BFADHN CC[C@@H](C)N(CC)Cc1ccc(C(=O)OC)o1 ZINC000595592435 348278389 /nfs/dbraw/zinc/27/83/89/348278389.db2.gz DSVHLDLLQBPGHQ-SNVBAGLBSA-N 0 3 239.315 2.687 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccoc1)C(C)C ZINC000308902459 323180971 /nfs/dbraw/zinc/18/09/71/323180971.db2.gz BQXOXGFGNBGSMX-JQWIXIFHSA-N 0 3 211.305 2.601 20 0 BFADHN C(c1noc2c1CCCC2)N1CCC[C@@H]1C1CC1 ZINC000595572051 348285070 /nfs/dbraw/zinc/28/50/70/348285070.db2.gz NBNATSPLDJNYCQ-CQSZACIVSA-N 0 3 246.354 2.928 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2CO[C@H](C)C2)c1 ZINC000401866182 348296481 /nfs/dbraw/zinc/29/64/81/348296481.db2.gz VIXLXAFXDPZGQA-MDZLAQPJSA-N 0 3 235.327 2.523 20 0 BFADHN CC(C)C[C@@H]1CCCCN1Cc1nccn1C ZINC000123652842 187393671 /nfs/dbraw/zinc/39/36/71/187393671.db2.gz WUDVLOVYYAWJJM-ZDUSSCGKSA-N 0 3 235.375 2.821 20 0 BFADHN Fc1ccccc1CNC1(C2CC2)CC1 ZINC000308928972 323181752 /nfs/dbraw/zinc/18/17/52/323181752.db2.gz LNNOHUFUGHTUJE-UHFFFAOYSA-N 0 3 205.276 2.858 20 0 BFADHN CCC[C@@H](NCc1ncc[nH]1)[C@@H]1CC1(C)C ZINC000397564464 362894115 /nfs/dbraw/zinc/89/41/15/362894115.db2.gz BGFHIMBUKVXDJB-WDEREUQCSA-N 0 3 221.348 2.714 20 0 BFADHN CC(C)(CCN[C@H]1CCn2ccnc21)C1CC1 ZINC000345360645 187394367 /nfs/dbraw/zinc/39/43/67/187394367.db2.gz ZSHHLXAWZVYXJP-LBPRGKRZSA-N 0 3 233.359 2.744 20 0 BFADHN CCSCCN1CC2(CCCC2)OC[C@@H]1C ZINC000595816958 348344307 /nfs/dbraw/zinc/34/43/07/348344307.db2.gz ZVUYIZPGFZTLFM-LBPRGKRZSA-N 0 3 243.416 2.773 20 0 BFADHN Cc1cc(C)c(CN[C@@H](C)[C@@H]2CCCCO2)cn1 ZINC000623996893 362908146 /nfs/dbraw/zinc/90/81/46/362908146.db2.gz VQQTZGFSJZLPJV-ZFWWWQNUSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1ccc([C@H](C)NC2CSC2)cc1 ZINC000215657370 490162553 /nfs/dbraw/zinc/16/25/53/490162553.db2.gz XYOVVVUDNCXOBN-JTQLQIEISA-N 0 3 207.342 2.761 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@H](C)[C@@H]2C)nc1 ZINC000419355949 192126927 /nfs/dbraw/zinc/12/69/27/192126927.db2.gz NNIUIDJPOOIGFS-SRVKXCTJSA-N 0 3 234.343 2.567 20 0 BFADHN Cc1nc(CN[C@H]2CS[C@H](C)C2)sc1C ZINC000397856567 362925979 /nfs/dbraw/zinc/92/59/79/362925979.db2.gz WUKAELDMDJKMOL-GMSGAONNSA-N 0 3 242.413 2.744 20 0 BFADHN COc1cc(CN2C[C@H](C)[C@H](C)[C@@H]2C)ccn1 ZINC000419381786 192132236 /nfs/dbraw/zinc/13/22/36/192132236.db2.gz YMVUVDRTDZJMMY-SRVKXCTJSA-N 0 3 234.343 2.567 20 0 BFADHN CC[C@@H](F)CN1CCSC[C@@H]1C1CCC1 ZINC000419373773 192128915 /nfs/dbraw/zinc/12/89/15/192128915.db2.gz NDYFRYAUVAPONZ-VXGBXAGGSA-N 0 3 231.380 2.952 20 0 BFADHN Cc1cccc(CN[C@H]2CCO[C@@H](C(C)C)C2)n1 ZINC000070361033 349996066 /nfs/dbraw/zinc/99/60/66/349996066.db2.gz AFSHGYIKSZVNFB-DZGCQCFKSA-N 0 3 248.370 2.683 20 0 BFADHN CC[C@H](N[C@@H]1CC12CCCC2)c1ccn(C)n1 ZINC000429443630 192144859 /nfs/dbraw/zinc/14/48/59/192144859.db2.gz NKCFDYCIRZKRCO-WCQYABFASA-N 0 3 233.359 2.794 20 0 BFADHN Cc1ccc(CCCNCc2cc[nH]n2)c(C)c1 ZINC000596627295 348596518 /nfs/dbraw/zinc/59/65/18/348596518.db2.gz WWFLBDGUSJQYNZ-UHFFFAOYSA-N 0 3 243.354 2.749 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CO[C@@H](C)C2)c(C)o1 ZINC000401892548 348600040 /nfs/dbraw/zinc/60/00/40/348600040.db2.gz TYHBIQHLAMOXOO-PTOFAABTSA-N 0 3 223.316 2.724 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1cccc(F)c1 ZINC000401894998 348609373 /nfs/dbraw/zinc/60/93/73/348609373.db2.gz VXMUNOAYZKFURB-NRUUGDAUSA-N 0 3 223.291 2.654 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)CCC[C@H]2C)nc1 ZINC000336469739 179597709 /nfs/dbraw/zinc/59/77/09/179597709.db2.gz LWIBVTHEEYDMIZ-WCQYABFASA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@H](C)CNCc1cc(C)c(C(=O)OC)o1 ZINC000597152473 348665265 /nfs/dbraw/zinc/66/52/65/348665265.db2.gz BDCXRDLJEBVNRP-VIFPVBQESA-N 0 3 239.315 2.510 20 0 BFADHN COc1ccc([C@@H](NCCF)C(C)C)cc1 ZINC000271681452 187399952 /nfs/dbraw/zinc/39/99/52/187399952.db2.gz OYQLJXFJINJWFV-ZDUSSCGKSA-N 0 3 225.307 2.951 20 0 BFADHN C[C@H](NC1CCC(C)CC1)c1nccn1C ZINC000070007275 490193987 /nfs/dbraw/zinc/19/39/87/490193987.db2.gz QWVRRXFQZLAXGV-CXQJBGSLSA-N 0 3 221.348 2.649 20 0 BFADHN Cn1ccnc1[C@@H](C1CC1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000638087389 538013407 /nfs/dbraw/zinc/01/34/07/538013407.db2.gz RYOOEFYLTUWHJE-HZSPNIEDSA-N 0 3 245.370 2.603 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H](C)CC[C@@H]2C)on1 ZINC000082859102 348854013 /nfs/dbraw/zinc/85/40/13/348854013.db2.gz NSNMHNFLMNUCIX-OUJBWJOFSA-N 0 3 222.332 2.897 20 0 BFADHN CN(Cc1cccs1)C[C@H]1CCCCO1 ZINC000042977431 348992246 /nfs/dbraw/zinc/99/22/46/348992246.db2.gz YOMYAPOCNPXWMX-LLVKDONJSA-N 0 3 225.357 2.749 20 0 BFADHN CO[C@@H](CNCc1cc(C)ns1)C(C)(C)C ZINC000638701033 352540456 /nfs/dbraw/zinc/54/04/56/352540456.db2.gz NHULECUASXIOJJ-NSHDSACASA-N 0 3 242.388 2.602 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCCC12CC2 ZINC000623825511 349038051 /nfs/dbraw/zinc/03/80/51/349038051.db2.gz DYQDEGHAXUNCSX-LBPRGKRZSA-N 0 3 220.316 2.653 20 0 BFADHN Cc1nocc1CNC[C@H]1CCCC12CC2 ZINC000623825510 349038150 /nfs/dbraw/zinc/03/81/50/349038150.db2.gz DYQDEGHAXUNCSX-GFCCVEGCSA-N 0 3 220.316 2.653 20 0 BFADHN C[C@H](CC(C)(C)C)NCc1c(Cl)cnn1C ZINC000394659488 349072436 /nfs/dbraw/zinc/07/24/36/349072436.db2.gz ZMHAPDHBWQEIDE-SECBINFHSA-N 0 3 243.782 2.988 20 0 BFADHN CCCC[C@H](CC)CNCc1cnccn1 ZINC000054765599 349078612 /nfs/dbraw/zinc/07/86/12/349078612.db2.gz HVOXZLBGWPRBRH-LBPRGKRZSA-N 0 3 221.348 2.783 20 0 BFADHN Cc1ncccc1CN[C@H](C)CSCCF ZINC000599072819 349087516 /nfs/dbraw/zinc/08/75/16/349087516.db2.gz JFAKHHCZRJVGQR-SNVBAGLBSA-N 0 3 242.363 2.571 20 0 BFADHN C[C@@H](NCCN1CCCC[C@H]1C)c1ccoc1 ZINC000247103741 349104772 /nfs/dbraw/zinc/10/47/72/349104772.db2.gz QCQRWJGGILUATC-CHWSQXEVSA-N 0 3 236.359 2.805 20 0 BFADHN CC[C@H](CNCc1cc(C)sc1C)OC ZINC000308917092 349067389 /nfs/dbraw/zinc/06/73/89/349067389.db2.gz NXXPXOWOTALQQF-GFCCVEGCSA-N 0 3 227.373 2.880 20 0 BFADHN COC(=O)CCCCN(C)Cc1ccsc1 ZINC000599257354 349132621 /nfs/dbraw/zinc/13/26/21/349132621.db2.gz JHXXXSMQADZAPV-UHFFFAOYSA-N 0 3 241.356 2.523 20 0 BFADHN COC1([C@@H](C)NCc2ccco2)CCCC1 ZINC000421805846 192384358 /nfs/dbraw/zinc/38/43/58/192384358.db2.gz XXXWFBURDYQNNY-LLVKDONJSA-N 0 3 223.316 2.717 20 0 BFADHN CC[C@@H](O)CN[C@@H]1CCCOc2cc(C)ccc21 ZINC000421890165 192395240 /nfs/dbraw/zinc/39/52/40/192395240.db2.gz XTJCFZKQVFZJGA-TZMCWYRMSA-N 0 3 249.354 2.569 20 0 BFADHN CC(C)n1cc(CNC[C@H]2CCCC23CC3)nn1 ZINC000623825993 349185398 /nfs/dbraw/zinc/18/53/98/349185398.db2.gz PLQIMPPOGVFRFO-GFCCVEGCSA-N 0 3 248.374 2.529 20 0 BFADHN COC[C@H](C)CN(C)[C@H](C)c1ccco1 ZINC000249273123 349162471 /nfs/dbraw/zinc/16/24/71/349162471.db2.gz KZKFEJOCLHRQKC-GHMZBOCLSA-N 0 3 211.305 2.555 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1ccncc1Cl ZINC000421846622 192393820 /nfs/dbraw/zinc/39/38/20/192393820.db2.gz TYCFILZDOLMGEO-NXEZZACHSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@@H](CN1CCOC(C)(C)C1)c1ccccc1 ZINC000059482733 349225516 /nfs/dbraw/zinc/22/55/16/349225516.db2.gz QVICQCYRCHAANM-ZDUSSCGKSA-N 0 3 233.355 2.901 20 0 BFADHN CSCCCN1CCC(F)(F)C[C@H]1C ZINC000599621639 349229593 /nfs/dbraw/zinc/22/95/93/349229593.db2.gz CPQLJWIBDSOBMJ-SECBINFHSA-N 0 3 223.332 2.859 20 0 BFADHN CSCCCN1CCc2c(O)cccc2C1 ZINC000599648146 349233466 /nfs/dbraw/zinc/23/34/66/349233466.db2.gz UMBHPPFEYHMVPM-UHFFFAOYSA-N 0 3 237.368 2.503 20 0 BFADHN C/C=C\C[C@@H]1CCCN([C@H](CC)C(=O)OC)C1 ZINC000599691523 349245451 /nfs/dbraw/zinc/24/54/51/349245451.db2.gz SGIUJQRVGWNANK-FSMZVQOTSA-N 0 3 239.359 2.616 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1ccncn1 ZINC000388615971 349264318 /nfs/dbraw/zinc/26/43/18/349264318.db2.gz ASQBQYWOQSAFKJ-NQBHXWOUSA-N 0 3 219.332 2.706 20 0 BFADHN CCOC[C@@H]1CCCN([C@@H](C)c2ccncc2)C1 ZINC000603713576 350014807 /nfs/dbraw/zinc/01/48/07/350014807.db2.gz WRDMOHGBPSSCSD-UONOGXRCSA-N 0 3 248.370 2.891 20 0 BFADHN CCOC[C@@H]1CCCN([C@H](C)c2ccncc2)C1 ZINC000603713577 350014949 /nfs/dbraw/zinc/01/49/49/350014949.db2.gz WRDMOHGBPSSCSD-ZIAGYGMSSA-N 0 3 248.370 2.891 20 0 BFADHN CC(C)[C@H]1CCN(CCOCC(F)(F)F)C1 ZINC000409444487 191012226 /nfs/dbraw/zinc/01/22/26/191012226.db2.gz UJJBIVNAESXFAD-JTQLQIEISA-N 0 3 239.281 2.543 20 0 BFADHN CSCCCCNCc1ccc(C)cn1 ZINC000131366738 191012743 /nfs/dbraw/zinc/01/27/43/191012743.db2.gz GSCFXVUAZJGNFO-UHFFFAOYSA-N 0 3 224.373 2.623 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@@H](C)C2)cc1Cl ZINC000402239571 350082760 /nfs/dbraw/zinc/08/27/60/350082760.db2.gz XMHQBEHWSGEFBI-JQWIXIFHSA-N 0 3 239.746 2.915 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1cc(C)oc1C ZINC000218761941 350103101 /nfs/dbraw/zinc/10/31/01/350103101.db2.gz QHBVQSZNJSQYFB-ZYHUDNBSSA-N 0 3 225.332 2.708 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1cc(C)oc1C ZINC000218761861 350103151 /nfs/dbraw/zinc/10/31/51/350103151.db2.gz QHBVQSZNJSQYFB-CMPLNLGQSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1nc(NC(=O)C(C)C(F)(F)F)cs1 ZINC000336377172 180011676 /nfs/dbraw/zinc/01/16/76/180011676.db2.gz VNWGTXPYHRBESM-BYPYZUCNSA-N 0 3 238.234 2.588 20 0 BFADHN CC(C)Oc1cccc(CN[C@@H]2CO[C@@H](C)C2)c1 ZINC000402282874 350114451 /nfs/dbraw/zinc/11/44/51/350114451.db2.gz GMLCICBFSWCEGX-JSGCOSHPSA-N 0 3 249.354 2.741 20 0 BFADHN Cc1nc([C@H](C)NC[C@H]2CCSC2)cs1 ZINC000226380006 180048855 /nfs/dbraw/zinc/04/88/55/180048855.db2.gz FZTZKMQTBJRUQH-WCBMZHEXSA-N 0 3 242.413 2.855 20 0 BFADHN Cc1nc([C@H](C)NC[C@H]2CCCOC2)cs1 ZINC000038044785 180049577 /nfs/dbraw/zinc/04/95/77/180049577.db2.gz UPXRMLCCLSETGG-GXSJLCMTSA-N 0 3 240.372 2.529 20 0 BFADHN Cc1nc([C@H](C)NCC[C@H](C)CCO)cs1 ZINC000297450015 180050001 /nfs/dbraw/zinc/05/00/01/180050001.db2.gz WXNKMRIJCKLTQF-UWVGGRQHSA-N 0 3 242.388 2.511 20 0 BFADHN Cc1nc([C@H](C)N[C@@H]2CC[C@H]2C)cs1 ZINC000307028308 180050289 /nfs/dbraw/zinc/05/02/89/180050289.db2.gz BKGZZLDBGYTLHP-KHQFGBGNSA-N 0 3 210.346 2.901 20 0 BFADHN Cc1nc([C@H](C)N[C@@H](C)[C@@H]2CCOC2)cs1 ZINC000133401358 180052075 /nfs/dbraw/zinc/05/20/75/180052075.db2.gz HNCSMFRUHQOWCW-ATZCPNFKSA-N 0 3 240.372 2.527 20 0 BFADHN CCOc1ccccc1CN[C@H]1CCO[C@@H](C)C1 ZINC000219920843 350148930 /nfs/dbraw/zinc/14/89/30/350148930.db2.gz MLJCIAFDTBPKDB-JSGCOSHPSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1nc2c(s1)[C@H](NC1CC1)CCC2 ZINC000132757789 180074385 /nfs/dbraw/zinc/07/43/85/180074385.db2.gz CIRUORAHNGNWPQ-SNVBAGLBSA-N 0 3 208.330 2.581 20 0 BFADHN CC[C@H](NCC1(CC)CC1)c1nccn1C ZINC000132297564 191031014 /nfs/dbraw/zinc/03/10/14/191031014.db2.gz PQEHOMJJBSUNMZ-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN Cc1ncsc1CN[C@H](C)CC(F)(F)F ZINC000132482739 191031834 /nfs/dbraw/zinc/03/18/34/191031834.db2.gz AKSRUHQCEXBYJO-ZCFIWIBFSA-N 0 3 238.278 2.882 20 0 BFADHN CCCN(C)Cc1ccc(C(F)(F)F)cn1 ZINC000219939709 350151534 /nfs/dbraw/zinc/15/15/34/350151534.db2.gz UHDDTGCEJVERRU-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN Cc1nc2ccccn2c1CN[C@H]1CCC[C@H]1F ZINC000336594485 180106754 /nfs/dbraw/zinc/10/67/54/180106754.db2.gz BIXKQWNEJBZBPD-NEPJUHHUSA-N 0 3 247.317 2.623 20 0 BFADHN OCCC1(CNCc2ccc(Cl)s2)CC1 ZINC000133068627 191037041 /nfs/dbraw/zinc/03/70/41/191037041.db2.gz XTEXWQCJIHQILK-UHFFFAOYSA-N 0 3 245.775 2.654 20 0 BFADHN FCCOCCNCc1ccc(Cl)c(F)c1 ZINC000663339946 538058384 /nfs/dbraw/zinc/05/83/84/538058384.db2.gz FEKHYSIYCFYRMS-UHFFFAOYSA-N 0 3 249.688 2.555 20 0 BFADHN CCOc1ncccc1CNC[C@@H](C)CC ZINC000133525941 191041369 /nfs/dbraw/zinc/04/13/69/191041369.db2.gz OPEZSWLDFMXNKD-NSHDSACASA-N 0 3 222.332 2.616 20 0 BFADHN Cc1ncc(CN(C)C[C@H]2CCSC2)s1 ZINC000278502184 180136978 /nfs/dbraw/zinc/13/69/78/180136978.db2.gz VPLKQURAKCPKCY-SNVBAGLBSA-N 0 3 242.413 2.636 20 0 BFADHN Cc1ncc(CN(C)[C@H](C)C2CC2)s1 ZINC000299136653 180140371 /nfs/dbraw/zinc/14/03/71/180140371.db2.gz PXWFPZVSEVNCFM-MRVPVSSYSA-N 0 3 210.346 2.682 20 0 BFADHN Cc1nc2scc(C3CC3)n2c1CNC1CC1 ZINC000298565887 180117960 /nfs/dbraw/zinc/11/79/60/180117960.db2.gz WMKMGRIIJPSMSK-UHFFFAOYSA-N 0 3 247.367 2.834 20 0 BFADHN Cc1cc(CN[C@H](C2CC2)C2CCC2)on1 ZINC000324778473 323281713 /nfs/dbraw/zinc/28/17/13/323281713.db2.gz FYVYBFOSNQTSRG-ZDUSSCGKSA-N 0 3 220.316 2.651 20 0 BFADHN CC(C)C[C@@H]1CCCN(Cc2ccno2)C1 ZINC000532640955 323286070 /nfs/dbraw/zinc/28/60/70/323286070.db2.gz FTDYNJLJWFWZHZ-LBPRGKRZSA-N 0 3 222.332 2.933 20 0 BFADHN C[C@H](Cc1ccc(O)cc1)NCc1ccccn1 ZINC000105447632 191067240 /nfs/dbraw/zinc/06/72/40/191067240.db2.gz BFKCWFRSIBNHGG-GFCCVEGCSA-N 0 3 242.322 2.508 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)[C@H]2C)s1 ZINC000248357570 180145920 /nfs/dbraw/zinc/14/59/20/180145920.db2.gz PQGYCAMKEIJQCD-RKDXNWHRSA-N 0 3 210.346 2.682 20 0 BFADHN Cc1ncc(CN2C[C@@H]3[C@H](C2)C3(C)C)s1 ZINC000172953183 180145960 /nfs/dbraw/zinc/14/59/60/180145960.db2.gz VWJIXOQJTUNJEN-PHIMTYICSA-N 0 3 222.357 2.539 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@@H]2C)s1 ZINC000336486176 180146618 /nfs/dbraw/zinc/14/66/18/180146618.db2.gz WJWGSLIXDFXDMY-BDAKNGLRSA-N 0 3 210.346 2.682 20 0 BFADHN Cc1ncc(CNC2CCC2)n1-c1ccccc1 ZINC000092129019 180149946 /nfs/dbraw/zinc/14/99/46/180149946.db2.gz FCTARAIOJHSHDW-UHFFFAOYSA-N 0 3 241.338 2.823 20 0 BFADHN Cc1ncc(CNC2Cc3ccccc3C2)s1 ZINC000040997628 180150773 /nfs/dbraw/zinc/15/07/73/180150773.db2.gz DSQOTZIAXAGIDC-UHFFFAOYSA-N 0 3 244.363 2.709 20 0 BFADHN CC[C@@H](O)[C@H]1CCCCN1Cc1ccnc(C)c1 ZINC000621258263 350205875 /nfs/dbraw/zinc/20/58/75/350205875.db2.gz DHHDZLZENNYELD-HUUCEWRRSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1nccc(NC2CCN(C)CC2)c1Cl ZINC000309252348 180199371 /nfs/dbraw/zinc/19/93/71/180199371.db2.gz AJFWDMXJRADWNM-UHFFFAOYSA-N 0 3 239.750 2.550 20 0 BFADHN Cc1nccc(CN(C)C[C@H]2CC=CCC2)n1 ZINC000352857965 180184732 /nfs/dbraw/zinc/18/47/32/180184732.db2.gz LQKXSRALLGSBRM-ZDUSSCGKSA-N 0 3 231.343 2.573 20 0 BFADHN Cc1nccc(CN2CCCC3(CCC3)C2)n1 ZINC000373610994 180184891 /nfs/dbraw/zinc/18/48/91/180184891.db2.gz OLNOCUKTYSSIDB-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN CCc1cnccc1[C@H](C)NC[C@@H]1CC[C@@H](C)O1 ZINC000355275024 350225030 /nfs/dbraw/zinc/22/50/30/350225030.db2.gz KQXICUZHPWQIIZ-DYEKYZERSA-N 0 3 248.370 2.862 20 0 BFADHN COc1cc(C)ccc1[C@H](C)N[C@H]1CO[C@H](C)C1 ZINC000402373613 350226674 /nfs/dbraw/zinc/22/66/74/350226674.db2.gz ZHSHEQAQVNQACN-FRRDWIJNSA-N 0 3 249.354 2.832 20 0 BFADHN CCCOc1ccc(CN[C@H]2CO[C@@H](C)C2)cc1 ZINC000402374532 350226735 /nfs/dbraw/zinc/22/67/35/350226735.db2.gz ZRSTYECGMSHQBZ-GXTWGEPZSA-N 0 3 249.354 2.742 20 0 BFADHN CCCOc1ccc(CN[C@H]2CO[C@H](C)C2)cc1 ZINC000402374537 350226737 /nfs/dbraw/zinc/22/67/37/350226737.db2.gz ZRSTYECGMSHQBZ-TZMCWYRMSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ncccc1CNCC1(C(F)(F)F)CC1 ZINC000353043761 180219965 /nfs/dbraw/zinc/21/99/65/180219965.db2.gz CWJHLYBOKLWUAE-UHFFFAOYSA-N 0 3 244.260 2.822 20 0 BFADHN Cc1cc(N[C@H]2CO[C@@H](C)C2)c2ccccc2n1 ZINC000402378513 350228149 /nfs/dbraw/zinc/22/81/49/350228149.db2.gz KUBYRMNYVKVWJD-NWDGAFQWSA-N 0 3 242.322 2.554 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](C)C(C)C)no1 ZINC000380262927 350237335 /nfs/dbraw/zinc/23/73/35/350237335.db2.gz SHPPWOLMJKSEGU-GXSJLCMTSA-N 0 3 210.321 2.926 20 0 BFADHN CC(C)CCOCCN1CCC12CCC2 ZINC000427671859 191086649 /nfs/dbraw/zinc/08/66/49/191086649.db2.gz KKEKMEKOPLIQFQ-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN Cc1nccnc1CCN[C@@H](C)c1ccsc1 ZINC000295115143 180272872 /nfs/dbraw/zinc/27/28/72/180272872.db2.gz XUIHILDJKKFOPA-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cnc(CN[C@@H](C)[C@@H]2CC2(C)C)s1 ZINC000309098915 323316671 /nfs/dbraw/zinc/31/66/71/323316671.db2.gz DWJMUJISMNRTEI-UWVGGRQHSA-N 0 3 224.373 2.976 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@H]1CCC[C@H]1C ZINC000300532334 180283293 /nfs/dbraw/zinc/28/32/93/180283293.db2.gz UFMUELVAKMXMIN-KGYLQXTDSA-N 0 3 233.359 2.872 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CC=CCC1 ZINC000188206849 180284247 /nfs/dbraw/zinc/28/42/47/180284247.db2.gz YAFUXVQDXSJACW-NWDGAFQWSA-N 0 3 217.316 2.544 20 0 BFADHN Cc1nc(CNCCCC(C)C)cs1 ZINC000037985075 350295523 /nfs/dbraw/zinc/29/55/23/350295523.db2.gz YMKMXNWKBSFAGJ-UHFFFAOYSA-N 0 3 212.362 2.977 20 0 BFADHN COCCCN1CCc2cc(F)ccc2[C@@H]1C ZINC000606449264 350339228 /nfs/dbraw/zinc/33/92/28/350339228.db2.gz RSHBYSSEMRHLMZ-NSHDSACASA-N 0 3 237.318 2.781 20 0 BFADHN Cc1cnc(CN[C@H]2CC[C@@H]2C2CC2)s1 ZINC000309207059 323328621 /nfs/dbraw/zinc/32/86/21/323328621.db2.gz SFKWEYUSNIYAFQ-MNOVXSKESA-N 0 3 222.357 2.730 20 0 BFADHN Cc1ncsc1CN1CCSC(C)(C)C1 ZINC000093504673 180315435 /nfs/dbraw/zinc/31/54/35/180315435.db2.gz ONZSNNZGKOGBJA-UHFFFAOYSA-N 0 3 242.413 2.779 20 0 BFADHN CSCCCCNCc1oc(C)nc1C ZINC000382385145 350365055 /nfs/dbraw/zinc/36/50/55/350365055.db2.gz QGMKUIGJRBVZFE-UHFFFAOYSA-N 0 3 228.361 2.524 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)Nc1cccc(C)c1 ZINC000069092729 350328510 /nfs/dbraw/zinc/32/85/10/350328510.db2.gz MHKPFSLVCHKNPV-LBPRGKRZSA-N 0 3 234.343 2.664 20 0 BFADHN C[C@H](NCCc1ccncc1)c1cncc(F)c1 ZINC000224587780 350328601 /nfs/dbraw/zinc/32/86/01/350328601.db2.gz KXBRNIRHNQKBLN-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN C[C@@H](CC(C)(C)C)N[C@@H]1CCn2ccnc21 ZINC000384524062 350368946 /nfs/dbraw/zinc/36/89/46/350368946.db2.gz KVBKPXHALHREMU-WDEREUQCSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1ncsc1CN1CCC(C)CC1 ZINC000158866315 180315591 /nfs/dbraw/zinc/31/55/91/180315591.db2.gz MLWWYHYQAWWOOW-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN CC(C)[C@H](N[C@H]1C[C@@H](O)C1(C)C)c1cccnc1 ZINC000427889856 191099415 /nfs/dbraw/zinc/09/94/15/191099415.db2.gz ACFNZZQWJWEJAJ-MJBXVCDLSA-N 0 3 248.370 2.528 20 0 BFADHN CC(C)[C@H](N[C@@H]1C[C@@H](O)C1(C)C)c1cccnc1 ZINC000427889855 191099950 /nfs/dbraw/zinc/09/99/50/191099950.db2.gz ACFNZZQWJWEJAJ-MCIONIFRSA-N 0 3 248.370 2.528 20 0 BFADHN Cc1ncc(CNC[C@H]2CCC(F)(F)C2)o1 ZINC000397925065 362956016 /nfs/dbraw/zinc/95/60/16/362956016.db2.gz QPNIYXVKOHDAJN-VIFPVBQESA-N 0 3 230.258 2.508 20 0 BFADHN CC(C)CN1CC=C(C(F)(F)F)CC1 ZINC000336670397 350434520 /nfs/dbraw/zinc/43/45/20/350434520.db2.gz GMQOEQDYHPMYEW-UHFFFAOYSA-N 0 3 207.239 2.837 20 0 BFADHN Cc1nn(C)c(Cl)c1CN1CC[C@@H](C)[C@H]1C ZINC000248370967 180349658 /nfs/dbraw/zinc/34/96/58/180349658.db2.gz YDGLMMNACVMZNN-PSASIEDQSA-N 0 3 241.766 2.612 20 0 BFADHN Cc1nn(C)c(Cl)c1CN1CC[C@H](C)[C@H]1C ZINC000248370968 180351140 /nfs/dbraw/zinc/35/11/40/180351140.db2.gz YDGLMMNACVMZNN-WCBMZHEXSA-N 0 3 241.766 2.612 20 0 BFADHN CC[C@@H](CCO)NCc1cc(Cl)ccc1F ZINC000162705502 350418405 /nfs/dbraw/zinc/41/84/05/350418405.db2.gz WIICLPVDZGXHEP-NSHDSACASA-N 0 3 245.725 2.730 20 0 BFADHN Cc1ncc([C@@H](C)NCC[C@H]2CCCO2)s1 ZINC000126951933 490526668 /nfs/dbraw/zinc/52/66/68/490526668.db2.gz COCNJMLUMZJVFE-MWLCHTKSSA-N 0 3 240.372 2.671 20 0 BFADHN C[C@]1(CN2CC3(CC(F)C3)C2)CCCS1 ZINC000628306892 350439877 /nfs/dbraw/zinc/43/98/77/350439877.db2.gz CASMABYFQWNQBJ-LLVKDONJSA-N 0 3 229.364 2.706 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@@H]1CCC(C)(C)O1 ZINC000427954575 191103538 /nfs/dbraw/zinc/10/35/38/191103538.db2.gz BLMRANRHHBVLGT-STQMWFEESA-N 0 3 248.370 2.998 20 0 BFADHN CCN(Cc1ncc(C)cn1)[C@@H](C)C(C)C ZINC000336667817 350428365 /nfs/dbraw/zinc/42/83/65/350428365.db2.gz GYBZECPLNJWOKF-LBPRGKRZSA-N 0 3 221.348 2.651 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@H]1C)c1nccn1C ZINC000070007334 350490239 /nfs/dbraw/zinc/49/02/39/350490239.db2.gz OOMGKTFUKAGPLG-WOPDTQHZSA-N 0 3 221.348 2.649 20 0 BFADHN CC(C)CN1CCOC[C@H]1Cc1ccccc1 ZINC000336722303 350473346 /nfs/dbraw/zinc/47/33/46/350473346.db2.gz ZXIKXCFXCAEVIT-OAHLLOKOSA-N 0 3 233.355 2.586 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NCCSC(C)C ZINC000283206816 180380899 /nfs/dbraw/zinc/38/08/99/180380899.db2.gz RQYXDOHKEPNNDS-JTQLQIEISA-N 0 3 241.404 2.521 20 0 BFADHN CC(C)OCCN[C@H](C)c1ccsc1 ZINC000061362615 350514844 /nfs/dbraw/zinc/51/48/44/350514844.db2.gz XZHFTUYCJIGYKH-SNVBAGLBSA-N 0 3 213.346 2.824 20 0 BFADHN C[C@@H](O)C[C@@H]1CCCCN1CCC(F)(F)F ZINC000163087331 350503241 /nfs/dbraw/zinc/50/32/41/350503241.db2.gz KGXGKMIJSWFALR-ZJUUUORDSA-N 0 3 239.281 2.564 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@H](C)O[C@@H](C)C2)nc1C ZINC000577467839 365961075 /nfs/dbraw/zinc/96/10/75/365961075.db2.gz YFKVXZVOERTOJH-JYAVWHMHSA-N 0 3 248.370 2.744 20 0 BFADHN Cc1nc2c(s1)[C@@H](N[C@H]1CC13CC3)CCC2 ZINC000335276655 538094392 /nfs/dbraw/zinc/09/43/92/538094392.db2.gz HHKOFBMNBDGTNR-QWRGUYRKSA-N 0 3 234.368 2.971 20 0 BFADHN Cc1cc(CNCCOCC2CCCC2)ccn1 ZINC000623543857 362964030 /nfs/dbraw/zinc/96/40/30/362964030.db2.gz BFJVCZAKXACCJQ-UHFFFAOYSA-N 0 3 248.370 2.686 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccnn1C(C)C ZINC000337145271 350560176 /nfs/dbraw/zinc/56/01/76/350560176.db2.gz XKQRYXMUOUPLLX-GFCCVEGCSA-N 0 3 221.348 2.838 20 0 BFADHN C[C@@H](c1cccnc1)N1C2CCC1CC2 ZINC000532916319 323390223 /nfs/dbraw/zinc/39/02/23/323390223.db2.gz BMNGPIFGHWVAIA-PKSQDBQZSA-N 0 3 202.301 2.769 20 0 BFADHN COc1ccccc1OCCN1CCC(C)CC1 ZINC000051210214 350616127 /nfs/dbraw/zinc/61/61/27/350616127.db2.gz XXCUAGCDQHZKKP-UHFFFAOYSA-N 0 3 249.354 2.806 20 0 BFADHN CCC[C@H](NCc1ncccn1)C1CCCC1 ZINC000577531693 365982419 /nfs/dbraw/zinc/98/24/19/365982419.db2.gz YWRYTBUJKQYMSN-ZDUSSCGKSA-N 0 3 233.359 2.925 20 0 BFADHN CN(Cc1cncn1C)C1CCCCCC1 ZINC000177240837 365989496 /nfs/dbraw/zinc/98/94/96/365989496.db2.gz NKRNYNQLZBWVKA-UHFFFAOYSA-N 0 3 221.348 2.575 20 0 BFADHN Cc1cc(CN[C@H]2C[C@@H](OC(C)(C)C)C2)ccn1 ZINC000623558003 362978756 /nfs/dbraw/zinc/97/87/56/362978756.db2.gz OCFDQJKLZLQLSN-OKILXGFUSA-N 0 3 248.370 2.826 20 0 BFADHN COc1ccccc1CNCC(C)(C)SC ZINC000128190663 187467544 /nfs/dbraw/zinc/46/75/44/187467544.db2.gz UDZMDZBXNIVCOQ-UHFFFAOYSA-N 0 3 239.384 2.926 20 0 BFADHN C[C@@H]1C[C@@H](NC2CC(C)(C)C2)c2nccn21 ZINC000334623271 350727337 /nfs/dbraw/zinc/72/73/37/350727337.db2.gz RACSXFGCOQBDSQ-MWLCHTKSSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@@H]1C[C@H](NC2CC(C)(C)C2)c2nccn21 ZINC000334623269 350727612 /nfs/dbraw/zinc/72/76/12/350727612.db2.gz RACSXFGCOQBDSQ-KOLCDFICSA-N 0 3 219.332 2.667 20 0 BFADHN CC[C@@H](O)CN(C)Cc1ccccc1SC ZINC000339140228 350735995 /nfs/dbraw/zinc/73/59/95/350735995.db2.gz MKOBYXWELZJWHA-GFCCVEGCSA-N 0 3 239.384 2.611 20 0 BFADHN CCN(Cc1ccc(Cl)c(F)c1)[C@H](C)CO ZINC000339625895 350768435 /nfs/dbraw/zinc/76/84/35/350768435.db2.gz GWOSCLAWNXVDNS-SECBINFHSA-N 0 3 245.725 2.682 20 0 BFADHN CCCC[C@@H](C)N(CCCC)CC(=O)N(C)C ZINC000339651177 350771106 /nfs/dbraw/zinc/77/11/06/350771106.db2.gz RAHQDTZZCBQWOR-CYBMUJFWSA-N 0 3 242.407 2.755 20 0 BFADHN C[C@@H](CNCc1cocn1)C1CCCCC1 ZINC000309557060 350775575 /nfs/dbraw/zinc/77/55/75/350775575.db2.gz JYTPDROBMKSCLD-NSHDSACASA-N 0 3 222.332 2.981 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@@H](C)[C@H]2C)[nH]c1C ZINC000628314613 350836419 /nfs/dbraw/zinc/83/64/19/350836419.db2.gz HGNCICXSPCLEEI-YGOYTEALSA-N 0 3 221.348 2.503 20 0 BFADHN CCN(CC)CCN[C@H](C)c1ccc(C)o1 ZINC000019919419 350852752 /nfs/dbraw/zinc/85/27/52/350852752.db2.gz RLZDRDVFHXVQKC-GFCCVEGCSA-N 0 3 224.348 2.580 20 0 BFADHN CC(C)CN1CCOC[C@@H]1CC1CCC1 ZINC000339770598 350828576 /nfs/dbraw/zinc/82/85/76/350828576.db2.gz DLNPLGYOYVSFER-ZDUSSCGKSA-N 0 3 211.349 2.533 20 0 BFADHN CN(CCCO)Cc1cccc(C(F)(F)F)c1 ZINC000052048173 350925073 /nfs/dbraw/zinc/92/50/73/350925073.db2.gz KKQIIQYAJVPFTP-UHFFFAOYSA-N 0 3 247.260 2.520 20 0 BFADHN COCCCN(Cc1cccc(F)c1)C1CC1 ZINC000052161764 350937037 /nfs/dbraw/zinc/93/70/37/350937037.db2.gz QMQBDMLHXMLVCC-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN C/C=C/CNCc1cc(OC)c(OC)cc1C ZINC000623739028 350883343 /nfs/dbraw/zinc/88/33/43/350883343.db2.gz GAGYMEUOEBRSMS-AATRIKPKSA-N 0 3 235.327 2.678 20 0 BFADHN C/C=C/CNCc1c(C)nn(CCC)c1C ZINC000623739360 350884393 /nfs/dbraw/zinc/88/43/93/350884393.db2.gz TUZRPGRJYVNTPJ-FNORWQNLSA-N 0 3 221.348 2.576 20 0 BFADHN Cc1nocc1CN[C@@H]1CCCC12CCC2 ZINC000398034709 362988377 /nfs/dbraw/zinc/98/83/77/362988377.db2.gz SEXXAIWOEGSTHR-GFCCVEGCSA-N 0 3 220.316 2.795 20 0 BFADHN CSCCCN[C@H](C)c1c(C)noc1C ZINC000086109750 350948376 /nfs/dbraw/zinc/94/83/76/350948376.db2.gz LIQVWXFUPFPBFP-MRVPVSSYSA-N 0 3 228.361 2.695 20 0 BFADHN COCC1(N[C@H]2CCCc3sccc32)CC1 ZINC000309665065 323444687 /nfs/dbraw/zinc/44/46/87/323444687.db2.gz SXCWBOOOOOUZGB-NSHDSACASA-N 0 3 237.368 2.894 20 0 BFADHN C=Cn1cc(CN2CCC(C(C)C)CC2)cn1 ZINC000194007333 191129825 /nfs/dbraw/zinc/12/98/25/191129825.db2.gz SASFJPYOZYSJKN-UHFFFAOYSA-N 0 3 233.359 2.852 20 0 BFADHN Cc1cc(CN(CCCO)C2CC2)c(C)s1 ZINC000607152982 351030230 /nfs/dbraw/zinc/03/02/30/351030230.db2.gz JKGRYYAYJDLWBD-UHFFFAOYSA-N 0 3 239.384 2.712 20 0 BFADHN CCCc1ccc(CN(CCCO)C2CC2)cc1 ZINC000607153193 351030580 /nfs/dbraw/zinc/03/05/80/351030580.db2.gz ANFZLNOSOINIFA-UHFFFAOYSA-N 0 3 247.382 2.986 20 0 BFADHN CC(C)c1ccc([C@@H](C)N(C)CCO)cc1 ZINC000177693815 366019606 /nfs/dbraw/zinc/01/96/06/366019606.db2.gz KLNHZNIMOZVOKO-GFCCVEGCSA-N 0 3 221.344 2.795 20 0 BFADHN C[C@@]1(CNCc2ccncc2Cl)CC1(F)F ZINC000623569935 362999497 /nfs/dbraw/zinc/99/94/97/362999497.db2.gz ATMJPDWWSPDJBH-JTQLQIEISA-N 0 3 246.688 2.870 20 0 BFADHN C[C@]1(CNCc2ccco2)C[C@H]2C[C@H]2C1 ZINC000623740277 351052033 /nfs/dbraw/zinc/05/20/33/351052033.db2.gz RJOWLDVACKRRLW-PJXYFTJBSA-N 0 3 205.301 2.805 20 0 BFADHN Cc1cc(CN[C@@]2(C)CC2(C)C)ccn1 ZINC000623572436 363002257 /nfs/dbraw/zinc/00/22/57/363002257.db2.gz AJHSJTIHSYXCCT-ZDUSSCGKSA-N 0 3 204.317 2.668 20 0 BFADHN CCc1cnc(CN[C@@H]2CSC[C@@H]2C)s1 ZINC000336716040 351113608 /nfs/dbraw/zinc/11/36/08/351113608.db2.gz WXCFOYDUABOJSU-WCBMZHEXSA-N 0 3 242.413 2.547 20 0 BFADHN CC(C)OCCN(C)Cc1ccccc1 ZINC000052858000 351074522 /nfs/dbraw/zinc/07/45/22/351074522.db2.gz YFJVRUFBIJBSSS-UHFFFAOYSA-N 0 3 207.317 2.543 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](c1cccnc1)C(C)C ZINC000571626757 351128275 /nfs/dbraw/zinc/12/82/75/351128275.db2.gz CEMZYLRCKPXGRA-BFHYXJOUSA-N 0 3 234.343 2.546 20 0 BFADHN CC1(NCc2cnc3ccccc3n2)CC=CC1 ZINC000628327403 351263222 /nfs/dbraw/zinc/26/32/22/351263222.db2.gz XFVICRMUAGHMHW-UHFFFAOYSA-N 0 3 239.322 2.828 20 0 BFADHN CCc1nc(CNC[C@H]2CCSC2)cs1 ZINC000226379986 351240933 /nfs/dbraw/zinc/24/09/33/351240933.db2.gz NYZLNFDCSRACHZ-SECBINFHSA-N 0 3 242.413 2.548 20 0 BFADHN C[C@]1(CN2CCC[C@@H](F)C2)CCCS1 ZINC000628326857 351261499 /nfs/dbraw/zinc/26/14/99/351261499.db2.gz YDDNMNSDHTXCGN-GHMZBOCLSA-N 0 3 217.353 2.706 20 0 BFADHN CC[C@@H](c1ccc(Cl)cc1)N1CC[C@H](O)C1 ZINC000265078620 351262505 /nfs/dbraw/zinc/26/25/05/351262505.db2.gz ADTNONKWNQXKEP-STQMWFEESA-N 0 3 239.746 2.858 20 0 BFADHN Cc1ncc(CNCCCC2CCC2)o1 ZINC000628338968 351286573 /nfs/dbraw/zinc/28/65/73/351286573.db2.gz ASYLOFUJUJLBDY-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CCN1CCCC[C@@H]1CNCc1occc1C ZINC000266243602 351287120 /nfs/dbraw/zinc/28/71/20/351287120.db2.gz XVUDRXSBWXJTSJ-CYBMUJFWSA-N 0 3 236.359 2.552 20 0 BFADHN CCN(Cc1cc(OC)cc(C)n1)CC1CC1 ZINC000270222545 351376800 /nfs/dbraw/zinc/37/68/00/351376800.db2.gz FWIPLHAPEUTTRL-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN C[C@@H](CC1CCC1)NCc1cn(C2CCC2)nn1 ZINC000631249603 351380005 /nfs/dbraw/zinc/38/00/05/351380005.db2.gz AHRDBUXGVCRDGQ-NSHDSACASA-N 0 3 248.374 2.671 20 0 BFADHN C[C@H](CC1CCC1)NCc1cn(C2CCC2)nn1 ZINC000631249602 351380233 /nfs/dbraw/zinc/38/02/33/351380233.db2.gz AHRDBUXGVCRDGQ-LLVKDONJSA-N 0 3 248.374 2.671 20 0 BFADHN CC(C)n1cc(CN[C@@H](C)CC2CCC2)nn1 ZINC000631249613 351380859 /nfs/dbraw/zinc/38/08/59/351380859.db2.gz ARNMJVJUASFPHW-NSHDSACASA-N 0 3 236.363 2.527 20 0 BFADHN CCc1nc(C)c(CN[C@@H](C)C(C)C)o1 ZINC000291943456 351391145 /nfs/dbraw/zinc/39/11/45/351391145.db2.gz QASNEQRPUBOJBU-VIFPVBQESA-N 0 3 210.321 2.680 20 0 BFADHN CC(C)CN(C)Cc1cnn(C(C)C)c1 ZINC000271051776 351398762 /nfs/dbraw/zinc/39/87/62/351398762.db2.gz PSNCMUHZFWLDNR-UHFFFAOYSA-N 0 3 209.337 2.552 20 0 BFADHN CC1(C)C[C@H](NCc2ccns2)C(C)(C)O1 ZINC000404295581 351341423 /nfs/dbraw/zinc/34/14/23/351341423.db2.gz NLNWVUZPPPUGJO-JTQLQIEISA-N 0 3 240.372 2.579 20 0 BFADHN CC[C@@H](N[C@@H](C)CCO)c1cc(F)ccc1F ZINC000268997952 351344921 /nfs/dbraw/zinc/34/49/21/351344921.db2.gz IRZYCQKUIGEGKX-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@H](N[C@H]1CCOC1)c1ccc(F)cc1F ZINC000269015770 351345327 /nfs/dbraw/zinc/34/53/27/351345327.db2.gz ODUAXLZURWOCFV-GXFFZTMASA-N 0 3 241.281 2.794 20 0 BFADHN CC1(C)CCC[C@@H]1NCc1ccns1 ZINC000404408244 351426974 /nfs/dbraw/zinc/42/69/74/351426974.db2.gz GAQBPKXKERRPND-JTQLQIEISA-N 0 3 210.346 2.811 20 0 BFADHN CN(C[C@@H]1CC1(Cl)Cl)[C@H]1CCSC1 ZINC000307013831 351419109 /nfs/dbraw/zinc/41/91/09/351419109.db2.gz MEGHJYRXIPMQMG-YUMQZZPRSA-N 0 3 240.199 2.618 20 0 BFADHN CCN(Cc1ccc(F)c(F)c1)C[C@@H](C)OC ZINC000272776028 351494229 /nfs/dbraw/zinc/49/42/29/351494229.db2.gz GPIGMCMTINXGFV-SNVBAGLBSA-N 0 3 243.297 2.822 20 0 BFADHN CCN(Cc1ccccc1F)C[C@H](C)OC ZINC000272820704 351497094 /nfs/dbraw/zinc/49/70/94/351497094.db2.gz OXSLUDYRSOHYDD-NSHDSACASA-N 0 3 225.307 2.683 20 0 BFADHN CCN(Cc1ccccc1F)C[C@@H](C)OC ZINC000272820701 351497277 /nfs/dbraw/zinc/49/72/77/351497277.db2.gz OXSLUDYRSOHYDD-LLVKDONJSA-N 0 3 225.307 2.683 20 0 BFADHN CCC[C@H](CNCc1ccncc1C)OCC ZINC000623599328 363037359 /nfs/dbraw/zinc/03/73/59/363037359.db2.gz DZGNRBXVURVFQQ-CQSZACIVSA-N 0 3 236.359 2.685 20 0 BFADHN CCC[C@@H](CNCc1ccnc(C)c1)OCC ZINC000623599437 363037660 /nfs/dbraw/zinc/03/76/60/363037660.db2.gz HVQRUGVXBMJYEG-AWEZNQCLSA-N 0 3 236.359 2.685 20 0 BFADHN CCC[C@H](CNCc1ccncc1F)OCC ZINC000623599765 363038354 /nfs/dbraw/zinc/03/83/54/363038354.db2.gz QQGSEYSAUGZJPF-GFCCVEGCSA-N 0 3 240.322 2.516 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@H](C)c1cccc(OC)c1 ZINC000186500120 187485377 /nfs/dbraw/zinc/48/53/77/187485377.db2.gz AMSLEROGWLAUME-JUGZCIIYSA-N 0 3 249.354 2.673 20 0 BFADHN Cc1n[nH]cc1CN[C@H]1CC12CCCCC2 ZINC000386933565 363063510 /nfs/dbraw/zinc/06/35/10/363063510.db2.gz SBFXLSJDKKIHPZ-LBPRGKRZSA-N 0 3 219.332 2.531 20 0 BFADHN Cc1n[nH]cc1CN[C@@H]1CC12CCCCC2 ZINC000386933563 363063523 /nfs/dbraw/zinc/06/35/23/363063523.db2.gz SBFXLSJDKKIHPZ-GFCCVEGCSA-N 0 3 219.332 2.531 20 0 BFADHN CSC[C@@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000090417553 363054815 /nfs/dbraw/zinc/05/48/15/363054815.db2.gz LSQADZUNJYVWRF-SFYZADRCSA-N 0 3 230.402 2.854 20 0 BFADHN CC(C)(O)CCN1CC[C@H]1c1cccc(F)c1 ZINC000674231128 487527600 /nfs/dbraw/zinc/52/76/00/487527600.db2.gz GCNYJHXIBALOBG-ZDUSSCGKSA-N 0 3 237.318 2.734 20 0 BFADHN CCCN(CC1CC1)[C@H](c1nccn1C)C1CC1 ZINC000637812486 351648112 /nfs/dbraw/zinc/64/81/12/351648112.db2.gz ZDJAIVIUKXRYCB-AWEZNQCLSA-N 0 3 247.386 2.993 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H]1CCc2ccc(F)cc21 ZINC000577666965 366034074 /nfs/dbraw/zinc/03/40/74/366034074.db2.gz UKXRKXNAEKKIPK-BFVZDQMLSA-N 0 3 237.318 2.826 20 0 BFADHN CCCN(CC)Cc1cnc(CCOC)s1 ZINC000637810890 351652248 /nfs/dbraw/zinc/65/22/48/351652248.db2.gz PDWBUCCVXMLVLV-UHFFFAOYSA-N 0 3 242.388 2.564 20 0 BFADHN O=c1ccc(CN2CC[C@H]2c2ccccc2)c[nH]1 ZINC000637814445 351653985 /nfs/dbraw/zinc/65/39/85/351653985.db2.gz JAAXWWAGBSQKPJ-AWEZNQCLSA-N 0 3 240.306 2.734 20 0 BFADHN CCCC[C@@H]1CCC[C@@H]1NCc1nccc(N)n1 ZINC000276844809 351655595 /nfs/dbraw/zinc/65/55/95/351655595.db2.gz CRKWYBGHVMIUQQ-NEPJUHHUSA-N 0 3 248.374 2.507 20 0 BFADHN Cc1cc(CN2CCCCCC2)sn1 ZINC000637829702 351678359 /nfs/dbraw/zinc/67/83/59/351678359.db2.gz ULCBAOSNSUPMPX-UHFFFAOYSA-N 0 3 210.346 2.828 20 0 BFADHN Cn1ccnc1[C@@H](C1CC1)N1CCCCCC1 ZINC000637830002 351678801 /nfs/dbraw/zinc/67/88/01/351678801.db2.gz YYYFAYPPMWWKAD-CYBMUJFWSA-N 0 3 233.359 2.747 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccns1 ZINC000637830573 351679893 /nfs/dbraw/zinc/67/98/93/351679893.db2.gz AEAQCMIALAGFMJ-JTQLQIEISA-N 0 3 210.346 2.908 20 0 BFADHN CC(C)=C(C)CC(=O)NC[C@H](N)c1ccccc1 ZINC000637832732 351681911 /nfs/dbraw/zinc/68/19/11/351681911.db2.gz MACWEZPHGQNGPK-AWEZNQCLSA-N 0 3 246.354 2.549 20 0 BFADHN COc1cc2c(cc1OC)[C@@H](N1CCCC1)CC2 ZINC000637831329 351684783 /nfs/dbraw/zinc/68/47/83/351684783.db2.gz WBSGUHXHSDKJAN-ZDUSSCGKSA-N 0 3 247.338 2.787 20 0 BFADHN CCCCN(C)Cc1c2c(nn1C)CCCC2 ZINC000637835085 351685722 /nfs/dbraw/zinc/68/57/22/351685722.db2.gz KFOVFJWXMAZQCM-UHFFFAOYSA-N 0 3 235.375 2.531 20 0 BFADHN CCCCN(C)Cc1cnc(CCOC)s1 ZINC000637835344 351686653 /nfs/dbraw/zinc/68/66/53/351686653.db2.gz MDGPAISNJPPDCH-UHFFFAOYSA-N 0 3 242.388 2.564 20 0 BFADHN COc1cncc(CN2CCC(C)CC2)c1C ZINC000637835702 351686665 /nfs/dbraw/zinc/68/66/65/351686665.db2.gz IYJHDRVIANLAJK-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN Cc1cc(C)c(/C=C\CN(C)CCO)c(C)c1 ZINC000637834466 351688798 /nfs/dbraw/zinc/68/87/98/351688798.db2.gz WZEHVBFQIMFPLS-WAYWQWQTSA-N 0 3 233.355 2.549 20 0 BFADHN CC1CCN(Cc2ccc3nccnc3c2)CC1 ZINC000637836763 351690356 /nfs/dbraw/zinc/69/03/56/351690356.db2.gz SUBGWOUQQDKGMM-UHFFFAOYSA-N 0 3 241.338 2.862 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@@H]1NCc1ncccn1 ZINC000577748241 366051721 /nfs/dbraw/zinc/05/17/21/366051721.db2.gz QZXYIWWPIOBRRU-XQQFMLRXSA-N 0 3 233.359 2.781 20 0 BFADHN CCc1cc(CCCN2[C@@H](C)C[C@@H]2C)on1 ZINC000577697364 366038514 /nfs/dbraw/zinc/03/85/14/366038514.db2.gz JBLDHXVVSBNXPL-QWRGUYRKSA-N 0 3 222.332 2.652 20 0 BFADHN CO[C@](C)(CN(C)Cc1cccs1)C1CC1 ZINC000637846747 351705346 /nfs/dbraw/zinc/70/53/46/351705346.db2.gz JMHSPIFBHZFRCO-CYBMUJFWSA-N 0 3 239.384 2.995 20 0 BFADHN Cc1ccc2c(c1)[C@@H](N[C@H]1COC(C)(C)C1)CO2 ZINC000577725722 366043536 /nfs/dbraw/zinc/04/35/36/366043536.db2.gz HGPNXYOIVRYAKT-YPMHNXCESA-N 0 3 247.338 2.586 20 0 BFADHN CCC[C@H](CN1CCc2sccc2C1)OC ZINC000637885083 351751550 /nfs/dbraw/zinc/75/15/50/351751550.db2.gz ALYCLQIMNLKOQK-GFCCVEGCSA-N 0 3 239.384 2.921 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)N(C)C1CCCCC1 ZINC000637866598 351726428 /nfs/dbraw/zinc/72/64/28/351726428.db2.gz AQTWHCWNLDRYJS-CYBMUJFWSA-N 0 3 240.391 2.541 20 0 BFADHN CC(C)[C@H]1CCCC[C@@H]1NCc1nccn1C ZINC000112703445 191140699 /nfs/dbraw/zinc/14/06/99/191140699.db2.gz DJDBDYSCVJKFNA-OLZOCXBDSA-N 0 3 235.375 2.725 20 0 BFADHN CCC[C@@H](CN(C)Cc1ccsc1)OC ZINC000637873156 351739712 /nfs/dbraw/zinc/73/97/12/351739712.db2.gz HDELFJOJVCIRSC-LBPRGKRZSA-N 0 3 227.373 2.995 20 0 BFADHN CN(CCC[C@H]1CCO1)Cc1ccsc1 ZINC000637873726 351740487 /nfs/dbraw/zinc/74/04/87/351740487.db2.gz RIOFJRYWQVVXER-LBPRGKRZSA-N 0 3 225.357 2.749 20 0 BFADHN CN([C@H](c1nccn1C)C1CC1)C1CCCC1 ZINC000637879715 351744648 /nfs/dbraw/zinc/74/46/48/351744648.db2.gz ZQYVLJNKUOJKRZ-ZDUSSCGKSA-N 0 3 233.359 2.746 20 0 BFADHN CCCCN(C(=O)[C@H](C)NCC)c1ccccc1 ZINC000637878923 351745156 /nfs/dbraw/zinc/74/51/56/351745156.db2.gz MXSPGWRRESVNTO-ZDUSSCGKSA-N 0 3 248.370 2.818 20 0 BFADHN CCC[C@H](CN(C)Cc1ccc(C)o1)OC ZINC000637853347 351708954 /nfs/dbraw/zinc/70/89/54/351708954.db2.gz MVPBXURGOHLYLS-GFCCVEGCSA-N 0 3 225.332 2.835 20 0 BFADHN CC[C@H](C)N(C)[C@H](C)c1cnc(C)nc1C ZINC000637912116 351785543 /nfs/dbraw/zinc/78/55/43/351785543.db2.gz SETWAHRKMAHTMS-GXSJLCMTSA-N 0 3 221.348 2.885 20 0 BFADHN CC[C@H](C)N(C)Cc1cnc(CCOC)s1 ZINC000637912383 351785733 /nfs/dbraw/zinc/78/57/33/351785733.db2.gz VBVPUHCZQWNCSL-JTQLQIEISA-N 0 3 242.388 2.562 20 0 BFADHN C[C@H](C1CC1)N(C)[C@@H](c1nccn1C)C1CC1 ZINC000637920596 351794782 /nfs/dbraw/zinc/79/47/82/351794782.db2.gz OEXXDTUOGSMHEF-ZWNOBZJWSA-N 0 3 233.359 2.602 20 0 BFADHN O[C@H]1CCN(Cc2ccc(F)c3ccccc23)C1 ZINC000637920126 351794889 /nfs/dbraw/zinc/79/48/89/351794889.db2.gz QAUBXUGEBFHPPB-LBPRGKRZSA-N 0 3 245.297 2.546 20 0 BFADHN CC[C@H](C)C[C@H](C)NC(=O)C1(N)CCCCC1 ZINC000088300518 351757651 /nfs/dbraw/zinc/75/76/51/351757651.db2.gz POEHTPPWLGLZSP-RYUDHWBXSA-N 0 3 240.391 2.589 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N(C)[C@H](C)C(C)(C)C ZINC000637929714 351803509 /nfs/dbraw/zinc/80/35/09/351803509.db2.gz OKWQCFXSQZYLCX-NEPJUHHUSA-N 0 3 242.407 2.643 20 0 BFADHN Cc1cc(CN(C)[C@H](C)C(C)C)sn1 ZINC000637904511 351773603 /nfs/dbraw/zinc/77/36/03/351773603.db2.gz XDBUQDPPYNNCRW-SNVBAGLBSA-N 0 3 212.362 2.928 20 0 BFADHN CC[C@@H]1CCCN([C@@H](c2nccn2C)C2CC2)C1 ZINC000637944711 351819542 /nfs/dbraw/zinc/81/95/42/351819542.db2.gz MTPZIRCMWGHDGZ-TZMCWYRMSA-N 0 3 247.386 2.993 20 0 BFADHN CC[C@H]1CCCN([C@@H](c2nccn2C)C2CC2)C1 ZINC000637944700 351819756 /nfs/dbraw/zinc/81/97/56/351819756.db2.gz MTPZIRCMWGHDGZ-GXTWGEPZSA-N 0 3 247.386 2.993 20 0 BFADHN CCC[C@H](CN1CCc2ccc(O)cc2C1)OC ZINC000637945590 351822487 /nfs/dbraw/zinc/82/24/87/351822487.db2.gz CBHRGYLQYYDQKS-OAHLLOKOSA-N 0 3 249.354 2.565 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C(C)C)C2)nc1 ZINC000338383448 191142293 /nfs/dbraw/zinc/14/22/93/191142293.db2.gz YMSJXGOESVKHMB-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN COc1cccc(OC)c1CN(C)CC1CC1 ZINC000637955746 351830981 /nfs/dbraw/zinc/83/09/81/351830981.db2.gz POQIRLKGQCSTTI-UHFFFAOYSA-N 0 3 235.327 2.546 20 0 BFADHN COc1cccc(C)c1CN(C)CC1CC1 ZINC000637955100 351831920 /nfs/dbraw/zinc/83/19/20/351831920.db2.gz HCTRDOYSIDLMOE-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN CC[C@](C)(CN1CCOc2ccccc2C1)OC ZINC000637956769 351832592 /nfs/dbraw/zinc/83/25/92/351832592.db2.gz BTVRUDJDKGXZJV-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN CCC[C@H](NC(=O)[C@H](N)C(C)C)c1ccccc1 ZINC000037030054 358466920 /nfs/dbraw/zinc/46/69/20/358466920.db2.gz JYXZLYWPNZJMOW-UONOGXRCSA-N 0 3 248.370 2.627 20 0 BFADHN COC[C@H](C)CN(C)Cc1ccc(F)c(F)c1 ZINC000178027382 366077245 /nfs/dbraw/zinc/07/72/45/366077245.db2.gz VVTNEEWHSBTOPW-SNVBAGLBSA-N 0 3 243.297 2.679 20 0 BFADHN CC[C@H](C)CN(C)[C@H](c1nccn1C)C1CC1 ZINC000637940479 351817439 /nfs/dbraw/zinc/81/74/39/351817439.db2.gz IOTHVODJNKWESW-AAEUAGOBSA-N 0 3 235.375 2.849 20 0 BFADHN C[C@H]1CCCN([C@H](c2nccn2C)C2CC2)CC1 ZINC000637977046 351855226 /nfs/dbraw/zinc/85/52/26/351855226.db2.gz HQSYNYCTXBLDDG-JSGCOSHPSA-N 0 3 247.386 2.993 20 0 BFADHN CC[C@H]1CCCN1Cc1cncc(OC)c1C ZINC000637965470 351839569 /nfs/dbraw/zinc/83/95/69/351839569.db2.gz SCDQNRGSCNGVOY-ZDUSSCGKSA-N 0 3 234.343 2.773 20 0 BFADHN CC[C@H](C)[C@@H](CN(C)C[C@H]1CCCCO1)OC ZINC000637967900 351842532 /nfs/dbraw/zinc/84/25/32/351842532.db2.gz YVCXUIRQIVQFFI-BFHYXJOUSA-N 0 3 243.391 2.548 20 0 BFADHN CCC[C@@H](CN(C)Cc1ccoc1C)OC ZINC000637968624 351843576 /nfs/dbraw/zinc/84/35/76/351843576.db2.gz FOHBYDUORQGLGF-ZDUSSCGKSA-N 0 3 225.332 2.835 20 0 BFADHN CCN(CCC[C@H]1CCO1)CCC(F)(F)F ZINC000637994831 351872180 /nfs/dbraw/zinc/87/21/80/351872180.db2.gz WKNIXLWNHDSFTB-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN FC(F)(F)OCCN[C@@H]1CCc2ccccc21 ZINC000089051660 351918406 /nfs/dbraw/zinc/91/84/06/351918406.db2.gz SMQVNFWOVBEKPG-LLVKDONJSA-N 0 3 245.244 2.800 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1cc(CC)ccc1CC ZINC000638036764 351919044 /nfs/dbraw/zinc/91/90/44/351919044.db2.gz DDDMGEYXNDVVOV-NSHDSACASA-N 0 3 248.370 2.748 20 0 BFADHN CCC(C)(C)N(C)[C@@H](c1nccn1C)C1CC1 ZINC000638063948 351937071 /nfs/dbraw/zinc/93/70/71/351937071.db2.gz YBZKJPRZCPVLOM-GFCCVEGCSA-N 0 3 235.375 2.992 20 0 BFADHN CCN(Cc1ccccn1)C[C@](C)(CC)OC ZINC000638066947 351938721 /nfs/dbraw/zinc/93/87/21/351938721.db2.gz OXOIIGZNHMGETE-AWEZNQCLSA-N 0 3 236.359 2.719 20 0 BFADHN c1coc([C@@H]2CCN(Cc3ccns3)C2)c1 ZINC000638068167 351938922 /nfs/dbraw/zinc/93/89/22/351938922.db2.gz BJQXAMXAWQVXND-SNVBAGLBSA-N 0 3 234.324 2.726 20 0 BFADHN c1cc(C2CCN([C@@H]3C=CCCC3)CC2)ncn1 ZINC000298536883 187527326 /nfs/dbraw/zinc/52/73/26/187527326.db2.gz WYSXULBMIRGIAG-CQSZACIVSA-N 0 3 243.354 2.765 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N(C(C)C)C1CCC1 ZINC000638016532 351895014 /nfs/dbraw/zinc/89/50/14/351895014.db2.gz SVADFPDGDXILRU-ZDUSSCGKSA-N 0 3 240.391 2.539 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CCC(C)(C)CC1 ZINC000638020296 351898913 /nfs/dbraw/zinc/89/89/13/351898913.db2.gz GXDRMPWMAKPPNC-ZDUSSCGKSA-N 0 3 247.386 2.993 20 0 BFADHN c1cc(CN[C@@H](c2cccnc2)C2CC2)c[nH]1 ZINC000340108347 351906957 /nfs/dbraw/zinc/90/69/57/351906957.db2.gz HZTAZMOQYLBUFV-CQSZACIVSA-N 0 3 227.311 2.651 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2C2CC2)sn1 ZINC000638029596 351910430 /nfs/dbraw/zinc/91/04/30/351910430.db2.gz YEDXCSBVBLNSEH-GFCCVEGCSA-N 0 3 222.357 2.826 20 0 BFADHN CCN(CC1CC1)[C@H](c1nccn1C)C1CC1 ZINC000638075302 351946747 /nfs/dbraw/zinc/94/67/47/351946747.db2.gz HVWVEAQGAHQPOS-ZDUSSCGKSA-N 0 3 233.359 2.603 20 0 BFADHN Cc1scc(CN(C)CC(C)(C)O)c1C ZINC000638080755 351953431 /nfs/dbraw/zinc/95/34/31/351953431.db2.gz DRLRBMFARMWHOP-UHFFFAOYSA-N 0 3 227.373 2.568 20 0 BFADHN CCC[C@@H](CN1CCc2ccsc2C1)OC ZINC000638088283 351965140 /nfs/dbraw/zinc/96/51/40/351965140.db2.gz LBDPQUCXMASUHQ-LBPRGKRZSA-N 0 3 239.384 2.921 20 0 BFADHN Cc1ncc([C@H](C)N(C)C2CCC2)c(C)n1 ZINC000638089019 351967658 /nfs/dbraw/zinc/96/76/58/351967658.db2.gz KFBMFPSIFWGUEN-JTQLQIEISA-N 0 3 219.332 2.639 20 0 BFADHN Cn1ccnc1[C@@H](C1CC1)N1CCC2(CCC2)C1 ZINC000638089119 351967797 /nfs/dbraw/zinc/96/77/97/351967797.db2.gz SKTOOKWTWXJDDW-CYBMUJFWSA-N 0 3 245.370 2.747 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2ccns2)C1 ZINC000638095180 351971795 /nfs/dbraw/zinc/97/17/95/351971795.db2.gz RARQJXNIZCHNIF-NXEZZACHSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cnc(OC)s1 ZINC000638093557 351972821 /nfs/dbraw/zinc/97/28/21/351972821.db2.gz KTIIQONUGWPZGE-VHSXEESVSA-N 0 3 240.372 2.772 20 0 BFADHN Cc1cc(CN2CC[C@H](C)[C@@H](C)C2)sn1 ZINC000638094026 351973622 /nfs/dbraw/zinc/97/36/22/351973622.db2.gz XKZIBSFRJBGDOM-UWVGGRQHSA-N 0 3 224.373 2.929 20 0 BFADHN C[C@@H]1CC[C@H](C)N([C@H](c2nccn2C)C2CC2)C1 ZINC000638095439 351975399 /nfs/dbraw/zinc/97/53/99/351975399.db2.gz SUKAAZMCEGJKIC-DYEKYZERSA-N 0 3 247.386 2.992 20 0 BFADHN CCN(Cc1c2c(nn1C)CCCC2)C1CCC1 ZINC000638100852 351980859 /nfs/dbraw/zinc/98/08/59/351980859.db2.gz NBLLFDRDRODGCL-UHFFFAOYSA-N 0 3 247.386 2.673 20 0 BFADHN CCN(Cc1ccc(OC)nn1)C1CCCCC1 ZINC000283711579 351982492 /nfs/dbraw/zinc/98/24/92/351982492.db2.gz ZOACTGIHUWKBEV-UHFFFAOYSA-N 0 3 249.358 2.640 20 0 BFADHN CC(C)N(Cc1ccns1)C1CCC1 ZINC000638110371 351986786 /nfs/dbraw/zinc/98/67/86/351986786.db2.gz LBFUVEWADLUQHQ-UHFFFAOYSA-N 0 3 210.346 2.906 20 0 BFADHN CCN(CCCO)Cc1csc(C)c1C ZINC000638117050 351991767 /nfs/dbraw/zinc/99/17/67/351991767.db2.gz RHSYKNLEYVHBRV-UHFFFAOYSA-N 0 3 227.373 2.569 20 0 BFADHN COc1cncc(CN2CC[C@H](C3CC3)C2)c1C ZINC000638133388 352000626 /nfs/dbraw/zinc/00/06/26/352000626.db2.gz JNWZVAUZSMZTEC-ZDUSSCGKSA-N 0 3 246.354 2.631 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccns2)C1 ZINC000638134465 352002588 /nfs/dbraw/zinc/00/25/88/352002588.db2.gz NFAWXXXIVKEABZ-NSHDSACASA-N 0 3 210.346 2.765 20 0 BFADHN CC[C@@H](N[C@@H]1C=C[C@H](CO)C1)c1cccc(C)c1 ZINC000285989243 352069198 /nfs/dbraw/zinc/06/91/98/352069198.db2.gz IBAZRZDUNKOOFH-NUEKZKHPSA-N 0 3 245.366 2.973 20 0 BFADHN C[C@@H]1CCN([C@@H](c2nccn2C)C2CC2)[C@H]1C ZINC000638162606 352027103 /nfs/dbraw/zinc/02/71/03/352027103.db2.gz JAZSAPKFWJZFDE-NTZNESFSSA-N 0 3 233.359 2.602 20 0 BFADHN CC[C@H]1CCN(Cc2cncc(OC)c2C)C1 ZINC000638170921 352033299 /nfs/dbraw/zinc/03/32/99/352033299.db2.gz MOJMTVCFHUIBFK-LBPRGKRZSA-N 0 3 234.343 2.631 20 0 BFADHN C[C@H](N(C)[C@@H](c1nccn1C)C1CC1)C1(C)CC1 ZINC000638170568 352034958 /nfs/dbraw/zinc/03/49/58/352034958.db2.gz LTUCMJDZDDKVNK-WCQYABFASA-N 0 3 247.386 2.992 20 0 BFADHN C[C@@H](N(C)[C@@H](c1nccn1C)C1CC1)C1(C)CC1 ZINC000638170565 352035604 /nfs/dbraw/zinc/03/56/04/352035604.db2.gz LTUCMJDZDDKVNK-DGCLKSJQSA-N 0 3 247.386 2.992 20 0 BFADHN COc1ncc(CN2CC[C@H](C(C)C)C2)s1 ZINC000638171374 352038899 /nfs/dbraw/zinc/03/88/99/352038899.db2.gz UVJVUYQHDIQFKC-JTQLQIEISA-N 0 3 240.372 2.630 20 0 BFADHN Cc1cc(CN2[C@H](C)CC[C@@H]2C)sn1 ZINC000638171996 352038909 /nfs/dbraw/zinc/03/89/09/352038909.db2.gz MZLJQZCIYJAVFO-AOOOYVTPSA-N 0 3 210.346 2.824 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@@H](CC)CO ZINC000020195483 352137027 /nfs/dbraw/zinc/13/70/27/352137027.db2.gz CXGLHZVBADMGBW-RYUDHWBXSA-N 0 3 237.343 2.507 20 0 BFADHN Cn1ccnc1[C@H](NC1(C)CCCC1)C1CC1 ZINC000638305947 352134641 /nfs/dbraw/zinc/13/46/41/352134641.db2.gz ILIIOANLROWFMN-GFCCVEGCSA-N 0 3 233.359 2.794 20 0 BFADHN CC(C)[C@@H]1CCN1[C@@H](c1nccn1C)C1CC1 ZINC000638275208 352111472 /nfs/dbraw/zinc/11/14/72/352111472.db2.gz LAOPJMYVRCSUJC-QWHCGFSZSA-N 0 3 233.359 2.602 20 0 BFADHN CC[C@@H](NCc1ncccc1N(C)C)C(C)C ZINC000289612346 352192381 /nfs/dbraw/zinc/19/23/81/352192381.db2.gz DEUZYYMPFQOZGM-GFCCVEGCSA-N 0 3 235.375 2.672 20 0 BFADHN CCN[C@@H](C)C(=O)NCC[C@H](C)CC(C)(C)C ZINC000638322047 352144646 /nfs/dbraw/zinc/14/46/46/352144646.db2.gz TYBXORJJZVVUER-RYUDHWBXSA-N 0 3 242.407 2.563 20 0 BFADHN CC[C@@H](N[C@H](c1nccn1C)C1CC1)C(C)C ZINC000638428187 352236357 /nfs/dbraw/zinc/23/63/57/352236357.db2.gz SMCLYOWMBVQMEZ-OLZOCXBDSA-N 0 3 235.375 2.895 20 0 BFADHN CCOCCN(C)Cc1cc(C)cc(C)c1 ZINC000093315126 352204336 /nfs/dbraw/zinc/20/43/36/352204336.db2.gz CSLFGAGGJHQKAU-UHFFFAOYSA-N 0 3 221.344 2.772 20 0 BFADHN C[C@@H]1CN(CC2CC2)CCN1Cc1ccccc1 ZINC000411117606 191161762 /nfs/dbraw/zinc/16/17/62/191161762.db2.gz KGDNCQYOIJLDGW-CQSZACIVSA-N 0 3 244.382 2.603 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CC1(F)F)c1ccccn1 ZINC000638424656 352231950 /nfs/dbraw/zinc/23/19/50/352231950.db2.gz DPOFKNWXYRGYML-GUBZILKMSA-N 0 3 226.270 2.776 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccn1)[C@@H]1CC1(F)F ZINC000638424662 352232149 /nfs/dbraw/zinc/23/21/49/352232149.db2.gz DPOFKNWXYRGYML-UTLUCORTSA-N 0 3 226.270 2.776 20 0 BFADHN CN(C[C@@H]1CCCO1)[C@@H]1C[C@H]1c1cccc(F)c1 ZINC000411173403 191167004 /nfs/dbraw/zinc/16/70/04/191167004.db2.gz PVLDQZXGGHJDJP-SOUVJXGZSA-N 0 3 249.329 2.792 20 0 BFADHN CCN(Cc1sc(C)nc1C)C[C@H](C)OC ZINC000291047942 352257201 /nfs/dbraw/zinc/25/72/01/352257201.db2.gz HQYAVIVWSCMCHI-VIFPVBQESA-N 0 3 242.388 2.617 20 0 BFADHN CCN(Cc1ccc(C)s1)C[C@@H](C)OC ZINC000291095583 352260623 /nfs/dbraw/zinc/26/06/23/352260623.db2.gz QHPWHBCKHGMBSO-SNVBAGLBSA-N 0 3 227.373 2.913 20 0 BFADHN CC(=O)Nc1cccc(CN[C@@]23C[C@@H]2CCC3)c1 ZINC000630072263 363155043 /nfs/dbraw/zinc/15/50/43/363155043.db2.gz BTOVFTGYGOXDGV-ZFWWWQNUSA-N 0 3 244.338 2.677 20 0 BFADHN Cc1cc(CN2CCC(CCF)CC2)ccn1 ZINC000630073912 363157346 /nfs/dbraw/zinc/15/73/46/363157346.db2.gz YHXPXGNCIIJMQM-UHFFFAOYSA-N 0 3 236.334 2.962 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC23CC3)on1 ZINC000630074871 363158639 /nfs/dbraw/zinc/15/86/39/363158639.db2.gz TYLPTWJGADRMBT-LLVKDONJSA-N 0 3 220.316 2.653 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1csc(C)c1C ZINC000630075175 363160275 /nfs/dbraw/zinc/16/02/75/363160275.db2.gz VCBXFOLYAFHPGP-NEPJUHHUSA-N 0 3 225.357 2.632 20 0 BFADHN Cn1ccnc1[C@@H](NC(C1CC1)C1CC1)C1CC1 ZINC000638437866 352245020 /nfs/dbraw/zinc/24/50/20/352245020.db2.gz PPWAABWDTVEDGP-AWEZNQCLSA-N 0 3 245.370 2.649 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(Cl)o2)CCO1 ZINC000219925323 363141875 /nfs/dbraw/zinc/14/18/75/363141875.db2.gz IKEHCTIGAHRYNW-BDAKNGLRSA-N 0 3 229.707 2.590 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(Cl)o2)CCO1 ZINC000219925407 363142214 /nfs/dbraw/zinc/14/22/14/363142214.db2.gz IKEHCTIGAHRYNW-DTWKUNHWSA-N 0 3 229.707 2.590 20 0 BFADHN CC1(C)CN(Cc2ccco2)[C@@H]1C1CC1 ZINC000291629174 352282558 /nfs/dbraw/zinc/28/25/58/352282558.db2.gz SFCTVGHMPRTHJQ-GFCCVEGCSA-N 0 3 205.301 2.900 20 0 BFADHN CC[C@@H](NC[C@H]1CCCO1)c1ccccc1OC ZINC000037215134 358471052 /nfs/dbraw/zinc/47/10/52/358471052.db2.gz HSZAAVBXBDSPSB-TZMCWYRMSA-N 0 3 249.354 2.915 20 0 BFADHN CCN(Cc1cc(C)ncn1)C1CCCC1 ZINC000292120863 352302951 /nfs/dbraw/zinc/30/29/51/352302951.db2.gz FIPDKKRELPMLNJ-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN CCCN(CC(C)C)[C@@H](C)c1nnc(C)[nH]1 ZINC000411203825 191170924 /nfs/dbraw/zinc/17/09/24/191170924.db2.gz STNQQDLNYQGUEX-JTQLQIEISA-N 0 3 224.352 2.542 20 0 BFADHN CCC[N@H+](CC(C)C)[C@@H](C)c1nnc(C)[n-]1 ZINC000411203825 191170927 /nfs/dbraw/zinc/17/09/27/191170927.db2.gz STNQQDLNYQGUEX-JTQLQIEISA-N 0 3 224.352 2.542 20 0 BFADHN CCC[N@@H+](CC(C)C)[C@@H](C)c1nnc(C)[n-]1 ZINC000411203825 191170929 /nfs/dbraw/zinc/17/09/29/191170929.db2.gz STNQQDLNYQGUEX-JTQLQIEISA-N 0 3 224.352 2.542 20 0 BFADHN COC[C@H]1CCN([C@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411279976 191173938 /nfs/dbraw/zinc/17/39/38/191173938.db2.gz CYMZZRRACAMAQO-CQDKDKBSSA-N 0 3 249.329 2.650 20 0 BFADHN CCN(Cc1ccc([C@H]2C[C@@H]2C)o1)[C@H](C)CO ZINC000292849815 352330546 /nfs/dbraw/zinc/33/05/46/352330546.db2.gz TXINWDKSSJQMNE-LOWVWBTDSA-N 0 3 237.343 2.606 20 0 BFADHN Cc1nc([C@@H](C)N2CC[C@H](CC(C)C)C2)n[nH]1 ZINC000411297903 191175911 /nfs/dbraw/zinc/17/59/11/191175911.db2.gz ILECWIAVJFFHEO-ZYHUDNBSSA-N 0 3 236.363 2.542 20 0 BFADHN CC(C)C(C)(C)N[C@H](c1nccn1C)C1CC1 ZINC000638525550 352359755 /nfs/dbraw/zinc/35/97/55/352359755.db2.gz RTDLEZHQGFUUKW-LBPRGKRZSA-N 0 3 235.375 2.895 20 0 BFADHN c1ccc2oc(CNC3CCSCC3)nc2c1 ZINC000638573575 352399514 /nfs/dbraw/zinc/39/95/14/352399514.db2.gz FESKMZHWMYGLTB-UHFFFAOYSA-N 0 3 248.351 2.813 20 0 BFADHN Cc1ccc(C(C)(C)NCCC2(O)CCC2)cn1 ZINC000411525107 191191427 /nfs/dbraw/zinc/19/14/27/191191427.db2.gz JLEMMSUOWNGUBQ-UHFFFAOYSA-N 0 3 248.370 2.520 20 0 BFADHN C[C@@H](O)CCNC1(c2cccc(Cl)c2)CC1 ZINC000411468321 191187306 /nfs/dbraw/zinc/18/73/06/191187306.db2.gz GXXHMOHRUVJYGP-SNVBAGLBSA-N 0 3 239.746 2.690 20 0 BFADHN c1ncc(CCNC2(c3ccccc3)CC2)s1 ZINC000411450864 191187753 /nfs/dbraw/zinc/18/77/53/191187753.db2.gz GWMOMZYOSMROFD-UHFFFAOYSA-N 0 3 244.363 2.965 20 0 BFADHN C[C@H](NCCc1cncs1)c1cccc(O)c1 ZINC000411459447 191187954 /nfs/dbraw/zinc/18/79/54/191187954.db2.gz SGEXRTHDKQNVNY-JTQLQIEISA-N 0 3 248.351 2.742 20 0 BFADHN CC[C@@H](NCc1ccsc1C)[C@@H](O)C(F)F ZINC000294968632 352390875 /nfs/dbraw/zinc/39/08/75/352390875.db2.gz FOHPVAWBGQRJPX-NXEZZACHSA-N 0 3 249.326 2.551 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCc1cncs1 ZINC000411431104 191183942 /nfs/dbraw/zinc/18/39/42/191183942.db2.gz ZICKBKXRKMXHLD-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN CCN(Cc1cc(F)cc(Cl)c1)[C@@H](C)CO ZINC000293650244 352357278 /nfs/dbraw/zinc/35/72/78/352357278.db2.gz MXTORIIWRDQOCI-VIFPVBQESA-N 0 3 245.725 2.682 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2nccnc2OC)C1 ZINC000295636605 352420172 /nfs/dbraw/zinc/42/01/72/352420172.db2.gz HXIFQMDTFJPMFT-NEPJUHHUSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@H](N[C@@H]1CCCCC[C@H]1O)c1ccoc1 ZINC000252863422 538103501 /nfs/dbraw/zinc/10/35/01/538103501.db2.gz LBPCJQMWYBXRCX-CYZMBNFOSA-N 0 3 223.316 2.624 20 0 BFADHN CC[C@@]1(O)CCN([C@@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411566820 191194006 /nfs/dbraw/zinc/19/40/06/191194006.db2.gz HFOJSYSGXZXRIC-RRFJBIMHSA-N 0 3 249.329 2.528 20 0 BFADHN c1cc(CN[C@@H]2C[C@H]2Cc2ccccc2)sn1 ZINC000638634242 352477126 /nfs/dbraw/zinc/47/71/26/352477126.db2.gz KDPCZIGUKCTTEQ-TZMCWYRMSA-N 0 3 244.363 2.864 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCCC[C@@H]1CCO1 ZINC000638638402 352480200 /nfs/dbraw/zinc/48/02/00/352480200.db2.gz FPTWNWBSPVHITR-BXKDBHETSA-N 0 3 238.331 2.511 20 0 BFADHN CC(C)[C@H](C)CNCc1cc(C2CC2)no1 ZINC000638658228 352499339 /nfs/dbraw/zinc/49/93/39/352499339.db2.gz UXWRTLIYCNBORT-SNVBAGLBSA-N 0 3 222.332 2.934 20 0 BFADHN CC(C)n1ccc(CNC[C@@H]2C[C@H]3C[C@H]3C2)n1 ZINC000638666550 352510504 /nfs/dbraw/zinc/51/05/04/352510504.db2.gz OVQBAJFZGIXPKU-CLLJXQQHSA-N 0 3 233.359 2.600 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@H]3C[C@H]3C2)sc1C ZINC000638688410 352527615 /nfs/dbraw/zinc/52/76/15/352527615.db2.gz FPFQWCDFCBYXRG-ZSBIGDGJSA-N 0 3 236.384 2.896 20 0 BFADHN Cc1cnc([C@H](NC(C)C)C2CC2)s1 ZINC000045206207 352587987 /nfs/dbraw/zinc/58/79/87/352587987.db2.gz RQVVAYONBJKHOJ-SNVBAGLBSA-N 0 3 210.346 2.901 20 0 BFADHN CC(C)CN(C)[C@@H](C)C(=O)N(C(C)C)C(C)C ZINC000340677355 352607369 /nfs/dbraw/zinc/60/73/69/352607369.db2.gz WEBMKALCEUURDO-ZDUSSCGKSA-N 0 3 242.407 2.608 20 0 BFADHN COCCC(C)(C)NCc1nc2ccccc2o1 ZINC000638720133 352568432 /nfs/dbraw/zinc/56/84/32/352568432.db2.gz RKKOVTYWNUPTAQ-UHFFFAOYSA-N 0 3 248.326 2.733 20 0 BFADHN C1=C[C@H](N2CCO[C@@H](C3CCC3)C2)CCC1 ZINC000411872250 191226809 /nfs/dbraw/zinc/22/68/09/191226809.db2.gz JJABOLAIHYDBJH-UONOGXRCSA-N 0 3 221.344 2.596 20 0 BFADHN CC[C@H](F)CN1C[C@H](O)C[C@@H]1c1ccccc1 ZINC000411918161 191227231 /nfs/dbraw/zinc/22/72/31/191227231.db2.gz CURGCCDIUYROJB-BFHYXJOUSA-N 0 3 237.318 2.542 20 0 BFADHN CC[C@H](F)CN1C[C@H](O)C[C@H]1c1ccccc1 ZINC000411918167 191228071 /nfs/dbraw/zinc/22/80/71/191228071.db2.gz CURGCCDIUYROJB-MJBXVCDLSA-N 0 3 237.318 2.542 20 0 BFADHN FC(F)(F)CCNCc1ccc2[nH]cnc2c1 ZINC000608071750 352670136 /nfs/dbraw/zinc/67/01/36/352670136.db2.gz ACJDIVOLORJWCD-UHFFFAOYSA-N 0 3 243.232 2.605 20 0 BFADHN FC(F)(F)CCNCc1ccc2nc[nH]c2c1 ZINC000608071750 352670140 /nfs/dbraw/zinc/67/01/40/352670140.db2.gz ACJDIVOLORJWCD-UHFFFAOYSA-N 0 3 243.232 2.605 20 0 BFADHN C[Si](C)(C)c1ccc(CN2C[C@@H]3C[C@@H]3C2)cc1 ZINC000307495785 352625366 /nfs/dbraw/zinc/62/53/66/352625366.db2.gz ITPCWUMBCCIMJJ-OKILXGFUSA-N 0 3 245.442 2.684 20 0 BFADHN CC(C)C[C@@H](C)NC(=O)C[C@H](N)c1ccccc1 ZINC000037265158 358471944 /nfs/dbraw/zinc/47/19/44/358471944.db2.gz CAZXEJRZHRKTGD-OCCSQVGLSA-N 0 3 248.370 2.627 20 0 BFADHN COc1cc(CNCCCF)c(Cl)cc1O ZINC000638757893 352691710 /nfs/dbraw/zinc/69/17/10/352691710.db2.gz UTQPCIDMQFFXLT-UHFFFAOYSA-N 0 3 247.697 2.503 20 0 BFADHN COc1ncccc1CNC1CCCCCC1 ZINC000037408168 187805716 /nfs/dbraw/zinc/80/57/16/187805716.db2.gz CFYFTFKJBVKGMJ-UHFFFAOYSA-N 0 3 234.343 2.903 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1ncccc1C)C1CC1 ZINC000411969162 191235513 /nfs/dbraw/zinc/23/55/13/191235513.db2.gz LEQHZBUICVTPTH-WFASDCNBSA-N 0 3 248.370 2.683 20 0 BFADHN CO[C@](C)([C@H](C)NCc1cnccc1C)C1CC1 ZINC000411970646 191235563 /nfs/dbraw/zinc/23/55/63/191235563.db2.gz NNNXSYFAQCXQRZ-SWLSCSKDSA-N 0 3 248.370 2.683 20 0 BFADHN CCN(CCC[C@H](C)O)Cc1occc1C ZINC000412025518 191239308 /nfs/dbraw/zinc/23/93/08/191239308.db2.gz IYDIKCOALJAEAU-LBPRGKRZSA-N 0 3 225.332 2.571 20 0 BFADHN CCN(Cc1occc1C)C[C@H]1CCCOC1 ZINC000412029839 191241700 /nfs/dbraw/zinc/24/17/00/191241700.db2.gz VKUPLBZSTNYMDT-CYBMUJFWSA-N 0 3 237.343 2.837 20 0 BFADHN Cc1cc(C)nc(NC2CC(n3cccn3)C2)c1 ZINC000638788355 352746826 /nfs/dbraw/zinc/74/68/26/352746826.db2.gz HDUJWTIPTCFTMP-UHFFFAOYSA-N 0 3 242.326 2.711 20 0 BFADHN COc1ncc(CNc2cc(C)cc(C)n2)s1 ZINC000638788658 352747630 /nfs/dbraw/zinc/74/76/30/352747630.db2.gz OIUJJOXYLKBHFL-UHFFFAOYSA-N 0 3 249.339 2.776 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2C(C)(C)C)no1 ZINC000334177483 352762621 /nfs/dbraw/zinc/76/26/21/352762621.db2.gz CIKQEFZBHJQAQO-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1ncc(CN2[C@H](C)CCC[C@H]2C)cn1 ZINC000334213708 352812479 /nfs/dbraw/zinc/81/24/79/352812479.db2.gz GBWNJYUXCVJJMQ-GHMZBOCLSA-N 0 3 219.332 2.548 20 0 BFADHN C[C@H]1CN(Cc2nc3cccnc3s2)C[C@@H]1C ZINC000334215288 352814094 /nfs/dbraw/zinc/81/40/94/352814094.db2.gz QPRKUWIUFSKFNS-UWVGGRQHSA-N 0 3 247.367 2.779 20 0 BFADHN Cc1cc(CN2CC[C@@]3(CC[C@@H](C)C3)C2)on1 ZINC000334219087 352821385 /nfs/dbraw/zinc/82/13/85/352821385.db2.gz FLDQGUHXVBSHNM-BXUZGUMPSA-N 0 3 234.343 2.995 20 0 BFADHN CCN(Cc1cnoc1C)C1CC(C)(C)C1 ZINC000412039885 191249802 /nfs/dbraw/zinc/24/98/02/191249802.db2.gz QWPMZGSRZXHDJE-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1c(CN2CC[C@]3(CC[C@H](C)C3)C2)cnn1C ZINC000334189634 352779731 /nfs/dbraw/zinc/77/97/31/352779731.db2.gz IYOPYLFGJSQYKN-WFASDCNBSA-N 0 3 247.386 2.741 20 0 BFADHN COc1ncccc1CN1CC(C)(C)C[C@H]1C ZINC000412039333 191250497 /nfs/dbraw/zinc/25/04/97/191250497.db2.gz JLTGSOPOPYVKOT-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ccoc1CN1CCC(c2c[nH]cn2)CC1 ZINC000334190473 352781331 /nfs/dbraw/zinc/78/13/31/352781331.db2.gz IFBLDBRTSMWAFH-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN Fc1cccc2c1CN(C[C@@H]1CCCOC1)CC2 ZINC000334195108 352787363 /nfs/dbraw/zinc/78/73/63/352787363.db2.gz KGDNQOADXWJIGK-LBPRGKRZSA-N 0 3 249.329 2.610 20 0 BFADHN CC(C)N(Cc1ccco1)C[C@@H]1CCCO1 ZINC000299230993 187865512 /nfs/dbraw/zinc/86/55/12/187865512.db2.gz PPJKXBKTYWXARB-ZDUSSCGKSA-N 0 3 223.316 2.669 20 0 BFADHN Cc1sccc1CN(C)[C@H]1CCCOC1 ZINC000334241625 352829532 /nfs/dbraw/zinc/82/95/32/352829532.db2.gz PRIIVDGXHFGGAK-LBPRGKRZSA-N 0 3 225.357 2.667 20 0 BFADHN CC[C@H]1CCCN(Cc2cnc(C)nc2)CC1 ZINC000334210430 352807865 /nfs/dbraw/zinc/80/78/65/352807865.db2.gz YJTPPWAIPHKXBW-ZDUSSCGKSA-N 0 3 233.359 2.797 20 0 BFADHN CC(C)[C@@H]1CC[C@@H](C)C[C@H]1NCc1ncc[nH]1 ZINC000049715125 187868981 /nfs/dbraw/zinc/86/89/81/187868981.db2.gz IXTSRQMDXODXGL-FRRDWIJNSA-N 0 3 235.375 2.960 20 0 BFADHN Cc1cnc(CN(CC2CCC2)C(C)C)n1C ZINC000342858075 352877304 /nfs/dbraw/zinc/87/73/04/352877304.db2.gz NEASVDCNHGSDQO-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN COc1cc(CN2CCC[C@H](C)[C@@H]2C)ccn1 ZINC000342858143 352877362 /nfs/dbraw/zinc/87/73/62/352877362.db2.gz ASUODPGVMAMMCU-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cc(CN2CCC[C@H](C)[C@H]2C)ccn1 ZINC000342858142 352877541 /nfs/dbraw/zinc/87/75/41/352877541.db2.gz ASUODPGVMAMMCU-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1cccn2c(CN3CC[C@@H]3C(C)C)cnc12 ZINC000342858404 352877777 /nfs/dbraw/zinc/87/77/77/352877777.db2.gz YVWRRSXQFFIUIT-CQSZACIVSA-N 0 3 243.354 2.873 20 0 BFADHN COc1cccc(CN2CC[C@@H]2C(C)C)c1 ZINC000342850623 352874045 /nfs/dbraw/zinc/87/40/45/352874045.db2.gz NWCMTKZQPPWHCU-CQSZACIVSA-N 0 3 219.328 2.926 20 0 BFADHN C[C@@H]1CN(Cc2cc3ccccc3[nH]c2=O)[C@@H]1C ZINC000334270700 352907278 /nfs/dbraw/zinc/90/72/78/352907278.db2.gz SWNVYODWLTVMPI-GHMZBOCLSA-N 0 3 242.322 2.781 20 0 BFADHN Cc1nccc(CN2CC[C@H](C)C[C@@H](C)C2)n1 ZINC000334298468 352918805 /nfs/dbraw/zinc/91/88/05/352918805.db2.gz OBFQHIAZNWYQBE-NWDGAFQWSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]2[C@H]2CCCO2)cn1 ZINC000334282142 352922839 /nfs/dbraw/zinc/92/28/39/352922839.db2.gz TUGFOUWWKKOLTP-HUUCEWRRSA-N 0 3 246.354 2.533 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cccc2c1OCCO2 ZINC000342863172 352880176 /nfs/dbraw/zinc/88/01/76/352880176.db2.gz VZIDBLDRNAGBGB-ZDUSSCGKSA-N 0 3 247.338 2.688 20 0 BFADHN CCCn1cc(CN2CC[C@H]2C(C)C)cn1 ZINC000342863055 352880228 /nfs/dbraw/zinc/88/02/28/352880228.db2.gz VVBFEUCMTHDYSF-ZDUSSCGKSA-N 0 3 221.348 2.523 20 0 BFADHN COc1ccc(CN2CC[C@@H]2C(C)C)cc1OC ZINC000342863250 352880286 /nfs/dbraw/zinc/88/02/86/352880286.db2.gz WXUSBCMYXKKRSC-CYBMUJFWSA-N 0 3 249.354 2.934 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cccc2c1OCO2 ZINC000342862683 352880781 /nfs/dbraw/zinc/88/07/81/352880781.db2.gz UNAJSWHSANRYTG-LBPRGKRZSA-N 0 3 233.311 2.646 20 0 BFADHN COC(=O)c1ccc(CN2CC[C@H]2C(C)C)cc1 ZINC000342864019 352881330 /nfs/dbraw/zinc/88/13/30/352881330.db2.gz ZCWPUORSUTVMEP-AWEZNQCLSA-N 0 3 247.338 2.704 20 0 BFADHN Cc1cccc2ncc(CN3CC[C@H]3C(C)C)n21 ZINC000342863528 352882021 /nfs/dbraw/zinc/88/20/21/352882021.db2.gz YCOCZPVNXBVGRL-AWEZNQCLSA-N 0 3 243.354 2.873 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@]2(CC[C@H](C)C2)C1 ZINC000334315386 352947021 /nfs/dbraw/zinc/94/70/21/352947021.db2.gz QROYVUQOIZCNCM-WFASDCNBSA-N 0 3 247.386 2.741 20 0 BFADHN COc1ccc(CN2CCC23CCC3)cc1 ZINC000334319549 352950486 /nfs/dbraw/zinc/95/04/86/352950486.db2.gz UKGNCFQEKVYHRY-UHFFFAOYSA-N 0 3 217.312 2.824 20 0 BFADHN CCc1cnc(CNC[C@H]2CC2(C)C)s1 ZINC000336748439 352957368 /nfs/dbraw/zinc/95/73/68/352957368.db2.gz CRXUQPPVFCMSNR-SECBINFHSA-N 0 3 224.373 2.841 20 0 BFADHN Cc1ccsc1CCNCc1ccns1 ZINC000638835962 353021874 /nfs/dbraw/zinc/02/18/74/353021874.db2.gz OLMAAZBTARWRGW-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@@H](C)C[C@@H](C)O)s1 ZINC000164505536 353065109 /nfs/dbraw/zinc/06/51/09/353065109.db2.gz QJCLHIXNYAKGIQ-YIZRAAEISA-N 0 3 242.388 2.570 20 0 BFADHN C[C@H](NC1CC=CC1)c1ccc(F)cn1 ZINC000092767744 538107685 /nfs/dbraw/zinc/10/76/85/538107685.db2.gz POFZXYMGDWBXOQ-VIFPVBQESA-N 0 3 206.264 2.590 20 0 BFADHN C[C@H]1CCN(CC2CCSCC2)C[C@H]1F ZINC000638909877 353066983 /nfs/dbraw/zinc/06/69/83/353066983.db2.gz KBOCUACSGTVVHM-CMPLNLGQSA-N 0 3 231.380 2.810 20 0 BFADHN Cn1c(CN2CC=CCC2)cc2ccccc21 ZINC000638915056 353074307 /nfs/dbraw/zinc/07/43/07/353074307.db2.gz YFUYRBPQYLKHBP-UHFFFAOYSA-N 0 3 226.323 2.940 20 0 BFADHN Cc1nn(C)cc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC000334429846 353104552 /nfs/dbraw/zinc/10/45/52/353104552.db2.gz ZJNGGIBUGDREHP-HIFRSBDPSA-N 0 3 247.386 2.883 20 0 BFADHN CC[C@](C)(CN(C)[C@H](C)c1ccccn1)OC ZINC000638923773 353084377 /nfs/dbraw/zinc/08/43/77/353084377.db2.gz ZVYRUNYEFLUGAS-TZMCWYRMSA-N 0 3 236.359 2.890 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@H](C)C2)cc1F ZINC000353851898 188061986 /nfs/dbraw/zinc/06/19/86/188061986.db2.gz AUPSFQPORXCNOA-PHIMTYICSA-N 0 3 237.318 2.922 20 0 BFADHN CCCc1cccc(CN2CCN(CC)CC2)c1 ZINC000360670185 188069521 /nfs/dbraw/zinc/06/95/21/188069521.db2.gz UONVZAJYQYZKJF-UHFFFAOYSA-N 0 3 246.398 2.777 20 0 BFADHN CCCc1cccc(CN(C)[C@H]2CCOC2)c1 ZINC000360898258 188070333 /nfs/dbraw/zinc/07/03/33/188070333.db2.gz SUKRGHHMUKEMFA-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN COC1(CNCc2cncs2)CCCCC1 ZINC000187439961 188104061 /nfs/dbraw/zinc/10/40/61/188104061.db2.gz NHZNKVMGGFJPIM-UHFFFAOYSA-N 0 3 240.372 2.582 20 0 BFADHN CSc1ccc(CN(C)CCCCO)cc1 ZINC000259047530 188087272 /nfs/dbraw/zinc/08/72/72/188087272.db2.gz QAYVHWWNRBNGNF-UHFFFAOYSA-N 0 3 239.384 2.613 20 0 BFADHN COc1ccc([C@@H](C)NCC2CC2)c(F)c1 ZINC000037187314 188113337 /nfs/dbraw/zinc/11/33/37/188113337.db2.gz QZJVDZNIUGWWLR-SECBINFHSA-N 0 3 223.291 2.895 20 0 BFADHN Cc1cc(N)cc(NC(=O)C(C)C(F)(F)F)c1 ZINC000638984972 353209927 /nfs/dbraw/zinc/20/99/27/353209927.db2.gz QTMYPHMJYQAYQY-SSDOTTSWSA-N 0 3 246.232 2.714 20 0 BFADHN c1cn2cc(CNC[C@H]3CC=CCC3)nc2s1 ZINC000134435141 188156321 /nfs/dbraw/zinc/15/63/21/188156321.db2.gz BGKGNXVMIMVQTP-NSHDSACASA-N 0 3 247.367 2.842 20 0 BFADHN c1coc(CN[C@@H]2[C@H]3CCO[C@@H]3C23CCCC3)c1 ZINC000168905596 188161503 /nfs/dbraw/zinc/16/15/03/188161503.db2.gz DSBKBTWDAOTHAP-MCIONIFRSA-N 0 3 247.338 2.717 20 0 BFADHN COc1ccc(CN(C)CCC2CC2)cc1O ZINC000177215297 188165544 /nfs/dbraw/zinc/16/55/44/188165544.db2.gz CUFYTFPBIKLTIF-UHFFFAOYSA-N 0 3 235.327 2.633 20 0 BFADHN COc1ccc([C@H](C)N(C)C[C@@H](C)OC)cc1 ZINC000182003078 188168012 /nfs/dbraw/zinc/16/80/12/188168012.db2.gz FIDFDXJOZUUDCE-NEPJUHHUSA-N 0 3 237.343 2.723 20 0 BFADHN COc1ccc(CN[C@H]2CC[C@H]2SC)cc1 ZINC000309841360 188170229 /nfs/dbraw/zinc/17/02/29/188170229.db2.gz DJBXOIRBVAAOJD-QWHCGFSZSA-N 0 3 237.368 2.679 20 0 BFADHN CC1(C)OCc2cc(CN3CCCC3)ccc2O1 ZINC000186588916 188171245 /nfs/dbraw/zinc/17/12/45/188171245.db2.gz IGEMYPMDXBFJOP-UHFFFAOYSA-N 0 3 247.338 2.928 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@H](C)C2)nc1 ZINC000272087169 188199281 /nfs/dbraw/zinc/19/92/81/188199281.db2.gz IJIKDJDDMOEORZ-TXEJJXNPSA-N 0 3 234.343 2.568 20 0 BFADHN C=Cn1cc(CN2CCC[C@@H](C)CC2)cn1 ZINC000193808929 188175715 /nfs/dbraw/zinc/17/57/15/188175715.db2.gz BLPBEVFHXIPRMC-GFCCVEGCSA-N 0 3 219.332 2.606 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NCCc1ccsc1 ZINC000134547015 538112918 /nfs/dbraw/zinc/11/29/18/538112918.db2.gz PSBCNSJLMSSDNC-SECBINFHSA-N 0 3 235.356 2.673 20 0 BFADHN CC(C)C[C@H](C)CN1CCO[C@H](C)[C@H]1C ZINC000337157926 188185134 /nfs/dbraw/zinc/18/51/34/188185134.db2.gz QFOBUAGCMITTML-YNEHKIRRSA-N 0 3 213.365 2.778 20 0 BFADHN c1cc(CNC[C@H]2CCC=CO2)cs1 ZINC000063152508 188185423 /nfs/dbraw/zinc/18/54/23/188185423.db2.gz UWMOTZWFPOESIF-LLVKDONJSA-N 0 3 209.314 2.530 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@H](C)[C@H]2C)nc1 ZINC000338363121 188188545 /nfs/dbraw/zinc/18/85/45/188188545.db2.gz OORHRIMVVQTNPX-FRRDWIJNSA-N 0 3 248.370 2.957 20 0 BFADHN CO[C@@H](C)CN(C)CCSc1ccccc1 ZINC000338216511 188188809 /nfs/dbraw/zinc/18/88/09/188188809.db2.gz OSKCZCOPCOZZKT-LBPRGKRZSA-N 0 3 239.384 2.745 20 0 BFADHN C(C1CCC1)[C@H]1COCCN1CC1CCC1 ZINC000339776741 188192345 /nfs/dbraw/zinc/19/23/45/188192345.db2.gz MAHSSPJCZWDDHE-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN CC(C)=CCC[C@H](C)[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000294821208 188213449 /nfs/dbraw/zinc/21/34/49/188213449.db2.gz OVMWPMHPNWIDMP-NSHDSACASA-N 0 3 248.374 2.907 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1nnc(C2CC2)[nH]1 ZINC000294821208 188213451 /nfs/dbraw/zinc/21/34/51/188213451.db2.gz OVMWPMHPNWIDMP-NSHDSACASA-N 0 3 248.374 2.907 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@@H]1C=CCCC1 ZINC000341397669 188218090 /nfs/dbraw/zinc/21/80/90/188218090.db2.gz HPHXHNRZXNWWKL-CQSZACIVSA-N 0 3 233.359 2.971 20 0 BFADHN CC(C)(C)[C@@H]1CCN(Cc2ccco2)C[C@@H]1O ZINC000273454414 188200516 /nfs/dbraw/zinc/20/05/16/188200516.db2.gz ZOZFUEZEHFDSJD-OLZOCXBDSA-N 0 3 237.343 2.509 20 0 BFADHN C/C=C/C[C@H](CO)NCc1cc(C)ccc1F ZINC000274406825 188200707 /nfs/dbraw/zinc/20/07/07/188200707.db2.gz CJRLTOFOEDFADW-ITDFMYJTSA-N 0 3 237.318 2.551 20 0 BFADHN CCC[C@H](CCO)CN[C@H](C)c1ccoc1 ZINC000122059747 324028859 /nfs/dbraw/zinc/02/88/59/324028859.db2.gz OULXCTQULGNCQX-VXGBXAGGSA-N 0 3 225.332 2.729 20 0 BFADHN CC(C)N(Cc1cncc(F)c1)C(C)C ZINC000280250045 188205390 /nfs/dbraw/zinc/20/53/90/188205390.db2.gz OHHJRSDVDFALRJ-UHFFFAOYSA-N 0 3 210.296 2.840 20 0 BFADHN CC(C)=CCNC[C@@H](O)c1cc(C)cc(C)c1 ZINC000282940155 188206879 /nfs/dbraw/zinc/20/68/79/188206879.db2.gz IQZMZSVHQCIEFM-OAHLLOKOSA-N 0 3 233.355 2.893 20 0 BFADHN Cc1ccc(CN[C@H](C)c2c[nH]nc2C)s1 ZINC000134549111 538113146 /nfs/dbraw/zinc/11/31/46/538113146.db2.gz GBUDPRXEWWRJAI-SECBINFHSA-N 0 3 235.356 2.939 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2c[nH]nc2C)s1 ZINC000134549088 538113189 /nfs/dbraw/zinc/11/31/89/538113189.db2.gz GBUDPRXEWWRJAI-VIFPVBQESA-N 0 3 235.356 2.939 20 0 BFADHN CC(C)=CCN1CC[C@H](OCc2ccncc2)C1 ZINC000287672214 188209300 /nfs/dbraw/zinc/20/93/00/188209300.db2.gz HQLSKJKSYYWJGA-HNNXBMFYSA-N 0 3 246.354 2.639 20 0 BFADHN COc1ccncc1CN1CCCC[C@H](C)C1 ZINC000287909777 188209661 /nfs/dbraw/zinc/20/96/61/188209661.db2.gz VJVXFLDRRNKAEK-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN FCCCCNCc1cc(F)c(F)c(F)c1 ZINC000309299822 180926572 /nfs/dbraw/zinc/92/65/72/180926572.db2.gz XZWUJIWJPXNFAJ-UHFFFAOYSA-N 0 3 235.224 2.943 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(C)CC2(O)CCC2)o1 ZINC000343273409 353301584 /nfs/dbraw/zinc/30/15/84/353301584.db2.gz IOYQGPFNHKZHEU-YPMHNXCESA-N 0 3 249.354 2.750 20 0 BFADHN CC[C@@](C)(CN(C)[C@H](C)c1cccnc1)OC ZINC000639040901 353318852 /nfs/dbraw/zinc/31/88/52/353318852.db2.gz PAAWQAGPMGNPSD-OCCSQVGLSA-N 0 3 236.359 2.890 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCC[C@@H]1CCO1 ZINC000639040177 353322459 /nfs/dbraw/zinc/32/24/59/353322459.db2.gz CTAQJLFWSGNZTN-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN CCC[C@@H](CN(C)[C@H](C)c1cccnc1)OC ZINC000639041611 353327568 /nfs/dbraw/zinc/32/75/68/353327568.db2.gz XOHOOGAGCJASRH-OCCSQVGLSA-N 0 3 236.359 2.890 20 0 BFADHN COc1cncc(CN2CCCC3(CC3)C2)c1C ZINC000639057675 353363123 /nfs/dbraw/zinc/36/31/23/353363123.db2.gz TYIGCPUELAJVGT-UHFFFAOYSA-N 0 3 246.354 2.775 20 0 BFADHN CCC(C)(C)CN[C@H](C)c1nccn1C ZINC000189993525 353342406 /nfs/dbraw/zinc/34/24/06/353342406.db2.gz AKBHJVLQMJEDKJ-SNVBAGLBSA-N 0 3 209.337 2.507 20 0 BFADHN Fc1c(Cl)cccc1CNC[C@@H]1CCOC1 ZINC000131121081 180946120 /nfs/dbraw/zinc/94/61/20/180946120.db2.gz GCUHDHURYIGJEL-VIFPVBQESA-N 0 3 243.709 2.605 20 0 BFADHN CCc1c(C)nc2ccccc2c1N[C@H](C)CO ZINC000165414150 353352652 /nfs/dbraw/zinc/35/26/52/353352652.db2.gz PHQKBOAQRMWHHD-SNVBAGLBSA-N 0 3 244.338 2.898 20 0 BFADHN C[C@@H]1CCCCN([C@H](c2nccn2C)C2CC2)C1 ZINC000639060411 353373808 /nfs/dbraw/zinc/37/38/08/353373808.db2.gz PJHUZQPTUBDQPJ-OCCSQVGLSA-N 0 3 247.386 2.993 20 0 BFADHN CCC1(NCc2nn(C)c3ccccc23)CCC1 ZINC000135621428 538116091 /nfs/dbraw/zinc/11/60/91/538116091.db2.gz SGSGOLJBMTVKJC-UHFFFAOYSA-N 0 3 243.354 2.996 20 0 BFADHN Cc1scc(CN(C)CC2(CO)CC2)c1C ZINC000639085228 353423480 /nfs/dbraw/zinc/42/34/80/353423480.db2.gz DLGXLLLBKZNQIZ-UHFFFAOYSA-N 0 3 239.384 2.569 20 0 BFADHN Cc1nc(CCN2CC[C@@H](C)[C@@H](C)C2)cs1 ZINC000348127714 366088494 /nfs/dbraw/zinc/08/84/94/366088494.db2.gz NDLJTXCKPOWHSE-MNOVXSKESA-N 0 3 238.400 2.972 20 0 BFADHN CO[C@@H](C)CN(Cc1cccnc1C)C(C)C ZINC000353794013 353457615 /nfs/dbraw/zinc/45/76/15/353457615.db2.gz KDJBUQOKGAUCNI-LBPRGKRZSA-N 0 3 236.359 2.635 20 0 BFADHN C[C@@H]1CN(CC2CCSCC2)[C@@H](C)[C@@H](C)O1 ZINC000639086750 353428760 /nfs/dbraw/zinc/42/87/60/353428760.db2.gz ZMOLBTIDWMNUBS-GRYCIOLGSA-N 0 3 243.416 2.627 20 0 BFADHN CC(C)c1cc(CNC[C@H]2C[C@@H]2C)on1 ZINC000308990745 491051113 /nfs/dbraw/zinc/05/11/13/491051113.db2.gz LCHRSUGSRQSRGE-VHSXEESVSA-N 0 3 208.305 2.544 20 0 BFADHN Fc1ccc(CNCCc2ccncc2)c(F)c1 ZINC000048261194 180969801 /nfs/dbraw/zinc/96/98/01/180969801.db2.gz RIGSWVAZEJHMPS-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1ccc(OC)nn1 ZINC000282580185 353477280 /nfs/dbraw/zinc/47/72/80/353477280.db2.gz VXQLNQUMGSFWOV-YPMHNXCESA-N 0 3 249.358 2.544 20 0 BFADHN CC1(C)CCC[C@@H](CNCc2cocn2)C1 ZINC000336778177 353506518 /nfs/dbraw/zinc/50/65/18/353506518.db2.gz KNZIXECSJLCEKT-LLVKDONJSA-N 0 3 222.332 2.981 20 0 BFADHN Fc1ccc(F)c(CN[C@@H]2CC[C@H](F)C2)c1 ZINC000309505388 180973995 /nfs/dbraw/zinc/97/39/95/180973995.db2.gz MWYIJEASPNJKTR-WDEREUQCSA-N 0 3 229.245 2.945 20 0 BFADHN CC(C)OCCNCc1cccc2cc[nH]c21 ZINC000230691331 353522360 /nfs/dbraw/zinc/52/23/60/353522360.db2.gz GCMPGLYIPSOMBR-UHFFFAOYSA-N 0 3 232.327 2.683 20 0 BFADHN CCc1nc(C)c(CNC2CCCCC2)o1 ZINC000336779186 353539937 /nfs/dbraw/zinc/53/99/37/353539937.db2.gz NKROYYKGNBJCBM-UHFFFAOYSA-N 0 3 222.332 2.968 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CCC2(C)C)o1 ZINC000336777795 353504643 /nfs/dbraw/zinc/50/46/43/353504643.db2.gz JOKKQFLJXBZMOW-NSHDSACASA-N 0 3 222.332 2.824 20 0 BFADHN FC1(CNCCc2cccc(Cl)c2)CC1 ZINC000308877737 353587160 /nfs/dbraw/zinc/58/71/60/353587160.db2.gz OCVGDISCTWANHA-UHFFFAOYSA-N 0 3 227.710 2.974 20 0 BFADHN CC(C)=CCNC[C@H](O)c1ccc(C)cc1 ZINC000192293762 188436348 /nfs/dbraw/zinc/43/63/48/188436348.db2.gz YJXIHUMPNSODFH-AWEZNQCLSA-N 0 3 219.328 2.584 20 0 BFADHN c1cc(CN2CC(C3CCC3)C2)nc2c1CCC2 ZINC000334513824 353571522 /nfs/dbraw/zinc/57/15/22/353571522.db2.gz VVPBDZVTUPPBBW-UHFFFAOYSA-N 0 3 242.366 2.802 20 0 BFADHN Cc1nnc(CN[C@]2(C)CCCC[C@H]2C)s1 ZINC000334516237 353622640 /nfs/dbraw/zinc/62/26/40/353622640.db2.gz DFGHPJUUNXRSII-BXKDBHETSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1noc(C)c1CN1CC(C2CCCC2)C1 ZINC000334516876 353622994 /nfs/dbraw/zinc/62/29/94/353622994.db2.gz SVEUKIJGTHJRJZ-UHFFFAOYSA-N 0 3 234.343 2.913 20 0 BFADHN Cc1cnc(CN[C@@]2(C)CCCC[C@H]2C)nc1 ZINC000334516812 353632838 /nfs/dbraw/zinc/63/28/38/353632838.db2.gz QPTAOTWFLMIAEA-OCCSQVGLSA-N 0 3 233.359 2.843 20 0 BFADHN CCC[C@H](CCO)CN[C@@H](C)c1ccccn1 ZINC000231634901 353635138 /nfs/dbraw/zinc/63/51/38/353635138.db2.gz BGOVZQKEARLBTB-QWHCGFSZSA-N 0 3 236.359 2.531 20 0 BFADHN Cc1nn(C)c(C)c1CN1CC(C2CCCC2)C1 ZINC000334518399 353653411 /nfs/dbraw/zinc/65/34/11/353653411.db2.gz QXGPIKKXRBVZTB-UHFFFAOYSA-N 0 3 247.386 2.659 20 0 BFADHN Fc1ccc2c(c1)CC[C@H]2NCCOCC1CC1 ZINC000223377947 180987502 /nfs/dbraw/zinc/98/75/02/180987502.db2.gz HWFUESSBWUVIMI-OAHLLOKOSA-N 0 3 249.329 2.829 20 0 BFADHN Cc1ccnc(CN[C@]2(C)CCCC[C@@H]2C)n1 ZINC000334516377 353613862 /nfs/dbraw/zinc/61/38/62/353613862.db2.gz IEEQWRUZFXZCQV-SMDDNHRTSA-N 0 3 233.359 2.843 20 0 BFADHN CCOc1ccc([C@@H]2CCCN2CCOC)cc1 ZINC000053402909 363248368 /nfs/dbraw/zinc/24/83/68/363248368.db2.gz QDHCLRVSCOQDQK-HNNXBMFYSA-N 0 3 249.354 2.869 20 0 BFADHN CO[C@@H](C)[C@@H](C)Nc1ccnc2ccc(C)cc21 ZINC000577908890 366100279 /nfs/dbraw/zinc/10/02/79/366100279.db2.gz QBXLBMBQLYQWOJ-NEPJUHHUSA-N 0 3 244.338 2.800 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@H]1CC[C@@H](C)O1 ZINC000227047444 491054351 /nfs/dbraw/zinc/05/43/51/491054351.db2.gz FKZFONCLFLWSQS-VDDIYKPWSA-N 0 3 238.331 2.510 20 0 BFADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1ccn(C)n1 ZINC000353807803 353711109 /nfs/dbraw/zinc/71/11/09/353711109.db2.gz RQVWQHJJFXNSKQ-OCCSQVGLSA-N 0 3 235.375 2.821 20 0 BFADHN Fc1cccc(F)c1-c1n[nH]cc1CNC1CC1 ZINC000093296150 181000752 /nfs/dbraw/zinc/00/07/52/181000752.db2.gz LYYRMRWOYXCUSA-UHFFFAOYSA-N 0 3 249.264 2.607 20 0 BFADHN Fc1ccccc1CNC[C@H]1CCC=CO1 ZINC000050267385 181012514 /nfs/dbraw/zinc/01/25/14/181012514.db2.gz NZQMKNZVGNIMQG-GFCCVEGCSA-N 0 3 221.275 2.608 20 0 BFADHN CC[C@@](C)(CN1CCO[C@@H](C(C)(C)C)C1)OC ZINC000639118846 353759980 /nfs/dbraw/zinc/75/99/80/353759980.db2.gz LVYWBGVMEVRFBH-OCCSQVGLSA-N 0 3 243.391 2.548 20 0 BFADHN COc1cccc(C)c1CN1[C@H](C)C[C@H]1C ZINC000639122192 353770043 /nfs/dbraw/zinc/77/00/43/353770043.db2.gz ZTPWPPSDFZRWGT-VXGBXAGGSA-N 0 3 219.328 2.986 20 0 BFADHN COc1cc2c(cc1OC)[C@@H](N1CC(C)C1)CC2 ZINC000639122487 353777035 /nfs/dbraw/zinc/77/70/35/353777035.db2.gz BEWWMNXTDDWHCY-ZDUSSCGKSA-N 0 3 247.338 2.643 20 0 BFADHN Fc1ccccc1C1CN(CC[C@@H]2CCOC2)C1 ZINC000361253475 181010798 /nfs/dbraw/zinc/01/07/98/181010798.db2.gz SOZXFCTYNWFJNO-GFCCVEGCSA-N 0 3 249.329 2.652 20 0 BFADHN Fc1ccccc1CCNCc1cncs1 ZINC000054769944 181011591 /nfs/dbraw/zinc/01/15/91/181011591.db2.gz KQTDCVUSUIPBQO-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN Fc1cncc(CN2CC[C@@H](CC3CC3)C2)c1 ZINC000339674682 181019234 /nfs/dbraw/zinc/01/92/34/181019234.db2.gz MFQODEKUIDPURJ-LBPRGKRZSA-N 0 3 234.318 2.843 20 0 BFADHN Fc1cncc(CN2CC3CCC2CC3)c1 ZINC000376019550 181019247 /nfs/dbraw/zinc/01/92/47/181019247.db2.gz AXKHTUGYMPWJFQ-UHFFFAOYSA-N 0 3 220.291 2.595 20 0 BFADHN Fc1cnccc1CN1CCC[C@H]2CCC[C@H]21 ZINC000336221776 181020493 /nfs/dbraw/zinc/02/04/93/181020493.db2.gz HFESFVCOVAEKLJ-BXUZGUMPSA-N 0 3 234.318 2.985 20 0 BFADHN Fc1cnccc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000336221785 181020849 /nfs/dbraw/zinc/02/08/49/181020849.db2.gz HFESFVCOVAEKLJ-SMDDNHRTSA-N 0 3 234.318 2.985 20 0 BFADHN C[C@@]1(CNCCF)C[C@H]1c1ccccc1 ZINC000639133195 353842298 /nfs/dbraw/zinc/84/22/98/353842298.db2.gz JCMSDMPDSVDUSX-STQMWFEESA-N 0 3 207.292 2.739 20 0 BFADHN CC1=CCCN(Cc2ccc3nccnc3c2)C1 ZINC000639133705 353843470 /nfs/dbraw/zinc/84/34/70/353843470.db2.gz WLFNBIJAEFJKIB-UHFFFAOYSA-N 0 3 239.322 2.782 20 0 BFADHN CC1=C[C@H](C)CN([C@@H](c2nccn2C)C2CC2)C1 ZINC000639131633 353849142 /nfs/dbraw/zinc/84/91/42/353849142.db2.gz WPMGRWXHMJMUMD-SMDDNHRTSA-N 0 3 245.370 2.769 20 0 BFADHN C[C@H](c1ccco1)N1CCC[C@]2(CCOC2)C1 ZINC000334531870 353910512 /nfs/dbraw/zinc/91/05/12/353910512.db2.gz XETSSDZWLZQYME-OCCSQVGLSA-N 0 3 235.327 2.843 20 0 BFADHN CC1(C)C[C@H]1NCc1cc(-c2ccccc2)n[nH]1 ZINC000343636225 353949512 /nfs/dbraw/zinc/94/95/12/353949512.db2.gz IJLRQZCGUYNRKO-CQSZACIVSA-N 0 3 241.338 2.965 20 0 BFADHN Cc1nn(C(C)C)cc1CN(C)CC(C)C ZINC000343519790 353926487 /nfs/dbraw/zinc/92/64/87/353926487.db2.gz AKCKAUAYLNJSKM-UHFFFAOYSA-N 0 3 223.364 2.860 20 0 BFADHN CCN(Cc1cn(C(C)C)nc1C)C1CC1 ZINC000343531045 353929750 /nfs/dbraw/zinc/92/97/50/353929750.db2.gz FWSXAXYKGQRFSA-UHFFFAOYSA-N 0 3 221.348 2.757 20 0 BFADHN CC[C@@H](NC[C@@H](O)C(F)F)c1cccc(C)c1 ZINC000336682567 188523534 /nfs/dbraw/zinc/52/35/34/188523534.db2.gz CDXZFCUQEVOAEB-VXGBXAGGSA-N 0 3 243.297 2.662 20 0 BFADHN CC[C@H](C)[C@H](C)NC(=O)[C@H](CC)N(CC)CC ZINC000343573421 353937318 /nfs/dbraw/zinc/93/73/18/353937318.db2.gz ZGIGXCCFUYTHAC-AVGNSLFASA-N 0 3 242.407 2.658 20 0 BFADHN CC[C@H](C)[C@@H](C)NC(=O)[C@H](CC)N(CC)CC ZINC000343573425 353937404 /nfs/dbraw/zinc/93/74/04/353937404.db2.gz ZGIGXCCFUYTHAC-XQQFMLRXSA-N 0 3 242.407 2.658 20 0 BFADHN CCc1nc(C)c(CN2C[C@@H](C)C[C@H]2C)o1 ZINC000334540326 353974111 /nfs/dbraw/zinc/97/41/11/353974111.db2.gz RJGQPNWSZVNNGA-VHSXEESVSA-N 0 3 222.332 2.776 20 0 BFADHN Cc1nnc([C@@H](C)N2CC[C@H](C(C)(C)C)C2)[nH]1 ZINC000334542941 353978084 /nfs/dbraw/zinc/97/80/84/353978084.db2.gz ZRWIEDRWWJTLFK-KOLCDFICSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@@H](C)[N@@H+]2CC[C@H](C(C)(C)C)C2)[n-]1 ZINC000334542941 353978085 /nfs/dbraw/zinc/97/80/85/353978085.db2.gz ZRWIEDRWWJTLFK-KOLCDFICSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@@H](C)[N@H+]2CC[C@H](C(C)(C)C)C2)[n-]1 ZINC000334542941 353978086 /nfs/dbraw/zinc/97/80/86/353978086.db2.gz ZRWIEDRWWJTLFK-KOLCDFICSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H](C)C(C)C ZINC000086109802 491057496 /nfs/dbraw/zinc/05/74/96/491057496.db2.gz RGUPPQFBGJNTHL-IUCAKERBSA-N 0 3 210.321 2.987 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@H](C)C(C)C ZINC000086109803 491057505 /nfs/dbraw/zinc/05/75/05/491057505.db2.gz RGUPPQFBGJNTHL-RKDXNWHRSA-N 0 3 210.321 2.987 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NC1CC2(CCC2)C1 ZINC000334553631 353996509 /nfs/dbraw/zinc/99/65/09/353996509.db2.gz HIDXCHJKCXZESF-GFCCVEGCSA-N 0 3 231.343 2.709 20 0 BFADHN Cc1nc([C@H](C)N2CCC3(CCCC3)CC2)n[nH]1 ZINC000334557742 354005358 /nfs/dbraw/zinc/00/53/58/354005358.db2.gz PHLMWWBFEVCDLF-NSHDSACASA-N 0 3 248.374 2.830 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1cnccn1 ZINC000334558879 354007521 /nfs/dbraw/zinc/00/75/21/354007521.db2.gz GYIBXRHELKUZMY-DGCLKSJQSA-N 0 3 233.359 2.781 20 0 BFADHN COc1cncc(CN2C[C@@H](C)CC2(C)C)c1 ZINC000343808668 354010983 /nfs/dbraw/zinc/01/09/83/354010983.db2.gz IHPAJPDIULXGMY-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN2C[C@H](C)C[C@H](C)[C@H]2C)c1 ZINC000343919865 354042433 /nfs/dbraw/zinc/04/24/33/354042433.db2.gz IEKLJJFXSDADQI-FRRDWIJNSA-N 0 3 248.370 2.957 20 0 BFADHN COc1cncc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)c1 ZINC000343919863 354042500 /nfs/dbraw/zinc/04/25/00/354042500.db2.gz IEKLJJFXSDADQI-AGIUHOORSA-N 0 3 248.370 2.957 20 0 BFADHN COC[C@H](NC1CC(C)C1)c1ccc(F)cc1 ZINC000343853447 354022664 /nfs/dbraw/zinc/02/26/64/354022664.db2.gz ICGCSMROYBUOSQ-DBRPNBKGSA-N 0 3 237.318 2.901 20 0 BFADHN Cc1nc2ccccc2nc1CN[C@@H]1CC[C@@H]1C ZINC000639166696 354026286 /nfs/dbraw/zinc/02/62/86/354026286.db2.gz QPGRLOLJSOYJHQ-CMPLNLGQSA-N 0 3 241.338 2.826 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2cscn2)C1 ZINC000230403520 363307317 /nfs/dbraw/zinc/30/73/17/363307317.db2.gz KKGIRXSSRUKFIL-ZJUUUORDSA-N 0 3 210.346 2.669 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2cscn2)C1 ZINC000230403519 363307326 /nfs/dbraw/zinc/30/73/26/363307326.db2.gz KKGIRXSSRUKFIL-VHSXEESVSA-N 0 3 210.346 2.669 20 0 BFADHN CC1(C)SC[C@H]1NCc1ccc2c(n1)CCC2 ZINC000334565984 354087013 /nfs/dbraw/zinc/08/70/13/354087013.db2.gz WUIOQHDBXOWZSB-CYBMUJFWSA-N 0 3 248.395 2.554 20 0 BFADHN COc1cccc(C)c1CN(C)[C@H]1CCCOC1 ZINC000639168051 354043758 /nfs/dbraw/zinc/04/37/58/354043758.db2.gz AAVIYPZNOVEXGZ-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN C/C=C(\C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000267617220 188552000 /nfs/dbraw/zinc/55/20/00/188552000.db2.gz YIMLKKQQPKULCB-VZUCSPMQSA-N 0 3 232.327 2.653 20 0 BFADHN COc1cncc(CN2CC[C@@H](C(C)C)C2)c1 ZINC000343888481 354051389 /nfs/dbraw/zinc/05/13/89/354051389.db2.gz XITJVOSZKUTPBJ-CYBMUJFWSA-N 0 3 234.343 2.568 20 0 BFADHN CCN(Cc1ccncc1)C[C@](C)(CC)OC ZINC000639167419 354051922 /nfs/dbraw/zinc/05/19/22/354051922.db2.gz DLZVNBMNNRWPAN-AWEZNQCLSA-N 0 3 236.359 2.719 20 0 BFADHN COc1cc(CN(C)C[C@H]2CC=CCC2)ccn1 ZINC000344067525 354131901 /nfs/dbraw/zinc/13/19/01/354131901.db2.gz PRCWABWYWQAEIO-ZDUSSCGKSA-N 0 3 246.354 2.878 20 0 BFADHN COc1ccnc(CN(C)C[C@@H]2CC=CCC2)c1 ZINC000344075501 354133271 /nfs/dbraw/zinc/13/32/71/354133271.db2.gz VAMWJZFAPLUEBO-CYBMUJFWSA-N 0 3 246.354 2.878 20 0 BFADHN COc1ccnc(CN(C)C[C@H]2CC=CCC2)c1 ZINC000344075502 354133721 /nfs/dbraw/zinc/13/37/21/354133721.db2.gz VAMWJZFAPLUEBO-ZDUSSCGKSA-N 0 3 246.354 2.878 20 0 BFADHN CCOC(=O)[C@@H](CC)N1C[C@H](C)CC(C)(C)C1 ZINC000344033324 354096799 /nfs/dbraw/zinc/09/67/99/354096799.db2.gz FQUJCLWTZMCFCZ-VXGBXAGGSA-N 0 3 241.375 2.696 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NC[C@@H]1CCCO1)CCC2 ZINC000344088158 354138277 /nfs/dbraw/zinc/13/82/77/354138277.db2.gz NPQNSMJEJJAJOV-ZFWWWQNUSA-N 0 3 249.329 2.972 20 0 BFADHN CC1(C)CC(CNCc2ccc(F)cn2)C1 ZINC000529552279 324338945 /nfs/dbraw/zinc/33/89/45/324338945.db2.gz NESUIHNNCMIFPT-UHFFFAOYSA-N 0 3 222.307 2.747 20 0 BFADHN c1nc(C2CC2)oc1CNC1CCCC1 ZINC000449695421 202003527 /nfs/dbraw/zinc/00/35/27/202003527.db2.gz FBHLVUVTIRTEOP-UHFFFAOYSA-N 0 3 206.289 2.584 20 0 BFADHN CC1(CNCc2cncc(F)c2)CCC1 ZINC000230941488 363331331 /nfs/dbraw/zinc/33/13/31/363331331.db2.gz ZEDQMRQXYVZAFM-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN COc1cccc(C)c1CN(C)[C@H]1C[C@@H](OC)C1 ZINC000639173922 354161867 /nfs/dbraw/zinc/16/18/67/354161867.db2.gz UYNGOOIJDNBWLM-BETUJISGSA-N 0 3 249.354 2.613 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2cnn(CC)c2)C1 ZINC000449725852 202009062 /nfs/dbraw/zinc/00/90/62/202009062.db2.gz VXAPGUZVAHIINT-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccc(OC)nc2)C1 ZINC000344217773 354181125 /nfs/dbraw/zinc/18/11/25/354181125.db2.gz KTTLIFNBDDPRIW-CQSZACIVSA-N 0 3 234.343 2.712 20 0 BFADHN CCC[C@@H](CN(C)Cc1ccc(O)cc1)OC ZINC000639175548 354182156 /nfs/dbraw/zinc/18/21/56/354182156.db2.gz QXMOOOLAFTYTSO-AWEZNQCLSA-N 0 3 237.343 2.639 20 0 BFADHN CC(C)(CNCc1ccncc1)C1(O)CCCC1 ZINC000449737592 202012541 /nfs/dbraw/zinc/01/25/41/202012541.db2.gz SRFVDCOLTKLWKS-UHFFFAOYSA-N 0 3 248.370 2.503 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNC[C@@H]2CCC[C@H]2O)o1 ZINC000449737726 202012704 /nfs/dbraw/zinc/01/27/04/202012704.db2.gz TWKCVJLYDZDXDD-CDGCEXEKSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@H]2CCC[C@H]2O)o1 ZINC000449737727 202013685 /nfs/dbraw/zinc/01/36/85/202013685.db2.gz TWKCVJLYDZDXDD-ZMJPVWNMSA-N 0 3 249.354 2.654 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CCC[C@@H]2C)o1 ZINC000231529551 363345029 /nfs/dbraw/zinc/34/50/29/363345029.db2.gz APAANUFOUHUACV-LSJOCFKGSA-N 0 3 208.305 2.822 20 0 BFADHN Fc1ccc(C2(NCC3=CCCOC3)CC2)cc1 ZINC000344266781 354194494 /nfs/dbraw/zinc/19/44/94/354194494.db2.gz VUABKJNNDNNZCM-UHFFFAOYSA-N 0 3 247.313 2.751 20 0 BFADHN COCC1(CNCc2ccc(C)cn2)CCCC1 ZINC000449739911 202016673 /nfs/dbraw/zinc/01/66/73/202016673.db2.gz DAAXCDUSONCRSJ-UHFFFAOYSA-N 0 3 248.370 2.686 20 0 BFADHN CO[C@H]1CC[C@@H](NCc2ccc(Cl)o2)C1 ZINC000231681280 363348608 /nfs/dbraw/zinc/34/86/08/363348608.db2.gz QZVTVOFMDKNEGP-BDAKNGLRSA-N 0 3 229.707 2.590 20 0 BFADHN COc1cccnc1CNCCCC(C)C ZINC000449746102 202017851 /nfs/dbraw/zinc/01/78/51/202017851.db2.gz DTFJJHZUMANXHD-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ncccc1OC ZINC000449746591 202018043 /nfs/dbraw/zinc/01/80/43/202018043.db2.gz GOWATDWETQGBFX-WDEREUQCSA-N 0 3 222.332 2.614 20 0 BFADHN C[C@@H](c1noc([C@@H]2CCN(C)C2)n1)C(C)(C)C ZINC000334584966 354207604 /nfs/dbraw/zinc/20/76/04/354207604.db2.gz MSEOFOOBBBNLOL-VHSXEESVSA-N 0 3 237.347 2.638 20 0 BFADHN CC(C)(NCCC1CC1)c1nccs1 ZINC000231730885 363351384 /nfs/dbraw/zinc/35/13/84/363351384.db2.gz CCSVUAUGAYUMGW-UHFFFAOYSA-N 0 3 210.346 2.768 20 0 BFADHN C[C@H]1CCCC[C@@H]1CCNCc1cncnc1 ZINC000344378824 354256513 /nfs/dbraw/zinc/25/65/13/354256513.db2.gz LXKSXEUWCTYKMO-GXTWGEPZSA-N 0 3 233.359 2.783 20 0 BFADHN CCn1cncc1CN1CCC[C@H]2CCC[C@H]21 ZINC000334598078 354232884 /nfs/dbraw/zinc/23/28/84/354232884.db2.gz UPDOALJTTYBOBQ-TZMCWYRMSA-N 0 3 233.359 2.668 20 0 BFADHN C[C@@H](NC1CCCCC1)c1cc2n(n1)CCC2 ZINC000334599537 354235541 /nfs/dbraw/zinc/23/55/41/354235541.db2.gz RMXWETBQZWCZBT-LLVKDONJSA-N 0 3 233.359 2.813 20 0 BFADHN CC1(C)CCC[C@@H](NCc2ccno2)C1 ZINC000231882980 363357086 /nfs/dbraw/zinc/35/70/86/363357086.db2.gz TVDSSFHVMRLBGO-SNVBAGLBSA-N 0 3 208.305 2.733 20 0 BFADHN CCn1cncc1CN1C[C@@H](C)CC[C@H]1C ZINC000334611527 354295188 /nfs/dbraw/zinc/29/51/88/354295188.db2.gz SWUDSHJVMMRHEV-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN CCc1cc(N2CCC[C@@]3(CCOC3)C2)ccn1 ZINC000334619824 354308838 /nfs/dbraw/zinc/30/88/38/354308838.db2.gz MPOPAISNIYZMAJ-OAHLLOKOSA-N 0 3 246.354 2.651 20 0 BFADHN CCn1cncc1CN1CCCCC[C@@H]1C ZINC000334601144 354279349 /nfs/dbraw/zinc/27/93/49/354279349.db2.gz FUIIOQDCTLSFBR-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN c1c[nH]c(CNC2(Cc3ccccc3)CCC2)n1 ZINC000344670087 354342352 /nfs/dbraw/zinc/34/23/52/354342352.db2.gz ZFWWYUGWJMHEPG-UHFFFAOYSA-N 0 3 241.338 2.665 20 0 BFADHN CO[C@H](CN[C@@H]1c2ccccc2O[C@@H]1C)C1CC1 ZINC000639186405 354379874 /nfs/dbraw/zinc/37/98/74/354379874.db2.gz MMLCTZRSXFSGAH-KMUNFCNLSA-N 0 3 247.338 2.523 20 0 BFADHN CO[C@H](CN[C@@H]1c2ccccc2O[C@H]1C)C1CC1 ZINC000639186407 354380077 /nfs/dbraw/zinc/38/00/77/354380077.db2.gz MMLCTZRSXFSGAH-VQISRLSMSA-N 0 3 247.338 2.523 20 0 BFADHN COc1cccnc1CN[C@H](C)CC(C)C ZINC000449747642 202020109 /nfs/dbraw/zinc/02/01/09/202020109.db2.gz JUBWANXDPAZBFZ-LLVKDONJSA-N 0 3 222.332 2.614 20 0 BFADHN CCCC[C@@H](C)NCc1ncccc1OC ZINC000449751108 202019456 /nfs/dbraw/zinc/01/94/56/202019456.db2.gz XMUHHSHNXNRSOW-LLVKDONJSA-N 0 3 222.332 2.759 20 0 BFADHN CC1(CN2CC(COc3ccccc3)C2)CC1 ZINC000578039602 366200253 /nfs/dbraw/zinc/20/02/53/366200253.db2.gz ZCTDAXOURWANTA-UHFFFAOYSA-N 0 3 231.339 2.797 20 0 BFADHN OCCN1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000334646821 354437026 /nfs/dbraw/zinc/43/70/26/354437026.db2.gz KROARAOOCDPMHE-AWEZNQCLSA-N 0 3 231.339 2.596 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1ccncc1F ZINC000334652830 354445699 /nfs/dbraw/zinc/44/56/99/354445699.db2.gz NZPTWLCNLXKPKF-GWCFXTLKSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cccnc2N)C1 ZINC000334652682 354445885 /nfs/dbraw/zinc/44/58/85/354445885.db2.gz RSZUSOOHIZVBIS-AWEZNQCLSA-N 0 3 233.359 2.676 20 0 BFADHN CC(C)[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)CCO1 ZINC000334657351 354452001 /nfs/dbraw/zinc/45/20/01/354452001.db2.gz VTPNWBSVVZDFHR-NEPJUHHUSA-N 0 3 247.329 2.779 20 0 BFADHN CC(C)[C@@H]1CN(C[C@H]2CCC(F)(F)C2)CCO1 ZINC000334657355 354452028 /nfs/dbraw/zinc/45/20/28/354452028.db2.gz VTPNWBSVVZDFHR-RYUDHWBXSA-N 0 3 247.329 2.779 20 0 BFADHN C/C(=C/c1ccccc1)CN(C)CC[C@@H](C)O ZINC000353861036 354458518 /nfs/dbraw/zinc/45/85/18/354458518.db2.gz ZBLFCPNZLMSLLM-YBEMTRGBSA-N 0 3 233.355 2.793 20 0 BFADHN CN(C)CCS[C@H]1CCC(C)(C)C1 ZINC000578069110 366217941 /nfs/dbraw/zinc/21/79/41/366217941.db2.gz OHCHFZNQPMXWTB-JTQLQIEISA-N 0 3 201.379 2.860 20 0 BFADHN C[C@@H]1C[C@H](O)CN(Cc2coc3ccccc23)C1 ZINC000334746462 354470523 /nfs/dbraw/zinc/47/05/23/354470523.db2.gz QEBGOJJVVLHZDD-YPMHNXCESA-N 0 3 245.322 2.636 20 0 BFADHN CC[C@@H](N[C@H](C)CCOC)c1c(C)noc1C ZINC000179119636 366205816 /nfs/dbraw/zinc/20/58/16/366205816.db2.gz PHDBPKCNJOECPB-BXKDBHETSA-N 0 3 240.347 2.757 20 0 BFADHN C1C[C@H](N2CCSC3(CCCCC3)C2)CO1 ZINC000334695302 354486080 /nfs/dbraw/zinc/48/60/80/354486080.db2.gz YYUAZTOLRPCQRH-LBPRGKRZSA-N 0 3 241.400 2.527 20 0 BFADHN Cc1ccnc(CN2[C@H](C)CCC2(C)C)n1 ZINC000334692695 354482357 /nfs/dbraw/zinc/48/23/57/354482357.db2.gz YNQXNDJZFNPFBN-LLVKDONJSA-N 0 3 219.332 2.548 20 0 BFADHN Cc1ccnc(CN2[C@@H](C)CCC2(C)C)n1 ZINC000334692696 354482583 /nfs/dbraw/zinc/48/25/83/354482583.db2.gz YNQXNDJZFNPFBN-NSHDSACASA-N 0 3 219.332 2.548 20 0 BFADHN Cc1cc(CN2[C@@H](C)CCC2(C)C)ncn1 ZINC000334692642 354482632 /nfs/dbraw/zinc/48/26/32/354482632.db2.gz WRPUCYSKNBKDDQ-NSHDSACASA-N 0 3 219.332 2.548 20 0 BFADHN CC[C@](C)(CN1CCCc2occc2C1)OC ZINC000639191958 354536049 /nfs/dbraw/zinc/53/60/49/354536049.db2.gz JJIYIBPJXWLTJD-CQSZACIVSA-N 0 3 237.343 2.843 20 0 BFADHN CO[C@H]1CCN(Cc2cccc(F)c2)C[C@@H]1C ZINC000334737676 354540118 /nfs/dbraw/zinc/54/01/18/354540118.db2.gz FHGPCCWORNQVJS-FZMZJTMJSA-N 0 3 237.318 2.683 20 0 BFADHN CN(Cc1ccc2c(n1)CCC2)[C@@H]1CCSC1 ZINC000334704813 354498529 /nfs/dbraw/zinc/49/85/29/354498529.db2.gz ADQCNSUKFAZTCU-CYBMUJFWSA-N 0 3 248.395 2.508 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCN(C)CC12CCCCC2 ZINC000334710816 354507479 /nfs/dbraw/zinc/50/74/79/354507479.db2.gz OUOJBSFNKDAJIK-ZIAGYGMSSA-N 0 3 236.403 2.593 20 0 BFADHN OC1(C(F)F)CCN(CC2=CCCCC2)CC1 ZINC000334799231 354554689 /nfs/dbraw/zinc/55/46/89/354554689.db2.gz LYOGEENCCOYHJP-UHFFFAOYSA-N 0 3 245.313 2.579 20 0 BFADHN COc1ccnc(CN2CCC[C@@H]3CCC[C@H]32)c1 ZINC000334755184 354576905 /nfs/dbraw/zinc/57/69/05/354576905.db2.gz ZGDBJAOPDMRGNW-SWLSCSKDSA-N 0 3 246.354 2.855 20 0 BFADHN CC[C@@H](CNCc1cc2ccccc2n1C)OC ZINC000639194677 354594520 /nfs/dbraw/zinc/59/45/20/354594520.db2.gz IYFOCYIPQRLBAJ-AWEZNQCLSA-N 0 3 246.354 2.693 20 0 BFADHN Cc1nc(CN2CC[C@H](C(C)C)C2)oc1C ZINC000334795733 354549332 /nfs/dbraw/zinc/54/93/32/354549332.db2.gz LTPOIVHTXFAJRI-LBPRGKRZSA-N 0 3 222.332 2.769 20 0 BFADHN Cc1ccsc1CN1CC2(C1)CCOCC2 ZINC000334796411 354551019 /nfs/dbraw/zinc/55/10/19/354551019.db2.gz MDFCPGJVBOLUHM-UHFFFAOYSA-N 0 3 237.368 2.669 20 0 BFADHN CC(C)n1cncc1CN1CC[C@@H]2CCC[C@@H]21 ZINC000335115163 354704030 /nfs/dbraw/zinc/70/40/30/354704030.db2.gz RIXPUGDLQRPQGD-JSGCOSHPSA-N 0 3 233.359 2.838 20 0 BFADHN CC(C)n1cncc1CN1CC[C@H]2CCC[C@@H]21 ZINC000335115165 354704124 /nfs/dbraw/zinc/70/41/24/354704124.db2.gz RIXPUGDLQRPQGD-OCCSQVGLSA-N 0 3 233.359 2.838 20 0 BFADHN CC[C@@H](C(=O)NC1CCCCC1)N(CC)CC ZINC000345606142 354728470 /nfs/dbraw/zinc/72/84/70/354728470.db2.gz KXCKWKCOFBITKK-ZDUSSCGKSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1ccsc1CN(C)CCOCC(F)F ZINC000345787890 354767314 /nfs/dbraw/zinc/76/73/14/354767314.db2.gz OBXSLXJHBYTTPM-UHFFFAOYSA-N 0 3 249.326 2.770 20 0 BFADHN CC(C)n1cc(CN2C[C@H](C)C[C@@H]2C)cn1 ZINC000335944600 134019092 /nfs/dbraw/zinc/01/90/92/134019092.db2.gz XTTFLTKBKHOSNV-NEPJUHHUSA-N 0 3 221.348 2.694 20 0 BFADHN COc1cccnc1CNC1CCC2(CC2)CC1 ZINC000449751822 202020948 /nfs/dbraw/zinc/02/09/48/202020948.db2.gz ZGTUUDGLIVABAU-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN c1cnc2cc(CN3CC[C@@H]3C3CC3)ccc2n1 ZINC000639221885 354914599 /nfs/dbraw/zinc/91/45/99/354914599.db2.gz GWJLMRXBMGXGKH-OAHLLOKOSA-N 0 3 239.322 2.614 20 0 BFADHN COc1cccnc1CN[C@@H]1CCC[C@@H]2C[C@@]21C ZINC000449750428 202021224 /nfs/dbraw/zinc/02/12/24/202021224.db2.gz UMWTVRHFBGQOFZ-DFBGVHRSSA-N 0 3 246.354 2.759 20 0 BFADHN CC[C@H](C)CCCCC(=O)NC[C@H](C)N(C)C ZINC000665551191 491069484 /nfs/dbraw/zinc/06/94/84/491069484.db2.gz ARHZLLIIYBIUHB-STQMWFEESA-N 0 3 242.407 2.659 20 0 BFADHN Cc1scc(CN(C)CC(C)(C)CO)c1C ZINC000639224262 354960614 /nfs/dbraw/zinc/96/06/14/354960614.db2.gz XWMAFFNFPYQLPV-UHFFFAOYSA-N 0 3 241.400 2.815 20 0 BFADHN CCc1cc(N2CC[C@@H]([C@H]3CCOC3)C2)ccn1 ZINC000335176686 354945967 /nfs/dbraw/zinc/94/59/67/354945967.db2.gz KPPJHKQXEXLKRJ-OLZOCXBDSA-N 0 3 246.354 2.507 20 0 BFADHN Fc1ccc(CN2C[C@@H]3CCCC[C@@H]3C2)nc1 ZINC000335178969 354965753 /nfs/dbraw/zinc/96/57/53/354965753.db2.gz PFUPSHUOZMRMFK-TXEJJXNPSA-N 0 3 234.318 2.843 20 0 BFADHN COc1cc(C)cc(CN[C@H](C)[C@H]2CCCO2)c1 ZINC000346624171 354996686 /nfs/dbraw/zinc/99/66/86/354996686.db2.gz DORUMLGJLIRKMI-IUODEOHRSA-N 0 3 249.354 2.661 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@H](C)[C@@H]1CCCO1 ZINC000346627919 354997594 /nfs/dbraw/zinc/99/75/94/354997594.db2.gz SBUMZPBMIRCABX-ZOWXZIJZSA-N 0 3 248.370 2.862 20 0 BFADHN Cc1ccc(CCN2CCO[C@@H]3CCC[C@@H]32)cc1 ZINC000346651101 355018701 /nfs/dbraw/zinc/01/87/01/355018701.db2.gz VKDZXMMMISFJPZ-JKSUJKDBSA-N 0 3 245.366 2.791 20 0 BFADHN CCOC[C@H]1CCCN(Cc2cccc(C)n2)C1 ZINC000346651102 355018869 /nfs/dbraw/zinc/01/88/69/355018869.db2.gz VLHZOLTWYPFAHA-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN C[C@H](c1cnccn1)N1CC(C)(C)C[C@H]1C ZINC000335191279 355023897 /nfs/dbraw/zinc/02/38/97/355023897.db2.gz PMTAZGOFCKZSGS-GHMZBOCLSA-N 0 3 219.332 2.658 20 0 BFADHN C[C@@H]1CCN(C/C=C/c2ccncc2)CCS1 ZINC000335194839 355028215 /nfs/dbraw/zinc/02/82/15/355028215.db2.gz LKRDWQDOKBHMMF-YWVDXFKGSA-N 0 3 248.395 2.922 20 0 BFADHN Cc1nocc1CN[C@H]1CS[C@@H](C(C)C)C1 ZINC000639225813 355070765 /nfs/dbraw/zinc/07/07/65/355070765.db2.gz BDSUSBCLTYOUIZ-VXGBXAGGSA-N 0 3 240.372 2.603 20 0 BFADHN c1cc(CN2CC3(C2)CCOC3)cc(C2CC2)c1 ZINC000335181226 355078690 /nfs/dbraw/zinc/07/86/90/355078690.db2.gz XWKBUASYMMPXGV-UHFFFAOYSA-N 0 3 243.350 2.786 20 0 BFADHN CO[C@@H](C)[C@@H](C)NC1(c2ccc(F)cc2)CC1 ZINC000346803151 355109495 /nfs/dbraw/zinc/10/94/95/355109495.db2.gz WSMVYLXIVHNREK-MNOVXSKESA-N 0 3 237.318 2.828 20 0 BFADHN C[C@@H](NC1(c2cccc(F)c2)CC1)[C@H]1CCCO1 ZINC000346805010 355110090 /nfs/dbraw/zinc/11/00/90/355110090.db2.gz YTAIXVKWJGTLKO-BXUZGUMPSA-N 0 3 249.329 2.972 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cc(C2CC2)no1 ZINC000639229022 355114643 /nfs/dbraw/zinc/11/46/43/355114643.db2.gz QVSOGWNJBYBXOD-KOLCDFICSA-N 0 3 220.316 2.688 20 0 BFADHN Cc1nocc1CN[C@H]1CCC[C@H]1C(C)C ZINC000639228949 355115058 /nfs/dbraw/zinc/11/50/58/355115058.db2.gz XMQAOLSRIFCDPL-STQMWFEESA-N 0 3 222.332 2.897 20 0 BFADHN C[C@H](NC1(c2ccc(F)cc2)CC1)[C@@H]1CCCO1 ZINC000346785548 355116040 /nfs/dbraw/zinc/11/60/40/355116040.db2.gz CIVQKMYTIBFWNB-FZMZJTMJSA-N 0 3 249.329 2.972 20 0 BFADHN CCCC[C@H](CC)[NH2+]Cc1cnc(C)[n-]c1=O ZINC000346811368 355121346 /nfs/dbraw/zinc/12/13/46/355121346.db2.gz UIZKYYVPIZNOTF-LBPRGKRZSA-N 0 3 237.347 2.549 20 0 BFADHN CCOC[C@H](C)NC1(c2ccc(OC)cc2)CC1 ZINC000346743944 355091221 /nfs/dbraw/zinc/09/12/21/355091221.db2.gz QZRQCUYRFDGRDN-LBPRGKRZSA-N 0 3 249.354 2.699 20 0 BFADHN C[C@@]12CN(Cc3ccns3)C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000639227830 355092311 /nfs/dbraw/zinc/09/23/11/355092311.db2.gz PKTZSXQQWVWMSJ-WVWOOGAGSA-N 0 3 246.379 2.787 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1ccccc1OC ZINC000449756623 202022798 /nfs/dbraw/zinc/02/27/98/202022798.db2.gz QBRSISQOFYZWGF-UKRRQHHQSA-N 0 3 249.354 2.742 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1cc(C)ccn1 ZINC000449752593 202023119 /nfs/dbraw/zinc/02/31/19/202023119.db2.gz DCBFQQPQZRBQLX-UMVBOHGHSA-N 0 3 248.370 2.998 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1cccc(O)c1 ZINC000449760848 202024054 /nfs/dbraw/zinc/02/40/54/202024054.db2.gz RVFWIZXLIZZJSG-HUUCEWRRSA-N 0 3 249.354 2.830 20 0 BFADHN CCc1ccc(CN2CCCC[C@@H]2COC)o1 ZINC000449760227 202024340 /nfs/dbraw/zinc/02/43/40/202024340.db2.gz MYQJNPBLIRJDDX-GFCCVEGCSA-N 0 3 237.343 2.843 20 0 BFADHN CC(C)=CCN1C[C@@H](O)C[C@@H]1c1ccccc1 ZINC000335246790 355162721 /nfs/dbraw/zinc/16/27/21/355162721.db2.gz BPKKYPSTISFJRQ-LSDHHAIUSA-N 0 3 231.339 2.761 20 0 BFADHN Cc1nocc1CNC[C@H](C)Cc1ccccc1 ZINC000639229127 355133530 /nfs/dbraw/zinc/13/35/30/355133530.db2.gz XZCIWSAHFCGOJA-GFCCVEGCSA-N 0 3 244.338 2.951 20 0 BFADHN CCC(C)(C)N(C)C(=O)CN1[C@H](C)CC[C@@H]1C ZINC000346847471 355136828 /nfs/dbraw/zinc/13/68/28/355136828.db2.gz CPWUGGCURSMOKH-TXEJJXNPSA-N 0 3 240.391 2.506 20 0 BFADHN CCc1cccnc1[C@H](C)NCC[C@H]1CCOC1 ZINC000346877067 355141819 /nfs/dbraw/zinc/14/18/19/355141819.db2.gz SEXULDBCBPXKGX-STQMWFEESA-N 0 3 248.370 2.721 20 0 BFADHN CCc1cnccc1[C@H](C)NCCOC1CCC1 ZINC000346972240 355208371 /nfs/dbraw/zinc/20/83/71/355208371.db2.gz SFDYNHWECIUXAH-LBPRGKRZSA-N 0 3 248.370 2.864 20 0 BFADHN CCC[C@H](NC[C@@](C)(O)C1CC1)c1ccccn1 ZINC000346978946 355211868 /nfs/dbraw/zinc/21/18/68/355211868.db2.gz NSHCIDQWHBYBJL-DZGCQCFKSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@H]1N(CCc2ccccc2)CCOC1(C)C ZINC000346969605 355175087 /nfs/dbraw/zinc/17/50/87/355175087.db2.gz WHLYAJWRZBXGBW-CYBMUJFWSA-N 0 3 233.355 2.728 20 0 BFADHN c1cncc([C@H]2CCCN2CCOC2CCC2)c1 ZINC000346981291 355183926 /nfs/dbraw/zinc/18/39/26/355183926.db2.gz NJOPYHNJODTJKH-OAHLLOKOSA-N 0 3 246.354 2.788 20 0 BFADHN C[C@@]1(F)CCN(C[C@H]2Cc3ccccc32)C1 ZINC000347004280 355201720 /nfs/dbraw/zinc/20/17/20/355201720.db2.gz KANIHFKYCJANCM-TZMCWYRMSA-N 0 3 219.303 2.760 20 0 BFADHN Cc1cc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)on1 ZINC000639232429 355215652 /nfs/dbraw/zinc/21/56/52/355215652.db2.gz ILFZKCDPCZXXPH-KGLIPLIRSA-N 0 3 232.327 2.603 20 0 BFADHN Cc1cc(C)c(CN[C@@H](C)[C@@H]2CC2(F)F)cn1 ZINC000639239276 355222813 /nfs/dbraw/zinc/22/28/13/355222813.db2.gz IDHMMJZRUHWASZ-JQWIXIFHSA-N 0 3 240.297 2.832 20 0 BFADHN CCc1ccc(CN(C)[C@H]2CCSC2)cn1 ZINC000347590373 355248518 /nfs/dbraw/zinc/24/85/18/355248518.db2.gz OCHVAAKYVPBHQA-ZDUSSCGKSA-N 0 3 236.384 2.581 20 0 BFADHN CCOc1cccc(CN2C[C@@H](C)[C@H](C)C2)n1 ZINC000353908684 355239092 /nfs/dbraw/zinc/23/90/92/355239092.db2.gz CUJRJSXZVXGPNH-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN COc1cc2c(cc1F)CN(CC(C)C)CC2 ZINC000347629521 355241303 /nfs/dbraw/zinc/24/13/03/355241303.db2.gz LOQIOAFBIHRMPO-UHFFFAOYSA-N 0 3 237.318 2.848 20 0 BFADHN COc1cc(C)nc(CN2CC[C@@H]2C(C)C)c1 ZINC000347672524 355260941 /nfs/dbraw/zinc/26/09/41/355260941.db2.gz CNDLNOAYNUDZQU-CQSZACIVSA-N 0 3 234.343 2.629 20 0 BFADHN C[C@@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)C[C@H](C)O1 ZINC000347664167 355255171 /nfs/dbraw/zinc/25/51/71/355255171.db2.gz OXVDCGAJCUPCOA-XRGAULLZSA-N 0 3 245.366 2.899 20 0 BFADHN CCCCN(C(=O)[C@H](CC)N(C)C)[C@@H](C)CC ZINC000413411031 191381412 /nfs/dbraw/zinc/38/14/12/191381412.db2.gz RCVXKUWUQCWQPO-STQMWFEESA-N 0 3 242.407 2.754 20 0 BFADHN COCCC1(C)CN(CCc2ccc(C)cc2)C1 ZINC000639254998 355294913 /nfs/dbraw/zinc/29/49/13/355294913.db2.gz JYYGZYWLZDHJLP-UHFFFAOYSA-N 0 3 247.382 2.896 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@H]2CC2(F)F)nc1C ZINC000639256071 355297264 /nfs/dbraw/zinc/29/72/64/355297264.db2.gz CGPZCSSWGAVSAX-CMPLNLGQSA-N 0 3 240.297 2.832 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CC1(F)F)c1cncc(F)c1 ZINC000639257828 355304021 /nfs/dbraw/zinc/30/40/21/355304021.db2.gz ZHSSSTCPSGFSHV-LAEOZQHASA-N 0 3 244.260 2.915 20 0 BFADHN C[C@H](CC1CC1)N[C@H](C)c1cc2n(n1)CCC2 ZINC000414132933 191438530 /nfs/dbraw/zinc/43/85/30/191438530.db2.gz ZZXPIOXMKSVUEZ-GHMZBOCLSA-N 0 3 233.359 2.669 20 0 BFADHN CCN(CCC[C@H]1CCO1)Cc1occc1C ZINC000639264114 355320479 /nfs/dbraw/zinc/32/04/79/355320479.db2.gz APGBDBREWHWAGC-ZDUSSCGKSA-N 0 3 237.343 2.979 20 0 BFADHN C[C@@H](N[C@@H]1CCCC[C@H]1F)[C@@H]1CC1(F)F ZINC000639267788 355329431 /nfs/dbraw/zinc/32/94/31/355329431.db2.gz SINWJCGCYPMAHA-UTINFBMNSA-N 0 3 221.266 2.900 20 0 BFADHN C[C@H]1CC(C)(C)CN1[C@H](c1nccn1C)C1CC1 ZINC000639267816 355329527 /nfs/dbraw/zinc/32/95/27/355329527.db2.gz PJTZYNOVILVKAD-AAEUAGOBSA-N 0 3 247.386 2.992 20 0 BFADHN CCC1CN(C[C@H](Cc2ccccc2)OC)C1 ZINC000639248572 355279061 /nfs/dbraw/zinc/27/90/61/355279061.db2.gz KFPUTKWISDJJBT-HNNXBMFYSA-N 0 3 233.355 2.586 20 0 BFADHN COc1cccc(C)c1CNC[C@@H]1CC[C@@H](C)O1 ZINC000639249054 355280147 /nfs/dbraw/zinc/28/01/47/355280147.db2.gz CICWYEFJTLSOGR-OLZOCXBDSA-N 0 3 249.354 2.661 20 0 BFADHN CCC[C@H](CN1CC(CC(F)(F)F)C1)OC ZINC000639252179 355286228 /nfs/dbraw/zinc/28/62/28/355286228.db2.gz AHMHQQVFGVFFAC-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN CO[C@H](CN1CC2(CC(F)C2)C1)C1CCCC1 ZINC000639252896 355287001 /nfs/dbraw/zinc/28/70/01/355287001.db2.gz VXUJZYOGKMUERA-CYBMUJFWSA-N 0 3 241.350 2.626 20 0 BFADHN CCn1ccc(CN[C@@H]2C[C@@H](C)CC[C@@H]2C)n1 ZINC000414207396 191468255 /nfs/dbraw/zinc/46/82/55/191468255.db2.gz JVUKSPZVMRHMJW-SGMGOOAPSA-N 0 3 235.375 2.817 20 0 BFADHN C[C@H](CNCc1ccns1)CC(F)F ZINC000639283404 355380103 /nfs/dbraw/zinc/38/01/03/355380103.db2.gz QBGDZWAHFSGVEE-ZETCQYMHSA-N 0 3 220.288 2.524 20 0 BFADHN CC[C@@H]1CCC[C@@H](N2CCc3n[nH]cc3C2)C1 ZINC000623238443 355382372 /nfs/dbraw/zinc/38/23/72/355382372.db2.gz GVHINQLKEYWJPC-DGCLKSJQSA-N 0 3 233.359 2.737 20 0 BFADHN CC[C@@H]1CCC[C@H](N2CCc3n[nH]cc3C2)C1 ZINC000623238445 355383185 /nfs/dbraw/zinc/38/31/85/355383185.db2.gz GVHINQLKEYWJPC-YPMHNXCESA-N 0 3 233.359 2.737 20 0 BFADHN Cc1cc(CN[C@H](C)[C@@H]2CC2(F)F)cc(C)n1 ZINC000639272046 355340530 /nfs/dbraw/zinc/34/05/30/355340530.db2.gz LWIWGXYMRULVEG-PWSUYJOCSA-N 0 3 240.297 2.832 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]2c2ccco2)sn1 ZINC000639270685 355341177 /nfs/dbraw/zinc/34/11/77/355341177.db2.gz AXEQNYDYZSHJPI-GHMZBOCLSA-N 0 3 234.324 2.690 20 0 BFADHN CO[C@@H](CN[C@@H]1C[C@H]1c1ccco1)C1CCCC1 ZINC000639270637 355341468 /nfs/dbraw/zinc/34/14/68/355341468.db2.gz AOYUMZKMTGNQDG-NFAWXSAZSA-N 0 3 249.354 2.930 20 0 BFADHN CO[C@@H](CNCc1cc(C)ns1)CC(C)C ZINC000639272428 355343342 /nfs/dbraw/zinc/34/33/42/355343342.db2.gz JOFZXFUBOPBJDW-LLVKDONJSA-N 0 3 242.388 2.602 20 0 BFADHN CO[C@@H](C)CNCc1ccc(SC)cc1 ZINC000191201083 355345115 /nfs/dbraw/zinc/34/51/15/355345115.db2.gz GQJQCJZLDUUHQV-JTQLQIEISA-N 0 3 225.357 2.533 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@@H](C)C2)nn1C ZINC000414302834 191495802 /nfs/dbraw/zinc/49/58/02/191495802.db2.gz BXLGSWMFMATNHL-YPMHNXCESA-N 0 3 235.375 2.644 20 0 BFADHN CO[C@@H](CN[C@@H]1C[C@H]1c1ccco1)CC(C)C ZINC000639274751 355348180 /nfs/dbraw/zinc/34/81/80/355348180.db2.gz QNLMLVFYUJKUQD-JHJVBQTASA-N 0 3 237.343 2.786 20 0 BFADHN CO[C@](C)(CNCc1ccc(C)c(C)n1)C1CC1 ZINC000639277904 355359171 /nfs/dbraw/zinc/35/91/71/355359171.db2.gz QVAYMZUHSQCAHP-OAHLLOKOSA-N 0 3 248.370 2.603 20 0 BFADHN C[C@@H]1CCN(Cc2ccns2)[C@H](C)C1 ZINC000639278382 355362282 /nfs/dbraw/zinc/36/22/82/355362282.db2.gz JAOSOLJNFVOMQY-NXEZZACHSA-N 0 3 210.346 2.764 20 0 BFADHN c1ccc([C@@H]2C[C@@H]2CN2CC3(C2)CCOC3)cc1 ZINC000335778289 355404489 /nfs/dbraw/zinc/40/44/89/355404489.db2.gz VAWDOZPWDUOWQL-CABCVRRESA-N 0 3 243.350 2.512 20 0 BFADHN C[C@@H](c1cccnc1)N1CCOCC2(CCC2)C1 ZINC000335779320 355406275 /nfs/dbraw/zinc/40/62/75/355406275.db2.gz WISCNYIKNRMDEF-ZDUSSCGKSA-N 0 3 246.354 2.645 20 0 BFADHN CCOCCNCc1cc2c(ccc(C)c2C)[nH]1 ZINC000349668350 355407383 /nfs/dbraw/zinc/40/73/83/355407383.db2.gz NQLPKMASOHVJPD-UHFFFAOYSA-N 0 3 246.354 2.911 20 0 BFADHN CCCC[C@H](CC)N1CCc2n[nH]cc2C1 ZINC000623240259 355414400 /nfs/dbraw/zinc/41/44/00/355414400.db2.gz FKKNNPOESOQSNK-LBPRGKRZSA-N 0 3 221.348 2.737 20 0 BFADHN Nc1c(F)cccc1CN1CCC12CCCC2 ZINC000639289337 355414434 /nfs/dbraw/zinc/41/44/34/355414434.db2.gz ODQRAJNCDDEQMS-UHFFFAOYSA-N 0 3 234.318 2.926 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCc3n[nH]cc3C2)[C@H](C)C1 ZINC000623240504 355417695 /nfs/dbraw/zinc/41/76/95/355417695.db2.gz NFRWFTAWQNSIDX-JTNHKYCSSA-N 0 3 233.359 2.593 20 0 BFADHN CCc1cccnc1[C@H](C)NCCOC(C)C ZINC000349723576 355419833 /nfs/dbraw/zinc/41/98/33/355419833.db2.gz RXQMFXPVXZPKOR-LBPRGKRZSA-N 0 3 236.359 2.720 20 0 BFADHN CC(C)n1cc(CN2C[C@@H](C)[C@H](C)C2)cn1 ZINC000335788971 355439832 /nfs/dbraw/zinc/43/98/32/355439832.db2.gz YVTZWLKALGKYGP-VXGBXAGGSA-N 0 3 221.348 2.552 20 0 BFADHN C[C@@H](N[C@@H]1CCCC(F)(F)C1)c1ccnn1C ZINC000335789310 355439913 /nfs/dbraw/zinc/43/99/13/355439913.db2.gz WYPTUWZAZMXRDC-NXEZZACHSA-N 0 3 243.301 2.649 20 0 BFADHN C[C@@H]1C[C@H](CNCc2ccccc2F)[C@H](C)O1 ZINC000414521080 191526962 /nfs/dbraw/zinc/52/69/62/191526962.db2.gz VUAHJQYTYDEABZ-NTZNESFSSA-N 0 3 237.318 2.729 20 0 BFADHN Cc1cc(CN2CCC23CCCC3)sn1 ZINC000639288043 355398982 /nfs/dbraw/zinc/39/89/82/355398982.db2.gz HRKKQDBVKGNATR-UHFFFAOYSA-N 0 3 222.357 2.970 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CN2CCc3n[nH]cc3C2)C1 ZINC000623241517 355490885 /nfs/dbraw/zinc/49/08/85/355490885.db2.gz WKQKOKJCAXIJOP-YPMHNXCESA-N 0 3 245.370 2.760 20 0 BFADHN CCN(CCOC)Cc1coc2ccccc12 ZINC000349859562 355506261 /nfs/dbraw/zinc/50/62/61/355506261.db2.gz AJYHUJAURZHLBZ-UHFFFAOYSA-N 0 3 233.311 2.901 20 0 BFADHN Cc1ncc(CN2CC(C)=C[C@@H](C)C2)s1 ZINC000335804824 355475112 /nfs/dbraw/zinc/47/51/12/355475112.db2.gz RKEIIWXTVYKRBR-SECBINFHSA-N 0 3 222.357 2.850 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1ccc(C)c(F)c1 ZINC000349826862 355486138 /nfs/dbraw/zinc/48/61/38/355486138.db2.gz FDYSJRZJIUPAPH-SCOBNMCVSA-N 0 3 237.318 2.551 20 0 BFADHN Cc1cncc(CN2C[C@H](C)S[C@@H](C)C2)c1 ZINC000335820715 355538264 /nfs/dbraw/zinc/53/82/64/355538264.db2.gz NOVQHKVUYJJAEF-RYUDHWBXSA-N 0 3 236.384 2.716 20 0 BFADHN CO[C@@H]1CN(Cc2ccc(C)nc2C)CC[C@H]1C ZINC000335820513 355538554 /nfs/dbraw/zinc/53/85/54/355538554.db2.gz XEFKIDLFRJKXML-IAQYHMDHSA-N 0 3 248.370 2.555 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@@H](C(C)C)C2)cn1 ZINC000335820867 355538994 /nfs/dbraw/zinc/53/89/94/355538994.db2.gz UYEYVQQWQWYSPE-QWHCGFSZSA-N 0 3 233.359 2.824 20 0 BFADHN Cc1cnc([C@@H](C)N2CC[C@@H](C(C)C)C2)cn1 ZINC000335820865 355539082 /nfs/dbraw/zinc/53/90/82/355539082.db2.gz UYEYVQQWQWYSPE-CHWSQXEVSA-N 0 3 233.359 2.824 20 0 BFADHN CC[C@H]1CCN([C@H](C)c2cnc(C)cn2)C1 ZINC000335820909 355539209 /nfs/dbraw/zinc/53/92/09/355539209.db2.gz YGXAJVAJCBVGCP-NEPJUHHUSA-N 0 3 219.332 2.578 20 0 BFADHN Cc1cnc([C@@H](C)N2CC[C@H](C(C)C)C2)cn1 ZINC000335820866 355539351 /nfs/dbraw/zinc/53/93/51/355539351.db2.gz UYEYVQQWQWYSPE-OLZOCXBDSA-N 0 3 233.359 2.824 20 0 BFADHN COCCN(C)C/C=C/c1ccc(F)cc1F ZINC000349979489 355558386 /nfs/dbraw/zinc/55/83/86/355558386.db2.gz XJKUSASZKYOISR-ONEGZZNKSA-N 0 3 241.281 2.556 20 0 BFADHN Cc1cc(CN[C@H]2CCC23CCCC3)ncn1 ZINC000335819978 355512085 /nfs/dbraw/zinc/51/20/85/355512085.db2.gz YBVCNVPGVKPQLJ-ZDUSSCGKSA-N 0 3 231.343 2.597 20 0 BFADHN CCN(CCOC)Cc1ccc(C)c(C)c1 ZINC000349862200 355512160 /nfs/dbraw/zinc/51/21/60/355512160.db2.gz UERQXPLBSVQIQB-UHFFFAOYSA-N 0 3 221.344 2.772 20 0 BFADHN Cc1cc(CNC2(C3CCC3)CC2)sn1 ZINC000639292236 355517643 /nfs/dbraw/zinc/51/76/43/355517643.db2.gz BSQJHEANSUBGTK-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN C[C@@H]1CC[C@H](N(C)Cc2ccc(F)cn2)C1 ZINC000335851663 355595417 /nfs/dbraw/zinc/59/54/17/355595417.db2.gz NNYUWOWFVUXIEL-MFKMUULPSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1oncc1CN1CCC[C@@H]1C(C)(C)C ZINC000335856156 355600528 /nfs/dbraw/zinc/60/05/28/355600528.db2.gz JKCVJOHNSWVLOF-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1nc(CN(C)C2CCC(C)(C)CC2)n[nH]1 ZINC000335860047 355606424 /nfs/dbraw/zinc/60/64/24/355606424.db2.gz AUGDZNGEAPZKDF-UHFFFAOYSA-N 0 3 236.363 2.514 20 0 BFADHN Cc1ccc2nccc(N[C@H]3CO[C@@H](C)C3)c2c1 ZINC000582178483 355611598 /nfs/dbraw/zinc/61/15/98/355611598.db2.gz BYFYYHPCUIVHDU-NWDGAFQWSA-N 0 3 242.322 2.554 20 0 BFADHN CC[C@H](F)CN(C)[C@@H]1C[C@](C)(OC)C1(C)C ZINC000440782960 191702288 /nfs/dbraw/zinc/70/22/88/191702288.db2.gz DQZVNAPPOOOOTO-LOWVWBTDSA-N 0 3 231.355 2.870 20 0 BFADHN C[C@H]([C@@H]1CCCC[C@H]1C)N1CCc2n[nH]cc2C1 ZINC000623242853 355580493 /nfs/dbraw/zinc/58/04/93/355580493.db2.gz LETUXPWLMCVEJR-YRGRVCCFSA-N 0 3 247.386 2.983 20 0 BFADHN C[C@H](NCc1cc[nH]n1)[C@H]1CCC[C@H](C)C1 ZINC000449765717 202026075 /nfs/dbraw/zinc/02/60/75/202026075.db2.gz KESQMHYOBMAOTH-SRVKXCTJSA-N 0 3 221.348 2.714 20 0 BFADHN c1c(CN[C@@]23C[C@@H]2CCC3)onc1C1CC1 ZINC000639304617 355659923 /nfs/dbraw/zinc/65/99/23/355659923.db2.gz YJEZCDLDCJHWAH-GWCFXTLKSA-N 0 3 218.300 2.584 20 0 BFADHN CC[C@H](C)CCNCc1cnc(OC)s1 ZINC000639309259 355665698 /nfs/dbraw/zinc/66/56/98/355665698.db2.gz RQWRXZAGRQQGKD-VIFPVBQESA-N 0 3 228.361 2.678 20 0 BFADHN NC(=O)[C@@H]1CCCN1Cc1cccc2cc[nH]c21 ZINC000336603867 181204035 /nfs/dbraw/zinc/20/40/35/181204035.db2.gz NQQUCDNJNVSESH-LBPRGKRZSA-N 0 3 243.310 2.668 20 0 BFADHN CC[C@H](C)CN[C@@H](c1ccccc1F)[C@@H](C)O ZINC000583569241 355632573 /nfs/dbraw/zinc/63/25/73/355632573.db2.gz RARNNFINZZVBDT-MISXGVKJSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1cnc(CNC2[C@@H](C)CCC[C@@H]2C)nc1 ZINC000335868155 355633700 /nfs/dbraw/zinc/63/37/00/355633700.db2.gz FTXCLZXRZCJUIB-RYUDHWBXSA-N 0 3 233.359 2.699 20 0 BFADHN COCCC1CN(Cc2csc(C)c2C)C1 ZINC000639305881 355669947 /nfs/dbraw/zinc/66/99/47/355669947.db2.gz FRPUWKRLQAJEHY-UHFFFAOYSA-N 0 3 239.384 2.833 20 0 BFADHN COc1cccc(C)c1CNCCc1ccoc1 ZINC000639315896 355707492 /nfs/dbraw/zinc/70/74/92/355707492.db2.gz FVNWSKFSMFKZJQ-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN CC[C@@](C)(CN[C@H]1CCc2ccc(O)cc21)OC ZINC000639317853 355713882 /nfs/dbraw/zinc/71/38/82/355713882.db2.gz QHEVEFVKGLOZDV-GJZGRUSLSA-N 0 3 249.354 2.784 20 0 BFADHN COc1cc(CN[C@@H]2CC[C@@H](C)[C@H](C)C2)on1 ZINC000639323378 355716618 /nfs/dbraw/zinc/71/66/18/355716618.db2.gz BIGORVKQXNNYMJ-GMTAPVOTSA-N 0 3 238.331 2.598 20 0 BFADHN CCC1CCC(NCc2cc(OC)no2)CC1 ZINC000639323404 355716826 /nfs/dbraw/zinc/71/68/26/355716826.db2.gz BZXUKBWUAUHAPQ-UHFFFAOYSA-N 0 3 238.331 2.742 20 0 BFADHN CCCC[C@H](C)[C@@H](C)NCc1cc(OC)no1 ZINC000639324130 355718051 /nfs/dbraw/zinc/71/80/51/355718051.db2.gz KDXDDOPFHPYYQI-WDEREUQCSA-N 0 3 240.347 2.988 20 0 BFADHN CCCC[C@H](C)[C@H](C)NCc1cc(OC)no1 ZINC000639324129 355718290 /nfs/dbraw/zinc/71/82/90/355718290.db2.gz KDXDDOPFHPYYQI-QWRGUYRKSA-N 0 3 240.347 2.988 20 0 BFADHN CSC1(CN2CCc3ccc(O)cc3C2)CC1 ZINC000336001042 134047461 /nfs/dbraw/zinc/04/74/61/134047461.db2.gz OLFSPCRFRCSCMZ-UHFFFAOYSA-N 0 3 249.379 2.646 20 0 BFADHN CO[C@@H](CN1CCC[C@H](F)C1)C1CCCC1 ZINC000639313779 355684085 /nfs/dbraw/zinc/68/40/85/355684085.db2.gz YBNVTXFZYAEMIO-STQMWFEESA-N 0 3 229.339 2.626 20 0 BFADHN CCCN(C(C)C)[C@H](c1nccn1C)C1CC1 ZINC000639313007 355684243 /nfs/dbraw/zinc/68/42/43/355684243.db2.gz PZEJKLWIRVMIKM-ZDUSSCGKSA-N 0 3 235.375 2.992 20 0 BFADHN CCc1nc(C)c(CN2CCCC2(C)C)o1 ZINC000335893784 355687399 /nfs/dbraw/zinc/68/73/99/355687399.db2.gz GLUDANPRXUSHHC-UHFFFAOYSA-N 0 3 222.332 2.920 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3COC[C@H]3C2)c(F)c1 ZINC000639327922 355734452 /nfs/dbraw/zinc/73/44/52/355734452.db2.gz DYEGXQQHCIMTTH-ZIAGYGMSSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1cnc(CN[C@H](C(C)C)C2CC2)o1 ZINC000639329253 355745637 /nfs/dbraw/zinc/74/56/37/355745637.db2.gz WQIDHSBFDRTWKC-GFCCVEGCSA-N 0 3 208.305 2.507 20 0 BFADHN C[C@@H](CCCC(F)(F)F)NCc1ncc[nH]1 ZINC000166679535 355752368 /nfs/dbraw/zinc/75/23/68/355752368.db2.gz QAXWURSNTPBHOE-QMMMGPOBSA-N 0 3 235.253 2.620 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1ccc(Cl)nc1 ZINC000191640667 355758393 /nfs/dbraw/zinc/75/83/93/355758393.db2.gz VQOQAIJUCAUTMQ-GUBZILKMSA-N 0 3 242.750 2.809 20 0 BFADHN c1coc(CCCNCc2cc(C3CC3)no2)c1 ZINC000639334798 355762799 /nfs/dbraw/zinc/76/27/99/355762799.db2.gz HWVCSKIZIWGIHH-UHFFFAOYSA-N 0 3 246.310 2.867 20 0 BFADHN Cc1cc(CCN[C@@H](C)c2cccc(O)c2)on1 ZINC000353996289 355771997 /nfs/dbraw/zinc/77/19/97/355771997.db2.gz OGSYPZKYTJJHDO-NSHDSACASA-N 0 3 246.310 2.582 20 0 BFADHN c1cc(CN2CC[C@H]3C[C@H]3C2)n(CC2CCC2)n1 ZINC000639326006 355725205 /nfs/dbraw/zinc/72/52/05/355725205.db2.gz VLWHHCPDKFJGQW-KBPBESRZSA-N 0 3 245.370 2.525 20 0 BFADHN Cc1cc(CN[C@@]2(C)CC2(C)C)sn1 ZINC000639327423 355729624 /nfs/dbraw/zinc/72/96/24/355729624.db2.gz KIGNAETVXSTUKZ-NSHDSACASA-N 0 3 210.346 2.730 20 0 BFADHN FC1(F)C[C@@H]1CCNCc1cc(C2CC2)no1 ZINC000639338170 355779081 /nfs/dbraw/zinc/77/90/81/355779081.db2.gz IAGVXFYBQJBUHR-VIFPVBQESA-N 0 3 242.269 2.687 20 0 BFADHN FC1(F)C[C@H]1CCNCc1cc(C2CC2)no1 ZINC000639338169 355779105 /nfs/dbraw/zinc/77/91/05/355779105.db2.gz IAGVXFYBQJBUHR-SECBINFHSA-N 0 3 242.269 2.687 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H]1C[C@H]1c1ccco1 ZINC000425370068 191829834 /nfs/dbraw/zinc/82/98/34/191829834.db2.gz NGEJDEHZZAPUPA-DNRKLUKYSA-N 0 3 223.341 2.619 20 0 BFADHN CCN(C[C@@H]1CCCO1)[C@@H](C)c1ccncc1 ZINC000608494696 355818621 /nfs/dbraw/zinc/81/86/21/355818621.db2.gz JQTBLUVKSQPVEE-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN CCN(C[C@H]1CCCO1)[C@H](C)c1ccncc1 ZINC000608494698 355818954 /nfs/dbraw/zinc/81/89/54/355818954.db2.gz JQTBLUVKSQPVEE-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN c1ccc2c(c1)CN(CCC1CC1)CCO2 ZINC000608493072 355818966 /nfs/dbraw/zinc/81/89/66/355818966.db2.gz OWSYMAJSUJMAAM-UHFFFAOYSA-N 0 3 217.312 2.681 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCCC23CC3)o1 ZINC000639360719 355819269 /nfs/dbraw/zinc/81/92/69/355819269.db2.gz MZXNYKFKFQTSDE-LBPRGKRZSA-N 0 3 220.316 2.714 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1ccsc1 ZINC000191651181 355822240 /nfs/dbraw/zinc/82/22/40/355822240.db2.gz JUXFTSAMSVFZBL-IVZWLZJFSA-N 0 3 213.346 2.822 20 0 BFADHN CC[C@H](Cc1cccs1)NCc1ncc[nH]1 ZINC000639370494 355861697 /nfs/dbraw/zinc/86/16/97/355861697.db2.gz DLYXAMDGINVYDU-SNVBAGLBSA-N 0 3 235.356 2.582 20 0 BFADHN c1cc(CN2C3CCCC2CCC3)on1 ZINC000608565142 355861776 /nfs/dbraw/zinc/86/17/76/355861776.db2.gz VXKKKIRLTGMQOU-UHFFFAOYSA-N 0 3 206.289 2.582 20 0 BFADHN Fc1cccc(CNCCC[C@H]2CCO2)c1F ZINC000639372709 355875591 /nfs/dbraw/zinc/87/55/91/355875591.db2.gz TWRMEPDZEPXLDJ-NSHDSACASA-N 0 3 241.281 2.624 20 0 BFADHN CC1(C)CCN(C[C@H]2COc3ccccc3O2)C1 ZINC000608508678 355830852 /nfs/dbraw/zinc/83/08/52/355830852.db2.gz VDEATBLQGZQEKO-LBPRGKRZSA-N 0 3 247.338 2.558 20 0 BFADHN CC[C@H]1CN([C@H](C)c2ccncc2)CCS1 ZINC000608510540 355832655 /nfs/dbraw/zinc/83/26/55/355832655.db2.gz YLCNEDZTDDGIDH-YPMHNXCESA-N 0 3 236.384 2.970 20 0 BFADHN COc1cccc(C)c1CN[C@@H]1C[C@@H](C)O[C@H]1C ZINC000639377039 355903046 /nfs/dbraw/zinc/90/30/46/355903046.db2.gz GEEQOSWCKHWZKU-MBNYWOFBSA-N 0 3 249.354 2.659 20 0 BFADHN CC[C@H](CNC1(C)COC1)c1ccccc1 ZINC000639380811 355919566 /nfs/dbraw/zinc/91/95/66/355919566.db2.gz KQILXIJPYKZQKH-GFCCVEGCSA-N 0 3 219.328 2.559 20 0 BFADHN COCC1(C2CC2)CN(Cc2ccccc2)C1 ZINC000639380876 355919606 /nfs/dbraw/zinc/91/96/06/355919606.db2.gz XDRCLLOAXOSKCN-UHFFFAOYSA-N 0 3 231.339 2.545 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@]12C[C@@H]1CCCC2 ZINC000639380905 355919970 /nfs/dbraw/zinc/91/99/70/355919970.db2.gz XIAPMCAZDGBXQU-FZMZJTMJSA-N 0 3 232.327 2.974 20 0 BFADHN Cc1noc(C)c1CN1CCC(CCF)CC1 ZINC000639382303 355929949 /nfs/dbraw/zinc/92/99/49/355929949.db2.gz WDWRDFFEYWPNOO-UHFFFAOYSA-N 0 3 240.322 2.863 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CN1CCc2n[nH]cc2C1 ZINC000628370946 355884616 /nfs/dbraw/zinc/88/46/16/355884616.db2.gz VYGBSKSHWITCJJ-OLZOCXBDSA-N 0 3 247.386 2.984 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC(CCF)CC1 ZINC000639376411 355899421 /nfs/dbraw/zinc/89/94/21/355899421.db2.gz HYGXJRHVUFMNEI-UHFFFAOYSA-N 0 3 239.338 2.598 20 0 BFADHN CC[C@H](N[C@@H]1C=C[C@H](CO)C1)c1cccs1 ZINC000127387049 324764674 /nfs/dbraw/zinc/76/46/74/324764674.db2.gz BQNLZBNULQNGKR-TUAOUCFPSA-N 0 3 237.368 2.726 20 0 BFADHN CCc1ccc(CN2CCC[C@](O)(CC)C2)o1 ZINC000639385924 355983911 /nfs/dbraw/zinc/98/39/11/355983911.db2.gz WHFBZULZZPOOJN-CQSZACIVSA-N 0 3 237.343 2.579 20 0 BFADHN CCN(Cc1ccccn1)C[C@H]1C[C@@H]1C ZINC000351424395 355988719 /nfs/dbraw/zinc/98/87/19/355988719.db2.gz VWTBVQKVMUWNRR-NWDGAFQWSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCc2ccc(F)cc2C1 ZINC000351490718 356000211 /nfs/dbraw/zinc/00/02/11/356000211.db2.gz HKHPCTOGOFLNID-CMPLNLGQSA-N 0 3 219.303 2.840 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCc2ccc(F)cc2C1 ZINC000351490724 356000368 /nfs/dbraw/zinc/00/03/68/356000368.db2.gz HKHPCTOGOFLNID-PWSUYJOCSA-N 0 3 219.303 2.840 20 0 BFADHN C[C@@H]1CN(C[C@@H]2C[C@@H]2c2ccccc2)[C@@H](C)CO1 ZINC000351079419 355946439 /nfs/dbraw/zinc/94/64/39/355946439.db2.gz QKLFRTYUIJJLQW-LQKXBSAESA-N 0 3 245.366 2.899 20 0 BFADHN Cc1nc([C@H](C)N[C@@H](C)CCCO)c(C)s1 ZINC000087358381 491086331 /nfs/dbraw/zinc/08/63/31/491086331.db2.gz FYRLOQJVAUOXFN-IUCAKERBSA-N 0 3 242.388 2.572 20 0 BFADHN CC(C)[C@@H]1CN(CCc2ccccc2)CCO1 ZINC000351143266 355953147 /nfs/dbraw/zinc/95/31/47/355953147.db2.gz KORPQCSNALVHJW-HNNXBMFYSA-N 0 3 233.355 2.586 20 0 BFADHN Cc1nc([C@@H](C)N[C@H](C)CCCO)c(C)s1 ZINC000087358382 491086333 /nfs/dbraw/zinc/08/63/33/491086333.db2.gz FYRLOQJVAUOXFN-RKDXNWHRSA-N 0 3 242.388 2.572 20 0 BFADHN CC[C@]1(O)CCCN(Cc2cccc(F)c2)C1 ZINC000639384876 355964932 /nfs/dbraw/zinc/96/49/32/355964932.db2.gz LYHYTZVLYDJHFT-AWEZNQCLSA-N 0 3 237.318 2.563 20 0 BFADHN CC(C)CCN1CCOC2(CCCC2)C1 ZINC000351269684 355969468 /nfs/dbraw/zinc/96/94/68/355969468.db2.gz MOANSNQWJZUFGP-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCCC[C@H]1c1ncc[nH]1 ZINC000351265932 355969581 /nfs/dbraw/zinc/96/95/81/355969581.db2.gz DGGULRYCXJVYGL-WOPDTQHZSA-N 0 3 219.332 2.593 20 0 BFADHN CCc1nocc1CN(C)[C@@H](C)CCSC ZINC000351640623 356040550 /nfs/dbraw/zinc/04/05/50/356040550.db2.gz IUPCQGRJXDKJQJ-JTQLQIEISA-N 0 3 242.388 2.810 20 0 BFADHN CCC1(CC)CCN(Cc2cc(C)no2)C1 ZINC000351537131 356011058 /nfs/dbraw/zinc/01/10/58/356011058.db2.gz ONOQGNVFHRIDGP-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN COc1ncc(CN[C@@H](C)CC2CCC2)s1 ZINC000639389867 356020968 /nfs/dbraw/zinc/02/09/68/356020968.db2.gz PWKHCVXQNVIEAT-VIFPVBQESA-N 0 3 240.372 2.820 20 0 BFADHN Cc1sccc1CN(CCCCO)C1CC1 ZINC000351590818 356024270 /nfs/dbraw/zinc/02/42/70/356024270.db2.gz ZIKSDVPGKBNKED-UHFFFAOYSA-N 0 3 239.384 2.793 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1C(F)(F)F)[C@@H]1CC1(F)F ZINC000639390564 356025613 /nfs/dbraw/zinc/02/56/13/356025613.db2.gz FWXHZUMEGBLLQT-MVIOUDGNSA-N 0 3 229.192 2.571 20 0 BFADHN Cc1nccnc1CN(C)C[C@@H](C)C(C)(C)C ZINC000639397439 356106001 /nfs/dbraw/zinc/10/60/01/356106001.db2.gz CCLVZDRPMVDJRQ-LLVKDONJSA-N 0 3 235.375 2.899 20 0 BFADHN Cc1cc(CN[C@@]23C[C@@H]2CCCC3)sn1 ZINC000639395885 356106810 /nfs/dbraw/zinc/10/68/10/356106810.db2.gz OQQQGEPNRXKOOZ-JQWIXIFHSA-N 0 3 222.357 2.874 20 0 BFADHN CCOC(=O)CN(CCCCF)C1CCCC1 ZINC000351880817 356111817 /nfs/dbraw/zinc/11/18/17/356111817.db2.gz KJMJEDLQPYKVNU-UHFFFAOYSA-N 0 3 245.338 2.544 20 0 BFADHN Cc1ncc(CN(C)C[C@H](C)C(C)(C)C)n1C ZINC000639398862 356117614 /nfs/dbraw/zinc/11/76/14/356117614.db2.gz MAJPPEOBYIMLOT-NSHDSACASA-N 0 3 237.391 2.843 20 0 BFADHN CC(C)OC[C@H](C)N[C@H]1COCc2ccccc21 ZINC000418097814 191968201 /nfs/dbraw/zinc/96/82/01/191968201.db2.gz AABPLVLANXFJOL-WFASDCNBSA-N 0 3 249.354 2.661 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1CCCC[C@H](C)C1 ZINC000351821782 356090451 /nfs/dbraw/zinc/09/04/51/356090451.db2.gz IJZFHDUGLBUJBW-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN COc1ccc(CN(C)CC[C@H](C)F)cc1 ZINC000351861772 356098816 /nfs/dbraw/zinc/09/88/16/356098816.db2.gz SPEWAGWFFJYRGC-NSHDSACASA-N 0 3 225.307 2.875 20 0 BFADHN COC(=O)[C@@H](CC(C)C)N1C[C@H](C)C[C@H](C)C1 ZINC000351864797 356100418 /nfs/dbraw/zinc/10/04/18/356100418.db2.gz YZYUFYKJPVYKBD-FRRDWIJNSA-N 0 3 241.375 2.552 20 0 BFADHN C[C@@H](F)CCN1CCCC[C@H]1c1cn[nH]c1 ZINC000351865774 356101848 /nfs/dbraw/zinc/10/18/48/356101848.db2.gz VIFJMXNKVKGNNO-PWSUYJOCSA-N 0 3 225.311 2.685 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@@](O)(C2CC2)C1 ZINC000336096785 134080569 /nfs/dbraw/zinc/08/05/69/134080569.db2.gz QMCHRUBQCBUXJU-ABAIWWIYSA-N 0 3 249.329 2.734 20 0 BFADHN Cc1nn(C)cc1CN(C)C[C@H](C)C(C)(C)C ZINC000639400115 356135289 /nfs/dbraw/zinc/13/52/89/356135289.db2.gz YXPMMMHNRZZYDA-NSHDSACASA-N 0 3 237.391 2.843 20 0 BFADHN Cc1nc(C)c(CN2CCC=C(C)C2)s1 ZINC000335912986 356137368 /nfs/dbraw/zinc/13/73/68/356137368.db2.gz RXSKDFIERMTWHT-UHFFFAOYSA-N 0 3 222.357 2.912 20 0 BFADHN Cc1cccc(CN2CCC(C)=C(C)C2)n1 ZINC000336088826 134077457 /nfs/dbraw/zinc/07/74/57/134077457.db2.gz GAAFSADWKYEKEV-UHFFFAOYSA-N 0 3 216.328 2.932 20 0 BFADHN C[C@H]1OCC[C@H]1CN(C)Cc1ccsc1 ZINC000639440618 356217319 /nfs/dbraw/zinc/21/73/19/356217319.db2.gz DSJNUMIHJKKSLY-PWSUYJOCSA-N 0 3 225.357 2.605 20 0 BFADHN COC[C@H](C)CN1CCc2sccc2[C@@H]1C ZINC000526114388 356226348 /nfs/dbraw/zinc/22/63/48/356226348.db2.gz JAZAHBYUBRVDGQ-MNOVXSKESA-N 0 3 239.384 2.950 20 0 BFADHN Cc1cc(C)c(CN2CCCCC2)c(C)n1 ZINC000639445767 356227295 /nfs/dbraw/zinc/22/72/95/356227295.db2.gz CSMDNLPBEBKAPB-UHFFFAOYSA-N 0 3 218.344 2.993 20 0 BFADHN Cc1cc(CN[C@@H]2CC(C)(C)C[C@@H]2C)on1 ZINC000192391111 356182828 /nfs/dbraw/zinc/18/28/28/356182828.db2.gz IFPSROPSMRUUBI-JOYOIKCWSA-N 0 3 222.332 2.897 20 0 BFADHN CC(C)C[C@H](C)N[C@@H](c1nncn1C)C(C)C ZINC000348714326 535299397 /nfs/dbraw/zinc/29/93/97/535299397.db2.gz YJWPFILPJQRSSU-NWDGAFQWSA-N 0 3 238.379 2.536 20 0 BFADHN COCc1ccc(CNCCCC2CC2)o1 ZINC000128399073 324833270 /nfs/dbraw/zinc/83/32/70/324833270.db2.gz GOQLXQMUFZSYRU-UHFFFAOYSA-N 0 3 223.316 2.706 20 0 BFADHN Cc1cnc([C@@H](C)N2CCC[C@H](C)C2)cn1 ZINC000336114772 134088276 /nfs/dbraw/zinc/08/82/76/134088276.db2.gz ALUKQMGPQSSLQE-CMPLNLGQSA-N 0 3 219.332 2.578 20 0 BFADHN c1ncc(CN2CC[C@@H]3CCC[C@H]32)s1 ZINC000336116873 134088769 /nfs/dbraw/zinc/08/87/69/134088769.db2.gz XMOOMHWHJUPZII-GXSJLCMTSA-N 0 3 208.330 2.518 20 0 BFADHN COc1ncc(CN(C)C2CC2)c2ccccc21 ZINC000639453270 356237323 /nfs/dbraw/zinc/23/73/23/356237323.db2.gz WOQFAKWNVBFDRK-UHFFFAOYSA-N 0 3 242.322 2.838 20 0 BFADHN Cc1cccc(CN(C)Cc2cc[nH]c(=O)c2)c1 ZINC000639454548 356238903 /nfs/dbraw/zinc/23/89/03/356238903.db2.gz HOWZOYWEOHHEND-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN OCc1ccc(CNCC2CCCCCC2)o1 ZINC000389623251 356323111 /nfs/dbraw/zinc/32/31/11/356323111.db2.gz IKWGBSJGRFBRPT-UHFFFAOYSA-N 0 3 237.343 2.832 20 0 BFADHN CC[C@@H](Cc1ccc(C)cc1)N(C)CC(C)=O ZINC000639499751 356328299 /nfs/dbraw/zinc/32/82/99/356328299.db2.gz FISXHQGPGAGYCE-HNNXBMFYSA-N 0 3 233.355 2.837 20 0 BFADHN CC[C@H](Cc1ccc(C)cc1)N(C)CC(C)=O ZINC000639499752 356328814 /nfs/dbraw/zinc/32/88/14/356328814.db2.gz FISXHQGPGAGYCE-OAHLLOKOSA-N 0 3 233.355 2.837 20 0 BFADHN CC(=O)CN1CC[C@H](Cc2ccccc2)[C@@H]1C ZINC000639509003 356338684 /nfs/dbraw/zinc/33/86/84/356338684.db2.gz WJHYCQWKJBKTLJ-DZGCQCFKSA-N 0 3 231.339 2.529 20 0 BFADHN O=c1cc(CN2CC[C@@H]2c2ccccc2)cc[nH]1 ZINC000639510350 356342463 /nfs/dbraw/zinc/34/24/63/356342463.db2.gz BGDBUYHXVLJVGU-CQSZACIVSA-N 0 3 240.306 2.734 20 0 BFADHN C[C@H]1CC2(CCC2)CN1Cc1ccc(CO)o1 ZINC000336136638 134096419 /nfs/dbraw/zinc/09/64/19/134096419.db2.gz HYOWJQGCUXUSGW-NSHDSACASA-N 0 3 235.327 2.536 20 0 BFADHN CC1(C)CO[C@@H](CN2CCC(C(F)F)CC2)C1 ZINC000639519871 356364307 /nfs/dbraw/zinc/36/43/07/356364307.db2.gz WHVWOGAIEGLNEK-LLVKDONJSA-N 0 3 247.329 2.779 20 0 BFADHN CCN1CCN(Cc2cccc(C)c2)[C@@H](C)C1 ZINC000352668399 356400980 /nfs/dbraw/zinc/40/09/80/356400980.db2.gz RRTUGRWSTXEJJG-AWEZNQCLSA-N 0 3 232.371 2.521 20 0 BFADHN C[C@]1(CNCc2ccoc2)CCCS1 ZINC000087620523 491091096 /nfs/dbraw/zinc/09/10/96/491091096.db2.gz XZYAILUZKHAXOC-LLVKDONJSA-N 0 3 211.330 2.655 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnc2ccccn12 ZINC000336520476 356386554 /nfs/dbraw/zinc/38/65/54/356386554.db2.gz USRRKVKCYRWOFS-GFCCVEGCSA-N 0 3 229.327 2.709 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnn(C(C)C)c1 ZINC000352651093 356395615 /nfs/dbraw/zinc/39/56/15/356395615.db2.gz DMRYHOISFZLDOJ-CYBMUJFWSA-N 0 3 221.348 2.838 20 0 BFADHN CC[C@@H]1CCCN1Cc1cccc2c1OCO2 ZINC000352655108 356396431 /nfs/dbraw/zinc/39/64/31/356396431.db2.gz PGTVLPUFDLHZIP-GFCCVEGCSA-N 0 3 233.311 2.790 20 0 BFADHN c1cc([C@H]2CCCCN2CCC2CC2)n[nH]1 ZINC000352193174 134098454 /nfs/dbraw/zinc/09/84/54/134098454.db2.gz BTBSTOHPWNMXNS-CYBMUJFWSA-N 0 3 219.332 2.737 20 0 BFADHN Cc1cc(C)c(CN2CCCOC[C@@H]2C)c(C)n1 ZINC000639543283 356401975 /nfs/dbraw/zinc/40/19/75/356401975.db2.gz FBRBYRGAOVKYOW-ZDUSSCGKSA-N 0 3 248.370 2.618 20 0 BFADHN CC[C@@H]1CCCN1Cc1cccc(O)c1OC ZINC000352695372 356404233 /nfs/dbraw/zinc/40/42/33/356404233.db2.gz YZQYMGHXTPYDKM-GFCCVEGCSA-N 0 3 235.327 2.775 20 0 BFADHN Fc1ccc(C2CC(NCc3cc[nH]n3)C2)cc1 ZINC000041015071 358505187 /nfs/dbraw/zinc/50/51/87/358505187.db2.gz PATLVORKOHEKSD-UHFFFAOYSA-N 0 3 245.301 2.585 20 0 BFADHN CSCC[C@@H](C)N(C)CC1(F)CC1 ZINC000379359247 356451120 /nfs/dbraw/zinc/45/11/20/356451120.db2.gz XUEBKMFSCCFWAI-SECBINFHSA-N 0 3 205.342 2.562 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H]1CCCc2ncccc21 ZINC000631651165 356451804 /nfs/dbraw/zinc/45/18/04/356451804.db2.gz SGKBBTDWAYAMJA-ZNMIVQPWSA-N 0 3 246.354 2.616 20 0 BFADHN Cc1ccc(F)cc1CN[C@H]1CO[C@H](C2CC2)C1 ZINC000623754871 356452008 /nfs/dbraw/zinc/45/20/08/356452008.db2.gz UYFXHAYOJUZHKQ-CABCVRRESA-N 0 3 249.329 2.791 20 0 BFADHN C[C@@H]1OCC[C@@H]1CN1CCc2ccc(F)cc2C1 ZINC000639558259 356462883 /nfs/dbraw/zinc/46/28/83/356462883.db2.gz TZWVTTSPXDHJJS-WCQYABFASA-N 0 3 249.329 2.609 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@@H]1CC(C)(C)CO1 ZINC000639551827 356440754 /nfs/dbraw/zinc/44/07/54/356440754.db2.gz SGGQMKMDEDQCDI-OCCSQVGLSA-N 0 3 248.370 2.890 20 0 BFADHN FCCCNCc1ccsc1Cl ZINC000379329398 356446167 /nfs/dbraw/zinc/44/61/67/356446167.db2.gz UQHAHFQNRUTOKW-UHFFFAOYSA-N 0 3 207.701 2.851 20 0 BFADHN CCc1cc(N2CCC[C@@H](CCO)CC2)ccn1 ZINC000420634568 192252479 /nfs/dbraw/zinc/25/24/79/192252479.db2.gz DFZTUINMALSFHR-CYBMUJFWSA-N 0 3 248.370 2.633 20 0 BFADHN C[C@@]1(c2ccccc2)CCN(Cc2ccno2)C1 ZINC000336161995 134111633 /nfs/dbraw/zinc/11/16/33/134111633.db2.gz GEASBNOLQCBUIV-OAHLLOKOSA-N 0 3 242.322 2.838 20 0 BFADHN CN(C[C@H]1CCCCO1)[C@@H]1C=CCCC1 ZINC000336166609 134113530 /nfs/dbraw/zinc/11/35/30/134113530.db2.gz QESIRGNRJSXEJL-CHWSQXEVSA-N 0 3 209.333 2.596 20 0 BFADHN CC1(C)CC[C@@H](CN2CCC(C(F)F)CC2)O1 ZINC000334150816 356476045 /nfs/dbraw/zinc/47/60/45/356476045.db2.gz NSENMXXIWMPMQB-NSHDSACASA-N 0 3 247.329 2.921 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2nccs2)cn1 ZINC000179428592 366254294 /nfs/dbraw/zinc/25/42/94/366254294.db2.gz HFYKUQVTEKJKDV-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)C[C@H]1C[C@@H](O)C1 ZINC000420903730 192268288 /nfs/dbraw/zinc/26/82/88/192268288.db2.gz OPCFNWHVDHYITP-SDDRHHMPSA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@H]1CN(CCC2CC2)C[C@H](CC)O1 ZINC000420948830 192276968 /nfs/dbraw/zinc/27/69/68/192276968.db2.gz FAXCJGYKCRSJOO-STQMWFEESA-N 0 3 211.349 2.676 20 0 BFADHN CO[C@@H](C)CN1CCC[C@@H](CC(F)(F)F)C1 ZINC000420954342 192278223 /nfs/dbraw/zinc/27/82/23/192278223.db2.gz UMDMXZFWEFFWPL-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN CC[C@H]1CN(CCCSC)C[C@H](CC)O1 ZINC000420955751 192280114 /nfs/dbraw/zinc/28/01/14/192280114.db2.gz MCFPRJQIIFFRAN-RYUDHWBXSA-N 0 3 231.405 2.629 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2ccno2)C1 ZINC000336184043 134120449 /nfs/dbraw/zinc/12/04/49/134120449.db2.gz WUGSVDCJVZEYLY-NSHDSACASA-N 0 3 208.305 2.543 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCC(=O)c1ccccc1F ZINC000639614640 356533225 /nfs/dbraw/zinc/53/32/25/356533225.db2.gz YFGIGFJHQZLEKC-MFKMUULPSA-N 0 3 235.302 2.787 20 0 BFADHN CC(=O)CN1C[C@H](c2ccc(C)cc2)C[C@H]1C ZINC000639617562 356535263 /nfs/dbraw/zinc/53/52/63/356535263.db2.gz XMXBMGBMNXTNCR-IUODEOHRSA-N 0 3 231.339 2.762 20 0 BFADHN CC1=CCCN(Cc2cccc3c2CCOC3)C1 ZINC000639625020 356538513 /nfs/dbraw/zinc/53/85/13/356538513.db2.gz ZIPYRWFPJIDODX-UHFFFAOYSA-N 0 3 243.350 2.911 20 0 BFADHN C[C@H](O)CCN[C@H](CC(F)F)c1ccccc1 ZINC000420994220 192293390 /nfs/dbraw/zinc/29/33/90/192293390.db2.gz NAAQFJMCEHPSEM-CMPLNLGQSA-N 0 3 243.297 2.743 20 0 BFADHN COC[C@@H]1CCCN(Cc2ncccc2C)CC1 ZINC000449775917 202033118 /nfs/dbraw/zinc/03/31/18/202033118.db2.gz NJXZEPMFPLRGEH-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN c1[nH]nc2c1CN(CCC1=CCCCC1)CC2 ZINC000336195152 134125653 /nfs/dbraw/zinc/12/56/53/134125653.db2.gz NWOMTYNUBRBHAR-UHFFFAOYSA-N 0 3 231.343 2.658 20 0 BFADHN CC(C)N(Cc1cc[nH]n1)C1CC(C)(C)C1 ZINC000449784024 202034520 /nfs/dbraw/zinc/03/45/20/202034520.db2.gz UIVKRGIFMNFFOZ-UHFFFAOYSA-N 0 3 221.348 2.809 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@@H](C)CC1CCC1 ZINC000613541459 363474162 /nfs/dbraw/zinc/47/41/62/363474162.db2.gz GPDAOUPAGLYMBM-WCQYABFASA-N 0 3 239.359 2.593 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@H](C)CC1CCC1 ZINC000613541458 363474527 /nfs/dbraw/zinc/47/45/27/363474527.db2.gz GPDAOUPAGLYMBM-DGCLKSJQSA-N 0 3 239.359 2.593 20 0 BFADHN CCc1cc(N2C[C@H](C(N)=O)CC[C@H]2C)ccn1 ZINC000336197371 134126822 /nfs/dbraw/zinc/12/68/22/134126822.db2.gz BTBITRDRWPIJRL-GHMZBOCLSA-N 0 3 247.342 2.784 20 0 BFADHN CC(=O)CN1C[C@H](c2ccccc2)[C@@H]2CCC[C@@H]21 ZINC000639637241 356550759 /nfs/dbraw/zinc/55/07/59/356550759.db2.gz FPOHKOCAXPZXDZ-XHSDSOJGSA-N 0 3 243.350 2.844 20 0 BFADHN CC[C@H](C)N(C)Cc1cn(C)nc1C(C)(C)C ZINC000179697040 366287997 /nfs/dbraw/zinc/28/79/97/366287997.db2.gz ZCIPBWFIWCEIPO-NSHDSACASA-N 0 3 237.391 2.948 20 0 BFADHN CC[C@@]1(O)CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC000336198432 134127436 /nfs/dbraw/zinc/12/74/36/134127436.db2.gz DNUMXWOAPIGZPL-SMDDNHRTSA-N 0 3 237.318 2.734 20 0 BFADHN Cc1cccc(CCCN2CC[C@@]23CCOC3)c1 ZINC000421016839 192303962 /nfs/dbraw/zinc/30/39/62/192303962.db2.gz JJSZBXAABFNECZ-INIZCTEOSA-N 0 3 245.366 2.792 20 0 BFADHN C[C@H]1CN(C[C@@H]2CC(C)(C)CO2)CCC1(F)F ZINC000639644999 356558948 /nfs/dbraw/zinc/55/89/48/356558948.db2.gz CJNMLQNELKXZDQ-QWRGUYRKSA-N 0 3 247.329 2.779 20 0 BFADHN C[C@@H]1CN(Cc2cccc3c2CCOC3)[C@H]1C ZINC000639654678 356559425 /nfs/dbraw/zinc/55/94/25/356559425.db2.gz FGCCWXONRKJBOU-NEPJUHHUSA-N 0 3 231.339 2.600 20 0 BFADHN Cc1cc(C)c(CN2C[C@H](C)[C@@H]2C)c(C)n1 ZINC000639655106 356559709 /nfs/dbraw/zinc/55/97/09/356559709.db2.gz JBQGALKCSTVBGM-GWCFXTLKSA-N 0 3 218.344 2.847 20 0 BFADHN CCC(F)(F)CN1CC[C@@H](N2CCCC2)[C@@H]1C ZINC000639649222 356560701 /nfs/dbraw/zinc/56/07/01/356560701.db2.gz XNYWIHUONFYLQE-NWDGAFQWSA-N 0 3 246.345 2.590 20 0 BFADHN OC[C@@H]1CCCN(Cc2cccc(C3CC3)c2)C1 ZINC000421327555 192318206 /nfs/dbraw/zinc/31/82/06/192318206.db2.gz JJXZNBXSIRVSCF-CQSZACIVSA-N 0 3 245.366 2.768 20 0 BFADHN O=C(CN[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccccc1F ZINC000639671553 356587459 /nfs/dbraw/zinc/58/74/59/356587459.db2.gz SOJIJGFZJRLRFZ-SCDSUCTJSA-N 0 3 247.313 2.787 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@@H](CC)N(C)C ZINC000450102460 202080714 /nfs/dbraw/zinc/08/07/14/202080714.db2.gz GWFVYFXPFQCGEJ-CHWSQXEVSA-N 0 3 242.407 2.802 20 0 BFADHN CCN1[C@H](C)CN([C@@H](C)c2ccncc2)C[C@@H]1C ZINC000639742988 356631777 /nfs/dbraw/zinc/63/17/77/356631777.db2.gz DOOSZGZRBGXOCH-MJBXVCDLSA-N 0 3 247.386 2.557 20 0 BFADHN CCc1cc(N2CCC[C@@H](C)C2)ccn1 ZINC000450209718 202096596 /nfs/dbraw/zinc/09/65/96/202096596.db2.gz XRMGMMGJXHHMBU-LLVKDONJSA-N 0 3 204.317 2.880 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1CCC1=CCCCC1 ZINC000450231765 202100369 /nfs/dbraw/zinc/10/03/69/202100369.db2.gz JLRGSIJHGDAQJE-OLZOCXBDSA-N 0 3 223.360 2.986 20 0 BFADHN CC(=O)CN1CCC[C@@H]1CC1CCCC1 ZINC000639749697 356644096 /nfs/dbraw/zinc/64/40/96/356644096.db2.gz KBYFVCYNPBQBIN-CYBMUJFWSA-N 0 3 209.333 2.620 20 0 BFADHN CCN(CC[C@@H](C)O)Cc1ccc(Cl)cc1 ZINC000450304060 202123016 /nfs/dbraw/zinc/12/30/16/202123016.db2.gz PBSFOQOMTVIQFS-LLVKDONJSA-N 0 3 241.762 2.933 20 0 BFADHN Cc1n[nH]c(C)c1CN[C@@H]1CC12CCCCC2 ZINC000387199694 363543286 /nfs/dbraw/zinc/54/32/86/363543286.db2.gz XDMRHYJUUHUILL-CYBMUJFWSA-N 0 3 233.359 2.839 20 0 BFADHN C1=C(CCN2CCN(C3CC3)CC2)CCCC1 ZINC000450297489 202124098 /nfs/dbraw/zinc/12/40/98/202124098.db2.gz IXKAHHPRXSKAID-UHFFFAOYSA-N 0 3 234.387 2.657 20 0 BFADHN CCOCCN(CC)c1ccnc(CC)c1 ZINC000450324323 202130419 /nfs/dbraw/zinc/13/04/19/202130419.db2.gz OBPVWUVWIOTAPS-UHFFFAOYSA-N 0 3 222.332 2.507 20 0 BFADHN COC1CCN([C@@H](C)c2ccc(F)cc2)CC1 ZINC000053907026 363558180 /nfs/dbraw/zinc/55/81/80/363558180.db2.gz RHSQWYJRNPJCLV-NSHDSACASA-N 0 3 237.318 2.998 20 0 BFADHN CC(C)CN(Cc1cnns1)C1CCCC1 ZINC000450312893 202126143 /nfs/dbraw/zinc/12/61/43/202126143.db2.gz OEQUDCIRQLMIBO-UHFFFAOYSA-N 0 3 239.388 2.939 20 0 BFADHN CCc1cc(N2C[C@@H](C)C[C@H]2C)ccn1 ZINC000450352658 202140191 /nfs/dbraw/zinc/14/01/91/202140191.db2.gz WAXAHAOURGOFFE-WDEREUQCSA-N 0 3 204.317 2.879 20 0 BFADHN CCc1cc(N2C[C@H](C)C[C@@H]2C)ccn1 ZINC000450352656 202140629 /nfs/dbraw/zinc/14/06/29/202140629.db2.gz WAXAHAOURGOFFE-MNOVXSKESA-N 0 3 204.317 2.879 20 0 BFADHN CCOC[C@@H]1CCN(c2ccnc(CC)c2)C1 ZINC000450362490 202141435 /nfs/dbraw/zinc/14/14/35/202141435.db2.gz GFZAMWGTLNDAMU-GFCCVEGCSA-N 0 3 234.343 2.507 20 0 BFADHN CCC[C@H](C)CN(CC)Cc1cnn(C)c1 ZINC000179839023 366320477 /nfs/dbraw/zinc/32/04/77/366320477.db2.gz ARNQYQGAKIFVDJ-LBPRGKRZSA-N 0 3 223.364 2.678 20 0 BFADHN CCc1cc(N2CC[C@@H](C)[C@H]2C)ccn1 ZINC000450370016 202144283 /nfs/dbraw/zinc/14/42/83/202144283.db2.gz UUUGCIFFLMBMDV-GHMZBOCLSA-N 0 3 204.317 2.879 20 0 BFADHN CCc1cc(N2CC[C@H](C)[C@H]2C)ccn1 ZINC000450370019 202144837 /nfs/dbraw/zinc/14/48/37/202144837.db2.gz UUUGCIFFLMBMDV-WDEREUQCSA-N 0 3 204.317 2.879 20 0 BFADHN CC(C)=CCN(C)CCOc1ccccc1 ZINC000070740114 325027496 /nfs/dbraw/zinc/02/74/96/325027496.db2.gz UGMWIQSICMKVAF-UHFFFAOYSA-N 0 3 219.328 2.963 20 0 BFADHN CC[C@H](F)CN1CCc2ccccc2CC1 ZINC000516533979 491100862 /nfs/dbraw/zinc/10/08/62/491100862.db2.gz HLYRKDVPPYKKAZ-AWEZNQCLSA-N 0 3 221.319 2.835 20 0 BFADHN CCOC[C@H](C)NCc1cc(F)cc(Cl)c1 ZINC000168257174 134160897 /nfs/dbraw/zinc/16/08/97/134160897.db2.gz CTMUSRJKAKDPLV-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN C[C@H]1Cc2ccccc2CN1CC1=CCCOC1 ZINC000179910926 366333636 /nfs/dbraw/zinc/33/36/36/366333636.db2.gz RTAQCLLZAHTATI-ZDUSSCGKSA-N 0 3 243.350 2.780 20 0 BFADHN CCc1cc(N(CCOC)CC(C)C)ccn1 ZINC000450390928 202151911 /nfs/dbraw/zinc/15/19/11/202151911.db2.gz MSQCPVRGRZVJNS-UHFFFAOYSA-N 0 3 236.359 2.753 20 0 BFADHN CC1(C)CC[C@@H](CN2CCC[C@@H]2c2cn[nH]c2)O1 ZINC000639776435 356718694 /nfs/dbraw/zinc/71/86/94/356718694.db2.gz ANRUXCHKJDORIH-QWHCGFSZSA-N 0 3 249.358 2.504 20 0 BFADHN CCN(Cc1cccnc1C)C1CCC1 ZINC000353800774 356719147 /nfs/dbraw/zinc/71/91/47/356719147.db2.gz DBGJPEJWCJTSTO-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN CCc1cc(N2CCC(C)(COC)CC2)ccn1 ZINC000450423650 202163538 /nfs/dbraw/zinc/16/35/38/202163538.db2.gz OVVXOEOGRAHCEX-UHFFFAOYSA-N 0 3 248.370 2.897 20 0 BFADHN C/C=C\CNCc1ccc(C)c([N+](=O)[O-])c1 ZINC000384704925 356727167 /nfs/dbraw/zinc/72/71/67/356727167.db2.gz BXDDDTBHLSHARB-ARJAWSKDSA-N 0 3 220.272 2.569 20 0 BFADHN C/C=C/CNCc1ccc(C)c([N+](=O)[O-])c1 ZINC000384704926 356727226 /nfs/dbraw/zinc/72/72/26/356727226.db2.gz BXDDDTBHLSHARB-ONEGZZNKSA-N 0 3 220.272 2.569 20 0 BFADHN CCc1cc(N(C)C[C@@H]2CCCC[C@H]2O)ccn1 ZINC000450430247 202164946 /nfs/dbraw/zinc/16/49/46/202164946.db2.gz QGBZUXDBPJWBGH-SWLSCSKDSA-N 0 3 248.370 2.631 20 0 BFADHN COCCNCc1csc(C(F)(F)F)c1 ZINC000623336816 356728349 /nfs/dbraw/zinc/72/83/49/356728349.db2.gz GMOSZXWEPFHGLD-UHFFFAOYSA-N 0 3 239.262 2.503 20 0 BFADHN CCN(Cc1ccc(SC)s1)[C@@H](C)CO ZINC000354437527 356738629 /nfs/dbraw/zinc/73/86/29/356738629.db2.gz RYNAXSSSLDTLFR-VIFPVBQESA-N 0 3 245.413 2.673 20 0 BFADHN Cn1cc(C2=CCCN([C@H]3C=CCCC3)C2)cn1 ZINC000639796409 356752755 /nfs/dbraw/zinc/75/27/55/356752755.db2.gz RDCLPRCPRUVDGA-HNNXBMFYSA-N 0 3 243.354 2.618 20 0 BFADHN Cn1cc(C2=CCCN(CCC3CCC3)C2)cn1 ZINC000639796856 356754635 /nfs/dbraw/zinc/75/46/35/356754635.db2.gz XCTSAKNMHIFHDA-UHFFFAOYSA-N 0 3 245.370 2.699 20 0 BFADHN CCN(Cc1cncs1)CC1CCC1 ZINC000355612027 356766520 /nfs/dbraw/zinc/76/65/20/356766520.db2.gz TZKMTBYSASGJPU-UHFFFAOYSA-N 0 3 210.346 2.765 20 0 BFADHN CCN(Cc1cnn(CC)c1)CC1CCC1 ZINC000355612979 356766617 /nfs/dbraw/zinc/76/66/17/356766617.db2.gz VCBQBIIJNPKBJC-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCC[C@H](O)CN1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000450470156 202174042 /nfs/dbraw/zinc/17/40/42/202174042.db2.gz SXTKAGRPBVKRQI-VHDGCEQUSA-N 0 3 233.355 2.840 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1cccc(F)c1F ZINC000390496698 356772102 /nfs/dbraw/zinc/77/21/02/356772102.db2.gz SFCYBUVQYPZMKO-GHMZBOCLSA-N 0 3 243.322 2.948 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1cccc(F)c1F ZINC000390496700 356772125 /nfs/dbraw/zinc/77/21/25/356772125.db2.gz SFCYBUVQYPZMKO-MNOVXSKESA-N 0 3 243.322 2.948 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1cccc(F)c1F ZINC000390496702 356772262 /nfs/dbraw/zinc/77/22/62/356772262.db2.gz SFCYBUVQYPZMKO-QWRGUYRKSA-N 0 3 243.322 2.948 20 0 BFADHN CCC(F)(F)C(C)(C)CNCc1c[nH]nc1C ZINC000623356401 356792302 /nfs/dbraw/zinc/79/23/02/356792302.db2.gz NQSTWRRLLIVSBR-UHFFFAOYSA-N 0 3 245.317 2.879 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1ccnn1CC ZINC000356500191 356797908 /nfs/dbraw/zinc/79/79/08/356797908.db2.gz DGMBZTNGOYOHKD-GFCCVEGCSA-N 0 3 223.364 2.914 20 0 BFADHN CCc1cc(N2C[C@H](C)O[C@@H](C3CC3)C2)ccn1 ZINC000450492379 202185204 /nfs/dbraw/zinc/18/52/04/202185204.db2.gz SVXHEXYOQVTMHK-XHDPSFHLSA-N 0 3 246.354 2.648 20 0 BFADHN CCc1cc(N2CCO[C@H](C(C)(C)C)C2)ccn1 ZINC000450490159 202182434 /nfs/dbraw/zinc/18/24/34/202182434.db2.gz KBNINXGLPALNNN-AWEZNQCLSA-N 0 3 248.370 2.895 20 0 BFADHN Cc1cc(C)c(CN2CC[C@@H]3C[C@@H]3C2)c(C)n1 ZINC000639859546 356866762 /nfs/dbraw/zinc/86/67/62/356866762.db2.gz GIZJRPDQZOXLAY-ZIAGYGMSSA-N 0 3 230.355 2.849 20 0 BFADHN CCc1cnccc1[C@H](C)NCc1ccncc1 ZINC000358518956 356869990 /nfs/dbraw/zinc/86/99/90/356869990.db2.gz RZFHPBQYZFLEOQ-LBPRGKRZSA-N 0 3 241.338 2.890 20 0 BFADHN CC[C@@H](N[C@H]1CCOC1)c1cccc(OC)c1 ZINC000358261524 356865522 /nfs/dbraw/zinc/86/55/22/356865522.db2.gz YKACTVIEYNWTRS-GXTWGEPZSA-N 0 3 235.327 2.525 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1ncc(C)cn1 ZINC000360339880 356905890 /nfs/dbraw/zinc/90/58/90/356905890.db2.gz WAXZHGZBOCOKSC-OLZOCXBDSA-N 0 3 233.359 2.843 20 0 BFADHN C[C@H](O)CCCNC1(c2ccc(F)cc2)CC1 ZINC000450551950 202197347 /nfs/dbraw/zinc/19/73/47/202197347.db2.gz GOJMBUPYKHBRFZ-NSHDSACASA-N 0 3 237.318 2.565 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1NCc1nccn1C(F)F ZINC000353504712 538745764 /nfs/dbraw/zinc/74/57/64/538745764.db2.gz SIDZTDFLAXNFAZ-UWVGGRQHSA-N 0 3 243.301 2.802 20 0 BFADHN CCN(Cc1ccc(C)c(F)c1)C[C@@H](C)OC ZINC000361017334 356915259 /nfs/dbraw/zinc/91/52/59/356915259.db2.gz MIVWHKZURXUTAE-GFCCVEGCSA-N 0 3 239.334 2.991 20 0 BFADHN CCc1cnccc1[C@H](C)NC[C@H]1CCCCO1 ZINC000361131443 356917313 /nfs/dbraw/zinc/91/73/13/356917313.db2.gz JGOPYHHSLOYSGP-GXTWGEPZSA-N 0 3 248.370 2.864 20 0 BFADHN CCN(Cc1ccc(C)cc1)[C@H]1CCOC1 ZINC000361239294 356920371 /nfs/dbraw/zinc/92/03/71/356920371.db2.gz UKPKLENTAIYABM-AWEZNQCLSA-N 0 3 219.328 2.606 20 0 BFADHN CCN(Cc1ccc(C)s1)[C@@H]1CCOC1 ZINC000361236982 356920979 /nfs/dbraw/zinc/92/09/79/356920979.db2.gz HSZHAODBVXPUQX-LLVKDONJSA-N 0 3 225.357 2.667 20 0 BFADHN CCN(Cc1cc(C)ccc1OC)[C@H]1CCOC1 ZINC000361254576 356922787 /nfs/dbraw/zinc/92/27/87/356922787.db2.gz ZPFVHNGCLMYFAX-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CC(C)CC[C@H](O)CN1CCSC(C)(C)C1 ZINC000361270956 356924000 /nfs/dbraw/zinc/92/40/00/356924000.db2.gz DXRALFIRTMNJDZ-LBPRGKRZSA-N 0 3 245.432 2.611 20 0 BFADHN CCN(Cc1ccc(F)cn1)CC1CCC1 ZINC000361488483 356931112 /nfs/dbraw/zinc/93/11/12/356931112.db2.gz ZVJMQWIASRTPMV-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN CCN(Cc1n[nH]c(C2CC2)n1)CC(C)(C)C ZINC000361527150 356931898 /nfs/dbraw/zinc/93/18/98/356931898.db2.gz FWUFCFDWNNBAMO-UHFFFAOYSA-N 0 3 236.363 2.550 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1NCc1cn2ccsc2n1 ZINC000353391887 538738949 /nfs/dbraw/zinc/73/89/49/538738949.db2.gz FHXCZNZPXPUURT-RYUDHWBXSA-N 0 3 249.383 2.920 20 0 BFADHN COc1ncccc1CN[C@@H]1CC[C@@H]1C(C)C ZINC000353433585 538743561 /nfs/dbraw/zinc/74/35/61/538743561.db2.gz GZDSEMXQLAQAIS-CHWSQXEVSA-N 0 3 234.343 2.614 20 0 BFADHN CCCC[C@H](CC)CC(=O)NCCN(C)CC ZINC000117259841 356978333 /nfs/dbraw/zinc/97/83/33/356978333.db2.gz PWGCHSMBEYKTOF-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN CCOc1cc2c(cc1CNC(C)C)O[C@@H](C)C2 ZINC000019880349 356990178 /nfs/dbraw/zinc/99/01/78/356990178.db2.gz VUJRLVYYPVITQK-NSHDSACASA-N 0 3 249.354 2.907 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1nccnc1C ZINC000639864969 356955415 /nfs/dbraw/zinc/95/54/15/356955415.db2.gz LLGXSIQTBQTXCR-WCQYABFASA-N 0 3 233.359 2.938 20 0 BFADHN CCN1CCC(Oc2ccc(F)cc2)CC1 ZINC000115556767 356956313 /nfs/dbraw/zinc/95/63/13/356956313.db2.gz MASKPWWVOPONIF-UHFFFAOYSA-N 0 3 223.291 2.689 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1ccnc(C#N)c1 ZINC000399710403 357022695 /nfs/dbraw/zinc/02/26/95/357022695.db2.gz ARHQGRJTKXZWJQ-FZMZJTMJSA-N 0 3 229.327 2.574 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1ccnc(C#N)c1 ZINC000399710404 357022712 /nfs/dbraw/zinc/02/27/12/357022712.db2.gz ARHQGRJTKXZWJQ-RISCZKNCSA-N 0 3 229.327 2.574 20 0 BFADHN CCN(Cc1nnc(C)o1)[C@@H]1CCCC[C@@H]1C ZINC000120126912 357037111 /nfs/dbraw/zinc/03/71/11/357037111.db2.gz OYVVJOUFMLBSGE-CMPLNLGQSA-N 0 3 237.347 2.779 20 0 BFADHN CC(C)CC[C@H](NCc1cc[nH]n1)C1CC1 ZINC000304352372 325101116 /nfs/dbraw/zinc/10/11/16/325101116.db2.gz QPZGLYGRTLALDL-ZDUSSCGKSA-N 0 3 221.348 2.714 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1N[C@@H](c1nccn1C)C1CC1 ZINC000353862227 538769343 /nfs/dbraw/zinc/76/93/43/538769343.db2.gz FWBIQUZRUIVNIY-BFHYXJOUSA-N 0 3 247.386 2.895 20 0 BFADHN CC/C=C\CNCc1ccc2c(n1)CCC2 ZINC000582346210 357088137 /nfs/dbraw/zinc/08/81/37/357088137.db2.gz MKFNCMJRWKXLJX-ARJAWSKDSA-N 0 3 216.328 2.626 20 0 BFADHN CC(C)(F)CN[C@H]1CC(C)(C)OC1(C)C ZINC000631658537 357156088 /nfs/dbraw/zinc/15/60/88/357156088.db2.gz LIZNOMJRWCFUJG-VIFPVBQESA-N 0 3 217.328 2.670 20 0 BFADHN CCCC[C@@H](CC)CCNCc1nncn1C ZINC000356067537 538804954 /nfs/dbraw/zinc/80/49/54/538804954.db2.gz BPOAKKWWIHKYEN-GFCCVEGCSA-N 0 3 238.379 2.511 20 0 BFADHN FC1(CNCCOc2ccccc2Cl)CC1 ZINC000390799681 357116765 /nfs/dbraw/zinc/11/67/65/357116765.db2.gz WYQDVJYGZDTAHA-UHFFFAOYSA-N 0 3 243.709 2.811 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2ccn(C)n2)[C@H]1C ZINC000582395405 357128021 /nfs/dbraw/zinc/12/80/21/357128021.db2.gz VPXUTVOQCOYEQN-AGIUHOORSA-N 0 3 235.375 2.582 20 0 BFADHN Cc1ccc(CNCCCOC(F)F)c(F)c1 ZINC000631128582 357193520 /nfs/dbraw/zinc/19/35/20/357193520.db2.gz AJMBANUQKNOTIN-UHFFFAOYSA-N 0 3 247.260 2.853 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@H](C3CC3)C2)c(F)c1 ZINC000631183425 357239821 /nfs/dbraw/zinc/23/98/21/357239821.db2.gz OOKNGUQLGNSAIQ-HIFRSBDPSA-N 0 3 249.329 2.791 20 0 BFADHN Cn1ccnc1[C@H](N[C@H]1CCCC12CC2)C1CC1 ZINC000631661695 357202157 /nfs/dbraw/zinc/20/21/57/357202157.db2.gz DLHKEOQNTWRQFO-QWHCGFSZSA-N 0 3 245.370 2.794 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1CCCC12CC2)C1CC1 ZINC000631661669 357203784 /nfs/dbraw/zinc/20/37/84/357203784.db2.gz DLHKEOQNTWRQFO-CHWSQXEVSA-N 0 3 245.370 2.794 20 0 BFADHN CCOc1cc(CN2C[C@@H]3C[C@@H]3C2)ccc1OC ZINC000628408301 357206062 /nfs/dbraw/zinc/20/60/62/357206062.db2.gz XLANZUAHVBDXRV-BETUJISGSA-N 0 3 247.338 2.546 20 0 BFADHN COc1cc(CN2C[C@@H]3C[C@@H]3C2)ccc1SC ZINC000628409242 357209703 /nfs/dbraw/zinc/20/97/03/357209703.db2.gz OSAARPDZEJJOQZ-TXEJJXNPSA-N 0 3 249.379 2.869 20 0 BFADHN c1ccc(OCC2CC2)c(CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000628409238 357209875 /nfs/dbraw/zinc/20/98/75/357209875.db2.gz ORILOPOTQBOXDB-GASCZTMLSA-N 0 3 243.350 2.927 20 0 BFADHN CCc1cccc(F)c1CN1C[C@@H]2C[C@@H]2C1 ZINC000628409970 357213964 /nfs/dbraw/zinc/21/39/64/357213964.db2.gz CYGPUJMZXNAHRH-TXEJJXNPSA-N 0 3 219.303 2.840 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCC[C@@H](C)[C@H]2C)[n-]1 ZINC000392375369 259470836 /nfs/dbraw/zinc/47/08/36/259470836.db2.gz OMWKGQJEBWFJLM-MWGHHZFTSA-N 0 3 236.363 2.588 20 0 BFADHN C[C@H](N[C@@H]1CCCC12CC2)c1cc2n(n1)CCC2 ZINC000631663067 357226137 /nfs/dbraw/zinc/22/61/37/357226137.db2.gz IDXBZFFYDQNUAJ-SMDDNHRTSA-N 0 3 245.370 2.813 20 0 BFADHN CCO[C@@H]1C[C@H](NCC(C)(C)F)C12CCC2 ZINC000631659102 357185948 /nfs/dbraw/zinc/18/59/48/357185948.db2.gz PFKKVXXJOACAPQ-WDEREUQCSA-N 0 3 229.339 2.672 20 0 BFADHN C[C@@H]1CN(CC2=CCCOC2)C[C@H](C)C1(F)F ZINC000628390440 357187635 /nfs/dbraw/zinc/18/76/35/357187635.db2.gz IIWHZUMVINMLIN-PHIMTYICSA-N 0 3 245.313 2.556 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCCC(F)(F)CC1 ZINC000628421871 357243798 /nfs/dbraw/zinc/24/37/98/357243798.db2.gz CQWMNRBEIKIOEY-UHFFFAOYSA-N 0 3 243.301 2.648 20 0 BFADHN c1coc(CN2CC[C@H]3CC[C@@H](C2)S3)c1 ZINC000628423023 357246470 /nfs/dbraw/zinc/24/64/70/357246470.db2.gz YNHXQVYHZDONED-NEPJUHHUSA-N 0 3 223.341 2.750 20 0 BFADHN CCC[C@H](CCO)N[C@H](C)c1ccc(C)o1 ZINC000631665647 357254735 /nfs/dbraw/zinc/25/47/35/357254735.db2.gz AAHMTPNFWBEEES-VXGBXAGGSA-N 0 3 225.332 2.790 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CC3(C2)CCCO3)C1 ZINC000628427639 357256967 /nfs/dbraw/zinc/25/69/67/357256967.db2.gz OTVXINSEKMCMEO-OLZOCXBDSA-N 0 3 223.360 2.678 20 0 BFADHN CCC[C@@H](C)[C@@H](CO)NCc1ccc(CC)o1 ZINC000582729918 357374359 /nfs/dbraw/zinc/37/43/59/357374359.db2.gz PBAHXXSKZNKSES-BXUZGUMPSA-N 0 3 239.359 2.729 20 0 BFADHN CCn1c2ccccc2nc1CN[C@@H]1CC[C@@H]1C ZINC000393976093 357313120 /nfs/dbraw/zinc/31/31/20/357313120.db2.gz BJNVWYUFGLNGJB-NWDGAFQWSA-N 0 3 243.354 2.944 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1cc(C)no1 ZINC000582708199 357375988 /nfs/dbraw/zinc/37/59/88/357375988.db2.gz UFMAJUVKFKSDRG-JTQLQIEISA-N 0 3 210.321 2.897 20 0 BFADHN c1cn2cc(CN[C@H]3CCCC34CC4)nc2s1 ZINC000582557668 357344394 /nfs/dbraw/zinc/34/43/94/357344394.db2.gz PLWUMZHCYHSUGF-NSHDSACASA-N 0 3 247.367 2.818 20 0 BFADHN Cc1nc(CN[C@H]2CCC(C)(C)C2)[nH]c1C ZINC000582737118 357377327 /nfs/dbraw/zinc/37/73/27/357377327.db2.gz RPUCLMAMKKPECD-NSHDSACASA-N 0 3 221.348 2.695 20 0 BFADHN CCN(CCc1ccccn1)Cc1ccoc1 ZINC000102409371 357388281 /nfs/dbraw/zinc/38/82/81/357388281.db2.gz LCMJIXDIPCFJTN-UHFFFAOYSA-N 0 3 230.311 2.739 20 0 BFADHN C[C@@H](NCc1cocn1)[C@H]1CC2CCC1CC2 ZINC000395177572 357416029 /nfs/dbraw/zinc/41/60/29/357416029.db2.gz JKTLLDPJZFKVCN-MLCFOIATSA-N 0 3 234.343 2.979 20 0 BFADHN FCCCCN1CCC=C(c2ccccn2)C1 ZINC000582853386 357419257 /nfs/dbraw/zinc/41/92/57/357419257.db2.gz CEJKLSBSWJNRCK-UHFFFAOYSA-N 0 3 234.318 2.920 20 0 BFADHN C[C@H]1CC[C@H](N[C@@H]2C[C@H]2C(F)(F)F)CS1 ZINC000631263200 357501148 /nfs/dbraw/zinc/50/11/48/357501148.db2.gz ZWHQAOVJCDPDIQ-RBXMUDONSA-N 0 3 239.306 2.811 20 0 BFADHN CC(C)=CCN[C@@]1(CO)CCCc2ccccc21 ZINC000583136014 357489091 /nfs/dbraw/zinc/48/90/91/357489091.db2.gz CAAGSQIEFHDOCB-MRXNPFEDSA-N 0 3 245.366 2.766 20 0 BFADHN c1ccc([C@H]2C[C@H](NCc3cnccn3)C2)cc1 ZINC000583189695 357515049 /nfs/dbraw/zinc/51/50/49/357515049.db2.gz USAYMFPPEMCEMF-HDJSIYSDSA-N 0 3 239.322 2.512 20 0 BFADHN COC(C)(C)CCN[C@@H](C)c1nc(C)cs1 ZINC000230417543 357524235 /nfs/dbraw/zinc/52/42/35/357524235.db2.gz PBWVRHWIGCKLEI-JTQLQIEISA-N 0 3 242.388 2.917 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1CC[C@H](C)C1 ZINC000230408273 357539689 /nfs/dbraw/zinc/53/96/89/357539689.db2.gz LAMZFCKGFIOXPD-JOYOIKCWSA-N 0 3 222.332 2.817 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2occc2C)C1(C)C ZINC000230664105 357546423 /nfs/dbraw/zinc/54/64/23/357546423.db2.gz WMSQKEKNRUWYBG-CHWSQXEVSA-N 0 3 237.343 2.881 20 0 BFADHN COc1cccc(CNC[C@@H]2CCC[C@@H]2C)n1 ZINC000230667273 357547329 /nfs/dbraw/zinc/54/73/29/357547329.db2.gz VUBBLGBHPLZYAS-RYUDHWBXSA-N 0 3 234.343 2.616 20 0 BFADHN COc1cccc(CNC[C@@H]2CCC[C@H]2C)n1 ZINC000230667280 357547564 /nfs/dbraw/zinc/54/75/64/357547564.db2.gz VUBBLGBHPLZYAS-NEPJUHHUSA-N 0 3 234.343 2.616 20 0 BFADHN COc1ccc(C)cc1[C@H](C)NC1CC(OC)C1 ZINC000230674873 357548360 /nfs/dbraw/zinc/54/83/60/357548360.db2.gz XZXRYWOQLVFMQD-HIFPTAJRSA-N 0 3 249.354 2.832 20 0 BFADHN COC1CC(N[C@H](C)c2cccc(F)c2F)C1 ZINC000230675314 357548432 /nfs/dbraw/zinc/54/84/32/357548432.db2.gz RJHPLADIMRMJJR-XNWIYYODSA-N 0 3 241.281 2.793 20 0 BFADHN COC1CC(N[C@@H](C)c2cccc(F)c2F)C1 ZINC000230675310 357548484 /nfs/dbraw/zinc/54/84/84/357548484.db2.gz RJHPLADIMRMJJR-IDKOKCKLSA-N 0 3 241.281 2.793 20 0 BFADHN COC1CC(N[C@H]2CCSc3ccccc32)C1 ZINC000230674778 357548494 /nfs/dbraw/zinc/54/84/94/357548494.db2.gz RNVVESOAFDXAOF-XIVSLSHWSA-N 0 3 249.379 2.991 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCCS2)cn1 ZINC000158865010 538869408 /nfs/dbraw/zinc/86/94/08/538869408.db2.gz LQGSAFIGCCSOAU-ZDUSSCGKSA-N 0 3 236.384 2.765 20 0 BFADHN Fc1ccc(CN2CCC[C@H]2C2CCC2)cn1 ZINC000189314271 357631560 /nfs/dbraw/zinc/63/15/60/357631560.db2.gz FTDIWCATVLASNT-ZDUSSCGKSA-N 0 3 234.318 2.985 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1CC[C@@H](OC)C1 ZINC000231678610 357619641 /nfs/dbraw/zinc/61/96/41/357619641.db2.gz VBFVKPTXCIPEHC-UONOGXRCSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1CC[C@@H](OC)C1 ZINC000231678607 357619659 /nfs/dbraw/zinc/61/96/59/357619659.db2.gz VBFVKPTXCIPEHC-ZIAGYGMSSA-N 0 3 249.354 2.661 20 0 BFADHN CCCC(C)(C)NCc1cn(C(C)(C)C)nn1 ZINC000189958390 357677811 /nfs/dbraw/zinc/67/78/11/357677811.db2.gz XMADBMYEPXVROS-UHFFFAOYSA-N 0 3 238.379 2.701 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cn(C(C)(C)C)nn1 ZINC000189951987 357678094 /nfs/dbraw/zinc/67/80/94/357678094.db2.gz UGFZATCKMXZEDM-MNOVXSKESA-N 0 3 238.379 2.557 20 0 BFADHN Cc1cccc2c1OCC[C@H]2N[C@@H]1CCO[C@H]1C ZINC000189372843 357635091 /nfs/dbraw/zinc/63/50/91/357635091.db2.gz NJINAVXXTJKIMX-IACUBPJLSA-N 0 3 247.338 2.586 20 0 BFADHN Cc1cccc2c1OCC[C@@H]2N[C@@H]1CCO[C@H]1C ZINC000189464531 357636848 /nfs/dbraw/zinc/63/68/48/357636848.db2.gz NJINAVXXTJKIMX-YUTCNCBUSA-N 0 3 247.338 2.586 20 0 BFADHN C[C@@H]1CC[C@H](CN(C)Cc2cccc(F)c2)O1 ZINC000190325511 357701328 /nfs/dbraw/zinc/70/13/28/357701328.db2.gz QIWRZAQEPNWNQO-BXUZGUMPSA-N 0 3 237.318 2.825 20 0 BFADHN CCN(C)c1ccc(CN(C)CCC2CC2)cn1 ZINC000191449287 357771350 /nfs/dbraw/zinc/77/13/50/357771350.db2.gz KKQZPTVKHSBQNG-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN CCN(CCCSC)Cc1ccoc1 ZINC000610368507 357814842 /nfs/dbraw/zinc/81/48/42/357814842.db2.gz DAGFURLOJBXJMD-UHFFFAOYSA-N 0 3 213.346 2.855 20 0 BFADHN COc1cnccc1[C@@H](C)N[C@@H](C)C1CCC1 ZINC000192848303 357856952 /nfs/dbraw/zinc/85/69/52/357856952.db2.gz BHIQDONULRKTHF-WDEREUQCSA-N 0 3 234.343 2.929 20 0 BFADHN CN(Cc1ccco1)CC1(O)CCCCCC1 ZINC000192938202 357860916 /nfs/dbraw/zinc/86/09/16/357860916.db2.gz BZPVKKNGVWJQHT-UHFFFAOYSA-N 0 3 237.343 2.797 20 0 BFADHN CCN(Cc1c[nH]cn1)[C@@H]1CCCC[C@@H]1C ZINC000193387570 357881217 /nfs/dbraw/zinc/88/12/17/357881217.db2.gz RXTJUUDBZXWKKG-WCQYABFASA-N 0 3 221.348 2.810 20 0 BFADHN CC[C@H](O)CCCN[C@@H]1CCCc2occc21 ZINC000398514907 357887432 /nfs/dbraw/zinc/88/74/32/357887432.db2.gz ACCNHOYVLPIRLD-WCQYABFASA-N 0 3 237.343 2.798 20 0 BFADHN CC[C@@](C)(O)CN1CC(C)(C)[C@@H]1c1ccco1 ZINC000639930536 357910544 /nfs/dbraw/zinc/91/05/44/357910544.db2.gz XWSYKFABVYZFEE-GXTWGEPZSA-N 0 3 237.343 2.824 20 0 BFADHN Cc1cc(CNC2(C)Cc3ccccc3C2)on1 ZINC000631103380 357998524 /nfs/dbraw/zinc/99/85/24/357998524.db2.gz GOLDQPUSFQMRSZ-UHFFFAOYSA-N 0 3 242.322 2.630 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H]2CC2(C)C)s1 ZINC000307743738 491118795 /nfs/dbraw/zinc/11/87/95/491118795.db2.gz MUHXOJSDFPHHEU-GMSGAONNSA-N 0 3 210.346 2.901 20 0 BFADHN CCC(C)(C)CCN(C)Cc1c[nH]nc1C ZINC000639970269 358023063 /nfs/dbraw/zinc/02/30/63/358023063.db2.gz MXVXMMNRKGUXEL-UHFFFAOYSA-N 0 3 223.364 2.976 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@@H]1C[C@@H]1c1ccccc1 ZINC000639970350 358023151 /nfs/dbraw/zinc/02/31/51/358023151.db2.gz DVBAOVZAHSFGCB-HUUCEWRRSA-N 0 3 241.338 2.706 20 0 BFADHN Cc1cnc(CN(C)C(C2CC2)C2CC2)o1 ZINC000075676234 325306436 /nfs/dbraw/zinc/30/64/36/325306436.db2.gz ATMQLZDIDLNPFO-UHFFFAOYSA-N 0 3 220.316 2.603 20 0 BFADHN CC/C=C\CCN1CCOC[C@@H]1C1CCC1 ZINC000584527922 358041242 /nfs/dbraw/zinc/04/12/42/358041242.db2.gz PCSQBOBIEONISR-VQTKUKTRSA-N 0 3 223.360 2.844 20 0 BFADHN Fc1cccc(CNCC2CCC2)c1F ZINC000036888554 358055890 /nfs/dbraw/zinc/05/58/90/358055890.db2.gz GDMBEPYETCXDPZ-UHFFFAOYSA-N 0 3 211.255 2.855 20 0 BFADHN CCCC(C)(C)NC(=O)c1ccc2c(c1)CNC2 ZINC000169010416 134248696 /nfs/dbraw/zinc/24/86/96/134248696.db2.gz IGKZEVAIWAIAIE-UHFFFAOYSA-N 0 3 246.354 2.598 20 0 BFADHN COc1cc(C)ccc1[C@@H](C)NC[C@@H]1CCCO1 ZINC000037215279 358060420 /nfs/dbraw/zinc/06/04/20/358060420.db2.gz MZFDYZFBWKUGLX-OLZOCXBDSA-N 0 3 249.354 2.833 20 0 BFADHN CCOCCN[C@H](C)c1ccc(C)cc1OC ZINC000037269269 358061446 /nfs/dbraw/zinc/06/14/46/358061446.db2.gz KQRVGSLXGGNJMW-GFCCVEGCSA-N 0 3 237.343 2.691 20 0 BFADHN OCC[C@@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC000051916551 358100810 /nfs/dbraw/zinc/10/08/10/358100810.db2.gz GPBIVBOPLJQODI-YCOJEUHLSA-N 0 3 245.366 2.794 20 0 BFADHN CCCN[C@H](CO)c1ccc(C(F)(F)F)cc1 ZINC000038123379 358117160 /nfs/dbraw/zinc/11/71/60/358117160.db2.gz SYZFLGRIZQBVFJ-LLVKDONJSA-N 0 3 247.260 2.738 20 0 BFADHN CCC[C@H]1CN(C[C@@H]2CCC(F)(F)C2)CCO1 ZINC000450627418 202215865 /nfs/dbraw/zinc/21/58/65/202215865.db2.gz YENSXNHQZVJCOY-NEPJUHHUSA-N 0 3 247.329 2.923 20 0 BFADHN C(N1CCOC[C@@H]1C1CC1)C12CCC(CC1)C2 ZINC000450635426 202219423 /nfs/dbraw/zinc/21/94/23/202219423.db2.gz JWYFHVJHEXPXPQ-HNFVBEJKSA-N 0 3 235.371 2.678 20 0 BFADHN Cc1nc(C)c(CNCCCC2CC2)o1 ZINC000394442598 358192185 /nfs/dbraw/zinc/19/21/85/358192185.db2.gz CVMMJKJFMPCYNM-UHFFFAOYSA-N 0 3 208.305 2.571 20 0 BFADHN Cc1ccc([C@H](N[C@H]2C[C@@H](CO)C2)C2CCC2)o1 ZINC000631669110 358195285 /nfs/dbraw/zinc/19/52/85/358195285.db2.gz CUWNFPBPEXVFHZ-OSAQELSMSA-N 0 3 249.354 2.790 20 0 BFADHN COc1cc(F)c(CNC(C)(C)C)cc1OC ZINC000584844459 358200720 /nfs/dbraw/zinc/20/07/20/358200720.db2.gz ZEHIWWNUSQSXMJ-UHFFFAOYSA-N 0 3 241.306 2.731 20 0 BFADHN Cc1cccc(Cl)c1CN1C[C@H](O)C[C@@H]1C ZINC000450633508 202221082 /nfs/dbraw/zinc/22/10/82/202221082.db2.gz AFTAOZFMPOVPJS-WDEREUQCSA-N 0 3 239.746 2.604 20 0 BFADHN CC[C@H](C)N(C)C(=O)[C@@H](C)N1CCCCCC1 ZINC000172100229 134261834 /nfs/dbraw/zinc/26/18/34/134261834.db2.gz YKJUZWITXPANNH-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1nnc(C2CC2)s1 ZINC000450680084 202232699 /nfs/dbraw/zinc/23/26/99/202232699.db2.gz LOOORDCONSWENV-RKDXNWHRSA-N 0 3 239.388 2.940 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1n[nH]c(CC)n1 ZINC000184689861 535410000 /nfs/dbraw/zinc/41/00/00/535410000.db2.gz HKAVOLGJJWGAOD-LLVKDONJSA-N 0 3 236.363 2.522 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1cc[nH]n1 ZINC000041014581 358240383 /nfs/dbraw/zinc/24/03/83/358240383.db2.gz CNNPJZKDISJJEH-QWRGUYRKSA-N 0 3 209.337 2.714 20 0 BFADHN Cc1ccccc1C1CC(NCc2cc[nH]n2)C1 ZINC000041015092 358241290 /nfs/dbraw/zinc/24/12/90/358241290.db2.gz QBENLAKDELTFDV-UHFFFAOYSA-N 0 3 241.338 2.754 20 0 BFADHN Cc1ncc([C@@H](C)NC[C@@H]2CCCS2)s1 ZINC000090419270 491126653 /nfs/dbraw/zinc/12/66/53/491126653.db2.gz FXUODCAMEMVSDQ-SCZZXKLOSA-N 0 3 242.413 2.998 20 0 BFADHN CCO[C@@H]1CCN(c2ccnc(CC)c2)C[C@@H]1C ZINC000450747220 202253835 /nfs/dbraw/zinc/25/38/35/202253835.db2.gz NRMVVHWFHGOKLY-SWLSCSKDSA-N 0 3 248.370 2.895 20 0 BFADHN Cc1cccc(CN2CCSC[C@@H]2C)c1 ZINC000172588401 134268485 /nfs/dbraw/zinc/26/84/85/134268485.db2.gz MKHVRLJDNPSCMH-LBPRGKRZSA-N 0 3 221.369 2.932 20 0 BFADHN COc1cc(C)nc(CNC(C)(C)C2CC2)c1 ZINC000225909953 358332295 /nfs/dbraw/zinc/33/22/95/358332295.db2.gz PAXJHCKWUKRKQO-UHFFFAOYSA-N 0 3 234.343 2.677 20 0 BFADHN COc1ccc(CNCC2(C)CC2)c(F)c1 ZINC000226384598 358337853 /nfs/dbraw/zinc/33/78/53/358337853.db2.gz VUAXCALKCSLHQP-UHFFFAOYSA-N 0 3 223.291 2.724 20 0 BFADHN C[C@H]1CC[C@H](CNCc2nccs2)C1 ZINC000230403253 491129901 /nfs/dbraw/zinc/12/99/01/491129901.db2.gz OXQKZEQWMAOTMU-UWVGGRQHSA-N 0 3 210.346 2.669 20 0 BFADHN C[C@H](NCC1(CO)CC1)c1csc(Cl)c1 ZINC000230410296 491130022 /nfs/dbraw/zinc/13/00/22/491130022.db2.gz JTYKPMQYHFOJEP-QMMMGPOBSA-N 0 3 245.775 2.825 20 0 BFADHN Cn1cc(CNc2ccnc3ccccc32)cn1 ZINC000041721203 358514117 /nfs/dbraw/zinc/51/41/17/358514117.db2.gz OHTHYHIWFYIZOK-UHFFFAOYSA-N 0 3 238.294 2.580 20 0 BFADHN Cc1ncc([C@H](C)NC2(C)CCC2)c(C)n1 ZINC000134519103 491132550 /nfs/dbraw/zinc/13/25/50/491132550.db2.gz JAASPQYWXQIRGA-JTQLQIEISA-N 0 3 219.332 2.687 20 0 BFADHN CCc1nc(CNCC2(C)CCC2)cs1 ZINC000165479128 358441709 /nfs/dbraw/zinc/44/17/09/358441709.db2.gz URARUVZCABBJGC-UHFFFAOYSA-N 0 3 224.373 2.985 20 0 BFADHN CC[C@@H](C)CCNCc1oc(C)nc1C ZINC000394487296 358543209 /nfs/dbraw/zinc/54/32/09/358543209.db2.gz YTJVOOYZMXHQSL-SECBINFHSA-N 0 3 210.321 2.817 20 0 BFADHN CCOc1cc(CN[C@H]2CC2(C)C)ccc1OC ZINC000044372200 358547759 /nfs/dbraw/zinc/54/77/59/358547759.db2.gz LYYDPQLHAINGDT-AWEZNQCLSA-N 0 3 249.354 2.982 20 0 BFADHN CCOc1ccccc1CN[C@H]1CC1(C)C ZINC000044371960 358547938 /nfs/dbraw/zinc/54/79/38/358547938.db2.gz VQYZEIFSEWEMGM-ZDUSSCGKSA-N 0 3 219.328 2.973 20 0 BFADHN CCOc1ccc(CN[C@@H]2CC2(C)C)cc1OC ZINC000044371924 358548335 /nfs/dbraw/zinc/54/83/35/358548335.db2.gz JTCWEMCYGCVGSZ-CQSZACIVSA-N 0 3 249.354 2.982 20 0 BFADHN C[C@@H](N[C@@H]1CCN(C2CC2)C1)c1ccccc1F ZINC000044684298 358551923 /nfs/dbraw/zinc/55/19/23/358551923.db2.gz WLVAJYYOBSIGSL-VXGBXAGGSA-N 0 3 248.345 2.713 20 0 BFADHN c1cc2c(s1)CCC[C@@H]2NC1CSC1 ZINC000307742325 491134353 /nfs/dbraw/zinc/13/43/53/491134353.db2.gz KGNRHGCXDBGAKC-JTQLQIEISA-N 0 3 225.382 2.831 20 0 BFADHN COC(=O)c1ccccc1CN1CCCC[C@H]1C ZINC000130080460 358607213 /nfs/dbraw/zinc/60/72/13/358607213.db2.gz WEUIOVQGUYSVND-GFCCVEGCSA-N 0 3 247.338 2.848 20 0 BFADHN CCN(Cc1ccccc1C)C[C@@H]1CCCO1 ZINC000048059120 358613244 /nfs/dbraw/zinc/61/32/44/358613244.db2.gz ONOJKPGXVNFORG-HNNXBMFYSA-N 0 3 233.355 2.996 20 0 BFADHN CC(C)(C)c1ccc(CN(CCO)C2CC2)cc1 ZINC000048065335 358613348 /nfs/dbraw/zinc/61/33/48/358613348.db2.gz XVRHGPPVDDMVGI-UHFFFAOYSA-N 0 3 247.382 2.941 20 0 BFADHN COC(=O)c1ccccc1CN1C[C@H](C)[C@H](C)C1 ZINC000130363191 358621581 /nfs/dbraw/zinc/62/15/81/358621581.db2.gz GFIPPALZEXIFRG-TXEJJXNPSA-N 0 3 247.338 2.561 20 0 BFADHN CC1(C)CCC(NCc2ccc(CO)o2)CC1 ZINC000077898030 325397928 /nfs/dbraw/zinc/39/79/28/325397928.db2.gz FJRVKATZYZQKIF-UHFFFAOYSA-N 0 3 237.343 2.830 20 0 BFADHN CCOc1ccccc1[C@H](C)NCC1(O)CCC1 ZINC000130801163 358646588 /nfs/dbraw/zinc/64/65/88/358646588.db2.gz APBSWDMEOWMTGF-LBPRGKRZSA-N 0 3 249.354 2.651 20 0 BFADHN CCOC(=O)CN(C[C@H](C)CC)C(C)(C)C ZINC000130806128 358647358 /nfs/dbraw/zinc/64/73/58/358647358.db2.gz ZBQGGZBSGAIHTJ-LLVKDONJSA-N 0 3 229.364 2.696 20 0 BFADHN C[C@@H](NCC1(O)CCC1)c1cc2ccccc2o1 ZINC000130803878 358647796 /nfs/dbraw/zinc/64/77/96/358647796.db2.gz SUJYZPOFOURLSQ-LLVKDONJSA-N 0 3 245.322 2.998 20 0 BFADHN C[C@@H]1COCCN1CC1CCCCCC1 ZINC000130834085 358649138 /nfs/dbraw/zinc/64/91/38/358649138.db2.gz QYEMPVGGPYQRLV-GFCCVEGCSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@H](CO)[C@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC000131708000 358691163 /nfs/dbraw/zinc/69/11/63/358691163.db2.gz PHNOFKDRKMSFEO-UTLUCORTSA-N 0 3 243.297 2.632 20 0 BFADHN CCSc1ccccc1[C@H](C)NC[C@@H](C)O ZINC000131812852 358695762 /nfs/dbraw/zinc/69/57/62/358695762.db2.gz GJSCSXFAEWEWGY-MNOVXSKESA-N 0 3 239.384 2.830 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1ccccc1OC ZINC000131857696 358697641 /nfs/dbraw/zinc/69/76/41/358697641.db2.gz XXNMKLDKFXIHKE-NWDGAFQWSA-N 0 3 237.343 2.507 20 0 BFADHN CC[C@@H](N[C@H](C)COC)c1cccc(OC)c1 ZINC000131881866 358698431 /nfs/dbraw/zinc/69/84/31/358698431.db2.gz WTSKGUZVYUDRIB-BXUZGUMPSA-N 0 3 237.343 2.771 20 0 BFADHN C[C@H](CC1CCC1)NCc1nccs1 ZINC000132479550 491136314 /nfs/dbraw/zinc/13/63/14/491136314.db2.gz NMEHWVSCZWHJQO-SECBINFHSA-N 0 3 210.346 2.811 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](CC(C)C)C(C)C)n1 ZINC000133512621 358758244 /nfs/dbraw/zinc/75/82/44/358758244.db2.gz WOIKDBVQZVOYDZ-UHFFFAOYSA-N 0 3 236.359 2.962 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](CC(C)C)C(C)C)n1 ZINC000133512621 358758245 /nfs/dbraw/zinc/75/82/45/358758245.db2.gz WOIKDBVQZVOYDZ-UHFFFAOYSA-N 0 3 236.359 2.962 20 0 BFADHN CC[C@@H](C)CNCc1cn2cccc(C)c2n1 ZINC000133569813 358758336 /nfs/dbraw/zinc/75/83/36/358758336.db2.gz MJAYEDPZLWOSAH-LLVKDONJSA-N 0 3 231.343 2.778 20 0 BFADHN CCOC[C@H](C)NC/C=C/c1ccccc1 ZINC000051790376 358761598 /nfs/dbraw/zinc/76/15/98/358761598.db2.gz UADIPELAUHAZIV-RSPDNQDQSA-N 0 3 219.328 2.714 20 0 BFADHN CCSCC[C@H](C)NCc1cncn1CC ZINC000133749928 358766850 /nfs/dbraw/zinc/76/68/50/358766850.db2.gz SIJVSNIDMJOLIT-NSHDSACASA-N 0 3 241.404 2.524 20 0 BFADHN Cc1cccc(C)c1CNCc1cccn1C ZINC000132114669 358707638 /nfs/dbraw/zinc/70/76/38/358707638.db2.gz XBNUAXHUSGUTPN-UHFFFAOYSA-N 0 3 228.339 2.932 20 0 BFADHN CC(C)Cc1ccc(CN(C)[C@@H](C)CO)cc1 ZINC000132114410 358707771 /nfs/dbraw/zinc/70/77/71/358707771.db2.gz WSBXGIZYVREBKV-ZDUSSCGKSA-N 0 3 235.371 2.698 20 0 BFADHN CCOC(=O)CCN(C)Cc1ccc(C)c(C)c1 ZINC000050067244 358712601 /nfs/dbraw/zinc/71/26/01/358712601.db2.gz DNLCQJMPODHSQF-UHFFFAOYSA-N 0 3 249.354 2.688 20 0 BFADHN CCOC(=O)CCN(C)Cc1cc(C)cc(C)c1 ZINC000050067407 358712874 /nfs/dbraw/zinc/71/28/74/358712874.db2.gz RBQSNEZWCIHODE-UHFFFAOYSA-N 0 3 249.354 2.688 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1nccn1CC ZINC000051922332 358768252 /nfs/dbraw/zinc/76/82/52/358768252.db2.gz WHTCQZRLMSXHJY-STQMWFEESA-N 0 3 235.375 2.961 20 0 BFADHN C[C@@H](N[C@@H]1CCN(C2CC2)C1)c1ccsc1 ZINC000050344900 358724836 /nfs/dbraw/zinc/72/48/36/358724836.db2.gz UKNWUFGBAKRTFI-ZYHUDNBSSA-N 0 3 236.384 2.635 20 0 BFADHN CCC[C@H](CC)NCc1ccoc1C(=O)OC ZINC000050402300 358729151 /nfs/dbraw/zinc/72/91/51/358729151.db2.gz WVKXIPYEYYZVKU-NSHDSACASA-N 0 3 239.315 2.735 20 0 BFADHN CS[C@@H](C)CN[C@@H]1CCCc2cccnc21 ZINC000169093262 358735992 /nfs/dbraw/zinc/73/59/92/358735992.db2.gz FIGSWWXPUSGDOB-CMPLNLGQSA-N 0 3 236.384 2.800 20 0 BFADHN CC[C@H](CCO)Nc1ccnc2ccccc21 ZINC000134965651 358812625 /nfs/dbraw/zinc/81/26/25/358812625.db2.gz UEBKTUNSANCJJN-LLVKDONJSA-N 0 3 230.311 2.808 20 0 BFADHN Cc1cccc(CN(C)C[C@H]2CCCCO2)c1 ZINC000052280363 358778243 /nfs/dbraw/zinc/77/82/43/358778243.db2.gz RTJFCDNVHFRUDW-OAHLLOKOSA-N 0 3 233.355 2.996 20 0 BFADHN COc1ccc(CN2CCCC2(C)C)cc1O ZINC000134206584 358782613 /nfs/dbraw/zinc/78/26/13/358782613.db2.gz VQNCYDLPWAIBEV-UHFFFAOYSA-N 0 3 235.327 2.775 20 0 BFADHN CC(C)CN1CCN(Cc2cccs2)CC1 ZINC000052584875 358786976 /nfs/dbraw/zinc/78/69/76/358786976.db2.gz KJHZZEKWZUZDKL-UHFFFAOYSA-N 0 3 238.400 2.522 20 0 BFADHN CS[C@@H]1CCCCN(Cc2cccnc2)C1 ZINC000134546024 358795410 /nfs/dbraw/zinc/79/54/10/358795410.db2.gz ICBRFPXWCZWSFS-CYBMUJFWSA-N 0 3 236.384 2.799 20 0 BFADHN CCCCN(C)CC(=O)N1CCCC[C@@H]1CC ZINC000052869522 358798239 /nfs/dbraw/zinc/79/82/39/358798239.db2.gz CUELUPJBCFYQOA-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@@H](C)NC(=O)CN(CC)C1CCCCC1 ZINC000052873586 358798690 /nfs/dbraw/zinc/79/86/90/358798690.db2.gz JMZJYCJDCJRFBD-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CCCN[C@H](c1ccccc1)c1cncnc1 ZINC000052887158 358798876 /nfs/dbraw/zinc/79/88/76/358798876.db2.gz SEHJSLNMBMDUDF-CQSZACIVSA-N 0 3 227.311 2.566 20 0 BFADHN CCCCN(C)CCN[C@@H](C)c1ccco1 ZINC000053345050 358825545 /nfs/dbraw/zinc/82/55/45/358825545.db2.gz WSPAPRICBWJROR-LBPRGKRZSA-N 0 3 224.348 2.662 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1nnc(C)s1 ZINC000134719263 358802965 /nfs/dbraw/zinc/80/29/65/358802965.db2.gz GVXARFKEPDGIBO-MNOVXSKESA-N 0 3 239.388 2.905 20 0 BFADHN CC1(C)C[C@@H](NC2(c3ccccc3)CC2)CO1 ZINC000578248560 366471758 /nfs/dbraw/zinc/47/17/58/366471758.db2.gz KVVCHJIYNCPBIA-CYBMUJFWSA-N 0 3 231.339 2.833 20 0 BFADHN CC(C)CC[C@@H]1CCCN1Cc1nccn1C ZINC000135314024 358831478 /nfs/dbraw/zinc/83/14/78/358831478.db2.gz JCEMVFSPQDKQRH-ZDUSSCGKSA-N 0 3 235.375 2.821 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1nnc(C)o1 ZINC000135338649 358832386 /nfs/dbraw/zinc/83/23/86/358832386.db2.gz JKQXDEJJRMDIPO-PWSUYJOCSA-N 0 3 237.347 2.779 20 0 BFADHN CC(C)=CCN(C)[C@H]1CCCc2c1cnn2C ZINC000135627828 358846574 /nfs/dbraw/zinc/84/65/74/358846574.db2.gz NLFNXKGTASJJNN-ZDUSSCGKSA-N 0 3 233.359 2.696 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@H](C(C)C)C2)n1 ZINC000135648319 358847876 /nfs/dbraw/zinc/84/78/76/358847876.db2.gz BRTGBFPHGSPUPQ-LBPRGKRZSA-N 0 3 234.343 2.574 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@H](C(C)C)C2)n1 ZINC000135648319 358847878 /nfs/dbraw/zinc/84/78/78/358847878.db2.gz BRTGBFPHGSPUPQ-LBPRGKRZSA-N 0 3 234.343 2.574 20 0 BFADHN Cc1ccc([C@H](C)NCCN(C)C(C)C)o1 ZINC000054110632 358853624 /nfs/dbraw/zinc/85/36/24/358853624.db2.gz WAKDSLCSZNPDQY-LBPRGKRZSA-N 0 3 224.348 2.579 20 0 BFADHN CCCCC(=O)NCC(C)(C)N1CCCCC1 ZINC000058873054 358914874 /nfs/dbraw/zinc/91/48/74/358914874.db2.gz DOQGHTMJTXFKDG-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN COc1ccc(CNCCC(F)(F)F)cc1 ZINC000059016103 358917014 /nfs/dbraw/zinc/91/70/14/358917014.db2.gz ZOSDPBNLSARTEL-UHFFFAOYSA-N 0 3 233.233 2.737 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1csc(C)n1 ZINC000308740888 491137825 /nfs/dbraw/zinc/13/78/25/491137825.db2.gz ORVSCHWSVDIOJW-KOLCDFICSA-N 0 3 210.346 2.730 20 0 BFADHN CC1(C)Cc2cccc(CNCCCF)c2O1 ZINC000136512103 358880352 /nfs/dbraw/zinc/88/03/52/358880352.db2.gz ICHGUHPWAPMCJW-UHFFFAOYSA-N 0 3 237.318 2.849 20 0 BFADHN Cc1nnc(CN2CCC[C@@H]2C2CCCC2)o1 ZINC000055927818 358892801 /nfs/dbraw/zinc/89/28/01/358892801.db2.gz XEEJNSUAWRMKOJ-GFCCVEGCSA-N 0 3 235.331 2.533 20 0 BFADHN C[C@@H](CCC1CC1)N[C@@H](C)c1ncc[nH]1 ZINC000308830175 491138305 /nfs/dbraw/zinc/13/83/05/491138305.db2.gz FYYIXUZIODAIHU-UWVGGRQHSA-N 0 3 207.321 2.639 20 0 BFADHN C[C@H](CCC1CC1)N[C@@H](C)c1ncc[nH]1 ZINC000308830177 491138348 /nfs/dbraw/zinc/13/83/48/491138348.db2.gz FYYIXUZIODAIHU-ZJUUUORDSA-N 0 3 207.321 2.639 20 0 BFADHN CCSCCN1CC(Cc2ccccc2)C1 ZINC000611177947 358925764 /nfs/dbraw/zinc/92/57/64/358925764.db2.gz DOTDKQQCHHJOQH-UHFFFAOYSA-N 0 3 235.396 2.914 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1ccc(F)c(C)c1 ZINC000061119411 358932159 /nfs/dbraw/zinc/93/21/59/358932159.db2.gz DPIJKNOMXSMCMQ-LBPRGKRZSA-N 0 3 238.306 2.590 20 0 BFADHN CCC[N@H+](Cc1cc(=O)[n-]c(C2CC2)n1)C(C)C ZINC000578335985 366525067 /nfs/dbraw/zinc/52/50/67/366525067.db2.gz XLPFMWYEQOPYME-UHFFFAOYSA-N 0 3 249.358 2.680 20 0 BFADHN CCC[N@@H+](Cc1cc(=O)[n-]c(C2CC2)n1)C(C)C ZINC000578335985 366525074 /nfs/dbraw/zinc/52/50/74/366525074.db2.gz XLPFMWYEQOPYME-UHFFFAOYSA-N 0 3 249.358 2.680 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCO[C@H](C)C2)o1 ZINC000069862208 359035308 /nfs/dbraw/zinc/03/53/08/359035308.db2.gz XPQYDNUIQVLAGV-GRYCIOLGSA-N 0 3 223.316 2.806 20 0 BFADHN C[C@H](NCC1(C)CCCC1)c1nccn1C ZINC000070008441 359037599 /nfs/dbraw/zinc/03/75/99/359037599.db2.gz UXOWNOJQDLYTFW-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN C[C@H](NCC1CCCCC1)c1nccn1C ZINC000070007575 359037702 /nfs/dbraw/zinc/03/77/02/359037702.db2.gz CCTMXFSDVHIAEA-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CCOCCN(C)Cc1ccc(CC)cc1 ZINC000070978930 359067656 /nfs/dbraw/zinc/06/76/56/359067656.db2.gz NTHXZDHVKNIJNU-UHFFFAOYSA-N 0 3 221.344 2.717 20 0 BFADHN Cc1cc(CN[C@H]2CC[C@@H]2C(C)C)on1 ZINC000308848469 491138923 /nfs/dbraw/zinc/13/89/23/491138923.db2.gz NBWPFUURLVPCSC-NEPJUHHUSA-N 0 3 208.305 2.507 20 0 BFADHN CCc1ccc(NC(=O)[C@H](C)N(CC)CC)cc1 ZINC000072590290 359086801 /nfs/dbraw/zinc/08/68/01/359086801.db2.gz VYHQBBJYJIOEHD-LBPRGKRZSA-N 0 3 248.370 2.918 20 0 BFADHN CCN(CC)[C@@H](C)C(=O)Nc1ccccc1C ZINC000072710412 359088004 /nfs/dbraw/zinc/08/80/04/359088004.db2.gz WHAUEGOOIFHALY-LBPRGKRZSA-N 0 3 234.343 2.664 20 0 BFADHN C[C@@H](NCCC1=CCCCC1)c1nccn1C ZINC000070443473 359054568 /nfs/dbraw/zinc/05/45/68/359054568.db2.gz ZTPDYIUQVPJVSJ-GFCCVEGCSA-N 0 3 233.359 2.961 20 0 BFADHN C[C@H](NC[C@@H]1CCCC[C@H]1C)c1nccn1C ZINC000070445332 359055775 /nfs/dbraw/zinc/05/57/75/359055775.db2.gz FKHKRKBITQTJML-AGIUHOORSA-N 0 3 235.375 2.897 20 0 BFADHN COC[C@H](C)N[C@@H](c1ccccc1)[C@H]1CCCO1 ZINC000247015692 359184104 /nfs/dbraw/zinc/18/41/04/359184104.db2.gz MOFMRZGYMZHDHP-CFVMTHIKSA-N 0 3 249.354 2.531 20 0 BFADHN Cc1cnc(CN2CC[C@@H]3CCCC[C@@H]32)o1 ZINC000075678075 359189883 /nfs/dbraw/zinc/18/98/83/359189883.db2.gz DUNCYDYSAAICAV-RYUDHWBXSA-N 0 3 220.316 2.748 20 0 BFADHN CCC(C)(C)NC(=O)CN1[C@H](C)CCC[C@@H]1C ZINC000075020996 359138512 /nfs/dbraw/zinc/13/85/12/359138512.db2.gz GNFRVFLEQXJFIJ-TXEJJXNPSA-N 0 3 240.391 2.554 20 0 BFADHN Fc1ccccc1CN1CC[C@@H]2OCCC[C@H]2C1 ZINC000246744134 359161254 /nfs/dbraw/zinc/16/12/54/359161254.db2.gz PGTMTTMEPOMGPM-ZFWWWQNUSA-N 0 3 249.329 2.827 20 0 BFADHN C[C@H](N[C@H]1CCc2ccc(F)cc21)[C@@H]1CCCO1 ZINC000246839916 359168608 /nfs/dbraw/zinc/16/86/08/359168608.db2.gz CCJKTPAXNROQQC-LKTVYLICSA-N 0 3 249.329 2.970 20 0 BFADHN Clc1cscc1CNC[C@H]1CCCOC1 ZINC000308877866 491139388 /nfs/dbraw/zinc/13/93/88/491139388.db2.gz OHYJSMXTVMUSEZ-SECBINFHSA-N 0 3 245.775 2.918 20 0 BFADHN CC[C@H](C)CN1CCCC[C@H]1c1ncc[nH]1 ZINC000248159265 359255337 /nfs/dbraw/zinc/25/53/37/359255337.db2.gz RWLOWQNTWVNFNS-RYUDHWBXSA-N 0 3 221.348 2.983 20 0 BFADHN C[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1nccn1C ZINC000247357150 359215591 /nfs/dbraw/zinc/21/55/91/359215591.db2.gz HBJMFKYZZPHODK-FRRDWIJNSA-N 0 3 233.359 2.573 20 0 BFADHN C=Cn1cc(CN2CCCC3(CC3)CC2)cn1 ZINC000628480321 359317706 /nfs/dbraw/zinc/31/77/06/359317706.db2.gz PYEOLZZUCLZQKA-UHFFFAOYSA-N 0 3 231.343 2.750 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCC[C@@H](CO)C1 ZINC000248330238 359264703 /nfs/dbraw/zinc/26/47/03/359264703.db2.gz DLFGOQYPNVVOEM-NWDGAFQWSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@H]1CCN(Cc2ccncc2Cl)[C@H]1C ZINC000248343057 359267108 /nfs/dbraw/zinc/26/71/08/359267108.db2.gz JZKCDKOHSKOLSW-UWVGGRQHSA-N 0 3 224.735 2.965 20 0 BFADHN CCC[C@H](C)CN1CCCCC[C@@H]1C(=O)OC ZINC000611606721 359400777 /nfs/dbraw/zinc/40/07/77/359400777.db2.gz NVZJMWPMLSWAQS-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN OC[C@H](CC1CC1)NCc1ccsc1Cl ZINC000308925628 491140391 /nfs/dbraw/zinc/14/03/91/491140391.db2.gz KJPXGIPXMRLOBB-JTQLQIEISA-N 0 3 245.775 2.652 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1oc(C)nc1C ZINC000308926345 491140427 /nfs/dbraw/zinc/14/04/27/491140427.db2.gz IIXSXDLUZXQYMO-IUCAKERBSA-N 0 3 210.321 2.816 20 0 BFADHN Cc1ccncc1NC(=O)C(C)C(F)(F)F ZINC000080039412 359503974 /nfs/dbraw/zinc/50/39/74/359503974.db2.gz UPMBASONDWBOQM-SSDOTTSWSA-N 0 3 232.205 2.527 20 0 BFADHN CC[C@H](COC)NCc1cnc(C(C)C)s1 ZINC000132871955 491140791 /nfs/dbraw/zinc/14/07/91/491140791.db2.gz HBLIXORCSGYTIV-SNVBAGLBSA-N 0 3 242.388 2.781 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nc(C)cs1)C(C)(C)O ZINC000623989698 359578233 /nfs/dbraw/zinc/57/82/33/359578233.db2.gz FDHDVWNPAGVSER-VHSXEESVSA-N 0 3 242.388 2.652 20 0 BFADHN CCC[C@@](C)(N)C(=O)N1CCCCC(C)(C)C1 ZINC000423892670 192845700 /nfs/dbraw/zinc/84/57/00/192845700.db2.gz YYNKCCWOCVAKPC-CQSZACIVSA-N 0 3 240.391 2.543 20 0 BFADHN CCN(CCNc1ncc(Cl)s1)C1CC1 ZINC000309028464 491142602 /nfs/dbraw/zinc/14/26/02/491142602.db2.gz CDYZIFBJYRSKOV-UHFFFAOYSA-N 0 3 245.779 2.693 20 0 BFADHN Cc1csc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)n1 ZINC000403638063 359659735 /nfs/dbraw/zinc/65/97/35/359659735.db2.gz GUICBKZSRPCSAQ-UTUOFQBUSA-N 0 3 236.384 2.977 20 0 BFADHN C/C=C/C[C@H](CO)NCc1cscc1Cl ZINC000309047940 491143383 /nfs/dbraw/zinc/14/33/83/491143383.db2.gz PLZZWVLPRJSWGK-VMZHVLLKSA-N 0 3 245.775 2.818 20 0 BFADHN C[C@@H](NC1(C2CC2)CC1)c1cncs1 ZINC000379668426 359702140 /nfs/dbraw/zinc/70/21/40/359702140.db2.gz ZPISEDZCFDFORX-MRVPVSSYSA-N 0 3 208.330 2.736 20 0 BFADHN C[C@@H]1CN(CC2CC(F)(F)C2)[C@@H](C)[C@@H](C)O1 ZINC000450826130 202278173 /nfs/dbraw/zinc/27/81/73/202278173.db2.gz HJRFFTHWFMGQBF-KXUCPTDWSA-N 0 3 233.302 2.529 20 0 BFADHN C[C@](O)(CNCc1cscc1Cl)C1CC1 ZINC000309065912 491143948 /nfs/dbraw/zinc/14/39/48/491143948.db2.gz ZIXHFUOAPILLBB-NSHDSACASA-N 0 3 245.775 2.652 20 0 BFADHN CC(C)c1cccc2c1CCN(CC[C@H](C)O)C2 ZINC000450837066 202282596 /nfs/dbraw/zinc/28/25/96/202282596.db2.gz SDWHIHZDQAVBQK-ZDUSSCGKSA-N 0 3 247.382 2.939 20 0 BFADHN CC(C)c1cccc2c1CCN(CC[C@@H](C)O)C2 ZINC000450837064 202283402 /nfs/dbraw/zinc/28/34/02/202283402.db2.gz SDWHIHZDQAVBQK-CYBMUJFWSA-N 0 3 247.382 2.939 20 0 BFADHN CCC(=O)CCCN1CCC(C)=C(C)C1 ZINC000450893655 202299794 /nfs/dbraw/zinc/29/97/94/202299794.db2.gz HJNAMRUHYDFXIO-UHFFFAOYSA-N 0 3 209.333 2.788 20 0 BFADHN CSCCN[C@@H]1CCCc2occc21 ZINC000169212232 359798501 /nfs/dbraw/zinc/79/85/01/359798501.db2.gz HKUNSBUMLITSTF-SNVBAGLBSA-N 0 3 211.330 2.610 20 0 BFADHN CCC[C@H](O)CN(C)Cc1cc(F)cc(F)c1 ZINC000450866033 202293261 /nfs/dbraw/zinc/29/32/61/202293261.db2.gz XLQPMZBZVHVIQX-ZDUSSCGKSA-N 0 3 243.297 2.558 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1c2ccccc2C[C@@H]1C ZINC000578410296 366559188 /nfs/dbraw/zinc/55/91/88/366559188.db2.gz HMWCBVKIUXJSDK-BSLXNSKLSA-N 0 3 231.339 2.687 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1c2ccccc2C[C@H]1C ZINC000578410298 366559274 /nfs/dbraw/zinc/55/92/74/366559274.db2.gz HMWCBVKIUXJSDK-KAOXEZKKSA-N 0 3 231.339 2.687 20 0 BFADHN C[C@@H](O)CCN1CC(C)(C)[C@H]1c1cccs1 ZINC000451042141 202335346 /nfs/dbraw/zinc/33/53/46/202335346.db2.gz UXJROEAIIWRXRU-ZYHUDNBSSA-N 0 3 239.384 2.902 20 0 BFADHN CCN1CCN(CCC2=CCCCC2)[C@H](C)C1 ZINC000450982504 202325530 /nfs/dbraw/zinc/32/55/30/202325530.db2.gz UCBQZGYKFFMRPG-CQSZACIVSA-N 0 3 236.403 2.903 20 0 BFADHN COc1ccc(CN2CCC[C@@H]2C)c(F)c1 ZINC000578447061 366571142 /nfs/dbraw/zinc/57/11/42/366571142.db2.gz OYHFMUZJHIVPNK-JTQLQIEISA-N 0 3 223.291 2.819 20 0 BFADHN CC(C)SCCN1CCC[C@@](C)(F)C1 ZINC000451110093 202353674 /nfs/dbraw/zinc/35/36/74/202353674.db2.gz CBOBTZVTIKTCNE-LLVKDONJSA-N 0 3 219.369 2.952 20 0 BFADHN COC(C)(C)CCN1CCC[C@](C)(F)C1 ZINC000451112983 202354408 /nfs/dbraw/zinc/35/44/08/202354408.db2.gz JAWQPLAUNGPDMC-LBPRGKRZSA-N 0 3 217.328 2.626 20 0 BFADHN CCc1cc(CCCN2C[C@@H](C)[C@@H]2C)on1 ZINC000451072976 202346224 /nfs/dbraw/zinc/34/62/24/202346224.db2.gz PUFHQBXSSZUDAN-MNOVXSKESA-N 0 3 222.332 2.510 20 0 BFADHN CCc1cc(CCCN2C[C@@H](C)[C@H]2C)on1 ZINC000451072975 202346956 /nfs/dbraw/zinc/34/69/56/202346956.db2.gz PUFHQBXSSZUDAN-GHMZBOCLSA-N 0 3 222.332 2.510 20 0 BFADHN C[C@@H]1C[C@H](N[C@H](c2cccnc2)C2CC2)[C@@H](C)O1 ZINC000451146773 202364473 /nfs/dbraw/zinc/36/44/73/202364473.db2.gz LYYKTPVYGBHSJV-FIXIBIHLSA-N 0 3 246.354 2.688 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000451153616 202364933 /nfs/dbraw/zinc/36/49/33/202364933.db2.gz HWOLVWGNODZLJA-UUIJZJDISA-N 0 3 248.370 2.861 20 0 BFADHN C[C@]1(F)CCCN(C[C@@H](O)CC2CCCC2)C1 ZINC000451116365 202355537 /nfs/dbraw/zinc/35/55/37/202355537.db2.gz RPZVAXPULKCLQZ-KBPBESRZSA-N 0 3 243.366 2.752 20 0 BFADHN CC(C)(C)CCCN(C1CC1)C1COC1 ZINC000451123814 202359448 /nfs/dbraw/zinc/35/94/48/202359448.db2.gz FZBMTYWJZPMMCK-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN C(=C/c1ccccc1)\CCN(C1CC1)C1COC1 ZINC000451125342 202360013 /nfs/dbraw/zinc/36/00/13/202360013.db2.gz LDLCFWDRWITSGA-XBXARRHUSA-N 0 3 243.350 2.953 20 0 BFADHN CCc1ccc(CNC[C@]2(C)CCCO2)o1 ZINC000087233955 359903297 /nfs/dbraw/zinc/90/32/97/359903297.db2.gz ILVNNSNPHGLOIQ-ZDUSSCGKSA-N 0 3 223.316 2.501 20 0 BFADHN Cc1ccc(CCCN2CC[C@@H](F)C2)cc1 ZINC000451194390 202376441 /nfs/dbraw/zinc/37/64/41/202376441.db2.gz KPSODPPGFJSQEY-CQSZACIVSA-N 0 3 221.319 2.971 20 0 BFADHN COC[C@@]1(C)CCN(Cc2ccc(C)nc2C)C1 ZINC000451202420 202378073 /nfs/dbraw/zinc/37/80/73/202378073.db2.gz MYUSLFSYQKIFHL-HNNXBMFYSA-N 0 3 248.370 2.557 20 0 BFADHN Fc1cccc(CCCN2CC[C@H](F)C2)c1 ZINC000451201757 202378207 /nfs/dbraw/zinc/37/82/07/202378207.db2.gz VGHGMOWELODIHI-ZDUSSCGKSA-N 0 3 225.282 2.802 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCO[C@@H]2C)ccc1F ZINC000451198071 202378493 /nfs/dbraw/zinc/37/84/93/202378493.db2.gz TYICCUCNVUCYHT-GYSYKLTISA-N 0 3 237.318 2.962 20 0 BFADHN F[C@H]1CCN(CCCOCc2ccccc2)C1 ZINC000451203459 202379335 /nfs/dbraw/zinc/37/93/35/202379335.db2.gz ZAKDNEBQRRFGQQ-AWEZNQCLSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCC(C)(C)C1 ZINC000087772330 359927370 /nfs/dbraw/zinc/92/73/70/359927370.db2.gz BTYRZGUGRWESJS-LLVKDONJSA-N 0 3 222.332 2.960 20 0 BFADHN COC[C@@H](N[C@H](C)c1nc(C)cs1)C(C)C ZINC000087480872 359914325 /nfs/dbraw/zinc/91/43/25/359914325.db2.gz SSTWYGAAXJUEOG-GHMZBOCLSA-N 0 3 242.388 2.773 20 0 BFADHN CCOC(=O)[C@H](CC)N1CCCC(C)(C)CC1 ZINC000087658244 359923032 /nfs/dbraw/zinc/92/30/32/359923032.db2.gz BIFKOKNTOFNOEJ-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H](CC1CCC1)NCc1cscn1 ZINC000133037624 491145752 /nfs/dbraw/zinc/14/57/52/491145752.db2.gz WTKLVYGEWGYNQO-SECBINFHSA-N 0 3 210.346 2.811 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2cccnc2)C12CCC2 ZINC000087709109 359924043 /nfs/dbraw/zinc/92/40/43/359924043.db2.gz HBANVDYSIXKGOG-ZIAGYGMSSA-N 0 3 246.354 2.519 20 0 BFADHN CC(C)n1ncnc1CN[C@@H]1CCCC[C@H]1C ZINC000088588697 359967993 /nfs/dbraw/zinc/96/79/93/359967993.db2.gz RLPVUCMTDYAPER-VXGBXAGGSA-N 0 3 236.363 2.527 20 0 BFADHN CC[C@H](N[C@@H]1CCO[C@@H]1C)c1c(C)noc1C ZINC000090887419 360092863 /nfs/dbraw/zinc/09/28/63/360092863.db2.gz DGKROXBLOAMDQX-ADEWGFFLSA-N 0 3 238.331 2.510 20 0 BFADHN CC[C@H](C)N[C@@H]1C[C@H](C)N(c2ccccc2)C1=O ZINC000090115392 360058253 /nfs/dbraw/zinc/05/82/53/360058253.db2.gz KAYVNWWJSFXQOO-SGMGOOAPSA-N 0 3 246.354 2.569 20 0 BFADHN CCn1cncc1CN[C@@H](C)c1ccccc1 ZINC000090169646 360060329 /nfs/dbraw/zinc/06/03/29/360060329.db2.gz PAVZYFLDXNPIIZ-LBPRGKRZSA-N 0 3 229.327 2.754 20 0 BFADHN CCc1ccccc1CNCc1cncn1CC ZINC000090173640 360062334 /nfs/dbraw/zinc/06/23/34/360062334.db2.gz LMXWUCWTIBFEEP-UHFFFAOYSA-N 0 3 243.354 2.755 20 0 BFADHN CC1(C)Cc2occc2[C@@H](N[C@@H]2CCOC2)C1 ZINC000090774486 360086929 /nfs/dbraw/zinc/08/69/29/360086929.db2.gz ZRGZHMYLWKDERM-PWSUYJOCSA-N 0 3 235.327 2.672 20 0 BFADHN CC[C@H](N[C@@H](C)c1cccnc1)[C@H]1CCCO1 ZINC000090858010 360091039 /nfs/dbraw/zinc/09/10/39/360091039.db2.gz BASCHXHFNWWBCU-FPMFFAJLSA-N 0 3 234.343 2.690 20 0 BFADHN CC[C@@H](N[C@@H](C)c1ccccn1)[C@@H]1CCCO1 ZINC000090857992 360091201 /nfs/dbraw/zinc/09/12/01/360091201.db2.gz OPSRDUXNMPBJJZ-SCRDCRAPSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ncccc1CN1CC[C@@H](OCC(C)C)C1 ZINC000091964674 360138914 /nfs/dbraw/zinc/13/89/14/360138914.db2.gz PIGUDISQCRJJET-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3c2OCO3)[C@@H]1C ZINC000091859601 360132974 /nfs/dbraw/zinc/13/29/74/360132974.db2.gz VRHACVHIFCRGFJ-GHMZBOCLSA-N 0 3 233.311 2.646 20 0 BFADHN Cc1ccn2c(CN3CC[C@H](C)[C@@H]3C)cnc2c1 ZINC000091859715 360133271 /nfs/dbraw/zinc/13/32/71/360133271.db2.gz PWGDHNIAQKURAI-STQMWFEESA-N 0 3 243.354 2.873 20 0 BFADHN CN(Cc1ccc(Cl)o1)C[C@@H]1CCC[C@@H]1O ZINC000091898587 360135269 /nfs/dbraw/zinc/13/52/69/360135269.db2.gz PENKRYGDEIALJS-ONGXEEELSA-N 0 3 243.734 2.526 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnn(CC)c2)C1 ZINC000091919259 360136506 /nfs/dbraw/zinc/13/65/06/360136506.db2.gz YYCRYCQKNSUAKK-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN CC[C@@H]1CCN(Cc2cccc3c2OCO3)C1 ZINC000091929462 360136514 /nfs/dbraw/zinc/13/65/14/360136514.db2.gz KNGMHTBSODDBMJ-LLVKDONJSA-N 0 3 233.311 2.647 20 0 BFADHN CC(C)[C@@H]1COCCN1Cc1ccccc1 ZINC000306492213 360205520 /nfs/dbraw/zinc/20/55/20/360205520.db2.gz PMNTWOCYBCHXSN-AWEZNQCLSA-N 0 3 219.328 2.543 20 0 BFADHN C[C@H]1CCC[C@@H](CN(C)Cc2cnn(C)c2)C1 ZINC000092792677 360198945 /nfs/dbraw/zinc/19/89/45/360198945.db2.gz NWOPSZHWNCSMTC-QWHCGFSZSA-N 0 3 235.375 2.678 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C2C(C)(C)C2(C)C)n1 ZINC000092816226 360201389 /nfs/dbraw/zinc/20/13/89/360201389.db2.gz NLPBFLWESJAUGF-UHFFFAOYSA-N 0 3 234.343 2.620 20 0 BFADHN C[C@@H](NC[C@@H]1CCCS1)c1ccc(F)cn1 ZINC000092196548 360155440 /nfs/dbraw/zinc/15/54/40/360155440.db2.gz VIWQBUFCFPRQIH-KOLCDFICSA-N 0 3 240.347 2.767 20 0 BFADHN Cc1ncccc1CN(C)CC(C)(C)C ZINC000092217709 360157215 /nfs/dbraw/zinc/15/72/15/360157215.db2.gz XHZGFCUFRIRQEX-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN Cc1ncsc1CN1C[C@H](C)S[C@@H](C)C1 ZINC000092541261 360178747 /nfs/dbraw/zinc/17/87/47/360178747.db2.gz XTAKERWRCJNCTC-IUCAKERBSA-N 0 3 242.413 2.777 20 0 BFADHN Cc1ncsc1CN1C[C@@H](C)S[C@@H](C)C1 ZINC000092541258 360178918 /nfs/dbraw/zinc/17/89/18/360178918.db2.gz XTAKERWRCJNCTC-DTORHVGOSA-N 0 3 242.413 2.777 20 0 BFADHN Cc1ncccc1CN1C[C@@H](C)S[C@@H](C)C1 ZINC000092541247 360179146 /nfs/dbraw/zinc/17/91/46/360179146.db2.gz FSXPEFSBMZHPQG-PHIMTYICSA-N 0 3 236.384 2.716 20 0 BFADHN c1coc(CN2CC[C@@H](Nc3ccccc3)C2)c1 ZINC000092758136 360195012 /nfs/dbraw/zinc/19/50/12/360195012.db2.gz VHDBUOQWZYUYNJ-CQSZACIVSA-N 0 3 242.322 2.966 20 0 BFADHN CCC[C@@H]1CN(C[C@H]2CC=CCC2)CCO1 ZINC000093508517 360252815 /nfs/dbraw/zinc/25/28/15/360252815.db2.gz REFQWPLWJPWBFH-UONOGXRCSA-N 0 3 223.360 2.844 20 0 BFADHN CC[C@@H](C)[C@H](C)N(Cc1cc[nH]n1)C1CC1 ZINC000093132287 360220138 /nfs/dbraw/zinc/22/01/38/360220138.db2.gz MXACROPCNKXASE-MNOVXSKESA-N 0 3 221.348 2.809 20 0 BFADHN CCOC(=O)C(C)(C)N(C)C[C@@H]1CC=CCC1 ZINC000093359412 360235274 /nfs/dbraw/zinc/23/52/74/360235274.db2.gz DNOJCPGJWCBJDZ-GFCCVEGCSA-N 0 3 239.359 2.616 20 0 BFADHN CCc1ccc(CN(CCCCO)C2CC2)o1 ZINC000093383158 360236644 /nfs/dbraw/zinc/23/66/44/360236644.db2.gz XJWLJIXYDIMMON-UHFFFAOYSA-N 0 3 237.343 2.579 20 0 BFADHN Cc1ccc(CN(CCCCO)C2CC2)s1 ZINC000093383089 360237017 /nfs/dbraw/zinc/23/70/17/360237017.db2.gz QQPSDSGXCIPZAN-UHFFFAOYSA-N 0 3 239.384 2.793 20 0 BFADHN COc1ccc2nc(CNCC3CC3)sc2c1 ZINC000093412825 360240661 /nfs/dbraw/zinc/24/06/61/360240661.db2.gz LRUUXAVIWCJRPO-UHFFFAOYSA-N 0 3 248.351 2.805 20 0 BFADHN Cn1nccc1CN1CCC[C@]2(CC=CCC2)C1 ZINC000093439515 360242525 /nfs/dbraw/zinc/24/25/25/360242525.db2.gz RCXDNHFVAYZRHM-OAHLLOKOSA-N 0 3 245.370 2.742 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1cccnc1C ZINC000093558938 360257641 /nfs/dbraw/zinc/25/76/41/360257641.db2.gz SVBAWGDATANUGK-LLVKDONJSA-N 0 3 238.400 2.963 20 0 BFADHN CCn1nc(C)c(CN(C)C2CCC2)c1C ZINC000093475770 360246903 /nfs/dbraw/zinc/24/69/03/360246903.db2.gz TUGULNSRQSVVMU-UHFFFAOYSA-N 0 3 221.348 2.504 20 0 BFADHN Cc1ccccc1CCN[C@@H](C)c1nccn1C ZINC000094182805 360298987 /nfs/dbraw/zinc/29/89/87/360298987.db2.gz FVHDPZUFWJEYJN-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN CC(C)n1ncnc1CN[C@@H]1CCCC1(C)C ZINC000094324266 360303987 /nfs/dbraw/zinc/30/39/87/360303987.db2.gz HRLKKTCGZPJPCD-LLVKDONJSA-N 0 3 236.363 2.527 20 0 BFADHN CC(C)CN(Cc1ccnn1C)CC(C)(C)C ZINC000093817053 360280207 /nfs/dbraw/zinc/28/02/07/360280207.db2.gz GQJLYPIICSTQKY-UHFFFAOYSA-N 0 3 237.391 2.924 20 0 BFADHN CN(Cc1ccc(Cl)o1)[C@@H](CO)C(C)(C)C ZINC000093821702 360280996 /nfs/dbraw/zinc/28/09/96/360280996.db2.gz LLBHJBKAZFEREA-JTQLQIEISA-N 0 3 245.750 2.772 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1Cc1c[nH]cn1 ZINC000093845179 360284289 /nfs/dbraw/zinc/28/42/89/360284289.db2.gz SIUONPZMMIAJGN-AAEUAGOBSA-N 0 3 221.348 2.810 20 0 BFADHN CCOC(=O)CCN(C)Cc1c(C)cccc1C ZINC000096812150 360355810 /nfs/dbraw/zinc/35/58/10/360355810.db2.gz HGKUVKHFQUQYRX-UHFFFAOYSA-N 0 3 249.354 2.688 20 0 BFADHN CC(C)(F)CNCc1ccc(-n2cccn2)cc1 ZINC000631190337 360540800 /nfs/dbraw/zinc/54/08/00/360540800.db2.gz IZQNKWWXHNQMGQ-UHFFFAOYSA-N 0 3 247.317 2.710 20 0 BFADHN CCOc1cccc(CNCC(C)(C)F)c1 ZINC000631191023 360546164 /nfs/dbraw/zinc/54/61/64/360546164.db2.gz NEECXEXLSSSGAH-UHFFFAOYSA-N 0 3 225.307 2.923 20 0 BFADHN CN(C)c1ccncc1CN[C@@H]1CCCC12CC2 ZINC000631193069 360589495 /nfs/dbraw/zinc/58/94/95/360589495.db2.gz NVRMEELZTCBPEX-CQSZACIVSA-N 0 3 245.370 2.570 20 0 BFADHN CCc1nocc1CN[C@H]1CCCC12CC2 ZINC000631192993 360590616 /nfs/dbraw/zinc/59/06/16/360590616.db2.gz MFBTVAZQHVWHGH-LBPRGKRZSA-N 0 3 220.316 2.659 20 0 BFADHN CCN(C[C@H]1COc2ccccc2O1)C1CCC1 ZINC000172432248 360637590 /nfs/dbraw/zinc/63/75/90/360637590.db2.gz REAOLGBUNYFUGJ-ZDUSSCGKSA-N 0 3 247.338 2.701 20 0 BFADHN C[C@H](C[C@@H]1CCOC1)NCc1ccc(Cl)o1 ZINC000309544635 491150973 /nfs/dbraw/zinc/15/09/73/491150973.db2.gz GRGYBTRAZUUMQZ-ZJUUUORDSA-N 0 3 243.734 2.838 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NC[C@H]1CCCCS1 ZINC000309587609 491151235 /nfs/dbraw/zinc/15/12/35/491151235.db2.gz SMVPIKQZDIYAIW-MWLCHTKSSA-N 0 3 239.388 2.654 20 0 BFADHN Cc1ncccc1CN[C@@H]1CCC(F)(F)C1 ZINC000309562470 491151674 /nfs/dbraw/zinc/15/16/74/491151674.db2.gz PHMDTWCCQVQCND-LLVKDONJSA-N 0 3 226.270 2.667 20 0 BFADHN CCOc1cccc(CN2CC[C@@H]3CCC[C@@H]32)n1 ZINC000426460830 200696034 /nfs/dbraw/zinc/69/60/34/200696034.db2.gz OLHALBKVZBBGTB-JSGCOSHPSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1c[nH]nc1CN[C@H](C)Cc1ccsc1 ZINC000309590910 491152676 /nfs/dbraw/zinc/15/26/76/491152676.db2.gz USPCLSVTWATJAP-SNVBAGLBSA-N 0 3 235.356 2.500 20 0 BFADHN CC(C)CNc1ccc(CN(C)C)cc1F ZINC000414481880 200753625 /nfs/dbraw/zinc/75/36/25/200753625.db2.gz CCXJGYCFLBNCEX-UHFFFAOYSA-N 0 3 224.323 2.955 20 0 BFADHN CCC[C@@H](NC[C@H]1CCCO1)c1cc(C)ccn1 ZINC000631560439 360976632 /nfs/dbraw/zinc/97/66/32/360976632.db2.gz BZKCKZUNQHPRSX-ZIAGYGMSSA-N 0 3 248.370 3.000 20 0 BFADHN COc1cc(C)c(CN[C@@H]2CC23CC3)cc1OC ZINC000424184925 200880681 /nfs/dbraw/zinc/88/06/81/200880681.db2.gz OFHQKFOAEYBTJZ-CQSZACIVSA-N 0 3 247.338 2.654 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@H]1O)c1cc2ccccc2o1 ZINC000424312677 200905289 /nfs/dbraw/zinc/90/52/89/200905289.db2.gz HRAUZVKIFYOGML-YUSALJHKSA-N 0 3 231.295 2.607 20 0 BFADHN CCC[C@H](NCC(C)(C)O)c1cc(C)ccn1 ZINC000631580050 361153753 /nfs/dbraw/zinc/15/37/53/361153753.db2.gz NURXILTWCASZGQ-LBPRGKRZSA-N 0 3 236.359 2.592 20 0 BFADHN CCC[C@@H](N[C@@H](C)CCO)c1cc(C)ccn1 ZINC000631581028 361169062 /nfs/dbraw/zinc/16/90/62/361169062.db2.gz KOGOGKGLJLOYKY-QWHCGFSZSA-N 0 3 236.359 2.592 20 0 BFADHN CC(C)(C)c1cccc(CN2C[C@@H]3C[C@]3(O)C2)c1 ZINC000640484784 361277249 /nfs/dbraw/zinc/27/72/49/361277249.db2.gz FQTPVABDHXXCMV-HOCLYGCPSA-N 0 3 245.366 2.551 20 0 BFADHN CC(C)(C)[C@@H](CO)NCc1sccc1Cl ZINC000194481847 361297551 /nfs/dbraw/zinc/29/75/51/361297551.db2.gz WFJRIMVEESXWOF-SNVBAGLBSA-N 0 3 247.791 2.898 20 0 BFADHN CC(C)=CCN1CCC[C@H](c2ccnc(C)n2)C1 ZINC000613211444 361282644 /nfs/dbraw/zinc/28/26/44/361282644.db2.gz VNJMJZTVUIELBH-AWEZNQCLSA-N 0 3 245.370 2.931 20 0 BFADHN C[C@@H]1CC[C@@H]1N[C@H](c1nc[nH]n1)C1CCCCC1 ZINC000579069104 366700718 /nfs/dbraw/zinc/70/07/18/366700718.db2.gz CTTKXYPZUHUVMR-WXHSDQCUSA-N 0 3 248.374 2.814 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccoc1)[C@H](O)C(C)C ZINC000579093426 366707601 /nfs/dbraw/zinc/70/76/01/366707601.db2.gz DIPHUPZSOJGHPJ-WCFLWFBJSA-N 0 3 225.332 2.726 20 0 BFADHN CCN(Cc1snnc1C)[C@H](C)C(C)C ZINC000579127175 366719574 /nfs/dbraw/zinc/71/95/74/366719574.db2.gz ZQCURGWITLFZGG-SNVBAGLBSA-N 0 3 227.377 2.713 20 0 BFADHN CCCc1nc(C)c(CN2CC[C@H](C)C2)o1 ZINC000428209738 201002237 /nfs/dbraw/zinc/00/22/37/201002237.db2.gz HBCUCSADDTVXOO-JTQLQIEISA-N 0 3 222.332 2.777 20 0 BFADHN CCCC[C@H]([NH2+]Cc1nnc(C)[n-]1)C1CCC1 ZINC000428348698 201010120 /nfs/dbraw/zinc/01/01/20/201010120.db2.gz UKOUNHNDCMLMMZ-LBPRGKRZSA-N 0 3 236.363 2.562 20 0 BFADHN CCCCC[C@H]1CCCN1Cc1n[nH]c(C)n1 ZINC000428377278 201011570 /nfs/dbraw/zinc/01/15/70/201011570.db2.gz RYRJQDZFPUGUCZ-LBPRGKRZSA-N 0 3 236.363 2.658 20 0 BFADHN CC[C@@]1(O)CCN(C/C=C/c2ccc(F)cc2)C1 ZINC000428417107 201013403 /nfs/dbraw/zinc/01/34/03/201013403.db2.gz BSZHEKRFKJDTIQ-NHZBNJEXSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1nnc(CN2CCC[C@@H]2CC(C)(C)C)[nH]1 ZINC000428406722 201013592 /nfs/dbraw/zinc/01/35/92/201013592.db2.gz PNBFGBFBNHQSIY-LLVKDONJSA-N 0 3 236.363 2.514 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3ccc4c(n3)CCC4)C[C@@H]21 ZINC000579203548 366732803 /nfs/dbraw/zinc/73/28/03/366732803.db2.gz SZYUOZOYZYYRPJ-OKILXGFUSA-N 0 3 242.366 2.658 20 0 BFADHN CC[C@]1(O)CCN(Cc2ccc([C@H]3C[C@H]3C)o2)C1 ZINC000428442233 201018245 /nfs/dbraw/zinc/01/82/45/201018245.db2.gz ZSKRAEPIPDCBSG-ZLDLUXBVSA-N 0 3 249.354 2.750 20 0 BFADHN Cc1cc(C)nc(NC[C@@H]2CCC=CO2)c1 ZINC000194525555 361320368 /nfs/dbraw/zinc/32/03/68/361320368.db2.gz OTFMVDMUOARZIO-LBPRGKRZSA-N 0 3 218.300 2.803 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC12CCCC2 ZINC000428642047 201030759 /nfs/dbraw/zinc/03/07/59/201030759.db2.gz XFYXDTCYZWXQBS-GFCCVEGCSA-N 0 3 233.359 2.715 20 0 BFADHN CCn1ccnc1CN[C@H]1CCC12CCCC2 ZINC000428642049 201030022 /nfs/dbraw/zinc/03/00/22/201030022.db2.gz XFYXDTCYZWXQBS-LBPRGKRZSA-N 0 3 233.359 2.715 20 0 BFADHN NC1(C(=O)Nc2cccc(Cl)c2)CCCC1 ZINC000037479386 181329768 /nfs/dbraw/zinc/32/97/68/181329768.db2.gz MHZZXEJLWZQQFF-UHFFFAOYSA-N 0 3 238.718 2.550 20 0 BFADHN CC(C)COCCN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000428748394 201041347 /nfs/dbraw/zinc/04/13/47/201041347.db2.gz MQIZNJAXMGUDBX-NEPJUHHUSA-N 0 3 247.329 2.636 20 0 BFADHN CCC(C)(C)CC(=O)NC[C@H](N)c1ccccc1 ZINC000429113552 201068760 /nfs/dbraw/zinc/06/87/60/201068760.db2.gz KOMPHDMZXNTPPT-ZDUSSCGKSA-N 0 3 248.370 2.629 20 0 BFADHN C(c1n[nH]c(C2CC2)n1)N(C1CCC1)C1CCC1 ZINC000429122328 201070034 /nfs/dbraw/zinc/07/00/34/201070034.db2.gz KMFYFRRPELJGAS-UHFFFAOYSA-N 0 3 246.358 2.589 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CCCC(C)C ZINC000429058201 201063926 /nfs/dbraw/zinc/06/39/26/201063926.db2.gz DFDUSHWVXWVIIG-STQMWFEESA-N 0 3 213.365 2.922 20 0 BFADHN CCC(C)(C)CC(=O)NC[C@@H](N)c1ccccc1 ZINC000429113550 201067459 /nfs/dbraw/zinc/06/74/59/201067459.db2.gz KOMPHDMZXNTPPT-CYBMUJFWSA-N 0 3 248.370 2.629 20 0 BFADHN CC[C@@H]1CCN1Cc1ccccc1N(C)C ZINC000429276170 201088906 /nfs/dbraw/zinc/08/89/06/201088906.db2.gz SMRFTDQEIJVSGM-CYBMUJFWSA-N 0 3 218.344 2.737 20 0 BFADHN COCC(C)(C)N(C)Cc1ccccc1F ZINC000429242818 201082927 /nfs/dbraw/zinc/08/29/27/201082927.db2.gz ABTAJQQEHXVDTA-UHFFFAOYSA-N 0 3 225.307 2.683 20 0 BFADHN CN(C/C=C\c1ccccc1)C1(CO)CCC1 ZINC000429267598 201084626 /nfs/dbraw/zinc/08/46/26/201084626.db2.gz YJPGPQUXRHOOOC-UITAMQMPSA-N 0 3 231.339 2.547 20 0 BFADHN Cc1cccc([C@H](C)N[C@@H](CO)CC(F)F)c1 ZINC000429463038 201110461 /nfs/dbraw/zinc/11/04/61/201110461.db2.gz FHWSPTJFWBCJQJ-CMPLNLGQSA-N 0 3 243.297 2.662 20 0 BFADHN CCC(CC)CN1CCO[C@]2(CCSC2)C1 ZINC000579355400 366768149 /nfs/dbraw/zinc/76/81/49/366768149.db2.gz BPNYFMXPJAPNNF-CYBMUJFWSA-N 0 3 243.416 2.631 20 0 BFADHN CC[C@H](F)CN1CCC[C@H](CSC)C1 ZINC000429312410 201099954 /nfs/dbraw/zinc/09/99/54/201099954.db2.gz WQOQBMPAABASNG-QWRGUYRKSA-N 0 3 219.369 2.810 20 0 BFADHN CC/C=C\CCN(CCOC)Cc1cccnc1 ZINC000429323444 201100358 /nfs/dbraw/zinc/10/03/58/201100358.db2.gz WHQUASUTOWQFMJ-PLNGDYQASA-N 0 3 248.370 2.886 20 0 BFADHN CCC[C@H]1CN(CCCC(C)C)CCO1 ZINC000429330490 201100375 /nfs/dbraw/zinc/10/03/75/201100375.db2.gz MQIFJDFKQZWUHX-ZDUSSCGKSA-N 0 3 213.365 2.924 20 0 BFADHN CC[C@]1(C)COCCN1CCCC(C)C ZINC000429335264 201101748 /nfs/dbraw/zinc/10/17/48/201101748.db2.gz RINZWIYCWPJWHE-CYBMUJFWSA-N 0 3 213.365 2.924 20 0 BFADHN CCN(CCCC(C)C)C[C@@H](O)C(F)(F)F ZINC000429330084 201102205 /nfs/dbraw/zinc/10/22/05/201102205.db2.gz BNQISYXIBRYNET-SNVBAGLBSA-N 0 3 241.297 2.668 20 0 BFADHN CCc1ccc(CN(C)C[C@H](C)OC)s1 ZINC000579409233 366778783 /nfs/dbraw/zinc/77/87/83/366778783.db2.gz JDZOPOJVKHQMPR-JTQLQIEISA-N 0 3 227.373 2.777 20 0 BFADHN CC(C)CCCN1CCO[C@H](C(C)C)C1 ZINC000429347969 201103290 /nfs/dbraw/zinc/10/32/90/201103290.db2.gz YKTURHHNIAJTFX-ZDUSSCGKSA-N 0 3 213.365 2.779 20 0 BFADHN CN(C)Cc1ccc(NC(=O)CC2(C)CC2)cc1 ZINC000429732343 201129415 /nfs/dbraw/zinc/12/94/15/201129415.db2.gz WPCWONAEVYCMNE-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CCCN(CCCC1CCCCC1)CC(N)=O ZINC000430114244 201145065 /nfs/dbraw/zinc/14/50/65/201145065.db2.gz QLQLTXURYNQTMK-UHFFFAOYSA-N 0 3 240.391 2.544 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC000430191799 201150730 /nfs/dbraw/zinc/15/07/30/201150730.db2.gz HXBCGJKFTZJEIV-SNVBAGLBSA-N 0 3 231.299 2.654 20 0 BFADHN CCCCN1CCO[C@H](Cc2ccccc2)C1 ZINC000181522902 366819448 /nfs/dbraw/zinc/81/94/48/366819448.db2.gz MZWDFKJRZTZUGO-OAHLLOKOSA-N 0 3 233.355 2.730 20 0 BFADHN CCC[C@H](C)CCCN1CC[C@@H](F)[C@H](N)C1 ZINC000629547294 361430203 /nfs/dbraw/zinc/43/02/03/361430203.db2.gz LYFRFQBQPZFKKF-YNEHKIRRSA-N 0 3 230.371 2.574 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NCc1ccc(Cl)s1 ZINC000163377028 361463958 /nfs/dbraw/zinc/46/39/58/361463958.db2.gz RIIPMWAOEFGVAX-DTWKUNHWSA-N 0 3 245.775 2.651 20 0 BFADHN CC[C@@H](F)CN(CCOC)[C@@H]1CCCSC1 ZINC000433183959 201165899 /nfs/dbraw/zinc/16/58/99/201165899.db2.gz UMNZJLXBZBBZHO-VXGBXAGGSA-N 0 3 249.395 2.579 20 0 BFADHN CC[C@@H]1CCN1Cc1c(C)nn(CC)c1C ZINC000430197390 201151675 /nfs/dbraw/zinc/15/16/75/201151675.db2.gz VINCKXNMJJPNSW-GFCCVEGCSA-N 0 3 221.348 2.504 20 0 BFADHN CO[C@H]1CCN(Cc2ccc3c(c2)CCCC3)C1 ZINC000430245568 201155413 /nfs/dbraw/zinc/15/54/13/201155413.db2.gz BSUHHVYWKPAZBP-INIZCTEOSA-N 0 3 245.366 2.786 20 0 BFADHN CN(Cc1ccc2c(c1)CCCC2)[C@H]1CCOC1 ZINC000430227637 201155842 /nfs/dbraw/zinc/15/58/42/201155842.db2.gz RTTTZZSFWYUDBU-INIZCTEOSA-N 0 3 245.366 2.786 20 0 BFADHN NCc1cccc2c1N(CC1CC=CC1)CCC2 ZINC000629560110 361455584 /nfs/dbraw/zinc/45/55/84/361455584.db2.gz IVEGMFVNXCXBJC-UHFFFAOYSA-N 0 3 242.366 2.864 20 0 BFADHN c1cc(CN[C@]23C[C@H]2CCC3)n(CC2CCC2)n1 ZINC000631171960 361496174 /nfs/dbraw/zinc/49/61/74/361496174.db2.gz LUEBGFONISZZLV-UKRRQHHQSA-N 0 3 245.370 2.715 20 0 BFADHN Cc1cnc(CN[C@]23C[C@H]2CCCC3)s1 ZINC000631224600 361563395 /nfs/dbraw/zinc/56/33/95/361563395.db2.gz BDOPNTZBWAHSQN-ZYHUDNBSSA-N 0 3 222.357 2.874 20 0 BFADHN COCCN[C@H](C)c1sc(C(C)C)nc1C ZINC000152104626 201189015 /nfs/dbraw/zinc/18/90/15/201189015.db2.gz AEBNFMKTKMPBSC-SECBINFHSA-N 0 3 242.388 2.872 20 0 BFADHN COc1cccc(CN[C@]23C[C@H]2CCCC3)n1 ZINC000631225501 361579596 /nfs/dbraw/zinc/57/95/96/361579596.db2.gz JSDJNRRKAXZBPO-BXUZGUMPSA-N 0 3 232.327 2.513 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@]23C[C@H]2CCCC3)n1 ZINC000631225743 361585428 /nfs/dbraw/zinc/58/54/28/361585428.db2.gz KMCCHUKNSJZOER-BXUZGUMPSA-N 0 3 232.327 2.518 20 0 BFADHN CC(C)N(C)Cc1ccc(-c2cc[nH]n2)o1 ZINC000434833620 201242604 /nfs/dbraw/zinc/24/26/04/201242604.db2.gz LWHYAYFBUIRFCE-UHFFFAOYSA-N 0 3 219.288 2.510 20 0 BFADHN CCCN(CC)Cc1c[nH]nc1C(C)(C)C ZINC000434979068 201247002 /nfs/dbraw/zinc/24/70/02/201247002.db2.gz QWBWEVUXMRDDBX-UHFFFAOYSA-N 0 3 223.364 2.939 20 0 BFADHN CCOCCN[C@H](C)c1cc2c(s1)CCC2 ZINC000161929358 201249655 /nfs/dbraw/zinc/24/96/55/201249655.db2.gz KJQWHLGZZWXVGM-SNVBAGLBSA-N 0 3 239.384 2.924 20 0 BFADHN Cn1ccc(CN2CC[C@H](CC(C)(C)C)C2)n1 ZINC000448556189 201261626 /nfs/dbraw/zinc/26/16/26/201261626.db2.gz XZAPYFBONPORMG-GFCCVEGCSA-N 0 3 235.375 2.678 20 0 BFADHN CC[C@H](C)CN1CCN(c2ccc(O)cc2)CC1 ZINC000154124355 201262893 /nfs/dbraw/zinc/26/28/93/201262893.db2.gz OTNGWRZGSDRMFD-ZDUSSCGKSA-N 0 3 248.370 2.560 20 0 BFADHN CCc1ncc(CN(C)CC2CCC2)s1 ZINC000435770444 201288394 /nfs/dbraw/zinc/28/83/94/201288394.db2.gz DZKDQMFWVSPJFY-UHFFFAOYSA-N 0 3 224.373 2.937 20 0 BFADHN CN[C@@H](CO)c1cccc(-c2cc(C)co2)c1 ZINC000629735995 361673068 /nfs/dbraw/zinc/67/30/68/361673068.db2.gz KZBKYQABXGWNTF-ZDUSSCGKSA-N 0 3 231.295 2.508 20 0 BFADHN Cc1coc(-c2cccc([C@@H]3CNCCO3)c2)c1 ZINC000629736572 361675345 /nfs/dbraw/zinc/67/53/45/361675345.db2.gz RVZKWMCUXSRKQP-HNNXBMFYSA-N 0 3 243.306 2.916 20 0 BFADHN COc1ccccc1CN[C@@H]1CCC(F)(F)C1 ZINC000310349796 491161121 /nfs/dbraw/zinc/16/11/21/491161121.db2.gz QHSKIFFTZSTFIO-LLVKDONJSA-N 0 3 241.281 2.973 20 0 BFADHN C[C@@]1(NCc2cc3c(cccc3F)[nH]2)CCOC1 ZINC000436421359 201323733 /nfs/dbraw/zinc/32/37/33/201323733.db2.gz WSEMOKFCFRCOAS-CQSZACIVSA-N 0 3 248.301 2.576 20 0 BFADHN CC[C@@H](NC[C@H](OC)C(C)C)c1ccncc1 ZINC000436472604 201329883 /nfs/dbraw/zinc/32/98/83/201329883.db2.gz ZYPMKYJRVYWUQE-KGLIPLIRSA-N 0 3 236.359 2.793 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H](C)[C@H]1CCCO1 ZINC000310503810 491161769 /nfs/dbraw/zinc/16/17/69/491161769.db2.gz NGANISGXXOKEGY-HOTUBEGUSA-N 0 3 238.331 2.510 20 0 BFADHN FCCNCc1cccc(-c2ccncc2)c1 ZINC000437271255 201349863 /nfs/dbraw/zinc/34/98/63/201349863.db2.gz VHNOFWDSGUKWHJ-UHFFFAOYSA-N 0 3 230.286 2.808 20 0 BFADHN COc1ccccc1CN(C)CC[C@H]1CCOC1 ZINC000437579991 201357134 /nfs/dbraw/zinc/35/71/34/201357134.db2.gz JMXBUZYGJPTYJJ-ZDUSSCGKSA-N 0 3 249.354 2.554 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)O)c1ccccc1F ZINC000083727129 491162742 /nfs/dbraw/zinc/16/27/42/491162742.db2.gz OFIQDPFEEXTHBK-NXEZZACHSA-N 0 3 225.307 2.636 20 0 BFADHN C[C@H](c1cccnc1)N(C)CC[C@@H]1CCOC1 ZINC000437983633 201381059 /nfs/dbraw/zinc/38/10/59/201381059.db2.gz HOFCBYBOPIOBQB-CHWSQXEVSA-N 0 3 234.343 2.501 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CC[C@H]1CCOC1 ZINC000437983636 201382064 /nfs/dbraw/zinc/38/20/64/201382064.db2.gz HOFCBYBOPIOBQB-STQMWFEESA-N 0 3 234.343 2.501 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2CCS[C@@H]2C)n1 ZINC000220674113 541310472 /nfs/dbraw/zinc/31/04/72/541310472.db2.gz GNMMNOMSCZITDL-IVZWLZJFSA-N 0 3 242.413 2.996 20 0 BFADHN CCc1ccc([C@H](CO)NCC=C(C)C)cc1 ZINC000541984542 364056629 /nfs/dbraw/zinc/05/66/29/364056629.db2.gz NCMQMZZRGWACGH-HNNXBMFYSA-N 0 3 233.355 2.838 20 0 BFADHN CC(C)N(Cc1cn2ccccc2n1)C1CC1 ZINC000079872292 370701369 /nfs/dbraw/zinc/70/13/69/370701369.db2.gz YYDLNXQTPLYUEQ-UHFFFAOYSA-N 0 3 229.327 2.707 20 0 BFADHN CCCNCc1ccnn1CCc1ccccc1 ZINC000080092739 370724966 /nfs/dbraw/zinc/72/49/66/370724966.db2.gz LHRKUDWPHGTWHO-UHFFFAOYSA-N 0 3 243.354 2.625 20 0 BFADHN CC1(C)CC(Cn2cc3c(n2)[C@H](N)CCC3)C1 ZINC000630504131 364191663 /nfs/dbraw/zinc/19/16/63/364191663.db2.gz OCIYOOPVEOVEFG-GFCCVEGCSA-N 0 3 233.359 2.655 20 0 BFADHN CC[C@@](C)(N)c1cn([C@H]2CCC(C)(C)C2)nn1 ZINC000630604149 364327550 /nfs/dbraw/zinc/32/75/50/364327550.db2.gz PRXVKMIJKUPJIZ-GXFFZTMASA-N 0 3 236.363 2.613 20 0 BFADHN Cc1ccc(NC(=O)C2(N)CCCC2)c(C)c1 ZINC000037616043 364312037 /nfs/dbraw/zinc/31/20/37/364312037.db2.gz RKFJCTQRRUFTOC-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN C[C@H]1CC[C@@H](n2cc(CNC3CCCC3)nn2)C1 ZINC000630602185 364318538 /nfs/dbraw/zinc/31/85/38/364318538.db2.gz AMTGMKYXHVZUEY-SMDDNHRTSA-N 0 3 248.374 2.671 20 0 BFADHN CC(C)[C@H](O)C(C)(C)CN[C@H](C)c1ccoc1 ZINC000181714576 366841644 /nfs/dbraw/zinc/84/16/44/366841644.db2.gz OTQVMZMBEXJTFX-YPMHNXCESA-N 0 3 239.359 2.973 20 0 BFADHN Cc1cc(CN[C@H]2CCCOC2)ccc1Cl ZINC000134653327 364409464 /nfs/dbraw/zinc/40/94/64/364409464.db2.gz PVOKEJCXQGIAIY-LBPRGKRZSA-N 0 3 239.746 2.917 20 0 BFADHN COCCN[C@H](c1ccc(F)c(F)c1)C(C)C ZINC000181604864 366832496 /nfs/dbraw/zinc/83/24/96/366832496.db2.gz JTQOYPKYTMDEFS-ZDUSSCGKSA-N 0 3 243.297 2.898 20 0 BFADHN CC[C@@H](NCCOCC1CC1)c1ccncc1 ZINC000453107349 202702106 /nfs/dbraw/zinc/70/21/06/202702106.db2.gz ISPAINKGOYLDDE-CQSZACIVSA-N 0 3 234.343 2.549 20 0 BFADHN CC(C)[C@H](C)CNCc1nc2ccccc2n1C ZINC000077897064 364429779 /nfs/dbraw/zinc/42/97/79/364429779.db2.gz IMZUEGQLLFEBEF-GFCCVEGCSA-N 0 3 245.370 2.955 20 0 BFADHN CC[C@@H](CC(=O)NC[C@@H](C)N(C)C)C(C)(C)C ZINC000630717185 364495364 /nfs/dbraw/zinc/49/53/64/364495364.db2.gz VUKMJVMNMAZZNA-NEPJUHHUSA-N 0 3 242.407 2.515 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H](C)c1cnccc1C ZINC000453335848 202742042 /nfs/dbraw/zinc/74/20/42/202742042.db2.gz YLEHMNRQPHLHSL-IACUBPJLSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@H](CC1CCC1)N[C@@H](C)c1cnccn1 ZINC000631738516 364535377 /nfs/dbraw/zinc/53/53/77/364535377.db2.gz OAQKGZSDKRHDJX-MNOVXSKESA-N 0 3 219.332 2.706 20 0 BFADHN CC[C@@H]1COCCN1C[C@@H](C)Cc1ccccc1 ZINC000182033522 366860342 /nfs/dbraw/zinc/86/03/42/366860342.db2.gz HMKIWSDCYPUZPK-GOEBONIOSA-N 0 3 247.382 2.976 20 0 BFADHN CC[C@H](O)CCCNc1ccnc2ccccc21 ZINC000357538413 366860842 /nfs/dbraw/zinc/86/08/42/366860842.db2.gz RCLQCJDZRJNLCK-LBPRGKRZSA-N 0 3 244.338 2.620 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](C)c1nccn1C ZINC000246460619 364596333 /nfs/dbraw/zinc/59/63/33/364596333.db2.gz YQTGINSMCUHHFM-GARJFASQSA-N 0 3 209.337 2.505 20 0 BFADHN OCC1(NCc2sccc2Cl)CCCC1 ZINC000193141362 219948323 /nfs/dbraw/zinc/94/83/23/219948323.db2.gz VJOPUGYWJXDHKW-UHFFFAOYSA-N 0 3 245.775 2.796 20 0 BFADHN C[C@@H](NCC1(O)CCC(C)CC1)c1ccco1 ZINC000087044782 541371843 /nfs/dbraw/zinc/37/18/43/541371843.db2.gz IIWBOZBJRBBKFW-MBHSVXNSSA-N 0 3 237.343 2.871 20 0 BFADHN CCOC(=O)[C@H]1CCCN1C[C@@H](C)C(C)(C)C ZINC000619845106 364657394 /nfs/dbraw/zinc/65/73/94/364657394.db2.gz LCGJHBMBPRHXQI-VXGBXAGGSA-N 0 3 241.375 2.696 20 0 BFADHN CCc1ncc(CN[C@H]2CCC2(C)C)o1 ZINC000453394641 202760646 /nfs/dbraw/zinc/76/06/46/202760646.db2.gz SKENEXYBAWMSHM-JTQLQIEISA-N 0 3 208.305 2.515 20 0 BFADHN CCCCCNC(=O)[C@@H](C)N1CCCCCC1 ZINC000248297930 364628088 /nfs/dbraw/zinc/62/80/88/364628088.db2.gz YMTRZKRXIDKISF-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1ccc(F)cn1 ZINC000269011265 364733902 /nfs/dbraw/zinc/73/39/02/364733902.db2.gz MWLTWVADCAWMKS-MFKMUULPSA-N 0 3 222.307 2.889 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1ccc(Cl)cn1 ZINC000274884520 364757785 /nfs/dbraw/zinc/75/77/85/364757785.db2.gz IGCNQYJNBGXJEX-MWLCHTKSSA-N 0 3 242.750 2.547 20 0 BFADHN COc1cc(CN[C@]2(C)CC=CCC2)sn1 ZINC000399059806 364758734 /nfs/dbraw/zinc/75/87/34/364758734.db2.gz NXUIRTPKKFJHII-GFCCVEGCSA-N 0 3 238.356 2.740 20 0 BFADHN COc1cc(CN[C@@]2(C)CC=CCC2)sn1 ZINC000399059807 364759233 /nfs/dbraw/zinc/75/92/33/364759233.db2.gz NXUIRTPKKFJHII-LBPRGKRZSA-N 0 3 238.356 2.740 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2ccnc(OC)n2)C1 ZINC000295729015 364781021 /nfs/dbraw/zinc/78/10/21/364781021.db2.gz OAFDJVJVODMWTB-VXGBXAGGSA-N 0 3 249.358 2.544 20 0 BFADHN CCC[C@@H](C)[C@@H](CC)NCc1cc[nH]n1 ZINC000167435622 364791790 /nfs/dbraw/zinc/79/17/90/364791790.db2.gz YRKJWOMUIZMHKB-ZYHUDNBSSA-N 0 3 209.337 2.714 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H]1C[C@@H](C)O[C@H]1C)CO2 ZINC000573555437 364858744 /nfs/dbraw/zinc/85/87/44/364858744.db2.gz ZORUXVDAPOLUQR-ZMJPVWNMSA-N 0 3 247.338 2.584 20 0 BFADHN C[C@@H](NCCCC(C)(C)CO)c1ccoc1 ZINC000168194752 364875544 /nfs/dbraw/zinc/87/55/44/364875544.db2.gz YGENKHWODRKPJY-LLVKDONJSA-N 0 3 225.332 2.729 20 0 BFADHN CCc1cc(CN[C@@H]2CCC[C@H]2CC)on1 ZINC000168177118 364875985 /nfs/dbraw/zinc/87/59/85/364875985.db2.gz YFDBDESIPZYCMI-ZWNOBZJWSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1cc(CN[C@H](CC)C2CC2)on1 ZINC000168175532 364876140 /nfs/dbraw/zinc/87/61/40/364876140.db2.gz BWSOEGAIMJWSSZ-GFCCVEGCSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1cc(CN[C@@H](CC)C2CC2)on1 ZINC000168175426 364876359 /nfs/dbraw/zinc/87/63/59/364876359.db2.gz BWSOEGAIMJWSSZ-LBPRGKRZSA-N 0 3 208.305 2.515 20 0 BFADHN CC(C)CCN1CCC(=O)CC12CCC2 ZINC000573694348 364895262 /nfs/dbraw/zinc/89/52/62/364895262.db2.gz ATCKFXKODDFKFW-UHFFFAOYSA-N 0 3 209.333 2.620 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H](C)[C@H]2CCCO2)s1 ZINC000245578974 541397544 /nfs/dbraw/zinc/39/75/44/541397544.db2.gz HRVCVCQOZOKGGN-ATZCPNFKSA-N 0 3 240.372 2.670 20 0 BFADHN C[C@@H]1C[C@@H](C)N1C[C@@H]1CCC2(CCCC2)O1 ZINC000573937348 364952173 /nfs/dbraw/zinc/95/21/73/364952173.db2.gz UFQDIRGLJLRLBW-UPJWGTAASA-N 0 3 223.360 2.961 20 0 BFADHN CC[C@@H](NCCOCC1CCC1)c1ccncc1 ZINC000574034223 364970874 /nfs/dbraw/zinc/97/08/74/364970874.db2.gz NZHHOELICLOZJP-OAHLLOKOSA-N 0 3 248.370 2.939 20 0 BFADHN C[C@H]1CCCC[C@H]1CCNCc1cnccn1 ZINC000574044723 364975455 /nfs/dbraw/zinc/97/54/55/364975455.db2.gz LWMQAPFTJFMGMQ-STQMWFEESA-N 0 3 233.359 2.783 20 0 BFADHN COc1nc(C)cc(C)c1CN(C)C(C)(C)C ZINC000574154501 364997182 /nfs/dbraw/zinc/99/71/82/364997182.db2.gz HZSXAWNHSHNMCV-UHFFFAOYSA-N 0 3 236.359 2.937 20 0 BFADHN C[C@H]1C[C@H](NCC2CC(C)(C)C2)c2nccn21 ZINC000574402805 365054869 /nfs/dbraw/zinc/05/48/69/365054869.db2.gz LJLXQOLRKXOMGU-JQWIXIFHSA-N 0 3 233.359 2.915 20 0 BFADHN COCC1(CCNCc2ccc(Cl)o2)CC1 ZINC000574452798 365064885 /nfs/dbraw/zinc/06/48/85/365064885.db2.gz KTPFHWVOPCCNLX-UHFFFAOYSA-N 0 3 243.734 2.839 20 0 BFADHN CC(C)[C@@H]1C[C@H](NC2(CF)CCC2)CS1 ZINC000574324655 365037630 /nfs/dbraw/zinc/03/76/30/365037630.db2.gz ZTYFROBNDWMYDB-QWRGUYRKSA-N 0 3 231.380 2.998 20 0 BFADHN C[C@H](NCC1(C)CC1)c1cn2ccccc2n1 ZINC000574532367 365085240 /nfs/dbraw/zinc/08/52/40/365085240.db2.gz ZNVPJTSRMACHBU-NSHDSACASA-N 0 3 229.327 2.785 20 0 BFADHN CC[C@@H]1CN(C)CCN1Cc1ccc(C)cc1 ZINC000574659731 365116144 /nfs/dbraw/zinc/11/61/44/365116144.db2.gz RXXBMSUYDJEQLL-OAHLLOKOSA-N 0 3 232.371 2.521 20 0 BFADHN CCC[C@@H](NCCC[C@@H](C)O)c1ccccn1 ZINC000433099979 192983979 /nfs/dbraw/zinc/98/39/79/192983979.db2.gz LERGKIAHDDIELL-CHWSQXEVSA-N 0 3 236.359 2.673 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@H]1c2ccc(F)cc2O[C@@H]1C ZINC000574508520 365077242 /nfs/dbraw/zinc/07/72/42/365077242.db2.gz XUTFTNGJZBPMIV-NZHONMPCSA-N 0 3 235.302 2.893 20 0 BFADHN CC[C@@]1(C)CN(CCCc2ccncc2)CCO1 ZINC000442887621 193000577 /nfs/dbraw/zinc/00/05/77/193000577.db2.gz XWITVLBMYYQPCI-HNNXBMFYSA-N 0 3 248.370 2.515 20 0 BFADHN CO[C@@H]1CCN(C/C=C\c2ccncc2)[C@H](C)C1 ZINC000443077202 193012549 /nfs/dbraw/zinc/01/25/49/193012549.db2.gz ZQHFAYMGUJAYSY-SQLJOLKNSA-N 0 3 246.354 2.594 20 0 BFADHN CCN(Cc1cnn(C)c1)CC1CCCCC1 ZINC000171349947 365192611 /nfs/dbraw/zinc/19/26/11/365192611.db2.gz SQZOHYIANULPLE-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN COCC1(N[C@@H]2CCCc3ccc(O)cc32)CC1 ZINC000575113109 365203064 /nfs/dbraw/zinc/20/30/64/365203064.db2.gz UENAPZNLBZOJQO-CQSZACIVSA-N 0 3 247.338 2.538 20 0 BFADHN CC1(O)CCN(CCCCC(F)(F)F)CC1 ZINC000443614538 193026707 /nfs/dbraw/zinc/02/67/07/193026707.db2.gz DVHZKGKUACNECF-UHFFFAOYSA-N 0 3 239.281 2.566 20 0 BFADHN c1cc(CNCCOCC2CCC2)cs1 ZINC000379799546 365274410 /nfs/dbraw/zinc/27/44/10/365274410.db2.gz LOXZRPHVIOLVDR-UHFFFAOYSA-N 0 3 225.357 2.654 20 0 BFADHN CC[C@@H](C)CN(C)[C@@H](C)C(=O)NC(C)(C)CC ZINC000171949077 365260920 /nfs/dbraw/zinc/26/09/20/365260920.db2.gz GZGOFOBGNSQZML-NEPJUHHUSA-N 0 3 242.407 2.658 20 0 BFADHN CCC1CCN(CCO[C@H]2CCCCO2)CC1 ZINC000444596013 193051712 /nfs/dbraw/zinc/05/17/12/193051712.db2.gz KWKDNQVNSURUQB-AWEZNQCLSA-N 0 3 241.375 2.652 20 0 BFADHN C[C@@H](N[C@@H](C)[C@H](C)O)c1ccc(F)cc1Cl ZINC000575522258 365329301 /nfs/dbraw/zinc/32/93/01/365329301.db2.gz CUGWLBGBQCMKOP-YIZRAAEISA-N 0 3 245.725 2.899 20 0 BFADHN CCc1ccc(CN2CCC[C@H](OC)C2)cc1 ZINC000172247032 365302779 /nfs/dbraw/zinc/30/27/79/365302779.db2.gz VITVZYKJBRFCTQ-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN CCOC[C@H](C)NC1(c2cccc(F)c2)CC1 ZINC000182211813 366876591 /nfs/dbraw/zinc/87/65/91/366876591.db2.gz YCXAWHGQIAJNTN-NSHDSACASA-N 0 3 237.318 2.829 20 0 BFADHN C[C@H](NCc1cnccn1)[C@H]1CCC[C@H](C)C1 ZINC000575636248 365342154 /nfs/dbraw/zinc/34/21/54/365342154.db2.gz HMZNRMKKNFSNQN-AVGNSLFASA-N 0 3 233.359 2.781 20 0 BFADHN COc1cc(CNC[C@@H](C)C(C)C)sn1 ZINC000399084337 365388808 /nfs/dbraw/zinc/38/88/08/365388808.db2.gz UJMYASWEHULWBA-SECBINFHSA-N 0 3 228.361 2.533 20 0 BFADHN CCC[C@H](CC)NCc1cc(OC)ns1 ZINC000399083286 365400222 /nfs/dbraw/zinc/40/02/22/365400222.db2.gz TWUWQGNPULIJRN-VIFPVBQESA-N 0 3 228.361 2.820 20 0 BFADHN CC(C)CCN1CCN(C)C2(CCCCC2)C1 ZINC000575878949 365404301 /nfs/dbraw/zinc/40/43/01/365404301.db2.gz DVVNODDZFOTVHG-UHFFFAOYSA-N 0 3 238.419 2.983 20 0 BFADHN Cc1cnc(CN(C)[C@H]2CCCC[C@@H]2C)o1 ZINC000173189280 365409072 /nfs/dbraw/zinc/40/90/72/365409072.db2.gz XMAGQWFYVHQDRB-JQWIXIFHSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@H](O)[C@@H](NC1CC(C)C1)c1ccccc1F ZINC000576045931 365462405 /nfs/dbraw/zinc/46/24/05/365462405.db2.gz WHWLAJMIWQSENB-YNJZAQGCSA-N 0 3 237.318 2.636 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2cccnc2C)C1(C)C ZINC000174134295 365473321 /nfs/dbraw/zinc/47/33/21/365473321.db2.gz ZWWBSLAPJDINPK-UKRRQHHQSA-N 0 3 248.370 2.683 20 0 BFADHN COc1ccc(CN(C)CC2CCC2)c(OC)c1 ZINC000173491157 365426086 /nfs/dbraw/zinc/42/60/86/365426086.db2.gz JVHBUXBMMLGCJT-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN CC[C@@H](C)N(C)Cc1c(C)nsc1C ZINC000579608955 366905871 /nfs/dbraw/zinc/90/58/71/366905871.db2.gz IYMSIFHBGZLGTB-MRVPVSSYSA-N 0 3 212.362 2.990 20 0 BFADHN Cn1cc(C(C)(C)NCC2=CCCCC2)cn1 ZINC000564705753 325804209 /nfs/dbraw/zinc/80/42/09/325804209.db2.gz AWQDVFZNCRWXBW-UHFFFAOYSA-N 0 3 233.359 2.745 20 0 BFADHN C[C@H](Cc1ccccn1)NCc1cccc(O)c1 ZINC000655844248 541482442 /nfs/dbraw/zinc/48/24/42/541482442.db2.gz QENZUFZDNNVPIJ-GFCCVEGCSA-N 0 3 242.322 2.508 20 0 BFADHN C[C@@H](Cc1ccccn1)NCc1cccc(O)c1 ZINC000655844249 541482558 /nfs/dbraw/zinc/48/25/58/541482558.db2.gz QENZUFZDNNVPIJ-LBPRGKRZSA-N 0 3 242.322 2.508 20 0 BFADHN CC(C)Cn1cc(CN(C)CC2CCC2)cn1 ZINC000182922214 366957662 /nfs/dbraw/zinc/95/76/62/366957662.db2.gz UOXOFZZLGHQCCR-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN CC[C@H]1CCC[C@@H](CN2CCN(CC)CC2)C1 ZINC000182937197 366958634 /nfs/dbraw/zinc/95/86/34/366958634.db2.gz CIYSECSCUCXLSF-LSDHHAIUSA-N 0 3 238.419 2.840 20 0 BFADHN CCC(C)(C)[C@H]1CCCN1Cc1cncnc1 ZINC000180067581 541505687 /nfs/dbraw/zinc/50/56/87/541505687.db2.gz INTPZIWTPAXMMZ-CYBMUJFWSA-N 0 3 233.359 2.877 20 0 BFADHN CC[C@H](C)N(C)Cc1cnn(CC(C)C)c1 ZINC000183063780 366973160 /nfs/dbraw/zinc/97/31/60/366973160.db2.gz VWAOXYXYYNQMKZ-LBPRGKRZSA-N 0 3 223.364 2.769 20 0 BFADHN CCN(Cc1ccc(Cl)cn1)CC1CC1 ZINC000579694700 366981083 /nfs/dbraw/zinc/98/10/83/366981083.db2.gz KZJVEQQGENMJNK-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc(OC)cc1OC ZINC000384806681 541515500 /nfs/dbraw/zinc/51/55/00/541515500.db2.gz ZTLHTFYKQKSKNU-QRGHLMKCSA-N 0 3 235.327 2.931 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2nccn2C)cc1 ZINC000183282569 367009130 /nfs/dbraw/zinc/00/91/30/367009130.db2.gz HVULQPAEROOVAF-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN Cc1cccc(NC(=O)CN(C)C(C)(C)C)c1 ZINC000579829444 367035501 /nfs/dbraw/zinc/03/55/01/367035501.db2.gz JZFUOMLXYJOFHQ-UHFFFAOYSA-N 0 3 234.343 2.664 20 0 BFADHN C[C@@H](CN(C)Cc1ccncc1)c1nccs1 ZINC000183981071 367059452 /nfs/dbraw/zinc/05/94/52/367059452.db2.gz DQKJADUHSFGEPR-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN CCCC[C@@H](CC)CN1CCN(CC)CC1 ZINC000183589925 367032723 /nfs/dbraw/zinc/03/27/23/367032723.db2.gz WIBJKEBKXLOIPQ-CQSZACIVSA-N 0 3 226.408 2.840 20 0 BFADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1c[nH]cn1 ZINC000193387725 367172315 /nfs/dbraw/zinc/17/23/15/367172315.db2.gz MZCBAWFTOXGTIE-AAEUAGOBSA-N 0 3 221.348 2.810 20 0 BFADHN C[C@H](NCCOC(C)(C)C)c1ccoc1 ZINC000380321177 367177905 /nfs/dbraw/zinc/17/79/05/367177905.db2.gz KLBHQGDPEXKGHL-JTQLQIEISA-N 0 3 211.305 2.745 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](c1cccnc1)C1CCC1 ZINC000580749641 367154618 /nfs/dbraw/zinc/15/46/18/367154618.db2.gz SQXMXFUWJRHWOQ-ZNMIVQPWSA-N 0 3 246.354 2.690 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](c1cccnc1)C1CCC1 ZINC000580749640 367154668 /nfs/dbraw/zinc/15/46/68/367154668.db2.gz SQXMXFUWJRHWOQ-RRFJBIMHSA-N 0 3 246.354 2.690 20 0 BFADHN CCc1cccc(F)c1CN[C@H]1CO[C@H](C)C1 ZINC000580892435 367198704 /nfs/dbraw/zinc/19/87/04/367198704.db2.gz IWSXJQQDUFPQKJ-ZYHUDNBSSA-N 0 3 237.318 2.655 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCC[C@H]2O)cs1 ZINC000380599022 367251293 /nfs/dbraw/zinc/25/12/93/367251293.db2.gz UVISVKODRREZDC-CHWSQXEVSA-N 0 3 239.384 2.792 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@H]2CCC[C@@H]2O)o1 ZINC000453634934 202789239 /nfs/dbraw/zinc/78/92/39/202789239.db2.gz TWKCVJLYDZDXDD-OXHZDVMGSA-N 0 3 249.354 2.654 20 0 BFADHN CN(Cc1cnn(C)c1)[C@H]1CCc2ccccc21 ZINC000195052983 367333529 /nfs/dbraw/zinc/33/35/29/367333529.db2.gz KXHUTHLXVGTCEG-HNNXBMFYSA-N 0 3 241.338 2.539 20 0 BFADHN C[C@H](C[C@H]1CCCO1)N[C@H](C)c1cscn1 ZINC000381034262 367337894 /nfs/dbraw/zinc/33/78/94/367337894.db2.gz AZQMYWYJIWJNIS-GMTAPVOTSA-N 0 3 240.372 2.751 20 0 BFADHN Clc1sccc1CNCCCN1CCC1 ZINC000581320467 367346703 /nfs/dbraw/zinc/34/67/03/367346703.db2.gz FHROXMARWOSUSH-UHFFFAOYSA-N 0 3 244.791 2.587 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1ccnn1CC ZINC000581219184 367296311 /nfs/dbraw/zinc/29/63/11/367296311.db2.gz XJIAPFFASAPKQL-VXGBXAGGSA-N 0 3 221.348 2.666 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1ccnn1CC ZINC000581219183 367296622 /nfs/dbraw/zinc/29/66/22/367296622.db2.gz XJIAPFFASAPKQL-RYUDHWBXSA-N 0 3 221.348 2.666 20 0 BFADHN C[C@@H](NCC1CC(C)(C)C1)c1ccncn1 ZINC000581292569 367320376 /nfs/dbraw/zinc/32/03/76/367320376.db2.gz FLFMSLFUOBUPJY-SNVBAGLBSA-N 0 3 219.332 2.563 20 0 BFADHN CC(C)[C@H]1CCCC[C@@H]1NCc1cnns1 ZINC000381207957 367365213 /nfs/dbraw/zinc/36/52/13/367365213.db2.gz GOJWWTHHWSRQIK-NEPJUHHUSA-N 0 3 239.388 2.843 20 0 BFADHN C[C@@H](NCc1cnccn1)[C@H]1CC2CCC1CC2 ZINC000382039354 367481061 /nfs/dbraw/zinc/48/10/61/367481061.db2.gz DCKKABBVKVKXJE-XZNOPERXSA-N 0 3 245.370 2.781 20 0 BFADHN FC1(F)CCCC[C@H]1CNCc1ccccn1 ZINC000382169488 367493768 /nfs/dbraw/zinc/49/37/68/367493768.db2.gz PTGUYBVXLSJFBT-NSHDSACASA-N 0 3 240.297 2.997 20 0 BFADHN CC[C@@H](F)CN1CCC(OC(C)C)CC1 ZINC000581408279 367445699 /nfs/dbraw/zinc/44/56/99/367445699.db2.gz BSQUOPRNGIWVTJ-LLVKDONJSA-N 0 3 217.328 2.624 20 0 BFADHN Fc1ccc(CN[C@H]2[C@@H]3CCC[C@@H]32)cc1F ZINC000381826955 367461054 /nfs/dbraw/zinc/46/10/54/367461054.db2.gz MOKRRLUYPVSNTN-IWIIMEHWSA-N 0 3 223.266 2.853 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@H]2C[C@H]21)c1cscn1 ZINC000382315765 367506575 /nfs/dbraw/zinc/50/65/75/367506575.db2.gz RMSYKGBEGKWLHR-YTWAJWBKSA-N 0 3 222.357 2.982 20 0 BFADHN C[C@H](N[C@H]1CCC[C@H]2C[C@H]21)c1cscn1 ZINC000382315761 367506678 /nfs/dbraw/zinc/50/66/78/367506678.db2.gz RMSYKGBEGKWLHR-MMWGEVLESA-N 0 3 222.357 2.982 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1nccs1 ZINC000382388801 367513548 /nfs/dbraw/zinc/51/35/48/367513548.db2.gz LNAHDTFCXSRSTK-ZNSHCXBVSA-N 0 3 222.357 2.982 20 0 BFADHN FC1(F)CC(CNCc2ccncc2Cl)C1 ZINC000383183218 367604921 /nfs/dbraw/zinc/60/49/21/367604921.db2.gz NIJLIPWCTRPOPD-UHFFFAOYSA-N 0 3 246.688 2.870 20 0 BFADHN CO[C@H]1CC[C@@H](NCc2cccc(C)c2F)C1 ZINC000384568137 367700688 /nfs/dbraw/zinc/70/06/88/367700688.db2.gz UIUDRSFYTLANAM-OLZOCXBDSA-N 0 3 237.318 2.791 20 0 BFADHN CO[C@H](CN1CCC[C@@H]1c1ccccn1)C1CC1 ZINC000581663477 367663621 /nfs/dbraw/zinc/66/36/21/367663621.db2.gz FBPBNOMCNUNOGG-HUUCEWRRSA-N 0 3 246.354 2.644 20 0 BFADHN Cc1nc([C@H](C)NC[C@H](C)CCCO)cs1 ZINC000384443298 367691313 /nfs/dbraw/zinc/69/13/13/367691313.db2.gz YQKOYGOKEXNHHB-ZJUUUORDSA-N 0 3 242.388 2.511 20 0 BFADHN Cc1cccc(CNCCN(C)C(C)C)c1F ZINC000384518884 367696214 /nfs/dbraw/zinc/69/62/14/367696214.db2.gz JJYPNPUKFZQSFR-UHFFFAOYSA-N 0 3 238.350 2.564 20 0 BFADHN CC/C=C\CN[C@@H](C)c1cncc(F)c1 ZINC000384864316 367746700 /nfs/dbraw/zinc/74/67/00/367746700.db2.gz NNDBUTYEMICWFV-LWTINBJPSA-N 0 3 208.280 2.838 20 0 BFADHN Cc1cnccc1CN[C@@H](C)CC(F)(F)F ZINC000384884369 367750958 /nfs/dbraw/zinc/75/09/58/367750958.db2.gz VVIIOBYRWACFFB-VIFPVBQESA-N 0 3 232.249 2.821 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1C[C@H](C)O[C@@H]1C ZINC000384895461 367753075 /nfs/dbraw/zinc/75/30/75/367753075.db2.gz MBDKNQKDAYJUPZ-MISXGVKJSA-N 0 3 237.318 2.790 20 0 BFADHN CC/C=C\CNCc1cc(O)cc(F)c1 ZINC000384914768 367755775 /nfs/dbraw/zinc/75/57/75/367755775.db2.gz YXNJVHFINGFEBU-ARJAWSKDSA-N 0 3 209.264 2.587 20 0 BFADHN C[C@@]1(O)CCCN(C/C=C\c2ccccc2)CC1 ZINC000384634080 367710303 /nfs/dbraw/zinc/71/03/03/367710303.db2.gz MFTMUGDEARENHW-MEMKZHQFSA-N 0 3 245.366 2.937 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@@H](C)[C@@H]2C)c(F)c1 ZINC000385695046 367855543 /nfs/dbraw/zinc/85/55/43/367855543.db2.gz SOOHLTGQHHKLDU-ISTVAULSSA-N 0 3 237.318 2.968 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@@H]1C[C@H]1CC(C)C ZINC000385837699 367868316 /nfs/dbraw/zinc/86/83/16/367868316.db2.gz MUTULGJFZAEJAC-ZKYQVNSYSA-N 0 3 235.375 2.814 20 0 BFADHN Cc1n[nH]c(C)c1CN[C@@H]1C[C@H]1CC(C)C ZINC000385859139 367870791 /nfs/dbraw/zinc/87/07/91/367870791.db2.gz RPRJTRDMOFJMKY-DGCLKSJQSA-N 0 3 221.348 2.551 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@H](C)n3ccnc32)[C@H]1C ZINC000385883099 367875263 /nfs/dbraw/zinc/87/52/63/367875263.db2.gz BVEQCCRTIJUYEC-WTPMCQDGSA-N 0 3 219.332 2.523 20 0 BFADHN CCn1ccnc1CN[C@H]1CC(C)(C)C[C@@H]1C ZINC000385300273 367818193 /nfs/dbraw/zinc/81/81/93/367818193.db2.gz DSVALDWPHNOLJF-RYUDHWBXSA-N 0 3 235.375 2.817 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@@H](C)[C@H]2C)c1OC ZINC000385621167 367848276 /nfs/dbraw/zinc/84/82/76/367848276.db2.gz IXJAVBYKPMAXFD-NQBHXWOUSA-N 0 3 249.354 2.838 20 0 BFADHN CC[C@H](C)CCNCc1cc(OC)ns1 ZINC000399172224 367849640 /nfs/dbraw/zinc/84/96/40/367849640.db2.gz GSKJWQVBDOXSHJ-VIFPVBQESA-N 0 3 228.361 2.678 20 0 BFADHN C[C@@H](N[C@H](CO)C1CCCCC1)c1ccccn1 ZINC000386077408 367909821 /nfs/dbraw/zinc/90/98/21/367909821.db2.gz BKCSTVHRYHNJNK-IUODEOHRSA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@@H](C)CC(=O)Nc1ccc2c(c1)CNC2 ZINC000386417821 367964420 /nfs/dbraw/zinc/96/44/20/367964420.db2.gz HCSVHXZYVXWADJ-SNVBAGLBSA-N 0 3 232.327 2.665 20 0 BFADHN CC[C@@H](NCc1ccc(Cl)c(F)c1)[C@H](C)O ZINC000386739610 368015851 /nfs/dbraw/zinc/01/58/51/368015851.db2.gz DBCOXKYNIZRNOY-QPUJVOFHSA-N 0 3 245.725 2.728 20 0 BFADHN c1cc2c(c(CN[C@H]3CC34CCCC4)c1)OCO2 ZINC000386885193 368031721 /nfs/dbraw/zinc/03/17/21/368031721.db2.gz JDMKJJJZGVEJGL-ZDUSSCGKSA-N 0 3 245.322 2.838 20 0 BFADHN OCCC1(CNCc2cc3ccccc3o2)CC1 ZINC000157326742 201566458 /nfs/dbraw/zinc/56/64/58/201566458.db2.gz KINIGTDOUPJEFU-UHFFFAOYSA-N 0 3 245.322 2.685 20 0 BFADHN CC(C)OCCNc1cccc(CN(C)C)c1 ZINC000642515979 368167155 /nfs/dbraw/zinc/16/71/55/368167155.db2.gz HZAXHUDMSXDAFO-UHFFFAOYSA-N 0 3 236.359 2.585 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)N(C)C[C@@H](C)C(C)(C)C ZINC000399492954 368168989 /nfs/dbraw/zinc/16/89/89/368168989.db2.gz GMURYAYDYPPPHZ-UTUOFQBUSA-N 0 3 242.407 2.500 20 0 BFADHN CC(C)C[C@H](N)C(=O)N(C)C[C@H](C)C(C)(C)C ZINC000399530798 368172213 /nfs/dbraw/zinc/17/22/13/368172213.db2.gz RWLJBJHEOAKCIN-RYUDHWBXSA-N 0 3 242.407 2.500 20 0 BFADHN Cc1nsc(C)c1CN(C)CCC1CC1 ZINC000566413353 326042130 /nfs/dbraw/zinc/04/21/30/326042130.db2.gz DEQLXVBOPCRGCG-UHFFFAOYSA-N 0 3 224.373 2.992 20 0 BFADHN COCCN(C)[C@@H](C)c1ccc(Cl)cc1 ZINC000044894721 368423686 /nfs/dbraw/zinc/42/36/86/368423686.db2.gz IHOFKIVOSNOBMI-JTQLQIEISA-N 0 3 227.735 2.979 20 0 BFADHN CCC[C@@](C)(N)C(=O)N(C)C[C@@H](C)C(C)(C)C ZINC000399705777 368494457 /nfs/dbraw/zinc/49/44/57/368494457.db2.gz DMGXMLAHJOJEBQ-BXUZGUMPSA-N 0 3 242.407 2.645 20 0 BFADHN CCOCCN(Cc1ccc(F)cc1)C1CC1 ZINC000057621021 368497273 /nfs/dbraw/zinc/49/72/73/368497273.db2.gz QYAXQCCUFKBZPJ-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN CCCCN(C)C[C@@H]1COc2ccccc2O1 ZINC000058875028 368524000 /nfs/dbraw/zinc/52/40/00/368524000.db2.gz YGAPZANZXDWSFI-GFCCVEGCSA-N 0 3 235.327 2.558 20 0 BFADHN CCOCCN(CC)Cc1ccccc1C ZINC000058933372 368526191 /nfs/dbraw/zinc/52/61/91/368526191.db2.gz RNUGUBMWZQKTEL-UHFFFAOYSA-N 0 3 221.344 2.853 20 0 BFADHN CC[C@H](c1ccccc1)N1CCO[C@@H](C)C1 ZINC000060876315 368555165 /nfs/dbraw/zinc/55/51/65/368555165.db2.gz XAAHDLYMPYMKLZ-GXTWGEPZSA-N 0 3 219.328 2.858 20 0 BFADHN CCCCCNC(=O)[C@H](C)N(CC)CC(C)C ZINC000153711932 326109710 /nfs/dbraw/zinc/10/97/10/326109710.db2.gz GMURUSTUQCXEDL-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN CC(C)CN(C)[C@H](C)C(=O)Nc1ccccc1 ZINC000065962457 368638715 /nfs/dbraw/zinc/63/87/15/368638715.db2.gz GPKYMGXHSOFMGO-GFCCVEGCSA-N 0 3 234.343 2.601 20 0 BFADHN Cc1scc(CNCc2cc[nH]c2)c1C ZINC000399869329 368643452 /nfs/dbraw/zinc/64/34/52/368643452.db2.gz SRMPREYQEUJEED-UHFFFAOYSA-N 0 3 220.341 2.983 20 0 BFADHN CCC(CC)[C@@H](C)C(=O)NC(C)(C)CN(C)C ZINC000457216677 203021632 /nfs/dbraw/zinc/02/16/32/203021632.db2.gz DJODDCVGUOCRQV-LLVKDONJSA-N 0 3 242.407 2.515 20 0 BFADHN CCC[C@@H](C(=O)NC(C)(C)CN(C)C)C(C)C ZINC000457236595 203023495 /nfs/dbraw/zinc/02/34/95/203023495.db2.gz KQQWTNZAMDTXFK-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN CC[C@@H](C(=O)N[C@@H](C)c1cccc(C)c1)N(C)C ZINC000457089066 203007041 /nfs/dbraw/zinc/00/70/41/203007041.db2.gz RMWILSUJBKGSGS-JSGCOSHPSA-N 0 3 248.370 2.512 20 0 BFADHN c1c(CN2CCCC2)onc1-c1ccccc1 ZINC000002039741 368742608 /nfs/dbraw/zinc/74/26/08/368742608.db2.gz IEFFAOXMKNLERG-UHFFFAOYSA-N 0 3 228.295 2.937 20 0 BFADHN CC(C)CC(CC(C)C)NC(=O)[C@@H](N)C(C)C ZINC000457374563 203049115 /nfs/dbraw/zinc/04/91/15/203049115.db2.gz LRMNFIRNQKICNU-ZDUSSCGKSA-N 0 3 242.407 2.547 20 0 BFADHN c1ccc2c(c1)CCN(C[C@H]1CCCCO1)C2 ZINC000069537351 368753911 /nfs/dbraw/zinc/75/39/11/368753911.db2.gz PAHCXRZMNWZMCL-OAHLLOKOSA-N 0 3 231.339 2.614 20 0 BFADHN CCc1nn(C)c(CC)c1CN(C)[C@H](C)CC ZINC000154580230 326151659 /nfs/dbraw/zinc/15/16/59/326151659.db2.gz QFIIUBYWTCCKSP-LLVKDONJSA-N 0 3 237.391 2.775 20 0 BFADHN CO[C@H]1C[C@H](NCc2cc(Cl)ccc2F)C1 ZINC000623808069 368928409 /nfs/dbraw/zinc/92/84/09/368928409.db2.gz BFMYCGXGOURDOR-XYPYZODXSA-N 0 3 243.709 2.746 20 0 BFADHN CO[C@H]1C[C@H](NCc2coc3ccccc23)C1 ZINC000623808307 368933819 /nfs/dbraw/zinc/93/38/19/368933819.db2.gz LLEWEYQPPNGYHD-HAQNSBGRSA-N 0 3 231.295 2.700 20 0 BFADHN CC(C)(C)C[C@@H]1CCN(Cc2cnccn2)C1 ZINC000114622476 368945493 /nfs/dbraw/zinc/94/54/93/368945493.db2.gz AEWCBRLITHOGHH-LBPRGKRZSA-N 0 3 233.359 2.735 20 0 BFADHN CCOC1CCN([C@@H](C)c2cccnc2)CC1 ZINC000618443404 369147302 /nfs/dbraw/zinc/14/73/02/369147302.db2.gz GXNRHNPJNKINQF-LBPRGKRZSA-N 0 3 234.343 2.644 20 0 BFADHN CCC[C@@H](C)N[C@H](C)c1cc(C(=O)OC)co1 ZINC000618561448 369171260 /nfs/dbraw/zinc/17/12/60/369171260.db2.gz QCSDMNPVMCNKIC-NXEZZACHSA-N 0 3 239.315 2.905 20 0 BFADHN CC[C@H]1CC[C@H](NCc2cnccn2)CC1 ZINC000567566331 326218994 /nfs/dbraw/zinc/21/89/94/326218994.db2.gz YGWAHYBMHWYJJF-HAQNSBGRSA-N 0 3 219.332 2.535 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C2)c(F)c1 ZINC000567618561 326230805 /nfs/dbraw/zinc/23/08/05/326230805.db2.gz OWERZNKIEMFQMS-JTQLQIEISA-N 0 3 223.291 2.676 20 0 BFADHN CC[C@H]1CCCN(CCn2cc(Cl)cn2)C1 ZINC000459297229 203250850 /nfs/dbraw/zinc/25/08/50/203250850.db2.gz GAHIOWFKCFXGGV-NSHDSACASA-N 0 3 241.766 2.659 20 0 BFADHN CC[C@@H]1CCCN(CCn2cc(Cl)cn2)C1 ZINC000459297228 203251289 /nfs/dbraw/zinc/25/12/89/203251289.db2.gz GAHIOWFKCFXGGV-LLVKDONJSA-N 0 3 241.766 2.659 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1cnc(C2CC2)nc1 ZINC000459306796 203253155 /nfs/dbraw/zinc/25/31/55/203253155.db2.gz RPAZZGMUVSYXGX-AWEZNQCLSA-N 0 3 245.370 2.974 20 0 BFADHN CN(Cc1cnc(C2CC2)nc1)CC1CCCC1 ZINC000459331766 203257879 /nfs/dbraw/zinc/25/78/79/203257879.db2.gz WSTGZOGCYDNGDX-UHFFFAOYSA-N 0 3 245.370 2.976 20 0 BFADHN CC[C@H]1CCCN(Cc2cnc(C3CC3)nc2)C1 ZINC000459324401 203257974 /nfs/dbraw/zinc/25/79/74/203257974.db2.gz JSELKVJLDMMMHM-LBPRGKRZSA-N 0 3 245.370 2.976 20 0 BFADHN CC[C@@H]1CCN(Cc2cnc(C3CC3)nc2)C1 ZINC000459340388 203260966 /nfs/dbraw/zinc/26/09/66/203260966.db2.gz DBMBXQLLUFBFLI-LLVKDONJSA-N 0 3 231.343 2.586 20 0 BFADHN C[C@@H]1CN(CCn2cc(Cl)cn2)C(C)(C)C1 ZINC000459353088 203265019 /nfs/dbraw/zinc/26/50/19/203265019.db2.gz IAXCICVGQWNSBC-JTQLQIEISA-N 0 3 241.766 2.657 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1CC1CCC(F)(F)CC1 ZINC000459361194 203268691 /nfs/dbraw/zinc/26/86/91/203268691.db2.gz HZAPOVANRNZUAI-MNOVXSKESA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1CCn1cc(Cl)cn1 ZINC000459355120 203269306 /nfs/dbraw/zinc/26/93/06/203269306.db2.gz UECHJAZSXTXJAO-GHMZBOCLSA-N 0 3 241.766 2.799 20 0 BFADHN COCc1cc(CNC2(C)CCCCC2)no1 ZINC000459383816 203270350 /nfs/dbraw/zinc/27/03/50/203270350.db2.gz VIGAWZDQPZPOTQ-UHFFFAOYSA-N 0 3 238.331 2.633 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@@H](C)C2)no1 ZINC000459535720 203297040 /nfs/dbraw/zinc/29/70/40/203297040.db2.gz WLSZNCKJRVJTDN-MWLCHTKSSA-N 0 3 208.305 2.509 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1CCn1cc(Cl)cn1 ZINC000459483333 203289958 /nfs/dbraw/zinc/28/99/58/203289958.db2.gz YZVKSLATLJGMEH-CMPLNLGQSA-N 0 3 241.766 2.799 20 0 BFADHN C[C@H](C[S@](C)=O)N(C)C1CC(C(C)(C)C)C1 ZINC000459490992 203291830 /nfs/dbraw/zinc/29/18/30/203291830.db2.gz VOHALRZMIUYTQH-JFVOVXHCSA-N 0 3 245.432 2.510 20 0 BFADHN C[C@H](C[S@@](C)=O)N(C)C1CC(C(C)(C)C)C1 ZINC000459490993 203292153 /nfs/dbraw/zinc/29/21/53/203292153.db2.gz VOHALRZMIUYTQH-OOIBFCDDSA-N 0 3 245.432 2.510 20 0 BFADHN CN(Cc1ccc(C2CC2)cc1)CC1(CO)CC1 ZINC000459507769 203292795 /nfs/dbraw/zinc/29/27/95/203292795.db2.gz JEFSHCJLEWCONU-UHFFFAOYSA-N 0 3 245.366 2.768 20 0 BFADHN C1=C[C@@H](NCc2cnc(C3CC3)nc2)CCC1 ZINC000459435859 203281556 /nfs/dbraw/zinc/28/15/56/203281556.db2.gz PQBPBDNDUSKRDQ-CYBMUJFWSA-N 0 3 229.327 2.552 20 0 BFADHN CCc1ccc(CN2CC3(C2)CCCOC3)cc1 ZINC000459603651 203314941 /nfs/dbraw/zinc/31/49/41/203314941.db2.gz IHMNVBLVEPMXDK-UHFFFAOYSA-N 0 3 245.366 2.861 20 0 BFADHN Cc1cc(CNC(C)(C)C(C)(C)C)no1 ZINC000459600216 203312395 /nfs/dbraw/zinc/31/23/95/203312395.db2.gz ZNZDTGLVQLSSAX-UHFFFAOYSA-N 0 3 210.321 2.897 20 0 BFADHN CC1(C)CC[C@@H]1NCc1cnc(C2CC2)nc1 ZINC000459562411 203301135 /nfs/dbraw/zinc/30/11/35/203301135.db2.gz PRCRVOPOCUHHQL-LBPRGKRZSA-N 0 3 231.343 2.632 20 0 BFADHN COc1cccnc1CNC1CC2(CCC2)C1 ZINC000459563512 203301224 /nfs/dbraw/zinc/30/12/24/203301224.db2.gz QSERRHCNAFYTDX-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN COC[C@@H]1CCN1Cc1ccc(C2CC2)cc1 ZINC000459579668 203302112 /nfs/dbraw/zinc/30/21/12/203302112.db2.gz AADFMKJAKZXBFP-HNNXBMFYSA-N 0 3 231.339 2.785 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2csc(C)c2)C1 ZINC000459626714 203320953 /nfs/dbraw/zinc/32/09/53/203320953.db2.gz CNDMCHSOUIINQH-TXEJJXNPSA-N 0 3 225.357 2.714 20 0 BFADHN CCC1(C)CN(Cc2ccccc2N(C)C)C1 ZINC000459629875 203324042 /nfs/dbraw/zinc/32/40/42/203324042.db2.gz FTYSBCORVDWQNN-UHFFFAOYSA-N 0 3 232.371 2.985 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H](C)c2cc(C)ccn2)C1 ZINC000459637333 203325611 /nfs/dbraw/zinc/32/56/11/203325611.db2.gz SCKKJIAATRJWNU-UPJWGTAASA-N 0 3 234.343 2.608 20 0 BFADHN CCOc1ccccc1CN[C@H]1C[C@@H](OCC)C1 ZINC000459637666 203325958 /nfs/dbraw/zinc/32/59/58/203325958.db2.gz BJGZAXHETNRJQY-OKILXGFUSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)O[C@H]1C[C@@H](NCc2ccc(Cl)o2)C1 ZINC000459637259 203327176 /nfs/dbraw/zinc/32/71/76/203327176.db2.gz RYRVIVDSWVDVNI-JGZJWPJOSA-N 0 3 243.734 2.979 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@H](C)c2ccccn2)C1 ZINC000459635974 203327357 /nfs/dbraw/zinc/32/73/57/203327357.db2.gz QSWAKEZTCVOCDK-UPJWGTAASA-N 0 3 234.343 2.688 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccc(F)c(F)c2)C1 ZINC000459638406 203327448 /nfs/dbraw/zinc/32/74/48/203327448.db2.gz BTZZTKBFOLZLQJ-PHIMTYICSA-N 0 3 241.281 2.622 20 0 BFADHN CCn1nc(C)c(CN2CC(C(C)(C)C)C2)c1C ZINC000459650448 203327868 /nfs/dbraw/zinc/32/78/68/203327868.db2.gz YBHCRVUROJBZPA-UHFFFAOYSA-N 0 3 249.402 2.998 20 0 BFADHN CCOc1cccc(CN[C@H]2C[C@@H](OCC)C2)c1 ZINC000459651802 203331760 /nfs/dbraw/zinc/33/17/60/203331760.db2.gz VUVYQVBNVURHPW-OTVXOJSOSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ncc(CN[C@H]2CCC[C@@H]2C2CC2)o1 ZINC000459670925 203333840 /nfs/dbraw/zinc/33/38/40/203333840.db2.gz FXWRCCXFUWDBJA-OLZOCXBDSA-N 0 3 220.316 2.651 20 0 BFADHN CC(C)C(CN[C@@H](C)c1ccn(C)n1)C(C)C ZINC000459740185 203348897 /nfs/dbraw/zinc/34/88/97/203348897.db2.gz DOMODPHLRKIRIX-LBPRGKRZSA-N 0 3 237.391 2.999 20 0 BFADHN CCCC[C@H](C)[NH2+]Cc1nnc(C(C)(C)C)[n-]1 ZINC000459668644 203333121 /nfs/dbraw/zinc/33/31/21/203333121.db2.gz FCHWATLDUFPVTD-JTQLQIEISA-N 0 3 238.379 2.771 20 0 BFADHN CCCC[C@H](C)NCc1nnc(C(C)(C)C)[nH]1 ZINC000459668644 203333124 /nfs/dbraw/zinc/33/31/24/203333124.db2.gz FCHWATLDUFPVTD-JTQLQIEISA-N 0 3 238.379 2.771 20 0 BFADHN c1cc(CN2C[C@@H]3C[C@H]2CS3)cc2c1CCC2 ZINC000459755084 203355621 /nfs/dbraw/zinc/35/56/21/203355621.db2.gz NMJXSHDYTQVUDW-GJZGRUSLSA-N 0 3 245.391 2.865 20 0 BFADHN C[C@@H](N[C@@H](C)CCC(F)(F)F)c1ccn(C)n1 ZINC000459759776 203358655 /nfs/dbraw/zinc/35/86/55/203358655.db2.gz RFYICDQWEOLHIE-DTWKUNHWSA-N 0 3 249.280 2.802 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](C)[C@@H](C)C1)c1ccn(C)n1 ZINC000459759945 203359263 /nfs/dbraw/zinc/35/92/63/203359263.db2.gz RSFLDAYDYTXEGU-ZDEQEGDKSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H](NCC1CCC(C)CC1)c1ccn(C)n1 ZINC000459767417 203359894 /nfs/dbraw/zinc/35/98/94/203359894.db2.gz WVJHMBHBGXBVNP-CPCZMJQVSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1ccc(CN2C[C@@H]3C[C@H]2CS3)c(C)c1 ZINC000459768959 203363110 /nfs/dbraw/zinc/36/31/10/203363110.db2.gz QXKKTBNPBRODEK-KBPBESRZSA-N 0 3 233.380 2.993 20 0 BFADHN COc1ccc(CN2C[C@@H]3C[C@H]2CS3)cc1C ZINC000459770135 203363409 /nfs/dbraw/zinc/36/34/09/203363409.db2.gz RVKZBFNDZBSECA-STQMWFEESA-N 0 3 249.379 2.693 20 0 BFADHN Cc1ccc(CN2C[C@@H]3C[C@H]2CS3)cc1 ZINC000459778697 203365280 /nfs/dbraw/zinc/36/52/80/203365280.db2.gz ZFEQCZWJRJVMKC-STQMWFEESA-N 0 3 219.353 2.685 20 0 BFADHN Cc1noc(C)c1CCN(C)CC(C)(C)C ZINC000165363624 203384096 /nfs/dbraw/zinc/38/40/96/203384096.db2.gz RCARWYJILNDDTO-UHFFFAOYSA-N 0 3 224.348 2.812 20 0 BFADHN COC(C)(C)CNCc1cc(C)ccc1F ZINC000164866357 203381994 /nfs/dbraw/zinc/38/19/94/203381994.db2.gz XLSULBFDCPQKIB-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN CC(C)n1ccnc1CN[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000459792373 203371200 /nfs/dbraw/zinc/37/12/00/203371200.db2.gz ULYMJMPCECCFME-FRRDWIJNSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H](NCCC1=CCCCC1)c1ccn(C)n1 ZINC000459801613 203372131 /nfs/dbraw/zinc/37/21/31/203372131.db2.gz YAVIAXYXYPPXRQ-GFCCVEGCSA-N 0 3 233.359 2.961 20 0 BFADHN Cc1ccc(CNCc2cc[nH]c2)cc1F ZINC000165658456 203385663 /nfs/dbraw/zinc/38/56/63/203385663.db2.gz NIMGHOJNEMVNFO-UHFFFAOYSA-N 0 3 218.275 2.752 20 0 BFADHN CSCCNCc1cscc1Cl ZINC000308164712 370103982 /nfs/dbraw/zinc/10/39/82/370103982.db2.gz UDSGIICXJOLGNI-UHFFFAOYSA-N 0 3 221.778 2.854 20 0 BFADHN Cc1cc(CN2CC[C@]3(CC3(F)F)C2)ccn1 ZINC000621313224 370238557 /nfs/dbraw/zinc/23/85/57/370238557.db2.gz ZSIXIGPDTJWUSD-LBPRGKRZSA-N 0 3 238.281 2.621 20 0 BFADHN CC[C@H](N[C@H](C)c1cscn1)[C@@H]1CCOC1 ZINC000309717499 370247780 /nfs/dbraw/zinc/24/77/80/370247780.db2.gz UORHJSILMBRBHT-MXWKQRLJSA-N 0 3 240.372 2.609 20 0 BFADHN COc1ccc(F)c(CN[C@H](C)[C@H]2C[C@H]2C)c1 ZINC000621376346 370317567 /nfs/dbraw/zinc/31/75/67/370317567.db2.gz ZLQIWXGGLNZMJH-BREBYQMCSA-N 0 3 237.318 2.968 20 0 BFADHN Cc1cccc(CN[C@H]2CC=C(C)CC2)n1 ZINC000309769273 370256669 /nfs/dbraw/zinc/25/66/69/370256669.db2.gz KCZZVWVVVNNKIQ-ZDUSSCGKSA-N 0 3 216.328 2.978 20 0 BFADHN Cc1nccnc1CN[C@H]1CCCCC1(C)C ZINC000621340671 370273308 /nfs/dbraw/zinc/27/33/08/370273308.db2.gz MZOZAHHIEWMJJP-ZDUSSCGKSA-N 0 3 233.359 2.843 20 0 BFADHN CCCc1ccc(CNC2(COC)CC2)s1 ZINC000621341212 370274687 /nfs/dbraw/zinc/27/46/87/370274687.db2.gz DFHNZGKICMPMIO-UHFFFAOYSA-N 0 3 239.384 2.969 20 0 BFADHN CCO[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000076402251 370280336 /nfs/dbraw/zinc/28/03/36/370280336.db2.gz ZSTBDNPZOIXMCC-CQSZACIVSA-N 0 3 219.328 2.688 20 0 BFADHN Fc1ccccc1CNCC[C@@H]1CC1(F)F ZINC000621379692 370322495 /nfs/dbraw/zinc/32/24/95/370322495.db2.gz BAMRBSLCGXMJTO-SNVBAGLBSA-N 0 3 229.245 2.961 20 0 BFADHN CCCc1ccc(CN[C@H]2CO[C@@H](C)C2)s1 ZINC000621367796 370303175 /nfs/dbraw/zinc/30/31/75/370303175.db2.gz GCVWNZVIRJUWAY-WDEREUQCSA-N 0 3 239.384 2.968 20 0 BFADHN Cc1nc(CNCC[C@H]2CC2(F)F)cs1 ZINC000621379089 370322987 /nfs/dbraw/zinc/32/29/87/370322987.db2.gz MATYPXWXTNHLPZ-QMMMGPOBSA-N 0 3 232.299 2.586 20 0 BFADHN Fc1ccccc1CNCC[C@H]1CC1(F)F ZINC000621379691 370323091 /nfs/dbraw/zinc/32/30/91/370323091.db2.gz BAMRBSLCGXMJTO-JTQLQIEISA-N 0 3 229.245 2.961 20 0 BFADHN CC1CC(CNCc2nc3c(s2)CCC3)C1 ZINC000621384534 370328258 /nfs/dbraw/zinc/32/82/58/370328258.db2.gz KHPVJUPXURFOSR-UHFFFAOYSA-N 0 3 236.384 2.768 20 0 BFADHN COC[C@H](C)N(C)Cc1ccc(Cl)cc1 ZINC000076711010 370345716 /nfs/dbraw/zinc/34/57/16/370345716.db2.gz GVNBXDZHWJFQHB-JTQLQIEISA-N 0 3 227.735 2.807 20 0 BFADHN Cc1nccnc1CN1[C@H](C)CCC[C@@H]1C ZINC000621709085 370454541 /nfs/dbraw/zinc/45/45/41/370454541.db2.gz KSRKHQHNHJSBDU-PHIMTYICSA-N 0 3 219.332 2.548 20 0 BFADHN Cc1nccnc1CN(C)C1CCC(C)CC1 ZINC000621709797 370455368 /nfs/dbraw/zinc/45/53/68/370455368.db2.gz FDFAUDGORIXCAZ-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN CCOc1cncc(CN(C)[C@@H](C)C(C)C)c1 ZINC000621711271 370457198 /nfs/dbraw/zinc/45/71/98/370457198.db2.gz LZAJPKZGFKAINR-LBPRGKRZSA-N 0 3 236.359 2.957 20 0 BFADHN Cc1nccnc1CN(C)[C@H]1CCC[C@H](C)C1 ZINC000621711366 370457479 /nfs/dbraw/zinc/45/74/79/370457479.db2.gz PZYQVMMUXYTUDD-AAEUAGOBSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1nccnc1CN(C)[C@H]1CCC(C)(C)C1 ZINC000621725666 370478214 /nfs/dbraw/zinc/47/82/14/370478214.db2.gz XDCQDWOTICXAEO-LBPRGKRZSA-N 0 3 233.359 2.796 20 0 BFADHN COCCN(Cc1ccc(C)o1)CC1(C)CC1 ZINC000568346033 326346674 /nfs/dbraw/zinc/34/66/74/326346674.db2.gz OFXHBTBOOOENLD-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN Cc1nccnc1CN(C)[C@H]1CCCC[C@H]1C ZINC000621726387 370478394 /nfs/dbraw/zinc/47/83/94/370478394.db2.gz UVTULMGAMSUBNU-RISCZKNCSA-N 0 3 233.359 2.796 20 0 BFADHN CCOc1cncc(CN2CCC[C@@H]2C2CC2)c1 ZINC000621715519 370463676 /nfs/dbraw/zinc/46/36/76/370463676.db2.gz XHVLTCTVYFHAEY-OAHLLOKOSA-N 0 3 246.354 2.855 20 0 BFADHN CC[C@]1(C)CCCN(Cc2nccnc2C)C1 ZINC000621716639 370465095 /nfs/dbraw/zinc/46/50/95/370465095.db2.gz HNRYCOWTRMVEQC-CQSZACIVSA-N 0 3 233.359 2.797 20 0 BFADHN CCOc1cncc(CN2C[C@@H](C)[C@H](C)C2)c1 ZINC000621717187 370466194 /nfs/dbraw/zinc/46/61/94/370466194.db2.gz YOUYYQKGBPTASP-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN CCOc1cncc(CN2C[C@@H]3[C@H](C2)C3(C)C)c1 ZINC000621717512 370466433 /nfs/dbraw/zinc/46/64/33/370466433.db2.gz GRZGKNMKDLGODK-OKILXGFUSA-N 0 3 246.354 2.568 20 0 BFADHN Cc1nccnc1CN(C(C)C)C1CCC1 ZINC000621717717 370468092 /nfs/dbraw/zinc/46/80/92/370468092.db2.gz MDBVALJDNUVOEK-UHFFFAOYSA-N 0 3 219.332 2.548 20 0 BFADHN CCC[C@@H]1CCCN(Cc2nccnc2C)C1 ZINC000621718880 370470059 /nfs/dbraw/zinc/47/00/59/370470059.db2.gz VPMHKUPCEXEPOD-CYBMUJFWSA-N 0 3 233.359 2.797 20 0 BFADHN Cc1nccnc1CN1CC[C@H](C)C2(CCC2)C1 ZINC000621721519 370472475 /nfs/dbraw/zinc/47/24/75/370472475.db2.gz RNYUTCVPXUEPLU-LBPRGKRZSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1nccnc1CN1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000621724325 370474994 /nfs/dbraw/zinc/47/49/94/370474994.db2.gz FSDRYHLQANLMQB-MDZLAQPJSA-N 0 3 233.359 2.651 20 0 BFADHN CCOc1cncc(CN2CC[C@@H]3CCC[C@@H]32)c1 ZINC000621723608 370475817 /nfs/dbraw/zinc/47/58/17/370475817.db2.gz LXCRKLKGDZKMHP-ZFWWWQNUSA-N 0 3 246.354 2.855 20 0 BFADHN c1cc(CC2CN(CC3=CCCOC3)C2)cs1 ZINC000621741179 370501375 /nfs/dbraw/zinc/50/13/75/370501375.db2.gz GGHMXCJTVKHWAQ-UHFFFAOYSA-N 0 3 249.379 2.569 20 0 BFADHN C[C@@H]1C[C@H]1CN1CC(Cc2ccsc2)C1 ZINC000621741225 370501470 /nfs/dbraw/zinc/50/14/70/370501470.db2.gz IPTANHQUKBJSGX-MFKMUULPSA-N 0 3 221.369 2.878 20 0 BFADHN CC[C@H](NCC[C@@H]1CC1(F)F)c1ccn(C)n1 ZINC000621767301 370508646 /nfs/dbraw/zinc/50/86/46/370508646.db2.gz BLRKWUTZDZQMQL-ZJUUUORDSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@H](NCC[C@@H]1CC1(F)F)c1cscn1 ZINC000621768219 370510159 /nfs/dbraw/zinc/51/01/59/370510159.db2.gz MBGCLZFTHLSLOH-JGVFFNPUSA-N 0 3 232.299 2.839 20 0 BFADHN C[C@@H]1C[C@H](NCC[C@@H]2CC2(F)F)c2nccn21 ZINC000621768983 370511445 /nfs/dbraw/zinc/51/14/45/370511445.db2.gz XDFZMNPOCRCFGQ-BBBLOLIVSA-N 0 3 241.285 2.524 20 0 BFADHN CC1CC(CN[C@H](c2nccn2C)C2CC2)C1 ZINC000621772088 370515135 /nfs/dbraw/zinc/51/51/35/370515135.db2.gz XMSFVBHYTKGCKZ-XIVSLSHWSA-N 0 3 233.359 2.507 20 0 BFADHN CCCc1ccc(CN(C)[C@@H](C)CO)s1 ZINC000621727833 370480306 /nfs/dbraw/zinc/48/03/06/370480306.db2.gz RYEOKZIUSHWPAE-JTQLQIEISA-N 0 3 227.373 2.513 20 0 BFADHN CCCc1ccc(CN(C)[C@@H](CC)CO)s1 ZINC000621729061 370481508 /nfs/dbraw/zinc/48/15/08/370481508.db2.gz HMWLDVIBQTUSDM-NSHDSACASA-N 0 3 241.400 2.903 20 0 BFADHN CCCc1ccc(CN(CC)[C@H](C)CO)s1 ZINC000621729596 370481623 /nfs/dbraw/zinc/48/16/23/370481623.db2.gz ZSTNRBCEOSHZKR-LLVKDONJSA-N 0 3 241.400 2.903 20 0 BFADHN Cc1nccnc1CN1CCCC[C@@H]1C1CCC1 ZINC000621731807 370486351 /nfs/dbraw/zinc/48/63/51/370486351.db2.gz AICONZYJOSZTSX-OAHLLOKOSA-N 0 3 245.370 2.940 20 0 BFADHN Cn1ccc(CN2CC(C)(c3ccccc3)C2)c1 ZINC000621734759 370491644 /nfs/dbraw/zinc/49/16/44/370491644.db2.gz VQLBCOHQKNTTNN-UHFFFAOYSA-N 0 3 240.350 2.799 20 0 BFADHN Cc1occc1CN1CC(CC2CC2)C1 ZINC000621740146 370498505 /nfs/dbraw/zinc/49/85/05/370498505.db2.gz ABSUWKIQYBGZIV-UHFFFAOYSA-N 0 3 205.301 2.820 20 0 BFADHN CN(C)c1ccccc1CN1CC(CC2CC2)C1 ZINC000621740466 370499709 /nfs/dbraw/zinc/49/97/09/370499709.db2.gz RIMMABIFZUVWOP-UHFFFAOYSA-N 0 3 244.382 2.985 20 0 BFADHN CCc1ccc(CN[C@H]2COCC2(C)C)s1 ZINC000313011629 370561621 /nfs/dbraw/zinc/56/16/21/370561621.db2.gz UWCXOTLCSDUVAI-LBPRGKRZSA-N 0 3 239.384 2.825 20 0 BFADHN CC1(C)COC[C@H]1NCc1ccc2[nH]ccc2c1 ZINC000313024547 370564445 /nfs/dbraw/zinc/56/44/45/370564445.db2.gz LYUJVDCSSHGKKN-CQSZACIVSA-N 0 3 244.338 2.683 20 0 BFADHN Cc1cnccc1CNCCOCC1CCCC1 ZINC000313268673 370575754 /nfs/dbraw/zinc/57/57/54/370575754.db2.gz WGWSPMVTNIQWPD-UHFFFAOYSA-N 0 3 248.370 2.686 20 0 BFADHN C[C@@H]1CCC[C@H](CCNCc2cncnc2)C1 ZINC000070537566 371044507 /nfs/dbraw/zinc/04/45/07/371044507.db2.gz CNKYMZWKWJFFBB-CHWSQXEVSA-N 0 3 233.359 2.783 20 0 BFADHN C/C=C\CNCc1cc(OC)cc2c1O[C@H](C)C2 ZINC000623739481 371073887 /nfs/dbraw/zinc/07/38/87/371073887.db2.gz YUQSZXFCGPYRBP-DOGVGXBMSA-N 0 3 247.338 2.684 20 0 BFADHN C/C=C\CNCc1ccc(-c2cnn(C)c2)s1 ZINC000623739637 371075171 /nfs/dbraw/zinc/07/51/71/371075171.db2.gz BGAKSYSEHRKHGW-ARJAWSKDSA-N 0 3 247.367 2.814 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CO[C@@H](C3CC3)C2)c1 ZINC000623753156 371082064 /nfs/dbraw/zinc/08/20/64/371082064.db2.gz STADANZAKLNQCH-DZGCQCFKSA-N 0 3 249.329 2.791 20 0 BFADHN c1nc2c(s1)CCC[C@@H]2NCC1CCC1 ZINC000623874774 371137530 /nfs/dbraw/zinc/13/75/30/371137530.db2.gz PYQRMDNUNBIZIM-JTQLQIEISA-N 0 3 222.357 2.910 20 0 BFADHN CO[C@@H](C)CCN[C@H](C)c1nc(C)cs1 ZINC000623883508 371139871 /nfs/dbraw/zinc/13/98/71/371139871.db2.gz CGLVJSIOOLPHDG-VHSXEESVSA-N 0 3 228.361 2.527 20 0 BFADHN CO[C@H](C)CCN[C@@H](C)c1nc(C)cs1 ZINC000623883509 371140494 /nfs/dbraw/zinc/14/04/94/371140494.db2.gz CGLVJSIOOLPHDG-ZJUUUORDSA-N 0 3 228.361 2.527 20 0 BFADHN Cc1csc([C@H](C)NC[C@]2(C)CCOC2)n1 ZINC000623893663 371143858 /nfs/dbraw/zinc/14/38/58/371143858.db2.gz YJRSDKVKOKRBAV-JQWIXIFHSA-N 0 3 240.372 2.529 20 0 BFADHN CO[C@H]1C[C@@H](CNCc2ccc([C@@H]3C[C@H]3C)o2)C1 ZINC000623822594 371110748 /nfs/dbraw/zinc/11/07/48/371110748.db2.gz RJBOIRWSOIRFKJ-MHDGFBEUSA-N 0 3 249.354 2.918 20 0 BFADHN C[C@@H](N[C@H]1CCCc2scnc21)C1CC1 ZINC000623852941 371128107 /nfs/dbraw/zinc/12/81/07/371128107.db2.gz GSDVOCSICVBAHD-SCZZXKLOSA-N 0 3 222.357 2.909 20 0 BFADHN Cc1cccc(CN[C@H]2CC[C@H]2C(C)C)n1 ZINC000390142193 371190024 /nfs/dbraw/zinc/19/00/24/371190024.db2.gz PGUPCDBBYLWZNT-KBPBESRZSA-N 0 3 218.344 2.914 20 0 BFADHN Cc1cccc(CN[C@H]2CC[C@@H]2C(C)C)n1 ZINC000390142194 371190138 /nfs/dbraw/zinc/19/01/38/371190138.db2.gz PGUPCDBBYLWZNT-KGLIPLIRSA-N 0 3 218.344 2.914 20 0 BFADHN COCC(C)(C)NCc1csc(C)c1C ZINC000631061728 371214246 /nfs/dbraw/zinc/21/42/46/371214246.db2.gz FODOJFYBNBIYHT-UHFFFAOYSA-N 0 3 227.373 2.880 20 0 BFADHN Cc1cc(C)c(CN[C@@H](C)[C@H]2CCCCO2)cn1 ZINC000623996890 371229689 /nfs/dbraw/zinc/22/96/89/371229689.db2.gz VQQTZGFSJZLPJV-DZGCQCFKSA-N 0 3 248.370 2.746 20 0 BFADHN COCC[C@H](C)CN[C@H](C)c1nc(C)cs1 ZINC000624000155 371231972 /nfs/dbraw/zinc/23/19/72/371231972.db2.gz QDJMXGBQFWDZSC-GXSJLCMTSA-N 0 3 242.388 2.775 20 0 BFADHN Cc1scc(CNCCOCC2CC2)c1C ZINC000631069078 371288516 /nfs/dbraw/zinc/28/85/16/371288516.db2.gz PDLUMFCONFKIMI-UHFFFAOYSA-N 0 3 239.384 2.881 20 0 BFADHN COC[C@@H](CC(C)(C)C)NCc1ccns1 ZINC000624046836 371272142 /nfs/dbraw/zinc/27/21/42/371272142.db2.gz NFSQBMNEQAROMW-SNVBAGLBSA-N 0 3 242.388 2.684 20 0 BFADHN COC[C@H](CC(C)(C)C)NCc1ccns1 ZINC000624046835 371272561 /nfs/dbraw/zinc/27/25/61/371272561.db2.gz NFSQBMNEQAROMW-JTQLQIEISA-N 0 3 242.388 2.684 20 0 BFADHN CC(C)CC[C@H](CO)N[C@H](C)c1ccoc1 ZINC000624110235 371315821 /nfs/dbraw/zinc/31/58/21/371315821.db2.gz SNILGGRELSKOCT-DGCLKSJQSA-N 0 3 225.332 2.727 20 0 BFADHN C/C=C\CN[C@@H](c1cccnc1)C(C)C ZINC000624198516 371387831 /nfs/dbraw/zinc/38/78/31/371387831.db2.gz QRLQPWGUZGLBOP-DSYXLKISSA-N 0 3 204.317 2.944 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc(OC)c(O)c1 ZINC000624199167 371388838 /nfs/dbraw/zinc/38/88/38/371388838.db2.gz IAEGVKFMXPSXIE-YEZKRMTDSA-N 0 3 221.300 2.628 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000624199502 371390165 /nfs/dbraw/zinc/39/01/65/371390165.db2.gz QZTBZXSJXYHGQY-NWALNABHSA-N 0 3 232.283 2.760 20 0 BFADHN C[C@@H]1C[C@H](NC[C@]2(C)C[C@H]3C[C@H]3C2)c2nccn21 ZINC000624199922 371390963 /nfs/dbraw/zinc/39/09/63/371390963.db2.gz AUHAEANHIQFHRK-DGMCESFYSA-N 0 3 245.370 2.915 20 0 BFADHN CC(C)(CNCc1cn[nH]c1)c1ccccc1F ZINC000624219801 371408401 /nfs/dbraw/zinc/40/84/01/371408401.db2.gz VBBVYRRCABJATD-UHFFFAOYSA-N 0 3 247.317 2.616 20 0 BFADHN Cc1ccccc1[C@H](C)NCc1ccc[nH]c1=O ZINC000624257698 371443642 /nfs/dbraw/zinc/44/36/42/371443642.db2.gz NGXIFNFXNIOKCE-LBPRGKRZSA-N 0 3 242.322 2.946 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2cccc(F)c2)C1 ZINC000624297202 371463192 /nfs/dbraw/zinc/46/31/92/371463192.db2.gz SFPDMSKUKWCPEX-OASPWFOLSA-N 0 3 223.291 2.654 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@H]1C[C@H](OC)C1 ZINC000624297362 371463222 /nfs/dbraw/zinc/46/32/22/371463222.db2.gz XZXRYWOQLVFMQD-AVGNSLFASA-N 0 3 249.354 2.832 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2cc(F)ccc2F)C1 ZINC000624297329 371463479 /nfs/dbraw/zinc/46/34/79/371463479.db2.gz WMVNSHJPUYUXGF-FBIMIBRVSA-N 0 3 241.281 2.793 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2ccc(C)nc2C)C1 ZINC000624299918 371463535 /nfs/dbraw/zinc/46/35/35/371463535.db2.gz YEUSNIOBFATSHE-AVGNSLFASA-N 0 3 234.343 2.526 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2cc(C)oc2C)C1 ZINC000624298504 371464841 /nfs/dbraw/zinc/46/48/41/371464841.db2.gz TXTDPGDCEPCDSC-YUSALJHKSA-N 0 3 223.316 2.724 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2cc(C)c(C)o2)C1 ZINC000624300757 371468245 /nfs/dbraw/zinc/46/82/45/371468245.db2.gz QHMNLYFMICJAPB-YUSALJHKSA-N 0 3 223.316 2.724 20 0 BFADHN C[C@@H](NC[C@H]1CCCC12CC2)c1ccncn1 ZINC000624315626 371478817 /nfs/dbraw/zinc/47/88/17/371478817.db2.gz ASBGXADEBFXSMW-VXGBXAGGSA-N 0 3 231.343 2.708 20 0 BFADHN c1cn2c(n1)[C@@H](NC[C@H]1CCCC13CC3)CCC2 ZINC000624317148 371479967 /nfs/dbraw/zinc/47/99/67/371479967.db2.gz YBEZGQTYTSUYJV-OLZOCXBDSA-N 0 3 245.370 2.888 20 0 BFADHN c1cn2c(n1)[C@@H](NC[C@@H]1CCCC13CC3)CCC2 ZINC000624317150 371480029 /nfs/dbraw/zinc/48/00/29/371480029.db2.gz YBEZGQTYTSUYJV-STQMWFEESA-N 0 3 245.370 2.888 20 0 BFADHN Clc1ccc2nc(CNCC3CCC3)cn2c1 ZINC000096543874 371502895 /nfs/dbraw/zinc/50/28/95/371502895.db2.gz KQLUIOHGGMNFQE-UHFFFAOYSA-N 0 3 249.745 2.877 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H]2Cc2ccccc2)on1 ZINC000631080706 371497737 /nfs/dbraw/zinc/49/77/37/371497737.db2.gz VXLIMFPGKXHDGW-DZGCQCFKSA-N 0 3 242.322 2.704 20 0 BFADHN Cc1cc(CN[C@H]2C[C@@H]2Cc2ccccc2)on1 ZINC000631080709 371498354 /nfs/dbraw/zinc/49/83/54/371498354.db2.gz VXLIMFPGKXHDGW-ZFWWWQNUSA-N 0 3 242.322 2.704 20 0 BFADHN CC[C@@H](O)CCCNCc1ccc(C)cc1F ZINC000631080686 371498621 /nfs/dbraw/zinc/49/86/21/371498621.db2.gz VHYVARREMHFKFN-CYBMUJFWSA-N 0 3 239.334 2.775 20 0 BFADHN C[C@@H]1CN(C2CC(OC(C)(C)C)C2)CCS1 ZINC000132299803 371788158 /nfs/dbraw/zinc/78/81/58/371788158.db2.gz LVWFZQIVMRJHLI-VOMCLLRMSA-N 0 3 243.416 2.770 20 0 BFADHN CCn1c2ccccc2nc1CNC[C@H]1C[C@@H]1C ZINC000132374149 371801937 /nfs/dbraw/zinc/80/19/37/371801937.db2.gz GKAYDECNVAEMEZ-NWDGAFQWSA-N 0 3 243.354 2.802 20 0 BFADHN CS[C@@H]1CCC[C@@H](NCc2nccs2)C1 ZINC000227375786 371849529 /nfs/dbraw/zinc/84/95/29/371849529.db2.gz OUIJDHKAKZWTGZ-NXEZZACHSA-N 0 3 242.413 2.907 20 0 BFADHN C[C@@H](NC1(C)CC1)c1ccc(Cl)nc1 ZINC000306425645 372018447 /nfs/dbraw/zinc/01/84/47/372018447.db2.gz HKQADQFCAUNISO-MRVPVSSYSA-N 0 3 210.708 2.938 20 0 BFADHN Cc1ccc(CNC[C@H]2CC[C@H](C)O2)c(F)c1 ZINC000631155060 372210161 /nfs/dbraw/zinc/21/01/61/372210161.db2.gz AVVWRVXHAGGSIM-WCQYABFASA-N 0 3 237.318 2.791 20 0 BFADHN CC[C@@](C)(NCc1ncnn1C(C)C)C1CC1 ZINC000631175564 372217939 /nfs/dbraw/zinc/21/79/39/372217939.db2.gz PTHBZEJQCBYUHF-CYBMUJFWSA-N 0 3 236.363 2.527 20 0 BFADHN c1c(CN[C@H]2CCCC23CC3)nn2c1CCCC2 ZINC000631194211 372226373 /nfs/dbraw/zinc/22/63/73/372226373.db2.gz WBXMCEOJASXHSU-AWEZNQCLSA-N 0 3 245.370 2.642 20 0 BFADHN Cc1scc(CNCc2ccc(=O)[nH]c2)c1C ZINC000631206386 372235270 /nfs/dbraw/zinc/23/52/70/372235270.db2.gz MLIQOAZSVONMEA-UHFFFAOYSA-N 0 3 248.351 2.755 20 0 BFADHN C[C@@H](CNCc1nc2ccccc2n1C)C1CC1 ZINC000134286905 372193155 /nfs/dbraw/zinc/19/31/55/372193155.db2.gz AGLQTSRPOPBNLN-NSHDSACASA-N 0 3 243.354 2.709 20 0 BFADHN C[C@H](CNCc1cnc2ccccc2n1)C1CC1 ZINC000134295417 372193326 /nfs/dbraw/zinc/19/33/26/372193326.db2.gz AMPOOLWBHDRGFT-LLVKDONJSA-N 0 3 241.338 2.766 20 0 BFADHN Cc1ccc(CNCCC2(O)CCC2)c(F)c1 ZINC000631129475 372203238 /nfs/dbraw/zinc/20/32/38/372203238.db2.gz CCXKMBBISHYSNH-UHFFFAOYSA-N 0 3 237.318 2.529 20 0 BFADHN CCc1ncc(CNCCC(C)(C)CC)cn1 ZINC000631222304 372245369 /nfs/dbraw/zinc/24/53/69/372245369.db2.gz VJYKZTBJZRRWCT-UHFFFAOYSA-N 0 3 235.375 2.955 20 0 BFADHN CC(C)n1ccnc1CN[C@@]12C[C@@H]1CCCC2 ZINC000631224530 372246676 /nfs/dbraw/zinc/24/66/76/372246676.db2.gz ABQCDUZCTAUGHH-JSGCOSHPSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H](C)COC(C)C)s1 ZINC000631227702 372248832 /nfs/dbraw/zinc/24/88/32/372248832.db2.gz KQHPSKBOMJKCRI-VHSXEESVSA-N 0 3 242.388 2.916 20 0 BFADHN CCCN(CCC)Cc1cc(C(=O)OC)co1 ZINC000171031395 372251940 /nfs/dbraw/zinc/25/19/40/372251940.db2.gz DCHUGRUKKFYTSE-UHFFFAOYSA-N 0 3 239.315 2.688 20 0 BFADHN CCC[C@@H](CN[C@H]1CCCc2ncccc21)OC ZINC000631635840 372474368 /nfs/dbraw/zinc/47/43/68/372474368.db2.gz ZPZLEQZZDVQEHP-WFASDCNBSA-N 0 3 248.370 2.864 20 0 BFADHN CCC[C@H](N[C@@H]1C[C@]1(C)OC)c1cc(C)ccn1 ZINC000631649130 372480561 /nfs/dbraw/zinc/48/05/61/372480561.db2.gz VVMPANNWDVGEGS-CFVMTHIKSA-N 0 3 248.370 2.998 20 0 BFADHN CCCCOCCN[C@H]1CCCc2ncccc21 ZINC000631578055 372436426 /nfs/dbraw/zinc/43/64/26/372436426.db2.gz WLLGOWAUIYNZDZ-HNNXBMFYSA-N 0 3 248.370 2.865 20 0 BFADHN CCC[C@@H](NCC1(O)CCC1)c1cc(C)ccn1 ZINC000631579072 372438240 /nfs/dbraw/zinc/43/82/40/372438240.db2.gz CHDYJJJWKPUOEZ-CYBMUJFWSA-N 0 3 248.370 2.736 20 0 BFADHN C[C@@H](CC1CCC1)N[C@H]1CCCn2ccnc21 ZINC000631738972 372539767 /nfs/dbraw/zinc/53/97/67/372539767.db2.gz ZHWHFAPYQNWNNI-AAEUAGOBSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)CC2CCC2)nn1C ZINC000631738385 372539929 /nfs/dbraw/zinc/53/99/29/372539929.db2.gz LHBPEKIOACDUEA-JQWIXIFHSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCCC23CC3)no1 ZINC000631661606 372488241 /nfs/dbraw/zinc/48/82/41/372488241.db2.gz DANZSFJRIPNYKJ-ZYHUDNBSSA-N 0 3 220.316 2.966 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@H](O)C(F)F)oc1C ZINC000631698238 372510080 /nfs/dbraw/zinc/51/00/80/372510080.db2.gz DHYJVGFGILTENV-SCZZXKLOSA-N 0 3 247.285 2.563 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@H](C)O[C@@H]2C)c(C)n1 ZINC000631703901 372513876 /nfs/dbraw/zinc/51/38/76/372513876.db2.gz PTJDATUFBKYXLK-MUYACECFSA-N 0 3 248.370 2.915 20 0 BFADHN COC[C@@H](CC(C)C)NCc1ccc(C)o1 ZINC000631728672 372524883 /nfs/dbraw/zinc/52/48/83/372524883.db2.gz VQGKPFVQHAXFGT-GFCCVEGCSA-N 0 3 225.332 2.739 20 0 BFADHN C[C@@H](Cc1ccoc1)N[C@@H]1C[C@H]1C(F)(F)F ZINC000631753691 372555800 /nfs/dbraw/zinc/55/58/00/372555800.db2.gz QQUDFNXXMGGWSP-FXBDTBDDSA-N 0 3 233.233 2.751 20 0 BFADHN CCCCN1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289838096 168832772 /nfs/dbraw/zinc/83/27/72/168832772.db2.gz KASDMDMEYYZBFW-LLVKDONJSA-N 0 3 227.739 2.583 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@H]2CC)o1 ZINC000449611522 205493327 /nfs/dbraw/zinc/49/33/27/205493327.db2.gz WCFFZXOODVDXGN-MWLCHTKSSA-N 0 3 208.305 2.515 20 0 BFADHN c1csc(CNCC2CCCCC2)n1 ZINC000040444017 373267536 /nfs/dbraw/zinc/26/75/36/373267536.db2.gz DXEXFCHBWFZOFK-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN CC(C)(NCC1CCC1)c1nccs1 ZINC000173416539 205542738 /nfs/dbraw/zinc/54/27/38/205542738.db2.gz OKHGNMLTHZIMQV-UHFFFAOYSA-N 0 3 210.346 2.768 20 0 BFADHN CCCC[C@H](CC)CNC(=O)C1(N)CCCC1 ZINC000040806491 373751806 /nfs/dbraw/zinc/75/18/06/373751806.db2.gz NTXGBLAVNMZFJP-LBPRGKRZSA-N 0 3 240.391 2.591 20 0 BFADHN Cn1nccc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC000245905443 374126971 /nfs/dbraw/zinc/12/69/71/374126971.db2.gz LRHHUTZQEOZQLA-GXTWGEPZSA-N 0 3 233.359 2.575 20 0 BFADHN CCCCNCc1nc(-c2ccccc2)c[nH]1 ZINC000194217833 206004861 /nfs/dbraw/zinc/00/48/61/206004861.db2.gz IWULFZOWULCXNX-UHFFFAOYSA-N 0 3 229.327 2.966 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1C[C@@H]1C[C@H]1c1ccccc1 ZINC000459306946 206008898 /nfs/dbraw/zinc/00/88/98/206008898.db2.gz QKLFRTYUIJJLQW-VRKREXBASA-N 0 3 245.366 2.899 20 0 BFADHN C[C@H](NCC1(CO)CCC1)c1ccsc1 ZINC000184526445 206011385 /nfs/dbraw/zinc/01/13/85/206011385.db2.gz MCBKHIMRCMKAHE-JTQLQIEISA-N 0 3 225.357 2.561 20 0 BFADHN CC(C)CC[C@@H](C)N[C@H](C)c1ccn(C)n1 ZINC000459743067 206013374 /nfs/dbraw/zinc/01/33/74/206013374.db2.gz GRGJZNYABDMXBQ-VXGBXAGGSA-N 0 3 223.364 2.895 20 0 BFADHN CC(C)CC[C@H](C)N[C@H](C)c1ccn(C)n1 ZINC000459743064 206013769 /nfs/dbraw/zinc/01/37/69/206013769.db2.gz GRGJZNYABDMXBQ-NWDGAFQWSA-N 0 3 223.364 2.895 20 0 BFADHN CO[C@H](C)CNCc1ccc(Cl)c(C)c1 ZINC000191144011 206016420 /nfs/dbraw/zinc/01/64/20/206016420.db2.gz SVQVTFPATWJPRE-SNVBAGLBSA-N 0 3 227.735 2.773 20 0 BFADHN c1nn2ccccc2c1CN[C@@H]1C[C@H]1C1CCC1 ZINC000424193990 206035476 /nfs/dbraw/zinc/03/54/76/206035476.db2.gz LDAGGOKYKCHKTF-UONOGXRCSA-N 0 3 241.338 2.613 20 0 BFADHN CCOCCCNCc1c(F)cc(C)cc1F ZINC000424125891 206032061 /nfs/dbraw/zinc/03/20/61/206032061.db2.gz AVIAOKFQGSMHSQ-UHFFFAOYSA-N 0 3 243.297 2.789 20 0 BFADHN CCc1nocc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000424201456 206034468 /nfs/dbraw/zinc/03/44/68/206034468.db2.gz RZNRZIQJQFMGSJ-WCQYABFASA-N 0 3 220.316 2.515 20 0 BFADHN CC(=O)Nc1ccccc1CN(C)[C@@H]1CC1(C)C ZINC000426560922 206051671 /nfs/dbraw/zinc/05/16/71/206051671.db2.gz SHUHNDQCLPCZNF-CQSZACIVSA-N 0 3 246.354 2.875 20 0 BFADHN CN(Cc1cccc2nccn21)[C@@H]1CC1(C)C ZINC000426555446 206052595 /nfs/dbraw/zinc/05/25/95/206052595.db2.gz LEUWHEDRZWJJFO-GFCCVEGCSA-N 0 3 229.327 2.565 20 0 BFADHN CCC[C@H]1CCCN(Cc2cc(C)ncn2)C1 ZINC000428227360 206056633 /nfs/dbraw/zinc/05/66/33/206056633.db2.gz JFMBFJIPVFVMFX-ZDUSSCGKSA-N 0 3 233.359 2.797 20 0 BFADHN CCc1nc(C)c(CN(C)CC(C)C)o1 ZINC000428467578 206058888 /nfs/dbraw/zinc/05/88/88/206058888.db2.gz ZCNZKDRWRNVTNA-UHFFFAOYSA-N 0 3 210.321 2.633 20 0 BFADHN COC(=O)CCCN(C)Cc1cccc(C)c1C ZINC000428719214 206058995 /nfs/dbraw/zinc/05/89/95/206058995.db2.gz HPIFEPOWQKHFIA-UHFFFAOYSA-N 0 3 249.354 2.688 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@H](C)[C@H]2C)cn1 ZINC000428246958 206059362 /nfs/dbraw/zinc/05/93/62/206059362.db2.gz MIVIWQWDTFDQDN-WCQGTBRESA-N 0 3 219.332 2.576 20 0 BFADHN CCC[C@]1(C)CCCN(Cc2n[nH]c(C)n2)C1 ZINC000428244409 206059577 /nfs/dbraw/zinc/05/95/77/206059577.db2.gz KPGNCWUJRFWAMR-CYBMUJFWSA-N 0 3 236.363 2.515 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@@H](C)[C@H]2C)cn1 ZINC000428246956 206059714 /nfs/dbraw/zinc/05/97/14/206059714.db2.gz MIVIWQWDTFDQDN-JLLWLGSASA-N 0 3 219.332 2.576 20 0 BFADHN CSc1ccccc1[C@@H](C)N[C@H](C)CCO ZINC000194896206 206113124 /nfs/dbraw/zinc/11/31/24/206113124.db2.gz CUMIRGYXYXSTCF-GHMZBOCLSA-N 0 3 239.384 2.830 20 0 BFADHN CSc1ccccc1[C@H](C)N[C@H](C)CCO ZINC000194896177 206113642 /nfs/dbraw/zinc/11/36/42/206113642.db2.gz CUMIRGYXYXSTCF-MNOVXSKESA-N 0 3 239.384 2.830 20 0 BFADHN CC[C@H](N[C@@H](C)C[S@](C)=O)c1cccs1 ZINC000164486333 206123204 /nfs/dbraw/zinc/12/32/04/206123204.db2.gz NEYYBLRXBNDUNK-AMJWSMQMSA-N 0 3 245.413 2.556 20 0 BFADHN CC[C@H](C)N1CCc2c(cccc2C(=O)OC)C1 ZINC000459542990 206133231 /nfs/dbraw/zinc/13/32/31/206133231.db2.gz ICGUOQRGVTWXSC-NSHDSACASA-N 0 3 247.338 2.630 20 0 BFADHN CCOCCCN1CC[C@@H]1c1ccccc1 ZINC000336659534 170375054 /nfs/dbraw/zinc/37/50/54/170375054.db2.gz ABCTZGROOKJFRH-CQSZACIVSA-N 0 3 219.328 2.860 20 0 BFADHN OC1(CCNCc2cc3ccccc3o2)CCC1 ZINC000284090354 183016757 /nfs/dbraw/zinc/01/67/57/183016757.db2.gz RPUVKTGDHWPAAQ-UHFFFAOYSA-N 0 3 245.322 2.828 20 0 BFADHN OC1(CCN[C@H]2CCCc3occc32)CCC1 ZINC000284340920 183017430 /nfs/dbraw/zinc/01/74/30/183017430.db2.gz LNLSYYZLUOEDLG-LBPRGKRZSA-N 0 3 235.327 2.552 20 0 BFADHN OC1(CN[C@H]2CCCc3occc32)CCCCC1 ZINC000124058410 183020139 /nfs/dbraw/zinc/02/01/39/183020139.db2.gz CFDQAKBNOYHDKD-ZDUSSCGKSA-N 0 3 249.354 2.942 20 0 BFADHN OC1CC(CCNCc2ccsc2Cl)C1 ZINC000308962046 183025794 /nfs/dbraw/zinc/02/57/94/183025794.db2.gz ZVMPWJNPSXTNHG-UHFFFAOYSA-N 0 3 245.775 2.652 20 0 BFADHN OC1CC(CCNCc2ccccc2Cl)C1 ZINC000309566725 183026302 /nfs/dbraw/zinc/02/63/02/183026302.db2.gz LBUIUPVYSBXETN-UHFFFAOYSA-N 0 3 239.746 2.591 20 0 BFADHN OCCC1(CNCc2ccsc2Cl)CC1 ZINC000336655776 183042465 /nfs/dbraw/zinc/04/24/65/183042465.db2.gz ADKPLCMGYZBZDO-UHFFFAOYSA-N 0 3 245.775 2.654 20 0 BFADHN OCCCCCNc1ccnc2ccccc21 ZINC000192579714 183047029 /nfs/dbraw/zinc/04/70/29/183047029.db2.gz METBTRXEZQMVQZ-UHFFFAOYSA-N 0 3 230.311 2.809 20 0 BFADHN OCC1(NCc2cccc(C3CC3)c2)CCC1 ZINC000122790476 183038916 /nfs/dbraw/zinc/03/89/16/183038916.db2.gz LTUSZPMWYLQHKO-UHFFFAOYSA-N 0 3 231.339 2.569 20 0 BFADHN OCCCCNCc1ccc(-c2ccccc2)o1 ZINC000040744133 183053188 /nfs/dbraw/zinc/05/31/88/183053188.db2.gz FEHFXZHKLYWFIO-UHFFFAOYSA-N 0 3 245.322 2.809 20 0 BFADHN OCCC[C@H](NC/C=C/Cl)c1ccccc1 ZINC000179758520 183063288 /nfs/dbraw/zinc/06/32/88/183063288.db2.gz IAOPDACFJUTBNL-NEXMIYJRSA-N 0 3 239.746 2.842 20 0 BFADHN OCCN1CCCC[C@@H]1c1cc2ccccc2[nH]1 ZINC000081671404 183073293 /nfs/dbraw/zinc/07/32/93/183073293.db2.gz RXDPSMIKQDCJFK-OAHLLOKOSA-N 0 3 244.338 2.687 20 0 BFADHN OCC[C@@H](NCC1CCCCC1)c1ccco1 ZINC000184513343 183089488 /nfs/dbraw/zinc/08/94/88/183089488.db2.gz JNNLMEOVVADRHC-CYBMUJFWSA-N 0 3 237.343 2.873 20 0 BFADHN OCC[C@@H](NC1CCCCC1)c1ccco1 ZINC000186540476 183090348 /nfs/dbraw/zinc/09/03/48/183090348.db2.gz ZYVQVHQRCRJWFU-GFCCVEGCSA-N 0 3 223.316 2.625 20 0 BFADHN CCCC[C@H](COC)N[C@H](C)c1ccccn1 ZINC000152382172 169004481 /nfs/dbraw/zinc/00/44/81/169004481.db2.gz YUMPWYGZCNXUHV-CHWSQXEVSA-N 0 3 236.359 2.937 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1ccc(C)cc1F ZINC000037619348 169007100 /nfs/dbraw/zinc/00/71/00/169007100.db2.gz IIHNPTCHTLVUSZ-NSHDSACASA-N 0 3 238.306 2.590 20 0 BFADHN CCCC[C@H]1CCC[C@H]1NCc1nccc(N)n1 ZINC000276844811 169014151 /nfs/dbraw/zinc/01/41/51/169014151.db2.gz CRKWYBGHVMIUQQ-NWDGAFQWSA-N 0 3 248.374 2.507 20 0 BFADHN OC[C@@H](CNCc1ccsc1Cl)C1CC1 ZINC000336737580 183105891 /nfs/dbraw/zinc/10/58/91/183105891.db2.gz QIAFAFLVUJWXAT-SNVBAGLBSA-N 0 3 245.775 2.510 20 0 BFADHN CCCC[C@H](CCC)CNC(=O)[C@@H](N)CCC ZINC000236874370 169001599 /nfs/dbraw/zinc/00/15/99/169001599.db2.gz DGXPRWRZRBBTSS-STQMWFEESA-N 0 3 242.407 2.837 20 0 BFADHN OC[C@@]1(N[C@H]2C=CCCC2)CCc2ccccc21 ZINC000362054803 183133985 /nfs/dbraw/zinc/13/39/85/183133985.db2.gz VGRCUHVKHYRWTC-HOCLYGCPSA-N 0 3 243.350 2.519 20 0 BFADHN OC[C@H](CNCc1cc2ccccc2o1)C1CC1 ZINC000353073650 183137744 /nfs/dbraw/zinc/13/77/44/183137744.db2.gz QCHGYQCDMXZCAY-ZDUSSCGKSA-N 0 3 245.322 2.541 20 0 BFADHN OC[C@H](NCc1ccc(Cl)o1)C1CCCC1 ZINC000309555114 183141446 /nfs/dbraw/zinc/14/14/46/183141446.db2.gz HGQNYODSPJQCBO-NSHDSACASA-N 0 3 243.734 2.574 20 0 BFADHN OC[C@@H]1CC[C@H](Nc2ccnc3ccccc32)C1 ZINC000295622850 183126258 /nfs/dbraw/zinc/12/62/58/183126258.db2.gz AFNIGKSORAPWOM-NEPJUHHUSA-N 0 3 242.322 2.808 20 0 BFADHN OC[C@H]1C=C[C@@H](N[C@@H](c2ccccc2)C2CC2)C1 ZINC000183569770 183147247 /nfs/dbraw/zinc/14/72/47/183147247.db2.gz JLUKPAVSGAZQBA-MAZHCROVSA-N 0 3 243.350 2.664 20 0 BFADHN OC[C@]1(N[C@H]2C=CCCC2)CCc2ccccc21 ZINC000362054802 183158973 /nfs/dbraw/zinc/15/89/73/183158973.db2.gz VGRCUHVKHYRWTC-GOEBONIOSA-N 0 3 243.350 2.519 20 0 BFADHN OC[C@]1(N[C@@H]2C=CCCC2)CCc2ccccc21 ZINC000362054801 183159313 /nfs/dbraw/zinc/15/93/13/183159313.db2.gz VGRCUHVKHYRWTC-GDBMZVCRSA-N 0 3 243.350 2.519 20 0 BFADHN O[C@@H](CN1CCCC12CCC2)c1ccccc1F ZINC000365621079 183176554 /nfs/dbraw/zinc/17/65/54/183176554.db2.gz CYUKSJSARMDZOD-AWEZNQCLSA-N 0 3 249.329 2.878 20 0 BFADHN O[C@@H]1CC[C@@H](CNCc2ccsc2Cl)C1 ZINC000308868381 183202493 /nfs/dbraw/zinc/20/24/93/183202493.db2.gz HQUXHCWSGQDQHH-PSASIEDQSA-N 0 3 245.775 2.652 20 0 BFADHN O[C@H]1CC[C@H](NCc2sccc2Cl)CC1 ZINC000335621057 183202680 /nfs/dbraw/zinc/20/26/80/183202680.db2.gz PBBKSNGFXLUPON-KYZUINATSA-N 0 3 245.775 2.795 20 0 BFADHN CCCN(C)CCC(=O)c1ccc(OC)cc1 ZINC000286102106 169074876 /nfs/dbraw/zinc/07/48/76/169074876.db2.gz UTUJGGVPUGBGCJ-UHFFFAOYSA-N 0 3 235.327 2.610 20 0 BFADHN O[C@@H]1CCCC[C@@H]1NCc1ccccc1Cl ZINC000037490704 183189192 /nfs/dbraw/zinc/18/91/92/183189192.db2.gz OBOQRKKXOSYEHB-QWHCGFSZSA-N 0 3 239.746 2.733 20 0 BFADHN O[C@@H]1CCCN(Cc2ccc3occc3c2)CC1 ZINC000293894084 183192837 /nfs/dbraw/zinc/19/28/37/183192837.db2.gz DXKCBZZIIBQZHK-CQSZACIVSA-N 0 3 245.322 2.780 20 0 BFADHN CCCN(C)CCOc1ccccc1C(C)=O ZINC000037323120 169079520 /nfs/dbraw/zinc/07/95/20/169079520.db2.gz RMWLFAJHAXHRNW-UHFFFAOYSA-N 0 3 235.327 2.610 20 0 BFADHN O[C@H](CN1CCC2(CCC2)C1)c1ccccc1F ZINC000123221816 183220844 /nfs/dbraw/zinc/22/08/44/183220844.db2.gz OPGCSLLCCQIKHN-CQSZACIVSA-N 0 3 249.329 2.735 20 0 BFADHN O[C@@]1(C2CC2)CCN(C/C=C/c2ccccc2)C1 ZINC000365849802 183215956 /nfs/dbraw/zinc/21/59/56/183215956.db2.gz QRKZCLHWPRNCFC-RRGWEWPQSA-N 0 3 243.350 2.547 20 0 BFADHN O[C@H]1CCN(Cc2ccc(-c3ccccc3)o2)C1 ZINC000157629887 183240356 /nfs/dbraw/zinc/24/03/56/183240356.db2.gz YJLNXBVQLQWZPV-ZDUSSCGKSA-N 0 3 243.306 2.513 20 0 BFADHN O[C@H]1CC[C@@H](CNc2ccnc3ccccc32)C1 ZINC000191642345 183241009 /nfs/dbraw/zinc/24/10/09/183241009.db2.gz CTTFUBMCKGJFQJ-NEPJUHHUSA-N 0 3 242.322 2.808 20 0 BFADHN CCCN(CC)C[C@@H](O)c1c(F)cccc1F ZINC000299405136 169126043 /nfs/dbraw/zinc/12/60/43/169126043.db2.gz XEUICTKLWRFQAZ-GFCCVEGCSA-N 0 3 243.297 2.730 20 0 BFADHN CCCN(CCC)[C@H](C)c1cnccn1 ZINC000297756155 169152449 /nfs/dbraw/zinc/15/24/49/169152449.db2.gz FDSTZBDCTXBNBG-LLVKDONJSA-N 0 3 207.321 2.660 20 0 BFADHN Oc1ccc2c(c1)[C@H](NC[C@@H]1CCCO1)CCC2 ZINC000236589069 183281558 /nfs/dbraw/zinc/28/15/58/183281558.db2.gz PGOQGBJMZWGCFH-DZGCQCFKSA-N 0 3 247.338 2.538 20 0 BFADHN CCCN(CC)Cc1cnn(C)c1C(F)(F)F ZINC000336718084 169130398 /nfs/dbraw/zinc/13/03/98/169130398.db2.gz ZQSWBWYJZPQRLE-UHFFFAOYSA-N 0 3 249.280 2.671 20 0 BFADHN CCCN(CC)Cc1ccccc1NC(C)=O ZINC000269672892 169130835 /nfs/dbraw/zinc/13/08/35/169130835.db2.gz POUPOQMOZCNRNQ-UHFFFAOYSA-N 0 3 234.343 2.877 20 0 BFADHN CCCN(CCC)C(=O)CN1CCC[C@@H]1CC ZINC000341161832 169141161 /nfs/dbraw/zinc/14/11/61/169141161.db2.gz APHQEPOXDGVPMO-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN Oc1ccc2c(c1)C1(CC1)CN(CCCCF)C2 ZINC000361574600 183280730 /nfs/dbraw/zinc/28/07/30/183280730.db2.gz YOXMGXXYYUGDKJ-UHFFFAOYSA-N 0 3 249.329 2.989 20 0 BFADHN CCCN(CCC)C(=O)C[C@H](N)c1ccccc1 ZINC000019485284 169142109 /nfs/dbraw/zinc/14/21/09/169142109.db2.gz LHEFNKNXBRKHOX-AWEZNQCLSA-N 0 3 248.370 2.725 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2CC[C@H](F)C2)c1 ZINC000335617434 183259565 /nfs/dbraw/zinc/25/95/65/183259565.db2.gz JONKBGZGHFMDQL-GXSJLCMTSA-N 0 3 227.254 2.512 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]CC2CC(F)(F)C2)c1 ZINC000291673220 183259705 /nfs/dbraw/zinc/25/97/05/183259705.db2.gz GUSHJDOBMXVKES-UHFFFAOYSA-N 0 3 245.244 2.666 20 0 BFADHN CCCN(CCC)Cc1cn2ccccc2n1 ZINC000153045422 169148505 /nfs/dbraw/zinc/14/85/05/169148505.db2.gz FRUPBQXDUSPSTF-UHFFFAOYSA-N 0 3 231.343 2.956 20 0 BFADHN CCCN(Cc1cc(OC)ccn1)CC1CC1 ZINC000106933362 169176317 /nfs/dbraw/zinc/17/63/17/169176317.db2.gz BYPFDQZYCOFECB-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN Oc1cccc(CNCC2=CCCCC2)c1 ZINC000295200584 183289154 /nfs/dbraw/zinc/28/91/54/183289154.db2.gz YQNWOOXFNXSJBJ-UHFFFAOYSA-N 0 3 217.312 2.982 20 0 BFADHN CCSCCCN[C@@H](C)c1ccccn1 ZINC000163960410 170628839 /nfs/dbraw/zinc/62/88/39/170628839.db2.gz KQAMKWRXXZZIEA-NSHDSACASA-N 0 3 224.373 2.875 20 0 BFADHN CCCN(CCO)Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000271021905 169166224 /nfs/dbraw/zinc/16/62/24/169166224.db2.gz CHLKZYRWXCQMLU-WCQYABFASA-N 0 3 237.343 2.607 20 0 BFADHN CCCN(CCO)Cc1ccc(F)c(Cl)c1 ZINC000128029780 169166792 /nfs/dbraw/zinc/16/67/92/169166792.db2.gz YDFRQVWOPRPJQR-UHFFFAOYSA-N 0 3 245.725 2.683 20 0 BFADHN CCCN(CCc1cccs1)Cc1cc[nH]n1 ZINC000185586531 169170165 /nfs/dbraw/zinc/17/01/65/169170165.db2.gz LMGJOUBYEVKJPI-UHFFFAOYSA-N 0 3 249.383 2.926 20 0 BFADHN CCCN(Cc1cccnc1)C[C@H]1CCCCO1 ZINC000353326882 169179852 /nfs/dbraw/zinc/17/98/52/169179852.db2.gz ABSVSGAXSVVEQP-OAHLLOKOSA-N 0 3 248.370 2.863 20 0 BFADHN CCCN1CCC[C@H]1CNCc1occc1C ZINC000353131435 169200274 /nfs/dbraw/zinc/20/02/74/169200274.db2.gz BHKVAXQQMKFOSG-ZDUSSCGKSA-N 0 3 236.359 2.552 20 0 BFADHN c1cc(CN2CCCC23CCCCC3)no1 ZINC000334147260 183339402 /nfs/dbraw/zinc/33/94/02/183339402.db2.gz DKTOAYBHSJOQHU-UHFFFAOYSA-N 0 3 220.316 2.973 20 0 BFADHN c1cc(CN2CC3(CCC3)[C@@H]2C2CC2)ccn1 ZINC000336562371 183339521 /nfs/dbraw/zinc/33/95/21/183339521.db2.gz NOCOFCTUOGFKCY-AWEZNQCLSA-N 0 3 228.339 2.846 20 0 BFADHN c1cn2c(cccc2CN2CCCC3(CC3)C2)n1 ZINC000186614287 183340318 /nfs/dbraw/zinc/34/03/18/183340318.db2.gz YQMGAFUOOQGFRX-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN CCCNC(=O)CN[C@@H](CC)c1ccc(C)cc1 ZINC000035138533 169228100 /nfs/dbraw/zinc/22/81/00/169228100.db2.gz CAHYCIMSPYHARN-AWEZNQCLSA-N 0 3 248.370 2.562 20 0 BFADHN CCCNC(=O)CN[C@H](CC)c1ccc(C)cc1 ZINC000035138534 169229040 /nfs/dbraw/zinc/22/90/40/169229040.db2.gz CAHYCIMSPYHARN-CQSZACIVSA-N 0 3 248.370 2.562 20 0 BFADHN c1cc(CN2CCC[C@H]2[C@H]2CCCO2)cs1 ZINC000285182058 183341955 /nfs/dbraw/zinc/34/19/55/183341955.db2.gz QEEPWUXTQGCPCO-QWHCGFSZSA-N 0 3 237.368 2.892 20 0 BFADHN c1cc(CN2CC[C@]3(C2)CCCOC3)cs1 ZINC000375302305 183346436 /nfs/dbraw/zinc/34/64/36/183346436.db2.gz JVMVUVIEVLJSLQ-ZDUSSCGKSA-N 0 3 237.368 2.751 20 0 BFADHN c1cc2c(c(CN3C[C@@H]4CCC[C@@H]4C3)c1)OCO2 ZINC000093443098 183348125 /nfs/dbraw/zinc/34/81/25/183348125.db2.gz QRZPTYGMIDLNDP-TXEJJXNPSA-N 0 3 245.322 2.647 20 0 BFADHN c1cc(CNC2CCCCCCC2)ncn1 ZINC000054788604 183348437 /nfs/dbraw/zinc/34/84/37/183348437.db2.gz NFRKQNODYCLPSL-UHFFFAOYSA-N 0 3 219.332 2.679 20 0 BFADHN c1cc(CN[C@@H]2CC[C@@H]2C2CCC2)co1 ZINC000308818926 183351467 /nfs/dbraw/zinc/35/14/67/183351467.db2.gz BJMGCMVMZJLAJS-CHWSQXEVSA-N 0 3 205.301 2.948 20 0 BFADHN c1cc(CN[C@H]2CC[C@H]2C2CCC2)co1 ZINC000308818937 183353417 /nfs/dbraw/zinc/35/34/17/183353417.db2.gz BJMGCMVMZJLAJS-STQMWFEESA-N 0 3 205.301 2.948 20 0 BFADHN c1cc(CN2CCC23CCC3)cc2c1OCC2 ZINC000353649332 183368490 /nfs/dbraw/zinc/36/84/90/183368490.db2.gz SCUZHGYTEOAEOG-UHFFFAOYSA-N 0 3 229.323 2.750 20 0 BFADHN c1nc(CNCC2CC2)c(-c2ccccc2)o1 ZINC000372788236 183385601 /nfs/dbraw/zinc/38/56/01/183385601.db2.gz OJISAANITRKIEN-UHFFFAOYSA-N 0 3 228.295 2.841 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2NCCOCC1CC1 ZINC000219264871 183371488 /nfs/dbraw/zinc/37/14/88/183371488.db2.gz HLOJILAYPHSHHQ-ZDUSSCGKSA-N 0 3 235.327 2.673 20 0 BFADHN c1cc2c(o1)CCC[C@H]2N[C@H]1CCSC1 ZINC000020203997 183373005 /nfs/dbraw/zinc/37/30/05/183373005.db2.gz CYAJXHYWUSPUCB-GXSJLCMTSA-N 0 3 223.341 2.752 20 0 BFADHN c1cc2c(s1)CCN(CC[C@H]1CCOC1)C2 ZINC000336563989 183373744 /nfs/dbraw/zinc/37/37/44/183373744.db2.gz BMMGMMGCHXVYBZ-NSHDSACASA-N 0 3 237.368 2.533 20 0 BFADHN c1cc2c(s1)CCC[C@@H]2N[C@@H]1CCCOC1 ZINC000071012465 183373839 /nfs/dbraw/zinc/37/38/39/183373839.db2.gz BIJCQHDBBKVFAI-PWSUYJOCSA-N 0 3 237.368 2.894 20 0 BFADHN c1[nH]nc2cc(CN3CC(C4CCC4)C3)ccc12 ZINC000336394355 183374249 /nfs/dbraw/zinc/37/42/49/183374249.db2.gz VYTMNLZSXJPIBE-UHFFFAOYSA-N 0 3 241.338 2.795 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1ccccc1CC ZINC000295215042 169285439 /nfs/dbraw/zinc/28/54/39/169285439.db2.gz AXMCPOMUNBYWEP-LLVKDONJSA-N 0 3 234.343 2.576 20 0 BFADHN CCCN[C@H](C)c1nc2c(s1)CCC2 ZINC000041061500 169288290 /nfs/dbraw/zinc/28/82/90/169288290.db2.gz ZQVSECLFLRVNIU-MRVPVSSYSA-N 0 3 210.346 2.692 20 0 BFADHN CCCN[C@H](COC)c1ccc(CC)o1 ZINC000163657721 169289081 /nfs/dbraw/zinc/28/90/81/169289081.db2.gz QSMMJWCXNDEVJO-LLVKDONJSA-N 0 3 211.305 2.529 20 0 BFADHN c1ccc(C2(NC[C@H]3CCCCO3)CC2)cc1 ZINC000264000493 183389106 /nfs/dbraw/zinc/38/91/06/183389106.db2.gz NPGPCQGZLUHDIS-CQSZACIVSA-N 0 3 231.339 2.834 20 0 BFADHN c1ccc(C2(NCCOCC3CC3)CC2)cc1 ZINC000341647598 183389450 /nfs/dbraw/zinc/38/94/50/183389450.db2.gz VVNNIWDUNMKJJX-UHFFFAOYSA-N 0 3 231.339 2.692 20 0 BFADHN c1ccc(CC2(NCc3cccnc3)CC2)cc1 ZINC000187493518 183390161 /nfs/dbraw/zinc/39/01/61/183390161.db2.gz ILHWEMPDRZGQJX-UHFFFAOYSA-N 0 3 238.334 2.947 20 0 BFADHN c1nc(CNCCCc2ccccc2)cs1 ZINC000040420415 183391612 /nfs/dbraw/zinc/39/16/12/183391612.db2.gz NEGUIUDMSAYHNX-UHFFFAOYSA-N 0 3 232.352 2.866 20 0 BFADHN CCCNCc1ccc(F)cc1Cl ZINC000020056540 169266731 /nfs/dbraw/zinc/26/67/31/169266731.db2.gz FKCKYWWTDCXYSY-UHFFFAOYSA-N 0 3 201.672 2.979 20 0 BFADHN c1cc2c(s1)CCN(CCc1ccccn1)C2 ZINC000073349737 183394004 /nfs/dbraw/zinc/39/40/04/183394004.db2.gz MESIIGVOZZJRSY-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN CCCNCc1ncc(CSC)s1 ZINC000294106377 169269418 /nfs/dbraw/zinc/26/94/18/169269418.db2.gz MQWHDCLIWJNBFC-UHFFFAOYSA-N 0 3 216.375 2.506 20 0 BFADHN c1ccc(CN2CCNCc3ccccc32)cc1 ZINC000062618762 183398867 /nfs/dbraw/zinc/39/88/67/183398867.db2.gz HTTQLZYEERQPBG-UHFFFAOYSA-N 0 3 238.334 2.796 20 0 BFADHN CCCN[C@@H](c1ncc(C)s1)C1CC1 ZINC000045206205 169280667 /nfs/dbraw/zinc/28/06/67/169280667.db2.gz BDIDCAMKKVFHLL-SNVBAGLBSA-N 0 3 210.346 2.902 20 0 BFADHN CCCOc1cc(CN(C)CC)ccc1OC ZINC000126302435 169314826 /nfs/dbraw/zinc/31/48/26/169314826.db2.gz YYRVVUYDENHULA-UHFFFAOYSA-N 0 3 237.343 2.936 20 0 BFADHN CCCOc1ccc(CN[C@@H]2CCO[C@H]2C)cc1 ZINC000120262635 169318771 /nfs/dbraw/zinc/31/87/71/169318771.db2.gz DOPANERSDSBPGA-SWLSCSKDSA-N 0 3 249.354 2.742 20 0 BFADHN CCCOc1ccc(CN[C@H](C)COC)cc1 ZINC000020087452 169319630 /nfs/dbraw/zinc/31/96/30/169319630.db2.gz MPUPSLAEFGZVJA-GFCCVEGCSA-N 0 3 237.343 2.600 20 0 BFADHN CCCOc1cccc(CN2CC[C@H](OC)C2)c1 ZINC000271168667 169325468 /nfs/dbraw/zinc/32/54/68/169325468.db2.gz GSVBLSQBGGJUTE-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN c1c(CNC(C2CC2)C2CC2)nc2ccccn12 ZINC000042237273 183464230 /nfs/dbraw/zinc/46/42/30/183464230.db2.gz NNFKNAZKUCBKTQ-UHFFFAOYSA-N 0 3 241.338 2.613 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnn(CC)c1 ZINC000271062341 169364770 /nfs/dbraw/zinc/36/47/70/169364770.db2.gz HDSQFDJRZSWWSP-LLVKDONJSA-N 0 3 209.337 2.523 20 0 BFADHN CCC[C@@H](C)N(C)Cc1ccc(OC)cn1 ZINC000271864750 169365008 /nfs/dbraw/zinc/36/50/08/169365008.db2.gz AZTPLNWQIVIOHH-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN CCC[C@@H](C)N(C)Cc1ccnn1CC ZINC000271057086 169365209 /nfs/dbraw/zinc/36/52/09/169365209.db2.gz DLAMTYQYZZKLGA-LLVKDONJSA-N 0 3 209.337 2.523 20 0 BFADHN CCC[C@@H](C)NCc1ncc(CC)s1 ZINC000130507845 169370314 /nfs/dbraw/zinc/37/03/14/169370314.db2.gz JSENBBRFTWRKMH-SECBINFHSA-N 0 3 212.362 2.984 20 0 BFADHN CCC[C@@H](C)N[C@H](CO)c1ccsc1 ZINC000336656770 169371201 /nfs/dbraw/zinc/37/12/01/169371201.db2.gz OYGIQQMGKUQPRB-MWLCHTKSSA-N 0 3 213.346 2.560 20 0 BFADHN CCC[C@@H](CC)NC(=O)Nc1cccc(CN)c1 ZINC000050406832 169376924 /nfs/dbraw/zinc/37/69/24/169376924.db2.gz YKBKRDRDUDUTIG-GFCCVEGCSA-N 0 3 249.358 2.846 20 0 BFADHN CCC[C@@H](CC)NCc1cccnc1OC ZINC000087414973 169379299 /nfs/dbraw/zinc/37/92/99/169379299.db2.gz GUIHSNVKHVEODU-GFCCVEGCSA-N 0 3 222.332 2.759 20 0 BFADHN CCC[C@@H](CC)NCc1ccc(F)cn1 ZINC000336727971 169379654 /nfs/dbraw/zinc/37/96/54/169379654.db2.gz HQYYCSSQIXXKJR-LLVKDONJSA-N 0 3 210.296 2.889 20 0 BFADHN CCC[C@@H](CCO)CNCc1ccc(CC)o1 ZINC000150875499 169383083 /nfs/dbraw/zinc/38/30/83/169383083.db2.gz HBCUGWXMVWLYOC-LBPRGKRZSA-N 0 3 239.359 2.730 20 0 BFADHN CCC[C@@H](CNCc1ccccc1OC)OC ZINC000293662643 169384739 /nfs/dbraw/zinc/38/47/39/169384739.db2.gz LOSHGIKWULBRGT-ZDUSSCGKSA-N 0 3 237.343 2.600 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1ccc(F)cn1)OC ZINC000293695463 169385595 /nfs/dbraw/zinc/38/55/95/169385595.db2.gz OMPKEDQPYMFRSV-JQWIXIFHSA-N 0 3 240.322 2.686 20 0 BFADHN c1ccc2c(c1)CCN(CCc1cccnc1)C2 ZINC000172069769 183491504 /nfs/dbraw/zinc/49/15/04/183491504.db2.gz HADZRRSGQBASRY-UHFFFAOYSA-N 0 3 238.334 2.682 20 0 BFADHN CCC[C@@H](N)C(=O)N(C)[C@H](C)c1ccc(C)cc1 ZINC000037808074 169386763 /nfs/dbraw/zinc/38/67/63/169386763.db2.gz PMDCZLRVCJEYIR-TZMCWYRMSA-N 0 3 248.370 2.642 20 0 BFADHN CCC[C@@H](N)C(=O)NCCCCC1CCCC1 ZINC000236942132 169388871 /nfs/dbraw/zinc/38/88/71/169388871.db2.gz ABGDFEVAHUBTNL-CYBMUJFWSA-N 0 3 240.391 2.591 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1cccc(C(C)C)c1 ZINC000036873083 169391386 /nfs/dbraw/zinc/39/13/86/169391386.db2.gz FTKTTZUPLHJLJO-CYBMUJFWSA-N 0 3 234.343 2.876 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@@H](C)c1cc(C)cc(C)c1 ZINC000236980656 169390429 /nfs/dbraw/zinc/39/04/29/169390429.db2.gz WHODAIWQWGARAV-GXTWGEPZSA-N 0 3 248.370 2.608 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1ccc(Cl)cc1F ZINC000037029132 169391339 /nfs/dbraw/zinc/39/13/39/169391339.db2.gz XHWGRHAWTYXCGB-SECBINFHSA-N 0 3 244.697 2.545 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1c(C)cc(C)cc1C ZINC000223758389 169391841 /nfs/dbraw/zinc/39/18/41/169391841.db2.gz HLVUSEJKHGMERZ-GFCCVEGCSA-N 0 3 234.343 2.678 20 0 BFADHN CCCn1cc(CN(C)C[C@H](C)CC)cn1 ZINC000179686500 134323704 /nfs/dbraw/zinc/32/37/04/134323704.db2.gz FFHZPLUOWUAXHZ-GFCCVEGCSA-N 0 3 223.364 2.771 20 0 BFADHN COC[C@@H](NCc1cc(F)cc(F)c1)C(C)C ZINC000221879326 134328447 /nfs/dbraw/zinc/32/84/47/134328447.db2.gz SDJWHMZJZGVFGX-CYBMUJFWSA-N 0 3 243.297 2.725 20 0 BFADHN CCC[C@@H](O)CNCc1ccc(Cl)s1 ZINC000112377110 169408499 /nfs/dbraw/zinc/40/84/99/169408499.db2.gz ZTIUWWIQNJRFMB-MRVPVSSYSA-N 0 3 233.764 2.652 20 0 BFADHN CCC[C@@H](O)CNCc1cc(C)cc(Cl)c1 ZINC000339258600 169408539 /nfs/dbraw/zinc/40/85/39/169408539.db2.gz JCTJRTFTGCJTBQ-CYBMUJFWSA-N 0 3 241.762 2.899 20 0 BFADHN CC(C)[C@H]1CC[C@@H](C)C[C@@H]1NCc1ccn(C)n1 ZINC000226652250 259616552 /nfs/dbraw/zinc/61/65/52/259616552.db2.gz FOKKATBKOBRDRP-YUELXQCFSA-N 0 3 249.402 2.971 20 0 BFADHN CC[C@@H]1CN(c2ccccc2)CCN1CC ZINC000184008788 134356388 /nfs/dbraw/zinc/35/63/88/134356388.db2.gz IZDHLAXHPHASJX-CYBMUJFWSA-N 0 3 218.344 2.607 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@H](N)CC(C)C ZINC000226070717 134358739 /nfs/dbraw/zinc/35/87/39/134358739.db2.gz ZKVDWPQTTIOMBA-CHWSQXEVSA-N 0 3 242.407 2.835 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CCSC(C)C ZINC000338254588 171110081 /nfs/dbraw/zinc/11/00/81/171110081.db2.gz XHPHDYBVEDQZTC-NWDGAFQWSA-N 0 3 231.405 2.627 20 0 BFADHN CC[C@@H]1CC[C@@H](NCc2c(C)noc2C)C1 ZINC000085444784 134367304 /nfs/dbraw/zinc/36/73/04/134367304.db2.gz QZVUIIWOBSDWBN-VXGBXAGGSA-N 0 3 222.332 2.960 20 0 BFADHN C[C@H](NC1CCCCC1)c1nnc2ccccn21 ZINC000035044268 383824946 /nfs/dbraw/zinc/82/49/46/383824946.db2.gz BVWWJFKNTGFBNX-NSHDSACASA-N 0 3 244.342 2.713 20 0 BFADHN Cn1c2ccccc2nc1CNC1(C)CCCC1 ZINC000111234219 383842718 /nfs/dbraw/zinc/84/27/18/383842718.db2.gz PDLKJMSNHGPWIR-UHFFFAOYSA-N 0 3 243.354 2.996 20 0 BFADHN COC[C@H](NCc1ccccc1Cl)C1CC1 ZINC000087477065 383845018 /nfs/dbraw/zinc/84/50/18/383845018.db2.gz VOCBLLBNIPAJAH-ZDUSSCGKSA-N 0 3 239.746 2.855 20 0 BFADHN C[C@@H](NCc1cccc(F)c1F)[C@@H]1CCCO1 ZINC000035276384 383849368 /nfs/dbraw/zinc/84/93/68/383849368.db2.gz JKSRSEDHJHDAJI-SKDRFNHKSA-N 0 3 241.281 2.622 20 0 BFADHN CC(C)C[C@H](C)N[C@@H](C)c1cnccn1 ZINC000070024954 383856114 /nfs/dbraw/zinc/85/61/14/383856114.db2.gz WULTVDQZRMMDNQ-QWRGUYRKSA-N 0 3 207.321 2.562 20 0 BFADHN CC(C)C[C@@H](C)N[C@H](C)c1cnccn1 ZINC000070024957 383856388 /nfs/dbraw/zinc/85/63/88/383856388.db2.gz WULTVDQZRMMDNQ-GHMZBOCLSA-N 0 3 207.321 2.562 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1)c1ccc(F)c(Cl)c1 ZINC000087783329 383867432 /nfs/dbraw/zinc/86/74/32/383867432.db2.gz ZSTONGYJCYBJFQ-PSASIEDQSA-N 0 3 243.709 2.919 20 0 BFADHN CCc1nocc1CNC[C@@H]1CCCC1(F)F ZINC000449494467 383868810 /nfs/dbraw/zinc/86/88/10/383868810.db2.gz NDCQXZAWBNIRAY-JTQLQIEISA-N 0 3 244.285 2.762 20 0 BFADHN CC[C@H](CCO)CN[C@H](C)c1ccc(C)o1 ZINC000087362842 383831079 /nfs/dbraw/zinc/83/10/79/383831079.db2.gz RQQUMNDKHDHMON-VXGBXAGGSA-N 0 3 225.332 2.647 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1cccc(OC)c1 ZINC000560001243 383833397 /nfs/dbraw/zinc/83/33/97/383833397.db2.gz MHBPFFZADWNLQL-LERXQTSPSA-N 0 3 235.327 2.523 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1cccc(OC)c1 ZINC000560001245 383833669 /nfs/dbraw/zinc/83/36/69/383833669.db2.gz MHBPFFZADWNLQL-ZLKJLUDKSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccccc1CN1CC[C@H](OC)[C@H](C)C1 ZINC000449491677 383834653 /nfs/dbraw/zinc/83/46/53/383834653.db2.gz AKGSPIUMDXIZAF-OCCSQVGLSA-N 0 3 249.354 2.552 20 0 BFADHN CCOCCN[C@@H](C)c1cc(C)ccc1OC ZINC000035151348 383835098 /nfs/dbraw/zinc/83/50/98/383835098.db2.gz IXFLRMAEJXBAAV-LBPRGKRZSA-N 0 3 237.343 2.691 20 0 BFADHN Cc1ccc(F)cc1CN[C@H]1C[C@@H]1C(F)F ZINC000348192162 383934407 /nfs/dbraw/zinc/93/44/07/383934407.db2.gz XFVJFPNWBPSVKB-QWRGUYRKSA-N 0 3 229.245 2.877 20 0 BFADHN Cn1nccc1CN[C@H]1CCCc2ccccc21 ZINC000036901220 383918217 /nfs/dbraw/zinc/91/82/17/383918217.db2.gz JTONCECBZYUQKA-HNNXBMFYSA-N 0 3 241.338 2.587 20 0 BFADHN COC(=O)c1cc(CN[C@H]2CC=CCC2)oc1C ZINC000088746848 383921663 /nfs/dbraw/zinc/92/16/63/383921663.db2.gz CHGVFTCRWFPEAU-NSHDSACASA-N 0 3 249.310 2.573 20 0 BFADHN CCn1ccnc1CNCC1CCC(F)CC1 ZINC000647252840 383927063 /nfs/dbraw/zinc/92/70/63/383927063.db2.gz YVACFNMSGQVWCS-UHFFFAOYSA-N 0 3 239.338 2.521 20 0 BFADHN Cc1cc(CN2C[C@@H](C)N(C)[C@@H](C)C2)cs1 ZINC000449346259 383927684 /nfs/dbraw/zinc/92/76/84/383927684.db2.gz YQVJWCKCZUYIDQ-PHIMTYICSA-N 0 3 238.400 2.581 20 0 BFADHN CC[C@@H](O)[C@H](CC)NCc1ccccc1Cl ZINC000313142097 383932192 /nfs/dbraw/zinc/93/21/92/383932192.db2.gz IFQNJIWDARKUBA-QWHCGFSZSA-N 0 3 241.762 2.979 20 0 BFADHN CSCCN[C@@H](C)c1ccc(F)cc1F ZINC000070184520 383884154 /nfs/dbraw/zinc/88/41/54/383884154.db2.gz VTSSNTKTNXOYPJ-QMMMGPOBSA-N 0 3 231.311 2.978 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(C)cc1C ZINC000036229029 383890718 /nfs/dbraw/zinc/89/07/18/383890718.db2.gz XXVIAVVJAYWOKT-ZDUSSCGKSA-N 0 3 221.344 2.991 20 0 BFADHN FC1CCC(CNCc2cscn2)CC1 ZINC000647253116 383933804 /nfs/dbraw/zinc/93/38/04/383933804.db2.gz QJCSEFPOVGYTMC-UHFFFAOYSA-N 0 3 228.336 2.761 20 0 BFADHN CC(C)N(C[C@H]1C[C@H]1c1ccccc1)C1COC1 ZINC000449352651 383935752 /nfs/dbraw/zinc/93/57/52/383935752.db2.gz XJIAOIFMAVMPIY-ZBFHGGJFSA-N 0 3 245.366 2.899 20 0 BFADHN Cc1cc2cc(CN[C@@H]3CO[C@H](C)C3)ccc2[nH]1 ZINC000647210957 383901352 /nfs/dbraw/zinc/90/13/52/383901352.db2.gz JSTVAIWLZFNYGF-RISCZKNCSA-N 0 3 244.338 2.743 20 0 BFADHN C[C@H](N[C@H]1C[C@@H]1C(F)F)c1cccc(O)c1 ZINC000348146792 383902703 /nfs/dbraw/zinc/90/27/03/383902703.db2.gz FSHWRHBSJLZWDU-SWPVVBRQSA-N 0 3 227.254 2.696 20 0 BFADHN CCc1noc(C)c1CN[C@H](C)Cc1ccoc1 ZINC000449341756 383905033 /nfs/dbraw/zinc/90/50/33/383905033.db2.gz WFRLXGCUHFSEBL-SNVBAGLBSA-N 0 3 248.326 2.859 20 0 BFADHN CC[C@@H](O)[C@@H](CC)NCc1cc(F)ccc1F ZINC000313117703 383905699 /nfs/dbraw/zinc/90/56/99/383905699.db2.gz RGWZAMHXOGBSBX-CHWSQXEVSA-N 0 3 243.297 2.604 20 0 BFADHN CC[C@H](O)[C@H](CC)NCc1cc(F)ccc1F ZINC000313117706 383906186 /nfs/dbraw/zinc/90/61/86/383906186.db2.gz RGWZAMHXOGBSBX-STQMWFEESA-N 0 3 243.297 2.604 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)C1)C(=O)Nc1ccccc1 ZINC000089615614 383979861 /nfs/dbraw/zinc/97/98/61/383979861.db2.gz KAWBAERXWKESPZ-MBNYWOFBSA-N 0 3 246.354 2.792 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@H](C)C2)sc1C ZINC000268069336 384001934 /nfs/dbraw/zinc/00/19/34/384001934.db2.gz CBTXJZQDBSSGAU-DTORHVGOSA-N 0 3 224.373 2.848 20 0 BFADHN CCC1(NCc2ccnn2CC(C)C)CC1 ZINC000647214363 383980855 /nfs/dbraw/zinc/98/08/55/383980855.db2.gz XPSYMUHGGRHPBX-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN C[C@H](NC[C@H]1CCOC1)c1cc(F)ccc1F ZINC000037507807 383983187 /nfs/dbraw/zinc/98/31/87/383983187.db2.gz ZCTZVTUTWJWXGE-VHSXEESVSA-N 0 3 241.281 2.652 20 0 BFADHN C[C@@H](NC[C@@H]1CCOC1)c1ccc(F)cc1F ZINC000037508060 383983514 /nfs/dbraw/zinc/98/35/14/383983514.db2.gz YHUCVMJDZZQRHF-ZJUUUORDSA-N 0 3 241.281 2.652 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CO[C@@H](C)C2)ccc1F ZINC000647214099 383984370 /nfs/dbraw/zinc/98/43/70/383984370.db2.gz MYQHNNDUEXOHIA-LOWVWBTDSA-N 0 3 237.318 2.962 20 0 BFADHN CC[C@H](O)[C@H](CC)NCc1ccc(Cl)o1 ZINC000313168656 383984676 /nfs/dbraw/zinc/98/46/76/383984676.db2.gz USCVDICFTXAFPP-UWVGGRQHSA-N 0 3 231.723 2.572 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1cc(C)cc(C)c1 ZINC000037621313 383989026 /nfs/dbraw/zinc/98/90/26/383989026.db2.gz CQLZNASRIYNXSR-CQSZACIVSA-N 0 3 234.343 2.759 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1cccc(C)c1C ZINC000037621339 383991343 /nfs/dbraw/zinc/99/13/43/383991343.db2.gz XCDUWRVKAFZBEE-CQSZACIVSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@H](NCC1CCCC1)c1nccs1 ZINC000070633983 383949484 /nfs/dbraw/zinc/94/94/84/383949484.db2.gz OMESRPWLWYXEGV-VIFPVBQESA-N 0 3 210.346 2.984 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](C)Cn2cccn2)o1 ZINC000037155593 383949600 /nfs/dbraw/zinc/94/96/00/383949600.db2.gz HVOWNEJDUHFHDV-ZYHUDNBSSA-N 0 3 233.315 2.524 20 0 BFADHN CC(C)C1(CN[C@@H]2C[C@@H](C)n3ccnc32)CC1 ZINC000345348433 383949977 /nfs/dbraw/zinc/94/99/77/383949977.db2.gz MASCSUMIKJXYST-VXGBXAGGSA-N 0 3 233.359 2.915 20 0 BFADHN C[C@H](NCCN(C)C)c1ccc(F)cc1Cl ZINC000037184101 383955182 /nfs/dbraw/zinc/95/51/82/383955182.db2.gz DAVJRDNRJLXGMO-VIFPVBQESA-N 0 3 244.741 2.691 20 0 BFADHN C[C@H](CCc1ccccc1)NCc1cncnc1 ZINC000054767107 383957407 /nfs/dbraw/zinc/95/74/07/383957407.db2.gz HWPZTBMDYSOCRJ-CYBMUJFWSA-N 0 3 241.338 2.588 20 0 BFADHN Cc1nc(CN2CC[C@H](C)[C@H]2C)c(C)s1 ZINC000336469787 383957842 /nfs/dbraw/zinc/95/78/42/383957842.db2.gz VPKGIOMKFNHPTB-DTWKUNHWSA-N 0 3 224.373 2.990 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2CCCSC2)n1 ZINC000070732777 383961789 /nfs/dbraw/zinc/96/17/89/383961789.db2.gz YFUNLYCSPMGCCP-VHSXEESVSA-N 0 3 242.413 2.998 20 0 BFADHN CCN(Cc1nc2ccccc2n1C)CC1CC1 ZINC000112983602 383963701 /nfs/dbraw/zinc/96/37/01/383963701.db2.gz JIBJTKFJJWWFQE-UHFFFAOYSA-N 0 3 243.354 2.805 20 0 BFADHN CCOCCN[C@@H](CC)c1ccc2c(c1)CCO2 ZINC000037269321 383967964 /nfs/dbraw/zinc/96/79/64/383967964.db2.gz JLBIRFUEVXABLW-AWEZNQCLSA-N 0 3 249.354 2.699 20 0 BFADHN Clc1cccc(CN[C@@H]2CCCOC2)c1 ZINC000071011278 383968877 /nfs/dbraw/zinc/96/88/77/383968877.db2.gz RVLCPWITAWSASC-GFCCVEGCSA-N 0 3 225.719 2.609 20 0 BFADHN CC(=O)c1ccccc1OCCN(C)CC(C)C ZINC000037323146 383971732 /nfs/dbraw/zinc/97/17/32/383971732.db2.gz OCKZXSZPVNNUDT-UHFFFAOYSA-N 0 3 249.354 2.856 20 0 BFADHN C[C@H](N[C@@H]1CCOC1)c1ccc(Cl)cc1 ZINC000070964337 383972070 /nfs/dbraw/zinc/97/20/70/383972070.db2.gz SEEBDUNJYLKQAR-JOYOIKCWSA-N 0 3 225.719 2.780 20 0 BFADHN Cc1ccc(C2(NCc3cn[nH]c3)CCC2)cc1 ZINC000647255377 384023176 /nfs/dbraw/zinc/02/31/76/384023176.db2.gz XWQIMAUGGKJXAD-UHFFFAOYSA-N 0 3 241.338 2.887 20 0 BFADHN C[C@H](NCc1sccc1Cl)[C@H]1CCOC1 ZINC000278477857 384003750 /nfs/dbraw/zinc/00/37/50/384003750.db2.gz KGXZOGAHIXJUKP-IUCAKERBSA-N 0 3 245.775 2.916 20 0 BFADHN CC(C)[C@H](CCO)NCc1sccc1Cl ZINC000278521702 384007129 /nfs/dbraw/zinc/00/71/29/384007129.db2.gz QIVBQTBKQMWOHE-JTQLQIEISA-N 0 3 247.791 2.898 20 0 BFADHN Cc1ccc(NC(=O)CC2CC2)c(CN(C)C)c1 ZINC000113912493 384012923 /nfs/dbraw/zinc/01/29/23/384012923.db2.gz CPBHUIXALRLWLS-UHFFFAOYSA-N 0 3 246.354 2.795 20 0 BFADHN C[C@@H](O)CCN(C)Cc1ccc(Cl)cc1 ZINC000075779715 384044464 /nfs/dbraw/zinc/04/44/64/384044464.db2.gz OIJLPFONKJLGAE-SNVBAGLBSA-N 0 3 227.735 2.543 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1cnccn1 ZINC000569445969 384047652 /nfs/dbraw/zinc/04/76/52/384047652.db2.gz HZZWQRBCILLDAK-GFCCVEGCSA-N 0 3 219.332 2.701 20 0 BFADHN COc1cccc(CN2CC[C@H](OC)[C@@H](C)C2)c1 ZINC000449512302 384052275 /nfs/dbraw/zinc/05/22/75/384052275.db2.gz ZLAZYPHVLYPHRV-WFASDCNBSA-N 0 3 249.354 2.552 20 0 BFADHN C[C@@H](O)CC(C)(C)CN[C@@H](C)c1nccs1 ZINC000090085873 384027568 /nfs/dbraw/zinc/02/75/68/384027568.db2.gz BZUIDMCLYXWZCE-ZJUUUORDSA-N 0 3 242.388 2.591 20 0 BFADHN c1ccc(NC2CCN(C3CC3)CC2)cc1 ZINC000073653375 384028772 /nfs/dbraw/zinc/02/87/72/384028772.db2.gz XTHNCNUPLNAOMK-UHFFFAOYSA-N 0 3 216.328 2.725 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@H]1CO[C@H](C)C1 ZINC000647217173 384035756 /nfs/dbraw/zinc/03/57/56/384035756.db2.gz CRZCWWDBZVOMMR-JHJVBQTASA-N 0 3 249.354 2.832 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCc3sccc32)CO1 ZINC000401807964 384037486 /nfs/dbraw/zinc/03/74/86/384037486.db2.gz ZMQXSMDCYPCBFU-JFGNBEQYSA-N 0 3 237.368 2.893 20 0 BFADHN CN(C)c1ccccc1CNC1CC(C)(F)C1 ZINC000449371868 384039963 /nfs/dbraw/zinc/03/99/63/384039963.db2.gz ZNFOHMSKPZWTKB-UHFFFAOYSA-N 0 3 236.334 2.733 20 0 BFADHN Clc1ccc(CN2C[C@H]3CCC[C@@H]3C2)cn1 ZINC000132019630 384040397 /nfs/dbraw/zinc/04/03/97/384040397.db2.gz QQOOWBXZKOFTOG-VXGBXAGGSA-N 0 3 236.746 2.967 20 0 BFADHN C[C@@]1(CO)CCCN1C/C=C/c1ccc(F)cc1 ZINC000530464204 384086194 /nfs/dbraw/zinc/08/61/94/384086194.db2.gz JQYGQEIUMKTMPR-OMDKTOEGSA-N 0 3 249.329 2.686 20 0 BFADHN C[C@@]1(CO)CCCN1C/C=C\c1ccc(F)cc1 ZINC000530464198 384087190 /nfs/dbraw/zinc/08/71/90/384087190.db2.gz JQYGQEIUMKTMPR-HKLWWIALSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1n[nH]cc1CN[C@@H](C)c1ccc(C)cc1 ZINC000037996428 384054005 /nfs/dbraw/zinc/05/40/05/384054005.db2.gz KJFGSMMYYLHNNP-NSHDSACASA-N 0 3 229.327 2.877 20 0 BFADHN Cc1ccsc1[C@@H](C)NCc1ccnn1C ZINC000069637069 384054151 /nfs/dbraw/zinc/05/41/51/384054151.db2.gz PIYACIQYSXUYNZ-SNVBAGLBSA-N 0 3 235.356 2.641 20 0 BFADHN C[C@@H](CC1CC1)NCc1nccn1C(F)F ZINC000069664731 384054521 /nfs/dbraw/zinc/05/45/21/384054521.db2.gz RMLRPUWVEBJZIO-QMMMGPOBSA-N 0 3 229.274 2.556 20 0 BFADHN CO[C@@H](CN[C@H]1CCCc2occc21)C(C)C ZINC000278636934 384058289 /nfs/dbraw/zinc/05/82/89/384058289.db2.gz HHDUTQQXXRNWQI-JSGCOSHPSA-N 0 3 237.343 2.918 20 0 BFADHN CC[C@@H](CN(CC)Cc1ccoc1)OC ZINC000642684703 384062626 /nfs/dbraw/zinc/06/26/26/384062626.db2.gz UBRWCAANCLSYRB-LBPRGKRZSA-N 0 3 211.305 2.527 20 0 BFADHN C[C@@H](CN[C@@H](C)c1cc(F)ccc1F)N(C)C ZINC000038088273 384066401 /nfs/dbraw/zinc/06/64/01/384066401.db2.gz XXGKVGKXXJOHRF-UWVGGRQHSA-N 0 3 242.313 2.566 20 0 BFADHN Clc1ccc(CNC[C@H]2CCCCO2)o1 ZINC000049900141 384068225 /nfs/dbraw/zinc/06/82/25/384068225.db2.gz VJMFEIGDUWUDBB-SECBINFHSA-N 0 3 229.707 2.592 20 0 BFADHN Cc1ccc([C@H](C)NCC[C@H]2CCCO2)o1 ZINC000038095503 384069444 /nfs/dbraw/zinc/06/94/44/384069444.db2.gz RMISFHWAXBHEJW-NWDGAFQWSA-N 0 3 223.316 2.808 20 0 BFADHN CC(C)CCCNCc1ncc(Cl)n1C ZINC000038093918 384071320 /nfs/dbraw/zinc/07/13/20/384071320.db2.gz PICIBXWQQXGUOI-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1cnn(C(C)C)c1 ZINC000090726860 384071970 /nfs/dbraw/zinc/07/19/70/384071970.db2.gz CWDZRBWLKGXOCL-GHMZBOCLSA-N 0 3 241.404 2.866 20 0 BFADHN CC(C)[C@@H](O)C(C)(C)CN[C@@H](C)c1ccco1 ZINC000090811093 384075723 /nfs/dbraw/zinc/07/57/23/384075723.db2.gz DBYZSRTVCAXOCB-WCQYABFASA-N 0 3 239.359 2.973 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1CO[C@@H](C)C1 ZINC000401829504 384076667 /nfs/dbraw/zinc/07/66/67/384076667.db2.gz HWFHXUJWAHKUQZ-SRVKXCTJSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1CO[C@@H](C)C1 ZINC000401829497 384077761 /nfs/dbraw/zinc/07/77/61/384077761.db2.gz HWFHXUJWAHKUQZ-SDDRHHMPSA-N 0 3 235.327 2.523 20 0 BFADHN CC[C@@H](NCCC(C)C)c1nccn1C ZINC000132079424 384078473 /nfs/dbraw/zinc/07/84/73/384078473.db2.gz UAEVDHBCGQQBKZ-LLVKDONJSA-N 0 3 209.337 2.507 20 0 BFADHN COc1ccsc1CN[C@@H]1CCS[C@H]1C ZINC000647257863 384082090 /nfs/dbraw/zinc/08/20/90/384082090.db2.gz BUICBVPUBCSZEC-DTWKUNHWSA-N 0 3 243.397 2.740 20 0 BFADHN CC[C@@H](CSC)N[C@@H](C)c1cccnc1 ZINC000091555507 384099526 /nfs/dbraw/zinc/09/95/26/384099526.db2.gz GGWJHPRHPXMPOO-JQWIXIFHSA-N 0 3 224.373 2.874 20 0 BFADHN Cc1ccc(CN[C@H]2CCO[C@H](C(C)C)C2)o1 ZINC000070361315 384100803 /nfs/dbraw/zinc/10/08/03/384100803.db2.gz JALZPQJDHWIBMX-JSGCOSHPSA-N 0 3 237.343 2.881 20 0 BFADHN Cc1cccc(CCN[C@@H](C)c2ccoc2)n1 ZINC000530470830 384100752 /nfs/dbraw/zinc/10/07/52/384100752.db2.gz LMTRMPUSNFXNMU-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN C[C@H](N[C@H]1CCOC(C)(C)C1)c1ccccn1 ZINC000070345804 384101815 /nfs/dbraw/zinc/10/18/15/384101815.db2.gz YXMABZHVPMMWCN-RYUDHWBXSA-N 0 3 234.343 2.690 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1ccncc1 ZINC000091098410 384103225 /nfs/dbraw/zinc/10/32/25/384103225.db2.gz HBNJNFMPYSXDBV-LBPRGKRZSA-N 0 3 224.373 2.655 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1ncccc1C ZINC000091097775 384104375 /nfs/dbraw/zinc/10/43/75/384104375.db2.gz RSMWWAPBVPIKGI-LBPRGKRZSA-N 0 3 238.400 2.963 20 0 BFADHN C[C@H](NC[C@H]1CCO[C@H](C)C1)c1nccs1 ZINC000309511441 384117004 /nfs/dbraw/zinc/11/70/04/384117004.db2.gz XKWXARHOHGXJBO-VWYCJHECSA-N 0 3 240.372 2.609 20 0 BFADHN CC[C@](C)(CO)NCc1ccc(F)cc1Cl ZINC000070710377 384134536 /nfs/dbraw/zinc/13/45/36/384134536.db2.gz VCGLKKLNYVIAQO-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN C[C@H](N[C@@H]1CCOC(C)(C)C1)c1nccs1 ZINC000070717665 384134841 /nfs/dbraw/zinc/13/48/41/384134841.db2.gz JNEDXAXAOMNQFN-VHSXEESVSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1ccc(C[C@H](C)NCc2ncc[nH]2)s1 ZINC000070716177 384135166 /nfs/dbraw/zinc/13/51/66/384135166.db2.gz DIHQOJKVYIEPFS-VIFPVBQESA-N 0 3 235.356 2.500 20 0 BFADHN FC(F)(F)c1ccc2c(c1)C1(CC1)CNC2 ZINC000091366519 384123608 /nfs/dbraw/zinc/12/36/08/384123608.db2.gz KGGDXKHTQUWZOF-UHFFFAOYSA-N 0 3 227.229 2.840 20 0 BFADHN CC[C@@H](COC)N[C@@H]1CCCc2occc21 ZINC000070623791 384124553 /nfs/dbraw/zinc/12/45/53/384124553.db2.gz KAEDMEWPMAZSKN-CMPLNLGQSA-N 0 3 223.316 2.672 20 0 BFADHN Cc1csc(CN[C@@H]2CC[C@@H](C)C2)n1 ZINC000070643517 384126859 /nfs/dbraw/zinc/12/68/59/384126859.db2.gz XGHWIPVGIAZGGL-PSASIEDQSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1nc(CN2C[C@@H]3CCC[C@H]3C2)c(C)s1 ZINC000336554868 384129133 /nfs/dbraw/zinc/12/91/33/384129133.db2.gz LOIOVJBUKBEFIQ-RYUDHWBXSA-N 0 3 236.384 2.992 20 0 BFADHN CC[C@H](COC)NCc1ccc(F)c(C)c1 ZINC000070657878 384130806 /nfs/dbraw/zinc/13/08/06/384130806.db2.gz JWNHIHKRCDZVDL-GFCCVEGCSA-N 0 3 225.307 2.649 20 0 BFADHN CC[C@@H](COC)NCc1ccc(C)c(F)c1 ZINC000070657879 384130855 /nfs/dbraw/zinc/13/08/55/384130855.db2.gz WOVSJRCCBFCUEA-LBPRGKRZSA-N 0 3 225.307 2.649 20 0 BFADHN CSc1ccc(CN[C@H]2CCCOC2)cc1 ZINC000071011846 384147640 /nfs/dbraw/zinc/14/76/40/384147640.db2.gz NGANTAZMAQDUNB-LBPRGKRZSA-N 0 3 237.368 2.677 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1cccs1 ZINC000071011360 384148159 /nfs/dbraw/zinc/14/81/59/384148159.db2.gz JFAYRBJWYVUUEW-UWVGGRQHSA-N 0 3 211.330 2.578 20 0 BFADHN F[C@@H]1CCN(CCCc2ccc3c(c2)CCO3)C1 ZINC000449388575 384148591 /nfs/dbraw/zinc/14/85/91/384148591.db2.gz AOVHQTYYXPJFGG-CQSZACIVSA-N 0 3 249.329 2.598 20 0 BFADHN C[C@@H](NCCO)c1ccccc1C(C)(C)C ZINC000309452336 384157951 /nfs/dbraw/zinc/15/79/51/384157951.db2.gz MVVROWRJJOBYLF-LLVKDONJSA-N 0 3 221.344 2.627 20 0 BFADHN CCCn1cc(CNCCc2ccsc2)cn1 ZINC000116912881 384158053 /nfs/dbraw/zinc/15/80/53/384158053.db2.gz IONABICZHKMJIP-UHFFFAOYSA-N 0 3 249.383 2.687 20 0 BFADHN CCN(C)Cc1ccccc1N1CCCC1 ZINC000071124790 384151706 /nfs/dbraw/zinc/15/17/06/384151706.db2.gz SCZXSLVNAPBQEN-UHFFFAOYSA-N 0 3 218.344 2.739 20 0 BFADHN Cc1nocc1CN(C1CC1)C1CCCC1 ZINC000560442891 384139806 /nfs/dbraw/zinc/13/98/06/384139806.db2.gz GGZFCACQCNAJLS-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN CC[C@H](CN1CC[C@H]1c1cccc(F)c1)OC ZINC000642692694 384143326 /nfs/dbraw/zinc/14/33/26/384143326.db2.gz NZRURIFNYGEFFW-KGLIPLIRSA-N 0 3 237.318 2.998 20 0 BFADHN CCOc1cccc(CN2CCC[C@@H](OC)C2)c1 ZINC000091772182 384144183 /nfs/dbraw/zinc/14/41/83/384144183.db2.gz UQRXSWXVXPYKPI-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN CO[C@@H]1CCCN(C/C=C/c2ccc(F)cc2)C1 ZINC000091772651 384145731 /nfs/dbraw/zinc/14/57/31/384145731.db2.gz CGGXGAIDFUWUKG-TXTHVTMNSA-N 0 3 249.329 2.950 20 0 BFADHN C[C@@H](NCc1ncccc1F)C1CCCC1 ZINC000090720764 384173421 /nfs/dbraw/zinc/17/34/21/384173421.db2.gz KTQNRXLIPUYRPO-SNVBAGLBSA-N 0 3 222.307 2.889 20 0 BFADHN Cc1cccc(C)c1CN1CC[C@@H](F)C1 ZINC000449396783 384174303 /nfs/dbraw/zinc/17/43/03/384174303.db2.gz SNHLOVBVEMGXPZ-GFCCVEGCSA-N 0 3 207.292 2.847 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@@H]1CC=C(C)CC1 ZINC000560497137 384174453 /nfs/dbraw/zinc/17/44/53/384174453.db2.gz ROWKOXFFHCKOGA-CHWSQXEVSA-N 0 3 237.343 2.513 20 0 BFADHN C[C@H](C1CC1)N(Cc1ccc(CO)o1)C1CC1 ZINC000092009704 384159770 /nfs/dbraw/zinc/15/97/70/384159770.db2.gz HICBVSKOMLJQTP-SNVBAGLBSA-N 0 3 235.327 2.535 20 0 BFADHN CC(=O)Nc1ccccc1CN(C)CCC1CC1 ZINC000092067218 384161573 /nfs/dbraw/zinc/16/15/73/384161573.db2.gz YYLGIOZHSMRPSY-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN C[C@H](NCCN1CCCCCC1)c1ccccn1 ZINC000040767131 384163993 /nfs/dbraw/zinc/16/39/93/384163993.db2.gz XNTHNDWMUHYFSF-AWEZNQCLSA-N 0 3 247.386 2.608 20 0 BFADHN Cc1cnn(C)c1CN1CCC2(CCCC2)CC1 ZINC000336577098 384167175 /nfs/dbraw/zinc/16/71/75/384167175.db2.gz RCERHHBAQSBUFH-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN C[C@@H](NCCc1ccccn1)c1ccc(F)cn1 ZINC000092195067 384167816 /nfs/dbraw/zinc/16/78/16/384167816.db2.gz WAKXDBUUTCBCIJ-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN Cc1c(CN2CCC3(C2)CCCCC3)cnn1C ZINC000336583132 384177142 /nfs/dbraw/zinc/17/71/42/384177142.db2.gz MLCFORMBJAXPHC-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccc(C)o1)C1CC1 ZINC000293793340 384180007 /nfs/dbraw/zinc/18/00/07/384180007.db2.gz UMGRACSXXNWSNI-GXFFZTMASA-N 0 3 223.316 2.664 20 0 BFADHN CCOc1ccccc1CN[C@@H](COC)C1CC1 ZINC000132264691 384180548 /nfs/dbraw/zinc/18/05/48/384180548.db2.gz OXFUHKCCLFHLAO-AWEZNQCLSA-N 0 3 249.354 2.600 20 0 BFADHN CC[C@@H](COC)Nc1cc(C)cc(C)n1 ZINC000132273704 384182478 /nfs/dbraw/zinc/18/24/78/384182478.db2.gz YSWHPAJXRPWBHS-NSHDSACASA-N 0 3 208.305 2.535 20 0 BFADHN COCCN(Cc1ccsc1)CC(C)C ZINC000092563969 384183233 /nfs/dbraw/zinc/18/32/33/384183233.db2.gz WLQCWFCNLYENNB-UHFFFAOYSA-N 0 3 227.373 2.853 20 0 BFADHN CC(C)C1CC(NCc2ccn(C(C)C)n2)C1 ZINC000569543772 384202929 /nfs/dbraw/zinc/20/29/29/384202929.db2.gz DVYYZLZZVILLOK-UHFFFAOYSA-N 0 3 235.375 2.988 20 0 BFADHN COC(=O)c1coc(CN[C@]2(C)CC=CCC2)c1 ZINC000398313597 384204777 /nfs/dbraw/zinc/20/47/77/384204777.db2.gz CBFKXIMMTQUBQX-CQSZACIVSA-N 0 3 249.310 2.655 20 0 BFADHN C[C@H](F)CCN1CCC(F)(F)[C@@H](C)C1 ZINC000336005683 384205475 /nfs/dbraw/zinc/20/54/75/384205475.db2.gz JRXITQLNZLDBDE-IUCAKERBSA-N 0 3 209.255 2.712 20 0 BFADHN CN(C)CC(C)(C)NCc1ccsc1Cl ZINC000312616752 384187388 /nfs/dbraw/zinc/18/73/88/384187388.db2.gz QSKFMHXDCOAGAK-UHFFFAOYSA-N 0 3 246.807 2.831 20 0 BFADHN CC[C@H](C)[C@@H](CNC/C=C\c1ccncc1)OC ZINC000449400208 384190426 /nfs/dbraw/zinc/19/04/26/384190426.db2.gz ARRFQGCXXFXXES-PKFHVXLBSA-N 0 3 248.370 2.746 20 0 BFADHN C[C@@H](NCC[C@H](C)CCO)c1ccccc1F ZINC000296572252 384190823 /nfs/dbraw/zinc/19/08/23/384190823.db2.gz DGMSMMGPGNQGOL-NWDGAFQWSA-N 0 3 239.334 2.885 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1ccsc1 ZINC000050338312 384193781 /nfs/dbraw/zinc/19/37/81/384193781.db2.gz ZMAJUAGMGLZIIQ-GXSJLCMTSA-N 0 3 211.330 2.578 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccsc1)[C@@H]1CCCO1 ZINC000050339693 384193864 /nfs/dbraw/zinc/19/38/64/384193864.db2.gz TUSWFTQATANGNW-UMNHJUIQSA-N 0 3 225.357 2.966 20 0 BFADHN C[C@@H](NC[C@@H]1CCCCO1)c1ccsc1 ZINC000050344778 384193955 /nfs/dbraw/zinc/19/39/55/384193955.db2.gz VQWWRAXYDYEXJD-PWSUYJOCSA-N 0 3 225.357 2.968 20 0 BFADHN CCc1ccc(CN[C@H](C)[C@H]2CCCOC2)nc1 ZINC000389035120 384196545 /nfs/dbraw/zinc/19/65/45/384196545.db2.gz PLVNIOKDVXSDBY-OCCSQVGLSA-N 0 3 248.370 2.549 20 0 BFADHN COCC[C@H](C)N[C@@H](C)c1csc(C)n1 ZINC000081705664 384197429 /nfs/dbraw/zinc/19/74/29/384197429.db2.gz AFBAOLGPXFENEZ-IUCAKERBSA-N 0 3 228.361 2.527 20 0 BFADHN c1ccc2c(c1)nccc2NC[C@H]1CCCO1 ZINC000041720419 384197537 /nfs/dbraw/zinc/19/75/37/384197537.db2.gz KVHHCYCIBKJVSS-LLVKDONJSA-N 0 3 228.295 2.826 20 0 BFADHN CCCCN(CCOC)Cc1cccc(O)c1 ZINC000093323069 384230314 /nfs/dbraw/zinc/23/03/14/384230314.db2.gz XVDOYQBZEQJJNI-UHFFFAOYSA-N 0 3 237.343 2.641 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1csc(C)c1 ZINC000294173052 384230311 /nfs/dbraw/zinc/23/03/11/384230311.db2.gz JJVWPELLLBODLN-WPRPVWTQSA-N 0 3 213.346 2.742 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1csc(C)c1 ZINC000294173047 384230584 /nfs/dbraw/zinc/23/05/84/384230584.db2.gz JJVWPELLLBODLN-PSASIEDQSA-N 0 3 213.346 2.742 20 0 BFADHN CC/C=C/CNCc1cc(F)cc(OC)c1 ZINC000312456546 384232762 /nfs/dbraw/zinc/23/27/62/384232762.db2.gz XSUJJMJDPFJNDY-SNAWJCMRSA-N 0 3 223.291 2.890 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@H]1O)c1ccccc1Cl ZINC000088162604 384233875 /nfs/dbraw/zinc/23/38/75/384233875.db2.gz JZNPTADGDVRKEG-OASPWFOLSA-N 0 3 239.746 2.904 20 0 BFADHN CCOc1cc(CN2CC[C@@H](C)C2)ccc1O ZINC000132481290 384234647 /nfs/dbraw/zinc/23/46/47/384234647.db2.gz HZAFPBOUQGDBGZ-LLVKDONJSA-N 0 3 235.327 2.633 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2occc2C)C1 ZINC000093419379 384235164 /nfs/dbraw/zinc/23/51/64/384235164.db2.gz RPUUXCDQZKRTGF-CYBMUJFWSA-N 0 3 237.343 2.837 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1Cc1cccc2[nH]ccc21 ZINC000093418721 384235577 /nfs/dbraw/zinc/23/55/77/384235577.db2.gz OWYDYDRDSRBHPK-NEPJUHHUSA-N 0 3 244.338 2.777 20 0 BFADHN CN(Cc1ccc(F)c(Cl)c1)CC(C)(C)O ZINC000093414490 384235874 /nfs/dbraw/zinc/23/58/74/384235874.db2.gz YNMOLWPNXRSVBO-UHFFFAOYSA-N 0 3 245.725 2.682 20 0 BFADHN CO[C@H](CC(C)C)CN1CC[C@H](C)[C@H](F)C1 ZINC000642700242 384212708 /nfs/dbraw/zinc/21/27/08/384212708.db2.gz QNDLNDTZRYMPOS-YNEHKIRRSA-N 0 3 231.355 2.727 20 0 BFADHN COC[C@H](NC(C)C)c1ccc(F)c(F)c1 ZINC000132082027 384212930 /nfs/dbraw/zinc/21/29/30/384212930.db2.gz BOTQVPPWCXLNRF-LBPRGKRZSA-N 0 3 229.270 2.650 20 0 BFADHN CSCc1cnc(CNC(C)(C)C)s1 ZINC000294092201 384213663 /nfs/dbraw/zinc/21/36/63/384213663.db2.gz LXEOEGJKMHYLFV-UHFFFAOYSA-N 0 3 230.402 2.894 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1ccccc1F ZINC000132076675 384213820 /nfs/dbraw/zinc/21/38/20/384213820.db2.gz SUNVTYATJJQHOJ-GARJFASQSA-N 0 3 225.307 2.900 20 0 BFADHN CO[C@@H](C)[C@H](C)NC1(c2ccccc2C)CC1 ZINC000647316201 384215792 /nfs/dbraw/zinc/21/57/92/384215792.db2.gz UNRWGQLLEOPGBL-STQMWFEESA-N 0 3 233.355 2.997 20 0 BFADHN CO[C@H](C)[C@@H](C)NC1(c2ccccc2C)CC1 ZINC000647316198 384216353 /nfs/dbraw/zinc/21/63/53/384216353.db2.gz UNRWGQLLEOPGBL-CHWSQXEVSA-N 0 3 233.355 2.997 20 0 BFADHN CCOC[C@H]1CCCN(Cc2ncccc2C)C1 ZINC000093174163 384217124 /nfs/dbraw/zinc/21/71/24/384217124.db2.gz IUIDQGJGMXAVGJ-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCOC2)c(C)c1 ZINC000132110959 384217479 /nfs/dbraw/zinc/21/74/79/384217479.db2.gz KKAIMERUTXOWIZ-CQSZACIVSA-N 0 3 219.328 2.524 20 0 BFADHN COCCCCNC(C)(C)c1nc(C)cs1 ZINC000173429723 384218088 /nfs/dbraw/zinc/21/80/88/384218088.db2.gz PGHYLCCVZKITHZ-UHFFFAOYSA-N 0 3 242.388 2.703 20 0 BFADHN CSC[C@@H]1CCCN1Cc1ncccc1C ZINC000093191203 384219477 /nfs/dbraw/zinc/21/94/77/384219477.db2.gz ICAAXBLDUGSUAK-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CCSC1 ZINC000132192522 384220903 /nfs/dbraw/zinc/22/09/03/384220903.db2.gz SLZKDSXBXXKLRI-GFCCVEGCSA-N 0 3 237.368 2.680 20 0 BFADHN CN(CCOCC1CC1)Cc1cccs1 ZINC000158332446 384221760 /nfs/dbraw/zinc/22/17/60/384221760.db2.gz ACSFRIPMNFWMPT-UHFFFAOYSA-N 0 3 225.357 2.607 20 0 BFADHN CC[C@H](N[C@@H]1CC=CCC1)c1nccn1C ZINC000132261964 384222317 /nfs/dbraw/zinc/22/23/17/384222317.db2.gz DTNSEODXOWDIGO-NEPJUHHUSA-N 0 3 219.332 2.570 20 0 BFADHN CC(C)C[C@@H](NCC[C@H](C)O)c1ccccn1 ZINC000119008789 384222867 /nfs/dbraw/zinc/22/28/67/384222867.db2.gz JHCSUKUNOBHJPM-GXTWGEPZSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCC[C@@H]2CO)o1 ZINC000230682230 259649179 /nfs/dbraw/zinc/64/91/79/259649179.db2.gz YSEQOPWPZAAZEE-MHDGFBEUSA-N 0 3 249.354 2.654 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cn2ccsc2n1 ZINC000132300102 384225345 /nfs/dbraw/zinc/22/53/45/384225345.db2.gz ZPJULDPYUXRZBW-NXEZZACHSA-N 0 3 237.372 2.920 20 0 BFADHN C[C@@H](N[C@@H](C)CC(C)(C)O)c1cccc(O)c1 ZINC000296625944 384227390 /nfs/dbraw/zinc/22/73/90/384227390.db2.gz XDKSLUBXSYUUKB-WDEREUQCSA-N 0 3 237.343 2.592 20 0 BFADHN Cc1ccc([C@@H](C)NCCn2cccn2)cc1C ZINC000042538982 384227773 /nfs/dbraw/zinc/22/77/73/384227773.db2.gz MHOQUDSIWCHNKG-CQSZACIVSA-N 0 3 243.354 2.851 20 0 BFADHN Cc1ccc([C@H](C)NCCn2cccn2)c(C)c1 ZINC000042538897 384228783 /nfs/dbraw/zinc/22/87/83/384228783.db2.gz OMIPHGPVXARUCF-AWEZNQCLSA-N 0 3 243.354 2.851 20 0 BFADHN COC[C@H]1CCCN(Cc2ccsc2)C1 ZINC000093338108 384229501 /nfs/dbraw/zinc/22/95/01/384229501.db2.gz CHWIYDJEADBSPK-NSHDSACASA-N 0 3 225.357 2.607 20 0 BFADHN Cc1ccc(CN2CCS[C@@H](C)[C@@H]2C)o1 ZINC000093500075 384245936 /nfs/dbraw/zinc/24/59/36/384245936.db2.gz JMOBTGUXPFJEJO-QWRGUYRKSA-N 0 3 225.357 2.914 20 0 BFADHN Cc1ccc(CNCCOC(C)C)cc1F ZINC000132734955 384247271 /nfs/dbraw/zinc/24/72/71/384247271.db2.gz HUBJGHYTBVQNQU-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN C[C@H](NC[C@H]1CCCCO1)c1cccc(O)c1 ZINC000042812558 384248492 /nfs/dbraw/zinc/24/84/92/384248492.db2.gz BQUQFSPQODQGPM-SMDDNHRTSA-N 0 3 235.327 2.612 20 0 BFADHN CC[C@@H](CNCc1ccc(C)o1)N1CCCC1 ZINC000119276234 384252327 /nfs/dbraw/zinc/25/23/27/384252327.db2.gz SUCSETLGEFKGCY-ZDUSSCGKSA-N 0 3 236.359 2.552 20 0 BFADHN CC[C@H]1CCC[C@@H](CN2CCO[C@@H](C)C2)C1 ZINC000560603391 384252402 /nfs/dbraw/zinc/25/24/02/384252402.db2.gz HOKKUCNJIPYMGZ-MELADBBJSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@@H](NC[C@@H](C)CC(F)F)c1ccn(C)n1 ZINC000647319105 384255020 /nfs/dbraw/zinc/25/50/20/384255020.db2.gz MANVTSXUPUXENV-VHSXEESVSA-N 0 3 245.317 2.752 20 0 BFADHN C/C=C/CNCc1c(C)nc2sc(C)cn12 ZINC000394958252 384255229 /nfs/dbraw/zinc/25/52/29/384255229.db2.gz MCEMSDWHEGMYLI-SNAWJCMRSA-N 0 3 235.356 2.678 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@H]1CCCC[C@@H]1O ZINC000427975770 384257838 /nfs/dbraw/zinc/25/78/38/384257838.db2.gz SEAUFZRIFYDOBK-XBFCOCLRSA-N 0 3 248.370 2.598 20 0 BFADHN Cc1c2ccccc2oc1CNCC1(O)CCC1 ZINC000569568798 384259425 /nfs/dbraw/zinc/25/94/25/384259425.db2.gz PAQKBIMXKLAQET-UHFFFAOYSA-N 0 3 245.322 2.746 20 0 BFADHN CC[C@H]1CN(C)CCN1Cc1ccc(C)cc1C ZINC000560618281 384265413 /nfs/dbraw/zinc/26/54/13/384265413.db2.gz CQLBXCDQYKANRX-INIZCTEOSA-N 0 3 246.398 2.829 20 0 BFADHN CC[C@H](CN[C@@H](C)c1cccc(OC)c1)OC ZINC000290244411 384265848 /nfs/dbraw/zinc/26/58/48/384265848.db2.gz SVODTAOAULKBEF-WCQYABFASA-N 0 3 237.343 2.771 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](C)CC(F)F)nn1C ZINC000647319836 384266548 /nfs/dbraw/zinc/26/65/48/384266548.db2.gz MNYFCZVRYKAEDB-WPRPVWTQSA-N 0 3 245.317 2.670 20 0 BFADHN C[C@H](CN[C@@H]1C[C@H](C)n2ccnc21)CC(F)F ZINC000647319849 384267509 /nfs/dbraw/zinc/26/75/09/384267509.db2.gz NASXUMAQUJEWRH-LPEHRKFASA-N 0 3 243.301 2.770 20 0 BFADHN CC[C@H](C)CCNCc1cc(C)ns1 ZINC000404368505 384268456 /nfs/dbraw/zinc/26/84/56/384268456.db2.gz QECIVJZWCACCQU-VIFPVBQESA-N 0 3 212.362 2.977 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](C)CC(F)F)no1 ZINC000647320036 384269270 /nfs/dbraw/zinc/26/92/70/384269270.db2.gz SIRUJYJUGMJKJD-IONNQARKSA-N 0 3 232.274 2.925 20 0 BFADHN Cc1ccc(F)c(CN(C)C[C@H]2CCOC2)c1 ZINC000093839643 384272811 /nfs/dbraw/zinc/27/28/11/384272811.db2.gz CGMFFZKKIBSMGB-GFCCVEGCSA-N 0 3 237.318 2.602 20 0 BFADHN C[C@@H](CC1CC1)N[C@H]1COc2ccccc21 ZINC000133643172 384305298 /nfs/dbraw/zinc/30/52/98/384305298.db2.gz ANSXLOIWPAHKQK-GWCFXTLKSA-N 0 3 217.312 2.898 20 0 BFADHN CC[C@@H]1CN(CCCOC(C)C)CCS1 ZINC000174357056 384322393 /nfs/dbraw/zinc/32/23/93/384322393.db2.gz VSVAPIBXXXLMQC-GFCCVEGCSA-N 0 3 231.405 2.629 20 0 BFADHN CC[C@H](NCc1cccc(F)c1)[C@@H]1CCCO1 ZINC000119846639 384308358 /nfs/dbraw/zinc/30/83/58/384308358.db2.gz OKVSAEJSTJVCQU-KBPBESRZSA-N 0 3 237.318 2.873 20 0 BFADHN Cc1nc(CNC[C@@H](C)CC(F)F)cs1 ZINC000647225721 384310818 /nfs/dbraw/zinc/31/08/18/384310818.db2.gz WUTHUBIULVBRBT-ZETCQYMHSA-N 0 3 234.315 2.832 20 0 BFADHN CN(CCOCC1CC1)CCc1ccccc1 ZINC000159338279 384322529 /nfs/dbraw/zinc/32/25/29/384322529.db2.gz HPJABGYCKZKWGL-UHFFFAOYSA-N 0 3 233.355 2.588 20 0 BFADHN Cc1cnn(C)c1CNC/C=C/c1ccccc1 ZINC000352735469 384315995 /nfs/dbraw/zinc/31/59/95/384315995.db2.gz CEEHSCPVEBUVTA-RMKNXTFCSA-N 0 3 241.338 2.532 20 0 BFADHN CC(C)[C@@H](CO)N[C@H](C)c1cc(F)cc(F)c1 ZINC000094827842 384316748 /nfs/dbraw/zinc/31/67/48/384316748.db2.gz XYCFPIYAPIOTOM-NOZJJQNGSA-N 0 3 243.297 2.632 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1cc(F)cc(F)c1 ZINC000094827548 384316952 /nfs/dbraw/zinc/31/69/52/384316952.db2.gz XQUVYXZJGVAOJW-RNCFNFMXSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@@H](CCCO)N[C@@H](C)c1cc(F)ccc1F ZINC000094942028 384319265 /nfs/dbraw/zinc/31/92/65/384319265.db2.gz OZEFFDSUDOFBOM-UWVGGRQHSA-N 0 3 243.297 2.776 20 0 BFADHN CCc1ccc(CNCCc2c(C)noc2C)o1 ZINC000133231156 384279209 /nfs/dbraw/zinc/27/92/09/384279209.db2.gz VXCCZXFWADIJGN-UHFFFAOYSA-N 0 3 248.326 2.779 20 0 BFADHN CC[C@H](CNCc1cc(Cl)ccc1F)OC ZINC000289871777 384283896 /nfs/dbraw/zinc/28/38/96/384283896.db2.gz RESVQJUHKWRSAD-LLVKDONJSA-N 0 3 245.725 2.994 20 0 BFADHN CCC[C@H]1CCCC[C@H]1NCc1ncc[nH]1 ZINC000066593772 384286055 /nfs/dbraw/zinc/28/60/55/384286055.db2.gz GQYRJENFWCKQBR-NWDGAFQWSA-N 0 3 221.348 2.858 20 0 BFADHN CCC[C@H](C)CN[C@H](COC)c1ccco1 ZINC000133361667 384288581 /nfs/dbraw/zinc/28/85/81/384288581.db2.gz LJZIEGGTQAACDX-NWDGAFQWSA-N 0 3 225.332 2.993 20 0 BFADHN C[C@@H](CNCc1ccco1)CC(F)F ZINC000647224965 384289250 /nfs/dbraw/zinc/28/92/50/384289250.db2.gz DFCAARRLDUUNDI-MRVPVSSYSA-N 0 3 203.232 2.661 20 0 BFADHN CC(C)N(C)c1ccc(CN2[C@H](C)C[C@@H]2C)cn1 ZINC000525997053 384290208 /nfs/dbraw/zinc/29/02/08/384290208.db2.gz UKPYPGWUKCARBE-BETUJISGSA-N 0 3 247.386 2.909 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CCC(C)(C)CC1 ZINC000168676403 384290377 /nfs/dbraw/zinc/29/03/77/384290377.db2.gz OWEOXXMGLCDYQR-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)N(C)c1ccc(CN2[C@@H](C)C[C@@H]2C)cn1 ZINC000525997055 384290469 /nfs/dbraw/zinc/29/04/69/384290469.db2.gz UKPYPGWUKCARBE-STQMWFEESA-N 0 3 247.386 2.909 20 0 BFADHN C[C@H](NC[C@H](O)C1CCCCC1)c1ccccn1 ZINC000158977345 384291499 /nfs/dbraw/zinc/29/14/99/384291499.db2.gz VGWXHHKYWYCUOR-WFASDCNBSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H](NCCc1ccccc1O)c1ccccn1 ZINC000158938967 384291766 /nfs/dbraw/zinc/29/17/66/384291766.db2.gz ILBVORCJIYIINI-GFCCVEGCSA-N 0 3 242.322 2.681 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1cc(C)c(C)o1 ZINC000282469409 384292229 /nfs/dbraw/zinc/29/22/29/384292229.db2.gz GJVJXPMUWQIQOY-VHSXEESVSA-N 0 3 211.305 2.582 20 0 BFADHN C[C@H](CO)CN[C@@H](C)c1ccccc1Cl ZINC000083218283 384292610 /nfs/dbraw/zinc/29/26/10/384292610.db2.gz PFCZMEQXFQBMRE-UWVGGRQHSA-N 0 3 227.735 2.619 20 0 BFADHN CCC[C@H](NCCN1CCCC1)c1ccccn1 ZINC000179460448 384292738 /nfs/dbraw/zinc/29/27/38/384292738.db2.gz GQZGNLGFXGYYHX-AWEZNQCLSA-N 0 3 247.386 2.608 20 0 BFADHN C[C@@H](NC[C@H](C)CO)c1ccccc1Cl ZINC000083218287 384293322 /nfs/dbraw/zinc/29/33/22/384293322.db2.gz PFCZMEQXFQBMRE-VHSXEESVSA-N 0 3 227.735 2.619 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@@H]1C)c1ccc(F)cc1 ZINC000133949756 384323077 /nfs/dbraw/zinc/32/30/77/384323077.db2.gz HMPVCKDWUPMINN-OPQQBVKSSA-N 0 3 223.291 2.654 20 0 BFADHN CCn1nccc1CN([C@H](C)C(C)C)C1CC1 ZINC000179496154 384295781 /nfs/dbraw/zinc/29/57/81/384295781.db2.gz XGALKYJZRTZAQO-GFCCVEGCSA-N 0 3 235.375 2.912 20 0 BFADHN C[C@@H](NCCc1cccnc1)c1cncc(F)c1 ZINC000133532253 384297375 /nfs/dbraw/zinc/29/73/75/384297375.db2.gz SQQVNIKKRLKPGI-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN c1ccc(CN[C@@H]2CCOC3(CCCC3)C2)nc1 ZINC000094366458 384297314 /nfs/dbraw/zinc/29/73/14/384297314.db2.gz BDWBHOOPBBPNOA-CYBMUJFWSA-N 0 3 246.354 2.663 20 0 BFADHN CCCC(O)(CCC)CNCc1cccnc1 ZINC000119709583 384298497 /nfs/dbraw/zinc/29/84/97/384298497.db2.gz UHAUBHFLHNZKBA-UHFFFAOYSA-N 0 3 236.359 2.503 20 0 BFADHN CCCCNCc1cc(F)c(F)cc1F ZINC000083348906 384298616 /nfs/dbraw/zinc/29/86/16/384298616.db2.gz PZTPVMDAUPVFTL-UHFFFAOYSA-N 0 3 217.234 2.994 20 0 BFADHN CC1(C)CCCC[C@@H]1NCc1ccc(CO)o1 ZINC000094323916 384299190 /nfs/dbraw/zinc/29/91/90/384299190.db2.gz JXPRKHLBEOJAJY-ZDUSSCGKSA-N 0 3 237.343 2.830 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@]2(C)CCOC2)o1 ZINC000120203568 384338298 /nfs/dbraw/zinc/33/82/98/384338298.db2.gz OPMQWBCFEXHSCC-SCDSUCTJSA-N 0 3 235.327 2.672 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1coc2ccccc12 ZINC000134238986 384340176 /nfs/dbraw/zinc/34/01/76/384340176.db2.gz REKFIQFLJCGZQK-GWCFXTLKSA-N 0 3 231.295 2.700 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1coc2ccccc12 ZINC000134238925 384341212 /nfs/dbraw/zinc/34/12/12/384341212.db2.gz REKFIQFLJCGZQK-ZWNOBZJWSA-N 0 3 231.295 2.700 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CO[C@@H](C)C2)cc1F ZINC000401970852 384356352 /nfs/dbraw/zinc/35/63/52/384356352.db2.gz MAOPXBWZHBMNNR-GVXVVHGQSA-N 0 3 237.318 2.962 20 0 BFADHN C[C@H](N[C@H]1CC=CCC1)c1ccccn1 ZINC000084066909 384360285 /nfs/dbraw/zinc/36/02/85/384360285.db2.gz HCPHQNMPVGMDGB-RYUDHWBXSA-N 0 3 202.301 2.841 20 0 BFADHN CC[C@H](O)[C@H](CC)NCc1ccc(F)c(F)c1 ZINC000313126590 384361942 /nfs/dbraw/zinc/36/19/42/384361942.db2.gz YQPMARHVAMOPQC-STQMWFEESA-N 0 3 243.297 2.604 20 0 BFADHN Cc1cccnc1CNC1CC2(CCC2)C1 ZINC000335600913 384367710 /nfs/dbraw/zinc/36/77/10/384367710.db2.gz ZSZWKPPRXHFFSI-UHFFFAOYSA-N 0 3 216.328 2.812 20 0 BFADHN CC(C)(C)[C@@H]1CC[C@H]1[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000517509960 384329724 /nfs/dbraw/zinc/32/97/24/384329724.db2.gz NWQMSGVVJIKFOX-GHMZBOCLSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)(C)[C@@H]1CC[C@H]1NCc1nnc(C2CC2)[nH]1 ZINC000517509960 384329730 /nfs/dbraw/zinc/32/97/30/384329730.db2.gz NWQMSGVVJIKFOX-GHMZBOCLSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)CCOCCN[C@@H](C)c1ccccn1 ZINC000044387424 384330959 /nfs/dbraw/zinc/33/09/59/384330959.db2.gz RYVMYYHBJOCXKA-ZDUSSCGKSA-N 0 3 236.359 2.795 20 0 BFADHN CCOc1cccc([C@H](C)N[C@H]2CCO[C@@H]2C)c1 ZINC000120678516 384373237 /nfs/dbraw/zinc/37/32/37/384373237.db2.gz BJUOEESJOVDXMC-ZOWXZIJZSA-N 0 3 249.354 2.913 20 0 BFADHN CCCc1nc(CSCCN(C)C)cs1 ZINC000120192203 384333953 /nfs/dbraw/zinc/33/39/53/384333953.db2.gz OLVXNAMUNNCUJC-UHFFFAOYSA-N 0 3 244.429 2.890 20 0 BFADHN Cc1ccoc1CN(C)C[C@@H]1CCCC[C@@H]1O ZINC000305787120 384393279 /nfs/dbraw/zinc/39/32/79/384393279.db2.gz ADMNWRZDVNTPNH-STQMWFEESA-N 0 3 237.343 2.571 20 0 BFADHN CC[C@@H](COC)N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000449429980 384393520 /nfs/dbraw/zinc/39/35/20/384393520.db2.gz YCGDXYBSXGYWKV-MBNYWOFBSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCC12CCC2 ZINC000335620167 384397917 /nfs/dbraw/zinc/39/79/17/384397917.db2.gz LXQYYLIPDPKHCD-LBPRGKRZSA-N 0 3 220.316 2.714 20 0 BFADHN CCc1ncc(CN(C)[C@@H](C)C(C)(C)C)cn1 ZINC000560888476 384397958 /nfs/dbraw/zinc/39/79/58/384397958.db2.gz VGMRSXMBDVWPQQ-NSHDSACASA-N 0 3 235.375 2.905 20 0 BFADHN Cc1nocc1CNC1CCC(F)(F)CC1 ZINC000334223108 384399833 /nfs/dbraw/zinc/39/98/33/384399833.db2.gz WMDLFDSXDIJOEJ-UHFFFAOYSA-N 0 3 230.258 2.651 20 0 BFADHN COC[C@@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC000045656070 384400704 /nfs/dbraw/zinc/40/07/04/384400704.db2.gz JDTBBXGTTUEZGM-VHSXEESVSA-N 0 3 243.297 2.898 20 0 BFADHN CCc1ccc([C@@H](C)NCCOC(C)C)o1 ZINC000134949251 384401876 /nfs/dbraw/zinc/40/18/76/384401876.db2.gz WNOMUOIEYVOSNY-LLVKDONJSA-N 0 3 225.332 2.918 20 0 BFADHN COC[C@H](C)NCc1c(C)oc2ccccc21 ZINC000121231407 384402735 /nfs/dbraw/zinc/40/27/35/384402735.db2.gz MIQPYAHRPRQNQT-JTQLQIEISA-N 0 3 233.311 2.866 20 0 BFADHN COC[C@@H](C)CNCc1ccc(F)cc1Cl ZINC000045696721 384403499 /nfs/dbraw/zinc/40/34/99/384403499.db2.gz GXKUCNJNXCOGMG-VIFPVBQESA-N 0 3 245.725 2.851 20 0 BFADHN Cc1csc([C@H](C)CNCc2ccco2)n1 ZINC000134984669 384404286 /nfs/dbraw/zinc/40/42/86/384404286.db2.gz NFCOYLQKDMWTNE-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN Cc1nnc([C@H](C)NC[C@@H]2CC=CCC2)s1 ZINC000135000438 384406400 /nfs/dbraw/zinc/40/64/00/384406400.db2.gz RROSCUGYIIAMHQ-GXSJLCMTSA-N 0 3 237.372 2.853 20 0 BFADHN CN(Cc1nccs1)C(C1CC1)C1CC1 ZINC000135012910 384408165 /nfs/dbraw/zinc/40/81/65/384408165.db2.gz DSKPVULRJKNCSW-UHFFFAOYSA-N 0 3 222.357 2.764 20 0 BFADHN CS[C@H](C)CN[C@H]1CCCc2occc21 ZINC000169107102 384411637 /nfs/dbraw/zinc/41/16/37/384411637.db2.gz CMCCJQZRYBXAQW-KOLCDFICSA-N 0 3 225.357 2.998 20 0 BFADHN Cc1ccoc1CNCC1(O)CCCCCC1 ZINC000135188943 384421819 /nfs/dbraw/zinc/42/18/19/384421819.db2.gz JSANSRBBQKUSSF-UHFFFAOYSA-N 0 3 237.343 2.763 20 0 BFADHN CCCn1nc(C)c(CN2CC(C3CC3)C2)c1C ZINC000643345648 384422429 /nfs/dbraw/zinc/42/24/29/384422429.db2.gz DENZOUBCTXMCPD-UHFFFAOYSA-N 0 3 247.386 2.752 20 0 BFADHN C[C@@H](NC1CCC1)c1nc2c(s1)CCC2 ZINC000335607885 384385786 /nfs/dbraw/zinc/38/57/86/384385786.db2.gz LEYYQJPXFLFHKN-MRVPVSSYSA-N 0 3 222.357 2.835 20 0 BFADHN Cc1ccoc1CNCCCCOC(C)C ZINC000134796215 384390240 /nfs/dbraw/zinc/39/02/40/384390240.db2.gz GJVYCEQUMKMGFC-UHFFFAOYSA-N 0 3 225.332 2.883 20 0 BFADHN CCc1ccc(CN(C)[C@@H](C)C2CC2)nc1 ZINC000561017663 384447331 /nfs/dbraw/zinc/44/73/31/384447331.db2.gz BCUQESDDWUOQDL-NSHDSACASA-N 0 3 218.344 2.874 20 0 BFADHN CC(C)[C@@H](O)CN[C@@H](C)c1ccc(F)c(F)c1 ZINC000135465505 384449293 /nfs/dbraw/zinc/44/92/93/384449293.db2.gz BZVQQWURGPKPRS-ZANVPECISA-N 0 3 243.297 2.632 20 0 BFADHN CCC[C@H](CCO)CN[C@@H](C)c1cncs1 ZINC000122039317 384453993 /nfs/dbraw/zinc/45/39/93/384453993.db2.gz CEOHCUDZCANOJX-WDEREUQCSA-N 0 3 242.388 2.592 20 0 BFADHN CN(CCC1CC1)Cc1cccc2c1OCCO2 ZINC000135285501 384432021 /nfs/dbraw/zinc/43/20/21/384432021.db2.gz SILYNAJLVLGPRC-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2ccccn2)C1(C)C ZINC000160836158 384439845 /nfs/dbraw/zinc/43/98/45/384439845.db2.gz WRODRGPJNJCPGP-MRVWCRGKSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@H](CNCc1ccncc1F)CC(F)F ZINC000647227098 384440839 /nfs/dbraw/zinc/44/08/39/384440839.db2.gz UFRDPJYKXZKMET-QMMMGPOBSA-N 0 3 232.249 2.602 20 0 BFADHN Cc1ccc(CNCc2cnccc2C)cc1 ZINC000135432445 384443427 /nfs/dbraw/zinc/44/34/27/384443427.db2.gz LGMMITNNWSMYHX-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN Cc1ccc(CN[C@H](C)c2cn[nH]c2)c(C)c1 ZINC000229882288 384459957 /nfs/dbraw/zinc/45/99/57/384459957.db2.gz VTXUVDSCIXLUNI-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN CC[C@H](N)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC000036871230 384460183 /nfs/dbraw/zinc/46/01/83/384460183.db2.gz VSUHBJZUZFTJKI-VIFPVBQESA-N 0 3 247.125 2.669 20 0 BFADHN C[C@H](O)CCNCc1cccc(Cl)c1Cl ZINC000078781724 384465043 /nfs/dbraw/zinc/46/50/43/384465043.db2.gz JHAPAJHHDOAJRX-QMMMGPOBSA-N 0 3 248.153 2.854 20 0 BFADHN CCN(C[C@@H]1CCCO1)[C@@H](C)c1cccc(O)c1 ZINC000135793305 384465736 /nfs/dbraw/zinc/46/57/36/384465736.db2.gz QEJBJZVGBTWCBL-WFASDCNBSA-N 0 3 249.354 2.954 20 0 BFADHN C[C@H](O)CCNCc1cc(Cl)cc(Cl)c1 ZINC000078781542 384466123 /nfs/dbraw/zinc/46/61/23/384466123.db2.gz XFGRZBKLBKDYDW-QMMMGPOBSA-N 0 3 248.153 2.854 20 0 BFADHN CCCCN(Cc1ccncc1)C1CC1 ZINC000122274507 384469141 /nfs/dbraw/zinc/46/91/41/384469141.db2.gz AZFGAEFOFOHDSA-UHFFFAOYSA-N 0 3 204.317 2.846 20 0 BFADHN COC[C@@H](N[C@@H](C)CC1CC1)c1ccco1 ZINC000122306815 384472342 /nfs/dbraw/zinc/47/23/42/384472342.db2.gz VBUDBHPBLYCPCR-CMPLNLGQSA-N 0 3 223.316 2.745 20 0 BFADHN c1nc(CN[C@@H]2CCCC23CCC3)cs1 ZINC000334756322 384474211 /nfs/dbraw/zinc/47/42/11/384474211.db2.gz ILJWUEGBHOUXES-LLVKDONJSA-N 0 3 222.357 2.956 20 0 BFADHN CC[C@H](C)CN1CCC[C@H]1C(=O)OC(C)(C)C ZINC000148951092 384475095 /nfs/dbraw/zinc/47/50/95/384475095.db2.gz LZNDXCPZGJAYPB-RYUDHWBXSA-N 0 3 241.375 2.839 20 0 BFADHN CO[C@@H](C)CCNCc1ccccc1Cl ZINC000263767594 384495895 /nfs/dbraw/zinc/49/58/95/384495895.db2.gz CBMIOVPPQVMDMX-JTQLQIEISA-N 0 3 227.735 2.855 20 0 BFADHN CO[C@H](C)CCNCc1ccccc1Cl ZINC000263767597 384496128 /nfs/dbraw/zinc/49/61/28/384496128.db2.gz CBMIOVPPQVMDMX-SNVBAGLBSA-N 0 3 227.735 2.855 20 0 BFADHN C[C@H](NC[C@H](CO)c1ccccc1)c1ccco1 ZINC000161522947 384499389 /nfs/dbraw/zinc/49/93/89/384499389.db2.gz SBRRKVQRXBASBV-GXTWGEPZSA-N 0 3 245.322 2.706 20 0 BFADHN COC(CN(C)[C@@H](C)c1ccc(C)cc1)OC ZINC000136740740 384506305 /nfs/dbraw/zinc/50/63/05/384506305.db2.gz HTBWKPMWVKYCNL-LBPRGKRZSA-N 0 3 237.343 2.607 20 0 BFADHN Cc1ccc(F)c(CN[C@@](C)(CO)C(C)C)c1 ZINC000353079535 384506350 /nfs/dbraw/zinc/50/63/50/384506350.db2.gz JUDNWMUDDHYQHT-AWEZNQCLSA-N 0 3 239.334 2.631 20 0 BFADHN CCC[C@H]1CCCN(Cc2ccc(N)nc2)C1 ZINC000647517271 384486506 /nfs/dbraw/zinc/48/65/06/384486506.db2.gz BDSRFZGZYUXKKN-LBPRGKRZSA-N 0 3 233.359 2.506 20 0 BFADHN CCOCCN[C@@H](C)c1cccc(C)c1 ZINC000037269196 384491276 /nfs/dbraw/zinc/49/12/76/384491276.db2.gz QIOIKRZKAZTUOB-LBPRGKRZSA-N 0 3 207.317 2.682 20 0 BFADHN CC[C@H](N[C@@H](C)CN(C)C)c1ccccc1F ZINC000122576655 384491455 /nfs/dbraw/zinc/49/14/55/384491455.db2.gz COXMCOTULRWONP-FZMZJTMJSA-N 0 3 238.350 2.817 20 0 BFADHN C[C@H](N[C@@H]1CCCSC1)c1cncc(F)c1 ZINC000122550068 384492681 /nfs/dbraw/zinc/49/26/81/384492681.db2.gz PRHXKDYFOSIFSC-JOYOIKCWSA-N 0 3 240.347 2.767 20 0 BFADHN Cn1cnc(CN[C@H]2CCC[C@H]2C(C)(C)C)c1 ZINC000642891119 384494266 /nfs/dbraw/zinc/49/42/66/384494266.db2.gz CXZURBMQQPGAMY-OLZOCXBDSA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cccc(C(N)=O)c1 ZINC000047461398 384512582 /nfs/dbraw/zinc/51/25/82/384512582.db2.gz YHNOZFLHVOZPMY-CQSZACIVSA-N 0 3 246.354 2.550 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cncn2C)[C@@H]1C(C)C ZINC000449550846 384513415 /nfs/dbraw/zinc/51/34/15/384513415.db2.gz DZKVXZHWQQRWMP-UONOGXRCSA-N 0 3 235.375 2.533 20 0 BFADHN c1csc(CN[C@@H]2CCCC23CCC3)n1 ZINC000334758944 384516699 /nfs/dbraw/zinc/51/66/99/384516699.db2.gz XGSOHMRZGVOYCH-SNVBAGLBSA-N 0 3 222.357 2.956 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@@H](C)CCO ZINC000122905442 384519064 /nfs/dbraw/zinc/51/90/64/384519064.db2.gz AKSQEVMTABUOEK-NWDGAFQWSA-N 0 3 237.343 2.507 20 0 BFADHN C[C@@]1(NCc2cc(Cl)cs2)CCOC1 ZINC000229448214 384524516 /nfs/dbraw/zinc/52/45/16/384524516.db2.gz RNOSHZYXCGNPDT-SNVBAGLBSA-N 0 3 231.748 2.670 20 0 BFADHN OCCCN1CCC(=Cc2cccc(F)c2)CC1 ZINC000533540049 384526208 /nfs/dbraw/zinc/52/62/08/384526208.db2.gz PTVVLXOGJVHWQL-UHFFFAOYSA-N 0 3 249.329 2.687 20 0 BFADHN CCc1cccc(NC(=O)[C@@H](N)CC(C)C)c1 ZINC000019517896 384529018 /nfs/dbraw/zinc/52/90/18/384529018.db2.gz ATSOZOMFAMQYJX-ZDUSSCGKSA-N 0 3 234.343 2.561 20 0 BFADHN Cc1cnc(NCCCN2CCCCC2)s1 ZINC000309706495 384542045 /nfs/dbraw/zinc/54/20/45/384542045.db2.gz ORMZAMHPCSHYKO-UHFFFAOYSA-N 0 3 239.388 2.739 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3ccc(F)cc3)CC2)CO1 ZINC000647180758 384543627 /nfs/dbraw/zinc/54/36/27/384543627.db2.gz STOBECFFRMHWSN-ZWNOBZJWSA-N 0 3 235.302 2.582 20 0 BFADHN CCOCCN[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000161918107 384543697 /nfs/dbraw/zinc/54/36/97/384543697.db2.gz YUARSVKZHNJXMC-QMMMGPOBSA-N 0 3 247.260 2.791 20 0 BFADHN CC[C@]1(C)CC[N@H+](Cc2nc(C)ccc2[O-])C1 ZINC000354108148 384544101 /nfs/dbraw/zinc/54/41/01/384544101.db2.gz UJXCOZUBBONALL-CQSZACIVSA-N 0 3 234.343 2.718 20 0 BFADHN CC[C@]1(C)CC[N@@H+](Cc2nc(C)ccc2[O-])C1 ZINC000354108148 384544106 /nfs/dbraw/zinc/54/41/06/384544106.db2.gz UJXCOZUBBONALL-CQSZACIVSA-N 0 3 234.343 2.718 20 0 BFADHN C[C@H](CN(C)CCCF)c1nccs1 ZINC000183963734 384544982 /nfs/dbraw/zinc/54/49/82/384544982.db2.gz BLKAQMKUZUJQJO-SECBINFHSA-N 0 3 216.325 2.538 20 0 BFADHN CSCCN(C)Cc1sccc1C ZINC000171100280 384548441 /nfs/dbraw/zinc/54/84/41/384548441.db2.gz ZVIQOKVBCLWFGT-UHFFFAOYSA-N 0 3 215.387 2.851 20 0 BFADHN C[C@@H](CCc1ccsc1)NCCF ZINC000308265217 384548598 /nfs/dbraw/zinc/54/85/98/384548598.db2.gz PMEDXYKFUAXLFJ-VIFPVBQESA-N 0 3 201.310 2.628 20 0 BFADHN CCc1ccc([C@H](C)NCCCn2ccnc2)o1 ZINC000151162143 384549010 /nfs/dbraw/zinc/54/90/10/384549010.db2.gz IJPPVAWLUCLQIO-LBPRGKRZSA-N 0 3 247.342 2.779 20 0 BFADHN c1nn2ccccc2c1CN[C@@H]1CC12CCCC2 ZINC000335878053 384549101 /nfs/dbraw/zinc/54/91/01/384549101.db2.gz NCKUKUBESREBJE-CQSZACIVSA-N 0 3 241.338 2.757 20 0 BFADHN c1ccc2oc(NCCN3CCCCC3)nc2c1 ZINC000049575237 384551051 /nfs/dbraw/zinc/55/10/51/384551051.db2.gz NIXBBWXYCRASMZ-UHFFFAOYSA-N 0 3 245.326 2.726 20 0 BFADHN COC1(CCN(C)Cc2cccc(O)c2)CCC1 ZINC000559107022 384552811 /nfs/dbraw/zinc/55/28/11/384552811.db2.gz UOLOMLUDLGAFDB-UHFFFAOYSA-N 0 3 249.354 2.783 20 0 BFADHN c1nc2ccccn2c1CN1C[C@@H]2CCC[C@H]2C1 ZINC000162015585 384559880 /nfs/dbraw/zinc/55/98/80/384559880.db2.gz WLSKAGWNLXXQCF-STQMWFEESA-N 0 3 241.338 2.566 20 0 BFADHN Cc1ccc([C@H](C)NCCc2ccccn2)o1 ZINC000019916644 384560564 /nfs/dbraw/zinc/56/05/64/384560564.db2.gz WYPORQMAKDRBCL-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CSc3ccccc32)CO1 ZINC000402199150 384560903 /nfs/dbraw/zinc/56/09/03/384560903.db2.gz GPLGKHDVAFVAGP-CKYFFXLPSA-N 0 3 235.352 2.600 20 0 BFADHN Cc1nocc1CN1CCC[C@@H](C)[C@@H]1C ZINC000295508034 384561929 /nfs/dbraw/zinc/56/19/29/384561929.db2.gz YYAVTUIBRFDDJG-KOLCDFICSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@@H](CCc1ccccc1)NCc1ncc[nH]1 ZINC000049714701 384562402 /nfs/dbraw/zinc/56/24/02/384562402.db2.gz SMMMXLZKRCOLQE-LBPRGKRZSA-N 0 3 229.327 2.521 20 0 BFADHN c1cn2cc(CNC3CCCCC3)nc2s1 ZINC000097602904 384537174 /nfs/dbraw/zinc/53/71/74/384537174.db2.gz QCDZZUMPDHMDPY-UHFFFAOYSA-N 0 3 235.356 2.818 20 0 BFADHN C[C@H](NCc1ccn(C)c1)c1ccsc1 ZINC000085604258 384539585 /nfs/dbraw/zinc/53/95/85/384539585.db2.gz DDQPCXRZLTYOOS-JTQLQIEISA-N 0 3 220.341 2.937 20 0 BFADHN C[C@@H](NCc1ncc[nH]1)[C@H](C)c1ccccc1 ZINC000080247904 384539676 /nfs/dbraw/zinc/53/96/76/384539676.db2.gz GQLLAVYQPRFIHM-NWDGAFQWSA-N 0 3 229.327 2.692 20 0 BFADHN Cc1ccc(CNCc2ccn(C)c2)s1 ZINC000085604138 384539961 /nfs/dbraw/zinc/53/99/61/384539961.db2.gz MZHZEPJORYRLFJ-UHFFFAOYSA-N 0 3 220.341 2.685 20 0 BFADHN C[C@H](NCc1ncc[nH]1)[C@@H](C)c1ccccc1 ZINC000080247906 384540122 /nfs/dbraw/zinc/54/01/22/384540122.db2.gz GQLLAVYQPRFIHM-NEPJUHHUSA-N 0 3 229.327 2.692 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)[C@@H]1CC1(C)C ZINC000309735268 384572147 /nfs/dbraw/zinc/57/21/47/384572147.db2.gz CEGWEWNHVDRCPU-SKDRFNHKSA-N 0 3 222.332 2.816 20 0 BFADHN CCC[C@H](N)C(=O)N1CCC[C@](C)(CCC)C1 ZINC000236806037 384572978 /nfs/dbraw/zinc/57/29/78/384572978.db2.gz YJBOHLMZPIQNMW-JSGCOSHPSA-N 0 3 240.391 2.543 20 0 BFADHN C[C@@H](NCCOc1ccccc1)c1cccnc1 ZINC000049900329 384575307 /nfs/dbraw/zinc/57/53/07/384575307.db2.gz RYLQIRLKWJQCMA-CYBMUJFWSA-N 0 3 242.322 2.811 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCCO2)s1 ZINC000102774597 384575294 /nfs/dbraw/zinc/57/52/94/384575294.db2.gz XCYRPPVSGVFUAI-NSHDSACASA-N 0 3 225.357 2.667 20 0 BFADHN CC[C@H](C)C[C@H](CO)N[C@@H](C)c1ccncc1 ZINC000647336704 384576379 /nfs/dbraw/zinc/57/63/79/384576379.db2.gz FADIYWAFQBMLEW-SGMGOOAPSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@@H](C)CN(CC(N)=O)[C@H](C)c1ccccc1 ZINC000123722721 384579160 /nfs/dbraw/zinc/57/91/60/384579160.db2.gz UGGQSXZIWXFOLU-CHWSQXEVSA-N 0 3 248.370 2.581 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2NCc1ccncc1 ZINC000020129687 384580525 /nfs/dbraw/zinc/58/05/25/384580525.db2.gz UGUZQSRBPWCSPN-HNNXBMFYSA-N 0 3 224.307 2.859 20 0 BFADHN CC(C)[C@@H](CO)NCc1ccc(Cl)c(F)c1 ZINC000080789566 384581376 /nfs/dbraw/zinc/58/13/76/384581376.db2.gz QBMXNPOTONXTKQ-GFCCVEGCSA-N 0 3 245.725 2.586 20 0 BFADHN CC(C)n1nccc1CN[C@H]1CC12CCCC2 ZINC000355144435 384582835 /nfs/dbraw/zinc/58/28/35/384582835.db2.gz CSTCWBCKLBIHFA-ZDUSSCGKSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@H](C)C[C@@H](CO)N[C@H](C)c1ccccn1 ZINC000647337927 384583897 /nfs/dbraw/zinc/58/38/97/384583897.db2.gz XHJWVESJLXERPA-XQQFMLRXSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)n1nccc1CN[C@@H]1CC12CCCC2 ZINC000355144434 384584258 /nfs/dbraw/zinc/58/42/58/384584258.db2.gz CSTCWBCKLBIHFA-CYBMUJFWSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H](CSC)NCc1ccccc1OC ZINC000162171163 384584348 /nfs/dbraw/zinc/58/43/48/384584348.db2.gz AAXMUTAEPZYWLK-LBPRGKRZSA-N 0 3 239.384 2.926 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@@H]1CC1(C)C ZINC000309761109 384590957 /nfs/dbraw/zinc/59/09/57/384590957.db2.gz MOMDPZHJZDPHDV-GFCCVEGCSA-N 0 3 221.348 2.661 20 0 BFADHN CCC(CC)[C@@H](O)CN[C@@H](C)c1cncs1 ZINC000162201091 384585726 /nfs/dbraw/zinc/58/57/26/384585726.db2.gz QYYORVAPMLCCKD-ONGXEEELSA-N 0 3 242.388 2.591 20 0 BFADHN CCN(CCO)Cc1ccccc1C(F)(F)F ZINC000049754587 384564882 /nfs/dbraw/zinc/56/48/82/384564882.db2.gz RRPWMDIDCQXMTB-UHFFFAOYSA-N 0 3 247.260 2.520 20 0 BFADHN COc1ncncc1CNC1(C)CCC(C)CC1 ZINC000647182331 384567864 /nfs/dbraw/zinc/56/78/64/384567864.db2.gz WMVBJSTUEWIPHU-UHFFFAOYSA-N 0 3 249.358 2.544 20 0 BFADHN CCCCN(CC)[C@@H](C)c1cnccn1 ZINC000184401193 384602728 /nfs/dbraw/zinc/60/27/28/384602728.db2.gz UMQXYCQVVKTTFS-NSHDSACASA-N 0 3 207.321 2.660 20 0 BFADHN COCc1ccc(CNC[C@@H]2CC[C@@H](C)C2)o1 ZINC000355597740 384603365 /nfs/dbraw/zinc/60/33/65/384603365.db2.gz KWRQVOQNBKJGNL-VXGBXAGGSA-N 0 3 237.343 2.952 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)CCc1ccco1 ZINC000020253326 384607709 /nfs/dbraw/zinc/60/77/09/384607709.db2.gz BNVVRPFVRKEVAS-JTQLQIEISA-N 0 3 248.326 2.995 20 0 BFADHN CCn1ccc(CN[C@H](C)CCc2ccco2)n1 ZINC000556890080 384610926 /nfs/dbraw/zinc/61/09/26/384610926.db2.gz HBXXHOSNLOXECT-GFCCVEGCSA-N 0 3 247.342 2.607 20 0 BFADHN CCC(O)(CC)CN[C@@H]1CCCc2occc21 ZINC000124057298 384611907 /nfs/dbraw/zinc/61/19/07/384611907.db2.gz GFXLKTQHPVZVNO-GFCCVEGCSA-N 0 3 237.343 2.798 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1CCSC1 ZINC000271549690 384612087 /nfs/dbraw/zinc/61/20/87/384612087.db2.gz MRIFOUWBRSPKPT-QWRGUYRKSA-N 0 3 222.357 2.546 20 0 BFADHN COC(CN[C@H](C)c1cccc(C)c1C)OC ZINC000162377412 384612999 /nfs/dbraw/zinc/61/29/99/384612999.db2.gz FBTMODRCUZMKFO-GFCCVEGCSA-N 0 3 237.343 2.573 20 0 BFADHN Fc1ccc(CNC[C@@H]2CCC=CO2)cc1F ZINC000050269613 384615473 /nfs/dbraw/zinc/61/54/73/384615473.db2.gz AVUCEGTYQBTLTO-NSHDSACASA-N 0 3 239.265 2.747 20 0 BFADHN CCCCNC(=O)[C@H](C)N[C@@H](C)c1ccccc1 ZINC000020367962 384615566 /nfs/dbraw/zinc/61/55/66/384615566.db2.gz DYIFLUABCIIPGS-STQMWFEESA-N 0 3 248.370 2.642 20 0 BFADHN C[C@@H](NCC1CCCC1)c1nnc2ccccn21 ZINC000050271639 384615823 /nfs/dbraw/zinc/61/58/23/384615823.db2.gz UYQVAENZJSDSBE-LLVKDONJSA-N 0 3 244.342 2.570 20 0 BFADHN C[C@H](Cn1ccnc1)N[C@@H](C)c1ccsc1 ZINC000050343356 384617239 /nfs/dbraw/zinc/61/72/39/384617239.db2.gz FRGDSFHMOPLYRL-MNOVXSKESA-N 0 3 235.356 2.684 20 0 BFADHN COC[C@@H](C)CN1CC(C)(C)[C@@H]1c1ccncc1 ZINC000556889388 384618404 /nfs/dbraw/zinc/61/84/04/384618404.db2.gz UJZWKVDBJFJSJW-JSGCOSHPSA-N 0 3 248.370 2.747 20 0 BFADHN C[C@@H](CCCCO)NCc1c(F)cccc1F ZINC000272428647 384620883 /nfs/dbraw/zinc/62/08/83/384620883.db2.gz NCECDKYNRBOYFB-JTQLQIEISA-N 0 3 243.297 2.606 20 0 BFADHN C[C@@H](NCCC(C)(C)O)c1ccc(F)cc1F ZINC000271634588 384622485 /nfs/dbraw/zinc/62/24/85/384622485.db2.gz GFCFLALJAWDEFB-SECBINFHSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@H](NCC1CCC(F)CC1)c1nccn1C ZINC000647350191 384623086 /nfs/dbraw/zinc/62/30/86/384623086.db2.gz ZDMLXLUIHLOSPU-UNXYVOJBSA-N 0 3 239.338 2.599 20 0 BFADHN CC(C)C[C@@H]1CCN([C@@H](C)c2cnccn2)C1 ZINC000184593011 384623526 /nfs/dbraw/zinc/62/35/26/384623526.db2.gz GGKKLTKPISYGGS-STQMWFEESA-N 0 3 233.359 2.906 20 0 BFADHN CCC[C@H](CC)NCc1ccc(C(=O)OC)o1 ZINC000050402445 384624840 /nfs/dbraw/zinc/62/48/40/384624840.db2.gz QYHOIVYUGUXQCU-JTQLQIEISA-N 0 3 239.315 2.735 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1ccc2c(c1)COC2 ZINC000647350420 384624997 /nfs/dbraw/zinc/62/49/97/384624997.db2.gz VBBMNLZEROEPBF-UEKVPHQBSA-N 0 3 247.338 2.545 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1ccc(C)o1 ZINC000290255266 384629873 /nfs/dbraw/zinc/62/98/73/384629873.db2.gz UQZXLIYCNFYSEQ-MXWKQRLJSA-N 0 3 211.305 2.662 20 0 BFADHN CSC[C@H](C)NCc1cc(F)c(F)cc1F ZINC000124294887 384630518 /nfs/dbraw/zinc/63/05/18/384630518.db2.gz VZWZYNPVITWXPX-ZETCQYMHSA-N 0 3 249.301 2.945 20 0 BFADHN CCC[C@H](N[C@H]1CO[C@H](C)C1)c1cccnc1 ZINC000647350668 384631678 /nfs/dbraw/zinc/63/16/78/384631678.db2.gz KVJDQWYPZGEXLG-BNOWGMLFSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1nnsc1CN[C@H]1C[C@H](C)C[C@H](C)C1 ZINC000309760043 384591452 /nfs/dbraw/zinc/59/14/52/384591452.db2.gz MIRBTDHOTHGMEQ-JZYVYDRUSA-N 0 3 239.388 2.761 20 0 BFADHN CC[C@H](CO)N[C@H]1CCSc2ccccc21 ZINC000020195360 384592697 /nfs/dbraw/zinc/59/26/97/384592697.db2.gz TVGUHHKPDJECMS-PWSUYJOCSA-N 0 3 237.368 2.584 20 0 BFADHN CC[C@@H](CO)N[C@H](C)c1ccc(F)c(Cl)c1 ZINC000020195881 384596017 /nfs/dbraw/zinc/59/60/17/384596017.db2.gz XTNIARGMLKQODM-SCZZXKLOSA-N 0 3 245.725 2.901 20 0 BFADHN CC(C)C[C@@H](CN[C@@H](C)c1ccco1)N(C)C ZINC000020201424 384599612 /nfs/dbraw/zinc/59/96/12/384599612.db2.gz OQYDOOJHKZDCGF-STQMWFEESA-N 0 3 238.375 2.907 20 0 BFADHN C[C@@H](Cn1ccnc1)N[C@@H]1CCCc2occc21 ZINC000123969097 384600041 /nfs/dbraw/zinc/60/00/41/384600041.db2.gz XGIOPJGYQBZFPH-WCQYABFASA-N 0 3 245.326 2.532 20 0 BFADHN C[C@H](Cn1ccnc1)N[C@@H]1CCCc2occc21 ZINC000123969285 384600781 /nfs/dbraw/zinc/60/07/81/384600781.db2.gz XGIOPJGYQBZFPH-DGCLKSJQSA-N 0 3 245.326 2.532 20 0 BFADHN C[C@H](Cn1ccnc1)N[C@H]1CCCc2occc21 ZINC000123969724 384600903 /nfs/dbraw/zinc/60/09/03/384600903.db2.gz XGIOPJGYQBZFPH-YPMHNXCESA-N 0 3 245.326 2.532 20 0 BFADHN COc1cccc(CNC[C@@H]2CCC(C)(C)O2)c1 ZINC000160220203 384662809 /nfs/dbraw/zinc/66/28/09/384662809.db2.gz OGGBRYNDJMQIFR-AWEZNQCLSA-N 0 3 249.354 2.742 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccncc1)C(C)(C)C ZINC000173210970 384664881 /nfs/dbraw/zinc/66/48/81/384664881.db2.gz ATSUGRHEWQKWFF-AAEUAGOBSA-N 0 3 236.359 2.793 20 0 BFADHN CN(Cc1ccc(Cl)s1)C[C@H]1CCOC1 ZINC000047698646 384671132 /nfs/dbraw/zinc/67/11/32/384671132.db2.gz JXXLIYWXIHDDOJ-SECBINFHSA-N 0 3 245.775 2.870 20 0 BFADHN CC(C)C[C@@H](CO)NCc1cc(Cl)cs1 ZINC000086332562 384634104 /nfs/dbraw/zinc/63/41/04/384634104.db2.gz FVXBRQXUQANGBE-JTQLQIEISA-N 0 3 247.791 2.898 20 0 BFADHN CC[C@H](NCC[C@@H](C)F)c1nccs1 ZINC000336653545 384635043 /nfs/dbraw/zinc/63/50/43/384635043.db2.gz LQTKEAVUCJOPFX-BDAKNGLRSA-N 0 3 216.325 2.932 20 0 BFADHN Cc1nc(C)c([C@@H](C)NCc2cccn2C)s1 ZINC000050596132 384635101 /nfs/dbraw/zinc/63/51/01/384635101.db2.gz ODZPCYWGVAGMGG-SECBINFHSA-N 0 3 249.383 2.949 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC[C@@H]3C[C@@H]3C2)nn1C ZINC000647354173 384640678 /nfs/dbraw/zinc/64/06/78/384640678.db2.gz GMLYZHSMCVSHKX-UMSGYPCISA-N 0 3 233.359 2.568 20 0 BFADHN CCOCCNCc1cc(Cl)cs1 ZINC000086332381 384642505 /nfs/dbraw/zinc/64/25/05/384642505.db2.gz VTWCDYGFHMJLFK-UHFFFAOYSA-N 0 3 219.737 2.528 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1CC[C@H]2C[C@H]2C1)C1CC1 ZINC000647356639 384644426 /nfs/dbraw/zinc/64/44/26/384644426.db2.gz PGNBJIZMOXONNN-IGQOVBAYSA-N 0 3 245.370 2.649 20 0 BFADHN COC[C@H](C)NCc1ccc(SC)s1 ZINC000336655465 384648492 /nfs/dbraw/zinc/64/84/92/384648492.db2.gz NWFYSQXKZMYMPX-QMMMGPOBSA-N 0 3 231.386 2.595 20 0 BFADHN COc1ccccc1CNC[C@@H]1CCC(C)(C)O1 ZINC000160177597 384650552 /nfs/dbraw/zinc/65/05/52/384650552.db2.gz ZPKSQXKHJKUSJN-ZDUSSCGKSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H](NCc1ccc(Cl)o1)[C@@H]1CCCCO1 ZINC000309832297 384650703 /nfs/dbraw/zinc/65/07/03/384650703.db2.gz YXZFJNPKVFVKBW-KOLCDFICSA-N 0 3 243.734 2.980 20 0 BFADHN CC[C@@H](C)NC(=O)CN[C@@H](CC)c1ccccc1 ZINC000051628243 384675557 /nfs/dbraw/zinc/67/55/57/384675557.db2.gz SYMNQQVZBJEMPI-OCCSQVGLSA-N 0 3 248.370 2.642 20 0 BFADHN CC[C@H](N)C(=O)Nc1c(C)cccc1C(C)C ZINC000082247646 384651786 /nfs/dbraw/zinc/65/17/86/384651786.db2.gz JMCCUHMAZZBYNN-LBPRGKRZSA-N 0 3 234.343 2.794 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2CCS[C@H]2C)c1 ZINC000647359424 384653518 /nfs/dbraw/zinc/65/35/18/384653518.db2.gz HCIAJOMJRXQFFL-GVXVVHGQSA-N 0 3 236.384 2.935 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CCS[C@@H]2C)s1 ZINC000647359524 384653862 /nfs/dbraw/zinc/65/38/62/384653862.db2.gz IGMGJYPBZVFYGU-QXFUBDJGSA-N 0 3 242.413 2.996 20 0 BFADHN Cc1ccsc1CNCc1ccn(C)c1 ZINC000086500361 384659420 /nfs/dbraw/zinc/65/94/20/384659420.db2.gz YHFUZPOGNNYATA-UHFFFAOYSA-N 0 3 220.341 2.685 20 0 BFADHN CC(C)CCN[C@@H](C)c1cc2n(n1)CCCC2 ZINC000647280639 384660311 /nfs/dbraw/zinc/66/03/11/384660311.db2.gz YHFGFAHUPRBBPK-LBPRGKRZSA-N 0 3 235.375 2.916 20 0 BFADHN CCOC[C@H](C)NCc1ccc2ncccc2c1 ZINC000044724387 384661609 /nfs/dbraw/zinc/66/16/09/384661609.db2.gz ZLAHRJLPIUUVLK-LBPRGKRZSA-N 0 3 244.338 2.749 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CC[C@H]1C1CC1 ZINC000309933335 384723153 /nfs/dbraw/zinc/72/31/53/384723153.db2.gz YWUDDEYPFRXNML-AAEUAGOBSA-N 0 3 220.316 2.570 20 0 BFADHN COc1cc2c(cc1F)CC[C@H]2N[C@@H]1CC12CC2 ZINC000335248416 384679671 /nfs/dbraw/zinc/67/96/71/384679671.db2.gz KCNGUKXOFYRVIX-TZMCWYRMSA-N 0 3 247.313 2.964 20 0 BFADHN CC[C@H](C)CN(C)Cc1c(C)nn(C)c1C ZINC000171951311 384679913 /nfs/dbraw/zinc/67/99/13/384679913.db2.gz XFFKAADNLHMBJV-JTQLQIEISA-N 0 3 223.364 2.515 20 0 BFADHN C[C@@H](CN(C)C)NCc1ccc(Cl)cc1F ZINC000162749565 384680412 /nfs/dbraw/zinc/68/04/12/384680412.db2.gz LNJGFQLISOGSSF-VIFPVBQESA-N 0 3 244.741 2.519 20 0 BFADHN CCCCN(CCO)Cc1ccccc1Cl ZINC000049800146 384680971 /nfs/dbraw/zinc/68/09/71/384680971.db2.gz LDRJNSLHANEYMI-UHFFFAOYSA-N 0 3 241.762 2.934 20 0 BFADHN CCC[C@@H](O)CNCc1ccsc1Cl ZINC000336659077 384681568 /nfs/dbraw/zinc/68/15/68/384681568.db2.gz QRLOPYIOTCJUQY-SECBINFHSA-N 0 3 233.764 2.652 20 0 BFADHN COCc1cccc(CNCCC(C)(F)F)c1 ZINC000357713224 384682164 /nfs/dbraw/zinc/68/21/64/384682164.db2.gz DSCUQSNZJJFPNI-UHFFFAOYSA-N 0 3 243.297 2.968 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CCC[C@H](C)CC1 ZINC000335917855 384687111 /nfs/dbraw/zinc/68/71/11/384687111.db2.gz RYJGHRRNTCOYBM-CMPLNLGQSA-N 0 3 221.348 2.777 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H]2CCCC[C@H]2O)o1 ZINC000185168920 384688035 /nfs/dbraw/zinc/68/80/35/384688035.db2.gz ZZTOBKKQTSOJCO-FMKGYKFTSA-N 0 3 249.354 2.796 20 0 BFADHN CCN(CC)CC(=O)NCCCCC(C)(C)C ZINC000104441770 384689971 /nfs/dbraw/zinc/68/99/71/384689971.db2.gz UVOPWXUAOMIWKV-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@H]2CCCOC2)s1 ZINC000082589373 384692324 /nfs/dbraw/zinc/69/23/24/384692324.db2.gz BWAFYQWHRAZKQW-ONGXEEELSA-N 0 3 240.372 2.590 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CO[C@H](C)C2)ccc1F ZINC000647190198 384693909 /nfs/dbraw/zinc/69/39/09/384693909.db2.gz MYQHNNDUEXOHIA-NTZNESFSSA-N 0 3 237.318 2.962 20 0 BFADHN CCn1cc(CN[C@H](C)Cc2ccsc2)cn1 ZINC000050539683 384696568 /nfs/dbraw/zinc/69/65/68/384696568.db2.gz JSQWHPKJWURRPS-LLVKDONJSA-N 0 3 249.383 2.685 20 0 BFADHN OC[C@@H]1CCCN(C/C=C/c2ccccc2)CC1 ZINC000293171780 384704838 /nfs/dbraw/zinc/70/48/38/384704838.db2.gz PFGODOPRGJWVGJ-KZJSRBBCSA-N 0 3 245.366 2.794 20 0 BFADHN CC[C@H]1CCN(C[C@H](O)c2ccccc2F)C1 ZINC000125545156 384727227 /nfs/dbraw/zinc/72/72/27/384727227.db2.gz YXRYGRSIEWYBLB-FZMZJTMJSA-N 0 3 237.318 2.591 20 0 BFADHN CCCc1nc(C)c(CN[C@@H](C)C2CC2)o1 ZINC000309912274 384706224 /nfs/dbraw/zinc/70/62/24/384706224.db2.gz NJTDCZSFAOSLRR-VIFPVBQESA-N 0 3 222.332 2.824 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1cc(F)ccc1F ZINC000309912849 384707676 /nfs/dbraw/zinc/70/76/76/384707676.db2.gz NPIQEASHMKOOAS-NEPJUHHUSA-N 0 3 243.322 2.948 20 0 BFADHN Fc1ccc2c(c1)[C@@H](N[C@H]1CC13CC3)CC2 ZINC000335278106 384709103 /nfs/dbraw/zinc/70/91/03/384709103.db2.gz LSRICBMWFWKNLM-STQMWFEESA-N 0 3 217.287 2.955 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(Cl)s2)CO1 ZINC000335424345 384709717 /nfs/dbraw/zinc/70/97/17/384709717.db2.gz GFVLJWHGFRQGPO-SFYZADRCSA-N 0 3 231.748 2.669 20 0 BFADHN C[C@@H](CO)[C@@H](C)NCc1ccc(Cl)cc1F ZINC000162980953 384716072 /nfs/dbraw/zinc/71/60/72/384716072.db2.gz LKXDCARSCUHTNZ-DTWKUNHWSA-N 0 3 245.725 2.586 20 0 BFADHN Cn1cc(CNCCC2=CCCCCC2)cn1 ZINC000185405213 384719148 /nfs/dbraw/zinc/71/91/48/384719148.db2.gz QLKOMCZUBPUZGV-UHFFFAOYSA-N 0 3 233.359 2.790 20 0 BFADHN C[C@H]1C[C@@H](NCc2cccc(Cl)c2)CO1 ZINC000335426045 384719469 /nfs/dbraw/zinc/71/94/69/384719469.db2.gz PQYWUYYNHJYQLR-JOYOIKCWSA-N 0 3 225.719 2.607 20 0 BFADHN CCC[C@H](O)CN[C@@H](CCC)c1cccnc1 ZINC000185436384 384721115 /nfs/dbraw/zinc/72/11/15/384721115.db2.gz RKDGOVWQAANITK-KBPBESRZSA-N 0 3 236.359 2.673 20 0 BFADHN CCC[C@H](NC(C)C)C(=O)Nc1ccsc1 ZINC000310007276 384780629 /nfs/dbraw/zinc/78/06/29/384780629.db2.gz HETAJNVUGNFTRQ-NSHDSACASA-N 0 3 240.372 2.853 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1sc(C)nc1C ZINC000083463037 384774373 /nfs/dbraw/zinc/77/43/73/384774373.db2.gz OHDQLWPNIQVRFG-UFBFGSQYSA-N 0 3 242.388 2.572 20 0 BFADHN CC[C@H](N[C@@H]1CO[C@H](C)C1)c1cccc(OC)c1 ZINC000518184034 384775630 /nfs/dbraw/zinc/77/56/30/384775630.db2.gz VSULAAUMBMNQHO-ZLDLUXBVSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2cc(F)cc(F)c2)O1 ZINC000398065212 384776674 /nfs/dbraw/zinc/77/66/74/384776674.db2.gz DCQJCGYZKYKJHJ-NOZJJQNGSA-N 0 3 241.281 2.622 20 0 BFADHN CCCc1csc([C@H]2CCCCN2)n1 ZINC000053251953 384776869 /nfs/dbraw/zinc/77/68/69/384776869.db2.gz IIWSQCCVQSRJGH-SNVBAGLBSA-N 0 3 210.346 2.910 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1nccn1CC ZINC000310000780 384778194 /nfs/dbraw/zinc/77/81/94/384778194.db2.gz DTAQQKZYXSMGNQ-RYUDHWBXSA-N 0 3 221.348 2.571 20 0 BFADHN CCCN(Cc1cnc(OC)s1)CC1CC1 ZINC000643885185 384730732 /nfs/dbraw/zinc/73/07/32/384730732.db2.gz LOEVOIWZQBQSDV-UHFFFAOYSA-N 0 3 240.372 2.774 20 0 BFADHN CCOc1cc(C)ccc1CN[C@H](C)COC ZINC000151718549 384731509 /nfs/dbraw/zinc/73/15/09/384731509.db2.gz ARAMDIAIOOGWER-GFCCVEGCSA-N 0 3 237.343 2.518 20 0 BFADHN C[C@@H](O)CCCN[C@H](C)c1ccc(Cl)cn1 ZINC000279011861 384733330 /nfs/dbraw/zinc/73/33/30/384733330.db2.gz GZPJBLUIFKSVEA-NXEZZACHSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](NC[C@@H]1C[C@@H]1C)c1cc2n(n1)CCCC2 ZINC000647284781 384736669 /nfs/dbraw/zinc/73/66/69/384736669.db2.gz GJMNLRSFUJDQMC-SRVKXCTJSA-N 0 3 233.359 2.526 20 0 BFADHN CCc1ncc(CNCCc2cccc(C)c2)o1 ZINC000449615041 384738961 /nfs/dbraw/zinc/73/89/61/384738961.db2.gz XVZWRLZJJUCXBS-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN C[C@H]1[C@@H](CO)CCN1Cc1ccc(Cl)cc1 ZINC000335959284 384740708 /nfs/dbraw/zinc/74/07/08/384740708.db2.gz SQJAWGLTWHNYDM-CMPLNLGQSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H](Cc1cccs1)N[C@@H]1CCn2ccnc21 ZINC000294296870 384741239 /nfs/dbraw/zinc/74/12/39/384741239.db2.gz ZMIKJRNEBCDSGF-ZYHUDNBSSA-N 0 3 247.367 2.610 20 0 BFADHN CCSCCCN[C@H]1C[C@@H](C)n2ccnc21 ZINC000294301991 384744411 /nfs/dbraw/zinc/74/44/11/384744411.db2.gz ZWONIPCEKSBVNR-MNOVXSKESA-N 0 3 239.388 2.622 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1C)c1nccs1 ZINC000083143388 384745667 /nfs/dbraw/zinc/74/56/67/384745667.db2.gz MXIZVVPFARTVSR-GUBZILKMSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@@H]1C[C@H]([NH2+]Cc2cc([O-])cc(F)c2)CS1 ZINC000334855473 384746073 /nfs/dbraw/zinc/74/60/73/384746073.db2.gz XZSYCGZDDZIIHF-KCJUWKMLSA-N 0 3 241.331 2.515 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC[C@@H]2c2ccccn2)O1 ZINC000530549396 384752161 /nfs/dbraw/zinc/75/21/61/384752161.db2.gz SQSGLAJMGUFTGT-UMVBOHGHSA-N 0 3 246.354 2.786 20 0 BFADHN C[C@@H](NC[C@@H](O)C1CCCCC1)c1ccco1 ZINC000163148631 384752760 /nfs/dbraw/zinc/75/27/60/384752760.db2.gz DXTAGJMEOMKYQF-DGCLKSJQSA-N 0 3 237.343 2.871 20 0 BFADHN COC[C@H](C)NCc1ccccc1OCC1CC1 ZINC000174120081 384756209 /nfs/dbraw/zinc/75/62/09/384756209.db2.gz DNHBTULPBQMSII-LBPRGKRZSA-N 0 3 249.354 2.600 20 0 BFADHN C[C@@H](N[C@@H]1COC(C)(C)C1)c1ccccc1 ZINC000334936272 384757183 /nfs/dbraw/zinc/75/71/83/384757183.db2.gz ZOTPRSJZIADNOD-YPMHNXCESA-N 0 3 219.328 2.905 20 0 BFADHN CO[C@H]1CCCC[C@H]1NCc1ccc(C)o1 ZINC000053036670 384761038 /nfs/dbraw/zinc/76/10/38/384761038.db2.gz AWRNADZVUXQHII-OLZOCXBDSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@H](NCc1cccnc1N)c1ccccc1 ZINC000083369652 384765140 /nfs/dbraw/zinc/76/51/40/384765140.db2.gz ONVFENQAVQQKPI-AWEZNQCLSA-N 0 3 241.338 2.905 20 0 BFADHN COC[C@@H](NCc1ccccc1F)C(C)C ZINC000083397935 384770514 /nfs/dbraw/zinc/77/05/14/384770514.db2.gz LBHZGDCIPBOKHZ-CYBMUJFWSA-N 0 3 225.307 2.586 20 0 BFADHN COC[C@H](C)NCc1ccc(F)c(Cl)c1 ZINC000053197574 384772856 /nfs/dbraw/zinc/77/28/56/384772856.db2.gz BPSOBIBNNAOLDN-QMMMGPOBSA-N 0 3 231.698 2.604 20 0 BFADHN CCCc1csc(CN[C@@H](C)CC)n1 ZINC000336670196 384817275 /nfs/dbraw/zinc/81/72/75/384817275.db2.gz YKZIYBSRMBUZBJ-VIFPVBQESA-N 0 3 212.362 2.984 20 0 BFADHN CO[C@H](CNCc1cc(F)cc(F)c1)C(C)C ZINC000270043310 384819603 /nfs/dbraw/zinc/81/96/03/384819603.db2.gz CRJAUOWCNLKEPV-CYBMUJFWSA-N 0 3 243.297 2.725 20 0 BFADHN Cc1c[nH]nc1CNC1C[C@H](C)C[C@@H](C)C1 ZINC000309778873 384825385 /nfs/dbraw/zinc/82/53/85/384825385.db2.gz MKDVWISYNCOCNW-NXEZZACHSA-N 0 3 221.348 2.632 20 0 BFADHN CC[C@@H](C)NC(=O)[C@@H](C)N[C@H](C)c1ccccc1 ZINC000104426591 384828379 /nfs/dbraw/zinc/82/83/79/384828379.db2.gz MSSIIAVFAOYPGJ-JHJVBQTASA-N 0 3 248.370 2.640 20 0 BFADHN Clc1ccc(CN[C@H]2C[C@H]3CC[C@@H]2O3)s1 ZINC000087689710 384829505 /nfs/dbraw/zinc/82/95/05/384829505.db2.gz DXRZDLQYOYOQPZ-JEZHCXPESA-N 0 3 243.759 2.811 20 0 BFADHN Clc1ccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)s1 ZINC000087689709 384830599 /nfs/dbraw/zinc/83/05/99/384830599.db2.gz DXRZDLQYOYOQPZ-QNSHHTMESA-N 0 3 243.759 2.811 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H]1O)c1ccc(Cl)s1 ZINC000042587820 384832295 /nfs/dbraw/zinc/83/22/95/384832295.db2.gz IVRZXYJNXGSORD-YIZRAAEISA-N 0 3 245.775 2.966 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@@H](C)C1)c1nccn1C ZINC000310008498 384781478 /nfs/dbraw/zinc/78/14/78/384781478.db2.gz HSGIIZXZONFHAQ-UTUOFQBUSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@](C)(CCO)NCc1cc(F)cc(F)c1 ZINC000163271654 384785050 /nfs/dbraw/zinc/78/50/50/384785050.db2.gz CIFIFXMVQLLHGG-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN CCC1(CNCc2ncc[nH]2)CCCCC1 ZINC000310020421 384785665 /nfs/dbraw/zinc/78/56/65/384785665.db2.gz MZTUKQFSNFKJAB-UHFFFAOYSA-N 0 3 221.348 2.860 20 0 BFADHN CCC[C@@H](O)CNCc1c(C)oc2ccccc21 ZINC000155916339 384787891 /nfs/dbraw/zinc/78/78/91/384787891.db2.gz HVEAOZGDYOVHOH-GFCCVEGCSA-N 0 3 247.338 2.992 20 0 BFADHN CCN(C)Cc1c[nH]nc1-c1cccc(C)c1 ZINC000126282256 384789957 /nfs/dbraw/zinc/78/99/57/384789957.db2.gz LNPVTBVQKNQTML-UHFFFAOYSA-N 0 3 229.327 2.837 20 0 BFADHN CC[C@H](NCc1cccn1C)c1ccncc1 ZINC000174436990 384790723 /nfs/dbraw/zinc/79/07/23/384790723.db2.gz MDNKYSIMBABJSY-AWEZNQCLSA-N 0 3 229.327 2.661 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H](O)C1(C)C)c1ccsc1 ZINC000294385407 384793009 /nfs/dbraw/zinc/79/30/09/384793009.db2.gz JCLQBJLTCKQLHY-TUAOUCFPSA-N 0 3 239.384 2.948 20 0 BFADHN c1nc(CN2CCC3(C2)CCCCC3)c[nH]1 ZINC000093663718 384797016 /nfs/dbraw/zinc/79/70/16/384797016.db2.gz JEQUMQMDRXMNQF-UHFFFAOYSA-N 0 3 219.332 2.566 20 0 BFADHN COC[C@@H](N[C@@H](C)c1ccsc1)C1CC1 ZINC000087480253 384798138 /nfs/dbraw/zinc/79/81/38/384798138.db2.gz LEAXJULFZIIOBE-JOYOIKCWSA-N 0 3 225.357 2.824 20 0 BFADHN Cc1nc(CCN2CCCC[C@H]2C)cs1 ZINC000163638179 384836620 /nfs/dbraw/zinc/83/66/20/384836620.db2.gz FPWXYYIOPWHLFK-SNVBAGLBSA-N 0 3 224.373 2.868 20 0 BFADHN C[C@@H](N[C@@H]1C=CCCCCC1)c1cn[nH]c1 ZINC000294394973 384802363 /nfs/dbraw/zinc/80/23/63/384802363.db2.gz CEVPDGDKHXSPLB-DGCLKSJQSA-N 0 3 219.332 2.949 20 0 BFADHN CC(C)C[C@@H](N[C@@H]1CCCOC1)c1ccncc1 ZINC000647288383 384802547 /nfs/dbraw/zinc/80/25/47/384802547.db2.gz BDKRKIKVBKIFEI-HUUCEWRRSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ncc(CNC[C@H]2CCC[C@H](C)C2)o1 ZINC000398100357 384804674 /nfs/dbraw/zinc/80/46/74/384804674.db2.gz MFUMIEHSPLNSRC-JQWIXIFHSA-N 0 3 222.332 2.899 20 0 BFADHN CCCCNCc1cn2cc(Cl)ccc2n1 ZINC000105855145 384806674 /nfs/dbraw/zinc/80/66/74/384806674.db2.gz ZCZUFPOZFQZYDA-UHFFFAOYSA-N 0 3 237.734 2.877 20 0 BFADHN F[C@H]1CC[C@@H](NCc2ccc(Cl)o2)C1 ZINC000306221176 384808757 /nfs/dbraw/zinc/80/87/57/384808757.db2.gz PBCLBKRGBDPENT-JGVFFNPUSA-N 0 3 217.671 2.913 20 0 BFADHN Cn1cccc1[C@@H]1CCCCN1C[C@@H]1CCCO1 ZINC000175124506 384872660 /nfs/dbraw/zinc/87/26/60/384872660.db2.gz YKCHPALSMBUPNP-ZFWWWQNUSA-N 0 3 248.370 2.731 20 0 BFADHN CCOc1ccccc1[C@H](C)NC[C@H](O)CC ZINC000163902410 384873798 /nfs/dbraw/zinc/87/37/98/384873798.db2.gz IUGJQKPTMQHWAG-NWDGAFQWSA-N 0 3 237.343 2.507 20 0 BFADHN COC[C@H](C)N(C)Cc1cc2ccccc2o1 ZINC000175190334 384878638 /nfs/dbraw/zinc/87/86/38/384878638.db2.gz IRSQIWBAEINDEG-NSHDSACASA-N 0 3 233.311 2.900 20 0 BFADHN CN(CCCCO)Cc1cc2ccccc2o1 ZINC000175187197 384879311 /nfs/dbraw/zinc/87/93/11/384879311.db2.gz LGMIKHIBTGYQLF-UHFFFAOYSA-N 0 3 233.311 2.637 20 0 BFADHN CSCCN1CCCC[C@H]1c1cccn1C ZINC000175305622 384889921 /nfs/dbraw/zinc/88/99/21/384889921.db2.gz XECQBYNEUYLGJH-ZDUSSCGKSA-N 0 3 238.400 2.915 20 0 BFADHN CC(C)c1cnc(CNC2CCC2)s1 ZINC000308633848 384883838 /nfs/dbraw/zinc/88/38/38/384883838.db2.gz BWRYTDLFHUDTTI-UHFFFAOYSA-N 0 3 210.346 2.909 20 0 BFADHN CC[C@@H](C)[C@@H](O)CN[C@@H](C)c1ccc(F)cc1 ZINC000163984496 384886728 /nfs/dbraw/zinc/88/67/28/384886728.db2.gz UBCWPCUCYVVYKU-SUNKGSAMSA-N 0 3 239.334 2.883 20 0 BFADHN C[C@@H](CCC1CC1)NCc1cccc(N(C)C)n1 ZINC000186433341 384842488 /nfs/dbraw/zinc/84/24/88/384842488.db2.gz ALDCSKGKAKRSED-LBPRGKRZSA-N 0 3 247.386 2.816 20 0 BFADHN CC1CC(NCc2cnc3ccccc3n2)C1 ZINC000163676861 384843297 /nfs/dbraw/zinc/84/32/97/384843297.db2.gz IKNWGAAQNZFECQ-UHFFFAOYSA-N 0 3 227.311 2.518 20 0 BFADHN CC[C@@H](NC[C@H](C)OC)c1ccsc1 ZINC000294441550 384844333 /nfs/dbraw/zinc/84/43/33/384844333.db2.gz QZOLUYNFCDAOMQ-GXSJLCMTSA-N 0 3 213.346 2.824 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@H](C)C[C@H](C)C2)nn1 ZINC000335174349 384847784 /nfs/dbraw/zinc/84/77/84/384847784.db2.gz CUPAUVYJIQAKSG-YABSGUDNSA-N 0 3 233.359 2.699 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@@H](C)c1ccsc1 ZINC000186512948 384848356 /nfs/dbraw/zinc/84/83/56/384848356.db2.gz MCGQBDIVRAWFHY-BMPPAVAUSA-N 0 3 225.357 2.726 20 0 BFADHN C[C@@H](CN1CCCCC1)Nc1ncccc1F ZINC000054700795 384849563 /nfs/dbraw/zinc/84/95/63/384849563.db2.gz MEQSMDDJZBIFOA-NSHDSACASA-N 0 3 237.322 2.507 20 0 BFADHN C[C@@H](N[C@H](CCO)c1ccco1)C1CCCC1 ZINC000186521308 384850610 /nfs/dbraw/zinc/85/06/10/384850610.db2.gz GSJIKPNSJAMDJY-DGCLKSJQSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H]1OCC[C@H]1NC1(c2ccccc2F)CCC1 ZINC000647237432 384856864 /nfs/dbraw/zinc/85/68/64/384856864.db2.gz ZYQDPZFYZHLZCI-SMDDNHRTSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](F)C1)c1nccs1 ZINC000306291365 384857507 /nfs/dbraw/zinc/85/75/07/384857507.db2.gz QXKMSMUVEWCCII-YIZRAAEISA-N 0 3 214.309 2.684 20 0 BFADHN C[C@H](NCC1(C)CC1)c1cc2n(n1)CCCC2 ZINC000647293994 384889028 /nfs/dbraw/zinc/88/90/28/384889028.db2.gz IOZJQSMWVHQPMF-NSHDSACASA-N 0 3 233.359 2.670 20 0 BFADHN CCOC[C@H](C)N[C@@H]1c2ccccc2O[C@H]1C ZINC000368585506 384859570 /nfs/dbraw/zinc/85/95/70/384859570.db2.gz RNQLJDQQLKWFDD-MJVIPROJSA-N 0 3 235.327 2.523 20 0 BFADHN COc1cc(C)nc(CN[C@@H]2CC[C@H]3C[C@H]3C2)c1 ZINC000403751860 384860223 /nfs/dbraw/zinc/86/02/23/384860223.db2.gz PCKWJAOGLIFSPX-RWMBFGLXSA-N 0 3 246.354 2.677 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](F)C1)c1cncs1 ZINC000306294304 384861477 /nfs/dbraw/zinc/86/14/77/384861477.db2.gz VWMJWCAECZLXEO-DJLDLDEBSA-N 0 3 214.309 2.684 20 0 BFADHN CCN1C[C@H](C)N(C/C=C(/C)Cl)C[C@H]1C ZINC000528432631 384890766 /nfs/dbraw/zinc/89/07/66/384890766.db2.gz PLHDEDTVFZLIDL-LIPIYFLUSA-N 0 3 230.783 2.544 20 0 BFADHN C[C@@H](NCC1(C)CC1)c1cc2n(n1)CCCC2 ZINC000647293993 384891167 /nfs/dbraw/zinc/89/11/67/384891167.db2.gz IOZJQSMWVHQPMF-LLVKDONJSA-N 0 3 233.359 2.670 20 0 BFADHN CCC[C@](C)(O)CNCc1ccccc1Cl ZINC000163990137 384892602 /nfs/dbraw/zinc/89/26/02/384892602.db2.gz LWQVXRABLQJQQT-ZDUSSCGKSA-N 0 3 241.762 2.981 20 0 BFADHN C[C@@H](NC1CC(C)C1)c1cc2n(n1)CCCC2 ZINC000647294139 384893215 /nfs/dbraw/zinc/89/32/15/384893215.db2.gz PTCDHALRDKDXNV-MOENNCHZSA-N 0 3 233.359 2.669 20 0 BFADHN CC[C@H](F)CN1CCSCC[C@H]1C ZINC000306367539 384897362 /nfs/dbraw/zinc/89/73/62/384897362.db2.gz HFYSFTRCVYPZHE-ZJUUUORDSA-N 0 3 205.342 2.562 20 0 BFADHN Cc1csc([C@H](C)NC[C@@H]2C[C@@H]2C)n1 ZINC000308662982 384898310 /nfs/dbraw/zinc/89/83/10/384898310.db2.gz RANTZJWTEWRLNJ-HGNGGELXSA-N 0 3 210.346 2.758 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCC[C@@H]2C)s1 ZINC000336758191 384898514 /nfs/dbraw/zinc/89/85/14/384898514.db2.gz ZISMFYWONICZLQ-VHSXEESVSA-N 0 3 239.388 2.940 20 0 BFADHN C[C@H](CCC1CC1)NCc1cncs1 ZINC000308655960 384899026 /nfs/dbraw/zinc/89/90/26/384899026.db2.gz OEUAXABOKJARRO-SECBINFHSA-N 0 3 210.346 2.811 20 0 BFADHN Cc1csc([C@H](C)NC[C@H]2C[C@@H]2C)n1 ZINC000308662987 384899109 /nfs/dbraw/zinc/89/91/09/384899109.db2.gz RANTZJWTEWRLNJ-UJNFCWOMSA-N 0 3 210.346 2.758 20 0 BFADHN CN(Cc1ccc(F)cc1F)CC1CC1 ZINC000084861064 384901849 /nfs/dbraw/zinc/90/18/49/384901849.db2.gz WTNTUCGCMSTNRE-UHFFFAOYSA-N 0 3 211.255 2.807 20 0 BFADHN Cl/C=C/CN1CC[C@H](Cc2ccncc2)C1 ZINC000364048533 384907525 /nfs/dbraw/zinc/90/75/25/384907525.db2.gz VHWNBQTULYQLDN-GJSZBTHVSA-N 0 3 236.746 2.699 20 0 BFADHN Cc1ccc(NC(=O)[C@H](C(C)C)N(C)C)c(C)c1 ZINC000106407791 384911734 /nfs/dbraw/zinc/91/17/34/384911734.db2.gz FKKMRWDKGCRQLU-AWEZNQCLSA-N 0 3 248.370 2.828 20 0 BFADHN CCN(CCO)Cc1cc(Cl)cc(Cl)c1 ZINC000127956027 384917499 /nfs/dbraw/zinc/91/74/99/384917499.db2.gz AFRVESSCMGHZGC-UHFFFAOYSA-N 0 3 248.153 2.808 20 0 BFADHN COC[C@@H](C)N(C)Cc1sccc1C ZINC000175492626 384917941 /nfs/dbraw/zinc/91/79/41/384917941.db2.gz PLTWEQOZJMGJGG-SNVBAGLBSA-N 0 3 213.346 2.523 20 0 BFADHN CSc1ccccc1[C@H](C)NCCN(C)C ZINC000309931471 384920843 /nfs/dbraw/zinc/92/08/43/384920843.db2.gz YFTQUQWGHFAVFK-NSHDSACASA-N 0 3 238.400 2.621 20 0 BFADHN COC[C@@H]1CCCN(Cc2ccc(F)cc2)C1 ZINC000056908374 384921918 /nfs/dbraw/zinc/92/19/18/384921918.db2.gz RHJKWUWHTOSLCY-CYBMUJFWSA-N 0 3 237.318 2.684 20 0 BFADHN CC(C)CC[C@@H](C)NCc1cn(C(C)C)nn1 ZINC000310270284 384933903 /nfs/dbraw/zinc/93/39/03/384933903.db2.gz CZGZFUWDAMJYKE-GFCCVEGCSA-N 0 3 238.379 2.773 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@@H]1CCCCN1 ZINC000226065462 384933886 /nfs/dbraw/zinc/93/38/86/384933886.db2.gz WUOXKYNPQZSRMK-OLZOCXBDSA-N 0 3 240.391 2.604 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1ccc2[nH]c(=O)oc2c1 ZINC000643907855 384934157 /nfs/dbraw/zinc/93/41/57/384934157.db2.gz JBNZTENRKQANLT-QPUJVOFHSA-N 0 3 244.294 2.737 20 0 BFADHN Cc1cc(C)cc(CN(C)[C@@H]2CCOC2)c1 ZINC000106880420 384937691 /nfs/dbraw/zinc/93/76/91/384937691.db2.gz NMQBCISYWXCJSM-CQSZACIVSA-N 0 3 219.328 2.524 20 0 BFADHN COC[C@H](N[C@@H]1C[C@H](C)[C@H]1C)c1ccc(C)o1 ZINC000495089217 533096534 /nfs/dbraw/zinc/09/65/34/533096534.db2.gz XLWOKNOZAQRBGV-SQNXGDPESA-N 0 3 237.343 2.910 20 0 BFADHN C[C@]1(c2ccccc2)CN(CC2CC2)CCO1 ZINC000156711556 384941427 /nfs/dbraw/zinc/94/14/27/384941427.db2.gz DTMXHMGADJQSOX-OAHLLOKOSA-N 0 3 231.339 2.644 20 0 BFADHN CC[C@@H](CSC)NCc1occc1C ZINC000162173795 384941580 /nfs/dbraw/zinc/94/15/80/384941580.db2.gz CUFDHJLCZBXIEZ-JTQLQIEISA-N 0 3 213.346 2.819 20 0 BFADHN COc1cc(CNCc2ccc(C)cc2)ccn1 ZINC000057395131 384943062 /nfs/dbraw/zinc/94/30/62/384943062.db2.gz SZWIUTDUYMXTFQ-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN C[C@@H](NC1(C2CC2)CC1)c1cc2n(n1)CCCC2 ZINC000647296901 384948626 /nfs/dbraw/zinc/94/86/26/384948626.db2.gz CNHHDMIBTHXQGO-LLVKDONJSA-N 0 3 245.370 2.813 20 0 BFADHN CC(C)CC[C@@H](NC[C@H](C)O)c1ccoc1 ZINC000279148015 384970381 /nfs/dbraw/zinc/97/03/81/384970381.db2.gz ZTHOVMWNYLFCOJ-WCQYABFASA-N 0 3 225.332 2.727 20 0 BFADHN CC1(C)COC[C@@H]1NCc1ccccc1Cl ZINC000312974376 384972065 /nfs/dbraw/zinc/97/20/65/384972065.db2.gz CATYALQCRWOGFG-LBPRGKRZSA-N 0 3 239.746 2.855 20 0 BFADHN Cc1nocc1CNCCCOc1ccccc1 ZINC000339171851 384976050 /nfs/dbraw/zinc/97/60/50/384976050.db2.gz RSUQNXOKGXUDKE-UHFFFAOYSA-N 0 3 246.310 2.542 20 0 BFADHN CC(C)C1(CNCc2ccns2)CC1 ZINC000404405679 384977799 /nfs/dbraw/zinc/97/77/99/384977799.db2.gz CWDOPILPSJQHKC-UHFFFAOYSA-N 0 3 210.346 2.669 20 0 BFADHN Fc1ccc(CN(C[C@H]2CCCO2)C2CC2)cc1 ZINC000128016313 384980298 /nfs/dbraw/zinc/98/02/98/384980298.db2.gz YFQYGTNQLXWHLY-OAHLLOKOSA-N 0 3 249.329 2.969 20 0 BFADHN C[C@H]1CCc2c(F)cccc2[C@H]1N[C@@H]1CCOC1 ZINC000643911041 384984218 /nfs/dbraw/zinc/98/42/18/384984218.db2.gz OSZZPUQRTQFETH-RWSFTLGLSA-N 0 3 249.329 2.828 20 0 BFADHN CC(C)N(CCO)Cc1ccc(F)c(Cl)c1 ZINC000128045908 384984681 /nfs/dbraw/zinc/98/46/81/384984681.db2.gz PUWKKLSSIFLRKI-UHFFFAOYSA-N 0 3 245.725 2.682 20 0 BFADHN C[C@H](NC1CCCC1)c1nnc2n1CCCCC2 ZINC000037140645 385022047 /nfs/dbraw/zinc/02/20/47/385022047.db2.gz GTFVTARZWVQGQX-NSHDSACASA-N 0 3 248.374 2.598 20 0 BFADHN CC(C)Oc1ccccc1[C@@H](C)NC[C@@H](C)O ZINC000530616763 384990969 /nfs/dbraw/zinc/99/09/69/384990969.db2.gz HGBQUQCUARGELY-VXGBXAGGSA-N 0 3 237.343 2.505 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC2(F)F)sn1 ZINC000404436147 384996783 /nfs/dbraw/zinc/99/67/83/384996783.db2.gz RKOISFFIMRRMFX-SECBINFHSA-N 0 3 246.326 2.977 20 0 BFADHN C[C@@H](NCCN1CCCCCC1)c1ccoc1 ZINC000094869145 384997344 /nfs/dbraw/zinc/99/73/44/384997344.db2.gz DLGCRNOXIYFPOZ-CYBMUJFWSA-N 0 3 236.359 2.806 20 0 BFADHN C[C@H](NCCN1CCC(C)CC1)c1ccoc1 ZINC000094869152 384998320 /nfs/dbraw/zinc/99/83/20/384998320.db2.gz YEPXPBDFIQJDFK-ZDUSSCGKSA-N 0 3 236.359 2.662 20 0 BFADHN CC(C)(C)C1CC(NCc2ccccn2)C1 ZINC000339471210 385000484 /nfs/dbraw/zinc/00/04/84/385000484.db2.gz WCCITPIRXLKFBG-UHFFFAOYSA-N 0 3 218.344 2.996 20 0 BFADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2NC[C@H](C)O ZINC000569351238 385001290 /nfs/dbraw/zinc/00/12/90/385001290.db2.gz USNSMECWGFXNPR-CABZTGNLSA-N 0 3 237.343 2.572 20 0 BFADHN c1cc(CN[C@@H]2CC23CCCCC3)sn1 ZINC000404464819 385012361 /nfs/dbraw/zinc/01/23/61/385012361.db2.gz VGJTYGNIZDZKJP-LLVKDONJSA-N 0 3 222.357 2.956 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1ccc(Cl)cn1 ZINC000309999487 385013127 /nfs/dbraw/zinc/01/31/27/385013127.db2.gz DHJTWIGGHHKWEA-KOLCDFICSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1cc(CNCCCC2CC2)sn1 ZINC000404464554 385013587 /nfs/dbraw/zinc/01/35/87/385013587.db2.gz LMDQMNAPICDLTJ-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN Cc1cnc(CNC2(C(C)C)CC2)s1 ZINC000339521456 385015407 /nfs/dbraw/zinc/01/54/07/385015407.db2.gz LNUKUCKLZUGEBV-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN CC(C)(C)CC(=O)Nc1cccc(CN)c1 ZINC000022221127 385015776 /nfs/dbraw/zinc/01/57/76/385015776.db2.gz COAJPFFMQCIRQP-UHFFFAOYSA-N 0 3 220.316 2.520 20 0 BFADHN CCOCCN[C@H](C)c1ccc(CC)cc1 ZINC000035151219 385016439 /nfs/dbraw/zinc/01/64/39/385016439.db2.gz ASCLAJYGBDEYBY-GFCCVEGCSA-N 0 3 221.344 2.936 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1ccc2ccccc2n1 ZINC000188126683 385017071 /nfs/dbraw/zinc/01/70/71/385017071.db2.gz JCYQXTMOUVVITH-RYUDHWBXSA-N 0 3 244.338 2.920 20 0 BFADHN CO[C@H](C)CN[C@H]1CCCOc2cc(C)ccc21 ZINC000188135264 385017207 /nfs/dbraw/zinc/01/72/07/385017207.db2.gz RPWXCYFWINQVPX-OCCSQVGLSA-N 0 3 249.354 2.833 20 0 BFADHN C[C@@H](NCCOc1ccccc1)c1cncs1 ZINC000128283101 385019921 /nfs/dbraw/zinc/01/99/21/385019921.db2.gz NCOKRTOUCWXPCO-LLVKDONJSA-N 0 3 248.351 2.873 20 0 BFADHN Cc1cccc(OCCNCc2ccns2)c1 ZINC000404495533 385020074 /nfs/dbraw/zinc/02/00/74/385020074.db2.gz PUYQMTMLQQFJMF-UHFFFAOYSA-N 0 3 248.351 2.620 20 0 BFADHN c1cc(CNCCCOc2ccccc2)sn1 ZINC000404488809 385020591 /nfs/dbraw/zinc/02/05/91/385020591.db2.gz NWEXQDKVOZQNQK-UHFFFAOYSA-N 0 3 248.351 2.702 20 0 BFADHN COC[C@@H](C)NCc1coc2ccccc12 ZINC000085696368 384961284 /nfs/dbraw/zinc/96/12/84/384961284.db2.gz ACKGGKLEZUYXJW-SNVBAGLBSA-N 0 3 219.284 2.557 20 0 BFADHN CCCCN(C)CC(=O)N[C@@H](C)c1ccccc1 ZINC000057832595 384964527 /nfs/dbraw/zinc/96/45/27/384964527.db2.gz HSGNVOUYXMHLNJ-ZDUSSCGKSA-N 0 3 248.370 2.596 20 0 BFADHN CC[C@](C)(CO)NCc1ccsc1Cl ZINC000308743344 385055111 /nfs/dbraw/zinc/05/51/11/385055111.db2.gz QAOOEGHQTYKKQM-SNVBAGLBSA-N 0 3 233.764 2.652 20 0 BFADHN Fc1ccc(F)c(CN[C@@H]2CCCOCC2)c1 ZINC000086874655 385057620 /nfs/dbraw/zinc/05/76/20/385057620.db2.gz YCVOPNKFUVOWPG-GFCCVEGCSA-N 0 3 241.281 2.624 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1ccccc1OC ZINC000087013340 385062994 /nfs/dbraw/zinc/06/29/94/385062994.db2.gz IMTSCVWJCDOOOB-RISCZKNCSA-N 0 3 237.343 2.507 20 0 BFADHN Cc1ccoc1CNC[C@@]1(C)CCCS1 ZINC000130921448 385063400 /nfs/dbraw/zinc/06/34/00/385063400.db2.gz RPKBUHDWZQOATH-GFCCVEGCSA-N 0 3 225.357 2.963 20 0 BFADHN Cc1ccc2c(c1)OCCC[C@@H]2NC1CC1 ZINC000087016299 385065811 /nfs/dbraw/zinc/06/58/11/385065811.db2.gz DBXSCAQVOOFHRH-ZDUSSCGKSA-N 0 3 217.312 2.961 20 0 BFADHN CCN(CCOCC(F)F)Cc1ccccc1 ZINC000188578332 385066025 /nfs/dbraw/zinc/06/60/25/385066025.db2.gz RXGSGHXGWUXILO-UHFFFAOYSA-N 0 3 243.297 2.790 20 0 BFADHN CN(CCC1CC1)Cc1ccc(Cl)nc1 ZINC000177635706 385066641 /nfs/dbraw/zinc/06/66/41/385066641.db2.gz JJCINNJRAKCQTB-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN CCC[C@H](CC)NCc1nccn1CC ZINC000051924345 385071503 /nfs/dbraw/zinc/07/15/03/385071503.db2.gz SPLIEFIKLGGJMD-NSHDSACASA-N 0 3 209.337 2.571 20 0 BFADHN C[C@H]1C[C@@H](N[C@@H]2CCCc3ccc(F)cc32)CO1 ZINC000647207712 385074595 /nfs/dbraw/zinc/07/45/95/385074595.db2.gz IFHOMFIHRALXKQ-PSOPSSQASA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H](N[C@H]1CCCSC1)c1cncs1 ZINC000157118786 385077494 /nfs/dbraw/zinc/07/74/94/385077494.db2.gz FTGZCJCHQKMMAZ-BDAKNGLRSA-N 0 3 228.386 2.689 20 0 BFADHN CC(C)CC[C@@H](O)CN[C@@H]1C[C@H]1c1ccco1 ZINC000644673418 385078665 /nfs/dbraw/zinc/07/86/65/385078665.db2.gz RDTXHXDSFQQFHS-JHJVBQTASA-N 0 3 237.343 2.522 20 0 BFADHN CSC[C@@H](C)N[C@H](C)c1cnn(C(C)C)c1 ZINC000151029008 385081523 /nfs/dbraw/zinc/08/15/23/385081523.db2.gz VYOHMJCOESMDEJ-GHMZBOCLSA-N 0 3 241.404 2.866 20 0 BFADHN CC[C@H](COC)N[C@@H](CC)c1nc(C)cs1 ZINC000151017939 385082050 /nfs/dbraw/zinc/08/20/50/385082050.db2.gz LTPJGRVTCFFCME-MNOVXSKESA-N 0 3 242.388 2.917 20 0 BFADHN CCCNc1ccc(CN[C@H]2CO[C@H](C)C2)cc1 ZINC000647206289 385029397 /nfs/dbraw/zinc/02/93/97/385029397.db2.gz NVRGWUGJGWBVSL-IUODEOHRSA-N 0 3 248.370 2.776 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)no1 ZINC000336318196 385029712 /nfs/dbraw/zinc/02/97/12/385029712.db2.gz AOVJOIZNRXOWAH-CHWSQXEVSA-N 0 3 234.343 2.994 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H](C)CC(C)C ZINC000188262873 385032129 /nfs/dbraw/zinc/03/21/29/385032129.db2.gz VRGWFNBDTOEIDO-JQWIXIFHSA-N 0 3 221.348 2.870 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)no1 ZINC000336318197 385032441 /nfs/dbraw/zinc/03/24/41/385032441.db2.gz AOVJOIZNRXOWAH-OLZOCXBDSA-N 0 3 234.343 2.994 20 0 BFADHN CC[C@H](C)C[C@@H](CO)NCc1ccc(F)cc1 ZINC000647243314 385033260 /nfs/dbraw/zinc/03/32/60/385033260.db2.gz HPVKHLDXFHZING-FZMZJTMJSA-N 0 3 239.334 2.712 20 0 BFADHN CO[C@H]1CN(Cc2ccsc2)CC[C@@H]1C ZINC000188293588 385036076 /nfs/dbraw/zinc/03/60/76/385036076.db2.gz KRCYXTSXORDEFH-JQWIXIFHSA-N 0 3 225.357 2.605 20 0 BFADHN Nc1c(F)cccc1CN1CCCCCC1 ZINC000156333205 385037284 /nfs/dbraw/zinc/03/72/84/385037284.db2.gz KTJCYNUEADTKRS-UHFFFAOYSA-N 0 3 222.307 2.784 20 0 BFADHN CC[C@@H](C)C[C@H](CO)NCc1ccc(Cl)o1 ZINC000647243569 385038378 /nfs/dbraw/zinc/03/83/78/385038378.db2.gz LLNLQMYDVKEUDM-NXEZZACHSA-N 0 3 245.750 2.820 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cscn1 ZINC000130510330 385038955 /nfs/dbraw/zinc/03/89/55/385038955.db2.gz OPNHELZXGGCOIR-KOLCDFICSA-N 0 3 210.346 2.811 20 0 BFADHN CC1(C)CCCN1Cc1cccc(F)c1N ZINC000156382975 385039125 /nfs/dbraw/zinc/03/91/25/385039125.db2.gz YNAYNDWHXKJVLN-UHFFFAOYSA-N 0 3 222.307 2.782 20 0 BFADHN CS[C@H](C)CNCc1cc(F)cc(F)c1 ZINC000119296501 385039986 /nfs/dbraw/zinc/03/99/86/385039986.db2.gz IIMQDZOBGXUCRN-MRVPVSSYSA-N 0 3 231.311 2.806 20 0 BFADHN Clc1sccc1CNC[C@H]1CCOC1 ZINC000308728032 385040547 /nfs/dbraw/zinc/04/05/47/385040547.db2.gz HQBWSIKADRLCPK-MRVPVSSYSA-N 0 3 231.748 2.528 20 0 BFADHN CCC1(CN[C@@H](C)c2cncs2)CC1 ZINC000130514272 385041804 /nfs/dbraw/zinc/04/18/04/385041804.db2.gz AXLOYJAQLYCTTJ-VIFPVBQESA-N 0 3 210.346 2.984 20 0 BFADHN CC[C@H](C)C[C@H](CO)NCc1cccc(F)c1 ZINC000647243967 385042641 /nfs/dbraw/zinc/04/26/41/385042641.db2.gz RGBCYOUVFMUTJW-SMDDNHRTSA-N 0 3 239.334 2.712 20 0 BFADHN CC[C@@H](C)C[C@@H](CO)NCc1cccc(F)c1 ZINC000647243966 385042719 /nfs/dbraw/zinc/04/27/19/385042719.db2.gz RGBCYOUVFMUTJW-RISCZKNCSA-N 0 3 239.334 2.712 20 0 BFADHN C[C@@H](NCC1(C)CC1)c1ccncc1F ZINC000340304821 385046075 /nfs/dbraw/zinc/04/60/75/385046075.db2.gz XKBVNHQBNCIBPV-SECBINFHSA-N 0 3 208.280 2.671 20 0 BFADHN C[C@H](NC[C@@H]1CC1(C)C)c1ccncc1F ZINC000340296923 385046649 /nfs/dbraw/zinc/04/66/49/385046649.db2.gz QBOBYNNOBSXYDN-UWVGGRQHSA-N 0 3 222.307 2.917 20 0 BFADHN C[C@H](NCC1(C)CC1)c1ccncc1F ZINC000340304825 385046971 /nfs/dbraw/zinc/04/69/71/385046971.db2.gz XKBVNHQBNCIBPV-VIFPVBQESA-N 0 3 208.280 2.671 20 0 BFADHN CC(C)Cc1ncc(CN[C@H]2CC23CC3)s1 ZINC000424188185 385108925 /nfs/dbraw/zinc/10/89/25/385108925.db2.gz XKGPJSKXBRLEHO-NSHDSACASA-N 0 3 236.384 2.984 20 0 BFADHN CC(C)Cc1ncc(CN[C@@H]2CC23CC3)s1 ZINC000424188184 385109015 /nfs/dbraw/zinc/10/90/15/385109015.db2.gz XKGPJSKXBRLEHO-LLVKDONJSA-N 0 3 236.384 2.984 20 0 BFADHN COCC1(CNCc2occc2C)CCCC1 ZINC000449743410 385110417 /nfs/dbraw/zinc/11/04/17/385110417.db2.gz XNTZXLHZMWHJRB-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN COc1cc(C)ccc1CN[C@H]1CC12CC2 ZINC000424188242 385111354 /nfs/dbraw/zinc/11/13/54/385111354.db2.gz XQLCQVPPWPANNN-ZDUSSCGKSA-N 0 3 217.312 2.646 20 0 BFADHN Cc1nnsc1CNC[C@@H](C)c1ccccc1 ZINC000310550619 385115297 /nfs/dbraw/zinc/11/52/97/385115297.db2.gz WJANFXRGMHBCOV-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN C[C@H](N[C@@H]1CCCSC1)c1cccnc1 ZINC000061571763 385122062 /nfs/dbraw/zinc/12/20/62/385122062.db2.gz VZJJUNONSQISNB-CMPLNLGQSA-N 0 3 222.357 2.628 20 0 BFADHN C[C@@H](N[C@H]1CCCSC1)c1ccccn1 ZINC000061571663 385122207 /nfs/dbraw/zinc/12/22/07/385122207.db2.gz QYXBASMCATYPGK-MNOVXSKESA-N 0 3 222.357 2.628 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@H](C)CCC2CC2)n1 ZINC000345802992 385123312 /nfs/dbraw/zinc/12/33/12/385123312.db2.gz XKMIEKPROGHLGG-SNVBAGLBSA-N 0 3 234.343 2.764 20 0 BFADHN CCOc1ccc(CN[C@@H](C)[C@@H](C)OC)cc1 ZINC000189103216 385124727 /nfs/dbraw/zinc/12/47/27/385124727.db2.gz IDJDKORAAMWXNL-NWDGAFQWSA-N 0 3 237.343 2.598 20 0 BFADHN Fc1ccc(CN[C@H]2CCCSC2)c(F)c1 ZINC000061589497 385125771 /nfs/dbraw/zinc/12/57/71/385125771.db2.gz QGTSYOVFNBTFFR-NSHDSACASA-N 0 3 243.322 2.950 20 0 BFADHN Fc1cc(C2CC2)ccc1CN[C@@H]1CCCOC1 ZINC000425942065 385127532 /nfs/dbraw/zinc/12/75/32/385127532.db2.gz FPOZYRSYVDVPTM-CQSZACIVSA-N 0 3 249.329 2.972 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cc2ccccc2o1 ZINC000189127202 385128020 /nfs/dbraw/zinc/12/80/20/385128020.db2.gz FMBKTHRKDBRLQX-WDEREUQCSA-N 0 3 233.311 2.946 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1coc2ccccc12 ZINC000189116426 385128128 /nfs/dbraw/zinc/12/81/28/385128128.db2.gz QRQNYVFGCZZVDQ-WDEREUQCSA-N 0 3 233.311 2.946 20 0 BFADHN Cn1cc2c(n1)CCC[C@H]2N[C@@H]1CC12CCCC2 ZINC000336339475 385128953 /nfs/dbraw/zinc/12/89/53/385128953.db2.gz RJLRIVBFOCZRNI-TZMCWYRMSA-N 0 3 245.370 2.720 20 0 BFADHN CC[C@H](O)CNCc1ccccc1C(F)(F)F ZINC000061626615 385129379 /nfs/dbraw/zinc/12/93/79/385129379.db2.gz YACYGBXOCUVPLZ-JTQLQIEISA-N 0 3 247.260 2.566 20 0 BFADHN Cc1ccc(OCCNCc2cccnc2)cc1 ZINC000032100271 385132123 /nfs/dbraw/zinc/13/21/23/385132123.db2.gz KHHOXIYBIXGKDQ-UHFFFAOYSA-N 0 3 242.322 2.559 20 0 BFADHN CCC1(NCc2cccc3c2OCCCO3)CC1 ZINC000294713486 385133257 /nfs/dbraw/zinc/13/32/57/385133257.db2.gz DVCHAPOTOZHMPW-UHFFFAOYSA-N 0 3 247.338 2.880 20 0 BFADHN c1ccc2c(c1)CN(CC[C@H]1CCCO1)C2 ZINC000189227134 385137221 /nfs/dbraw/zinc/13/72/21/385137221.db2.gz NSGLYNOFNPLGKW-CQSZACIVSA-N 0 3 217.312 2.571 20 0 BFADHN C[C@@H](NCC1(C2CC2)CC1)c1cncs1 ZINC000131322792 385085784 /nfs/dbraw/zinc/08/57/84/385085784.db2.gz YAMITAIFESREII-SECBINFHSA-N 0 3 222.357 2.984 20 0 BFADHN CCS[C@H]1CCC[C@H](NCc2ncc[nH]2)C1 ZINC000165239327 385089453 /nfs/dbraw/zinc/08/94/53/385089453.db2.gz FNCIMTROHXMNAN-QWRGUYRKSA-N 0 3 239.388 2.564 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@@H](C)N(C3CC3)C2)o1 ZINC000151202543 385094650 /nfs/dbraw/zinc/09/46/50/385094650.db2.gz JRYXSPQOHPACOH-VXGBXAGGSA-N 0 3 248.370 2.557 20 0 BFADHN CC(C)C[C@@H](N[C@@H](C)CCO)c1ccccn1 ZINC000151917345 385140820 /nfs/dbraw/zinc/14/08/20/385140820.db2.gz UWMGKQGRDUXFKZ-GXTWGEPZSA-N 0 3 236.359 2.529 20 0 BFADHN c1nc(C2CC2)sc1CN[C@H]1CC12CC2 ZINC000643800228 385101427 /nfs/dbraw/zinc/10/14/27/385101427.db2.gz DEULCORVAMBLQA-JTQLQIEISA-N 0 3 220.341 2.663 20 0 BFADHN C[C@@H]1C[C@@H]1c1noc(C2CCN(C3CC3)CC2)n1 ZINC000334155253 385102162 /nfs/dbraw/zinc/10/21/62/385102162.db2.gz UTNXUJLXRNUSBC-SKDRFNHKSA-N 0 3 247.342 2.535 20 0 BFADHN C[C@@H](N[C@H]1CCC1(C)C)c1cncs1 ZINC000287238421 385103381 /nfs/dbraw/zinc/10/33/81/385103381.db2.gz YRTIUKHDLKPBDU-SCZZXKLOSA-N 0 3 210.346 2.982 20 0 BFADHN Fc1cnccc1CNC[C@@H]1C[C@H]1C1CCC1 ZINC000424176873 385107604 /nfs/dbraw/zinc/10/76/04/385107604.db2.gz SBIZWVQBOCDVQX-STQMWFEESA-N 0 3 234.318 2.747 20 0 BFADHN Cc1cncc(CN(C(C)C)C2CC2)c1 ZINC000189787642 385183550 /nfs/dbraw/zinc/18/35/50/385183550.db2.gz OEELCLGFLQXTKU-UHFFFAOYSA-N 0 3 204.317 2.763 20 0 BFADHN C[C@@H](CSc1ccc(N)cc1Cl)N(C)C ZINC000308815173 385183743 /nfs/dbraw/zinc/18/37/43/385183743.db2.gz DVOJRKLLFMKHOQ-QMMMGPOBSA-N 0 3 244.791 2.964 20 0 BFADHN CC[C@]1(C)COCCN1CCCc1ccncc1 ZINC000360468115 385185026 /nfs/dbraw/zinc/18/50/26/385185026.db2.gz LPEAFDYLJKERJJ-OAHLLOKOSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1ccc(CN[C@H](CO)c2ccccc2)s1 ZINC000062999701 385201694 /nfs/dbraw/zinc/20/16/94/385201694.db2.gz GFHVUXKJCPROLP-CQSZACIVSA-N 0 3 247.363 2.880 20 0 BFADHN C[C@H](NCCOCC(F)F)c1ccccc1 ZINC000189816445 385186749 /nfs/dbraw/zinc/18/67/49/385186749.db2.gz JEXIQZSABGBJGF-JTQLQIEISA-N 0 3 229.270 2.619 20 0 BFADHN CC[C@H](CSC)NCc1nc(C)cs1 ZINC000162190182 385189824 /nfs/dbraw/zinc/18/98/24/385189824.db2.gz GUSJZVOXOHHYSB-SECBINFHSA-N 0 3 230.402 2.683 20 0 BFADHN Clc1ccc(CNCC2=CCCOC2)s1 ZINC000178158983 385190932 /nfs/dbraw/zinc/19/09/32/385190932.db2.gz PDVPHWQJFWOQEC-UHFFFAOYSA-N 0 3 243.759 2.838 20 0 BFADHN CCC[C@@H](C)CN(CC)C[C@H](O)C(F)(F)F ZINC000189877063 385191437 /nfs/dbraw/zinc/19/14/37/385191437.db2.gz ZLYRCDFWVCWOLH-ZJUUUORDSA-N 0 3 241.297 2.668 20 0 BFADHN C[C@@H](O)C[C@@H]1CCCN1Cc1ccccc1F ZINC000152539474 385192504 /nfs/dbraw/zinc/19/25/04/385192504.db2.gz SVPMFWPTUDQHLY-YPMHNXCESA-N 0 3 237.318 2.561 20 0 BFADHN CC[C@@H](O)[C@H]1CCCCN1Cc1cncc(C)c1 ZINC000189895918 385196286 /nfs/dbraw/zinc/19/62/86/385196286.db2.gz VFYNOCVURPGIBM-HUUCEWRRSA-N 0 3 248.370 2.515 20 0 BFADHN Nc1ncccc1CN1CCC2(CCCC2)CC1 ZINC000062956793 385199507 /nfs/dbraw/zinc/19/95/07/385199507.db2.gz AOUSZEGMVGAGBN-UHFFFAOYSA-N 0 3 245.370 2.820 20 0 BFADHN COc1ccc([C@@H](C)N[C@H]2CC23CC3)cc1O ZINC000424332608 385147986 /nfs/dbraw/zinc/14/79/86/385147986.db2.gz WYUXJUYELSDKGI-RNCFNFMXSA-N 0 3 233.311 2.604 20 0 BFADHN COc1cc(CN[C@H](C)c2ccccc2)ccn1 ZINC000062057862 385153585 /nfs/dbraw/zinc/15/35/85/385153585.db2.gz WUBPLHQYELKHKE-GFCCVEGCSA-N 0 3 242.322 2.941 20 0 BFADHN CCC[C@H](C)N1CCN(c2ccccc2O)CC1 ZINC000177227619 385200340 /nfs/dbraw/zinc/20/03/40/385200340.db2.gz BMABCHNYBZTYJI-ZDUSSCGKSA-N 0 3 248.370 2.703 20 0 BFADHN Cc1csc(CN2CC[C@@H]3CCC[C@@H]32)n1 ZINC000336359569 385156098 /nfs/dbraw/zinc/15/60/98/385156098.db2.gz RSLHHMPEVVOFPQ-QWRGUYRKSA-N 0 3 222.357 2.826 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@H]1CC12CC2 ZINC000424312940 385158773 /nfs/dbraw/zinc/15/87/73/385158773.db2.gz AFUOBOZQQUQMQW-SCZZXKLOSA-N 0 3 223.341 2.960 20 0 BFADHN Fc1cncc([C@@H](N[C@H]2CC23CC3)C2CC2)c1 ZINC000424319365 385161156 /nfs/dbraw/zinc/16/11/56/385161156.db2.gz IAPQMRRIOLBOIO-STQMWFEESA-N 0 3 232.302 2.814 20 0 BFADHN C[C@@H](N(C)Cc1cccnc1)C1(C)CC1 ZINC000176930317 385161651 /nfs/dbraw/zinc/16/16/51/385161651.db2.gz PWNURTFHUOTVOU-LLVKDONJSA-N 0 3 204.317 2.702 20 0 BFADHN COC[C@@H]1CCCCN1Cc1ccccc1F ZINC000449760278 385163573 /nfs/dbraw/zinc/16/35/73/385163573.db2.gz NHQWDJPCLLYVDV-ZDUSSCGKSA-N 0 3 237.318 2.827 20 0 BFADHN CN(Cc1cccc(O)c1)[C@H]1C=CCCC1 ZINC000530905480 385163588 /nfs/dbraw/zinc/16/35/88/385163588.db2.gz VGXGEQSMCQISBW-ZDUSSCGKSA-N 0 3 217.312 2.933 20 0 BFADHN Cc1ccc([C@@H](C)NCCCn2ccnc2C)o1 ZINC000165637814 385163801 /nfs/dbraw/zinc/16/38/01/385163801.db2.gz IVNICMWGDVYUGS-GFCCVEGCSA-N 0 3 247.342 2.834 20 0 BFADHN COc1ccc(OC)c([C@H](C)N[C@H]2CC23CC3)c1 ZINC000424326194 385165751 /nfs/dbraw/zinc/16/57/51/385165751.db2.gz ONWFUGLLSUOEGW-HZMBPMFUSA-N 0 3 247.338 2.907 20 0 BFADHN C[C@@H](NCCCCF)c1cc2n(n1)CCCC2 ZINC000647304581 385165925 /nfs/dbraw/zinc/16/59/25/385165925.db2.gz VTSKLCVTOVPCKK-LLVKDONJSA-N 0 3 239.338 2.620 20 0 BFADHN Clc1ccc(CNCCOCC2CC2)s1 ZINC000053585263 385165884 /nfs/dbraw/zinc/16/58/84/385165884.db2.gz WSMVADPOXRNHMY-UHFFFAOYSA-N 0 3 245.775 2.918 20 0 BFADHN C[C@H](N(C)Cc1cn2ccccc2n1)C1(C)CC1 ZINC000177225885 385200891 /nfs/dbraw/zinc/20/08/91/385200891.db2.gz IWADORNVZHREOA-LBPRGKRZSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1c(F)cc(F)cc1F ZINC000354329665 385168326 /nfs/dbraw/zinc/16/83/26/385168326.db2.gz SWLYIWZHUVFHLC-SFYZADRCSA-N 0 3 229.245 2.850 20 0 BFADHN COc1cc(CN(C)[C@@H]2C=CCCC2)ccc1O ZINC000177053193 385172826 /nfs/dbraw/zinc/17/28/26/385172826.db2.gz RZMPFIBOVANCIM-CYBMUJFWSA-N 0 3 247.338 2.941 20 0 BFADHN CCSCC[C@@H](C)N[C@@H](C)c1cnccn1 ZINC000152817873 385214948 /nfs/dbraw/zinc/21/49/48/385214948.db2.gz WMNSHQYBGINJAW-MNOVXSKESA-N 0 3 239.388 2.659 20 0 BFADHN COC[C@H](NCc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000178246133 385215139 /nfs/dbraw/zinc/21/51/39/385215139.db2.gz WICHRHPGYIPFCB-BPNCWPANSA-N 0 3 249.354 2.918 20 0 BFADHN Cc1cscc1CN[C@H](C)COC(C)C ZINC000308852735 385216891 /nfs/dbraw/zinc/21/68/91/385216891.db2.gz OYLGPDVPWAEALG-LLVKDONJSA-N 0 3 227.373 2.960 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ccccc1 ZINC000044688569 385218532 /nfs/dbraw/zinc/21/85/32/385218532.db2.gz OFHIUTBBZAQDFV-NWDGAFQWSA-N 0 3 207.317 2.762 20 0 BFADHN Cc1n[nH]cc1CN[C@H](C)CSC(C)(C)C ZINC000130135787 385222187 /nfs/dbraw/zinc/22/21/87/385222187.db2.gz JWLBNKWIVLWTFP-SECBINFHSA-N 0 3 241.404 2.728 20 0 BFADHN CC[C@@H](COC)N[C@@H]1CCc2ccc(F)cc21 ZINC000152930957 385223022 /nfs/dbraw/zinc/22/30/22/385223022.db2.gz RWMPHFLGLRKAKU-GXTWGEPZSA-N 0 3 237.318 2.828 20 0 BFADHN Cc1ccoc1CN(C)C[C@H]1CCCC[C@H]1O ZINC000305787114 385227992 /nfs/dbraw/zinc/22/79/92/385227992.db2.gz ADMNWRZDVNTPNH-CHWSQXEVSA-N 0 3 237.343 2.571 20 0 BFADHN CCc1ccc([C@H](COC)N[C@@H](C)C2CC2)o1 ZINC000177372822 385231768 /nfs/dbraw/zinc/23/17/68/385231768.db2.gz LZBDZCPIALJWDM-GWCFXTLKSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@@H](NCCCCCO)c1ccc(Cl)cn1 ZINC000279926622 385232278 /nfs/dbraw/zinc/23/22/78/385232278.db2.gz IPGZHFGTXHVRLT-SNVBAGLBSA-N 0 3 242.750 2.548 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1ccc(C)c(F)c1 ZINC000037621321 385232613 /nfs/dbraw/zinc/23/26/13/385232613.db2.gz ZHVIGEZAPRRJOG-CYBMUJFWSA-N 0 3 238.306 2.590 20 0 BFADHN Cc1ccc(F)c(CNCCCCF)c1 ZINC000308878641 385233247 /nfs/dbraw/zinc/23/32/47/385233247.db2.gz CEEOXRUMIYNNNO-UHFFFAOYSA-N 0 3 213.271 2.973 20 0 BFADHN C[C@H](N[C@H](CCO)c1ccccc1F)C1CC1 ZINC000647249768 385233288 /nfs/dbraw/zinc/23/32/88/385233288.db2.gz NSTGNZOLWGEQCV-IINYFYTJSA-N 0 3 237.318 2.637 20 0 BFADHN CCCCCCN1CCCN(C(=O)CC)CC1 ZINC000157615159 385234151 /nfs/dbraw/zinc/23/41/51/385234151.db2.gz VPYYAICZLIBJEU-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN c1coc(CN[C@@H]2CC[C@H]2C2CCC2)c1 ZINC000308883471 385234709 /nfs/dbraw/zinc/23/47/09/385234709.db2.gz VAPCQSFTCUFJGE-QWHCGFSZSA-N 0 3 205.301 2.948 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CC[C@H](C)[C@@H](C)C1 ZINC000252727870 385235258 /nfs/dbraw/zinc/23/52/58/385235258.db2.gz RGBXMGFDTOJFTA-RWMBFGLXSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1nnsc1CNC1(C)CCCCC1 ZINC000308882810 385235618 /nfs/dbraw/zinc/23/56/18/385235618.db2.gz DQXYISGQSFAFAU-UHFFFAOYSA-N 0 3 225.361 2.659 20 0 BFADHN CC(C)N(C)C(=O)[C@@H](C)N[C@H](C)c1ccccc1 ZINC000177407106 385236417 /nfs/dbraw/zinc/23/64/17/385236417.db2.gz KQKQBIUXQOIYRI-CHWSQXEVSA-N 0 3 248.370 2.593 20 0 BFADHN Cc1ccsc1CN(C)CCC[C@H](C)O ZINC000308884095 385239385 /nfs/dbraw/zinc/23/93/85/385239385.db2.gz FSFBJFSOXGXEPJ-NSHDSACASA-N 0 3 227.373 2.649 20 0 BFADHN CN(Cc1ccc2c[nH]nc2c1)[C@@H]1CCSC1 ZINC000130512645 385257559 /nfs/dbraw/zinc/25/75/59/385257559.db2.gz HYHQTZKLAARCEH-GFCCVEGCSA-N 0 3 247.367 2.500 20 0 BFADHN CCC1(NCc2cnc(C(C)(C)C)nc2)CC1 ZINC000294826806 385243283 /nfs/dbraw/zinc/24/32/83/385243283.db2.gz PPRYTJUFDKBTTN-UHFFFAOYSA-N 0 3 233.359 2.806 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc(F)c(C)c1 ZINC000166525178 385249833 /nfs/dbraw/zinc/24/98/33/385249833.db2.gz RCQOSUBVTSUMDE-WDEREUQCSA-N 0 3 225.307 2.647 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc(C)c(F)c1 ZINC000166524673 385250548 /nfs/dbraw/zinc/25/05/48/385250548.db2.gz KITGGDFCJPEWDN-WDEREUQCSA-N 0 3 225.307 2.647 20 0 BFADHN Cc1ccc(CN[C@@H](CO)c2ccccc2)s1 ZINC000062999700 385203509 /nfs/dbraw/zinc/20/35/09/385203509.db2.gz GFHVUXKJCPROLP-AWEZNQCLSA-N 0 3 247.363 2.880 20 0 BFADHN CCC[C@H](O)CN[C@@H]1CCc2c1cccc2F ZINC000131072525 385304486 /nfs/dbraw/zinc/30/44/86/385304486.db2.gz YBGCCYOVQUWSFS-IINYFYTJSA-N 0 3 237.318 2.564 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1ccccc1OC ZINC000131056864 385306065 /nfs/dbraw/zinc/30/60/65/385306065.db2.gz UPGFNEVMMXXAFT-RYUDHWBXSA-N 0 3 237.343 2.507 20 0 BFADHN Fc1c(Cl)cccc1CN[C@@H]1CCCOC1 ZINC000131129448 385309671 /nfs/dbraw/zinc/30/96/71/385309671.db2.gz BZIGHJQJKZEDAL-SNVBAGLBSA-N 0 3 243.709 2.748 20 0 BFADHN CC(C)=CCNCc1ccc(-n2ccnc2)cc1 ZINC000191628983 385310119 /nfs/dbraw/zinc/31/01/19/385310119.db2.gz PMKUPXGVWCKKBW-UHFFFAOYSA-N 0 3 241.338 2.928 20 0 BFADHN CCC[C@@H](O)CNCc1cccc(Cl)c1F ZINC000131131470 385310829 /nfs/dbraw/zinc/31/08/29/385310829.db2.gz OGUJTIBOHFQBNM-SNVBAGLBSA-N 0 3 245.725 2.730 20 0 BFADHN CC1(C)CCCC[C@@H]1NCc1cnns1 ZINC000308949525 385311719 /nfs/dbraw/zinc/31/17/19/385311719.db2.gz XGHAFZDVWFPCKE-JTQLQIEISA-N 0 3 225.361 2.597 20 0 BFADHN C[C@@H](N[C@H](CO)CC(C)(C)C)c1cncs1 ZINC000131155927 385314044 /nfs/dbraw/zinc/31/40/44/385314044.db2.gz ZNDXEHOMSXMFCN-ZJUUUORDSA-N 0 3 242.388 2.591 20 0 BFADHN CC/C=C/CNCc1cccc(OC)c1OC ZINC000294929565 385317252 /nfs/dbraw/zinc/31/72/52/385317252.db2.gz BNWPUCQBMFIEGU-AATRIKPKSA-N 0 3 235.327 2.760 20 0 BFADHN CCC(CC)[C@@H](O)CN[C@H](C)c1ccco1 ZINC000167508782 385320178 /nfs/dbraw/zinc/32/01/78/385320178.db2.gz WTTWASFDISZTSE-PWSUYJOCSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1ccc(CN2CCS[C@@H](C)CC2)o1 ZINC000190696778 385258436 /nfs/dbraw/zinc/25/84/36/385258436.db2.gz UDMXJHMLROTJAL-NSHDSACASA-N 0 3 225.357 2.915 20 0 BFADHN COc1ccc(CN[C@@H]2CC[C@@H]2C)c(F)c1 ZINC000380809895 385260268 /nfs/dbraw/zinc/26/02/68/385260268.db2.gz JNNLDIXSFNOSLT-TVQRCGJNSA-N 0 3 223.291 2.722 20 0 BFADHN Cc1ccc(CNCCOCC(F)F)cc1C ZINC000190892888 385265940 /nfs/dbraw/zinc/26/59/40/385265940.db2.gz YOSYNDRYARIXQM-UHFFFAOYSA-N 0 3 243.297 2.675 20 0 BFADHN CCOc1ccccc1CN[C@H]1C[C@@H]1C ZINC000052268812 385269048 /nfs/dbraw/zinc/26/90/48/385269048.db2.gz BCKFYBWTFQBGMB-JQWIXIFHSA-N 0 3 205.301 2.583 20 0 BFADHN Fc1ccc2c(c1)[C@H](N1CCCC1)CC2 ZINC000177666286 385271289 /nfs/dbraw/zinc/27/12/89/385271289.db2.gz PMIMQCJOFPOTQP-CYBMUJFWSA-N 0 3 205.276 2.909 20 0 BFADHN CN(C)CCSCC1CCCCC1 ZINC000120225772 385274575 /nfs/dbraw/zinc/27/45/75/385274575.db2.gz NPJRCJRHTYOZDQ-UHFFFAOYSA-N 0 3 201.379 2.862 20 0 BFADHN COCc1ccc(CNCC2(C)CCC2)o1 ZINC000166727483 385277509 /nfs/dbraw/zinc/27/75/09/385277509.db2.gz UFLIVWTVGVDVRA-UHFFFAOYSA-N 0 3 223.316 2.706 20 0 BFADHN CC[C@H](CNCc1cc(F)cc(Cl)c1)OC ZINC000289720160 385287441 /nfs/dbraw/zinc/28/74/41/385287441.db2.gz FZTATUZVFHTAST-GFCCVEGCSA-N 0 3 245.725 2.994 20 0 BFADHN COc1cc(F)c([C@H](C)NC2CC2)cc1OC ZINC000359363273 385292109 /nfs/dbraw/zinc/29/21/09/385292109.db2.gz MCWRRAQUEPYUCU-QMMMGPOBSA-N 0 3 239.290 2.656 20 0 BFADHN CO[C@@H](C)CN[C@H]1CCc2cc(Cl)ccc21 ZINC000191209494 385293435 /nfs/dbraw/zinc/29/34/35/385293435.db2.gz HWHMBQDYVCQQIY-ZANVPECISA-N 0 3 239.746 2.952 20 0 BFADHN FCCNCCCc1c(F)cccc1Cl ZINC000308921476 385294123 /nfs/dbraw/zinc/29/41/23/385294123.db2.gz JBIRYTUSKDTTSB-UHFFFAOYSA-N 0 3 233.689 2.971 20 0 BFADHN CC(C)(C)C(C)(C)CNCc1cc[nH]n1 ZINC000308922094 385294610 /nfs/dbraw/zinc/29/46/10/385294610.db2.gz JERYABVYHWNGOM-UHFFFAOYSA-N 0 3 209.337 2.572 20 0 BFADHN CC[C@H](CN[C@H]1CCCc2ccc(O)cc21)OC ZINC000291194520 385294688 /nfs/dbraw/zinc/29/46/88/385294688.db2.gz LPAUHXRTQAZYRD-HIFRSBDPSA-N 0 3 249.354 2.784 20 0 BFADHN CO[C@H](C)CNCc1ccc(C(C)C)cc1 ZINC000167051617 385296702 /nfs/dbraw/zinc/29/67/02/385296702.db2.gz VBXUGCVLXSZCLB-GFCCVEGCSA-N 0 3 221.344 2.935 20 0 BFADHN C[C@@H](CCC1CC1)N[C@H]1CCCc2c[nH]nc21 ZINC000364255998 385298167 /nfs/dbraw/zinc/29/81/67/385298167.db2.gz SRJXMLGUFQFWSN-GWCFXTLKSA-N 0 3 233.359 2.955 20 0 BFADHN CCN(Cc1cc(C)on1)[C@@H](C)C(C)C ZINC000065299516 385299397 /nfs/dbraw/zinc/29/93/97/385299397.db2.gz OUHSBQOLBXGUNA-NSHDSACASA-N 0 3 210.321 2.849 20 0 BFADHN CO[C@](C)(CN[C@H](C)c1cncs1)C1CC1 ZINC000291204985 385299624 /nfs/dbraw/zinc/29/96/24/385299624.db2.gz MJCZWSDSPCEKBU-BXKDBHETSA-N 0 3 240.372 2.609 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](O)C(C)C)o1 ZINC000167784907 385335811 /nfs/dbraw/zinc/33/58/11/385335811.db2.gz VSOQBGRGIBHPSM-PWSUYJOCSA-N 0 3 225.332 2.510 20 0 BFADHN COC[C@H](C)N[C@@H](c1ccccc1)[C@@H]1CCCO1 ZINC000178125533 385338463 /nfs/dbraw/zinc/33/84/63/385338463.db2.gz MOFMRZGYMZHDHP-QEJZJMRPSA-N 0 3 249.354 2.531 20 0 BFADHN CCOCCN[C@@H](C)c1ccc2ccccc2n1 ZINC000178165177 385339201 /nfs/dbraw/zinc/33/92/01/385339201.db2.gz WXWAANODZZXDEJ-LBPRGKRZSA-N 0 3 244.338 2.922 20 0 BFADHN CC(C)CSCCN[C@@H](C)c1cnccn1 ZINC000131539028 385341064 /nfs/dbraw/zinc/34/10/64/385341064.db2.gz NCQVKEGNNMMKBO-NSHDSACASA-N 0 3 239.388 2.516 20 0 BFADHN CC[C@H]1CCN(Cc2nc(C)cs2)C1 ZINC000131552074 385344241 /nfs/dbraw/zinc/34/42/41/385344241.db2.gz FAODXEUCWVQFGO-JTQLQIEISA-N 0 3 210.346 2.683 20 0 BFADHN CC1(C)C[C@@H](NCc2cc(F)cc(F)c2)CO1 ZINC000335360933 385349369 /nfs/dbraw/zinc/34/93/69/385349369.db2.gz WCYAVIZLRFLFGE-GFCCVEGCSA-N 0 3 241.281 2.622 20 0 BFADHN COc1ccc(CNC2C(C)(C)C2(C)C)cn1 ZINC000158124691 385349616 /nfs/dbraw/zinc/34/96/16/385349616.db2.gz POWMPKCGRHACDU-UHFFFAOYSA-N 0 3 234.343 2.614 20 0 BFADHN CC1(C)C[C@@H](N[C@@H]2CCCc3occc32)CO1 ZINC000335362049 385351075 /nfs/dbraw/zinc/35/10/75/385351075.db2.gz XNSJCVUDCYZQLJ-ZYHUDNBSSA-N 0 3 235.327 2.814 20 0 BFADHN Fc1cc(Cl)cc(CN[C@@H]2CCCOC2)c1 ZINC000168260222 385356254 /nfs/dbraw/zinc/35/62/54/385356254.db2.gz YTZDXRDXXGNXDA-GFCCVEGCSA-N 0 3 243.709 2.748 20 0 BFADHN CC/C=C/CNCc1cnn(C(C)(C)C)c1 ZINC000294984889 385360426 /nfs/dbraw/zinc/36/04/26/385360426.db2.gz GVZVNEJKKQPHEU-VOTSOKGWSA-N 0 3 221.348 2.694 20 0 BFADHN Cc1nc(C(C)C)sc1[C@@H](C)NC[C@@H](C)O ZINC000131828530 385361945 /nfs/dbraw/zinc/36/19/45/385361945.db2.gz SPEPFONMWKCUFS-RKDXNWHRSA-N 0 3 242.388 2.606 20 0 BFADHN CC/C=C/CNCc1cnn(C(C)C)c1 ZINC000294998776 385366639 /nfs/dbraw/zinc/36/66/39/385366639.db2.gz IDMJZUIYJBAOGZ-AATRIKPKSA-N 0 3 207.321 2.520 20 0 BFADHN Fc1cnccc1CN1CCC[C@@H]1C1CC1 ZINC000648367999 385368679 /nfs/dbraw/zinc/36/86/79/385368679.db2.gz DOOWRRKSWFPNID-CYBMUJFWSA-N 0 3 220.291 2.595 20 0 BFADHN C[C@@H](NC1CC(F)(F)C1)c1ccncc1 ZINC000309013531 385368719 /nfs/dbraw/zinc/36/87/19/385368719.db2.gz SSBYLQQPCCTXMU-MRVPVSSYSA-N 0 3 212.243 2.530 20 0 BFADHN CCOc1ccccc1[C@H](CC)NC[C@@H](C)O ZINC000192779960 385369511 /nfs/dbraw/zinc/36/95/11/385369511.db2.gz AZQHIHYCAVJTBI-YPMHNXCESA-N 0 3 237.343 2.507 20 0 BFADHN CN(CCC1CC1)Cc1ccnc(Cl)c1 ZINC000179248001 385373449 /nfs/dbraw/zinc/37/34/49/385373449.db2.gz YHVKTBWVQJMJTQ-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN CN(Cc1cnn2ccccc12)CC1CCC1 ZINC000179208812 385374882 /nfs/dbraw/zinc/37/48/82/385374882.db2.gz UOSDJKSCCBQOHE-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN C[C@@H](NCCCCO)c1ccc(F)cc1Cl ZINC000193277179 385390490 /nfs/dbraw/zinc/39/04/90/385390490.db2.gz FXPKFTFCTNTXDE-SECBINFHSA-N 0 3 245.725 2.902 20 0 BFADHN C[C@H](O)CN1CCC[C@@H]1c1ccccc1Cl ZINC000192970638 385378512 /nfs/dbraw/zinc/37/85/12/385378512.db2.gz RSIINOZTMXTIAI-GXFFZTMASA-N 0 3 239.746 2.858 20 0 BFADHN CC1(C)CCCN(CCO[C@@H]2CCCCO2)C1 ZINC000193054265 385382460 /nfs/dbraw/zinc/38/24/60/385382460.db2.gz VBEKDZDEPHLUOL-CYBMUJFWSA-N 0 3 241.375 2.652 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1sccc1Cl ZINC000193221445 385387071 /nfs/dbraw/zinc/38/70/71/385387071.db2.gz WRQBEOPJFMGAMH-HPOULIHZSA-N 0 3 245.775 2.818 20 0 BFADHN Cc1cnc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)s1 ZINC000403323082 385325596 /nfs/dbraw/zinc/32/55/96/385325596.db2.gz MQHNQSDLTYFHEI-GRYCIOLGSA-N 0 3 236.384 2.977 20 0 BFADHN CCOCCNCc1cc(F)cc(Cl)c1 ZINC000168253459 385327390 /nfs/dbraw/zinc/32/73/90/385327390.db2.gz IIVUNNVPGQVAFY-UHFFFAOYSA-N 0 3 231.698 2.605 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H]1CCCc2occc21 ZINC000193300982 385391854 /nfs/dbraw/zinc/39/18/54/385391854.db2.gz IEOUCTGGEHXYSQ-FTYDKLPRSA-N 0 3 235.327 2.574 20 0 BFADHN Cc1c[nH]nc1CNC1CCC2(CC2)CC1 ZINC000336437495 385393310 /nfs/dbraw/zinc/39/33/10/385393310.db2.gz SFPHDBZKPSLILQ-UHFFFAOYSA-N 0 3 219.332 2.531 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1sc(C)nc1C ZINC000309080262 385400884 /nfs/dbraw/zinc/40/08/84/385400884.db2.gz OMTKLVVEUNWDDK-UWVGGRQHSA-N 0 3 242.413 2.744 20 0 BFADHN C[C@H](NCc1ccco1)[C@@H]1COc2ccccc21 ZINC000169086168 385405128 /nfs/dbraw/zinc/40/51/28/385405128.db2.gz FRJXSUQJOJLCJR-FZMZJTMJSA-N 0 3 243.306 2.934 20 0 BFADHN CSC1(CNCc2cc(F)cc(F)c2)CC1 ZINC000193663275 385406828 /nfs/dbraw/zinc/40/68/28/385406828.db2.gz LVWGEBFBRYFPSY-UHFFFAOYSA-N 0 3 243.322 2.950 20 0 BFADHN CC[C@H](N[C@H]1CCOC1)c1ccccc1OC ZINC000109430117 385409264 /nfs/dbraw/zinc/40/92/64/385409264.db2.gz ZJQPEACGGMQQOF-AAEUAGOBSA-N 0 3 235.327 2.525 20 0 BFADHN C[C@H](NCCN1CC=CCC1)c1ccc(F)cc1 ZINC000281215951 385409816 /nfs/dbraw/zinc/40/98/16/385409816.db2.gz SXRFEDCCKCERMH-ZDUSSCGKSA-N 0 3 248.345 2.738 20 0 BFADHN Cc1ccc(CN2CCC[C@H]2CO)cc1Cl ZINC000193795821 385412533 /nfs/dbraw/zinc/41/25/33/385412533.db2.gz ZSMWYJWOIHKGEH-LBPRGKRZSA-N 0 3 239.746 2.605 20 0 BFADHN Cc1csc(C(C)(C)NC[C@H]2C[C@@H]2C)n1 ZINC000309149417 385440247 /nfs/dbraw/zinc/44/02/47/385440247.db2.gz WAPFFOJNGXCHIT-WCBMZHEXSA-N 0 3 224.373 2.932 20 0 BFADHN C[C@H](CCO)CCNCc1sccc1Cl ZINC000295122693 385445657 /nfs/dbraw/zinc/44/56/57/385445657.db2.gz NKJDYXHBUDPQCQ-VIFPVBQESA-N 0 3 247.791 2.900 20 0 BFADHN Cc1ccoc1CN[C@@H]1CC[C@@H]1C1CC1 ZINC000309166493 385446443 /nfs/dbraw/zinc/44/64/43/385446443.db2.gz JERZWDKYYWUZCY-VXGBXAGGSA-N 0 3 205.301 2.866 20 0 BFADHN CC/C=C/CNCc1cccc2c1OCCCO2 ZINC000295117383 385447353 /nfs/dbraw/zinc/44/73/53/385447353.db2.gz VZKJDZBALAOGMA-ONEGZZNKSA-N 0 3 247.338 2.904 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1cccc(F)c1F ZINC000182444898 385447533 /nfs/dbraw/zinc/44/75/33/385447533.db2.gz HTRXVWBBGNTRBD-BDAKNGLRSA-N 0 3 229.270 2.650 20 0 BFADHN CO[C@@H](C)CNC1(c2cccc(Cl)c2)CC1 ZINC000182437876 385447775 /nfs/dbraw/zinc/44/77/75/385447775.db2.gz DNLYTGYDABOXFI-JTQLQIEISA-N 0 3 239.746 2.954 20 0 BFADHN CC1(C)CCN(Cc2cccc(F)c2N)C1 ZINC000156400024 385448564 /nfs/dbraw/zinc/44/85/64/385448564.db2.gz CYGCXDQINHCJFI-UHFFFAOYSA-N 0 3 222.307 2.640 20 0 BFADHN C[C@H](Cc1ccccc1)CN1CCN(C)C[C@@H]1C ZINC000360868370 385449727 /nfs/dbraw/zinc/44/97/27/385449727.db2.gz AATCEQFNRIHKLL-CABCVRRESA-N 0 3 246.398 2.501 20 0 BFADHN CC[C@H](NCc1cccs1)c1cnn(C)c1 ZINC000156487174 385455299 /nfs/dbraw/zinc/45/52/99/385455299.db2.gz NZLOSTNPLFBBPD-LBPRGKRZSA-N 0 3 235.356 2.723 20 0 BFADHN Cc1cnc(CNC2CC3(CCC3)C2)s1 ZINC000309190226 385456990 /nfs/dbraw/zinc/45/69/90/385456990.db2.gz PMFDSROXJUYFLX-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN C[C@@H](O)c1ccc(CNC[C@H]2CCC=CO2)cc1 ZINC000194265582 385427219 /nfs/dbraw/zinc/42/72/19/385427219.db2.gz MDTBAISAAYNJSX-IUODEOHRSA-N 0 3 247.338 2.522 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCCC(C)(C)C2)n1 ZINC000156035806 385430853 /nfs/dbraw/zinc/43/08/53/385430853.db2.gz SWLXUQPMHBUWKM-UHFFFAOYSA-N 0 3 234.343 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCCC(C)(C)C2)n1 ZINC000156035806 385430855 /nfs/dbraw/zinc/43/08/55/385430855.db2.gz SWLXUQPMHBUWKM-UHFFFAOYSA-N 0 3 234.343 2.718 20 0 BFADHN C[C@@H](NCC1=CCCCC1)c1cnn(C)c1 ZINC000295090886 385432271 /nfs/dbraw/zinc/43/22/71/385432271.db2.gz ZEQWAOSZXCQBMH-LLVKDONJSA-N 0 3 219.332 2.571 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1ccncc1Cl ZINC000309141187 385432613 /nfs/dbraw/zinc/43/26/13/385432613.db2.gz TWPGPWVBJHCHCQ-GZMMTYOYSA-N 0 3 242.775 2.576 20 0 BFADHN C[C@H](O)CCN(C)Cc1cc(Cl)cs1 ZINC000194403862 385433479 /nfs/dbraw/zinc/43/34/79/385433479.db2.gz DHGQSONFIRBJEW-QMMMGPOBSA-N 0 3 233.764 2.604 20 0 BFADHN Cc1csc(CN[C@@H](C)[C@@H]2CC2(C)C)n1 ZINC000309138733 385433570 /nfs/dbraw/zinc/43/35/70/385433570.db2.gz SODALBAYURZSMK-UWVGGRQHSA-N 0 3 224.373 2.976 20 0 BFADHN CN(Cc1sccc1Cl)C[C@H]1CCOC1 ZINC000194425583 385433788 /nfs/dbraw/zinc/43/37/88/385433788.db2.gz XRFZGNRMSIJLJX-SECBINFHSA-N 0 3 245.775 2.870 20 0 BFADHN C[C@@H](O)CCN(C)Cc1cc(Cl)cs1 ZINC000194403873 385433833 /nfs/dbraw/zinc/43/38/33/385433833.db2.gz DHGQSONFIRBJEW-MRVPVSSYSA-N 0 3 233.764 2.604 20 0 BFADHN C[C@@]1(NCCF)CCCc2ccccc21 ZINC000309144282 385435301 /nfs/dbraw/zinc/43/53/01/385435301.db2.gz UQSRHAVDHSPBJI-CYBMUJFWSA-N 0 3 207.292 2.797 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1CCC1CCCC1 ZINC000156124299 385437270 /nfs/dbraw/zinc/43/72/70/385437270.db2.gz CDVDHOVWLUPNEZ-NEPJUHHUSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1cn[nH]c1CNCc1ccc(Cl)cc1 ZINC000289795136 385465526 /nfs/dbraw/zinc/46/55/26/385465526.db2.gz HVWIBFYFNPWHOA-UHFFFAOYSA-N 0 3 235.718 2.661 20 0 BFADHN c1nc(CN2CCC[C@H]2C2CCC2)cs1 ZINC000171066046 385466241 /nfs/dbraw/zinc/46/62/41/385466241.db2.gz GOSBXPFJKVDDID-LBPRGKRZSA-N 0 3 222.357 2.908 20 0 BFADHN Cc1ccc(CNCCc2ccccc2O)o1 ZINC000171135524 385470381 /nfs/dbraw/zinc/47/03/81/385470381.db2.gz HGWAXBZHQPGGEN-UHFFFAOYSA-N 0 3 231.295 2.626 20 0 BFADHN CCc1cccc(Cl)c1CNCCOC ZINC000336770822 385473298 /nfs/dbraw/zinc/47/32/98/385473298.db2.gz VZIQCQPJJVBJPO-UHFFFAOYSA-N 0 3 227.735 2.638 20 0 BFADHN CCc1ccc(CN[C@@H]2CCO[C@@H](C3CC3)C2)o1 ZINC000156911677 385474309 /nfs/dbraw/zinc/47/43/09/385474309.db2.gz QUAFNEKYCFJQMX-IUODEOHRSA-N 0 3 249.354 2.889 20 0 BFADHN COc1c(C)cnc(CN2C[C@H]3CC[C@@H]2C3)c1C ZINC000171234645 385476354 /nfs/dbraw/zinc/47/63/54/385476354.db2.gz AHKDPGNXKKYJRL-QWHCGFSZSA-N 0 3 246.354 2.691 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1cc(C)c(C)o1 ZINC000282013376 385477676 /nfs/dbraw/zinc/47/76/76/385477676.db2.gz DQPDNOZYQWDXHZ-JQWIXIFHSA-N 0 3 225.332 2.972 20 0 BFADHN CCN1CCN(c2ccccc2NC(C)C)CC1 ZINC000179604162 385478469 /nfs/dbraw/zinc/47/84/69/385478469.db2.gz OIWKRKCMTPPCGW-UHFFFAOYSA-N 0 3 247.386 2.649 20 0 BFADHN CC(C)[C@H](C)N(Cc1ccc(CO)o1)C1CC1 ZINC000156982168 385479390 /nfs/dbraw/zinc/47/93/90/385479390.db2.gz GQFYEXWNUOGIKN-NSHDSACASA-N 0 3 237.343 2.781 20 0 BFADHN Cc1ccsc1CN1CCSC[C@H]1C ZINC000179663337 385482119 /nfs/dbraw/zinc/48/21/19/385482119.db2.gz GKWRHHWLLGAGSB-SNVBAGLBSA-N 0 3 227.398 2.994 20 0 BFADHN c1nn2ccccc2c1CN1CCC2(CCC2)C1 ZINC000179703177 385485895 /nfs/dbraw/zinc/48/58/95/385485895.db2.gz IYNWEFOYTHPAJT-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN CSC(C)(C)CN[C@H](C)c1cn(C)nc1C ZINC000157096884 385485997 /nfs/dbraw/zinc/48/59/97/385485997.db2.gz HDIXHJQPQXLIIQ-SECBINFHSA-N 0 3 241.404 2.521 20 0 BFADHN CSC(C)(C)CN[C@@H](C)c1cn(C)nc1C ZINC000157097032 385486192 /nfs/dbraw/zinc/48/61/92/385486192.db2.gz HDIXHJQPQXLIIQ-VIFPVBQESA-N 0 3 241.404 2.521 20 0 BFADHN Cc1cc(CN2CCC[C@@](C)(O)C2)c(C)s1 ZINC000179709948 385486372 /nfs/dbraw/zinc/48/63/72/385486372.db2.gz WNWRGNGPFQVKNY-CYBMUJFWSA-N 0 3 239.384 2.712 20 0 BFADHN COC[C@H](C)N(C)Cc1ccc(F)c(C)c1 ZINC000179369169 385463666 /nfs/dbraw/zinc/46/36/66/385463666.db2.gz JYNNALPAVAXEPS-NSHDSACASA-N 0 3 225.307 2.601 20 0 BFADHN CCOc1cccc(CN(C)[C@@H](C)COC)c1 ZINC000179365645 385463811 /nfs/dbraw/zinc/46/38/11/385463811.db2.gz VVIDELACMZWFQY-LBPRGKRZSA-N 0 3 237.343 2.552 20 0 BFADHN COC[C@@H](C)CN[C@H](C)c1cc(C)c(C)o1 ZINC000282042520 385491270 /nfs/dbraw/zinc/49/12/70/385491270.db2.gz GDBURTPRYHMNIW-GXSJLCMTSA-N 0 3 225.332 2.830 20 0 BFADHN c1cc2cccc(CNC[C@@H]3CCCO3)c2o1 ZINC000449062397 385492921 /nfs/dbraw/zinc/49/29/21/385492921.db2.gz JDWHDVTTYBDJCG-ZDUSSCGKSA-N 0 3 231.295 2.701 20 0 BFADHN CC[C@H]1CN(CCc2ccccc2)C[C@H](C)O1 ZINC000111273417 385498532 /nfs/dbraw/zinc/49/85/32/385498532.db2.gz KDSGNZCGYRINCS-ZFWWWQNUSA-N 0 3 233.355 2.728 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@@H]1CCc2ccccc21 ZINC000179909356 385500236 /nfs/dbraw/zinc/50/02/36/385500236.db2.gz JEDKWNIBYKFSLP-OAHLLOKOSA-N 0 3 241.338 2.837 20 0 BFADHN CSCCN1CCc2ccccc2[C@@H]1C ZINC000171630076 385501982 /nfs/dbraw/zinc/50/19/82/385501982.db2.gz NVWMGXSTHUOUCG-NSHDSACASA-N 0 3 221.369 2.969 20 0 BFADHN Cc1nocc1CNC1CCC(C)CC1 ZINC000378786786 385504999 /nfs/dbraw/zinc/50/49/99/385504999.db2.gz KJTNBFOLPRWHFO-UHFFFAOYSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1CCC(F)(F)C1 ZINC000302212328 385505105 /nfs/dbraw/zinc/50/51/05/385505105.db2.gz JCJZLGVEBSXFKJ-SNVBAGLBSA-N 0 3 244.285 2.816 20 0 BFADHN CC[C@H](O)CN[C@H](C)c1ccccc1SC ZINC000282136874 385509132 /nfs/dbraw/zinc/50/91/32/385509132.db2.gz RRAUQKVKLTXLAW-MNOVXSKESA-N 0 3 239.384 2.830 20 0 BFADHN COCC[C@H](C)N[C@@H](C)c1cc(C)c(C)o1 ZINC000282140561 385509351 /nfs/dbraw/zinc/50/93/51/385509351.db2.gz KOGQYYJFJQDMMI-QWRGUYRKSA-N 0 3 225.332 2.972 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1cccc(F)c1F ZINC000183270083 385515741 /nfs/dbraw/zinc/51/57/41/385515741.db2.gz CFHDHEYOCAJZSA-UWVGGRQHSA-N 0 3 243.297 2.776 20 0 BFADHN CC1(C)CCCN(Cc2cccc3nccn32)C1 ZINC000179779738 385489421 /nfs/dbraw/zinc/48/94/21/385489421.db2.gz OIOUEITWAHLICA-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@H]2C)sc1C ZINC000334309307 385534582 /nfs/dbraw/zinc/53/45/82/385534582.db2.gz JNAYTZBHMHCLPT-RKDXNWHRSA-N 0 3 224.373 2.990 20 0 BFADHN Cc1cc(CN(C)CCn2cccn2)c(C)s1 ZINC000171968247 385536972 /nfs/dbraw/zinc/53/69/72/385536972.db2.gz NTQUCXVMLPBDNX-UHFFFAOYSA-N 0 3 249.383 2.693 20 0 BFADHN CN(CCC1CC1)Cc1cnn2ccccc12 ZINC000180787388 385547847 /nfs/dbraw/zinc/54/78/47/385547847.db2.gz PYESDSDFZHNOPZ-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CC[C@H](CNCc1ccc(F)c(Cl)c1)OC ZINC000309355869 385547946 /nfs/dbraw/zinc/54/79/46/385547946.db2.gz NWTWBYWTNIXELS-SNVBAGLBSA-N 0 3 245.725 2.994 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+][C@H]2[C@H](C)CCC[C@@H]2C)[n-]1 ZINC000392338884 385519203 /nfs/dbraw/zinc/51/92/03/385519203.db2.gz AIVTXJUGYHTCNH-KLBPJQLPSA-N 0 3 236.363 2.588 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@H](C)C2)s1 ZINC000180421891 385521625 /nfs/dbraw/zinc/52/16/25/385521625.db2.gz PPFOTFZBSUZURZ-AOOOYVTPSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CC[C@@H](C)C[C@@H]2C)[n-]1 ZINC000392373806 385522350 /nfs/dbraw/zinc/52/23/50/385522350.db2.gz NPJGJMRLLGFTDM-FYLLDIAZSA-N 0 3 236.363 2.588 20 0 BFADHN Cn1ccnc1[C@@H](NC[C@@H]1CC1(C)C)C1CC1 ZINC000362378039 385524209 /nfs/dbraw/zinc/52/42/09/385524209.db2.gz IOWZCWJGFVLJAZ-RYUDHWBXSA-N 0 3 233.359 2.507 20 0 BFADHN C[C@@H](NCCc1ccccc1O)c1cccnc1 ZINC000181408354 385576528 /nfs/dbraw/zinc/57/65/28/385576528.db2.gz JIASKIYKBRVNDL-GFCCVEGCSA-N 0 3 242.322 2.681 20 0 BFADHN C[C@@H](NC[C@H](O)C1CCCCC1)c1cccnc1 ZINC000181410384 385576574 /nfs/dbraw/zinc/57/65/74/385576574.db2.gz NEICVSNMGTYZAO-DOMZBBRYSA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@@H](C)N1CCc2c(cccc2OC)C1 ZINC000181163815 385565054 /nfs/dbraw/zinc/56/50/54/385565054.db2.gz JRINWOAISPGTTH-LLVKDONJSA-N 0 3 219.328 2.852 20 0 BFADHN CC[C@@H](C)N(C)Cc1cn(C)nc1C(F)(F)F ZINC000181140178 385565311 /nfs/dbraw/zinc/56/53/11/385565311.db2.gz ZGQZVADAKKINDU-MRVPVSSYSA-N 0 3 249.280 2.669 20 0 BFADHN CC[C@@H](C)N1CCN(c2cccs2)CC1 ZINC000181133973 385565414 /nfs/dbraw/zinc/56/54/14/385565414.db2.gz KQSOIJUZWYXCEU-LLVKDONJSA-N 0 3 224.373 2.669 20 0 BFADHN c1cncc([C@H](N[C@@H]2CCCOC2)C2CCC2)c1 ZINC000280820474 385606379 /nfs/dbraw/zinc/60/63/79/385606379.db2.gz JZRAGTOTBOMZCX-HUUCEWRRSA-N 0 3 246.354 2.691 20 0 BFADHN CC(C)C[C@H](C)CNCc1ccc(F)cn1 ZINC000336675692 385598543 /nfs/dbraw/zinc/59/85/43/385598543.db2.gz PPVDSIDLHUBHDZ-NSHDSACASA-N 0 3 224.323 2.993 20 0 BFADHN CCc1cc(OC)ccc1CNC[C@@H]1CCCO1 ZINC000337329456 385598554 /nfs/dbraw/zinc/59/85/54/385598554.db2.gz FSPPSIREAMPKMK-HNNXBMFYSA-N 0 3 249.354 2.526 20 0 BFADHN c1cc(CNC[C@@H]2CCC=CO2)cs1 ZINC000063152507 385603296 /nfs/dbraw/zinc/60/32/96/385603296.db2.gz UWMOTZWFPOESIF-NSHDSACASA-N 0 3 209.314 2.530 20 0 BFADHN C[C@@H](NCCN1CCC1)c1cccc(Cl)c1 ZINC000309444120 385584309 /nfs/dbraw/zinc/58/43/09/385584309.db2.gz KXKKIHWLUKTPEW-LLVKDONJSA-N 0 3 238.762 2.696 20 0 BFADHN Cc1cc(CCN[C@@H](C)c2ccsc2)on1 ZINC000309447271 385586941 /nfs/dbraw/zinc/58/69/41/385586941.db2.gz LQBIFLMXJSRAOQ-JTQLQIEISA-N 0 3 236.340 2.938 20 0 BFADHN Cc1ccc(CN[C@H]2CCC[C@@H]2OC(F)F)o1 ZINC000337302057 385591085 /nfs/dbraw/zinc/59/10/85/385591085.db2.gz PWEGVQGGJFMAIU-QWRGUYRKSA-N 0 3 245.269 2.838 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](CO)CC2CCC2)o1 ZINC000280879881 385614836 /nfs/dbraw/zinc/61/48/36/385614836.db2.gz DIYPLNGTYODAMI-WCQYABFASA-N 0 3 237.343 2.790 20 0 BFADHN CC[C@H](N[C@@H](CO)CC(F)F)c1cccs1 ZINC000309493719 385615213 /nfs/dbraw/zinc/61/52/13/385615213.db2.gz XUZQTHUTXRFGSW-BDAKNGLRSA-N 0 3 249.326 2.805 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H]1CSC1(C)C ZINC000283373495 385619009 /nfs/dbraw/zinc/61/90/09/385619009.db2.gz ODIDJGJGGWWACF-PWSUYJOCSA-N 0 3 236.384 2.935 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1CSC1(C)C ZINC000283373488 385619195 /nfs/dbraw/zinc/61/91/95/385619195.db2.gz ODIDJGJGGWWACF-CMPLNLGQSA-N 0 3 236.384 2.935 20 0 BFADHN CN(Cc1ccccc1F)[C@@H](CO)C(C)(C)C ZINC000269656666 385620974 /nfs/dbraw/zinc/62/09/74/385620974.db2.gz NQBXQZGSYRPIJG-ZDUSSCGKSA-N 0 3 239.334 2.665 20 0 BFADHN CCCCN(CC)C(=O)CN(C)C1CCCC1 ZINC000337382588 385622911 /nfs/dbraw/zinc/62/29/11/385622911.db2.gz VJYAEVJVHXGATL-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN C=Cn1cc(CN2CCC(C)=C(C)C2)cn1 ZINC000280964906 385623455 /nfs/dbraw/zinc/62/34/55/385623455.db2.gz VBCSLCMJXHQMKN-UHFFFAOYSA-N 0 3 217.316 2.526 20 0 BFADHN COC[C@@H](NC1CC(C)C1)c1ccc(C)o1 ZINC000184377291 385636814 /nfs/dbraw/zinc/63/68/14/385636814.db2.gz XHEGRHVKGUGGOY-QEWOUOOISA-N 0 3 223.316 2.664 20 0 BFADHN CC(C)[C@@H](N[C@H](C)CO)c1ccc(F)c(F)c1 ZINC000181987009 385628372 /nfs/dbraw/zinc/62/83/72/385628372.db2.gz VKYZVZAYBOIKQC-NOZJJQNGSA-N 0 3 243.297 2.632 20 0 BFADHN CO[C@H](C)CN(Cc1ccccc1)C1CC1 ZINC000182017697 385631236 /nfs/dbraw/zinc/63/12/36/385631236.db2.gz MEXDNCXIWALXRX-GFCCVEGCSA-N 0 3 219.328 2.686 20 0 BFADHN Fc1ccc(CN[C@@H]2CC[C@@H](F)C2)cc1F ZINC000309482983 385607785 /nfs/dbraw/zinc/60/77/85/385607785.db2.gz FFHXJFVCDOZQEQ-NXEZZACHSA-N 0 3 229.245 2.945 20 0 BFADHN c1cc([C@H]2CCCN([C@@H]3C=CCCC3)C2)ncn1 ZINC000365131617 385610349 /nfs/dbraw/zinc/61/03/49/385610349.db2.gz CWUVPNSHJDPZAR-UONOGXRCSA-N 0 3 243.354 2.765 20 0 BFADHN COc1ccc(CN2CCC(C)=C(C)C2)cc1O ZINC000280836761 385610423 /nfs/dbraw/zinc/61/04/23/385610423.db2.gz LOFMEVIMGRXABF-UHFFFAOYSA-N 0 3 247.338 2.943 20 0 BFADHN CO[C@@](C)(CNCc1ccc(Cl)o1)C1CC1 ZINC000336677774 385611769 /nfs/dbraw/zinc/61/17/69/385611769.db2.gz WGMBHGBXBPOCOO-LBPRGKRZSA-N 0 3 243.734 2.838 20 0 BFADHN Cc1ccc(CNC(C)(C)CF)s1 ZINC000336650330 385612627 /nfs/dbraw/zinc/61/26/27/385612627.db2.gz HUJSFBDNHLEYDO-UHFFFAOYSA-N 0 3 201.310 2.894 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCC[C@@H]2C[C@@]21C ZINC000337386048 385638101 /nfs/dbraw/zinc/63/81/01/385638101.db2.gz LFXIJOFIIUJFPI-BNOWGMLFSA-N 0 3 234.343 2.960 20 0 BFADHN C[C@H](NCc1cnccn1)C1CCCCC1 ZINC000054766785 385640457 /nfs/dbraw/zinc/64/04/57/385640457.db2.gz PSINUMHGTSYQPC-NSHDSACASA-N 0 3 219.332 2.535 20 0 BFADHN CCC[C@H](CNCc1cc(F)ccc1F)OC ZINC000293565370 385643360 /nfs/dbraw/zinc/64/33/60/385643360.db2.gz DBQOWEBHTWFRGK-GFCCVEGCSA-N 0 3 243.297 2.870 20 0 BFADHN CCCCN(C)Cc1cccc(C(=O)OC)c1 ZINC000072562906 385651043 /nfs/dbraw/zinc/65/10/43/385651043.db2.gz UPNNDROTEOPQLU-UHFFFAOYSA-N 0 3 235.327 2.705 20 0 BFADHN CSCCCN(C)Cc1ccc2c[nH]nc2c1 ZINC000281271025 385651750 /nfs/dbraw/zinc/65/17/50/385651750.db2.gz NSJOTWWVTSRALW-UHFFFAOYSA-N 0 3 249.383 2.748 20 0 BFADHN CO[C@H](CNCc1cc2ccccc2[nH]1)C1CC1 ZINC000645207472 385652470 /nfs/dbraw/zinc/65/24/70/385652470.db2.gz HPVQAHSMSSYDLS-OAHLLOKOSA-N 0 3 244.338 2.683 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@H]1CCc2c1cccc2F ZINC000252690453 385656757 /nfs/dbraw/zinc/65/67/57/385656757.db2.gz UZBFBKXCLNLDRG-HERUPUMHSA-N 0 3 235.302 2.580 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@H]2CCO[C@H]2C)s1 ZINC000252689332 385656830 /nfs/dbraw/zinc/65/68/30/385656830.db2.gz QWEHHDZIFNUYAC-QXEWZRGKSA-N 0 3 240.372 2.588 20 0 BFADHN CCN(C)C(=O)CCN[C@H](C)c1ccc(C)cc1 ZINC000182334197 385659906 /nfs/dbraw/zinc/65/99/06/385659906.db2.gz KULXVZFQIDFBAP-CYBMUJFWSA-N 0 3 248.370 2.514 20 0 BFADHN CN(C/C=C/c1ccccc1)C[C@H]1CCC[C@H]1O ZINC000270041366 385661995 /nfs/dbraw/zinc/66/19/95/385661995.db2.gz DEVVLYWLFJJNIN-HKFHSAMXSA-N 0 3 245.366 2.793 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000252889704 385662209 /nfs/dbraw/zinc/66/22/09/385662209.db2.gz FDBIAHOTVCBILW-NOHGZBONSA-N 0 3 237.343 2.510 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1ccc(C(C)C)cc1 ZINC000643201886 385672724 /nfs/dbraw/zinc/67/27/24/385672724.db2.gz NZZSXNSQQKOXDO-NSHDSACASA-N 0 3 234.343 2.747 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000643201939 385673169 /nfs/dbraw/zinc/67/31/69/385673169.db2.gz UZSMAEPRMRIXML-NSHDSACASA-N 0 3 248.370 2.921 20 0 BFADHN CC(C)C[C@H](C)CN[C@@H](CCO)c1ccco1 ZINC000281480343 385675538 /nfs/dbraw/zinc/67/55/38/385675538.db2.gz WMHSQAUXQLGCQK-STQMWFEESA-N 0 3 239.359 2.975 20 0 BFADHN CN(Cc1ccccn1)C[C@@]1(C)CCCS1 ZINC000647912568 385676459 /nfs/dbraw/zinc/67/64/59/385676459.db2.gz NXBKHMUTBIPRLS-CYBMUJFWSA-N 0 3 236.384 2.799 20 0 BFADHN CC[C@H](NCCCOC(C)C)c1nccs1 ZINC000185043986 385717206 /nfs/dbraw/zinc/71/72/06/385717206.db2.gz LODBHAGXJQKQHZ-NSHDSACASA-N 0 3 242.388 2.999 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H]1CCC12CCC2 ZINC000337472918 385676708 /nfs/dbraw/zinc/67/67/08/385676708.db2.gz OFDIHYHNUAJPOB-CYBMUJFWSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN2C[C@H](O)C[C@H](C)C2)o1 ZINC000414531313 385679848 /nfs/dbraw/zinc/67/98/48/385679848.db2.gz YXRIRTUOBZLLPZ-CIQGVGRVSA-N 0 3 249.354 2.606 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2C[C@H](O)C[C@H](C)C2)o1 ZINC000414531316 385681054 /nfs/dbraw/zinc/68/10/54/385681054.db2.gz YXRIRTUOBZLLPZ-FMCLSXCISA-N 0 3 249.354 2.606 20 0 BFADHN Cc1occc1CN[C@@H]1CSC1(C)C ZINC000307394295 385685597 /nfs/dbraw/zinc/68/55/97/385685597.db2.gz CVYAEZHWGHXGAU-SNVBAGLBSA-N 0 3 211.330 2.572 20 0 BFADHN Cc1cc(C)cc(CN[C@@H](C)c2cn[nH]c2)c1 ZINC000229883154 385685724 /nfs/dbraw/zinc/68/57/24/385685724.db2.gz AQVVDLWVKAAAOF-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1ccc(F)cc1)OC ZINC000290180104 385686687 /nfs/dbraw/zinc/68/66/87/385686687.db2.gz NJNCGZCYPDFORB-GWCFXTLKSA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@H](CN[C@@H](C)c1ccc(F)cc1)OC ZINC000290180105 385686983 /nfs/dbraw/zinc/68/69/83/385686983.db2.gz NJNCGZCYPDFORB-GXFFZTMASA-N 0 3 225.307 2.901 20 0 BFADHN C/C(=C\c1ccccc1)CN1C[C@H](C)C[C@H](O)C1 ZINC000414530429 385687231 /nfs/dbraw/zinc/68/72/31/385687231.db2.gz XJQXKASDIFTIIM-ZURRRSKRSA-N 0 3 245.366 2.793 20 0 BFADHN C[C@@H]1C[C@H]1NCc1ccccc1Br ZINC000052271819 385717588 /nfs/dbraw/zinc/71/75/88/385717588.db2.gz MRQNVQQWRARNAT-LDYMZIIASA-N 0 3 240.144 2.947 20 0 BFADHN CN(CCCO)[C@H]1CCCc2ccc(F)cc21 ZINC000281635499 385688357 /nfs/dbraw/zinc/68/83/57/385688357.db2.gz IHXBCMHZKSKGLK-AWEZNQCLSA-N 0 3 237.318 2.517 20 0 BFADHN Cc1nc(C)c(CNC[C@H]2CCCSC2)o1 ZINC000311777659 385688991 /nfs/dbraw/zinc/68/89/91/385688991.db2.gz OHGXVVDTMBVODJ-LLVKDONJSA-N 0 3 240.372 2.524 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@H]2C(C)C)n1 ZINC000211671104 385689569 /nfs/dbraw/zinc/68/95/69/385689569.db2.gz FSMBMCQBXNNNBV-ZDUSSCGKSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@H]2C(C)C)n1 ZINC000211671104 385689574 /nfs/dbraw/zinc/68/95/74/385689574.db2.gz FSMBMCQBXNNNBV-ZDUSSCGKSA-N 0 3 234.343 2.716 20 0 BFADHN C[C@H](N[C@H]1CSC[C@H]1C)c1cncs1 ZINC000307428672 385689676 /nfs/dbraw/zinc/68/96/76/385689676.db2.gz ALFZSHZSODNARC-VGMNWLOBSA-N 0 3 228.386 2.545 20 0 BFADHN C[C@H](N[C@H]1CCCc2c[nH]nc21)C1CCC1 ZINC000309561022 385691177 /nfs/dbraw/zinc/69/11/77/385691177.db2.gz LALPKWFYDAASOF-CABZTGNLSA-N 0 3 219.332 2.565 20 0 BFADHN COCC[C@H](c1ccccc1)N1CC[C@H](F)C1 ZINC000451197299 385691087 /nfs/dbraw/zinc/69/10/87/385691087.db2.gz NWGRZAVNZCYGTC-UONOGXRCSA-N 0 3 237.318 2.808 20 0 BFADHN CCSCCCNCc1cnn(C(C)C)c1 ZINC000281938116 385717789 /nfs/dbraw/zinc/71/77/89/385717789.db2.gz HTOMPRSFTHDNDY-UHFFFAOYSA-N 0 3 241.404 2.697 20 0 BFADHN CCN(Cc1cnc(N)s1)C1CC(C)(C)C1 ZINC000414534781 385695854 /nfs/dbraw/zinc/69/58/54/385695854.db2.gz KNWFGIWYENZOGD-UHFFFAOYSA-N 0 3 239.388 2.566 20 0 BFADHN CC[C@@H](COC)N[C@H]1CCc2c1cccc2F ZINC000211897164 385699521 /nfs/dbraw/zinc/69/95/21/385699521.db2.gz XJJRRIPATDQCQG-HZMBPMFUSA-N 0 3 237.318 2.828 20 0 BFADHN COc1cc(C)nc(CN2CC[C@@H](C)[C@@H]2C)c1 ZINC000270365431 385700817 /nfs/dbraw/zinc/70/08/17/385700817.db2.gz YLSHKQUMYJZBMV-PWSUYJOCSA-N 0 3 234.343 2.629 20 0 BFADHN Cc1cc(CN(C)C)cc(NC(=O)C2CCC2)c1 ZINC000270396909 385703862 /nfs/dbraw/zinc/70/38/62/385703862.db2.gz UMEJOOPJBSCNMN-UHFFFAOYSA-N 0 3 246.354 2.795 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@H](C)c1cccc(O)c1 ZINC000336688360 385708417 /nfs/dbraw/zinc/70/84/17/385708417.db2.gz JRGBRHLGWKTCLE-OASPWFOLSA-N 0 3 237.368 2.937 20 0 BFADHN C[C@H](NCc1ncnn1C(C)(C)C)C(C)(C)C ZINC000337561120 385710482 /nfs/dbraw/zinc/71/04/82/385710482.db2.gz VMHHJZBJQNIOOK-JTQLQIEISA-N 0 3 238.379 2.557 20 0 BFADHN Cc1ccc(CN[C@H](C)COC(C)C)cc1 ZINC000309586453 385710877 /nfs/dbraw/zinc/71/08/77/385710877.db2.gz RXWDZKWVVNWNAN-CYBMUJFWSA-N 0 3 221.344 2.898 20 0 BFADHN FC(F)(F)C1(CNCc2cc[nH]c2)CCC1 ZINC000309582613 385713813 /nfs/dbraw/zinc/71/38/13/385713813.db2.gz QWYGZUXKDJTOFN-UHFFFAOYSA-N 0 3 232.249 2.837 20 0 BFADHN C[C@@H]1C[C@H]1NCc1ccc(Cl)s1 ZINC000052272382 385716440 /nfs/dbraw/zinc/71/64/40/385716440.db2.gz OQRFZOXYVMWQRH-HTRCEHHLSA-N 0 3 201.722 2.900 20 0 BFADHN CCN(C[C@@H]1CCCO1)[C@H](C)c1cccc(O)c1 ZINC000253194527 385668285 /nfs/dbraw/zinc/66/82/85/385668285.db2.gz QEJBJZVGBTWCBL-DOMZBBRYSA-N 0 3 249.354 2.954 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC000182423831 385668337 /nfs/dbraw/zinc/66/83/37/385668337.db2.gz FJWSJACLVFIKAL-DTWKUNHWSA-N 0 3 229.270 2.650 20 0 BFADHN CCC[C@H](C)CN[C@H](CCO)c1ccco1 ZINC000184500771 385668738 /nfs/dbraw/zinc/66/87/38/385668738.db2.gz GZFGUCQZJHQFJD-NWDGAFQWSA-N 0 3 225.332 2.729 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCC(=O)N(C)c1ccccc1 ZINC000183033401 385729811 /nfs/dbraw/zinc/72/98/11/385729811.db2.gz HMTUBGQHPRNYGZ-CHWSQXEVSA-N 0 3 248.370 2.674 20 0 BFADHN C[C@H](O)CN1C[C@H](C)C[C@H]1c1ccccc1F ZINC000282105123 385732161 /nfs/dbraw/zinc/73/21/61/385732161.db2.gz WLEGNYZXRGHZNK-SUNKGSAMSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](O)CN1C[C@@H](C)C[C@H]1c1ccccc1F ZINC000282105121 385732853 /nfs/dbraw/zinc/73/28/53/385732853.db2.gz WLEGNYZXRGHZNK-MJVIPROJSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](O)CN1CCC(=Cc2ccccc2F)CC1 ZINC000282092761 385732959 /nfs/dbraw/zinc/73/29/59/385732959.db2.gz VIIGXFBDLCDXJT-LBPRGKRZSA-N 0 3 249.329 2.686 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+]C[C@@H]2CC=CCC2)[n-]1 ZINC000270750317 385738828 /nfs/dbraw/zinc/73/88/28/385738828.db2.gz YCCGZTAWQIUYLM-VXGBXAGGSA-N 0 3 248.374 2.935 20 0 BFADHN CC(C)c1nnc([C@@H](C)NC[C@@H]2CC=CCC2)[nH]1 ZINC000270750317 385738829 /nfs/dbraw/zinc/73/88/29/385738829.db2.gz YCCGZTAWQIUYLM-VXGBXAGGSA-N 0 3 248.374 2.935 20 0 BFADHN CC(C)OCC(C)(C)NC/C=C\c1ccncc1 ZINC000414551679 385740766 /nfs/dbraw/zinc/74/07/66/385740766.db2.gz PEFRAPBGLRENQC-WAYWQWQTSA-N 0 3 248.370 2.888 20 0 BFADHN C[C@H](CN(C)Cc1cccn1C)c1nccs1 ZINC000183257718 385747419 /nfs/dbraw/zinc/74/74/19/385747419.db2.gz LHUXYFZUUGAMLJ-LLVKDONJSA-N 0 3 249.383 2.717 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1sccc1OC ZINC000186163612 385750550 /nfs/dbraw/zinc/75/05/50/385750550.db2.gz REGULFSVLMNHDI-ZJUUUORDSA-N 0 3 243.372 2.568 20 0 BFADHN C[C@@H](CNCc1cccc(O)c1)c1nccs1 ZINC000230693229 385750733 /nfs/dbraw/zinc/75/07/33/385750733.db2.gz WAWASDHXQHWDNV-JTQLQIEISA-N 0 3 248.351 2.742 20 0 BFADHN Cn1ccnc1[C@H](N[C@H]1CC=CCC1)C1CC1 ZINC000183329913 385754207 /nfs/dbraw/zinc/75/42/07/385754207.db2.gz JIBAIDBDMVFMHM-QWHCGFSZSA-N 0 3 231.343 2.570 20 0 BFADHN CCOc1ccccc1CN1CC[C@H](C)C1 ZINC000337700322 385754242 /nfs/dbraw/zinc/75/42/42/385754242.db2.gz WCPJWPLAMSHXTJ-LBPRGKRZSA-N 0 3 219.328 2.927 20 0 BFADHN C[C@@H]1C[C@@H]1CN(Cc1ccco1)C[C@H]1CCCO1 ZINC000488345383 385755468 /nfs/dbraw/zinc/75/54/68/385755468.db2.gz HZZKXNJJXDPERE-UMVBOHGHSA-N 0 3 249.354 2.917 20 0 BFADHN C[C@@H]1[C@H](c2nc(C3CCCCC3)no2)CCN1C ZINC000647685098 385755901 /nfs/dbraw/zinc/75/59/01/385755901.db2.gz YJWBYIOIOCORDX-ZYHUDNBSSA-N 0 3 249.358 2.925 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccccc2F)[C@H]1C ZINC000336699866 385759586 /nfs/dbraw/zinc/75/95/86/385759586.db2.gz PABQJFGGZLKQKL-NRUUGDAUSA-N 0 3 207.292 2.960 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@H](C)c1cc(C)c(C)o1 ZINC000282424838 385760853 /nfs/dbraw/zinc/76/08/53/385760853.db2.gz YUXSLQAQXBAIJE-UFGOTCBOSA-N 0 3 239.359 2.954 20 0 BFADHN CCSCCN[C@@H](c1cccnc1)C1CC1 ZINC000309631836 385761223 /nfs/dbraw/zinc/76/12/23/385761223.db2.gz IBABEMHFMPBZHR-CYBMUJFWSA-N 0 3 236.384 2.875 20 0 BFADHN COC1(CCNCc2ccc(Cl)o2)CCC1 ZINC000336655711 385762241 /nfs/dbraw/zinc/76/22/41/385762241.db2.gz JLAMLOWHROMRDX-UHFFFAOYSA-N 0 3 243.734 2.982 20 0 BFADHN C[C@H](NCC1(CCO)CCCC1)c1ccccn1 ZINC000270940412 385762554 /nfs/dbraw/zinc/76/25/54/385762554.db2.gz SEQGBGUEFFWXCY-ZDUSSCGKSA-N 0 3 248.370 2.675 20 0 BFADHN COc1cc(CN[C@@H](C)[C@@H]2CCCO2)ccc1C ZINC000183493925 385764698 /nfs/dbraw/zinc/76/46/98/385764698.db2.gz RYSOAQMDYHVLTE-JSGCOSHPSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)(CNCc1ccccn1)c1cccnc1 ZINC000414557583 385765287 /nfs/dbraw/zinc/76/52/87/385765287.db2.gz LZSMQQMWHNXDHJ-UHFFFAOYSA-N 0 3 241.338 2.544 20 0 BFADHN C[C@@H](CNCc1ccccc1)C(F)(F)F ZINC000307686376 385768454 /nfs/dbraw/zinc/76/84/54/385768454.db2.gz CZOBYPHTFOMHNH-VIFPVBQESA-N 0 3 217.234 2.975 20 0 BFADHN CC[C@H](N[C@@H](CCO)c1ccco1)C(C)C ZINC000186531354 385768874 /nfs/dbraw/zinc/76/88/74/385768874.db2.gz PAGQXMVHQGGCCT-RYUDHWBXSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H](CO)C(C)C)o1 ZINC000309654356 385771688 /nfs/dbraw/zinc/77/16/88/385771688.db2.gz YPWWZMGCCFXRJK-NEPJUHHUSA-N 0 3 225.332 2.503 20 0 BFADHN CC[C@H](CNCc1cc(C)ccc1F)OC ZINC000289878737 385773787 /nfs/dbraw/zinc/77/37/87/385773787.db2.gz RUCCCMAWQZYOEK-GFCCVEGCSA-N 0 3 225.307 2.649 20 0 BFADHN C[C@@H](N[C@@H](C)c1cncs1)c1cc[nH]c(=O)c1 ZINC000340446694 385779308 /nfs/dbraw/zinc/77/93/08/385779308.db2.gz AJWYGJXJPLKBJW-BDAKNGLRSA-N 0 3 249.339 2.656 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@H](C)c1cc(C)c(C)o1 ZINC000282684103 385779532 /nfs/dbraw/zinc/77/95/32/385779532.db2.gz JWOPGLPSJBLIJR-QJSMKMSWSA-N 0 3 237.343 2.874 20 0 BFADHN CCN(C/C=C/c1ccccc1OC)CCOC ZINC000271064568 385782434 /nfs/dbraw/zinc/78/24/34/385782434.db2.gz ZRNQOSNKNVFJJX-VQHVLOKHSA-N 0 3 249.354 2.677 20 0 BFADHN CCc1cccc(Cl)c1CNCC[C@H](C)O ZINC000309665895 385782896 /nfs/dbraw/zinc/78/28/96/385782896.db2.gz UCXLZADFDIRMRG-JTQLQIEISA-N 0 3 241.762 2.763 20 0 BFADHN Cn1ccc(CNC2(c3ccccc3)CC2)c1 ZINC000270591506 385722916 /nfs/dbraw/zinc/72/29/16/385722916.db2.gz CKMYQUNIBJZAPQ-UHFFFAOYSA-N 0 3 226.323 2.804 20 0 BFADHN Cc1ccc(F)cc1CN[C@H](CO)C(C)(C)C ZINC000185218039 385724235 /nfs/dbraw/zinc/72/42/35/385724235.db2.gz GYYCESBVUIVCLZ-CYBMUJFWSA-N 0 3 239.334 2.631 20 0 BFADHN CC(C)(C)[C@@H]1C[C@@H](NCc2ccco2)CCO1 ZINC000270638134 385724539 /nfs/dbraw/zinc/72/45/39/385724539.db2.gz JAGVTOBXYXUTPW-AAEUAGOBSA-N 0 3 237.343 2.963 20 0 BFADHN COCC[C@H](C)NCc1cc(C)cc(Cl)n1 ZINC000283610539 385724859 /nfs/dbraw/zinc/72/48/59/385724859.db2.gz UJVXIMLZRMXGQF-JTQLQIEISA-N 0 3 242.750 2.558 20 0 BFADHN C[C@@H](O)CN1CCCC[C@H]1c1ccc(F)cc1 ZINC000282016091 385724845 /nfs/dbraw/zinc/72/48/45/385724845.db2.gz OVXSMCFGQISGEI-RISCZKNCSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H](O)CN1CCCC[C@@H]1c1ccc(F)cc1 ZINC000282016097 385725582 /nfs/dbraw/zinc/72/55/82/385725582.db2.gz OVXSMCFGQISGEI-SMDDNHRTSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H](O)CCN(C)Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000271175172 385798322 /nfs/dbraw/zinc/79/83/22/385798322.db2.gz JEEREWZPNWFSIW-GVXVVHGQSA-N 0 3 237.343 2.606 20 0 BFADHN CCCc1ccc(CN2CC[C@H](O)[C@H](C)C2)cc1 ZINC000186833228 385798822 /nfs/dbraw/zinc/79/88/22/385798822.db2.gz HMLQPSDLIIBJDP-CJNGLKHVSA-N 0 3 247.382 2.842 20 0 BFADHN Cc1ccc(CN[C@@H](CO)CC2CCCC2)o1 ZINC000337830531 385800597 /nfs/dbraw/zinc/80/05/97/385800597.db2.gz VPSDIEHGLMPWAX-CYBMUJFWSA-N 0 3 237.343 2.619 20 0 BFADHN CO[C@@H](C)CN(Cc1ccco1)C(C)C ZINC000271185421 385801108 /nfs/dbraw/zinc/80/11/08/385801108.db2.gz JJMUIIUEEPNXMS-NSHDSACASA-N 0 3 211.305 2.525 20 0 BFADHN CC[C@@H](CNCc1ccc(F)c(C)c1)OC ZINC000289969898 385802674 /nfs/dbraw/zinc/80/26/74/385802674.db2.gz YWBGXCZDJTVJDJ-LBPRGKRZSA-N 0 3 225.307 2.649 20 0 BFADHN CCc1cccc(F)c1CNC[C@H](C)COC ZINC000354158632 385803257 /nfs/dbraw/zinc/80/32/57/385803257.db2.gz PZWKPKGKGDBWQY-NSHDSACASA-N 0 3 239.334 2.760 20 0 BFADHN COc1ccc(CN(C)CC2CCCC2)nc1 ZINC000271882968 385856547 /nfs/dbraw/zinc/85/65/47/385856547.db2.gz CJLOBFLEZDORLC-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCc1ccc(CN2CCC(O)(CC)CC2)o1 ZINC000271215357 385805165 /nfs/dbraw/zinc/80/51/65/385805165.db2.gz WLHOKRGAVXNSSY-UHFFFAOYSA-N 0 3 237.343 2.579 20 0 BFADHN Cc1nc(CNC[C@]2(C)C[C@H]3C[C@H]3C2)[nH]c1C ZINC000623740888 385806547 /nfs/dbraw/zinc/80/65/47/385806547.db2.gz FYOSFQABWONOKS-DABQJJPHSA-N 0 3 233.359 2.552 20 0 BFADHN Cc1ccoc1CN[C@H](CO)CC1CCCC1 ZINC000337840371 385807559 /nfs/dbraw/zinc/80/75/59/385807559.db2.gz ZBXBPYFTJMCXTE-ZDUSSCGKSA-N 0 3 237.343 2.619 20 0 BFADHN C[C@@H](NC1CC(C(C)(C)C)C1)c1ccnn1C ZINC000340452164 385809025 /nfs/dbraw/zinc/80/90/25/385809025.db2.gz VNOKUBWMKCTRNJ-VOMCLLRMSA-N 0 3 235.375 2.895 20 0 BFADHN COC[C@H](NCCCCCF)c1ccco1 ZINC000283935800 385810211 /nfs/dbraw/zinc/81/02/11/385810211.db2.gz CUHUHWNLBSPFQO-NSHDSACASA-N 0 3 229.295 2.697 20 0 BFADHN CO[C@@H]1[C@H](C)[C@H](N[C@H](C)c2ccccn2)C1(C)C ZINC000271862194 385856909 /nfs/dbraw/zinc/85/69/09/385856909.db2.gz KRNMUFVOJKJWRG-MHDGFBEUSA-N 0 3 248.370 2.792 20 0 BFADHN CCOc1cc(NC2CCN(C)CC2)ccc1C ZINC000271261933 385810750 /nfs/dbraw/zinc/81/07/50/385810750.db2.gz IVZXRWXEXOKRLW-UHFFFAOYSA-N 0 3 248.370 2.900 20 0 BFADHN CCc1ccc(CNC(CC)(CC)CCO)o1 ZINC000283115962 385810928 /nfs/dbraw/zinc/81/09/28/385810928.db2.gz YMLNROOLMZWBTP-UHFFFAOYSA-N 0 3 239.359 2.873 20 0 BFADHN Cc1ccc(F)c(CNCCOCC(F)F)c1 ZINC000231677369 385811925 /nfs/dbraw/zinc/81/19/25/385811925.db2.gz OURZKXOBOAVYQO-UHFFFAOYSA-N 0 3 247.260 2.505 20 0 BFADHN C[C@@H](NCc1cccc(F)c1)[C@]1(C)CCCO1 ZINC000340173083 385820009 /nfs/dbraw/zinc/82/00/09/385820009.db2.gz WPMXNZSNSAVCHN-RISCZKNCSA-N 0 3 237.318 2.873 20 0 BFADHN CC(C)[C@H](O)CN(C)Cc1cccc(Cl)c1 ZINC000283654476 385826233 /nfs/dbraw/zinc/82/62/33/385826233.db2.gz BJZVJAABUPUMHX-CYBMUJFWSA-N 0 3 241.762 2.789 20 0 BFADHN C[C@H](NCCc1ccco1)c1ccncc1F ZINC000340182719 385827523 /nfs/dbraw/zinc/82/75/23/385827523.db2.gz NRLGUVBGBJFJEI-JTQLQIEISA-N 0 3 234.274 2.707 20 0 BFADHN C[C@@H](NC1CC(C(C)(C)C)C1)c1cnn(C)c1 ZINC000340455157 385828137 /nfs/dbraw/zinc/82/81/37/385828137.db2.gz UWEZAKNUGHOISK-QFWMXSHPSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H](N[C@H]1CCCc2cn[nH]c21)c1ccccn1 ZINC000271455894 385830508 /nfs/dbraw/zinc/83/05/08/385830508.db2.gz FMJREJKVDBLYDW-GWCFXTLKSA-N 0 3 242.326 2.533 20 0 BFADHN COc1cc(C)cc(CN[C@H](C)[C@H](C)OC)c1 ZINC000271460949 385830982 /nfs/dbraw/zinc/83/09/82/385830982.db2.gz GDXCBQPHCSSHDF-NEPJUHHUSA-N 0 3 237.343 2.517 20 0 BFADHN C[C@@H](NCCCc1ccccn1)c1cncs1 ZINC000271493882 385834147 /nfs/dbraw/zinc/83/41/47/385834147.db2.gz KVXQBKSQJLDMCK-LLVKDONJSA-N 0 3 247.367 2.822 20 0 BFADHN CC(C)[C@H](O)C1(CN[C@H](C)c2cccnc2)CC1 ZINC000271883912 385858236 /nfs/dbraw/zinc/85/82/36/385858236.db2.gz QEICPIKSHYIKHF-OCCSQVGLSA-N 0 3 248.370 2.529 20 0 BFADHN Cc1ccncc1[C@H](C)NCCN1CCCCC1 ZINC000271548144 385836706 /nfs/dbraw/zinc/83/67/06/385836706.db2.gz ZZWJRUDEXCMOEA-AWEZNQCLSA-N 0 3 247.386 2.527 20 0 BFADHN Cc1ccncc1[C@H](C)NCc1ccn(C)c1 ZINC000271536827 385837192 /nfs/dbraw/zinc/83/71/92/385837192.db2.gz SAZUREJLQXLOSN-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1cnccc1CCN[C@@H](C)c1cncs1 ZINC000271576962 385838232 /nfs/dbraw/zinc/83/82/32/385838232.db2.gz ZTAZJCBTEWPYKA-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cc(C)no1 ZINC000271561193 385838298 /nfs/dbraw/zinc/83/82/98/385838298.db2.gz PQMVVACFPJOLKE-MNOVXSKESA-N 0 3 208.305 2.746 20 0 BFADHN C[C@@H]1c2ccccc2CN1CC[C@@H]1CCOC1 ZINC000335700563 385838484 /nfs/dbraw/zinc/83/84/84/385838484.db2.gz AGIZSEUCPXPFIG-CHWSQXEVSA-N 0 3 231.339 2.990 20 0 BFADHN CCC1CCN(Cc2ccc(OC)cn2)CC1 ZINC000271894893 385858536 /nfs/dbraw/zinc/85/85/36/385858536.db2.gz FQINOTBLIMJXKV-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCN(CCc1cscn1)Cc1ccccn1 ZINC000284041212 385846829 /nfs/dbraw/zinc/84/68/29/385846829.db2.gz PEIALQPZIAWICI-UHFFFAOYSA-N 0 3 247.367 2.603 20 0 BFADHN C[C@@H](NCC[C@H](C)F)c1nc2ccccc2n1C ZINC000340462029 385847986 /nfs/dbraw/zinc/84/79/86/385847986.db2.gz TYGOAYGJOISIQS-WDEREUQCSA-N 0 3 249.333 2.972 20 0 BFADHN CC(C)[C@@H](O)C1(CN[C@H](C)c2ccco2)CC1 ZINC000271812444 385853442 /nfs/dbraw/zinc/85/34/42/385853442.db2.gz AZULPQKHLIBMMD-DGCLKSJQSA-N 0 3 237.343 2.727 20 0 BFADHN Cc1nocc1CN(C)[C@@H](C)C1(C)CC1 ZINC000294480493 385855971 /nfs/dbraw/zinc/85/59/71/385855971.db2.gz ZZVWSQSGESEGER-JTQLQIEISA-N 0 3 208.305 2.603 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCCC2(O)CCC2)o1 ZINC000283822221 385794815 /nfs/dbraw/zinc/79/48/15/385794815.db2.gz CZAXBAYTBAFHAX-DGCLKSJQSA-N 0 3 249.354 2.798 20 0 BFADHN C[C@H](O)CCN(C)Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000271175168 385796257 /nfs/dbraw/zinc/79/62/57/385796257.db2.gz JEEREWZPNWFSIW-GMXVVIOVSA-N 0 3 237.343 2.606 20 0 BFADHN Cc1ccoc1CN1CC[C@H](N2CCCCC2)C1 ZINC000271171825 385797139 /nfs/dbraw/zinc/79/71/39/385797139.db2.gz QJOBLIABQVYTFF-AWEZNQCLSA-N 0 3 248.370 2.648 20 0 BFADHN CC[C@H](O)CN1CCC=C(c2ccccc2)C1 ZINC000284768036 385884135 /nfs/dbraw/zinc/88/41/35/385884135.db2.gz MVJZHNWYFQXJEK-HNNXBMFYSA-N 0 3 231.339 2.547 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1conc1C ZINC000294703608 385885500 /nfs/dbraw/zinc/88/55/00/385885500.db2.gz OJBWGDBHHKLLRM-SKDRFNHKSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(CN2CCSC[C@@H]2C)cs1 ZINC000294715154 385885578 /nfs/dbraw/zinc/88/55/78/385885578.db2.gz FVNZQNQSIKWRMJ-VIFPVBQESA-N 0 3 227.398 2.994 20 0 BFADHN CCCC[C@H](C(=O)OC)N1C[C@H](C)C[C@@H](C)C1 ZINC000218201481 385887254 /nfs/dbraw/zinc/88/72/54/385887254.db2.gz JMIQYCXGXRGTOD-JHJVBQTASA-N 0 3 241.375 2.696 20 0 BFADHN COc1c(O)cccc1CN1CCC2(CCC2)C1 ZINC000272260778 385887940 /nfs/dbraw/zinc/88/79/40/385887940.db2.gz JYVKPSWANLNHCR-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN CN(Cc1cc2ccccc2o1)CC(C)(C)CO ZINC000294723628 385888032 /nfs/dbraw/zinc/88/80/32/385888032.db2.gz FQAXHUVOVXBYLX-UHFFFAOYSA-N 0 3 247.338 2.883 20 0 BFADHN COc1c(O)cccc1CN(C)C1CCCC1 ZINC000272260845 385889747 /nfs/dbraw/zinc/88/97/47/385889747.db2.gz KAOHCSAITFZWFQ-UHFFFAOYSA-N 0 3 235.327 2.775 20 0 BFADHN Cc1ccc(CNCCc2nc(C)oc2C)o1 ZINC000340193783 385890025 /nfs/dbraw/zinc/89/00/25/385890025.db2.gz ALTWIXFIRFMNKS-UHFFFAOYSA-N 0 3 234.299 2.525 20 0 BFADHN Cc1nc(CCNCc2ccc(F)cc2)c(C)o1 ZINC000340195463 385891743 /nfs/dbraw/zinc/89/17/43/385891743.db2.gz RNLDGUALUJRGMB-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN Cc1ccc(F)cc1CN(C)CC(C)(C)CO ZINC000294779274 385893294 /nfs/dbraw/zinc/89/32/94/385893294.db2.gz KZMZHZIENORMGR-UHFFFAOYSA-N 0 3 239.334 2.584 20 0 BFADHN CC[C@@H](C)N[C@H](COC)c1ccc(C)o1 ZINC000122296015 385897928 /nfs/dbraw/zinc/89/79/28/385897928.db2.gz KNATZPFBZFBUTL-MWLCHTKSSA-N 0 3 211.305 2.664 20 0 BFADHN COc1ccsc1CN[C@@H]1C[C@H]1c1ccco1 ZINC000414596909 385898055 /nfs/dbraw/zinc/89/80/55/385898055.db2.gz VMMVZYMSMXTQTA-NXEZZACHSA-N 0 3 249.335 2.995 20 0 BFADHN Cc1ncc(CNCc2cc(C)cc(N)c2)s1 ZINC000414964225 385901850 /nfs/dbraw/zinc/90/18/50/385901850.db2.gz MDUDNYXZSVCHCX-UHFFFAOYSA-N 0 3 247.367 2.632 20 0 BFADHN CCC(C)(C)CNCc1cnc(OC)s1 ZINC000308869530 385903194 /nfs/dbraw/zinc/90/31/94/385903194.db2.gz RUNFHTXRGYFPID-UHFFFAOYSA-N 0 3 228.361 2.678 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](O)C1)c1ccc(Cl)cc1 ZINC000294846719 385903297 /nfs/dbraw/zinc/90/32/97/385903297.db2.gz NBBFPBOIFLVRNH-FXAINCCUSA-N 0 3 225.719 2.514 20 0 BFADHN Cc1ccc([C@@H](C)NCCc2nccnc2C)o1 ZINC000294875715 385907775 /nfs/dbraw/zinc/90/77/75/385907775.db2.gz DBKBYIVHMZIAAE-GFCCVEGCSA-N 0 3 245.326 2.580 20 0 BFADHN Cc1cnc(CNC[C@H]2CC=CCC2)s1 ZINC000123813697 385914516 /nfs/dbraw/zinc/91/45/16/385914516.db2.gz QJZSYPDXJLDIQI-NSHDSACASA-N 0 3 222.357 2.897 20 0 BFADHN Cc1cnc(CNC[C@@H]2CC=CCC2)s1 ZINC000123813918 385915339 /nfs/dbraw/zinc/91/53/39/385915339.db2.gz QJZSYPDXJLDIQI-LLVKDONJSA-N 0 3 222.357 2.897 20 0 BFADHN CCCC1(CNCc2snnc2C)CC1 ZINC000308952217 385917485 /nfs/dbraw/zinc/91/74/85/385917485.db2.gz YCABKSQGFXLJSW-UHFFFAOYSA-N 0 3 225.361 2.516 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1ccc2occc2c1 ZINC000285390540 385920400 /nfs/dbraw/zinc/92/04/00/385920400.db2.gz AJWQJZQZAZCOFY-GXFFZTMASA-N 0 3 231.295 2.700 20 0 BFADHN CSC[C@H](C)N[C@H](C)c1ccncc1F ZINC000336726799 385924206 /nfs/dbraw/zinc/92/42/06/385924206.db2.gz HCCQYYSOVVGYSH-DTWKUNHWSA-N 0 3 228.336 2.623 20 0 BFADHN CC[C@H](CSC)N[C@H](CC)c1ccn(C)n1 ZINC000336742082 385924435 /nfs/dbraw/zinc/92/44/35/385924435.db2.gz RSHVIEKDFFQRCJ-GHMZBOCLSA-N 0 3 241.404 2.602 20 0 BFADHN CC[C@@H](CSC)N[C@@H](CC)c1ccn(C)n1 ZINC000336742084 385925288 /nfs/dbraw/zinc/92/52/88/385925288.db2.gz RSHVIEKDFFQRCJ-QWRGUYRKSA-N 0 3 241.404 2.602 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C)CC2)nc1 ZINC000271922808 385861024 /nfs/dbraw/zinc/86/10/24/385861024.db2.gz KQGRITUEFLJIBQ-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CC(=O)CCN1CCC(c2ccc(F)cc2)CC1 ZINC000365934222 385862150 /nfs/dbraw/zinc/86/21/50/385862150.db2.gz MPIJGWRVPUADCR-UHFFFAOYSA-N 0 3 249.329 2.984 20 0 BFADHN CC(C)[C@H](O)CN(Cc1cccs1)C1CC1 ZINC000284374832 385866038 /nfs/dbraw/zinc/86/60/38/385866038.db2.gz ULGXHHNKEOFIJP-CYBMUJFWSA-N 0 3 239.384 2.729 20 0 BFADHN COc1ncccc1CN[C@@H]1CC[C@@H]1C1CCC1 ZINC000337988701 385870420 /nfs/dbraw/zinc/87/04/20/385870420.db2.gz NKUWULRQXUMDHD-ZIAGYGMSSA-N 0 3 246.354 2.759 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CC[C@H]1C1CCC1 ZINC000338001465 385873708 /nfs/dbraw/zinc/87/37/08/385873708.db2.gz XRGHALVTLWICCY-JSGCOSHPSA-N 0 3 234.343 2.960 20 0 BFADHN C[C@@H](N[C@H](CO)CC1CCCC1)c1ccco1 ZINC000338026831 385877195 /nfs/dbraw/zinc/87/71/95/385877195.db2.gz KUKVHQRLIOZWBK-YPMHNXCESA-N 0 3 237.343 2.871 20 0 BFADHN CO[C@H](C)[C@@H](C)NC1(c2cccc(F)c2)CC1 ZINC000272137307 385878721 /nfs/dbraw/zinc/87/87/21/385878721.db2.gz MFVQEAYCADTAKQ-GHMZBOCLSA-N 0 3 237.318 2.828 20 0 BFADHN CCC[C@H](CN[C@H](C)c1cc(C)ccn1)OC ZINC000294666781 385879899 /nfs/dbraw/zinc/87/98/99/385879899.db2.gz AGWGSQLRQXONCP-CHWSQXEVSA-N 0 3 236.359 2.856 20 0 BFADHN CCc1ccc(CCN(C)C[C@H]2CCCO2)cc1 ZINC000355057692 385881868 /nfs/dbraw/zinc/88/18/68/385881868.db2.gz FJHFOUOXTCUETG-MRXNPFEDSA-N 0 3 247.382 2.902 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CCC[C@H]2O)cs1 ZINC000294685510 385881987 /nfs/dbraw/zinc/88/19/87/385881987.db2.gz DMIJHKUTJHKZTC-CHWSQXEVSA-N 0 3 239.384 2.649 20 0 BFADHN CC(C)=CCNCc1cccc2c1OCCCO2 ZINC000232617434 385883332 /nfs/dbraw/zinc/88/33/32/385883332.db2.gz YNFFDIOECIRFQY-UHFFFAOYSA-N 0 3 247.338 2.904 20 0 BFADHN CCC1(NCc2cn3cccnc3n2)CCCC1 ZINC000295131525 385975326 /nfs/dbraw/zinc/97/53/26/385975326.db2.gz AYDWGIJAUQFJPS-UHFFFAOYSA-N 0 3 244.342 2.542 20 0 BFADHN C[C@H](N[C@@H]1COc2ccc(F)cc21)[C@H]1C[C@H]1C ZINC000414124929 385975771 /nfs/dbraw/zinc/97/57/71/385975771.db2.gz CKOBFXJRXPKEDI-UPBCOZELSA-N 0 3 235.302 2.893 20 0 BFADHN CC(C)C(C)(C)CN[C@H](C)c1ccn(C)n1 ZINC000414128867 385976618 /nfs/dbraw/zinc/97/66/18/385976618.db2.gz HRMYQBNYAUBPNK-LLVKDONJSA-N 0 3 223.364 2.753 20 0 BFADHN CCOC[C@@H](NCc1cnccc1C)C(C)C ZINC000233736933 385994733 /nfs/dbraw/zinc/99/47/33/385994733.db2.gz KJLYEKWJYVVNDO-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1ccnc([C@@H](C)NCCc2nccs2)c1 ZINC000286000088 385985562 /nfs/dbraw/zinc/98/55/62/385985562.db2.gz IOKIAWAODFZIHA-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN CC(C)N1CCO[C@@H](CCc2ccccc2)C1 ZINC000273294161 385986232 /nfs/dbraw/zinc/98/62/32/385986232.db2.gz JFAIDWNXZPSWJU-HNNXBMFYSA-N 0 3 233.355 2.728 20 0 BFADHN C[C@H](CCO)NCc1cc(Cl)cc(Cl)c1 ZINC000096811717 385986401 /nfs/dbraw/zinc/98/64/01/385986401.db2.gz OSWZUCBNSBNTHL-MRVPVSSYSA-N 0 3 248.153 2.854 20 0 BFADHN C[C@@H](NC[C@H]1CCOC1)c1cccc(F)c1F ZINC000221330248 385986491 /nfs/dbraw/zinc/98/64/91/385986491.db2.gz KRGVQLGDBNGIOC-NXEZZACHSA-N 0 3 241.281 2.652 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2cncc(C)c2)C1 ZINC000414140782 385988960 /nfs/dbraw/zinc/98/89/60/385988960.db2.gz JFPOLNCWWZOATH-FPMFFAJLSA-N 0 3 234.343 2.608 20 0 BFADHN CC(C)C[C@H](C)Cn1cc([C@@H](N)C(C)C)nn1 ZINC000305909376 385989321 /nfs/dbraw/zinc/98/93/21/385989321.db2.gz HKGGTCLOAGHJLH-AAEUAGOBSA-N 0 3 238.379 2.616 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@@H](C)c2cccnc2)C1 ZINC000414142154 385989416 /nfs/dbraw/zinc/98/94/16/385989416.db2.gz KEZCKKNXMWFTFA-FPMFFAJLSA-N 0 3 234.343 2.688 20 0 BFADHN CSCCCCCN[C@H](C)c1ccn(C)n1 ZINC000414142433 385989470 /nfs/dbraw/zinc/98/94/70/385989470.db2.gz RUJREBKGGMEARE-LLVKDONJSA-N 0 3 241.404 2.604 20 0 BFADHN CC[C@H](F)CN[C@@H]1C[C@H](OC)C1(CC)CC ZINC000336749295 385991675 /nfs/dbraw/zinc/99/16/75/385991675.db2.gz YRMDIYWWMPAKGF-TUAOUCFPSA-N 0 3 231.355 2.918 20 0 BFADHN C[C@H](NCC1C(C)(C)C1(C)C)c1ccn(C)n1 ZINC000414145120 385991845 /nfs/dbraw/zinc/99/18/45/385991845.db2.gz MFJXLAWXVHAFMX-JTQLQIEISA-N 0 3 235.375 2.753 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@H](C)c2ccncc2)C1 ZINC000414143420 385992405 /nfs/dbraw/zinc/99/24/05/385992405.db2.gz LOBRGQVXIVINPS-BNOWGMLFSA-N 0 3 234.343 2.688 20 0 BFADHN CCCc1ccc(CN(C)C2(CO)CC2)cc1 ZINC000285492947 385930513 /nfs/dbraw/zinc/93/05/13/385930513.db2.gz MDFXYZGBDSGVNZ-UHFFFAOYSA-N 0 3 233.355 2.596 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cccc2cccnc21 ZINC000285473539 385930796 /nfs/dbraw/zinc/93/07/96/385930796.db2.gz BINZYTVPDRFEJP-LBPRGKRZSA-N 0 3 244.338 2.702 20 0 BFADHN CC[C@](C)(O)CN1CCC=C(c2ccco2)C1 ZINC000285480052 385931546 /nfs/dbraw/zinc/93/15/46/385931546.db2.gz CQHUFYWRLSNWLN-AWEZNQCLSA-N 0 3 235.327 2.530 20 0 BFADHN CC[C@@H](C)NCc1cc(F)ccc1OC ZINC000057496548 385932223 /nfs/dbraw/zinc/93/22/23/385932223.db2.gz FVAAATBASTZRTM-SECBINFHSA-N 0 3 211.280 2.722 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc(C)ccn1)C(C)(C)O ZINC000294979796 385933998 /nfs/dbraw/zinc/93/39/98/385933998.db2.gz KOHRLSMUFKTORH-AAEUAGOBSA-N 0 3 236.359 2.590 20 0 BFADHN CC/C=C/CN[C@@H](COC)Cc1ccccc1 ZINC000294980121 385935277 /nfs/dbraw/zinc/93/52/77/385935277.db2.gz JRKTZKNSHUBBRV-SGJXGLNRSA-N 0 3 233.355 2.800 20 0 BFADHN c1ccc2c(c1)CCC[C@H]2N1C[C@H]2CC[C@@H](C1)O2 ZINC000342086667 385935828 /nfs/dbraw/zinc/93/58/28/385935828.db2.gz DOYQOVBQDFDXIC-IJEWVQPXSA-N 0 3 243.350 2.927 20 0 BFADHN CCOc1ncccc1CN[C@H](C)[C@H]1C[C@H]1C ZINC000414061593 385936584 /nfs/dbraw/zinc/93/65/84/385936584.db2.gz KMTWCPMGFJEUDD-WZRBSPASSA-N 0 3 234.343 2.614 20 0 BFADHN CCN(Cc1cccc(OC)c1)C[C@H](C)OC ZINC000272804635 385937068 /nfs/dbraw/zinc/93/70/68/385937068.db2.gz LYXYXBGICUJSTH-LBPRGKRZSA-N 0 3 237.343 2.552 20 0 BFADHN CO[C@@H](C)CN1CC[C@H](c2ccccc2F)C1 ZINC000272805926 385937734 /nfs/dbraw/zinc/93/77/34/385937734.db2.gz MAHCEAUTCGPEGM-RYUDHWBXSA-N 0 3 237.318 2.650 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc(Cl)c(F)c1 ZINC000189125066 385938211 /nfs/dbraw/zinc/93/82/11/385938211.db2.gz ZZXGJVZDJAEHMC-IUCAKERBSA-N 0 3 245.725 2.992 20 0 BFADHN Cc1cc(CNCCC2=CCCC2)on1 ZINC000309031879 385941544 /nfs/dbraw/zinc/94/15/44/385941544.db2.gz CFPPVMXDXAEEDU-UHFFFAOYSA-N 0 3 206.289 2.573 20 0 BFADHN CCOc1ccc(CN[C@H]2C[C@H]2C(F)F)cc1 ZINC000342187261 385941936 /nfs/dbraw/zinc/94/19/36/385941936.db2.gz FQUSNTKGTORDLK-NEPJUHHUSA-N 0 3 241.281 2.829 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1ccn(C)n1 ZINC000414066473 385942509 /nfs/dbraw/zinc/94/25/09/385942509.db2.gz IARMUIPUASEBGG-DCAQKATOSA-N 0 3 209.337 2.505 20 0 BFADHN CCCCN(CC)[C@H]1CCN(C(C)(C)C)C1=O ZINC000425391060 385943743 /nfs/dbraw/zinc/94/37/43/385943743.db2.gz XJAXQMNGROIMHO-LBPRGKRZSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@H](NCC1(C)CCCC1)c1ccn(C)n1 ZINC000414071069 385944063 /nfs/dbraw/zinc/94/40/63/385944063.db2.gz CSGPZBNMMHJEIR-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CN(C)c1ccccc1CNCCc1ccco1 ZINC000340209883 385944039 /nfs/dbraw/zinc/94/40/39/385944039.db2.gz XJJOGNNSBQRNGJ-UHFFFAOYSA-N 0 3 244.338 2.678 20 0 BFADHN CO[C@@H](C)CN(C)[C@@H](C)c1cccc(F)c1 ZINC000272865238 385945965 /nfs/dbraw/zinc/94/59/65/385945965.db2.gz YLCROKTYKDPFBH-QWRGUYRKSA-N 0 3 225.307 2.853 20 0 BFADHN CCc1ccc([C@H](O)CNCC=C(C)C)cc1 ZINC000285587561 385947590 /nfs/dbraw/zinc/94/75/90/385947590.db2.gz OTFVSBPWDSXYDJ-OAHLLOKOSA-N 0 3 233.355 2.838 20 0 BFADHN COc1ccc(F)cc1CNCC(C)(C)C ZINC000189198715 385951059 /nfs/dbraw/zinc/95/10/59/385951059.db2.gz GZSBJUKMTOSWKH-UHFFFAOYSA-N 0 3 225.307 2.970 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](C)c1cc2n(n1)CCC2 ZINC000414082811 385951951 /nfs/dbraw/zinc/95/19/51/385951951.db2.gz WLDOTTGGLAMVTF-SDDRHHMPSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1ccn2cc(CN[C@H](C)[C@H]3C[C@@H]3C)nc2c1 ZINC000414082583 385952450 /nfs/dbraw/zinc/95/24/50/385952450.db2.gz VHFOOEVSLXURTC-SCRDCRAPSA-N 0 3 243.354 2.777 20 0 BFADHN CC[C@H](CO)N(C)Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000295052603 385954821 /nfs/dbraw/zinc/95/48/21/385954821.db2.gz FWFMTUPQWLYYSU-NQBHXWOUSA-N 0 3 237.343 2.606 20 0 BFADHN CC/C=C\CCN1CCOC2(CCCC2)C1 ZINC000342201929 385955221 /nfs/dbraw/zinc/95/52/21/385955221.db2.gz CWWBTVXMWIFRLK-ARJAWSKDSA-N 0 3 223.360 2.988 20 0 BFADHN CO[C@H](C)CN(C)C/C=C/c1ccccc1 ZINC000285673094 385955358 /nfs/dbraw/zinc/95/53/58/385955358.db2.gz MVZYDGDPKFZRHV-UTSBKAFOSA-N 0 3 219.328 2.667 20 0 BFADHN CC[C@H](CSC)N[C@@H](C)c1cc(C)n(C)n1 ZINC000282701160 385956431 /nfs/dbraw/zinc/95/64/31/385956431.db2.gz KWVHHOWNARUGMW-WDEREUQCSA-N 0 3 241.404 2.521 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1cc(C2CC2)ccc1F ZINC000295069265 385956530 /nfs/dbraw/zinc/95/65/30/385956530.db2.gz HGIHQTJLGWMOND-BONVTDFDSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1cc(C2CC2)ccc1F ZINC000295069276 385956935 /nfs/dbraw/zinc/95/69/35/385956935.db2.gz HGIHQTJLGWMOND-ZUZCIYMTSA-N 0 3 249.329 2.970 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc(Cl)c(F)c1 ZINC000289984428 385957611 /nfs/dbraw/zinc/95/76/11/385957611.db2.gz ZZXGJVZDJAEHMC-BDAKNGLRSA-N 0 3 245.725 2.992 20 0 BFADHN C[C@H](NC[C@H]1CC1(C)C)c1cc2n(n1)CCC2 ZINC000414091924 385957761 /nfs/dbraw/zinc/95/77/61/385957761.db2.gz LILINXSYEWGVPV-WDEREUQCSA-N 0 3 233.359 2.526 20 0 BFADHN CCC1(CN[C@H](C)c2cc3n(n2)CCC3)CC1 ZINC000414092419 385957997 /nfs/dbraw/zinc/95/79/97/385957997.db2.gz MWEYDMIXFNUGPF-LLVKDONJSA-N 0 3 233.359 2.670 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1cc(C2CC2)ccc1F ZINC000295069263 385958144 /nfs/dbraw/zinc/95/81/44/385958144.db2.gz HGIHQTJLGWMOND-BMIGLBTASA-N 0 3 249.329 2.970 20 0 BFADHN CC(=O)c1ccc(CN(C)[C@H]2CCSC2)cc1 ZINC000295063672 385958387 /nfs/dbraw/zinc/95/83/87/385958387.db2.gz YYTUCZHWYFVJDX-AWEZNQCLSA-N 0 3 249.379 2.827 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1ccn(C)n1 ZINC000414095673 385960033 /nfs/dbraw/zinc/96/00/33/385960033.db2.gz GZRWXEORDCBGOJ-NQBHXWOUSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1nc(C)c(CN2CCC(CF)CC2)s1 ZINC000295074659 385960699 /nfs/dbraw/zinc/96/06/99/385960699.db2.gz PPFBPNOSOXRKRM-UHFFFAOYSA-N 0 3 242.363 2.941 20 0 BFADHN FC(F)[C@@H]1C[C@H]1NCc1cccc2cc[nH]c21 ZINC000342222339 385962220 /nfs/dbraw/zinc/96/22/20/385962220.db2.gz LMLPVKPRSQLJJN-GHMZBOCLSA-N 0 3 236.265 2.911 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C=C[C@@H](CO)C2)cc1C ZINC000345056789 385966391 /nfs/dbraw/zinc/96/63/91/385966391.db2.gz RWYYAGPSKFLDIL-LZWOXQAQSA-N 0 3 245.366 2.891 20 0 BFADHN CO[C@H](CN(C)Cc1cccc(C)c1)C1CC1 ZINC000425403725 385966733 /nfs/dbraw/zinc/96/67/33/385966733.db2.gz IUKHNCHQPVQAFT-OAHLLOKOSA-N 0 3 233.355 2.852 20 0 BFADHN CC[C@H](CCO)CNCc1cccc(C)c1F ZINC000295591818 385967870 /nfs/dbraw/zinc/96/78/70/385967870.db2.gz FDVXMJMAOBMGET-GFCCVEGCSA-N 0 3 239.334 2.632 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H](C)[C@@H]2CCCO2)c1 ZINC000285830087 385968232 /nfs/dbraw/zinc/96/82/32/385968232.db2.gz WWPFBQNHKXONRW-SCRDCRAPSA-N 0 3 234.343 2.608 20 0 BFADHN CCc1ccc(CN[C@@]2(C)CCO[C@@H]2C2CC2)o1 ZINC000273134969 385972338 /nfs/dbraw/zinc/97/23/38/385972338.db2.gz NGKIRUHISCKVBU-CABCVRRESA-N 0 3 249.354 2.889 20 0 BFADHN CCc1ccccc1CN1CCOC[C@@H]1C1CC1 ZINC000189301495 385973802 /nfs/dbraw/zinc/97/38/02/385973802.db2.gz HWRSLZFUPYYGMO-MRXNPFEDSA-N 0 3 245.366 2.860 20 0 BFADHN CCc1noc(C)c1CN[C@H](C)[C@@H]1C[C@@H]1C ZINC000414149470 385997928 /nfs/dbraw/zinc/99/79/28/385997928.db2.gz URLTXTBBGMQTER-IQJOONFLSA-N 0 3 222.332 2.680 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cnn(CC(F)F)c1 ZINC000295257969 385999292 /nfs/dbraw/zinc/99/92/92/385999292.db2.gz GQFDPZLBOUFQEQ-SNVBAGLBSA-N 0 3 245.317 2.626 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@@H](C)C1)c1ccn(C)n1 ZINC000336749756 385999137 /nfs/dbraw/zinc/99/91/37/385999137.db2.gz YYBBKGWXDRFIAY-UTUOFQBUSA-N 0 3 221.348 2.649 20 0 BFADHN CC(C)=CCNC/C=C\c1ccncc1 ZINC000336750035 386001013 /nfs/dbraw/zinc/00/10/13/386001013.db2.gz FLWZLSXUOXTMBM-ARJAWSKDSA-N 0 3 202.301 2.651 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H](C)n2ccnc21)[C@H]1C[C@H]1C ZINC000414153004 386001432 /nfs/dbraw/zinc/00/14/32/386001432.db2.gz RNRXNRBQHYGGQL-QNWJLWSRSA-N 0 3 233.359 2.913 20 0 BFADHN CSCC[C@H](C)N(C)Cc1conc1C ZINC000295268249 386001805 /nfs/dbraw/zinc/00/18/05/386001805.db2.gz HLUSUDMLIDARNM-VIFPVBQESA-N 0 3 228.361 2.556 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H]1C)c1cc2n(n1)CCC2 ZINC000414153035 386002215 /nfs/dbraw/zinc/00/22/15/386002215.db2.gz QTSLGCWYBYIFOP-RWMBFGLXSA-N 0 3 247.386 2.916 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2ncccc2CC)C1 ZINC000414153157 386002588 /nfs/dbraw/zinc/00/25/88/386002588.db2.gz YPXKQTKNKUHWPT-FPMFFAJLSA-N 0 3 248.370 2.862 20 0 BFADHN Cc1cncc([C@@H](C)N[C@H]2C[C@@H](OC(C)C)C2)c1 ZINC000414151339 386002738 /nfs/dbraw/zinc/00/27/38/386002738.db2.gz WHUXLGSMQZACLR-YUELXQCFSA-N 0 3 248.370 2.997 20 0 BFADHN Cc1ccoc1CN1CC[C@H](O)CC(C)(C)C1 ZINC000295279534 386005368 /nfs/dbraw/zinc/00/53/68/386005368.db2.gz SRSASXJWROJDGJ-LBPRGKRZSA-N 0 3 237.343 2.571 20 0 BFADHN CCS[C@H]1CCC[C@H]1NCc1ccccn1 ZINC000233849424 386006056 /nfs/dbraw/zinc/00/60/56/386006056.db2.gz UHULLZWDBVRLLI-OLZOCXBDSA-N 0 3 236.384 2.845 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@@H](C)c1ccn(C)n1 ZINC000414156420 386006852 /nfs/dbraw/zinc/00/68/52/386006852.db2.gz WMAIWUMTDWXUJS-IACUBPJLSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@H](C)C[C@@H](C)C1 ZINC000356106602 386007953 /nfs/dbraw/zinc/00/79/53/386007953.db2.gz DZSBAFSLZOKVTR-WDEREUQCSA-N 0 3 221.348 2.586 20 0 BFADHN COc1nccc(CNC2(C)CCC(C)CC2)n1 ZINC000295292470 386009276 /nfs/dbraw/zinc/00/92/76/386009276.db2.gz XEHVWWNDTSEUKC-UHFFFAOYSA-N 0 3 249.358 2.544 20 0 BFADHN CN(Cc1ccccn1)C[C@H]1CC=CCC1 ZINC000273517299 386010347 /nfs/dbraw/zinc/01/03/47/386010347.db2.gz JHGDTAQZHZSDMI-ZDUSSCGKSA-N 0 3 216.328 2.870 20 0 BFADHN CCCc1csc(CNCCCSC)n1 ZINC000336770263 386010714 /nfs/dbraw/zinc/01/07/14/386010714.db2.gz VNFMHKLLTNOGNZ-UHFFFAOYSA-N 0 3 244.429 2.938 20 0 BFADHN COC[C@H](C)N(C)Cc1ccsc1C ZINC000295314479 386012719 /nfs/dbraw/zinc/01/27/19/386012719.db2.gz KWOTUCXBMFNNTD-VIFPVBQESA-N 0 3 213.346 2.523 20 0 BFADHN CCC[C@@](C)(O)CN[C@H](C)c1cc(C)ccn1 ZINC000286305873 386019499 /nfs/dbraw/zinc/01/94/99/386019499.db2.gz WYNBYZBWOUMTAS-TZMCWYRMSA-N 0 3 236.359 2.592 20 0 BFADHN Cc1ccnc([C@H](C)NCC[C@@H]2CCCCO2)c1 ZINC000286290889 386020298 /nfs/dbraw/zinc/02/02/98/386020298.db2.gz WNYQQHNXTNFKPC-KBPBESRZSA-N 0 3 248.370 3.000 20 0 BFADHN CCC[C@@H](N[C@@H]1COC[C@@H]1OC)c1ccccc1 ZINC000414177126 386020424 /nfs/dbraw/zinc/02/04/24/386020424.db2.gz FMTNYDAVXNITDC-KFWWJZLASA-N 0 3 249.354 2.531 20 0 BFADHN CCc1cccc(F)c1CNCC1CC1 ZINC000336753024 386020631 /nfs/dbraw/zinc/02/06/31/386020631.db2.gz GRIHREKZAKFIKZ-UHFFFAOYSA-N 0 3 207.292 2.888 20 0 BFADHN Cc1nc(CCN[C@@H](C)c2cccnc2)cs1 ZINC000221813377 386021114 /nfs/dbraw/zinc/02/11/14/386021114.db2.gz LTPZFAKCUKCRNI-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN CCC1CC(N[C@H](CO)c2ccsc2)C1 ZINC000336752868 386021783 /nfs/dbraw/zinc/02/17/83/386021783.db2.gz GQHJRRAFUHAPLM-QEWOUOOISA-N 0 3 225.357 2.560 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H]1C[C@H](C)n2ccnc21 ZINC000414179366 386023165 /nfs/dbraw/zinc/02/31/65/386023165.db2.gz KOGVCLGSVSQDEW-LOWDOPEQSA-N 0 3 233.359 2.913 20 0 BFADHN CCCC1(CNCc2cncs2)CC1 ZINC000124378286 386025396 /nfs/dbraw/zinc/02/53/96/386025396.db2.gz FLKRGYIVQXAYLP-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN Cc1ncc(CN[C@H](C2CC2)C2CCC2)o1 ZINC000414188727 386027009 /nfs/dbraw/zinc/02/70/09/386027009.db2.gz ROIPQSSNQIJUCH-ZDUSSCGKSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1sccc1CN1CCCO[C@H](C)C1 ZINC000295403250 386027248 /nfs/dbraw/zinc/02/72/48/386027248.db2.gz QZENHFFXSOTEHW-SNVBAGLBSA-N 0 3 225.357 2.667 20 0 BFADHN COCC[C@@H](C)CN[C@@H](C)c1ccc(F)cn1 ZINC000414188147 386027524 /nfs/dbraw/zinc/02/75/24/386027524.db2.gz DQPSHGZWXIGNRQ-MNOVXSKESA-N 0 3 240.322 2.544 20 0 BFADHN COCC[C@H](C)CN[C@@H](C)c1ccc(F)cn1 ZINC000414188149 386028861 /nfs/dbraw/zinc/02/88/61/386028861.db2.gz DQPSHGZWXIGNRQ-QWRGUYRKSA-N 0 3 240.322 2.544 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@H]2CCF)o1 ZINC000414195043 386033577 /nfs/dbraw/zinc/03/35/77/386033577.db2.gz XGGNJVLCLNMSSU-CMPLNLGQSA-N 0 3 226.295 2.601 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@H]1C1CC1)c1nccn1C ZINC000414197671 386035598 /nfs/dbraw/zinc/03/55/98/386035598.db2.gz PSSWCINGBPOXED-YUTCNCBUSA-N 0 3 247.386 2.897 20 0 BFADHN Fc1cncc(CNC[C@@H]2CCCC2(F)F)c1 ZINC000390895268 386036759 /nfs/dbraw/zinc/03/67/59/386036759.db2.gz QYBXOCFWNHGDQY-JTQLQIEISA-N 0 3 244.260 2.746 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)n1 ZINC000414202129 386036949 /nfs/dbraw/zinc/03/69/49/386036949.db2.gz CUWOLKATIKQTBA-SGMGOOAPSA-N 0 3 235.375 2.817 20 0 BFADHN FCCCN1CCC(F)(c2ccccn2)CC1 ZINC000295486503 386039837 /nfs/dbraw/zinc/03/98/37/386039837.db2.gz ZCFWZOFPHKZDNP-UHFFFAOYSA-N 0 3 240.297 2.702 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC(C)(C)C2)n1 ZINC000414202653 386040601 /nfs/dbraw/zinc/04/06/01/386040601.db2.gz DFNXMVXHQMTICQ-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1ccc(F)cc1CN[C@H](C)Cn1cccn1 ZINC000129025978 386042535 /nfs/dbraw/zinc/04/25/35/386042535.db2.gz BEGJGTFOIZHCRO-GFCCVEGCSA-N 0 3 247.317 2.509 20 0 BFADHN CC[C@@H](CNCc1ccc(SC)s1)OC ZINC000336771495 386043010 /nfs/dbraw/zinc/04/30/10/386043010.db2.gz WVIYMEYTMFYNNE-VIFPVBQESA-N 0 3 245.413 2.985 20 0 BFADHN CO[C@H](CN1Cc2ccccc2[C@@H]1C)C1CC1 ZINC000425423669 386043722 /nfs/dbraw/zinc/04/37/22/386043722.db2.gz OFJFYHMWROXWNF-XHDPSFHLSA-N 0 3 231.339 2.988 20 0 BFADHN FCCCCN1CCN(c2cccs2)CC1 ZINC000286592704 386055490 /nfs/dbraw/zinc/05/54/90/386055490.db2.gz XHOGPTRCNBKJGE-UHFFFAOYSA-N 0 3 242.363 2.620 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@H](C)c2ccco2)C1 ZINC000414147251 385995611 /nfs/dbraw/zinc/99/56/11/385995611.db2.gz QERNVKBELWMXOY-UTUOFQBUSA-N 0 3 223.316 2.886 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)[C@H]1C[C@@H]1C ZINC000414145396 385995620 /nfs/dbraw/zinc/99/56/20/385995620.db2.gz KGGQVDMVQQOXHG-USZNOCQGSA-N 0 3 221.348 2.598 20 0 BFADHN Cc1sccc1CN1CCS[C@H](C)C1 ZINC000295235012 385995827 /nfs/dbraw/zinc/99/58/27/385995827.db2.gz DGEJDKXRWINGNE-SECBINFHSA-N 0 3 227.398 2.994 20 0 BFADHN CC[C@H](N[C@H](CCO)c1ccco1)[C@@H]1C[C@H]1C ZINC000414149303 385996682 /nfs/dbraw/zinc/99/66/82/385996682.db2.gz XLQBLMIRIMTVJL-FVCCEPFGSA-N 0 3 237.343 2.727 20 0 BFADHN C[C@@H](NC[C@H]1C[C@@H](C)O[C@@H]1C)c1nccs1 ZINC000414291898 386100818 /nfs/dbraw/zinc/10/08/18/386100818.db2.gz YDDMLBQRVGNMLL-GWOFURMSSA-N 0 3 240.372 2.607 20 0 BFADHN CC(C)[C@@H]1CN([C@H](C)c2ccncc2)CCCO1 ZINC000645273347 386101614 /nfs/dbraw/zinc/10/16/14/386101614.db2.gz BTQHRPAKWAYKLH-HIFRSBDPSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](O)C1)c1ccc(F)c(Cl)c1 ZINC000295900813 386104492 /nfs/dbraw/zinc/10/44/92/386104492.db2.gz ILRQQGXRARMZIW-QNSHHTMESA-N 0 3 243.709 2.653 20 0 BFADHN Cc1occc1CN[C@@H]1[C@H]2CCO[C@@H]2C12CCC2 ZINC000340519194 386105660 /nfs/dbraw/zinc/10/56/60/386105660.db2.gz UYSPIJVGLSTEOY-MCIONIFRSA-N 0 3 247.338 2.635 20 0 BFADHN Fc1cc(CNCCC2=CCCC2)c(F)cn1 ZINC000295916242 386105963 /nfs/dbraw/zinc/10/59/63/386105963.db2.gz ZSYFETHHGBUAPH-UHFFFAOYSA-N 0 3 238.281 2.950 20 0 BFADHN CC[C@@H](NCc1nnc(C2CC2)s1)C(C)C ZINC000414330764 386123641 /nfs/dbraw/zinc/12/36/41/386123641.db2.gz SLYAXXMUGWUMNS-SNVBAGLBSA-N 0 3 239.388 2.940 20 0 BFADHN c1ccc2c(c1)OCCC[C@H]2N[C@H]1CCCOC1 ZINC000287252240 386107231 /nfs/dbraw/zinc/10/72/31/386107231.db2.gz HWNVGSSVGJMBLB-GXTWGEPZSA-N 0 3 247.338 2.669 20 0 BFADHN CO[C@H]1CCN([C@@H](C)c2cccnc2)CC1(C)C ZINC000295939196 386107648 /nfs/dbraw/zinc/10/76/48/386107648.db2.gz IRYGENPEFRIMGE-JSGCOSHPSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1)c1cc2ccccc2o1 ZINC000295928799 386109281 /nfs/dbraw/zinc/10/92/81/386109281.db2.gz KLUYPZABQWXPMC-ZMLRMANQSA-N 0 3 231.295 2.607 20 0 BFADHN CCc1cc(OC)ccc1CNc1nccn1C ZINC000414306876 386111433 /nfs/dbraw/zinc/11/14/33/386111433.db2.gz ROWHCKUAKHRACV-UHFFFAOYSA-N 0 3 245.326 2.603 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H]2CCCCO2)o1 ZINC000037979130 386111753 /nfs/dbraw/zinc/11/17/53/386111753.db2.gz QXPOWIXJNFOXRA-RYUDHWBXSA-N 0 3 223.316 2.808 20 0 BFADHN CC(C)c1cccc(CN[C@@H]2CCOC2)c1 ZINC000336729275 386111953 /nfs/dbraw/zinc/11/19/53/386111953.db2.gz CYQFOGUCBOUYMW-CQSZACIVSA-N 0 3 219.328 2.689 20 0 BFADHN COC1([C@H](C)N[C@@H](C)c2cncc(C)c2)CCC1 ZINC000414309958 386112967 /nfs/dbraw/zinc/11/29/67/386112967.db2.gz SETDREWIRRJUEC-STQMWFEESA-N 0 3 248.370 2.998 20 0 BFADHN CC(C)N1CCN([C@@H]2C[C@@H]2c2ccccc2)CC1 ZINC000274531605 386113359 /nfs/dbraw/zinc/11/33/59/386113359.db2.gz QZOABROZDSMCBP-HZPDHXFCSA-N 0 3 244.382 2.569 20 0 BFADHN COC1([C@@H](C)N[C@H](C)c2cncs2)CCC1 ZINC000414312588 386116561 /nfs/dbraw/zinc/11/65/61/386116561.db2.gz WUDSIMQWVKXRCX-NXEZZACHSA-N 0 3 240.372 2.751 20 0 BFADHN COc1ccncc1CN(C)C1CCCCC1 ZINC000287407245 386119226 /nfs/dbraw/zinc/11/92/26/386119226.db2.gz SBOYZAGEWAYRAS-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1cc([C@H](C)NCCOCC(F)F)c(C)o1 ZINC000189942296 386124257 /nfs/dbraw/zinc/12/42/57/386124257.db2.gz KPFXVSRZYBNMEI-VIFPVBQESA-N 0 3 247.285 2.829 20 0 BFADHN CSCCCCN[C@H](C)c1nccnc1C ZINC000188038087 386060057 /nfs/dbraw/zinc/06/00/57/386060057.db2.gz WJFHEBOBSWLJBA-SNVBAGLBSA-N 0 3 239.388 2.579 20 0 BFADHN CC[C@@H](NCc1nnc2ccccn21)C(C)(C)C ZINC000345208409 386060519 /nfs/dbraw/zinc/06/05/19/386060519.db2.gz SZECGMFBYFBLGI-LLVKDONJSA-N 0 3 246.358 2.644 20 0 BFADHN COc1nccnc1CNCC1(C)CCCCC1 ZINC000295632349 386063175 /nfs/dbraw/zinc/06/31/75/386063175.db2.gz HRZGKVMGNSUIHW-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN CCn1ccc(CN[C@H]2[C@H](C)CCC[C@@H]2C)n1 ZINC000414210268 386065054 /nfs/dbraw/zinc/06/50/54/386065054.db2.gz OGEJTUCSFFBNIN-IMRBUKKESA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2ccn(CC)n2)C1 ZINC000414212199 386066912 /nfs/dbraw/zinc/06/69/12/386066912.db2.gz RKWGQSIKXHSOCU-QWHCGFSZSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1ccc(CN[C@@H](C)C2CCCC2)n1 ZINC000414213681 386067305 /nfs/dbraw/zinc/06/73/05/386067305.db2.gz UXCYDGFZXWYPER-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2ccn(CC)n2)C1 ZINC000414212197 386068794 /nfs/dbraw/zinc/06/87/94/386068794.db2.gz RKWGQSIKXHSOCU-CHWSQXEVSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1ccc(CN[C@@H]2CC[C@H](C)[C@@H](C)C2)n1 ZINC000414214792 386070914 /nfs/dbraw/zinc/07/09/14/386070914.db2.gz WVWGPODEYCQYCU-RWMBFGLXSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccc(CN[C@H]2CCCC(C)(C)C2)n1 ZINC000414214016 386071400 /nfs/dbraw/zinc/07/14/00/386071400.db2.gz VIWGIBILJTUDPV-LBPRGKRZSA-N 0 3 235.375 2.961 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)[C@H]1C)c1nccs1 ZINC000414217054 386072137 /nfs/dbraw/zinc/07/21/37/386072137.db2.gz ADMLKHAHVDWRJB-IMSYWVGJSA-N 0 3 210.346 2.838 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1cccc(C2CC2)c1 ZINC000643292726 386077301 /nfs/dbraw/zinc/07/73/01/386077301.db2.gz ADBPJBVADLNKEX-CABCVRRESA-N 0 3 231.339 2.831 20 0 BFADHN CC[C@@H](F)CN(C)C1Cc2ccccc2C1 ZINC000440699757 386078069 /nfs/dbraw/zinc/07/80/69/386078069.db2.gz UPKPSHPICPNHFL-CYBMUJFWSA-N 0 3 221.319 2.834 20 0 BFADHN O=C1CCC(CN2CCC[C@@H]2c2ccc[nH]2)CC1 ZINC000295748422 386078056 /nfs/dbraw/zinc/07/80/56/386078056.db2.gz ZMOAZSZYVHWQRY-OAHLLOKOSA-N 0 3 246.354 2.911 20 0 BFADHN C[C@H](NCC1(C2CC2)CCC1)c1ccncn1 ZINC000391418904 386082680 /nfs/dbraw/zinc/08/26/80/386082680.db2.gz AWAWFWDZJAYQSG-NSHDSACASA-N 0 3 231.343 2.708 20 0 BFADHN CN(Cc1cccc(C2CC2)c1)C[C@@H](O)C1CC1 ZINC000643293527 386083576 /nfs/dbraw/zinc/08/35/76/386083576.db2.gz GBEVYYJXTUSCBJ-MRXNPFEDSA-N 0 3 245.366 2.767 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2NCCOCC1CC1 ZINC000223380080 386085067 /nfs/dbraw/zinc/08/50/67/386085067.db2.gz GTSOIWVRHKRZPZ-HNNXBMFYSA-N 0 3 231.339 2.690 20 0 BFADHN CC[C@H](F)CN1CCC(OCC(C)C)CC1 ZINC000440703443 386091629 /nfs/dbraw/zinc/09/16/29/386091629.db2.gz IAMCKFPELUBSST-LBPRGKRZSA-N 0 3 231.355 2.872 20 0 BFADHN C[C@H](NC[C@@H](O)Cc1ccccc1)c1ccco1 ZINC000287111105 386095688 /nfs/dbraw/zinc/09/56/88/386095688.db2.gz QNSHWDPDNQKXAK-JSGCOSHPSA-N 0 3 245.322 2.534 20 0 BFADHN CCC[C@H](N)C(=O)Nc1c(C)cccc1CC ZINC000223758579 386098709 /nfs/dbraw/zinc/09/87/09/386098709.db2.gz QWWNQEQKPRJDTA-LBPRGKRZSA-N 0 3 234.343 2.623 20 0 BFADHN CS[C@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC000296180357 386144816 /nfs/dbraw/zinc/14/48/16/386144816.db2.gz IJDUBRJOXWLLPT-QWRGUYRKSA-N 0 3 222.357 2.580 20 0 BFADHN CS[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC000296180347 386145192 /nfs/dbraw/zinc/14/51/92/386145192.db2.gz IJDUBRJOXWLLPT-GHMZBOCLSA-N 0 3 222.357 2.580 20 0 BFADHN Cc1nocc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000334473351 386145470 /nfs/dbraw/zinc/14/54/70/386145470.db2.gz JBGKUSVEZAEVFU-WCQYABFASA-N 0 3 220.316 2.748 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2cc(C)n(C)n2)C1 ZINC000414358942 386146189 /nfs/dbraw/zinc/14/61/89/386146189.db2.gz NFMHXDNSADORGG-OLZOCXBDSA-N 0 3 235.375 2.787 20 0 BFADHN C[C@H](CCc1c(F)cccc1F)NCCF ZINC000336729798 386147255 /nfs/dbraw/zinc/14/72/55/386147255.db2.gz DFHZYPKZIUVTNF-SECBINFHSA-N 0 3 231.261 2.845 20 0 BFADHN Cc1occc1CN[C@@H](CO)CC1CCCC1 ZINC000414363677 386148503 /nfs/dbraw/zinc/14/85/03/386148503.db2.gz HBGXZJHELHAOOL-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN CC[C@H](CSC)N[C@@H](C)c1nccn1CC ZINC000296242400 386153362 /nfs/dbraw/zinc/15/33/62/386153362.db2.gz AXDYAZWVTHSCRJ-WDEREUQCSA-N 0 3 241.404 2.695 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cc(C)no1 ZINC000274821730 386154601 /nfs/dbraw/zinc/15/46/01/386154601.db2.gz BKGZHBPCHRPNMX-SKDRFNHKSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ccc(CN([C@@H](C)C(C)C)C2CC2)nn1 ZINC000414369929 386154932 /nfs/dbraw/zinc/15/49/32/386154932.db2.gz VLLLBRFDKUTEEI-LBPRGKRZSA-N 0 3 233.359 2.794 20 0 BFADHN CCc1ncc(CN([C@H](C)C2CC2)C2CC2)cn1 ZINC000414370067 386155095 /nfs/dbraw/zinc/15/50/95/386155095.db2.gz JSNHVJXLEADZMN-LLVKDONJSA-N 0 3 245.370 2.802 20 0 BFADHN CC[C@]1(C)CCN(Cc2cnc3ccccn23)C1 ZINC000354078107 386156133 /nfs/dbraw/zinc/15/61/33/386156133.db2.gz NEYDPNIPIGRDLC-OAHLLOKOSA-N 0 3 243.354 2.956 20 0 BFADHN C[C@@H](NCc1ncccc1N(C)C)[C@@H]1CC1(C)C ZINC000353183023 386157540 /nfs/dbraw/zinc/15/75/40/386157540.db2.gz GVSWVPUAMLKSJQ-NEPJUHHUSA-N 0 3 247.386 2.672 20 0 BFADHN Fc1ccc(CN2CC[C@@H]3CCC[C@@H]32)nc1 ZINC000336052177 386159169 /nfs/dbraw/zinc/15/91/69/386159169.db2.gz IXTRODBEIRPHML-GWCFXTLKSA-N 0 3 220.291 2.595 20 0 BFADHN C[C@H](NCCC1(O)CCC1)c1ccccc1F ZINC000284069921 386160215 /nfs/dbraw/zinc/16/02/15/386160215.db2.gz KAOPNUITXXTURM-NSHDSACASA-N 0 3 237.318 2.781 20 0 BFADHN C[C@@H](CCCO)N[C@@H](C)c1cccc(F)c1F ZINC000225000294 386162740 /nfs/dbraw/zinc/16/27/40/386162740.db2.gz YGNUIEZATZPHRF-UWVGGRQHSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1occc1CN[C@@H]1CCO[C@H](C(C)C)C1 ZINC000346133742 386163998 /nfs/dbraw/zinc/16/39/98/386163998.db2.gz PQDUUZHTUNQKJE-KGLIPLIRSA-N 0 3 237.343 2.881 20 0 BFADHN Cc1occc1CNCC1(CCO)CCCC1 ZINC000414367704 386165078 /nfs/dbraw/zinc/16/50/78/386165078.db2.gz SDKKVIVQXLUWKL-UHFFFAOYSA-N 0 3 237.343 2.620 20 0 BFADHN CCC1(CN[C@H](C)c2cncs2)CC1 ZINC000130514502 386169534 /nfs/dbraw/zinc/16/95/34/386169534.db2.gz AXLOYJAQLYCTTJ-SECBINFHSA-N 0 3 210.346 2.984 20 0 BFADHN CCC[C@H](O)CN(C)[C@H](C)c1ccc(F)cc1 ZINC000296639709 386190743 /nfs/dbraw/zinc/19/07/43/386190743.db2.gz WJRUDBDTRRTNID-RISCZKNCSA-N 0 3 239.334 2.980 20 0 BFADHN CN(Cc1cn2ccccc2n1)CC(C)(C)C ZINC000263773660 386173895 /nfs/dbraw/zinc/17/38/95/386173895.db2.gz NLPNGZJCKZCAQM-UHFFFAOYSA-N 0 3 231.343 2.812 20 0 BFADHN CCC1(N[C@@H](C)c2cc(C(=O)OC)co2)CC1 ZINC000296452763 386174721 /nfs/dbraw/zinc/17/47/21/386174721.db2.gz MURSEEVUUGVKLX-VIFPVBQESA-N 0 3 237.299 2.659 20 0 BFADHN CC[C@@H](C)N1CCN(Cc2ccsc2)CC1 ZINC000275065220 386177490 /nfs/dbraw/zinc/17/74/90/386177490.db2.gz JLZBNVSEZMKHMM-GFCCVEGCSA-N 0 3 238.400 2.664 20 0 BFADHN CCCCN(C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000416007663 386178598 /nfs/dbraw/zinc/17/85/98/386178598.db2.gz LFHVNUGFLICAJV-UHFFFAOYSA-N 0 3 247.342 2.554 20 0 BFADHN CN(Cc1cccnc1)C1Cc2ccccc2C1 ZINC000263816189 386180820 /nfs/dbraw/zinc/18/08/20/386180820.db2.gz YHOQWDVWGIYGMQ-UHFFFAOYSA-N 0 3 238.334 2.681 20 0 BFADHN Fc1cc(F)c(CN[C@H]2CCSC2)cc1F ZINC000225290907 386181740 /nfs/dbraw/zinc/18/17/40/386181740.db2.gz WWEBMRIVCOMDCL-QMMMGPOBSA-N 0 3 247.285 2.699 20 0 BFADHN CC(C)CCCN(C)Cc1cccnc1 ZINC000275125963 386183564 /nfs/dbraw/zinc/18/35/64/386183564.db2.gz JUNHYXLFTNEELV-UHFFFAOYSA-N 0 3 206.333 2.950 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cncc(F)c1 ZINC000275149325 386184547 /nfs/dbraw/zinc/18/45/47/386184547.db2.gz RPSVKZGCCSTUGL-GWCFXTLKSA-N 0 3 222.307 2.841 20 0 BFADHN CCC[C@@H](O)CN1CCc2sccc2[C@H]1C ZINC000296565541 386185508 /nfs/dbraw/zinc/18/55/08/386185508.db2.gz PZSYFZVPUZIYKF-GHMZBOCLSA-N 0 3 239.384 2.828 20 0 BFADHN CC[C@]1(CO)CCCN([C@H](C)c2cccnc2)C1 ZINC000275162714 386187964 /nfs/dbraw/zinc/18/79/64/386187964.db2.gz RWDSWCCZEWSLER-HIFRSBDPSA-N 0 3 248.370 2.627 20 0 BFADHN FC(F)COCCN1CCC2(CCCC2)CC1 ZINC000346283695 386188937 /nfs/dbraw/zinc/18/89/37/386188937.db2.gz SIUFRXGWRUXBRY-UHFFFAOYSA-N 0 3 247.329 2.924 20 0 BFADHN Cc1nocc1CN1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000334460984 386125321 /nfs/dbraw/zinc/12/53/21/386125321.db2.gz OFSJYQVKHWJFHW-BXUZGUMPSA-N 0 3 234.343 2.995 20 0 BFADHN FC1(F)Oc2cccc(CNC3CCC3)c2O1 ZINC000414333240 386126431 /nfs/dbraw/zinc/12/64/31/386126431.db2.gz HJWBDJJSOZHWRE-UHFFFAOYSA-N 0 3 241.237 2.650 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1c1ccco1)c1ccccn1 ZINC000414334394 386128286 /nfs/dbraw/zinc/12/82/86/386128286.db2.gz IIYOYZDHPLRULM-DMDPSCGWSA-N 0 3 228.295 2.881 20 0 BFADHN Cc1noc(C)c1CCNCc1ccoc1C ZINC000414335882 386128309 /nfs/dbraw/zinc/12/83/09/386128309.db2.gz JSHXTFLRSHTERX-UHFFFAOYSA-N 0 3 234.299 2.525 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1cncs1 ZINC000224190579 386129527 /nfs/dbraw/zinc/12/95/27/386129527.db2.gz KGKJDJORZANRGY-HBNTYKKESA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H](CSC)N(C)Cc1ccncc1C ZINC000296091326 386134458 /nfs/dbraw/zinc/13/44/58/386134458.db2.gz RFHZNEJDFYCDBA-CYBMUJFWSA-N 0 3 238.400 2.963 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cnccc1OC ZINC000287557292 386135828 /nfs/dbraw/zinc/13/58/28/386135828.db2.gz AZINMTIYSUHHGU-YPMHNXCESA-N 0 3 234.343 2.853 20 0 BFADHN CC(C)c1ccc([C@@H](C)N[C@H]2C[C@@H](O)C2)cc1 ZINC000296116288 386136929 /nfs/dbraw/zinc/13/69/29/386136929.db2.gz ZTMQEUDLQVIPCR-DFBGVHRSSA-N 0 3 233.355 2.984 20 0 BFADHN CO[C@H]1CCCC[C@@H]1NCc1ccoc1C ZINC000414356673 386142495 /nfs/dbraw/zinc/14/24/95/386142495.db2.gz GBAFUZLHYHQJKY-STQMWFEESA-N 0 3 223.316 2.635 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H]3CCC[C@H]3C2)nn1C ZINC000414356849 386142894 /nfs/dbraw/zinc/14/28/94/386142894.db2.gz HJZBZFJLELLWIE-HZSPNIEDSA-N 0 3 247.386 2.787 20 0 BFADHN C[C@@H](NCCOC1CCC1)c1cccc(O)c1 ZINC000287710795 386143925 /nfs/dbraw/zinc/14/39/25/386143925.db2.gz JQIWZOQJUQCMNM-LLVKDONJSA-N 0 3 235.327 2.612 20 0 BFADHN Cc1cnccc1CN1CCSC[C@@H](C)C1 ZINC000296839900 386206445 /nfs/dbraw/zinc/20/64/45/386206445.db2.gz OEDODTAOYDRSNL-NSHDSACASA-N 0 3 236.384 2.575 20 0 BFADHN COc1nc(C)cc(C)c1CN1CC[C@H](C)[C@@H]1C ZINC000347051522 386207008 /nfs/dbraw/zinc/20/70/08/386207008.db2.gz KRDHKWPDCZKKTJ-GWCFXTLKSA-N 0 3 248.370 2.937 20 0 BFADHN COC[C@@]1(C)CCN([C@@H](C)c2ccccn2)C1 ZINC000296885774 386210526 /nfs/dbraw/zinc/21/05/26/386210526.db2.gz VFZCPLFUGIVJTI-JSGCOSHPSA-N 0 3 234.343 2.501 20 0 BFADHN CCc1noc(CC)c1CNC[C@H](C)CC ZINC000093081273 386212293 /nfs/dbraw/zinc/21/22/93/386212293.db2.gz QGQIWAGPHXVWDC-SNVBAGLBSA-N 0 3 224.348 2.935 20 0 BFADHN CCCCCNCc1ccc(COC)o1 ZINC000125030245 386214495 /nfs/dbraw/zinc/21/44/95/386214495.db2.gz QEQPKSRIKYCJTR-UHFFFAOYSA-N 0 3 211.305 2.706 20 0 BFADHN c1cnc2ccc(CNCc3ccncc3)cc2c1 ZINC000021031133 386218015 /nfs/dbraw/zinc/21/80/15/386218015.db2.gz IFBKTOGYGCRTIS-UHFFFAOYSA-N 0 3 249.317 2.920 20 0 BFADHN Cc1cnccc1CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000296983696 386218791 /nfs/dbraw/zinc/21/87/91/386218791.db2.gz VLSUNDKHUDOPTM-GJZGRUSLSA-N 0 3 246.354 2.533 20 0 BFADHN Cc1cc(C)cc(CN2CC[C@@H](O)C(C)(C)C2)c1 ZINC000275538580 386219216 /nfs/dbraw/zinc/21/92/16/386219216.db2.gz YIYKRDILHKLWNM-OAHLLOKOSA-N 0 3 247.382 2.896 20 0 BFADHN CSC[C@H](C)NCc1ccc(C)c(F)c1 ZINC000125001144 386219252 /nfs/dbraw/zinc/21/92/52/386219252.db2.gz FSBZWJXUUSLGEC-JTQLQIEISA-N 0 3 227.348 2.975 20 0 BFADHN CSC[C@H](C)NCc1cccc(F)c1F ZINC000125005382 386219975 /nfs/dbraw/zinc/21/99/75/386219975.db2.gz GIPUHKSKAQPHGN-QMMMGPOBSA-N 0 3 231.311 2.806 20 0 BFADHN CSC[C@@H](C)NCc1ccc(F)c(F)c1 ZINC000125004028 386220533 /nfs/dbraw/zinc/22/05/33/386220533.db2.gz YGOKIQATSXCGAF-MRVPVSSYSA-N 0 3 231.311 2.806 20 0 BFADHN CCC(CC)[C@H](O)CNCc1ccccc1F ZINC000225815411 386220987 /nfs/dbraw/zinc/22/09/87/386220987.db2.gz IRZODIKOUZLQIB-CQSZACIVSA-N 0 3 239.334 2.712 20 0 BFADHN CCCN(C)C(=O)C[C@@H](c1ccccc1)N(C)C ZINC000416142424 386221231 /nfs/dbraw/zinc/22/12/31/386221231.db2.gz GSRQUSVFSXKWEM-AWEZNQCLSA-N 0 3 248.370 2.548 20 0 BFADHN Cc1ccc(CN2CC([C@@H]3CCOC3)C2)s1 ZINC000366244863 386223892 /nfs/dbraw/zinc/22/38/92/386223892.db2.gz AJBWRTBTOGXDIY-LLVKDONJSA-N 0 3 237.368 2.525 20 0 BFADHN CCN(Cc1c(C)cc(C)nc1OC)CC1CC1 ZINC000347035546 386224298 /nfs/dbraw/zinc/22/42/98/386224298.db2.gz QAEKBHCBQDAZHJ-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN C[C@H]1Oc2ccccc2[C@@H]1N[C@@H]1CCO[C@H](C)C1 ZINC000288664349 386225077 /nfs/dbraw/zinc/22/50/77/386225077.db2.gz WAFUZDIRHKZWHL-RTWAVKEYSA-N 0 3 247.338 2.666 20 0 BFADHN CCSCCN1CCCc2occc2C1 ZINC000288664555 386225906 /nfs/dbraw/zinc/22/59/06/386225906.db2.gz BVEOBWZCAUSUCX-UHFFFAOYSA-N 0 3 225.357 2.781 20 0 BFADHN C[C@H](NCc1ccon1)[C@H]1CCC[C@H](C)C1 ZINC000452068551 386227785 /nfs/dbraw/zinc/22/77/85/386227785.db2.gz NHZPOHWGYLYQPP-SRVKXCTJSA-N 0 3 222.332 2.979 20 0 BFADHN CCc1ncc(CN(C)C(C2CC2)C2CC2)cn1 ZINC000414382719 386229107 /nfs/dbraw/zinc/22/91/07/386229107.db2.gz PWFFAOQXYOSUQA-UHFFFAOYSA-N 0 3 245.370 2.659 20 0 BFADHN C[C@@H](NCc1nccs1)C1CCSCC1 ZINC000187036980 386230487 /nfs/dbraw/zinc/23/04/87/386230487.db2.gz SIXMTQKIOIIKAS-SECBINFHSA-N 0 3 242.413 2.764 20 0 BFADHN FC1(F)CCNC[C@H]1NCC1CCCCCC1 ZINC000415000663 386231165 /nfs/dbraw/zinc/23/11/65/386231165.db2.gz LJAYEVJBUNDKAR-GFCCVEGCSA-N 0 3 246.345 2.544 20 0 BFADHN CC(C)Cn1nccc1CN(C)[C@H](C)C1CC1 ZINC000648001695 386234688 /nfs/dbraw/zinc/23/46/88/386234688.db2.gz DTPJUTWPMROFDS-GFCCVEGCSA-N 0 3 235.375 2.769 20 0 BFADHN Cc1cc(C)nc(NCc2ccco2)c1 ZINC000125266302 386238392 /nfs/dbraw/zinc/23/83/92/386238392.db2.gz KDXOEWFRTJHMQG-UHFFFAOYSA-N 0 3 202.257 2.904 20 0 BFADHN COc1cccc(C)c1CNCC[C@H]1CCCO1 ZINC000638349666 386238521 /nfs/dbraw/zinc/23/85/21/386238521.db2.gz RPBNYCMSAVQMMG-CYBMUJFWSA-N 0 3 249.354 2.662 20 0 BFADHN CC(C)n1cc(CNCCc2cccs2)cn1 ZINC000226238476 386239732 /nfs/dbraw/zinc/23/97/32/386239732.db2.gz FAHMEMAASJJXEO-UHFFFAOYSA-N 0 3 249.383 2.858 20 0 BFADHN COc1nc(C)cc(C)c1CN(C)CC1CCC1 ZINC000347081757 386241961 /nfs/dbraw/zinc/24/19/61/386241961.db2.gz TTXFWQAGEZJNFU-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN c1nc2c([nH]1)CN(CC1CCCCCC1)CC2 ZINC000647932469 386246525 /nfs/dbraw/zinc/24/65/25/386246525.db2.gz CCTOPIZEQVZNBU-UHFFFAOYSA-N 0 3 233.359 2.738 20 0 BFADHN CCOc1cc(CN2CC[C@H]2CC)ccc1O ZINC000297402742 386251464 /nfs/dbraw/zinc/25/14/64/386251464.db2.gz KPGLSIUFFPVGJW-GFCCVEGCSA-N 0 3 235.327 2.775 20 0 BFADHN CC[C@@H]1CN(Cc2ccc3occc3c2)C[C@H]1O ZINC000289040381 386254425 /nfs/dbraw/zinc/25/44/25/386254425.db2.gz AQGGACXEGIYNKO-TZMCWYRMSA-N 0 3 245.322 2.636 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnc(CC)nc1 ZINC000414374842 386194054 /nfs/dbraw/zinc/19/40/54/386194054.db2.gz WSNKSCKNJXCJBF-LLVKDONJSA-N 0 3 221.348 2.659 20 0 BFADHN CC(C)CN(C)Cc1ccnn1CC(C)C ZINC000648001043 386197148 /nfs/dbraw/zinc/19/71/48/386197148.db2.gz SNJAJLDAWVGFOJ-UHFFFAOYSA-N 0 3 223.364 2.627 20 0 BFADHN Cc1ccc(CN[C@]2(C)CCOC2)cc1Cl ZINC000235862081 386197797 /nfs/dbraw/zinc/19/77/97/386197797.db2.gz ZTEKEGSSRNTJIJ-CYBMUJFWSA-N 0 3 239.746 2.917 20 0 BFADHN CCc1ccc(CN2CCC[C@H](COC)C2)nc1 ZINC000338994698 386198069 /nfs/dbraw/zinc/19/80/69/386198069.db2.gz LDLANFUWPGWHAN-AWEZNQCLSA-N 0 3 248.370 2.502 20 0 BFADHN Cc1ccc(CN[C@H]2CCCOC2)cc1Cl ZINC000235860148 386198456 /nfs/dbraw/zinc/19/84/56/386198456.db2.gz RUIYWSJMJKMLRU-LBPRGKRZSA-N 0 3 239.746 2.917 20 0 BFADHN Cc1ccc(C(C)(C)NCCOC2CCC2)cn1 ZINC000347198427 386281086 /nfs/dbraw/zinc/28/10/86/386281086.db2.gz LUTOSERCRJOHAD-UHFFFAOYSA-N 0 3 248.370 2.784 20 0 BFADHN CC[C@H](F)CN[C@H]1CCCO[C@H]1CC(C)C ZINC000336730577 386282407 /nfs/dbraw/zinc/28/24/07/386282407.db2.gz IKDAPQYARZXVAK-AVGNSLFASA-N 0 3 231.355 2.918 20 0 BFADHN CSCCCN1CCc2ccc(O)cc2C1 ZINC000336662846 386283070 /nfs/dbraw/zinc/28/30/70/386283070.db2.gz CUPYZGQFNAIMFA-UHFFFAOYSA-N 0 3 237.368 2.503 20 0 BFADHN FC(F)COCCNC1(c2ccccc2)CC1 ZINC000276185514 386284433 /nfs/dbraw/zinc/28/44/33/386284433.db2.gz HIQRZHMENZDJAD-UHFFFAOYSA-N 0 3 241.281 2.547 20 0 BFADHN CN(CCc1cccc(Cl)c1)[C@H]1CCOC1 ZINC000297880367 386285016 /nfs/dbraw/zinc/28/50/16/386285016.db2.gz QJALNUBROQSHGF-ZDUSSCGKSA-N 0 3 239.746 2.603 20 0 BFADHN CCc1ccc([C@@H](COC)NC(C)C)o1 ZINC000125640050 386287757 /nfs/dbraw/zinc/28/77/57/386287757.db2.gz GDVBPSWQRKQNQT-LLVKDONJSA-N 0 3 211.305 2.528 20 0 BFADHN CCc1cccc(CN[C@@H](C)c2cn[nH]c2)c1 ZINC000276253251 386289119 /nfs/dbraw/zinc/28/91/19/386289119.db2.gz QBCSNTUGTOGYGF-NSHDSACASA-N 0 3 229.327 2.823 20 0 BFADHN CCc1ncc(CN(CC2CC2)CC2CC2)cn1 ZINC000414396846 386289743 /nfs/dbraw/zinc/28/97/43/386289743.db2.gz QANYTCBDQQWYSM-UHFFFAOYSA-N 0 3 245.370 2.661 20 0 BFADHN CCC[C@H](C)NC(=O)[C@@H](C)N1CCCCCC1 ZINC000125688530 386289764 /nfs/dbraw/zinc/28/97/64/386289764.db2.gz MFGVCODBEMTIAU-QWHCGFSZSA-N 0 3 240.391 2.556 20 0 BFADHN CC(C)N(C)Cc1cncc(Br)c1 ZINC000133279324 386290914 /nfs/dbraw/zinc/29/09/14/386290914.db2.gz XBLWUADQKDXLIA-UHFFFAOYSA-N 0 3 243.148 2.684 20 0 BFADHN Cc1noc(-c2cccc(CN3CCCC3)c2)n1 ZINC000264996075 386294200 /nfs/dbraw/zinc/29/42/00/386294200.db2.gz LBXUODXEGXWIEH-UHFFFAOYSA-N 0 3 243.310 2.641 20 0 BFADHN CCc1ncc(CN2CC(C)(C)C[C@@H]2C)cn1 ZINC000335263797 386294522 /nfs/dbraw/zinc/29/45/22/386294522.db2.gz VZTZIARAZBVWGG-NSHDSACASA-N 0 3 233.359 2.659 20 0 BFADHN CSCCN1CC[C@@H]1c1ccccc1 ZINC000336672972 386302159 /nfs/dbraw/zinc/30/21/59/386302159.db2.gz NKWBOXLJUDVPGJ-GFCCVEGCSA-N 0 3 207.342 2.796 20 0 BFADHN C[C@@H]1C[C@H]1CN[C@H]1COc2ccc(F)cc21 ZINC000336731061 386304588 /nfs/dbraw/zinc/30/45/88/386304588.db2.gz FBOIXENFXADCRW-PTRXPTGYSA-N 0 3 221.275 2.505 20 0 BFADHN CCCC(=O)NC[C@@H](N)c1ccc(C(C)C)cc1 ZINC000236717312 386306348 /nfs/dbraw/zinc/30/63/48/386306348.db2.gz LOPBGUFGEMNTDJ-CQSZACIVSA-N 0 3 248.370 2.726 20 0 BFADHN CC[C@H](C(=O)N[C@@H](CC)c1ccccc1)N(C)C ZINC000298197491 386308860 /nfs/dbraw/zinc/30/88/60/386308860.db2.gz IAALCXOJSZICPY-UONOGXRCSA-N 0 3 248.370 2.594 20 0 BFADHN c1ccc([C@@H]2C[C@H]2CNCc2ccccn2)cc1 ZINC000236776234 386312166 /nfs/dbraw/zinc/31/21/66/386312166.db2.gz YQOIPSOQNVWPBH-HOCLYGCPSA-N 0 3 238.334 2.975 20 0 BFADHN COc1ncccc1CN1CC[C@@H](C)C[C@H]1C ZINC000425526319 386312496 /nfs/dbraw/zinc/31/24/96/386312496.db2.gz WJIONDKVUSVRFO-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN CC(C)N(Cc1ccc(F)cn1)C1CC1 ZINC000276393762 386314428 /nfs/dbraw/zinc/31/44/28/386314428.db2.gz SGLWARBKKSUWGE-UHFFFAOYSA-N 0 3 208.280 2.594 20 0 BFADHN Cc1cc(CN(C)C2CC2)cc(C)c1O ZINC000298273568 386316954 /nfs/dbraw/zinc/31/69/54/386316954.db2.gz CCZNOJWYRMWABH-UHFFFAOYSA-N 0 3 205.301 2.603 20 0 BFADHN C[C@H](C1CC1)N(Cc1ccc(F)cn1)C1CC1 ZINC000276421647 386319984 /nfs/dbraw/zinc/31/99/84/386319984.db2.gz XEGXGOPOSIPBFB-SNVBAGLBSA-N 0 3 234.318 2.984 20 0 BFADHN C[C@@H](O)CCN([C@H](C)c1ccco1)C1CC1 ZINC000336679245 386320419 /nfs/dbraw/zinc/32/04/19/386320419.db2.gz OYKNFYWDUNDODE-GHMZBOCLSA-N 0 3 223.316 2.576 20 0 BFADHN CCC1(NCc2nc(C)cs2)CCOCC1 ZINC000336731787 386322552 /nfs/dbraw/zinc/32/25/52/386322552.db2.gz JAGZOHPZDRWTPL-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](N)C(C)C ZINC000011958988 386325409 /nfs/dbraw/zinc/32/54/09/386325409.db2.gz JDMKCBMHANBFJX-NSHDSACASA-N 0 3 240.734 2.570 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C3CC3)C2)cn1 ZINC000289536696 386323757 /nfs/dbraw/zinc/32/37/57/386323757.db2.gz WNFFLZQYSFCZBZ-CQSZACIVSA-N 0 3 246.354 2.712 20 0 BFADHN CCc1ccc(CN2CC[C@H](OC)C[C@@H]2C)nc1 ZINC000339160920 386271196 /nfs/dbraw/zinc/27/11/96/386271196.db2.gz NSPSOJJDSOALRI-WFASDCNBSA-N 0 3 248.370 2.643 20 0 BFADHN CCSCCN1CC(CC)(CC)C1 ZINC000336657357 386274020 /nfs/dbraw/zinc/27/40/20/386274020.db2.gz QRHDSVFDVYOCTO-UHFFFAOYSA-N 0 3 201.379 2.862 20 0 BFADHN CN(Cc1ccc(F)cn1)C1CCCCC1 ZINC000276139354 386277987 /nfs/dbraw/zinc/27/79/87/386277987.db2.gz CFKNRAJIRIMUCF-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN CC(C)(C)C1=CCN(C[C@@H]2CCCO2)CC1 ZINC000336661665 386279798 /nfs/dbraw/zinc/27/97/98/386279798.db2.gz IARJRIYEQWUWLZ-ZDUSSCGKSA-N 0 3 223.360 2.844 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](O)C1(C)C)c1ccccc1F ZINC000226598621 386342322 /nfs/dbraw/zinc/34/23/22/386342322.db2.gz CRUQZDXDWXGVFW-ZWKOPEQDSA-N 0 3 237.318 2.636 20 0 BFADHN Cc1ncc(CNCCC2CCCCC2)cn1 ZINC000339290963 386347575 /nfs/dbraw/zinc/34/75/75/386347575.db2.gz QMGGVNWXCYSDNQ-UHFFFAOYSA-N 0 3 233.359 2.845 20 0 BFADHN CC[C@@H](C)N1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289718939 386347809 /nfs/dbraw/zinc/34/78/09/386347809.db2.gz CUBCKFOPRHKDPT-KOLCDFICSA-N 0 3 227.739 2.582 20 0 BFADHN CC[C@H](NCc1nccn1CC(C)C)C1CC1 ZINC000164849393 386348164 /nfs/dbraw/zinc/34/81/64/386348164.db2.gz SKKIPKRABDDVMH-ZDUSSCGKSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@H]1CCCN(Cc2ccccn2)C1 ZINC000298475257 386349828 /nfs/dbraw/zinc/34/98/28/386349828.db2.gz RWFHQUDTIHUHBN-LBPRGKRZSA-N 0 3 204.317 2.704 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cc(F)c(F)c(F)c1 ZINC000289753920 386350824 /nfs/dbraw/zinc/35/08/24/386350824.db2.gz IJNGFBXSGXJVFS-SFYZADRCSA-N 0 3 247.260 2.617 20 0 BFADHN C[C@@H](O)CCN(C)Cc1ccc2occc2c1 ZINC000290041979 386386511 /nfs/dbraw/zinc/38/65/11/386386511.db2.gz OBWIRPFTLGUJDT-LLVKDONJSA-N 0 3 233.311 2.636 20 0 BFADHN CC[C@H](NCC(C)(C)O)c1ccc(F)cc1F ZINC000265632561 386355097 /nfs/dbraw/zinc/35/50/97/386355097.db2.gz FFPVZJAIETUONI-LBPRGKRZSA-N 0 3 243.297 2.776 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)CCCCO ZINC000276638881 386356138 /nfs/dbraw/zinc/35/61/38/386356138.db2.gz KKPXWLCLSBBEHD-SNAWJCMRSA-N 0 3 237.318 2.543 20 0 BFADHN CO[C@@](C)(CNCc1cnc(C)cc1C)C1CC1 ZINC000639238891 386358960 /nfs/dbraw/zinc/35/89/60/386358960.db2.gz DVGQBRWNMVGMPS-HNNXBMFYSA-N 0 3 248.370 2.603 20 0 BFADHN COC[C@@H]1CCN(Cc2cccc(Cl)c2)C1 ZINC000265775020 386368070 /nfs/dbraw/zinc/36/80/70/386368070.db2.gz JMBFMVKWELLIOU-GFCCVEGCSA-N 0 3 239.746 2.808 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCCC[C@@H]1C ZINC000336743245 386370442 /nfs/dbraw/zinc/37/04/42/386370442.db2.gz OVUXUKLPQPLCHJ-JQWIXIFHSA-N 0 3 221.348 2.634 20 0 BFADHN Cc1cc(C)c(NC(=O)/C=C/CN(C)C)c(C)c1 ZINC000265800266 386373045 /nfs/dbraw/zinc/37/30/45/386373045.db2.gz QAKYLKWTRUVPOM-VOTSOKGWSA-N 0 3 246.354 2.668 20 0 BFADHN COC[C@@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC000265824174 386375783 /nfs/dbraw/zinc/37/57/83/386375783.db2.gz SKYKSGKYLVPSGV-VXGBXAGGSA-N 0 3 237.318 2.855 20 0 BFADHN Cc1cn[nH]c1CN[C@@H]1CCCc2ccccc21 ZINC000289955297 386376126 /nfs/dbraw/zinc/37/61/26/386376126.db2.gz QJDSJPGFWAGDGR-CQSZACIVSA-N 0 3 241.338 2.885 20 0 BFADHN C[C@@H](NCc1c(Cl)nc2ccccn21)C1CC1 ZINC000237439863 386379773 /nfs/dbraw/zinc/37/97/73/386379773.db2.gz DSXQTGBTZLMSNS-SECBINFHSA-N 0 3 249.745 2.876 20 0 BFADHN C[C@@H](CF)N[C@H]1Cc2[nH]c3ccccc3c2C1 ZINC000290007828 386383512 /nfs/dbraw/zinc/38/35/12/386383512.db2.gz DLVKJPFZAZUATI-VHSXEESVSA-N 0 3 232.302 2.583 20 0 BFADHN CCc1cccc(Cl)c1CNCCCCO ZINC000336775425 386384203 /nfs/dbraw/zinc/38/42/03/386384203.db2.gz YZNZJTQYFTVWJE-UHFFFAOYSA-N 0 3 241.762 2.765 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCc2ccccc21 ZINC000339250808 386326193 /nfs/dbraw/zinc/32/61/93/386326193.db2.gz YVYKPCFBIPCJJZ-ZDUSSCGKSA-N 0 3 242.322 2.803 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](O)C2CCC2)c(C)o1 ZINC000315708498 259686839 /nfs/dbraw/zinc/68/68/39/259686839.db2.gz HALNZETXRWGWAY-IINYFYTJSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cnc(CN[C@H]2CC[C@@H](C)C2)s1 ZINC000164837651 386333045 /nfs/dbraw/zinc/33/30/45/386333045.db2.gz LRZSKJHQZJSCTJ-SCZZXKLOSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1c[nH]nc1CNCCc1cc(C)cc(C)c1 ZINC000289663225 386338000 /nfs/dbraw/zinc/33/80/00/386338000.db2.gz ZCKSXEZMRLYBID-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN C[C@]1(CNCc2cc[nH]n2)C[C@@H]1c1ccccc1 ZINC000339444772 386437037 /nfs/dbraw/zinc/43/70/37/386437037.db2.gz BGEMUACNMQIXHQ-HUUCEWRRSA-N 0 3 241.338 2.693 20 0 BFADHN Cc1cc(C)cc([C@H](O)CNCc2ccco2)c1 ZINC000266435697 386438034 /nfs/dbraw/zinc/43/80/34/386438034.db2.gz LQKYOSYFNHSAEK-OAHLLOKOSA-N 0 3 245.322 2.720 20 0 BFADHN CN(C[C@@H]1CCCO1)[C@@H]1C[C@H]1c1ccccc1 ZINC000336718228 386439824 /nfs/dbraw/zinc/43/98/24/386439824.db2.gz WXHPTXXVHFALBQ-SOUVJXGZSA-N 0 3 231.339 2.653 20 0 BFADHN C[C@H]1C[C@H](c2cccc(F)c2)N(CCCO)C1 ZINC000266581816 386452673 /nfs/dbraw/zinc/45/26/73/386452673.db2.gz IFBFHWDYPXZOQC-SMDDNHRTSA-N 0 3 237.318 2.591 20 0 BFADHN CCOC1CC2(C1)CCN(Cc1ccoc1C)C2 ZINC000414434678 386441930 /nfs/dbraw/zinc/44/19/30/386441930.db2.gz DJCDAVBBFGQKQV-UHFFFAOYSA-N 0 3 249.354 2.979 20 0 BFADHN C[C@H](NC1CCC2(CC2)CC1)c1cnccn1 ZINC000277528082 386444838 /nfs/dbraw/zinc/44/48/38/386444838.db2.gz OQZIBQRUROHQNI-NSHDSACASA-N 0 3 231.343 2.850 20 0 BFADHN CSCCN(Cc1ccco1)C(C)C ZINC000299382326 386446617 /nfs/dbraw/zinc/44/66/17/386446617.db2.gz JLXQVPAREBJVLM-UHFFFAOYSA-N 0 3 213.346 2.853 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H]2CC[C@@H](C)O2)o1 ZINC000227023146 386447257 /nfs/dbraw/zinc/44/72/57/386447257.db2.gz MFYHXQPINMBUKL-USWWRNFRSA-N 0 3 223.316 2.806 20 0 BFADHN CN(C)CCSc1cc(F)ccc1F ZINC000299393529 386448660 /nfs/dbraw/zinc/44/86/60/386448660.db2.gz KXJAJSBXMARIQU-UHFFFAOYSA-N 0 3 217.284 2.619 20 0 BFADHN Cc1ccc([C@H](O)CNCc2ccc(F)cc2)o1 ZINC000265964259 386387966 /nfs/dbraw/zinc/38/79/66/386387966.db2.gz JPVYLFXYFUGZEJ-CYBMUJFWSA-N 0 3 249.285 2.550 20 0 BFADHN CCOC1CC(N(C)Cc2cccc(F)c2)C1 ZINC000347407619 386389194 /nfs/dbraw/zinc/38/91/94/386389194.db2.gz DIJLOIRSWGBFIL-UHFFFAOYSA-N 0 3 237.318 2.825 20 0 BFADHN C=Cn1cc(CN2CCC[C@@H](C3CC3)C2)cn1 ZINC000290057005 386389288 /nfs/dbraw/zinc/38/92/88/386389288.db2.gz DZQAOVDIFQXVMT-CQSZACIVSA-N 0 3 231.343 2.606 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H](C)[C@@H]1CCCCO1 ZINC000347352517 386390065 /nfs/dbraw/zinc/39/00/65/386390065.db2.gz YOCJJXQZLLZETA-GUTXKFCHSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1cn[nH]c1CN[C@@H]1CCc2c1cccc2F ZINC000290071390 386390160 /nfs/dbraw/zinc/39/01/60/386390160.db2.gz YHXULXYPEPKLQI-CYBMUJFWSA-N 0 3 245.301 2.634 20 0 BFADHN c1cc(CN2CC[C@H](Cc3ccccc3)C2)on1 ZINC000265988277 386390397 /nfs/dbraw/zinc/39/03/97/386390397.db2.gz IEHOGNPLFNFTNI-CQSZACIVSA-N 0 3 242.322 2.739 20 0 BFADHN c1csc(CN[C@@H]2CCC23CCC3)n1 ZINC000282099729 386394162 /nfs/dbraw/zinc/39/41/62/386394162.db2.gz INYWTGHEBKLVQQ-SECBINFHSA-N 0 3 208.330 2.565 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cn3ccsc3n2)[C@H]1C ZINC000336776596 386402558 /nfs/dbraw/zinc/40/25/58/386402558.db2.gz GCXRRQQMYSFJHV-WCABBAIRSA-N 0 3 235.356 2.530 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@H]2CCSC2)o1 ZINC000266128419 386403622 /nfs/dbraw/zinc/40/36/22/386403622.db2.gz CFRUVWOREZZNRH-JFGNBEQYSA-N 0 3 237.368 2.998 20 0 BFADHN Clc1cccc(CCCNCc2ncc[nH]2)c1 ZINC000237776306 386408758 /nfs/dbraw/zinc/40/87/58/386408758.db2.gz USWZVRFGEJGTAM-UHFFFAOYSA-N 0 3 249.745 2.786 20 0 BFADHN Cc1ccoc1CNCCN(C)c1ccccc1 ZINC000266190220 386408849 /nfs/dbraw/zinc/40/88/49/386408849.db2.gz ILKGGDCUOJGZEA-UHFFFAOYSA-N 0 3 244.338 2.814 20 0 BFADHN CC(C)n1cc([C@@H](C)NC2CC(F)(F)C2)cn1 ZINC000277140740 386411187 /nfs/dbraw/zinc/41/11/87/386411187.db2.gz PFFPQTUBJNIINS-SECBINFHSA-N 0 3 243.301 2.912 20 0 BFADHN CC(C)C[C@@H](N[C@@H]1CCCOC1)c1ccccn1 ZINC000290273938 386416115 /nfs/dbraw/zinc/41/61/15/386416115.db2.gz LQPCNOBMYUYQRQ-UKRRQHHQSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1noc(C)c1CN1CC[C@@H](C)[C@H]1C ZINC000248358476 386418184 /nfs/dbraw/zinc/41/81/84/386418184.db2.gz QVKBJVQGNONKHN-PSASIEDQSA-N 0 3 208.305 2.522 20 0 BFADHN O[C@@H]1CC[C@@H]2CN([C@H]3C=CCCC3)CC[C@H]2C1 ZINC000451710051 386419067 /nfs/dbraw/zinc/41/90/67/386419067.db2.gz PCSBCJNRMPPESM-LJISPDSOSA-N 0 3 235.371 2.578 20 0 BFADHN Cc1noc(C)c1CN1CC[C@H](C)[C@H]1C ZINC000248358477 386419788 /nfs/dbraw/zinc/41/97/88/386419788.db2.gz QVKBJVQGNONKHN-WCBMZHEXSA-N 0 3 208.305 2.522 20 0 BFADHN Cc1ccc(CNCC(C)(C)C(C)C)nn1 ZINC000414429723 386420636 /nfs/dbraw/zinc/42/06/36/386420636.db2.gz DMDKJEXGULYIQY-UHFFFAOYSA-N 0 3 221.348 2.557 20 0 BFADHN COc1c(C)cnc(CN2C[C@H](C)[C@H](C)C2)c1C ZINC000336710835 386424251 /nfs/dbraw/zinc/42/42/51/386424251.db2.gz NHOBXBLLXRWAIS-TXEJJXNPSA-N 0 3 248.370 2.795 20 0 BFADHN CCC=CC[NH2+][C@H](c1nnc[n-]1)C1CCCCC1 ZINC000453326351 386425188 /nfs/dbraw/zinc/42/51/88/386425188.db2.gz RXGFMRBJFSSLEB-QTEJZOKPSA-N 0 3 248.374 2.982 20 0 BFADHN CCC=CCN[C@H](c1nnc[nH]1)C1CCCCC1 ZINC000453326351 386425196 /nfs/dbraw/zinc/42/51/96/386425196.db2.gz RXGFMRBJFSSLEB-QTEJZOKPSA-N 0 3 248.374 2.982 20 0 BFADHN CCc1ncc(CN[C@H]2CCC23CCCC3)cn1 ZINC000414430234 386425283 /nfs/dbraw/zinc/42/52/83/386425283.db2.gz FJIHSGHQKZUHGQ-ZDUSSCGKSA-N 0 3 245.370 2.851 20 0 BFADHN CCCc1ccc(CN2CCC(O)CC2)cc1 ZINC000299203343 386425813 /nfs/dbraw/zinc/42/58/13/386425813.db2.gz AZDKFQRHRKXRHY-UHFFFAOYSA-N 0 3 233.355 2.596 20 0 BFADHN c1ncc(CN[C@H]2[C@H]3Cc4ccccc4[C@H]32)s1 ZINC000290366281 386427053 /nfs/dbraw/zinc/42/70/53/386427053.db2.gz HDPINVVSRQVRJT-MJBXVCDLSA-N 0 3 242.347 2.571 20 0 BFADHN Cc1cn[nH]c1CN(C)[C@H]1CCc2ccccc21 ZINC000290394702 386429597 /nfs/dbraw/zinc/42/95/97/386429597.db2.gz JUJVFTYZISWZMO-HNNXBMFYSA-N 0 3 241.338 2.837 20 0 BFADHN CC[C@]1(CO)CCCN(Cc2ccoc2C)C1 ZINC000414431463 386430022 /nfs/dbraw/zinc/43/00/22/386430022.db2.gz LCNMXCRWBHPDJX-AWEZNQCLSA-N 0 3 237.343 2.573 20 0 BFADHN c1ccc2c(c1)C[C@@H]1[C@H](NCc3ccncc3)[C@H]21 ZINC000290414317 386432958 /nfs/dbraw/zinc/43/29/58/386432958.db2.gz KFUMLKXQUYNUCT-XHSDSOJGSA-N 0 3 236.318 2.510 20 0 BFADHN CC(C)C1CCN(Cc2ccc(CO)o2)CC1 ZINC000093670079 386434551 /nfs/dbraw/zinc/43/45/51/386434551.db2.gz QXSAOFRXNVSQMY-UHFFFAOYSA-N 0 3 237.343 2.640 20 0 BFADHN C[C@@]1(CNCc2ncc[nH]2)C[C@H]1c1ccccc1 ZINC000339450231 386434801 /nfs/dbraw/zinc/43/48/01/386434801.db2.gz QFGGQGLIMJPIPP-ZFWWWQNUSA-N 0 3 241.338 2.693 20 0 BFADHN CCCCC[C@@H]1CCCN1Cc1ccnn1C ZINC000347476194 386435243 /nfs/dbraw/zinc/43/52/43/386435243.db2.gz JCQSEJAGYTXGBW-CYBMUJFWSA-N 0 3 235.375 2.965 20 0 BFADHN COc1c(C)cnc(CN2CCC(C)CC2)c1C ZINC000336717505 386435503 /nfs/dbraw/zinc/43/55/03/386435503.db2.gz TZNLXWCEPCEZQU-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN CCOC[C@H]1CCC[C@@H]1NCc1ccco1 ZINC000336778486 386467692 /nfs/dbraw/zinc/46/76/92/386467692.db2.gz LMBUWUYHDXXQBX-YPMHNXCESA-N 0 3 223.316 2.574 20 0 BFADHN CCC(C)(C)CN[C@@H](c1nccn1C)C1CC1 ZINC000191830815 386469058 /nfs/dbraw/zinc/46/90/58/386469058.db2.gz CDTBMEUSYJJSMH-GFCCVEGCSA-N 0 3 235.375 2.897 20 0 BFADHN CC(C)[C@@H](N[C@@H](C)c1ccco1)C(C)(C)O ZINC000336735946 386471266 /nfs/dbraw/zinc/47/12/66/386471266.db2.gz IKOJIXDYNXVAJW-CMPLNLGQSA-N 0 3 225.332 2.726 20 0 BFADHN Cc1ccc(F)c(CN(C)CCCCCO)c1 ZINC000277942377 386477832 /nfs/dbraw/zinc/47/78/32/386477832.db2.gz ZLMSQQIHWKFXEO-UHFFFAOYSA-N 0 3 239.334 2.729 20 0 BFADHN CC(C)(C)C[C@H](O)CNCc1cccc(F)c1 ZINC000227373096 386481064 /nfs/dbraw/zinc/48/10/64/386481064.db2.gz PZIMCDAFJNGMMZ-ZDUSSCGKSA-N 0 3 239.334 2.712 20 0 BFADHN Cc1ccoc1CNC[C@@H](c1ccco1)N(C)C ZINC000135126242 386486494 /nfs/dbraw/zinc/48/64/94/386486494.db2.gz FIOHGXJQTBYCHL-LBPRGKRZSA-N 0 3 248.326 2.574 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H]2C[C@@](C)(O)C2)cc1 ZINC000417350661 386488651 /nfs/dbraw/zinc/48/86/51/386488651.db2.gz AWDBEMLRRUXZKB-DFBGVHRSSA-N 0 3 233.355 2.813 20 0 BFADHN CCc1ccc([C@H](C)NCC2(N(C)C)CC2)o1 ZINC000417351064 386491027 /nfs/dbraw/zinc/49/10/27/386491027.db2.gz BRYRLNAAEQYDTB-NSHDSACASA-N 0 3 236.359 2.587 20 0 BFADHN Cc1cnc(CN(CC(C)C)CC(C)C)nc1 ZINC000340656530 386492403 /nfs/dbraw/zinc/49/24/03/386492403.db2.gz AGBQYIZXTNNLCA-UHFFFAOYSA-N 0 3 235.375 2.899 20 0 BFADHN COC(=O)c1coc([C@H](C)N[C@@H]2CC=CCC2)c1 ZINC000278150195 386494310 /nfs/dbraw/zinc/49/43/10/386494310.db2.gz AADSYXAPHBEJPL-CMPLNLGQSA-N 0 3 249.310 2.826 20 0 BFADHN C=Cn1cc(CN(C)[C@@H](C)CCC)cn1 ZINC000278139943 386494553 /nfs/dbraw/zinc/49/45/53/386494553.db2.gz ARCQKQCONIACRQ-NSHDSACASA-N 0 3 207.321 2.604 20 0 BFADHN CCOC[C@H]1CCN([C@H](C)c2cccnc2)C1 ZINC000267112688 386497284 /nfs/dbraw/zinc/49/72/84/386497284.db2.gz XVRNZPBZGNQTMO-OLZOCXBDSA-N 0 3 234.343 2.501 20 0 BFADHN COC(=O)c1coc([C@@H](C)NC2CCCC2)c1 ZINC000278183303 386497574 /nfs/dbraw/zinc/49/75/74/386497574.db2.gz DNUUNXXLBOXXHI-SECBINFHSA-N 0 3 237.299 2.659 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC(C)(C)CCO)o1 ZINC000135228474 386497635 /nfs/dbraw/zinc/49/76/35/386497635.db2.gz CETYHRNZDMHHKT-PWSUYJOCSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1ccc(CNC[C@@]2(C)CCCC[C@H]2O)o1 ZINC000267124302 386497721 /nfs/dbraw/zinc/49/77/21/386497721.db2.gz USBPVFUOQNLGCK-ZIAGYGMSSA-N 0 3 237.343 2.619 20 0 BFADHN CCc1nocc1CN[C@@H]1CCCC[C@H]1C ZINC000647971182 386501370 /nfs/dbraw/zinc/50/13/70/386501370.db2.gz XDSGRGDCPKGZHU-ZWNOBZJWSA-N 0 3 222.332 2.905 20 0 BFADHN CC(C)c1nc(CN(CC2CC2)CC2CC2)n[nH]1 ZINC000428388666 386505806 /nfs/dbraw/zinc/50/58/06/386505806.db2.gz JBRRCQQWLATDFG-UHFFFAOYSA-N 0 3 248.374 2.550 20 0 BFADHN CC(C)c1nnc(C[NH+](CC2CC2)CC2CC2)[n-]1 ZINC000428388666 386505812 /nfs/dbraw/zinc/50/58/12/386505812.db2.gz JBRRCQQWLATDFG-UHFFFAOYSA-N 0 3 248.374 2.550 20 0 BFADHN C[C@@H](NC[C@@H]1CC12CC2)c1ccc(F)cn1 ZINC000417338988 386507747 /nfs/dbraw/zinc/50/77/47/386507747.db2.gz NNKNVRYGZOOUDN-ZJUUUORDSA-N 0 3 220.291 2.671 20 0 BFADHN Cc1ccnc(CN2[C@H](C)C[C@H]3CCCC[C@@H]32)n1 ZINC000339664803 386511876 /nfs/dbraw/zinc/51/18/76/386511876.db2.gz GCMJYXNIKTWXPQ-MCIONIFRSA-N 0 3 245.370 2.938 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1ccncc1F ZINC000335446452 386512646 /nfs/dbraw/zinc/51/26/46/386512646.db2.gz ZEYRFMZTBLRGOB-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1ccnc(CN2[C@H](C)C[C@H]3CCCC[C@H]32)n1 ZINC000339664804 386513128 /nfs/dbraw/zinc/51/31/28/386513128.db2.gz GCMJYXNIKTWXPQ-MGPQQGTHSA-N 0 3 245.370 2.938 20 0 BFADHN Cc1noc(C)c1CN1CC[C@H](CC2CC2)C1 ZINC000339675001 386516850 /nfs/dbraw/zinc/51/68/50/386516850.db2.gz QXWKQSIYEVYOND-CYBMUJFWSA-N 0 3 234.343 2.913 20 0 BFADHN Cc1oncc1CN1CC[C@H](CC2CC2)C1 ZINC000339680012 386520916 /nfs/dbraw/zinc/52/09/16/386520916.db2.gz VICUPEUEEAVWNI-GFCCVEGCSA-N 0 3 220.316 2.605 20 0 BFADHN C[C@H](O)CCCNCc1ccc(F)cc1Cl ZINC000227953336 386522193 /nfs/dbraw/zinc/52/21/93/386522193.db2.gz YFWVHRVWKRYCDB-VIFPVBQESA-N 0 3 245.725 2.730 20 0 BFADHN CC(C)=CCN1CC[C@@](CO)(c2ccccc2)C1 ZINC000291182755 386522377 /nfs/dbraw/zinc/52/23/77/386522377.db2.gz FVHPFISLOCCBTQ-MRXNPFEDSA-N 0 3 245.366 2.589 20 0 BFADHN CCOc1ccccc1[C@@H](C)NC[C@@H](C)OC ZINC000227985448 386530485 /nfs/dbraw/zinc/53/04/85/386530485.db2.gz FWGSITMLNDMDGN-VXGBXAGGSA-N 0 3 237.343 2.771 20 0 BFADHN Cc1ccc(CNC2CC(C(C)(C)C)C2)nn1 ZINC000414449796 386527944 /nfs/dbraw/zinc/52/79/44/386527944.db2.gz DGJOLISVBCSNFR-UHFFFAOYSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cn[nH]c1CN1CC=C(C(C)(C)C)CC1 ZINC000290574549 386454202 /nfs/dbraw/zinc/45/42/02/386454202.db2.gz YUNWAEWMRIYUCL-UHFFFAOYSA-N 0 3 233.359 2.896 20 0 BFADHN CCC1(O)CCN(C/C=C/c2ccccc2)CC1 ZINC000266597935 386456468 /nfs/dbraw/zinc/45/64/68/386456468.db2.gz PHNIQFRWEWJZPQ-RMKNXTFCSA-N 0 3 245.366 2.937 20 0 BFADHN Cc1ccoc1CNC[C@@H](CCO)CC(C)C ZINC000266641414 386458070 /nfs/dbraw/zinc/45/80/70/386458070.db2.gz UHPOVGVGXOYHTB-ZDUSSCGKSA-N 0 3 239.359 2.722 20 0 BFADHN Cc1ccc(CNC[C@H](CCO)CC(C)C)o1 ZINC000266623546 386459237 /nfs/dbraw/zinc/45/92/37/386459237.db2.gz MEASZTCYUMNZJK-CYBMUJFWSA-N 0 3 239.359 2.722 20 0 BFADHN COc1cc(CN2CCC(C3CC3)CC2)ccn1 ZINC000366405223 386464528 /nfs/dbraw/zinc/46/45/28/386464528.db2.gz NXJYRTPWAVPPOB-UHFFFAOYSA-N 0 3 246.354 2.712 20 0 BFADHN CN(CCc1ccncc1)C[C@@H]1CCC(C)(C)O1 ZINC000299548322 386465620 /nfs/dbraw/zinc/46/56/20/386465620.db2.gz FXXPNVIQZWFJRB-AWEZNQCLSA-N 0 3 248.370 2.514 20 0 BFADHN COC[C@H](C)NCc1ccc(C2CC2)cc1F ZINC000291709306 386574784 /nfs/dbraw/zinc/57/47/84/386574784.db2.gz LDXROFSROVJWIJ-JTQLQIEISA-N 0 3 237.318 2.828 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H](C)c1cc(C)oc1C ZINC000278755654 386578392 /nfs/dbraw/zinc/57/83/92/386578392.db2.gz OCIUASGOMWKDFL-ZFAUCMQBSA-N 0 3 237.343 2.874 20 0 BFADHN CO[C@H]1CCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC000267727413 386578555 /nfs/dbraw/zinc/57/85/55/386578555.db2.gz ZLLHVVVAYSFUMH-GXTWGEPZSA-N 0 3 235.302 2.534 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1ccc(C2CC2)cc1F ZINC000291779538 386582658 /nfs/dbraw/zinc/58/26/58/386582658.db2.gz USDAKHSSORWSNW-ZUZCIYMTSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@H](NC[C@@H]1CCSC1)c1ccc(F)cn1 ZINC000228555285 386582962 /nfs/dbraw/zinc/58/29/62/386582962.db2.gz YIIINZQEVQGRHK-UWVGGRQHSA-N 0 3 240.347 2.624 20 0 BFADHN CCn1cncc1CN(CC(C)C)C1CC1 ZINC000417711021 386583547 /nfs/dbraw/zinc/58/35/47/386583547.db2.gz CTSPNUGYOKICKD-UHFFFAOYSA-N 0 3 221.348 2.523 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cccnc1)CC(C)C ZINC000417365365 386585533 /nfs/dbraw/zinc/58/55/33/386585533.db2.gz MRWPDKDFPBBQNT-JSGCOSHPSA-N 0 3 236.359 2.793 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cccnc1)CC(C)C ZINC000417365367 386585973 /nfs/dbraw/zinc/58/59/73/386585973.db2.gz MRWPDKDFPBBQNT-TZMCWYRMSA-N 0 3 236.359 2.793 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@H]3CCC[C@H]32)on1 ZINC000335418841 386586503 /nfs/dbraw/zinc/58/65/03/386586503.db2.gz DESVANIYWQTKQU-QWHCGFSZSA-N 0 3 234.343 2.994 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)on1 ZINC000335418842 386588388 /nfs/dbraw/zinc/58/83/88/386588388.db2.gz DESVANIYWQTKQU-STQMWFEESA-N 0 3 234.343 2.994 20 0 BFADHN Cc1cc(F)ccc1CN[C@@]1(C)CCO[C@H]1C ZINC000300423414 386594809 /nfs/dbraw/zinc/59/48/09/386594809.db2.gz RGFSEGVKGBMSGM-FZMZJTMJSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3CCCC[C@@H]32)nn1 ZINC000335423323 386595911 /nfs/dbraw/zinc/59/59/11/386595911.db2.gz KGQVJDLJUYXFDD-JSGCOSHPSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1ccc(CN(C)CCC(C)(C)O)s1 ZINC000267353680 386533255 /nfs/dbraw/zinc/53/32/55/386533255.db2.gz BLXNUHJZVZIPMX-UHFFFAOYSA-N 0 3 227.373 2.649 20 0 BFADHN CCc1ccccc1CN(C)C[C@H](C)OC ZINC000353204220 386534156 /nfs/dbraw/zinc/53/41/56/386534156.db2.gz RSJDEFJUSKENQD-LBPRGKRZSA-N 0 3 221.344 2.716 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1cccc2[nH]ccc21 ZINC000262614670 386535055 /nfs/dbraw/zinc/53/50/55/386535055.db2.gz KXGFLGHIXPCEJD-AWEZNQCLSA-N 0 3 245.326 2.624 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H]1CCCc2c(O)cccc21 ZINC000291386635 386539269 /nfs/dbraw/zinc/53/92/69/386539269.db2.gz ZSVFANKQBXGMGI-UHIISALHSA-N 0 3 249.354 2.783 20 0 BFADHN C[C@H](N[C@H]1C[C@@](C)(O)C1)c1ccc(F)c(F)c1 ZINC000417360110 386543986 /nfs/dbraw/zinc/54/39/86/386543986.db2.gz XAMZRQOLJMNBRT-GMOODISLSA-N 0 3 241.281 2.529 20 0 BFADHN CCC1(NCc2csc(C)n2)CCOCC1 ZINC000336733616 386545524 /nfs/dbraw/zinc/54/55/24/386545524.db2.gz KEKBPUIVXWQFGQ-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN CCn1nncc1CNCC(CC)(CC)CC ZINC000417932317 386549440 /nfs/dbraw/zinc/54/94/40/386549440.db2.gz MKIGQCYBGLTHNG-UHFFFAOYSA-N 0 3 238.379 2.604 20 0 BFADHN C=Cn1cc(CN2CC3(CCC3)[C@H]2C2CC2)cn1 ZINC000291506194 386553849 /nfs/dbraw/zinc/55/38/49/386553849.db2.gz HVNBMOBHOIGNNK-CQSZACIVSA-N 0 3 243.354 2.748 20 0 BFADHN Cc1nn(C)cc1CNCc1ccc(C)cc1C ZINC000123613765 386559965 /nfs/dbraw/zinc/55/99/65/386559965.db2.gz NGYQVFPIBKSMON-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN FC1(F)CCCC[C@@H](CNCc2cocn2)C1 ZINC000291644905 386563222 /nfs/dbraw/zinc/56/32/22/386563222.db2.gz CAHACPKMAGFBHY-SNVBAGLBSA-N 0 3 244.285 2.980 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)C[C@@H](C)O)o1 ZINC000336780774 386570641 /nfs/dbraw/zinc/57/06/41/386570641.db2.gz SBBGOOYFELZPQX-AXFHLTTASA-N 0 3 225.332 2.652 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCC2(C)C)nn1 ZINC000414455685 386572453 /nfs/dbraw/zinc/57/24/53/386572453.db2.gz IBFQNQMUFRTOCF-GFCCVEGCSA-N 0 3 233.359 2.701 20 0 BFADHN c1ncn(Cc2ccccc2)c1CN1CCCC1 ZINC000525834092 386619857 /nfs/dbraw/zinc/61/98/57/386619857.db2.gz UBTRAWRDKWQBGN-UHFFFAOYSA-N 0 3 241.338 2.527 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cnc(OC)nc1C ZINC000337183993 386655501 /nfs/dbraw/zinc/65/55/01/386655501.db2.gz NVRSYOFMQUUWDP-MFKMUULPSA-N 0 3 249.358 2.557 20 0 BFADHN COc1c(C)cnc(CN(C)C(C)C)c1C ZINC000268478321 386655617 /nfs/dbraw/zinc/65/56/17/386655617.db2.gz OFUKFHZWYOQSMZ-UHFFFAOYSA-N 0 3 222.332 2.547 20 0 BFADHN CC[C@H]1CCCN1Cc1ccnn1C(C)C ZINC000337145272 386626774 /nfs/dbraw/zinc/62/67/74/386626774.db2.gz XKQRYXMUOUPLLX-LBPRGKRZSA-N 0 3 221.348 2.838 20 0 BFADHN Cc1cc(CN2CCC[C@H]3CCCC[C@@H]32)ncn1 ZINC000292161546 386627306 /nfs/dbraw/zinc/62/73/06/386627306.db2.gz KPKNOVCCFWWLSZ-HIFRSBDPSA-N 0 3 245.370 2.940 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccccn1)CC(C)C ZINC000417368045 386630471 /nfs/dbraw/zinc/63/04/71/386630471.db2.gz OMXAHODEWURYCA-OLZOCXBDSA-N 0 3 236.359 2.793 20 0 BFADHN C(CCN1CCSCC1)CC1CCOCC1 ZINC000337148091 386630686 /nfs/dbraw/zinc/63/06/86/386630686.db2.gz ZUUDDDDUOBPHOR-UHFFFAOYSA-N 0 3 243.416 2.632 20 0 BFADHN CCCC[N@H+](Cc1nc(CC)cc(=O)[n-]1)C1CC1 ZINC000268269330 386636219 /nfs/dbraw/zinc/63/62/19/386636219.db2.gz ZPWRRHZYDKQNST-UHFFFAOYSA-N 0 3 249.358 2.509 20 0 BFADHN CCCC[N@@H+](Cc1nc(CC)cc(=O)[n-]1)C1CC1 ZINC000268269330 386636224 /nfs/dbraw/zinc/63/62/24/386636224.db2.gz ZPWRRHZYDKQNST-UHFFFAOYSA-N 0 3 249.358 2.509 20 0 BFADHN CC[C@H](N[C@@H]1C=C[C@@H](CO)C1)c1cccc(C)c1 ZINC000353384464 386636680 /nfs/dbraw/zinc/63/66/80/386636680.db2.gz IBAZRZDUNKOOFH-BMFZPTHFSA-N 0 3 245.366 2.973 20 0 BFADHN CC[C@H](C)N(C)Cc1cnn(C(C)(C)C)c1 ZINC000179325403 386637903 /nfs/dbraw/zinc/63/79/03/386637903.db2.gz LNWUWZSDZDYWDM-NSHDSACASA-N 0 3 223.364 2.868 20 0 BFADHN CCC(=O)CCCN(C)CCc1ccccc1O ZINC000450719904 386639776 /nfs/dbraw/zinc/63/97/76/386639776.db2.gz RGMYGAOLZZNKEA-UHFFFAOYSA-N 0 3 249.354 2.626 20 0 BFADHN COCC(C)(C)CN(C)Cc1cccs1 ZINC000426055909 386641325 /nfs/dbraw/zinc/64/13/25/386641325.db2.gz VWPBLDGPQSOTMF-UHFFFAOYSA-N 0 3 227.373 2.853 20 0 BFADHN C[C@H](NCC1CC(F)(F)C1)c1ccncc1F ZINC000340391402 386643140 /nfs/dbraw/zinc/64/31/40/386643140.db2.gz SPAFQHHXPVAAAB-QMMMGPOBSA-N 0 3 244.260 2.917 20 0 BFADHN CCCCN(Cc1cc(C)ncn1)C1CC1 ZINC000292285867 386643627 /nfs/dbraw/zinc/64/36/27/386643627.db2.gz YJCRQESTOFLLEA-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN C[C@@H]1CN(CCOC(C)(C)C)C[C@@H](C)S1 ZINC000524757831 259690455 /nfs/dbraw/zinc/69/04/55/259690455.db2.gz KLKKBSOGXHRRAV-GHMZBOCLSA-N 0 3 231.405 2.627 20 0 BFADHN Fc1ccc(CN2CCC23CCOCC3)cc1 ZINC000365658578 386649964 /nfs/dbraw/zinc/64/99/64/386649964.db2.gz FAZDZRLNUMIGBN-UHFFFAOYSA-N 0 3 235.302 2.581 20 0 BFADHN CC[C@H](C)N1CCN(Cc2ccoc2C)CC1 ZINC000414472623 386651265 /nfs/dbraw/zinc/65/12/65/386651265.db2.gz SVBQMAJKHWWFJL-LBPRGKRZSA-N 0 3 236.359 2.504 20 0 BFADHN CC[C@@H](C)N1CCN(Cc2ccoc2C)CC1 ZINC000414472622 386651395 /nfs/dbraw/zinc/65/13/95/386651395.db2.gz SVBQMAJKHWWFJL-GFCCVEGCSA-N 0 3 236.359 2.504 20 0 BFADHN Cc1cc(CN2C[C@@H](C(C)C)[C@H]2C(C)C)nn1C ZINC000414472062 386652159 /nfs/dbraw/zinc/65/21/59/386652159.db2.gz RZGRSHYPNZBODJ-LSDHHAIUSA-N 0 3 249.402 2.841 20 0 BFADHN COC[C@H](C)CNC1(c2ccccc2OC)CC1 ZINC000648056033 386652547 /nfs/dbraw/zinc/65/25/47/386652547.db2.gz OSWRHSWINIMFPH-GFCCVEGCSA-N 0 3 249.354 2.556 20 0 BFADHN CC[C@@H](C)CN(C)C(=O)[C@@H](CC)N(CC)CC ZINC000340670539 386653387 /nfs/dbraw/zinc/65/33/87/386653387.db2.gz ZYCHZINXOOAPHM-CHWSQXEVSA-N 0 3 242.407 2.611 20 0 BFADHN Cc1ccc(CN2C[C@H](C(C)C)[C@@H]2C(C)C)nn1 ZINC000414474190 386654163 /nfs/dbraw/zinc/65/41/63/386654163.db2.gz WNGCTIAIWOFCNJ-CABCVRRESA-N 0 3 247.386 2.897 20 0 BFADHN CCCCN(Cc1ccc(OC)nc1)C1CC1 ZINC000267946648 386600696 /nfs/dbraw/zinc/60/06/96/386600696.db2.gz PHKBNPVFMZJUSK-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN C[C@@H](NCCC1CCOCC1)c1nccs1 ZINC000336645218 386606054 /nfs/dbraw/zinc/60/60/54/386606054.db2.gz CTFWSOUHFKSOFY-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN Cc1nc(CN2CC[C@@H](C)C2)sc1C ZINC000268005885 386607133 /nfs/dbraw/zinc/60/71/33/386607133.db2.gz FBWAVVLVSUDCAI-MRVPVSSYSA-N 0 3 210.346 2.602 20 0 BFADHN CCc1ccc(CNCC(C)(C)C[C@H](C)O)o1 ZINC000268442648 386610954 /nfs/dbraw/zinc/61/09/54/386610954.db2.gz SHMMRFZYFOMGCA-NSHDSACASA-N 0 3 239.359 2.729 20 0 BFADHN CC[C@H]1CCCCCN1Cc1ccn(C)n1 ZINC000335494060 386613912 /nfs/dbraw/zinc/61/39/12/386613912.db2.gz MYVOFSIYDKQUOY-ZDUSSCGKSA-N 0 3 221.348 2.575 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2COc3ccccc32)[C@@H]1C ZINC000336646151 386617449 /nfs/dbraw/zinc/61/74/49/386617449.db2.gz BCFLTZZDHZKLGF-AAXDQBDMSA-N 0 3 217.312 2.754 20 0 BFADHN CC1(CN2CCCC[C@@H]2C(N)=O)CCC1 ZINC000335521931 386660704 /nfs/dbraw/zinc/66/07/04/386660704.db2.gz FVKPXQKIRXGNNN-SNVBAGLBSA-N 0 3 210.321 2.566 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C3CCCC3)C2)cn1 ZINC000335524116 386665944 /nfs/dbraw/zinc/66/59/44/386665944.db2.gz QTLUGQPNVAAKHK-OAHLLOKOSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1ccc(CNCC(C)(C)C2CCC2)nn1 ZINC000414476224 386666183 /nfs/dbraw/zinc/66/61/83/386666183.db2.gz ZQJRXDLVNKRFQB-UHFFFAOYSA-N 0 3 233.359 2.701 20 0 BFADHN CC[C@H]1CCCN1CC(=O)N(C)c1ccccc1 ZINC000340677263 386666974 /nfs/dbraw/zinc/66/69/74/386666974.db2.gz LUTRORUFXAZILC-ZDUSSCGKSA-N 0 3 246.354 2.524 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CC(C)(CC(F)F)C1 ZINC000648059100 386674521 /nfs/dbraw/zinc/67/45/21/386674521.db2.gz WNXXTHFCTHRJDF-RKDXNWHRSA-N 0 3 203.276 2.620 20 0 BFADHN COCC[C@H](C)CNCc1ncc(Cl)s1 ZINC000414478764 386675862 /nfs/dbraw/zinc/67/58/62/386675862.db2.gz GSMDAJRKLMYPMY-QMMMGPOBSA-N 0 3 248.779 2.559 20 0 BFADHN C[C@H]1OCC[C@H]1N[C@@H]1CCc2cc(F)ccc21 ZINC000120649120 386676662 /nfs/dbraw/zinc/67/66/62/386676662.db2.gz MVABFNIJINXADV-OWYVNGRQSA-N 0 3 235.302 2.580 20 0 BFADHN CCC[C@H](C)NCc1ncnn1-c1ccccc1 ZINC000279409529 386678049 /nfs/dbraw/zinc/67/80/49/386678049.db2.gz DTPJPDAAWLKORV-LBPRGKRZSA-N 0 3 244.342 2.546 20 0 BFADHN CC[C@H](CO)N[C@H](CC)c1ccc(F)cc1F ZINC000268694362 386680978 /nfs/dbraw/zinc/68/09/78/386680978.db2.gz AQKNUJCSQVIBGK-ZWNOBZJWSA-N 0 3 243.297 2.776 20 0 BFADHN CCCN(Cc1ccoc1C)[C@@H]1CCN(C)C1 ZINC000648056800 386656799 /nfs/dbraw/zinc/65/67/99/386656799.db2.gz WOFWBUAUQVYLSX-CQSZACIVSA-N 0 3 236.359 2.504 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2ccccc2F)C1 ZINC000279267335 386657485 /nfs/dbraw/zinc/65/74/85/386657485.db2.gz IVKXVOJKZZCNQJ-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2cnccn2)[C@@H]1C ZINC000520358306 386657791 /nfs/dbraw/zinc/65/77/91/386657791.db2.gz NGTCJILCYNLFDL-JHJVBQTASA-N 0 3 233.359 2.639 20 0 BFADHN Cc1occc1CN1CCSC[C@H]1C1CC1 ZINC000414475418 386657801 /nfs/dbraw/zinc/65/78/01/386657801.db2.gz XLDVAEKMRAMUDA-ZDUSSCGKSA-N 0 3 237.368 2.915 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@H](C)c1ccccc1F ZINC000268881563 386710534 /nfs/dbraw/zinc/71/05/34/386710534.db2.gz LWYZNSYUWZQIJA-MISXGVKJSA-N 0 3 239.334 2.883 20 0 BFADHN c1c[nH]c(CNC[C@@H](c2ccccc2)C2CC2)n1 ZINC000292514924 386682918 /nfs/dbraw/zinc/68/29/18/386682918.db2.gz DJVMKYRJBWWXLI-AWEZNQCLSA-N 0 3 241.338 2.693 20 0 BFADHN CCC1(CC)CCN(Cc2cc(C)ncn2)C1 ZINC000292517864 386683215 /nfs/dbraw/zinc/68/32/15/386683215.db2.gz OAOFRJZWIKGRSE-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CN(C3CC3)C[C@@H]2C)o1 ZINC000268704451 386683947 /nfs/dbraw/zinc/68/39/47/386683947.db2.gz DFBWMUKVBCFLCM-VHRBIJSZSA-N 0 3 248.370 2.721 20 0 BFADHN C[C@@H](NC/C=C/c1ccccc1)c1ccnn1C ZINC000192859200 386691409 /nfs/dbraw/zinc/69/14/09/386691409.db2.gz SZONAKFHJHYSJR-YSKGHYERSA-N 0 3 241.338 2.784 20 0 BFADHN CCC[C@H](NCc1ccc(OC)nn1)C1CCC1 ZINC000324940595 386694528 /nfs/dbraw/zinc/69/45/28/386694528.db2.gz XFWYGARIQQLLHA-ZDUSSCGKSA-N 0 3 249.358 2.544 20 0 BFADHN COc1cc(CN[C@@H](C)[C@H](C)OC)ccc1C ZINC000268796798 386696081 /nfs/dbraw/zinc/69/60/81/386696081.db2.gz DVZCOSOPXOUYGM-RYUDHWBXSA-N 0 3 237.343 2.517 20 0 BFADHN CC[C@H]1CCCN1CC(=O)N(C(C)C)C(C)C ZINC000340688396 386696302 /nfs/dbraw/zinc/69/63/02/386696302.db2.gz MQSBLPGMHGXCIE-ZDUSSCGKSA-N 0 3 240.391 2.506 20 0 BFADHN C[C@H](C1CCC1)N(C)Cc1cnc2ccccn12 ZINC000357030577 386696692 /nfs/dbraw/zinc/69/66/92/386696692.db2.gz FJOCAMDSIKQVFG-GFCCVEGCSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1CCCN(C/C=C/c2ccncc2)C1 ZINC000335475696 386699620 /nfs/dbraw/zinc/69/96/20/386699620.db2.gz LKRZNNPXPVFQIG-MASHWEEQSA-N 0 3 216.328 2.827 20 0 BFADHN Cc1cncc(CN2CCC(OC(C)C)CC2)c1 ZINC000526941706 386701295 /nfs/dbraw/zinc/70/12/95/386701295.db2.gz QENAJIQHLJYXMK-UHFFFAOYSA-N 0 3 248.370 2.779 20 0 BFADHN Cc1cc(CN(C)[C@H](C)C2CCC2)on1 ZINC000357042811 386701760 /nfs/dbraw/zinc/70/17/60/386701760.db2.gz QZWJJTCEROHZAT-SNVBAGLBSA-N 0 3 208.305 2.603 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)Cn2ccnc2)o1 ZINC000268829718 386702175 /nfs/dbraw/zinc/70/21/75/386702175.db2.gz QRPVLDHUAPKVQG-RYUDHWBXSA-N 0 3 247.342 2.778 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)Cn2ccnc2)o1 ZINC000268829711 386702904 /nfs/dbraw/zinc/70/29/04/386702904.db2.gz QRPVLDHUAPKVQG-NEPJUHHUSA-N 0 3 247.342 2.778 20 0 BFADHN COCC[C@H](C)CNCc1cc(F)ccc1F ZINC000414487253 386706760 /nfs/dbraw/zinc/70/67/60/386706760.db2.gz VDRMFAMJECYROV-JTQLQIEISA-N 0 3 243.297 2.727 20 0 BFADHN CCn1ccc(CNC[C@@H]2CC(C)=C[C@H](C)C2)n1 ZINC000414487636 386708011 /nfs/dbraw/zinc/70/80/11/386708011.db2.gz VMKHULZRQXMPBR-JSGCOSHPSA-N 0 3 247.386 2.985 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H]1CCc2c1cccc2F ZINC000268976407 386731394 /nfs/dbraw/zinc/73/13/94/386731394.db2.gz GYOBJNRBYPRNMA-IMSIIYSGSA-N 0 3 237.318 2.826 20 0 BFADHN COc1cc(CN2[C@@H](C)CCC[C@@H]2C)ccn1 ZINC000339868085 386732340 /nfs/dbraw/zinc/73/23/40/386732340.db2.gz SVAUPSHBMCSQJX-RYUDHWBXSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@H](O)CCN[C@@H]1CCCOc2ccccc21 ZINC000268988739 386732859 /nfs/dbraw/zinc/73/28/59/386732859.db2.gz FOOWQEKWMYLYHC-GXTWGEPZSA-N 0 3 249.354 2.651 20 0 BFADHN C[C@H](NCCNc1ccccn1)c1cccs1 ZINC000269005365 386734462 /nfs/dbraw/zinc/73/44/62/386734462.db2.gz QICXCWOYEHEMES-NSHDSACASA-N 0 3 247.367 2.906 20 0 BFADHN CC(C)Nc1ccc(CN(C)C)cc1F ZINC000414496605 386738115 /nfs/dbraw/zinc/73/81/15/386738115.db2.gz IXYUYAIZISSZTE-UHFFFAOYSA-N 0 3 210.296 2.708 20 0 BFADHN CC[C@H]1CCN1Cc1ccc(NC(C)=O)cc1 ZINC000292862616 386738324 /nfs/dbraw/zinc/73/83/24/386738324.db2.gz VLJMJOVEYGYWAT-AWEZNQCLSA-N 0 3 232.327 2.629 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1ccc(C)o1)C1CC1 ZINC000414496898 386739615 /nfs/dbraw/zinc/73/96/15/386739615.db2.gz DZWYZIZJXRDCQV-FZMZJTMJSA-N 0 3 237.343 2.881 20 0 BFADHN CCc1ccc(CN2CCC[C@](C)(O)CC2)o1 ZINC000279653632 386713878 /nfs/dbraw/zinc/71/38/78/386713878.db2.gz KXBVFEOTXOIJLV-AWEZNQCLSA-N 0 3 237.343 2.579 20 0 BFADHN CCOc1ccccc1[C@@H](CC)N[C@H]1CCOC1 ZINC000268891062 386714207 /nfs/dbraw/zinc/71/42/07/386714207.db2.gz YFKAXTNJISWRED-GXTWGEPZSA-N 0 3 249.354 2.915 20 0 BFADHN CC[C@H](NCc1cn2ccc(C)cc2n1)C1CC1 ZINC000268907763 386715860 /nfs/dbraw/zinc/71/58/60/386715860.db2.gz NJHHESPYSORMHW-AWEZNQCLSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1ccc2c(c1)OCCC[C@H]2NCC[C@H](C)O ZINC000268895708 386717719 /nfs/dbraw/zinc/71/77/19/386717719.db2.gz PCWVIINGOLOLAY-GXTWGEPZSA-N 0 3 249.354 2.569 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N(Cc2cocn2)C1 ZINC000191944294 386719090 /nfs/dbraw/zinc/71/90/90/386719090.db2.gz VTVQQXALUWPNKX-GHMZBOCLSA-N 0 3 208.305 2.685 20 0 BFADHN CC[C@H]1CCCN1Cc1cccc2c1OCCO2 ZINC000340698351 386719717 /nfs/dbraw/zinc/71/97/17/386719717.db2.gz WYNXCTSJWAUWFT-ZDUSSCGKSA-N 0 3 247.338 2.832 20 0 BFADHN CC[C@@H](Nc1ccnc2ccc(C)cc21)[C@H](C)O ZINC000450802756 386720422 /nfs/dbraw/zinc/72/04/22/386720422.db2.gz VKOSMPCVMSXEPS-WCQYABFASA-N 0 3 244.338 2.536 20 0 BFADHN CC1(C)C[C@@H]1NCc1ccnn1C1CCCC1 ZINC000414491562 386720818 /nfs/dbraw/zinc/72/08/18/386720818.db2.gz XSPMBGYBJDBILT-ZDUSSCGKSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](C)CC(C)(C)O)o1 ZINC000269220435 386721732 /nfs/dbraw/zinc/72/17/32/386721732.db2.gz CVKOJXIPMUGRTB-GXSJLCMTSA-N 0 3 225.332 2.788 20 0 BFADHN c1coc(CN2CCOC[C@H](C3CCC3)C2)c1 ZINC000292754590 386722120 /nfs/dbraw/zinc/72/21/20/386722120.db2.gz ISOOAEYINQWYMA-CYBMUJFWSA-N 0 3 235.327 2.528 20 0 BFADHN C[C@@H]1C[C@H]1CNc1ccc(CN(C)C)cc1F ZINC000414495048 386722803 /nfs/dbraw/zinc/72/28/03/386722803.db2.gz GMFJNTJYHKUCGH-PWSUYJOCSA-N 0 3 236.334 2.955 20 0 BFADHN COc1cc(CN2C[C@@H](C)C[C@H](C)C2)ccn1 ZINC000339867430 386724821 /nfs/dbraw/zinc/72/48/21/386724821.db2.gz RLHCQFLOGHVNSF-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN c1nc(CN2CCC[C@H]2C2CCCC2)co1 ZINC000191936361 386724930 /nfs/dbraw/zinc/72/49/30/386724930.db2.gz GBNAGYLZQJTHJS-ZDUSSCGKSA-N 0 3 220.316 2.829 20 0 BFADHN CCc1nn(C)c(CC)c1CN1[C@H](C)C[C@@H]1C ZINC000526989600 386727149 /nfs/dbraw/zinc/72/71/49/386727149.db2.gz ULCKAHVXICVNFH-PHIMTYICSA-N 0 3 235.375 2.528 20 0 BFADHN CCOC[C@@H](C)NCc1cccc(Cl)c1 ZINC000044689519 386727228 /nfs/dbraw/zinc/72/72/28/386727228.db2.gz YOKBDRFBMBEXAK-SNVBAGLBSA-N 0 3 227.735 2.855 20 0 BFADHN Cc1cccc(O[C@@H](C)CNCC2(F)CC2)c1 ZINC000526989280 386727660 /nfs/dbraw/zinc/72/76/60/386727660.db2.gz DRFKQRUVESDTRI-LBPRGKRZSA-N 0 3 237.318 2.854 20 0 BFADHN COc1cc(CN(C)CC2CCCC2)ccn1 ZINC000339863901 386727859 /nfs/dbraw/zinc/72/78/59/386727859.db2.gz BTOGAWOUDWIZJV-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN c1cncc([C@@H]2CCCN2C[C@H]2CCCCO2)c1 ZINC000334148028 386745188 /nfs/dbraw/zinc/74/51/88/386745188.db2.gz FOQMFDKJZVSZDK-CABCVRRESA-N 0 3 246.354 2.788 20 0 BFADHN Fc1ccc2c(c1)[C@H](N[C@@H]1CCCOC1)CCC2 ZINC000279862097 386747807 /nfs/dbraw/zinc/74/78/07/386747807.db2.gz SNMZWFDRIXMJCF-UKRRQHHQSA-N 0 3 249.329 2.972 20 0 BFADHN Cc1cc(CN2CCC[C@@]23CCOC3)ccc1F ZINC000367040598 386748461 /nfs/dbraw/zinc/74/84/61/386748461.db2.gz KZKOYMRRMRKKGI-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN CC(C)c1ccc(N(C)C(=O)[C@H](N)C(C)C)cc1 ZINC000269075660 386748990 /nfs/dbraw/zinc/74/89/90/386748990.db2.gz XNMXRXQDYOBPAG-CQSZACIVSA-N 0 3 248.370 2.756 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC(C(F)F)CC1 ZINC000334154583 386752572 /nfs/dbraw/zinc/75/25/72/386752572.db2.gz RYBMQWKSBKMLKH-UHFFFAOYSA-N 0 3 243.301 2.504 20 0 BFADHN COCCN[C@@H](C)c1csc(Cl)c1 ZINC000191108746 386754305 /nfs/dbraw/zinc/75/43/05/386754305.db2.gz VNPLPAMOKPEAEO-ZETCQYMHSA-N 0 3 219.737 2.699 20 0 BFADHN CC(C)[C@@H]1CCC[C@H](NCc2cocn2)C1 ZINC000192307480 386756081 /nfs/dbraw/zinc/75/60/81/386756081.db2.gz UOQGSPADYNVZCP-NEPJUHHUSA-N 0 3 222.332 2.979 20 0 BFADHN CC(C)=CCNC[C@@H](O)c1ccc(C)cc1 ZINC000192293758 386756978 /nfs/dbraw/zinc/75/69/78/386756978.db2.gz YJXIHUMPNSODFH-CQSZACIVSA-N 0 3 219.328 2.584 20 0 BFADHN COc1cccc(OC)c1CN1CCC[C@H]1C ZINC000202499436 386760021 /nfs/dbraw/zinc/76/00/21/386760021.db2.gz CBOBLXKVTRGJER-LLVKDONJSA-N 0 3 235.327 2.688 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@@H]1COC(C)(C)C1 ZINC000417955180 386762006 /nfs/dbraw/zinc/76/20/06/386762006.db2.gz CQBIDVUSHBMJJP-AAEUAGOBSA-N 0 3 248.370 2.862 20 0 BFADHN Cc1nc(C)c(CSCCN(C)C)s1 ZINC000340792720 386763353 /nfs/dbraw/zinc/76/33/53/386763353.db2.gz JSGOBZAUKWZKKS-UHFFFAOYSA-N 0 3 230.402 2.555 20 0 BFADHN CC[C@@H]1CN(CC)CCN1[C@@H]1C=CCCC1 ZINC000334634815 386766612 /nfs/dbraw/zinc/76/66/12/386766612.db2.gz POFNTQFPNRVYMY-ZIAGYGMSSA-N 0 3 222.376 2.511 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1ccc2c(c1)OCCO2 ZINC000353746333 386768137 /nfs/dbraw/zinc/76/81/37/386768137.db2.gz KCKNOHAWWSUXQC-NWDGAFQWSA-N 0 3 247.338 2.688 20 0 BFADHN CCCC[C@@H](CCC)NCc1cnnn1CC ZINC000417951504 386740926 /nfs/dbraw/zinc/74/09/26/386740926.db2.gz WYVMOVXDPXXVHI-GFCCVEGCSA-N 0 3 238.379 2.747 20 0 BFADHN CCc1ccc([C@H](C)NCCCCCO)o1 ZINC000192923409 386796879 /nfs/dbraw/zinc/79/68/79/386796879.db2.gz XUZMDGQXKAAVJY-NSHDSACASA-N 0 3 225.332 2.655 20 0 BFADHN CC(C)[C@@H](c1ccccc1Cl)N(C)CCO ZINC000340866628 386793294 /nfs/dbraw/zinc/79/32/94/386793294.db2.gz WKALBAOELFNTKE-ZDUSSCGKSA-N 0 3 241.762 2.961 20 0 BFADHN c1cc(CN[C@]23C[C@H]2CCCC3)nc2c1CCC2 ZINC000639396770 386793375 /nfs/dbraw/zinc/79/33/75/386793375.db2.gz WTAVLJAUIISABY-CZUORRHYSA-N 0 3 242.366 2.993 20 0 BFADHN Cc1nocc1CNCCCSCC(C)C ZINC000293268279 386795367 /nfs/dbraw/zinc/79/53/67/386795367.db2.gz NHTHXAMLIXYKPD-UHFFFAOYSA-N 0 3 242.388 2.852 20 0 BFADHN CC(C)[C@@H]1CN([C@@H](C)c2ccccn2)CCCO1 ZINC000293042220 386770341 /nfs/dbraw/zinc/77/03/41/386770341.db2.gz HEAMFJMGESTKCH-ZFWWWQNUSA-N 0 3 248.370 2.890 20 0 BFADHN CC[C@@H](COC)NCc1cc(C)cc(Cl)n1 ZINC000282893487 386775208 /nfs/dbraw/zinc/77/52/08/386775208.db2.gz WKHIYXUZFQGBPS-JTQLQIEISA-N 0 3 242.750 2.558 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cc(C)nn1C ZINC000417979077 386779179 /nfs/dbraw/zinc/77/91/79/386779179.db2.gz DQLMGSWLLNXXSF-ZDUSSCGKSA-N 0 3 235.375 2.883 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)nn1 ZINC000396596001 386785741 /nfs/dbraw/zinc/78/57/41/386785741.db2.gz YSDZPUBPCXAHMB-VHRBIJSZSA-N 0 3 233.359 2.699 20 0 BFADHN CCC1CCC(NCc2n[nH]cc2C)CC1 ZINC000336672825 386820200 /nfs/dbraw/zinc/82/02/00/386820200.db2.gz JEGSXCQVELCGHZ-UHFFFAOYSA-N 0 3 221.348 2.777 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC(C)(C)[C@H]2C(C)C)n1 ZINC000353747603 386822574 /nfs/dbraw/zinc/82/25/74/386822574.db2.gz PAFAYWDRHGODFB-CQSZACIVSA-N 0 3 248.370 2.962 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC(C)(C)[C@H]2C(C)C)n1 ZINC000353747603 386822584 /nfs/dbraw/zinc/82/25/84/386822584.db2.gz PAFAYWDRHGODFB-CQSZACIVSA-N 0 3 248.370 2.962 20 0 BFADHN CCN(CCC1CC1)Cc1cncn1CC ZINC000417991174 386798845 /nfs/dbraw/zinc/79/88/45/386798845.db2.gz MZQLDYUYXJXAQQ-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN C[C@H](O)CCCN(C)Cc1ccc(Cl)cc1 ZINC000336669312 386799090 /nfs/dbraw/zinc/79/90/90/386799090.db2.gz RKMYKJPXIZDAHC-NSHDSACASA-N 0 3 241.762 2.933 20 0 BFADHN Cc1cnc(CN2[C@H](C)C[C@H]3CCCC[C@H]32)nc1 ZINC000340891132 386799130 /nfs/dbraw/zinc/79/91/30/386799130.db2.gz NBJQTLKMQBYGCE-MGPQQGTHSA-N 0 3 245.370 2.938 20 0 BFADHN CC[C@]1(C)COCCN1Cc1ccccc1 ZINC000340894348 386800496 /nfs/dbraw/zinc/80/04/96/386800496.db2.gz UEBAMECIUFOORJ-CQSZACIVSA-N 0 3 219.328 2.688 20 0 BFADHN C[C@H](NC[C@H]1CCC(F)(F)C1)c1cnccn1 ZINC000280320005 386804045 /nfs/dbraw/zinc/80/40/45/386804045.db2.gz DJLKXFDLPPKVJH-UWVGGRQHSA-N 0 3 241.285 2.563 20 0 BFADHN C(CN1CCO[C@@H]2CCC[C@H]21)C1CCCC1 ZINC000357557677 386805469 /nfs/dbraw/zinc/80/54/69/386805469.db2.gz IKUFIBLJRNHNAM-ZIAGYGMSSA-N 0 3 223.360 2.820 20 0 BFADHN C[C@H]1CN(CC2=CCCCC2)C[C@@H](C2CC2)O1 ZINC000450886564 386807045 /nfs/dbraw/zinc/80/70/45/386807045.db2.gz FTKFHXHUQJPNDB-WFASDCNBSA-N 0 3 235.371 2.986 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1cncn1CC ZINC000417998831 386812451 /nfs/dbraw/zinc/81/24/51/386812451.db2.gz VHTNHMZGHGSZQG-SECBINFHSA-N 0 3 249.280 2.724 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccc(C)nc1C)C1CC1 ZINC000645497830 386813493 /nfs/dbraw/zinc/81/34/93/386813493.db2.gz VTLYYBRAQMQLFI-NHYWBVRUSA-N 0 3 248.370 2.774 20 0 BFADHN Cc1nocc1CNCCc1cc(C)cc(C)c1 ZINC000293421934 386815681 /nfs/dbraw/zinc/81/56/81/386815681.db2.gz AMMWSZGFRSEPLI-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN Fc1cccc([C@@H]2CCN(Cc3cnc[nH]3)C2)c1 ZINC000280585749 386825098 /nfs/dbraw/zinc/82/50/98/386825098.db2.gz XFXUFOJVIHLFQR-GFCCVEGCSA-N 0 3 245.301 2.538 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2ccc(C)o2)C1 ZINC000280578725 386825579 /nfs/dbraw/zinc/82/55/79/386825579.db2.gz MINORIIIBCBTJA-ZDUSSCGKSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1ccc2nc(CN[C@H]3CC3(C)C)cn2c1 ZINC000341040157 386825887 /nfs/dbraw/zinc/82/58/87/386825887.db2.gz FHTOJKIWKPKZRR-LBPRGKRZSA-N 0 3 229.327 2.531 20 0 BFADHN Cc1nc(C)c(CN2C[C@@H](C)[C@@H]2C)s1 ZINC000293538886 386831999 /nfs/dbraw/zinc/83/19/99/386831999.db2.gz XUAYGCMWRSTKTC-APPZFPTMSA-N 0 3 210.346 2.600 20 0 BFADHN CC(C)[C@H](CN1CCN(C)CC1)c1ccccc1 ZINC000280675773 386834491 /nfs/dbraw/zinc/83/44/91/386834491.db2.gz VBTQHJITAWJVLC-INIZCTEOSA-N 0 3 246.398 2.674 20 0 BFADHN C[C@@H](O)CN1CCC[C@H]1c1ccc(Cl)cc1 ZINC000249595482 386839156 /nfs/dbraw/zinc/83/91/56/386839156.db2.gz PRWUPMXPEVVFSC-MFKMUULPSA-N 0 3 239.746 2.858 20 0 BFADHN Cc1nc(CCN[C@H](C)c2ccco2)c(C)o1 ZINC000357777809 386842219 /nfs/dbraw/zinc/84/22/19/386842219.db2.gz JYHBXPDLDKXCTO-SECBINFHSA-N 0 3 234.299 2.778 20 0 BFADHN Cc1nc(CCN[C@@H](C)c2ccco2)c(C)o1 ZINC000357777810 386843318 /nfs/dbraw/zinc/84/33/18/386843318.db2.gz JYHBXPDLDKXCTO-VIFPVBQESA-N 0 3 234.299 2.778 20 0 BFADHN CC1(C)C[C@@H]1N[C@H](c1nc[nH]n1)C1CCCCC1 ZINC000330271782 386843590 /nfs/dbraw/zinc/84/35/90/386843590.db2.gz WNCJETVTBOCFDP-RYUDHWBXSA-N 0 3 248.374 2.814 20 0 BFADHN CC1(C)C[C@@H]1N[C@H](c1nnc[nH]1)C1CCCCC1 ZINC000330271782 386843596 /nfs/dbraw/zinc/84/35/96/386843596.db2.gz WNCJETVTBOCFDP-RYUDHWBXSA-N 0 3 248.374 2.814 20 0 BFADHN Cc1cnc(CNC[C@H]2CCC[C@H](C)C2)n1C ZINC000341123362 386843680 /nfs/dbraw/zinc/84/36/80/386843680.db2.gz MYOOXDARLGWQAW-AAEUAGOBSA-N 0 3 235.375 2.644 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCCC[C@H]2O)o1 ZINC000249706844 386845332 /nfs/dbraw/zinc/84/53/32/386845332.db2.gz OYBLGNNMXAHINS-SDDRHHMPSA-N 0 3 223.316 2.542 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2cnccc2C)C1(C)C ZINC000357796287 386846563 /nfs/dbraw/zinc/84/65/63/386846563.db2.gz QESWXXOSRQSFJJ-MRVWCRGKSA-N 0 3 248.370 2.854 20 0 BFADHN Cc1nc(CCNCc2ccoc2C)cs1 ZINC000321451152 386847819 /nfs/dbraw/zinc/84/78/19/386847819.db2.gz BKGPRDBXLWWXJN-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN CCC[C@@H](NC[C@H](OC)C1CC1)c1cccnc1 ZINC000293637005 386852207 /nfs/dbraw/zinc/85/22/07/386852207.db2.gz GXBXOFPSLXHDLT-CABCVRRESA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)n1ccc(C[C@H](C)NCc2ccoc2)n1 ZINC000341178190 386852279 /nfs/dbraw/zinc/85/22/79/386852279.db2.gz DTDGRHVZNTYMIY-LBPRGKRZSA-N 0 3 247.342 2.778 20 0 BFADHN CCC[C@H](NC[C@@H](OC)C1CC1)c1cccnc1 ZINC000293637018 386852342 /nfs/dbraw/zinc/85/23/42/386852342.db2.gz GXBXOFPSLXHDLT-LSDHHAIUSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ccc(CN2CC3(C2)CCOC3)c(C)c1 ZINC000293884916 386895307 /nfs/dbraw/zinc/89/53/07/386895307.db2.gz DGXVVICZPOKMKN-UHFFFAOYSA-N 0 3 231.339 2.526 20 0 BFADHN CC[C@@H](NCC1CC(F)(F)C1)c1nccn1C ZINC000293661122 386855543 /nfs/dbraw/zinc/85/55/43/386855543.db2.gz LZJIRNQQWVNWAC-SNVBAGLBSA-N 0 3 243.301 2.506 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1ccc(Cl)cn1 ZINC000425345031 386856086 /nfs/dbraw/zinc/85/60/86/386856086.db2.gz JOZFHGATYVJSBL-WDEREUQCSA-N 0 3 242.775 2.719 20 0 BFADHN Cc1cc(C)cc(CN2CC3(C2)CCOCC3)c1 ZINC000334793019 386860430 /nfs/dbraw/zinc/86/04/30/386860430.db2.gz QDUNEYVJUNWGTI-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN CC[C@H](O)[C@H]1CCCCN1Cc1cccc(C)n1 ZINC000357910828 386862173 /nfs/dbraw/zinc/86/21/73/386862173.db2.gz CPYQHDJVUMBWLI-CABCVRRESA-N 0 3 248.370 2.515 20 0 BFADHN Cc1cnc(CN(C)[C@H]2CCCC[C@H]2C)nc1 ZINC000335744924 386865343 /nfs/dbraw/zinc/86/53/43/386865343.db2.gz AJWSJSVQWHKDBZ-OLZOCXBDSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1nocc1CN1CCC[C@@H](C)CC1 ZINC000335745998 386867957 /nfs/dbraw/zinc/86/79/57/386867957.db2.gz KNBFCHCSZIJICZ-SNVBAGLBSA-N 0 3 208.305 2.605 20 0 BFADHN c1cn2c(n1)[C@@H](NC1(C3CCC3)CCC1)CC2 ZINC000334836870 386878159 /nfs/dbraw/zinc/87/81/59/386878159.db2.gz SOXCSFCECFNHKG-LBPRGKRZSA-N 0 3 231.343 2.640 20 0 BFADHN COC[C@@H](C)NCc1cc2c(ccc(C)c2C)[nH]1 ZINC000349631577 386894840 /nfs/dbraw/zinc/89/48/40/386894840.db2.gz OZQFGUSXYYGHFZ-LLVKDONJSA-N 0 3 246.354 2.909 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C)C[C@H](C)C2)nn1 ZINC000334837483 386880580 /nfs/dbraw/zinc/88/05/80/386880580.db2.gz UEGNASQVGFWOGD-NEPJUHHUSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C)C[C@@H](C)C2)nn1 ZINC000334837486 386880610 /nfs/dbraw/zinc/88/06/10/386880610.db2.gz UEGNASQVGFWOGD-VXGBXAGGSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1ccc(CN2CCSCC[C@H]2C)cn1 ZINC000335754672 386880761 /nfs/dbraw/zinc/88/07/61/386880761.db2.gz GGKOKBVCEZHZJR-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN CCc1ncc(CN2C[C@@H](C)CC[C@@H]2C)cn1 ZINC000334840454 386884192 /nfs/dbraw/zinc/88/41/92/386884192.db2.gz GWDALJQXKUEYAT-RYUDHWBXSA-N 0 3 233.359 2.659 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1conc1C ZINC000293814721 386885232 /nfs/dbraw/zinc/88/52/32/386885232.db2.gz CUVPQOAQOKZQCK-SNVBAGLBSA-N 0 3 210.321 2.851 20 0 BFADHN Cc1cnc(CN[C@H]2CCCC(C)(C)C2)n1C ZINC000341337271 386885421 /nfs/dbraw/zinc/88/54/21/386885421.db2.gz WDBPQYNAUMRSMD-LBPRGKRZSA-N 0 3 235.375 2.787 20 0 BFADHN C[C@@H](NCCC[C@@H]1CCOC1)c1cncs1 ZINC000401943054 386916700 /nfs/dbraw/zinc/91/67/00/386916700.db2.gz UITIMPVEBJIMPW-GHMZBOCLSA-N 0 3 240.372 2.610 20 0 BFADHN Cc1ccc2nccc(N[C@H]3CCOC3)c2c1 ZINC000302225187 386916949 /nfs/dbraw/zinc/91/69/49/386916949.db2.gz OMCZPFHSZDSHAJ-NSHDSACASA-N 0 3 228.295 2.744 20 0 BFADHN COC[C@H](CC(C)C)NCc1cccc(O)c1 ZINC000358229773 386917540 /nfs/dbraw/zinc/91/75/40/386917540.db2.gz XHMNRSVLRJUKTL-ZDUSSCGKSA-N 0 3 237.343 2.543 20 0 BFADHN OCC1CCN([C@H]2CCc3ccc(F)cc32)CC1 ZINC000334852723 386902703 /nfs/dbraw/zinc/90/27/03/386902703.db2.gz YQCVFUTUXHXWPD-HNNXBMFYSA-N 0 3 249.329 2.517 20 0 BFADHN Cc1cccc(C)c1CNc1nccn1C ZINC000334853937 386904255 /nfs/dbraw/zinc/90/42/55/386904255.db2.gz ASEGHIKHWMCQIK-UHFFFAOYSA-N 0 3 215.300 2.649 20 0 BFADHN Cc1cnc(CNCC2C(C)(C)C2(C)C)n1C ZINC000341354191 386905194 /nfs/dbraw/zinc/90/51/94/386905194.db2.gz VFTLXGZNTRGXNF-UHFFFAOYSA-N 0 3 235.375 2.500 20 0 BFADHN Cc1ccc2nccc(N3CC[C@](C)(O)C3)c2c1 ZINC000302167034 386909582 /nfs/dbraw/zinc/90/95/82/386909582.db2.gz RTBMAJKOZMLVNR-HNNXBMFYSA-N 0 3 242.322 2.504 20 0 BFADHN Cc1ccc2nccc(N3CCC[C@H]3CO)c2c1 ZINC000302174993 386910141 /nfs/dbraw/zinc/91/01/41/386910141.db2.gz WSEYDVWDKGKYDM-LBPRGKRZSA-N 0 3 242.322 2.504 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2ccc(C)nc2C)C1 ZINC000335768103 386911974 /nfs/dbraw/zinc/91/19/74/386911974.db2.gz IZOVEDXNTPCIMY-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN CC[C@H](N[C@@H]1CCOC1)c1cccc(OC)c1 ZINC000358261544 386924175 /nfs/dbraw/zinc/92/41/75/386924175.db2.gz YKACTVIEYNWTRS-OCCSQVGLSA-N 0 3 235.327 2.525 20 0 BFADHN CCc1ncc(CN2CCCC[C@H](C)C2)cn1 ZINC000334868864 386928426 /nfs/dbraw/zinc/92/84/26/386928426.db2.gz SCRXGIFNJHRUGU-LBPRGKRZSA-N 0 3 233.359 2.661 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000358302844 386930721 /nfs/dbraw/zinc/93/07/21/386930721.db2.gz SXNJIBDFCLWRPU-YUMQZZPRSA-N 0 3 247.260 2.789 20 0 BFADHN COc1ccncc1CN[C@H](C)CC1CCC1 ZINC000645467359 386932033 /nfs/dbraw/zinc/93/20/33/386932033.db2.gz YIRRXRNMCZRASZ-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1ccc(CN2CCC(C(C)C)CC2)nn1 ZINC000334874353 386934666 /nfs/dbraw/zinc/93/46/66/386934666.db2.gz YFXAEKXVCJYQAU-UHFFFAOYSA-N 0 3 233.359 2.653 20 0 BFADHN COc1cc(C)ccc1CNCC[C@H]1CCCO1 ZINC000648416110 386939920 /nfs/dbraw/zinc/93/99/20/386939920.db2.gz PHBGQZPDSUUQQI-CQSZACIVSA-N 0 3 249.354 2.662 20 0 BFADHN Cc1nc(CCN2C[C@H]3CCCC[C@H]32)cs1 ZINC000368087475 386940071 /nfs/dbraw/zinc/94/00/71/386940071.db2.gz ZNVXKHGIQYWOKF-DGCLKSJQSA-N 0 3 236.384 2.868 20 0 BFADHN Cc1ccc([C@@H](C)NCCc2ncc(C)cn2)o1 ZINC000358363133 386941724 /nfs/dbraw/zinc/94/17/24/386941724.db2.gz GBUXPHZVYRBQAV-GFCCVEGCSA-N 0 3 245.326 2.580 20 0 BFADHN Fc1ccc(C2(NC[C@H]3CCCO3)CC2)cc1 ZINC000341699760 386953322 /nfs/dbraw/zinc/95/33/22/386953322.db2.gz ZRWWJVAJQIQAMD-CYBMUJFWSA-N 0 3 235.302 2.583 20 0 BFADHN COc1nccc(CN[C@H]2CCCCC2(C)C)n1 ZINC000395165177 386954407 /nfs/dbraw/zinc/95/44/07/386954407.db2.gz WGCSMNBXSUWKOE-LBPRGKRZSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@@H]1C[C@H](CO)CN(C/C=C\c2ccccc2)C1 ZINC000398706673 386960264 /nfs/dbraw/zinc/96/02/64/386960264.db2.gz VOUSBDCAVGFHBZ-IRHPPKKSSA-N 0 3 245.366 2.650 20 0 BFADHN C[C@@H]1C[C@@H](CO)CN(C/C=C/c2ccccc2)C1 ZINC000398706678 386960743 /nfs/dbraw/zinc/96/07/43/386960743.db2.gz VOUSBDCAVGFHBZ-LTVAEWHBSA-N 0 3 245.366 2.650 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cncn1C(C)C ZINC000425356211 386967871 /nfs/dbraw/zinc/96/78/71/386967871.db2.gz LWIHMUWXJWVGRQ-ZDUSSCGKSA-N 0 3 221.348 2.694 20 0 BFADHN Cc1cnn(CCN(C)Cc2ccc(C)cc2)c1 ZINC000341830975 386968311 /nfs/dbraw/zinc/96/83/11/386968311.db2.gz HWLRKURTNSLLDQ-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CCCCCF ZINC000341834399 386972518 /nfs/dbraw/zinc/97/25/18/386972518.db2.gz JTIGNXDXPYXNSI-RYUDHWBXSA-N 0 3 217.328 2.626 20 0 BFADHN Cc1sccc1CN1CCN(C)[C@@H](C)[C@@H]1C ZINC000352966070 386975575 /nfs/dbraw/zinc/97/55/75/386975575.db2.gz ZOYWVGCWVJVJIK-QWRGUYRKSA-N 0 3 238.400 2.581 20 0 BFADHN c1cncc(CN2CCC23CCCCC3)c1 ZINC000368472623 386976656 /nfs/dbraw/zinc/97/66/56/386976656.db2.gz ZBOTUAWDVMJNIJ-UHFFFAOYSA-N 0 3 216.328 2.990 20 0 BFADHN C[C@@]1(O)C[C@H](N[C@H]2CCCc3sccc32)C1 ZINC000417395499 386982512 /nfs/dbraw/zinc/98/25/12/386982512.db2.gz FEWQUHBJTDNXRN-XHVZSJERSA-N 0 3 237.368 2.629 20 0 BFADHN CCn1nc(C)c(CN2CCC23CCC3)c1C ZINC000334908224 386982729 /nfs/dbraw/zinc/98/27/29/386982729.db2.gz LRYOAVNBHSRPMT-UHFFFAOYSA-N 0 3 233.359 2.648 20 0 BFADHN C[C@@]1(CNCc2ccco2)CCO[C@@H]1C1CC1 ZINC000368768880 387008134 /nfs/dbraw/zinc/00/81/34/387008134.db2.gz YXMXZVKKYZWOBL-KGLIPLIRSA-N 0 3 235.327 2.574 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCC[C@H](C)C2)n(C)n1 ZINC000334911120 386983865 /nfs/dbraw/zinc/98/38/65/386983865.db2.gz DGMWWLPZBZVPSU-WCQYABFASA-N 0 3 235.375 2.739 20 0 BFADHN CN(C[C@H]1CCC2(CCCCC2)O1)C1CC1 ZINC000190937421 386983981 /nfs/dbraw/zinc/98/39/81/386983981.db2.gz MOUFGKHYRPVWGK-CYBMUJFWSA-N 0 3 223.360 2.963 20 0 BFADHN CCc1ccc([C@H](C)NCCc2cnccn2)o1 ZINC000341882217 386985320 /nfs/dbraw/zinc/98/53/20/386985320.db2.gz CKSZALXODPPUKT-NSHDSACASA-N 0 3 245.326 2.525 20 0 BFADHN CC(C)[C@@H](N[C@H](C)CO)c1cc(F)ccc1F ZINC000358752173 387008228 /nfs/dbraw/zinc/00/82/28/387008228.db2.gz JTSUEEOJOPAWAG-NOZJJQNGSA-N 0 3 243.297 2.632 20 0 BFADHN CCC[C@]1(CO)CCN(Cc2cccs2)C1 ZINC000368571675 386989320 /nfs/dbraw/zinc/98/93/20/386989320.db2.gz FNNVWULCCNMVLN-ZDUSSCGKSA-N 0 3 239.384 2.733 20 0 BFADHN CCC[C@@H]1CCCC[C@H]1NCc1cnccn1 ZINC000514586315 386999688 /nfs/dbraw/zinc/99/96/88/386999688.db2.gz VFDPHWVVQQACQG-TZMCWYRMSA-N 0 3 233.359 2.925 20 0 BFADHN COCC1(NCc2cnc(C)s2)CCCC1 ZINC000519183544 387031185 /nfs/dbraw/zinc/03/11/85/387031185.db2.gz SIUIHFNQOQGXOT-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN C[C@@H](N(C)Cc1cncc(F)c1)C1(C)CC1 ZINC000353062318 387035661 /nfs/dbraw/zinc/03/56/61/387035661.db2.gz ASVQOUIFMRKXRK-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H](N[C@H](C)C1CCCC1)c1ccon1 ZINC000336764290 387040572 /nfs/dbraw/zinc/04/05/72/387040572.db2.gz QBHJULUDCYCUBK-NXEZZACHSA-N 0 3 208.305 2.904 20 0 BFADHN C[C@H](N[C@@H](C)C1CCCC1)c1ccon1 ZINC000336764291 387041156 /nfs/dbraw/zinc/04/11/56/387041156.db2.gz QBHJULUDCYCUBK-UWVGGRQHSA-N 0 3 208.305 2.904 20 0 BFADHN C[C@@H]1Cc2ccc(F)cc2[C@H]1N[C@H]1C[C@@](C)(O)C1 ZINC000417406530 387042864 /nfs/dbraw/zinc/04/28/64/387042864.db2.gz RLNDWBWYLAZXFS-GXTAWKLYSA-N 0 3 249.329 2.562 20 0 BFADHN CCn1cncc1CN1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000334962872 387042942 /nfs/dbraw/zinc/04/29/42/387042942.db2.gz XZGCVOXQCQZRTJ-UKRRQHHQSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@@]1(O)C[C@H](N[C@@H]2CCCc3ccc(F)cc32)C1 ZINC000417407374 387044940 /nfs/dbraw/zinc/04/49/40/387044940.db2.gz SRZRMPCHDAUUKO-YUELXQCFSA-N 0 3 249.329 2.706 20 0 BFADHN COCC(C)(C)NCc1sccc1Cl ZINC000193080039 387052873 /nfs/dbraw/zinc/05/28/73/387052873.db2.gz IFFHYVZHLNZTIM-UHFFFAOYSA-N 0 3 233.764 2.916 20 0 BFADHN CCC[C@H](C(=O)OCC)N(C)CC1CCCC1 ZINC000096867312 387055102 /nfs/dbraw/zinc/05/51/02/387055102.db2.gz QZIOFMUSMYSVID-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1nc2cccnc2s1 ZINC000334976309 387061834 /nfs/dbraw/zinc/06/18/34/387061834.db2.gz QYXDGBLWDHMIQZ-NXEZZACHSA-N 0 3 247.367 2.970 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1nc2cccnc2s1 ZINC000334976312 387062299 /nfs/dbraw/zinc/06/22/99/387062299.db2.gz QYXDGBLWDHMIQZ-ZJUUUORDSA-N 0 3 247.367 2.970 20 0 BFADHN Cc1cnccc1CN1C[C@@H](C)S[C@@H](C)C1 ZINC000336222317 387062309 /nfs/dbraw/zinc/06/23/09/387062309.db2.gz VXAOUPPSRRGSDU-TXEJJXNPSA-N 0 3 236.384 2.716 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@](C)(O)C1)c1ccccc1F ZINC000417415661 387062655 /nfs/dbraw/zinc/06/26/55/387062655.db2.gz ZJVPZMBBVQJSDA-HONMWMINSA-N 0 3 237.318 2.780 20 0 BFADHN C[C@@H](N[C@H]1C[C@@](C)(O)C1)c1csc(Cl)c1 ZINC000417414975 387062769 /nfs/dbraw/zinc/06/27/69/387062769.db2.gz YQIOOXHDHIXSJT-ZOFUNIGCSA-N 0 3 245.775 2.966 20 0 BFADHN COc1cccc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)n1 ZINC000418118613 387063131 /nfs/dbraw/zinc/06/31/31/387063131.db2.gz FEWVEOBKCIFESH-TUAOUCFPSA-N 0 3 234.343 2.567 20 0 BFADHN C[C@H](C[C@H](C)O)NCc1cc2ccccc2o1 ZINC000228069773 387063093 /nfs/dbraw/zinc/06/30/93/387063093.db2.gz OPXLZOPXDDDLAJ-MNOVXSKESA-N 0 3 233.311 2.682 20 0 BFADHN c1cc(O[C@@H]2CCN([C@H]3C=CCCC3)C2)ccn1 ZINC000334978596 387064824 /nfs/dbraw/zinc/06/48/24/387064824.db2.gz NFFOEFNPYGFHES-DZGCQCFKSA-N 0 3 244.338 2.643 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@@](C)(N)CCC ZINC000226076004 387010785 /nfs/dbraw/zinc/01/07/85/387010785.db2.gz CMEVWUIHDCONFK-OCCSQVGLSA-N 0 3 242.407 2.979 20 0 BFADHN CCN(C)Cc1ccc(F)c(Cl)c1 ZINC000126269501 387011595 /nfs/dbraw/zinc/01/15/95/387011595.db2.gz RRYQZCDFZWSAEC-UHFFFAOYSA-N 0 3 201.672 2.931 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@H]1COCc2ccccc21 ZINC000425371586 387012563 /nfs/dbraw/zinc/01/25/63/387012563.db2.gz QLGCCPDREMUKEZ-HZSPNIEDSA-N 0 3 249.379 2.742 20 0 BFADHN C[C@@H](CSc1cccc(F)c1)N(C)C ZINC000358785569 387013206 /nfs/dbraw/zinc/01/32/06/387013206.db2.gz LLYFZAURNSKHOQ-VIFPVBQESA-N 0 3 213.321 2.868 20 0 BFADHN CCC1(C)CCN(CCc2cnccn2)CC1 ZINC000639469893 387014889 /nfs/dbraw/zinc/01/48/89/387014889.db2.gz YYNSYKXBADIGFN-UHFFFAOYSA-N 0 3 233.359 2.531 20 0 BFADHN CC[C@H](N[C@@H](C)c1cnccn1)[C@H]1CC1(C)C ZINC000417400635 387023215 /nfs/dbraw/zinc/02/32/15/387023215.db2.gz KJTFLACPJFAZQU-TUAOUCFPSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@H](CSc1ccccc1F)N(C)C ZINC000358850047 387024068 /nfs/dbraw/zinc/02/40/68/387024068.db2.gz FXJPTCHBVBRFMW-SECBINFHSA-N 0 3 213.321 2.868 20 0 BFADHN COC1(CN2CC(CC(F)F)C2)CCCC1 ZINC000425368941 387026289 /nfs/dbraw/zinc/02/62/89/387026289.db2.gz YMQXDFMYOCCZSX-UHFFFAOYSA-N 0 3 233.302 2.533 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1cnc(C)cc1C ZINC000425368560 387026436 /nfs/dbraw/zinc/02/64/36/387026436.db2.gz KKFQLLLSUINUIO-QWHCGFSZSA-N 0 3 236.384 2.682 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CC[C@@H]2SC)o1 ZINC000425377390 387029145 /nfs/dbraw/zinc/02/91/45/387029145.db2.gz GTSIZEWUVKIIIV-KOLCDFICSA-N 0 3 240.372 2.529 20 0 BFADHN C[C@H](c1ccccn1)N(C)C[C@H]1CCCOC1 ZINC000246325701 387072591 /nfs/dbraw/zinc/07/25/91/387072591.db2.gz JWIDLKIZHNBVMY-CHWSQXEVSA-N 0 3 234.343 2.501 20 0 BFADHN CC(C)OCCN1Cc2ccccc2C2(CC2)C1 ZINC000186184068 387073927 /nfs/dbraw/zinc/07/39/27/387073927.db2.gz QLBBMEMPMPZQFF-UHFFFAOYSA-N 0 3 245.366 2.959 20 0 BFADHN CCOCCN1Cc2ccccc2C2(CC2)C1 ZINC000186166271 387074170 /nfs/dbraw/zinc/07/41/70/387074170.db2.gz LCQOZXUHUDZMHV-UHFFFAOYSA-N 0 3 231.339 2.570 20 0 BFADHN CCn1cncc1CN(C)[C@H]1CCCC[C@@H]1C ZINC000418047154 387075907 /nfs/dbraw/zinc/07/59/07/387075907.db2.gz RVNKYHPPHNRWAH-JSGCOSHPSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1cncc1CN1CCC[C@H](C2CC2)C1 ZINC000418056653 387077314 /nfs/dbraw/zinc/07/73/14/387077314.db2.gz DVRQNMBWTKGBRN-ZDUSSCGKSA-N 0 3 233.359 2.525 20 0 BFADHN CS[C@@H]1CCN([C@@H](C)c2cccnc2)C1 ZINC000336254660 387077886 /nfs/dbraw/zinc/07/78/86/387077886.db2.gz GFLVWEODQNXTAR-CMPLNLGQSA-N 0 3 222.357 2.580 20 0 BFADHN CCc1nnc(C[NH2+][C@@H](CC)C[C@H](C)CC)[n-]1 ZINC000392422168 387079518 /nfs/dbraw/zinc/07/95/18/387079518.db2.gz QSZZRKKSRQIAFE-MNOVXSKESA-N 0 3 238.379 2.672 20 0 BFADHN CCc1nnc(CN[C@@H](CC)C[C@H](C)CC)[nH]1 ZINC000392422168 387079520 /nfs/dbraw/zinc/07/95/20/387079520.db2.gz QSZZRKKSRQIAFE-MNOVXSKESA-N 0 3 238.379 2.672 20 0 BFADHN Cc1cc(CN(C2CCC2)C2CCC2)n(C)n1 ZINC000418062119 387080400 /nfs/dbraw/zinc/08/04/00/387080400.db2.gz GBEPJZRKNXAUEO-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@H](C2CCCC2)C1 ZINC000334993087 387082328 /nfs/dbraw/zinc/08/23/28/387082328.db2.gz FLLZBIDXXLJCQM-ZDUSSCGKSA-N 0 3 233.359 2.730 20 0 BFADHN CCn1cncc1CN(C1CCC1)C1CCC1 ZINC000418071346 387084728 /nfs/dbraw/zinc/08/47/28/387084728.db2.gz VWOFWGNBTVBRFQ-UHFFFAOYSA-N 0 3 233.359 2.810 20 0 BFADHN CC(C)C(C)(C)CN[C@H](C)c1nccn1C ZINC000188708734 387085706 /nfs/dbraw/zinc/08/57/06/387085706.db2.gz VQBVELQAIONFCR-LLVKDONJSA-N 0 3 223.364 2.753 20 0 BFADHN CCOC[C@@H](NCc1ccoc1C)C(C)C ZINC000321298622 387093256 /nfs/dbraw/zinc/09/32/56/387093256.db2.gz VERDAOPDBBHRFE-CYBMUJFWSA-N 0 3 225.332 2.739 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1cc(C)nn1C ZINC000418084877 387094432 /nfs/dbraw/zinc/09/44/32/387094432.db2.gz RZRSEJLPTLUKLP-ZIAGYGMSSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1ccc2nc(CNC[C@@H]3CC34CC4)cn2c1 ZINC000418090128 387096413 /nfs/dbraw/zinc/09/64/13/387096413.db2.gz DGOFXOZCQBTCMW-LBPRGKRZSA-N 0 3 241.338 2.532 20 0 BFADHN CCc1ccccc1CN(C)C[C@H]1CCCO1 ZINC000188848105 387096550 /nfs/dbraw/zinc/09/65/50/387096550.db2.gz URMFBWFUZJKOQG-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN c1c[nH]c(CNCCCSc2ccccc2)n1 ZINC000067666573 387097272 /nfs/dbraw/zinc/09/72/72/387097272.db2.gz WVJOYBCMHVWUAT-UHFFFAOYSA-N 0 3 247.367 2.682 20 0 BFADHN CCC[C@H]([NH2+][C@H](C)c1nnc(C)[n-]1)C1CCC1 ZINC000392466604 387101668 /nfs/dbraw/zinc/10/16/68/387101668.db2.gz QYGOJDURXAWJFU-SKDRFNHKSA-N 0 3 236.363 2.733 20 0 BFADHN COc1cnccc1[C@@H](C)N[C@H](C)C1CC1 ZINC000188790583 387102388 /nfs/dbraw/zinc/10/23/88/387102388.db2.gz YWIMZJQHYVWYOY-NXEZZACHSA-N 0 3 220.316 2.539 20 0 BFADHN CCC[C@@H]([NH2+][C@@H](C)c1nnc(C)[n-]1)C1CCC1 ZINC000392466603 387102503 /nfs/dbraw/zinc/10/25/03/387102503.db2.gz QYGOJDURXAWJFU-JOYOIKCWSA-N 0 3 236.363 2.733 20 0 BFADHN O[C@@H](CN1CC2(C1)CCCC2)c1ccccc1 ZINC000228517305 387103326 /nfs/dbraw/zinc/10/33/26/387103326.db2.gz FCZQRBHEWCJLTP-AWEZNQCLSA-N 0 3 231.339 2.596 20 0 BFADHN Cc1cccc(CN2C[C@@H](C)OC(C)(C)C2)c1 ZINC000068477070 387104794 /nfs/dbraw/zinc/10/47/94/387104794.db2.gz UFCRHIPZZSACPA-CYBMUJFWSA-N 0 3 233.355 2.994 20 0 BFADHN C[C@H]1COCCN(Cc2cc3ccccc3o2)C1 ZINC000334945194 387105967 /nfs/dbraw/zinc/10/59/67/387105967.db2.gz GVFZICVJRMLKJK-GFCCVEGCSA-N 0 3 245.322 2.901 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)Nc1cccc(C)c1C ZINC000069091555 387113816 /nfs/dbraw/zinc/11/38/16/387113816.db2.gz KYSWUUFZRDTADF-ZDUSSCGKSA-N 0 3 248.370 2.972 20 0 BFADHN FC(F)(F)C1(CNCc2cccnc2)CCC1 ZINC000353091983 387114478 /nfs/dbraw/zinc/11/44/78/387114478.db2.gz QIDOXDMKSNXAEJ-UHFFFAOYSA-N 0 3 244.260 2.904 20 0 BFADHN CCC1(C)CN(C[C@@H](O)C2CCCCC2)C1 ZINC000359514288 387115543 /nfs/dbraw/zinc/11/55/43/387115543.db2.gz XJNPMQQHLNLUAM-CYBMUJFWSA-N 0 3 225.376 2.660 20 0 BFADHN COC[C@H](CC(C)C)N[C@@H](C)c1cccnc1 ZINC000359519937 387115606 /nfs/dbraw/zinc/11/56/06/387115606.db2.gz BURYRLUUIRSNQL-JSGCOSHPSA-N 0 3 236.359 2.793 20 0 BFADHN FC(F)(F)C1(CNCc2ccncc2)CCC1 ZINC000353092501 387115539 /nfs/dbraw/zinc/11/55/39/387115539.db2.gz DXJRWQQPXGETPP-UHFFFAOYSA-N 0 3 244.260 2.904 20 0 BFADHN COc1ccc(CN2CCCC3(CC3)C2)cc1O ZINC000186614627 387117346 /nfs/dbraw/zinc/11/73/46/387117346.db2.gz GQKMRNDTWUCARP-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN Clc1ccc(CNC[C@H]2CC23CC3)o1 ZINC000418096514 387117362 /nfs/dbraw/zinc/11/73/62/387117362.db2.gz MQEUQMXECIAOJC-MRVPVSSYSA-N 0 3 211.692 2.823 20 0 BFADHN Cc1ccc2[nH]c(CNCC(C)C)nc2c1 ZINC000062742440 387123560 /nfs/dbraw/zinc/12/35/60/387123560.db2.gz OADISBDLTHYVOD-UHFFFAOYSA-N 0 3 217.316 2.617 20 0 BFADHN Cc1ccc2nc(CNCC(C)C)[nH]c2c1 ZINC000062742440 387123566 /nfs/dbraw/zinc/12/35/66/387123566.db2.gz OADISBDLTHYVOD-UHFFFAOYSA-N 0 3 217.316 2.617 20 0 BFADHN COC(C)(C)CNCc1cc(Cl)ccc1F ZINC000119391657 387125203 /nfs/dbraw/zinc/12/52/03/387125203.db2.gz VCENYAGOONBJLY-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN C[C@@H]1C[C@H](NCCn2cccn2)c2ccccc21 ZINC000336791690 387125434 /nfs/dbraw/zinc/12/54/34/387125434.db2.gz OOQKGIPPSXGZIU-DOMZBBRYSA-N 0 3 241.338 2.721 20 0 BFADHN Cc1cccc(CN2CCCC[C@H]2C[C@H](C)O)n1 ZINC000228883663 387127501 /nfs/dbraw/zinc/12/75/01/387127501.db2.gz HXMKJMPCOHMDCS-ZFWWWQNUSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@@H](COC)NCc1sccc1Cl ZINC000193117436 387128004 /nfs/dbraw/zinc/12/80/04/387128004.db2.gz ATSNFAYBOWWVQY-QMMMGPOBSA-N 0 3 233.764 2.916 20 0 BFADHN CO[C@H](CNCc1ccc(F)cc1)CC(C)C ZINC000418099317 387129741 /nfs/dbraw/zinc/12/97/41/387129741.db2.gz NABUCZLFVXWKPG-AWEZNQCLSA-N 0 3 239.334 2.976 20 0 BFADHN CCc1cccc(F)c1CNC[C@H](CC)OC ZINC000353126052 387143771 /nfs/dbraw/zinc/14/37/71/387143771.db2.gz RGYCAVCIJBARIL-LBPRGKRZSA-N 0 3 239.334 2.903 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@H](CO)C2CC2)o1 ZINC000353146739 387144771 /nfs/dbraw/zinc/14/47/71/387144771.db2.gz FNUDHBVNWCAFCW-QKCSRTOESA-N 0 3 249.354 2.511 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@H]3OCCC[C@H]23)o1 ZINC000186934246 387145253 /nfs/dbraw/zinc/14/52/53/387145253.db2.gz RVEOGWQLKQQDJJ-FMCLSXCISA-N 0 3 235.327 2.806 20 0 BFADHN CC(C)CCN1CCNCc2ccccc21 ZINC000062818182 387145734 /nfs/dbraw/zinc/14/57/34/387145734.db2.gz OUADHQVPOZENHS-UHFFFAOYSA-N 0 3 218.344 2.642 20 0 BFADHN Cc1nocc1CN1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000353153296 387145746 /nfs/dbraw/zinc/14/57/46/387145746.db2.gz JXRBUNZVQUALOG-FOGDFJRCSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1nocc1CN1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000353153298 387146003 /nfs/dbraw/zinc/14/60/03/387146003.db2.gz JXRBUNZVQUALOG-SCVCMEIPSA-N 0 3 222.332 2.849 20 0 BFADHN CC(C)[C@H]1CN(C[C@@H]2CC=CCC2)CCO1 ZINC000353149875 387146291 /nfs/dbraw/zinc/14/62/91/387146291.db2.gz HSLXOTDVZHGIKT-ZIAGYGMSSA-N 0 3 223.360 2.700 20 0 BFADHN Cc1ccc(C(C)(C)NCc2cccn2C)cn1 ZINC000353171315 387148016 /nfs/dbraw/zinc/14/80/16/387148016.db2.gz RDAORPLZXWVBSK-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN C1CCC(N2CCSC3(CCOCC3)C2)C1 ZINC000186847389 387148756 /nfs/dbraw/zinc/14/87/56/387148756.db2.gz KQAKPUJWWNOUNZ-UHFFFAOYSA-N 0 3 241.400 2.527 20 0 BFADHN CC[C@@H](F)CN[C@H](C)c1ccc2n[nH]cc2c1 ZINC000353185431 387150629 /nfs/dbraw/zinc/15/06/29/387150629.db2.gz UCVURBTVOCZUOV-BXKDBHETSA-N 0 3 235.306 2.962 20 0 BFADHN COc1c2ccccc2oc1CNCC1CC1 ZINC000353190398 387152261 /nfs/dbraw/zinc/15/22/61/387152261.db2.gz HOXLLZWJYSZQMO-UHFFFAOYSA-N 0 3 231.295 2.941 20 0 BFADHN COCC1CCN(Cc2ccc(C)nc2C)CC1 ZINC000187028711 387153788 /nfs/dbraw/zinc/15/37/88/387153788.db2.gz RSIOVNPAZUFSAD-UHFFFAOYSA-N 0 3 248.370 2.557 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@H](C)[C@@H]2C)cn1 ZINC000418131601 387159557 /nfs/dbraw/zinc/15/95/57/387159557.db2.gz XWAAYJOLMMIITH-SRVKXCTJSA-N 0 3 234.343 2.567 20 0 BFADHN CCCc1cccc(CN(C)CC[C@@H](C)O)c1 ZINC000187000458 387159611 /nfs/dbraw/zinc/15/96/11/387159611.db2.gz QKCSNXAVQLYMET-CYBMUJFWSA-N 0 3 235.371 2.842 20 0 BFADHN CC[C@@H](C(=O)N1CCC[C@H]1CC)N(CC)CC ZINC000359792653 387163958 /nfs/dbraw/zinc/16/39/58/387163958.db2.gz IBWFQWZGPQKJDN-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN CCC[C@H](N[C@@H]1CO[C@H](C)C1)c1ccccn1 ZINC000571761661 387164149 /nfs/dbraw/zinc/16/41/49/387164149.db2.gz MXYCJSWLYQELME-DYEKYZERSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@H](N[C@H]1CCOC1)c1nccc2ccccc21 ZINC000353240794 387164222 /nfs/dbraw/zinc/16/42/22/387164222.db2.gz KIZZDYAPOFMPCG-AAEUAGOBSA-N 0 3 242.322 2.674 20 0 BFADHN CC[C@@H](C(=O)NC(C)(CC)CC)N(CC)CC ZINC000359811994 387167368 /nfs/dbraw/zinc/16/73/68/387167368.db2.gz VVPPCJOMKFTKQB-LBPRGKRZSA-N 0 3 242.407 2.802 20 0 BFADHN C/C=C/C[C@H](CO)NCc1sccc1Cl ZINC000193221457 387181602 /nfs/dbraw/zinc/18/16/02/387181602.db2.gz WRQBEOPJFMGAMH-GKQMSVHHSA-N 0 3 245.775 2.818 20 0 BFADHN F[C@H]1CCC[C@H]1NCc1ccc2c[nH]nc2c1 ZINC000336356268 387185331 /nfs/dbraw/zinc/18/53/31/387185331.db2.gz RUFNOVMUTMFBDW-NWDGAFQWSA-N 0 3 233.290 2.543 20 0 BFADHN CCCn1cc(CNCCC2=CCCC2)cn1 ZINC000120126953 387185790 /nfs/dbraw/zinc/18/57/90/387185790.db2.gz UCWHOKBWBJCJNS-UHFFFAOYSA-N 0 3 233.359 2.883 20 0 BFADHN CC(C)[C@@H](O)CN(C)[C@H](C)c1cccs1 ZINC000284408130 387189786 /nfs/dbraw/zinc/18/97/86/387189786.db2.gz WWGLPSNUEHQQBB-MNOVXSKESA-N 0 3 227.373 2.758 20 0 BFADHN C[C@@H](F)CCN1CC(C)(C)OC(C)(C)C1 ZINC000352423130 387190062 /nfs/dbraw/zinc/19/00/62/387190062.db2.gz WIINFHLGDBUGJW-SNVBAGLBSA-N 0 3 217.328 2.624 20 0 BFADHN C[C@H](F)CCN1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000352429241 387191074 /nfs/dbraw/zinc/19/10/74/387191074.db2.gz AVDKTKFFEXOKPZ-AVGNSLFASA-N 0 3 241.350 2.768 20 0 BFADHN C[C@H](F)CCN1CC2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000352429247 387191138 /nfs/dbraw/zinc/19/11/38/387191138.db2.gz AVDKTKFFEXOKPZ-YNEHKIRRSA-N 0 3 241.350 2.768 20 0 BFADHN C[C@H](F)CCN1CC2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000352429245 387191379 /nfs/dbraw/zinc/19/13/79/387191379.db2.gz AVDKTKFFEXOKPZ-XQQFMLRXSA-N 0 3 241.350 2.768 20 0 BFADHN CCCCN(CC)C(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000120162341 387191394 /nfs/dbraw/zinc/19/13/94/387191394.db2.gz CWRYLTGMUMLPJK-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H](C)N1CCN([C@@H]2C=CCCC2)CC1 ZINC000336305646 387135021 /nfs/dbraw/zinc/13/50/21/387135021.db2.gz ZKOHOHJAUFYROD-ZIAGYGMSSA-N 0 3 222.376 2.511 20 0 BFADHN Cc1ccc2c(c1)CN(CC1(O)CCCC1)C2 ZINC000336308833 387137969 /nfs/dbraw/zinc/13/79/69/387137969.db2.gz FVQQRELFLNUJIR-UHFFFAOYSA-N 0 3 231.339 2.616 20 0 BFADHN CCC1(C)CCN(Cc2ccnc(C)n2)CC1 ZINC000336312774 387139926 /nfs/dbraw/zinc/13/99/26/387139926.db2.gz ZDNCCEXTGFBMFJ-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN C[C@@H](NCc1cccc2[nH]ccc21)c1cn[nH]c1 ZINC000229883230 387202329 /nfs/dbraw/zinc/20/23/29/387202329.db2.gz NLLQZUIRWUYATD-SNVBAGLBSA-N 0 3 240.310 2.742 20 0 BFADHN C[C@H](NCc1cccc2[nH]ccc21)c1cn[nH]c1 ZINC000229883235 387202880 /nfs/dbraw/zinc/20/28/80/387202880.db2.gz NLLQZUIRWUYATD-JTQLQIEISA-N 0 3 240.310 2.742 20 0 BFADHN C[C@@H]1[C@@H](C)CCC[C@@H]1NCc1nnc(C2CC2)[nH]1 ZINC000187575055 387205731 /nfs/dbraw/zinc/20/57/31/387205731.db2.gz HMCYCFZRVZHJTQ-UMNHJUIQSA-N 0 3 248.374 2.596 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H]2CCC[C@H](O)C2)o1 ZINC000300410101 387205956 /nfs/dbraw/zinc/20/59/56/387205956.db2.gz DVSBSAXLMFXSRA-AVGNSLFASA-N 0 3 237.343 2.790 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2ccccn2)C1(C)C ZINC000300409791 387206166 /nfs/dbraw/zinc/20/61/66/387206166.db2.gz URLVQMYGCWELOP-RAIGVLPGSA-N 0 3 234.343 2.546 20 0 BFADHN Cc1cnn(C)c1CN(C)[C@@H]1CCC(C)(C)C1 ZINC000336377597 387207279 /nfs/dbraw/zinc/20/72/79/387207279.db2.gz CFYXZLXGBJKFEA-GFCCVEGCSA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@@H](O)CN[C@@H]1CCSc2ccccc21 ZINC000229963654 387208449 /nfs/dbraw/zinc/20/84/49/387208449.db2.gz KZHCXZYFARPVBQ-ZYHUDNBSSA-N 0 3 237.368 2.584 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc(C)cc1C ZINC000359995136 387208742 /nfs/dbraw/zinc/20/87/42/387208742.db2.gz XVEIYXJXZRVIND-CYBMUJFWSA-N 0 3 221.344 2.770 20 0 BFADHN CC(C)c1ccc(CN(C)C2CC(O)C2)cc1 ZINC000353474790 387210043 /nfs/dbraw/zinc/21/00/43/387210043.db2.gz WJMNLZDNSJDJKZ-UHFFFAOYSA-N 0 3 233.355 2.765 20 0 BFADHN COC[C@H](C)N[C@@H]1CCCc2ccc(OC)cc21 ZINC000092383240 387211846 /nfs/dbraw/zinc/21/18/46/387211846.db2.gz DXSNWOWFCAGMDX-XHDPSFHLSA-N 0 3 249.354 2.697 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1ccc(CO)o1 ZINC000571973132 387212293 /nfs/dbraw/zinc/21/22/93/387212293.db2.gz YCRPAKYWWUWVRY-KBPBESRZSA-N 0 3 237.343 2.686 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1ccc(CO)o1 ZINC000571973133 387212817 /nfs/dbraw/zinc/21/28/17/387212817.db2.gz YCRPAKYWWUWVRY-KGLIPLIRSA-N 0 3 237.343 2.686 20 0 BFADHN Cc1noc(C)c1CN(C)[C@@H]1CC[C@@H](C)C1 ZINC000336382103 387213837 /nfs/dbraw/zinc/21/38/37/387213837.db2.gz DHLYDPDEDQKYDE-BXKDBHETSA-N 0 3 222.332 2.912 20 0 BFADHN C[C@H](NCC(C)(C)C(C)(C)O)c1ccccn1 ZINC000393618625 259707582 /nfs/dbraw/zinc/70/75/82/259707582.db2.gz OBVFRPCNBMAAMC-NSHDSACASA-N 0 3 236.359 2.529 20 0 BFADHN CCN(C/C=C\c1ccc(F)cc1)CCCO ZINC000353667481 387253066 /nfs/dbraw/zinc/25/30/66/387253066.db2.gz UNGQTTVEBPFYHZ-HYXAFXHYSA-N 0 3 237.318 2.543 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@H]2CCC[C@H](O)C2)c1 ZINC000353517432 387220642 /nfs/dbraw/zinc/22/06/42/387220642.db2.gz IUCVMMYNSAZJGR-IHRRRGAJSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@]2(C)CCCO2)c1 ZINC000353543396 387225198 /nfs/dbraw/zinc/22/51/98/387225198.db2.gz NNORKGQSKACUIT-JSGCOSHPSA-N 0 3 234.343 2.610 20 0 BFADHN CSCCN(C)CCOc1cccc(C)c1 ZINC000096990512 387232796 /nfs/dbraw/zinc/23/27/96/387232796.db2.gz LHZJDMMVSDFOAS-UHFFFAOYSA-N 0 3 239.384 2.669 20 0 BFADHN Cc1cc(CN2CCN(C)C[C@@H]2C)c(C)s1 ZINC000353584560 387235386 /nfs/dbraw/zinc/23/53/86/387235386.db2.gz IEEAOFHOBURYNY-JTQLQIEISA-N 0 3 238.400 2.501 20 0 BFADHN Cc1ccc(F)c(CN[C@@]2(C)CCO[C@H]2C)c1 ZINC000230152059 387235918 /nfs/dbraw/zinc/23/59/18/387235918.db2.gz FOVZGQXYHVILKB-FZMZJTMJSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H]1OCC[C@@]1(C)NCc1ccccc1Cl ZINC000230152136 387235932 /nfs/dbraw/zinc/23/59/32/387235932.db2.gz GCFOJHXOKXUEEF-ZWNOBZJWSA-N 0 3 239.746 2.997 20 0 BFADHN Cc1ccc(F)c(CN[C@@]2(C)CCO[C@@H]2C)c1 ZINC000230152049 387236283 /nfs/dbraw/zinc/23/62/83/387236283.db2.gz FOVZGQXYHVILKB-RISCZKNCSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H]1OCC[C@@]1(C)NCc1cccc(Cl)c1 ZINC000230154526 387236481 /nfs/dbraw/zinc/23/64/81/387236481.db2.gz FKCCWJFWUZKDPH-ZWNOBZJWSA-N 0 3 239.746 2.997 20 0 BFADHN CC1(C)CC[C@H]1NCc1ccc2cc[nH]c2n1 ZINC000353605522 387240853 /nfs/dbraw/zinc/24/08/53/387240853.db2.gz CDINYWATBRYKGI-GFCCVEGCSA-N 0 3 229.327 2.841 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@H]3CCCC[C@H]32)nc1 ZINC000360221392 387246599 /nfs/dbraw/zinc/24/65/99/387246599.db2.gz MOXXMMQNEYEADG-BFHYXJOUSA-N 0 3 245.370 2.796 20 0 BFADHN Cc1ccnc([C@H](C)NCC2(CCO)CCC2)c1 ZINC000353644926 387247476 /nfs/dbraw/zinc/24/74/76/387247476.db2.gz NUQKPXYUCAXQBU-ZDUSSCGKSA-N 0 3 248.370 2.593 20 0 BFADHN CCCCCNC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000120482599 387249172 /nfs/dbraw/zinc/24/91/72/387249172.db2.gz CZYVTFINMITCBU-AVGNSLFASA-N 0 3 240.391 2.554 20 0 BFADHN CC[C@H](O)[C@H]1CCCCN1Cc1cnccc1C ZINC000353651868 387250176 /nfs/dbraw/zinc/25/01/76/387250176.db2.gz DMILOSONPDNXOV-CABCVRRESA-N 0 3 248.370 2.515 20 0 BFADHN COCc1cccc(CN2CCC23CCC3)c1 ZINC000353667453 387252326 /nfs/dbraw/zinc/25/23/26/387252326.db2.gz TWJBLGKBHALFLR-UHFFFAOYSA-N 0 3 231.339 2.961 20 0 BFADHN CC(C)c1ncc(CN[C@]2(C)CCOC2)s1 ZINC000120197181 387194328 /nfs/dbraw/zinc/19/43/28/387194328.db2.gz NEQDCPRGHRBVOT-GFCCVEGCSA-N 0 3 240.372 2.535 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)[C@H]1CCOC1 ZINC000353420467 387197152 /nfs/dbraw/zinc/19/71/52/387197152.db2.gz RAISCSJTRSFJNP-SFAKSCPVSA-N 0 3 235.302 2.560 20 0 BFADHN CCN(CCOC)CCO[C@@H]1CCCC[C@@H]1C ZINC000353444932 387201893 /nfs/dbraw/zinc/20/18/93/387201893.db2.gz HGKPKHZSISIYTC-UONOGXRCSA-N 0 3 243.391 2.550 20 0 BFADHN CC(C)CC[C@@H](N[C@@H](C)CO)c1ccoc1 ZINC000286044017 387309969 /nfs/dbraw/zinc/30/99/69/387309969.db2.gz KTDVLCRVVDXBDC-WCQYABFASA-N 0 3 225.332 2.727 20 0 BFADHN C[C@H](CSc1nncc2ccccc21)N(C)C ZINC000514937826 387319099 /nfs/dbraw/zinc/31/90/99/387319099.db2.gz PKSLQHKSNGUJMI-SNVBAGLBSA-N 0 3 247.367 2.672 20 0 BFADHN CN(C)c1ccccc1CN1CCC12CCC2 ZINC000353671487 387255582 /nfs/dbraw/zinc/25/55/82/387255582.db2.gz NPESXMKUEIENGZ-UHFFFAOYSA-N 0 3 230.355 2.881 20 0 BFADHN COc1c(O)cccc1CN1C[C@@H](C)C[C@H]1C ZINC000336435804 387260569 /nfs/dbraw/zinc/26/05/69/387260569.db2.gz ZROVXZORYNBXTH-WDEREUQCSA-N 0 3 235.327 2.631 20 0 BFADHN CCC[C@]1(NCc2cnc(C)s2)CCOC1 ZINC000285383486 387262694 /nfs/dbraw/zinc/26/26/94/387262694.db2.gz BPLJCIHSGZPPIN-LBPRGKRZSA-N 0 3 240.372 2.500 20 0 BFADHN c1ccc([C@@H]2C[C@H]2CN2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000353709877 387263101 /nfs/dbraw/zinc/26/31/01/387263101.db2.gz SOFIMUACWGTQNA-JONQDZQNSA-N 0 3 243.350 2.653 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1cc(C)n(C)n1 ZINC000360329589 387264608 /nfs/dbraw/zinc/26/46/08/387264608.db2.gz NUFLLQLMPVZTEV-GXTWGEPZSA-N 0 3 235.375 2.787 20 0 BFADHN CCC[C@@]1(NCc2cccc(F)c2)CCOC1 ZINC000285417720 387265549 /nfs/dbraw/zinc/26/55/49/387265549.db2.gz DMADACMFEAJKGM-CQSZACIVSA-N 0 3 237.318 2.875 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)nc1 ZINC000360339523 387266070 /nfs/dbraw/zinc/26/60/70/387266070.db2.gz UMHQUPKYGLXUKO-FRRDWIJNSA-N 0 3 233.359 2.699 20 0 BFADHN CC(C)Cc1cccc([C@@H](C)NCCO)c1 ZINC000285468642 387266970 /nfs/dbraw/zinc/26/69/70/387266970.db2.gz AQFJACFCTNZJEB-GFCCVEGCSA-N 0 3 221.344 2.528 20 0 BFADHN CCC[C@@]1(NCc2ccsc2)CCOC1 ZINC000285457645 387269139 /nfs/dbraw/zinc/26/91/39/387269139.db2.gz JNUHOQVTLWKBMK-GFCCVEGCSA-N 0 3 225.357 2.797 20 0 BFADHN Cc1cnn(C)c1CN[C@@H](C)c1ccccc1 ZINC000285482899 387269357 /nfs/dbraw/zinc/26/93/57/387269357.db2.gz OSYVHZJEOMDSEW-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN C[C@@H](NC1CCCC1)c1c(F)cncc1F ZINC000285499733 387270633 /nfs/dbraw/zinc/27/06/33/387270633.db2.gz CTRPBAIEUZHGTG-MRVPVSSYSA-N 0 3 226.270 2.953 20 0 BFADHN Cc1cnn(C)c1CN[C@H](C)c1ccc(C)cc1 ZINC000285523674 387272310 /nfs/dbraw/zinc/27/23/10/387272310.db2.gz RXARSCOZIPCYCS-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cnn(C)c1CNC(C)(C)c1ccccc1 ZINC000285597565 387274368 /nfs/dbraw/zinc/27/43/68/387274368.db2.gz YBHHYYKDMMVBCB-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1ccc(F)c(Cl)c1 ZINC000120831408 387276262 /nfs/dbraw/zinc/27/62/62/387276262.db2.gz OHRBLYXDNKRZBX-QPUJVOFHSA-N 0 3 243.709 2.746 20 0 BFADHN CCCn1cc(CN(CC)C2CCC2)cn1 ZINC000353800356 387277220 /nfs/dbraw/zinc/27/72/20/387277220.db2.gz AVTPUGODZKVGTI-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CC[C@H](C)[C@H]1CCCCN1Cc1c[nH]cn1 ZINC000353812250 387279086 /nfs/dbraw/zinc/27/90/86/387279086.db2.gz XNCIWBJQDUINFH-WCQYABFASA-N 0 3 221.348 2.810 20 0 BFADHN CCC(CC)[C@@H](O)CN[C@H](C)c1ccccn1 ZINC000231634603 387281170 /nfs/dbraw/zinc/28/11/70/387281170.db2.gz YGWKJODOJZDWRV-RISCZKNCSA-N 0 3 236.359 2.529 20 0 BFADHN CCS[C@H]1CCC[C@H]1NCc1cc(C)no1 ZINC000285706113 387281684 /nfs/dbraw/zinc/28/16/84/387281684.db2.gz CYRFOWPOHYLDDH-NEPJUHHUSA-N 0 3 240.372 2.747 20 0 BFADHN CCOC[C@H](N[C@@H](C)c1ccncc1)C(C)C ZINC000189361535 387282952 /nfs/dbraw/zinc/28/29/52/387282952.db2.gz FAPRPFRIUQRCOW-JSGCOSHPSA-N 0 3 236.359 2.793 20 0 BFADHN CCOc1ccc(CN2CCOC[C@H](C)C2)cc1 ZINC000372216523 387283484 /nfs/dbraw/zinc/28/34/84/387283484.db2.gz LJPOYXOADQLVBU-CYBMUJFWSA-N 0 3 249.354 2.554 20 0 BFADHN CCSCCNC(C)(C)c1c(C)noc1C ZINC000285832409 387285096 /nfs/dbraw/zinc/28/50/96/387285096.db2.gz WYRCHHWETCSYMG-UHFFFAOYSA-N 0 3 242.388 2.869 20 0 BFADHN CC[C@H](N[C@H](c1nccn1C)C1CC1)C1CC1 ZINC000353858372 387287127 /nfs/dbraw/zinc/28/71/27/387287127.db2.gz BVQNSKJCBWQXEX-STQMWFEESA-N 0 3 233.359 2.649 20 0 BFADHN CCC1CC(N[C@@H](c2nccn2C)C2CC2)C1 ZINC000353882344 387289551 /nfs/dbraw/zinc/28/95/51/387289551.db2.gz PMCBQWDMIUGKIM-KGPNIALWSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1ccc(CN2[C@@H](C)C[C@@H]2C)c(C)n1 ZINC000336460702 387290499 /nfs/dbraw/zinc/29/04/99/387290499.db2.gz FHCFUVYRWHGBMZ-QWRGUYRKSA-N 0 3 204.317 2.681 20 0 BFADHN Cc1cc(CN2CCC[C@H]2C(C)(C)C)on1 ZINC000336468167 387297343 /nfs/dbraw/zinc/29/73/43/387297343.db2.gz QDGMHDXGAKQKHD-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1cnc(CN2C[C@H](C)CCC[C@@H]2C)nc1 ZINC000336469741 387298325 /nfs/dbraw/zinc/29/83/25/387298325.db2.gz LWIBVTHEEYDMIZ-YPMHNXCESA-N 0 3 233.359 2.796 20 0 BFADHN FC(F)C1CCC(NCc2ccno2)CC1 ZINC000514863080 387299720 /nfs/dbraw/zinc/29/97/20/387299720.db2.gz NAAHUVIKZIOSSP-UHFFFAOYSA-N 0 3 230.258 2.588 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ccc2n[nH]cc2c1 ZINC000353974823 387305186 /nfs/dbraw/zinc/30/51/86/387305186.db2.gz SORSUUDLBABUKU-WDEREUQCSA-N 0 3 247.342 2.639 20 0 BFADHN Cc1cc(CCN[C@H](C)c2cccc(O)c2)on1 ZINC000353996287 387306431 /nfs/dbraw/zinc/30/64/31/387306431.db2.gz OGSYPZKYTJJHDO-LLVKDONJSA-N 0 3 246.310 2.582 20 0 BFADHN CCc1noc(C)c1CN1CCC(C)CC1 ZINC000162048387 387308922 /nfs/dbraw/zinc/30/89/22/387308922.db2.gz ISSGUGKKVWCCTN-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN C[C@H](Cc1ccccc1)CN1CCO[C@@H](C)[C@@H]1C ZINC000360869615 387360048 /nfs/dbraw/zinc/36/00/48/387360048.db2.gz FAVRITSSTBYSJS-ILXRZTDVSA-N 0 3 247.382 2.974 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2ccc(C)cn2)CC1 ZINC000336539550 387360932 /nfs/dbraw/zinc/36/09/32/387360932.db2.gz LVBVCAYQSIOCQS-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1ccc(NC(=O)CNC2(C(C)C)CC2)cc1 ZINC000293004990 387369159 /nfs/dbraw/zinc/36/91/59/387369159.db2.gz NKQSCAFABAKZGS-UHFFFAOYSA-N 0 3 246.354 2.712 20 0 BFADHN CO[C@H](CNCc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000293006498 387371523 /nfs/dbraw/zinc/37/15/23/387371523.db2.gz NNDJJQKGUSOCNH-VZJVUDMVSA-N 0 3 249.354 2.918 20 0 BFADHN CCc1cccc(F)c1CNCC(C)(C)OC ZINC000354421633 387373847 /nfs/dbraw/zinc/37/38/47/387373847.db2.gz RUARJJVQJIMTPU-UHFFFAOYSA-N 0 3 239.334 2.903 20 0 BFADHN c1ccc([C@@H]2CCN2C[C@H]2CCCO2)cc1 ZINC000336553416 387374799 /nfs/dbraw/zinc/37/47/99/387374799.db2.gz OFILAQAERSMANU-KGLIPLIRSA-N 0 3 217.312 2.612 20 0 BFADHN FC(F)(F)C1=CCN(C[C@@H]2CCCOC2)CC1 ZINC000336553277 387375309 /nfs/dbraw/zinc/37/53/09/387375309.db2.gz KWDCUSGSCDNTEP-JTQLQIEISA-N 0 3 249.276 2.607 20 0 BFADHN CC1(C)CCC[C@@H](N[C@@H]2CNCCC2(F)F)C1 ZINC000423474900 387321048 /nfs/dbraw/zinc/32/10/48/387321048.db2.gz HVFGZAHMDILDCO-GHMZBOCLSA-N 0 3 246.345 2.542 20 0 BFADHN CCC1CCN(Cc2c(C)n[nH]c2C)CC1 ZINC000336511375 387335833 /nfs/dbraw/zinc/33/58/33/387335833.db2.gz IGDKAUYUWCJAQZ-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN CCCCCCC(=O)N1CCCN(CC)CC1 ZINC000372943143 387335999 /nfs/dbraw/zinc/33/59/99/387335999.db2.gz ANGLOXUBLZYVAT-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN CCc1cccc(F)c1CNC1CCOCC1 ZINC000354156775 387338210 /nfs/dbraw/zinc/33/82/10/387338210.db2.gz CDGPYOBACDXONV-UHFFFAOYSA-N 0 3 237.318 2.657 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1cccc2cccnc21 ZINC000515121529 387338291 /nfs/dbraw/zinc/33/82/91/387338291.db2.gz LJNSWFXNXFIZAZ-KGLIPLIRSA-N 0 3 242.322 2.502 20 0 BFADHN Cc1cc(CN2CC([C@H]3CCOC3)C2)cs1 ZINC000648563008 387341793 /nfs/dbraw/zinc/34/17/93/387341793.db2.gz FVBQGAAMGHKFTQ-LBPRGKRZSA-N 0 3 237.368 2.525 20 0 BFADHN CCCCN[C@@H](C)c1cc(OC)ncc1F ZINC000286819071 387342245 /nfs/dbraw/zinc/34/22/45/387342245.db2.gz CCZONOUHOWNMQQ-VIFPVBQESA-N 0 3 226.295 2.680 20 0 BFADHN COC[C@H](C)N[C@@H]1CCc2c1c(F)ccc2F ZINC000354204734 387344743 /nfs/dbraw/zinc/34/47/43/387344743.db2.gz DUCNIUYZVWDPJL-QPUJVOFHSA-N 0 3 241.281 2.577 20 0 BFADHN C[C@H](F)CCNCc1cnc(C(C)(C)C)nc1 ZINC000354200181 387345856 /nfs/dbraw/zinc/34/58/56/387345856.db2.gz LNNFOVXRFKDFNY-JTQLQIEISA-N 0 3 239.338 2.612 20 0 BFADHN C[C@H](CN(C1CC1)C1COC1)C(C)(C)C ZINC000648606629 387383722 /nfs/dbraw/zinc/38/37/22/387383722.db2.gz IZJOLJKCTIBSBN-SNVBAGLBSA-N 0 3 211.349 2.532 20 0 BFADHN Cn1c2ccccc2nc1CN[C@@H]1CCC1(C)C ZINC000290090478 387349907 /nfs/dbraw/zinc/34/99/07/387349907.db2.gz JMCCDFPNVKWPTA-CYBMUJFWSA-N 0 3 243.354 2.852 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)[C@@H]2C)on1 ZINC000266023055 387350083 /nfs/dbraw/zinc/35/00/83/387350083.db2.gz XJQCKBSLDRCGGK-KOLCDFICSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cnc(CNC[C@@H](C)c2ccncc2)s1 ZINC000648465979 387351616 /nfs/dbraw/zinc/35/16/16/387351616.db2.gz ATGDSIAITIEEMK-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN CC(C)N(Cc1ccccn1)C1CCC1 ZINC000121895316 387426861 /nfs/dbraw/zinc/42/68/61/387426861.db2.gz KKVOKQRSRKKVJU-UHFFFAOYSA-N 0 3 204.317 2.845 20 0 BFADHN CC[C@H](N)C(=O)NC1CCC(CC)(CC)CC1 ZINC000423790693 387427900 /nfs/dbraw/zinc/42/79/00/387427900.db2.gz GSFKOSDSYPKVFN-LBPRGKRZSA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@H]1CN(C[C@H](O)CCC(C)C)CCS1 ZINC000361276243 387429305 /nfs/dbraw/zinc/42/93/05/387429305.db2.gz DKSDOIZLCAHHKZ-OLZOCXBDSA-N 0 3 245.432 2.611 20 0 BFADHN CC[C@@H](C)[C@](C)(O)CNCc1ccccc1F ZINC000304070310 387432262 /nfs/dbraw/zinc/43/22/62/387432262.db2.gz VFXKPXQAPHJKBT-BXUZGUMPSA-N 0 3 239.334 2.712 20 0 BFADHN CC[C@@H](C)N(C)Cc1cccc(O)c1OC ZINC000352692041 387438022 /nfs/dbraw/zinc/43/80/22/387438022.db2.gz NUDILTAUKCVUGD-SNVBAGLBSA-N 0 3 223.316 2.631 20 0 BFADHN C[C@H](CCCO)CNCc1cscc1Cl ZINC000648674330 387438749 /nfs/dbraw/zinc/43/87/49/387438749.db2.gz MNWIHJMCIRAKDS-SECBINFHSA-N 0 3 247.791 2.900 20 0 BFADHN CC[C@H]1CN(CCCCOC(C)(C)C)CCO1 ZINC000354870693 387441019 /nfs/dbraw/zinc/44/10/19/387441019.db2.gz KFADJKHZKXOGPQ-ZDUSSCGKSA-N 0 3 243.391 2.693 20 0 BFADHN CCCC[C@H](N)C(=O)N1CCCCC(C)(C)C1 ZINC000423880211 387443994 /nfs/dbraw/zinc/44/39/94/387443994.db2.gz MDPIUERODOGYBW-LBPRGKRZSA-N 0 3 240.391 2.543 20 0 BFADHN Cc1c(CN2CC3(CCC3)[C@@H]2C(C)C)cnn1C ZINC000336600420 387444310 /nfs/dbraw/zinc/44/43/10/387444310.db2.gz UNGLPJUSTZZKCL-AWEZNQCLSA-N 0 3 247.386 2.739 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@](C)(O)C2CC2)o1 ZINC000361354813 387445342 /nfs/dbraw/zinc/44/53/42/387445342.db2.gz XUYDXGGTWPOPHF-YGRLFVJLSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1cc(CN2CCOC[C@H](C)C2)c(C)s1 ZINC000374365985 387445567 /nfs/dbraw/zinc/44/55/67/387445567.db2.gz MFXWSVRHXRDGII-SNVBAGLBSA-N 0 3 239.384 2.833 20 0 BFADHN CO[C@H](C)CN(Cc1cccc(C)n1)C(C)C ZINC000354894710 387445651 /nfs/dbraw/zinc/44/56/51/387445651.db2.gz WLMPTDQMXIOFLI-CYBMUJFWSA-N 0 3 236.359 2.635 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1cccc(OC(C)C)c1 ZINC000572447749 387453562 /nfs/dbraw/zinc/45/35/62/387453562.db2.gz CNPIWTNUWPJFSV-LSDHHAIUSA-N 0 3 249.354 2.741 20 0 BFADHN CC(=O)Nc1cccc(CN2[C@@H](C)C[C@@H]2C)c1 ZINC000336565546 387391337 /nfs/dbraw/zinc/39/13/37/387391337.db2.gz STSRFAYBGQLTMR-QWRGUYRKSA-N 0 3 232.327 2.628 20 0 BFADHN CCc1ccc(CN(C(C)C)[C@@H]2CCOC2)cn1 ZINC000361043317 387394745 /nfs/dbraw/zinc/39/47/45/387394745.db2.gz GDKBUDHRQZCKIM-OAHLLOKOSA-N 0 3 248.370 2.643 20 0 BFADHN CC[C@H](N[C@@H](C)c1nccs1)C1CC1 ZINC000083056844 387396938 /nfs/dbraw/zinc/39/69/38/387396938.db2.gz KPBPJOODDRAIJO-WPRPVWTQSA-N 0 3 210.346 2.982 20 0 BFADHN CN1CCC(Nc2cccc3c2OCCC3)CC1 ZINC000336575883 387399030 /nfs/dbraw/zinc/39/90/30/387399030.db2.gz KQNGJBCBGFWZLM-UHFFFAOYSA-N 0 3 246.354 2.518 20 0 BFADHN Cc1cc(CN(C)[C@H]2CC[C@H](C)C2)on1 ZINC000336579591 387401353 /nfs/dbraw/zinc/40/13/53/387401353.db2.gz KANZVKYVMLJYAH-ONGXEEELSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(CN)cc(NC(=O)CCC2CC2)c1 ZINC000400797366 387402945 /nfs/dbraw/zinc/40/29/45/387402945.db2.gz BLRJAEJKNBCVAH-UHFFFAOYSA-N 0 3 232.327 2.582 20 0 BFADHN CCC[C@H](C)[C@@H](CC)N[C@H](C)c1nncn1C ZINC000361113791 387404351 /nfs/dbraw/zinc/40/43/51/387404351.db2.gz OAFWYNCPLARSII-QJPTWQEYSA-N 0 3 238.379 2.681 20 0 BFADHN CCCn1cc(CN2CCC[C@H]2CC)cn1 ZINC000352649655 387406175 /nfs/dbraw/zinc/40/61/75/387406175.db2.gz MPBIAQWHLRTOFT-CYBMUJFWSA-N 0 3 221.348 2.668 20 0 BFADHN CCC[C@H](N)C(=O)N(CC)[C@H]1CCCC[C@@H]1C ZINC000423745530 387406805 /nfs/dbraw/zinc/40/68/05/387406805.db2.gz PTDHBTSLXCLGMN-AVGNSLFASA-N 0 3 240.391 2.541 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)C[C@H]2C)s1 ZINC000361165362 387408729 /nfs/dbraw/zinc/40/87/29/387408729.db2.gz JKINSTXEOXEKNM-GHMZBOCLSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@H](C)[C@@H]2C)cc1C ZINC000361164688 387409502 /nfs/dbraw/zinc/40/95/02/387409502.db2.gz GVPRPDGMQQLDFS-CABCVRRESA-N 0 3 246.398 2.828 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1ccnn1CC ZINC000354646467 387410037 /nfs/dbraw/zinc/41/00/37/387410037.db2.gz WQLOJSTZMASAQJ-VIFPVBQESA-N 0 3 249.280 2.724 20 0 BFADHN CCOc1cccc(CN2[C@@H](C)CC[C@@H]2C)n1 ZINC000354990931 387461222 /nfs/dbraw/zinc/46/12/22/387461222.db2.gz WEZAGLHPQYORSF-RYUDHWBXSA-N 0 3 234.343 2.853 20 0 BFADHN CCCCC[C@@H]1CCCN1Cc1cncn1C ZINC000355216257 387497629 /nfs/dbraw/zinc/49/76/29/387497629.db2.gz URXRQXHXVXLVBK-CYBMUJFWSA-N 0 3 235.375 2.965 20 0 BFADHN C[C@@H](NC[C@@H]1CC[C@@H](C)C1)c1ccncn1 ZINC000395895472 387500968 /nfs/dbraw/zinc/50/09/68/387500968.db2.gz MFHQXNDPKSBLLP-IJLUTSLNSA-N 0 3 219.332 2.563 20 0 BFADHN COc1ccc(CN2[C@H](C)CCC[C@H]2C)cn1 ZINC000085793258 387500966 /nfs/dbraw/zinc/50/09/66/387500966.db2.gz ATUOIFHXXGEHAV-VXGBXAGGSA-N 0 3 234.343 2.853 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CC[C@@H]2CCC[C@H]2C1 ZINC000352809686 387501609 /nfs/dbraw/zinc/50/16/09/387501609.db2.gz IJCRRAZPVJTRGW-RWMBFGLXSA-N 0 3 233.359 2.777 20 0 BFADHN COc1cccc([C@@H](C)N[C@H]2COC(C)(C)C2)c1 ZINC000396846980 387501740 /nfs/dbraw/zinc/50/17/40/387501740.db2.gz CNHNZQXZTQSNCB-DGCLKSJQSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2COC(C)(C)C2)cc1 ZINC000396847423 387503063 /nfs/dbraw/zinc/50/30/63/387503063.db2.gz CYBIVOQDELAMJI-WCQYABFASA-N 0 3 249.354 2.913 20 0 BFADHN Cc1nnsc1CN(CC(C)C)CC(C)C ZINC000516384357 387503393 /nfs/dbraw/zinc/50/33/93/387503393.db2.gz GOIMKVJKLPFPLJ-UHFFFAOYSA-N 0 3 241.404 2.961 20 0 BFADHN Cc1ccc(CN[C@@H]2COC(C)(C)C2)cc1 ZINC000396857231 387503966 /nfs/dbraw/zinc/50/39/66/387503966.db2.gz SYCAJNXAZAMMEF-ZDUSSCGKSA-N 0 3 219.328 2.652 20 0 BFADHN FC1(CNC[C@@H]2CCC3(CCCCC3)O2)CC1 ZINC000527243574 387543107 /nfs/dbraw/zinc/54/31/07/387543107.db2.gz OBRGNDUPJPYSEM-LBPRGKRZSA-N 0 3 241.350 2.960 20 0 BFADHN CC(C)CCN1CCC[C@@H]1c1ncc[nH]1 ZINC000375003396 387506393 /nfs/dbraw/zinc/50/63/93/387506393.db2.gz GMKKXZQOJHPUSQ-LLVKDONJSA-N 0 3 207.321 2.593 20 0 BFADHN Cc1cc(C)c2c(c1)CN(CCC[C@H](C)O)CC2 ZINC000355265976 387508250 /nfs/dbraw/zinc/50/82/50/387508250.db2.gz XSRTUMKRJOWERB-AWEZNQCLSA-N 0 3 247.382 2.823 20 0 BFADHN CCOc1cccc(CN[C@H]2COC(C)(C)C2)c1 ZINC000396936924 387521228 /nfs/dbraw/zinc/52/12/28/387521228.db2.gz ROAABNMGQQHNKV-CYBMUJFWSA-N 0 3 249.354 2.742 20 0 BFADHN CCn1nnc(C)c1CN(C1CC1)C1CCCC1 ZINC000516537766 387521406 /nfs/dbraw/zinc/52/14/06/387521406.db2.gz HNDRMRUACGUQGD-UHFFFAOYSA-N 0 3 248.374 2.513 20 0 BFADHN Fc1cccc(CN2CCC[C@@]23CCOC3)c1 ZINC000375278825 387522088 /nfs/dbraw/zinc/52/20/88/387522088.db2.gz LZMWVJCZWOAAIR-AWEZNQCLSA-N 0 3 235.302 2.581 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1cnc(C)cc1C ZINC000396968429 387522635 /nfs/dbraw/zinc/52/26/35/387522635.db2.gz DOMCROCKCYVZOH-GFCCVEGCSA-N 0 3 236.359 2.602 20 0 BFADHN Cc1ccsc1CN1CC[C@](C)(F)C1 ZINC000355354598 387522766 /nfs/dbraw/zinc/52/27/66/387522766.db2.gz LRTCJOGRLMGIFR-NSHDSACASA-N 0 3 213.321 2.990 20 0 BFADHN C[C@@H](NC[C@@H](O)C(C)(C)C)c1ccsc1 ZINC000167645685 387524578 /nfs/dbraw/zinc/52/45/78/387524578.db2.gz XYZFJTHJVFMEON-MWLCHTKSSA-N 0 3 227.373 2.806 20 0 BFADHN CCSCCN1CCC[C@@H](OCC2CC2)C1 ZINC000375309018 387524981 /nfs/dbraw/zinc/52/49/81/387524981.db2.gz MIZJNFMPQGBECD-CYBMUJFWSA-N 0 3 243.416 2.631 20 0 BFADHN C[C@H](CN[C@@H](C)c1cnccn1)CC(F)(F)F ZINC000396980343 387525960 /nfs/dbraw/zinc/52/59/60/387525960.db2.gz IXKOKHVZNLLXFR-IUCAKERBSA-N 0 3 247.264 2.716 20 0 BFADHN CCSCC[C@H](C)N[C@H](C)c1ccncn1 ZINC000396054737 387528236 /nfs/dbraw/zinc/52/82/36/387528236.db2.gz VXEBBNCYVVILQX-WDEREUQCSA-N 0 3 239.388 2.659 20 0 BFADHN CC(C)C[C@H](C)N1CCO[C@H](C(C)C)C1 ZINC000445456111 387529950 /nfs/dbraw/zinc/52/99/50/387529950.db2.gz NAFMZQNYMYRLBW-STQMWFEESA-N 0 3 213.365 2.778 20 0 BFADHN C[C@@H](N[C@@H]1CCC(C)(C)C1)c1nccn1C ZINC000122467677 387532448 /nfs/dbraw/zinc/53/24/48/387532448.db2.gz JPHQLRJDCIYLRL-GHMZBOCLSA-N 0 3 221.348 2.649 20 0 BFADHN COC(C)(C)[C@H](C)NCc1ccc(F)cc1F ZINC000310063775 387533569 /nfs/dbraw/zinc/53/35/69/387533569.db2.gz FZKAZQJKJHOGHY-VIFPVBQESA-N 0 3 243.297 2.868 20 0 BFADHN COCC(C)(C)CN(C)[C@@H](C)c1ccco1 ZINC000426035212 387535886 /nfs/dbraw/zinc/53/58/86/387535886.db2.gz LEJLJHGPCRJVCO-NSHDSACASA-N 0 3 225.332 2.945 20 0 BFADHN COCC(C)(C)CN(C)[C@H](C)c1ccco1 ZINC000426035211 387536256 /nfs/dbraw/zinc/53/62/56/387536256.db2.gz LEJLJHGPCRJVCO-LLVKDONJSA-N 0 3 225.332 2.945 20 0 BFADHN CN(CCc1cccs1)CC1(F)CC1 ZINC000527220138 387536522 /nfs/dbraw/zinc/53/65/22/387536522.db2.gz BLMPPDXGJADHKR-UHFFFAOYSA-N 0 3 213.321 2.725 20 0 BFADHN CCOCc1ccc(CNCC2(F)CC2)cc1 ZINC000527222829 387537179 /nfs/dbraw/zinc/53/71/79/387537179.db2.gz CFEPAZLTEPMTPQ-UHFFFAOYSA-N 0 3 237.318 2.815 20 0 BFADHN C[C@@H]1Cc2ccccc2N1CCNCC1(F)CC1 ZINC000527229921 387541182 /nfs/dbraw/zinc/54/11/82/387541182.db2.gz UUPVBFCSSCJVHL-GFCCVEGCSA-N 0 3 248.345 2.529 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cnccc1OC ZINC000287590709 387462917 /nfs/dbraw/zinc/46/29/17/387462917.db2.gz CTVMLUTUKJKULF-MNOVXSKESA-N 0 3 222.332 2.614 20 0 BFADHN COc1c(O)cccc1CN(C)[C@@H](C)C(C)C ZINC000352733322 387464670 /nfs/dbraw/zinc/46/46/70/387464670.db2.gz ZODJXKVRKPULID-NSHDSACASA-N 0 3 237.343 2.877 20 0 BFADHN CC[C@@H](C)CN[C@H](C)c1cc(OC)ncc1F ZINC000421895637 387470079 /nfs/dbraw/zinc/47/00/79/387470079.db2.gz YTXSCSCZWDTJQK-NXEZZACHSA-N 0 3 240.322 2.926 20 0 BFADHN C[C@H]1Cc2ccccc2N1CCNCC1(F)CC1 ZINC000527229922 387542192 /nfs/dbraw/zinc/54/21/92/387542192.db2.gz UUPVBFCSSCJVHL-LBPRGKRZSA-N 0 3 248.345 2.529 20 0 BFADHN C[C@H](N[C@@H]1/C=C/CCCCC1)c1cn[nH]c1 ZINC000336629007 387480120 /nfs/dbraw/zinc/48/01/20/387480120.db2.gz CEVPDGDKHXSPLB-VAFIWLDWSA-N 0 3 219.332 2.949 20 0 BFADHN CO[C@H]1CCCN(Cc2ccccc2F)CC1 ZINC000374682092 387480911 /nfs/dbraw/zinc/48/09/11/387480911.db2.gz XGGLXJAITZSHFH-ZDUSSCGKSA-N 0 3 237.318 2.827 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1cc(C)ncn1 ZINC000355125016 387482591 /nfs/dbraw/zinc/48/25/91/387482591.db2.gz AUDHZQNEMRIATN-JSGCOSHPSA-N 0 3 233.359 2.843 20 0 BFADHN COC1(CN2CC[C@@](C)(F)C2)CCCCC1 ZINC000361548419 387483869 /nfs/dbraw/zinc/48/38/69/387483869.db2.gz JNASCDXUQRUEHZ-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN CCc1ccc(CN2[C@H](C)C[C@@H]2C)nc1 ZINC000336632344 387483929 /nfs/dbraw/zinc/48/39/29/387483929.db2.gz ZAGLLWQCHRDEBS-PHIMTYICSA-N 0 3 204.317 2.627 20 0 BFADHN CN(CCOCC1CCC1)Cc1cccs1 ZINC000355140621 387485840 /nfs/dbraw/zinc/48/58/40/387485840.db2.gz WOLDTYUJNOZDNK-UHFFFAOYSA-N 0 3 239.384 2.997 20 0 BFADHN COCc1ccc(CNCC2(C(F)F)CC2)o1 ZINC000355146279 387488202 /nfs/dbraw/zinc/48/82/02/387488202.db2.gz BVHAISAZSIJKEV-UHFFFAOYSA-N 0 3 245.269 2.561 20 0 BFADHN CCc1nn(C)c(CC)c1CN(C)[C@@H]1CC1(C)C ZINC000426541771 387580059 /nfs/dbraw/zinc/58/00/59/387580059.db2.gz WHCJAKSPGKCMRI-CQSZACIVSA-N 0 3 249.402 2.775 20 0 BFADHN Cc1cccc2ncc(CN(C)[C@@H]3CC3(C)C)n21 ZINC000426549347 387580705 /nfs/dbraw/zinc/58/07/05/387580705.db2.gz QJYVMVAIQGRIRB-CYBMUJFWSA-N 0 3 243.354 2.873 20 0 BFADHN Cc1ccc(CN2CCCC[C@H]2C[C@@H](C)O)o1 ZINC000336695911 387581149 /nfs/dbraw/zinc/58/11/49/387581149.db2.gz IXIJYCYJFNSXPU-YPMHNXCESA-N 0 3 237.343 2.713 20 0 BFADHN Cc1nc(C)c(CNCc2ccc(O)cc2)s1 ZINC000123550564 387586741 /nfs/dbraw/zinc/58/67/41/387586741.db2.gz UVZNXDKVXBRFOB-UHFFFAOYSA-N 0 3 248.351 2.755 20 0 BFADHN Cc1nn(C)cc1CNC/C=C/c1ccccc1 ZINC000123644160 387588261 /nfs/dbraw/zinc/58/82/61/387588261.db2.gz CMIAGNZPLIVWAC-RMKNXTFCSA-N 0 3 241.338 2.532 20 0 BFADHN FC1(CN(C[C@H]2CCCO2)C2CCCC2)CC1 ZINC000527511292 387589386 /nfs/dbraw/zinc/58/93/86/387589386.db2.gz OMFBSOZYBDFONG-CYBMUJFWSA-N 0 3 241.350 2.912 20 0 BFADHN FC1(CNC[C@H]2CCOc3ccccc32)CC1 ZINC000527518243 387594245 /nfs/dbraw/zinc/59/42/45/387594245.db2.gz ZOWHQBBHCWBJKR-LLVKDONJSA-N 0 3 235.302 2.644 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H](O)C(C)C)cc1F ZINC000123857587 387596872 /nfs/dbraw/zinc/59/68/72/387596872.db2.gz XPVFZVUTFDNYMN-FZMZJTMJSA-N 0 3 239.334 2.802 20 0 BFADHN COc1ccc(CN2[C@H](C)C[C@@H]2C)cc1OC ZINC000527529577 387598670 /nfs/dbraw/zinc/59/86/70/387598670.db2.gz DIQQBQUTDGMVFW-PHIMTYICSA-N 0 3 235.327 2.687 20 0 BFADHN COc1cc(C)c(CN2[C@H](C)C[C@@H]2C)c(C)n1 ZINC000527529990 387600635 /nfs/dbraw/zinc/60/06/35/387600635.db2.gz GUEQRACYULANAC-PHIMTYICSA-N 0 3 234.343 2.690 20 0 BFADHN c1ccc(CCCN2CCO[C@@H](C3CC3)C2)cc1 ZINC000362556947 387628959 /nfs/dbraw/zinc/62/89/59/387628959.db2.gz ALLFAXOHEZFLEA-MRXNPFEDSA-N 0 3 245.366 2.730 20 0 BFADHN CC[C@H](C)CNC(=O)Nc1ccccc1CNC ZINC000422565822 387604575 /nfs/dbraw/zinc/60/45/75/387604575.db2.gz NVUWNHQRNPDWMU-NSHDSACASA-N 0 3 249.358 2.574 20 0 BFADHN C[C@@]1(CN[C@@H]2CCCc3occc32)CCCO1 ZINC000124082109 387605136 /nfs/dbraw/zinc/60/51/36/387605136.db2.gz FGDNSJKIKHZQGJ-OCCSQVGLSA-N 0 3 235.327 2.816 20 0 BFADHN CNCc1cccc(NC(=O)[C@]23C[C@H]2CCC3)c1 ZINC000422621535 387609370 /nfs/dbraw/zinc/60/93/70/387609370.db2.gz YHHOQNSZVBRTDW-IUODEOHRSA-N 0 3 244.338 2.535 20 0 BFADHN COc1cc(CN2CCC[C@H]2C)cc(OC)c1 ZINC000124333662 387612831 /nfs/dbraw/zinc/61/28/31/387612831.db2.gz AMPAISVTBUJQLR-LLVKDONJSA-N 0 3 235.327 2.688 20 0 BFADHN CC[C@H](NCC1(O)CCC1)c1ccccc1OC ZINC000124456997 387617197 /nfs/dbraw/zinc/61/71/97/387617197.db2.gz CHMQDTVRAZVSGM-ZDUSSCGKSA-N 0 3 249.354 2.651 20 0 BFADHN CCOCCN1CCC(C)=C(c2ccco2)C1 ZINC000367223830 387620337 /nfs/dbraw/zinc/62/03/37/387620337.db2.gz JBELKGCMTOBWBM-UHFFFAOYSA-N 0 3 235.327 2.795 20 0 BFADHN COC[C@@H](C)NCc1ccc(C(F)F)cc1 ZINC000124586237 387622993 /nfs/dbraw/zinc/62/29/93/387622993.db2.gz PCTMTNDVNBXTAW-SECBINFHSA-N 0 3 229.270 2.749 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2ccnc(C)c2)C1 ZINC000527533305 387625127 /nfs/dbraw/zinc/62/51/27/387625127.db2.gz IHXLQZIAYUXKFC-OAHLLOKOSA-N 0 3 248.370 2.639 20 0 BFADHN CCC[C@H](C)CN(C)[C@H](C)C(=O)NC(C)(C)C ZINC000362527987 387625208 /nfs/dbraw/zinc/62/52/08/387625208.db2.gz IAHFSWSQVZPOIY-NWDGAFQWSA-N 0 3 242.407 2.658 20 0 BFADHN COc1ccccc1CN1[C@H](C)C[C@@H]1C ZINC000527239548 387548459 /nfs/dbraw/zinc/54/84/59/387548459.db2.gz ICJPZZYKGLKIGJ-PHIMTYICSA-N 0 3 205.301 2.678 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CCOC[C@H]2C)c1 ZINC000278039582 387550547 /nfs/dbraw/zinc/55/05/47/387550547.db2.gz XJJRLKGLWKHHCA-BXUZGUMPSA-N 0 3 237.318 2.649 20 0 BFADHN CCC[C@@H](NCC(=O)NC(C)C)c1ccccc1 ZINC000035133756 387555255 /nfs/dbraw/zinc/55/52/55/387555255.db2.gz UPTIQKTULKZDDL-CQSZACIVSA-N 0 3 248.370 2.642 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CCC23CCC3)o1 ZINC000426329752 387556425 /nfs/dbraw/zinc/55/64/25/387556425.db2.gz ISFZNMVZQNMQIX-LBPRGKRZSA-N 0 3 234.343 2.968 20 0 BFADHN Cc1cc(C(=O)CN2C[C@H](C)[C@H](C)[C@@H]2C)c(C)[nH]1 ZINC000399590502 387556966 /nfs/dbraw/zinc/55/69/66/387556966.db2.gz FJUJVMJIAKHORR-GAFUQQFSSA-N 0 3 248.370 2.791 20 0 BFADHN CC[C@@H](NC(=O)[C@@H](N)C(C)C)c1ccc(C)cc1 ZINC000037028268 387557679 /nfs/dbraw/zinc/55/76/79/387557679.db2.gz ICJJZMAWUXYTOL-KGLIPLIRSA-N 0 3 248.370 2.546 20 0 BFADHN FCCCCN1CC[C@@]2(C1)OCc1ccccc12 ZINC000375650846 387562565 /nfs/dbraw/zinc/56/25/65/387562565.db2.gz FFEDYRSUUROKLZ-HNNXBMFYSA-N 0 3 249.329 2.868 20 0 BFADHN CCN(CCOc1ccccc1C(C)=O)C1CC1 ZINC000035231118 387564537 /nfs/dbraw/zinc/56/45/37/387564537.db2.gz VLGCQKUYIVNHCS-UHFFFAOYSA-N 0 3 247.338 2.752 20 0 BFADHN Cc1ccc(CCNCc2ccsc2)nc1 ZINC000399699066 387565455 /nfs/dbraw/zinc/56/54/55/387565455.db2.gz AZOQLXMKDPBEJV-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN C[C@H](CC1CCCC1)N(C)[C@@H](C)C[S@](C)=O ZINC000362070836 387567238 /nfs/dbraw/zinc/56/72/38/387567238.db2.gz XBPOMWVUYKQNGB-WQGACYEGSA-N 0 3 245.432 2.654 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@@H](CC(C)C)C1 ZINC000356219510 387629786 /nfs/dbraw/zinc/62/97/86/387629786.db2.gz MZPIXKMXSRTJQL-LBPRGKRZSA-N 0 3 221.348 2.586 20 0 BFADHN CCN(CCOC)CCc1ccccc1Cl ZINC000355732313 387573149 /nfs/dbraw/zinc/57/31/49/387573149.db2.gz BGQYPLHGTWYAGP-UHFFFAOYSA-N 0 3 241.762 2.851 20 0 BFADHN Cc1cc(CN2CC[C@H](OCC(C)C)C2)ccn1 ZINC000527535701 387630543 /nfs/dbraw/zinc/63/05/43/387630543.db2.gz SENKYIVGWWZQPX-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN CO[C@@H](C)CN1CCc2c(C)cc(C)cc2C1 ZINC000362579949 387634864 /nfs/dbraw/zinc/63/48/64/387634864.db2.gz IXSWSRQAFCUMLE-ZDUSSCGKSA-N 0 3 233.355 2.696 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1CCc1cncs1 ZINC000528768256 387635274 /nfs/dbraw/zinc/63/52/74/387635274.db2.gz IQSJSYQXDNGOKV-SECBINFHSA-N 0 3 246.326 2.805 20 0 BFADHN Cn1ccc(CN[C@H]2CCc3c2cccc3O)c1 ZINC000125061341 387640446 /nfs/dbraw/zinc/64/04/46/387640446.db2.gz BCUBKAVFQWKWJP-AWEZNQCLSA-N 0 3 242.322 2.508 20 0 BFADHN CC[C@H](c1ccncc1)N(C)Cc1[nH]ncc1C ZINC000356296064 387641128 /nfs/dbraw/zinc/64/11/28/387641128.db2.gz IEPSCBHOJXCYBW-CQSZACIVSA-N 0 3 244.342 2.696 20 0 BFADHN CCCNCc1cc(OCC)c(OC)cc1F ZINC000527628982 387641637 /nfs/dbraw/zinc/64/16/37/387641637.db2.gz GCBQLFKEICAHKL-UHFFFAOYSA-N 0 3 241.306 2.733 20 0 BFADHN CC[C@H](C)[C@](C)(O)CN[C@H](C)c1cccnc1 ZINC000305767056 387650984 /nfs/dbraw/zinc/65/09/84/387650984.db2.gz SJYUBVWKBWHKMS-OUCADQQQSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000527644441 387651732 /nfs/dbraw/zinc/65/17/32/387651732.db2.gz GIAZCJFUOVGDOK-JCOFBHIZSA-N 0 3 234.343 2.816 20 0 BFADHN CCN(CC)[C@H](C)C(=O)N(C)C1CCCCC1 ZINC000123104112 387655312 /nfs/dbraw/zinc/65/53/12/387655312.db2.gz GNCGXDNQQGLWOM-GFCCVEGCSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@H](N[C@H]1CCCc2c[nH]nc21)[C@@H]1C[C@H]1C1CC1 ZINC000527673836 387655579 /nfs/dbraw/zinc/65/55/79/387655579.db2.gz KBHIVHFASNCERP-HBEIAFGSSA-N 0 3 245.370 2.811 20 0 BFADHN CC[C@@H]1CCCCCN1C(=O)CN(C)C(C)C ZINC000125425000 387655703 /nfs/dbraw/zinc/65/57/03/387655703.db2.gz WYZORIMLEQPHHM-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN CCC[C@@H](C(=O)OCC)N(CC)CC(C)C ZINC000149592629 387655800 /nfs/dbraw/zinc/65/58/00/387655800.db2.gz OMMCAAPKAVKZGN-LBPRGKRZSA-N 0 3 229.364 2.696 20 0 BFADHN C[C@H](N[C@@H]1CCCc2c[nH]nc21)[C@@H]1C[C@H]1C1CC1 ZINC000527674233 387656760 /nfs/dbraw/zinc/65/67/60/387656760.db2.gz KBHIVHFASNCERP-NZPIUUIZSA-N 0 3 245.370 2.811 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2cnn3ccccc23)C1 ZINC000430868577 387656916 /nfs/dbraw/zinc/65/69/16/387656916.db2.gz OCDVZXVVGBERDI-GFCCVEGCSA-N 0 3 241.338 2.732 20 0 BFADHN Fc1cc(F)cc(CNCCc2ccncc2)c1 ZINC000125663486 387662493 /nfs/dbraw/zinc/66/24/93/387662493.db2.gz WFYODRDTJVSYBY-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN Cc1cc(CN[C@@H]2CC23CCCC3)ccn1 ZINC000527701094 387666162 /nfs/dbraw/zinc/66/61/62/387666162.db2.gz QSBHDIXMWVVWGM-CYBMUJFWSA-N 0 3 216.328 2.812 20 0 BFADHN C[C@H]1CCC(C)(C)N1CCOCC(F)(F)F ZINC000452004206 387695860 /nfs/dbraw/zinc/69/58/60/387695860.db2.gz HKVNPXPMWDEWRX-VIFPVBQESA-N 0 3 239.281 2.828 20 0 BFADHN CC(C)[C@](C)(O)CNCc1ccccc1Cl ZINC000123271811 387671675 /nfs/dbraw/zinc/67/16/75/387671675.db2.gz KUDVJCCPMDWYOZ-CYBMUJFWSA-N 0 3 241.762 2.837 20 0 BFADHN COc1ncccc1CN(C)[C@H](C)C(C)C ZINC000362921713 387671716 /nfs/dbraw/zinc/67/17/16/387671716.db2.gz IIHUXGQCHOQPJO-LLVKDONJSA-N 0 3 222.332 2.567 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC[C@H]2F)ccn1 ZINC000527720602 387674474 /nfs/dbraw/zinc/67/44/74/387674474.db2.gz NTOJMESQOSZKJC-CHWSQXEVSA-N 0 3 222.307 2.760 20 0 BFADHN Fc1ccc2cc(CNC[C@H]3CCCO3)[nH]c2c1 ZINC000362926954 387675305 /nfs/dbraw/zinc/67/53/05/387675305.db2.gz DZPCAWILPNYUKE-CYBMUJFWSA-N 0 3 248.301 2.576 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccn(C)n1)[C@@H]1C[C@H]1C1CC1 ZINC000527721366 387675432 /nfs/dbraw/zinc/67/54/32/387675432.db2.gz RPQBEYBPYDNVBK-URBCHYCLSA-N 0 3 233.359 2.505 20 0 BFADHN Cn1cnc(CNCC[C@H]2CCCC2(C)C)c1 ZINC000527726689 387676074 /nfs/dbraw/zinc/67/60/74/387676074.db2.gz CVALMDFCOUOLGV-GFCCVEGCSA-N 0 3 235.375 2.726 20 0 BFADHN COc1ccc(CN2CCC3(CCCC3)C2)nc1 ZINC000376859152 387676839 /nfs/dbraw/zinc/67/68/39/387676839.db2.gz XLFXDZYXMNMHGL-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN COCc1ccc(CN[C@H]2CCCC23CC3)o1 ZINC000631194376 387682715 /nfs/dbraw/zinc/68/27/15/387682715.db2.gz ZABSVNLQEDVDLA-ZDUSSCGKSA-N 0 3 235.327 2.848 20 0 BFADHN c1nc(CNCC(C2CCC2)C2CCC2)co1 ZINC000527736670 387684108 /nfs/dbraw/zinc/68/41/08/387684108.db2.gz PEGMDPFIPMUJDX-UHFFFAOYSA-N 0 3 234.343 2.981 20 0 BFADHN CC(C)c1ccc2c(c1)[C@@H](NCCO)CCCO2 ZINC000126475409 387687076 /nfs/dbraw/zinc/68/70/76/387687076.db2.gz OWWXJPKNWHGADF-AWEZNQCLSA-N 0 3 249.354 2.606 20 0 BFADHN COCCN1CCC[C@H]1c1ccc(F)cc1C ZINC000451972014 387689157 /nfs/dbraw/zinc/68/91/57/387689157.db2.gz NVYYHMPFWBHDKR-AWEZNQCLSA-N 0 3 237.318 2.917 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1cccc(F)c1 ZINC000044689592 387689146 /nfs/dbraw/zinc/68/91/46/387689146.db2.gz GYFNKLJUJIBDLK-MNOVXSKESA-N 0 3 225.307 2.901 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1ccccc1OC ZINC000044688650 387689272 /nfs/dbraw/zinc/68/92/72/387689272.db2.gz KVBUEIFWTGYCQM-VXGBXAGGSA-N 0 3 237.343 2.771 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1ccc(OC)cc1 ZINC000044688840 387689987 /nfs/dbraw/zinc/68/99/87/387689987.db2.gz PIKKGBBHSLYGIO-VXGBXAGGSA-N 0 3 237.343 2.771 20 0 BFADHN Cc1ccc(CN2CCOCC[C@@H]2C)c(C)c1 ZINC000367700111 387743931 /nfs/dbraw/zinc/74/39/31/387743931.db2.gz BAWFPJRFFQZSDI-AWEZNQCLSA-N 0 3 233.355 2.914 20 0 BFADHN CC[C@@H](F)CN(C)CCc1cccs1 ZINC000440780449 387697750 /nfs/dbraw/zinc/69/77/50/387697750.db2.gz QIKBVDNDDWNUMI-SNVBAGLBSA-N 0 3 215.337 2.971 20 0 BFADHN Cn1ccc(CNc2ccnc3ccccc32)n1 ZINC000212192709 387706654 /nfs/dbraw/zinc/70/66/54/387706654.db2.gz SSYGWXCODRMKOT-UHFFFAOYSA-N 0 3 238.294 2.580 20 0 BFADHN CCCn1cc(CN2CCCC2(C)C)cn1 ZINC000127269998 387708732 /nfs/dbraw/zinc/70/87/32/387708732.db2.gz HTLBYOSISXNZAM-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CCc1ccc(CN2CCCC[C@H]2COC)nc1 ZINC000452043091 387710024 /nfs/dbraw/zinc/71/00/24/387710024.db2.gz UWGKPBHDBQJDTJ-HNNXBMFYSA-N 0 3 248.370 2.645 20 0 BFADHN CCc1ccc(CN2CCCC[C@@H]2COC)nc1 ZINC000452043095 387710471 /nfs/dbraw/zinc/71/04/71/387710471.db2.gz UWGKPBHDBQJDTJ-OAHLLOKOSA-N 0 3 248.370 2.645 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1cccc(C)c1 ZINC000527783390 387711470 /nfs/dbraw/zinc/71/14/70/387711470.db2.gz LJIUVWTYHQBACV-KGLIPLIRSA-N 0 3 219.328 2.652 20 0 BFADHN Cc1ncc(CNCC[C@H]2CC=CCC2)cn1 ZINC000527785577 387711752 /nfs/dbraw/zinc/71/17/52/387711752.db2.gz AOVGYIMXLFQYEL-ZDUSSCGKSA-N 0 3 231.343 2.621 20 0 BFADHN Clc1ccc(CNCC23CC(C2)CO3)cc1 ZINC000527789738 387715077 /nfs/dbraw/zinc/71/50/77/387715077.db2.gz NSOJXJQBQUZRQR-UHFFFAOYSA-N 0 3 237.730 2.609 20 0 BFADHN C[C@H](CC1CC1)NCc1noc2c1CCCC2 ZINC000377885170 387755433 /nfs/dbraw/zinc/75/54/33/387755433.db2.gz RHRAVZLODABHOL-SNVBAGLBSA-N 0 3 234.343 2.832 20 0 BFADHN OC[C@H]1c2ccccc2CCN1CC1=CCCC1 ZINC000645834655 387719655 /nfs/dbraw/zinc/71/96/55/387719655.db2.gz WGYSTRCAOJGKOV-INIZCTEOSA-N 0 3 243.350 2.688 20 0 BFADHN CC[C@H](C)NC(=O)C[C@@H](c1ccccc1)N(C)C ZINC000431654034 387724708 /nfs/dbraw/zinc/72/47/08/387724708.db2.gz FVTACPXFENSUSF-JSGCOSHPSA-N 0 3 248.370 2.594 20 0 BFADHN Cc1cc(CN[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)cs1 ZINC000527804454 387728048 /nfs/dbraw/zinc/72/80/48/387728048.db2.gz PSZQYONNQRXRMQ-BLFANLJRSA-N 0 3 239.384 2.958 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@@H](C)COC ZINC000356862722 387733333 /nfs/dbraw/zinc/73/33/33/387733333.db2.gz BEZAAZGLEVKTTH-VXGBXAGGSA-N 0 3 236.359 2.577 20 0 BFADHN CC[C@H]1CN(CCC(C)(C)CC)CCO1 ZINC000441198182 387733635 /nfs/dbraw/zinc/73/36/35/387733635.db2.gz OIIUTFVALYFZKA-LBPRGKRZSA-N 0 3 213.365 2.924 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@H](C)COC ZINC000356862720 387733940 /nfs/dbraw/zinc/73/39/40/387733940.db2.gz BEZAAZGLEVKTTH-RYUDHWBXSA-N 0 3 236.359 2.577 20 0 BFADHN COC[C@@H]1CCCN(CCC(F)(F)F)CC1 ZINC000452096676 387736737 /nfs/dbraw/zinc/73/67/37/387736737.db2.gz YMCUIXSVDQQXCS-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN CC1(CN2CCC[C@@H]2c2cccc(F)c2)COC1 ZINC000441209542 387738836 /nfs/dbraw/zinc/73/88/36/387738836.db2.gz PRAINPNSYBZLDB-CQSZACIVSA-N 0 3 249.329 2.999 20 0 BFADHN COC[C@H](NC1CCC1)c1ccc(F)c(F)c1 ZINC000356889097 387739992 /nfs/dbraw/zinc/73/99/92/387739992.db2.gz ZWUUHUDMUBRLOC-ZDUSSCGKSA-N 0 3 241.281 2.794 20 0 BFADHN CCc1cnc(CN[C@@H](C)[C@@H]2CC23CC3)s1 ZINC000527868705 387740666 /nfs/dbraw/zinc/74/06/66/387740666.db2.gz SVNKEOWGQYEIJF-ONGXEEELSA-N 0 3 236.384 2.984 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCC(F)(F)F ZINC000129234245 387765440 /nfs/dbraw/zinc/76/54/40/387765440.db2.gz LDLPRDGIMHLKHB-SECBINFHSA-N 0 3 232.249 2.993 20 0 BFADHN Cc1cnc(CN2CCC[C@H]2CC(C)C)o1 ZINC000441547283 387769483 /nfs/dbraw/zinc/76/94/83/387769483.db2.gz PFZMUAZOUMQOAL-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN CC(C)N(C)C(=O)C(C)(C)[C@H](N)c1ccccc1 ZINC000422914559 387770700 /nfs/dbraw/zinc/77/07/00/387770700.db2.gz UTAVUKCSVXBPOP-CYBMUJFWSA-N 0 3 248.370 2.579 20 0 BFADHN FCCCN1CCC(c2ccccn2)CC1 ZINC000378265130 387774838 /nfs/dbraw/zinc/77/48/38/387774838.db2.gz ZHACQVTVKASDFX-UHFFFAOYSA-N 0 3 222.307 2.621 20 0 BFADHN CC(C)n1cc(CN2CC[C@@H](C3CC3)C2)cn1 ZINC000378351517 387778824 /nfs/dbraw/zinc/77/88/24/387778824.db2.gz WJDVTXDEQHTVDZ-CQSZACIVSA-N 0 3 233.359 2.696 20 0 BFADHN CC(C)n1cc(CN2CC[C@H](C3CC3)C2)cn1 ZINC000378351516 387779950 /nfs/dbraw/zinc/77/99/50/387779950.db2.gz WJDVTXDEQHTVDZ-AWEZNQCLSA-N 0 3 233.359 2.696 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC1CC=CC1 ZINC000527948712 387783720 /nfs/dbraw/zinc/78/37/20/387783720.db2.gz MNOXKIXFUFXBSY-LLVKDONJSA-N 0 3 219.332 2.520 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](C)c1ccccc1 ZINC000527973463 387812580 /nfs/dbraw/zinc/81/25/80/387812580.db2.gz GFTUWMHMCBPEAT-BNOWGMLFSA-N 0 3 219.328 2.905 20 0 BFADHN Cl/C=C/CN1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000378402203 387784266 /nfs/dbraw/zinc/78/42/66/387784266.db2.gz YSWIRPSJESWVKY-MHDDQSTRSA-N 0 3 243.778 2.878 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCCC2CC(O)C2)o1 ZINC000432349902 387784803 /nfs/dbraw/zinc/78/48/03/387784803.db2.gz YLQOWVWXVVRIPR-MLCFOIATSA-N 0 3 249.354 2.654 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](C)c1cnccc1C ZINC000527975269 387812626 /nfs/dbraw/zinc/81/26/26/387812626.db2.gz SZFDDUQIRQWUOQ-YUTCNCBUSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CC12CC2)c1cscn1 ZINC000527916065 387787010 /nfs/dbraw/zinc/78/70/10/387787010.db2.gz PZXFFXDHMWREFP-GUBZILKMSA-N 0 3 222.357 2.982 20 0 BFADHN Cc1cc(CN2CCOC[C@H](C)C2)ccc1F ZINC000359866209 387788020 /nfs/dbraw/zinc/78/80/20/387788020.db2.gz FXSSNVCIYMFFSK-LLVKDONJSA-N 0 3 237.318 2.602 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](C)c1cnc(C)s1 ZINC000527974429 387812760 /nfs/dbraw/zinc/81/27/60/387812760.db2.gz NPCJZTWAZORJOU-AXTRIDKLSA-N 0 3 240.372 2.670 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1CCC1=CCCCC1 ZINC000450494416 387788705 /nfs/dbraw/zinc/78/87/05/387788705.db2.gz LPOMTWOVEYNLJX-OLZOCXBDSA-N 0 3 223.360 2.986 20 0 BFADHN CN(Cc1cc2ccccc2[nH]1)CC(C)(C)CO ZINC000648691216 387789144 /nfs/dbraw/zinc/78/91/44/387789144.db2.gz KPTUKCLFHANJHA-UHFFFAOYSA-N 0 3 246.354 2.618 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1ccsc1 ZINC000157392757 387791747 /nfs/dbraw/zinc/79/17/47/387791747.db2.gz MTRSEUBNSYHFFT-ONGXEEELSA-N 0 3 213.346 2.560 20 0 BFADHN CC[C@H](NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccn(C)n1 ZINC000527928495 387793141 /nfs/dbraw/zinc/79/31/41/387793141.db2.gz QNEIJJDQZGXSPB-MQYQWHSLSA-N 0 3 247.386 2.897 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C23CCC(CC2)C3)n1 ZINC000378652161 387793368 /nfs/dbraw/zinc/79/33/68/387793368.db2.gz RXOQTFPABZZFHC-UHFFFAOYSA-N 0 3 232.327 2.518 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](C)c1cccc(O)c1 ZINC000527973020 387812994 /nfs/dbraw/zinc/81/29/94/387812994.db2.gz CNFMUKUIBSYAPA-HONMWMINSA-N 0 3 235.327 2.610 20 0 BFADHN CCc1cccc(CN2CCSCC2)c1 ZINC000188755564 387796301 /nfs/dbraw/zinc/79/63/01/387796301.db2.gz BJPCTLPADJPVHU-UHFFFAOYSA-N 0 3 221.369 2.798 20 0 BFADHN C1=C(CN2CCOCC23CCCC3)CCC1 ZINC000645842793 387799135 /nfs/dbraw/zinc/79/91/35/387799135.db2.gz QGYHFKTVKRNVLQ-UHFFFAOYSA-N 0 3 221.344 2.742 20 0 BFADHN C[C@@H](NCC[C@@H]1CC=CCC1)c1ccn(C)n1 ZINC000527981887 387799562 /nfs/dbraw/zinc/79/95/62/387799562.db2.gz SHHWLLQLEOKCMV-CHWSQXEVSA-N 0 3 233.359 2.817 20 0 BFADHN CCc1cc(N2CCC[C@](C)(F)C2)ccn1 ZINC000450549002 387800658 /nfs/dbraw/zinc/80/06/58/387800658.db2.gz AARCFOUOBMEFSD-ZDUSSCGKSA-N 0 3 222.307 2.972 20 0 BFADHN CO[C@H](C)CNCc1ccc(C)c(Cl)c1 ZINC000193738008 387802127 /nfs/dbraw/zinc/80/21/27/387802127.db2.gz XGCNYTGMZAVENE-SNVBAGLBSA-N 0 3 227.735 2.773 20 0 BFADHN COC1([C@H](C)NCc2cccnc2C)CCCC1 ZINC000527987268 387803463 /nfs/dbraw/zinc/80/34/63/387803463.db2.gz NPHDIUZXQDBJRS-ZDUSSCGKSA-N 0 3 248.370 2.827 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@H](C)O[C@H]1C)c1cncs1 ZINC000527997900 387806492 /nfs/dbraw/zinc/80/64/92/387806492.db2.gz AAMGIXMUSGYMPB-NDCWEZFPSA-N 0 3 240.372 2.606 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@H](C)O[C@H]1C)c1cccc(O)c1 ZINC000528002008 387807641 /nfs/dbraw/zinc/80/76/41/387807641.db2.gz KRCSCYYPIXNARZ-VSBZFQJLSA-N 0 3 249.354 2.855 20 0 BFADHN c1nc(CN2CCCC23CCCCC3)co1 ZINC000432731386 387809297 /nfs/dbraw/zinc/80/92/97/387809297.db2.gz XWPJMMTYNJGBCQ-UHFFFAOYSA-N 0 3 220.316 2.973 20 0 BFADHN COC[C@@H]1CCN1Cc1ccccc1C1CC1 ZINC000646235588 387810488 /nfs/dbraw/zinc/81/04/88/387810488.db2.gz YZQDQTPFZWVADP-AWEZNQCLSA-N 0 3 231.339 2.785 20 0 BFADHN CCCN(C)C(=O)C(C)(C)[C@@H](N)c1ccccc1 ZINC000422971328 387821894 /nfs/dbraw/zinc/82/18/94/387821894.db2.gz YFCHPRBXARRQOG-ZDUSSCGKSA-N 0 3 248.370 2.581 20 0 BFADHN Cc1cc(F)ccc1CN(C)C[C@H](O)C(C)C ZINC000432799340 387822401 /nfs/dbraw/zinc/82/24/01/387822401.db2.gz BKFJVKWPEXTFMG-AWEZNQCLSA-N 0 3 239.334 2.583 20 0 BFADHN CCN(Cc1cncc(C)c1)C1CCC1 ZINC000442694123 387823005 /nfs/dbraw/zinc/82/30/05/387823005.db2.gz RBYLEEVACKKUOB-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN C[C@@H](NCc1ncccn1)C1CCCCC1 ZINC000054459796 387826507 /nfs/dbraw/zinc/82/65/07/387826507.db2.gz AACOTBHOGYOJHB-LLVKDONJSA-N 0 3 219.332 2.535 20 0 BFADHN Cc1ccc2nccc(NCCC[C@@H](C)O)c2c1 ZINC000432830811 387830395 /nfs/dbraw/zinc/83/03/95/387830395.db2.gz SAIRGRXHMLAKSI-GFCCVEGCSA-N 0 3 244.338 2.538 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1n[nH]c(C(C)(C)C)n1 ZINC000432931990 387843423 /nfs/dbraw/zinc/84/34/23/387843423.db2.gz NQOVOFXDEZOCGB-JTQLQIEISA-N 0 3 238.379 2.579 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CC1(C)CCC1 ZINC000432943727 387845567 /nfs/dbraw/zinc/84/55/67/387845567.db2.gz NLSBDVQGBQTASX-NWDGAFQWSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@H](C)NCc1ccncc1OCC(F)F ZINC000442857522 387851023 /nfs/dbraw/zinc/85/10/23/387851023.db2.gz PSRAHTIVQRGAOG-VIFPVBQESA-N 0 3 244.285 2.614 20 0 BFADHN C[C@H]1CC[C@@H](N(C)Cc2cnn3ccccc23)C1 ZINC000433040772 387855402 /nfs/dbraw/zinc/85/54/02/387855402.db2.gz UFRUOBAHZUDGGO-GXTWGEPZSA-N 0 3 243.354 2.955 20 0 BFADHN CCC[C@H](NCCC[C@H](C)O)c1ccccn1 ZINC000433099982 387863715 /nfs/dbraw/zinc/86/37/15/387863715.db2.gz LERGKIAHDDIELL-STQMWFEESA-N 0 3 236.359 2.673 20 0 BFADHN Cc1nc(CN[C@H](C)c2cc(C)cc(C)c2)n[nH]1 ZINC000293134792 387863740 /nfs/dbraw/zinc/86/37/40/387863740.db2.gz LNAHEYMLLGZTPC-LLVKDONJSA-N 0 3 244.342 2.581 20 0 BFADHN Cc1ccn2cc(CNC3CC(C)(C)C3)nc2c1 ZINC000433124889 387867866 /nfs/dbraw/zinc/86/78/66/387867866.db2.gz GRPAFVRZQVMFBQ-UHFFFAOYSA-N 0 3 243.354 2.921 20 0 BFADHN CC(C)=CCCN[C@@H](C)c1cnccc1N ZINC000423069822 387872000 /nfs/dbraw/zinc/87/20/00/387872000.db2.gz MHRYZTOOUHAPTJ-NSHDSACASA-N 0 3 219.332 2.501 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccsc1 ZINC000527974042 387814583 /nfs/dbraw/zinc/81/45/83/387814583.db2.gz KPPVBBRDZORHNS-WCQGTBRESA-N 0 3 225.357 2.966 20 0 BFADHN C[C@H](NCC[C@@H]1CC=CCC1)c1ccc(=O)[nH]n1 ZINC000527976783 387815840 /nfs/dbraw/zinc/81/58/40/387815840.db2.gz GOTHPWIXPYIBLG-NWDGAFQWSA-N 0 3 247.342 2.579 20 0 BFADHN COc1ccccc1[C@H](C)NCC12CC(C1)CO2 ZINC000527978225 387817399 /nfs/dbraw/zinc/81/73/99/387817399.db2.gz KXPOFUYLTMBSQK-BZUNDVKYSA-N 0 3 247.338 2.525 20 0 BFADHN COC(=O)[C@@H](C)N(CC1(C)CCC1)C1CCC1 ZINC000432787783 387819051 /nfs/dbraw/zinc/81/90/51/387819051.db2.gz IJYSBAFYZMEBGD-LLVKDONJSA-N 0 3 239.359 2.593 20 0 BFADHN CN(CC1=CCCC1)Cc1ccc2c(c1)OCO2 ZINC000645772778 387894374 /nfs/dbraw/zinc/89/43/74/387894374.db2.gz JIBZKWDZNBRZAK-UHFFFAOYSA-N 0 3 245.322 2.957 20 0 BFADHN CC[C@@H](CO)N(C)c1ccnc2ccc(C)cc21 ZINC000450576826 387878046 /nfs/dbraw/zinc/87/80/46/387878046.db2.gz DMNPOJOJRIIFRH-LBPRGKRZSA-N 0 3 244.338 2.750 20 0 BFADHN Cc1cnc(CNC[C@@H]2C[C@H]2C2CCC2)s1 ZINC000424175066 387879422 /nfs/dbraw/zinc/87/94/22/387879422.db2.gz PCWVZNPVRXKXLD-RYUDHWBXSA-N 0 3 236.384 2.977 20 0 BFADHN CCc1cc(N[C@@H](C)c2ncccc2F)ccn1 ZINC000528264785 387886732 /nfs/dbraw/zinc/88/67/32/387886732.db2.gz ZMIQKFAYYKHRLV-JTQLQIEISA-N 0 3 245.301 2.773 20 0 BFADHN c1coc(CNC[C@@H]2C[C@H]2C2CCC2)c1 ZINC000424177980 387887229 /nfs/dbraw/zinc/88/72/29/387887229.db2.gz VRQOZQCPZXYMIA-AAEUAGOBSA-N 0 3 205.301 2.805 20 0 BFADHN C(=C/c1ccccc1)\CN[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000152772546 387887540 /nfs/dbraw/zinc/88/75/40/387887540.db2.gz FQFJYSBXSAGLBD-QTEJGBTLSA-N 0 3 229.323 2.609 20 0 BFADHN C(=C/c1ccncc1)\CNCc1ccccc1 ZINC000486960827 387902422 /nfs/dbraw/zinc/90/24/22/387902422.db2.gz BHKALMBBFJKQED-QPJJXVBHSA-N 0 3 224.307 2.885 20 0 BFADHN C[C@@H]1CCCCN1Cc1cc(CO)ccc1F ZINC000528344386 387904046 /nfs/dbraw/zinc/90/40/46/387904046.db2.gz CNGXOXQEQXBXEH-LLVKDONJSA-N 0 3 237.318 2.692 20 0 BFADHN CCCC[C@H](N)C(=O)NCCCCC(C)(C)C ZINC000236792706 387905404 /nfs/dbraw/zinc/90/54/04/387905404.db2.gz XZIIDXDENMRNFC-LBPRGKRZSA-N 0 3 242.407 2.837 20 0 BFADHN C[C@H]1CN(CC2=CCCC2)CC(C)(C)O1 ZINC000645781557 387906562 /nfs/dbraw/zinc/90/65/62/387906562.db2.gz ZLXVTFXZCWATBW-NSHDSACASA-N 0 3 209.333 2.596 20 0 BFADHN C/C(Cl)=C\CN(C[C@H]1CCOC1)C1CC1 ZINC000528348307 387906764 /nfs/dbraw/zinc/90/67/64/387906764.db2.gz BUACLYSUUMAQGW-LLMHMKPQSA-N 0 3 229.751 2.630 20 0 BFADHN CN(CC1=CCCC1)C[C@H]1CCCCO1 ZINC000645780874 387907020 /nfs/dbraw/zinc/90/70/20/387907020.db2.gz BROQWBJFOJABOF-CYBMUJFWSA-N 0 3 209.333 2.598 20 0 BFADHN C[C@H]1CCN(CCc2c(F)cccc2F)C1 ZINC000645789359 387913396 /nfs/dbraw/zinc/91/33/96/387913396.db2.gz SGTRDIWUHQZICQ-JTQLQIEISA-N 0 3 225.282 2.849 20 0 BFADHN Cc1ccc(NC(=O)[C@H](N)CC(C)C)cc1C ZINC000019508342 387916291 /nfs/dbraw/zinc/91/62/91/387916291.db2.gz QZPQVFMYQQLQJN-CYBMUJFWSA-N 0 3 234.343 2.615 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2C[C@@]2(F)c2ccccc2)CCO1 ZINC000424209066 387930417 /nfs/dbraw/zinc/93/04/17/387930417.db2.gz YZOMSOFTAVCFFD-REBRKWNGSA-N 0 3 249.329 2.781 20 0 BFADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCO ZINC000444777673 387930625 /nfs/dbraw/zinc/93/06/25/387930625.db2.gz SYQNPROQBAPZRL-NSHDSACASA-N 0 3 247.260 2.570 20 0 BFADHN C[C@H](NCCCCCO)c1cc(F)ccc1F ZINC000179535690 387930815 /nfs/dbraw/zinc/93/08/15/387930815.db2.gz ZQMDFLYLYDHLMU-JTQLQIEISA-N 0 3 243.297 2.778 20 0 BFADHN Cc1cccc(CN2C[C@H](C)O[C@H](C)[C@H]2C)c1 ZINC000438368412 387930889 /nfs/dbraw/zinc/93/08/89/387930889.db2.gz SPZDZIZECLYRIR-BFHYXJOUSA-N 0 3 233.355 2.993 20 0 BFADHN C[C@@H](NCC[C@@H]1CCCCO1)c1ccccn1 ZINC000180250563 387933258 /nfs/dbraw/zinc/93/32/58/387933258.db2.gz MMHHRXHQQRCHAP-OLZOCXBDSA-N 0 3 234.343 2.691 20 0 BFADHN Cc1csc(CN[C@@H](C)c2cnccc2N)c1 ZINC000423154580 387934874 /nfs/dbraw/zinc/93/48/74/387934874.db2.gz PALAZVXDECHGML-JTQLQIEISA-N 0 3 247.367 2.715 20 0 BFADHN CCOC(=O)[C@H](CC)N1CCC[C@H](C(C)C)C1 ZINC000444748147 387919854 /nfs/dbraw/zinc/91/98/54/387919854.db2.gz GIRJPLMXYBVSCS-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H](NCC1=CCCCC1)c1cnccc1N ZINC000423147409 387924516 /nfs/dbraw/zinc/92/45/16/387924516.db2.gz RXLIBLXKMPNICY-NSHDSACASA-N 0 3 231.343 2.645 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@@H](C(C)C)C2)n1 ZINC000438344914 387926717 /nfs/dbraw/zinc/92/67/17/387926717.db2.gz JZJIBGJLQRFTPF-CYBMUJFWSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@@H](C(C)C)C2)n1 ZINC000438344914 387926720 /nfs/dbraw/zinc/92/67/20/387926720.db2.gz JZJIBGJLQRFTPF-CYBMUJFWSA-N 0 3 248.370 2.964 20 0 BFADHN CCCc1csc(CN[C@@H]2C[C@H]3C[C@H]3C2)n1 ZINC000424198943 387927184 /nfs/dbraw/zinc/92/71/84/387927184.db2.gz DNFBYIUBXRWFBR-PEGIJTEDSA-N 0 3 236.384 2.984 20 0 BFADHN COc1cc(C)nc(CN[C@@H]2C[C@H]2C2CCC2)c1 ZINC000424202934 387929131 /nfs/dbraw/zinc/92/91/31/387929131.db2.gz TZKNHBMMYMBBDJ-LSDHHAIUSA-N 0 3 246.354 2.677 20 0 BFADHN C[C@@H](c1cccnc1)N(C)C[C@H]1CCCCO1 ZINC000245494258 387952057 /nfs/dbraw/zinc/95/20/57/387952057.db2.gz NCPILYPATVYHDK-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@H](NCc1nccs1)[C@@H]1C[C@H]1C ZINC000321049524 387938257 /nfs/dbraw/zinc/93/82/57/387938257.db2.gz GNJLOFTZFZUDLX-BBBLOLIVSA-N 0 3 210.346 2.667 20 0 BFADHN CC[C@H](N[C@H](C)c1nnc(C)s1)[C@H]1C[C@@H]1C ZINC000321177244 387956130 /nfs/dbraw/zinc/95/61/30/387956130.db2.gz ZEHCTMUZHWIMFY-OEIWMXHLSA-N 0 3 239.388 2.932 20 0 BFADHN C[C@@H](CCO)CN[C@@H](C)c1cccc(F)c1F ZINC000224997420 387941660 /nfs/dbraw/zinc/94/16/60/387941660.db2.gz SCSGUOCQZLTPJF-UWVGGRQHSA-N 0 3 243.297 2.634 20 0 BFADHN CC[C@@H]1CN(CC2=CCCC2)C[C@@H](C)O1 ZINC000645801963 387942498 /nfs/dbraw/zinc/94/24/98/387942498.db2.gz XMOYAPAHKARPFT-DGCLKSJQSA-N 0 3 209.333 2.596 20 0 BFADHN CO[C@H]1C[C@H]2C[C@H](NCc3ccco3)C[C@H]2C1 ZINC000424265844 387946512 /nfs/dbraw/zinc/94/65/12/387946512.db2.gz VZFGXVXWQZTSRL-DIXOFPBHSA-N 0 3 235.327 2.573 20 0 BFADHN CC[C@H](C)[C@H](CNCc1ccoc1C)OC ZINC000321123741 387948976 /nfs/dbraw/zinc/94/89/76/387948976.db2.gz WFQLEPHFBISKIW-GWCFXTLKSA-N 0 3 225.332 2.739 20 0 BFADHN Cc1ccoc1CN(C)C1CC(OC(C)C)C1 ZINC000459488173 387950108 /nfs/dbraw/zinc/95/01/08/387950108.db2.gz HYMHQELFRODLOQ-UHFFFAOYSA-N 0 3 237.343 2.976 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1ccoc1C)OC ZINC000321123743 387950151 /nfs/dbraw/zinc/95/01/51/387950151.db2.gz WFQLEPHFBISKIW-GXFFZTMASA-N 0 3 225.332 2.739 20 0 BFADHN CC[C@H](N[C@H](C)c1nnc(C)s1)[C@@H]1C[C@H]1C ZINC000321177245 387956458 /nfs/dbraw/zinc/95/64/58/387956458.db2.gz ZEHCTMUZHWIMFY-OYBPUVFXSA-N 0 3 239.388 2.932 20 0 BFADHN Cc1oncc1CN1C[C@@H](C)CC[C@H]1C ZINC000249091915 387951184 /nfs/dbraw/zinc/95/11/84/387951184.db2.gz DIOMADWQWUSMTD-VHSXEESVSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ccc(F)c(CN[C@H](CO)CC(C)C)c1 ZINC000227285210 387958441 /nfs/dbraw/zinc/95/84/41/387958441.db2.gz YMCNEEWLGDETPH-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1ccc(F)c(CN[C@@H](CO)CC(C)C)c1 ZINC000227285203 387958466 /nfs/dbraw/zinc/95/84/66/387958466.db2.gz YMCNEEWLGDETPH-CYBMUJFWSA-N 0 3 239.334 2.631 20 0 BFADHN C[C@@H]1CCCN(Cc2cncs2)[C@H]1C ZINC000245569632 387962491 /nfs/dbraw/zinc/96/24/91/387962491.db2.gz WJIJLJLKIKQRHX-ZJUUUORDSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@@H](NCc1nccc(OC)n1)C1CCCC1 ZINC000424313905 387965809 /nfs/dbraw/zinc/96/58/09/387965809.db2.gz ZEZVIZYHRRUJFH-GFCCVEGCSA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@@H](N[C@@H]1CC[C@H]1O)c1ccc(F)cc1F ZINC000424311490 387966807 /nfs/dbraw/zinc/96/68/07/387966807.db2.gz FKFFJTSVPYKKLF-JHJVBQTASA-N 0 3 241.281 2.529 20 0 BFADHN c1cn(CCN2CCC[C@H]3CCCC[C@H]32)cn1 ZINC000245615584 387968228 /nfs/dbraw/zinc/96/82/28/387968228.db2.gz XUGFDIVDNGSMOG-ZIAGYGMSSA-N 0 3 233.359 2.538 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H]2C[C@H]2C2CCC2)c(C)n1 ZINC000424317303 387968284 /nfs/dbraw/zinc/96/82/84/387968284.db2.gz BUGGEBNBQRIOEQ-RIEGTJTDSA-N 0 3 245.370 2.933 20 0 BFADHN CC(C)OCCN[C@H](c1cccnc1)C1CC1 ZINC000445809695 387969976 /nfs/dbraw/zinc/96/99/76/387969976.db2.gz GUGDKPPRQPBZDW-AWEZNQCLSA-N 0 3 234.343 2.547 20 0 BFADHN CCc1ccccc1CN1CCN(C)[C@H](C)[C@@H]1C ZINC000445814550 387970175 /nfs/dbraw/zinc/97/01/75/387970175.db2.gz ZGHAJUBLAUWTNE-KGLIPLIRSA-N 0 3 246.398 2.773 20 0 BFADHN CC[C@H](c1ccc(F)cc1F)N(C)C[C@H](C)O ZINC000245727713 387978925 /nfs/dbraw/zinc/97/89/25/387978925.db2.gz BTLIFPGDHOOHMF-TVQRCGJNSA-N 0 3 243.297 2.729 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@@H]1C[C@H]1C1CCC1 ZINC000424336012 387979018 /nfs/dbraw/zinc/97/90/18/387979018.db2.gz XJGFYCCXUORVJN-LJWDBELGSA-N 0 3 233.359 2.568 20 0 BFADHN C[C@@H]1CCN(Cc2cnn3ccccc23)C[C@H]1C ZINC000245757916 387980033 /nfs/dbraw/zinc/98/00/33/387980033.db2.gz PASMFQVEULXQGF-CHWSQXEVSA-N 0 3 243.354 2.812 20 0 BFADHN CC[C@@](C)(CNCc1nc(C)c(C)s1)OC ZINC000322701326 387972744 /nfs/dbraw/zinc/97/27/44/387972744.db2.gz NJWVKNXLJMCYON-LBPRGKRZSA-N 0 3 242.388 2.665 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2C[C@H]2C2CCC2)nn1C ZINC000424326507 387973689 /nfs/dbraw/zinc/97/36/89/387973689.db2.gz LZOCSOPDZSFVDQ-SCDSUCTJSA-N 0 3 233.359 2.568 20 0 BFADHN c1cn2c(n1)[C@H](N[C@H]1CCC[C@@H](C3CC3)C1)CC2 ZINC000424363269 387990256 /nfs/dbraw/zinc/99/02/56/387990256.db2.gz ZPQJVXCZDPAMLT-HZSPNIEDSA-N 0 3 245.370 2.886 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)[C@@H](C)C2)s1 ZINC000245895232 387991293 /nfs/dbraw/zinc/99/12/93/387991293.db2.gz KGJGEOJVEIIZPO-ZJUUUORDSA-N 0 3 224.373 2.929 20 0 BFADHN CCOC(=O)[C@H](CC)N(CC)C[C@@H](C)CC ZINC000086437966 135084585 /nfs/dbraw/zinc/08/45/85/135084585.db2.gz IWHQFVRGGKWBGG-RYUDHWBXSA-N 0 3 229.364 2.696 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@H]1CC1(C)C ZINC000453130383 387992737 /nfs/dbraw/zinc/99/27/37/387992737.db2.gz CFSABJUNPBRAMH-GHMZBOCLSA-N 0 3 221.348 2.600 20 0 BFADHN COc1ccc(CN2CC[C@H](C)[C@H](C)C2)cc1O ZINC000245779171 387982732 /nfs/dbraw/zinc/98/27/32/387982732.db2.gz TZICRXVWIWUDCM-NWDGAFQWSA-N 0 3 249.354 2.879 20 0 BFADHN C1=C(CN2CCC[C@@H]3COCC[C@H]32)CCC1 ZINC000645814169 387985636 /nfs/dbraw/zinc/98/56/36/387985636.db2.gz YIRQZRXICSBIOA-ZIAGYGMSSA-N 0 3 221.344 2.598 20 0 BFADHN CCOC(=O)[C@H](CC)N1CCCCC(C)(C)C1 ZINC000446058405 387987229 /nfs/dbraw/zinc/98/72/29/387987229.db2.gz FFZLTPSUHCUKMM-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H]1CCN(CCOCC(F)(F)F)C[C@H]1C ZINC000245968567 387997548 /nfs/dbraw/zinc/99/75/48/387997548.db2.gz VZFUDGMAIJOTBZ-VHSXEESVSA-N 0 3 239.281 2.543 20 0 BFADHN Cc1cc(CN2CCCCC(C)(C)C2)nn1C ZINC000446076525 387997974 /nfs/dbraw/zinc/99/79/74/387997974.db2.gz BMUKVVCPDKBHNB-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CCC[C@H](N[C@@H]1C[C@@H](O)C1(C)C)c1ccccn1 ZINC000245975072 387999229 /nfs/dbraw/zinc/99/92/29/387999229.db2.gz OOQMOYSEVDPIMN-BFHYXJOUSA-N 0 3 248.370 2.672 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)C1(C)CC1 ZINC000453162264 387999383 /nfs/dbraw/zinc/99/93/83/387999383.db2.gz KLFABWCNZADPBV-WDEREUQCSA-N 0 3 221.348 2.742 20 0 BFADHN CCC[C@@H]([NH2+]Cc1cnc(C)[n-]c1=O)C1CCC1 ZINC000453189319 388004833 /nfs/dbraw/zinc/00/48/33/388004833.db2.gz CYTMPNCGPHHFPT-CYBMUJFWSA-N 0 3 249.358 2.549 20 0 BFADHN Cc1cccn2c(CN3CC[C@@H](C)[C@H]3C)cnc12 ZINC000246079987 388006756 /nfs/dbraw/zinc/00/67/56/388006756.db2.gz HUSAZXBYPINWTH-DGCLKSJQSA-N 0 3 243.354 2.873 20 0 BFADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1cn[nH]c1 ZINC000453198113 388008381 /nfs/dbraw/zinc/00/83/81/388008381.db2.gz OFMHYXRYMGXQKN-CBAPKCEASA-N 0 3 235.253 2.791 20 0 BFADHN CCN(Cc1c(C)noc1C)CC(C)C ZINC000046064848 388008500 /nfs/dbraw/zinc/00/85/00/388008500.db2.gz YSBQOOSJCVNLKT-UHFFFAOYSA-N 0 3 210.321 2.769 20 0 BFADHN CC[C@@H](NCCOC(C)C)c1ccncc1 ZINC000453198886 388008667 /nfs/dbraw/zinc/00/86/67/388008667.db2.gz PCROQFSJDHHARF-CYBMUJFWSA-N 0 3 222.332 2.547 20 0 BFADHN CC[C@H](N[C@H](CO)CC(F)F)c1ccsc1 ZINC000453206101 388010421 /nfs/dbraw/zinc/01/04/21/388010421.db2.gz HTYJEQOWHQOILW-UWVGGRQHSA-N 0 3 249.326 2.805 20 0 BFADHN CC[C@@H](C)N(C)C(=O)Nc1cc(C)cc(CN)c1 ZINC000424447162 388010593 /nfs/dbraw/zinc/01/05/93/388010593.db2.gz UMRPRRYACOTVTB-LLVKDONJSA-N 0 3 249.358 2.716 20 0 BFADHN CCN(C(=O)Nc1cc(C)cc(CN)c1)C(C)C ZINC000424440620 388010710 /nfs/dbraw/zinc/01/07/10/388010710.db2.gz VDGQMKDNALQEFD-UHFFFAOYSA-N 0 3 249.358 2.716 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2ccncn2)cc1 ZINC000453144477 387996358 /nfs/dbraw/zinc/99/63/58/387996358.db2.gz MPNBMYFLJRYIDN-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)C[C@H](C)O1 ZINC000450388948 388023937 /nfs/dbraw/zinc/02/39/37/388023937.db2.gz ITSJXLNGMLWRSE-QJPTWQEYSA-N 0 3 247.329 2.921 20 0 BFADHN CCCCCNC(=O)Nc1cc(C)cc(CN)c1 ZINC000424433202 388011502 /nfs/dbraw/zinc/01/15/02/388011502.db2.gz DGGSAVDYGWPDQO-UHFFFAOYSA-N 0 3 249.358 2.765 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc3c(c2)OCCO3)C1 ZINC000064431027 388012811 /nfs/dbraw/zinc/01/28/11/388012811.db2.gz ZKZGGBDEZIUDNR-GFCCVEGCSA-N 0 3 247.338 2.690 20 0 BFADHN CCn1ccnc1[C@@H](C)NC1(C(C)C)CC1 ZINC000453215594 388013053 /nfs/dbraw/zinc/01/30/53/388013053.db2.gz DAGIPQMASOXZAY-LLVKDONJSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1ccccc1CN(C)CCOCC1CC1 ZINC000158328890 388014230 /nfs/dbraw/zinc/01/42/30/388014230.db2.gz DMOFQFPPNRPESC-UHFFFAOYSA-N 0 3 233.355 2.853 20 0 BFADHN C[C@@H](NC1CC(C(C)(C)C)C1)c1cn[nH]c1 ZINC000453232066 388017719 /nfs/dbraw/zinc/01/77/19/388017719.db2.gz GWNAQINEASGHME-OIKLOGQESA-N 0 3 221.348 2.885 20 0 BFADHN OCCN(Cc1coc2ccccc12)C1CCC1 ZINC000439768423 388018835 /nfs/dbraw/zinc/01/88/35/388018835.db2.gz ROKSBWVQYMXHAT-UHFFFAOYSA-N 0 3 245.322 2.780 20 0 BFADHN Cc1ccc2ncc(CN3CC[C@@H](C)[C@H]3C)n2c1 ZINC000246204696 388020785 /nfs/dbraw/zinc/02/07/85/388020785.db2.gz MCSIPAUZOVDQSY-CHWSQXEVSA-N 0 3 243.354 2.873 20 0 BFADHN C[C@@H](NC1CC(C)(F)C1)c1ccc(F)cn1 ZINC000453238136 388020748 /nfs/dbraw/zinc/02/07/48/388020748.db2.gz NSJAIWMCWRROGB-DUACVJHASA-N 0 3 226.270 2.762 20 0 BFADHN Cc1csc(CN2CC[C@H](C)[C@H]2C)n1 ZINC000246232979 388022901 /nfs/dbraw/zinc/02/29/01/388022901.db2.gz MXKTYSNZYZWCRY-WCBMZHEXSA-N 0 3 210.346 2.682 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@@H]1C(C)C ZINC000453282064 388033031 /nfs/dbraw/zinc/03/30/31/388033031.db2.gz COLXMQMEJHGRSG-CHWSQXEVSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccnc1CN[C@H](C)CC1CCCC1 ZINC000453285236 388034781 /nfs/dbraw/zinc/03/47/81/388034781.db2.gz HVYRGCZXVDZHJP-GFCCVEGCSA-N 0 3 235.375 2.961 20 0 BFADHN CCC1(N[C@H](C)c2ccncc2F)CC1 ZINC000453298186 388038430 /nfs/dbraw/zinc/03/84/30/388038430.db2.gz RZXKCYFRLVSNEI-SECBINFHSA-N 0 3 208.280 2.814 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H]1CCOC1(C)C ZINC000453297483 388038776 /nfs/dbraw/zinc/03/87/76/388038776.db2.gz RSQGOSXSSSDQGM-AAEUAGOBSA-N 0 3 248.370 2.862 20 0 BFADHN CCCn1cc(CN2CCCC23CCC3)cn1 ZINC000446840064 388039899 /nfs/dbraw/zinc/03/98/99/388039899.db2.gz WYPSWFDXEPYJBY-UHFFFAOYSA-N 0 3 233.359 2.812 20 0 BFADHN COc1cc(CN[C@@]2(C)CCCOC2)ccc1C ZINC000446821419 388040652 /nfs/dbraw/zinc/04/06/52/388040652.db2.gz OOQHYFGOCWGLQP-HNNXBMFYSA-N 0 3 249.354 2.662 20 0 BFADHN CCn1ccnc1CN[C@H](C1CC1)C1CCCC1 ZINC000453307558 388041151 /nfs/dbraw/zinc/04/11/51/388041151.db2.gz CZURMPYXGJZTRY-HNNXBMFYSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@H]1CN(CC2=CCCC2)C[C@H](C2CC2)O1 ZINC000645895307 388043563 /nfs/dbraw/zinc/04/35/63/388043563.db2.gz DPJTVBISKUNPQO-SMDDNHRTSA-N 0 3 221.344 2.596 20 0 BFADHN CC[C@@H](NCC1=CCCCC1)c1cnn(C)c1 ZINC000453258371 388028535 /nfs/dbraw/zinc/02/85/35/388028535.db2.gz VXKKHGXIACOHNY-CQSZACIVSA-N 0 3 233.359 2.961 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1ccncc1F)OC ZINC000453261010 388028684 /nfs/dbraw/zinc/02/86/84/388028684.db2.gz YKGUYZNDGPZOIR-WDEREUQCSA-N 0 3 240.322 2.686 20 0 BFADHN CC[C@@H](F)CN1CCC([C@@H]2CCCO2)CC1 ZINC000440494858 388044310 /nfs/dbraw/zinc/04/43/10/388044310.db2.gz JSWRSYSLTVQULG-OLZOCXBDSA-N 0 3 229.339 2.626 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H]2COCC2(C)C)o1 ZINC000453341602 388052300 /nfs/dbraw/zinc/05/23/00/388052300.db2.gz CLVJUVKBSGGAJZ-ZWNOBZJWSA-N 0 3 237.343 2.918 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@](C)(O)C(C)C)c(C)o1 ZINC000160215135 388053721 /nfs/dbraw/zinc/05/37/21/388053721.db2.gz SLWOCTCSESYYFQ-BXUZGUMPSA-N 0 3 239.359 2.954 20 0 BFADHN CCC[C@H](NCC1(CC)COC1)c1ccccn1 ZINC000453364618 388059245 /nfs/dbraw/zinc/05/92/45/388059245.db2.gz LKOMCBQKWWEVRS-ZDUSSCGKSA-N 0 3 248.370 2.939 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CN[C@H]1C[C@H](C)n2ccnc21 ZINC000453365679 388061340 /nfs/dbraw/zinc/06/13/40/388061340.db2.gz STOASWZZIDEHEX-CYDGBPFRSA-N 0 3 233.359 2.771 20 0 BFADHN COc1cccnc1CN[C@H](C1CC1)C1CCC1 ZINC000453379031 388064865 /nfs/dbraw/zinc/06/48/65/388064865.db2.gz WFBSWVANOYUPRM-HNNXBMFYSA-N 0 3 246.354 2.759 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@@H]1CCC[C@H]1O ZINC000453386705 388067029 /nfs/dbraw/zinc/06/70/29/388067029.db2.gz OYDWXFUXWQVTBH-MBNYWOFBSA-N 0 3 249.354 2.507 20 0 BFADHN CCc1ncc(CN[C@H](C)C2(C)CC2)o1 ZINC000453388141 388067066 /nfs/dbraw/zinc/06/70/66/388067066.db2.gz OYVWDQNYRMNLFC-SECBINFHSA-N 0 3 208.305 2.515 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1C[C@H]1C1CC1 ZINC000453387950 388067529 /nfs/dbraw/zinc/06/75/29/388067529.db2.gz QFZYUXWAGPHMNW-DRZSPHRISA-N 0 3 233.359 2.600 20 0 BFADHN CCC(CC)N(CCOC)C[C@H](F)CC ZINC000440689374 388067639 /nfs/dbraw/zinc/06/76/39/388067639.db2.gz CSCZDGGTWWWGSS-LLVKDONJSA-N 0 3 219.344 2.872 20 0 BFADHN CCCc1ncc(CN[C@H](C)C(C)(C)OC)o1 ZINC000453400042 388072147 /nfs/dbraw/zinc/07/21/47/388072147.db2.gz YFCLRTWCSVAEEJ-SNVBAGLBSA-N 0 3 240.347 2.530 20 0 BFADHN C[C@H](NCCC1CC(F)(F)C1)c1nccn1C ZINC000453402608 388073266 /nfs/dbraw/zinc/07/32/66/388073266.db2.gz CKNSQVODKATLLL-VIFPVBQESA-N 0 3 243.301 2.506 20 0 BFADHN CO[C@H](CNC1(c2ccccc2C)CC1)C1CC1 ZINC000645981112 388114768 /nfs/dbraw/zinc/11/47/68/388114768.db2.gz YKIJHDWASMJCCX-OAHLLOKOSA-N 0 3 245.366 2.999 20 0 BFADHN CCC[C@@H](N[C@@H](CC)C(=O)NC)c1ccccc1 ZINC000453406013 388074672 /nfs/dbraw/zinc/07/46/72/388074672.db2.gz OYQHPNMTRVHBMC-UONOGXRCSA-N 0 3 248.370 2.642 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@H]2CC[C@H](C)O2)c1 ZINC000453404588 388075353 /nfs/dbraw/zinc/07/53/53/388075353.db2.gz IGXXZIMSOGUQOB-RWMBFGLXSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@H]1O)c1cc(F)ccc1F ZINC000453409800 388076299 /nfs/dbraw/zinc/07/62/99/388076299.db2.gz OWBBTDQBGOQQOY-BZHVJNSISA-N 0 3 241.281 2.529 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@H](C)c1cnn(C)c1 ZINC000453427715 388081284 /nfs/dbraw/zinc/08/12/84/388081284.db2.gz HPEZKQBBVBUOJA-MRVWCRGKSA-N 0 3 235.375 2.895 20 0 BFADHN CO[C@@H](C)CNCc1ccc(SC)s1 ZINC000336659309 388086049 /nfs/dbraw/zinc/08/60/49/388086049.db2.gz QYTYVXYUTVTDTK-QMMMGPOBSA-N 0 3 231.386 2.595 20 0 BFADHN Cc1nocc1CNCCC1CC(F)(F)C1 ZINC000453583797 388087877 /nfs/dbraw/zinc/08/78/77/388087877.db2.gz PSKHOQBSGVZZPV-UHFFFAOYSA-N 0 3 230.258 2.508 20 0 BFADHN CCC1CN(Cc2cccc(OC)c2)C1 ZINC000453599926 388089416 /nfs/dbraw/zinc/08/94/16/388089416.db2.gz DFBZSDRIBRJAOI-UHFFFAOYSA-N 0 3 205.301 2.537 20 0 BFADHN Cc1ccc(F)c(OCCN2CCCCC2)c1 ZINC000085565640 388090730 /nfs/dbraw/zinc/09/07/30/388090730.db2.gz NHJVXPAQSCZMLJ-UHFFFAOYSA-N 0 3 237.318 2.999 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1c(C)nn(C)c1C ZINC000453602994 388090698 /nfs/dbraw/zinc/09/06/98/388090698.db2.gz AJVQKOFPMHPBBH-CABCVRRESA-N 0 3 249.402 2.951 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1n[nH]cc1C ZINC000453604005 388090784 /nfs/dbraw/zinc/09/07/84/388090784.db2.gz BWYCJCNSHHACFE-QWHCGFSZSA-N 0 3 221.348 2.632 20 0 BFADHN CCN(CC(=O)Nc1ccccc1)CC(C)(C)C ZINC000463370983 388090826 /nfs/dbraw/zinc/09/08/26/388090826.db2.gz YDCWHCBDXKHBPF-UHFFFAOYSA-N 0 3 248.370 2.993 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1c(C)n[nH]c1C ZINC000453604816 388090871 /nfs/dbraw/zinc/09/08/71/388090871.db2.gz CBKAHYILNJZAFC-ZIAGYGMSSA-N 0 3 235.375 2.941 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1c(C)n[nH]c1C ZINC000453604813 388091023 /nfs/dbraw/zinc/09/10/23/388091023.db2.gz CBKAHYILNJZAFC-UONOGXRCSA-N 0 3 235.375 2.941 20 0 BFADHN CCn1cc(CN[C@@H]2C[C@]2(CC)C(C)C)cn1 ZINC000453609685 388092563 /nfs/dbraw/zinc/09/25/63/388092563.db2.gz JOOPPTAINQFAHR-ZIAGYGMSSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1cnc(C)nc1 ZINC000453615408 388093072 /nfs/dbraw/zinc/09/30/72/388093072.db2.gz QPVWQUIWNPLBDY-UONOGXRCSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cnc(CN[C@@]2(C)CCCC[C@@H]2C)n1C ZINC000453619565 388093521 /nfs/dbraw/zinc/09/35/21/388093521.db2.gz IBCIKHHOEDLOJE-FZMZJTMJSA-N 0 3 235.375 2.787 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1cnc(C)nc1 ZINC000453615407 388093820 /nfs/dbraw/zinc/09/38/20/388093820.db2.gz QPVWQUIWNPLBDY-KGLIPLIRSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1ccnc(NC[C@@H]2N(C)CCCC2(C)C)c1 ZINC000447808887 388094179 /nfs/dbraw/zinc/09/41/79/388094179.db2.gz YYSSYOYOKPUBBW-ZDUSSCGKSA-N 0 3 247.386 2.922 20 0 BFADHN Cc1ccc(CN2CCCC[C@H]2C[C@H](C)O)nc1 ZINC000447841721 388097173 /nfs/dbraw/zinc/09/71/73/388097173.db2.gz YXVWNYZMVQYELT-ZFWWWQNUSA-N 0 3 248.370 2.515 20 0 BFADHN Fc1ccc2nc(CNCC3CC3)sc2c1 ZINC000085932497 388097485 /nfs/dbraw/zinc/09/74/85/388097485.db2.gz DIQAKUMQURZLFX-UHFFFAOYSA-N 0 3 236.315 2.935 20 0 BFADHN CCNCc1nc2ccc(F)cc2s1 ZINC000085932609 388097802 /nfs/dbraw/zinc/09/78/02/388097802.db2.gz YLJZHRDNRASJIF-UHFFFAOYSA-N 0 3 210.277 2.545 20 0 BFADHN Cc1cc(C)c(CNCc2cn[nH]c2)c(C)c1 ZINC000038005615 388099337 /nfs/dbraw/zinc/09/93/37/388099337.db2.gz JTVMKCDYUOTZAR-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN OCCCCNC1(c2ccccc2Cl)CC1 ZINC000645977066 388113266 /nfs/dbraw/zinc/11/32/66/388113266.db2.gz SGRJXUPWHMHGEG-UHFFFAOYSA-N 0 3 239.746 2.691 20 0 BFADHN CC[C@H](N[C@H](C)c1sccc1OC)[C@H](C)O ZINC000453318359 388044749 /nfs/dbraw/zinc/04/47/49/388044749.db2.gz BGOSWJJAYQRVCS-UTLUCORTSA-N 0 3 243.372 2.567 20 0 BFADHN CC[C@@H](F)CN1CCC(n2ccc(C)n2)CC1 ZINC000440505960 388046906 /nfs/dbraw/zinc/04/69/06/388046906.db2.gz PPUHMOFBULHURP-GFCCVEGCSA-N 0 3 239.338 2.577 20 0 BFADHN CC[C@H](CSC)N[C@@H](C)c1cncs1 ZINC000162349449 388156149 /nfs/dbraw/zinc/15/61/49/388156149.db2.gz WABRVZBCAQULLV-DTWKUNHWSA-N 0 3 230.402 2.935 20 0 BFADHN COC[C@@H](C)NCc1cccc2ccoc21 ZINC000449210873 388157738 /nfs/dbraw/zinc/15/77/38/388157738.db2.gz HKPBHVWYSIAOKC-SNVBAGLBSA-N 0 3 219.284 2.557 20 0 BFADHN C[C@@H]1C[C@H]1CN[C@@H]1CCOc2c(F)cccc21 ZINC000488568899 388187704 /nfs/dbraw/zinc/18/77/04/388187704.db2.gz BTPIJBYSZJKXHY-GBIKHYSHSA-N 0 3 235.302 2.895 20 0 BFADHN C[C@@H](Cc1ccoc1)N[C@H](CCO)c1ccco1 ZINC000449250256 388162341 /nfs/dbraw/zinc/16/23/41/388162341.db2.gz NLYIPNGNCDUOSZ-WCQYABFASA-N 0 3 249.310 2.517 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NCc1cccc2ccoc21 ZINC000449257915 388163525 /nfs/dbraw/zinc/16/35/25/388163525.db2.gz KVBQBRIVFAFFEC-QWHCGFSZSA-N 0 3 245.322 2.682 20 0 BFADHN COCC1=CCN(CCc2cccc(C)c2)CC1 ZINC000449258194 388163594 /nfs/dbraw/zinc/16/35/94/388163594.db2.gz ORKNZCIUDHIXCG-UHFFFAOYSA-N 0 3 245.366 2.816 20 0 BFADHN C[C@@H](CF)N[C@H]1CS[C@H](C(C)(C)C)C1 ZINC000646215154 388166057 /nfs/dbraw/zinc/16/60/57/388166057.db2.gz GZBPWVABYHPZHS-AEJSXWLSSA-N 0 3 219.369 2.854 20 0 BFADHN CC(C)=CCNCc1cc2cccnc2o1 ZINC000449277312 388166660 /nfs/dbraw/zinc/16/66/60/388166660.db2.gz FKGYZQMSDYEHGH-UHFFFAOYSA-N 0 3 216.284 2.884 20 0 BFADHN CC[C@H]1CN(CC2=CCCC2)C[C@H](CC)O1 ZINC000645928959 388167554 /nfs/dbraw/zinc/16/75/54/388167554.db2.gz YYMOKJMVUJBDNL-KBPBESRZSA-N 0 3 223.360 2.986 20 0 BFADHN CCc1nn(C)cc1CN1CC(C)(C)[C@@H]1C(C)C ZINC000646221139 388167800 /nfs/dbraw/zinc/16/78/00/388167800.db2.gz WMHPGPSBCJUKFQ-AWEZNQCLSA-N 0 3 249.402 2.849 20 0 BFADHN C[C@@H](Cc1coc2ccccc12)NCCF ZINC000449300025 388169603 /nfs/dbraw/zinc/16/96/03/388169603.db2.gz NQWGIWCDWBTAFC-JTQLQIEISA-N 0 3 221.275 2.923 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)[C@H]2C)cc1F ZINC000646252567 388170963 /nfs/dbraw/zinc/17/09/63/388170963.db2.gz SFTFPWNRWUTWJA-GHMZBOCLSA-N 0 3 207.292 2.974 20 0 BFADHN C[C@@H](Cc1ccoc1)N[C@@H]1CCC[C@@H]1F ZINC000449343553 388177135 /nfs/dbraw/zinc/17/71/35/388177135.db2.gz LKRYQODVDYMZND-ZMLRMANQSA-N 0 3 211.280 2.691 20 0 BFADHN COC[C@@H](C)N[C@@H]1CCCc2c(F)cccc21 ZINC000449348486 388179280 /nfs/dbraw/zinc/17/92/80/388179280.db2.gz GTMYLSFKYARUNY-QMTHXVAHSA-N 0 3 237.318 2.828 20 0 BFADHN CC(C)N(CC1CCCCC1)C1COC1 ZINC000449349751 388179316 /nfs/dbraw/zinc/17/93/16/388179316.db2.gz HAOBUSGLAILVLP-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN CCCN(C)CC(=O)NC1(CC)CCCCC1 ZINC000456208114 388184606 /nfs/dbraw/zinc/18/46/06/388184606.db2.gz BGNBCEMKIYDBKR-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN c1c[nH]c(CNCC[C@H]2CCc3ccccc32)n1 ZINC000449373230 388184902 /nfs/dbraw/zinc/18/49/02/388184902.db2.gz BIMPZAXXDXNECS-CYBMUJFWSA-N 0 3 241.338 2.619 20 0 BFADHN CCCN(CCN(C)C)C(=O)CCCC(C)C ZINC000448906769 388119143 /nfs/dbraw/zinc/11/91/43/388119143.db2.gz BDBPTDYGXPZXKK-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN CCC[C@@H]1CCCN([C@@H](CC)C(=O)OCC)C1 ZINC000466463817 388119341 /nfs/dbraw/zinc/11/93/41/388119341.db2.gz HXPJPPVQDIIYPD-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN CC[C@@H](CNC1(c2ccccc2OC)CC1)OC ZINC000646001729 388122973 /nfs/dbraw/zinc/12/29/73/388122973.db2.gz HSEZAJJDCUZHBO-LBPRGKRZSA-N 0 3 249.354 2.699 20 0 BFADHN Cc1cccc(C2(NCCF)CCC2)c1 ZINC000646021858 388126093 /nfs/dbraw/zinc/12/60/93/388126093.db2.gz DZOZHYSUCIJJDS-UHFFFAOYSA-N 0 3 207.292 2.933 20 0 BFADHN C[C@H](O)CCNC1(c2ccccc2F)CCC1 ZINC000646024245 388126254 /nfs/dbraw/zinc/12/62/54/388126254.db2.gz YFULBSVKNDVKGS-NSHDSACASA-N 0 3 237.318 2.565 20 0 BFADHN CC[C@H](C)NC(=O)[C@H](C)N(C)CCC(C)(C)C ZINC000646027302 388126877 /nfs/dbraw/zinc/12/68/77/388126877.db2.gz DBOIIJANXXOQFY-RYUDHWBXSA-N 0 3 242.407 2.658 20 0 BFADHN CCCCNC(=O)[C@@H](C)N(C)CCC(C)(C)C ZINC000646027629 388126943 /nfs/dbraw/zinc/12/69/43/388126943.db2.gz UAVGKSDJGZVLRK-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN OCCCCNC1(c2ccccc2F)CCC1 ZINC000646026261 388127438 /nfs/dbraw/zinc/12/74/38/388127438.db2.gz GTPOWIREBUGBIB-UHFFFAOYSA-N 0 3 237.318 2.567 20 0 BFADHN Cc1nocc1CN1[C@H](C)CCC[C@@H]1C ZINC000646046806 388131671 /nfs/dbraw/zinc/13/16/71/388131671.db2.gz GGNJOSJBGOHSNJ-AOOOYVTPSA-N 0 3 208.305 2.746 20 0 BFADHN C[C@H](NCCC(C)(C)C)c1nccn1C ZINC000162233426 388132256 /nfs/dbraw/zinc/13/22/56/388132256.db2.gz XXGOLLNPFJXYEE-JTQLQIEISA-N 0 3 209.337 2.507 20 0 BFADHN CC(C)CCN[C@@H](CCO)c1ccccc1F ZINC000646067325 388135863 /nfs/dbraw/zinc/13/58/63/388135863.db2.gz UNCLPXUHSPKOIC-AWEZNQCLSA-N 0 3 239.334 2.885 20 0 BFADHN CCn1nnc(C)c1CNCCC1=CCCCC1 ZINC000449066761 388137897 /nfs/dbraw/zinc/13/78/97/388137897.db2.gz FKFNSEMOKTTZDB-UHFFFAOYSA-N 0 3 248.374 2.587 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccoc2C)CCO1 ZINC000449087800 388140210 /nfs/dbraw/zinc/14/02/10/388140210.db2.gz WULKWNJYGRMQPM-OLZOCXBDSA-N 0 3 223.316 2.635 20 0 BFADHN CCOc1ccc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)nc1 ZINC000646091153 388140600 /nfs/dbraw/zinc/14/06/00/388140600.db2.gz FTXFOHGLOCFRSF-BYCMXARLSA-N 0 3 246.354 2.759 20 0 BFADHN CC[C@H](C)[C@H](O)CNCc1cccc(C)c1F ZINC000449144684 388148503 /nfs/dbraw/zinc/14/85/03/388148503.db2.gz JNMISUANZXQWQO-GXFFZTMASA-N 0 3 239.334 2.631 20 0 BFADHN COCCNC1(c2ccc(C)cc2)CCC1 ZINC000646130915 388150149 /nfs/dbraw/zinc/15/01/49/388150149.db2.gz RFRQGPSVDJBOBP-UHFFFAOYSA-N 0 3 219.328 2.610 20 0 BFADHN C[C@@H](NCc1ccncc1F)C1CCCC1 ZINC000449157403 388150357 /nfs/dbraw/zinc/15/03/57/388150357.db2.gz VHXOTAOLHBEJJH-SNVBAGLBSA-N 0 3 222.307 2.889 20 0 BFADHN C[C@@H](NC[C@@H](O)C(C)(C)C)c1cccc(F)c1 ZINC000164092113 388151883 /nfs/dbraw/zinc/15/18/83/388151883.db2.gz YWVAFVZNHYIBKB-ZWNOBZJWSA-N 0 3 239.334 2.883 20 0 BFADHN CCSCC[C@H](C)NCc1ccncc1F ZINC000449172283 388152258 /nfs/dbraw/zinc/15/22/58/388152258.db2.gz VOSDVJCSWSVOIQ-JTQLQIEISA-N 0 3 242.363 2.842 20 0 BFADHN c1cc(CN2CCCC[C@@H]2C2CCC2)n[nH]1 ZINC000646140092 388154539 /nfs/dbraw/zinc/15/45/39/388154539.db2.gz SLZVGRNPFDGZBL-CYBMUJFWSA-N 0 3 219.332 2.564 20 0 BFADHN c1cc(CN2CCCC[C@H]2C2CCC2)n[nH]1 ZINC000646140093 388154701 /nfs/dbraw/zinc/15/47/01/388154701.db2.gz SLZVGRNPFDGZBL-ZDUSSCGKSA-N 0 3 219.332 2.564 20 0 BFADHN CCSCCNCc1ccccc1OC ZINC000164786410 388248596 /nfs/dbraw/zinc/24/85/96/388248596.db2.gz KELGFNCYCOPGBO-UHFFFAOYSA-N 0 3 225.357 2.538 20 0 BFADHN CC[C@@H](NCc1nccnc1OC)C1CCCC1 ZINC000449671619 388251513 /nfs/dbraw/zinc/25/15/13/388251513.db2.gz SMTZSPCZLPKWHN-GFCCVEGCSA-N 0 3 249.358 2.544 20 0 BFADHN CCC1(CNCc2cscn2)CCC1 ZINC000165581840 388252833 /nfs/dbraw/zinc/25/28/33/388252833.db2.gz UIKGELYDNZYGHY-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN C[C@@H](NCCO)c1cc(Cl)cc(Cl)c1 ZINC000166496522 388255002 /nfs/dbraw/zinc/25/50/02/388255002.db2.gz WASZZRPXDFXDIK-SSDOTTSWSA-N 0 3 234.126 2.636 20 0 BFADHN CO[C@H](C)CN[C@@H]1CCCc2sccc21 ZINC000167066827 388255943 /nfs/dbraw/zinc/25/59/43/388255943.db2.gz JYQXZEQOYVAOBK-MWLCHTKSSA-N 0 3 225.357 2.750 20 0 BFADHN Cc1ccc2c(c1)nc(CNC[C@@H]1C[C@@H]1C)n2C ZINC000488578971 388189326 /nfs/dbraw/zinc/18/93/26/388189326.db2.gz IRZUAJCESBSIIR-RYUDHWBXSA-N 0 3 243.354 2.627 20 0 BFADHN F[C@H]1CCN(CCCCOc2ccccc2)C1 ZINC000449393936 388193665 /nfs/dbraw/zinc/19/36/65/388193665.db2.gz NBTFZGRLNWSFAV-ZDUSSCGKSA-N 0 3 237.318 2.889 20 0 BFADHN CC1(C)COC[C@@H]1N[C@H]1CCc2cc(F)ccc21 ZINC000313036843 256533792 /nfs/dbraw/zinc/53/37/92/256533792.db2.gz NGLXNHCGYLADCB-KBPBESRZSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1cc(C)cc(CN[C@H]2COCC2(C)C)c1 ZINC000313043221 256534647 /nfs/dbraw/zinc/53/46/47/256534647.db2.gz UFDLEALUONIGHF-AWEZNQCLSA-N 0 3 233.355 2.818 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CCC[C@H](C)C2)[n-]1 ZINC000449405003 388195924 /nfs/dbraw/zinc/19/59/24/388195924.db2.gz HNSXHSPGQWSUNJ-QWRGUYRKSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CCC[C@H](C)C2)[nH]1 ZINC000449405003 388195925 /nfs/dbraw/zinc/19/59/25/388195925.db2.gz HNSXHSPGQWSUNJ-QWRGUYRKSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H](C)CCC2CC2)[nH]1 ZINC000449406205 388196083 /nfs/dbraw/zinc/19/60/83/388196083.db2.gz UUXLRNLKKSIBLK-JTQLQIEISA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H](C)CCC2CC2)[n-]1 ZINC000449406205 388196085 /nfs/dbraw/zinc/19/60/85/388196085.db2.gz UUXLRNLKKSIBLK-JTQLQIEISA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H](C)CCC2CC2)[nH]1 ZINC000449406206 388196367 /nfs/dbraw/zinc/19/63/67/388196367.db2.gz UUXLRNLKKSIBLK-SNVBAGLBSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H](C)CCC2CC2)[n-]1 ZINC000449406206 388196368 /nfs/dbraw/zinc/19/63/68/388196368.db2.gz UUXLRNLKKSIBLK-SNVBAGLBSA-N 0 3 236.363 2.596 20 0 BFADHN CCN(C[C@@H]1C[C@H]1c1ccccc1)C1COC1 ZINC000449421997 388200869 /nfs/dbraw/zinc/20/08/69/388200869.db2.gz BYCWGFHQKUUWHP-ZFWWWQNUSA-N 0 3 231.339 2.511 20 0 BFADHN COc1ccc(OC)c(CN2CC[C@@H]2C2CC2)c1 ZINC000449429575 388203928 /nfs/dbraw/zinc/20/39/28/388203928.db2.gz XAVDEOCCLKQPBK-CQSZACIVSA-N 0 3 247.338 2.688 20 0 BFADHN CC(C)C[C@@]1(C)CCCN1Cc1nccn1C ZINC000449433030 388204675 /nfs/dbraw/zinc/20/46/75/388204675.db2.gz KLHUBWXEQAUMFT-CQSZACIVSA-N 0 3 235.375 2.821 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1cocn1 ZINC000449433640 388205683 /nfs/dbraw/zinc/20/56/83/388205683.db2.gz NCFALURUMLLQTK-WDEREUQCSA-N 0 3 208.305 2.591 20 0 BFADHN CC/C=C/CNCc1cn(C(C)C)nc1C ZINC000449455882 388210140 /nfs/dbraw/zinc/21/01/40/388210140.db2.gz LZJKWMKLPAHBBS-VOTSOKGWSA-N 0 3 221.348 2.828 20 0 BFADHN CC/C=C/CNCc1c[nH]nc1C(C)(C)C ZINC000449455115 388210294 /nfs/dbraw/zinc/21/02/94/388210294.db2.gz KRUHZNLGEMQREY-VOTSOKGWSA-N 0 3 221.348 2.763 20 0 BFADHN CC/C=C/CNCc1cnc2c(C)cccn12 ZINC000449460347 388210779 /nfs/dbraw/zinc/21/07/79/388210779.db2.gz RMJHLSNSXLTOOS-SNAWJCMRSA-N 0 3 229.327 2.699 20 0 BFADHN CC/C=C/CNCc1cccc2c[nH]nc21 ZINC000449463708 388211593 /nfs/dbraw/zinc/21/15/93/388211593.db2.gz XIPLFDOHGVNLIG-ONEGZZNKSA-N 0 3 215.300 2.619 20 0 BFADHN Cc1noc(C)c1CCN(C)[C@H]1CC1(C)C ZINC000489428004 388212411 /nfs/dbraw/zinc/21/24/11/388212411.db2.gz OECXKUZMUZMODN-LBPRGKRZSA-N 0 3 222.332 2.564 20 0 BFADHN COc1cccc(CN(C)[C@@H]2CC2(C)C)c1 ZINC000489417177 388212885 /nfs/dbraw/zinc/21/28/85/388212885.db2.gz WJHKKTXTRCDKSP-CYBMUJFWSA-N 0 3 219.328 2.926 20 0 BFADHN CN(Cc1ccc2c(c1)OCCO2)[C@H]1CC1(C)C ZINC000489431771 388213771 /nfs/dbraw/zinc/21/37/71/388213771.db2.gz RYXMFUDFVKLXEM-AWEZNQCLSA-N 0 3 247.338 2.688 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1cc(C)ccn1)OC ZINC000449476401 388214558 /nfs/dbraw/zinc/21/45/58/388214558.db2.gz MSVOXMMRKIQGCV-JSGCOSHPSA-N 0 3 236.359 2.856 20 0 BFADHN CCC[C@@H](NCc1ncc[nH]1)C(C)(C)C ZINC000449477884 388214561 /nfs/dbraw/zinc/21/45/61/388214561.db2.gz XDFKPXDTNJIMBP-SNVBAGLBSA-N 0 3 209.337 2.714 20 0 BFADHN CCc1ccc(CNC[C@](C)(CC)OC)cn1 ZINC000449479588 388215513 /nfs/dbraw/zinc/21/55/13/388215513.db2.gz VMLBJBQUARTXRE-AWEZNQCLSA-N 0 3 236.359 2.549 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(C)o2)C[C@@H]1C ZINC000449494148 388217876 /nfs/dbraw/zinc/21/78/76/388217876.db2.gz MVNUNLJDEVYVNH-FZMZJTMJSA-N 0 3 237.343 2.835 20 0 BFADHN CCO[C@H]1CCN(Cc2ccco2)C[C@H]1C ZINC000449491469 388217985 /nfs/dbraw/zinc/21/79/85/388217985.db2.gz GYVWNGATALVKNI-YPMHNXCESA-N 0 3 223.316 2.527 20 0 BFADHN Cc1nc2ccccn2c1CN(C)[C@@H]1CC1(C)C ZINC000489504022 388219881 /nfs/dbraw/zinc/21/98/81/388219881.db2.gz WIMFBFLGPGPTCG-CYBMUJFWSA-N 0 3 243.354 2.873 20 0 BFADHN COc1cccc(CN2CC[C@@H](OC)[C@H](C)C2)c1 ZINC000449512300 388221334 /nfs/dbraw/zinc/22/13/34/388221334.db2.gz ZLAZYPHVLYPHRV-IUODEOHRSA-N 0 3 249.354 2.552 20 0 BFADHN COC[C@@H](CC(C)C)NCc1cnccc1C ZINC000449523000 388223230 /nfs/dbraw/zinc/22/32/30/388223230.db2.gz KJFDBRBANFEWGP-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN CC(C)n1cc(CNC23CCC(CC2)CC3)nn1 ZINC000449538484 388227148 /nfs/dbraw/zinc/22/71/48/388227148.db2.gz KTVXNSSBMZPMNG-UHFFFAOYSA-N 0 3 248.374 2.671 20 0 BFADHN Cc1noc(C)c1CN1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000449540340 388227394 /nfs/dbraw/zinc/22/73/94/388227394.db2.gz MSQFHDPPGMEGOM-GJZGRUSLSA-N 0 3 246.354 2.912 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1ccn(C(F)F)n1 ZINC000449553540 388230571 /nfs/dbraw/zinc/23/05/71/388230571.db2.gz MZFXYPPXZGNDMA-ONGXEEELSA-N 0 3 243.301 2.660 20 0 BFADHN Cc1nn(C)cc1CN1C[C@@H](C(C)C)[C@@H]1C(C)C ZINC000449553749 388231212 /nfs/dbraw/zinc/23/12/12/388231212.db2.gz MRQQXEGZSOJNQC-GJZGRUSLSA-N 0 3 249.402 2.841 20 0 BFADHN Cc1c[nH]nc1CN1C[C@@H](C(C)C)[C@@H]1C(C)C ZINC000449559980 388231839 /nfs/dbraw/zinc/23/18/39/388231839.db2.gz ZZNQRNAQXGXOGK-JSGCOSHPSA-N 0 3 235.375 2.831 20 0 BFADHN COCC[C@@H](C)NCc1nc(C2CC2)cs1 ZINC000090458385 256581929 /nfs/dbraw/zinc/58/19/29/256581929.db2.gz FAYNBULCQBGJQD-SECBINFHSA-N 0 3 240.372 2.535 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nccn1CC)C(C)C ZINC000449562748 388232517 /nfs/dbraw/zinc/23/25/17/388232517.db2.gz NRVDJNWWGPBEDU-NWDGAFQWSA-N 0 3 223.364 2.988 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H](C)CC(C)C ZINC000449561348 388232671 /nfs/dbraw/zinc/23/26/71/388232671.db2.gz BTZDHPYWGXTSAQ-NWDGAFQWSA-N 0 3 223.364 2.988 20 0 BFADHN CCC[C@H](C)N[C@H](C)c1nccn1CC ZINC000449562775 388232834 /nfs/dbraw/zinc/23/28/34/388232834.db2.gz NXZFIALVLUZJRQ-WDEREUQCSA-N 0 3 209.337 2.742 20 0 BFADHN CCC[C@@H](C)CN[C@@H](C)c1nccn1CC ZINC000449562284 388233246 /nfs/dbraw/zinc/23/32/46/388233246.db2.gz ISZPUEMQMMQRCF-NEPJUHHUSA-N 0 3 223.364 2.990 20 0 BFADHN CCn1ccnc1[C@H](C)NC1CC(C)(C)C1 ZINC000449566723 388234960 /nfs/dbraw/zinc/23/49/60/388234960.db2.gz QXWXKMSEAHGDPO-JTQLQIEISA-N 0 3 221.348 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)Cc1ccoc1 ZINC000449567472 388235258 /nfs/dbraw/zinc/23/52/58/388235258.db2.gz WBKZCUKVLBCFTJ-NEPJUHHUSA-N 0 3 247.342 2.778 20 0 BFADHN CN(Cc1cccs1)[C@H](CO)CC(C)(C)C ZINC000449582325 388237357 /nfs/dbraw/zinc/23/73/57/388237357.db2.gz FRIXLFVXZDUDPJ-NSHDSACASA-N 0 3 241.400 2.977 20 0 BFADHN COCc1nc(CN[C@H](C)CC2CC2)cs1 ZINC000164565806 388240291 /nfs/dbraw/zinc/24/02/91/388240291.db2.gz DTENLDLMYSEHLO-SECBINFHSA-N 0 3 240.372 2.568 20 0 BFADHN C[C@@H](O)C[C@@H](C)NCc1cc(Cl)cs1 ZINC000164613119 388241512 /nfs/dbraw/zinc/24/15/12/388241512.db2.gz SRENLYFWBOUQNO-HTQZYQBOSA-N 0 3 233.764 2.651 20 0 BFADHN CCc1ncc(CN[C@H]2CCC[C@@H](C)C2)o1 ZINC000449608460 388241677 /nfs/dbraw/zinc/24/16/77/388241677.db2.gz HXESRWRKGGELOL-MNOVXSKESA-N 0 3 222.332 2.905 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC[C@H]2C2CC2)o1 ZINC000449610306 388242222 /nfs/dbraw/zinc/24/22/22/388242222.db2.gz QBQZVPCVBJFESR-QWHCGFSZSA-N 0 3 234.343 2.905 20 0 BFADHN CCCc1ncc(CN[C@H](C)CC2CC2)o1 ZINC000449613076 388243449 /nfs/dbraw/zinc/24/34/49/388243449.db2.gz QPNIUCBVTYIOML-SNVBAGLBSA-N 0 3 222.332 2.905 20 0 BFADHN CO[C@H]1C[C@H](N(C)Cc2ccco2)C12CCC2 ZINC000449630352 388245898 /nfs/dbraw/zinc/24/58/98/388245898.db2.gz HMKAKRMJAWIVAI-STQMWFEESA-N 0 3 235.327 2.669 20 0 BFADHN CO[C@@H]1C[C@@H](N(C)Cc2ccco2)C12CCC2 ZINC000449630263 388246008 /nfs/dbraw/zinc/24/60/08/388246008.db2.gz HMKAKRMJAWIVAI-CHWSQXEVSA-N 0 3 235.327 2.669 20 0 BFADHN CC(C)(C)OCCN1CCSC[C@H]1C1CC1 ZINC000451644009 388287251 /nfs/dbraw/zinc/28/72/51/388287251.db2.gz ATAPYWGPFJWIKC-LBPRGKRZSA-N 0 3 243.416 2.629 20 0 BFADHN CCCCOCCN1CCSC[C@@H]1C1CC1 ZINC000451646819 388287953 /nfs/dbraw/zinc/28/79/53/388287953.db2.gz KKBKIQAVWKNEAW-CYBMUJFWSA-N 0 3 243.416 2.631 20 0 BFADHN O[C@@H]1CC[C@H]2CN(CC3=CCCCC3)CC[C@H]2C1 ZINC000451694704 388290020 /nfs/dbraw/zinc/29/00/20/388290020.db2.gz CMFUKQNILVRRRH-HRCADAONSA-N 0 3 249.398 2.970 20 0 BFADHN CC[C@@H]1CN(CC)CCN1CCCCCF ZINC000451741943 388292652 /nfs/dbraw/zinc/29/26/52/388292652.db2.gz QIHNWXPCXSAXCA-CYBMUJFWSA-N 0 3 230.371 2.542 20 0 BFADHN CC(C)OCCN1CCCc2ccccc2CC1 ZINC000451745936 388293611 /nfs/dbraw/zinc/29/36/11/388293611.db2.gz WNYNNXUWWHUELN-UHFFFAOYSA-N 0 3 247.382 2.902 20 0 BFADHN CC1(C)COC[C@@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000451809135 388297602 /nfs/dbraw/zinc/29/76/02/388297602.db2.gz LQENZGMELWTIQN-KBPBESRZSA-N 0 3 249.329 2.828 20 0 BFADHN CC[C@@H](C)N1CCN(CCSC(C)C)CC1 ZINC000451836156 388299659 /nfs/dbraw/zinc/29/96/59/388299659.db2.gz GTBIPQJYLIYALK-CYBMUJFWSA-N 0 3 244.448 2.544 20 0 BFADHN CCCCN(CCCC)C(=O)/C=C\CN(C)C ZINC000491868695 388325639 /nfs/dbraw/zinc/32/56/39/388325639.db2.gz MDULJFWOUWGVHC-KTKRTIGZSA-N 0 3 240.391 2.533 20 0 BFADHN Cc1cc(CN2C[C@@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)on1 ZINC000451373596 388267069 /nfs/dbraw/zinc/26/70/69/388267069.db2.gz RXWQPYIQRZYMAE-OSRDXIQISA-N 0 3 244.338 2.627 20 0 BFADHN Cc1oncc1CN[C@H]1CCCC1(C)C ZINC000191869139 388268779 /nfs/dbraw/zinc/26/87/79/388268779.db2.gz IZXIFYHGTBYJLZ-NSHDSACASA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CCC23CCCC3)nn1C ZINC000451461815 388275920 /nfs/dbraw/zinc/27/59/20/388275920.db2.gz UTPXWHIFZKQGRU-ZDUSSCGKSA-N 0 3 233.359 2.541 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc(C)nc2C)C1(C)C ZINC000451488759 388277059 /nfs/dbraw/zinc/27/70/59/388277059.db2.gz GSNKAEOCGMUKOT-KBPBESRZSA-N 0 3 248.370 2.602 20 0 BFADHN CCc1ccc(NC(=O)/C=C/CN(C)C)cc1C ZINC000492322274 388341320 /nfs/dbraw/zinc/34/13/20/388341320.db2.gz VMKVFFVPOJBXKP-VOTSOKGWSA-N 0 3 246.354 2.614 20 0 BFADHN CCSCCN[C@H]1CCc2c1cccc2O ZINC000275724415 388345746 /nfs/dbraw/zinc/34/57/46/388345746.db2.gz MPJVSQUPXWBWEJ-LBPRGKRZSA-N 0 3 237.368 2.722 20 0 BFADHN CCN1CCN([C@@H]2C=CCCCCC2)CC1 ZINC000551069199 388354554 /nfs/dbraw/zinc/35/45/54/388354554.db2.gz OKMBDHCVPMWPAB-CQSZACIVSA-N 0 3 222.376 2.513 20 0 BFADHN Cc1ncc(CNCCSC(C)C)s1 ZINC000290101985 388365466 /nfs/dbraw/zinc/36/54/66/388365466.db2.gz KIRFQJWOXSRDOD-UHFFFAOYSA-N 0 3 230.402 2.683 20 0 BFADHN CC1(C)COC[C@@H]1NCc1cc2ccccc2[nH]1 ZINC000648795773 388366292 /nfs/dbraw/zinc/36/62/92/388366292.db2.gz ZYRGGACJWVKBIV-AWEZNQCLSA-N 0 3 244.338 2.683 20 0 BFADHN CSC1(CNCc2ccc(Cl)cn2)CC1 ZINC000290378624 388367626 /nfs/dbraw/zinc/36/76/26/388367626.db2.gz NUNUMFNLFDDCOP-UHFFFAOYSA-N 0 3 242.775 2.720 20 0 BFADHN CC[C@H]1CCCC[C@H]1CNCc1cnccn1 ZINC000572724948 388370826 /nfs/dbraw/zinc/37/08/26/388370826.db2.gz PFRNOFJZBUOXEO-STQMWFEESA-N 0 3 233.359 2.783 20 0 BFADHN C[C@H](c1cccc(Cl)c1)N(C)C[C@H](C)O ZINC000235549549 388371983 /nfs/dbraw/zinc/37/19/83/388371983.db2.gz UUOBLMSJTAKKEV-VHSXEESVSA-N 0 3 227.735 2.714 20 0 BFADHN C[C@@H]1[C@H](O)CCN1Cc1cc2cc(F)ccc2o1 ZINC000648800820 388372636 /nfs/dbraw/zinc/37/26/36/388372636.db2.gz UNWGGICSBSKKLE-NOZJJQNGSA-N 0 3 249.285 2.527 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1sc(C)nc1C)OC ZINC000290217969 388376114 /nfs/dbraw/zinc/37/61/14/388376114.db2.gz QNTWZAKXWLSLGK-KWQFWETISA-N 0 3 242.388 2.836 20 0 BFADHN CC[C@@H](CN[C@H](C)c1sc(C)nc1C)OC ZINC000290217968 388376339 /nfs/dbraw/zinc/37/63/39/388376339.db2.gz QNTWZAKXWLSLGK-KCJUWKMLSA-N 0 3 242.388 2.836 20 0 BFADHN CCC[C@@H](C)NC(=O)[C@H](C)N(C)CCC(C)C ZINC000193569104 388377396 /nfs/dbraw/zinc/37/73/96/388377396.db2.gz XENRAUQFTKPRSA-OLZOCXBDSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1ccccc1[C@@H](C)N[C@H]1CO[C@H](C)C1 ZINC000401825289 388380569 /nfs/dbraw/zinc/38/05/69/388380569.db2.gz LLZSYHRCOOXYKJ-JHJVBQTASA-N 0 3 219.328 2.823 20 0 BFADHN CC(C)[C@H](O)CN(C)Cc1ccc(Cl)s1 ZINC000292514483 388382169 /nfs/dbraw/zinc/38/21/69/388382169.db2.gz DHYGXJJZCWLTPL-SNVBAGLBSA-N 0 3 247.791 2.850 20 0 BFADHN CCCCN(CCCO)Cc1occc1C ZINC000572887719 388384115 /nfs/dbraw/zinc/38/41/15/388384115.db2.gz YXIJUXHSTCBHHO-UHFFFAOYSA-N 0 3 225.332 2.573 20 0 BFADHN c1ccc(CN2CC[C@@H]3CCCO[C@H]3C2)cc1 ZINC000306631259 388385545 /nfs/dbraw/zinc/38/55/45/388385545.db2.gz NAONCAAJZIXQIV-GJZGRUSLSA-N 0 3 231.339 2.688 20 0 BFADHN COCc1ccc(CNC2(C(C)C)CC2)o1 ZINC000293133720 388386654 /nfs/dbraw/zinc/38/66/54/388386654.db2.gz SYKDELWFSPUEHF-UHFFFAOYSA-N 0 3 223.316 2.704 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)N[C@H](C)CCC(C)(C)C ZINC000236967266 388387387 /nfs/dbraw/zinc/38/73/87/388387387.db2.gz ROCQMAGIQLKMHC-UTUOFQBUSA-N 0 3 242.407 2.691 20 0 BFADHN CCC(C)(C)CC(=O)Nc1cccc(CN)c1 ZINC000646577983 388387719 /nfs/dbraw/zinc/38/77/19/388387719.db2.gz ABOAMEMIVLFFSQ-UHFFFAOYSA-N 0 3 234.343 2.910 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)N[C@@H](C)CCC(C)(C)C ZINC000236958906 388387974 /nfs/dbraw/zinc/38/79/74/388387974.db2.gz ROCQMAGIQLKMHC-WOPDTQHZSA-N 0 3 242.407 2.691 20 0 BFADHN Cc1nocc1CNC1(C)CCCCC1 ZINC000293548859 388389545 /nfs/dbraw/zinc/38/95/45/388389545.db2.gz KXFILFHAGSKPLN-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN CNCc1ccccc1NC(=O)CCC1CCC1 ZINC000237112332 388389936 /nfs/dbraw/zinc/38/99/36/388389936.db2.gz WPNIBAUFQJWNLN-UHFFFAOYSA-N 0 3 246.354 2.925 20 0 BFADHN Cc1ccoc1CNCCc1scnc1C ZINC000295005590 388406332 /nfs/dbraw/zinc/40/63/32/388406332.db2.gz LQOZCROGGDVVTN-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN Cc1nocc1CN[C@@H]1CCC[C@@H](C)C1 ZINC000294024874 388394118 /nfs/dbraw/zinc/39/41/18/388394118.db2.gz HQYGDZNQCDIMMW-BXKDBHETSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1nocc1CNCC1CCCCC1 ZINC000294187983 388396268 /nfs/dbraw/zinc/39/62/68/388396268.db2.gz QVEYDEICXOGVKK-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CC1(C2(NCc3cnc4ccccn34)CC2)CC1 ZINC000648809245 388398415 /nfs/dbraw/zinc/39/84/15/388398415.db2.gz DSKGMAAKZKNYOU-UHFFFAOYSA-N 0 3 241.338 2.757 20 0 BFADHN CC(C)N1CC[C@@]2(C1)CC(=O)c1ccccc1O2 ZINC000164758759 388398574 /nfs/dbraw/zinc/39/85/74/388398574.db2.gz RDDSQQQELGNUCQ-HNNXBMFYSA-N 0 3 245.322 2.505 20 0 BFADHN C[C@@H](NCCC(C)(F)F)c1cccnc1 ZINC000294306014 388398871 /nfs/dbraw/zinc/39/88/71/388398871.db2.gz VNCNVCZXAUAUOD-SECBINFHSA-N 0 3 214.259 2.778 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1csc(C)c1 ZINC000294335866 388399118 /nfs/dbraw/zinc/39/91/18/388399118.db2.gz YDWWTWFXXCBKMX-CMPLNLGQSA-N 0 3 227.373 2.868 20 0 BFADHN COc1ccc(CNCCC(C)(F)F)cc1 ZINC000294312533 388399282 /nfs/dbraw/zinc/39/92/82/388399282.db2.gz VZXLQYUTTPVHCN-UHFFFAOYSA-N 0 3 229.270 2.830 20 0 BFADHN COC1(CCN[C@H](C)c2ccccn2)CCC1 ZINC000294447745 388400667 /nfs/dbraw/zinc/40/06/67/388400667.db2.gz FSYYFPSQOITIMJ-GFCCVEGCSA-N 0 3 234.343 2.691 20 0 BFADHN O[C@H](CNCc1ccc(Cl)s1)C1CCC1 ZINC000315695196 388402241 /nfs/dbraw/zinc/40/22/41/388402241.db2.gz FFIOPURCPDTUJP-SNVBAGLBSA-N 0 3 245.775 2.652 20 0 BFADHN CC[C@H](N[C@@H](c1cccc(C)c1)C(C)C)C(N)=O ZINC000528769826 388402547 /nfs/dbraw/zinc/40/25/47/388402547.db2.gz VVVBTPMLUZTQAQ-UONOGXRCSA-N 0 3 248.370 2.546 20 0 BFADHN OC[C@H]1C[C@@H](N[C@@H]2CCSc3ccccc32)C1 ZINC000631668265 388402879 /nfs/dbraw/zinc/40/28/79/388402879.db2.gz KSPJWPFLURCNEI-NTZNESFSSA-N 0 3 249.379 2.584 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)/C=C\CN(C)C ZINC000492068423 388333512 /nfs/dbraw/zinc/33/35/12/388333512.db2.gz JZAMADPTEJVQNX-BIRHUZIMSA-N 0 3 240.391 2.579 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cccc(Cl)c2)[C@H](C)O1 ZINC000294696939 388403791 /nfs/dbraw/zinc/40/37/91/388403791.db2.gz GKKYWVRDHQGIME-GBIKHYSHSA-N 0 3 239.746 2.996 20 0 BFADHN CC[C@](C)(CNCc1ccccc1OC)OC ZINC000322634844 388441718 /nfs/dbraw/zinc/44/17/18/388441718.db2.gz AQEYFPCDNLZYIM-CQSZACIVSA-N 0 3 237.343 2.600 20 0 BFADHN CCN(Cc1cn2ccccc2n1)CC(C)C ZINC000193799638 388445280 /nfs/dbraw/zinc/44/52/80/388445280.db2.gz VOLYKSGTEMBHDO-UHFFFAOYSA-N 0 3 231.343 2.812 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cccc(F)c2F)CS1 ZINC000334851475 388446792 /nfs/dbraw/zinc/44/67/92/388446792.db2.gz DBUJKVZVTYCQQG-PSASIEDQSA-N 0 3 243.322 2.948 20 0 BFADHN CC[C@H](CN[C@H](C)c1ccsc1)OC ZINC000290196485 388446950 /nfs/dbraw/zinc/44/69/50/388446950.db2.gz OTGONQNNVYTCPU-MWLCHTKSSA-N 0 3 213.346 2.824 20 0 BFADHN Cc1ccc(CNC2CCC(C)(C)CC2)nn1 ZINC000335179864 388449243 /nfs/dbraw/zinc/44/92/43/388449243.db2.gz QYEGZFDVQVIZHF-UHFFFAOYSA-N 0 3 233.359 2.843 20 0 BFADHN CN(Cc1cc(CO)ccc1F)CC(C)(C)C ZINC000528369547 388451911 /nfs/dbraw/zinc/45/19/11/388451911.db2.gz RRZVQXSABBGJBC-UHFFFAOYSA-N 0 3 239.334 2.796 20 0 BFADHN CCO[C@@H]1C[C@@H](NCC2(F)CC2)C12CCCC2 ZINC000525989081 388452649 /nfs/dbraw/zinc/45/26/49/388452649.db2.gz BFEXDQJQLNRZKJ-VXGBXAGGSA-N 0 3 241.350 2.816 20 0 BFADHN CN(CC1(F)CC1)CC1(O)CCCCCC1 ZINC000525991974 388452957 /nfs/dbraw/zinc/45/29/57/388452957.db2.gz MKKSKFJDYDTEMB-UHFFFAOYSA-N 0 3 229.339 2.506 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1ccc2c(c1)CCO2 ZINC000525996752 388453974 /nfs/dbraw/zinc/45/39/74/388453974.db2.gz FTBGPOBUNUQXEC-QWRGUYRKSA-N 0 3 217.312 2.604 20 0 BFADHN CC[C@@H](C)N[C@H]1COc2ccc(F)cc21 ZINC000335714802 388454275 /nfs/dbraw/zinc/45/42/75/388454275.db2.gz JPFVKBGRNZBBCZ-KCJUWKMLSA-N 0 3 209.264 2.647 20 0 BFADHN Cc1nocc1CN[C@H]1CCC12CCCC2 ZINC000336370439 388457856 /nfs/dbraw/zinc/45/78/56/388457856.db2.gz FEPMSLFURLDMNS-LBPRGKRZSA-N 0 3 220.316 2.795 20 0 BFADHN C[C@@H](CF)NCc1cccc2cc[nH]c21 ZINC000288419299 388459424 /nfs/dbraw/zinc/45/94/24/388459424.db2.gz JOSWNCPLJDXHOJ-VIFPVBQESA-N 0 3 206.264 2.616 20 0 BFADHN C[C@H](NC1CC1)c1cnn(C2CCCC2)c1 ZINC000336721936 388469187 /nfs/dbraw/zinc/46/91/87/388469187.db2.gz ANYSJRCSYIMWPC-JTQLQIEISA-N 0 3 219.332 2.811 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1nccs1 ZINC000337651727 388473747 /nfs/dbraw/zinc/47/37/47/388473747.db2.gz LNAHDTFCXSRSTK-ZRUFSTJUSA-N 0 3 222.357 2.982 20 0 BFADHN COCC[C@H](C)Nc1cc(C)cc(C)n1 ZINC000134351058 388475889 /nfs/dbraw/zinc/47/58/89/388475889.db2.gz NCXJVBFJLCAGCC-JTQLQIEISA-N 0 3 208.305 2.535 20 0 BFADHN Cc1nc(CN[C@H](C)C2CC2)sc1C ZINC000086690543 388407564 /nfs/dbraw/zinc/40/75/64/388407564.db2.gz MHSFIDAUXGZQHI-MRVPVSSYSA-N 0 3 210.346 2.648 20 0 BFADHN O[C@H](CNCc1ccc(Cl)cc1)C1CCC1 ZINC000316273712 388407922 /nfs/dbraw/zinc/40/79/22/388407922.db2.gz GYKYIYYTCUIEIV-CYBMUJFWSA-N 0 3 239.746 2.591 20 0 BFADHN C[C@H](NCc1ccon1)C1CCCCC1 ZINC000086690553 388407970 /nfs/dbraw/zinc/40/79/70/388407970.db2.gz KUIGZYHKXMIRCC-JTQLQIEISA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H](CC(C)(C)O)NCc1ccc(F)c(F)c1 ZINC000295114596 388408748 /nfs/dbraw/zinc/40/87/48/388408748.db2.gz VPYCUZVKMXOYPE-VIFPVBQESA-N 0 3 243.297 2.604 20 0 BFADHN O[C@@H](CNCc1coc2ccccc12)C1CCC1 ZINC000316313334 388409294 /nfs/dbraw/zinc/40/92/94/388409294.db2.gz KEERBMUULOULFV-AWEZNQCLSA-N 0 3 245.322 2.683 20 0 BFADHN CCC(O)(CC)CNCc1cccc(C)c1F ZINC000295196924 388410482 /nfs/dbraw/zinc/41/04/82/388410482.db2.gz OQPSKEZYJRONBT-UHFFFAOYSA-N 0 3 239.334 2.775 20 0 BFADHN Cc1cccc(CN[C@H]2CC[C@H](O)CC2)c1F ZINC000295634435 388412152 /nfs/dbraw/zinc/41/21/52/388412152.db2.gz HUHZEVUGKGKSSY-JOCQHMNTSA-N 0 3 237.318 2.527 20 0 BFADHN Cc1cnc(CNCC2(C3CC3)CC2)s1 ZINC000295741018 388413619 /nfs/dbraw/zinc/41/36/19/388413619.db2.gz OUOKWBPRTXDNHG-UHFFFAOYSA-N 0 3 222.357 2.731 20 0 BFADHN c1nc(C2CC2)oc1CNCCc1ccccc1 ZINC000648824650 388415182 /nfs/dbraw/zinc/41/51/82/388415182.db2.gz YFBIDDVIWMVFSL-UHFFFAOYSA-N 0 3 242.322 2.884 20 0 BFADHN O[C@@H]1CCCN([C@@H]2CCCc3ccc(F)cc32)C1 ZINC000245509243 388420898 /nfs/dbraw/zinc/42/08/98/388420898.db2.gz KAPKCSSSUJBBSL-UKRRQHHQSA-N 0 3 249.329 2.660 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@H](O)C2CCC2)o1 ZINC000316868119 388422362 /nfs/dbraw/zinc/42/23/62/388422362.db2.gz ZNIMDWGCEOHHET-DDTOSNHZSA-N 0 3 249.354 2.654 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1csc(C)c1 ZINC000296892876 388426225 /nfs/dbraw/zinc/42/62/25/388426225.db2.gz QLKJKJOQETXYOE-UWVGGRQHSA-N 0 3 213.346 2.570 20 0 BFADHN Cc1ccoc1CN[C@H](Cn1cccn1)C(C)C ZINC000297407441 388429807 /nfs/dbraw/zinc/42/98/07/388429807.db2.gz SXBOUCRBHMFFCY-CYBMUJFWSA-N 0 3 247.342 2.599 20 0 BFADHN Fc1ccc(NC2CCN(C3CC3)CC2)cc1 ZINC000297669512 388430344 /nfs/dbraw/zinc/43/03/44/388430344.db2.gz KACOXSIHJPERJL-UHFFFAOYSA-N 0 3 234.318 2.864 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2cccnc2)C12CCC2 ZINC000308368340 388436491 /nfs/dbraw/zinc/43/64/91/388436491.db2.gz NABRFQVAUAOMCT-IACUBPJLSA-N 0 3 246.354 2.690 20 0 BFADHN CC[C@](C)(CNCc1cc(F)ccc1F)OC ZINC000322509527 388437500 /nfs/dbraw/zinc/43/75/00/388437500.db2.gz IMNRXFQZQOIDQL-CYBMUJFWSA-N 0 3 243.297 2.870 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1ccc(OC)cc1 ZINC000517118630 388538521 /nfs/dbraw/zinc/53/85/21/388538521.db2.gz BMERITBYAQAQCB-ZLKJLUDKSA-N 0 3 235.327 2.523 20 0 BFADHN CC(C)N(CC1CC(C)(C)C1)C1COC1 ZINC000528514111 388539424 /nfs/dbraw/zinc/53/94/24/388539424.db2.gz FREUUEXCTMFOEO-UHFFFAOYSA-N 0 3 211.349 2.532 20 0 BFADHN C/C(Cl)=C\CN1CCC[C@@]2(CCOC2)C1 ZINC000528517882 388541417 /nfs/dbraw/zinc/54/14/17/388541417.db2.gz IUASFGCVBPIYLJ-NDZKXSSTSA-N 0 3 229.751 2.632 20 0 BFADHN C/C(Cl)=C/CN1CCCC[C@H]1C1(O)CC1 ZINC000528519090 388541477 /nfs/dbraw/zinc/54/14/77/388541477.db2.gz ILLRXLNKWJDJCH-VQNWOSHQSA-N 0 3 229.751 2.508 20 0 BFADHN Cc1ccc(CNCCOC(F)(F)F)cc1C ZINC000353241455 388541536 /nfs/dbraw/zinc/54/15/36/388541536.db2.gz VZPWAGVQFBJMBP-UHFFFAOYSA-N 0 3 247.260 2.929 20 0 BFADHN CO[C@@H]1C[C@H](NC/C=C(\C)Cl)C12CCC2 ZINC000528517389 388541557 /nfs/dbraw/zinc/54/15/57/388541557.db2.gz DVVWWQOEHVRFFS-LTJOXERKSA-N 0 3 229.751 2.676 20 0 BFADHN Cc1nnc(CCN[C@H](C)c2ccccc2)s1 ZINC000353577449 388542676 /nfs/dbraw/zinc/54/26/76/388542676.db2.gz BVGKKSWDCJWTLS-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN C/C(Cl)=C\CN1CC[C@H](O)CC12CCC2 ZINC000528528417 388543758 /nfs/dbraw/zinc/54/37/58/388543758.db2.gz SAHWFACVVKBVJE-LFJXOHPOSA-N 0 3 229.751 2.508 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2c3cc(F)ccc3C[C@H]2C)CO1 ZINC000517235383 388544729 /nfs/dbraw/zinc/54/47/29/388544729.db2.gz JSJUTOZNYZHJMU-FRWLMAKKSA-N 0 3 249.329 2.826 20 0 BFADHN CCCN(Cc1cc(CO)ccc1F)C(C)C ZINC000528540273 388545022 /nfs/dbraw/zinc/54/50/22/388545022.db2.gz VWNYFAPAQMNWDA-UHFFFAOYSA-N 0 3 239.334 2.938 20 0 BFADHN Cc1nocc1CN[C@@H]1CC[C@H](C)[C@H](C)C1 ZINC000339346385 388482255 /nfs/dbraw/zinc/48/22/55/388482255.db2.gz AYKPKAULMRMVCF-OPQQBVKSSA-N 0 3 222.332 2.897 20 0 BFADHN CO[C@@H](C)CNCc1cc(C)cc(Cl)c1 ZINC000339364971 388482534 /nfs/dbraw/zinc/48/25/34/388482534.db2.gz JFJQVMWKYDOFAO-JTQLQIEISA-N 0 3 227.735 2.773 20 0 BFADHN CCC[C@@H](NCc1ccn(C)n1)[C@@H]1CC1(C)C ZINC000397619454 388484274 /nfs/dbraw/zinc/48/42/74/388484274.db2.gz KWJCGVDOHUCZIK-QWHCGFSZSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1ccc(CN2CCCC[C@H]2C[C@H](C)O)cn1 ZINC000405653692 388486008 /nfs/dbraw/zinc/48/60/08/388486008.db2.gz RSGSXBJYAAWIQI-ZFWWWQNUSA-N 0 3 248.370 2.515 20 0 BFADHN COc1ncccc1CN[C@@H](C)[C@@H]1CC1(C)C ZINC000397670506 388488608 /nfs/dbraw/zinc/48/86/08/388488608.db2.gz HTIXBDQEVFEYPN-JQWIXIFHSA-N 0 3 234.343 2.614 20 0 BFADHN Cc1ccoc1CN[C@@H](C)[C@]1(C)CCCO1 ZINC000340387431 388489920 /nfs/dbraw/zinc/48/99/20/388489920.db2.gz DXRKKEXFLZLEII-AAEUAGOBSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@@H](NCc1cc(C)on1)[C@@H]1CC1(C)C ZINC000397687898 388490826 /nfs/dbraw/zinc/49/08/26/388490826.db2.gz WCAJOZNLTIQTAA-NWDGAFQWSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@H](NC[C@@H]1CCCC1(C)C)c1nccn1C ZINC000340516023 388492150 /nfs/dbraw/zinc/49/21/50/388492150.db2.gz AREUQYBQYUZPGN-RYUDHWBXSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)n2ccnc21)[C@@H]1CC1(C)C ZINC000397711606 388493956 /nfs/dbraw/zinc/49/39/56/388493956.db2.gz PEXYGDPCBXKWTE-FIQHERPVSA-N 0 3 233.359 2.913 20 0 BFADHN CC[C@@H](NCc1ccon1)[C@H]1CC1(C)C ZINC000397841973 388505468 /nfs/dbraw/zinc/50/54/68/388505468.db2.gz UMITUNJOFHTHAU-GHMZBOCLSA-N 0 3 208.305 2.589 20 0 BFADHN CO[C@H](CNC/C=C\c1ccccc1)C(C)C ZINC000344729532 388508547 /nfs/dbraw/zinc/50/85/47/388508547.db2.gz NAUKYZLLMVFIDP-YYRKOSNBSA-N 0 3 233.355 2.960 20 0 BFADHN C[C@@H](N[C@H](c1ccccc1F)[C@H](C)O)C1CC1 ZINC000516872297 256804785 /nfs/dbraw/zinc/80/47/85/256804785.db2.gz UXIXFRQBQDEPQK-BFVZDQMLSA-N 0 3 237.318 2.636 20 0 BFADHN COC[C@@H](NCc1cc(C)ccc1F)C1CC1 ZINC000345029641 388510089 /nfs/dbraw/zinc/51/00/89/388510089.db2.gz OWTGFVUQXXSHPQ-CQSZACIVSA-N 0 3 237.318 2.649 20 0 BFADHN Cc1ncc(CN[C@@H](C)Cc2ccccc2F)o1 ZINC000397909688 388511369 /nfs/dbraw/zinc/51/13/69/388511369.db2.gz HFLDFDOSXLJOCP-JTQLQIEISA-N 0 3 248.301 2.843 20 0 BFADHN CC(C)[C@H](C)CN[C@H]1C[C@@H](C)n2ccnc21 ZINC000345346695 388512233 /nfs/dbraw/zinc/51/22/33/388512233.db2.gz CTPNILGOFLPFQN-UTUOFQBUSA-N 0 3 221.348 2.771 20 0 BFADHN CC[C@H](NCc1cnc(C)o1)[C@H]1CC1(C)C ZINC000397970314 388513348 /nfs/dbraw/zinc/51/33/48/388513348.db2.gz BFZMCPFHOKVRFG-NEPJUHHUSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)n2ccnc21)C1CCC1 ZINC000345357635 388513513 /nfs/dbraw/zinc/51/35/13/388513513.db2.gz PNRANTUFTCUQMI-JBLDHEPKSA-N 0 3 219.332 2.667 20 0 BFADHN c1cn2c(n1)[C@H](NCCCC1CCCC1)CC2 ZINC000345359222 388514194 /nfs/dbraw/zinc/51/41/94/388514194.db2.gz XMAZMRZOCGJERT-CYBMUJFWSA-N 0 3 233.359 2.888 20 0 BFADHN Cc1ncc(CN[C@H](C)[C@@H]2CC2(C)C)o1 ZINC000398019702 388514550 /nfs/dbraw/zinc/51/45/50/388514550.db2.gz UPVCLCDSYORKOO-KCJUWKMLSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1ncc(CN[C@@H](C)[C@H]2CC2(C)C)o1 ZINC000398019700 388515025 /nfs/dbraw/zinc/51/50/25/388515025.db2.gz UPVCLCDSYORKOO-GZMMTYOYSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1ccc(F)cc1CNC[C@H]1CC[C@@H](C)O1 ZINC000398041564 388515635 /nfs/dbraw/zinc/51/56/35/388515635.db2.gz QOABFQIKWGLIBG-BXUZGUMPSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1cc(F)ccc1F ZINC000136058944 388519530 /nfs/dbraw/zinc/51/95/30/388519530.db2.gz ZZQPTUMLJLJOAZ-BDAKNGLRSA-N 0 3 211.255 2.710 20 0 BFADHN CC[C@H](O)[C@@H]1CCCCN1C/C=C(\C)Cl ZINC000528386808 388519559 /nfs/dbraw/zinc/51/95/59/388519559.db2.gz JRJBVAOGNRTMFK-SKWDFFSCSA-N 0 3 231.767 2.754 20 0 BFADHN CC[C@H](O)[C@@H]1CCCCN1C/C=C(/C)Cl ZINC000528386810 388520062 /nfs/dbraw/zinc/52/00/62/388520062.db2.gz JRJBVAOGNRTMFK-XHXQXFLYSA-N 0 3 231.767 2.754 20 0 BFADHN C/C(Cl)=C/CN1CCC[C@H](c2ncc[nH]2)C1 ZINC000528414938 388521295 /nfs/dbraw/zinc/52/12/95/388521295.db2.gz ADCPBBLAMVJIBU-FGUAACIASA-N 0 3 239.750 2.732 20 0 BFADHN CN(CCC1CC1)Cc1cc(CO)ccc1F ZINC000528422223 388521848 /nfs/dbraw/zinc/52/18/48/388521848.db2.gz CODGGGXFWSKYJD-UHFFFAOYSA-N 0 3 237.318 2.550 20 0 BFADHN C[C@H](O)[C@@H](N[C@@H](C)C1CC1)c1ccccc1F ZINC000516872300 388522306 /nfs/dbraw/zinc/52/23/06/388522306.db2.gz UXIXFRQBQDEPQK-PKFCDNJMSA-N 0 3 237.318 2.636 20 0 BFADHN C/C(Cl)=C/CN1CC[C@@H](C2CCOCC2)C1 ZINC000528427588 388523237 /nfs/dbraw/zinc/52/32/37/388523237.db2.gz PQSYETIGFBRLEL-LKCMJBAWSA-N 0 3 243.778 2.878 20 0 BFADHN COC1(C)CCN(C/C=C(\C)Cl)CC1 ZINC000528437088 388526511 /nfs/dbraw/zinc/52/65/11/388526511.db2.gz JBIKVMHOVDAAFM-ONNFQVAWSA-N 0 3 217.740 2.630 20 0 BFADHN CC(C)[C@@H](CO)CN[C@H]1CCCc2occc21 ZINC000348286751 388527854 /nfs/dbraw/zinc/52/78/54/388527854.db2.gz ZYYBDEAZGOVKBG-YPMHNXCESA-N 0 3 237.343 2.511 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](CO)C(C)C)c(C)o1 ZINC000348255894 388528129 /nfs/dbraw/zinc/52/81/29/388528129.db2.gz LESKLHRSNFFGGE-AAEUAGOBSA-N 0 3 239.359 2.812 20 0 BFADHN CO[C@@H]1CCN(C/C=C(\C)Cl)[C@@H](C)C1 ZINC000528491393 388533698 /nfs/dbraw/zinc/53/36/98/388533698.db2.gz JGRNPHRMJFMXLL-LTJOXERKSA-N 0 3 217.740 2.628 20 0 BFADHN CO[C@@H]1CCN(C/C=C(\C)Cl)[C@H](C)C1 ZINC000528491400 388534019 /nfs/dbraw/zinc/53/40/19/388534019.db2.gz JGRNPHRMJFMXLL-MJMLHWTPSA-N 0 3 217.740 2.628 20 0 BFADHN CCC1(C(=O)Nc2cccc(CNC)c2)CC1 ZINC000261545947 388586784 /nfs/dbraw/zinc/58/67/84/388586784.db2.gz GLOMYFXAWDNPRV-UHFFFAOYSA-N 0 3 232.327 2.535 20 0 BFADHN Cc1cnc(CNC2[C@H](C)CCC[C@H]2C)o1 ZINC000182959860 256839673 /nfs/dbraw/zinc/83/96/73/256839673.db2.gz GTJWEJQCSWFSNS-NXEZZACHSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1nccs1 ZINC000185632750 388589447 /nfs/dbraw/zinc/58/94/47/388589447.db2.gz YWHOAULQLPOZEQ-UWVGGRQHSA-N 0 3 210.346 2.764 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1ccc(F)c(C)c1 ZINC000185822846 388591682 /nfs/dbraw/zinc/59/16/82/388591682.db2.gz DEHCFTOQPPSXGE-OOPCZODUSA-N 0 3 237.318 2.551 20 0 BFADHN C/C=C/C[C@H](CO)NCc1ccc(F)c(C)c1 ZINC000185822864 388592411 /nfs/dbraw/zinc/59/24/11/388592411.db2.gz DEHCFTOQPPSXGE-ITDFMYJTSA-N 0 3 237.318 2.551 20 0 BFADHN CC[C@@H]1CN([C@H]2C=CCCCCC2)CCO1 ZINC000549824720 388592401 /nfs/dbraw/zinc/59/24/01/388592401.db2.gz PJHIGVOYSWMLJI-UONOGXRCSA-N 0 3 223.360 2.986 20 0 BFADHN CC[C@H]1CN([C@@H]2C=CCCCCC2)CCO1 ZINC000549824719 388592864 /nfs/dbraw/zinc/59/28/64/388592864.db2.gz PJHIGVOYSWMLJI-KGLIPLIRSA-N 0 3 223.360 2.986 20 0 BFADHN CCn1cc(CN2C[C@H](C)CC[C@H]2C)cn1 ZINC000245708522 388600847 /nfs/dbraw/zinc/60/08/47/388600847.db2.gz FMCAORVKCLZADK-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN Cc1csc(CNCc2ccc(CO)cc2)c1 ZINC000379250863 388603577 /nfs/dbraw/zinc/60/35/77/388603577.db2.gz CAECVFUQIPBZCQ-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN CCCCN(CC)[C@H](C)C(=O)N[C@H](C)CCC ZINC000245756897 388603671 /nfs/dbraw/zinc/60/36/71/388603671.db2.gz HSHHGKQUCVTYEU-CHWSQXEVSA-N 0 3 242.407 2.802 20 0 BFADHN COc1cccc(CN2CC[C@@H](C)[C@H](C)C2)n1 ZINC000245939524 388611525 /nfs/dbraw/zinc/61/15/25/388611525.db2.gz QPJFWCYYNVRIFS-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN C[C@@H](c1ccccc1)N1CCN(C)C(C)(C)C1 ZINC000550652468 388612287 /nfs/dbraw/zinc/61/22/87/388612287.db2.gz JXXTZGIHFRDJQJ-ZDUSSCGKSA-N 0 3 232.371 2.774 20 0 BFADHN C1=C[C@@H](N2CCN(CC3CC3)CC2)CCCCC1 ZINC000550714046 388613694 /nfs/dbraw/zinc/61/36/94/388613694.db2.gz OGSORDQOAKIHIR-MRXNPFEDSA-N 0 3 248.414 2.903 20 0 BFADHN CCN(C)Cc1ccccc1OC(F)F ZINC000080112763 388552794 /nfs/dbraw/zinc/55/27/94/388552794.db2.gz AUKXTUCGOMMBBP-UHFFFAOYSA-N 0 3 215.243 2.740 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1cccs1)OC ZINC000362976703 388561605 /nfs/dbraw/zinc/56/16/05/388561605.db2.gz LJBQVNUOUCMDTF-PWSUYJOCSA-N 0 3 227.373 2.899 20 0 BFADHN CC(C)COCCN1CCC[C@H]1c1ccccn1 ZINC000548844577 388565885 /nfs/dbraw/zinc/56/58/85/388565885.db2.gz LGHKBKYAQDBEIC-HNNXBMFYSA-N 0 3 248.370 2.891 20 0 BFADHN CCc1nnc([C@H](C)N[C@H]2CC[C@H]2C2CCC2)[nH]1 ZINC000548884594 388567707 /nfs/dbraw/zinc/56/77/07/388567707.db2.gz DHVIDBPABFVZAW-DLOVCJGASA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H]2CC[C@H]2C2CCC2)[n-]1 ZINC000548884594 388567709 /nfs/dbraw/zinc/56/77/09/388567709.db2.gz DHVIDBPABFVZAW-DLOVCJGASA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@H]2CCC[C@H]3C[C@H]32)[n-]1 ZINC000548885364 388568302 /nfs/dbraw/zinc/56/83/02/388568302.db2.gz YZGGXVBUKBEYFD-YFKTTZPYSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@H]2CCC[C@H]3C[C@H]32)[nH]1 ZINC000548885364 388568307 /nfs/dbraw/zinc/56/83/07/388568307.db2.gz YZGGXVBUKBEYFD-YFKTTZPYSA-N 0 3 248.374 2.767 20 0 BFADHN C[C@H]1CC(F)(F)CCN1C[C@H]1CCCCO1 ZINC000528764337 388568777 /nfs/dbraw/zinc/56/87/77/388568777.db2.gz RYGALQWFSZMPJO-WDEREUQCSA-N 0 3 233.302 2.675 20 0 BFADHN C[C@H]1CC(F)(F)CCN1CC1CCC(=O)CC1 ZINC000528766220 388568861 /nfs/dbraw/zinc/56/88/61/388568861.db2.gz DSYBJELDFBEAGS-JTQLQIEISA-N 0 3 245.313 2.865 20 0 BFADHN CCCCCN1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000528788939 388573163 /nfs/dbraw/zinc/57/31/63/388573163.db2.gz OJEVUHJCMUUUJI-GFCCVEGCSA-N 0 3 235.331 2.509 20 0 BFADHN CC[C@H](NCc1ccc(F)c(Cl)c1)[C@@H](C)O ZINC000386745751 388576193 /nfs/dbraw/zinc/57/61/93/388576193.db2.gz FHTYAYNUFNCMSJ-PELKAZGASA-N 0 3 245.725 2.728 20 0 BFADHN CCC[C@@H](O)CN1CCCc2sccc2C1 ZINC000649302160 388584133 /nfs/dbraw/zinc/58/41/33/388584133.db2.gz HFKNFYFTYOIYKZ-GFCCVEGCSA-N 0 3 239.384 2.657 20 0 BFADHN Cc1cnc([C@H](C)NC[C@H]2CCSC2)s1 ZINC000224460076 388665278 /nfs/dbraw/zinc/66/52/78/388665278.db2.gz KRNHDNNROWZFOA-VHSXEESVSA-N 0 3 242.413 2.855 20 0 BFADHN CCc1ccc(CNCCn2ccnc2C)s1 ZINC000224742996 388669045 /nfs/dbraw/zinc/66/90/45/388669045.db2.gz GGCPFZVCRPBUMP-UHFFFAOYSA-N 0 3 249.383 2.605 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CN1CCO[C@@H](C)C1 ZINC000552119877 388671146 /nfs/dbraw/zinc/67/11/46/388671146.db2.gz HGYIHUBEKNDNKF-RDBSUJKOSA-N 0 3 223.360 2.700 20 0 BFADHN CC1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC000064431107 388671216 /nfs/dbraw/zinc/67/12/16/388671216.db2.gz NYQLTESVAYPORZ-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN C[C@]1(CNCc2cc(F)cc(F)c2)CCCO1 ZINC000125660417 388674845 /nfs/dbraw/zinc/67/48/45/388674845.db2.gz KTQQXAWSCRLGII-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN C[C@@H](NC1CCSCC1)c1cscn1 ZINC000161586711 388678714 /nfs/dbraw/zinc/67/87/14/388678714.db2.gz KISZYDBOOSQLJQ-MRVPVSSYSA-N 0 3 228.386 2.689 20 0 BFADHN CO[C@@H](C)CN([C@H](C)c1ccco1)C1CC1 ZINC000248455251 388680934 /nfs/dbraw/zinc/68/09/34/388680934.db2.gz ZRJIKVASZHSWMA-WDEREUQCSA-N 0 3 223.316 2.840 20 0 BFADHN CCC[C@@H](C)CN1CCO[C@@H](CCC)C1 ZINC000538305344 388683326 /nfs/dbraw/zinc/68/33/26/388683326.db2.gz RRRXVIOLZDJRDD-OLZOCXBDSA-N 0 3 213.365 2.924 20 0 BFADHN CO[C@@H]1CCCN([C@@H](C)c2ccc(F)cc2)C1 ZINC000246175262 388620997 /nfs/dbraw/zinc/62/09/97/388620997.db2.gz YPBXZPRJFCPWLR-SMDDNHRTSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H]1CN([C@@H]2C=CCCC2)CC(C)(C)O1 ZINC000246288434 388627992 /nfs/dbraw/zinc/62/79/92/388627992.db2.gz UOOLQMNICFRFNK-VXGBXAGGSA-N 0 3 209.333 2.594 20 0 BFADHN CCC1CC(NCc2c(C)nn(CC)c2C)C1 ZINC000551530653 388632373 /nfs/dbraw/zinc/63/23/73/388632373.db2.gz VJKHADKLWKYKFX-UHFFFAOYSA-N 0 3 235.375 2.798 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C[C@@H](C)C2)no1 ZINC000246517565 388641174 /nfs/dbraw/zinc/64/11/74/388641174.db2.gz CAQNSGYIKNIEQG-WDEREUQCSA-N 0 3 222.332 2.851 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1cc(C)oc1C ZINC000222906218 388646370 /nfs/dbraw/zinc/64/63/70/388646370.db2.gz AHRIBAZYGLPPAK-VWYCJHECSA-N 0 3 225.332 2.970 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(C(F)F)cc1 ZINC000530280939 388647761 /nfs/dbraw/zinc/64/77/61/388647761.db2.gz LFFZLVXOSFFBOM-VXGBXAGGSA-N 0 3 241.281 2.891 20 0 BFADHN C[C@@H]1C[C@@H]1NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000252200896 388651020 /nfs/dbraw/zinc/65/10/20/388651020.db2.gz WHHLOWZRRVYFKJ-LSKIRQOJSA-N 0 3 205.301 2.901 20 0 BFADHN COc1cccc([C@H](C)N2CCC[C@@H](OC)C2)c1 ZINC000247216322 388660600 /nfs/dbraw/zinc/66/06/00/388660600.db2.gz QXEQFOJRJRNYGK-SWLSCSKDSA-N 0 3 249.354 2.867 20 0 BFADHN C[C@H]1CC(O)C[C@H](C)N1Cc1cccc(F)c1 ZINC000191268371 388661992 /nfs/dbraw/zinc/66/19/92/388661992.db2.gz ZKUHVYIYRCPKMF-QWRGUYRKSA-N 0 3 237.318 2.559 20 0 BFADHN COC[C@H](C)CN(C)Cc1ccc(F)cc1F ZINC000084864541 388661954 /nfs/dbraw/zinc/66/19/54/388661954.db2.gz UCBILVRBWRXYMX-SNVBAGLBSA-N 0 3 243.297 2.679 20 0 BFADHN C[C@H](c1ccc(F)cc1)N(C)CC[C@H](C)O ZINC000247460252 388664149 /nfs/dbraw/zinc/66/41/49/388664149.db2.gz IHYRSAUAGOEPIG-WDEREUQCSA-N 0 3 225.307 2.589 20 0 BFADHN C[C@@H](NC[C@@H]1CCSC1)c1nccs1 ZINC000224429990 388664246 /nfs/dbraw/zinc/66/42/46/388664246.db2.gz UWOSHBXXOPTBBN-BDAKNGLRSA-N 0 3 228.386 2.547 20 0 BFADHN COc1ccc([C@@H](C)CN[C@@H](C)CF)cc1 ZINC000288494983 388738932 /nfs/dbraw/zinc/73/89/32/388738932.db2.gz RJHLRSACLNGSNQ-QWRGUYRKSA-N 0 3 225.307 2.746 20 0 BFADHN CS[C@@H](C)CNCc1ccc(F)cc1F ZINC000128123799 388739301 /nfs/dbraw/zinc/73/93/01/388739301.db2.gz UIIBRZSWNIZKLS-QMMMGPOBSA-N 0 3 231.311 2.806 20 0 BFADHN CN(Cc1cn2ccsc2n1)C1CCCC1 ZINC000194731453 388741408 /nfs/dbraw/zinc/74/14/08/388741408.db2.gz QMFVAAOUICFLCK-UHFFFAOYSA-N 0 3 235.356 2.770 20 0 BFADHN CCNC(=O)CN(CC)[C@H](CC)c1ccccc1 ZINC000128255002 388741878 /nfs/dbraw/zinc/74/18/78/388741878.db2.gz WNXWCAUSONRZNU-CQSZACIVSA-N 0 3 248.370 2.596 20 0 BFADHN C(CN1CCOC[C@H]1C1CC1)C1CCCC1 ZINC000090009918 388744026 /nfs/dbraw/zinc/74/40/26/388744026.db2.gz FSVWMETYCQOKJJ-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN Cc1oncc1CN(C)[C@H]1CCC[C@H](C)C1 ZINC000128286476 388744815 /nfs/dbraw/zinc/74/48/15/388744815.db2.gz OUGDGRHWOGTFDH-GWCFXTLKSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1ccc(CNCC2=CCCOC2)cc1C ZINC000288508908 388747463 /nfs/dbraw/zinc/74/74/63/388747463.db2.gz SUODPGHEQOTMCR-UHFFFAOYSA-N 0 3 231.339 2.740 20 0 BFADHN CCN(Cc1ccc(OC)cc1OC)C1CC1 ZINC000192843649 388686904 /nfs/dbraw/zinc/68/69/04/388686904.db2.gz NSNQMEVDRGNLHR-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@H]1CCCc2c[nH]nc21 ZINC000552276292 388688817 /nfs/dbraw/zinc/68/88/17/388688817.db2.gz RSINDAHTKHSCSX-AVGNSLFASA-N 0 3 233.359 2.811 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCC[C@@H](C)C2)on1 ZINC000248782245 388693043 /nfs/dbraw/zinc/69/30/43/388693043.db2.gz XKNZHCDZNAEWTC-ZYHUDNBSSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@H](C)N(C)Cc1cccc(OC)c1OC ZINC000195004213 388693188 /nfs/dbraw/zinc/69/31/88/388693188.db2.gz PMFDITAQORBRJB-LLVKDONJSA-N 0 3 237.343 2.934 20 0 BFADHN COC[C@H](C)CN(C)Cc1cc(C)ccc1F ZINC000552326190 388693214 /nfs/dbraw/zinc/69/32/14/388693214.db2.gz JZUDBIAZAQFWBU-GFCCVEGCSA-N 0 3 239.334 2.848 20 0 BFADHN CCCN(CCC)C(=O)CN(CC)CC(C)C ZINC000193226766 388696118 /nfs/dbraw/zinc/69/61/18/388696118.db2.gz FBGJUJDNHSZOAA-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN COc1ccc(OC)c(CN2CCC[C@H](C)C2)c1 ZINC000126604676 388697870 /nfs/dbraw/zinc/69/78/70/388697870.db2.gz RHXBLSWBUSYHSM-LBPRGKRZSA-N 0 3 249.354 2.936 20 0 BFADHN CC[C@H](C(=O)OC(C)(C)C)N1CC[C@@H](CC)C1 ZINC000248904569 388699012 /nfs/dbraw/zinc/69/90/12/388699012.db2.gz WETLZIZBNUSNFF-VXGBXAGGSA-N 0 3 241.375 2.839 20 0 BFADHN CCCC[C@H](CCC)NC(=O)C1(N)CCCC1 ZINC000226075944 388699402 /nfs/dbraw/zinc/69/94/02/388699402.db2.gz PDCNSILPRZVJTB-LBPRGKRZSA-N 0 3 240.391 2.733 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC[C@H](C)C2)C[C@H](C)O1 ZINC000538626881 388703497 /nfs/dbraw/zinc/70/34/97/388703497.db2.gz BTPRBKMCWPNEIM-IGQOVBAYSA-N 0 3 225.376 2.922 20 0 BFADHN CCC[C@H](C)CN(C)C(C)(C)C(=O)OCC ZINC000552425279 388706715 /nfs/dbraw/zinc/70/67/15/388706715.db2.gz MGQQTNVIIDFPJZ-NSHDSACASA-N 0 3 229.364 2.696 20 0 BFADHN Cc1ccc(CNCCCOCC(C)C)nc1 ZINC000126958554 388709576 /nfs/dbraw/zinc/70/95/76/388709576.db2.gz LHEMNRJKUJABOY-UHFFFAOYSA-N 0 3 236.359 2.542 20 0 BFADHN COC[C@H](C)CN1CCC[C@@H](C(F)(F)F)C1 ZINC000249174574 388711287 /nfs/dbraw/zinc/71/12/87/388711287.db2.gz DOLCWMJTBUHJEC-NXEZZACHSA-N 0 3 239.281 2.543 20 0 BFADHN CC1(C)CCN1Cc1ccc2c(c1)CCO2 ZINC000538737329 388715750 /nfs/dbraw/zinc/71/57/50/388715750.db2.gz WMORELWULMCFKE-UHFFFAOYSA-N 0 3 217.312 2.606 20 0 BFADHN C[C@@H]1CSCCN1Cc1ccc2[nH]cnc2c1 ZINC000538740094 388716458 /nfs/dbraw/zinc/71/64/58/388716458.db2.gz JBGYFXVLUJLHJF-SNVBAGLBSA-N 0 3 247.367 2.500 20 0 BFADHN C[C@@H]1CSCCN1Cc1ccc2nc[nH]c2c1 ZINC000538740094 388716460 /nfs/dbraw/zinc/71/64/60/388716460.db2.gz JBGYFXVLUJLHJF-SNVBAGLBSA-N 0 3 247.367 2.500 20 0 BFADHN CCN(CC(=O)Nc1cc(C)ccc1C)C(C)C ZINC000194096014 388722629 /nfs/dbraw/zinc/72/26/29/388722629.db2.gz QQYBOADFCTVIIG-UHFFFAOYSA-N 0 3 248.370 2.972 20 0 BFADHN CC[C@H]1CCCCN1C(=O)CN(CC)C(C)C ZINC000194088806 388723376 /nfs/dbraw/zinc/72/33/76/388723376.db2.gz MUTXOSYICSDVFF-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@H](C)C2)no1 ZINC000127530538 388725666 /nfs/dbraw/zinc/72/56/66/388725666.db2.gz CAQNSGYIKNIEQG-MNOVXSKESA-N 0 3 222.332 2.851 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CC[C@@H](O)[C@H](C)C1 ZINC000249553365 388726713 /nfs/dbraw/zinc/72/67/13/388726713.db2.gz IVZXEHOMYWHDSS-UHIISALHSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@H](O)[C@H](C)C1 ZINC000249553361 388727010 /nfs/dbraw/zinc/72/70/10/388727010.db2.gz IVZXEHOMYWHDSS-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN COCC(C)(C)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000066996032 388727185 /nfs/dbraw/zinc/72/71/85/388727185.db2.gz XXJQALVULLYMDH-ZYHUDNBSSA-N 0 3 237.343 2.918 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cnoc2C)C1 ZINC000128892608 388801586 /nfs/dbraw/zinc/80/15/86/388801586.db2.gz LDJZPLQXIQCPSI-GFCCVEGCSA-N 0 3 222.332 2.995 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1O)c1ccc(F)cc1F ZINC000193204894 388803151 /nfs/dbraw/zinc/80/31/51/388803151.db2.gz OXICZEMBWMJPQE-HJIKLVIJSA-N 0 3 241.281 2.529 20 0 BFADHN CC[C@H](O)CNCc1ccc(Cl)c(Cl)c1 ZINC000229963511 388807115 /nfs/dbraw/zinc/80/71/15/388807115.db2.gz DMSAVMKYBAXTLO-VIFPVBQESA-N 0 3 248.153 2.854 20 0 BFADHN CCN(Cc1cccc(OC)c1)C[C@H]1CCCO1 ZINC000068253440 388771734 /nfs/dbraw/zinc/77/17/34/388771734.db2.gz NLYLFBSHAXYVCU-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN CC(C)[C@@H]1CCN([C@H](C)C(=O)OC(C)(C)C)C1 ZINC000252741140 388779924 /nfs/dbraw/zinc/77/99/24/388779924.db2.gz IDCGVTANFIKTRN-VXGBXAGGSA-N 0 3 241.375 2.695 20 0 BFADHN Cc1ccc2ncc(CN3C[C@H](C)C[C@H]3C)n2c1 ZINC000539817295 388780188 /nfs/dbraw/zinc/78/01/88/388780188.db2.gz VPULJZVCQXRXBA-CHWSQXEVSA-N 0 3 243.354 2.873 20 0 BFADHN CN(Cc1ccc[nH]1)Cc1ccc2nc[nH]c2c1 ZINC000539862554 388784820 /nfs/dbraw/zinc/78/48/20/388784820.db2.gz DGBQWBMOYINMKR-UHFFFAOYSA-N 0 3 240.310 2.523 20 0 BFADHN CCC[C@H](C)CN(CC)C[C@@H](O)C(F)(F)F ZINC000250143447 388784995 /nfs/dbraw/zinc/78/49/95/388784995.db2.gz ZLYRCDFWVCWOLH-VHSXEESVSA-N 0 3 241.297 2.668 20 0 BFADHN C[C@H](CF)N[C@H](C)Cc1ccccc1F ZINC000290239690 388788353 /nfs/dbraw/zinc/78/83/53/388788353.db2.gz UPIHDSWZOMOPLQ-NXEZZACHSA-N 0 3 213.271 2.704 20 0 BFADHN C[C@H](CF)N[C@@H](C)Cc1ccccc1F ZINC000290239697 388788447 /nfs/dbraw/zinc/78/84/47/388788447.db2.gz UPIHDSWZOMOPLQ-VHSXEESVSA-N 0 3 213.271 2.704 20 0 BFADHN COC(C)(C)CN(C)Cc1ccc(F)c(C)c1 ZINC000539927609 388788568 /nfs/dbraw/zinc/78/85/68/388788568.db2.gz SOMPOZAMMVOTEA-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN COC(=O)c1ccc(CN2CC=C(C)CC2)cc1 ZINC000270119615 388791122 /nfs/dbraw/zinc/79/11/22/388791122.db2.gz FNRZWIIMICCARX-UHFFFAOYSA-N 0 3 245.322 2.625 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1ccc(Cl)cn1 ZINC000554494773 388816584 /nfs/dbraw/zinc/81/65/84/388816584.db2.gz YISWHUAQOPYMEH-SECBINFHSA-N 0 3 224.735 2.965 20 0 BFADHN CCCCN(C)Cc1ccc(Cl)cn1 ZINC000554497232 388816967 /nfs/dbraw/zinc/81/69/67/388816967.db2.gz BJZKQPUTIBKELB-UHFFFAOYSA-N 0 3 212.724 2.967 20 0 BFADHN C[C@@H]1OCC[C@@]1(C)NCc1ccc(Cl)o1 ZINC000230151019 388817224 /nfs/dbraw/zinc/81/72/24/388817224.db2.gz HWJVPKRNHZTWRJ-GZMMTYOYSA-N 0 3 229.707 2.590 20 0 BFADHN C[C@@H](c1cccc(F)c1)N(C)CCn1cccn1 ZINC000069070944 388821593 /nfs/dbraw/zinc/82/15/93/388821593.db2.gz LPTJONPOPXBTOS-LBPRGKRZSA-N 0 3 247.317 2.715 20 0 BFADHN C/C(=C/c1ccccc1)CN1C[C@H](O)C[C@H]1C ZINC000554569528 388822277 /nfs/dbraw/zinc/82/22/77/388822277.db2.gz SFEVYWOYZBWCLW-MKGJVGOLSA-N 0 3 231.339 2.545 20 0 BFADHN CCC[C@@H](N[C@H](C)CC)c1nnc2ccccn21 ZINC000129269804 388827499 /nfs/dbraw/zinc/82/74/99/388827499.db2.gz JYMRCMAUTYIDMS-VXGBXAGGSA-N 0 3 246.358 2.959 20 0 BFADHN C[C@@H]1CCCC[C@H]1CCNCc1ccn(C)n1 ZINC000523691269 388852526 /nfs/dbraw/zinc/85/25/26/388852526.db2.gz MBXNCMHAGSUDNS-OLZOCXBDSA-N 0 3 235.375 2.726 20 0 BFADHN COCCN[C@H](C)c1cc2c(s1)CCCC2 ZINC000129566079 388853752 /nfs/dbraw/zinc/85/37/52/388853752.db2.gz NWAQETOBEJLGIZ-SNVBAGLBSA-N 0 3 239.384 2.924 20 0 BFADHN CC[C@H](O)CNCc1cc2cc(C)ccc2o1 ZINC000555582228 388855380 /nfs/dbraw/zinc/85/53/80/388855380.db2.gz IBUZOSSHVCKMPJ-LBPRGKRZSA-N 0 3 233.311 2.602 20 0 BFADHN CC1=CC[C@H](N[C@@H]2C[C@@H](C)n3ccnc32)CC1 ZINC000648776385 388862217 /nfs/dbraw/zinc/86/22/17/388862217.db2.gz BYFQDKRGLQAUGC-FRRDWIJNSA-N 0 3 231.343 2.977 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CCN(C)Cc1ccccc1 ZINC000556271399 388873682 /nfs/dbraw/zinc/87/36/82/388873682.db2.gz KGANNRZAFDKTKO-ZIAGYGMSSA-N 0 3 232.371 2.601 20 0 BFADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1cnccn1 ZINC000555986547 388863669 /nfs/dbraw/zinc/86/36/69/388863669.db2.gz NHKPBWWXNMXXDS-JSGCOSHPSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1nn(C)cc1CN1CCC2(C1)CCCCC2 ZINC000093663690 388847600 /nfs/dbraw/zinc/84/76/00/388847600.db2.gz TZKVKFQWRSXFEH-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN CCc1ccc(CN(C)CC(C)(C)OC)nc1 ZINC000555380283 388848064 /nfs/dbraw/zinc/84/80/64/388848064.db2.gz QIOWKTRGPVJVKU-UHFFFAOYSA-N 0 3 236.359 2.501 20 0 BFADHN C[C@H]1C[C@H](C)N1CCN(C)Cc1ccccc1 ZINC000556271397 388873030 /nfs/dbraw/zinc/87/30/30/388873030.db2.gz KGANNRZAFDKTKO-KBPBESRZSA-N 0 3 232.371 2.601 20 0 BFADHN CC(C)C1CCN(Cc2cccn2C)CC1 ZINC000093682611 388849365 /nfs/dbraw/zinc/84/93/65/388849365.db2.gz LGSJBTRTBOAQNL-UHFFFAOYSA-N 0 3 220.360 2.893 20 0 BFADHN C[C@H](NCCc1ccc(O)cc1)c1ccoc1 ZINC000094869140 388875067 /nfs/dbraw/zinc/87/50/67/388875067.db2.gz IEFRJQHVMAYNMA-NSHDSACASA-N 0 3 231.295 2.879 20 0 BFADHN C[C@@H](CNCc1ccno1)c1ccc(F)cc1 ZINC000564987165 388905889 /nfs/dbraw/zinc/90/58/89/388905889.db2.gz SVXGMVJKDMJALA-JTQLQIEISA-N 0 3 234.274 2.707 20 0 BFADHN Cc1c[nH]nc1CNCCC1=CCCCC1 ZINC000284137556 177668097 /nfs/dbraw/zinc/66/80/97/177668097.db2.gz SHTNEQUXLKQFKB-UHFFFAOYSA-N 0 3 219.332 2.698 20 0 BFADHN CCC[C@@H](CC)NCc1nc(C)c(C)[nH]1 ZINC000565239727 388930973 /nfs/dbraw/zinc/93/09/73/388930973.db2.gz ZUVVOPXHKUEUNN-LLVKDONJSA-N 0 3 209.337 2.695 20 0 BFADHN CCC[C@@H](NCc1ncccn1)[C@@H]1CC1(C)C ZINC000565245887 388932125 /nfs/dbraw/zinc/93/21/25/388932125.db2.gz LUKDIPUAQKFORX-NWDGAFQWSA-N 0 3 233.359 2.781 20 0 BFADHN CC(C)N(Cc1ccc(F)cn1)CC1CC1 ZINC000565415263 388943498 /nfs/dbraw/zinc/94/34/98/388943498.db2.gz LEJUDGIEPUWHJP-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2ccno2)[C@H]1C ZINC000267937011 389002383 /nfs/dbraw/zinc/00/23/83/389002383.db2.gz QQDWKNLKSGRPTM-SCVCMEIPSA-N 0 3 208.305 2.589 20 0 BFADHN c1cc(-c2ccc(CN3C4CCC3CC4)o2)n[nH]1 ZINC000565988625 388981649 /nfs/dbraw/zinc/98/16/49/388981649.db2.gz YNQWRFRDSHXZDS-UHFFFAOYSA-N 0 3 243.310 2.797 20 0 BFADHN COC(=O)CCCN(Cc1ccccc1)C1CC1 ZINC000265262047 388993397 /nfs/dbraw/zinc/99/33/97/388993397.db2.gz RGSKAIFKGISSGJ-UHFFFAOYSA-N 0 3 247.338 2.604 20 0 BFADHN CO[C@H](CNCc1ccsc1)C(C)C ZINC000266635727 388996873 /nfs/dbraw/zinc/99/68/73/388996873.db2.gz RMXFORFTKFLRRL-LLVKDONJSA-N 0 3 213.346 2.509 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](C)CCCCO)o1 ZINC000272311688 389031007 /nfs/dbraw/zinc/03/10/07/389031007.db2.gz RRJIMFYKASRNOZ-PWSUYJOCSA-N 0 3 225.332 2.790 20 0 BFADHN CO[C@@H](C)CCN[C@H](C)c1ccc(C)o1 ZINC000268807666 389004860 /nfs/dbraw/zinc/00/48/60/389004860.db2.gz CFGVLKFJJLCYBF-GXSJLCMTSA-N 0 3 211.305 2.664 20 0 BFADHN C[C@@H](NCCCF)c1c(F)cccc1F ZINC000268928029 389005298 /nfs/dbraw/zinc/00/52/98/389005298.db2.gz BLYYPTRQCWKNIP-MRVPVSSYSA-N 0 3 217.234 2.975 20 0 BFADHN C[C@H](O)C[C@H](C)CN[C@@H](C)c1ccccc1F ZINC000268951385 389005870 /nfs/dbraw/zinc/00/58/70/389005870.db2.gz CHNQOMQWXHOQCI-SRVKXCTJSA-N 0 3 239.334 2.883 20 0 BFADHN C[C@H](NCC1=CCCOC1)c1ccccc1F ZINC000269315449 389008277 /nfs/dbraw/zinc/00/82/77/389008277.db2.gz XCFODVQZJUQWSO-NSHDSACASA-N 0 3 235.302 2.823 20 0 BFADHN COc1cc(C)nc(CN2CCCCCC2)c1 ZINC000270360117 389011162 /nfs/dbraw/zinc/01/11/62/389011162.db2.gz XUZNCJFLTOMGMO-UHFFFAOYSA-N 0 3 234.343 2.775 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H]2CCSC2)o1 ZINC000271531759 389021974 /nfs/dbraw/zinc/02/19/74/389021974.db2.gz RZUOKZWMXXRQSL-ZJUUUORDSA-N 0 3 225.357 2.998 20 0 BFADHN CC[C@H](NCCF)c1ccc(OC)cc1 ZINC000271708556 389023746 /nfs/dbraw/zinc/02/37/46/389023746.db2.gz UVFOFYQMBKCZHY-LBPRGKRZSA-N 0 3 211.280 2.705 20 0 BFADHN Cc1ccoc1CN[C@@H](C)[C@H]1CCCCO1 ZINC000271934974 389026934 /nfs/dbraw/zinc/02/69/34/389026934.db2.gz AAVSNQDUFCIROX-NWDGAFQWSA-N 0 3 223.316 2.635 20 0 BFADHN C[C@H](CCCO)N[C@@H](C)c1ccc(Cl)cn1 ZINC000274923262 389048812 /nfs/dbraw/zinc/04/88/12/389048812.db2.gz POEZFBIERRYCJT-ZJUUUORDSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](CCCO)N[C@H](C)c1ccc(Cl)cn1 ZINC000274923257 389049690 /nfs/dbraw/zinc/04/96/90/389049690.db2.gz POEZFBIERRYCJT-NXEZZACHSA-N 0 3 242.750 2.547 20 0 BFADHN COC[C@H](C)CN[C@@H](C)c1ccc(Cl)cn1 ZINC000274942820 389051568 /nfs/dbraw/zinc/05/15/68/389051568.db2.gz TVDWVQCMODNUOK-ZJUUUORDSA-N 0 3 242.750 2.668 20 0 BFADHN COC[C@@H](C)CN[C@H](C)c1ccc(Cl)cn1 ZINC000274942815 389052473 /nfs/dbraw/zinc/05/24/73/389052473.db2.gz TVDWVQCMODNUOK-VHSXEESVSA-N 0 3 242.750 2.668 20 0 BFADHN COCC[C@H](NC1CCCC1)c1ccco1 ZINC000272592757 389033509 /nfs/dbraw/zinc/03/35/09/389033509.db2.gz SESIWYJWSNOEEH-LBPRGKRZSA-N 0 3 223.316 2.889 20 0 BFADHN COCC[C@H](NC(C)C)c1ccc(C)o1 ZINC000272692068 389034163 /nfs/dbraw/zinc/03/41/63/389034163.db2.gz XHCVGSPPNSWDBI-NSHDSACASA-N 0 3 211.305 2.664 20 0 BFADHN CCSCCN[C@@H]1CCCc2occc21 ZINC000273692393 389043516 /nfs/dbraw/zinc/04/35/16/389043516.db2.gz IKEIJQIDYXSUQL-LLVKDONJSA-N 0 3 225.357 3.000 20 0 BFADHN COc1nccc(CN[C@@]2(C)CCCC[C@H]2C)n1 ZINC000308715053 533239394 /nfs/dbraw/zinc/23/93/94/533239394.db2.gz OLMPBNCYMBGTEG-RISCZKNCSA-N 0 3 249.358 2.544 20 0 BFADHN COc1ncccc1CN1CC[C@@H](C(C)(C)C)C1 ZINC000488320810 533257875 /nfs/dbraw/zinc/25/78/75/533257875.db2.gz YONCANLKVSKHKV-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ncccc1CN1CC[C@H](C)C[C@H](C)C1 ZINC000488103598 533258545 /nfs/dbraw/zinc/25/85/45/533258545.db2.gz LISMYUBFCBJRCM-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H](NCCC[C@H]1CCOC1)c1ccccn1 ZINC000400546676 533388938 /nfs/dbraw/zinc/38/89/38/533388938.db2.gz MJSYVOIBPLLYPP-OLZOCXBDSA-N 0 3 234.343 2.549 20 0 BFADHN C/C(=C/c1ccccc1)CNCc1ccnn1C ZINC000255267524 533392591 /nfs/dbraw/zinc/39/25/91/533392591.db2.gz JQLAOXMTGNGTSU-RAXLEYEMSA-N 0 3 241.338 2.613 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2C(C)C)nn1C ZINC000487380514 533599027 /nfs/dbraw/zinc/59/90/27/533599027.db2.gz ROUMDKUWJUZANE-CQSZACIVSA-N 0 3 235.375 2.739 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2C[C@@H]2C)c(OC)c1 ZINC000488308493 533539987 /nfs/dbraw/zinc/53/99/87/533539987.db2.gz NARFCWXXPKIXIF-AAEUAGOBSA-N 0 3 249.354 2.792 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2C(C)(C)C)nn1C ZINC000487354125 533605218 /nfs/dbraw/zinc/60/52/18/533605218.db2.gz FWGPKTOVQLVXFE-CYBMUJFWSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2CC(C)C)nn1C ZINC000487416462 533603997 /nfs/dbraw/zinc/60/39/97/533603997.db2.gz XGCZCGBOUQPTIW-CQSZACIVSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cc(CN2C[C@H](O)C[C@@H]2C)ccc1Cl ZINC000471802379 533637993 /nfs/dbraw/zinc/63/79/93/533637993.db2.gz BPBBUGKISPIGDB-CMPLNLGQSA-N 0 3 239.746 2.604 20 0 BFADHN COC1CCN(c2ccnc3ccccc32)CC1 ZINC000266073656 132183685 /nfs/dbraw/zinc/18/36/85/132183685.db2.gz JEDIABNBABFMSS-UHFFFAOYSA-N 0 3 242.322 2.850 20 0 BFADHN CCc1ccccc1CN[C@H](C)c1cn[nH]c1 ZINC000218983467 133523326 /nfs/dbraw/zinc/52/33/26/133523326.db2.gz PWGOCZPUVQGQRS-LLVKDONJSA-N 0 3 229.327 2.823 20 0 BFADHN Oc1cccc(CNCCOc2ccccc2)c1 ZINC000032101013 133629484 /nfs/dbraw/zinc/62/94/84/133629484.db2.gz KDDBUNPASWPPOF-UHFFFAOYSA-N 0 3 243.306 2.561 20 0 BFADHN Cc1noc(C)c1CN1CC[C@@H](C2CC2)C1 ZINC000335937424 134012887 /nfs/dbraw/zinc/01/28/87/134012887.db2.gz SOPJFSICSWRSKB-GFCCVEGCSA-N 0 3 220.316 2.523 20 0 BFADHN Cc1ccc(CCN2C[C@@H](C)N(C)C[C@@H]2C)cc1 ZINC000335938716 134013745 /nfs/dbraw/zinc/01/37/45/134013745.db2.gz NVKPPQNRCWLAGW-CABCVRRESA-N 0 3 246.398 2.562 20 0 BFADHN Cc1cccc(C)c1CN1C[C@@H](C)N(C)C[C@@H]1C ZINC000351946188 134015142 /nfs/dbraw/zinc/01/51/42/134015142.db2.gz YSIVQTVEJZBDBQ-CABCVRRESA-N 0 3 246.398 2.828 20 0 BFADHN Cc1nccc(CN2[C@@H](C)CCC[C@@H]2C)n1 ZINC000335941832 134017909 /nfs/dbraw/zinc/01/79/09/134017909.db2.gz KKIHNIAXMXFBAY-QWRGUYRKSA-N 0 3 219.332 2.548 20 0 BFADHN Cc1nocc1CN1CC(C)(C)[C@H]1C(C)C ZINC000335945211 134019858 /nfs/dbraw/zinc/01/98/58/134019858.db2.gz XINRURPEOSDVNH-GFCCVEGCSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1nocc1CN1CC(C)(C)[C@@H]1C(C)C ZINC000335945212 134019869 /nfs/dbraw/zinc/01/98/69/134019869.db2.gz XINRURPEOSDVNH-LBPRGKRZSA-N 0 3 222.332 2.849 20 0 BFADHN CC1(C)CN(C[C@H]2C[C@H]2c2ccccc2)CCO1 ZINC000351963764 134024397 /nfs/dbraw/zinc/02/43/97/134024397.db2.gz HFSVAVFXBSTUSC-CABCVRRESA-N 0 3 245.366 2.901 20 0 BFADHN CC1(C)CN(C[C@@H]2C[C@H]2c2ccccc2)CCO1 ZINC000351963765 134024570 /nfs/dbraw/zinc/02/45/70/134024570.db2.gz HFSVAVFXBSTUSC-GJZGRUSLSA-N 0 3 245.366 2.901 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cnc(C)nc1 ZINC000351979013 134025359 /nfs/dbraw/zinc/02/53/59/134025359.db2.gz GIWVDNJRTRDVLI-AWEZNQCLSA-N 0 3 233.359 2.940 20 0 BFADHN Cc1ncc(CN(C)CCc2cccs2)cn1 ZINC000352014221 134036291 /nfs/dbraw/zinc/03/62/91/134036291.db2.gz MIRUXGNGPJHMGM-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN Cc1cnc(CN2CC[C@]3(CC[C@@H](C)C3)C2)cn1 ZINC000335981049 134037630 /nfs/dbraw/zinc/03/76/30/134037630.db2.gz HGESJBFSUPBKGP-DOMZBBRYSA-N 0 3 245.370 2.797 20 0 BFADHN C[C@H]1CN(CCCCF)CCC1(F)F ZINC000352027061 134043675 /nfs/dbraw/zinc/04/36/75/134043675.db2.gz JKYQEGBNPQXBJP-VIFPVBQESA-N 0 3 209.255 2.713 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC(F)(F)[C@H](C)C2)O1 ZINC000352029247 134044003 /nfs/dbraw/zinc/04/40/03/134044003.db2.gz USBDKPRCZSSVNK-GMTAPVOTSA-N 0 3 233.302 2.531 20 0 BFADHN Cc1ccc(CN2CCCC[C@@H]2C)cn1 ZINC000336031579 134058257 /nfs/dbraw/zinc/05/82/57/134058257.db2.gz KELFZMMUYPCSRZ-LBPRGKRZSA-N 0 3 204.317 2.764 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2cncc(F)c2)C1 ZINC000336034175 134059219 /nfs/dbraw/zinc/05/92/19/134059219.db2.gz UBDUZILBMTUHLM-GFCCVEGCSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@@H](F)CCN1CCc2ccccc2C1 ZINC000352101684 134067499 /nfs/dbraw/zinc/06/74/99/134067499.db2.gz CISNRWGQEUXCBY-LLVKDONJSA-N 0 3 207.292 2.793 20 0 BFADHN CO[C@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC000336089903 134077774 /nfs/dbraw/zinc/07/77/74/134077774.db2.gz FACSEORTUZLRQX-GWCFXTLKSA-N 0 3 223.291 2.607 20 0 BFADHN CC[C@]1(O)CCN([C@@H](C)c2cccc(F)c2)C1 ZINC000336108858 134085403 /nfs/dbraw/zinc/08/54/03/134085403.db2.gz MESFNHGUKBDXGE-FZMZJTMJSA-N 0 3 237.318 2.734 20 0 BFADHN CC(C)c1nc(CN2CCC[C@H]3CCC[C@H]32)n[nH]1 ZINC000336110017 134085711 /nfs/dbraw/zinc/08/57/11/134085711.db2.gz HVKREMCKUFUHQC-VXGBXAGGSA-N 0 3 248.374 2.693 20 0 BFADHN Cc1cnc([C@H](C)N2CCC3(CCC3)C2)cn1 ZINC000336109780 134085871 /nfs/dbraw/zinc/08/58/71/134085871.db2.gz JJAIMOFKJZDRHZ-LBPRGKRZSA-N 0 3 231.343 2.722 20 0 BFADHN C[C@H]1CC2(CCC2)CN1Cc1cncs1 ZINC000336136762 134096167 /nfs/dbraw/zinc/09/61/67/134096167.db2.gz KARQJZDUPBFPLM-JTQLQIEISA-N 0 3 222.357 2.908 20 0 BFADHN c1cc([C@@H]2CCCCN2CC[C@H]2CCCO2)n[nH]1 ZINC000352213119 134108495 /nfs/dbraw/zinc/10/84/95/134108495.db2.gz SZQBWYCRHUJWSN-OCCSQVGLSA-N 0 3 249.358 2.506 20 0 BFADHN CC(C(=O)Nc1ccc(O)cc1)C(F)(F)F ZINC000336162553 134111600 /nfs/dbraw/zinc/11/16/00/134111600.db2.gz AZSWSRJQAFYVTK-LURJTMIESA-N 0 3 233.189 2.529 20 0 BFADHN CC(C)[C@H]1CCCN([C@H](C)c2cnccn2)C1 ZINC000336184144 134120835 /nfs/dbraw/zinc/12/08/35/134120835.db2.gz KDAAIPAVTBOIPM-OLZOCXBDSA-N 0 3 233.359 2.906 20 0 BFADHN COc1cc(C)ccc1CN1CCCO[C@@H](C)C1 ZINC000336206071 134132301 /nfs/dbraw/zinc/13/23/01/134132301.db2.gz ADVACNXIGCMGRA-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@@H]1CC2(CCC2)CN1Cc1ccncc1F ZINC000336209919 134133680 /nfs/dbraw/zinc/13/36/80/134133680.db2.gz NNZNIXSZVWZEDW-LLVKDONJSA-N 0 3 234.318 2.985 20 0 BFADHN C[C@@]1(NCc2cccc3ccoc32)CCOC1 ZINC000336215867 134136448 /nfs/dbraw/zinc/13/64/48/134136448.db2.gz OYBFWJOCPUZXNO-CQSZACIVSA-N 0 3 231.295 2.701 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1cccc2ccoc21 ZINC000336217716 134138056 /nfs/dbraw/zinc/13/80/56/134138056.db2.gz JOKYHJWBIIYPMP-GXFFZTMASA-N 0 3 231.295 2.700 20 0 BFADHN Cn1ccnc1[C@@H](NCC1CCCC1)C1CC1 ZINC000353042617 134159464 /nfs/dbraw/zinc/15/94/64/134159464.db2.gz AXAWELKLOZWGRO-ZDUSSCGKSA-N 0 3 233.359 2.651 20 0 BFADHN CC[C@@H](CCO)NCc1cc(F)cc(Cl)c1 ZINC000168276369 134163458 /nfs/dbraw/zinc/16/34/58/134163458.db2.gz NPLDQGCVZSIPLX-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN C[C@H](N[C@H]1CCc2c1cccc2O)[C@@H]1CCCO1 ZINC000218081136 134251743 /nfs/dbraw/zinc/25/17/43/134251743.db2.gz ZADRKVLVYQRCGX-XEGUGMAKSA-N 0 3 247.338 2.537 20 0 BFADHN COc1cccc(CN2CCC[C@@H](C)CC2)n1 ZINC000171826426 134261144 /nfs/dbraw/zinc/26/11/44/134261144.db2.gz QYAMIRPGOHMDQQ-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cccc(CN(C)C2CCCCC2)n1 ZINC000171859203 134261403 /nfs/dbraw/zinc/26/14/03/134261403.db2.gz XJHPEORPMFHRAS-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCn1ccnc1CN1CCCC[C@H]1C(C)C ZINC000172252739 134265290 /nfs/dbraw/zinc/26/52/90/134265290.db2.gz IGLGEKMGARFPLK-ZDUSSCGKSA-N 0 3 235.375 2.914 20 0 BFADHN Cn1cccc1[C@@H]1CCCN1CCOCC1CC1 ZINC000172735647 134269576 /nfs/dbraw/zinc/26/95/76/134269576.db2.gz TXFOLWSPLGOUKO-HNNXBMFYSA-N 0 3 248.370 2.589 20 0 BFADHN CCn1ccnc1CN1CCCC(C)(C)CC1 ZINC000172915113 134270954 /nfs/dbraw/zinc/27/09/54/134270954.db2.gz OYQJWSJJPJKUNF-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1cccn2cc(CN[C@@H]3CC[C@H](C)C3)nc12 ZINC000174412852 134281528 /nfs/dbraw/zinc/28/15/28/134281528.db2.gz DZCDWEZTISAOLQ-WCQYABFASA-N 0 3 243.354 2.921 20 0 BFADHN COc1cccc(CN2C[C@H](C)CC[C@@H]2C)n1 ZINC000174489763 134281716 /nfs/dbraw/zinc/28/17/16/134281716.db2.gz ZXZMNTHDZPVWOM-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ncccc1CN1C[C@H](C)C[C@@H](C)C1 ZINC000176452282 134291794 /nfs/dbraw/zinc/29/17/94/134291794.db2.gz VZGIOJLGRJEOFJ-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN c1nc(CN2C[C@@H]3CCCC[C@@H]3C2)cs1 ZINC000177522710 134298410 /nfs/dbraw/zinc/29/84/10/134298410.db2.gz JOJQYTXLZPULHD-PHIMTYICSA-N 0 3 222.357 2.765 20 0 BFADHN Cc1cnc(CN2C[C@@H]3CCCC[C@@H]3C2)o1 ZINC000177537556 134298995 /nfs/dbraw/zinc/29/89/95/134298995.db2.gz DSVPWEAGZAHUIA-TXEJJXNPSA-N 0 3 220.316 2.605 20 0 BFADHN CC[C@H](COC)NCc1nccc2ccccc21 ZINC000178014985 134302550 /nfs/dbraw/zinc/30/25/50/134302550.db2.gz QDHMWWPCMMRKFL-CYBMUJFWSA-N 0 3 244.338 2.749 20 0 BFADHN COC(=O)c1cccc(CN2CC[C@@H](C)[C@H]2C)c1 ZINC000177890105 134304370 /nfs/dbraw/zinc/30/43/70/134304370.db2.gz JTRRWSOJXMOIDL-VXGBXAGGSA-N 0 3 247.338 2.704 20 0 BFADHN C[C@@H]1CCN(Cc2ccc3c(c2)OCCO3)[C@@H]1C ZINC000177898105 134304437 /nfs/dbraw/zinc/30/44/37/134304437.db2.gz WWLRJQHKECDZLY-VXGBXAGGSA-N 0 3 247.338 2.688 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1cnn(C(C)C)c1 ZINC000178135270 134306313 /nfs/dbraw/zinc/30/63/13/134306313.db2.gz RMOIBYZFVJZOPY-DGCLKSJQSA-N 0 3 239.363 2.540 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H]2CCCOC2)o1 ZINC000178431325 134310572 /nfs/dbraw/zinc/31/05/72/134310572.db2.gz FZROVYFYTVCONB-NWDGAFQWSA-N 0 3 237.343 2.919 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H](C)C1CCC1 ZINC000178788882 134311256 /nfs/dbraw/zinc/31/12/56/134311256.db2.gz VNWHEUOUVZBQOI-LBPRGKRZSA-N 0 3 235.375 2.817 20 0 BFADHN C[C@@]1(NCc2ccc(F)cc2F)CCCOC1 ZINC000221349192 134320560 /nfs/dbraw/zinc/32/05/60/134320560.db2.gz IOGIRTJTPVOMII-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN CN(CCOc1ccc(F)cc1Cl)C1CC1 ZINC000179602527 134322952 /nfs/dbraw/zinc/32/29/52/134322952.db2.gz FUUQZGGLWVRUSV-UHFFFAOYSA-N 0 3 243.709 2.952 20 0 BFADHN CCCn1cc(CN2CCC[C@H](C)CC2)cn1 ZINC000179653145 134323064 /nfs/dbraw/zinc/32/30/64/134323064.db2.gz HMWUFDJOQRXTFN-ZDUSSCGKSA-N 0 3 235.375 2.915 20 0 BFADHN CC(C)n1cc(CN2CC[C@@H](C)[C@@H](C)C2)cn1 ZINC000179756095 134323580 /nfs/dbraw/zinc/32/35/80/134323580.db2.gz BGZMMANOCBVCHY-OLZOCXBDSA-N 0 3 235.375 2.942 20 0 BFADHN CC[C@H](C)CN(C)Cc1cnn(C(C)C)c1 ZINC000179686627 134323667 /nfs/dbraw/zinc/32/36/67/134323667.db2.gz QPEVSSBGFWORTE-LBPRGKRZSA-N 0 3 223.364 2.942 20 0 BFADHN CSCCN(C)Cc1cc(F)ccc1C ZINC000180173672 134327840 /nfs/dbraw/zinc/32/78/40/134327840.db2.gz GJXYFGQXQQQOLU-UHFFFAOYSA-N 0 3 227.348 2.929 20 0 BFADHN C[C@H](NC[C@H]1CC1(C)C)c1ccccn1 ZINC000222036627 134329403 /nfs/dbraw/zinc/32/94/03/134329403.db2.gz RJRUBEFHCGLTHC-WDEREUQCSA-N 0 3 204.317 2.778 20 0 BFADHN CCc1ccc(CNc2cccc(N(C)C)n2)o1 ZINC000180526504 134329631 /nfs/dbraw/zinc/32/96/31/134329631.db2.gz NHVQOKKVJVWODB-UHFFFAOYSA-N 0 3 245.326 2.915 20 0 BFADHN Cc1nc([C@H](C)NCC2(C)CC2)cs1 ZINC000222177607 134330216 /nfs/dbraw/zinc/33/02/16/134330216.db2.gz FVRUJRHAVCCZOD-QMMMGPOBSA-N 0 3 210.346 2.902 20 0 BFADHN C[C@@H]1CCC[C@H](CO)N1Cc1ccccc1F ZINC000181384497 134336229 /nfs/dbraw/zinc/33/62/29/134336229.db2.gz DDEJNCSAOYTXRW-DGCLKSJQSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@H]1CCC[C@@H](CO)N1Cc1ccccc1F ZINC000181384554 134336423 /nfs/dbraw/zinc/33/64/23/134336423.db2.gz DDEJNCSAOYTXRW-AAEUAGOBSA-N 0 3 237.318 2.561 20 0 BFADHN Cc1oncc1CN1CC[C@@H](c2ccccc2)C1 ZINC000181664003 134338379 /nfs/dbraw/zinc/33/83/79/134338379.db2.gz RCJXXKPAKJLAOA-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN c1ccc2c(c1)SC[C@@H]2N[C@H]1CCSC1 ZINC000223733739 134339256 /nfs/dbraw/zinc/33/92/56/134339256.db2.gz QWOROPZHSPGZTJ-ONGXEEELSA-N 0 3 237.393 2.929 20 0 BFADHN CCOc1ccccc1CNCC1(C)CC1 ZINC000224178166 134341980 /nfs/dbraw/zinc/34/19/80/134341980.db2.gz RUHOGSGCFRYORY-UHFFFAOYSA-N 0 3 219.328 2.975 20 0 BFADHN COc1ccccc1[C@H]1CCCN1C[C@H](C)OC ZINC000182122881 134342614 /nfs/dbraw/zinc/34/26/14/134342614.db2.gz ATEYCRAVKSZHHR-GXTWGEPZSA-N 0 3 249.354 2.867 20 0 BFADHN CO[C@H](C)CNCc1cccc(C(F)(F)F)c1 ZINC000183014081 134350037 /nfs/dbraw/zinc/35/00/37/134350037.db2.gz GBTZADHJKNWIJN-SECBINFHSA-N 0 3 247.260 2.830 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@@H](N)C(C)(C)C ZINC000226071050 134358492 /nfs/dbraw/zinc/35/84/92/134358492.db2.gz QTKVPWLPHCGQBL-VXGBXAGGSA-N 0 3 242.407 2.835 20 0 BFADHN CCOC[C@@H](C)NCc1cc(C)ccc1F ZINC000227286779 134379941 /nfs/dbraw/zinc/37/99/41/134379941.db2.gz WLJKKBRCRBCFFR-LLVKDONJSA-N 0 3 225.307 2.649 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1nccn1CC ZINC000185631942 134386440 /nfs/dbraw/zinc/38/64/40/134386440.db2.gz MSIRVXZCENOHQB-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1nc(C)c(C)o1 ZINC000227863192 134390642 /nfs/dbraw/zinc/39/06/42/134390642.db2.gz NTLPMAWIQMYZPE-NEPJUHHUSA-N 0 3 222.332 2.960 20 0 BFADHN Cc1cc(CN2CCC[C@H]2CC(C)C)no1 ZINC000130307068 134618242 /nfs/dbraw/zinc/61/82/42/134618242.db2.gz XUXJBABHDRIPRZ-ZDUSSCGKSA-N 0 3 222.332 2.994 20 0 BFADHN CC(C)n1cc([C@H](C)NCCc2ccco2)cn1 ZINC000156011629 134705503 /nfs/dbraw/zinc/70/55/03/134705503.db2.gz HMIKULOFNJUVOR-LBPRGKRZSA-N 0 3 247.342 2.950 20 0 BFADHN Cc1nc(CN[C@@H](C)CC2CC2)cs1 ZINC000069663755 134901961 /nfs/dbraw/zinc/90/19/61/134901961.db2.gz PFAKSWDNCFZNJL-QMMMGPOBSA-N 0 3 210.346 2.730 20 0 BFADHN C[C@@H](NCC1CCC(C)CC1)c1nccn1C ZINC000070445513 134918993 /nfs/dbraw/zinc/91/89/93/134918993.db2.gz MIAHYODMUZXJMK-OTTFEQOBSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@H]1CCN(Cc2ccc3c(c2)OCCO3)[C@H]1C ZINC000248369972 135000443 /nfs/dbraw/zinc/00/04/43/135000443.db2.gz WWLRJQHKECDZLY-RYUDHWBXSA-N 0 3 247.338 2.688 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@H](C)C2)cs1 ZINC000070643587 135001164 /nfs/dbraw/zinc/00/11/64/135001164.db2.gz ZWQIXYAQVIKGPW-WCBMZHEXSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@@H](C)C2)cs1 ZINC000070643589 135001785 /nfs/dbraw/zinc/00/17/85/135001785.db2.gz ZWQIXYAQVIKGPW-PSASIEDQSA-N 0 3 210.346 2.730 20 0 BFADHN C[C@H](O)[C@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC000248550257 135004284 /nfs/dbraw/zinc/00/42/84/135004284.db2.gz KHVLBVFBPBDHCB-SRVKXCTJSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1C[C@H]1CCC(C)(C)O1 ZINC000248571100 135004705 /nfs/dbraw/zinc/00/47/05/135004705.db2.gz MBVOEYKGIWGIOJ-GRYCIOLGSA-N 0 3 243.416 2.770 20 0 BFADHN CO[C@@H](C)CN(C)[C@H](C)c1cccs1 ZINC000248412713 135005928 /nfs/dbraw/zinc/00/59/28/135005928.db2.gz FOJLKZVEVSEHHO-VHSXEESVSA-N 0 3 213.346 2.776 20 0 BFADHN CO[C@@H](C)CN1CCC[C@H]1c1cccc(C)n1 ZINC000248409247 135006527 /nfs/dbraw/zinc/00/65/27/135006527.db2.gz CNSLLYNOGXTJOL-JSGCOSHPSA-N 0 3 234.343 2.562 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1cc(C)oc1C ZINC000070656743 135007168 /nfs/dbraw/zinc/00/71/68/135007168.db2.gz RXJVEYSXYMRPDO-CMPLNLGQSA-N 0 3 225.332 2.972 20 0 BFADHN CO[C@@H](C)CN(C)[C@H](C)c1ccc(F)cc1 ZINC000248420338 135008449 /nfs/dbraw/zinc/00/84/49/135008449.db2.gz LSHHULAZRYCJTA-WDEREUQCSA-N 0 3 225.307 2.853 20 0 BFADHN CO[C@H](C)CN(C)[C@H](C)c1ccccc1F ZINC000248453595 135011154 /nfs/dbraw/zinc/01/11/54/135011154.db2.gz ZCFSJOZBWBMTHA-GHMZBOCLSA-N 0 3 225.307 2.853 20 0 BFADHN COc1ccc([C@H](C)N(C)C[C@H]2CCCO2)cc1 ZINC000248499235 135014485 /nfs/dbraw/zinc/01/44/85/135014485.db2.gz PTRSATDPKRMKSI-SWLSCSKDSA-N 0 3 249.354 2.867 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cocn2)[C@@H](C)C1 ZINC000248498330 135014789 /nfs/dbraw/zinc/01/47/89/135014789.db2.gz RQPWLNFNPUJOSU-JFGNBEQYSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H](c1cnccn1)N1CCC[C@H](C)CC1 ZINC000248608132 135015365 /nfs/dbraw/zinc/01/53/65/135015365.db2.gz GWAVWDFGFGXJGX-NWDGAFQWSA-N 0 3 219.332 2.660 20 0 BFADHN C[C@H](c1cnccn1)N1C[C@H](C)C[C@@H](C)C1 ZINC000248643354 135018026 /nfs/dbraw/zinc/01/80/26/135018026.db2.gz ZLSGTRPPYPZMRL-IJLUTSLNSA-N 0 3 219.332 2.516 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@@H](C2CC2)C1)c1ccoc1 ZINC000248836505 135022730 /nfs/dbraw/zinc/02/27/30/135022730.db2.gz NDNODDRABPVWQK-ZLKJLUDKSA-N 0 3 235.327 2.888 20 0 BFADHN C[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1ncccn1 ZINC000248914490 135026516 /nfs/dbraw/zinc/02/65/16/135026516.db2.gz ZERSCFZLBHMYNM-FRRDWIJNSA-N 0 3 231.343 2.630 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](CCO)c1ccco1 ZINC000248978953 135028444 /nfs/dbraw/zinc/02/84/44/135028444.db2.gz IJJCEQQYDGMUDE-QJPTWQEYSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@H](c1ccccn1)N1CCS[C@H](C)CC1 ZINC000249141566 135034935 /nfs/dbraw/zinc/03/49/35/135034935.db2.gz ZMSYGEXQTXWDFX-VXGBXAGGSA-N 0 3 236.384 2.970 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCOC[C@H]2C)o1 ZINC000249389277 135037609 /nfs/dbraw/zinc/03/76/09/135037609.db2.gz XQHKFQJUOXSFHZ-ADEWGFFLSA-N 0 3 223.316 2.664 20 0 BFADHN CCOC(=O)[C@@H](CC)N(CC)C[C@H](C)CC ZINC000251777536 135078303 /nfs/dbraw/zinc/07/83/03/135078303.db2.gz IWHQFVRGGKWBGG-VXGBXAGGSA-N 0 3 229.364 2.696 20 0 BFADHN CO[C@@H]1CN(Cc2cccc(F)c2)CC[C@H]1C ZINC000251855616 135079241 /nfs/dbraw/zinc/07/92/41/135079241.db2.gz NVCIXAGIOBBMOK-BXUZGUMPSA-N 0 3 237.318 2.683 20 0 BFADHN CO[C@@H]1CN(Cc2ccccc2F)CC[C@@H]1C ZINC000251872207 135080107 /nfs/dbraw/zinc/08/01/07/135080107.db2.gz PBUQBYDKNATGQQ-SMDDNHRTSA-N 0 3 237.318 2.683 20 0 BFADHN CO[C@@H]1CN(Cc2ccccc2F)CC[C@H]1C ZINC000251872204 135080173 /nfs/dbraw/zinc/08/01/73/135080173.db2.gz PBUQBYDKNATGQQ-BXUZGUMPSA-N 0 3 237.318 2.683 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccccc2F)C[C@H](C)O1 ZINC000252219116 135087418 /nfs/dbraw/zinc/08/74/18/135087418.db2.gz ZXBZJRHQXNGTMC-PTEHBNRSSA-N 0 3 237.318 2.871 20 0 BFADHN C[C@H](CNCc1ccco1)N1CCCC[C@H]1C ZINC000252446112 135089972 /nfs/dbraw/zinc/08/99/72/135089972.db2.gz MGBYFBLVEKZKDT-CHWSQXEVSA-N 0 3 236.359 2.632 20 0 BFADHN CC[C@H](N[C@H]1CCO[C@H]1C)c1ccccc1OC ZINC000252681584 135096145 /nfs/dbraw/zinc/09/61/45/135096145.db2.gz APMOZDKJFKALCC-UBHSHLNASA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H](N[C@H]1CCCC1(C)C)c1nccn1C ZINC000086873068 135099082 /nfs/dbraw/zinc/09/90/82/135099082.db2.gz VNGJENXSEHCIKO-MNOVXSKESA-N 0 3 221.348 2.649 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC2(C)C)on1 ZINC000086857165 135099371 /nfs/dbraw/zinc/09/93/71/135099371.db2.gz VBKZAEQCUKOUGN-LLVKDONJSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CCCC2(C)C)on1 ZINC000086857166 135099786 /nfs/dbraw/zinc/09/97/86/135099786.db2.gz VBKZAEQCUKOUGN-NSHDSACASA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H]1C[C@@H](NCc2cc(F)ccc2F)CS1 ZINC000086936011 135103130 /nfs/dbraw/zinc/10/31/30/135103130.db2.gz UCOYCNSGWSTZBH-GZMMTYOYSA-N 0 3 243.322 2.948 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCOC2)c2ccccc21 ZINC000252731789 135104812 /nfs/dbraw/zinc/10/48/12/135104812.db2.gz ROSNCXYHSJBGKC-SUNKGSAMSA-N 0 3 217.312 2.613 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1nccn1C ZINC000252732267 135105147 /nfs/dbraw/zinc/10/51/47/135105147.db2.gz UISUAKPYGIASNF-UTUOFQBUSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1nnc([C@H](C)N[C@H]2CCC[C@H]2C)s1 ZINC000252809514 135112073 /nfs/dbraw/zinc/11/20/73/135112073.db2.gz LRFTYKITODKNEV-WEDXCCLWSA-N 0 3 225.361 2.686 20 0 BFADHN Cc1cccnc1[C@@H](NC[C@@H]1CCCO1)C(C)C ZINC000265996174 135146090 /nfs/dbraw/zinc/14/60/90/135146090.db2.gz LUWCDPAAVCDOFU-KBPBESRZSA-N 0 3 248.370 2.856 20 0 BFADHN COc1ccc(C)cc1CN(C)C(C)C ZINC000260200788 135147952 /nfs/dbraw/zinc/14/79/52/135147952.db2.gz UINPOFXILQHBGY-UHFFFAOYSA-N 0 3 207.317 2.844 20 0 BFADHN C[C@@H](NC[C@@H]1CCCS1)c1ccccn1 ZINC000087668139 135162838 /nfs/dbraw/zinc/16/28/38/135162838.db2.gz KBNOKTOTSAPXTI-MNOVXSKESA-N 0 3 222.357 2.628 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H]2CCOC2)s1 ZINC000087784175 135169996 /nfs/dbraw/zinc/16/99/96/135169996.db2.gz BXYMIQFGKIEBSB-ZJUUUORDSA-N 0 3 225.357 2.750 20 0 BFADHN CCC[C@@](C)(N)C(=O)N(C)c1cc(C)cc(C)c1 ZINC000261548575 135187271 /nfs/dbraw/zinc/18/72/71/135187271.db2.gz DRNNZPDZLVLRME-OAHLLOKOSA-N 0 3 248.370 2.784 20 0 BFADHN CCc1cc(NC(=O)[C@@H]2CCCCN2)ccc1C ZINC000261561037 135201809 /nfs/dbraw/zinc/20/18/09/135201809.db2.gz FRUCCJBNEMZHNV-AWEZNQCLSA-N 0 3 246.354 2.638 20 0 BFADHN CC[C@H](C)[C@](C)(O)CNCc1ccsc1 ZINC000163996927 135210323 /nfs/dbraw/zinc/21/03/23/135210323.db2.gz VXDRQXHMOBQATK-CMPLNLGQSA-N 0 3 227.373 2.635 20 0 BFADHN CC(C)C[C@H]1CCN(Cc2cnc(N)s2)C1 ZINC000261587655 135228738 /nfs/dbraw/zinc/22/87/38/135228738.db2.gz RXPKXMKMVAKDNU-SNVBAGLBSA-N 0 3 239.388 2.593 20 0 BFADHN CCC[C@H]1CCCN(Cc2cnc(N)s2)C1 ZINC000261599714 135237982 /nfs/dbraw/zinc/23/79/82/135237982.db2.gz ZYXCPFFTFSPOJH-JTQLQIEISA-N 0 3 239.388 2.737 20 0 BFADHN Cc1ccc(CNCCN(C)c2ccccc2)o1 ZINC000078880008 135245124 /nfs/dbraw/zinc/24/51/24/135245124.db2.gz FXTXOEJNUMJJSH-UHFFFAOYSA-N 0 3 244.338 2.814 20 0 BFADHN c1cc(CNCc2cccc3cc[nH]c32)c[nH]1 ZINC000342294713 135257230 /nfs/dbraw/zinc/25/72/30/135257230.db2.gz CLBWARALHXNAJL-UHFFFAOYSA-N 0 3 225.295 2.786 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1CC[C@@H](F)C1 ZINC000342306947 135258142 /nfs/dbraw/zinc/25/81/42/135258142.db2.gz WGMNVHURIVCRDO-CHWSQXEVSA-N 0 3 237.318 2.984 20 0 BFADHN COC[C@@H](NCC1CCC1)c1ccc(F)cc1 ZINC000342345721 135266172 /nfs/dbraw/zinc/26/61/72/135266172.db2.gz VLFRLLRMVFNPBX-CQSZACIVSA-N 0 3 237.318 2.903 20 0 BFADHN C[C@H](NCCF)c1cccc(N2CCCC2)c1 ZINC000342345675 135266183 /nfs/dbraw/zinc/26/61/83/135266183.db2.gz VHUCKZWCLQVFCI-LBPRGKRZSA-N 0 3 236.334 2.907 20 0 BFADHN Cc1cccnc1[C@H](NCC1(O)CCC1)C(C)C ZINC000342372270 135268450 /nfs/dbraw/zinc/26/84/50/135268450.db2.gz MLSADWXFHDCGQZ-CYBMUJFWSA-N 0 3 248.370 2.592 20 0 BFADHN CCC[C@](C)(N)C(=O)NCC1(CCC)CCC1 ZINC000262110372 135280055 /nfs/dbraw/zinc/28/00/55/135280055.db2.gz GSLZLGLJVWCYAO-ZDUSSCGKSA-N 0 3 240.391 2.591 20 0 BFADHN COc1cnccc1[C@@H](C)N[C@@H]1CCC1(C)C ZINC000342578446 135291777 /nfs/dbraw/zinc/29/17/77/135291777.db2.gz OQSXTBRDCAKVAS-ZWNOBZJWSA-N 0 3 234.343 2.929 20 0 BFADHN Fc1cccc(CCN2CCCC2)c1F ZINC000342691705 135305214 /nfs/dbraw/zinc/30/52/14/135305214.db2.gz IUPOLQDRUOFGLP-UHFFFAOYSA-N 0 3 211.255 2.603 20 0 BFADHN CCC1CC(NCc2cn3ccc(C)cc3n2)C1 ZINC000342739473 135309987 /nfs/dbraw/zinc/30/99/87/135309987.db2.gz SVVFHXUSEDFVBK-UHFFFAOYSA-N 0 3 243.354 2.921 20 0 BFADHN CCC1CC(N[C@H](CO)c2cccc(F)c2)C1 ZINC000342740944 135310295 /nfs/dbraw/zinc/31/02/95/135310295.db2.gz VTZANOFTMMCQAQ-UZANAETPSA-N 0 3 237.318 2.637 20 0 BFADHN CCc1nn(C)c(CC)c1CN[C@@H]1CCC1(C)C ZINC000342704345 135312297 /nfs/dbraw/zinc/31/22/97/135312297.db2.gz XUOKMKHCIGVEFI-CQSZACIVSA-N 0 3 249.402 2.823 20 0 BFADHN Cc1cccc(C)c1[C@@H](C)NCCn1cccn1 ZINC000342781693 135317271 /nfs/dbraw/zinc/31/72/71/135317271.db2.gz NHMZXWQJKKJYJA-CQSZACIVSA-N 0 3 243.354 2.851 20 0 BFADHN CCN(Cc1ncc(C)n1C)[C@@H](C)C(C)C ZINC000342779578 135317522 /nfs/dbraw/zinc/31/75/22/135317522.db2.gz LWJPGUOTGRSGKL-LBPRGKRZSA-N 0 3 223.364 2.595 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)CCC[C@@H]2C)n1C ZINC000342779323 135317797 /nfs/dbraw/zinc/31/77/97/135317797.db2.gz BWIQKXSFPXLRFY-RYUDHWBXSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1ccc([C@@H](N[C@@H](C)CO)C2CCCC2)o1 ZINC000342779551 135317918 /nfs/dbraw/zinc/31/79/18/135317918.db2.gz JVWUZQDIWDPUOH-HZMBPMFUSA-N 0 3 237.343 2.790 20 0 BFADHN Cc1nccc(CN(C)[C@@H]2CCC[C@H](C)C2)n1 ZINC000334171016 135319453 /nfs/dbraw/zinc/31/94/53/135319453.db2.gz BGMJUWJSWPDPGB-SMDDNHRTSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cnc(CN2CC[C@H](C)C[C@@H](C)C2)n1C ZINC000342799831 135321243 /nfs/dbraw/zinc/32/12/43/135321243.db2.gz ORBWIQVRLBSPJD-NWDGAFQWSA-N 0 3 235.375 2.597 20 0 BFADHN CCCCN1CCc2c(cccc2NC(C)=O)C1 ZINC000079495664 135328244 /nfs/dbraw/zinc/32/82/44/135328244.db2.gz KABCZRSBNFUNSA-UHFFFAOYSA-N 0 3 246.354 2.803 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cncc(F)c1 ZINC000334225395 135329756 /nfs/dbraw/zinc/32/97/56/135329756.db2.gz AUVGGJAUAFWCQG-NXEZZACHSA-N 0 3 208.280 2.594 20 0 BFADHN Cc1ccc(O)c(NC(=O)C(C)C(F)(F)F)c1 ZINC000334226890 135330286 /nfs/dbraw/zinc/33/02/86/135330286.db2.gz BCOHFDFFNPKSED-SSDOTTSWSA-N 0 3 247.216 2.838 20 0 BFADHN C[C@@H](N[C@@H]1CCCC(F)(F)C1)c1cnccn1 ZINC000334277747 135333577 /nfs/dbraw/zinc/33/35/77/135333577.db2.gz OOUVHZJTLNOWEP-NXEZZACHSA-N 0 3 241.285 2.705 20 0 BFADHN CCc1ccc(CN2CCC[C@@H]2C)nc1 ZINC000334293870 135341379 /nfs/dbraw/zinc/34/13/79/135341379.db2.gz RIRHFEJDYWQBHI-NSHDSACASA-N 0 3 204.317 2.628 20 0 BFADHN Fc1ccc(CN2CCC[C@H]3COCC[C@H]32)cc1 ZINC000334303969 135347706 /nfs/dbraw/zinc/34/77/06/135347706.db2.gz DDOIEVJJUBVSQI-DZGCQCFKSA-N 0 3 249.329 2.827 20 0 BFADHN Cc1nccc(CN2CCC[C@@H]3CCC[C@@H]32)n1 ZINC000334304876 135348463 /nfs/dbraw/zinc/34/84/63/135348463.db2.gz CPNPWZBHGXEERW-JSGCOSHPSA-N 0 3 231.343 2.550 20 0 BFADHN CCOc1ccc(CNCc2ccn(C)c2)cc1 ZINC000343062701 135355162 /nfs/dbraw/zinc/35/51/62/135355162.db2.gz HODHBOSEAAYJIG-UHFFFAOYSA-N 0 3 244.338 2.714 20 0 BFADHN Cc1ccoc1CN[C@@H]1[C@@H]2CCO[C@H]2C12CCC2 ZINC000269960028 135364735 /nfs/dbraw/zinc/36/47/35/135364735.db2.gz UUSVIAGJGHRCBK-IACUBPJLSA-N 0 3 247.338 2.635 20 0 BFADHN CCn1nc(CNCC(C)C)c2ccccc21 ZINC000080069951 135366194 /nfs/dbraw/zinc/36/61/94/135366194.db2.gz IRVVZYXIRRXYEF-UHFFFAOYSA-N 0 3 231.343 2.802 20 0 BFADHN CC[C@H](NCC1CCOCC1)c1nccs1 ZINC000080258653 135368206 /nfs/dbraw/zinc/36/82/06/135368206.db2.gz KOEKAABSEZPHPN-NSHDSACASA-N 0 3 240.372 2.610 20 0 BFADHN CC[C@@H](NCCc1cccnc1)c1nccs1 ZINC000080278662 135368371 /nfs/dbraw/zinc/36/83/71/135368371.db2.gz AJZQVROTKBVMIE-GFCCVEGCSA-N 0 3 247.367 2.822 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cncs1 ZINC000334352976 135369573 /nfs/dbraw/zinc/36/95/73/135369573.db2.gz IETASDYBVWQMHV-NXEZZACHSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cncs1 ZINC000334352981 135369818 /nfs/dbraw/zinc/36/98/18/135369818.db2.gz IETASDYBVWQMHV-ZJUUUORDSA-N 0 3 210.346 2.764 20 0 BFADHN Cc1nocc1CN1CCC[C@H](C(C)C)C1 ZINC000334369457 135373318 /nfs/dbraw/zinc/37/33/18/135373318.db2.gz UOQIKUFZQQCVED-LBPRGKRZSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1ccc(CN[C@@H]2CCSC2)cc1F ZINC000078228167 135392895 /nfs/dbraw/zinc/39/28/95/135392895.db2.gz UXAYPCYSMWJDJJ-LLVKDONJSA-N 0 3 225.332 2.729 20 0 BFADHN C[C@@H]1[C@@H](CO)CCN1Cc1sccc1Cl ZINC000334352125 135399681 /nfs/dbraw/zinc/39/96/81/135399681.db2.gz XCHRNLSYYZPPOJ-RKDXNWHRSA-N 0 3 245.775 2.604 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1cc(F)cc(F)c1 ZINC000087282600 135504261 /nfs/dbraw/zinc/50/42/61/135504261.db2.gz HUMYLHJVZWXQIB-BDAKNGLRSA-N 0 3 229.270 2.650 20 0 BFADHN C[C@H](NC[C@@H]1CCCS1)c1ccc(F)cn1 ZINC000092196547 135572853 /nfs/dbraw/zinc/57/28/53/135572853.db2.gz VIWQBUFCFPRQIH-ONGXEEELSA-N 0 3 240.347 2.767 20 0 BFADHN Cc1ncccc1CN1C[C@@H](C)S[C@H](C)C1 ZINC000092541249 135577431 /nfs/dbraw/zinc/57/74/31/135577431.db2.gz FSXPEFSBMZHPQG-GHMZBOCLSA-N 0 3 236.384 2.716 20 0 BFADHN COc1cncc(CN2CCCCC[C@@H]2C)c1 ZINC000343817753 135700716 /nfs/dbraw/zinc/70/07/16/135700716.db2.gz MRDADIMWFKCJLC-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN C[C@@H]1CC[C@H](N[C@@H](c2nccn2C)C2CC2)C1 ZINC000353848312 135750412 /nfs/dbraw/zinc/75/04/12/135750412.db2.gz VGIVYQMMTYBHTE-KGYLQXTDSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@@H](NC1(c2ccc(F)cc2)CC1)[C@@H]1CCCO1 ZINC000346785550 135973610 /nfs/dbraw/zinc/97/36/10/135973610.db2.gz CIVQKMYTIBFWNB-RISCZKNCSA-N 0 3 249.329 2.972 20 0 BFADHN CCc1ccc(CNC(C)(C)C(C)(C)CO)o1 ZINC000347766441 136008362 /nfs/dbraw/zinc/00/83/62/136008362.db2.gz BVKQLMRVPOFFTK-UHFFFAOYSA-N 0 3 239.359 2.729 20 0 BFADHN Cc1ncc(CN[C@H]2C[C@@H]2C2CCCCC2)cn1 ZINC000347770807 136009038 /nfs/dbraw/zinc/00/90/38/136009038.db2.gz HVMJVPKALFZFFO-CABCVRRESA-N 0 3 245.370 2.843 20 0 BFADHN CCc1ccc(CN(C)CC2CCOCC2)cn1 ZINC000347776499 136009063 /nfs/dbraw/zinc/00/90/63/136009063.db2.gz IHWIJXMAHMMNPW-UHFFFAOYSA-N 0 3 248.370 2.502 20 0 BFADHN CC[C@]1(C)CCCN(Cc2c(C)cnn2C)C1 ZINC000347853289 136012779 /nfs/dbraw/zinc/01/27/79/136012779.db2.gz BPLDPDUAVWCXEL-CQSZACIVSA-N 0 3 235.375 2.741 20 0 BFADHN [O-]c1cccnc1C[NH2+]C/C=C\c1ccccc1 ZINC000348015479 136021439 /nfs/dbraw/zinc/02/14/39/136021439.db2.gz XTLIMKITWPZQLS-YWEYNIOJSA-N 0 3 240.306 2.590 20 0 BFADHN COc1c(O)cccc1CN1C[C@H]2CCC[C@@H]2C1 ZINC000335315975 136024851 /nfs/dbraw/zinc/02/48/51/136024851.db2.gz MRANUQFHLFMWOY-VXGBXAGGSA-N 0 3 247.338 2.633 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](C)c1nnc2ccccn21 ZINC000348070386 136026549 /nfs/dbraw/zinc/02/65/49/136026549.db2.gz HZKZPFSUYNRZBG-QJPTWQEYSA-N 0 3 244.342 2.569 20 0 BFADHN C[C@H]1CN(Cc2ccc(Cl)cn2)C[C@@H]1C ZINC000335309047 136028204 /nfs/dbraw/zinc/02/82/04/136028204.db2.gz HKGJVDJQJAHLMJ-UWVGGRQHSA-N 0 3 224.735 2.823 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1cnc(C)nc1 ZINC000335319189 136033697 /nfs/dbraw/zinc/03/36/97/136033697.db2.gz AJDATRXBGUTBIK-CQSZACIVSA-N 0 3 233.359 2.940 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1cccc(OC)c1OC ZINC000348101610 136036033 /nfs/dbraw/zinc/03/60/33/136036033.db2.gz IIUPZHNCDAZYTC-AAEUAGOBSA-N 0 3 249.354 2.982 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1nccn1CC(C)C ZINC000348105557 136036623 /nfs/dbraw/zinc/03/66/23/136036623.db2.gz GIRPZHLHGXIFLH-CHWSQXEVSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1cn2cc(C)ccc2n1 ZINC000348107830 136036907 /nfs/dbraw/zinc/03/69/07/136036907.db2.gz JBLPZPGYLFSWNY-TZMCWYRMSA-N 0 3 243.354 2.921 20 0 BFADHN C/C(=C/c1ccccc1)CN(C)[C@H]1CCOC1 ZINC000348152674 136040324 /nfs/dbraw/zinc/04/03/24/136040324.db2.gz QQTVGBYKBSLLCD-WVUXNXBLSA-N 0 3 231.339 2.811 20 0 BFADHN Fc1cc(F)cc(CN[C@@H]2C[C@@H]2C(F)F)c1 ZINC000348214691 136045894 /nfs/dbraw/zinc/04/58/94/136045894.db2.gz AEUQQHFTOXKIHZ-VHSXEESVSA-N 0 3 233.208 2.708 20 0 BFADHN Fc1cc(F)cc(CN[C@H]2C[C@H]2C(F)F)c1 ZINC000348214693 136046234 /nfs/dbraw/zinc/04/62/34/136046234.db2.gz AEUQQHFTOXKIHZ-ZJUUUORDSA-N 0 3 233.208 2.708 20 0 BFADHN FC(F)[C@@H]1C[C@H]1N[C@@H]1CCc2ccccc21 ZINC000348220065 136047032 /nfs/dbraw/zinc/04/70/32/136047032.db2.gz HRDDZELSGSCFIQ-IJLUTSLNSA-N 0 3 223.266 2.917 20 0 BFADHN Fc1ccc(CN[C@H]2C[C@H]2C(F)F)cc1F ZINC000348221570 136047125 /nfs/dbraw/zinc/04/71/25/136047125.db2.gz OTASGJROJJYMSS-XCBNKYQSSA-N 0 3 233.208 2.708 20 0 BFADHN Fc1ccc(CN[C@@H]2C[C@@H]2C(F)F)cc1F ZINC000348221569 136047132 /nfs/dbraw/zinc/04/71/32/136047132.db2.gz OTASGJROJJYMSS-OIBJUYFYSA-N 0 3 233.208 2.708 20 0 BFADHN Fc1ccccc1CN1CC[C@@H]([C@H]2CCOC2)C1 ZINC000335320943 136049495 /nfs/dbraw/zinc/04/94/95/136049495.db2.gz KXMRARCIPXQCHY-OCCSQVGLSA-N 0 3 249.329 2.684 20 0 BFADHN Fc1ccccc1CN1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000335320945 136049645 /nfs/dbraw/zinc/04/96/45/136049645.db2.gz KXMRARCIPXQCHY-TZMCWYRMSA-N 0 3 249.329 2.684 20 0 BFADHN Cc1ccc2c(c1)CN(CCc1ccncc1)C2 ZINC000335322282 136050028 /nfs/dbraw/zinc/05/00/28/136050028.db2.gz MKISXUOBFORXCO-UHFFFAOYSA-N 0 3 238.334 2.948 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@H]2C(F)F)cc1 ZINC000348193068 136050123 /nfs/dbraw/zinc/05/01/23/136050123.db2.gz ZQRJWGPNTZONNC-MNOVXSKESA-N 0 3 211.255 2.738 20 0 BFADHN Cc1sccc1CN1CCOC[C@H](C)C1 ZINC000335327335 136052321 /nfs/dbraw/zinc/05/23/21/136052321.db2.gz CMNODWAAQBBETC-SNVBAGLBSA-N 0 3 225.357 2.525 20 0 BFADHN Cc1ccccc1CN1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000348207367 136055037 /nfs/dbraw/zinc/05/50/37/136055037.db2.gz VNAVAMWBVSHYFY-XJKSGUPXSA-N 0 3 245.366 2.994 20 0 BFADHN Cc1cc(CNC[C@@H]2C[C@@H]2c2ccccc2)on1 ZINC000348247259 136056566 /nfs/dbraw/zinc/05/65/66/136056566.db2.gz QPNBBFBBFGYTKI-DZGCQCFKSA-N 0 3 242.322 2.876 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@H](C)C(C)(C)C1 ZINC000348263755 136058159 /nfs/dbraw/zinc/05/81/59/136058159.db2.gz VBHVMXKYKMJDOA-LBPRGKRZSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCc1ccccn1 ZINC000348289020 136062605 /nfs/dbraw/zinc/06/26/05/136062605.db2.gz SJQDMJVZICBWAP-CYBMUJFWSA-N 0 3 241.338 2.712 20 0 BFADHN C[C@H]1CCC[C@@H](CN(C)Cc2ccnn2C)C1 ZINC000348369961 136073450 /nfs/dbraw/zinc/07/34/50/136073450.db2.gz LNXUCMJOBCOWKL-QWHCGFSZSA-N 0 3 235.375 2.678 20 0 BFADHN COc1cc([C@H](C)NC2CC(C)C2)c(F)cn1 ZINC000348410291 136077537 /nfs/dbraw/zinc/07/75/37/136077537.db2.gz OEZXUCZYLYCLRE-KYHHOPLUSA-N 0 3 238.306 2.678 20 0 BFADHN COc1cc([C@H](C)NCC2(C)CC2)c(F)cn1 ZINC000348423802 136077823 /nfs/dbraw/zinc/07/78/23/136077823.db2.gz VVXZFZGXCRYXMH-VIFPVBQESA-N 0 3 238.306 2.680 20 0 BFADHN Cc1ccc(CN[C@H]2CCC[C@@H](F)C2)o1 ZINC000335372764 136084253 /nfs/dbraw/zinc/08/42/53/136084253.db2.gz PEYKASIJVIZNBY-MNOVXSKESA-N 0 3 211.280 2.958 20 0 BFADHN Cc1nc(C)c(CNC2CC(C)(F)C2)s1 ZINC000335375532 136085574 /nfs/dbraw/zinc/08/55/74/136085574.db2.gz LPNACCRIOXMECQ-UHFFFAOYSA-N 0 3 228.336 2.740 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2ccoc2C)C1 ZINC000335392095 136091008 /nfs/dbraw/zinc/09/10/08/136091008.db2.gz AGHQTXWRAGXZGG-ZDUSSCGKSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCC(C)(C)C2)nn1 ZINC000335393708 136091798 /nfs/dbraw/zinc/09/17/98/136091798.db2.gz RTPVURICVYOQFO-ZDUSSCGKSA-N 0 3 233.359 2.796 20 0 BFADHN CC1(C(N)=O)CN(Cc2ccc3c(c2)CCC3)C1 ZINC000335395604 136092109 /nfs/dbraw/zinc/09/21/09/136092109.db2.gz BMKSQNQHAWXBRR-UHFFFAOYSA-N 0 3 244.338 2.532 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(C)[C@@H]2CCC[C@@H]2O)o1 ZINC000348542541 136095774 /nfs/dbraw/zinc/09/57/74/136095774.db2.gz CZDDAANQRJVWBX-CABNGKKXSA-N 0 3 249.354 2.748 20 0 BFADHN C[C@@H]1CCN(CC2CC(F)(F)C2)[C@H](C(N)=O)C1 ZINC000335586155 136101752 /nfs/dbraw/zinc/10/17/52/136101752.db2.gz MCDQEEMCBBTSDG-SCZZXKLOSA-N 0 3 246.301 2.667 20 0 BFADHN CCc1cc(N2CC[C@H](OC)[C@H](C)C2)ccn1 ZINC000335588199 136102825 /nfs/dbraw/zinc/10/28/25/136102825.db2.gz IJKDWRUHXOQVLT-RISCZKNCSA-N 0 3 234.343 2.505 20 0 BFADHN C[C@H]1C[C@@H](O)CN1Cc1cccc(C2CC2)c1 ZINC000335560504 136105745 /nfs/dbraw/zinc/10/57/45/136105745.db2.gz UICPLTFWKUHBQO-XHDPSFHLSA-N 0 3 231.339 2.519 20 0 BFADHN CCC(CC)CN[C@H](c1nncn1C)C(C)C ZINC000348708837 136116624 /nfs/dbraw/zinc/11/66/24/136116624.db2.gz LPQDVPRNFHVMTD-LBPRGKRZSA-N 0 3 238.379 2.538 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCCC[C@H]1c1cc[nH]n1 ZINC000348709329 136116839 /nfs/dbraw/zinc/11/68/39/136116839.db2.gz MGDXCMMTCXEMLR-WZRBSPASSA-N 0 3 219.332 2.593 20 0 BFADHN CCN(C/C=C/c1ccc(F)cc1)C[C@H](C)O ZINC000348709854 136116946 /nfs/dbraw/zinc/11/69/46/136116946.db2.gz BTIWPRQMRXDBTI-ITKZLYELSA-N 0 3 237.318 2.542 20 0 BFADHN CCc1ccc(CN[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)o1 ZINC000150825444 397732162 /nfs/dbraw/zinc/73/21/62/397732162.db2.gz VATFYVOPTBSIFW-MCIONIFRSA-N 0 3 249.354 2.745 20 0 BFADHN CC[C@H](Cc1ccc(C)cc1)NCCF ZINC000281088727 397751716 /nfs/dbraw/zinc/75/17/16/397751716.db2.gz PUPIMNJGVGDXQJ-CYBMUJFWSA-N 0 3 209.308 2.875 20 0 BFADHN COCC[C@H](NCC(C)C)c1ccco1 ZINC000272601005 397801239 /nfs/dbraw/zinc/80/12/39/397801239.db2.gz SFBIOLXGJKJEPZ-NSHDSACASA-N 0 3 211.305 2.603 20 0 BFADHN CO[C@](C)(CN[C@@H](C)c1cccc(O)c1)C1CC1 ZINC000311091785 397889761 /nfs/dbraw/zinc/88/97/61/397889761.db2.gz YZWQTNJYGJKJRM-XHDPSFHLSA-N 0 3 249.354 2.858 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1ccccc1F ZINC000301023199 397885861 /nfs/dbraw/zinc/88/58/61/397885861.db2.gz QRQVJDKIGGCXPC-SNVBAGLBSA-N 0 3 225.307 2.729 20 0 BFADHN CO[C@@](C)(CN[C@@H](C)c1cccc(O)c1)C1CC1 ZINC000311091783 397890069 /nfs/dbraw/zinc/89/00/69/397890069.db2.gz YZWQTNJYGJKJRM-NHYWBVRUSA-N 0 3 249.354 2.858 20 0 BFADHN CO[C@@H]1CN(Cc2ccc(C)s2)CC[C@H]1C ZINC000188326738 397882080 /nfs/dbraw/zinc/88/20/80/397882080.db2.gz WIMCYLIQASREJS-ZWNOBZJWSA-N 0 3 239.384 2.913 20 0 BFADHN CC(C)[C@@H]1CCCCN1Cc1cc2n(n1)CCC2 ZINC000649535491 397927862 /nfs/dbraw/zinc/92/78/62/397927862.db2.gz QKOWWULHUAQFPC-HNNXBMFYSA-N 0 3 247.386 2.840 20 0 BFADHN CC[C@H]1CCCCCN1Cc1cc2n(n1)CCC2 ZINC000649538681 397928989 /nfs/dbraw/zinc/92/89/89/397928989.db2.gz WDGUQHGSRDQSQB-AWEZNQCLSA-N 0 3 247.386 2.984 20 0 BFADHN CC(C)[C@H](C)C(=O)Nc1ccc(CN(C)C)cc1 ZINC000264992466 397930532 /nfs/dbraw/zinc/93/05/32/397930532.db2.gz JZNWYKQRFRDXSC-LBPRGKRZSA-N 0 3 248.370 2.979 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cc2n(n1)CCC2 ZINC000649547203 397939004 /nfs/dbraw/zinc/93/90/04/397939004.db2.gz NMLCBUVDDKKHLW-GFCCVEGCSA-N 0 3 235.375 2.697 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cccc(OC)c1F ZINC000388101646 397945645 /nfs/dbraw/zinc/94/56/45/397945645.db2.gz XENGJDONKTWEHY-PWSUYJOCSA-N 0 3 237.318 2.970 20 0 BFADHN C[C@@H](N[C@@H]1CCCc2cn[nH]c21)c1ccncc1 ZINC000271432630 397967788 /nfs/dbraw/zinc/96/77/88/397967788.db2.gz BFEVTORGRNJBJY-ZWNOBZJWSA-N 0 3 242.326 2.533 20 0 BFADHN CCC1(C)CN(C[C@H](O)CCC(C)C)C1 ZINC000359501454 397955438 /nfs/dbraw/zinc/95/54/38/397955438.db2.gz CTYGSOBFRCPLJC-GFCCVEGCSA-N 0 3 213.365 2.515 20 0 BFADHN Cc1n[nH]cc1CN1C[C@@H](C(C)C)[C@@H]1C(C)C ZINC000449556593 397956477 /nfs/dbraw/zinc/95/64/77/397956477.db2.gz SJONUAZHCMFAMD-KBPBESRZSA-N 0 3 235.375 2.831 20 0 BFADHN CC(C)[C@H](N)c1cn(CCC2CCCC2)nn1 ZINC000382665971 397968450 /nfs/dbraw/zinc/96/84/50/397968450.db2.gz DXHCBFVGRVRWER-ZDUSSCGKSA-N 0 3 236.363 2.514 20 0 BFADHN CC[C@@H](C)C[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000364837704 397915161 /nfs/dbraw/zinc/91/51/61/397915161.db2.gz HGHSWCMSAICPNO-YPMHNXCESA-N 0 3 244.342 2.530 20 0 BFADHN CC[C@@H](C)CN[C@H](c1nnc[nH]1)c1ccccc1 ZINC000364837704 397915165 /nfs/dbraw/zinc/91/51/65/397915165.db2.gz HGHSWCMSAICPNO-YPMHNXCESA-N 0 3 244.342 2.530 20 0 BFADHN COc1c(O)cccc1CNC[C@@H]1CC[C@@H](C)C1 ZINC000355602163 397915221 /nfs/dbraw/zinc/91/52/21/397915221.db2.gz UHVNRKBXCZGWMG-VXGBXAGGSA-N 0 3 249.354 2.927 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](CC2CC2)C2CCC2)n1 ZINC000355684954 397916617 /nfs/dbraw/zinc/91/66/17/397916617.db2.gz WBZIGRMCSQFIIB-UHFFFAOYSA-N 0 3 246.354 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](CC2CC2)C2CCC2)n1 ZINC000355684954 397916620 /nfs/dbraw/zinc/91/66/20/397916620.db2.gz WBZIGRMCSQFIIB-UHFFFAOYSA-N 0 3 246.354 2.860 20 0 BFADHN Cc1oncc1CN1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000355780761 397921197 /nfs/dbraw/zinc/92/11/97/397921197.db2.gz IIALAORTBFHPQJ-VWYCJHECSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1oncc1CN1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000355780755 397921726 /nfs/dbraw/zinc/92/17/26/397921726.db2.gz IIALAORTBFHPQJ-GMTAPVOTSA-N 0 3 222.332 2.849 20 0 BFADHN C[C@@H]1CCCCCN1Cc1cc2n(n1)CCC2 ZINC000649531590 397924031 /nfs/dbraw/zinc/92/40/31/397924031.db2.gz LJPPCZVPUMHGGF-GFCCVEGCSA-N 0 3 233.359 2.594 20 0 BFADHN CCc1nc(CN[C@@H](CC)C2CC2)cs1 ZINC000156473932 397925390 /nfs/dbraw/zinc/92/53/90/397925390.db2.gz AFLPHUHBGSENRK-NSHDSACASA-N 0 3 224.373 2.984 20 0 BFADHN Cc1[nH]nc2ncc(CN3CCCCCC3)cc12 ZINC000334466684 397925545 /nfs/dbraw/zinc/92/55/45/397925545.db2.gz VQTJTLHAQUGPKY-UHFFFAOYSA-N 0 3 244.342 2.642 20 0 BFADHN CSC[C@H]1CCCN1Cc1ccoc1C ZINC000414392635 397925773 /nfs/dbraw/zinc/92/57/73/397925773.db2.gz BTNOQBAUBZUNCA-GFCCVEGCSA-N 0 3 225.357 2.915 20 0 BFADHN CC(C)(C)c1noc([C@H]2CC[C@@H](C3CC3)N2)n1 ZINC000650225055 397996757 /nfs/dbraw/zinc/99/67/57/397996757.db2.gz CKBIKHZCVFGQFZ-VHSXEESVSA-N 0 3 235.331 2.570 20 0 BFADHN CC(C)[C@H](CO)NCc1ccc(F)c(Cl)c1 ZINC000088041099 397997724 /nfs/dbraw/zinc/99/77/24/397997724.db2.gz MCHOGGLRLZSEJT-LBPRGKRZSA-N 0 3 245.725 2.586 20 0 BFADHN Cn1ccnc1NCc1cccc2c1CCC2 ZINC000650240284 398003312 /nfs/dbraw/zinc/00/33/12/398003312.db2.gz ZYUWGTAQDKWHMQ-UHFFFAOYSA-N 0 3 227.311 2.521 20 0 BFADHN Cc1ccc([C@@H](O)CN2CC3(C2)CCCC3)cc1 ZINC000372494761 398004524 /nfs/dbraw/zinc/00/45/24/398004524.db2.gz YBEWIPCGAWECFQ-HNNXBMFYSA-N 0 3 245.366 2.904 20 0 BFADHN C[C@@H]1CCCC[C@]1(C)NCc1cc2n(n1)CCC2 ZINC000649627096 398008698 /nfs/dbraw/zinc/00/86/98/398008698.db2.gz UUYZSHDWJCCROG-DOMZBBRYSA-N 0 3 247.386 2.888 20 0 BFADHN CCc1ccccc1CCN1CCCOCC1 ZINC000373117151 398013911 /nfs/dbraw/zinc/01/39/11/398013911.db2.gz CSOWVFQLLCCSBV-UHFFFAOYSA-N 0 3 233.355 2.514 20 0 BFADHN COCC1(CN(C)Cc2ccc(C)o2)CCC1 ZINC000291054882 398016409 /nfs/dbraw/zinc/01/64/09/398016409.db2.gz IYBMCOOHQKLSSE-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN C[C@@H](NCC1=CCOCC1)c1ccccc1F ZINC000397712611 398020603 /nfs/dbraw/zinc/02/06/03/398020603.db2.gz DMBLDWCDSYVSMC-LLVKDONJSA-N 0 3 235.302 2.823 20 0 BFADHN Cc1ccc(CNC[C@]2(C)CCO[C@H]2C2CC2)o1 ZINC000375121749 398021884 /nfs/dbraw/zinc/02/18/84/398021884.db2.gz VHMCKRUFCGJWCD-GJZGRUSLSA-N 0 3 249.354 2.883 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@H]2CCCOC2)o1 ZINC000038043818 398024758 /nfs/dbraw/zinc/02/47/58/398024758.db2.gz GBOLIUIOZYHPFJ-MBNYWOFBSA-N 0 3 249.354 2.919 20 0 BFADHN Cc1nc(C)c(CN2CCC23CCCC3)o1 ZINC000649657998 398025591 /nfs/dbraw/zinc/02/55/91/398025591.db2.gz UVRVKEWAYLYNLT-UHFFFAOYSA-N 0 3 220.316 2.810 20 0 BFADHN CCCCOCCN1CCC[C@@H](OC(C)C)C1 ZINC000649699181 398048121 /nfs/dbraw/zinc/04/81/21/398048121.db2.gz KNGSBOBKDYNWDF-CQSZACIVSA-N 0 3 243.391 2.693 20 0 BFADHN COc1ccnc(CN2CCC23CCCC3)c1 ZINC000649656619 398026676 /nfs/dbraw/zinc/02/66/76/398026676.db2.gz VNYBGKANKLSRJE-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN CCCCN(C)Cc1cncc(OC)c1C ZINC000637834354 398026762 /nfs/dbraw/zinc/02/67/62/398026762.db2.gz CSBDLFRNBCJURN-UHFFFAOYSA-N 0 3 222.332 2.631 20 0 BFADHN C[C@H](N[C@H]1CSC[C@@H]1C)c1cncs1 ZINC000307428670 398048873 /nfs/dbraw/zinc/04/88/73/398048873.db2.gz ALFZSHZSODNARC-CIUDSAMLSA-N 0 3 228.386 2.545 20 0 BFADHN OC1(C2CCN(Cc3ccc(F)cc3)CC2)CC1 ZINC000649671419 398032985 /nfs/dbraw/zinc/03/29/85/398032985.db2.gz UVYHLFUENVWPRX-UHFFFAOYSA-N 0 3 249.329 2.563 20 0 BFADHN Cc1nc(F)ccc1CN1CCC[C@H](C)C1 ZINC000279468576 398049039 /nfs/dbraw/zinc/04/90/39/398049039.db2.gz JTPGNOFGCIQWRQ-JTQLQIEISA-N 0 3 222.307 2.761 20 0 BFADHN OC1(C2CCN(Cc3cccc(F)c3)CC2)CC1 ZINC000649675717 398034730 /nfs/dbraw/zinc/03/47/30/398034730.db2.gz HNTKGHFFDOTYHI-UHFFFAOYSA-N 0 3 249.329 2.563 20 0 BFADHN COC[C@H](N[C@H](C)c1ccccc1)[C@@H]1CCCO1 ZINC000278597299 398040577 /nfs/dbraw/zinc/04/05/77/398040577.db2.gz CTOUMSUAAGTTQQ-SNPRPXQTSA-N 0 3 249.354 2.531 20 0 BFADHN C[C@H](F)CCN1CCC=C(c2cccnc2)C1 ZINC000451023976 397969785 /nfs/dbraw/zinc/96/97/85/397969785.db2.gz GTYIQYPXNULHIE-LBPRGKRZSA-N 0 3 234.318 2.919 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cc3n(n2)CCC3)[C@H](C)C1 ZINC000649587584 397970889 /nfs/dbraw/zinc/97/08/89/397970889.db2.gz MPRXBAZTCOZBID-LALPHHSUSA-N 0 3 247.386 2.744 20 0 BFADHN CCC[C@H](C)N(C)Cc1cccc2nccn21 ZINC000271719896 397971314 /nfs/dbraw/zinc/97/13/14/397971314.db2.gz JQFWTLQQQAYDMX-LBPRGKRZSA-N 0 3 231.343 2.955 20 0 BFADHN CC(C)N(C/C=C/c1ccncc1)[C@H]1CCOC1 ZINC000361055001 397971904 /nfs/dbraw/zinc/97/19/04/397971904.db2.gz VGIQSZATTFMUNQ-BWPKMQGJSA-N 0 3 246.354 2.594 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1cc2n(n1)CCC2 ZINC000649588000 397972646 /nfs/dbraw/zinc/97/26/46/397972646.db2.gz YJVRLVYCGBADCP-WFASDCNBSA-N 0 3 247.386 2.888 20 0 BFADHN CCO[C@@H]1CCN([C@@H](C)c2ccccn2)C[C@@H]1C ZINC000451550005 397976250 /nfs/dbraw/zinc/97/62/50/397976250.db2.gz WIMHGNZFVPKRJG-KCQAQPDRSA-N 0 3 248.370 2.890 20 0 BFADHN CN(Cc1cc2n(n1)CCC2)C1(C)CCCC1 ZINC000649595034 397982233 /nfs/dbraw/zinc/98/22/33/397982233.db2.gz YRHZOZPLHVUMDC-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN c1coc([C@H]2CCN(Cc3ccncc3)C2)c1 ZINC000369618575 397983290 /nfs/dbraw/zinc/98/32/90/397983290.db2.gz IMZQFDHGVMBUSP-ZDUSSCGKSA-N 0 3 228.295 2.664 20 0 BFADHN CCN(Cc1cc2n(n1)CCCC2)CC1CCC1 ZINC000649596036 397984333 /nfs/dbraw/zinc/98/43/33/397984333.db2.gz HOVSJMRERQVCQE-UHFFFAOYSA-N 0 3 247.386 2.841 20 0 BFADHN COC[C@]1(C)CCN([C@@H](C)c2ccncc2)C1 ZINC000649764341 398092337 /nfs/dbraw/zinc/09/23/37/398092337.db2.gz IKEQWHZLTYCKOE-GXTWGEPZSA-N 0 3 234.343 2.501 20 0 BFADHN CC[C@@H]1CN([C@@H]2C=CCCC2)[C@@H](CC)CO1 ZINC000649721445 398064888 /nfs/dbraw/zinc/06/48/88/398064888.db2.gz YAWXLOUERDUSEK-BFHYXJOUSA-N 0 3 223.360 2.985 20 0 BFADHN CCN(CC)CCN[C@@H](C)c1cc(C)c(C)o1 ZINC000282253508 398067096 /nfs/dbraw/zinc/06/70/96/398067096.db2.gz QOEAYFSNRIZMAF-LBPRGKRZSA-N 0 3 238.375 2.889 20 0 BFADHN C[C@@H](N[C@@H](CCO)c1ccco1)C(C)(C)C ZINC000186544532 398067737 /nfs/dbraw/zinc/06/77/37/398067737.db2.gz LHMKWKBKCWTTDY-MNOVXSKESA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@H]1CN(CCc2ccccc2)[C@H](C)CO1 ZINC000649731659 398071593 /nfs/dbraw/zinc/07/15/93/398071593.db2.gz KTJWNSVPFDFMAX-HIFRSBDPSA-N 0 3 233.355 2.728 20 0 BFADHN Cn1cnc(CN2CCC[C@H](C3CCC3)CC2)c1 ZINC000640108221 398072425 /nfs/dbraw/zinc/07/24/25/398072425.db2.gz YYNPWXNPGWAGFH-AWEZNQCLSA-N 0 3 247.386 2.822 20 0 BFADHN CC[C@H]1CN(CCCCCF)[C@H](C)CO1 ZINC000649736966 398078457 /nfs/dbraw/zinc/07/84/57/398078457.db2.gz NRRRZRYTEXIRSZ-NEPJUHHUSA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)[C@@H](C)CO1 ZINC000649736929 398078940 /nfs/dbraw/zinc/07/89/40/398078940.db2.gz MOOJSFICWSXFLE-QJPTWQEYSA-N 0 3 247.329 2.921 20 0 BFADHN CN(Cc1ccc2ccccc2c1)C1(CO)CC1 ZINC000285653604 398079570 /nfs/dbraw/zinc/07/95/70/398079570.db2.gz YOJKTKJFPCTTOG-UHFFFAOYSA-N 0 3 241.334 2.797 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000191638249 398081603 /nfs/dbraw/zinc/08/16/03/398081603.db2.gz JZYMYZAKVQDEPY-XHNCKOQMSA-N 0 3 228.361 2.526 20 0 BFADHN CC1(CNCc2ccns2)CCCC1 ZINC000404414874 398085391 /nfs/dbraw/zinc/08/53/91/398085391.db2.gz MOCHBPISJFYWTO-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN CCN(CCOc1ccccc1)[C@@H]1CCCOC1 ZINC000649758907 398089080 /nfs/dbraw/zinc/08/90/80/398089080.db2.gz AIGDGESNKHICRL-CQSZACIVSA-N 0 3 249.354 2.566 20 0 BFADHN CCN[C@@H](C)C(=O)N(CC)c1cc(C)cc(C)c1 ZINC000637942240 398050763 /nfs/dbraw/zinc/05/07/63/398050763.db2.gz JPSXWHNGRYWTDI-ZDUSSCGKSA-N 0 3 248.370 2.654 20 0 BFADHN Cc1cc(CN2CCSC(C)(C)CC2)on1 ZINC000279789578 398052026 /nfs/dbraw/zinc/05/20/26/398052026.db2.gz VHUKEHQOSJBFAB-UHFFFAOYSA-N 0 3 240.372 2.701 20 0 BFADHN Cn1cc2c(n1)CCC[C@@H]2NCc1ccccc1 ZINC000280200914 398054715 /nfs/dbraw/zinc/05/47/15/398054715.db2.gz SUMVMRHQJUOKOM-AWEZNQCLSA-N 0 3 241.338 2.587 20 0 BFADHN CC[C@@H]1COC(C)(C)CN1[C@@H]1C=CCCC1 ZINC000649715789 398056790 /nfs/dbraw/zinc/05/67/90/398056790.db2.gz LRYSMAIOTGSMHP-CHWSQXEVSA-N 0 3 223.360 2.985 20 0 BFADHN CC[C@H]1COC(C)(C)CN1CCc1ccncc1 ZINC000649715313 398056866 /nfs/dbraw/zinc/05/68/66/398056866.db2.gz ZOZKDNVCGDFZOR-AWEZNQCLSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@@H]1COC(C)(C)CN1CCOC(C)(C)C ZINC000649715958 398057506 /nfs/dbraw/zinc/05/75/06/398057506.db2.gz QCCPVVZLAWHXKP-GFCCVEGCSA-N 0 3 243.391 2.691 20 0 BFADHN CC[C@@H]1CN(C)CCN1Cc1cc(C)cc(C)c1 ZINC000556954587 398057583 /nfs/dbraw/zinc/05/75/83/398057583.db2.gz VGAYUQSHOVCQER-MRXNPFEDSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1ccc2[nH]c3c(c2c1)C[C@@H](NCCF)CC3 ZINC000281161678 398058105 /nfs/dbraw/zinc/05/81/05/398058105.db2.gz VUJMPYIYKANNOU-NSHDSACASA-N 0 3 246.329 2.893 20 0 BFADHN CC[C@H]1CN(CC2=CCCC2)[C@@H](CC)CO1 ZINC000649717922 398058963 /nfs/dbraw/zinc/05/89/63/398058963.db2.gz PBMKHYHASYFZNT-KBPBESRZSA-N 0 3 223.360 2.986 20 0 BFADHN CCCn1cc(CN2C[C@@H]3CCC[C@@H]3C2)cn1 ZINC000126917079 398097125 /nfs/dbraw/zinc/09/71/25/398097125.db2.gz ZAAUFIDKHPIDKG-OKILXGFUSA-N 0 3 233.359 2.525 20 0 BFADHN CC(C)(C)OCCN1CCC2(CC2(F)F)CC1 ZINC000649773647 398097265 /nfs/dbraw/zinc/09/72/65/398097265.db2.gz ACMSQVHWYCFSJM-UHFFFAOYSA-N 0 3 247.329 2.923 20 0 BFADHN CC[C@H](NC[C@@H]1CCOC1)c1nc(C)cs1 ZINC000128909654 398098062 /nfs/dbraw/zinc/09/80/62/398098062.db2.gz MUGAOVUSGIJBTP-QWRGUYRKSA-N 0 3 240.372 2.529 20 0 BFADHN CCOc1cccc(CNC2(C3CCC3)CC2)n1 ZINC000649810552 398117106 /nfs/dbraw/zinc/11/71/06/398117106.db2.gz PWVDOJFEKTXKIS-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN C[C@H](NCc1cn(C)cn1)[C@@H]1CCC[C@H](C)C1 ZINC000649814713 398120000 /nfs/dbraw/zinc/12/00/00/398120000.db2.gz MHXQRZZODQNKBZ-RWMBFGLXSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H](O)CCCN(C)[C@@H](C)c1ccccc1F ZINC000062017183 398110450 /nfs/dbraw/zinc/11/04/50/398110450.db2.gz ZSXLKMXQFZFXDJ-NEPJUHHUSA-N 0 3 239.334 2.980 20 0 BFADHN Cc1csc(CNC2(C3CCC3)CC2)n1 ZINC000649808349 398112427 /nfs/dbraw/zinc/11/24/27/398112427.db2.gz AHJZNRHOCJAZFK-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN CCc1noc(C)c1CNC1(C2CCC2)CC1 ZINC000649808350 398112751 /nfs/dbraw/zinc/11/27/51/398112751.db2.gz AIEAVPFPRADBSF-UHFFFAOYSA-N 0 3 234.343 2.968 20 0 BFADHN Cc1noc(C)c1CNC1(C2CCC2)CC1 ZINC000649806986 398114330 /nfs/dbraw/zinc/11/43/30/398114330.db2.gz IGQLZNVZDCDLGB-UHFFFAOYSA-N 0 3 220.316 2.714 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@@H]2C)on1 ZINC000309119419 398115016 /nfs/dbraw/zinc/11/50/16/398115016.db2.gz SJVBYUUCANRUKP-ONGXEEELSA-N 0 3 208.305 2.509 20 0 BFADHN C[C@H]1CC(F)(F)CCN1CCc1ccccn1 ZINC000528767133 398126622 /nfs/dbraw/zinc/12/66/22/398126622.db2.gz LDUKYMDFUPUMDI-NSHDSACASA-N 0 3 240.297 2.744 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCCO2)cc1F ZINC000070160432 398127601 /nfs/dbraw/zinc/12/76/01/398127601.db2.gz NRKVFWFRKGNMPJ-ZDUSSCGKSA-N 0 3 237.318 2.793 20 0 BFADHN C[C@@H]1CN(Cc2ccccc2)C2(CCC2)CO1 ZINC000649827179 398127760 /nfs/dbraw/zinc/12/77/60/398127760.db2.gz JTNSMJAETFJQOU-CYBMUJFWSA-N 0 3 231.339 2.830 20 0 BFADHN COc1nccnc1CNC1CCCCCCC1 ZINC000294109782 398121937 /nfs/dbraw/zinc/12/19/37/398121937.db2.gz CRVUJWRFMADRQK-UHFFFAOYSA-N 0 3 249.358 2.688 20 0 BFADHN Fc1cc(F)c(CN[C@@H]2C[C@H]3C[C@H]3C2)cc1F ZINC000397729675 398122084 /nfs/dbraw/zinc/12/20/84/398122084.db2.gz CKLWSZZTHLJBGQ-DIYOJNKTSA-N 0 3 241.256 2.992 20 0 BFADHN CC(C)=CCN1C[C@@H](C)OCC12CCC2 ZINC000649821679 398122442 /nfs/dbraw/zinc/12/24/42/398122442.db2.gz NXOMLJOVPNETTF-GFCCVEGCSA-N 0 3 209.333 2.596 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC[C@H](O)CC2)o1 ZINC000294111250 398122860 /nfs/dbraw/zinc/12/28/60/398122860.db2.gz SQSPXJYVFOETMT-MBNYWOFBSA-N 0 3 249.354 2.750 20 0 BFADHN C[C@H](NC1CCCCCC1)c1cnccn1 ZINC000070024678 398123278 /nfs/dbraw/zinc/12/32/78/398123278.db2.gz LIRBTKDQTVHEJC-NSHDSACASA-N 0 3 219.332 2.850 20 0 BFADHN C[C@H]1CN(Cc2ccno2)CCc2ccccc21 ZINC000649833005 398132179 /nfs/dbraw/zinc/13/21/79/398132179.db2.gz BIVDOVPPXHFOIM-LBPRGKRZSA-N 0 3 242.322 2.836 20 0 BFADHN COC[C@]1(C)CCN(Cc2ccc(OC)cc2)C1 ZINC000295116695 398133270 /nfs/dbraw/zinc/13/32/70/398133270.db2.gz MMAZMDPTORWNCT-OAHLLOKOSA-N 0 3 249.354 2.554 20 0 BFADHN C[C@H]1CN(Cc2c[nH]cn2)CCc2ccccc21 ZINC000649836085 398133642 /nfs/dbraw/zinc/13/36/42/398133642.db2.gz ZMQVPSKLBWTJCD-LBPRGKRZSA-N 0 3 241.338 2.572 20 0 BFADHN C[C@H]1CN(Cc2cnc[nH]2)CCc2ccccc21 ZINC000649836085 398133643 /nfs/dbraw/zinc/13/36/43/398133643.db2.gz ZMQVPSKLBWTJCD-LBPRGKRZSA-N 0 3 241.338 2.572 20 0 BFADHN CC(C)NCc1ccccc1OCC1CC1 ZINC000034825617 167120829 /nfs/dbraw/zinc/12/08/29/167120829.db2.gz HGLYBJCOVJVYOQ-UHFFFAOYSA-N 0 3 219.328 2.973 20 0 BFADHN C/C(=C/c1ccccc1)CN(C)C[C@@H](O)C1CC1 ZINC000685461397 487529829 /nfs/dbraw/zinc/52/98/29/487529829.db2.gz LFQVRKALUFTDTA-IVOILVROSA-N 0 3 245.366 2.793 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)C[C@H](O)C1CC1 ZINC000685460765 487529660 /nfs/dbraw/zinc/52/96/60/487529660.db2.gz FBXOPSRDYLPAOC-GWQWAINWSA-N 0 3 249.329 2.542 20 0 BFADHN Cc1cc(CN(C)C[C@H](O)C2CC2)c(C)s1 ZINC000674314159 487559353 /nfs/dbraw/zinc/55/93/53/487559353.db2.gz ZUIMEWDPQDMWLI-ZDUSSCGKSA-N 0 3 239.384 2.568 20 0 BFADHN Cc1cc(C)c(CN(C)C2(CO)CC2)cc1C ZINC000668802333 487565274 /nfs/dbraw/zinc/56/52/74/487565274.db2.gz TWLAOTDTYAWZPF-UHFFFAOYSA-N 0 3 233.355 2.569 20 0 BFADHN Cc1ccc(CN2CC(C3CCOCC3)C2)cc1 ZINC000669715046 487566209 /nfs/dbraw/zinc/56/62/09/487566209.db2.gz BQWXMGLVIDIMKC-UHFFFAOYSA-N 0 3 245.366 2.853 20 0 BFADHN C[C@H](N(C)Cc1ccncc1)C1(C)CC1 ZINC000156726811 487569925 /nfs/dbraw/zinc/56/99/25/487569925.db2.gz YBFGFGMDJIRHNI-NSHDSACASA-N 0 3 204.317 2.702 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1ncccc1N(C)C ZINC000685660648 487572430 /nfs/dbraw/zinc/57/24/30/487572430.db2.gz BWQHULVNXYZGTP-OLZOCXBDSA-N 0 3 247.386 2.816 20 0 BFADHN CN(C)C1(CNCc2cscc2Cl)CC1 ZINC000669575096 487574966 /nfs/dbraw/zinc/57/49/66/487574966.db2.gz MMYSBJBLHURPDO-UHFFFAOYSA-N 0 3 244.791 2.585 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCCCOC(C)C ZINC000680380154 487580178 /nfs/dbraw/zinc/58/01/78/487580178.db2.gz NZEDCWTUWSHFFE-SNVBAGLBSA-N 0 3 240.347 2.757 20 0 BFADHN CN(Cc1cnc(C2CC2)nc1)C[C@@H]1CC1(C)C ZINC000668814342 487580853 /nfs/dbraw/zinc/58/08/53/487580853.db2.gz HBKHNBBWRIJDFB-ZDUSSCGKSA-N 0 3 245.370 2.832 20 0 BFADHN CC(C)OCCN1CCC[C@H]1c1cccn1C ZINC000071122771 167232329 /nfs/dbraw/zinc/23/23/29/167232329.db2.gz XAAYQSYVWAEFCM-AWEZNQCLSA-N 0 3 236.359 2.587 20 0 BFADHN C[C@@H]1CCN(CC[C@@H]2CCCCO2)C[C@@H]1F ZINC000680387873 487583248 /nfs/dbraw/zinc/58/32/48/487583248.db2.gz GCBISNCVRXSLCO-AGIUHOORSA-N 0 3 229.339 2.626 20 0 BFADHN Cc1cn[nH]c1CN1CCCc2sccc2C1 ZINC000685836904 487584201 /nfs/dbraw/zinc/58/42/01/487584201.db2.gz IAJYCJVJIVDWIF-UHFFFAOYSA-N 0 3 247.367 2.728 20 0 BFADHN CCOc1ccc(CN2C[C@H](C)[C@@H](C)[C@H]2C)nc1 ZINC000669575974 487584931 /nfs/dbraw/zinc/58/49/31/487584931.db2.gz IYNLBFZSIWVFQS-YNEHKIRRSA-N 0 3 248.370 2.957 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)C[C@@H]2CC2(C)C)n1 ZINC000668815759 487584868 /nfs/dbraw/zinc/58/48/68/487584868.db2.gz WCCSPOZJGXGAAL-NSHDSACASA-N 0 3 234.343 2.574 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)C[C@@H]2CC2(C)C)n1 ZINC000668815759 487584870 /nfs/dbraw/zinc/58/48/70/487584870.db2.gz WCCSPOZJGXGAAL-NSHDSACASA-N 0 3 234.343 2.574 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H](C)c1cccnc1 ZINC000309338283 167257537 /nfs/dbraw/zinc/25/75/37/167257537.db2.gz JONQPKJCKZUTAW-NEPJUHHUSA-N 0 3 222.332 2.546 20 0 BFADHN CCCn1nccc1CN(C)C1CCCC1 ZINC000667491949 487589229 /nfs/dbraw/zinc/58/92/29/487589229.db2.gz NWTVIDQVWIWWRJ-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cn(C)nc1CC ZINC000685852410 487589706 /nfs/dbraw/zinc/58/97/06/487589706.db2.gz NPTLWAZHNXHILF-LBPRGKRZSA-N 0 3 237.391 2.993 20 0 BFADHN C[C@H](N[C@@H]1CS[C@@H](C)C1)c1ccccn1 ZINC000086934439 487590820 /nfs/dbraw/zinc/59/08/20/487590820.db2.gz ISAWXBIVOISDLV-DCAQKATOSA-N 0 3 222.357 2.626 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cc(C)nc(C)n1 ZINC000671302934 487596704 /nfs/dbraw/zinc/59/67/04/487596704.db2.gz KUOPGBALGWXDRG-GXTWGEPZSA-N 0 3 233.359 2.762 20 0 BFADHN C[C@H](O)CNC(C)(C)c1cccc(Cl)c1F ZINC000192805696 487597811 /nfs/dbraw/zinc/59/78/11/487597811.db2.gz SYYRTHNQDYDIJH-QMMMGPOBSA-N 0 3 245.725 2.685 20 0 BFADHN CC(C)Oc1ccccc1CNC1CC1 ZINC000032010556 167310386 /nfs/dbraw/zinc/31/03/86/167310386.db2.gz GHEQWSHAQNAOCR-UHFFFAOYSA-N 0 3 205.301 2.726 20 0 BFADHN C[C@@H]1CCN(Cc2cncnc2)[C@H]2CCCC[C@H]12 ZINC000534337959 487604567 /nfs/dbraw/zinc/60/45/67/487604567.db2.gz MPNLEIHUUVTSNK-YUELXQCFSA-N 0 3 245.370 2.877 20 0 BFADHN CCCOc1ccc(CN2CCCOCC2)cc1 ZINC000535081029 487604708 /nfs/dbraw/zinc/60/47/08/487604708.db2.gz NAEURCODCCIMPV-UHFFFAOYSA-N 0 3 249.354 2.698 20 0 BFADHN CO[C@@H](CN(C)Cc1ccccn1)C1CCCC1 ZINC000660679204 409528397 /nfs/dbraw/zinc/52/83/97/409528397.db2.gz JAEBETZMCFVKPA-HNNXBMFYSA-N 0 3 248.370 2.719 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1cccc(F)c1 ZINC000178318591 487609750 /nfs/dbraw/zinc/60/97/50/487609750.db2.gz SAOPGEGFXPYRTJ-VKKKGTNTSA-N 0 3 235.302 2.796 20 0 BFADHN CN(CC1=CCSC1)Cc1ccccc1 ZINC000662269604 409572989 /nfs/dbraw/zinc/57/29/89/409572989.db2.gz HZIROGNYCZIOFJ-UHFFFAOYSA-N 0 3 219.353 2.792 20 0 BFADHN c1nc(CN2CCC[C@@H]2CC2CCCC2)c[nH]1 ZINC000653892646 487610082 /nfs/dbraw/zinc/61/00/82/487610082.db2.gz LUMAVQNHAFRUJY-CQSZACIVSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@@H](O)CCN[C@@]1(c2ccccc2)CC1(C)C ZINC000662340143 409651055 /nfs/dbraw/zinc/65/10/55/409651055.db2.gz IHILHPTUQIWPHV-IUODEOHRSA-N 0 3 233.355 2.672 20 0 BFADHN Cc1ncsc1CN(C)C[C@H]1CC1(C)C ZINC000662342892 409653869 /nfs/dbraw/zinc/65/38/69/409653869.db2.gz FTEKOPGPXGMSCY-SNVBAGLBSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C2(C3CCC3)CC2)n1 ZINC000651959401 409746364 /nfs/dbraw/zinc/74/63/64/409746364.db2.gz KVZMAGBJZCZYIK-UHFFFAOYSA-N 0 3 232.327 2.518 20 0 BFADHN COc1cc(CN2C[C@H](C)[C@H](C)[C@H]2C)sn1 ZINC000660825923 409804941 /nfs/dbraw/zinc/80/49/41/409804941.db2.gz YIRSRPIGBACTGH-LPEHRKFASA-N 0 3 240.372 2.628 20 0 BFADHN Cc1cn2c(CN[C@@H]3CC3(C)C)c(C)nc2s1 ZINC000045117046 409872084 /nfs/dbraw/zinc/87/20/84/409872084.db2.gz SJQDEDHNYOVAIE-LLVKDONJSA-N 0 3 249.383 2.901 20 0 BFADHN CN(CCC1CCCCC1)Cc1cn[nH]c1 ZINC000674752339 487611772 /nfs/dbraw/zinc/61/17/72/487611772.db2.gz IRFVLXVJRGQQTK-UHFFFAOYSA-N 0 3 221.348 2.812 20 0 BFADHN CCCc1cccc(CNCCOCCF)c1 ZINC000663341793 410147651 /nfs/dbraw/zinc/14/76/51/410147651.db2.gz RCKMRLWKJGPQMN-UHFFFAOYSA-N 0 3 239.334 2.715 20 0 BFADHN C[C@@H](COCC1CC1)NCc1ccccc1F ZINC000651981486 410120486 /nfs/dbraw/zinc/12/04/86/410120486.db2.gz PBYXGPHANGOAPY-NSHDSACASA-N 0 3 237.318 2.730 20 0 BFADHN CCc1ccc(CN[C@H](C)COCC2CC2)o1 ZINC000651982147 410122840 /nfs/dbraw/zinc/12/28/40/410122840.db2.gz NPSZJXWFYJHKRD-LLVKDONJSA-N 0 3 237.343 2.747 20 0 BFADHN CC[C@H](C)N(CC)Cc1cnccc1OC ZINC000661103157 410216620 /nfs/dbraw/zinc/21/66/20/410216620.db2.gz DYXLSBYWQGFBFB-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN Cc1nnsc1CN[C@H](C)CC1CCC1 ZINC000309020761 410197848 /nfs/dbraw/zinc/19/78/48/410197848.db2.gz WTXIPLHFPMTHIW-MRVPVSSYSA-N 0 3 225.361 2.515 20 0 BFADHN CN[C@@H](C)C(=O)N[C@@H](CCC(C)C)C(C)(C)C ZINC000655435810 410258794 /nfs/dbraw/zinc/25/87/94/410258794.db2.gz HQWAGXYRAJGGIB-RYUDHWBXSA-N 0 3 242.407 2.561 20 0 BFADHN CCCCN1CCC[C@H]1c1cc(C)on1 ZINC000078449518 410279932 /nfs/dbraw/zinc/27/99/32/410279932.db2.gz ZWWBHDWKBKMMLE-LBPRGKRZSA-N 0 3 208.305 2.920 20 0 BFADHN CCc1nocc1CN(CC)[C@@H](C)CC ZINC000661104376 410230778 /nfs/dbraw/zinc/23/07/78/410230778.db2.gz LKHUPIOFGGJAFW-JTQLQIEISA-N 0 3 210.321 2.857 20 0 BFADHN Cc1cc(CNCCc2ccc(F)cc2C)on1 ZINC000311180446 487615375 /nfs/dbraw/zinc/61/53/75/487615375.db2.gz YHEVCENCDKDICU-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN CN(CCOc1ccccc1Cl)C1CC1 ZINC000046601681 410513216 /nfs/dbraw/zinc/51/32/16/410513216.db2.gz DPVCYYVAASAADM-UHFFFAOYSA-N 0 3 225.719 2.813 20 0 BFADHN CC(C)(C)C1CCN(Cc2ccno2)CC1 ZINC000336617337 166544281 /nfs/dbraw/zinc/54/42/81/166544281.db2.gz UCWKKJPXCBZZDB-UHFFFAOYSA-N 0 3 222.332 2.933 20 0 BFADHN CC(C)[C@H](NCC(C)(C)O)c1ccccc1F ZINC000661485179 410698332 /nfs/dbraw/zinc/69/83/32/410698332.db2.gz OHALPZTWEZTNTB-ZDUSSCGKSA-N 0 3 239.334 2.883 20 0 BFADHN COc1cccc(CNCCCCF)c1F ZINC000309283446 410712570 /nfs/dbraw/zinc/71/25/70/410712570.db2.gz UJEBEDOVGRVTID-UHFFFAOYSA-N 0 3 229.270 2.674 20 0 BFADHN CCOCCCN1CC[C@H]1c1ccc(F)cc1 ZINC000661514563 410722692 /nfs/dbraw/zinc/72/26/92/410722692.db2.gz YVDSLLZTKBHKCX-AWEZNQCLSA-N 0 3 237.318 2.999 20 0 BFADHN CC[C@@H](N[C@H](C)c1cnc(C)s1)C(C)(C)O ZINC000393520940 410745616 /nfs/dbraw/zinc/74/56/16/410745616.db2.gz ONILIMVGHXXELM-LDYMZIIASA-N 0 3 242.388 2.652 20 0 BFADHN CC(C)CCCN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000345345053 166816927 /nfs/dbraw/zinc/81/69/27/166816927.db2.gz BOXKHCRPQMAXKF-VXGBXAGGSA-N 0 3 221.348 2.915 20 0 BFADHN CC(C)CNCc1cc(F)c(F)cc1F ZINC000083348904 167008266 /nfs/dbraw/zinc/00/82/66/167008266.db2.gz PJCRXEKVWMLMJC-UHFFFAOYSA-N 0 3 217.234 2.850 20 0 BFADHN CC(C)CNCc1cc(F)cnc1Cl ZINC000295104657 167008565 /nfs/dbraw/zinc/00/85/65/167008565.db2.gz KVJYFYYHQHBIEE-UHFFFAOYSA-N 0 3 216.687 2.620 20 0 BFADHN CC(C)CNCc1ccn(C2CCCC2)n1 ZINC000080094979 167009281 /nfs/dbraw/zinc/00/92/81/167009281.db2.gz ZVACAVVWPQRAPM-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN CC(C)CNCc1cnc([C@@H]2CCCO2)s1 ZINC000082416809 167010766 /nfs/dbraw/zinc/01/07/66/167010766.db2.gz DAVMPCAPPMRNAT-NSHDSACASA-N 0 3 240.372 2.740 20 0 BFADHN CC(C)NCc1cc(-c2cncnc2)ccc1F ZINC000052886634 167117789 /nfs/dbraw/zinc/11/77/89/167117789.db2.gz LMYOQALQHFJCKF-UHFFFAOYSA-N 0 3 245.301 2.781 20 0 BFADHN CC(C)NCc1coc(-c2cccs2)n1 ZINC000082239129 167120250 /nfs/dbraw/zinc/12/02/50/167120250.db2.gz APPYYZVURBGFHQ-UHFFFAOYSA-N 0 3 222.313 2.901 20 0 BFADHN CC(C)NCc1conc1Cc1ccccc1 ZINC000339173740 167120840 /nfs/dbraw/zinc/12/08/40/167120840.db2.gz RISYVZZRMDREBX-UHFFFAOYSA-N 0 3 230.311 2.763 20 0 BFADHN CC(C)NCc1ccccc1OC(F)F ZINC000019880968 167121111 /nfs/dbraw/zinc/12/11/11/167121111.db2.gz YKWDZARRPIDMPM-UHFFFAOYSA-N 0 3 215.243 2.786 20 0 BFADHN CC(C)NCc1nc(C(C)(C)C)cs1 ZINC000045205807 167121136 /nfs/dbraw/zinc/12/11/36/167121136.db2.gz ARNKKDPIMCXVGG-UHFFFAOYSA-N 0 3 212.362 2.939 20 0 BFADHN CC(C)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000041061730 167132383 /nfs/dbraw/zinc/13/23/83/167132383.db2.gz GVAZMXYNXIKFBA-QMMMGPOBSA-N 0 3 210.346 2.691 20 0 BFADHN CC(C)N[C@@H]1CCOc2ccc(F)cc21 ZINC000035652882 167134550 /nfs/dbraw/zinc/13/45/50/167134550.db2.gz QILVVFNNBPQLSZ-LLVKDONJSA-N 0 3 209.264 2.647 20 0 BFADHN CC(C)OC(=O)CCNC1(c2ccccc2)CC1 ZINC000266744342 167157912 /nfs/dbraw/zinc/15/79/12/167157912.db2.gz OBHJOFGYOGOUKW-UHFFFAOYSA-N 0 3 247.338 2.607 20 0 BFADHN Cc1cc(C)nc(N[C@@H](C)Cc2cnccn2)c1 ZINC000651300928 410908666 /nfs/dbraw/zinc/90/86/66/410908666.db2.gz NBOABZILVQOXRE-LBPRGKRZSA-N 0 3 242.326 2.532 20 0 BFADHN CC(C)OC1CC(N[C@@H](C)c2cccc(O)c2)C1 ZINC000189533546 167176379 /nfs/dbraw/zinc/17/63/79/167176379.db2.gz AJQFOIZJHBCLTP-ZOODHJKOSA-N 0 3 249.354 2.999 20 0 BFADHN CC(C)OCCCN1CCC(C(F)F)CC1 ZINC000336679392 167213010 /nfs/dbraw/zinc/21/30/10/167213010.db2.gz PGWRLDHGEISIGR-UHFFFAOYSA-N 0 3 235.318 2.779 20 0 BFADHN CC(C)OCCCNc1ccnc2ccccc21 ZINC000359463955 167219070 /nfs/dbraw/zinc/21/90/70/167219070.db2.gz CBWAURFXFVHGBW-UHFFFAOYSA-N 0 3 244.338 2.884 20 0 BFADHN CC(C)OCCN[C@H](C)c1ccc(F)cc1 ZINC000042223832 167244298 /nfs/dbraw/zinc/24/42/98/167244298.db2.gz YGNNPQJSQANXQP-LLVKDONJSA-N 0 3 225.307 2.901 20 0 BFADHN CC(C)OC[C@@H](C)NCc1ccccc1F ZINC000309392532 167256894 /nfs/dbraw/zinc/25/68/94/167256894.db2.gz USGFHIKMAPYZPC-LLVKDONJSA-N 0 3 225.307 2.729 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H]1c2ccccc2O[C@@H]1C ZINC000342437182 167258145 /nfs/dbraw/zinc/25/81/45/167258145.db2.gz NYMZVOMMYGWEKG-JMSVASOKSA-N 0 3 249.354 2.912 20 0 BFADHN CC(C)O[C@H]1C[C@@H](NCc2ccccn2)C1(C)C ZINC000274320146 167283294 /nfs/dbraw/zinc/28/32/94/167283294.db2.gz WZARBPQLFYYFTO-KGLIPLIRSA-N 0 3 248.370 2.763 20 0 BFADHN CC(C)Oc1ccc(CN[C@H]2CCO[C@H]2C)cc1 ZINC000120078874 167295035 /nfs/dbraw/zinc/29/50/35/167295035.db2.gz SEIJMCOPBAWGTR-WFASDCNBSA-N 0 3 249.354 2.741 20 0 BFADHN CC(C)Oc1cccc(CN(C)[C@H]2CCOC2)c1 ZINC000106882419 167304064 /nfs/dbraw/zinc/30/40/64/167304064.db2.gz FFEBZAUVWAOTCX-AWEZNQCLSA-N 0 3 249.354 2.695 20 0 BFADHN CC(C)SCCN1C[C@@H](C)O[C@H](C)[C@@H]1C ZINC000338365244 167328290 /nfs/dbraw/zinc/32/82/90/167328290.db2.gz LODMKQOASRBBIM-GRYCIOLGSA-N 0 3 231.405 2.626 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@@H](NCc2cocn2)C1 ZINC000397814456 410936414 /nfs/dbraw/zinc/93/64/14/410936414.db2.gz HQNYQGXBPSEWNO-FOGDFJRCSA-N 0 3 208.305 2.589 20 0 BFADHN CC(C)[C@@H](C)C(=O)Nc1ccc(CN(C)C)cc1 ZINC000264992461 167353909 /nfs/dbraw/zinc/35/39/09/167353909.db2.gz JZNWYKQRFRDXSC-GFCCVEGCSA-N 0 3 248.370 2.979 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1ccnn1C(C)C ZINC000337128406 167367380 /nfs/dbraw/zinc/36/73/80/167367380.db2.gz IBDGYHCGCPGPRE-GFCCVEGCSA-N 0 3 223.364 2.940 20 0 BFADHN CC(C)[C@@H](C)N(C)CC(=O)NCCC(C)(C)C ZINC000339099317 167368763 /nfs/dbraw/zinc/36/87/63/167368763.db2.gz KYZVLKQUTOHOEG-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cnc([C@@H](C)O)s1 ZINC000289212260 167368788 /nfs/dbraw/zinc/36/87/88/167368788.db2.gz WPLWXXOBRLLBDF-NXEZZACHSA-N 0 3 242.388 2.673 20 0 BFADHN CC(C)[C@@H](C)N[C@@H](c1nccn1C)C1CC1 ZINC000336699614 167383192 /nfs/dbraw/zinc/38/31/92/167383192.db2.gz ZVACWGCQQWFISR-ZYHUDNBSSA-N 0 3 221.348 2.505 20 0 BFADHN CC(C)[C@@H](CN1CCOCC1)c1ccccc1 ZINC000353348228 167399337 /nfs/dbraw/zinc/39/93/37/167399337.db2.gz CSDWQZHVIIYZHW-OAHLLOKOSA-N 0 3 233.355 2.758 20 0 BFADHN CC(C)[C@@H](CNCCF)c1ccccc1 ZINC000280829768 167399715 /nfs/dbraw/zinc/39/97/15/167399715.db2.gz WREJGBSGRABGHN-CYBMUJFWSA-N 0 3 209.308 2.985 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NCC1=CCCCC1 ZINC000651373003 410957222 /nfs/dbraw/zinc/95/72/22/410957222.db2.gz CNGJINSMVZZTLD-JTQLQIEISA-N 0 3 219.332 2.869 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2ccnc(C)c2)C1(C)C ZINC000651388399 410970620 /nfs/dbraw/zinc/97/06/20/410970620.db2.gz WBLGPOPBHJUADR-ZFWWWQNUSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1ccc([C@@H](C)NCC2=CCOCC2)c(C)n1 ZINC000651389652 410972600 /nfs/dbraw/zinc/97/26/00/410972600.db2.gz NEGUWZDMCDWKMC-GFCCVEGCSA-N 0 3 246.354 2.696 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CCOC2(C)C)s1 ZINC000651397404 410977576 /nfs/dbraw/zinc/97/75/76/410977576.db2.gz ZVUGXZZOFUODGY-KWQFWETISA-N 0 3 240.372 2.670 20 0 BFADHN CCC1CC(N[C@H]2CCCc3c[nH]nc32)C1 ZINC000309995475 410993095 /nfs/dbraw/zinc/99/30/95/410993095.db2.gz CBHGFSVYCBZDJZ-NHNAUAITSA-N 0 3 219.332 2.565 20 0 BFADHN C[C@H](NC[C@H]1CC2CCC1CC2)c1cnccn1 ZINC000400008085 411074055 /nfs/dbraw/zinc/07/40/55/411074055.db2.gz BYNSWXFRHWLIFB-GFJIZPEISA-N 0 3 245.370 2.954 20 0 BFADHN C[C@H](N[C@H](CO)CCF)c1ccc(Cl)cc1 ZINC000652024779 411081700 /nfs/dbraw/zinc/08/17/00/411081700.db2.gz LSBSPMLLVRSTGM-CABZTGNLSA-N 0 3 245.725 2.711 20 0 BFADHN C[C@@H]1CN(C/C=C\c2ccccc2)C[C@@H](C)C1O ZINC000661625192 411083905 /nfs/dbraw/zinc/08/39/05/411083905.db2.gz SDOZOLULMIZREJ-MVUUYHCISA-N 0 3 245.366 2.649 20 0 BFADHN CCc1ccc(CN2C[C@@H](O)CC[C@H]2C)s1 ZINC000399258321 411028988 /nfs/dbraw/zinc/02/89/88/411028988.db2.gz RYZOHTOCLAGKDS-MNOVXSKESA-N 0 3 239.384 2.656 20 0 BFADHN CCN(CC)Cc1cc(F)c(OC)cc1OC ZINC000651605829 411129125 /nfs/dbraw/zinc/12/91/25/411129125.db2.gz VZKHTGUYIDLGFC-UHFFFAOYSA-N 0 3 241.306 2.685 20 0 BFADHN CN(Cc1cccc2c1CCC2)C[C@@H]1CCCO1 ZINC000651612537 411130727 /nfs/dbraw/zinc/13/07/27/411130727.db2.gz TXCKRSQIOBIHOC-HNNXBMFYSA-N 0 3 245.366 2.786 20 0 BFADHN C[C@H]1CC[C@H](CNCc2cc3ccccc3[nH]2)O1 ZINC000651621366 411131223 /nfs/dbraw/zinc/13/12/23/411131223.db2.gz DRMWBTDMBKNCAW-SMDDNHRTSA-N 0 3 244.338 2.825 20 0 BFADHN c1c2cnccc2oc1CNC[C@@H]1CCCS1 ZINC000651732672 411139646 /nfs/dbraw/zinc/13/96/46/411139646.db2.gz CYLKVWOAYHTCKB-LBPRGKRZSA-N 0 3 248.351 2.813 20 0 BFADHN COC[C@H](CC(C)(C)C)NCc1ccccn1 ZINC000651749023 411140733 /nfs/dbraw/zinc/14/07/33/411140733.db2.gz IIIWDZPPZVPBCM-ZDUSSCGKSA-N 0 3 236.359 2.622 20 0 BFADHN CC[C@]1(C)CCC[C@H]1NCc1cc(C)ncn1 ZINC000651744924 411140789 /nfs/dbraw/zinc/14/07/89/411140789.db2.gz UKVDZPXFRMRAMN-ZIAGYGMSSA-N 0 3 233.359 2.843 20 0 BFADHN COC[C@@H](CC(C)(C)C)N[C@@H](C)c1cn[nH]c1 ZINC000651746117 411141059 /nfs/dbraw/zinc/14/10/59/411141059.db2.gz HAUQVCYGDMHVBR-CMPLNLGQSA-N 0 3 239.363 2.512 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1cccc(CC)c1 ZINC000651786690 411143795 /nfs/dbraw/zinc/14/37/95/411143795.db2.gz IUFDLYHJCOBCOM-KBPBESRZSA-N 0 3 219.328 2.516 20 0 BFADHN C[C@@H](CF)NCc1cccc2c1CCC2 ZINC000651812344 411144976 /nfs/dbraw/zinc/14/49/76/411144976.db2.gz VMXYCBXUGOBVIO-JTQLQIEISA-N 0 3 207.292 2.623 20 0 BFADHN CCCc1cccc(CNC[C@@H](C)OC)c1 ZINC000336763573 411163760 /nfs/dbraw/zinc/16/37/60/411163760.db2.gz MKCQMJFJIIGDGZ-GFCCVEGCSA-N 0 3 221.344 2.764 20 0 BFADHN CC[C@]1(C)CCC[C@H]1NCc1snnc1C ZINC000651816005 411145037 /nfs/dbraw/zinc/14/50/37/411145037.db2.gz YBQHBBKXJAWRNG-VXGBXAGGSA-N 0 3 239.388 2.905 20 0 BFADHN CC[C@](C)(CNCc1cc2cnccc2o1)OC ZINC000651821290 411145943 /nfs/dbraw/zinc/14/59/43/411145943.db2.gz KKHBOYACMTWYPY-CQSZACIVSA-N 0 3 248.326 2.733 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc3cnccc3o2)CS1 ZINC000651830772 411146627 /nfs/dbraw/zinc/14/66/27/411146627.db2.gz MQPIEPDEDATXOH-MWLCHTKSSA-N 0 3 248.351 2.811 20 0 BFADHN Cc1cnccc1CN1CCC[C@@H](OC(C)C)C1 ZINC000651897679 411149564 /nfs/dbraw/zinc/14/95/64/411149564.db2.gz HQCKLWULTGCHAE-OAHLLOKOSA-N 0 3 248.370 2.779 20 0 BFADHN Cc1cc(CN2C[C@H](C)CC[C@H]2C)nc(C)n1 ZINC000659969885 411151449 /nfs/dbraw/zinc/15/14/49/411151449.db2.gz FWXVLOXFKIHHBI-ZYHUDNBSSA-N 0 3 233.359 2.714 20 0 BFADHN c1cc(CNC[C@@H]2CCC3(CCCC3)O2)c[nH]1 ZINC000655863573 411151773 /nfs/dbraw/zinc/15/17/73/411151773.db2.gz NIQRFGXZRQDACM-ZDUSSCGKSA-N 0 3 234.343 2.596 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cc(C)nc(C)n1 ZINC000659974660 411151879 /nfs/dbraw/zinc/15/18/79/411151879.db2.gz ONPMJPHQRKOPQA-YGRLFVJLSA-N 0 3 233.359 2.714 20 0 BFADHN Cc1c(CN(C)CCC(C)(C)C2CC2)cnn1C ZINC000653650004 411155994 /nfs/dbraw/zinc/15/59/94/411155994.db2.gz DSHRRUYVPLJSHV-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN CCN(Cc1ccccn1)C[C@H](OC)C1CCC1 ZINC000659999997 411164497 /nfs/dbraw/zinc/16/44/97/411164497.db2.gz KAWYNJNVTGCTMQ-HNNXBMFYSA-N 0 3 248.370 2.719 20 0 BFADHN CN1CCN([C@@H]2C[C@@H]2c2ccccc2)CC1(C)C ZINC000653660359 411159520 /nfs/dbraw/zinc/15/95/20/411159520.db2.gz ZPPFYYLZINYOAE-HUUCEWRRSA-N 0 3 244.382 2.569 20 0 BFADHN CCCC1(CNCc2cc3n(n2)CCCC3)CC1 ZINC000655749190 411161334 /nfs/dbraw/zinc/16/13/34/411161334.db2.gz RUIVLBLCMWAAMI-UHFFFAOYSA-N 0 3 247.386 2.889 20 0 BFADHN C[C@H](CCO)N(C)C/C=C\c1ccc(F)cc1 ZINC000652047949 411098817 /nfs/dbraw/zinc/09/88/17/411098817.db2.gz AYMYVYHTAPXNME-VSQXVHSFSA-N 0 3 237.318 2.542 20 0 BFADHN CC[C@H]1CCN(Cc2cnc3ccccc3n2)C1 ZINC000659997304 411161490 /nfs/dbraw/zinc/16/14/90/411161490.db2.gz SJEAJLFNDBHPSS-LBPRGKRZSA-N 0 3 241.338 2.862 20 0 BFADHN Cc1cc(CN(CC2CC2)C2CCC2)nc(C)n1 ZINC000660456581 411167825 /nfs/dbraw/zinc/16/78/25/411167825.db2.gz GFDVMBDUSJFIOO-UHFFFAOYSA-N 0 3 245.370 2.858 20 0 BFADHN CC[C@H](CC(F)F)CN1CCO[C@@H](C)[C@H]1C ZINC000660011588 411173878 /nfs/dbraw/zinc/17/38/78/411173878.db2.gz PDCBJCXKQWIBKK-OUAUKWLOSA-N 0 3 235.318 2.777 20 0 BFADHN CCCCC[C@@H]1CCCN1Cc1cn(C)cn1 ZINC000660013919 411174989 /nfs/dbraw/zinc/17/49/89/411174989.db2.gz SFANKHXJQNYPGG-CQSZACIVSA-N 0 3 235.375 2.965 20 0 BFADHN C[C@@H](N[C@@H](C)c1cc2cnccc2o1)C(C)(C)O ZINC000657946034 411177061 /nfs/dbraw/zinc/17/70/61/411177061.db2.gz OVKVJLCURODXKD-VHSXEESVSA-N 0 3 248.326 2.638 20 0 BFADHN CC(C)n1cc(CNCCC2CCCC2)nn1 ZINC000310330749 167747051 /nfs/dbraw/zinc/74/70/51/167747051.db2.gz YNHDOCWRFSNOHO-UHFFFAOYSA-N 0 3 236.363 2.529 20 0 BFADHN CC[C@H](C)[C@H](C)N(Cc1cn(C)cn1)C1CC1 ZINC000659880869 411180518 /nfs/dbraw/zinc/18/05/18/411180518.db2.gz IZHOWDDYUORWNM-RYUDHWBXSA-N 0 3 235.375 2.819 20 0 BFADHN CCCCN1CCN(c2cccc(C)c2)CC1 ZINC000060972830 411181691 /nfs/dbraw/zinc/18/16/91/411181691.db2.gz SUMWIIDNHHPGCG-UHFFFAOYSA-N 0 3 232.371 2.917 20 0 BFADHN C[C@H]1CCCN(Cc2ccc3c(n2)CCC3)C1 ZINC000656399158 411243467 /nfs/dbraw/zinc/24/34/67/411243467.db2.gz OVDCERDJSQMMTG-LBPRGKRZSA-N 0 3 230.355 2.802 20 0 BFADHN CO[C@@H](C)CNCc1cnc(C2CCC2)s1 ZINC000336775497 411183553 /nfs/dbraw/zinc/18/35/53/411183553.db2.gz ZGBUUSBGDPZTBV-VIFPVBQESA-N 0 3 240.372 2.535 20 0 BFADHN CC[C@@H](N[C@@H](C)COCC1CC1)c1ccncc1 ZINC000652287035 411187423 /nfs/dbraw/zinc/18/74/23/411187423.db2.gz LZGJFPNVZBOQGK-SWLSCSKDSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@H](COCC1CC1)N[C@@H](C)c1ccoc1 ZINC000652285949 411187706 /nfs/dbraw/zinc/18/77/06/411187706.db2.gz IQDBPFUCRDAMPI-MNOVXSKESA-N 0 3 223.316 2.745 20 0 BFADHN C[C@H](NC1CC(C)(F)C1)c1ccncc1 ZINC000334518854 411190280 /nfs/dbraw/zinc/19/02/80/411190280.db2.gz CGWXWUSVTLWQEW-GCVQQVDUSA-N 0 3 208.280 2.623 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1cc2cnccc2o1 ZINC000657986318 411190759 /nfs/dbraw/zinc/19/07/59/411190759.db2.gz VKDRHHMXZCMYOW-ZJUUUORDSA-N 0 3 234.299 2.513 20 0 BFADHN C[C@H](c1cccc(F)c1)N1C[C@@H]2COC[C@@]2(C)C1 ZINC000661700108 411192368 /nfs/dbraw/zinc/19/23/68/411192368.db2.gz IPKMTAXHESTDKM-UXIGCNINSA-N 0 3 249.329 2.855 20 0 BFADHN C[C@@H](NCCC[C@H](C)O)c1cc2cnccc2o1 ZINC000657996717 411192579 /nfs/dbraw/zinc/19/25/79/411192579.db2.gz FGTXHQUKYNAZHZ-WDEREUQCSA-N 0 3 248.326 2.639 20 0 BFADHN CCn1nncc1CN(C1CC1)C1CCCCC1 ZINC000656411525 411244578 /nfs/dbraw/zinc/24/45/78/411244578.db2.gz WRKWRNBKZDAADF-UHFFFAOYSA-N 0 3 248.374 2.595 20 0 BFADHN Cc1cccc([C@H](C)N[C@@H](CO)CCF)c1C ZINC000652304123 411194879 /nfs/dbraw/zinc/19/48/79/411194879.db2.gz GKXNDZVVOQKZLV-QWHCGFSZSA-N 0 3 239.334 2.675 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](CO)CCF)c(C)s1 ZINC000652305435 411195091 /nfs/dbraw/zinc/19/50/91/411195091.db2.gz BZHYDTNKZPWEDC-KOLCDFICSA-N 0 3 245.363 2.736 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](CO)CCF)s1 ZINC000652306459 411196214 /nfs/dbraw/zinc/19/62/14/411196214.db2.gz WKKFKUXOJLHUPZ-NXEZZACHSA-N 0 3 245.363 2.682 20 0 BFADHN CC[C@H](NCc1ccc(C)nc1)[C@@H]1CCCCO1 ZINC000653872811 411203003 /nfs/dbraw/zinc/20/30/03/411203003.db2.gz GLOXVFCOBRNMRN-GJZGRUSLSA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@@H](NCc1ccc(C)nc1)[C@H]1CCCCO1 ZINC000653872812 411203065 /nfs/dbraw/zinc/20/30/65/411203065.db2.gz GLOXVFCOBRNMRN-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@H](NCc1cc(C)ccn1)[C@@H]1CCCCO1 ZINC000653874769 411204999 /nfs/dbraw/zinc/20/49/99/411204999.db2.gz KYUSYVRZXYTLNW-GJZGRUSLSA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@H](NCc1ccncc1)[C@H]1CCCCO1 ZINC000653876504 411205520 /nfs/dbraw/zinc/20/55/20/411205520.db2.gz GJTWNUQSOXYBOB-UONOGXRCSA-N 0 3 234.343 2.519 20 0 BFADHN CCn1ccnc1CN[C@H](C)C(C)(C)C(F)F ZINC000658028696 411205843 /nfs/dbraw/zinc/20/58/43/411205843.db2.gz UZOFQHJPHXDWJI-SECBINFHSA-N 0 3 245.317 2.672 20 0 BFADHN CC[C@H](NCc1cncc(C)c1)[C@H]1CCCCO1 ZINC000653875599 411205972 /nfs/dbraw/zinc/20/59/72/411205972.db2.gz WZLWYKMVVBWRKM-LSDHHAIUSA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@H](N[C@H](C)c1cc2cnccc2o1)[C@@H](C)O ZINC000658029195 411206308 /nfs/dbraw/zinc/20/63/08/411206308.db2.gz HTCCSTNOCWQSSF-FOGDFJRCSA-N 0 3 248.326 2.638 20 0 BFADHN CC[C@@H](NCc1ccccn1)[C@@H]1CCCCO1 ZINC000653876751 411206554 /nfs/dbraw/zinc/20/65/54/411206554.db2.gz GYJNYXSVPUOASG-KGLIPLIRSA-N 0 3 234.343 2.519 20 0 BFADHN CC[C@@H](NCc1ccccn1)[C@H]1CCCCO1 ZINC000653876753 411206837 /nfs/dbraw/zinc/20/68/37/411206837.db2.gz GYJNYXSVPUOASG-ZIAGYGMSSA-N 0 3 234.343 2.519 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CC[C@@H](C)[C@@H](C)C2)c2ncnn21 ZINC000658102420 411245956 /nfs/dbraw/zinc/24/59/56/411245956.db2.gz PISPLPSQETZSLE-RXGFPQBGSA-N 0 3 248.374 2.698 20 0 BFADHN Cc1cccc(NC(=O)C2(N)CC3(CCC3)C2)c1 ZINC000659252648 411210250 /nfs/dbraw/zinc/21/02/50/411210250.db2.gz SZPJPBAONNCHQX-UHFFFAOYSA-N 0 3 244.338 2.595 20 0 BFADHN COC1([C@@H](C)NCc2cnc(C)cc2C)CCC1 ZINC000658032315 411210541 /nfs/dbraw/zinc/21/05/41/411210541.db2.gz PTHKCBXNSXXYIH-CYBMUJFWSA-N 0 3 248.370 2.746 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCC(C)(C)C2)c2ncnn21 ZINC000658102943 411246007 /nfs/dbraw/zinc/24/60/07/411246007.db2.gz SGSQQXQDVFZVMX-UTUOFQBUSA-N 0 3 248.374 2.842 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2C[C@H](C)C[C@H](C)C2)c2ncnn21 ZINC000658101637 411246195 /nfs/dbraw/zinc/24/61/95/411246195.db2.gz MHOZHIJUUOCSOC-RXGFPQBGSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1cc2cnccc2o1 ZINC000658043687 411216270 /nfs/dbraw/zinc/21/62/70/411216270.db2.gz RDHCNIQQNLUUOR-FOGDFJRCSA-N 0 3 246.310 2.656 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1cc2cnccc2o1 ZINC000658043686 411216321 /nfs/dbraw/zinc/21/63/21/411216321.db2.gz RDHCNIQQNLUUOR-CKYFFXLPSA-N 0 3 246.310 2.656 20 0 BFADHN CC[C@H](N[C@@H]1C[C@@H](C)n2ncnc21)[C@H]1CC1(C)C ZINC000658102807 411246448 /nfs/dbraw/zinc/24/64/48/411246448.db2.gz QOHMVMMXSBPSQV-WISYIIOYSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@H]1C[C@H](NC2CCC3(CC3)CC2)c2ncnn21 ZINC000658103210 411246615 /nfs/dbraw/zinc/24/66/15/411246615.db2.gz UCAHLMRVQNPFCP-JQWIXIFHSA-N 0 3 246.358 2.596 20 0 BFADHN CCOc1ccc([C@@H](C)N[C@@H]2CCOC2)cc1 ZINC000112759086 411219413 /nfs/dbraw/zinc/21/94/13/411219413.db2.gz IBWRWRJFKIPCRE-DGCLKSJQSA-N 0 3 235.327 2.525 20 0 BFADHN CCC[C@@H](C)CN1CC2(CC2(F)F)C1 ZINC000656266140 411221405 /nfs/dbraw/zinc/22/14/05/411221405.db2.gz QPWSGPIVDQWJNB-SECBINFHSA-N 0 3 203.276 2.764 20 0 BFADHN C[C@H](NCc1nc2c(s1)CCCC2)C1CC1 ZINC000309743454 411222111 /nfs/dbraw/zinc/22/21/11/411222111.db2.gz DXKAJVCNJWECJQ-VIFPVBQESA-N 0 3 236.384 2.910 20 0 BFADHN C[C@@H](NC[C@@H]1CCN1C(C)(C)C)c1ccco1 ZINC000658053948 411223375 /nfs/dbraw/zinc/22/33/75/411223375.db2.gz AHTXDIQXVBWAJV-NEPJUHHUSA-N 0 3 236.359 2.803 20 0 BFADHN C[C@H]1CC[C@@H](NCc2ccco2)CS1 ZINC000655683557 411225038 /nfs/dbraw/zinc/22/50/38/411225038.db2.gz HBLRDCSGRGHPMQ-VHSXEESVSA-N 0 3 211.330 2.653 20 0 BFADHN CCC(C)(C)N1CCN(CC2(C)CC2)CC1 ZINC000656270535 411225052 /nfs/dbraw/zinc/22/50/52/411225052.db2.gz DFTMYLDSQCICBS-UHFFFAOYSA-N 0 3 224.392 2.593 20 0 BFADHN COc1ccc2c(c1)CN(C[C@H]1C[C@@H]1C)C2 ZINC000656274985 411225143 /nfs/dbraw/zinc/22/51/43/411225143.db2.gz IKRSMKWKQWLDAE-CMPLNLGQSA-N 0 3 217.312 2.667 20 0 BFADHN c1cn2c(n1)[C@H](NCCc1ccsc1)CCC2 ZINC000655685405 411227542 /nfs/dbraw/zinc/22/75/42/411227542.db2.gz BVOAWWNIERQEQO-GFCCVEGCSA-N 0 3 247.367 2.612 20 0 BFADHN C[C@@H](O)CN(C/C=C/c1ccc(F)cc1)C1CC1 ZINC000656277534 411227576 /nfs/dbraw/zinc/22/75/76/411227576.db2.gz CCMAUJLXAMCMEY-QAVQXKDTSA-N 0 3 249.329 2.684 20 0 BFADHN C[C@@H](CF)NC1CC(c2ccccc2)C1 ZINC000290100456 411228037 /nfs/dbraw/zinc/22/80/37/411228037.db2.gz HRFKAYQITNHSEL-PKSQDBQZSA-N 0 3 207.292 2.880 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1COC(C)(C)C1 ZINC000658057601 411228322 /nfs/dbraw/zinc/22/83/22/411228322.db2.gz IZDBEDRIBHOLMI-AAEUAGOBSA-N 0 3 248.370 2.862 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@@H](C)c1ccn(C)n1 ZINC000658113203 411247465 /nfs/dbraw/zinc/24/74/65/411247465.db2.gz DZSGBWPLHJWRSB-QWRGUYRKSA-N 0 3 223.364 2.895 20 0 BFADHN CC(C)n1cncc1CN[C@@H]1CCC(F)(F)C1 ZINC000310456447 411238307 /nfs/dbraw/zinc/23/83/07/411238307.db2.gz DARQKFPCYORKTK-SNVBAGLBSA-N 0 3 243.301 2.741 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](CO)C2CCC2)oc1C ZINC000658087871 411238408 /nfs/dbraw/zinc/23/84/08/411238408.db2.gz WUECGCHVZXSJKO-MFKMUULPSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1ccc(CN[C@H]2CC[C@H](C)SC2)cn1 ZINC000655695094 411239733 /nfs/dbraw/zinc/23/97/33/411239733.db2.gz QIZWDBOETKEXPE-AAEUAGOBSA-N 0 3 236.384 2.764 20 0 BFADHN CC[C@H](COCC1CC1)N[C@@H](C)c1ccncc1 ZINC000658090307 411240818 /nfs/dbraw/zinc/24/08/18/411240818.db2.gz IKBZSBZFTSGTLX-SWLSCSKDSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@H](COCC1CC1)N[C@@H](C)c1ccccn1 ZINC000658089699 411240853 /nfs/dbraw/zinc/24/08/53/411240853.db2.gz AJDKVUBBVIWASS-GXTWGEPZSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@@H](COCC1CC1)N[C@H](C)c1ccccn1 ZINC000658089701 411241115 /nfs/dbraw/zinc/24/11/15/411241115.db2.gz AJDKVUBBVIWASS-OCCSQVGLSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H](N[C@@H]1CCSC1)c1cc2cnccc2o1 ZINC000657921343 411166840 /nfs/dbraw/zinc/16/68/40/411166840.db2.gz DATAOKZDGNVMJQ-MWLCHTKSSA-N 0 3 248.351 2.984 20 0 BFADHN C[C@H](N[C@@H]1CCSC1)c1cc2cnccc2o1 ZINC000657921340 411167068 /nfs/dbraw/zinc/16/70/68/411167068.db2.gz DATAOKZDGNVMJQ-GXSJLCMTSA-N 0 3 248.351 2.984 20 0 BFADHN C[C@@H]1SCC[C@@H]1N[C@@H]1CCCc2cccnc21 ZINC000310573106 411242215 /nfs/dbraw/zinc/24/22/15/411242215.db2.gz ORGWJYVSDHEYGP-WCFLWFBJSA-N 0 3 248.395 2.943 20 0 BFADHN CC(C)CC[C@H](NC/C=C\CO)c1ccoc1 ZINC000658138117 411261685 /nfs/dbraw/zinc/26/16/85/411261685.db2.gz KOLYUVZOZFQNLM-NQHOJNORSA-N 0 3 237.343 2.895 20 0 BFADHN C[C@@H]1CC[C@@H](NC/C=C/CO)c2ccccc21 ZINC000658136987 411262118 /nfs/dbraw/zinc/26/21/18/411262118.db2.gz YYLSQDQSHBRPDC-XDSYMSCESA-N 0 3 231.339 2.763 20 0 BFADHN Cc1occc1CNC(C)(C)COC(C)C ZINC000396363978 411265503 /nfs/dbraw/zinc/26/55/03/411265503.db2.gz NDHDPNLBHVHWTJ-UHFFFAOYSA-N 0 3 225.332 2.881 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NC/C=C\CO)CCC2 ZINC000658142720 411265710 /nfs/dbraw/zinc/26/57/10/411265710.db2.gz YMHGUQTYKIOXRX-GWQWAINWSA-N 0 3 231.339 2.511 20 0 BFADHN CCC(CC)CN[C@@H]1CCCn2ccnc21 ZINC000655711457 411265894 /nfs/dbraw/zinc/26/58/94/411265894.db2.gz GOCIELOQFNTUMI-GFCCVEGCSA-N 0 3 221.348 2.744 20 0 BFADHN C(CC1CCC1)CN1CCOC[C@@H]1C1CC1 ZINC000660151912 411266072 /nfs/dbraw/zinc/26/60/72/411266072.db2.gz XRIDGMLBYVGNTM-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCOC2(CCC2)C1 ZINC000660154560 411267805 /nfs/dbraw/zinc/26/78/05/411267805.db2.gz IUCONPAFZSNBBI-NSHDSACASA-N 0 3 247.329 2.923 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](C)C2(CO)CC2)c(C)n1 ZINC000658155774 411268951 /nfs/dbraw/zinc/26/89/51/411268951.db2.gz QVPJUIFGDYCEOI-OLZOCXBDSA-N 0 3 248.370 2.510 20 0 BFADHN CCC[C@H](N[C@@H](C)C1(CO)CC1)c1ccccn1 ZINC000658157387 411271440 /nfs/dbraw/zinc/27/14/40/411271440.db2.gz ZDTXQSPLZMZENE-JSGCOSHPSA-N 0 3 248.370 2.673 20 0 BFADHN CCC[C@@H](N[C@H](C)C1(CO)CC1)c1ccccn1 ZINC000658157396 411271536 /nfs/dbraw/zinc/27/15/36/411271536.db2.gz ZDTXQSPLZMZENE-TZMCWYRMSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)O1)c1ccncc1 ZINC000656686230 411327854 /nfs/dbraw/zinc/32/78/54/411327854.db2.gz FXUGEUDNYQBRCD-BZPMIXESSA-N 0 3 234.343 2.690 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](C)c2cccc(O)c2)C1 ZINC000658161643 411275161 /nfs/dbraw/zinc/27/51/61/411275161.db2.gz LOVOJIZSDRPWNV-JKOKRWQUSA-N 0 3 235.327 2.610 20 0 BFADHN C[C@@H](N[C@@H](C)C1(CO)CC1)c1ccsc1 ZINC000658161689 411275176 /nfs/dbraw/zinc/27/51/76/411275176.db2.gz UGKZHMDIYKVYIF-ZJUUUORDSA-N 0 3 225.357 2.560 20 0 BFADHN FC1=CCCN(C[C@@H]2CC[C@H]3C[C@H]3C2)C1 ZINC000660167289 411275198 /nfs/dbraw/zinc/27/51/98/411275198.db2.gz OMYPFYSPCKSLQA-GRYCIOLGSA-N 0 3 209.308 2.982 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)C2(CO)CC2)o1 ZINC000658162728 411276211 /nfs/dbraw/zinc/27/62/11/411276211.db2.gz YKQOMSXCACPUJU-QWRGUYRKSA-N 0 3 237.343 2.654 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H]2CCCc3occc32)C1 ZINC000658162876 411276402 /nfs/dbraw/zinc/27/64/02/411276402.db2.gz WWQZAYDDYPURMW-GVXVVHGQSA-N 0 3 235.327 2.814 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](C)c2cncc(C)c2)C1 ZINC000658161012 411276769 /nfs/dbraw/zinc/27/67/69/411276769.db2.gz JFPOLNCWWZOATH-UBHSHLNASA-N 0 3 234.343 2.608 20 0 BFADHN CC[C@@H](C)N[C@H](COC)c1cccc(OC)c1 ZINC000658165234 411276820 /nfs/dbraw/zinc/27/68/20/411276820.db2.gz QIGHKQAVRMALJX-BXUZGUMPSA-N 0 3 237.343 2.771 20 0 BFADHN C[C@@H](NCCCC1CCC1)c1cnccn1 ZINC000656685879 411328206 /nfs/dbraw/zinc/32/82/06/411328206.db2.gz BBZHGQOGJPUXJR-LLVKDONJSA-N 0 3 219.332 2.708 20 0 BFADHN C[C@H](NCCO[C@@H]1CC1(F)F)c1ccsc1 ZINC000658168363 411278886 /nfs/dbraw/zinc/27/88/86/411278886.db2.gz YCNZRQLPYYSSQS-WCBMZHEXSA-N 0 3 247.310 2.823 20 0 BFADHN FC(F)(F)CCCN[C@@H]1CCCn2ccnc21 ZINC000655718151 411279359 /nfs/dbraw/zinc/27/93/59/411279359.db2.gz KINWSHLBLMRMPH-SECBINFHSA-N 0 3 247.264 2.650 20 0 BFADHN CC(C)CC[C@@H]1CCCN1Cc1cnccn1 ZINC000516410597 411280024 /nfs/dbraw/zinc/28/00/24/411280024.db2.gz GLEJTWOZEYRPMT-AWEZNQCLSA-N 0 3 233.359 2.877 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H]1CCCn2ccnc21 ZINC000655775960 411280524 /nfs/dbraw/zinc/28/05/24/411280524.db2.gz FDKXVTVFOJCZAJ-JHJVBQTASA-N 0 3 233.359 2.742 20 0 BFADHN COc1cc([C@@H](C)N[C@]2(C)CC2(C)C)ccn1 ZINC000658304877 411328428 /nfs/dbraw/zinc/32/84/28/411328428.db2.gz QNDOTUBKYBHIQU-QMTHXVAHSA-N 0 3 234.343 2.929 20 0 BFADHN Cc1ncncc1[C@@H](C)NCCC(C)(C)F ZINC000658190553 411285710 /nfs/dbraw/zinc/28/57/10/411285710.db2.gz DPLWSBJTRKZANZ-SECBINFHSA-N 0 3 225.311 2.574 20 0 BFADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)O1)c1cccnc1 ZINC000656686570 411328840 /nfs/dbraw/zinc/32/88/40/411328840.db2.gz HSEFFSJTPXWAQS-BZPMIXESSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NCCC(C)(C)F ZINC000658193804 411288212 /nfs/dbraw/zinc/28/82/12/411288212.db2.gz ZKDXKPBJGJALKN-MRVPVSSYSA-N 0 3 213.300 2.507 20 0 BFADHN C[C@@H](NCCc1ccoc1)c1cccc(O)c1 ZINC000658196900 411289625 /nfs/dbraw/zinc/28/96/25/411289625.db2.gz BMNQJJURAGHBTJ-LLVKDONJSA-N 0 3 231.295 2.879 20 0 BFADHN CC[C@@H](N[C@@]1(C)CC1(C)C)c1ccn(C)n1 ZINC000658306249 411329643 /nfs/dbraw/zinc/32/96/43/411329643.db2.gz WIJUMLBRXSFWCW-MFKMUULPSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@H]1CCCn2ccnc21 ZINC000655722423 411294898 /nfs/dbraw/zinc/29/48/98/411294898.db2.gz AOXIRJAKHJZOJU-AGIUHOORSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1nc(C)oc1C ZINC000660198420 411295014 /nfs/dbraw/zinc/29/50/14/411295014.db2.gz XQJZVXDDDLVPEQ-BDAKNGLRSA-N 0 3 210.321 2.816 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ccc(F)cn2)CS1 ZINC000655723586 411297323 /nfs/dbraw/zinc/29/73/23/411297323.db2.gz LXGYUUMNKWEOKY-BXKDBHETSA-N 0 3 240.347 2.594 20 0 BFADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1ccc(F)cn1 ZINC000658221090 411298310 /nfs/dbraw/zinc/29/83/10/411298310.db2.gz RKVMZMLYVFZQJR-GZMMTYOYSA-N 0 3 244.260 2.917 20 0 BFADHN CC[C@H](CC(F)F)CN1CCOC(C)(C)C1 ZINC000659811793 411298837 /nfs/dbraw/zinc/29/88/37/411298837.db2.gz IQIYPHJHIMHDCF-SNVBAGLBSA-N 0 3 235.318 2.779 20 0 BFADHN CC[C@@H](NC[C@]1(C)CC1(F)F)c1cnn(C)c1 ZINC000658219768 411299588 /nfs/dbraw/zinc/29/95/88/411299588.db2.gz OKQUNHVWSZHUPJ-MNOVXSKESA-N 0 3 243.301 2.506 20 0 BFADHN CC[C@H](NC[C@]1(C)CC1(F)F)c1cnn(C)c1 ZINC000658219769 411299702 /nfs/dbraw/zinc/29/97/02/411299702.db2.gz OKQUNHVWSZHUPJ-QWRGUYRKSA-N 0 3 243.301 2.506 20 0 BFADHN CC[C@H](NC[C@@]1(C)CC1(F)F)c1ccn(C)n1 ZINC000658222596 411301847 /nfs/dbraw/zinc/30/18/47/411301847.db2.gz WFQJAEWFQCKHIZ-GXSJLCMTSA-N 0 3 243.301 2.506 20 0 BFADHN CC(C)=CCCN[C@@H](CO)c1ccc(F)cc1 ZINC000660236806 411301967 /nfs/dbraw/zinc/30/19/67/411301967.db2.gz RSPYVEMBFXLCPC-AWEZNQCLSA-N 0 3 237.318 2.805 20 0 BFADHN Cc1ccc(CN(C)C2CC(OC(C)C)C2)nc1 ZINC000656562005 411302142 /nfs/dbraw/zinc/30/21/42/411302142.db2.gz RDEDBAUPKSYAJQ-UHFFFAOYSA-N 0 3 248.370 2.778 20 0 BFADHN CCn1cc([C@@H](C)NC[C@@]2(C)CC2(F)F)cn1 ZINC000658222734 411302280 /nfs/dbraw/zinc/30/22/80/411302280.db2.gz XAMORMTVTKZRAK-MWLCHTKSSA-N 0 3 243.301 2.599 20 0 BFADHN c1cn2c(n1)[C@@H](NCCCC1CCC1)CCC2 ZINC000658224297 411303522 /nfs/dbraw/zinc/30/35/22/411303522.db2.gz SCWMMAZVWPGLDR-ZDUSSCGKSA-N 0 3 233.359 2.888 20 0 BFADHN Cc1ccc(CCCN2CC3(CC(F)C3)C2)cn1 ZINC000656695918 411333323 /nfs/dbraw/zinc/33/33/23/411333323.db2.gz XOOAAAJNVMCCNC-UHFFFAOYSA-N 0 3 248.345 2.757 20 0 BFADHN Cn1ncc2c1CCC[C@@H]2N[C@]1(C)CC1(C)C ZINC000658309750 411330822 /nfs/dbraw/zinc/33/08/22/411330822.db2.gz YJXFXGJYVUWRNU-SMDDNHRTSA-N 0 3 233.359 2.576 20 0 BFADHN C[C@H](N[C@@H](C)C1CCCC1)c1ncc[nH]1 ZINC000124660884 411306723 /nfs/dbraw/zinc/30/67/23/411306723.db2.gz XOYFARDQPBUGKO-UWVGGRQHSA-N 0 3 207.321 2.639 20 0 BFADHN C[C@@H](N[C@H](C)C1CCCC1)c1ncc[nH]1 ZINC000124661483 411306783 /nfs/dbraw/zinc/30/67/83/411306783.db2.gz XOYFARDQPBUGKO-NXEZZACHSA-N 0 3 207.321 2.639 20 0 BFADHN N[C@@H]1CCCc2cn(CCCC3CCC3)nc21 ZINC000656601017 411306944 /nfs/dbraw/zinc/30/69/44/411306944.db2.gz NZHISJGFHHLNDO-CYBMUJFWSA-N 0 3 233.359 2.800 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCCC1)c1ncc[nH]1 ZINC000124661099 411306963 /nfs/dbraw/zinc/30/69/63/411306963.db2.gz XOYFARDQPBUGKO-VHSXEESVSA-N 0 3 207.321 2.639 20 0 BFADHN Cc1ncc([C@@H](C)N[C@]2(C)CC2(C)C)c(C)n1 ZINC000658308801 411331085 /nfs/dbraw/zinc/33/10/85/411331085.db2.gz QWMGYIMYCXHHIF-QMTHXVAHSA-N 0 3 233.359 2.933 20 0 BFADHN CC1(C)C[C@H](N2CCc3sccc3C2)CO1 ZINC000659824532 411307763 /nfs/dbraw/zinc/30/77/63/411307763.db2.gz DNFXOXYAIFOXFX-NSHDSACASA-N 0 3 237.368 2.674 20 0 BFADHN COc1cc(CN2C[C@@H](C)C[C@H](C)C2)sn1 ZINC000659829482 411312275 /nfs/dbraw/zinc/31/22/75/411312275.db2.gz QYTSMBUJPPKYKQ-UWVGGRQHSA-N 0 3 240.372 2.630 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C12CCC2)c1ccccc1 ZINC000658252202 411314631 /nfs/dbraw/zinc/31/46/31/411314631.db2.gz HQIPFOIUMRRFNP-UBHSHLNASA-N 0 3 231.339 2.641 20 0 BFADHN OC[C@H](CN[C@H]1CCCc2occc21)CC1CC1 ZINC000658251889 411314638 /nfs/dbraw/zinc/31/46/38/411314638.db2.gz KTSKSBYPTQDZNK-JSGCOSHPSA-N 0 3 249.354 2.655 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@H](O)C23CCC3)c(C)o1 ZINC000658252108 411314643 /nfs/dbraw/zinc/31/46/43/411314643.db2.gz IUKYSJMRLPFHNJ-BPNCWPANSA-N 0 3 249.354 2.851 20 0 BFADHN Cc1ccc([C@H](C)NCCc2cncc(C)n2)o1 ZINC000402762840 411314953 /nfs/dbraw/zinc/31/49/53/411314953.db2.gz FTWWIBDQLBHMLW-LBPRGKRZSA-N 0 3 245.326 2.580 20 0 BFADHN Cc1ccc([C@@H](C)NCCc2cncc(C)n2)o1 ZINC000402762837 411315209 /nfs/dbraw/zinc/31/52/09/411315209.db2.gz FTWWIBDQLBHMLW-GFCCVEGCSA-N 0 3 245.326 2.580 20 0 BFADHN CC(C)CC1(N[C@@H]2CCCn3ccnc32)CC1 ZINC000658319920 411331897 /nfs/dbraw/zinc/33/18/97/411331897.db2.gz PFJKMMMNIGYIDV-GFCCVEGCSA-N 0 3 233.359 2.886 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccncc1)C1CCCC1 ZINC000658316454 411331971 /nfs/dbraw/zinc/33/19/71/411331971.db2.gz QIERKXQRTKJKOI-WFASDCNBSA-N 0 3 248.370 2.937 20 0 BFADHN COc1cc(CN(C)[C@@H]2CCC[C@H](C)C2)on1 ZINC000659842384 411332113 /nfs/dbraw/zinc/33/21/13/411332113.db2.gz NDEGUFFITJLYBV-WDEREUQCSA-N 0 3 238.331 2.694 20 0 BFADHN COc1cc(CN(C)[C@H]2CCC[C@@H](C)C2)on1 ZINC000659842382 411332205 /nfs/dbraw/zinc/33/22/05/411332205.db2.gz NDEGUFFITJLYBV-MNOVXSKESA-N 0 3 238.331 2.694 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccncc1)C1CCCC1 ZINC000658316451 411332206 /nfs/dbraw/zinc/33/22/06/411332206.db2.gz QIERKXQRTKJKOI-DOMZBBRYSA-N 0 3 248.370 2.937 20 0 BFADHN c1cnc(CN[C@H](C2CC2)C2CCCC2)nc1 ZINC000658275101 411319370 /nfs/dbraw/zinc/31/93/70/411319370.db2.gz CWOXPPYXXWZVCV-AWEZNQCLSA-N 0 3 231.343 2.535 20 0 BFADHN Cc1ncc([C@@H](C)NCC2=CCCC2)c(C)n1 ZINC000658292939 411325512 /nfs/dbraw/zinc/32/55/12/411325512.db2.gz QUDFRBKSPJBBSE-SNVBAGLBSA-N 0 3 231.343 2.854 20 0 BFADHN CC(C)CC1(N[C@@H](C)c2cnccn2)CC1 ZINC000658321417 411333005 /nfs/dbraw/zinc/33/30/05/411333005.db2.gz QSJCEVITAMOXRA-NSHDSACASA-N 0 3 219.332 2.706 20 0 BFADHN CC[C@@H]1CN(CCCc2ccccc2)CCO1 ZINC000045753186 411248900 /nfs/dbraw/zinc/24/89/00/411248900.db2.gz RYJRHUQJTDRFII-OAHLLOKOSA-N 0 3 233.355 2.730 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CC[C@H](C)SC1 ZINC000655700003 411250510 /nfs/dbraw/zinc/25/05/10/411250510.db2.gz IZSHCGNHZMJPMY-KWQFWETISA-N 0 3 240.372 2.665 20 0 BFADHN CC[C@@H](C)CCN[C@H](CC)c1ccn(C)n1 ZINC000658122285 411251049 /nfs/dbraw/zinc/25/10/49/411251049.db2.gz TVMRTMBTHIDGTG-VXGBXAGGSA-N 0 3 223.364 2.897 20 0 BFADHN CC[C@H](C)CCN[C@H](c1nccn1C)C1CC1 ZINC000658123284 411252325 /nfs/dbraw/zinc/25/23/25/411252325.db2.gz XMKZYUJZVSXIQG-AAEUAGOBSA-N 0 3 235.375 2.897 20 0 BFADHN CC[C@@H](C)CCN[C@H](C)c1cc2n(n1)CCC2 ZINC000658124627 411252613 /nfs/dbraw/zinc/25/26/13/411252613.db2.gz QNCYQQPJJMGKAJ-VXGBXAGGSA-N 0 3 235.375 2.916 20 0 BFADHN Cc1nn(-c2ccccc2F)cc1CNC(C)C ZINC000655703904 411254758 /nfs/dbraw/zinc/25/47/58/411254758.db2.gz MYJOAQUSBFZOLK-UHFFFAOYSA-N 0 3 247.317 2.818 20 0 BFADHN CC[C@@H](NC/C=C/CO)c1cccc(Cl)c1 ZINC000658132088 411255239 /nfs/dbraw/zinc/25/52/39/411255239.db2.gz IPXVBEHUKMELBS-ITDFMYJTSA-N 0 3 239.746 2.929 20 0 BFADHN CC(C)(O)C1CN(Cc2ccccc2C2CC2)C1 ZINC000656701674 411326654 /nfs/dbraw/zinc/32/66/54/411326654.db2.gz RMQIGGZFMQWSJC-UHFFFAOYSA-N 0 3 245.366 2.767 20 0 BFADHN CCC[C@@H](NC/C=C/CO)c1ccsc1 ZINC000658132949 411255896 /nfs/dbraw/zinc/25/58/96/411255896.db2.gz BSMJSBHTRDWJHK-AAOUONPWSA-N 0 3 225.357 2.727 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H]1CCCn2ccnc21 ZINC000655705355 411256541 /nfs/dbraw/zinc/25/65/41/411256541.db2.gz GPKHSXCFRWLLNP-SRVKXCTJSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@H](NC/C=C\CO)c1ccc(C)cc1 ZINC000658132587 411256875 /nfs/dbraw/zinc/25/68/75/411256875.db2.gz IVPZLIXIMXKZBZ-WSNITJDQSA-N 0 3 219.328 2.584 20 0 BFADHN Cc1cc([C@@H](C)NC/C=C/CO)cc(C)c1F ZINC000658133160 411257499 /nfs/dbraw/zinc/25/74/99/411257499.db2.gz KMGRIRSCLWSKAQ-ZYOFXKKJSA-N 0 3 237.318 2.642 20 0 BFADHN CC1(C)C[C@H](NC/C=C/CO)c2ccccc21 ZINC000658133500 411257984 /nfs/dbraw/zinc/25/79/84/411257984.db2.gz MVGNBKZOWUGZTK-GJBLVYBDSA-N 0 3 231.339 2.547 20 0 BFADHN c1cn2c(n1)[C@H](N[C@H](C1CC1)C1CCC1)CCC2 ZINC000655774671 411257937 /nfs/dbraw/zinc/25/79/37/411257937.db2.gz DPFFIANSHVIXBK-KGLIPLIRSA-N 0 3 245.370 2.886 20 0 BFADHN Cc1ccc(F)c(CN2C[C@H]3COC[C@]3(C)C2)c1 ZINC000661708056 411258306 /nfs/dbraw/zinc/25/83/06/411258306.db2.gz LNWDOJCTOSDEET-ZFWWWQNUSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1cccc([C@H](C)NC/C=C\CO)c1C ZINC000658134114 411259055 /nfs/dbraw/zinc/25/90/55/411259055.db2.gz PMWRODGMCAWLHA-ZFDPJTLLSA-N 0 3 219.328 2.503 20 0 BFADHN CC(C)C[C@H](NC/C=C/CO)c1cccs1 ZINC000658134840 411259129 /nfs/dbraw/zinc/25/91/29/411259129.db2.gz SBAWITKBCFJXLN-PCAWENJQSA-N 0 3 239.384 2.973 20 0 BFADHN CCCc1ccc([C@H](C)NC/C=C\CO)cc1 ZINC000658134019 411259194 /nfs/dbraw/zinc/25/91/94/411259194.db2.gz ORODLSOJJGKWNB-ZFDPJTLLSA-N 0 3 233.355 2.838 20 0 BFADHN CC(C)(C)CCNCc1cc2n(n1)CCCC2 ZINC000655709436 411259429 /nfs/dbraw/zinc/25/94/29/411259429.db2.gz ZVTNJMSDBMDRAI-UHFFFAOYSA-N 0 3 235.375 2.745 20 0 BFADHN Cc1ccc([C@H](C)NC/C=C\CO)cc1C ZINC000658134329 411259740 /nfs/dbraw/zinc/25/97/40/411259740.db2.gz VSGIYXYMLDRJFZ-ZFDPJTLLSA-N 0 3 219.328 2.503 20 0 BFADHN c1c(CNCCC2CCCC2)nn2c1CCCC2 ZINC000655709232 411259896 /nfs/dbraw/zinc/25/98/96/411259896.db2.gz ZRCITJJRMPWHOB-UHFFFAOYSA-N 0 3 247.386 2.889 20 0 BFADHN Cc1cc(CN(C)C(C2CC2)C2CC2)nc(C)n1 ZINC000659861650 411351949 /nfs/dbraw/zinc/35/19/49/411351949.db2.gz FWDLWBGPBQEDGU-UHFFFAOYSA-N 0 3 245.370 2.714 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@H](O)C2)c(C)s1 ZINC000658350943 411352240 /nfs/dbraw/zinc/35/22/40/411352240.db2.gz IOYUNJTXSNXEJR-LSJOCFKGSA-N 0 3 225.357 2.539 20 0 BFADHN CC[C@H](COC)NCc1cccc(OC)c1C ZINC000658352722 411354869 /nfs/dbraw/zinc/35/48/69/411354869.db2.gz JWFCGLGCUXHNGJ-CYBMUJFWSA-N 0 3 237.343 2.518 20 0 BFADHN CC1(C)CN(Cc2ccco2)[C@H]1C1CC1 ZINC000291629182 167961391 /nfs/dbraw/zinc/96/13/91/167961391.db2.gz SFCTVGHMPRTHJQ-LBPRGKRZSA-N 0 3 205.301 2.900 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCC2(COC2)C1 ZINC000662075969 411358690 /nfs/dbraw/zinc/35/86/90/411358690.db2.gz PCFNVIXCBLAZGA-LLVKDONJSA-N 0 3 235.302 2.609 20 0 BFADHN COCC1(C)CN([C@@H](C)Cc2ccsc2)C1 ZINC000656780091 411358929 /nfs/dbraw/zinc/35/89/29/411358929.db2.gz OCEPCXORALXRFK-NSHDSACASA-N 0 3 239.384 2.647 20 0 BFADHN CC[C@@H](C)CNc1ccc([C@H]2CNCCO2)cc1 ZINC000656794211 411364220 /nfs/dbraw/zinc/36/42/20/411364220.db2.gz XRDKFOCKIJNCSG-IUODEOHRSA-N 0 3 248.370 2.806 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1CC(=O)N(CC)CC ZINC000662157662 411369664 /nfs/dbraw/zinc/36/96/64/411369664.db2.gz MOYCOJUAHAYUDV-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN CO[C@H](CN1CC[C@H]2C[C@H]2C1)c1ccccc1 ZINC000661967987 411336068 /nfs/dbraw/zinc/33/60/68/411336068.db2.gz SQJVTHJAVICPAB-SOUVJXGZSA-N 0 3 231.339 2.716 20 0 BFADHN Fc1ccccc1CCN1CC[C@H]2C[C@H]2C1 ZINC000661967397 411336129 /nfs/dbraw/zinc/33/61/29/411336129.db2.gz OTVDKYVSABUTBX-STQMWFEESA-N 0 3 219.303 2.710 20 0 BFADHN CCC[C@H](N[C@@H]1C[C@]1(C)OC)c1ccccn1 ZINC000658330272 411338243 /nfs/dbraw/zinc/33/82/43/411338243.db2.gz COLLHKLVHQWPQM-MJBXVCDLSA-N 0 3 234.343 2.690 20 0 BFADHN CCC[C@@H](N[C@@H]1C[C@]1(C)OC)c1ccccn1 ZINC000658330269 411338509 /nfs/dbraw/zinc/33/85/09/411338509.db2.gz COLLHKLVHQWPQM-MCIONIFRSA-N 0 3 234.343 2.690 20 0 BFADHN CC1(C)C[C@H](N[C@H]2CCC[C@H]2F)C(C)(C)O1 ZINC000340533683 167992089 /nfs/dbraw/zinc/99/20/89/167992089.db2.gz WMLCHIGYNFQKGS-VWYCJHECSA-N 0 3 229.339 2.813 20 0 BFADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@@H]1C[C@]1(C)OC ZINC000658332902 411340563 /nfs/dbraw/zinc/34/05/63/411340563.db2.gz TXZSJYYYJYWUDO-RZFFKMDDSA-N 0 3 249.354 2.530 20 0 BFADHN CO[C@@H](c1ccccc1)[C@@H](C)N[C@@H]1C[C@]1(C)OC ZINC000658332900 411340826 /nfs/dbraw/zinc/34/08/26/411340826.db2.gz TXZSJYYYJYWUDO-NGFQHRJXSA-N 0 3 249.354 2.530 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCCc2cc(F)ccc21 ZINC000658333345 411341385 /nfs/dbraw/zinc/34/13/85/411341385.db2.gz WJZCBAXLYMZCAI-KFWWJZLASA-N 0 3 249.329 2.970 20 0 BFADHN c1cn(C2CCCC2)nc1CN1CC[C@H]2C[C@H]2C1 ZINC000661973354 411341504 /nfs/dbraw/zinc/34/15/04/411341504.db2.gz LMMCXCCUWKEPPV-STQMWFEESA-N 0 3 245.370 2.840 20 0 BFADHN COc1ccc(CN2CC[C@@H]3C[C@@H]3C2)cc1F ZINC000661974729 411341596 /nfs/dbraw/zinc/34/15/96/411341596.db2.gz WKABVECSGBHPFV-VXGBXAGGSA-N 0 3 235.302 2.676 20 0 BFADHN Cc1cccc(CN(C)[C@H]2COC(C)(C)C2)c1 ZINC000659850238 411342477 /nfs/dbraw/zinc/34/24/77/411342477.db2.gz XCFHSVGBFVDADJ-CQSZACIVSA-N 0 3 233.355 2.994 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1ccc(F)c(F)c1 ZINC000658334288 411342599 /nfs/dbraw/zinc/34/25/99/411342599.db2.gz HQCIZCNZQSXRBA-WQHBLYJGSA-N 0 3 241.281 2.793 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](CC(C)C)c1ccncc1 ZINC000658333597 411342696 /nfs/dbraw/zinc/34/26/96/411342696.db2.gz VBWQBILLMKOXGP-KFWWJZLASA-N 0 3 248.370 2.936 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](c1cccnc1)C1CCC1 ZINC000658333573 411342764 /nfs/dbraw/zinc/34/27/64/411342764.db2.gz ZRPOMDMQSHMSAO-KFWWJZLASA-N 0 3 246.354 2.690 20 0 BFADHN COc1ccc(F)cc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000661979190 411342987 /nfs/dbraw/zinc/34/29/87/411342987.db2.gz UASABKRKNQMCJN-GHMZBOCLSA-N 0 3 235.302 2.676 20 0 BFADHN COc1cc(C)ccc1[C@H](C)N[C@@H]1C[C@]1(C)OC ZINC000658334546 411343327 /nfs/dbraw/zinc/34/33/27/411343327.db2.gz MIXAWWVBSCKFPY-GLQYFDAESA-N 0 3 249.354 2.832 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1cccc(F)c1 ZINC000658334922 411343453 /nfs/dbraw/zinc/34/34/53/411343453.db2.gz XVDBMCAMZOTENF-BIMULSAOSA-N 0 3 223.291 2.654 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1cccc(F)c1 ZINC000658334924 411343692 /nfs/dbraw/zinc/34/36/92/411343692.db2.gz XVDBMCAMZOTENF-WQAKAFBOSA-N 0 3 223.291 2.654 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCCc2sccc21 ZINC000658334675 411343792 /nfs/dbraw/zinc/34/37/92/411343792.db2.gz RMXKLXQBWAOOGW-RTXFEEFZSA-N 0 3 237.368 2.893 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccc(C)cc1 ZINC000658334873 411343925 /nfs/dbraw/zinc/34/39/25/411343925.db2.gz WGGVLECQVLPZFW-YUTCNCBUSA-N 0 3 219.328 2.823 20 0 BFADHN CC1(C)[C@H](NCc2ccccc2F)[C@@H]2CCO[C@H]21 ZINC000227061680 168006682 /nfs/dbraw/zinc/00/66/82/168006682.db2.gz OSDADOOSHGRPJW-IACUBPJLSA-N 0 3 249.329 2.729 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1Nc1ccnc2ccccc21 ZINC000129005594 168008474 /nfs/dbraw/zinc/00/84/74/168008474.db2.gz XHJMVPIRFNPKGE-ZIAGYGMSSA-N 0 3 242.322 2.806 20 0 BFADHN CC1(CN[C@H]2CCn3ccnc32)CCCCC1 ZINC000335954374 168046592 /nfs/dbraw/zinc/04/65/92/168046592.db2.gz KUTYVXVMOLSFQY-LBPRGKRZSA-N 0 3 233.359 2.888 20 0 BFADHN CCC[C@H](N[C@H]1C[C@H](O)C1)c1ccsc1 ZINC000658348437 411348524 /nfs/dbraw/zinc/34/85/24/411348524.db2.gz PZTKSYIRKOJRPO-SRVKXCTJSA-N 0 3 225.357 2.702 20 0 BFADHN CC1(NCc2cc(F)ncc2F)CCCC1 ZINC000294821755 168062302 /nfs/dbraw/zinc/06/23/02/168062302.db2.gz RMOVWZDFSNSELG-UHFFFAOYSA-N 0 3 226.270 2.782 20 0 BFADHN CC1(NCc2ccccc2Cl)CCOCC1 ZINC000131167136 168063802 /nfs/dbraw/zinc/06/38/02/168063802.db2.gz ZNYHLSHWXKYGNM-UHFFFAOYSA-N 0 3 239.746 2.999 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN(C)Cc1cnccn1 ZINC000191058457 168102360 /nfs/dbraw/zinc/10/23/60/168102360.db2.gz RMFXOJKFUJNZTQ-DZGCQCFKSA-N 0 3 245.370 2.901 20 0 BFADHN Cc1ccncc1CCCN1CC=C(C)CC1 ZINC000290023370 168110204 /nfs/dbraw/zinc/11/02/04/168110204.db2.gz MUHGMJBQTZVUNN-UHFFFAOYSA-N 0 3 230.355 2.975 20 0 BFADHN CC1=CCN(CCOc2ccccc2)CC1 ZINC000270394368 168113049 /nfs/dbraw/zinc/11/30/49/168113049.db2.gz YTFGOGFYOVXLFO-UHFFFAOYSA-N 0 3 217.312 2.717 20 0 BFADHN CC1=CCN(C[C@@H](O)c2ccc(F)cc2)CC1 ZINC000270137392 168115118 /nfs/dbraw/zinc/11/51/18/168115118.db2.gz HUNIGLFAWCEMDB-CQSZACIVSA-N 0 3 235.302 2.511 20 0 BFADHN CC1=CCN(Cc2cccc(O)c2)CC1 ZINC000271213039 168115167 /nfs/dbraw/zinc/11/51/67/168115167.db2.gz ZKNGLWLSNAFYFG-UHFFFAOYSA-N 0 3 203.285 2.544 20 0 BFADHN Cc1cccnc1CN[C@H]1CC=C(C)CC1 ZINC000294402140 168121500 /nfs/dbraw/zinc/12/15/00/168121500.db2.gz VYNURNVNNWIGEQ-ZDUSSCGKSA-N 0 3 216.328 2.978 20 0 BFADHN Cc1ccc(CN2CC(C)=C[C@H](C)C2)nc1 ZINC000336290856 168128208 /nfs/dbraw/zinc/12/82/08/168128208.db2.gz SBLXWWONHAUHDX-LBPRGKRZSA-N 0 3 216.328 2.788 20 0 BFADHN CC1CCC(CN2CCO[C@@H](C)[C@H]2C)CC1 ZINC000353899552 168152851 /nfs/dbraw/zinc/15/28/51/168152851.db2.gz LPQYFFJCIFSJCM-DKNRTOFZSA-N 0 3 225.376 2.922 20 0 BFADHN OCC[C@@H](NCCCC1CCC1)c1ccco1 ZINC000660297034 411387888 /nfs/dbraw/zinc/38/78/88/411387888.db2.gz IYBARMBMHPDPND-CYBMUJFWSA-N 0 3 237.343 2.873 20 0 BFADHN CCC(=O)CCCN1CCC[C@H]1c1ccc[nH]1 ZINC000295635426 168199216 /nfs/dbraw/zinc/19/92/16/168199216.db2.gz CVDGOBOOKPJUII-AWEZNQCLSA-N 0 3 234.343 2.911 20 0 BFADHN CCC(=O)CCN(C)CCCOc1ccccc1 ZINC000190174625 168200488 /nfs/dbraw/zinc/20/04/88/168200488.db2.gz RHPSMECZJMJXJK-UHFFFAOYSA-N 0 3 249.354 2.757 20 0 BFADHN CCC(=O)CCN1CC[C@@H](Oc2ccccc2)C1 ZINC000375441787 168202430 /nfs/dbraw/zinc/20/24/30/168202430.db2.gz VUJKBBSPXKUIPE-OAHLLOKOSA-N 0 3 247.338 2.509 20 0 BFADHN CC[C@@H]1CN(CCC=C(C)C)C[C@@H](C)O1 ZINC000659919029 411382432 /nfs/dbraw/zinc/38/24/32/411382432.db2.gz NXOORIFKQRAHTA-CHWSQXEVSA-N 0 3 211.349 2.842 20 0 BFADHN CCC(=O)N[C@@H](C)[C@H](c1ccccc1C)N(C)C ZINC000357846293 168235940 /nfs/dbraw/zinc/23/59/40/168235940.db2.gz FVFCDZQXALBXEF-SWLSCSKDSA-N 0 3 248.370 2.512 20 0 BFADHN CCC(C)(C)CNCc1ncc(C)s1 ZINC000266168251 168282161 /nfs/dbraw/zinc/28/21/61/168282161.db2.gz QHEVXAAPILSZES-UHFFFAOYSA-N 0 3 212.362 2.977 20 0 BFADHN CCC(C)(C)CN[C@@H](C)c1nccnc1C ZINC000277108131 168282382 /nfs/dbraw/zinc/28/23/82/168282382.db2.gz MEPUROVBDJLFMI-NSHDSACASA-N 0 3 221.348 2.872 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2cccc(C)c2)CO1 ZINC000657298726 411455807 /nfs/dbraw/zinc/45/58/07/411455807.db2.gz PTCGJYUDHYUJHB-ZIAGYGMSSA-N 0 3 219.328 2.652 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H]2CCCc3occc32)CO1 ZINC000657306932 411458308 /nfs/dbraw/zinc/45/83/08/411458308.db2.gz CRGNHVPZCJRJLN-LOWVWBTDSA-N 0 3 235.327 2.814 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1ccc(F)c(F)c1F ZINC000657309839 411459535 /nfs/dbraw/zinc/45/95/35/411459535.db2.gz IVLZVBKDCDXASE-VXNVDRBHSA-N 0 3 229.245 2.850 20 0 BFADHN COc1cncc(CN2CC[C@@H]2C(C)C)c1C ZINC000638275322 411460315 /nfs/dbraw/zinc/46/03/15/411460315.db2.gz MNWWHLRPTVWSFP-CYBMUJFWSA-N 0 3 234.343 2.629 20 0 BFADHN CCOCCNCc1cc2c(cccc2C)[nH]1 ZINC000657313047 411460479 /nfs/dbraw/zinc/46/04/79/411460479.db2.gz GNYABJDJRFECQS-UHFFFAOYSA-N 0 3 232.327 2.602 20 0 BFADHN CCC(C)(C)[C@H](C)NC(=O)C1(N)CCCCC1 ZINC000659339573 411398783 /nfs/dbraw/zinc/39/87/83/411398783.db2.gz JFTJLALZDGGEFO-NSHDSACASA-N 0 3 240.391 2.589 20 0 BFADHN CO[C@@H](CN(C)Cc1ccco1)C1CCCC1 ZINC000659815834 411461561 /nfs/dbraw/zinc/46/15/61/411461561.db2.gz KMMSKCOXLLCRHQ-AWEZNQCLSA-N 0 3 237.343 2.917 20 0 BFADHN CCCC(C)(C)C(=O)NC[C@H](N)c1ccccc1 ZINC000653048920 411404933 /nfs/dbraw/zinc/40/49/33/411404933.db2.gz FSSZLTVLCJXVAK-ZDUSSCGKSA-N 0 3 248.370 2.629 20 0 BFADHN CC[C@H]1C[C@H](N[C@@H](C)c2ccccn2)CCO1 ZINC000070345807 411406431 /nfs/dbraw/zinc/40/64/31/411406431.db2.gz YGHWCJHGGDJODN-XQQFMLRXSA-N 0 3 234.343 2.690 20 0 BFADHN CCOc1cccc(CN[C@@H]2CO[C@@H](CC)C2)c1 ZINC000657314477 411461710 /nfs/dbraw/zinc/46/17/10/411461710.db2.gz WDLRVTNVMQZPDQ-KBPBESRZSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ccccc1CN(C)[C@@H]1COC(C)(C)C1 ZINC000659813155 411461890 /nfs/dbraw/zinc/46/18/90/411461890.db2.gz QBWYNBWUBUESAV-AWEZNQCLSA-N 0 3 233.355 2.994 20 0 BFADHN C[C@@H](CN[C@H](C)c1ccn(C)n1)C(C)(C)C ZINC000657227263 411421014 /nfs/dbraw/zinc/42/10/14/411421014.db2.gz FRNQIHLTNIQQPB-WDEREUQCSA-N 0 3 223.364 2.753 20 0 BFADHN C[C@@H](N[C@@H](C)CC1CCC1)c1ccn(C)n1 ZINC000657227309 411421264 /nfs/dbraw/zinc/42/12/64/411421264.db2.gz KEBBRIRQDXPVGP-WDEREUQCSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@H](CC1CCC1)N[C@@H](C)c1ccn(C)n1 ZINC000657227306 411421516 /nfs/dbraw/zinc/42/15/16/411421516.db2.gz KEBBRIRQDXPVGP-MNOVXSKESA-N 0 3 221.348 2.649 20 0 BFADHN CC(C)C[C@@H](NC[C@H](C)N(C)C)c1ccccn1 ZINC000268853847 411423677 /nfs/dbraw/zinc/42/36/77/411423677.db2.gz HXPCXSHWQCHIFH-DZGCQCFKSA-N 0 3 249.402 2.709 20 0 BFADHN CC[C@H](CC(F)F)CN1C[C@H](C)OC[C@H]1C ZINC000659821406 411462712 /nfs/dbraw/zinc/46/27/12/411462712.db2.gz NHNACXMYDQKFSY-OUAUKWLOSA-N 0 3 235.318 2.777 20 0 BFADHN CC(C)(CCN1CCc2c[nH]nc2C1)C1CC1 ZINC000653588513 411428860 /nfs/dbraw/zinc/42/88/60/411428860.db2.gz ZRRDQYXGMDYRGR-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN Cc1ccc(CN2CCC3(CC3)CC2)cn1 ZINC000653593979 411429141 /nfs/dbraw/zinc/42/91/41/411429141.db2.gz ZDQSCWAKLIFMPR-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CC(C)C[C@@H]1C[C@@H](NCc2ccco2)CCO1 ZINC000271959663 411432491 /nfs/dbraw/zinc/43/24/91/411432491.db2.gz GAQXUNIWUHIAFL-GXTWGEPZSA-N 0 3 237.343 2.963 20 0 BFADHN Cc1ccoc1CNCC[C@@H]1CCO[C@@H](C)C1 ZINC000273102691 411436102 /nfs/dbraw/zinc/43/61/02/411436102.db2.gz VZLQYXZEFWXQDI-QWHCGFSZSA-N 0 3 237.343 2.883 20 0 BFADHN CCC[C@H](C)NCc1nccn1C(C)C ZINC000657283180 411442238 /nfs/dbraw/zinc/44/22/38/411442238.db2.gz AOZPJAXANWXNMU-NSHDSACASA-N 0 3 209.337 2.742 20 0 BFADHN Clc1ccc(CN[C@H]2CCCOC2)s1 ZINC000071011829 411443645 /nfs/dbraw/zinc/44/36/45/411443645.db2.gz QVPFNSAZHYUSIV-QMMMGPOBSA-N 0 3 231.748 2.670 20 0 BFADHN COC[C@@H](C)NCc1cc2ccc(C)cc2[nH]1 ZINC000657285908 411444388 /nfs/dbraw/zinc/44/43/88/411444388.db2.gz PIGSAMDSCRXAAO-LLVKDONJSA-N 0 3 232.327 2.601 20 0 BFADHN C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1noc2c1CCCC2 ZINC000657323812 411463957 /nfs/dbraw/zinc/46/39/57/411463957.db2.gz GIKZLOBMMZWGSP-WXHSDQCUSA-N 0 3 246.354 2.832 20 0 BFADHN c1cn2c(n1)[C@@H](NC[C@H]1CC3CCC1CC3)CC2 ZINC000400089645 411448850 /nfs/dbraw/zinc/44/88/50/411448850.db2.gz WWWCCPNGXJPHFF-PQAZSJQKSA-N 0 3 245.370 2.744 20 0 BFADHN CC[C@H]1C[C@@H](NCCOc2ccc(C)cc2)CO1 ZINC000657288892 411450234 /nfs/dbraw/zinc/45/02/34/411450234.db2.gz LHOJJOYCOOWACQ-KGLIPLIRSA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2ccccc2OC)CO1 ZINC000657288748 411450646 /nfs/dbraw/zinc/45/06/46/411450646.db2.gz FBVVHUIITWEHEH-JHJVBQTASA-N 0 3 249.354 2.913 20 0 BFADHN Cc1c[nH]c(CNC[C@@H](C)c2ccccc2)n1 ZINC000657291889 411453664 /nfs/dbraw/zinc/45/36/64/411453664.db2.gz YZZAXEIMWUTALX-LLVKDONJSA-N 0 3 229.327 2.611 20 0 BFADHN C[C@H]1CCC[C@H](NCc2cn(C3CCC3)nn2)C1 ZINC000657300287 411453998 /nfs/dbraw/zinc/45/39/98/411453998.db2.gz KZLDAJQDNMHYTM-RYUDHWBXSA-N 0 3 248.374 2.671 20 0 BFADHN CO[C@H]1CCN(CCOc2ccccc2)[C@@H](C)C1 ZINC000281832200 411454093 /nfs/dbraw/zinc/45/40/93/411454093.db2.gz XUWHIKQAVGMGNZ-ZFWWWQNUSA-N 0 3 249.354 2.565 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccc(F)c(F)c2)CO1 ZINC000657328738 411467301 /nfs/dbraw/zinc/46/73/01/411467301.db2.gz DNEMUNWLUJYHSE-WDEREUQCSA-N 0 3 241.281 2.622 20 0 BFADHN CO[C@@H](C)CCNCc1ccc(F)c(F)c1F ZINC000657343380 411469489 /nfs/dbraw/zinc/46/94/89/411469489.db2.gz ZRMXRCVFOIPDKX-QMMMGPOBSA-N 0 3 247.260 2.619 20 0 BFADHN CC[C@H]1CCN1Cc1cc2ccccc2[nH]c1=O ZINC000292810087 411470278 /nfs/dbraw/zinc/47/02/78/411470278.db2.gz ONYHAXCMUKAUFW-ZDUSSCGKSA-N 0 3 242.322 2.925 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2ccc(OC)cc2)CO1 ZINC000657335855 411471809 /nfs/dbraw/zinc/47/18/09/411471809.db2.gz JTTGSUKBHYPYDC-IACUBPJLSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@]2(O)CCC[C@@H]2C)c1 ZINC000293981923 411473005 /nfs/dbraw/zinc/47/30/05/411473005.db2.gz LAJQGYHYMUTTEK-KCQAQPDRSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1ccc2cc(CN[C@H]3CCCOC3)[nH]c2c1 ZINC000657352189 411473061 /nfs/dbraw/zinc/47/30/61/411473061.db2.gz DLMVTNHXGSASGI-ZDUSSCGKSA-N 0 3 244.338 2.745 20 0 BFADHN CC[C@H](CC(F)F)CN1CCSCC1 ZINC000659842508 411473228 /nfs/dbraw/zinc/47/32/28/411473228.db2.gz AYLVXKUMWHRZOF-SECBINFHSA-N 0 3 223.332 2.717 20 0 BFADHN Cc1cc(CN([C@@H](C)C2CC2)C2CC2)nc(C)n1 ZINC000659842843 411473283 /nfs/dbraw/zinc/47/32/83/411473283.db2.gz VTTUDYBDIREGSK-NSHDSACASA-N 0 3 245.370 2.856 20 0 BFADHN CC[C@H]1C[C@H](NC2(c3ccc(F)cc3)CC2)CO1 ZINC000657351052 411475693 /nfs/dbraw/zinc/47/56/93/411475693.db2.gz ZUEFVBIVVGEKML-KBPBESRZSA-N 0 3 249.329 2.972 20 0 BFADHN c1ccc2c(c1)CN(C[C@@H]1C[C@H]3C[C@H]3C1)CCO2 ZINC000659849253 411475986 /nfs/dbraw/zinc/47/59/86/411475986.db2.gz GLNTUPQCCNCWKP-YOWGUQMCSA-N 0 3 243.350 2.927 20 0 BFADHN C1=CCC(CN2CCOc3ccccc3C2)C1 ZINC000659850700 411477596 /nfs/dbraw/zinc/47/75/96/411477596.db2.gz UUOIALAQEGQXCY-UHFFFAOYSA-N 0 3 229.323 2.847 20 0 BFADHN CCN(Cc1cc(C)nc(C)n1)[C@@H](C)C(C)C ZINC000659856289 411478397 /nfs/dbraw/zinc/47/83/97/411478397.db2.gz BKQQNTGQMPCOME-LBPRGKRZSA-N 0 3 235.375 2.960 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)n1 ZINC000657357659 411479075 /nfs/dbraw/zinc/47/90/75/411479075.db2.gz COJLLIOCQKDYDB-JFGNBEQYSA-N 0 3 221.348 2.632 20 0 BFADHN CC[C@H](CC(F)F)CN1CCOCC1(C)C ZINC000659858002 411480320 /nfs/dbraw/zinc/48/03/20/411480320.db2.gz PMZBPVJHFSJJOR-SNVBAGLBSA-N 0 3 235.318 2.779 20 0 BFADHN CCc1ccc(CCNCc2nc(C)c[nH]2)cc1 ZINC000657365132 411481787 /nfs/dbraw/zinc/48/17/87/411481787.db2.gz WTIGROXVYQEYNN-UHFFFAOYSA-N 0 3 243.354 2.613 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2c(C)noc2C)CO1 ZINC000657366141 411482392 /nfs/dbraw/zinc/48/23/92/411482392.db2.gz HINREDOZGBKICJ-XXILOJSOSA-N 0 3 238.331 2.510 20 0 BFADHN CC[C@H]1CCCN1Cc1cc(OC)ns1 ZINC000659872558 411483741 /nfs/dbraw/zinc/48/37/41/411483741.db2.gz DKJRXSDYJQASQJ-VIFPVBQESA-N 0 3 226.345 2.526 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2nc(C)c[nH]2)C1 ZINC000657372551 411484674 /nfs/dbraw/zinc/48/46/74/411484674.db2.gz HKXZDHWFHIQISX-VXGBXAGGSA-N 0 3 221.348 2.777 20 0 BFADHN Cc1c[nH]c(CN[C@@H](C)CCc2ccc(C)o2)n1 ZINC000657376992 411486346 /nfs/dbraw/zinc/48/63/46/411486346.db2.gz MKRRWOMQCFCFLX-JTQLQIEISA-N 0 3 247.342 2.730 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1nc(C)c[nH]1 ZINC000657377976 411487277 /nfs/dbraw/zinc/48/72/77/411487277.db2.gz SNMGPQOWOCPXBG-UWVGGRQHSA-N 0 3 209.337 2.632 20 0 BFADHN CO[C@@H]1CCC[C@@H]1CN[C@H](C)c1cc(C)ccn1 ZINC000353632948 411493202 /nfs/dbraw/zinc/49/32/02/411493202.db2.gz QUHRLFJPADYHBW-UMVBOHGHSA-N 0 3 248.370 2.856 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccc(C)cc2OC)CO1 ZINC000657395279 411493937 /nfs/dbraw/zinc/49/39/37/411493937.db2.gz TWHYVIIIUBTYNG-KBPBESRZSA-N 0 3 249.354 2.661 20 0 BFADHN Fc1ccc(CNC2CC=CC2)c(F)c1F ZINC000657396368 411494228 /nfs/dbraw/zinc/49/42/28/411494228.db2.gz RQLKGPPCFHHZMC-UHFFFAOYSA-N 0 3 227.229 2.912 20 0 BFADHN Cc1ncsc1CN(C)C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000659911925 411496877 /nfs/dbraw/zinc/49/68/77/411496877.db2.gz JZNVZNYLMVONHC-ZSBIGDGJSA-N 0 3 236.384 2.929 20 0 BFADHN Cc1nc(CN[C@@H](C)CC(C)C)[nH]c1C ZINC000657414673 411499003 /nfs/dbraw/zinc/49/90/03/411499003.db2.gz SUTHRLIJLCHYHT-VIFPVBQESA-N 0 3 209.337 2.551 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2cc(C)cc(OC)c2)CO1 ZINC000657414997 411499827 /nfs/dbraw/zinc/49/98/27/411499827.db2.gz YABYNKOZNLKZHH-ZIAGYGMSSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1ccc2cc(CN[C@]3(C)CCOC3)[nH]c2c1 ZINC000657417102 411501217 /nfs/dbraw/zinc/50/12/17/411501217.db2.gz HYPACLJJAJRDBD-OAHLLOKOSA-N 0 3 244.338 2.745 20 0 BFADHN CC[C@@H]1COCCN1C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000659935195 411503575 /nfs/dbraw/zinc/50/35/75/411503575.db2.gz WQXUGUUTLHYTKJ-XJFOESAGSA-N 0 3 223.360 2.533 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cc3n(n2)CCC3)C[C@@H]1C ZINC000657434220 411506297 /nfs/dbraw/zinc/50/62/97/411506297.db2.gz ARAJSHFQYFGRPR-FRRDWIJNSA-N 0 3 247.386 2.744 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1cc(OC)no1 ZINC000659946077 411506813 /nfs/dbraw/zinc/50/68/13/411506813.db2.gz CJQFMPNVZWSFHE-LLVKDONJSA-N 0 3 238.331 2.838 20 0 BFADHN CC[C@@]1(CO)CCCN(C/C=C(/C)Cl)C1 ZINC000528461413 411508982 /nfs/dbraw/zinc/50/89/82/411508982.db2.gz APOXNLIKSMSGMA-DKRCXCIFSA-N 0 3 231.767 2.614 20 0 BFADHN C[C@H]1CN(Cc2cc(C3CC3)no2)C[C@@H]1C ZINC000659969895 411513386 /nfs/dbraw/zinc/51/33/86/411513386.db2.gz HTBKAGJGZHIARV-UWVGGRQHSA-N 0 3 220.316 2.640 20 0 BFADHN COCc1csc(CNCC=C(C)C)c1 ZINC000657448024 411513855 /nfs/dbraw/zinc/51/38/55/411513855.db2.gz NVHFIQWMMBVDTM-UHFFFAOYSA-N 0 3 225.357 2.950 20 0 BFADHN CCN(CCCO)Cc1cccc(SC)c1 ZINC000659968299 411513972 /nfs/dbraw/zinc/51/39/72/411513972.db2.gz FHCDEUYYOWGYMP-UHFFFAOYSA-N 0 3 239.384 2.613 20 0 BFADHN c1cn2c(n1)[C@H](NC1(C3CCC3)CC1)CCC2 ZINC000655791943 411514614 /nfs/dbraw/zinc/51/46/14/411514614.db2.gz HRGAXUHHBIWADO-GFCCVEGCSA-N 0 3 231.343 2.640 20 0 BFADHN CC[C@]1(C)CCCN(CCO[C@H]2CC2(F)F)C1 ZINC000659974300 411514912 /nfs/dbraw/zinc/51/49/12/411514912.db2.gz HGMUZJDFFCXKRS-NWDGAFQWSA-N 0 3 247.329 2.923 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1coc(C)n1 ZINC000659975159 411515322 /nfs/dbraw/zinc/51/53/22/411515322.db2.gz VGBJUYWWWZMLBP-CABZTGNLSA-N 0 3 208.305 2.603 20 0 BFADHN CO[C@H](CN(C)Cc1ccc[nH]1)C1CCCC1 ZINC000659980883 411516591 /nfs/dbraw/zinc/51/65/91/411516591.db2.gz BGSNMJXENMHMHF-CQSZACIVSA-N 0 3 236.359 2.652 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1cn(C2CCC2)nn1 ZINC000657455879 411516699 /nfs/dbraw/zinc/51/66/99/411516699.db2.gz NBNBCWGQRWHZAT-VXGBXAGGSA-N 0 3 248.374 2.529 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2ccsc2)CO1 ZINC000657461200 411516882 /nfs/dbraw/zinc/51/68/82/411516882.db2.gz FCDVTNLJEWADNZ-ADEWGFFLSA-N 0 3 225.357 2.966 20 0 BFADHN COc1c(C)cccc1CN[C@@H](C)[C@@H]1CCCO1 ZINC000655811153 411519585 /nfs/dbraw/zinc/51/95/85/411519585.db2.gz IQVUGWNEDIUBMA-JSGCOSHPSA-N 0 3 249.354 2.661 20 0 BFADHN c1cc(CN[C@H](C2CC2)[C@H]2CCCCO2)ccn1 ZINC000655810449 411523241 /nfs/dbraw/zinc/52/32/41/411523241.db2.gz MAVRVMBYJVCRRF-HUUCEWRRSA-N 0 3 246.354 2.519 20 0 BFADHN CC(C)n1cc(CNC2(C)CC=CC2)cn1 ZINC000655814881 411524021 /nfs/dbraw/zinc/52/40/21/411524021.db2.gz SFTXDSABGRLTFO-UHFFFAOYSA-N 0 3 219.332 2.662 20 0 BFADHN CC(C)Cn1nccc1CNC1(C)CC=CC1 ZINC000655815959 411524563 /nfs/dbraw/zinc/52/45/63/411524563.db2.gz LLZOCZIRRZAGFJ-UHFFFAOYSA-N 0 3 233.359 2.737 20 0 BFADHN CCOC1CC(NCc2cccc(C)c2OC)C1 ZINC000655811456 411524711 /nfs/dbraw/zinc/52/47/11/411524711.db2.gz KAMPZYJEUSGIEG-UHFFFAOYSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1c[nH]c(CNC2CC(C(C)(C)C)C2)n1 ZINC000657475313 411525273 /nfs/dbraw/zinc/52/52/73/411525273.db2.gz NVVNXNPOBOGEGC-UHFFFAOYSA-N 0 3 221.348 2.632 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cc(C2CC2)no1 ZINC000659999609 411525389 /nfs/dbraw/zinc/52/53/89/411525389.db2.gz CKHCSGPNMIZYER-AOOOYVTPSA-N 0 3 220.316 2.925 20 0 BFADHN COCC1(CN[C@@H](C)c2cc(C)oc2C)CC1 ZINC000655818404 411525864 /nfs/dbraw/zinc/52/58/64/411525864.db2.gz RKEGUTXXXIKXNX-NSHDSACASA-N 0 3 237.343 2.974 20 0 BFADHN COCC1(CN[C@H](C)c2ccccc2OC)CC1 ZINC000655820706 411525928 /nfs/dbraw/zinc/52/59/28/411525928.db2.gz JEXXTHZWJJADEC-GFCCVEGCSA-N 0 3 249.354 2.772 20 0 BFADHN COCC1(CN[C@H](C)c2cnc(C)s2)CC1 ZINC000655819310 411525984 /nfs/dbraw/zinc/52/59/84/411525984.db2.gz YEDYQAQFBDJTBR-SECBINFHSA-N 0 3 240.372 2.529 20 0 BFADHN CCc1ccc([C@H](C)NCC2(COC)CC2)o1 ZINC000655819240 411526025 /nfs/dbraw/zinc/52/60/25/411526025.db2.gz XPUVKBXDQMCHEZ-NSHDSACASA-N 0 3 237.343 2.919 20 0 BFADHN Cc1c[nH]c(CNCC2CCCCCC2)n1 ZINC000657481164 411526539 /nfs/dbraw/zinc/52/65/39/411526539.db2.gz KHPCQMJMONOPJN-UHFFFAOYSA-N 0 3 221.348 2.778 20 0 BFADHN Cc1nn(C(C)C)cc1CNC1(C)CC=CC1 ZINC000655816470 411527425 /nfs/dbraw/zinc/52/74/25/411527425.db2.gz XXOKLFBYPLYDRY-UHFFFAOYSA-N 0 3 233.359 2.971 20 0 BFADHN CC[C@H](CC(F)F)CN1CCO[C@@H](C)[C@@H]1C ZINC000660011584 411528115 /nfs/dbraw/zinc/52/81/15/411528115.db2.gz PDCBJCXKQWIBKK-GARJFASQSA-N 0 3 235.318 2.777 20 0 BFADHN Cc1occc1CNCCc1ncc(C)cc1C ZINC000655832569 411528994 /nfs/dbraw/zinc/52/89/94/411528994.db2.gz WXNOQYXEPYWISI-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN CC[C@H](NCc1nc(C)c[nH]1)C1CCCC1 ZINC000657483999 411529362 /nfs/dbraw/zinc/52/93/62/411529362.db2.gz AQARRFTYPCVDMN-LBPRGKRZSA-N 0 3 221.348 2.777 20 0 BFADHN C[C@H](Cc1ccccn1)N[C@@H](C)c1ccoc1 ZINC000655835985 411532258 /nfs/dbraw/zinc/53/22/58/411532258.db2.gz AATCRXFQICLNME-NEPJUHHUSA-N 0 3 230.311 2.956 20 0 BFADHN Cc1cc(CN(C)[C@H](C)C(C)C)nc(C)n1 ZINC000659825329 411465203 /nfs/dbraw/zinc/46/52/03/411465203.db2.gz SBTAVPGQYQFDRQ-LLVKDONJSA-N 0 3 221.348 2.570 20 0 BFADHN COc1cc(CN(C(C)C)C2CCCC2)on1 ZINC000660063930 411532509 /nfs/dbraw/zinc/53/25/09/411532509.db2.gz QWLPMGMLOCYNGE-UHFFFAOYSA-N 0 3 238.331 2.836 20 0 BFADHN CN(CCO[C@@H]1CC1(F)F)Cc1cccs1 ZINC000659827885 411466374 /nfs/dbraw/zinc/46/63/74/411466374.db2.gz TXYYTWCWJYWEKE-SNVBAGLBSA-N 0 3 247.310 2.604 20 0 BFADHN CC1CCC(CNCc2cc3n(n2)CCC3)CC1 ZINC000657326526 411466436 /nfs/dbraw/zinc/46/64/36/411466436.db2.gz DZPCZNQSBZTMRS-UHFFFAOYSA-N 0 3 247.386 2.745 20 0 BFADHN CC(C)n1ccnc1CNCC(C)(C)C ZINC000657328418 411466621 /nfs/dbraw/zinc/46/66/21/411466621.db2.gz VEUJPHFCQNZTEZ-UHFFFAOYSA-N 0 3 209.337 2.600 20 0 BFADHN CC[C@H](NCc1cncs1)[C@H]1CCCCO1 ZINC000655855434 411538374 /nfs/dbraw/zinc/53/83/74/411538374.db2.gz DNPUVZCPKDCIGK-NWDGAFQWSA-N 0 3 240.372 2.580 20 0 BFADHN Cc1cccc2[nH]c(CN[C@H]3CO[C@H](C)C3)cc21 ZINC000657500977 411538546 /nfs/dbraw/zinc/53/85/46/411538546.db2.gz SWORJRZWIIPYNO-DGCLKSJQSA-N 0 3 244.338 2.743 20 0 BFADHN CC(C)n1ccnc1CNC1(C)CC=CC1 ZINC000657504604 411538900 /nfs/dbraw/zinc/53/89/00/411538900.db2.gz JCQQKRUBBUOMOH-UHFFFAOYSA-N 0 3 219.332 2.662 20 0 BFADHN c1cc(CNC2CC3(CCC3)C2)n(CC2CC2)n1 ZINC000657503802 411539097 /nfs/dbraw/zinc/53/90/97/411539097.db2.gz WUHRUNZILSYRKB-UHFFFAOYSA-N 0 3 245.370 2.715 20 0 BFADHN CCN1C[C@@H](C)N(Cc2occc2C)C[C@@H]1C ZINC000353816888 170027657 /nfs/dbraw/zinc/02/76/57/170027657.db2.gz MWGZYHKLAMYTFU-QWHCGFSZSA-N 0 3 236.359 2.503 20 0 BFADHN Cn1cccc1CNC[C@@H]1CCC2(CCCC2)O1 ZINC000655860867 411540081 /nfs/dbraw/zinc/54/00/81/411540081.db2.gz JHGVMAOUZHEULW-AWEZNQCLSA-N 0 3 248.370 2.607 20 0 BFADHN CCN1C[C@H](C)N(CCSC(C)C)C[C@@H]1C ZINC000338290144 170033504 /nfs/dbraw/zinc/03/35/04/170033504.db2.gz VLKIIRRKQHIIJX-STQMWFEESA-N 0 3 244.448 2.543 20 0 BFADHN CCN1C[C@H](C)[C@H](N[C@@H]2CCCc3occc32)C1 ZINC000360047171 170039848 /nfs/dbraw/zinc/03/98/48/170039848.db2.gz SZMWNNWSBQKQOB-IACUBPJLSA-N 0 3 248.370 2.587 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C[C@H](C)C2)nc(C)n1 ZINC000660120577 411540716 /nfs/dbraw/zinc/54/07/16/411540716.db2.gz DIWWISFVNWNZKP-RYUDHWBXSA-N 0 3 247.386 2.961 20 0 BFADHN CO[C@H](CN(C)[C@H](C)c1ccncc1)C1CCC1 ZINC000660139746 411543663 /nfs/dbraw/zinc/54/36/63/411543663.db2.gz FOINVHSORHQCRA-IUODEOHRSA-N 0 3 248.370 2.890 20 0 BFADHN CC(C)=CCCN1CCc2ccccc2[C@@H]1CO ZINC000660101057 411537149 /nfs/dbraw/zinc/53/71/49/411537149.db2.gz DFKAIESWTGSJCA-INIZCTEOSA-N 0 3 245.366 2.934 20 0 BFADHN Cc1ccoc1CN[C@H]1CCCN2CCCC[C@@H]12 ZINC000655855407 411537785 /nfs/dbraw/zinc/53/77/85/411537785.db2.gz GYZJAZSCJICEFB-KBPBESRZSA-N 0 3 248.370 2.695 20 0 BFADHN CC[C@@H](NCc1cccc(O)c1)[C@H]1CCCCO1 ZINC000655855029 411538031 /nfs/dbraw/zinc/53/80/31/411538031.db2.gz AHYJASIYNLCAKB-HUUCEWRRSA-N 0 3 249.354 2.830 20 0 BFADHN C[C@H](N[C@@H](CO)C1CCC1)c1ccccc1F ZINC000657559001 411551128 /nfs/dbraw/zinc/55/11/28/411551128.db2.gz JLTKSLKNADGNTN-HZMBPMFUSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cc(OC)ns1 ZINC000660169628 411551357 /nfs/dbraw/zinc/55/13/57/411551357.db2.gz PMFSDPSAUMQKDS-ZJUUUORDSA-N 0 3 240.372 2.915 20 0 BFADHN COc1ccc(F)cc1CN[C@]12C[C@H]1CCC2 ZINC000657550087 411551644 /nfs/dbraw/zinc/55/16/44/411551644.db2.gz BCAHWEXCOABCBJ-BXUZGUMPSA-N 0 3 235.302 2.867 20 0 BFADHN CCOCCN(C)Cc1cccc(SC)c1 ZINC000660168492 411551646 /nfs/dbraw/zinc/55/16/46/411551646.db2.gz CUUKJIRVHUBZCF-UHFFFAOYSA-N 0 3 239.384 2.877 20 0 BFADHN COc1cc(F)cc(CN[C@@]23C[C@@H]2CCC3)c1 ZINC000657550630 411551826 /nfs/dbraw/zinc/55/18/26/411551826.db2.gz DNPJGSCBSPYEKD-FZMZJTMJSA-N 0 3 235.302 2.867 20 0 BFADHN COc1cc(CN[C@@H](C)C2CCCCC2)on1 ZINC000660178386 411551914 /nfs/dbraw/zinc/55/19/14/411551914.db2.gz QWROJCGAYWSDRM-JTQLQIEISA-N 0 3 238.331 2.742 20 0 BFADHN Fc1ccc(/C=C\CNC[C@@H]2CCCO2)cc1 ZINC000657566281 411552823 /nfs/dbraw/zinc/55/28/23/411552823.db2.gz ANVSAHACIMWKDD-VXYRWZGASA-N 0 3 235.302 2.608 20 0 BFADHN CCNCc1ccc(F)cc1Br ZINC000019404239 170126143 /nfs/dbraw/zinc/12/61/43/170126143.db2.gz GVRMAMGLXZOLCX-UHFFFAOYSA-N 0 3 232.096 2.698 20 0 BFADHN CCNCc1ccc(C)cc1Br ZINC000083355841 170126701 /nfs/dbraw/zinc/12/67/01/170126701.db2.gz YWQCXAOIGNQEMJ-UHFFFAOYSA-N 0 3 228.133 2.867 20 0 BFADHN CCNCc1ccc(CSC(F)F)o1 ZINC000035014610 170126767 /nfs/dbraw/zinc/12/67/67/170126767.db2.gz GUGJMXDBNKROKF-UHFFFAOYSA-N 0 3 221.272 2.845 20 0 BFADHN CCNCc1ccc(Br)cc1OC ZINC000034825790 170126861 /nfs/dbraw/zinc/12/68/61/170126861.db2.gz MOLJPSGGZNEURL-UHFFFAOYSA-N 0 3 244.132 2.567 20 0 BFADHN CCNCc1ccccc1OCC1CC1 ZINC000034825596 170129024 /nfs/dbraw/zinc/12/90/24/170129024.db2.gz UGOUSIOJPBBYSY-UHFFFAOYSA-N 0 3 205.301 2.585 20 0 BFADHN CCNCc1ccccc1OCc1cccnc1 ZINC000034653457 170129420 /nfs/dbraw/zinc/12/94/20/170129420.db2.gz WBHNRQAMKJXJDX-UHFFFAOYSA-N 0 3 242.322 2.770 20 0 BFADHN CCn1nnc(C)c1CN[C@H](C)C(C)(C)CC ZINC000657578389 411553859 /nfs/dbraw/zinc/55/38/59/411553859.db2.gz JSKCRYNXHDEAQL-LLVKDONJSA-N 0 3 238.379 2.521 20 0 BFADHN CC(C)=CCCN1CCO[C@@H](C(C)C)C1 ZINC000660219333 411555093 /nfs/dbraw/zinc/55/50/93/411555093.db2.gz BSAOYHFCDLEKCN-CYBMUJFWSA-N 0 3 211.349 2.700 20 0 BFADHN Cc1c[nH]c(CNCC2C[C@H](C)C[C@@H](C)C2)n1 ZINC000657589574 411555597 /nfs/dbraw/zinc/55/55/97/411555597.db2.gz MFJUUHLDTJINOP-GHMZBOCLSA-N 0 3 235.375 2.880 20 0 BFADHN FC1(CNCCc2ccnc3ccccc23)CC1 ZINC000657590515 411555605 /nfs/dbraw/zinc/55/56/05/411555605.db2.gz YUGXYUOAAXDAHP-UHFFFAOYSA-N 0 3 244.313 2.869 20 0 BFADHN C[C@H]1CC[C@@](C)(CNCc2ccn(C)n2)C1(C)C ZINC000657589354 411555632 /nfs/dbraw/zinc/55/56/32/411555632.db2.gz JKRIKCYRASQFEL-WFASDCNBSA-N 0 3 249.402 2.972 20 0 BFADHN CCN[C@H](C)c1cnn(C2CCCC2)c1 ZINC000054721133 170160320 /nfs/dbraw/zinc/16/03/20/170160320.db2.gz QVFVKXWWLVAYLQ-SNVBAGLBSA-N 0 3 207.321 2.669 20 0 BFADHN CCN[C@H]1CCc2cc(F)c(F)c(F)c21 ZINC000062706495 170169160 /nfs/dbraw/zinc/16/91/60/170169160.db2.gz GEDBWYHXFXQWBO-QMMMGPOBSA-N 0 3 215.218 2.701 20 0 BFADHN C[C@H]1C[C@@H](O)CN1Cc1cccc(C(C)(C)C)c1 ZINC000660148339 411546542 /nfs/dbraw/zinc/54/65/42/411546542.db2.gz KPVXTRYXWLWSRH-SWLSCSKDSA-N 0 3 247.382 2.939 20 0 BFADHN Cn1c2ccccc2nc1CN[C@]12C[C@H]1CCC2 ZINC000657549130 411546793 /nfs/dbraw/zinc/54/67/93/411546793.db2.gz AXNAWKNCBWQTAI-IAQYHMDHSA-N 0 3 241.338 2.606 20 0 BFADHN CC1(C)C[C@H](N2CCc3ccsc3C2)CO1 ZINC000660150807 411547272 /nfs/dbraw/zinc/54/72/72/411547272.db2.gz UINKJDYBVASFEQ-NSHDSACASA-N 0 3 237.368 2.674 20 0 BFADHN c1c(CN2CC[C@@H](C3CC3)C2)onc1C1CC1 ZINC000660153026 411548058 /nfs/dbraw/zinc/54/80/58/411548058.db2.gz HIPFQUDMDXBIBT-GFCCVEGCSA-N 0 3 232.327 2.784 20 0 BFADHN C(N[C@@]12C[C@@H]1CCC2)c1nc2c(s1)CCC2 ZINC000657552016 411548184 /nfs/dbraw/zinc/54/81/84/411548184.db2.gz QFUQNTNSPGKKJW-ZANVPECISA-N 0 3 234.368 2.664 20 0 BFADHN Cc1cc(C)cc(CNCC2(F)CC2)c1 ZINC000657625956 411566017 /nfs/dbraw/zinc/56/60/17/411566017.db2.gz KHBONIOQEQHAHP-UHFFFAOYSA-N 0 3 207.292 2.895 20 0 BFADHN CCOC(=O)CCN(C)Cc1cccc(C)c1C ZINC000357241668 170204086 /nfs/dbraw/zinc/20/40/86/170204086.db2.gz YDRNALGUTXJAOQ-UHFFFAOYSA-N 0 3 249.354 2.688 20 0 BFADHN CCOC(=O)CCN(C)[C@H](C)c1cccs1 ZINC000042227164 170204318 /nfs/dbraw/zinc/20/43/18/170204318.db2.gz WYUUGWCADDPZTK-SNVBAGLBSA-N 0 3 241.356 2.694 20 0 BFADHN CC(C)=CCCN[C@H](CO)c1ccsc1 ZINC000660302534 411566467 /nfs/dbraw/zinc/56/64/67/411566467.db2.gz FOWMEOIOQBYSOM-GFCCVEGCSA-N 0 3 225.357 2.727 20 0 BFADHN Cc1ccc(CN[C@@H]2COC3(CCC3)C2)s1 ZINC000657630153 411566629 /nfs/dbraw/zinc/56/66/29/411566629.db2.gz VRFBTHMMZJTQEQ-NSHDSACASA-N 0 3 237.368 2.858 20 0 BFADHN CCOC(=O)CN(CC1CC1)C1CCCCC1 ZINC000069608130 170216588 /nfs/dbraw/zinc/21/65/88/170216588.db2.gz CJVOCGFRQSFIPT-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cc(OC)ns1 ZINC000660318099 411567729 /nfs/dbraw/zinc/56/77/29/411567729.db2.gz WPLACTWRJHCMJM-ONGXEEELSA-N 0 3 240.372 2.772 20 0 BFADHN OC/C=C/CNCc1cc(Cl)cc(Cl)c1 ZINC000657591784 411556433 /nfs/dbraw/zinc/55/64/33/411556433.db2.gz AJYQWMMILRGVQQ-OWOJBTEDSA-N 0 3 246.137 2.632 20 0 BFADHN CC[C@H](C)CCNCc1cnc(C2CC2)nc1 ZINC000657586078 411557198 /nfs/dbraw/zinc/55/71/98/411557198.db2.gz GSPXOERRXBDRKU-NSHDSACASA-N 0 3 233.359 2.880 20 0 BFADHN CC[C@H](C)CCNCc1ccc(COC)o1 ZINC000657586092 411557290 /nfs/dbraw/zinc/55/72/90/411557290.db2.gz HFYJIXVOFAWNQM-NSHDSACASA-N 0 3 225.332 2.952 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1CCC[C@H]1C ZINC000657585341 411557373 /nfs/dbraw/zinc/55/73/73/411557373.db2.gz JDTMXCZGAFZEDI-DGCLKSJQSA-N 0 3 249.354 2.982 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@@H]3CCCC[C@H]32)co1 ZINC000660238954 411557406 /nfs/dbraw/zinc/55/74/06/411557406.db2.gz OGITWUAOVAJWKF-LEWSCRJBSA-N 0 3 234.343 2.994 20 0 BFADHN CC[C@H](C)CCNCc1ccncc1F ZINC000657586881 411558082 /nfs/dbraw/zinc/55/80/82/411558082.db2.gz NTHPRKGMMIVWHT-JTQLQIEISA-N 0 3 210.296 2.747 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N(C)CC1CCC1 ZINC000360027386 170249897 /nfs/dbraw/zinc/24/98/97/170249897.db2.gz IWEMMKMEYIUJOZ-CYBMUJFWSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cc(C)nc(C)n1 ZINC000660316903 411567817 /nfs/dbraw/zinc/56/78/17/411567817.db2.gz KIYBWSIDRBCBSY-QMTHXVAHSA-N 0 3 233.359 2.714 20 0 BFADHN Cc1cc(CN2C[C@H](C)CC(C)(C)C2)nc(C)n1 ZINC000660247386 411559650 /nfs/dbraw/zinc/55/96/50/411559650.db2.gz DGJJKAJQKNENRT-LLVKDONJSA-N 0 3 247.386 2.961 20 0 BFADHN CCc1nc([C@H](C)N(C)C[C@@H]2CC=CCC2)n[nH]1 ZINC000660247514 411559663 /nfs/dbraw/zinc/55/96/63/411559663.db2.gz HGAMUOOMEOMBQS-NWDGAFQWSA-N 0 3 248.374 2.716 20 0 BFADHN CCc1nc([C@@H](C)N(C)C[C@@H]2CC=CCC2)n[nH]1 ZINC000660247516 411559764 /nfs/dbraw/zinc/55/97/64/411559764.db2.gz HGAMUOOMEOMBQS-VXGBXAGGSA-N 0 3 248.374 2.716 20 0 BFADHN COC[C@@H](NCCC=C(C)C)c1ccco1 ZINC000660252927 411560087 /nfs/dbraw/zinc/56/00/87/411560087.db2.gz ZJDOTNAHCIHGIO-GFCCVEGCSA-N 0 3 223.316 2.913 20 0 BFADHN COC[C@H](NCCC=C(C)C)c1ccco1 ZINC000660252928 411560106 /nfs/dbraw/zinc/56/01/06/411560106.db2.gz ZJDOTNAHCIHGIO-LBPRGKRZSA-N 0 3 223.316 2.913 20 0 BFADHN C[C@@H](NCc1ccc([C@@H]2C[C@H]2C)o1)C1(CO)CC1 ZINC000657602569 411560585 /nfs/dbraw/zinc/56/05/85/411560585.db2.gz JRALHGREKGSRLO-NQBHXWOUSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@H](NCCC1CCC1)c1nnc2ccccn21 ZINC000660258005 411561148 /nfs/dbraw/zinc/56/11/48/411561148.db2.gz XARNYKQUQGPOMX-NSHDSACASA-N 0 3 244.342 2.570 20 0 BFADHN C[C@@H](NCc1cscc1Cl)C1(CO)CC1 ZINC000657607724 411561775 /nfs/dbraw/zinc/56/17/75/411561775.db2.gz MTYWURMMCRVLEO-MRVPVSSYSA-N 0 3 245.775 2.652 20 0 BFADHN C[C@H](NCc1coc2ccccc12)C1(CO)CC1 ZINC000657608804 411561845 /nfs/dbraw/zinc/56/18/45/411561845.db2.gz YJYFTCIFPPJGIC-NSHDSACASA-N 0 3 245.322 2.683 20 0 BFADHN CCc1nocc1CN[C@@H](C)C1CCCC1 ZINC000660267458 411561930 /nfs/dbraw/zinc/56/19/30/411561930.db2.gz NXJCYBQQQNILBO-JTQLQIEISA-N 0 3 222.332 2.905 20 0 BFADHN C[C@@H](NCc1coc2ccccc12)C1(CO)CC1 ZINC000657608803 411562016 /nfs/dbraw/zinc/56/20/16/411562016.db2.gz YJYFTCIFPPJGIC-LLVKDONJSA-N 0 3 245.322 2.683 20 0 BFADHN C[C@H](NCC1CC=CC1)c1nccs1 ZINC000660266211 411562193 /nfs/dbraw/zinc/56/21/93/411562193.db2.gz OKURRQADYNARMV-VIFPVBQESA-N 0 3 208.330 2.760 20 0 BFADHN CCO[C@H]1C[C@H](NCc2cc(C)ccc2F)C1 ZINC000657610042 411562474 /nfs/dbraw/zinc/56/24/74/411562474.db2.gz NZIYARFKZYGQIA-JOCQHMNTSA-N 0 3 237.318 2.791 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccc(F)c(C)c2)C1 ZINC000657610724 411563421 /nfs/dbraw/zinc/56/34/21/411563421.db2.gz ZHWHQUOOJGPBJG-JOCQHMNTSA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)c1cccc(CNC(C)(C)CC(N)=O)c1 ZINC000660275197 411563597 /nfs/dbraw/zinc/56/35/97/411563597.db2.gz SDCQPRTZVGFPCJ-UHFFFAOYSA-N 0 3 248.370 2.554 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cn(C)cn1 ZINC000660277745 411563994 /nfs/dbraw/zinc/56/39/94/411563994.db2.gz WGZXRISXSIITOX-CYBMUJFWSA-N 0 3 221.348 2.575 20 0 BFADHN CCc1nc([C@H](C)N(CC)CCC2CC2)n[nH]1 ZINC000660276909 411564127 /nfs/dbraw/zinc/56/41/27/411564127.db2.gz ITRCWJZGTOAQNV-JTQLQIEISA-N 0 3 236.363 2.550 20 0 BFADHN C[C@@H]1CCN(CCO[C@H]2CC2(F)F)CC1(C)C ZINC000660277679 411564425 /nfs/dbraw/zinc/56/44/25/411564425.db2.gz OPCNXRSCFILJQS-MNOVXSKESA-N 0 3 247.329 2.779 20 0 BFADHN CC(C)[C@H](N[C@@H]1CCOC1)c1ccccc1F ZINC000657616466 411564464 /nfs/dbraw/zinc/56/44/64/411564464.db2.gz YKZJTAILUXDZQC-RISCZKNCSA-N 0 3 237.318 2.901 20 0 BFADHN CCOC(=O)c1ccc(CN(C)CC(C)C)o1 ZINC000271054493 170295430 /nfs/dbraw/zinc/29/54/30/170295430.db2.gz SEWFJUYTQVODQL-UHFFFAOYSA-N 0 3 239.315 2.544 20 0 BFADHN c1c(CN2CC[C@H]3CCC[C@@H]32)onc1C1CC1 ZINC000660332687 411571090 /nfs/dbraw/zinc/57/10/90/411571090.db2.gz SRKRLQIMDKSQJA-RISCZKNCSA-N 0 3 232.327 2.926 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCC[C@@H]3C[C@@H]32)s1 ZINC000657655061 411571191 /nfs/dbraw/zinc/57/11/91/411571191.db2.gz BHJHGNHFIKQWPU-WOPDTQHZSA-N 0 3 236.384 2.977 20 0 BFADHN COCc1ccc(CNC[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000657654976 411571323 /nfs/dbraw/zinc/57/13/23/411571323.db2.gz AUWCIVYSYGHBGJ-XUJVJEKNSA-N 0 3 249.354 2.952 20 0 BFADHN c1nc(CNC[C@@H]2CCC[C@@H]3C[C@@H]32)cs1 ZINC000657657279 411571627 /nfs/dbraw/zinc/57/16/27/411571627.db2.gz PHCFHWLEDZVZTL-SCVCMEIPSA-N 0 3 222.357 2.669 20 0 BFADHN CCOC(C)(C)CNCc1ccc(F)cc1F ZINC000159272232 170312542 /nfs/dbraw/zinc/31/25/42/170312542.db2.gz WGUADBAKJWQJAB-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CCOC(C)(C)CNCc1ccc(F)cc1 ZINC000166941578 170313005 /nfs/dbraw/zinc/31/30/05/170313005.db2.gz NHRGGDWMCXBEOB-UHFFFAOYSA-N 0 3 225.307 2.730 20 0 BFADHN CCOC(C)(C)CNCc1cccnc1Cl ZINC000186538462 170313653 /nfs/dbraw/zinc/31/36/53/170313653.db2.gz OXDCZWPHZSSTJN-UHFFFAOYSA-N 0 3 242.750 2.640 20 0 BFADHN C[C@H]1CCC[C@H](CNCc2ccc(Cl)o2)O1 ZINC000657667419 411573139 /nfs/dbraw/zinc/57/31/39/411573139.db2.gz VVHHBBUVLQMBNU-VHSXEESVSA-N 0 3 243.734 2.980 20 0 BFADHN CCOC1(C)CCN(Cc2ccco2)CC1 ZINC000292747821 170319030 /nfs/dbraw/zinc/31/90/30/170319030.db2.gz IERWKZUHWIZMRU-UHFFFAOYSA-N 0 3 223.316 2.671 20 0 BFADHN CCOC1CC(CCN[C@H](C)c2ccccn2)C1 ZINC000189264022 170323231 /nfs/dbraw/zinc/32/32/31/170323231.db2.gz OQGXDMGZGBOQHY-IYXRBSQSSA-N 0 3 248.370 2.937 20 0 BFADHN CCOC1CC(CN(C)CCC(F)(F)F)C1 ZINC000351871589 170323457 /nfs/dbraw/zinc/32/34/57/170323457.db2.gz UOYHKDNVSAEZRX-UHFFFAOYSA-N 0 3 239.281 2.686 20 0 BFADHN CCOC1CC(CN(C)[C@@H](C)c2ccccn2)C1 ZINC000285504506 170323472 /nfs/dbraw/zinc/32/34/72/170323472.db2.gz FHXWVHJNMDPEBX-HSBZDZAISA-N 0 3 248.370 2.890 20 0 BFADHN Cc1nc(CN[C@H]2CCCC2(C)C)c(C)o1 ZINC000660368120 411573618 /nfs/dbraw/zinc/57/36/18/411573618.db2.gz QTIWNAKYZCSOIH-LBPRGKRZSA-N 0 3 222.332 2.960 20 0 BFADHN c1c(CNCCCC2CCC2)nnn1C1CCC1 ZINC000657668162 411573810 /nfs/dbraw/zinc/57/38/10/411573810.db2.gz XTJKIAGFTBIMEF-UHFFFAOYSA-N 0 3 248.374 2.673 20 0 BFADHN CC(C)n1cc(CNCCCC2CCC2)nn1 ZINC000657668534 411573978 /nfs/dbraw/zinc/57/39/78/411573978.db2.gz ZQFHKNVBVBFBKS-UHFFFAOYSA-N 0 3 236.363 2.529 20 0 BFADHN Cc1occc1CNC[C@@H]1CCC[C@H](C)O1 ZINC000657670606 411574355 /nfs/dbraw/zinc/57/43/55/411574355.db2.gz VNXQURNCRUAODG-GWCFXTLKSA-N 0 3 223.316 2.635 20 0 BFADHN C[C@]1(CCNCc2cncs2)CC1(F)F ZINC000657669810 411574800 /nfs/dbraw/zinc/57/48/00/411574800.db2.gz HAKFEINRVGWADF-VIFPVBQESA-N 0 3 232.299 2.668 20 0 BFADHN COc1ccnc(CN[C@@H]2CC[C@@H](C)C2)c1F ZINC000657672988 411575501 /nfs/dbraw/zinc/57/55/01/411575501.db2.gz LYDPWUFGYQNMHJ-NXEZZACHSA-N 0 3 238.306 2.508 20 0 BFADHN CCOCC(C)(C)CN[C@@H](C)c1cncs1 ZINC000290275890 170352456 /nfs/dbraw/zinc/35/24/56/170352456.db2.gz LXDBTOWREBSSGT-JTQLQIEISA-N 0 3 242.388 2.856 20 0 BFADHN COc1ccnc(CN[C@@H]2CCC[C@@H]2C)c1F ZINC000657672850 411575682 /nfs/dbraw/zinc/57/56/82/411575682.db2.gz JVFOUSIUCDDGEO-VHSXEESVSA-N 0 3 238.306 2.508 20 0 BFADHN CCOCC(C)(C)NCc1csc(C)c1 ZINC000293659485 170354584 /nfs/dbraw/zinc/35/45/84/170354584.db2.gz FPYLRWNXWONNME-UHFFFAOYSA-N 0 3 227.373 2.961 20 0 BFADHN CCOCC(C)(C)NCc1ccsc1 ZINC000292893544 170355352 /nfs/dbraw/zinc/35/53/52/170355352.db2.gz GCAVSRGKVVVZRF-UHFFFAOYSA-N 0 3 213.346 2.653 20 0 BFADHN CCOCCCCN[C@H](C)c1csc(C)n1 ZINC000269437790 170369201 /nfs/dbraw/zinc/36/92/01/170369201.db2.gz RSCVISBQLOLDBA-SNVBAGLBSA-N 0 3 242.388 2.919 20 0 BFADHN CCOCCCCN[C@H](C)c1ccncc1F ZINC000340459870 170369312 /nfs/dbraw/zinc/36/93/12/170369312.db2.gz FSICXCFOIHLRPM-LLVKDONJSA-N 0 3 240.322 2.688 20 0 BFADHN CCOCCCN1Cc2ccccc2[C@H]1C ZINC000336684768 170375741 /nfs/dbraw/zinc/37/57/41/170375741.db2.gz UUAJIIKTFCVWPG-GFCCVEGCSA-N 0 3 219.328 2.990 20 0 BFADHN CCOCCCN1Cc2ccccc2[C@@H]1C ZINC000336684769 170376310 /nfs/dbraw/zinc/37/63/10/170376310.db2.gz UUAJIIKTFCVWPG-LBPRGKRZSA-N 0 3 219.328 2.990 20 0 BFADHN COc1ccccc1CNCCc1ccoc1 ZINC000657652420 411569844 /nfs/dbraw/zinc/56/98/44/411569844.db2.gz LQIHDQOHKVJJED-UHFFFAOYSA-N 0 3 231.295 2.621 20 0 BFADHN CCOCCN(C)C[C@H]1CCOc2ccccc21 ZINC000360019984 170389267 /nfs/dbraw/zinc/38/92/67/170389267.db2.gz STRIXSRLNRDINP-CYBMUJFWSA-N 0 3 249.354 2.521 20 0 BFADHN CCOCCN(C)[C@H](C)c1cccc(OC)c1 ZINC000128220627 170391548 /nfs/dbraw/zinc/39/15/48/170391548.db2.gz JDZRSEVLVJJGOB-GFCCVEGCSA-N 0 3 237.343 2.725 20 0 BFADHN CCOCCN(CC)Cc1ccc(C)cc1 ZINC000128232751 170395871 /nfs/dbraw/zinc/39/58/71/170395871.db2.gz VCGRESFTNBXWBW-UHFFFAOYSA-N 0 3 221.344 2.853 20 0 BFADHN CCOCCN(CC)[C@@H]1C[C@@H]1c1ccccc1 ZINC000291080033 170396542 /nfs/dbraw/zinc/39/65/42/170396542.db2.gz GLNYRPSLEDWOMR-HUUCEWRRSA-N 0 3 233.355 2.901 20 0 BFADHN CC(C)c1cccc(CN[C@@H]2C=C[C@H](CO)C2)c1 ZINC000663317591 411623736 /nfs/dbraw/zinc/62/37/36/411623736.db2.gz FVTZJFZIPGVGGS-GOEBONIOSA-N 0 3 245.366 2.837 20 0 BFADHN CO[C@H](C)CNCc1cccc(C(C)C)c1 ZINC000663325621 411624835 /nfs/dbraw/zinc/62/48/35/411624835.db2.gz XNUNGIPOHKHAPN-GFCCVEGCSA-N 0 3 221.344 2.935 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)c(C)o1 ZINC000660778007 411625762 /nfs/dbraw/zinc/62/57/62/411625762.db2.gz AXQOBBGRVYPAKE-GRYCIOLGSA-N 0 3 220.316 2.570 20 0 BFADHN CC(C)c1cccc(CN[C@@H](CO)CCF)c1 ZINC000663331022 411626254 /nfs/dbraw/zinc/62/62/54/411626254.db2.gz LGHIUPMBLKHIKO-CQSZACIVSA-N 0 3 239.334 2.620 20 0 BFADHN CC(C)c1cccc(CN[C@H]2C[C@@](C)(O)C2)c1 ZINC000663327793 411626662 /nfs/dbraw/zinc/62/66/62/411626662.db2.gz GRIJSRUEEHYUGJ-GASCZTMLSA-N 0 3 233.355 2.813 20 0 BFADHN FCCOCCNCc1ccc(C2CC2)cc1 ZINC000663339210 411628768 /nfs/dbraw/zinc/62/87/68/411628768.db2.gz SLXJJYXJMGEVOU-UHFFFAOYSA-N 0 3 237.318 2.640 20 0 BFADHN O=C1CCN(CC2CC=CC2)C2(CCC2)C1 ZINC000660820694 411646799 /nfs/dbraw/zinc/64/67/99/411646799.db2.gz XYLCJFCIBOGFSY-UHFFFAOYSA-N 0 3 219.328 2.540 20 0 BFADHN Cc1cc(C)c(CNCCOCCF)cc1C ZINC000663342227 411630594 /nfs/dbraw/zinc/63/05/94/411630594.db2.gz QNKLZVCYDMHISP-UHFFFAOYSA-N 0 3 239.334 2.688 20 0 BFADHN CCC[C@@H](NCc1cc(OC)no1)C1CCC1 ZINC000660783322 411630720 /nfs/dbraw/zinc/63/07/20/411630720.db2.gz OFRHKRCOJGDZSK-GFCCVEGCSA-N 0 3 238.331 2.742 20 0 BFADHN Cc1cc(CN2CC(C)(C)C[C@H]2C)nc(C)n1 ZINC000660804520 411640804 /nfs/dbraw/zinc/64/08/04/411640804.db2.gz GIDLBBLEBQLJFH-LLVKDONJSA-N 0 3 233.359 2.714 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)co1 ZINC000660391699 411578415 /nfs/dbraw/zinc/57/84/15/411578415.db2.gz BAWKVNPBKIYHQK-MXWKQRLJSA-N 0 3 222.332 2.849 20 0 BFADHN COc1cc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)on1 ZINC000660392641 411578625 /nfs/dbraw/zinc/57/86/25/411578625.db2.gz GLSVRCKXODNSQO-VWYCJHECSA-N 0 3 238.331 2.550 20 0 BFADHN Cc1ccc2c(c1)CCN([C@@H]1COC(C)(C)C1)C2 ZINC000660397008 411578874 /nfs/dbraw/zinc/57/88/74/411578874.db2.gz QTBYQOJGTBJBLP-HNNXBMFYSA-N 0 3 245.366 2.921 20 0 BFADHN CCOc1cccc(CN[C@@H](CC)C2CC2)n1 ZINC000657679749 411580506 /nfs/dbraw/zinc/58/05/06/411580506.db2.gz DUBYOAZHIJDSBI-ZDUSSCGKSA-N 0 3 234.343 2.759 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1coc(C)n1 ZINC000660409850 411580622 /nfs/dbraw/zinc/58/06/22/411580622.db2.gz ICPJABVLWLVZQQ-ZYHUDNBSSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1nc(CN[C@H]2CCC[C@H]2C)c(C)o1 ZINC000660411492 411581514 /nfs/dbraw/zinc/58/15/14/411581514.db2.gz YHMCEIOGYDQVOW-KCJUWKMLSA-N 0 3 208.305 2.570 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@H]2C)c(C)o1 ZINC000660411494 411581568 /nfs/dbraw/zinc/58/15/68/411581568.db2.gz YHMCEIOGYDQVOW-LDYMZIIASA-N 0 3 208.305 2.570 20 0 BFADHN C1=CCC(CN2CCC[C@@H]2c2cc[nH]n2)C1 ZINC000660430873 411583778 /nfs/dbraw/zinc/58/37/78/411583778.db2.gz FHLKGFHHBIJTTH-CYBMUJFWSA-N 0 3 217.316 2.513 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2C[C@@H](O)C23CCC3)c1 ZINC000657704370 411584046 /nfs/dbraw/zinc/58/40/46/411584046.db2.gz FPELNHMRYWQGJU-UONOGXRCSA-N 0 3 249.329 2.527 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2C[C@@H](O)C23CCC3)c1 ZINC000657704371 411584048 /nfs/dbraw/zinc/58/40/48/411584048.db2.gz FPELNHMRYWQGJU-ZIAGYGMSSA-N 0 3 249.329 2.527 20 0 BFADHN CCc1cccc(CN[C@@H]2C[C@H](O)C23CCC3)c1 ZINC000657709031 411585412 /nfs/dbraw/zinc/58/54/12/411585412.db2.gz OCOYCDMBZYPZIQ-CABCVRRESA-N 0 3 245.366 2.642 20 0 BFADHN Cc1ncsc1CNC[C@@H]1C(C)(C)C1(F)F ZINC000657737123 411588075 /nfs/dbraw/zinc/58/80/75/411588075.db2.gz IEGJWVORYNQJAU-SECBINFHSA-N 0 3 246.326 2.832 20 0 BFADHN Cc1ccc(CNC[C@@H]2C(C)(C)C2(F)F)nc1 ZINC000657737958 411588356 /nfs/dbraw/zinc/58/83/56/411588356.db2.gz NXYOHYYOABLYPS-LLVKDONJSA-N 0 3 240.297 2.771 20 0 BFADHN CC(C)[C@H](NCc1nccn1C(C)C)C1CC1 ZINC000657757070 411590232 /nfs/dbraw/zinc/59/02/32/411590232.db2.gz LYLZZWYCIMINLP-AWEZNQCLSA-N 0 3 235.375 2.988 20 0 BFADHN COc1ccccc1CN[C@]1(C)CC1(C)C ZINC000657760336 411591037 /nfs/dbraw/zinc/59/10/37/411591037.db2.gz GUTPJQGJZALZIK-CQSZACIVSA-N 0 3 219.328 2.973 20 0 BFADHN CC(C)(C)n1cc(CN[C@@]2(C)CC2(C)C)cn1 ZINC000657761510 411591452 /nfs/dbraw/zinc/59/14/52/411591452.db2.gz MMDQLRFZLREMQV-AWEZNQCLSA-N 0 3 235.375 2.916 20 0 BFADHN CCCn1cc(CN[C@@]2(C)CC2(C)C)cn1 ZINC000657761466 411591525 /nfs/dbraw/zinc/59/15/25/411591525.db2.gz LFNMXKRWOCJBLU-ZDUSSCGKSA-N 0 3 221.348 2.571 20 0 BFADHN CC1(C)C[C@]1(C)NCc1cnc2ccccn12 ZINC000657762187 411591617 /nfs/dbraw/zinc/59/16/17/411591617.db2.gz XFBDQVZXOQGVNT-AWEZNQCLSA-N 0 3 229.327 2.613 20 0 BFADHN Cc1ccncc1CN[C@@]1(C)CC1(C)C ZINC000657761701 411591802 /nfs/dbraw/zinc/59/18/02/411591802.db2.gz RDUZNVLFLPRIHJ-ZDUSSCGKSA-N 0 3 204.317 2.668 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ccc(F)cc1 ZINC000044688781 170447360 /nfs/dbraw/zinc/44/73/60/170447360.db2.gz FBVCNWCDGGNPRQ-QWRGUYRKSA-N 0 3 225.307 2.901 20 0 BFADHN CCn1nc(C)c(CNCC2=CCCC2)c1C ZINC000657748657 411592547 /nfs/dbraw/zinc/59/25/47/411592547.db2.gz KRIQXBTUONMJOG-UHFFFAOYSA-N 0 3 233.359 2.720 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C2(CC(C)C)CC2)n1 ZINC000657775891 411594367 /nfs/dbraw/zinc/59/43/67/411594367.db2.gz UTLUFAPNVNJLMM-UHFFFAOYSA-N 0 3 234.343 2.764 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc(C)cc1C ZINC000657788298 411596060 /nfs/dbraw/zinc/59/60/60/411596060.db2.gz ITBKRAPDPAKVNV-KGLIPLIRSA-N 0 3 219.328 2.570 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@]2(C)OC)c(C)c1 ZINC000657789228 411596703 /nfs/dbraw/zinc/59/67/03/411596703.db2.gz CSUTWOURRMSOAJ-CABCVRRESA-N 0 3 249.354 2.661 20 0 BFADHN CCC[C@H](C)CN[C@H](C)c1nccn1C ZINC000657792388 411598231 /nfs/dbraw/zinc/59/82/31/411598231.db2.gz AMUMPDDUDPKJCT-WDEREUQCSA-N 0 3 209.337 2.507 20 0 BFADHN CC(C)Cn1nccc1CNC1CC(C)(C)C1 ZINC000657801890 411599622 /nfs/dbraw/zinc/59/96/22/411599622.db2.gz LTOCUJWEAAUSNJ-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN COc1cccc(CNCc2cccnc2)c1C ZINC000657801875 411599708 /nfs/dbraw/zinc/59/97/08/411599708.db2.gz KYBSZSMOFPPDLE-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN FC1(F)C[C@H]1OCCN1CCC[C@@H](C2CC2)C1 ZINC000660560626 411600215 /nfs/dbraw/zinc/60/02/15/411600215.db2.gz MHZAJEQJXAAHRE-VXGBXAGGSA-N 0 3 245.313 2.533 20 0 BFADHN COc1cccc(CNCc2cccn2C)c1C ZINC000657803864 411600549 /nfs/dbraw/zinc/60/05/49/411600549.db2.gz ALQCXPHRBJCORN-UHFFFAOYSA-N 0 3 244.338 2.632 20 0 BFADHN O[C@H]1C[C@H](NCc2ccc(C3CCCC3)cc2)C1 ZINC000657808912 411601692 /nfs/dbraw/zinc/60/16/92/411601692.db2.gz QIXCDNHKTIBJPM-WKILWMFISA-N 0 3 245.366 2.957 20 0 BFADHN c1ncc(CN2CC[C@H](c3ccncc3)C2)s1 ZINC000660572334 411602449 /nfs/dbraw/zinc/60/24/49/411602449.db2.gz BOMYZTQEZQWKOT-LBPRGKRZSA-N 0 3 245.351 2.528 20 0 BFADHN C[C@@H](NC1CC(CF)(CF)C1)c1ccccn1 ZINC000657817103 411606204 /nfs/dbraw/zinc/60/62/04/411606204.db2.gz NAOUMBNLYZXWCP-SNVBAGLBSA-N 0 3 240.297 2.820 20 0 BFADHN Cc1csc(CN[C@H]2CCCSCC2)n1 ZINC000657826815 411608163 /nfs/dbraw/zinc/60/81/63/411608163.db2.gz BZRPWHKLZQERLZ-JTQLQIEISA-N 0 3 242.413 2.827 20 0 BFADHN Cc1nc(CN[C@@H]2CCCC23CC3)cs1 ZINC000657844161 411610368 /nfs/dbraw/zinc/61/03/68/411610368.db2.gz OQKSWIBTTDJSCW-LLVKDONJSA-N 0 3 222.357 2.874 20 0 BFADHN CC[C@H](CC(F)F)CN1CCN(C)C[C@@H]1CC ZINC000660645934 411611747 /nfs/dbraw/zinc/61/17/47/411611747.db2.gz YATGIDQNDYUHGL-NEPJUHHUSA-N 0 3 248.361 2.694 20 0 BFADHN CC[C@H](CC(F)F)CN(C1CC1)C1COC1 ZINC000660647619 411612920 /nfs/dbraw/zinc/61/29/20/411612920.db2.gz NCLRUTJOEBZFEC-SECBINFHSA-N 0 3 233.302 2.531 20 0 BFADHN CC[C@@H](CC(F)F)CN1CC[C@H](F)C1 ZINC000660693476 411618025 /nfs/dbraw/zinc/61/80/25/411618025.db2.gz XSRIWTKLFZNBFO-IUCAKERBSA-N 0 3 209.255 2.712 20 0 BFADHN Cc1nocc1CN1[C@H](C)CCC1(C)C ZINC000660754404 411621259 /nfs/dbraw/zinc/62/12/59/411621259.db2.gz HIFQKEUSFBIYTM-SECBINFHSA-N 0 3 208.305 2.746 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN(C)Cc1c[nH]cn1 ZINC000661094694 411705325 /nfs/dbraw/zinc/70/53/25/411705325.db2.gz XLOHYMTXPYBEGZ-VXGBXAGGSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN(C)Cc1cnc[nH]1 ZINC000661094694 411705327 /nfs/dbraw/zinc/70/53/27/411705327.db2.gz XLOHYMTXPYBEGZ-VXGBXAGGSA-N 0 3 221.348 2.668 20 0 BFADHN CC[C@@H](C)N(CC)Cc1cc(OC)ccn1 ZINC000661105635 411711318 /nfs/dbraw/zinc/71/13/18/411711318.db2.gz GGQRNVUKZVRNON-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN COCC1(N(C)Cc2ccc(C)cc2C)CC1 ZINC000663453131 411660521 /nfs/dbraw/zinc/66/05/21/411660521.db2.gz VNLNAPGITMCPSM-UHFFFAOYSA-N 0 3 233.355 2.914 20 0 BFADHN CC1(C)CC[C@H](CN2CC[C@H](C(F)F)C2)O1 ZINC000663464278 411665202 /nfs/dbraw/zinc/66/52/02/411665202.db2.gz VCBPNICKFMZFBF-VHSXEESVSA-N 0 3 233.302 2.531 20 0 BFADHN Cc1cc(CN2CCC23CCCC3)nc(C)n1 ZINC000660909707 411669449 /nfs/dbraw/zinc/66/94/49/411669449.db2.gz OKTQJLJIRGRNBZ-UHFFFAOYSA-N 0 3 231.343 2.612 20 0 BFADHN CC[C@@H]1CN(CCC2CCC2)[C@H](C)CO1 ZINC000660912352 411669611 /nfs/dbraw/zinc/66/96/11/411669611.db2.gz JWVQPQSERUWYAF-DGCLKSJQSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1nc(CN[C@H](C)C(C)(C)C)c(C)o1 ZINC000660920284 411672603 /nfs/dbraw/zinc/67/26/03/411672603.db2.gz ORJZXAODMAPFQB-SECBINFHSA-N 0 3 210.321 2.816 20 0 BFADHN Cc1cccc(CN2C[C@@H]3CCCC[C@@H]32)n1 ZINC000660928992 411679789 /nfs/dbraw/zinc/67/97/89/411679789.db2.gz BTPCRUKTQATHSP-JSGCOSHPSA-N 0 3 216.328 2.764 20 0 BFADHN CCOc1ccc(CN[C@@H](C)[C@H](C)OC)cc1 ZINC000189103241 170545999 /nfs/dbraw/zinc/54/59/99/170545999.db2.gz IDJDKORAAMWXNL-RYUDHWBXSA-N 0 3 237.343 2.598 20 0 BFADHN Cc1ncc(CN2C[C@@H]3CCCC[C@@H]32)s1 ZINC000660931028 411682481 /nfs/dbraw/zinc/68/24/81/411682481.db2.gz ONESVBXRDGNIJF-JQWIXIFHSA-N 0 3 222.357 2.826 20 0 BFADHN Cc1nc(CCN2C[C@@H]3CCCC[C@@H]32)cs1 ZINC000660933861 411685044 /nfs/dbraw/zinc/68/50/44/411685044.db2.gz ZNVXKHGIQYWOKF-AAEUAGOBSA-N 0 3 236.384 2.868 20 0 BFADHN CCOc1ccccc1[C@@H](CC)N[C@@H]1CCOC1 ZINC000268891067 170598572 /nfs/dbraw/zinc/59/85/72/170598572.db2.gz YFKAXTNJISWRED-TZMCWYRMSA-N 0 3 249.354 2.915 20 0 BFADHN Cc1ccc2c(c1)CN([C@H]1COC(C)(C)C1)CC2 ZINC000661372382 411757094 /nfs/dbraw/zinc/75/70/94/411757094.db2.gz LSCNMQGEUVENIJ-OAHLLOKOSA-N 0 3 245.366 2.921 20 0 BFADHN Cc1ccc2c(c1)CN([C@H]1CCCOC1)CC2 ZINC000661371891 411757622 /nfs/dbraw/zinc/75/76/22/411757622.db2.gz RAYCQLORCMQWDQ-HNNXBMFYSA-N 0 3 231.339 2.532 20 0 BFADHN CC(C)c1ccc2c(c1)CN(C[C@@H](C)O)CC2 ZINC000661375737 411760112 /nfs/dbraw/zinc/76/01/12/411760112.db2.gz QGIVDSZGNKFLFH-GFCCVEGCSA-N 0 3 233.355 2.549 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@@H]1CCCO1)CC2 ZINC000661375709 411761188 /nfs/dbraw/zinc/76/11/88/411761188.db2.gz PXXXXWRSHVRXSK-HNNXBMFYSA-N 0 3 231.339 2.532 20 0 BFADHN C[C@H](NCCCCCF)c1cscn1 ZINC000661416230 411772096 /nfs/dbraw/zinc/77/20/96/411772096.db2.gz XBPVSWWTOKFNOL-VIFPVBQESA-N 0 3 216.325 2.934 20 0 BFADHN CC/C=C\CCN1CC2(CCC2)OC[C@@H]1C ZINC000661468543 411783165 /nfs/dbraw/zinc/78/31/65/411783165.db2.gz JCKRYYZIOGUDPN-ZFDPJTLLSA-N 0 3 223.360 2.986 20 0 BFADHN C[C@@]1(C2CC2)CN(CCC2CCC2)CCO1 ZINC000661170346 411727026 /nfs/dbraw/zinc/72/70/26/411727026.db2.gz FZWPCKKXGBHULI-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN Cn1cncc1CN1CC[C@@H](C2CCCCC2)C1 ZINC000661577599 411807757 /nfs/dbraw/zinc/80/77/57/411807757.db2.gz CWLKHAZRFKIACJ-CQSZACIVSA-N 0 3 247.386 2.822 20 0 BFADHN Cc1cc(OCc2cccnc2N)c(C)c(C)n1 ZINC000664044803 411827453 /nfs/dbraw/zinc/82/74/53/411827453.db2.gz UUUKDWNUZYRQOP-UHFFFAOYSA-N 0 3 243.310 2.563 20 0 BFADHN O[C@]1(C2CC2)CCCN(Cc2cccc(F)c2)C1 ZINC000661639011 411827628 /nfs/dbraw/zinc/82/76/28/411827628.db2.gz UBVGTJXVEUZMAC-OAHLLOKOSA-N 0 3 249.329 2.563 20 0 BFADHN CCN1CCC[C@H]1c1ccc(OC)c(F)c1 ZINC000661662545 411832254 /nfs/dbraw/zinc/83/22/54/411832254.db2.gz ZIQHFWKCWSURQG-LBPRGKRZSA-N 0 3 223.291 2.991 20 0 BFADHN C[C@@H](c1cnccn1)N1CCC[C@@H](CCF)C1 ZINC000661688623 411838841 /nfs/dbraw/zinc/83/88/41/411838841.db2.gz FGTXYFSYKBRWMB-RYUDHWBXSA-N 0 3 237.322 2.609 20 0 BFADHN FCC[C@H]1CCCN(Cc2cncs2)C1 ZINC000661689438 411839424 /nfs/dbraw/zinc/83/94/24/411839424.db2.gz PLKCXZWUEAOMPW-SNVBAGLBSA-N 0 3 228.336 2.715 20 0 BFADHN O[C@]12C[C@H]1CN(Cc1ccc(C3CC3)cc1)CC2 ZINC000661720100 411857650 /nfs/dbraw/zinc/85/76/50/411857650.db2.gz HBXKZTUJFKULQZ-JKSUJKDBSA-N 0 3 243.350 2.521 20 0 BFADHN CC(C)(C)O[C@H]1C[C@H](NCCF)C12CCC2 ZINC000661842778 411899404 /nfs/dbraw/zinc/89/94/04/411899404.db2.gz SUVYRRZPJZZGHO-QWRGUYRKSA-N 0 3 229.339 2.672 20 0 BFADHN C[C@@H]1CCN(Cc2cccs2)C[C@H](C)O1 ZINC000661856741 411904098 /nfs/dbraw/zinc/90/40/98/411904098.db2.gz KAWHJVOSSRWXFR-MNOVXSKESA-N 0 3 225.357 2.747 20 0 BFADHN C[C@@H]1CCN(CCOc2ccccc2)C[C@H](C)O1 ZINC000661854985 411904328 /nfs/dbraw/zinc/90/43/28/411904328.db2.gz CRTIDQQHORLMGA-KGLIPLIRSA-N 0 3 249.354 2.565 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2conc2C)C1 ZINC000661859792 411906441 /nfs/dbraw/zinc/90/64/41/411906441.db2.gz GPGHAHIAFAKZDU-ZYHUDNBSSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2cnc(C)nc2)C1 ZINC000661859712 411907629 /nfs/dbraw/zinc/90/76/29/411907629.db2.gz DIYCHYWQJAZDAP-DGCLKSJQSA-N 0 3 233.359 2.796 20 0 BFADHN CCc1cc(N2CC3(CCC3)OC[C@@H]2C)ccn1 ZINC000664279194 411910871 /nfs/dbraw/zinc/91/08/71/411910871.db2.gz QSIXZMMIJWZFOX-LBPRGKRZSA-N 0 3 246.354 2.792 20 0 BFADHN CCO[C@H]1C[C@H](Nc2ccnc3ccccc32)C1 ZINC000664281488 411912273 /nfs/dbraw/zinc/91/22/73/411912273.db2.gz RTLMJRXIEJKCBQ-HAQNSBGRSA-N 0 3 242.322 2.636 20 0 BFADHN COc1ccc(C)cc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000661977849 411932018 /nfs/dbraw/zinc/93/20/18/411932018.db2.gz LYPJCVBEVVQMSG-CHWSQXEVSA-N 0 3 231.339 2.845 20 0 BFADHN Cc1nc(CN[C@@H](C)C2CCC(F)CC2)co1 ZINC000661998685 411939489 /nfs/dbraw/zinc/93/94/89/411939489.db2.gz MBPIPWHNVFWGAR-GCVQQVDUSA-N 0 3 240.322 2.989 20 0 BFADHN CC[C@H](NCc1noc2c1CCCC2)C1CC1 ZINC000661758532 411868805 /nfs/dbraw/zinc/86/88/05/411868805.db2.gz OUSIMQGUXDZNAP-LBPRGKRZSA-N 0 3 234.343 2.832 20 0 BFADHN C[C@H](O)[C@H]1CCCN1Cc1sccc1Cl ZINC000661764672 411873696 /nfs/dbraw/zinc/87/36/96/411873696.db2.gz ISDQJHMUFIUTAB-WCBMZHEXSA-N 0 3 245.775 2.747 20 0 BFADHN CC[C@@H](NCC1(C)COC1)c1nc(C)cs1 ZINC000273553458 170891266 /nfs/dbraw/zinc/89/12/66/170891266.db2.gz XXIUGTHIZXERKM-SNVBAGLBSA-N 0 3 240.372 2.529 20 0 BFADHN C[C@@H](c1cnccn1)N1[C@@H]2CC[C@H]1CC(C)C2 ZINC000662129335 411995850 /nfs/dbraw/zinc/99/58/50/411995850.db2.gz CHWMCHLLJMYYSB-FOIKRFTLSA-N 0 3 231.343 2.801 20 0 BFADHN C[C@@H]1CN(C/C=C/c2ccccc2)C[C@@]1(C)CO ZINC000662136190 411999023 /nfs/dbraw/zinc/99/90/23/411999023.db2.gz OKKWSSFULROBGR-NZJBMZQBSA-N 0 3 245.366 2.650 20 0 BFADHN C[C@@H]1CN(C/C=C/c2ccccc2)C[C@]1(C)CO ZINC000662136188 412000207 /nfs/dbraw/zinc/00/02/07/412000207.db2.gz OKKWSSFULROBGR-FPTQRPOBSA-N 0 3 245.366 2.650 20 0 BFADHN c1cc(CN2CCCC[C@@H]2CC2CCC2)n[nH]1 ZINC000662154646 412008092 /nfs/dbraw/zinc/00/80/92/412008092.db2.gz BTVDHDZTRBWXMG-CQSZACIVSA-N 0 3 233.359 2.954 20 0 BFADHN CCC[C@@H](C)N[C@H](CO)c1ccc(F)cc1F ZINC000662163355 412013779 /nfs/dbraw/zinc/01/37/79/412013779.db2.gz OSFAUGBSKKIEIS-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN CCCCCN[C@@H](CO)c1ccc(F)cc1F ZINC000662166366 412014484 /nfs/dbraw/zinc/01/44/84/412014484.db2.gz QMBPGHTYEQLLEA-ZDUSSCGKSA-N 0 3 243.297 2.778 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@@H]2COC[C@H]2C1 ZINC000662034708 411953768 /nfs/dbraw/zinc/95/37/68/411953768.db2.gz DNRKGKDVFONXTB-JHJVBQTASA-N 0 3 249.329 2.855 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@@H]2COC[C@H]2C1 ZINC000662038313 411956510 /nfs/dbraw/zinc/95/65/10/411956510.db2.gz SNBANFWPRCNQRA-MRVWCRGKSA-N 0 3 249.329 2.855 20 0 BFADHN COCC[C@H](C)N1CC(C)(C)[C@H]1c1ccncc1 ZINC000664834169 412028442 /nfs/dbraw/zinc/02/84/42/412028442.db2.gz PWDYIUJWXWZWQX-GXTWGEPZSA-N 0 3 248.370 2.890 20 0 BFADHN CC(C)N1CC(C)(C)[C@H]1c1ccncc1 ZINC000664832662 412029392 /nfs/dbraw/zinc/02/93/92/412029392.db2.gz NVWKHBQUYJIWMZ-GFCCVEGCSA-N 0 3 204.317 2.873 20 0 BFADHN CC1(C)Cc2n[nH]cc2CN(CCCCF)C1 ZINC000664864471 412034836 /nfs/dbraw/zinc/03/48/36/412034836.db2.gz QCVGVFKOPNFJGS-UHFFFAOYSA-N 0 3 239.338 2.544 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cnccc1OC ZINC000287862158 171000535 /nfs/dbraw/zinc/00/05/35/171000535.db2.gz RUOWCOAFJJFRJO-DGCLKSJQSA-N 0 3 234.343 2.759 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@@H](C)c1cnccn1 ZINC000119581172 171001205 /nfs/dbraw/zinc/00/12/05/171001205.db2.gz SSDZWZCUJZKBRB-QJPTWQEYSA-N 0 3 219.332 2.706 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1nccn1CC ZINC000310000782 171001641 /nfs/dbraw/zinc/00/16/41/171001641.db2.gz DTAQQKZYXSMGNQ-VXGBXAGGSA-N 0 3 221.348 2.571 20 0 BFADHN CC[C@@H]1CCN(Cc2cc(OC)ccc2OC)C1 ZINC000125847404 171011002 /nfs/dbraw/zinc/01/10/02/171011002.db2.gz JEGQVWBQQMZUHS-GFCCVEGCSA-N 0 3 249.354 2.936 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc3c(c2)OCCO3)C1 ZINC000125911138 171011814 /nfs/dbraw/zinc/01/18/14/171011814.db2.gz UHMVNJYBBIHWDD-GFCCVEGCSA-N 0 3 247.338 2.690 20 0 BFADHN CC[C@@H]1CCN(Cc2cccc(OC)c2OC)C1 ZINC000091929808 171012996 /nfs/dbraw/zinc/01/29/96/171012996.db2.gz VSKOLZKLPUOVID-GFCCVEGCSA-N 0 3 249.354 2.936 20 0 BFADHN CC[C@@H]1CCN1C[C@H](O)c1ccc(C)cc1 ZINC000292126448 171024343 /nfs/dbraw/zinc/02/43/43/171024343.db2.gz AXYAUVXIVNWXAW-KGLIPLIRSA-N 0 3 219.328 2.513 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc(COC)cc1 ZINC000292890033 171025594 /nfs/dbraw/zinc/02/55/94/171025594.db2.gz YSPUUHHXMONBFD-CQSZACIVSA-N 0 3 219.328 2.817 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1conc1C ZINC000294071605 171037881 /nfs/dbraw/zinc/03/78/81/171037881.db2.gz YLXNNKPGKUMZEF-BXKDBHETSA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](CO)c1ccsc1 ZINC000336742333 171044690 /nfs/dbraw/zinc/04/46/90/171044690.db2.gz WULVOGKRXRQLGF-USWWRNFRSA-N 0 3 225.357 2.560 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1ccnc(OC)c1 ZINC000357549132 171053384 /nfs/dbraw/zinc/05/33/84/171053384.db2.gz DIEXSNULWAKHEJ-WCQYABFASA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](CO)c1ccsc1 ZINC000336742332 171059969 /nfs/dbraw/zinc/05/99/69/171059969.db2.gz WULVOGKRXRQLGF-JLLWLGSASA-N 0 3 225.357 2.560 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H]1CCCc2c[nH]nc21 ZINC000336740988 171061003 /nfs/dbraw/zinc/06/10/03/171061003.db2.gz QPCHBKVIPGWYRB-JLLWLGSASA-N 0 3 219.332 2.565 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H]1CCCc2c[nH]nc21 ZINC000336740992 171061217 /nfs/dbraw/zinc/06/12/17/171061217.db2.gz QPCHBKVIPGWYRB-YUSALJHKSA-N 0 3 219.332 2.565 20 0 BFADHN CC[C@@H]1CN(CC2(SC)CCC2)C[C@@H](C)O1 ZINC000356058841 171078492 /nfs/dbraw/zinc/07/84/92/171078492.db2.gz PSTKIUTXIYNHDX-VXGBXAGGSA-N 0 3 243.416 2.771 20 0 BFADHN CC[C@@H]1CN(C[C@H](C)CC(C)C)CCO1 ZINC000337133501 171086147 /nfs/dbraw/zinc/08/61/47/171086147.db2.gz MDPLPAKROXBIGI-CHWSQXEVSA-N 0 3 213.365 2.779 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCCC(F)(F)C2)CCO1 ZINC000338249781 171086750 /nfs/dbraw/zinc/08/67/50/171086750.db2.gz HCNVVHIBONONLK-VXGBXAGGSA-N 0 3 247.329 2.923 20 0 BFADHN CN(CC1=CCSC1)Cc1cccc(F)c1 ZINC000662270738 412063298 /nfs/dbraw/zinc/06/32/98/412063298.db2.gz VBSMCDAZFHOGIW-UHFFFAOYSA-N 0 3 237.343 2.931 20 0 BFADHN CC[C@@H]1COCCN1C[C@H](C)CC(C)C ZINC000337188078 171107053 /nfs/dbraw/zinc/10/70/53/171107053.db2.gz QAHFDKMKHHCQGO-CHWSQXEVSA-N 0 3 213.365 2.779 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CCCc1ccncc1 ZINC000360439548 171112090 /nfs/dbraw/zinc/11/20/90/171112090.db2.gz YAXAOOJUFDNMLI-UKRRQHHQSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@@H]1NCc1ccn(C)n1 ZINC000358265943 171116416 /nfs/dbraw/zinc/11/64/16/171116416.db2.gz LOUKQNWNOATJHQ-SCRDCRAPSA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@@H]1C[C@H](CNCc2ccco2)CCO1 ZINC000336746079 171130405 /nfs/dbraw/zinc/13/04/05/171130405.db2.gz WDEIIJDSBBSCQH-VXGBXAGGSA-N 0 3 223.316 2.574 20 0 BFADHN CC[C@@H]1C[C@H](NCc2occc2C)CCO1 ZINC000309384191 171131101 /nfs/dbraw/zinc/13/11/01/171131101.db2.gz AZJAYDRVBUUXKB-VXGBXAGGSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cncc(F)c1 ZINC000275149326 171156788 /nfs/dbraw/zinc/15/67/88/171156788.db2.gz RPSVKZGCCSTUGL-GXFFZTMASA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cc(C)no1 ZINC000274821725 171160606 /nfs/dbraw/zinc/16/06/06/171160606.db2.gz BKGZHBPCHRPNMX-BXKDBHETSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1ncc(C)o1 ZINC000185663501 171161341 /nfs/dbraw/zinc/16/13/41/171161341.db2.gz JXHBHTRXFIBJCQ-MWLCHTKSSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](C3CC3)C2)ncn1 ZINC000678604222 487621805 /nfs/dbraw/zinc/62/18/05/487621805.db2.gz ASYFQENQDJPTMP-UONOGXRCSA-N 0 3 245.370 2.843 20 0 BFADHN CC[C@@](C)(NCCCF)c1nccs1 ZINC000137597630 171174230 /nfs/dbraw/zinc/17/42/30/171174230.db2.gz FCCHWBGSHXTBNP-SNVBAGLBSA-N 0 3 216.325 2.718 20 0 BFADHN CC[C@@](C)(NCCCOC)c1nc(C)cs1 ZINC000173938286 171174757 /nfs/dbraw/zinc/17/47/57/171174757.db2.gz YHNCEDVPZKXUMT-GFCCVEGCSA-N 0 3 242.388 2.703 20 0 BFADHN CC[C@@](C)(NC[C@H](C)OC)c1nc(C)cs1 ZINC000182345082 171175697 /nfs/dbraw/zinc/17/56/97/171175697.db2.gz DCJGUGUROUJNAC-CMPLNLGQSA-N 0 3 242.388 2.701 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1sc(C)nc1C ZINC000083463043 171186506 /nfs/dbraw/zinc/18/65/06/171186506.db2.gz OHDQLWPNIQVRFG-PRHODGIISA-N 0 3 242.388 2.572 20 0 BFADHN CC[C@@]1(C)COCCN1Cc1ccccc1C ZINC000340881921 171205836 /nfs/dbraw/zinc/20/58/36/171205836.db2.gz OHCQLQMCFUTBSJ-HNNXBMFYSA-N 0 3 233.355 2.996 20 0 BFADHN CC[C@@]1(CO)CCCN1Cc1cccc(F)c1 ZINC000189643349 171212936 /nfs/dbraw/zinc/21/29/36/171212936.db2.gz VCUMBDDHLKQGBG-AWEZNQCLSA-N 0 3 237.318 2.563 20 0 BFADHN CC[C@H](C(=O)N(C)C(C)(C)CC)N(CC)CC ZINC000359799107 171220969 /nfs/dbraw/zinc/22/09/69/171220969.db2.gz OLOMDCNDSZWACI-GFCCVEGCSA-N 0 3 242.407 2.754 20 0 BFADHN CC[C@H](C(=O)N(C)[C@@H](C)c1ccccc1)N(C)C ZINC000298238252 171222943 /nfs/dbraw/zinc/22/29/43/171222943.db2.gz LNUKVRAPEMCWIJ-GXTWGEPZSA-N 0 3 248.370 2.546 20 0 BFADHN CC[C@H](C)CN(C)Cc1cnccc1C ZINC000269464685 171274189 /nfs/dbraw/zinc/27/41/89/171274189.db2.gz INIRTOCJSWLGJM-NSHDSACASA-N 0 3 206.333 2.868 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cc(C)no1 ZINC000177853011 171278429 /nfs/dbraw/zinc/27/84/29/171278429.db2.gz QJQMVWUXGCZYJF-JTQLQIEISA-N 0 3 210.321 2.851 20 0 BFADHN CC[C@H](C)CN(CCOC)Cc1ccco1 ZINC000088494305 171280112 /nfs/dbraw/zinc/28/01/12/171280112.db2.gz SAXBFBQCWXJWQO-LBPRGKRZSA-N 0 3 225.332 2.774 20 0 BFADHN CC[C@H](C)CNCc1cc(OC)cc(C)n1 ZINC000125963340 171285727 /nfs/dbraw/zinc/28/57/27/171285727.db2.gz ZILXIBORODFXOH-JTQLQIEISA-N 0 3 222.332 2.534 20 0 BFADHN CC[C@H](C)CNCc1cn2ccsc2n1 ZINC000148688591 171286341 /nfs/dbraw/zinc/28/63/41/171286341.db2.gz FOPKMOHLNHBFBY-VIFPVBQESA-N 0 3 223.345 2.532 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1ccccn1 ZINC000083863596 171295141 /nfs/dbraw/zinc/29/51/41/171295141.db2.gz TTWYVUZAXRRCGU-NWDGAFQWSA-N 0 3 206.333 2.996 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@H](C)c1cnccn1 ZINC000251767950 171298309 /nfs/dbraw/zinc/29/83/09/171298309.db2.gz ICXQBWLSIRVLTK-SDDRHHMPSA-N 0 3 221.348 2.952 20 0 BFADHN CC[C@H](C)N(C)CC(=O)N[C@H](C)c1ccccc1 ZINC000340598946 171305003 /nfs/dbraw/zinc/30/50/03/171305003.db2.gz AXTVWDSDLYRRNH-QWHCGFSZSA-N 0 3 248.370 2.594 20 0 BFADHN CC[C@H](C)N(C)Cc1cn(C)nc1C(F)(F)F ZINC000181140197 171309081 /nfs/dbraw/zinc/30/90/81/171309081.db2.gz ZGQZVADAKKINDU-QMMMGPOBSA-N 0 3 249.280 2.669 20 0 BFADHN CC[C@H](C)N(C)Cc1ccnn1C(C)C ZINC000337153581 171309405 /nfs/dbraw/zinc/30/94/05/171309405.db2.gz MBARZCLGJVOYFO-NSHDSACASA-N 0 3 209.337 2.694 20 0 BFADHN CC[C@H](C)N1CCN([C@@H]2C=CCCC2)CC1 ZINC000336305645 171318830 /nfs/dbraw/zinc/31/88/30/171318830.db2.gz ZKOHOHJAUFYROD-UONOGXRCSA-N 0 3 222.376 2.511 20 0 BFADHN CC[C@H](C)NCc1cc(OC)cc2c1O[C@H](C)C2 ZINC000237001106 171343291 /nfs/dbraw/zinc/34/32/91/171343291.db2.gz YBSKNUAYCWSHQC-WDEREUQCSA-N 0 3 249.354 2.907 20 0 BFADHN CC[C@H](C)NCc1ccc(-c2cc[nH]n2)o1 ZINC000278551842 171343311 /nfs/dbraw/zinc/34/33/11/171343311.db2.gz BKXUGJGNTIAZGW-VIFPVBQESA-N 0 3 219.288 2.558 20 0 BFADHN CC[C@H](C)NCc1cccnc1OCC(F)F ZINC000090941876 171344147 /nfs/dbraw/zinc/34/41/47/171344147.db2.gz RZROLMRQGSQSAQ-VIFPVBQESA-N 0 3 244.285 2.614 20 0 BFADHN CC[C@H](C)NCc1cn2cc(C)ccc2n1 ZINC000263805181 171344169 /nfs/dbraw/zinc/34/41/69/171344169.db2.gz XDNSRQSRVGIRFC-NSHDSACASA-N 0 3 217.316 2.531 20 0 BFADHN CC[C@H](C)[NH2+][C@H](C)c1nnc([C@@H](C)CC)[n-]1 ZINC000336729073 171348008 /nfs/dbraw/zinc/34/80/08/171348008.db2.gz HKKLLKZHRSCMRY-LPEHRKFASA-N 0 3 224.352 2.767 20 0 BFADHN CC[C@H](C)N[C@H](C)c1nnc([C@@H](C)CC)[nH]1 ZINC000336729073 171348010 /nfs/dbraw/zinc/34/80/10/171348010.db2.gz HKKLLKZHRSCMRY-LPEHRKFASA-N 0 3 224.352 2.767 20 0 BFADHN CC[C@H](C)N[C@H](COC)c1ccc(F)cc1 ZINC000336739798 171348959 /nfs/dbraw/zinc/34/89/59/171348959.db2.gz PLRCBVFMKVUVFG-GXFFZTMASA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@H](C)[C@@H](C)N(Cc1ccn(C)n1)C1CC1 ZINC000353307902 171362321 /nfs/dbraw/zinc/36/23/21/171362321.db2.gz MJIDYQTWFVFHGZ-NWDGAFQWSA-N 0 3 235.375 2.819 20 0 BFADHN CC[C@H](C)[C@@H](C)NC(=O)Nc1cccc(CN)c1 ZINC000042574480 171362854 /nfs/dbraw/zinc/36/28/54/171362854.db2.gz NVBHZEDHHSSHSS-WDEREUQCSA-N 0 3 249.358 2.701 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1nnc2ccccn21 ZINC000252392439 171366907 /nfs/dbraw/zinc/36/69/07/171366907.db2.gz VNIGPLLQOSKZNU-QJPTWQEYSA-N 0 3 246.358 2.815 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1ccncc1C)OC ZINC000294705018 171368222 /nfs/dbraw/zinc/36/82/22/171368222.db2.gz DHHSWEUNHYMRON-SMDDNHRTSA-N 0 3 236.359 2.541 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1cccs1)OC ZINC000362976701 171368794 /nfs/dbraw/zinc/36/87/94/171368794.db2.gz LJBQVNUOUCMDTF-CMPLNLGQSA-N 0 3 227.373 2.899 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1ccc(F)cc1)OC ZINC000362976504 171368874 /nfs/dbraw/zinc/36/88/74/171368874.db2.gz KRLWXTKGASTQFP-SMDDNHRTSA-N 0 3 239.334 2.976 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)N[C@@H](C)CCC(C)(C)C ZINC000237092385 171371735 /nfs/dbraw/zinc/37/17/35/171371735.db2.gz ROCQMAGIQLKMHC-SDDRHHMPSA-N 0 3 242.407 2.691 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)Nc1cc(C)ccc1C ZINC000019441521 171372061 /nfs/dbraw/zinc/37/20/61/171372061.db2.gz KIOBJRHINOQRKC-GXFFZTMASA-N 0 3 234.343 2.615 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000282424832 171378075 /nfs/dbraw/zinc/37/80/75/171378075.db2.gz YUXSLQAQXBAIJE-GAFUQQFSSA-N 0 3 239.359 2.954 20 0 BFADHN CC[C@H](C)[C@H](C)NCC(=O)Nc1ccccc1C ZINC000042556253 171388779 /nfs/dbraw/zinc/38/87/79/171388779.db2.gz LABFNNVIDUMQRX-AAEUAGOBSA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1c(C)nn(C)c1Cl ZINC000162530626 171389408 /nfs/dbraw/zinc/38/94/08/171389408.db2.gz UEDDJDSIAGDVCW-IUCAKERBSA-N 0 3 243.782 2.906 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1nnc(-c2ccco2)o1 ZINC000183033201 171389492 /nfs/dbraw/zinc/38/94/92/171389492.db2.gz JXWPIHZRASCGPF-UWVGGRQHSA-N 0 3 249.314 2.854 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1nnc2ccccn21 ZINC000036968826 171390180 /nfs/dbraw/zinc/39/01/80/171390180.db2.gz VNIGPLLQOSKZNU-SRVKXCTJSA-N 0 3 246.358 2.815 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](C)c1cc(C)n(C)n1 ZINC000310024996 171390408 /nfs/dbraw/zinc/39/04/08/171390408.db2.gz OTPVKTKFJHIHSF-ZMLRMANQSA-N 0 3 223.364 2.814 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](C)c1cnccn1 ZINC000246782982 171391249 /nfs/dbraw/zinc/39/12/49/171391249.db2.gz QQKOKZHHPMWAMO-GARJFASQSA-N 0 3 207.321 2.562 20 0 BFADHN CC[C@H](C)[C@H](CNCc1cccc(F)c1)OC ZINC000362977462 171391795 /nfs/dbraw/zinc/39/17/95/171391795.db2.gz RGDUNUVOQULOIR-FZMZJTMJSA-N 0 3 239.334 2.976 20 0 BFADHN CC[C@H](C)[C@H](CNCc1ccc(C)cn1)OC ZINC000362974470 171392152 /nfs/dbraw/zinc/39/21/52/171392152.db2.gz APCAKARXTVUYSG-JSGCOSHPSA-N 0 3 236.359 2.541 20 0 BFADHN CC[C@H](CCO)NCc1ccc(Cl)s1 ZINC000125774363 171428003 /nfs/dbraw/zinc/42/80/03/171428003.db2.gz GJWIQZXTVDNSHN-MRVPVSSYSA-N 0 3 233.764 2.652 20 0 BFADHN COCC1(NCc2cncc(C)c2)CCCCC1 ZINC000662339736 412108018 /nfs/dbraw/zinc/10/80/18/412108018.db2.gz NTCBNZYVDAPHAW-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CC(C)[C@H](O)CN[C@]1(c2ccccc2)CC1(C)C ZINC000662341809 412109612 /nfs/dbraw/zinc/10/96/12/412109612.db2.gz XOPRUESZJQHECF-ZBFHGGJFSA-N 0 3 247.382 2.918 20 0 BFADHN COCC1(NCc2cccc(C)n2)CCCCC1 ZINC000662340794 412110381 /nfs/dbraw/zinc/11/03/81/412110381.db2.gz IYQZHLUGZLUDTJ-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN COc1cc(CN(C)C[C@H]2CC2(C)C)ccn1 ZINC000662343917 412112051 /nfs/dbraw/zinc/11/20/51/412112051.db2.gz DEVTXJQFMJOQSG-GFCCVEGCSA-N 0 3 234.343 2.568 20 0 BFADHN Cc1ncc(CN(C)C[C@H]2CC2(C)C)s1 ZINC000662346618 412117048 /nfs/dbraw/zinc/11/70/48/412117048.db2.gz XPBCIRPWYAYPOS-SNVBAGLBSA-N 0 3 224.373 2.929 20 0 BFADHN C[C@H]1CN(CCC2CCC2)C[C@](C)(C(F)F)O1 ZINC000662357699 412121865 /nfs/dbraw/zinc/12/18/65/412121865.db2.gz DHWNBPIEIOWCID-GXFFZTMASA-N 0 3 247.329 2.921 20 0 BFADHN C[C@H]1CN(C2CCCC2)C[C@](C)(C(F)F)O1 ZINC000662360730 412124090 /nfs/dbraw/zinc/12/40/90/412124090.db2.gz RYSFZCQIRJTDMK-JOYOIKCWSA-N 0 3 233.302 2.674 20 0 BFADHN CC[C@H](C)CN1C[C@H](C)O[C@](C)(C(F)F)C1 ZINC000662359523 412124145 /nfs/dbraw/zinc/12/41/45/412124145.db2.gz KXLIOBZILXBFLY-NHCYSSNCSA-N 0 3 235.318 2.777 20 0 BFADHN C[C@H]1CN(C2CCCC2)C[C@@](C)(C(F)F)O1 ZINC000662360728 412124199 /nfs/dbraw/zinc/12/41/99/412124199.db2.gz RYSFZCQIRJTDMK-CABZTGNLSA-N 0 3 233.302 2.674 20 0 BFADHN C[C@@H](c1ccccn1)N1CC2CC1(C)C2 ZINC000662383836 412136049 /nfs/dbraw/zinc/13/60/49/412136049.db2.gz FXBAFVFPDAZQRS-ZBOXLXRLSA-N 0 3 202.301 2.627 20 0 BFADHN CCC[C@@H](C)N(C)C(=O)c1cccc2c1CNC2 ZINC000662384720 412136729 /nfs/dbraw/zinc/13/67/29/412136729.db2.gz VGJBWHDZLSNBOD-LLVKDONJSA-N 0 3 246.354 2.550 20 0 BFADHN CCCCN(C(=O)[C@H](CC)NC)c1ccccc1 ZINC000662439873 412175818 /nfs/dbraw/zinc/17/58/18/412175818.db2.gz QPOGBTBUJHJDPM-AWEZNQCLSA-N 0 3 248.370 2.818 20 0 BFADHN CCN(Cc1occc1C)[C@H]1CCCOC1 ZINC000665241116 412183127 /nfs/dbraw/zinc/18/31/27/412183127.db2.gz KNTGEOSVBHNVNC-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN CCc1ccc(CC)c(NC(=O)[C@H](CC)NC)c1 ZINC000662482333 412190558 /nfs/dbraw/zinc/19/05/58/412190558.db2.gz ARFIWEAMFPJBNE-ZDUSSCGKSA-N 0 3 248.370 2.748 20 0 BFADHN CC[C@H](NCCCOC)c1ccccc1F ZINC000166291393 171520428 /nfs/dbraw/zinc/52/04/28/171520428.db2.gz ACPFHJNUFGSDNY-ZDUSSCGKSA-N 0 3 225.307 2.903 20 0 BFADHN CC[C@H](NCc1nc(C)cs1)C1CC1 ZINC000083055749 171533839 /nfs/dbraw/zinc/53/38/39/171533839.db2.gz AEJOLPUGBDLKFS-JTQLQIEISA-N 0 3 210.346 2.730 20 0 BFADHN C[C@H](NCCCC(C)(C)C)c1ccc(=O)[nH]n1 ZINC000556878667 322929930 /nfs/dbraw/zinc/92/99/30/322929930.db2.gz PTFXRTRVBZNZPI-JTQLQIEISA-N 0 3 237.347 2.659 20 0 BFADHN CC[C@H](O)CN1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000295962481 171564881 /nfs/dbraw/zinc/56/48/81/171564881.db2.gz JHORIGJHZCOKGN-GJZGRUSLSA-N 0 3 245.366 2.985 20 0 BFADHN Cc1ccnc([C@H](C)NC2C[C@H](C)O[C@@H](C)C2)c1 ZINC000556887282 322930121 /nfs/dbraw/zinc/93/01/21/322930121.db2.gz SRSSARHLQWRNKF-AVGNSLFASA-N 0 3 248.370 2.997 20 0 BFADHN CCc1ccc(CN(C)[C@H]2CCCOC2)cc1 ZINC000339869072 171794850 /nfs/dbraw/zinc/79/48/50/171794850.db2.gz ZIPGPSSPDREHMK-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN Cc1ccsc1CNCc1ccc(CO)cc1 ZINC000133110647 412410987 /nfs/dbraw/zinc/41/09/87/412410987.db2.gz LRNSPZGXOCOMSW-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN CCc1ccc([C@@H](C)NCCC2(O)CCC2)o1 ZINC000284469785 171830183 /nfs/dbraw/zinc/83/01/83/171830183.db2.gz VRBDIJURNXLTPH-LLVKDONJSA-N 0 3 237.343 2.798 20 0 BFADHN CCc1cccc(F)c1CNCCCCCO ZINC000355149654 171858533 /nfs/dbraw/zinc/85/85/33/171858533.db2.gz GRKHCMVXMWLZCE-UHFFFAOYSA-N 0 3 239.334 2.640 20 0 BFADHN CCc1ncc(CN[C@H](C)CSC)s1 ZINC000129829579 172020888 /nfs/dbraw/zinc/02/08/88/172020888.db2.gz NWSJVVKFQCXTRU-MRVPVSSYSA-N 0 3 230.402 2.547 20 0 BFADHN CCc1nnc(CN[C@@H](C)C2CCCC2)s1 ZINC000336765018 172052150 /nfs/dbraw/zinc/05/21/50/172052150.db2.gz NUCRCZQNOAJVQY-VIFPVBQESA-N 0 3 239.388 2.769 20 0 BFADHN CCc1nnc(CN[C@H]2CCC[C@@H](C)C2)s1 ZINC000309970578 172053624 /nfs/dbraw/zinc/05/36/24/172053624.db2.gz PHEFDLDNSWAOFU-ZJUUUORDSA-N 0 3 239.388 2.769 20 0 BFADHN CCc1noc(C)c1CN(C)C1CCC1 ZINC000119434922 172076403 /nfs/dbraw/zinc/07/64/03/172076403.db2.gz LGCXKMWRYQNELS-UHFFFAOYSA-N 0 3 208.305 2.530 20 0 BFADHN CCc1noc(C)c1CN1CC[C@H](C)[C@@H]1C ZINC000119600421 172077104 /nfs/dbraw/zinc/07/71/04/172077104.db2.gz ZRIWUMYDKHNCJO-UWVGGRQHSA-N 0 3 222.332 2.776 20 0 BFADHN CCc1noc(C)c1CN1[C@H](C)CC[C@H]1C ZINC000123327891 172077616 /nfs/dbraw/zinc/07/76/16/172077616.db2.gz HCUHOARYTUNSRY-NXEZZACHSA-N 0 3 222.332 2.918 20 0 BFADHN CCc1noc(C)c1CN[C@H](C)C(C)C ZINC000293588666 172079979 /nfs/dbraw/zinc/07/99/79/172079979.db2.gz COQYBZASAWUKPG-SECBINFHSA-N 0 3 210.321 2.680 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CC[C@H]1C1CC1 ZINC000340438184 172080603 /nfs/dbraw/zinc/08/06/03/172080603.db2.gz GBUIHUHXQXFBNB-FZMZJTMJSA-N 0 3 234.343 2.824 20 0 BFADHN CCc1nocc1CN1CC[C@H]2CCC[C@@H]21 ZINC000336315636 172097192 /nfs/dbraw/zinc/09/71/92/172097192.db2.gz FZULVCCYUISHBQ-MFKMUULPSA-N 0 3 220.316 2.611 20 0 BFADHN CCc1nocc1CN1CCS[C@H](C)CC1 ZINC000335777169 172097802 /nfs/dbraw/zinc/09/78/02/172097802.db2.gz QIIJJAHTKIRHOE-SNVBAGLBSA-N 0 3 240.372 2.564 20 0 BFADHN CCc1nocc1CN1CC[C@@H](C)[C@H]1CC ZINC000352943347 172098024 /nfs/dbraw/zinc/09/80/24/172098024.db2.gz CCBZPZCGXSAZLC-ZWNOBZJWSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1nocc1CNCC(CC)CC ZINC000339244215 172098524 /nfs/dbraw/zinc/09/85/24/172098524.db2.gz YYWAKBOERHOHEN-UHFFFAOYSA-N 0 3 210.321 2.763 20 0 BFADHN CCc1nocc1CNCCc1cccs1 ZINC000339163968 172099854 /nfs/dbraw/zinc/09/98/54/172099854.db2.gz HFQJLCXWILEMFE-UHFFFAOYSA-N 0 3 236.340 2.631 20 0 BFADHN CCc1nocc1CN[C@@H]1CC[C@H](C)C1 ZINC000339365024 172101070 /nfs/dbraw/zinc/10/10/70/172101070.db2.gz YNXVVYCPHBNMIQ-GXSJLCMTSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1nocc1CN[C@H]1CC12CCCC2 ZINC000339371652 172101271 /nfs/dbraw/zinc/10/12/71/172101271.db2.gz JOEFOIMXYNTKDO-LBPRGKRZSA-N 0 3 220.316 2.659 20 0 BFADHN CCc1nocc1CN[C@@H]1CCC[C@@H](C)C1 ZINC000339201965 172101700 /nfs/dbraw/zinc/10/17/00/172101700.db2.gz PDCZHYMBWLPIBZ-ZYHUDNBSSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1oc2ccccc2c1CN1CC[C@@H](O)C1 ZINC000305734667 172113171 /nfs/dbraw/zinc/11/31/71/172113171.db2.gz QWAHOMOKUOYZIT-LLVKDONJSA-N 0 3 245.322 2.562 20 0 BFADHN Cc1cc(CN)cc(NC(=O)[C@@]23C[C@@H]2CCC3)c1 ZINC000564820674 322930234 /nfs/dbraw/zinc/93/02/34/322930234.db2.gz BBZZWIHMMYZGNO-WFASDCNBSA-N 0 3 244.338 2.582 20 0 BFADHN CCn1c(CNC(C)C)nc2ccccc21 ZINC000022863875 172144340 /nfs/dbraw/zinc/14/43/40/172144340.db2.gz ATHNQHMUAKFACC-UHFFFAOYSA-N 0 3 217.316 2.554 20 0 BFADHN CCn1cc(CCN(C)Cc2cccs2)cn1 ZINC000285229132 172159098 /nfs/dbraw/zinc/15/90/98/172159098.db2.gz YAZQTDBBNHLPIK-UHFFFAOYSA-N 0 3 249.383 2.639 20 0 BFADHN CCn1cc(CN(C)C2CCCCC2)cn1 ZINC000120097359 172159995 /nfs/dbraw/zinc/15/99/95/172159995.db2.gz KZDRYNRMWAOIQD-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CCn1cc(CNCc2ccc(C)s2)cn1 ZINC000050539816 172171775 /nfs/dbraw/zinc/17/17/75/172171775.db2.gz ARVJKNNLYFABBS-UHFFFAOYSA-N 0 3 235.356 2.563 20 0 BFADHN CCn1cc(CNCc2ccc(C)cc2C)cn1 ZINC000264825753 172172239 /nfs/dbraw/zinc/17/22/39/172172239.db2.gz HCWNOYXUJRECJJ-UHFFFAOYSA-N 0 3 243.354 2.810 20 0 BFADHN CCn1cc([C@@H](C)NC[C@@H](C)C(F)(F)F)cn1 ZINC000359971174 172193360 /nfs/dbraw/zinc/19/33/60/172193360.db2.gz PONVUOYEIHYNFX-RKDXNWHRSA-N 0 3 249.280 2.752 20 0 BFADHN CCn1cc([C@H](C)NCCC(C)(F)F)cn1 ZINC000294250958 172196442 /nfs/dbraw/zinc/19/64/42/172196442.db2.gz PYWUXCARKRYDFR-VIFPVBQESA-N 0 3 231.290 2.599 20 0 BFADHN CCn1ccnc1CN1CCC[C@@H]1CC(C)C ZINC000341812772 172221031 /nfs/dbraw/zinc/22/10/31/172221031.db2.gz ZNGHJBIFSQIXIX-CYBMUJFWSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@H](C)C1 ZINC000051921447 172224694 /nfs/dbraw/zinc/22/46/94/172224694.db2.gz ZDDIQSQSKMMFSR-NWDGAFQWSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1nc(C)c(CN2CCC[C@H]2C)c1C ZINC000124327794 172245535 /nfs/dbraw/zinc/24/55/35/172245535.db2.gz AWNRYOGZQHHAPN-SNVBAGLBSA-N 0 3 221.348 2.504 20 0 BFADHN CCn1nc(C)c([C@H](C)N[C@@H]2CC2(C)C)c1C ZINC000045172918 172248633 /nfs/dbraw/zinc/24/86/33/172248633.db2.gz NQJYKQRDHMMTGF-JOYOIKCWSA-N 0 3 235.375 2.969 20 0 BFADHN CCn1nc(CNCCCCF)c2ccccc21 ZINC000310720961 172249747 /nfs/dbraw/zinc/24/97/47/172249747.db2.gz AINCYMZVEAPDPW-UHFFFAOYSA-N 0 3 249.333 2.896 20 0 BFADHN CCn1nc(CN[C@H]2CC2(C)C)c2ccccc21 ZINC000089174302 172250028 /nfs/dbraw/zinc/25/00/28/172250028.db2.gz TXXCKYRSCGWYPD-AWEZNQCLSA-N 0 3 243.354 2.944 20 0 BFADHN CCn1nccc1CN(C)C(C)(C)CC ZINC000271201148 172263275 /nfs/dbraw/zinc/26/32/75/172263275.db2.gz UDEDBKVZFXYDLU-UHFFFAOYSA-N 0 3 209.337 2.523 20 0 BFADHN CCn1nccc1CN1CCC[C@H]1C(C)(C)C ZINC000353446682 172265496 /nfs/dbraw/zinc/26/54/96/172265496.db2.gz KASJIRLBVLYZJQ-ZDUSSCGKSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1nccc1CN1CC[C@](C)(CC)C1 ZINC000354072322 172268358 /nfs/dbraw/zinc/26/83/58/172268358.db2.gz GTJIBIKLWCQVTF-ZDUSSCGKSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1nccc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000126862348 172268682 /nfs/dbraw/zinc/26/86/82/172268682.db2.gz HOFVJODTSRWDQJ-BETUJISGSA-N 0 3 233.359 2.525 20 0 BFADHN CCn1nccc1CN[C@H]1CCCC(F)(F)C1 ZINC000338198609 172273383 /nfs/dbraw/zinc/27/33/83/172273383.db2.gz UAZICCVSSCZQSJ-JTQLQIEISA-N 0 3 243.301 2.571 20 0 BFADHN CNCc1cccc(NC(=O)C2(C)CCC2)c1 ZINC000297211629 173389374 /nfs/dbraw/zinc/38/93/74/173389374.db2.gz NLKFTBGKAGZZSW-UHFFFAOYSA-N 0 3 232.327 2.535 20 0 BFADHN CNCc1nc(-c2ccccc2C)cs1 ZINC000003711050 173394742 /nfs/dbraw/zinc/39/47/42/173394742.db2.gz QDDIHAXPJGBCSF-UHFFFAOYSA-N 0 3 218.325 2.838 20 0 BFADHN COC(=O)c1cc(CN[C@H](C)C(C)C)oc1C ZINC000034994101 173856148 /nfs/dbraw/zinc/85/61/48/173856148.db2.gz SDNTWBIKMUCDKS-SECBINFHSA-N 0 3 239.315 2.509 20 0 BFADHN COC1(CN[C@@H](C)c2ccncc2)CCCC1 ZINC000297430436 174003475 /nfs/dbraw/zinc/00/34/75/174003475.db2.gz VEZKHHPYTSSONM-LBPRGKRZSA-N 0 3 234.343 2.691 20 0 BFADHN COC1(CN[C@H](C)c2cncc(C)c2)CCCC1 ZINC000297232674 174004660 /nfs/dbraw/zinc/00/46/60/174004660.db2.gz HYSIJEZXFQQCCQ-CYBMUJFWSA-N 0 3 248.370 3.000 20 0 BFADHN COC1(CN[C@H](C)c2cccc(O)c2)CCC1 ZINC000193851205 174004993 /nfs/dbraw/zinc/00/49/93/174004993.db2.gz NZJVFMMINYOYDI-LLVKDONJSA-N 0 3 235.327 2.612 20 0 BFADHN COC1(CN[C@H](C)c2ccco2)CCCC1 ZINC000297372585 174005260 /nfs/dbraw/zinc/00/52/60/174005260.db2.gz QOOUCBUUWXJSSB-LLVKDONJSA-N 0 3 223.316 2.889 20 0 BFADHN COC1CCC(N[C@@H]2COc3ccccc32)CC1 ZINC000130065810 174023643 /nfs/dbraw/zinc/02/36/43/174023643.db2.gz ZDLPGRVGFCUXCA-ORHYLEIMSA-N 0 3 247.338 2.667 20 0 BFADHN COC1CCN(C/C=C/c2ccccc2)CC1 ZINC000284433794 174028972 /nfs/dbraw/zinc/02/89/72/174028972.db2.gz SEMSWHLQNROVRS-VMPITWQZSA-N 0 3 231.339 2.811 20 0 BFADHN COCC(C)(C)CCCNCc1ccccn1 ZINC000289928690 174068808 /nfs/dbraw/zinc/06/88/08/174068808.db2.gz AHVKFIVBJANXQT-UHFFFAOYSA-N 0 3 236.359 2.624 20 0 BFADHN COCC(C)(C)CNCc1cc(F)cc(F)c1 ZINC000276983770 174073395 /nfs/dbraw/zinc/07/33/95/174073395.db2.gz SZENJWBVAXHFBA-UHFFFAOYSA-N 0 3 243.297 2.727 20 0 BFADHN COCC(C)(C)CN[C@H](C)c1csc(C)n1 ZINC000293877151 174076785 /nfs/dbraw/zinc/07/67/85/174076785.db2.gz YMUZRZPXFDENTP-SECBINFHSA-N 0 3 242.388 2.775 20 0 BFADHN COCC(C)(C)N(C)Cc1cccc(Cl)n1 ZINC000292037681 174078265 /nfs/dbraw/zinc/07/82/65/174078265.db2.gz ADUDYKNVEABRDQ-UHFFFAOYSA-N 0 3 242.750 2.592 20 0 BFADHN COCC(C)(C)N(C)Cc1sccc1OC ZINC000292844650 174079113 /nfs/dbraw/zinc/07/91/13/174079113.db2.gz SOXJHWYJCIBRNH-UHFFFAOYSA-N 0 3 243.372 2.614 20 0 BFADHN COCC(C)(C)N(C)Cc1sc(C)nc1C ZINC000292083438 174080303 /nfs/dbraw/zinc/08/03/03/174080303.db2.gz FZWVQWQKXMHCGP-UHFFFAOYSA-N 0 3 242.388 2.617 20 0 BFADHN COCC(C)(C)NCc1ccccc1SC ZINC000309602946 174082720 /nfs/dbraw/zinc/08/27/20/174082720.db2.gz XZYUAAXTOUHBPV-UHFFFAOYSA-N 0 3 239.384 2.923 20 0 BFADHN COCC1(C)CCN([C@@H](C)c2ccccn2)CC1 ZINC000358752394 174092833 /nfs/dbraw/zinc/09/28/33/174092833.db2.gz PLNWUEHXINRUCL-ZDUSSCGKSA-N 0 3 248.370 2.891 20 0 BFADHN COCC1(CNCc2ccco2)CCCCC1 ZINC000289551227 174102875 /nfs/dbraw/zinc/10/28/75/174102875.db2.gz KHRCSSGDHTXDOP-UHFFFAOYSA-N 0 3 237.343 2.966 20 0 BFADHN COCC1(CN[C@@H](C)c2cc(C)ccn2)CCC1 ZINC000287692537 174104732 /nfs/dbraw/zinc/10/47/32/174104732.db2.gz WUBHKRWNFDREAS-ZDUSSCGKSA-N 0 3 248.370 2.857 20 0 BFADHN COCC1(NCc2cc(F)ccc2C)CCC1 ZINC000283954641 174109554 /nfs/dbraw/zinc/10/95/54/174109554.db2.gz KIKJKBBMJSXZSL-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN COCC1(NCc2ccc(F)c(F)c2)CCC1 ZINC000283851785 174110054 /nfs/dbraw/zinc/11/00/54/174110054.db2.gz FWHPXYJNGIPALC-UHFFFAOYSA-N 0 3 241.281 2.624 20 0 BFADHN COCC1=CCN(Cc2csc(C)c2)CC1 ZINC000295438978 174115994 /nfs/dbraw/zinc/11/59/94/174115994.db2.gz PHDTZHRDUYPEQW-UHFFFAOYSA-N 0 3 237.368 2.835 20 0 BFADHN COCC1=CCN([C@@H]2C[C@@H]2c2ccccc2)CC1 ZINC000361394588 174116081 /nfs/dbraw/zinc/11/60/81/174116081.db2.gz FZGOBHXCXTVQTO-HZPDHXFCSA-N 0 3 243.350 2.821 20 0 BFADHN COCC1CCN([C@@H](C)c2ccccn2)CC1 ZINC000267332649 174120483 /nfs/dbraw/zinc/12/04/83/174120483.db2.gz AIFMLAUSNRFPCI-LBPRGKRZSA-N 0 3 234.343 2.501 20 0 BFADHN COCC1CCN(Cc2ccccc2OC)CC1 ZINC000093103752 174120810 /nfs/dbraw/zinc/12/08/10/174120810.db2.gz HEKSXBPVZFWCPO-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN COCCC(C)(C)CN[C@@H](C)c1ccco1 ZINC000135014582 174143033 /nfs/dbraw/zinc/14/30/33/174143033.db2.gz FXGFVZBQSKHYKA-NSHDSACASA-N 0 3 225.332 2.993 20 0 BFADHN COCCC(C)(C)CN[C@@H](C)c1ccccn1 ZINC000183929905 174143931 /nfs/dbraw/zinc/14/39/31/174143931.db2.gz JADRNKBTAMHAHY-LBPRGKRZSA-N 0 3 236.359 2.795 20 0 BFADHN COCCC1(C)CN(Cc2sccc2C)C1 ZINC000297441287 174153228 /nfs/dbraw/zinc/15/32/28/174153228.db2.gz PNZOZXAZVBCXRU-UHFFFAOYSA-N 0 3 239.384 2.915 20 0 BFADHN COCCCCCN(C)[C@H](C)c1ccccn1 ZINC000128070649 174175916 /nfs/dbraw/zinc/17/59/16/174175916.db2.gz QZEHBKLIJKFICD-CYBMUJFWSA-N 0 3 236.359 2.891 20 0 BFADHN COCCCCCN(Cc1ccncc1)C1CC1 ZINC000124900644 174177063 /nfs/dbraw/zinc/17/70/63/174177063.db2.gz GHVYMXHWHJFLAP-UHFFFAOYSA-N 0 3 248.370 2.863 20 0 BFADHN COCCCCN[C@H](C)c1cccnc1Cl ZINC000166616773 174203620 /nfs/dbraw/zinc/20/36/20/174203620.db2.gz PNQLBUKSJFPMJB-SNVBAGLBSA-N 0 3 242.750 2.812 20 0 BFADHN COCCCN(C)Cc1ccc(SC)s1 ZINC000356088516 174218815 /nfs/dbraw/zinc/21/88/15/174218815.db2.gz PETPILNRYSYMOQ-UHFFFAOYSA-N 0 3 245.413 2.938 20 0 BFADHN COCCCN(C)Cc1ccc(Cl)s1 ZINC000051232916 174218826 /nfs/dbraw/zinc/21/88/26/174218826.db2.gz MSJNBKIZGHQZIZ-UHFFFAOYSA-N 0 3 233.764 2.870 20 0 BFADHN COCCCN(Cc1ccco1)C(C)C ZINC000299341757 174226333 /nfs/dbraw/zinc/22/63/33/174226333.db2.gz FCBQNZQXHUWSJL-UHFFFAOYSA-N 0 3 211.305 2.527 20 0 BFADHN COCCCN(Cc1ccc(F)cc1)C1CC1 ZINC000298703019 174227073 /nfs/dbraw/zinc/22/70/73/174227073.db2.gz KDQLYRAOLONYSO-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN COCCCN1Cc2ccccc2C2(CC2)C1 ZINC000373570720 174235074 /nfs/dbraw/zinc/23/50/74/174235074.db2.gz XKTJJJLTTFHJPP-UHFFFAOYSA-N 0 3 231.339 2.570 20 0 BFADHN COCCCN[C@@H](C)c1cc(F)cc(F)c1 ZINC000087282614 174249528 /nfs/dbraw/zinc/24/95/28/174249528.db2.gz UNWDVCUAXCGPPG-VIFPVBQESA-N 0 3 229.270 2.652 20 0 BFADHN COCCCN[C@H](C)c1ccccc1F ZINC000020142379 174250305 /nfs/dbraw/zinc/25/03/05/174250305.db2.gz TVQWGXYAWDBLDI-SNVBAGLBSA-N 0 3 211.280 2.513 20 0 BFADHN COCCCN[C@@H]1CCc2c1c(F)ccc2F ZINC000269844403 174250677 /nfs/dbraw/zinc/25/06/77/174250677.db2.gz OBZSIGRASSAESP-GFCCVEGCSA-N 0 3 241.281 2.578 20 0 BFADHN COCCCN[C@H](c1nc(C)cs1)C1CC1 ZINC000181613432 174251068 /nfs/dbraw/zinc/25/10/68/174251068.db2.gz XSQIOZSNEHMZNA-NSHDSACASA-N 0 3 240.372 2.529 20 0 BFADHN COCCC[C@@H](C)N[C@@H](C)c1ccncc1F ZINC000338014662 174261179 /nfs/dbraw/zinc/26/11/79/174261179.db2.gz WEEZPVDCBWDGCN-MNOVXSKESA-N 0 3 240.322 2.686 20 0 BFADHN COCCC[C@H](C)N[C@@H]1COc2ccc(C)cc21 ZINC000367556355 174263926 /nfs/dbraw/zinc/26/39/26/174263926.db2.gz HUQBXHOOUAZNHT-GXTWGEPZSA-N 0 3 249.354 2.833 20 0 BFADHN COCCN(C)[C@H]1CCCC[C@@H]1C(F)(F)F ZINC000361579328 174283655 /nfs/dbraw/zinc/28/36/55/174283655.db2.gz VLEDLNKGISRXRG-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN COCCN(CCC(C)C)Cc1ccco1 ZINC000078302887 174289732 /nfs/dbraw/zinc/28/97/32/174289732.db2.gz AYILGWJATANFND-UHFFFAOYSA-N 0 3 225.332 2.774 20 0 BFADHN COCCN(Cc1cccs1)C(C)C ZINC000272560591 174300458 /nfs/dbraw/zinc/30/04/58/174300458.db2.gz GRVIABGGNDFJMH-UHFFFAOYSA-N 0 3 213.346 2.605 20 0 BFADHN COCCN(Cc1cccnc1)[C@@H]1CC[C@@H](C)C1 ZINC000276788656 174301432 /nfs/dbraw/zinc/30/14/32/174301432.db2.gz KRPOHHCALHNJGL-UKRRQHHQSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN1[C@H](C)c2ccc(OC)cc2C[C@@H]1C ZINC000359536694 174318625 /nfs/dbraw/zinc/31/86/25/174318625.db2.gz KNEDOQZNYRWGKB-NWDGAFQWSA-N 0 3 249.354 2.649 20 0 BFADHN COCCN1[C@H](C)Cc2cc(OC)ccc2[C@H]1C ZINC000359536696 174319339 /nfs/dbraw/zinc/31/93/39/174319339.db2.gz KNEDOQZNYRWGKB-VXGBXAGGSA-N 0 3 249.354 2.649 20 0 BFADHN COCCN[C@@H](C)c1cc2cccc(F)c2o1 ZINC000355723223 174338163 /nfs/dbraw/zinc/33/81/63/174338163.db2.gz FROFDPYVXBQOQK-VIFPVBQESA-N 0 3 237.274 2.869 20 0 BFADHN COCCN[C@H](c1cc(F)ccc1F)C(C)C ZINC000358952309 174339922 /nfs/dbraw/zinc/33/99/22/174339922.db2.gz YWFLXUWTWKPARS-ZDUSSCGKSA-N 0 3 243.297 2.898 20 0 BFADHN COCCOc1cc(C)ccc1CNC(C)C ZINC000125753768 174367930 /nfs/dbraw/zinc/36/79/30/174367930.db2.gz SHTFLMZLTIZKCI-UHFFFAOYSA-N 0 3 237.343 2.518 20 0 BFADHN COCC[C@@H](C)NCc1cccc(C)c1F ZINC000294995337 174383959 /nfs/dbraw/zinc/38/39/59/174383959.db2.gz HWYTYNANEWPRHJ-LLVKDONJSA-N 0 3 225.307 2.649 20 0 BFADHN COCC[C@@H](C)NCc1ccccc1Cl ZINC000045017578 174383961 /nfs/dbraw/zinc/38/39/61/174383961.db2.gz UPXRYVKZSWMVBW-SNVBAGLBSA-N 0 3 227.735 2.855 20 0 BFADHN COCC[C@@H](C)NCc1sccc1Cl ZINC000193073788 174384341 /nfs/dbraw/zinc/38/43/41/174384341.db2.gz XYNWQFRZNBWBDV-MRVPVSSYSA-N 0 3 233.764 2.916 20 0 BFADHN COCC[C@@H](C)NCc1c(F)cccc1Cl ZINC000081705218 174384585 /nfs/dbraw/zinc/38/45/85/174384585.db2.gz FKKGRGNEUFNACU-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN COCC[C@@H](NCCC1CC1)c1ccco1 ZINC000309648934 174393733 /nfs/dbraw/zinc/39/37/33/174393733.db2.gz NITQLCKXVAHJQO-GFCCVEGCSA-N 0 3 223.316 2.747 20 0 BFADHN COCC[C@@H](NCc1conc1C)C(C)(C)C ZINC000339392136 174393997 /nfs/dbraw/zinc/39/39/97/174393997.db2.gz JBDQIBKNYWHRKJ-GFCCVEGCSA-N 0 3 240.347 2.524 20 0 BFADHN COC[C@@H](C)NCc1ccccc1OCC1CC1 ZINC000174120096 174458202 /nfs/dbraw/zinc/45/82/02/174458202.db2.gz DNHBTULPBQMSII-GFCCVEGCSA-N 0 3 249.354 2.600 20 0 BFADHN COC[C@H](C)CNCc1ccc(Cl)cc1F ZINC000162961858 174533144 /nfs/dbraw/zinc/53/31/44/174533144.db2.gz GCCOZZWCVVSXPT-SECBINFHSA-N 0 3 245.725 2.851 20 0 BFADHN CCc1cccc(CN[C@H]2CO[C@H](C3CC3)C2)c1 ZINC000668290616 487628639 /nfs/dbraw/zinc/62/86/39/487628639.db2.gz UODZTZFSQQTAQT-CVEARBPZSA-N 0 3 245.366 2.906 20 0 BFADHN CO[C@@H](C)CCN[C@H](C)c1cc(C)oc1C ZINC000278906449 174691027 /nfs/dbraw/zinc/69/10/27/174691027.db2.gz ZTEZBRADGWJEFF-GXSJLCMTSA-N 0 3 225.332 2.972 20 0 BFADHN CO[C@@H](C)CNCc1cnc(C(C)(C)C)s1 ZINC000191206632 174705337 /nfs/dbraw/zinc/70/53/37/174705337.db2.gz QBBYJACWVINALB-VIFPVBQESA-N 0 3 242.388 2.565 20 0 BFADHN CCc1cccc(CN[C@H]2CO[C@@H](C3CC3)C2)c1 ZINC000668290618 487628823 /nfs/dbraw/zinc/62/88/23/487628823.db2.gz UODZTZFSQQTAQT-HZPDHXFCSA-N 0 3 245.366 2.906 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1ccncc1 ZINC000160949854 174740249 /nfs/dbraw/zinc/74/02/49/174740249.db2.gz BHIREQXKYNJDLL-FPMFFAJLSA-N 0 3 234.343 2.690 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc(Cl)cc1F ZINC000275311646 174843284 /nfs/dbraw/zinc/84/32/84/174843284.db2.gz JMEPQLHFBZUWPW-RKDXNWHRSA-N 0 3 245.725 2.992 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc(Cl)cc1 ZINC000132058809 174843530 /nfs/dbraw/zinc/84/35/30/174843530.db2.gz OGZAWVREMQJVCI-NXEZZACHSA-N 0 3 227.735 2.853 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1cccc(F)c1 ZINC000131906439 174850246 /nfs/dbraw/zinc/85/02/46/174850246.db2.gz FKLXQMQOLFATLJ-GARJFASQSA-N 0 3 225.307 2.900 20 0 BFADHN CO[C@H](CN[C@@H]1CCCc2occc21)C(C)C ZINC000278636951 174868395 /nfs/dbraw/zinc/86/83/95/174868395.db2.gz HHDUTQQXXRNWQI-TZMCWYRMSA-N 0 3 237.343 2.918 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2cncc(C)c2)C1(C)C ZINC000271596248 174916042 /nfs/dbraw/zinc/91/60/42/174916042.db2.gz YYPNSKHIFNVSBV-DZGCQCFKSA-N 0 3 248.370 2.683 20 0 BFADHN COc1cc([C@H](C)N[C@H](C)C(C)C)c(F)cn1 ZINC000287076629 175016107 /nfs/dbraw/zinc/01/61/07/175016107.db2.gz VANAFYLMSGXMIN-ZJUUUORDSA-N 0 3 240.322 2.924 20 0 BFADHN COc1ccc(C(=O)CCN2CCC[C@@H]2C)cc1 ZINC000286461997 175053714 /nfs/dbraw/zinc/05/37/14/175053714.db2.gz ORYIHKFKVOWVAN-LBPRGKRZSA-N 0 3 247.338 2.752 20 0 BFADHN COc1ccc(C)cc1CNCC[C@H](C)OC ZINC000336653783 175076109 /nfs/dbraw/zinc/07/61/09/175076109.db2.gz GLTWPULKGZDRRX-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN COc1ccc(C)cc1[C@H](C)NC[C@H](C)OC ZINC000182421403 175081032 /nfs/dbraw/zinc/08/10/32/175081032.db2.gz LNLFYFGZEQEIME-RYUDHWBXSA-N 0 3 237.343 2.689 20 0 BFADHN COc1ccc(CC[C@@H](C)N[C@@H](C)CF)cc1 ZINC000289224080 175093072 /nfs/dbraw/zinc/09/30/72/175093072.db2.gz AIBWJSJPPLECRM-NEPJUHHUSA-N 0 3 239.334 2.964 20 0 BFADHN COc1ccc(CN2CCC(C)(C)C2)cc1OC ZINC000093339902 175098085 /nfs/dbraw/zinc/09/80/85/175098085.db2.gz SKCFGWSUFODKGY-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN COc1ccc(CN2CC(C)(C)[C@H]2C(C)C)cn1 ZINC000353747105 175098374 /nfs/dbraw/zinc/09/83/74/175098374.db2.gz NNOIBUSFNSXDOZ-CQSZACIVSA-N 0 3 248.370 2.957 20 0 BFADHN COc1ccc(CN2CC[C@@H](C(C)(C)C)C2)nc1 ZINC000338289582 175101448 /nfs/dbraw/zinc/10/14/48/175101448.db2.gz IXSUFPMEAUKHPS-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C[C@H]2C)nc1 ZINC000271994440 175101889 /nfs/dbraw/zinc/10/18/89/175101889.db2.gz QMJJVXGOKOAGJY-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc(CN2CC[C@@H](C3CC3)C2)cc1O ZINC000378346295 175102597 /nfs/dbraw/zinc/10/25/97/175102597.db2.gz FBLIAVYKWMYAPW-CYBMUJFWSA-N 0 3 247.338 2.633 20 0 BFADHN COc1ccc(CNC2CC2)c(Cl)c1OC ZINC000082491238 175105777 /nfs/dbraw/zinc/10/57/77/175105777.db2.gz AUVPOJQXVFFURO-UHFFFAOYSA-N 0 3 241.718 2.609 20 0 BFADHN COc1ccc(CNC2CC2)c(OC(F)F)c1 ZINC000040904407 175106035 /nfs/dbraw/zinc/10/60/35/175106035.db2.gz UZUROJRBIHYYLR-UHFFFAOYSA-N 0 3 243.253 2.549 20 0 BFADHN COc1ccc(CN[C@H](C)COC(C)C)cc1 ZINC000337339082 175111334 /nfs/dbraw/zinc/11/13/34/175111334.db2.gz OKFFCFKEPJITQZ-GFCCVEGCSA-N 0 3 237.343 2.598 20 0 BFADHN COc1ccc(C[C@H](C)N[C@H](C)CF)cc1 ZINC000290245933 175116832 /nfs/dbraw/zinc/11/68/32/175116832.db2.gz VEHKFOXTDYOXDL-WDEREUQCSA-N 0 3 225.307 2.574 20 0 BFADHN COc1ccc(F)c(CN2CC[C@@H](C)C2)c1 ZINC000295321411 175124003 /nfs/dbraw/zinc/12/40/03/175124003.db2.gz LJULBAUHBKYVQB-SNVBAGLBSA-N 0 3 223.291 2.676 20 0 BFADHN COc1ccc(F)c(CN2CCC[C@H]2C)c1 ZINC000295399893 175124755 /nfs/dbraw/zinc/12/47/55/175124755.db2.gz QQKHEUIWDHONMZ-SNVBAGLBSA-N 0 3 223.291 2.819 20 0 BFADHN COc1ccc(F)cc1CNCCCSC ZINC000291141510 175130077 /nfs/dbraw/zinc/13/00/77/175130077.db2.gz GCRQNHCYPUPZGG-UHFFFAOYSA-N 0 3 243.347 2.677 20 0 BFADHN COc1ccc(F)cc1[C@@H](C)NC[C@H](C)OC ZINC000182426921 175132347 /nfs/dbraw/zinc/13/23/47/175132347.db2.gz OSFCJAVZYUKAPF-VHSXEESVSA-N 0 3 241.306 2.520 20 0 BFADHN COc1ccc(OC(F)F)c(CNC(C)C)c1 ZINC000034656145 175162541 /nfs/dbraw/zinc/16/25/41/175162541.db2.gz ADGIVPPDIYKPKS-UHFFFAOYSA-N 0 3 245.269 2.795 20 0 BFADHN COc1ccc(OC)c(CNCCCCF)c1 ZINC000310304430 175165126 /nfs/dbraw/zinc/16/51/26/175165126.db2.gz VBECDLQEMMSFTA-UHFFFAOYSA-N 0 3 241.306 2.543 20 0 BFADHN COc1ccc(OC)c(CN(C)[C@@H](C)C2CC2)c1 ZINC000121047732 175165527 /nfs/dbraw/zinc/16/55/27/175165527.db2.gz JRLVKZJYZGWJNC-NSHDSACASA-N 0 3 249.354 2.934 20 0 BFADHN COc1ccc(OC)c([C@@H](C)NCCCF)c1 ZINC000136283445 175166881 /nfs/dbraw/zinc/16/68/81/175166881.db2.gz FAQAYJNMNZLZAK-SNVBAGLBSA-N 0 3 241.306 2.714 20 0 BFADHN COc1ccc([C@@H](C)N[C@@H](C)c2cn[nH]c2)cc1 ZINC000222512192 175176832 /nfs/dbraw/zinc/17/68/32/175176832.db2.gz ZYFBHFXTLQLBEK-MNOVXSKESA-N 0 3 245.326 2.830 20 0 BFADHN COc1ccc([C@@H](C)NCc2cccn2C)cc1 ZINC000084224801 175176910 /nfs/dbraw/zinc/17/69/10/175176910.db2.gz CQCIADBKRQJFDX-GFCCVEGCSA-N 0 3 244.338 2.885 20 0 BFADHN COc1ccc([C@H](C)NC[C@@H]2CC[C@@H](C)O2)cc1 ZINC000128517305 175188656 /nfs/dbraw/zinc/18/86/56/175188656.db2.gz HDCPZFHEMPAPSH-XUJVJEKNSA-N 0 3 249.354 2.913 20 0 BFADHN COc1cccc(Cl)c1CNC(C)C ZINC000034825781 175273805 /nfs/dbraw/zinc/27/38/05/175273805.db2.gz NMTRAEOQMQCYTO-UHFFFAOYSA-N 0 3 213.708 2.847 20 0 BFADHN COc1ccc2c(c1)[C@@H](NC1CC1)CCCO2 ZINC000035652779 175214030 /nfs/dbraw/zinc/21/40/30/175214030.db2.gz HRHCHYSZECKELJ-ZDUSSCGKSA-N 0 3 233.311 2.661 20 0 BFADHN COc1ccc2c(c1)[C@@H](NC1CC1)CCS2 ZINC000035760665 175214147 /nfs/dbraw/zinc/21/41/47/175214147.db2.gz VCVSVHLDNAQUSN-LBPRGKRZSA-N 0 3 235.352 2.984 20 0 BFADHN COc1ccc2c(c1)[C@H](NCCOC(C)C)CC2 ZINC000168888322 175216367 /nfs/dbraw/zinc/21/63/67/175216367.db2.gz WEEPKAVAYJXQRX-OAHLLOKOSA-N 0 3 249.354 2.697 20 0 BFADHN COc1ccc2c(c1)[C@H](NCCF)CCC2 ZINC000281108240 175216571 /nfs/dbraw/zinc/21/65/71/175216571.db2.gz RKIUFXIIIDMUCP-CYBMUJFWSA-N 0 3 223.291 2.632 20 0 BFADHN COc1cccc(CN(C)[C@@H](C)C(C)C)n1 ZINC000264811182 175254914 /nfs/dbraw/zinc/25/49/14/175254914.db2.gz KFDYKQRPSPETRT-NSHDSACASA-N 0 3 222.332 2.567 20 0 BFADHN COc1cccc(CN(C)[C@H](C)C2CCC2)n1 ZINC000357023743 175256069 /nfs/dbraw/zinc/25/60/69/175256069.db2.gz LULBINPINVADRO-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cccc(CN2CC3(CCC3)C[C@@H]2C)n1 ZINC000365445379 175256591 /nfs/dbraw/zinc/25/65/91/175256591.db2.gz VQYRIWHBWDFOJP-LBPRGKRZSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cccc(CN2CC[C@@H](CC3CC3)C2)n1 ZINC000339683141 175260161 /nfs/dbraw/zinc/26/01/61/175260161.db2.gz MVFJMWLZKBGCPB-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cccc(CN2CC[C@@H](OC)C[C@H]2C)c1 ZINC000281446999 175260716 /nfs/dbraw/zinc/26/07/16/175260716.db2.gz ACBJBHZFKRSMFV-IUODEOHRSA-N 0 3 249.354 2.695 20 0 BFADHN COc1cccc(CNC2CC(F)(F)C2)c1 ZINC000309906660 175263538 /nfs/dbraw/zinc/26/35/38/175263538.db2.gz KOWAFUBRMISITO-UHFFFAOYSA-N 0 3 227.254 2.583 20 0 BFADHN COc1cccc(CNC[C@H](C)C(F)(F)F)c1 ZINC000359133524 175264409 /nfs/dbraw/zinc/26/44/09/175264409.db2.gz XAEYRFICLYWILL-VIFPVBQESA-N 0 3 247.260 2.983 20 0 BFADHN COc1cccc(CNCCCC(F)(F)F)n1 ZINC000149107322 175264661 /nfs/dbraw/zinc/26/46/61/175264661.db2.gz HPXPUERTTMDYBI-UHFFFAOYSA-N 0 3 248.248 2.522 20 0 BFADHN COc1cccc(CNCCCCF)c1OC ZINC000310322339 175264960 /nfs/dbraw/zinc/26/49/60/175264960.db2.gz ZDMQJUSVDHAJHO-UHFFFAOYSA-N 0 3 241.306 2.543 20 0 BFADHN COc1cccc(CN[C@H]2CC[C@H]2SC)c1 ZINC000309892883 175267702 /nfs/dbraw/zinc/26/77/02/175267702.db2.gz DIKCXKZRJVKBLT-QWHCGFSZSA-N 0 3 237.368 2.679 20 0 BFADHN COc1cccc(NC(=O)C(C)C(F)(F)F)c1 ZINC000361432724 175277636 /nfs/dbraw/zinc/27/76/36/175277636.db2.gz SZHJJKSFOJQCSS-SSDOTTSWSA-N 0 3 247.216 2.832 20 0 BFADHN COc1cccc(OC)c1CN[C@H]1CC1(C)C ZINC000285562213 175281463 /nfs/dbraw/zinc/28/14/63/175281463.db2.gz VLSQGLUQNKMBAR-ZDUSSCGKSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc(OC)c1CNCCC(C)C ZINC000083365138 175282048 /nfs/dbraw/zinc/28/20/48/175282048.db2.gz DMFNQYCWMMQPQC-UHFFFAOYSA-N 0 3 237.343 2.840 20 0 BFADHN COc1cccc([C@@H](C)NCc2cccnc2)c1 ZINC000020140884 175286211 /nfs/dbraw/zinc/28/62/11/175286211.db2.gz XGHIVGYCGTUIDM-GFCCVEGCSA-N 0 3 242.322 2.941 20 0 BFADHN COc1cccc([C@H](C)N(C)C[C@@H]2CCCO2)c1 ZINC000123028418 175292757 /nfs/dbraw/zinc/29/27/57/175292757.db2.gz ZBBRTQRKURLNPF-WFASDCNBSA-N 0 3 249.354 2.867 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2C[C@@H](O)C2(C)C)c1 ZINC000164055829 175293194 /nfs/dbraw/zinc/29/31/94/175293194.db2.gz NGBUDVRRPSMDBK-ZLKJLUDKSA-N 0 3 249.354 2.505 20 0 BFADHN COc1cccc([C@H](C)N[C@H]2CCO[C@H]2C)c1 ZINC000120349979 175293365 /nfs/dbraw/zinc/29/33/65/175293365.db2.gz MLYOAUDXSKMBEP-MJVIPROJSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccsc1CN1CCC[C@H]1C ZINC000336325630 175377893 /nfs/dbraw/zinc/37/78/93/175377893.db2.gz LREYAUXUGWZZFA-SECBINFHSA-N 0 3 211.330 2.741 20 0 BFADHN COc1ccccc1C(C)(C)NC[C@@H]1CCCO1 ZINC000264068083 175320082 /nfs/dbraw/zinc/32/00/82/175320082.db2.gz AEHKQBMEMVIANI-LBPRGKRZSA-N 0 3 249.354 2.699 20 0 BFADHN COc1ccccc1CN(C)CC1CC1 ZINC000155472433 175324003 /nfs/dbraw/zinc/32/40/03/175324003.db2.gz NQNOZZSZFRDXFN-UHFFFAOYSA-N 0 3 205.301 2.537 20 0 BFADHN COc1ccccc1CN(C)C1CCC1 ZINC000120531518 175324207 /nfs/dbraw/zinc/32/42/07/175324207.db2.gz WGAZWZVBNFONBY-UHFFFAOYSA-N 0 3 205.301 2.680 20 0 BFADHN COc1ccccc1CN(C)C[C@@H]1CCCOC1 ZINC000121803940 175324266 /nfs/dbraw/zinc/32/42/66/175324266.db2.gz VJNJWUGULNDJSN-ZDUSSCGKSA-N 0 3 249.354 2.554 20 0 BFADHN COc1ccccc1CN[C@H](C)CSC ZINC000124998700 175330732 /nfs/dbraw/zinc/33/07/32/175330732.db2.gz NLOJVYRRTKGDDI-SNVBAGLBSA-N 0 3 225.357 2.536 20 0 BFADHN COc1ccccc1[C@@H](C)NCCC[C@@H](C)O ZINC000228043867 175344668 /nfs/dbraw/zinc/34/46/68/175344668.db2.gz CFCNVQACHPEFSV-VXGBXAGGSA-N 0 3 237.343 2.507 20 0 BFADHN COc1ccccc1[C@@H](C)NCC[C@@H](C)OC ZINC000268893084 175344730 /nfs/dbraw/zinc/34/47/30/175344730.db2.gz ZHDGJUKUFRURGU-VXGBXAGGSA-N 0 3 237.343 2.771 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1CCCOC1 ZINC000109543792 175346211 /nfs/dbraw/zinc/34/62/11/175346211.db2.gz BBPPYHKBPFJJGD-NEPJUHHUSA-N 0 3 235.327 2.525 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1CCOC1(C)C ZINC000296589234 175346404 /nfs/dbraw/zinc/34/64/04/175346404.db2.gz UWTYXNYYIAXFEX-RISCZKNCSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccccc1[C@H](C)NC1CCC1 ZINC000044480219 175350612 /nfs/dbraw/zinc/35/06/12/175350612.db2.gz VUQBQBQVWPJNDF-JTQLQIEISA-N 0 3 205.301 2.898 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1CCCC[C@H]1O ZINC000149214565 175351537 /nfs/dbraw/zinc/35/15/37/175351537.db2.gz UITBIJHZHDGPMY-FPMFFAJLSA-N 0 3 249.354 2.649 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1CCSC1 ZINC000020096626 175351633 /nfs/dbraw/zinc/35/16/33/175351633.db2.gz VVLMELSPLHRZJQ-WDEREUQCSA-N 0 3 237.368 2.851 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H](C)CCCO ZINC000094941563 175352474 /nfs/dbraw/zinc/35/24/74/175352474.db2.gz RWUCGBGTCLXPQS-RYUDHWBXSA-N 0 3 237.343 2.507 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H](C)[C@@H]1CCCO1 ZINC000020206469 175352624 /nfs/dbraw/zinc/35/26/24/175352624.db2.gz JDCJOYJUMCUMGC-SCRDCRAPSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccnc(CN2CC[C@@H](C)[C@H](C)C2)c1 ZINC000271060487 175358833 /nfs/dbraw/zinc/35/88/33/175358833.db2.gz SGWMYRIJBMMKPV-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccnc(CN2C[C@H](C)CC[C@H]2C)c1 ZINC000266532493 175360621 /nfs/dbraw/zinc/36/06/21/175360621.db2.gz GBQNSIIBQNWDBF-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN2C[C@H](C)CC2(C)C)c1 ZINC000267059726 175360659 /nfs/dbraw/zinc/36/06/59/175360659.db2.gz SWSTZHIMIIBITO-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CN1[C@H](C)CCC[C@H]1C ZINC000287307755 175369902 /nfs/dbraw/zinc/36/99/02/175369902.db2.gz MWPVYYVGHLDHLQ-VXGBXAGGSA-N 0 3 234.343 2.853 20 0 BFADHN COc1ccncc1CN1CC[C@H](C)[C@@H](C)C1 ZINC000287916588 175370127 /nfs/dbraw/zinc/37/01/27/175370127.db2.gz VVDTXWCUAMBRII-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccncc1CN1C[C@H](C)CC[C@@H]1C ZINC000287629883 175370569 /nfs/dbraw/zinc/37/05/69/175370569.db2.gz FQYGNDOHNMZINS-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CN[C@@H]1CC[C@H]1C1CCC1 ZINC000340403740 175372391 /nfs/dbraw/zinc/37/23/91/175372391.db2.gz QKUPQMPNTLVMNX-UONOGXRCSA-N 0 3 246.354 2.759 20 0 BFADHN COc1ccncc1CN[C@H]1CC[C@H]1C1CCC1 ZINC000340403738 175372698 /nfs/dbraw/zinc/37/26/98/175372698.db2.gz QKUPQMPNTLVMNX-KBPBESRZSA-N 0 3 246.354 2.759 20 0 BFADHN COc1ccncc1CN[C@H]1CCCC1(C)C ZINC000287964141 175373523 /nfs/dbraw/zinc/37/35/23/175373523.db2.gz YWPJNCOBAHMDNQ-ZDUSSCGKSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccsc1CNC[C@H](OC)C(C)C ZINC000336772778 175378729 /nfs/dbraw/zinc/37/87/29/175378729.db2.gz VSJDTFONEGQFKA-NSHDSACASA-N 0 3 243.372 2.517 20 0 BFADHN COc1ccsc1CN[C@H]1CCCSC1 ZINC000166726071 175379725 /nfs/dbraw/zinc/37/97/25/175379725.db2.gz VWKHVNGDKJRVNA-VIFPVBQESA-N 0 3 243.397 2.742 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@H]1CCCOC1 ZINC000274599075 175379904 /nfs/dbraw/zinc/37/99/04/175379904.db2.gz PGGFSVOULCEPIX-ZJUUUORDSA-N 0 3 241.356 2.586 20 0 BFADHN COc1cncc(CN(C)CC2CCCC2)c1 ZINC000290209560 175384124 /nfs/dbraw/zinc/38/41/24/175384124.db2.gz HGCHNNRGFVNKSK-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cncc(CN2CC3(CCC3)C[C@@H]2C)c1 ZINC000336580779 175384277 /nfs/dbraw/zinc/38/42/77/175384277.db2.gz HLYDZBUWRJPBBI-LBPRGKRZSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cncc(CN2CC[C@@H](C)[C@H](C)C2)c1 ZINC000290075701 175385113 /nfs/dbraw/zinc/38/51/13/175385113.db2.gz WIJBAGQFEDVEFE-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN COc1cncc(CN2CCC[C@H]2C(C)C)c1 ZINC000290257610 175385209 /nfs/dbraw/zinc/38/52/09/175385209.db2.gz KHWMUXXDMULDJN-AWEZNQCLSA-N 0 3 234.343 2.711 20 0 BFADHN CSC1(CNCc2cncs2)CCC1 ZINC000193650661 175452438 /nfs/dbraw/zinc/45/24/38/175452438.db2.gz MTIQRDUXAOZNAD-UHFFFAOYSA-N 0 3 228.386 2.518 20 0 BFADHN CSCCN[C@@H](c1nc(C)cs1)C1CC1 ZINC000183495895 175498839 /nfs/dbraw/zinc/49/88/39/175498839.db2.gz XSRWOKQNXZHDIT-SNVBAGLBSA-N 0 3 242.413 2.855 20 0 BFADHN C[C@@H](F)CCN[C@H](C)c1cncc(F)c1 ZINC000309014042 175790413 /nfs/dbraw/zinc/79/04/13/175790413.db2.gz SWQNSXKTGHMKDN-RKDXNWHRSA-N 0 3 214.259 2.619 20 0 BFADHN C[C@@H](NCCCOC(F)F)c1ccc(F)cn1 ZINC000338025540 175876495 /nfs/dbraw/zinc/87/64/95/175876495.db2.gz XMZLXPZWEDKHCF-MRVPVSSYSA-N 0 3 248.248 2.501 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1ccccc1N(C)C ZINC000179410977 175925299 /nfs/dbraw/zinc/92/52/99/175925299.db2.gz COCOTUAAZHJFSI-OLZOCXBDSA-N 0 3 248.370 2.582 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1C[C@@H](O)C(C)(C)C1 ZINC000336251251 176015135 /nfs/dbraw/zinc/01/51/35/176015135.db2.gz KDNITRXHROQWJM-GXFFZTMASA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)Cc1ccn(C)c1 ZINC000093315596 176018838 /nfs/dbraw/zinc/01/88/38/176018838.db2.gz FGCSPLHEYMSLEI-LBPRGKRZSA-N 0 3 244.338 2.924 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)C[C@H]1CC[C@@H](C)O1 ZINC000188548690 176019050 /nfs/dbraw/zinc/01/90/50/176019050.db2.gz POVKXFUZCNFPDY-TYNCELHUSA-N 0 3 249.354 2.953 20 0 BFADHN C[C@@H](c1ccccc1)N1CCCC2(C1)OCCO2 ZINC000134000529 176021174 /nfs/dbraw/zinc/02/11/74/176021174.db2.gz NNSNTVFNWQAQBF-ZDUSSCGKSA-N 0 3 247.338 2.587 20 0 BFADHN C[C@@H](c1ccccc1)N1C[C@@H](C)O[C@H](C)C1 ZINC000078485985 176022225 /nfs/dbraw/zinc/02/22/25/176022225.db2.gz AJNYOTCREFLUCF-UPJWGTAASA-N 0 3 219.328 2.857 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCc1cscn1 ZINC000295068022 176026635 /nfs/dbraw/zinc/02/66/35/176026635.db2.gz ZNAVYBGNXURWQP-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN C[C@@H](c1cccnc1)N1CCC(CCCO)CC1 ZINC000360087953 176029239 /nfs/dbraw/zinc/02/92/39/176029239.db2.gz ZDGKHIOUJFKJNO-ZDUSSCGKSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@@H](c1cccnc1)N1CCCOC(C)(C)C1 ZINC000377703365 176029476 /nfs/dbraw/zinc/02/94/76/176029476.db2.gz MJRMSMMSHMBRDQ-LBPRGKRZSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H](c1ccco1)N(C)CCc1ccccn1 ZINC000123005135 176032185 /nfs/dbraw/zinc/03/21/85/176032185.db2.gz OHHJOPDURBMTKZ-LBPRGKRZSA-N 0 3 230.311 2.910 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CC[C@@H]1CCCO1 ZINC000191048957 176033752 /nfs/dbraw/zinc/03/37/52/176033752.db2.gz WMYKFNBZGDJYIH-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCOCC1CCC1 ZINC000341673401 176034365 /nfs/dbraw/zinc/03/43/65/176034365.db2.gz IZTDHJZTJLOGLU-ZDUSSCGKSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCOC(C)(C)C ZINC000135489325 176034610 /nfs/dbraw/zinc/03/46/10/176034610.db2.gz RMSJNVRBIYWJLH-LBPRGKRZSA-N 0 3 236.359 2.890 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCOC1CCC1 ZINC000287600471 176034750 /nfs/dbraw/zinc/03/47/50/176034750.db2.gz DEHWEJUGLJAGHC-LBPRGKRZSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@H](C)C(C)(C)C1 ZINC000274489345 176037072 /nfs/dbraw/zinc/03/70/72/176037072.db2.gz SGDNWLVZTGHDAM-RYUDHWBXSA-N 0 3 233.359 2.906 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@@H](c2ccco2)C1 ZINC000368367000 176037271 /nfs/dbraw/zinc/03/72/71/176037271.db2.gz KPMHRNAMPRPBHV-NWDGAFQWSA-N 0 3 243.310 2.620 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@H](C)C[C@@H](C)C1 ZINC000184686066 176037767 /nfs/dbraw/zinc/03/77/67/176037767.db2.gz JCCVVCLCKSBEAA-XQQFMLRXSA-N 0 3 233.359 2.906 20 0 BFADHN C[C@@H]1CCCC[C@@H]1NCc1ncccc1N(C)C ZINC000289801287 176082118 /nfs/dbraw/zinc/08/21/18/176082118.db2.gz NWGLWRYEBYARSH-OLZOCXBDSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@@H]1CCCN(Cc2cncc(F)c2)CC1 ZINC000275155335 176101781 /nfs/dbraw/zinc/10/17/81/176101781.db2.gz UVYJDUWAQFVKRY-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc(F)cn2)CC1 ZINC000276393281 176102366 /nfs/dbraw/zinc/10/23/66/176102366.db2.gz SBPVQPXBLLWDKR-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H]1CCC[C@@H](C)C1NCc1nnc(C2CC2)[nH]1 ZINC000331524149 176113379 /nfs/dbraw/zinc/11/33/79/176113379.db2.gz OELNAXKQBNKVSO-NXEZZACHSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCOC(C)(C)C2)C1 ZINC000356973107 176117057 /nfs/dbraw/zinc/11/70/57/176117057.db2.gz IDLPYCXDTAKNSE-CHWSQXEVSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCC(=O)[C@H](C)C2)C1 ZINC000300363266 176117125 /nfs/dbraw/zinc/11/71/25/176117125.db2.gz UQMDSMVEYLKJMZ-JHJVBQTASA-N 0 3 223.360 2.724 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@@H](C)C1)c1ncc[nH]1 ZINC000221147872 176124425 /nfs/dbraw/zinc/12/44/25/176124425.db2.gz FOZLNGRQWYWZFG-GMTAPVOTSA-N 0 3 207.321 2.639 20 0 BFADHN C[C@@H]1CCC[C@H](CCN2CCO[C@H](C)C2)C1 ZINC000339588175 176136369 /nfs/dbraw/zinc/13/63/69/176136369.db2.gz VQTZONGJDCOZBS-MGPQQGTHSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCO[C@@H](C3CC3)C2)C1 ZINC000362561842 176137066 /nfs/dbraw/zinc/13/70/66/176137066.db2.gz QYTIQBWZNBDEJB-VNHYZAJKSA-N 0 3 237.387 2.924 20 0 BFADHN C[C@@H]1CCC[C@H](CN[C@H]2CCn3ccnc32)C1 ZINC000345342120 176139460 /nfs/dbraw/zinc/13/94/60/176139460.db2.gz FTNPXMMFNLQJIY-AGIUHOORSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2ccon2)[C@@H]1C ZINC000088052696 176144464 /nfs/dbraw/zinc/14/44/64/176144464.db2.gz QTVIQXXVASGCQR-FOGDFJRCSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CCC[C@H](N[C@H]2CCCc3c[nH]nc32)C1 ZINC000358312653 176144910 /nfs/dbraw/zinc/14/49/10/176144910.db2.gz BWAGAYLTOIGOBM-WXHSDQCUSA-N 0 3 233.359 2.955 20 0 BFADHN C[C@@H]1CCC[C@H](N[C@H]2CCn3ccnc32)[C@@H]1C ZINC000345353261 176145075 /nfs/dbraw/zinc/14/50/75/176145075.db2.gz QIABPRSHBVZVRN-NDBYEHHHSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1nc(-c2ccoc2)no1 ZINC000331372341 176150611 /nfs/dbraw/zinc/15/06/11/176150611.db2.gz BLZBOCZXKPWRCM-MWLCHTKSSA-N 0 3 247.298 2.608 20 0 BFADHN C[C@@H]1CCC[C@H]1N[C@H](c1nccn1C)C1CC1 ZINC000353883808 176151478 /nfs/dbraw/zinc/15/14/78/176151478.db2.gz UXQNFZJHSZZWAU-RTXFEEFZSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@@H]1CCN(Cc2cc3cccnc3o2)C1 ZINC000336221085 176173621 /nfs/dbraw/zinc/17/36/21/176173621.db2.gz BNSHXDKUKDQBGL-SNVBAGLBSA-N 0 3 216.284 2.670 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(F)c(F)c2)C1 ZINC000263663168 176173991 /nfs/dbraw/zinc/17/39/91/176173991.db2.gz DVCXEYBUFMCTSM-SECBINFHSA-N 0 3 211.255 2.807 20 0 BFADHN C[C@@H]1CCN(Cc2ccnc(Cl)c2)[C@@H]1C ZINC000177879268 176175847 /nfs/dbraw/zinc/17/58/47/176175847.db2.gz IUSGYPUTKNKFCV-NXEZZACHSA-N 0 3 224.735 2.965 20 0 BFADHN C[C@@H]1CCN(Cc2cccnc2)[C@H](C)C1 ZINC000133062677 176176077 /nfs/dbraw/zinc/17/60/77/176176077.db2.gz OKNJFYVJJSIYFE-VXGBXAGGSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(N)cc2Cl)C1 ZINC000049963148 176176590 /nfs/dbraw/zinc/17/65/90/176176590.db2.gz QWAQAEJJFDMERV-SECBINFHSA-N 0 3 224.735 2.764 20 0 BFADHN C[C@@H]1CCN([C@@H]2CCc3ccc(F)cc32)C[C@H]1O ZINC000357565767 176181001 /nfs/dbraw/zinc/18/10/01/176181001.db2.gz FAVONNCWTGIFHX-VCTAVGKDSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CC[C@H]2Cc2ccccc2)O1 ZINC000336784216 176204162 /nfs/dbraw/zinc/20/41/62/176204162.db2.gz MFXUAIMWSCCNDW-KBMXLJTQSA-N 0 3 245.366 2.871 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2nc3ccccc3n2C)C1 ZINC000169097346 176213138 /nfs/dbraw/zinc/21/31/38/176213138.db2.gz CERXKFXFSXBNFK-VXGBXAGGSA-N 0 3 243.354 2.852 20 0 BFADHN C[C@@H]1CC[C@@H](N[C@@H]2COc3ccccc32)C1 ZINC000070763024 176214273 /nfs/dbraw/zinc/21/42/73/176214273.db2.gz MKICJDNPQPNPIR-NQBHXWOUSA-N 0 3 217.312 2.898 20 0 BFADHN C[C@@H]1CC[C@@H](N[C@H](CO)c2ccsc2)C1 ZINC000336746940 176214707 /nfs/dbraw/zinc/21/47/07/176214707.db2.gz CBJUWTMCKCOYJY-YUSALJHKSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1nc(-c2ccccc2)c[nH]1 ZINC000353108593 176218101 /nfs/dbraw/zinc/21/81/01/176218101.db2.gz HOPCTEPHCDCFRZ-YPMHNXCESA-N 0 3 241.338 2.965 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC[C@H]2c2ccncc2)O1 ZINC000248955714 176223706 /nfs/dbraw/zinc/22/37/06/176223706.db2.gz OLDFFOLZHMZXNR-YUELXQCFSA-N 0 3 246.354 2.786 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)C[C@H]1CC[C@@H](C)O1 ZINC000248961034 176223714 /nfs/dbraw/zinc/22/37/14/176223714.db2.gz POVKXFUZCNFPDY-LALPHHSUSA-N 0 3 249.354 2.953 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC[C@@H]2c2ccncc2)O1 ZINC000188570148 176223730 /nfs/dbraw/zinc/22/37/30/176223730.db2.gz OLDFFOLZHMZXNR-BPLDGKMQSA-N 0 3 246.354 2.786 20 0 BFADHN C[C@@H]1CC[C@H](CN(C)CCc2cccs2)O1 ZINC000188557382 176223874 /nfs/dbraw/zinc/22/38/74/176223874.db2.gz ALRJCHICEIHONS-VXGBXAGGSA-N 0 3 239.384 2.790 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC[C@@H]2c2ccc[nH]2)O1 ZINC000276447174 176224338 /nfs/dbraw/zinc/22/43/38/176224338.db2.gz JSNJXFFCDDBYRH-YRGRVCCFSA-N 0 3 234.343 2.719 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCc3ccccc3C2)O1 ZINC000188567390 176224920 /nfs/dbraw/zinc/22/49/20/176224920.db2.gz OOBHACUYUIMVJW-IUODEOHRSA-N 0 3 231.339 2.612 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@H](c2nccn2C)C2CC2)C1 ZINC000359038920 176227096 /nfs/dbraw/zinc/22/70/96/176227096.db2.gz VHNXSYITDHRNPY-DYEKYZERSA-N 0 3 247.386 2.897 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ccon2)[C@H](C)C1 ZINC000088052750 176233429 /nfs/dbraw/zinc/23/34/29/176233429.db2.gz DDTONRPKZYRAAS-FOGDFJRCSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1c[nH]c(-c2ccccc2)n1 ZINC000353144767 176236808 /nfs/dbraw/zinc/23/68/08/176236808.db2.gz VKBYWGNUIFPRBR-BXUZGUMPSA-N 0 3 241.338 2.965 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1csc(C2CC2)n1 ZINC000336762133 176237015 /nfs/dbraw/zinc/23/70/15/176237015.db2.gz NVBZWGPVNSWBIW-LDYMZIIASA-N 0 3 222.357 2.909 20 0 BFADHN C[C@@H]1CN(C/C=C/c2ccccc2)CCCO1 ZINC000171239022 176280839 /nfs/dbraw/zinc/28/08/39/176280839.db2.gz CNMBWKPDDGUAFX-VZUFXWRPSA-N 0 3 231.339 2.811 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)[C@H](C)CO1 ZINC000340285645 176297828 /nfs/dbraw/zinc/29/78/28/176297828.db2.gz RYBXFTUSSSLWHQ-GMTAPVOTSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@H]1CN(Cc2cccc3nsnc32)C[C@H]1C ZINC000269014664 176305358 /nfs/dbraw/zinc/30/53/58/176305358.db2.gz NVKZCFYRGQRPDF-AOOOYVTPSA-N 0 3 247.367 2.779 20 0 BFADHN C[C@@H](C(=O)Nc1ccccc1)N1C[C@@H](C)[C@H](C)C1 ZINC000362450273 176314438 /nfs/dbraw/zinc/31/44/38/176314438.db2.gz QXNDUGGWJPHFFM-UPJWGTAASA-N 0 3 246.354 2.601 20 0 BFADHN C[C@@H]1COCCN(Cc2ccc3c(c2)CCC3)C1 ZINC000338252634 176332697 /nfs/dbraw/zinc/33/26/97/176332697.db2.gz OMSNOJGHBNTAHK-ZDUSSCGKSA-N 0 3 245.366 2.644 20 0 BFADHN C[C@@H]1COCCN(CCCc2ccsc2)C1 ZINC000361099170 176333218 /nfs/dbraw/zinc/33/32/18/176333218.db2.gz ZGNAEGLVKHLWRY-LBPRGKRZSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@@H]1COCC[C@@H]1NC1(c2ccccc2F)CC1 ZINC000195097641 176340090 /nfs/dbraw/zinc/34/00/90/176340090.db2.gz HTHQJMCGTUONHQ-RISCZKNCSA-N 0 3 249.329 2.829 20 0 BFADHN C[C@H](c1cccnc1)N1CCSC[C@@H](C)C1 ZINC000276860298 176350098 /nfs/dbraw/zinc/35/00/98/176350098.db2.gz KFFJEIZNUMAKBF-NWDGAFQWSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1ccccc1F ZINC000286114784 176353699 /nfs/dbraw/zinc/35/36/99/176353699.db2.gz UOHXDDVDQNSPEF-SKDRFNHKSA-N 0 3 225.332 2.667 20 0 BFADHN C[C@@H]1C[C@@H](CN(C)Cc2ccoc2)CCO1 ZINC000352695288 176368345 /nfs/dbraw/zinc/36/83/45/176368345.db2.gz WEIYQCDOAJXFCH-NEPJUHHUSA-N 0 3 223.316 2.527 20 0 BFADHN C[C@@H]1C[C@@H](NCC2(C3CC3)CC2)c2nccn21 ZINC000345341167 176374661 /nfs/dbraw/zinc/37/46/61/176374661.db2.gz CPKZDCCGOOPWNE-ZYHUDNBSSA-N 0 3 231.343 2.669 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccn(C)n2)CC(C)(C)C1 ZINC000112344536 176377326 /nfs/dbraw/zinc/37/73/26/176377326.db2.gz QWXYVESMQOPYSQ-DGCLKSJQSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccccc2F)[C@@H]1C ZINC000336699864 176377536 /nfs/dbraw/zinc/37/75/36/176377536.db2.gz PABQJFGGZLKQKL-GIPNMCIBSA-N 0 3 207.292 2.960 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cccc(Cl)c2)[C@@H](C)O1 ZINC000294699231 176378358 /nfs/dbraw/zinc/37/83/58/176378358.db2.gz GKKYWVRDHQGIME-GIPNMCIBSA-N 0 3 239.746 2.996 20 0 BFADHN C[C@@H]1C[C@@H]1CNc1cccc(CN(C)C)c1 ZINC000309744194 176397618 /nfs/dbraw/zinc/39/76/18/176397618.db2.gz FDVQZXWTJHETKD-DGCLKSJQSA-N 0 3 218.344 2.816 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@@H]1COc2ccc(F)cc21 ZINC000336731059 176398194 /nfs/dbraw/zinc/39/81/94/176398194.db2.gz FBOIXENFXADCRW-KBVBSXBZSA-N 0 3 221.275 2.505 20 0 BFADHN CCOc1ccc(CN(CC)CC(C)C)nc1 ZINC000674864309 487634093 /nfs/dbraw/zinc/63/40/93/487634093.db2.gz FDZVDZWYRKKNSK-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(Cl)cc2)[C@H](C)O1 ZINC000294906461 176485905 /nfs/dbraw/zinc/48/59/05/176485905.db2.gz ZRJRDHKIZVNLNY-GBIKHYSHSA-N 0 3 239.746 2.996 20 0 BFADHN c1[nH]nc2c1CN(C[C@@H]1CC=CCC1)CCC2 ZINC000668841859 487634121 /nfs/dbraw/zinc/63/41/21/487634121.db2.gz RYPOVLZSMOKPEC-GFCCVEGCSA-N 0 3 231.343 2.514 20 0 BFADHN C[C@H](CNCc1ccco1)Oc1ccccc1F ZINC000053566104 176682579 /nfs/dbraw/zinc/68/25/79/176682579.db2.gz LFYDYEVXVCHSIN-LLVKDONJSA-N 0 3 249.285 2.976 20 0 BFADHN C[C@@H](NC[C@H](C)CO)c1csc(Cl)c1 ZINC000191204306 176694576 /nfs/dbraw/zinc/69/45/76/176694576.db2.gz WYGXEQMZPUTHAT-JGVFFNPUSA-N 0 3 233.764 2.681 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000252889702 176712906 /nfs/dbraw/zinc/71/29/06/176712906.db2.gz FDBIAHOTVCBILW-HNCHTBHHSA-N 0 3 237.343 2.510 20 0 BFADHN C[C@@H](NCC[C@H](C)F)c1cncs1 ZINC000340469693 176741671 /nfs/dbraw/zinc/74/16/71/176741671.db2.gz YFRPTPYSWKMLEV-JGVFFNPUSA-N 0 3 202.298 2.542 20 0 BFADHN c1coc(CCCNCc2ccco2)c1 ZINC000037927573 487635415 /nfs/dbraw/zinc/63/54/15/487635415.db2.gz ZHUXLEDTVVDSOK-UHFFFAOYSA-N 0 3 205.257 2.595 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@H]1C)c1cnccn1 ZINC000300242007 176869452 /nfs/dbraw/zinc/86/94/52/176869452.db2.gz LAULZCOFVFCYOG-GRYCIOLGSA-N 0 3 219.332 2.563 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@H]1C)c1ccc(F)cc1 ZINC000133949976 176901353 /nfs/dbraw/zinc/90/13/53/176901353.db2.gz HMPVCKDWUPMINN-OUJBWJOFSA-N 0 3 223.291 2.654 20 0 BFADHN C[C@H](O)C[C@H]1CCCN1Cc1ccc(F)cc1 ZINC000159227932 177002247 /nfs/dbraw/zinc/00/22/47/177002247.db2.gz PDJZGZROYSRNSM-SMDDNHRTSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@H](O)[C@@H]1CCN(Cc2ccc([C@@H]3C[C@@H]3C)o2)C1 ZINC000272537839 177009230 /nfs/dbraw/zinc/00/92/30/177009230.db2.gz OJFBOZOHFVSEHW-CIQGVGRVSA-N 0 3 249.354 2.606 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@@H]([C@H](C)O)C1 ZINC000272630613 177009622 /nfs/dbraw/zinc/00/96/22/177009622.db2.gz WFXACXQNVDUROH-NTZNESFSSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](c1ccc(Cl)cc1)N1CC[C@H](CO)C1 ZINC000082946320 177032602 /nfs/dbraw/zinc/03/26/02/177032602.db2.gz PLQSQBFXHPKFEO-MNOVXSKESA-N 0 3 239.746 2.715 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)C[C@@H]1CCCO1 ZINC000134513763 177037744 /nfs/dbraw/zinc/03/77/44/177037744.db2.gz LFDRWOQCVYUPGV-RISCZKNCSA-N 0 3 235.327 2.564 20 0 BFADHN C[C@H](c1ccccn1)N(C)CC[C@@H]1CCCO1 ZINC000191048177 177043224 /nfs/dbraw/zinc/04/32/24/177043224.db2.gz DYVBIPJJQOCTPK-OLZOCXBDSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@H](c1ccccn1)N1CCC(CF)CC1 ZINC000295202772 177043796 /nfs/dbraw/zinc/04/37/96/177043796.db2.gz VMCBZHNTTRDGQD-LLVKDONJSA-N 0 3 222.307 2.824 20 0 BFADHN C[C@H](c1cccnc1)N(C)CC[C@@H]1CCCO1 ZINC000191048110 177045252 /nfs/dbraw/zinc/04/52/52/177045252.db2.gz JNYHXXGDMKESBF-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@H]1CCCOC1 ZINC000266704557 177045274 /nfs/dbraw/zinc/04/52/74/177045274.db2.gz DMPBXZCFXZEEOL-CHWSQXEVSA-N 0 3 234.343 2.501 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCc1nccs1 ZINC000269879268 177045493 /nfs/dbraw/zinc/04/54/93/177045493.db2.gz LCWLOUYBIFUSOE-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN C[C@H](c1ccco1)N(CCCCCO)C1CC1 ZINC000119185836 177047520 /nfs/dbraw/zinc/04/75/20/177047520.db2.gz XPSCADIZYAYEGF-GFCCVEGCSA-N 0 3 237.343 2.968 20 0 BFADHN C[C@H](c1ccco1)N(C)CCCCCCO ZINC000175752844 177048098 /nfs/dbraw/zinc/04/80/98/177048098.db2.gz RMVVAHUMIDEPLF-GFCCVEGCSA-N 0 3 225.332 2.825 20 0 BFADHN C[C@H](c1ccco1)N(C[C@@H]1CCCO1)C1CC1 ZINC000119197676 177048218 /nfs/dbraw/zinc/04/82/18/177048218.db2.gz SWTNPRVDGKSWEW-YPMHNXCESA-N 0 3 235.327 2.984 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCc1ccncc1 ZINC000272343977 177050174 /nfs/dbraw/zinc/05/01/74/177050174.db2.gz ILJMNPAFHODVQT-CYBMUJFWSA-N 0 3 241.338 2.712 20 0 BFADHN C[C@H](c1cnccn1)N(C)CC(C)(C)C ZINC000274328367 177050923 /nfs/dbraw/zinc/05/09/23/177050923.db2.gz KJHFZCVKYRPBSP-SNVBAGLBSA-N 0 3 207.321 2.516 20 0 BFADHN C[C@H](c1cnccn1)N1CCCC(C)(C)C1 ZINC000184550619 177051697 /nfs/dbraw/zinc/05/16/97/177051697.db2.gz HHQCBADYYACVOH-LLVKDONJSA-N 0 3 219.332 2.660 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN(C)Cc1cnccn1 ZINC000183021154 177080081 /nfs/dbraw/zinc/08/00/81/177080081.db2.gz GPGDJXARLWWTDB-QWHCGFSZSA-N 0 3 233.359 2.735 20 0 BFADHN C[C@H]1CCCC[C@@H]1OCCN1CCCC1 ZINC000120024254 177084706 /nfs/dbraw/zinc/08/47/06/177084706.db2.gz JCAJZGTUFQPRIF-STQMWFEESA-N 0 3 211.349 2.678 20 0 BFADHN C[C@H]1CCCC[C@H]1OCCNCc1cc[nH]c1 ZINC000086251394 177089575 /nfs/dbraw/zinc/08/95/75/177089575.db2.gz SGCMLVAWZJCUAD-GXTWGEPZSA-N 0 3 236.359 2.700 20 0 BFADHN C[C@H]1CCCN(Cc2ccncc2F)CC1 ZINC000336222184 177101957 /nfs/dbraw/zinc/10/19/57/177101957.db2.gz JBYZQRWAKZAQLH-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN C[C@H]1CCCN1C[C@H](O)c1cccc(Cl)c1 ZINC000174405826 177109290 /nfs/dbraw/zinc/10/92/90/177109290.db2.gz NPCABEQJIXRJGT-GWCFXTLKSA-N 0 3 239.746 2.858 20 0 BFADHN C[C@H]1CCCN1C[C@@H](O)c1cccc(Cl)c1 ZINC000247523148 177109772 /nfs/dbraw/zinc/10/97/72/177109772.db2.gz NPCABEQJIXRJGT-GXFFZTMASA-N 0 3 239.746 2.858 20 0 BFADHN C[C@H]1CCC[C@@H](CNCc2cocn2)C1 ZINC000179547774 177119325 /nfs/dbraw/zinc/11/93/25/177119325.db2.gz RXQACIBJVSTGFN-WDEREUQCSA-N 0 3 208.305 2.591 20 0 BFADHN C[C@H]1CCC[C@@H](N(C)c2ccnc(CO)c2)C1 ZINC000042494311 177121335 /nfs/dbraw/zinc/12/13/35/177121335.db2.gz VYACAWOKNHOGFO-WCQYABFASA-N 0 3 234.343 2.589 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2ccc(F)cn2)C1 ZINC000335605300 177123033 /nfs/dbraw/zinc/12/30/33/177123033.db2.gz RAGBKUNKABWMAR-CMPLNLGQSA-N 0 3 222.307 2.889 20 0 BFADHN C[C@H]1CCC[C@@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000088727672 177127154 /nfs/dbraw/zinc/12/71/54/177127154.db2.gz YSRWUFCCILKNNP-CABZTGNLSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@H]1CCC[C@H](NCc2nccn2C(F)F)C1 ZINC000037255061 177137209 /nfs/dbraw/zinc/13/72/09/177137209.db2.gz JWOBNNIEVAWODB-UWVGGRQHSA-N 0 3 243.301 2.947 20 0 BFADHN C[C@H]1CCC[C@H](NCc2cscn2)C1 ZINC000041043320 177137325 /nfs/dbraw/zinc/13/73/25/177137325.db2.gz OZSGBUFFHXTOPD-UWVGGRQHSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1c(Cl)cnn1C ZINC000336782458 177140923 /nfs/dbraw/zinc/14/09/23/177140923.db2.gz XSLXPJLUMOIEJJ-UWVGGRQHSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@H]1CCN(C/C=C/c2ccc(F)cc2)[C@@H]1CO ZINC000191180712 177157363 /nfs/dbraw/zinc/15/73/63/177157363.db2.gz XFWBYFVBGKPKDH-PGFASWDNSA-N 0 3 249.329 2.542 20 0 BFADHN C[C@H]1CCN(CCCO)[C@H]1c1cccc(F)c1 ZINC000266937556 177161093 /nfs/dbraw/zinc/16/10/93/177161093.db2.gz OWADQVBKHNPSBM-SMDDNHRTSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@H]1CCN(Cc2c[nH]c(-c3ccccc3)n2)C1 ZINC000271124158 177164169 /nfs/dbraw/zinc/16/41/69/177164169.db2.gz GRMLBCQVLYZIIC-LBPRGKRZSA-N 0 3 241.338 2.919 20 0 BFADHN C[C@H]1CCN(Cc2cnc(-c3ccccc3)[nH]2)C1 ZINC000271124158 177164171 /nfs/dbraw/zinc/16/41/71/177164171.db2.gz GRMLBCQVLYZIIC-LBPRGKRZSA-N 0 3 241.338 2.919 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cn(C)nc1C(F)F ZINC000274460120 177166205 /nfs/dbraw/zinc/16/62/05/177166205.db2.gz HKWIQSYXOYYZKS-DTWKUNHWSA-N 0 3 243.301 2.588 20 0 BFADHN C[C@H]1CCN(Cc2cn(C)nc2C(F)F)[C@H]1C ZINC000274460122 177166812 /nfs/dbraw/zinc/16/68/12/177166812.db2.gz HKWIQSYXOYYZKS-IUCAKERBSA-N 0 3 243.301 2.588 20 0 BFADHN C[C@H]1CN(Cc2cn3ccccc3n2)CC[C@@H]1C ZINC000122561248 177167623 /nfs/dbraw/zinc/16/76/23/177167623.db2.gz MVEQHZCIUUSEOC-STQMWFEESA-N 0 3 243.354 2.812 20 0 BFADHN C[C@H]1CCSCCN1Cc1cccc(O)c1 ZINC000191818333 177180287 /nfs/dbraw/zinc/18/02/87/177180287.db2.gz VJSXLCOZQHHEPU-NSHDSACASA-N 0 3 237.368 2.720 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cn(C)nc1C(F)F ZINC000336350971 177186964 /nfs/dbraw/zinc/18/69/64/177186964.db2.gz QGJXNWXCNOEYTQ-DTORHVGOSA-N 0 3 243.301 2.731 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1ccc(F)cn1 ZINC000336508894 177187883 /nfs/dbraw/zinc/18/78/83/177187883.db2.gz QMSYTNHDXLYNRA-AOOOYVTPSA-N 0 3 208.280 2.594 20 0 BFADHN C[C@H]1CC[C@@H]1[NH2+]Cc1c(F)ccc([O-])c1F ZINC000336383924 177194849 /nfs/dbraw/zinc/19/48/49/177194849.db2.gz WSPAQHRNCGFAIF-XVKPBYJWSA-N 0 3 227.254 2.559 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1csc(C2CC2)n1 ZINC000336762131 177195671 /nfs/dbraw/zinc/19/56/71/177195671.db2.gz NVBZWGPVNSWBIW-KWQFWETISA-N 0 3 222.357 2.909 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1cnc(C(C)(C)C)nc1 ZINC000310149271 177195747 /nfs/dbraw/zinc/19/57/47/177195747.db2.gz FGLOWCBCIFJIEY-JQWIXIFHSA-N 0 3 233.359 2.662 20 0 BFADHN C[C@H]1CC[C@H](CNC2(c3ccc(F)cc3)CC2)O1 ZINC000354665756 177202480 /nfs/dbraw/zinc/20/24/80/177202480.db2.gz HUWVZKVMJYCIII-SMDDNHRTSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H]1CC[C@H](N(C)Cc2ccccn2)C1 ZINC000275745445 177203516 /nfs/dbraw/zinc/20/35/16/177203516.db2.gz WCDODWGLGUKHBW-AAEUAGOBSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@H]1CC[C@H](NCc2nccn2C(F)F)C1 ZINC000121981978 177205342 /nfs/dbraw/zinc/20/53/42/177205342.db2.gz KVJPZNMUEARSOM-IUCAKERBSA-N 0 3 229.274 2.556 20 0 BFADHN C[C@@H]1CN(C/C=C/c2ccccc2)C[C@H](C)N1C ZINC000293289383 177240427 /nfs/dbraw/zinc/24/04/27/177240427.db2.gz DBRBLVAUXVPMBD-ONWOKCAKSA-N 0 3 244.382 2.724 20 0 BFADHN C[C@H]1CN(CCCc2ccncc2)CC(C)(C)O1 ZINC000360434939 177249202 /nfs/dbraw/zinc/24/92/02/177249202.db2.gz BFYIPMWLIKYMAQ-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CCOc1cccc(F)c1 ZINC000339566798 177252715 /nfs/dbraw/zinc/25/27/15/177252715.db2.gz RKZOJONSZRXQTM-WDEREUQCSA-N 0 3 223.291 2.545 20 0 BFADHN C[C@H]1CN(CCc2ccccn2)CCC1(F)F ZINC000289849234 177253971 /nfs/dbraw/zinc/25/39/71/177253971.db2.gz POUMEEWCFMAHEQ-NSHDSACASA-N 0 3 240.297 2.601 20 0 BFADHN C[C@H]1CN(CCn2ccnc2)[C@@H]1c1ccccc1 ZINC000162084141 177255426 /nfs/dbraw/zinc/25/54/26/177255426.db2.gz GJQDNNQULIONEE-ZFWWWQNUSA-N 0 3 241.338 2.576 20 0 BFADHN C[C@@H]1CCC[C@H](CN2C[C@@H](C)O[C@@H](C)C2)C1 ZINC000348925246 177258656 /nfs/dbraw/zinc/25/86/56/177258656.db2.gz BTPRBKMCWPNEIM-MQYQWHSLSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@H]1CN(C[C@H]2CCC(F)(F)C2)CC(C)(C)O1 ZINC000340293889 177259084 /nfs/dbraw/zinc/25/90/84/177259084.db2.gz YYQHZVJACOSQPI-QWRGUYRKSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@H]1CN(Cc2ccc3occc3c2)CC[C@@H]1O ZINC000336568359 177262917 /nfs/dbraw/zinc/26/29/17/177262917.db2.gz ODCQOAQUMOMVTR-FZMZJTMJSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@H]1CN(Cc2ccc3occc3c2)CC[C@H]1O ZINC000336568363 177263066 /nfs/dbraw/zinc/26/30/66/177263066.db2.gz ODCQOAQUMOMVTR-SMDDNHRTSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@H]1CN(Cc2cccc3nsnc32)C[C@@H]1C ZINC000269014666 177264274 /nfs/dbraw/zinc/26/42/74/177264274.db2.gz NVKZCFYRGQRPDF-UWVGGRQHSA-N 0 3 247.367 2.779 20 0 BFADHN C[C@H]1CN([C@@H]2CCc3ccc(F)cc32)CCCO1 ZINC000177703384 177275086 /nfs/dbraw/zinc/27/50/86/177275086.db2.gz VPUSFCUVUIJCRA-XHDPSFHLSA-N 0 3 249.329 2.924 20 0 BFADHN C[C@H](c1ccccc1)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000339619889 177275507 /nfs/dbraw/zinc/27/55/07/177275507.db2.gz ZQSZMDHUYPBBMM-HZSPNIEDSA-N 0 3 232.371 2.772 20 0 BFADHN C[C@H]1COC(C)(C)CN1CC1CC(F)(F)C1 ZINC000336259007 177287554 /nfs/dbraw/zinc/28/75/54/177287554.db2.gz FFKUVAJWHHOGAA-VIFPVBQESA-N 0 3 233.302 2.531 20 0 BFADHN C[C@H]1COCCN(Cc2ccc3c(c2)CCC3)C1 ZINC000338252629 177288199 /nfs/dbraw/zinc/28/81/99/177288199.db2.gz OMSNOJGHBNTAHK-CYBMUJFWSA-N 0 3 245.366 2.644 20 0 BFADHN C[C@H]1COCCN1C[C@@H]1CCc2ccccc2C1 ZINC000359596328 177291845 /nfs/dbraw/zinc/29/18/45/177291845.db2.gz MTCSCPFUYJAGCM-UONOGXRCSA-N 0 3 245.366 2.512 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](C)[C@@H]2C)nc1 ZINC000674866622 487637772 /nfs/dbraw/zinc/63/77/72/487637772.db2.gz CYLQSTZJBBFATH-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1cc(F)ccc1F ZINC000285392945 177306952 /nfs/dbraw/zinc/30/69/52/177306952.db2.gz AMRZEKNYSSHXOP-QPUJVOFHSA-N 0 3 243.322 2.806 20 0 BFADHN C[C@H]1C[C@H](NCc2ccno2)C[C@@H](C)C1 ZINC000300400782 177318072 /nfs/dbraw/zinc/31/80/72/177318072.db2.gz YQZMKJIVVBOPQW-URLYPYJESA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1C[C@@H](CCNCc2ccco2)CCO1 ZINC000273001258 177323873 /nfs/dbraw/zinc/32/38/73/177323873.db2.gz AARMTYANHKMJGI-RYUDHWBXSA-N 0 3 223.316 2.574 20 0 BFADHN C[C@H]1C[C@@H]1CNC(C)(C)c1nccs1 ZINC000308592393 177344589 /nfs/dbraw/zinc/34/45/89/177344589.db2.gz KWIHIIGLVWNRRQ-DTWKUNHWSA-N 0 3 210.346 2.624 20 0 BFADHN C[C@H]1C[C@@H](NCCn2cccn2)c2ccccc21 ZINC000336791692 177332362 /nfs/dbraw/zinc/33/23/62/177332362.db2.gz OOQKGIPPSXGZIU-SWLSCSKDSA-N 0 3 241.338 2.721 20 0 BFADHN C[C@H]1C[C@@H](NCc2cncn2C)c2ccccc21 ZINC000264234021 177333779 /nfs/dbraw/zinc/33/37/79/177333779.db2.gz CKESPGVWFFKGEI-XHDPSFHLSA-N 0 3 241.338 2.758 20 0 BFADHN C[C@H]1C[C@@H]1CC(=O)Nc1cccc(CN(C)C)c1 ZINC000352496948 177342598 /nfs/dbraw/zinc/34/25/98/177342598.db2.gz BOZUATSVFORRMN-WCQYABFASA-N 0 3 246.354 2.733 20 0 BFADHN C[C@H]1C[C@@H]1NCc1ccc(Cl)s1 ZINC000052272380 177349648 /nfs/dbraw/zinc/34/96/48/177349648.db2.gz OQRFZOXYVMWQRH-XPUUQOCRSA-N 0 3 201.722 2.900 20 0 BFADHN C[C@H]1C[C@@H]1NCc1cc2ccccc2o1 ZINC000052272358 177349850 /nfs/dbraw/zinc/34/98/50/177349850.db2.gz LKZZQHYTHUNNRL-CABZTGNLSA-N 0 3 201.269 2.931 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN[C@@H]2CCCC[C@H]2O)o1 ZINC000185168903 177351868 /nfs/dbraw/zinc/35/18/68/177351868.db2.gz ZZTOBKKQTSOJCO-SCUASFONSA-N 0 3 249.354 2.796 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2ccc(F)cn2)C1 ZINC000276399247 177358979 /nfs/dbraw/zinc/35/89/79/177358979.db2.gz UCSMNAIGNOLQSQ-QWRGUYRKSA-N 0 3 222.307 2.699 20 0 BFADHN CC[C@@H](COC)N[C@H]1COc2c1ccc(C)c2C ZINC000271525580 487638169 /nfs/dbraw/zinc/63/81/69/487638169.db2.gz VSFGIDLYIMTAFZ-JSGCOSHPSA-N 0 3 249.354 2.752 20 0 BFADHN C[C@H]1C[C@H](NCC2(C)CCC2)c2nccn21 ZINC000335769232 177369611 /nfs/dbraw/zinc/36/96/11/177369611.db2.gz CMSYCEFVIRGKPV-QWRGUYRKSA-N 0 3 219.332 2.669 20 0 BFADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1c[nH]cn1 ZINC000179753282 177375397 /nfs/dbraw/zinc/37/53/97/177375397.db2.gz KYLDPPWHFADVDC-JSGCOSHPSA-N 0 3 241.338 2.788 20 0 BFADHN C[C@H]1C[C@H]1NCc1cc2ccccc2o1 ZINC000052272361 177385818 /nfs/dbraw/zinc/38/58/18/177385818.db2.gz LKZZQHYTHUNNRL-JOYOIKCWSA-N 0 3 201.269 2.931 20 0 BFADHN CCOc1ccc(CN(C)C[C@@H](C)CC)nc1 ZINC000674865685 487638354 /nfs/dbraw/zinc/63/83/54/487638354.db2.gz PYNJIGQQKYLNSU-LBPRGKRZSA-N 0 3 236.359 2.958 20 0 BFADHN C[C@]1(CNCc2ncc[nH]2)C[C@@H]1c1ccccc1 ZINC000339450228 177462677 /nfs/dbraw/zinc/46/26/77/177462677.db2.gz QFGGQGLIMJPIPP-UKRRQHHQSA-N 0 3 241.338 2.693 20 0 BFADHN Cc1ccsc1CN1CCCc2n[nH]cc2C1 ZINC000668844101 487638629 /nfs/dbraw/zinc/63/86/29/487638629.db2.gz AMZDWPWXFMCVAU-UHFFFAOYSA-N 0 3 247.367 2.728 20 0 BFADHN CC[C@H]1CCN(C[C@@H]2COc3ccccc3O2)C1 ZINC000674875799 487640934 /nfs/dbraw/zinc/64/09/34/487640934.db2.gz SUSJVUGTFAUWPN-QWHCGFSZSA-N 0 3 247.338 2.558 20 0 BFADHN C[C@H](Cc1ccccc1)CN1CCOC(C)(C)C1 ZINC000481935823 225220176 /nfs/dbraw/zinc/22/01/76/225220176.db2.gz PAROPNRIFAHAKF-CQSZACIVSA-N 0 3 247.382 2.976 20 0 BFADHN Cc1nc2sccn2c1CN(C)C[C@@H]1C[C@H]1C ZINC000488353172 225383416 /nfs/dbraw/zinc/38/34/16/225383416.db2.gz PLNIXDODPSACOT-KOLCDFICSA-N 0 3 249.383 2.792 20 0 BFADHN COc1ncccc1CN1CCCCC[C@@H]1C ZINC000487919306 225331455 /nfs/dbraw/zinc/33/14/55/225331455.db2.gz SYYKIAUZUBWBRK-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN COCCN(Cc1cccnc1)[C@@H]1CCC[C@@H]1C ZINC000488002280 225340292 /nfs/dbraw/zinc/34/02/92/225340292.db2.gz XHGBWRFDXUPZMA-DZGCQCFKSA-N 0 3 248.370 2.719 20 0 BFADHN C[C@H]1C[C@@H]1CN(C)Cc1ccccc1F ZINC000488290543 225361487 /nfs/dbraw/zinc/36/14/87/225361487.db2.gz CAUCBOPGHGVJGI-CMPLNLGQSA-N 0 3 207.292 2.914 20 0 BFADHN CN(C)Cc1ccccc1NC(=O)/C=C\C1CC1 ZINC000492972686 226094549 /nfs/dbraw/zinc/09/45/49/226094549.db2.gz KWJFTKHTJFGXRZ-KTKRTIGZSA-N 0 3 244.338 2.653 20 0 BFADHN CCn1cc(CCN(C)Cc2ccsc2)cn1 ZINC000671623038 487648990 /nfs/dbraw/zinc/64/89/90/487648990.db2.gz JGCYCVYBYSVTGE-UHFFFAOYSA-N 0 3 249.383 2.639 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cccc3c2OCO3)C1 ZINC000505342863 226354290 /nfs/dbraw/zinc/35/42/90/226354290.db2.gz IINJWPFUOQAZFC-RYUDHWBXSA-N 0 3 247.338 2.893 20 0 BFADHN COCCN(Cc1sccc1C)C1CC1 ZINC000507159938 226366055 /nfs/dbraw/zinc/36/60/55/226366055.db2.gz JVFMPRCONMKJKC-UHFFFAOYSA-N 0 3 225.357 2.667 20 0 BFADHN CCc1nocc1CN1C[C@@H](C)C[C@@H]1CC ZINC000508638724 226373443 /nfs/dbraw/zinc/37/34/43/226373443.db2.gz SISGAQBJWTYWRC-JQWIXIFHSA-N 0 3 222.332 2.857 20 0 BFADHN Cc1ncc(CN[C@H](C)CC2CCC2)o1 ZINC000397900929 487655706 /nfs/dbraw/zinc/65/57/06/487655706.db2.gz BPKTXXVWLINTIJ-SECBINFHSA-N 0 3 208.305 2.651 20 0 BFADHN CC1(C)COC[C@H]1NCc1cccc(Cl)c1 ZINC000312983086 262723667 /nfs/dbraw/zinc/72/36/67/262723667.db2.gz IJILFSBAQHKEGG-GFCCVEGCSA-N 0 3 239.746 2.855 20 0 BFADHN CCOC[C@H](NCc1cc(C)ccn1)C(C)C ZINC000671630977 487659637 /nfs/dbraw/zinc/65/96/37/487659637.db2.gz XUUMPMUBMDIGKG-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN COC(CN[C@H](c1ccccc1C)C1CC1)OC ZINC000275474011 487669674 /nfs/dbraw/zinc/66/96/74/487669674.db2.gz PNCPLWZXOFUOFP-HNNXBMFYSA-N 0 3 249.354 2.655 20 0 BFADHN CC1(C)CN(CC2(O)CCC2)[C@@H]1c1ccccc1 ZINC000675124738 487671608 /nfs/dbraw/zinc/67/16/08/487671608.db2.gz AYQRKJSJZPIZKF-CQSZACIVSA-N 0 3 245.366 2.985 20 0 BFADHN Cc1cc(CN2[C@H](C)C[C@H]3CCCC[C@@H]32)nn1C ZINC000487409951 229179701 /nfs/dbraw/zinc/17/97/01/229179701.db2.gz FPBYDSOORRMYTQ-NFAWXSAZSA-N 0 3 247.386 2.882 20 0 BFADHN CCn1nc(C)c(CN[C@@H]2CC23CCCC3)c1C ZINC000307991334 229413397 /nfs/dbraw/zinc/41/33/97/229413397.db2.gz YLFWMXNZPGJOBR-CQSZACIVSA-N 0 3 247.386 2.942 20 0 BFADHN CC[C@@H](C)CCNCc1cccc2c1OCO2 ZINC000394397179 487674282 /nfs/dbraw/zinc/67/42/82/487674282.db2.gz HQXZDURLCYHTPW-LLVKDONJSA-N 0 3 235.327 2.941 20 0 BFADHN Clc1cnccc1CNC[C@H]1CCCS1 ZINC000087669154 487677410 /nfs/dbraw/zinc/67/74/10/487677410.db2.gz SUVBQGGXWIBYSD-SNVBAGLBSA-N 0 3 242.775 2.720 20 0 BFADHN Cl/C=C\CN[C@@H]1CC[C@@H]2SCCS[C@H]12 ZINC000898432322 584135267 /nfs/dbraw/zinc/13/52/67/584135267.db2.gz FCDCPXIELDGBOT-YHJMZPDXSA-N 0 3 249.832 2.708 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)[C@@H](C)C2)ncn1 ZINC000680972511 487684864 /nfs/dbraw/zinc/68/48/64/487684864.db2.gz GMRRBQSMZCMJOE-NTZNESFSSA-N 0 3 233.359 2.699 20 0 BFADHN CC1(C)CN(CCCCF)C(C)(C)CO1 ZINC000671681901 487688568 /nfs/dbraw/zinc/68/85/68/487688568.db2.gz CJMRIFSOCOAUIT-UHFFFAOYSA-N 0 3 217.328 2.626 20 0 BFADHN Cc1cc(CNC[C@@H](C)C(C)(C)C)no1 ZINC000308841385 487690864 /nfs/dbraw/zinc/69/08/64/487690864.db2.gz YZAUAPAHOOSTFG-SECBINFHSA-N 0 3 210.321 2.755 20 0 BFADHN C[C@H]1CCC[C@H](NCc2ccncc2F)C1 ZINC000336214269 487691839 /nfs/dbraw/zinc/69/18/39/487691839.db2.gz CFKSLNZXKYKIOO-JQWIXIFHSA-N 0 3 222.307 2.889 20 0 BFADHN CO[C@H]1CCN(Cc2cc3ccc(C)cc3[nH]2)C1 ZINC000667649541 487693918 /nfs/dbraw/zinc/69/39/18/487693918.db2.gz WRPJDCDUWVOMMO-AWEZNQCLSA-N 0 3 244.338 2.697 20 0 BFADHN FC(F)n1ccnc1CN[C@@H]1CCC12CCC2 ZINC000669699065 487694298 /nfs/dbraw/zinc/69/42/98/487694298.db2.gz QWRUOUYRKIUPBP-SECBINFHSA-N 0 3 241.285 2.701 20 0 BFADHN C[C@@H](NCCc1ccc(CO)cc1)c1ccoc1 ZINC000678929493 487695255 /nfs/dbraw/zinc/69/52/55/487695255.db2.gz NMBKNPFKXHNQMI-GFCCVEGCSA-N 0 3 245.322 2.665 20 0 BFADHN CO[C@H]1CCCN([C@@H]2C[C@@H]2c2ccccc2)C1 ZINC000411221490 487695414 /nfs/dbraw/zinc/69/54/14/487695414.db2.gz AOQIDZZFLZYFRS-RRFJBIMHSA-N 0 3 231.339 2.653 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1C/C=C\c1ccccc1 ZINC000399191235 262778501 /nfs/dbraw/zinc/77/85/01/262778501.db2.gz IYFANZGKSKCTFY-VZFGUZNESA-N 0 3 231.339 2.545 20 0 BFADHN COc1ccc2c(c1)CCN(CC1(F)CC1)CC2 ZINC000527234068 262834856 /nfs/dbraw/zinc/83/48/56/262834856.db2.gz MMEWAGZBFFTNPM-UHFFFAOYSA-N 0 3 249.329 2.598 20 0 BFADHN FC1(CN2CCC(Cc3cccnc3)CC2)CC1 ZINC000527234022 262835034 /nfs/dbraw/zinc/83/50/34/262835034.db2.gz YGZXHJCUABACGB-UHFFFAOYSA-N 0 3 248.345 2.838 20 0 BFADHN C[C@H](N[C@H]1C[C@H](C)n2ccnc21)[C@@H]1C[C@H]1C1CC1 ZINC000527716034 262875512 /nfs/dbraw/zinc/87/55/12/262875512.db2.gz JGTHZYIDJLHJDL-SIQYZQNWSA-N 0 3 245.370 2.913 20 0 BFADHN COCCC1CCN([C@H](C)c2cccnc2)CC1 ZINC000527934904 262896637 /nfs/dbraw/zinc/89/66/37/262896637.db2.gz MCXVTNQKQWLZID-CYBMUJFWSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@H](NCC[C@H]1CC=CCC1)c1cnccn1 ZINC000527987247 262898796 /nfs/dbraw/zinc/89/87/96/262898796.db2.gz SNUQKYQCFYSOEJ-STQMWFEESA-N 0 3 231.343 2.874 20 0 BFADHN CO[C@H]1CCN(C/C=C(\C)Cl)CC1(C)C ZINC000528522064 262957385 /nfs/dbraw/zinc/95/73/85/262957385.db2.gz RATFYHSHDDUXPZ-UAWPZABVSA-N 0 3 231.767 2.876 20 0 BFADHN CO[C@H](C)CN[C@@H](c1ccccn1)C1CCC1 ZINC000528657423 262964673 /nfs/dbraw/zinc/96/46/73/262964673.db2.gz JNPHMBOPRBJMNS-BXUZGUMPSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)CC[C@@H](O)CN1CCC(F)(F)C[C@H]1C ZINC000528773005 262973086 /nfs/dbraw/zinc/97/30/86/262973086.db2.gz NUCGOVMIXGRUKG-VXGBXAGGSA-N 0 3 249.345 2.903 20 0 BFADHN CC/C=C/CCN(C)Cc1ccc(OC)nc1 ZINC000681025845 487701881 /nfs/dbraw/zinc/70/18/81/487701881.db2.gz TUZANRSSZNJUGJ-AATRIKPKSA-N 0 3 234.343 2.878 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2c(C3CCC3)cnn2C)C1 ZINC000667664488 487705216 /nfs/dbraw/zinc/70/52/16/487705216.db2.gz MGQZDDMNNUQBIO-VXGBXAGGSA-N 0 3 247.386 2.918 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2c(C3CCC3)cnn2C)C1 ZINC000667664487 487705703 /nfs/dbraw/zinc/70/57/03/487705703.db2.gz MGQZDDMNNUQBIO-RYUDHWBXSA-N 0 3 247.386 2.918 20 0 BFADHN Cc1cc(C)n(CCN2CCC3(CCC3)CC2)n1 ZINC000671728755 487710960 /nfs/dbraw/zinc/71/09/60/487710960.db2.gz DDCUTVBQCGQJDV-UHFFFAOYSA-N 0 3 247.386 2.766 20 0 BFADHN CCCN(CCc1cccs1)Cc1cn[nH]c1 ZINC000681082695 487717028 /nfs/dbraw/zinc/71/70/28/487717028.db2.gz YTZZIWFGMBFYEH-UHFFFAOYSA-N 0 3 249.383 2.926 20 0 BFADHN CCC(CC)N(Cc1cn[nH]c1)C1CC1 ZINC000678137126 487721121 /nfs/dbraw/zinc/72/11/21/487721121.db2.gz YVSRIZQDGPQSNB-UHFFFAOYSA-N 0 3 207.321 2.563 20 0 BFADHN CC[C@@H](N[C@@H](C)C(C)(F)F)C1CCOCC1 ZINC000651395780 487723865 /nfs/dbraw/zinc/72/38/65/487723865.db2.gz FCNMYPPYYCKVCX-GXSJLCMTSA-N 0 3 235.318 2.825 20 0 BFADHN CC[C@H](N[C@H](C)C(C)(F)F)C1CCOCC1 ZINC000651395781 487724143 /nfs/dbraw/zinc/72/41/43/487724143.db2.gz FCNMYPPYYCKVCX-KOLCDFICSA-N 0 3 235.318 2.825 20 0 BFADHN FCCCCNCc1cc(F)ccc1F ZINC000336713600 584945562 /nfs/dbraw/zinc/94/55/62/584945562.db2.gz VPWAYYANZZCKEV-UHFFFAOYSA-N 0 3 217.234 2.804 20 0 BFADHN CCN(Cc1occc1C)[C@@H](C)CCOC ZINC000412026789 487732794 /nfs/dbraw/zinc/73/27/94/487732794.db2.gz MWJFUQRPVXOOAL-LBPRGKRZSA-N 0 3 225.332 2.835 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1ncnn1C(C)C ZINC000088592756 487737973 /nfs/dbraw/zinc/73/79/73/487737973.db2.gz VNFQYHAENBIFOU-NWDGAFQWSA-N 0 3 238.379 2.773 20 0 BFADHN Cc1cccc(CN[C@H]2CO[C@@H](C3CC3)C2)c1 ZINC000667771507 487740823 /nfs/dbraw/zinc/74/08/23/487740823.db2.gz CHRNBTCQUVHLGN-HUUCEWRRSA-N 0 3 231.339 2.652 20 0 BFADHN Cc1cccc(CN[C@@H]2CO[C@@H](C3CC3)C2)c1 ZINC000667771508 487740841 /nfs/dbraw/zinc/74/08/41/487740841.db2.gz CHRNBTCQUVHLGN-LSDHHAIUSA-N 0 3 231.339 2.652 20 0 BFADHN CNCc1ccccc1NC(=O)[C@H]1CCC1(C)C ZINC000659305047 487741030 /nfs/dbraw/zinc/74/10/30/487741030.db2.gz YFWNFRWCLUFLAR-GFCCVEGCSA-N 0 3 246.354 2.781 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C2CC2)C1)c1ccccc1 ZINC000667852840 487748781 /nfs/dbraw/zinc/74/87/81/487748781.db2.gz JISJNTBIOFIFJE-UGFHNGPFSA-N 0 3 231.339 2.905 20 0 BFADHN Cc1ccnc(CN([C@H](C)CO)C2CCCC2)c1 ZINC000672554659 487755698 /nfs/dbraw/zinc/75/56/98/487755698.db2.gz AKWMGHCELHZTQM-CYBMUJFWSA-N 0 3 248.370 2.515 20 0 BFADHN OC[C@@H](NCC1=CCCC1)c1ccc(F)cc1 ZINC000645851597 487759036 /nfs/dbraw/zinc/75/90/36/487759036.db2.gz RKAGXEGNNBOHEX-CQSZACIVSA-N 0 3 235.302 2.559 20 0 BFADHN Cc1ccc(CCNCc2ccoc2C)o1 ZINC000666221242 487761254 /nfs/dbraw/zinc/76/12/54/487761254.db2.gz WHRBHLKPKOTTFG-UHFFFAOYSA-N 0 3 219.284 2.822 20 0 BFADHN Cc1ncccc1CN1CCSC(C)(C)C1 ZINC000093504670 487771686 /nfs/dbraw/zinc/77/16/86/487771686.db2.gz ITVPUBNRUTZEMB-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN CCn1ccc(CNC[C@H](C)C(C)(C)C)n1 ZINC000666408859 487773643 /nfs/dbraw/zinc/77/36/43/487773643.db2.gz ABHRDJCZWBLWTB-NSHDSACASA-N 0 3 223.364 2.675 20 0 BFADHN Cn1nccc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC000179806507 487777882 /nfs/dbraw/zinc/77/78/82/487777882.db2.gz LRHHUTZQEOZQLA-JSGCOSHPSA-N 0 3 233.359 2.575 20 0 BFADHN CCN(Cc1cnc2ccccc2n1)C1CC1 ZINC000659835911 487785488 /nfs/dbraw/zinc/78/54/88/487785488.db2.gz JBHBRWYJGMJQDY-UHFFFAOYSA-N 0 3 227.311 2.614 20 0 BFADHN COc1cncc(CN(C)CCC(C)C)c1 ZINC000675889645 487787391 /nfs/dbraw/zinc/78/73/91/487787391.db2.gz QIOUWJFWEAFMMN-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@H]2C2CC2)nc1C ZINC000459683252 487788099 /nfs/dbraw/zinc/78/80/99/487788099.db2.gz ZODKYHGNGSVXDD-LSDHHAIUSA-N 0 3 230.355 2.977 20 0 BFADHN Cc1cc(CN[C@H]2CCCc3sccc32)n[nH]1 ZINC000675893698 487788127 /nfs/dbraw/zinc/78/81/27/487788127.db2.gz QQOUHKSROWBHAP-LBPRGKRZSA-N 0 3 247.367 2.947 20 0 BFADHN C[C@@H]1C[C@@H](NCCC2CCCCC2)c2ncnn21 ZINC000668468742 487792646 /nfs/dbraw/zinc/79/26/46/487792646.db2.gz OBGIJRLLZLPDQU-DGCLKSJQSA-N 0 3 248.374 2.844 20 0 BFADHN COCCC1CN(Cc2cccc3c2CCC3)C1 ZINC000668472046 487795663 /nfs/dbraw/zinc/79/56/63/487795663.db2.gz SWDPTOMQQNJHII-UHFFFAOYSA-N 0 3 245.366 2.644 20 0 BFADHN COCCC1CN([C@H](C)Cc2ccsc2)C1 ZINC000668472503 487797131 /nfs/dbraw/zinc/79/71/31/487797131.db2.gz AQKXHWVBUDIOHG-LLVKDONJSA-N 0 3 239.384 2.647 20 0 BFADHN CC(C)C1(c2ccccc2)CN([C@@H](C)[C@@H](C)O)C1 ZINC000414192454 487798752 /nfs/dbraw/zinc/79/87/52/487798752.db2.gz TZCCIWPCDDQGLO-UONOGXRCSA-N 0 3 247.382 2.665 20 0 BFADHN COCCC1CN(C/C=C\c2ccccc2)C1 ZINC000668472635 487798884 /nfs/dbraw/zinc/79/88/84/487798884.db2.gz NNNADSBLJBTYGQ-YVMONPNESA-N 0 3 231.339 2.668 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ncc[nH]1)CC(F)(F)F ZINC000414251452 487801490 /nfs/dbraw/zinc/80/14/90/487801490.db2.gz ABMDMYNTZGQTQD-SFYZADRCSA-N 0 3 235.253 2.649 20 0 BFADHN CCC(CC)N(Cc1cc(C)n(C)n1)C1CC1 ZINC000676038992 487803392 /nfs/dbraw/zinc/80/33/92/487803392.db2.gz YPQTUZDZTZMWOU-UHFFFAOYSA-N 0 3 235.375 2.882 20 0 BFADHN Cc1cn[nH]c1CN1CCc2ccc(C)cc2C1 ZINC000668478898 487806960 /nfs/dbraw/zinc/80/69/60/487806960.db2.gz JURAXFVIISMJHR-UHFFFAOYSA-N 0 3 241.338 2.585 20 0 BFADHN CCN(CCC(F)(F)F)C[C@H]1CCCOC1 ZINC000676082979 487809789 /nfs/dbraw/zinc/80/97/89/487809789.db2.gz WHBLIILDAUMJCV-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@H]2CC2(F)F)cn1 ZINC000666444536 487810301 /nfs/dbraw/zinc/81/03/01/487810301.db2.gz HUFRCMRHEFHLRX-GXSJLCMTSA-N 0 3 226.270 2.523 20 0 BFADHN COc1cccc(CN[C@H](C)[C@H]2CC2(F)F)c1 ZINC000666444076 487810762 /nfs/dbraw/zinc/81/07/62/487810762.db2.gz BNMZJDZBUDZFSX-BXKDBHETSA-N 0 3 241.281 2.829 20 0 BFADHN Fc1cccc([C@H]2CCN2C[C@H]2CCCOC2)c1 ZINC000676081947 487811251 /nfs/dbraw/zinc/81/12/51/487811251.db2.gz AMDBTUYHWHACJQ-IUODEOHRSA-N 0 3 249.329 2.999 20 0 BFADHN Fc1cccc([C@@H]2CCN2C[C@@H]2CCCOC2)c1 ZINC000676081949 487811946 /nfs/dbraw/zinc/81/19/46/487811946.db2.gz AMDBTUYHWHACJQ-WFASDCNBSA-N 0 3 249.329 2.999 20 0 BFADHN Cc1ncc(CN[C@@H](C)[C@H]2CC2(F)F)s1 ZINC000666445871 487811696 /nfs/dbraw/zinc/81/16/96/487811696.db2.gz YEIZWTWFPOJQLJ-IMTBSYHQSA-N 0 3 232.299 2.585 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCCOC2)c(C)n1 ZINC000676086455 487813233 /nfs/dbraw/zinc/81/32/33/487813233.db2.gz DSMMAIGFAZHASL-QWHCGFSZSA-N 0 3 234.343 2.528 20 0 BFADHN c1ncc(CN2CC[C@@H](C3CCC3)C2)s1 ZINC000666487742 487819480 /nfs/dbraw/zinc/81/94/80/487819480.db2.gz KDMXDYFGKISWAE-LLVKDONJSA-N 0 3 222.357 2.765 20 0 BFADHN COC[C@H]1CCCN1Cc1ccccc1Cl ZINC000674465492 487823483 /nfs/dbraw/zinc/82/34/83/487823483.db2.gz BOGHVTUGKLZXJF-GFCCVEGCSA-N 0 3 239.746 2.951 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H]2COCC2(C)C)o1 ZINC000313048899 322945099 /nfs/dbraw/zinc/94/50/99/322945099.db2.gz ZAOWZWWLVQYMNM-MPKXVKKWSA-N 0 3 249.354 2.918 20 0 BFADHN CCCCN(C)[C@H](C)c1cnc(C)nc1C ZINC000681640034 487829401 /nfs/dbraw/zinc/82/94/01/487829401.db2.gz RWQVGWGDFDMZMS-LLVKDONJSA-N 0 3 221.348 2.886 20 0 BFADHN CC[C@H]1CN([C@H]2CCc3ccccc32)CCO1 ZINC000681657383 487830576 /nfs/dbraw/zinc/83/05/76/487830576.db2.gz DBCNEEYAOYFKNB-ZFWWWQNUSA-N 0 3 231.339 2.785 20 0 BFADHN CC(C)N1CCN([C@H]2CCc3ccccc32)CC1 ZINC000681657220 487832009 /nfs/dbraw/zinc/83/20/09/487832009.db2.gz WECYYYPILNRZPD-INIZCTEOSA-N 0 3 244.382 2.700 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2N1CCSCC1 ZINC000681659474 487832754 /nfs/dbraw/zinc/83/27/54/487832754.db2.gz PTAWADLLAMYDDV-ZDUSSCGKSA-N 0 3 219.353 2.723 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CCCC[C@@H](C)C1 ZINC000481565356 527989664 /nfs/dbraw/zinc/98/96/64/527989664.db2.gz IFFFIQRYQXKEQO-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)CC(=O)CCN1CCC(C)(C)C1 ZINC000827959430 587413616 /nfs/dbraw/zinc/41/36/16/587413616.db2.gz UZQWQSGZKQAZCS-UHFFFAOYSA-N 0 3 211.349 2.724 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@]2(C)CC)n1 ZINC000651830541 487838593 /nfs/dbraw/zinc/83/85/93/487838593.db2.gz XGDOVEYJLNHXRN-KGLIPLIRSA-N 0 3 235.375 2.961 20 0 BFADHN C[C@@H]1CN(CCO[C@@H]2CC2(F)F)CC(C)(C)C1 ZINC000660248968 487845577 /nfs/dbraw/zinc/84/55/77/487845577.db2.gz WHKSEOSPKFTDGS-WDEREUQCSA-N 0 3 247.329 2.779 20 0 BFADHN C(c1noc2c1CCCC2)N1CC[C@H]2CCC[C@H]21 ZINC000681709215 487845732 /nfs/dbraw/zinc/84/57/32/487845732.db2.gz DZHMXENHJQKXAC-BXUZGUMPSA-N 0 3 246.354 2.928 20 0 BFADHN CCOc1ccc(CN2CC[C@@H]3CCC[C@H]32)nc1 ZINC000681710515 487846846 /nfs/dbraw/zinc/84/68/46/487846846.db2.gz TUHQZKYROWXEAF-SWLSCSKDSA-N 0 3 246.354 2.855 20 0 BFADHN CSC(C)(C)CCN1CCC[C@H](F)C1 ZINC000877617068 590374264 /nfs/dbraw/zinc/37/42/64/590374264.db2.gz RUMKIHHQXIDXIO-JTQLQIEISA-N 0 3 219.369 2.952 20 0 BFADHN c1cc2cccc(CN[C@@H]3CCOC3)c2s1 ZINC000651863336 487848137 /nfs/dbraw/zinc/84/81/37/487848137.db2.gz DKBSSIWBTSYGDR-GFCCVEGCSA-N 0 3 233.336 2.780 20 0 BFADHN COC[C@@H](NCc1cnc(Cl)s1)C(C)C ZINC000309035621 631808376 /nfs/dbraw/zinc/80/83/76/631808376.db2.gz HWKWBISNQOIIDU-SECBINFHSA-N 0 3 248.779 2.557 20 0 BFADHN Cc1cncc(CCN[C@H](C)c2ccsc2)n1 ZINC000402749850 487848492 /nfs/dbraw/zinc/84/84/92/487848492.db2.gz YPOWMVIEIIBXML-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN CO[C@H](CNc1cc(C)cc(C)n1)C1CC1 ZINC000638788730 487853286 /nfs/dbraw/zinc/85/32/86/487853286.db2.gz ROAJVOUUFWMEPR-GFCCVEGCSA-N 0 3 220.316 2.535 20 0 BFADHN COc1cccc(CNC2CC3(CCC3)C2)n1 ZINC000676490567 487855965 /nfs/dbraw/zinc/85/59/65/487855965.db2.gz RFYPBWSXASQTEO-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN Cc1n[nH]cc1CN(C)CCCC(C)C ZINC000681755391 487856114 /nfs/dbraw/zinc/85/61/14/487856114.db2.gz STOWWGRECKLLNS-UHFFFAOYSA-N 0 3 209.337 2.586 20 0 BFADHN C[C@@H](N[C@H](CO)CC1CCCC1)c1ccoc1 ZINC000558697552 322953811 /nfs/dbraw/zinc/95/38/11/322953811.db2.gz IZFCSXOBKQNRGM-RISCZKNCSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](N[C@@H](CO)CC1CCCC1)c1ccoc1 ZINC000558697553 322953882 /nfs/dbraw/zinc/95/38/82/322953882.db2.gz IZFCSXOBKQNRGM-SMDDNHRTSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](CN(C)Cc1cnccn1)C(C)(C)C ZINC000670263026 487861282 /nfs/dbraw/zinc/86/12/82/487861282.db2.gz VOAGXSSSEHQVCH-LLVKDONJSA-N 0 3 221.348 2.591 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000507506273 487874499 /nfs/dbraw/zinc/87/44/99/487874499.db2.gz FWFOBRKFXFDRSM-ZRJCITRHSA-N 0 3 246.354 2.615 20 0 BFADHN C[C@@H](NCCOC1CCCC1)c1ccoc1 ZINC000087290259 322963652 /nfs/dbraw/zinc/96/36/52/322963652.db2.gz PODZKMYLFNSDGS-LLVKDONJSA-N 0 3 223.316 2.889 20 0 BFADHN CC(C)(C)CCCN1CCc2c[nH]nc2C1 ZINC000682028876 487882055 /nfs/dbraw/zinc/88/20/55/487882055.db2.gz JMMSXDOHDHBNAN-UHFFFAOYSA-N 0 3 221.348 2.594 20 0 BFADHN CC1CN(Cc2nccc3ccccc32)C1 ZINC000670270635 487886456 /nfs/dbraw/zinc/88/64/56/487886456.db2.gz FDUCNUSCIWIEFH-UHFFFAOYSA-N 0 3 212.296 2.687 20 0 BFADHN Cc1ccnc(CN2CCCCC[C@H]2CCO)c1 ZINC000671246637 487891484 /nfs/dbraw/zinc/89/14/84/487891484.db2.gz LZKSZXRBAKVYRC-HNNXBMFYSA-N 0 3 248.370 2.517 20 0 BFADHN C[C@H](Cc1cccc(F)c1)N(C)Cc1cn[nH]c1 ZINC000682071957 487893723 /nfs/dbraw/zinc/89/37/23/487893723.db2.gz LDKTUNNDPAXZJO-LLVKDONJSA-N 0 3 247.317 2.612 20 0 BFADHN Cc1cc(C)cc(CN[C@H]2CO[C@@H](C3CC3)C2)c1 ZINC000668531135 487894288 /nfs/dbraw/zinc/89/42/88/487894288.db2.gz PICCILAXWKTOGS-HZPDHXFCSA-N 0 3 245.366 2.961 20 0 BFADHN CCCC[C@H](CC)CCN1CC[C@@](F)(CO)C1 ZINC000670273499 487896615 /nfs/dbraw/zinc/89/66/15/487896615.db2.gz CCZYHFNZDDAFRS-KBPBESRZSA-N 0 3 245.382 2.999 20 0 BFADHN CC1(C)CCC[C@@H](CN2CC[C@](F)(CO)C2)C1 ZINC000670273727 487897849 /nfs/dbraw/zinc/89/78/49/487897849.db2.gz UWZGZZCGURGAAZ-TZMCWYRMSA-N 0 3 243.366 2.609 20 0 BFADHN C[C@H]1COCCCN1CCCOc1ccccc1 ZINC000676711254 487898657 /nfs/dbraw/zinc/89/86/57/487898657.db2.gz FLGDRMGWZXVWAL-AWEZNQCLSA-N 0 3 249.354 2.566 20 0 BFADHN C[C@@H]1CCCC[C@@H]1OCCN1CC=CCC1 ZINC000679321479 487899883 /nfs/dbraw/zinc/89/98/83/487899883.db2.gz DGLMKUHDFJZTPT-KGLIPLIRSA-N 0 3 223.360 2.844 20 0 BFADHN c1cc(CNC[C@@H]2CCC3(CCCC3)O2)ccn1 ZINC000229269677 487900194 /nfs/dbraw/zinc/90/01/94/487900194.db2.gz QHXCMNWAZCGXNT-AWEZNQCLSA-N 0 3 246.354 2.663 20 0 BFADHN Clc1ccc(OCCN2CC=CCC2)cc1 ZINC000679322407 487901140 /nfs/dbraw/zinc/90/11/40/487901140.db2.gz IHYSKYWLUNKUQA-UHFFFAOYSA-N 0 3 237.730 2.981 20 0 BFADHN C[C@@H]1CN(CCCOC(C)(C)C)[C@H](C)[C@H](C)O1 ZINC000682091126 487908256 /nfs/dbraw/zinc/90/82/56/487908256.db2.gz IEIFUQDXISBZOD-UPJWGTAASA-N 0 3 243.391 2.689 20 0 BFADHN C[C@@H]1CN(CCCOC(C)(C)C)[C@H](C)[C@@H](C)O1 ZINC000682091125 487908592 /nfs/dbraw/zinc/90/85/92/487908592.db2.gz IEIFUQDXISBZOD-JHJVBQTASA-N 0 3 243.391 2.689 20 0 BFADHN c1ccc(C2=CCCN([C@H]3CCCOC3)C2)cc1 ZINC000671253212 487907959 /nfs/dbraw/zinc/90/79/59/487907959.db2.gz GUWWVJCVZAFZCF-INIZCTEOSA-N 0 3 243.350 2.955 20 0 BFADHN NCc1ccccc1OCCC1CCC1 ZINC000229412644 487912038 /nfs/dbraw/zinc/91/20/38/487912038.db2.gz CCFMXGTYKRFVAW-UHFFFAOYSA-N 0 3 205.301 2.714 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2ncc[nH]2)CC1 ZINC000083435889 487912689 /nfs/dbraw/zinc/91/26/89/487912689.db2.gz MZXYXXFEIRPFNB-NWDGAFQWSA-N 0 3 221.348 2.858 20 0 BFADHN Cc1ccc(CN(CC[C@@H]2CCOC2)C2CC2)o1 ZINC000682152367 487914399 /nfs/dbraw/zinc/91/43/99/487914399.db2.gz ZXYLKMMZAFYXKT-CYBMUJFWSA-N 0 3 249.354 2.979 20 0 BFADHN CCN(CC[C@@H]1CCOC1)Cc1ccoc1 ZINC000682153759 487915664 /nfs/dbraw/zinc/91/56/64/487915664.db2.gz CVHXEXREUUPAGX-GFCCVEGCSA-N 0 3 223.316 2.528 20 0 BFADHN CC(C)O[C@@H]1CCCN(Cc2cccc(O)c2)C1 ZINC000404573708 487927611 /nfs/dbraw/zinc/92/76/11/487927611.db2.gz JMYNFCXEWXWIMA-OAHLLOKOSA-N 0 3 249.354 2.782 20 0 BFADHN CC(C)O[C@H]1CCCN(Cc2cccc(N)c2)C1 ZINC000404585665 487928440 /nfs/dbraw/zinc/92/84/40/487928440.db2.gz UCRKCRAHCHRARF-HNNXBMFYSA-N 0 3 248.370 2.658 20 0 BFADHN Cc1cccc(C)c1CN1CCc2cn[nH]c2C1 ZINC000676792503 487928975 /nfs/dbraw/zinc/92/89/75/487928975.db2.gz OUFWZPXTJRXANF-UHFFFAOYSA-N 0 3 241.338 2.585 20 0 BFADHN CC1(C)C[C@H](Nc2ccnc3ccccc32)CO1 ZINC000396486354 487936119 /nfs/dbraw/zinc/93/61/19/487936119.db2.gz BGTMOBVQJYTNAP-NSHDSACASA-N 0 3 242.322 2.636 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN(C)Cc1cn[nH]c1 ZINC000682404674 487948248 /nfs/dbraw/zinc/94/82/48/487948248.db2.gz HYDMWNJITOTGIA-GXTWGEPZSA-N 0 3 233.359 2.834 20 0 BFADHN CCC1(CC)CN(Cc2ccc(CO)cc2)C1 ZINC000227496980 487949267 /nfs/dbraw/zinc/94/92/67/487949267.db2.gz QZZBZQDJXIBCBS-UHFFFAOYSA-N 0 3 233.355 2.801 20 0 BFADHN Cc1sccc1CN(C)CC1=CCCOC1 ZINC000668569082 487950216 /nfs/dbraw/zinc/95/02/16/487950216.db2.gz RHASTQLBDFDZPM-UHFFFAOYSA-N 0 3 237.368 2.835 20 0 BFADHN COC[C@H](C)CNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000044478060 322840833 /nfs/dbraw/zinc/84/08/33/322840833.db2.gz PXEAXMBIVYOALV-NQBHXWOUSA-N 0 3 237.343 2.775 20 0 BFADHN Fc1cc(F)cc(CCNCc2ccccn2)c1 ZINC000051634539 322851006 /nfs/dbraw/zinc/85/10/06/322851006.db2.gz GAXWOUFSIMAVDZ-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1cnccn1 ZINC000569445970 322966243 /nfs/dbraw/zinc/96/62/43/322966243.db2.gz HZZWQRBCILLDAK-LBPRGKRZSA-N 0 3 219.332 2.701 20 0 BFADHN C[C@@H](NCCc1ccc(O)cc1)c1ccoc1 ZINC000094869141 322977797 /nfs/dbraw/zinc/97/77/97/322977797.db2.gz IEFRJQHVMAYNMA-LLVKDONJSA-N 0 3 231.295 2.879 20 0 BFADHN CN(C)CCSCc1ccc(F)cc1F ZINC000149948325 322978410 /nfs/dbraw/zinc/97/84/10/322978410.db2.gz MCKPCBBCQCJUGS-UHFFFAOYSA-N 0 3 231.311 2.760 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1cccc2ccoc21 ZINC000560119892 322983125 /nfs/dbraw/zinc/98/31/25/322983125.db2.gz GDYBJZXXOJJUAT-OLZOCXBDSA-N 0 3 231.295 2.700 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc2occc2c1 ZINC000560495996 322988623 /nfs/dbraw/zinc/98/86/23/322988623.db2.gz GZBVTDDNZNBALC-TZMCWYRMSA-N 0 3 231.295 2.700 20 0 BFADHN CCC[C@@H](N[C@H](C)c1nccc(N)n1)C1CCC1 ZINC000566429294 322994525 /nfs/dbraw/zinc/99/45/25/322994525.db2.gz SDIQHGAAAUTYCH-ZYHUDNBSSA-N 0 3 248.374 2.509 20 0 BFADHN CC(C)(C)n1cc(CN[C@@]2(C)CC=CCC2)nn1 ZINC000398328451 323002175 /nfs/dbraw/zinc/00/21/75/323002175.db2.gz KBSXWPJAGOSZBG-AWEZNQCLSA-N 0 3 248.374 2.622 20 0 BFADHN CCOc1ccccc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000566500452 323003705 /nfs/dbraw/zinc/00/37/05/323003705.db2.gz MVLZUKKXINEKHP-NHAGDIPZSA-N 0 3 231.339 2.973 20 0 BFADHN COCc1ccc(CN(C)CC2CCC2)o1 ZINC000127775833 323005734 /nfs/dbraw/zinc/00/57/34/323005734.db2.gz IZYUSEOCLJZJAM-UHFFFAOYSA-N 0 3 223.316 2.658 20 0 BFADHN c1ccc([C@@H]2CCCN2CCOC2CCC2)nc1 ZINC000561865861 323008148 /nfs/dbraw/zinc/00/81/48/323008148.db2.gz UASMLUSLYCBHFA-HNNXBMFYSA-N 0 3 246.354 2.788 20 0 BFADHN Cc1n[nH]cc1CN(C1CC1)C1CCCC1 ZINC000130231982 323010514 /nfs/dbraw/zinc/01/05/14/323010514.db2.gz IVOXQXVPXZMWEA-UHFFFAOYSA-N 0 3 219.332 2.625 20 0 BFADHN CCC[C@H](N[C@H]1C[C@H]1OCC)c1cccnc1 ZINC000562498682 323022088 /nfs/dbraw/zinc/02/20/88/323022088.db2.gz CYMVUANACYFNHI-MELADBBJSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@H](c1ccoc1)N1CCC[C@]2(CCOC2)C1 ZINC000562569129 323024632 /nfs/dbraw/zinc/02/46/32/323024632.db2.gz OHPLEPPUGVAUQW-OCCSQVGLSA-N 0 3 235.327 2.843 20 0 BFADHN C[C@@H](c1ccoc1)N1CCC[C@@]2(CCOC2)C1 ZINC000562569125 323024658 /nfs/dbraw/zinc/02/46/58/323024658.db2.gz OHPLEPPUGVAUQW-GXTWGEPZSA-N 0 3 235.327 2.843 20 0 BFADHN CCn1ccnc1CN[C@@H](C)C(C)(C)CC ZINC000562569423 323024689 /nfs/dbraw/zinc/02/46/89/323024689.db2.gz UTFHJLPQKKEXLX-NSHDSACASA-N 0 3 223.364 2.817 20 0 BFADHN C[C@@H]1CN(Cc2ccc3c(n2)CCC3)C[C@H]1C ZINC000562679161 323027385 /nfs/dbraw/zinc/02/73/85/323027385.db2.gz PPLKKYUOTYVESA-VXGBXAGGSA-N 0 3 230.355 2.658 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccoc1)CC(C)C ZINC000562797760 323030735 /nfs/dbraw/zinc/03/07/35/323030735.db2.gz ZUENIQRAWZDPAW-YPMHNXCESA-N 0 3 225.332 2.991 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccoc1)CC(C)C ZINC000562797757 323030741 /nfs/dbraw/zinc/03/07/41/323030741.db2.gz ZUENIQRAWZDPAW-DGCLKSJQSA-N 0 3 225.332 2.991 20 0 BFADHN CCn1cncc1CNCCCc1cccs1 ZINC000562807999 323031121 /nfs/dbraw/zinc/03/11/21/323031121.db2.gz DVWJXKASVBFBTD-UHFFFAOYSA-N 0 3 249.383 2.687 20 0 BFADHN CCC(CC)CC(=O)N(CCN(C)C)C(C)C ZINC000151950640 323035195 /nfs/dbraw/zinc/03/51/95/323035195.db2.gz CGSVIUZBSWAXFC-UHFFFAOYSA-N 0 3 242.407 2.611 20 0 BFADHN Cc1nc(CNC(C)(C)C(C)C)[nH]c1C ZINC000565236926 323035408 /nfs/dbraw/zinc/03/54/08/323035408.db2.gz CZKHCMOVHZZCCA-UHFFFAOYSA-N 0 3 209.337 2.551 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc2ncccc2c1 ZINC000569659936 323035886 /nfs/dbraw/zinc/03/58/86/323035886.db2.gz VLMDLBAPWXZMPJ-GJZGRUSLSA-N 0 3 242.322 2.502 20 0 BFADHN Cc1cc(CN2CCC3(CCO3)CC2)cs1 ZINC000569780173 323040436 /nfs/dbraw/zinc/04/04/36/323040436.db2.gz MIWNTVGGYFJEBE-UHFFFAOYSA-N 0 3 237.368 2.811 20 0 BFADHN Cc1nsc(C)c1CN1CCC[C@H]1C ZINC000569863130 323043011 /nfs/dbraw/zinc/04/30/11/323043011.db2.gz LXJLPTGHZJUCOQ-MRVPVSSYSA-N 0 3 210.346 2.744 20 0 BFADHN CCc1ncc(CN[C@H](C(C)C)C2CC2)o1 ZINC000569901359 323043969 /nfs/dbraw/zinc/04/39/69/323043969.db2.gz FYBGJBAZANJZBJ-CYBMUJFWSA-N 0 3 222.332 2.761 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC(C)C)C2)cn1 ZINC000569927766 323044501 /nfs/dbraw/zinc/04/45/01/323044501.db2.gz KFUNVNXBVGHTNM-OAHLLOKOSA-N 0 3 248.370 2.643 20 0 BFADHN FC1(CNC[C@@H]2CSc3ccccc32)CC1 ZINC000570246168 323053788 /nfs/dbraw/zinc/05/37/88/323053788.db2.gz SJLBYOKNRFEGLR-SNVBAGLBSA-N 0 3 237.343 2.968 20 0 BFADHN CCc1ccc(CN(CC)[C@@H]2CCOC2)s1 ZINC000570375939 323057740 /nfs/dbraw/zinc/05/77/40/323057740.db2.gz KDXANSLMTDQQFO-LLVKDONJSA-N 0 3 239.384 2.921 20 0 BFADHN CCC[C@H](C)[C@@H](CO)N[C@@H](C)c1cncs1 ZINC000570521557 323061933 /nfs/dbraw/zinc/06/19/33/323061933.db2.gz VNIXZHLTWRTELI-GARJFASQSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@@H]1CCN(Cc2cncn2C)[C@@H]2CCCC[C@H]12 ZINC000531438111 323089571 /nfs/dbraw/zinc/08/95/71/323089571.db2.gz ASANMECKTVEPRU-BPLDGKMQSA-N 0 3 247.386 2.821 20 0 BFADHN F[C@H]1CCC[C@H](NCc2cnc(C3CC3)nc2)C1 ZINC000567853691 323093055 /nfs/dbraw/zinc/09/30/55/323093055.db2.gz KTDDLVKVVWJUFG-STQMWFEESA-N 0 3 249.333 2.724 20 0 BFADHN F[C@@H]1CCC[C@@H](NCc2cnc(C3CC3)nc2)C1 ZINC000567853688 323093077 /nfs/dbraw/zinc/09/30/77/323093077.db2.gz KTDDLVKVVWJUFG-CHWSQXEVSA-N 0 3 249.333 2.724 20 0 BFADHN CCc1ccc(CN2CC(C(C)(C)O)C2)s1 ZINC000563117472 323093264 /nfs/dbraw/zinc/09/32/64/323093264.db2.gz KXDBREKAPYRBOX-UHFFFAOYSA-N 0 3 239.384 2.513 20 0 BFADHN C(=C\c1ccccc1)\CNCC1=CCOCC1 ZINC000397782398 323096041 /nfs/dbraw/zinc/09/60/41/323096041.db2.gz PAHHBQKRUTYQMI-DAXSKMNVSA-N 0 3 229.323 2.636 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCCC1CC1 ZINC000219190861 323098431 /nfs/dbraw/zinc/09/84/31/323098431.db2.gz YRCDIFBLBVYKBH-SNVBAGLBSA-N 0 3 219.332 2.626 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2ccnc(C)c2)C1(C)C ZINC000391167479 323099392 /nfs/dbraw/zinc/09/93/92/323099392.db2.gz PMSIQKZUMPJBCC-UONOGXRCSA-N 0 3 248.370 2.683 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)N[C@@H](C)CCCC(C)C ZINC000040858537 323110988 /nfs/dbraw/zinc/11/09/88/323110988.db2.gz LCVJGUBELAJMDH-AGIUHOORSA-N 0 3 242.407 2.691 20 0 BFADHN COCc1ccc(CN2C3CCC2CC3)o1 ZINC000534331597 323116984 /nfs/dbraw/zinc/11/69/84/323116984.db2.gz IXTKGHRBWUNCET-UHFFFAOYSA-N 0 3 221.300 2.553 20 0 BFADHN CCn1nccc1CN(CC1CC1)C(C)C ZINC000534337774 323117935 /nfs/dbraw/zinc/11/79/35/323117935.db2.gz FIBGPGOZYWZFAX-UHFFFAOYSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](F)C1)c1cn2ccccc2n1 ZINC000563419090 323123857 /nfs/dbraw/zinc/12/38/57/323123857.db2.gz RXTJYAPHBXRICQ-SDDRHHMPSA-N 0 3 247.317 2.876 20 0 BFADHN COc1ccc(OC)c(CN[C@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000563441588 323126724 /nfs/dbraw/zinc/12/67/24/323126724.db2.gz BASZLWGTTATCSW-NHAGDIPZSA-N 0 3 247.338 2.592 20 0 BFADHN Cc1nocc1CNC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403839757 323128354 /nfs/dbraw/zinc/12/83/54/323128354.db2.gz PCNRTCIAIKRFOM-GRYCIOLGSA-N 0 3 220.316 2.509 20 0 BFADHN c1cnc(CN[C@@H]2CCC[C@H](C3CC3)C2)cn1 ZINC000563530513 323129376 /nfs/dbraw/zinc/12/93/76/323129376.db2.gz AUBSZLMTCVGETN-QWHCGFSZSA-N 0 3 231.343 2.535 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CCC[C@@H](F)C1 ZINC000563626404 323132264 /nfs/dbraw/zinc/13/22/64/323132264.db2.gz YTKZAOTVXIJFRJ-TUAOUCFPSA-N 0 3 239.338 2.834 20 0 BFADHN Cc1cccn2cc(CN[C@H]3CCC[C@@H]3C)nc12 ZINC000152216200 323133521 /nfs/dbraw/zinc/13/35/21/323133521.db2.gz WRYCFRUZBJEUKZ-FZMZJTMJSA-N 0 3 243.354 2.921 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccsc1)c1cn[nH]c1 ZINC000303496217 323134242 /nfs/dbraw/zinc/13/42/42/323134242.db2.gz DUCAJMJVOIRIGE-VHSXEESVSA-N 0 3 235.356 2.753 20 0 BFADHN CCc1cc(CN[C@@H](C)Cc2ccoc2)on1 ZINC000572810491 323142848 /nfs/dbraw/zinc/14/28/48/323142848.db2.gz YMLDVRFRLULVKZ-JTQLQIEISA-N 0 3 234.299 2.551 20 0 BFADHN Cc1nc2sccn2c1CN(C)CC1(C)CC1 ZINC000571379596 323143119 /nfs/dbraw/zinc/14/31/19/323143119.db2.gz FWORWJPXQPKTPE-UHFFFAOYSA-N 0 3 249.383 2.936 20 0 BFADHN COCCCCN(C)Cc1sc(C)nc1C ZINC000412970275 323149749 /nfs/dbraw/zinc/14/97/49/323149749.db2.gz CDRGLCXEVNSFTD-UHFFFAOYSA-N 0 3 242.388 2.618 20 0 BFADHN CCC[C@H](NCc1nncn1CC)C(C)(C)C ZINC000453058122 323152404 /nfs/dbraw/zinc/15/24/04/323152404.db2.gz JXXXTIPMVZQJPG-NSHDSACASA-N 0 3 238.379 2.602 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@H]3C[C@H]3C2)c1OC ZINC000397658643 323152666 /nfs/dbraw/zinc/15/26/66/323152666.db2.gz ZIOGXEBJJMNBQV-CLLJXQQHSA-N 0 3 247.338 2.592 20 0 BFADHN COc1cc2c(cc1CN1CCCC1)O[C@H](C)C2 ZINC000535064811 323164982 /nfs/dbraw/zinc/16/49/82/323164982.db2.gz KNWAWBMBVMQNRE-LLVKDONJSA-N 0 3 247.338 2.614 20 0 BFADHN CCn1ccnc1CNCCc1ccccc1C ZINC000112345541 323169273 /nfs/dbraw/zinc/16/92/73/323169273.db2.gz LLLFEGLRKDXTJJ-UHFFFAOYSA-N 0 3 243.354 2.544 20 0 BFADHN C[C@H](O)CCN(C)[C@@H](C)c1ccc(F)cc1 ZINC000075777582 323171632 /nfs/dbraw/zinc/17/16/32/323171632.db2.gz IHYRSAUAGOEPIG-QWRGUYRKSA-N 0 3 225.307 2.589 20 0 BFADHN C[C@H]1C[C@H]1CNCc1noc2ccccc21 ZINC000563730885 323176418 /nfs/dbraw/zinc/17/64/18/323176418.db2.gz KBMRFBOAXZPYQK-UWVGGRQHSA-N 0 3 216.284 2.573 20 0 BFADHN C[C@@H](NCCCF)c1ccc(Cl)cn1 ZINC000308662674 323176685 /nfs/dbraw/zinc/17/66/85/323176685.db2.gz QXBAVCGQLSZDMH-MRVPVSSYSA-N 0 3 216.687 2.745 20 0 BFADHN Cc1ccc([C@@H](C)CNCc2cnccn2)cc1 ZINC000563869914 323181925 /nfs/dbraw/zinc/18/19/25/323181925.db2.gz UCNWENMEEOGUGY-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1ccc2ncc(CN3C4CCC3CC4)n2c1 ZINC000535782587 323191221 /nfs/dbraw/zinc/19/12/21/323191221.db2.gz WMVRELPVBSGIJD-UHFFFAOYSA-N 0 3 241.338 2.770 20 0 BFADHN CC(C)=CCN1CCOC[C@@H]1CC(C)C ZINC000113942056 323192184 /nfs/dbraw/zinc/19/21/84/323192184.db2.gz DKVWPVJTEXHSMJ-ZDUSSCGKSA-N 0 3 211.349 2.700 20 0 BFADHN CC(C)=CCN1CCOC[C@H]1CC(C)C ZINC000113942055 323192229 /nfs/dbraw/zinc/19/22/29/323192229.db2.gz DKVWPVJTEXHSMJ-CYBMUJFWSA-N 0 3 211.349 2.700 20 0 BFADHN CO[C@@H](C)CNCc1ccc(C(F)F)cc1 ZINC000223955302 323194654 /nfs/dbraw/zinc/19/46/54/323194654.db2.gz HALNPQMMHKSBSP-VIFPVBQESA-N 0 3 229.270 2.749 20 0 BFADHN C[C@@H]1CCC[C@@H](CCNCc2cnccn2)C1 ZINC000070538103 323197230 /nfs/dbraw/zinc/19/72/30/323197230.db2.gz IDGXIHHGVXHHPZ-OLZOCXBDSA-N 0 3 233.359 2.783 20 0 BFADHN Cc1ncccc1CN1C2CCC1CC2 ZINC000536628208 323198841 /nfs/dbraw/zinc/19/88/41/323198841.db2.gz VPUPHBXBXZLRHW-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN CC(C)N(Cc1ccccn1)CC1=CCCOC1 ZINC000536652458 323199410 /nfs/dbraw/zinc/19/94/10/323199410.db2.gz OKKWLCNKXQCQNW-UHFFFAOYSA-N 0 3 246.354 2.639 20 0 BFADHN C/C(=C/c1ccccc1)CN1CCN(C)C[C@H]1C ZINC000536672073 323200503 /nfs/dbraw/zinc/20/05/03/323200503.db2.gz GSSDUQCOGVQUEE-QKXCFHHRSA-N 0 3 244.382 2.726 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(SC)s1 ZINC000309042104 323205550 /nfs/dbraw/zinc/20/55/50/323205550.db2.gz LUJCOEFSYXSIIM-UWVGGRQHSA-N 0 3 243.397 2.737 20 0 BFADHN COC[C@H](CC(C)C)NCc1cccc(C)n1 ZINC000532193704 323208625 /nfs/dbraw/zinc/20/86/25/323208625.db2.gz QRFMULDIBRPOLT-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN C/C=C/C=C/C(=O)Nc1ccccc1CN(C)C ZINC000042752609 323253191 /nfs/dbraw/zinc/25/31/91/323253191.db2.gz SNWBVXZRSBTMJY-LJIKRCSCSA-N 0 3 244.338 2.819 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)CC1)c1ccncn1 ZINC000395912267 323260851 /nfs/dbraw/zinc/26/08/51/323260851.db2.gz LLYMZMJLHWRWJK-SRVKXCTJSA-N 0 3 219.332 2.706 20 0 BFADHN CCC[C@@H](CC)N[C@@H](C)c1ccncn1 ZINC000395911614 323262731 /nfs/dbraw/zinc/26/27/31/323262731.db2.gz PGATUHGWCQQGKB-WDEREUQCSA-N 0 3 207.321 2.706 20 0 BFADHN c1csc(CN[C@H](C2CC2)C2CCC2)n1 ZINC000324696177 323262837 /nfs/dbraw/zinc/26/28/37/323262837.db2.gz WQDHHBKBRCPAKV-LBPRGKRZSA-N 0 3 222.357 2.811 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H](C)C1)c1ccncn1 ZINC000395914746 323263389 /nfs/dbraw/zinc/26/33/89/323263389.db2.gz QSXRCNOJZGXOBI-UTUOFQBUSA-N 0 3 219.332 2.706 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2cn(C)nc2C)o1 ZINC000532450385 323265115 /nfs/dbraw/zinc/26/51/15/323265115.db2.gz FWEFFDDLIJINQU-LLVKDONJSA-N 0 3 247.342 2.523 20 0 BFADHN C[C@@H](NCC1CCC(C)CC1)c1ccncn1 ZINC000395913470 323266105 /nfs/dbraw/zinc/26/61/05/323266105.db2.gz QDKHDTWWEILYJT-OTTFEQOBSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@H](c1ccccn1)N1C2CCC1CC2 ZINC000532466978 323266917 /nfs/dbraw/zinc/26/69/17/323266917.db2.gz ZTGNLBVUYVPSRK-VOMCLLRMSA-N 0 3 202.301 2.769 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1ccncn1 ZINC000395922499 323267232 /nfs/dbraw/zinc/26/72/32/323267232.db2.gz UJNYCPRQAUPMNC-XQHKEYJVSA-N 0 3 233.359 2.952 20 0 BFADHN CCn1cncc1CN1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000418011103 323313096 /nfs/dbraw/zinc/31/30/96/323313096.db2.gz KHYSRHZNQWMUGN-UPJWGTAASA-N 0 3 235.375 2.769 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CC[C@@H](C(C)C)C1 ZINC000228671679 323366375 /nfs/dbraw/zinc/36/63/75/323366375.db2.gz ZJKLLIFUVLSUSJ-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@H](N[C@H](C)c1n[nH]c(C)n1)c1ccccc1 ZINC000392387625 323379111 /nfs/dbraw/zinc/37/91/11/323379111.db2.gz RHEUBFZUSNSJBS-MFKMUULPSA-N 0 3 244.342 2.915 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CCCOC1)c1cscn1 ZINC000309420091 323395955 /nfs/dbraw/zinc/39/59/55/323395955.db2.gz JUCJNDZSQCIRSH-DCAQKATOSA-N 0 3 240.372 2.609 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2cncs2)o1 ZINC000309418879 323396061 /nfs/dbraw/zinc/39/60/61/323396061.db2.gz JQRPITWCUIDKMX-JTQLQIEISA-N 0 3 236.340 2.938 20 0 BFADHN COc1cccc(CNCCOCC2CCC2)c1 ZINC000571731085 323470249 /nfs/dbraw/zinc/47/02/49/323470249.db2.gz YXZVLAZOJHVPFO-UHFFFAOYSA-N 0 3 249.354 2.602 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2ccc(C)nc2)C1(C)C ZINC000162468077 323618539 /nfs/dbraw/zinc/61/85/39/323618539.db2.gz CGVGFVLNCRYGRU-DZGCQCFKSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)[C@@H]1CC12CC2 ZINC000527849683 323705692 /nfs/dbraw/zinc/70/56/92/323705692.db2.gz IAGAJOBVHNAMMU-CABZTGNLSA-N 0 3 220.316 2.570 20 0 BFADHN CC(C)c1cccc([C@H](C)N[C@H]2C[C@@H](O)C2)c1 ZINC000527906051 323716023 /nfs/dbraw/zinc/71/60/23/323716023.db2.gz KKMQJFBWYNIUFZ-TUKIKUTGSA-N 0 3 233.355 2.984 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H](C)[C@H]1CC12CC2 ZINC000527906163 323716116 /nfs/dbraw/zinc/71/61/16/323716116.db2.gz LRMKKRQRPMJOCW-SDDRHHMPSA-N 0 3 233.359 2.742 20 0 BFADHN CC(C)[C@@H](C)N[C@@H](C)c1ncccc1F ZINC000528035516 323744007 /nfs/dbraw/zinc/74/40/07/323744007.db2.gz IJRMCPGGUDELTK-ZJUUUORDSA-N 0 3 210.296 2.916 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C)c1ccccn1 ZINC000528005876 323745951 /nfs/dbraw/zinc/74/59/51/323745951.db2.gz VHASKOUNVAZVSS-HNRZYHPDSA-N 0 3 234.343 2.544 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccoc1)C(C)(C)C ZINC000122222516 324032079 /nfs/dbraw/zinc/03/20/79/324032079.db2.gz QQUNFMHOESVYSW-PWSUYJOCSA-N 0 3 225.332 2.991 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@H](C)CCO ZINC000122906106 324061711 /nfs/dbraw/zinc/06/17/11/324061711.db2.gz AKSQEVMTABUOEK-NEPJUHHUSA-N 0 3 237.343 2.507 20 0 BFADHN COC(C)(C)CN(C)Cc1ccc(C)s1 ZINC000537725626 324100535 /nfs/dbraw/zinc/10/05/35/324100535.db2.gz ZDBOEFFKWIRCKL-UHFFFAOYSA-N 0 3 227.373 2.913 20 0 BFADHN CCC[C@H]1CCCC[C@H]1NCc1ccn(C)n1 ZINC000516500945 324117743 /nfs/dbraw/zinc/11/77/43/324117743.db2.gz BBFWCHGPVGXGKQ-GXTWGEPZSA-N 0 3 235.375 2.869 20 0 BFADHN C[C@@H](Nc1cccc(OCCN(C)C)c1)C1CC1 ZINC000037068982 324243767 /nfs/dbraw/zinc/24/37/67/324243767.db2.gz BAGBGKIMUWNOJC-GFCCVEGCSA-N 0 3 248.370 2.837 20 0 BFADHN Cc1csc(CN[C@@H](C)C2CC(F)(F)C2)n1 ZINC000529530333 324326723 /nfs/dbraw/zinc/32/67/23/324326723.db2.gz DITGSLCPPVJYIC-QMMMGPOBSA-N 0 3 246.326 2.975 20 0 BFADHN CCC1(CNCc2ccc(F)cn2)CCC1 ZINC000529545952 324335173 /nfs/dbraw/zinc/33/51/73/324335173.db2.gz RGVGXFSMCJSZDN-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1ccc(F)cn1 ZINC000529558446 324341513 /nfs/dbraw/zinc/34/15/13/324341513.db2.gz RYOVFYXNYQSMTD-MNOVXSKESA-N 0 3 222.307 2.747 20 0 BFADHN C[C@@]1(NCc2ccc(F)cn2)CC=CCC1 ZINC000529604739 324361518 /nfs/dbraw/zinc/36/15/18/324361518.db2.gz MYJTVDUVVQZSRH-CYBMUJFWSA-N 0 3 220.291 2.809 20 0 BFADHN C[C@@H](N[C@H](C)C1CC(F)(F)C1)c1ccn(C)n1 ZINC000529605104 324361842 /nfs/dbraw/zinc/36/18/42/324361842.db2.gz OXZAQZVUDSGIFL-RKDXNWHRSA-N 0 3 243.301 2.505 20 0 BFADHN CO[C@@H]1[C@H](C)[C@H](N[C@@H](C)c2ccoc2)C1(C)C ZINC000538408885 324675914 /nfs/dbraw/zinc/67/59/14/324675914.db2.gz WOZMGNODZJZQMY-RSLMWUCJSA-N 0 3 237.343 2.990 20 0 BFADHN CCCC[C@@H](CC)CNC(=O)[C@@H](CC)N(C)C ZINC000126371512 324694312 /nfs/dbraw/zinc/69/43/12/324694312.db2.gz KGQZMLJYORFXRH-CHWSQXEVSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@H](NCCCF)c1cc(F)cc(F)c1 ZINC000127016677 324738983 /nfs/dbraw/zinc/73/89/83/324738983.db2.gz UQZSSLDKOJMZEJ-MRVPVSSYSA-N 0 3 217.234 2.975 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)C1)c1cscn1 ZINC000128499957 324846009 /nfs/dbraw/zinc/84/60/09/324846009.db2.gz OMAMHGMKEADDKA-KXUCPTDWSA-N 0 3 210.346 2.982 20 0 BFADHN CC(C)[C@H](O)C1(CN[C@H](C)c2ccoc2)CC1 ZINC000540605498 324906866 /nfs/dbraw/zinc/90/68/66/324906866.db2.gz CQNGAHWTMWOLFO-YPMHNXCESA-N 0 3 237.343 2.727 20 0 BFADHN CCC[C@H](N[C@@H](C)CC)c1nnc2ccccn21 ZINC000129269195 324933097 /nfs/dbraw/zinc/93/30/97/324933097.db2.gz JYMRCMAUTYIDMS-RYUDHWBXSA-N 0 3 246.358 2.959 20 0 BFADHN CCC[C@H](NCC(C)(C)OC)c1cccnc1 ZINC000541743838 324976606 /nfs/dbraw/zinc/97/66/06/324976606.db2.gz RLBIXDZKUUFFAN-ZDUSSCGKSA-N 0 3 236.359 2.937 20 0 BFADHN Cc1[nH]ncc1CNc1cnc2c(c1)CCCC2 ZINC000541993841 325002555 /nfs/dbraw/zinc/00/25/55/325002555.db2.gz ATZATURCUAXQLO-UHFFFAOYSA-N 0 3 242.326 2.604 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCCC[C@@H]2C)CCO1 ZINC000542466944 325029017 /nfs/dbraw/zinc/02/90/17/325029017.db2.gz PDKORMUJGUOUIP-BFHYXJOUSA-N 0 3 225.376 2.924 20 0 BFADHN Cc1ccc([C@H](NCC(C)(C)O)C2CCC2)o1 ZINC000542662528 325039532 /nfs/dbraw/zinc/03/95/32/325039532.db2.gz QLZNFYRWFLEVOC-CYBMUJFWSA-N 0 3 237.343 2.790 20 0 BFADHN CC[C@H](NCCc1cccs1)c1ccn(C)n1 ZINC000542670139 325040353 /nfs/dbraw/zinc/04/03/53/325040353.db2.gz CBAHMJOEGIKWID-LBPRGKRZSA-N 0 3 249.383 2.765 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@H](C)[C@@H]1CCCO1)CO2 ZINC000543423321 325087230 /nfs/dbraw/zinc/08/72/30/325087230.db2.gz WPZUDHJKRFYUOK-BNOWGMLFSA-N 0 3 247.338 2.586 20 0 BFADHN CC(C)CN1CCO[C@@H](C2CCCCC2)C1 ZINC000543574315 325094508 /nfs/dbraw/zinc/09/45/08/325094508.db2.gz RHXAVZIIENKLFT-CQSZACIVSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H](N[C@H]1CCS[C@@H]1C)c1cncs1 ZINC000306447677 487967112 /nfs/dbraw/zinc/96/71/12/487967112.db2.gz VXIKCBVSNXZOMP-YIZRAAEISA-N 0 3 228.386 2.688 20 0 BFADHN CN(C)CCSCc1cccc(F)c1 ZINC000072261427 325132664 /nfs/dbraw/zinc/13/26/64/325132664.db2.gz UNJGLMPKHMZDIY-UHFFFAOYSA-N 0 3 213.321 2.621 20 0 BFADHN Cc1csc(CN2[C@H](C)CC[C@H]2C)n1 ZINC000131460738 325153206 /nfs/dbraw/zinc/15/32/06/325153206.db2.gz AZDKGGMPRHZIMJ-NXEZZACHSA-N 0 3 210.346 2.824 20 0 BFADHN CC1(CNCc2ccncc2Cl)CC1 ZINC000232949181 325169614 /nfs/dbraw/zinc/16/96/14/325169614.db2.gz VXIZDKWNINDSPA-UHFFFAOYSA-N 0 3 210.708 2.625 20 0 BFADHN CCn1cc(CN(C)CC2CCCCC2)cn1 ZINC000072988920 325184477 /nfs/dbraw/zinc/18/44/77/325184477.db2.gz DSXYSVHDNPDDON-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CN(Cc1cc2cc(F)ccc2o1)[C@H]1CCOC1 ZINC000132030185 325195951 /nfs/dbraw/zinc/19/59/51/325195951.db2.gz OJFASSLZZSQZGZ-LBPRGKRZSA-N 0 3 249.285 2.793 20 0 BFADHN COCCN([C@@H](C)Cc1ccsc1)C1CC1 ZINC000546029184 325260739 /nfs/dbraw/zinc/26/07/39/325260739.db2.gz VBWGWATUKRIPLQ-NSHDSACASA-N 0 3 239.384 2.790 20 0 BFADHN CC(C)[C@H](N[C@@H](CCO)c1ccco1)C1CC1 ZINC000546692403 325301845 /nfs/dbraw/zinc/30/18/45/325301845.db2.gz NJCKVVPVSMMMFK-JSGCOSHPSA-N 0 3 237.343 2.727 20 0 BFADHN CC(C)[C@@H](N[C@H](CCO)c1ccco1)C1CC1 ZINC000546692405 325302178 /nfs/dbraw/zinc/30/21/78/325302178.db2.gz NJCKVVPVSMMMFK-TZMCWYRMSA-N 0 3 237.343 2.727 20 0 BFADHN Cc1cccc(CNC(C)(C)c2cnn(C)c2)c1 ZINC000546703385 325303061 /nfs/dbraw/zinc/30/30/61/325303061.db2.gz OEDCKUFUOMKFOJ-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN CCCCN1CCOC2(CCCCC2)C1 ZINC000075832191 325315331 /nfs/dbraw/zinc/31/53/31/325315331.db2.gz VXJLTWMFNHVXQI-UHFFFAOYSA-N 0 3 211.349 2.822 20 0 BFADHN CC(C)[C@@H]1CN(CCOC(C)(C)C)CCS1 ZINC000076066379 325328263 /nfs/dbraw/zinc/32/82/63/325328263.db2.gz JCCSTMHBERKTLE-LBPRGKRZSA-N 0 3 245.432 2.875 20 0 BFADHN CC/C=C/CCN[C@@H](CO)c1ccccc1OC ZINC000547346685 325345434 /nfs/dbraw/zinc/34/54/34/325345434.db2.gz ZTFIFJGHEIVMKF-NNTXTVRGSA-N 0 3 249.354 2.675 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@@H](CCO)c1ccco1 ZINC000547399106 325350675 /nfs/dbraw/zinc/35/06/75/325350675.db2.gz LUQLUYYQLJHVJR-AGIUHOORSA-N 0 3 237.343 2.871 20 0 BFADHN Cc1ccc(CNC(C)(C)c2cnn(C)c2)cc1 ZINC000547410310 325351991 /nfs/dbraw/zinc/35/19/91/325351991.db2.gz JSKWXMGBSVRGEN-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN CCc1cnc(CN2CC[C@@H](C)[C@@H]2CC)o1 ZINC000547511459 325367059 /nfs/dbraw/zinc/36/70/59/325367059.db2.gz PRAZYUOESIKKFA-PWSUYJOCSA-N 0 3 222.332 2.857 20 0 BFADHN COC1(CN[C@@H](C)c2ccc(C)o2)CCC1 ZINC000134716897 325372920 /nfs/dbraw/zinc/37/29/20/325372920.db2.gz OCGYDFOKINJDQA-NSHDSACASA-N 0 3 223.316 2.808 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1cc[nH]n1 ZINC000564326152 325376669 /nfs/dbraw/zinc/37/66/69/325376669.db2.gz REBZQEAMWIZASU-DGCLKSJQSA-N 0 3 221.348 2.810 20 0 BFADHN CC(C)[C@H]1CCN([C@@H](C)C(=O)OC(C)(C)C)C1 ZINC000134982595 325386276 /nfs/dbraw/zinc/38/62/76/325386276.db2.gz IDCGVTANFIKTRN-RYUDHWBXSA-N 0 3 241.375 2.695 20 0 BFADHN C[C@H]1OCCN(CCC(C)(C)C2CC2)[C@H]1C ZINC000653570396 487968011 /nfs/dbraw/zinc/96/80/11/487968011.db2.gz MURCQZGFSGMFFH-NWDGAFQWSA-N 0 3 225.376 2.922 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@@H]1CCC1(C)C ZINC000551788402 325569612 /nfs/dbraw/zinc/56/96/12/325569612.db2.gz FCDBOVDYVODFKN-CYBMUJFWSA-N 0 3 248.370 2.985 20 0 BFADHN COc1ccnc(CNCCC(C)(C)C)c1F ZINC000668586511 487969331 /nfs/dbraw/zinc/96/93/31/487969331.db2.gz DEOPLXOBVFGMNM-UHFFFAOYSA-N 0 3 240.322 2.755 20 0 BFADHN Cc1c(CN[C@@H](C)Cc2ccsc2)cnn1C ZINC000093650159 325754296 /nfs/dbraw/zinc/75/42/96/325754296.db2.gz IJOKHNJBGGQLJK-JTQLQIEISA-N 0 3 249.383 2.511 20 0 BFADHN C[C@H](NC[C@@H](c1ccco1)N(C)C)c1ccoc1 ZINC000094867552 325790321 /nfs/dbraw/zinc/79/03/21/325790321.db2.gz QTYLJKHEVAIPMN-AAEUAGOBSA-N 0 3 248.326 2.826 20 0 BFADHN COc1ccc(CNC[C@H]2CC23CC3)c(F)c1 ZINC000564578156 325796991 /nfs/dbraw/zinc/79/69/91/325796991.db2.gz UMNRBWWYVSJEGS-LLVKDONJSA-N 0 3 235.302 2.724 20 0 BFADHN C[C@H](N[C@H]1CC1(C)C)c1cn2ccccc2n1 ZINC000565219416 325878323 /nfs/dbraw/zinc/87/83/23/325878323.db2.gz VLURPZBMPPYZFL-JQWIXIFHSA-N 0 3 229.327 2.783 20 0 BFADHN c1cc2c(c(CN[C@@H]3CCCC34CC4)c1)OCO2 ZINC000565242404 325885719 /nfs/dbraw/zinc/88/57/19/325885719.db2.gz FGOAVBSZMDLFOX-CYBMUJFWSA-N 0 3 245.322 2.838 20 0 BFADHN c1cc(CN[C@@H]2C[C@H]2C2CCC2)nc2c1CCC2 ZINC000566137910 326001757 /nfs/dbraw/zinc/00/17/57/326001757.db2.gz YBKSGLXUTBJHOU-GOEBONIOSA-N 0 3 242.366 2.849 20 0 BFADHN CCCCCN1CCOC[C@H]1C1CCC1 ZINC000566185908 326008655 /nfs/dbraw/zinc/00/86/55/326008655.db2.gz ZNSNYKUFTCCZDT-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN CCCCCN1CCOC[C@@H]1C1CCC1 ZINC000566185907 326008728 /nfs/dbraw/zinc/00/87/28/326008728.db2.gz ZNSNYKUFTCCZDT-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CCc1nc(COc2cc(C)nc(C)c2C)no1 ZINC000566477164 326054723 /nfs/dbraw/zinc/05/47/23/326054723.db2.gz YMTQRMCPNOFJRZ-UHFFFAOYSA-N 0 3 247.298 2.531 20 0 BFADHN Cc1nc(CNC[C@@H]2CCCC[C@H]2C)[nH]c1C ZINC000566496770 326058498 /nfs/dbraw/zinc/05/84/98/326058498.db2.gz NKRNZLANKCONBM-MFKMUULPSA-N 0 3 235.375 2.942 20 0 BFADHN Cc1nc(CNC[C@H]2CCCC[C@@H]2C)[nH]c1C ZINC000566496769 326058582 /nfs/dbraw/zinc/05/85/82/326058582.db2.gz NKRNZLANKCONBM-GXFFZTMASA-N 0 3 235.375 2.942 20 0 BFADHN CCn1ccc(CN[C@@H](C)Cc2ccsc2)n1 ZINC000566607948 326067530 /nfs/dbraw/zinc/06/75/30/326067530.db2.gz JDJWLSUIXOMQTD-NSHDSACASA-N 0 3 249.383 2.685 20 0 BFADHN CCC[C@@H](C)[C@H](CO)NCc1ccccc1F ZINC000566740953 326079121 /nfs/dbraw/zinc/07/91/21/326079121.db2.gz QCKSNBXYGJPIDR-RISCZKNCSA-N 0 3 239.334 2.712 20 0 BFADHN CCC[C@H](C)[C@H](CO)NCc1ccccc1F ZINC000566740952 326079206 /nfs/dbraw/zinc/07/92/06/326079206.db2.gz QCKSNBXYGJPIDR-FZMZJTMJSA-N 0 3 239.334 2.712 20 0 BFADHN CCc1cc(OC)ccc1CN[C@@H]1CO[C@@H](C)C1 ZINC000566796300 326084020 /nfs/dbraw/zinc/08/40/20/326084020.db2.gz WLZONCQYXGJJPX-FZMZJTMJSA-N 0 3 249.354 2.525 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@@H]1CC12CCCC2 ZINC000567061237 326109255 /nfs/dbraw/zinc/10/92/55/326109255.db2.gz QQZXLOQOTKAOFD-WCQYABFASA-N 0 3 231.343 2.768 20 0 BFADHN CC[C@H](C(=O)N[C@@H](C)CCC(C)(C)C)N(C)C ZINC000153758555 326111888 /nfs/dbraw/zinc/11/18/88/326111888.db2.gz BYOBQVCXKVIZKE-NWDGAFQWSA-N 0 3 242.407 2.658 20 0 BFADHN CCC(CC)NC(=O)[C@@H](C)N(CC)CC(C)C ZINC000153757902 326112180 /nfs/dbraw/zinc/11/21/80/326112180.db2.gz WXFNGQGXFLFENR-GFCCVEGCSA-N 0 3 242.407 2.658 20 0 BFADHN CCOc1ccc(CN(C)C2CC2)cc1OC ZINC000153796433 326113760 /nfs/dbraw/zinc/11/37/60/326113760.db2.gz MEAKDFUDJPXJTP-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN Cc1ccc(CCN2CCOC3(CCC3)C2)cc1 ZINC000154276821 326138129 /nfs/dbraw/zinc/13/81/29/326138129.db2.gz XIAGNATVHCTZQE-UHFFFAOYSA-N 0 3 245.366 2.792 20 0 BFADHN CCn1ccnc1CNC[C@@H]1CCC[C@H]1C1CC1 ZINC000567220397 326143712 /nfs/dbraw/zinc/14/37/12/326143712.db2.gz PJUQLYRYBPRHKE-KBPBESRZSA-N 0 3 247.386 2.819 20 0 BFADHN CCn1ccnc1CNC[C@H]1CCC[C@@H]1C1CC1 ZINC000567220400 326143729 /nfs/dbraw/zinc/14/37/29/326143729.db2.gz PJUQLYRYBPRHKE-ZIAGYGMSSA-N 0 3 247.386 2.819 20 0 BFADHN C[C@@H](NCC1CC(C)(C)C1)c1nccn1C ZINC000309574557 326159748 /nfs/dbraw/zinc/15/97/48/326159748.db2.gz ONTDVMFYHFNNHF-SNVBAGLBSA-N 0 3 221.348 2.507 20 0 BFADHN CC(C)n1ccc(CN[C@@H]2C[C@@H](C)[C@@H]2C)n1 ZINC000309583514 326160134 /nfs/dbraw/zinc/16/01/34/326160134.db2.gz RBPZBXBCBSKTDX-NTZNESFSSA-N 0 3 221.348 2.598 20 0 BFADHN COc1ccc(CN2CCC[C@@H]3CCC[C@H]32)cn1 ZINC000267901785 326162087 /nfs/dbraw/zinc/16/20/87/326162087.db2.gz BPJWSRLXKOOVEB-UONOGXRCSA-N 0 3 246.354 2.855 20 0 BFADHN CCN(CC)Cc1cnc2c(cnn2C(C)C)c1 ZINC000154740448 326162437 /nfs/dbraw/zinc/16/24/37/326162437.db2.gz FRLVTDLEGLHOMZ-UHFFFAOYSA-N 0 3 246.358 2.854 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1nc2ccccc2n1C ZINC000154984798 326177488 /nfs/dbraw/zinc/17/74/88/326177488.db2.gz JAXKYMWNPQBZRS-NSHDSACASA-N 0 3 243.354 2.804 20 0 BFADHN CCCN(CC1CCCCC1)C(=O)[C@@H](N)CC ZINC000155293794 326191484 /nfs/dbraw/zinc/19/14/84/326191484.db2.gz PUZNNOYJGXXHEH-ZDUSSCGKSA-N 0 3 240.391 2.543 20 0 BFADHN CCCCCN(C(=O)[C@@H](C)N)[C@@H](C)CCC ZINC000155767860 326211441 /nfs/dbraw/zinc/21/14/41/326211441.db2.gz OEFUTJCAGDXCON-NWDGAFQWSA-N 0 3 228.380 2.541 20 0 BFADHN CCN(CC)Cc1ncccc1C(F)(F)F ZINC000156909208 326248498 /nfs/dbraw/zinc/24/84/98/326248498.db2.gz WKUJSLYHXNCXPD-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN C[C@@H]1[C@@H](NCc2ccno2)C[C@@H]1c1ccccc1 ZINC000567888527 326276934 /nfs/dbraw/zinc/27/69/34/326276934.db2.gz IPOPODGTNLRQEP-CQDKDKBSSA-N 0 3 242.322 2.956 20 0 BFADHN CCOc1cccc(CN2CCC[C@H](C)C2)n1 ZINC000157693145 326290985 /nfs/dbraw/zinc/29/09/85/326290985.db2.gz PGRGHLQZLLAUJC-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1ccc(CCSCCN(C)C)cc1 ZINC000157925981 326300480 /nfs/dbraw/zinc/30/04/80/326300480.db2.gz INPZPUDXJJSGNG-UHFFFAOYSA-N 0 3 223.385 2.832 20 0 BFADHN CCCCN(C)Cc1c(CC)nn(C)c1CC ZINC000158174692 326310958 /nfs/dbraw/zinc/31/09/58/326310958.db2.gz DWLALVCKCDKYNW-UHFFFAOYSA-N 0 3 237.391 2.777 20 0 BFADHN CCCN(CC(=O)N1CCCC[C@@H]1C)C(C)C ZINC000568182984 326316452 /nfs/dbraw/zinc/31/64/52/326316452.db2.gz FJDHLHPPUQLTJH-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1ccc(C#N)cn1 ZINC000568166346 326317857 /nfs/dbraw/zinc/31/78/57/326317857.db2.gz IVZLWVFLLQFBPP-FZMZJTMJSA-N 0 3 229.327 2.574 20 0 BFADHN CN(Cc1ccc(C#N)cn1)C1CC(C)(C)C1 ZINC000568180736 326321681 /nfs/dbraw/zinc/32/16/81/326321681.db2.gz AUUCLDNLBVKWFU-UHFFFAOYSA-N 0 3 229.327 2.574 20 0 BFADHN Cc1ncncc1[C@@H](C)NCC1=CCCCC1 ZINC000568240142 326332928 /nfs/dbraw/zinc/33/29/28/326332928.db2.gz ZLAFBZHVJKFTCX-LLVKDONJSA-N 0 3 231.343 2.936 20 0 BFADHN C[C@H](NCc1cc[nH]n1)[C@H]1CCCC[C@H]1C ZINC000568355054 326348323 /nfs/dbraw/zinc/34/83/23/326348323.db2.gz HISRKIVSJGEOGC-MDZLAQPJSA-N 0 3 221.348 2.714 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2ccccn2)cn1 ZINC000159100877 326349839 /nfs/dbraw/zinc/34/98/39/326349839.db2.gz QIRWIEFLIBQIBF-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1ncc(CN[C@@H](C)Cc2ccsc2)o1 ZINC000568482815 326358433 /nfs/dbraw/zinc/35/84/33/326358433.db2.gz XSEHNTMGLZQIDB-VIFPVBQESA-N 0 3 236.340 2.765 20 0 BFADHN CC(C)CN(Cc1cnccn1)CC(C)(C)C ZINC000568482864 326359011 /nfs/dbraw/zinc/35/90/11/326359011.db2.gz FLUGNSRNYCMYSR-UHFFFAOYSA-N 0 3 235.375 2.981 20 0 BFADHN CC[C@@H](C)N1CCC[C@H](OCC(F)(F)F)C1 ZINC000568486545 326359268 /nfs/dbraw/zinc/35/92/68/326359268.db2.gz FLJYNPZDZUPNQM-ZJUUUORDSA-N 0 3 239.281 2.828 20 0 BFADHN CC[C@@H](C)N1CCC[C@@H](OCC(F)(F)F)C1 ZINC000568486541 326359413 /nfs/dbraw/zinc/35/94/13/326359413.db2.gz FLJYNPZDZUPNQM-NXEZZACHSA-N 0 3 239.281 2.828 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1snnc1C ZINC000568516660 326362140 /nfs/dbraw/zinc/36/21/40/326362140.db2.gz IOBRJKBXPCBYNF-WPRPVWTQSA-N 0 3 225.361 2.609 20 0 BFADHN CSC[C@@H]1CCCN(CC2(F)CC2)C1 ZINC000568825315 326378374 /nfs/dbraw/zinc/37/83/74/326378374.db2.gz NZIUWUCWJWUSGC-SNVBAGLBSA-N 0 3 217.353 2.564 20 0 BFADHN CCc1ncc(CN(C2CC2)C2CCCC2)cn1 ZINC000568962138 326383706 /nfs/dbraw/zinc/38/37/06/326383706.db2.gz ZCRLXISDSVANFK-UHFFFAOYSA-N 0 3 245.370 2.946 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](c1ccccc1)C1CC1 ZINC000569072604 326388764 /nfs/dbraw/zinc/38/87/64/326388764.db2.gz ZSUMZNOGWHXXRU-RBSFLKMASA-N 0 3 231.339 2.905 20 0 BFADHN C[C@H](NCCN1CCCC1(C)C)c1ccoc1 ZINC000569077507 326388860 /nfs/dbraw/zinc/38/88/60/326388860.db2.gz XZBLUICRYNAYSR-LBPRGKRZSA-N 0 3 236.359 2.805 20 0 BFADHN Cc1noc(C)c1CCN1CCC(C)CC1 ZINC000164715100 326416039 /nfs/dbraw/zinc/41/60/39/326416039.db2.gz GZUQEATZNGRLPB-UHFFFAOYSA-N 0 3 222.332 2.566 20 0 BFADHN CCN(CCC(C)(C)OC)Cc1ccoc1 ZINC000682523181 487976335 /nfs/dbraw/zinc/97/63/35/487976335.db2.gz SCXZLJMXYIIAOB-UHFFFAOYSA-N 0 3 225.332 2.917 20 0 BFADHN CCN(CC[C@H]1CCCO1)CCC(F)(F)F ZINC000682524163 487976604 /nfs/dbraw/zinc/97/66/04/487976604.db2.gz CWEJRNSFBBXQKR-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN Cn1cccc1CN1CCC[C@H](CCF)C1 ZINC000668592146 487976896 /nfs/dbraw/zinc/97/68/96/487976896.db2.gz HZSSUNVQOIYIEO-GFCCVEGCSA-N 0 3 224.323 2.597 20 0 BFADHN CCc1cccc(CN(C)C2(COC)CC2)c1 ZINC000682531855 487978509 /nfs/dbraw/zinc/97/85/09/487978509.db2.gz XIXIKGBHBUQWKM-UHFFFAOYSA-N 0 3 233.355 2.860 20 0 BFADHN Cc1ncc([C@@H](C)N2C[C@H](C)[C@H](C)C2)c(C)n1 ZINC000682070743 487983932 /nfs/dbraw/zinc/98/39/32/487983932.db2.gz DZCNCGJETNUTPC-JFGNBEQYSA-N 0 3 233.359 2.742 20 0 BFADHN Cc1ncc([C@H](C)N2C[C@@H](C)[C@H](C)C2)c(C)n1 ZINC000682070742 487984533 /nfs/dbraw/zinc/98/45/33/487984533.db2.gz DZCNCGJETNUTPC-FOGDFJRCSA-N 0 3 233.359 2.742 20 0 BFADHN Cc1cc(F)ccc1CN[C@H]1COC(C)(C)C1 ZINC000396571446 487984656 /nfs/dbraw/zinc/98/46/56/487984656.db2.gz CXVPNSRTAINUCI-CYBMUJFWSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cccc(CN2C[C@H]3COC[C@]3(C)C2)c1F ZINC000668597896 487985413 /nfs/dbraw/zinc/98/54/13/487985413.db2.gz VXDCUUWJOMVLIV-ZFWWWQNUSA-N 0 3 249.329 2.602 20 0 BFADHN c1cc2c(o1)CCC[C@H]2N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000192868786 487995107 /nfs/dbraw/zinc/99/51/07/487995107.db2.gz SQOAPZVKSAAOEI-LHMODEAPSA-N 0 3 233.311 2.567 20 0 BFADHN CCN1CCN(C/C=C/c2ccccc2)C[C@H]1C ZINC000677191843 487995770 /nfs/dbraw/zinc/99/57/70/487995770.db2.gz GGVCENVFONLPHH-JOZWUWCOSA-N 0 3 244.382 2.726 20 0 BFADHN CCN1CCN(Cc2cc(C)cc(C)c2)C[C@H]1C ZINC000677194243 487999304 /nfs/dbraw/zinc/99/93/04/487999304.db2.gz GIPTWWRQHMXIMZ-OAHLLOKOSA-N 0 3 246.398 2.829 20 0 BFADHN CCCC[C@H](CC)Cn1cc([C@H](N)CC)nn1 ZINC000229934966 487999625 /nfs/dbraw/zinc/99/96/25/487999625.db2.gz SJNWYHMHGZMUNR-NWDGAFQWSA-N 0 3 238.379 2.904 20 0 BFADHN CCN(CCC[C@@H]1CCOC1)Cc1ccoc1 ZINC000682674066 488010257 /nfs/dbraw/zinc/01/02/57/488010257.db2.gz ZNPLNFSBYXTFDY-CYBMUJFWSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@H](NCc1cccc(F)c1)[C@@H]1CC1(F)F ZINC000666445098 488011400 /nfs/dbraw/zinc/01/14/00/488011400.db2.gz PCGBKTSBKSSKGI-KWQFWETISA-N 0 3 229.245 2.959 20 0 BFADHN Cc1ccncc1CN[C@H](C)[C@@H]1CC1(F)F ZINC000666444957 488012306 /nfs/dbraw/zinc/01/23/06/488012306.db2.gz MPKZBXJVQNQUGM-KOLCDFICSA-N 0 3 226.270 2.523 20 0 BFADHN Cc1ccoc1CN[C@H](C)Cc1ccccn1 ZINC000655844910 488012509 /nfs/dbraw/zinc/01/25/09/488012509.db2.gz ZRGWGDCCRXLSFP-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN Cc1occc1CN[C@H](C)[C@H]1CC1(F)F ZINC000666445557 488012984 /nfs/dbraw/zinc/01/29/84/488012984.db2.gz VMKYLGLLUSYUNL-GMSGAONNSA-N 0 3 215.243 2.721 20 0 BFADHN CCCN(CC)Cc1c(C2CC2)cnn1C ZINC000667463697 488014931 /nfs/dbraw/zinc/01/49/31/488014931.db2.gz WUJLCYYFZOKOOB-UHFFFAOYSA-N 0 3 221.348 2.529 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CCCCCC1 ZINC000667470705 488015587 /nfs/dbraw/zinc/01/55/87/488015587.db2.gz HMBBKKNHUSVUKL-UHFFFAOYSA-N 0 3 233.359 2.674 20 0 BFADHN CC1(NCc2cn3cccc(F)c3n2)CCCC1 ZINC000677320117 488015842 /nfs/dbraw/zinc/01/58/42/488015842.db2.gz AZNBTZUNWFQNIK-UHFFFAOYSA-N 0 3 247.317 2.896 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc3cccnc3c2)CO1 ZINC000647253352 488017452 /nfs/dbraw/zinc/01/74/52/488017452.db2.gz KXRVYTCHNJTXOZ-FZMZJTMJSA-N 0 3 242.322 2.502 20 0 BFADHN CCCN(CCC)Cc1c(C2CC2)cnn1C ZINC000667472340 488019238 /nfs/dbraw/zinc/01/92/38/488019238.db2.gz DETNSQZOHRZPAM-UHFFFAOYSA-N 0 3 235.375 2.920 20 0 BFADHN Cc1cc(NC(=O)[C@@H](N)C(C)C)ccc1Cl ZINC000655524299 488020082 /nfs/dbraw/zinc/02/00/82/488020082.db2.gz YOXZHYNHFFRFTF-NSHDSACASA-N 0 3 240.734 2.570 20 0 BFADHN CCC[C@@H](N)c1cn([C@@H](C)c2ccccc2)nn1 ZINC000229968769 488025499 /nfs/dbraw/zinc/02/54/99/488025499.db2.gz JHBYNRRSYMRBIF-WCQYABFASA-N 0 3 244.342 2.687 20 0 BFADHN Cc1ccc(CN[C@H]2CCOC2)c(Cl)c1 ZINC000235861062 488026422 /nfs/dbraw/zinc/02/64/22/488026422.db2.gz VYLCJAUXPQYWGJ-NSHDSACASA-N 0 3 225.719 2.527 20 0 BFADHN CCCN(C(=O)Nc1cccc(CN)c1)C1CC1 ZINC000037097661 488026923 /nfs/dbraw/zinc/02/69/23/488026923.db2.gz UJPVCLUNFTYUNY-UHFFFAOYSA-N 0 3 247.342 2.552 20 0 BFADHN CN(Cc1cnn2c1CCC2)CC1CCCCC1 ZINC000667495112 488027065 /nfs/dbraw/zinc/02/70/65/488027065.db2.gz XTDVGHZDTKNHSR-UHFFFAOYSA-N 0 3 247.386 2.841 20 0 BFADHN CCN(C)Cc1cc2ccc(C)cc2[nH]1 ZINC000667495663 488028287 /nfs/dbraw/zinc/02/82/87/488028287.db2.gz SJUCLTUDMMJNNV-UHFFFAOYSA-N 0 3 202.301 2.928 20 0 BFADHN FC1(CN2CCC[C@@H]([C@@H]3CCCCO3)C2)CC1 ZINC000668630137 488029521 /nfs/dbraw/zinc/02/95/21/488029521.db2.gz HOQICMRTGSPHQB-OLZOCXBDSA-N 0 3 241.350 2.770 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1C[C@@H]1C(F)F ZINC000672615227 488030302 /nfs/dbraw/zinc/03/03/02/488030302.db2.gz IBKZUYMFFZVWPK-MIMYLULJSA-N 0 3 241.281 2.999 20 0 BFADHN CCCn1nccc1CN1CCC[C@@H]1C(C)C ZINC000667503014 488031837 /nfs/dbraw/zinc/03/18/37/488031837.db2.gz WZKNBSJTVNXIEF-CQSZACIVSA-N 0 3 235.375 2.914 20 0 BFADHN O[C@H]1CCN(Cc2cccc(C3CCCC3)c2)C1 ZINC000667510661 488034506 /nfs/dbraw/zinc/03/45/06/488034506.db2.gz PHAZRWAJRYFPFE-INIZCTEOSA-N 0 3 245.366 2.911 20 0 BFADHN CCN1CCC(Oc2cncc(Cl)c2)CC1 ZINC000653691593 488034448 /nfs/dbraw/zinc/03/44/48/488034448.db2.gz MFLUMTAVEPVLQU-UHFFFAOYSA-N 0 3 240.734 2.598 20 0 BFADHN C[C@@H](C1CC1)N(Cc1cnn2c1CCC2)C1CC1 ZINC000667517775 488036683 /nfs/dbraw/zinc/03/66/83/488036683.db2.gz FUAQYIYMQGNITG-NSHDSACASA-N 0 3 245.370 2.592 20 0 BFADHN CCn1ccc(CN(C)C[C@@H]2CCCCO2)c1 ZINC000682778579 488038744 /nfs/dbraw/zinc/03/87/44/488038744.db2.gz DPPIAOHIPBDDOO-AWEZNQCLSA-N 0 3 236.359 2.509 20 0 BFADHN c1nc2n(c1CN1CCC[C@@H]3CCC[C@H]31)CCC2 ZINC000667555991 488045194 /nfs/dbraw/zinc/04/51/94/488045194.db2.gz VLRJGKFDLCEJHZ-GXTWGEPZSA-N 0 3 245.370 2.594 20 0 BFADHN C[C@H]1CCCN(Cc2ccnn2CC2CC2)CC1 ZINC000667560899 488046495 /nfs/dbraw/zinc/04/64/95/488046495.db2.gz FPHUNWKCDBEPPN-ZDUSSCGKSA-N 0 3 247.386 2.915 20 0 BFADHN COCc1csc(CN2CCC[C@@H]2C)c1 ZINC000667619246 488055929 /nfs/dbraw/zinc/05/59/29/488055929.db2.gz XHQNREKAYYGRAX-JTQLQIEISA-N 0 3 225.357 2.879 20 0 BFADHN CC1(C)C[C@@H]1CNCc1cn2cccc(F)c2n1 ZINC000677320931 488056571 /nfs/dbraw/zinc/05/65/71/488056571.db2.gz QCRCVABNTVRACI-SNVBAGLBSA-N 0 3 247.317 2.609 20 0 BFADHN Cn1ncc(C2CC2)c1CN1C[C@@H]2CCC[C@H]2C1 ZINC000667642762 488066325 /nfs/dbraw/zinc/06/63/25/488066325.db2.gz JRMNVGFYEADCEH-STQMWFEESA-N 0 3 245.370 2.529 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cnn3c2CCC3)C1 ZINC000667645493 488068969 /nfs/dbraw/zinc/06/89/69/488068969.db2.gz QKNQMYJJQZLODI-OAHLLOKOSA-N 0 3 247.386 2.841 20 0 BFADHN C[C@H]1CCN(Cc2ccnn2CC2CC2)C[C@H]1C ZINC000667649409 488071157 /nfs/dbraw/zinc/07/11/57/488071157.db2.gz SJPAYNNRYDNOGW-QWHCGFSZSA-N 0 3 247.386 2.771 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2ccnn2CC2CC2)C1 ZINC000667650103 488072708 /nfs/dbraw/zinc/07/27/08/488072708.db2.gz MGZCBLLHAZNTDS-CHWSQXEVSA-N 0 3 247.386 2.914 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1ccnn1CC1CC1 ZINC000667650105 488072890 /nfs/dbraw/zinc/07/28/90/488072890.db2.gz MGZCBLLHAZNTDS-QWHCGFSZSA-N 0 3 247.386 2.914 20 0 BFADHN C[C@H]1CN(Cc2c(C3CCC3)cnn2C)C[C@@H]1C ZINC000667650920 488074557 /nfs/dbraw/zinc/07/45/57/488074557.db2.gz IEGNQAHJHXKYND-RYUDHWBXSA-N 0 3 247.386 2.775 20 0 BFADHN CC1(C)CN([C@H]2CCCOC2)Cc2ccccc21 ZINC000677562473 488075176 /nfs/dbraw/zinc/07/51/76/488075176.db2.gz DHMJYFAPHKYBMT-AWEZNQCLSA-N 0 3 245.366 2.959 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H](C)[C@@H]2C)o1 ZINC000685566125 488076704 /nfs/dbraw/zinc/07/67/04/488076704.db2.gz DQSOMWNNROBHMF-WPRPVWTQSA-N 0 3 208.305 2.522 20 0 BFADHN CCCn1nccc1CN1C[C@@H](C)C[C@H]1C ZINC000667664251 488079748 /nfs/dbraw/zinc/07/97/48/488079748.db2.gz GXGLIZWGXHMCIE-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1c(C2CC2)cnn1C ZINC000667664983 488081255 /nfs/dbraw/zinc/08/12/55/488081255.db2.gz VZWFFMBAEBCRBY-WDEREUQCSA-N 0 3 233.359 2.528 20 0 BFADHN c1nn2c(c1CN1C3CCCC1CCC3)CCC2 ZINC000667671551 488083294 /nfs/dbraw/zinc/08/32/94/488083294.db2.gz VCKDLLYWQUVNOI-UHFFFAOYSA-N 0 3 245.370 2.736 20 0 BFADHN CCCn1nccc1CN1CC[C@H](C2CC2)C1 ZINC000667681759 488085086 /nfs/dbraw/zinc/08/50/86/488085086.db2.gz QIJMSOJFORHVCL-ZDUSSCGKSA-N 0 3 233.359 2.525 20 0 BFADHN COC1CCC(N(C)Cc2cc(C)ccn2)CC1 ZINC000683009380 488089045 /nfs/dbraw/zinc/08/90/45/488089045.db2.gz MICNZHOMRFCJQB-UHFFFAOYSA-N 0 3 248.370 2.779 20 0 BFADHN CCCCN(CCOC)Cc1cc(C)ccn1 ZINC000683009584 488089452 /nfs/dbraw/zinc/08/94/52/488089452.db2.gz PCLOHLYAOFDNKS-UHFFFAOYSA-N 0 3 236.359 2.639 20 0 BFADHN C[C@@H]1C[C@H](C)CCN(Cc2cnc3n2CCC3)C1 ZINC000667694836 488090402 /nfs/dbraw/zinc/09/04/02/488090402.db2.gz AGRAVXBSQOMCBE-CHWSQXEVSA-N 0 3 247.386 2.697 20 0 BFADHN CO[C@H](C)CN(Cc1cc(C)ccn1)C(C)C ZINC000683010311 488090554 /nfs/dbraw/zinc/09/05/54/488090554.db2.gz DWGLEEAPTWGUIN-CYBMUJFWSA-N 0 3 236.359 2.635 20 0 BFADHN CCCn1nccc1CN1CC[C@@H](C(C)C)C1 ZINC000667696577 488090725 /nfs/dbraw/zinc/09/07/25/488090725.db2.gz APXUBRBCXXYHAY-CYBMUJFWSA-N 0 3 235.375 2.771 20 0 BFADHN CC[C@H](O)[C@@H]1CCCCN1Cc1cc(C)ccn1 ZINC000683011968 488091677 /nfs/dbraw/zinc/09/16/77/488091677.db2.gz WFIIUVNUUUUYSB-GJZGRUSLSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@@H]1CCN(Cc2c(C3CC3)cnn2C)C1 ZINC000667697990 488091893 /nfs/dbraw/zinc/09/18/93/488091893.db2.gz UFDWGTFEWFWBLK-LLVKDONJSA-N 0 3 233.359 2.529 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2c(C3CC3)cnn2C)C1 ZINC000667697209 488092063 /nfs/dbraw/zinc/09/20/63/488092063.db2.gz UDHXVOARGSAROX-CYBMUJFWSA-N 0 3 247.386 2.775 20 0 BFADHN Cc1ccnc(CN(CCO)C2CCCCC2)c1 ZINC000683017155 488093290 /nfs/dbraw/zinc/09/32/90/488093290.db2.gz CCFKUVGLXDBMOS-UHFFFAOYSA-N 0 3 248.370 2.517 20 0 BFADHN COc1ccc(CN2CC[C@H]3C[C@H]3C2)cc1OC ZINC000668675450 488094680 /nfs/dbraw/zinc/09/46/80/488094680.db2.gz CFKWBJHQOTWCBQ-STQMWFEESA-N 0 3 247.338 2.546 20 0 BFADHN CN(CCC1CC1)Cc1c(C2CC2)cnn1C ZINC000667709082 488096418 /nfs/dbraw/zinc/09/64/18/488096418.db2.gz SOIGFBTXWPTOPJ-UHFFFAOYSA-N 0 3 233.359 2.529 20 0 BFADHN Cc1ncc(CN2CCC3(CC3)CC2)s1 ZINC000677718329 488100462 /nfs/dbraw/zinc/10/04/62/488100462.db2.gz YVZBMKNFFHGZKU-UHFFFAOYSA-N 0 3 222.357 2.828 20 0 BFADHN CCn1ccc(CN(C)C[C@H]2CC[C@@H](C)O2)c1 ZINC000683031162 488102305 /nfs/dbraw/zinc/10/23/05/488102305.db2.gz KCLFWZPWAGGYQP-TZMCWYRMSA-N 0 3 236.359 2.507 20 0 BFADHN CCC[C@H](N)c1cn(CCCC(C)C)nn1 ZINC000229957926 488104130 /nfs/dbraw/zinc/10/41/30/488104130.db2.gz AYZWHGHKTSOZBJ-NSHDSACASA-N 0 3 224.352 2.514 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H](C)c1cnc(C)s1 ZINC000378770666 488105050 /nfs/dbraw/zinc/10/50/50/488105050.db2.gz FQFBBEIZBGTZFP-FBIMIBRVSA-N 0 3 240.372 2.670 20 0 BFADHN COCC1(N(C)Cc2ccc(Cl)cc2)CC1 ZINC000677727592 488105391 /nfs/dbraw/zinc/10/53/91/488105391.db2.gz CMYRLFXKBHAPIE-UHFFFAOYSA-N 0 3 239.746 2.951 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H](C(F)F)C2)s1 ZINC000677729469 488105987 /nfs/dbraw/zinc/10/59/87/488105987.db2.gz GIXRTZOUIPCLPN-SECBINFHSA-N 0 3 246.326 2.847 20 0 BFADHN Cc1ccnc(CN2CCSC[C@H](C)C2)c1 ZINC000683102370 488108462 /nfs/dbraw/zinc/10/84/62/488108462.db2.gz WNGBJCCZGNRMHU-GFCCVEGCSA-N 0 3 236.384 2.575 20 0 BFADHN CCc1cc(CN[C@H]2CCC[C@@H]3C[C@@H]32)on1 ZINC000667756921 488107987 /nfs/dbraw/zinc/10/79/87/488107987.db2.gz KFMFGGVUTBXLNC-ICCXJUOJSA-N 0 3 220.316 2.515 20 0 BFADHN CCc1nn(C)cc1CN1CCC[C@H](C2CC2)C1 ZINC000685476536 487536329 /nfs/dbraw/zinc/53/63/29/487536329.db2.gz SMLYIVLBTMDFKD-ZDUSSCGKSA-N 0 3 247.386 2.605 20 0 BFADHN C[C@@H]1C[C@@H]1CN(C)Cc1nc2ccccc2o1 ZINC000683109314 488109990 /nfs/dbraw/zinc/10/99/90/488109990.db2.gz HLJCRADNONICCY-GHMZBOCLSA-N 0 3 230.311 2.916 20 0 BFADHN C[C@H]1C[C@@H]1CN(C)Cc1nc2ccccc2o1 ZINC000683109317 488110253 /nfs/dbraw/zinc/11/02/53/488110253.db2.gz HLJCRADNONICCY-WDEREUQCSA-N 0 3 230.311 2.916 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@H](C3CC3)C2)cc1 ZINC000667765363 488113413 /nfs/dbraw/zinc/11/34/13/488113413.db2.gz VZJDIHFYBYELJJ-GJZGRUSLSA-N 0 3 231.339 2.652 20 0 BFADHN CN(CCOCC1CCC1)CCOC(C)(C)C ZINC000677766649 488120182 /nfs/dbraw/zinc/12/01/82/488120182.db2.gz DKFAWGUEHQJTMP-UHFFFAOYSA-N 0 3 243.391 2.550 20 0 BFADHN Cc1ccnc(CN2CCS[C@@H](C)CC2)c1 ZINC000683145631 488120322 /nfs/dbraw/zinc/12/03/22/488120322.db2.gz RDJJARZIEQJBIG-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1nocc1CN1CCC[C@@H](C2CCC2)C1 ZINC000662044614 488120403 /nfs/dbraw/zinc/12/04/03/488120403.db2.gz QTONOEDYADYWBM-CYBMUJFWSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1nocc1CN1CCC[C@H](C2CCC2)C1 ZINC000662044615 488120462 /nfs/dbraw/zinc/12/04/62/488120462.db2.gz QTONOEDYADYWBM-ZDUSSCGKSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@@H](C3CC3)C2)s1 ZINC000667790146 488122571 /nfs/dbraw/zinc/12/25/71/488122571.db2.gz JZEMEYKJXINGIC-DGCLKSJQSA-N 0 3 237.368 2.714 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc(C)on1)C1CC1 ZINC000389900315 488124170 /nfs/dbraw/zinc/12/41/70/488124170.db2.gz CQYLQIBFJASTAP-ONGXEEELSA-N 0 3 208.305 2.822 20 0 BFADHN C[C@H](O)C1(NCc2cc3ccccc3s2)CC1 ZINC000668697097 488127145 /nfs/dbraw/zinc/12/71/45/488127145.db2.gz ACUCPKGHKBBQQT-JTQLQIEISA-N 0 3 247.363 2.904 20 0 BFADHN CCOc1ccc([C@H](C)N[C@H]2CCOC2)cc1 ZINC000112759091 487540263 /nfs/dbraw/zinc/54/02/63/487540263.db2.gz IBWRWRJFKIPCRE-AAEUAGOBSA-N 0 3 235.327 2.525 20 0 BFADHN Cc1nc(C)c(CN(C)CCC(C)C)o1 ZINC000685561469 487543535 /nfs/dbraw/zinc/54/35/35/487543535.db2.gz DDUUSWRVRAVIFW-UHFFFAOYSA-N 0 3 210.321 2.769 20 0 BFADHN CN(C)CCn1cc(-c2ccccc2Cl)cn1 ZINC000094576332 487545460 /nfs/dbraw/zinc/54/54/60/487545460.db2.gz BVMBSBSDUSZQMN-UHFFFAOYSA-N 0 3 249.745 2.765 20 0 BFADHN Cc1nc(C)c(CN2C[C@H](C)CC[C@H]2C)o1 ZINC000685565561 487546589 /nfs/dbraw/zinc/54/65/89/487546589.db2.gz GFTYFHBNEYMWEQ-NXEZZACHSA-N 0 3 222.332 2.912 20 0 BFADHN Cc1nc(C)c(CN2C[C@@H](C)CC[C@@H]2C)o1 ZINC000685565562 487546675 /nfs/dbraw/zinc/54/66/75/487546675.db2.gz GFTYFHBNEYMWEQ-UWVGGRQHSA-N 0 3 222.332 2.912 20 0 BFADHN CC[C@@H]1CCN(Cc2oc(C)nc2C)C1 ZINC000685566669 487548435 /nfs/dbraw/zinc/54/84/35/487548435.db2.gz BVUWGQVLLRUQSZ-LLVKDONJSA-N 0 3 208.305 2.523 20 0 BFADHN c1cc2c(s1)CCCN([C@@H]1CCCOC1)C2 ZINC000674302546 487554993 /nfs/dbraw/zinc/55/49/93/487554993.db2.gz NYISOQBTNPPCCF-GFCCVEGCSA-N 0 3 237.368 2.675 20 0 BFADHN Cc1cc(CNC[C@H](C)C(C)(C)C)no1 ZINC000308841387 487555977 /nfs/dbraw/zinc/55/59/77/487555977.db2.gz YZAUAPAHOOSTFG-VIFPVBQESA-N 0 3 210.321 2.755 20 0 BFADHN Cc1nc(C)c(CN2CCSCC[C@@H]2C)o1 ZINC000685575246 487556071 /nfs/dbraw/zinc/55/60/71/487556071.db2.gz VEDJVGHLMNJPRX-VIFPVBQESA-N 0 3 240.372 2.619 20 0 BFADHN Cc1ccc([C@H]2CCCN2[C@@H]2CCCOC2)o1 ZINC000674303100 487556160 /nfs/dbraw/zinc/55/61/60/487556160.db2.gz ULWSMRNUSDBBNI-CHWSQXEVSA-N 0 3 235.327 2.904 20 0 BFADHN Fc1ccccc1[C@@H]1CCN([C@H]2CCCOC2)C1 ZINC000674303379 487556403 /nfs/dbraw/zinc/55/64/03/487556403.db2.gz WDSCYAXQSYFGPN-OLZOCXBDSA-N 0 3 249.329 2.794 20 0 BFADHN FC1(CN2CCC[C@H](C[C@H]3CCOC3)C2)CC1 ZINC000685464310 487531515 /nfs/dbraw/zinc/53/15/15/487531515.db2.gz VHHGXJBOOHIERJ-CHWSQXEVSA-N 0 3 241.350 2.627 20 0 BFADHN CCc1ncc(CN[C@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000668224820 487482879 /nfs/dbraw/zinc/48/28/79/487482879.db2.gz YXTOOLYEMARCSN-USWWRNFRSA-N 0 3 220.316 2.515 20 0 BFADHN CO[C@H](C)CN1C[C@@H](C(F)(F)F)CC[C@@H]1C ZINC000679863055 487478033 /nfs/dbraw/zinc/47/80/33/487478033.db2.gz MHGPZUQHYRJMRE-AEJSXWLSSA-N 0 3 239.281 2.684 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCOC2)c2ccccc2O1 ZINC000294959205 487479475 /nfs/dbraw/zinc/47/94/75/487479475.db2.gz DQLYJXGFTVTMLZ-YRGRVCCFSA-N 0 3 247.338 2.667 20 0 BFADHN Cc1cccc(CN[C@H]2CC[C@@H](C)SC2)n1 ZINC000655696545 487484915 /nfs/dbraw/zinc/48/49/15/487484915.db2.gz GTZRNOKTDLIPFH-YPMHNXCESA-N 0 3 236.384 2.764 20 0 BFADHN COCCCN1CC[C@@H]1c1cccc(F)c1 ZINC000674009477 487497870 /nfs/dbraw/zinc/49/78/70/487497870.db2.gz JJKIJLUFPGFYQV-CYBMUJFWSA-N 0 3 223.291 2.609 20 0 BFADHN C[C@H](N[C@@H](C)C1CCC1)c1cc2n(n1)CCC2 ZINC000666114679 487497972 /nfs/dbraw/zinc/49/79/72/487497972.db2.gz QLJPTGZBTFLRRO-QWRGUYRKSA-N 0 3 233.359 2.669 20 0 BFADHN CCn1cncc1CN1CCC(C2CC2)CC1 ZINC000669569303 487502361 /nfs/dbraw/zinc/50/23/61/487502361.db2.gz MHJDYNFRXLLLEN-UHFFFAOYSA-N 0 3 233.359 2.525 20 0 BFADHN CO[C@H]1CCCN([C@@H](C)c2ccccn2)CC1 ZINC000679953108 487502790 /nfs/dbraw/zinc/50/27/90/487502790.db2.gz LVQHGNOOCWIZJS-STQMWFEESA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H](Cc1ccsc1)N1CCCOC[C@H]1C ZINC000679988738 487509815 /nfs/dbraw/zinc/50/98/15/487509815.db2.gz BTELPIPHAZOYMK-NWDGAFQWSA-N 0 3 239.384 2.790 20 0 BFADHN Oc1ccc(CNCC2(C(F)(F)F)CC2)cc1 ZINC000685443575 487523708 /nfs/dbraw/zinc/52/37/08/487523708.db2.gz VCFOQZFCYVFNGF-UHFFFAOYSA-N 0 3 245.244 2.824 20 0 BFADHN CCn1cncc1CN1CC[C@@H](C2CCC2)C1 ZINC000669572442 487527219 /nfs/dbraw/zinc/52/72/19/487527219.db2.gz UXZSVUMIAMPAMB-CYBMUJFWSA-N 0 3 233.359 2.525 20 0 BFADHN C/C(=C/c1ccccc1)CN(C)C1(CO)CC1 ZINC000668800902 487562181 /nfs/dbraw/zinc/56/21/81/487562181.db2.gz DTYGDLSKNVQUIX-RAXLEYEMSA-N 0 3 231.339 2.547 20 0 BFADHN c1nc2n(c1CN(C1CC1)C1CCCC1)CCC2 ZINC000667498732 487595952 /nfs/dbraw/zinc/59/59/52/487595952.db2.gz SXHSJXDCMBHYDW-UHFFFAOYSA-N 0 3 245.370 2.736 20 0 BFADHN c1n[nH]cc1CN1CCC=C(c2ccccc2)C1 ZINC000671312423 487627699 /nfs/dbraw/zinc/62/76/99/487627699.db2.gz MNOHMIIBUDYBHW-UHFFFAOYSA-N 0 3 239.322 2.699 20 0 BFADHN c1cn2c(cccc2CN2C[C@@H]3CCCC[C@@H]32)n1 ZINC000668299487 487634213 /nfs/dbraw/zinc/63/42/13/487634213.db2.gz HEQKEKHCZFSPQZ-JSGCOSHPSA-N 0 3 241.338 2.709 20 0 BFADHN c1ccc2nc(CN[C@H]3CC=CCC3)cnc2c1 ZINC000088747386 487748837 /nfs/dbraw/zinc/74/88/37/487748837.db2.gz VLGGSRYGWFAMDU-LBPRGKRZSA-N 0 3 239.322 2.828 20 0 BFADHN CC1=C(c2ccco2)CN([C@@H]2CCCOC2)CC1 ZINC000669945011 487952629 /nfs/dbraw/zinc/95/26/29/487952629.db2.gz KETCRFYBRNYBER-CYBMUJFWSA-N 0 3 247.338 2.938 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3C[C@@H]3C2)cc1F ZINC000668676549 488098253 /nfs/dbraw/zinc/09/82/53/488098253.db2.gz RWSHERRJLSIZEV-CHWSQXEVSA-N 0 3 219.303 2.976 20 0 BFADHN C[C@H](c1ccccn1)N1CCC2(CCOC2)CC1 ZINC000677718941 488099995 /nfs/dbraw/zinc/09/99/95/488099995.db2.gz ODYGWDUSQQZCMD-CYBMUJFWSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@H](O)C1(NCc2ccc3c(c2)CCCC3)CC1 ZINC000668700756 488135265 /nfs/dbraw/zinc/13/52/65/488135265.db2.gz LZTLODFFPAFICG-LBPRGKRZSA-N 0 3 245.366 2.568 20 0 BFADHN CCc1nn(C)c(CC)c1CN[C@@]1(C)CC1(C)C ZINC000668701714 488136312 /nfs/dbraw/zinc/13/63/12/488136312.db2.gz VCCGZLLHKAUWEP-HNNXBMFYSA-N 0 3 249.402 2.823 20 0 BFADHN Cc1cc(CN(CCC2CC2)CC2CC2)ncn1 ZINC000662177342 488143750 /nfs/dbraw/zinc/14/37/50/488143750.db2.gz DXVHEZPWZFJKHB-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN CCC(C)(CC)NCc1snnc1C ZINC000379624545 488145289 /nfs/dbraw/zinc/14/52/89/488145289.db2.gz JEXLBWQAZBNWHC-UHFFFAOYSA-N 0 3 213.350 2.515 20 0 BFADHN CCc1ccccc1CN[C@H]1CO[C@H](C2CC2)C1 ZINC000667874744 488146219 /nfs/dbraw/zinc/14/62/19/488146219.db2.gz OHIUIGGHMLXMQM-CVEARBPZSA-N 0 3 245.366 2.906 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H](C)[C@@H]1C[C@H]1C ZINC000321739601 488151568 /nfs/dbraw/zinc/15/15/68/488151568.db2.gz ZAFCSTBIWQBPMC-KZFFXBSXSA-N 0 3 222.332 2.987 20 0 BFADHN Cc1nc(CN[C@H](C)[C@@H]2C[C@@H]2C)cs1 ZINC000321756121 488152154 /nfs/dbraw/zinc/15/21/54/488152154.db2.gz BUKMKRAPMYINNA-VAOFZXAKSA-N 0 3 210.346 2.586 20 0 BFADHN CCCc1ncc(CN[C@@H]2CC[C@H]2C2CC2)o1 ZINC000449612694 488159292 /nfs/dbraw/zinc/15/92/92/488159292.db2.gz NYPLGUXBGGMOAZ-QWHCGFSZSA-N 0 3 234.343 2.905 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1CO[C@H](C2CC2)C1 ZINC000667923534 488159341 /nfs/dbraw/zinc/15/93/41/488159341.db2.gz PCOKZXCYISBOOW-WHOFXGATSA-N 0 3 246.354 2.608 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCc3cccnc32)CS1 ZINC000397858974 488159652 /nfs/dbraw/zinc/15/96/52/488159652.db2.gz NOLNDNIYQPHYCF-KGYLQXTDSA-N 0 3 248.395 2.943 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC3(COC3)C2)o1 ZINC000668720225 488162773 /nfs/dbraw/zinc/16/27/73/488162773.db2.gz OCJNTJJTPAXBIZ-YPMHNXCESA-N 0 3 247.338 2.625 20 0 BFADHN CC[C@@H](N[C@H](C)c1cc(C)on1)C1CC1 ZINC000379974244 488163122 /nfs/dbraw/zinc/16/31/22/488163122.db2.gz CQYLQIBFJASTAP-MWLCHTKSSA-N 0 3 208.305 2.822 20 0 BFADHN CC1(C)C[C@H]1NCc1ncccc1C(F)(F)F ZINC000677964510 488165777 /nfs/dbraw/zinc/16/57/77/488165777.db2.gz GVAJPMOHZLDARY-SNVBAGLBSA-N 0 3 244.260 2.989 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccnc2ccccc12 ZINC000535803116 488167084 /nfs/dbraw/zinc/16/70/84/488167084.db2.gz MINJLTUSFMGVRS-GFCCVEGCSA-N 0 3 244.338 2.702 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)C3(CCC3)C2)n1C ZINC000667952905 488167500 /nfs/dbraw/zinc/16/75/00/488167500.db2.gz KURIJFGVDGBSPZ-GFCCVEGCSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@@H](CO)[C@@H](C)Nc1ccnc2ccccc21 ZINC000134960617 488169740 /nfs/dbraw/zinc/16/97/40/488169740.db2.gz XYSVOGWBJWRPFV-WDEREUQCSA-N 0 3 230.311 2.664 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccnc2ccccc12 ZINC000683476677 488171958 /nfs/dbraw/zinc/17/19/58/488171958.db2.gz MJISXKDRUCEIAL-GJZGRUSLSA-N 0 3 242.322 2.502 20 0 BFADHN CN(Cc1cnn2c1CCC2)C[C@H]1CC=CCC1 ZINC000667970593 488172075 /nfs/dbraw/zinc/17/20/75/488172075.db2.gz OAETUDJGJOYTML-ZDUSSCGKSA-N 0 3 245.370 2.617 20 0 BFADHN C[C@@H](NC[C@@H](C)c1ccncc1)c1ccccn1 ZINC000678029867 488175697 /nfs/dbraw/zinc/17/56/97/488175697.db2.gz FAYLHKYDDHZVPQ-CHWSQXEVSA-N 0 3 241.338 2.931 20 0 BFADHN CCN(CCC1CC1)Cc1ccnn1CC1CC1 ZINC000667988226 488176741 /nfs/dbraw/zinc/17/67/41/488176741.db2.gz CYEFLHCBYZENQL-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1ccnn1CC1CC1 ZINC000668003137 488181849 /nfs/dbraw/zinc/18/18/49/488181849.db2.gz INBGSYGHYDUPHG-IUODEOHRSA-N 0 3 247.386 2.914 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1ccnn1CC1CC1 ZINC000668003136 488182037 /nfs/dbraw/zinc/18/20/37/488182037.db2.gz INBGSYGHYDUPHG-DOMZBBRYSA-N 0 3 247.386 2.914 20 0 BFADHN CCc1ccccc1CCN1CCCOC[C@H]1C ZINC000683522025 488184232 /nfs/dbraw/zinc/18/42/32/488184232.db2.gz PRRSETHXYPGIBN-CQSZACIVSA-N 0 3 247.382 2.902 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@@H]1C[C@H]1CC(C)C ZINC000666164143 488185315 /nfs/dbraw/zinc/18/53/15/488185315.db2.gz HBITUBCBUYRACL-IRUJWGPZSA-N 0 3 221.348 2.803 20 0 BFADHN CCC(CC)NC(=O)C[C@@H]1NCc2ccccc21 ZINC000659253867 488192276 /nfs/dbraw/zinc/19/22/76/488192276.db2.gz WWOVGJNNNHRPAC-AWEZNQCLSA-N 0 3 246.354 2.526 20 0 BFADHN Cc1ccc2cc(CN(C)CCCCO)[nH]c2c1 ZINC000668044913 488194780 /nfs/dbraw/zinc/19/47/80/488194780.db2.gz BOVJEQZYAVTEMQ-UHFFFAOYSA-N 0 3 246.354 2.681 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)n1C ZINC000668047857 488195132 /nfs/dbraw/zinc/19/51/32/488195132.db2.gz NUKBMQJLBLTZMC-UTUOFQBUSA-N 0 3 235.375 2.595 20 0 BFADHN O[C@H]1CCCN(Cc2ccccc2C2CC2)C1 ZINC000678121183 488198389 /nfs/dbraw/zinc/19/83/89/488198389.db2.gz VLHPDRCBODZMEH-AWEZNQCLSA-N 0 3 231.339 2.521 20 0 BFADHN C[C@@H](CN[C@H](C)c1cscn1)c1ccncc1 ZINC000678116074 488198425 /nfs/dbraw/zinc/19/84/25/488198425.db2.gz MLEPWQROIKOZFZ-WDEREUQCSA-N 0 3 247.367 2.992 20 0 BFADHN c1ccc2c(CN3CCCCCC3)n[nH]c2c1 ZINC000678120119 488199361 /nfs/dbraw/zinc/19/93/61/488199361.db2.gz MCUCRNPXGQWVFE-UHFFFAOYSA-N 0 3 229.327 2.939 20 0 BFADHN c1ccc2c(c1)n[nH]c2CN1CCCCCC1 ZINC000678120119 488199365 /nfs/dbraw/zinc/19/93/65/488199365.db2.gz MCUCRNPXGQWVFE-UHFFFAOYSA-N 0 3 229.327 2.939 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1Cc1ccc(N(C)C)nc1 ZINC000678120822 488199858 /nfs/dbraw/zinc/19/98/58/488199858.db2.gz PQXMNMCCQZTRDW-CHWSQXEVSA-N 0 3 247.386 2.911 20 0 BFADHN OC1CCN(Cc2ccccc2C2CC2)CC1 ZINC000678123797 488200297 /nfs/dbraw/zinc/20/02/97/488200297.db2.gz LJNMLRYOWZMCPQ-UHFFFAOYSA-N 0 3 231.339 2.521 20 0 BFADHN CN(Cc1cn[nH]c1)[C@@H]1CCc2ccccc21 ZINC000678131768 488201356 /nfs/dbraw/zinc/20/13/56/488201356.db2.gz NUSMYTYSFPXJTG-CQSZACIVSA-N 0 3 227.311 2.529 20 0 BFADHN c1csc([C@@H]2CCCN2Cc2cn[nH]c2)c1 ZINC000678125152 488201494 /nfs/dbraw/zinc/20/14/94/488201494.db2.gz NCBJQCJPJOQVJG-NSHDSACASA-N 0 3 233.340 2.808 20 0 BFADHN COC[C@@H](C)Oc1ccc(CN2CC(C)C2)cc1 ZINC000668093796 488201983 /nfs/dbraw/zinc/20/19/83/488201983.db2.gz UKPOIXLNDGWECZ-CYBMUJFWSA-N 0 3 249.354 2.552 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCC(C)(C)C2)n1C ZINC000668095516 488202283 /nfs/dbraw/zinc/20/22/83/488202283.db2.gz JWEDBDDRICSODW-GFCCVEGCSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C2CC2)C1)c1ccsc1 ZINC000668109501 488204749 /nfs/dbraw/zinc/20/47/49/488204749.db2.gz FGKMENVFZNIWRP-BIMULSAOSA-N 0 3 237.368 2.966 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H]1COC(C)(C)C1 ZINC000669548834 488205656 /nfs/dbraw/zinc/20/56/56/488205656.db2.gz HZNALGZTTWIDHO-NEPJUHHUSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1COC(C)(C)C1 ZINC000669548835 488205797 /nfs/dbraw/zinc/20/57/97/488205797.db2.gz HZNALGZTTWIDHO-NWDGAFQWSA-N 0 3 234.343 2.608 20 0 BFADHN c1cc(CN(C2CC2)C2CCCCC2)n[nH]1 ZINC000678141358 488206515 /nfs/dbraw/zinc/20/65/15/488206515.db2.gz XDKILCDYFCCTAY-UHFFFAOYSA-N 0 3 219.332 2.707 20 0 BFADHN CC(C)N(Cc1nn(C)c2ccccc12)C1CC1 ZINC000678152025 488208165 /nfs/dbraw/zinc/20/81/65/488208165.db2.gz AWTYBRUAYOIXKB-UHFFFAOYSA-N 0 3 243.354 2.946 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H]1CCSc2ccccc21 ZINC000683693947 488208759 /nfs/dbraw/zinc/20/87/59/488208759.db2.gz NQTGXAKRHBZAHS-YNEHKIRRSA-N 0 3 249.379 2.991 20 0 BFADHN COc1cc(CN2CC=CCC2)ccc1C ZINC000683708404 488211477 /nfs/dbraw/zinc/21/14/77/488211477.db2.gz KTCBFODISOIHCB-UHFFFAOYSA-N 0 3 217.312 2.766 20 0 BFADHN CCc1ccc(CN2CCC23CCOCC3)o1 ZINC000683718858 488212401 /nfs/dbraw/zinc/21/24/01/488212401.db2.gz VAFXPIRHKVETSH-UHFFFAOYSA-N 0 3 235.327 2.597 20 0 BFADHN Cc1ccc(F)cc1CN(C)CC1=CCCOC1 ZINC000683725261 488213462 /nfs/dbraw/zinc/21/34/62/488213462.db2.gz YOFXFAMSFWMHPM-UHFFFAOYSA-N 0 3 249.329 2.913 20 0 BFADHN CN(CCCCc1ccccc1)Cc1cn[nH]c1 ZINC000678181126 488214161 /nfs/dbraw/zinc/21/41/61/488214161.db2.gz MBAPXVGRFXJTGV-UHFFFAOYSA-N 0 3 243.354 2.864 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@@H]2CCCc3cccnc32)O1 ZINC000398233012 488215422 /nfs/dbraw/zinc/21/54/22/488215422.db2.gz UKFYIHUROTVPSU-MRVWCRGKSA-N 0 3 246.354 2.616 20 0 BFADHN Cc1n[nH]cc1CN(C)CCc1ccccc1F ZINC000678212087 488218015 /nfs/dbraw/zinc/21/80/15/488218015.db2.gz RMTAFRXNTBUVDT-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN c1ccc2c(c1)CNCCN2CCC1CCC1 ZINC000398674792 488222819 /nfs/dbraw/zinc/22/28/19/488222819.db2.gz UMYRWHPOFYIQRT-UHFFFAOYSA-N 0 3 230.355 2.786 20 0 BFADHN Fc1cccc([C@@H]2CCN(Cc3cn[nH]c3)C2)c1 ZINC000678242580 488224985 /nfs/dbraw/zinc/22/49/85/488224985.db2.gz NQOFRVJPBRYWSU-CYBMUJFWSA-N 0 3 245.301 2.538 20 0 BFADHN C[C@@H]1CN(Cc2ccnn2CC2CC2)[C@@H](C)[C@H]1C ZINC000668243015 490047232 /nfs/dbraw/zinc/04/72/32/490047232.db2.gz PZSZUXKAGYKDOH-AGIUHOORSA-N 0 3 247.386 2.769 20 0 BFADHN c1cc2cc(CN3CCSCC3)ccc2cn1 ZINC000683824843 488227228 /nfs/dbraw/zinc/22/72/28/488227228.db2.gz JGBCTNBRQZKOMT-UHFFFAOYSA-N 0 3 244.363 2.784 20 0 BFADHN COc1cc(CN[C@@H]2CCCC2(C)C)sn1 ZINC000399170660 488227635 /nfs/dbraw/zinc/22/76/35/488227635.db2.gz LCTTVNVPCLHYLB-SNVBAGLBSA-N 0 3 240.372 2.820 20 0 BFADHN Cc1ncc(CN2C[C@@H](C(C)C)[C@@H]2C(C)C)n1C ZINC000668220474 488230023 /nfs/dbraw/zinc/23/00/23/488230023.db2.gz RONAYFBNTHIQKD-GJZGRUSLSA-N 0 3 249.402 2.841 20 0 BFADHN C[C@H](CO)CN(C)Cc1ccc(F)c(Cl)c1 ZINC000678269898 488232304 /nfs/dbraw/zinc/23/23/04/488232304.db2.gz PEJDCRVDULKSNK-VIFPVBQESA-N 0 3 245.725 2.539 20 0 BFADHN CCN(Cc1ccc(N(C)C)nc1)C1CCC1 ZINC000678272173 488233432 /nfs/dbraw/zinc/23/34/32/488233432.db2.gz BHDVNUKTZFSPIW-UHFFFAOYSA-N 0 3 233.359 2.522 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1nn(C)c2ccccc12 ZINC000678276247 488235340 /nfs/dbraw/zinc/23/53/40/488235340.db2.gz CIFQZYQBKKOIHF-NWDGAFQWSA-N 0 3 243.354 2.804 20 0 BFADHN Cn1cnc(CN2CCC[C@@]3(CC=CCC3)C2)c1 ZINC000683897644 488239376 /nfs/dbraw/zinc/23/93/76/488239376.db2.gz GVHCMRWMBDZDIM-HNNXBMFYSA-N 0 3 245.370 2.742 20 0 BFADHN Cc1nc(C)c(CN2C[C@@H]3CCC[C@@H]3C2)o1 ZINC000683897861 488239548 /nfs/dbraw/zinc/23/95/48/488239548.db2.gz HDVOXLLEFHZKHI-TXEJJXNPSA-N 0 3 220.316 2.523 20 0 BFADHN C[C@@H]1CCN(Cc2nn(C)c3ccccc23)[C@H]1C ZINC000678332970 488241083 /nfs/dbraw/zinc/24/10/83/488241083.db2.gz CBXAUPPZOGSGQN-NEPJUHHUSA-N 0 3 243.354 2.804 20 0 BFADHN C[C@@H]1CCN(Cc2nn(C)c3ccccc23)[C@@H]1C ZINC000678332973 488241099 /nfs/dbraw/zinc/24/10/99/488241099.db2.gz CBXAUPPZOGSGQN-VXGBXAGGSA-N 0 3 243.354 2.804 20 0 BFADHN CC[C@@H](NCC1COC1)c1ccc(F)cc1F ZINC000683900146 488241809 /nfs/dbraw/zinc/24/18/09/488241809.db2.gz QKLNCMQEINLQPT-CYBMUJFWSA-N 0 3 241.281 2.652 20 0 BFADHN CC[C@@H]1CCN(Cc2nn(C)c3ccccc23)C1 ZINC000678337761 488242005 /nfs/dbraw/zinc/24/20/05/488242005.db2.gz IDEBDGZSDNJXMA-GFCCVEGCSA-N 0 3 243.354 2.805 20 0 BFADHN CO[C@H](CNCc1cc2ccccc2[nH]1)C(C)C ZINC000683929740 488246813 /nfs/dbraw/zinc/24/68/13/488246813.db2.gz PMLLEUAZNPFPKK-OAHLLOKOSA-N 0 3 246.354 2.929 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H]2CCCCO2)c(C)n1 ZINC000678415112 488252841 /nfs/dbraw/zinc/25/28/41/488252841.db2.gz YJCZLUOIPJYNRP-OCCSQVGLSA-N 0 3 248.370 2.918 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1ccc(C)nc1C ZINC000678428470 488255401 /nfs/dbraw/zinc/25/54/01/488255401.db2.gz SRXCRWNMXHEGRZ-WCQYABFASA-N 0 3 236.359 2.510 20 0 BFADHN Cc1ccc([C@H](C)NCc2ccn(C)c2)c(C)n1 ZINC000678429735 488255837 /nfs/dbraw/zinc/25/58/37/488255837.db2.gz XUZYMNWBXZSSBS-LBPRGKRZSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@]1(O)CCCN(Cc2cc3ccccc3[nH]2)C1 ZINC000684021966 488256021 /nfs/dbraw/zinc/25/60/21/488256021.db2.gz ZSFJAOPSPIDZJH-HNNXBMFYSA-N 0 3 244.338 2.515 20 0 BFADHN CO[C@@H]1CCCN(Cc2cc3ccccc3[nH]2)C1 ZINC000684021691 488256278 /nfs/dbraw/zinc/25/62/78/488256278.db2.gz ZQYJHBWCGHVHCG-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCc3c[nH]nc3C2)C1 ZINC000678466022 488257443 /nfs/dbraw/zinc/25/74/43/488257443.db2.gz CHAWKRBVVMBLRS-NEPJUHHUSA-N 0 3 233.359 2.594 20 0 BFADHN COc1ccncc1CN1C2CCCC1CCC2 ZINC000672544184 488258762 /nfs/dbraw/zinc/25/87/62/488258762.db2.gz MCPICFQHNYVGQG-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2Cc3ccccc32)no1 ZINC000672556379 488260167 /nfs/dbraw/zinc/26/01/67/488260167.db2.gz LYVBPWWZXKJNHU-YPMHNXCESA-N 0 3 242.322 2.974 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCCOC2)c(C)n1 ZINC000672805860 488266614 /nfs/dbraw/zinc/26/66/14/488266614.db2.gz DSMMAIGFAZHASL-OLZOCXBDSA-N 0 3 234.343 2.528 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2cc(C)ccn2)CC1 ZINC000684623048 488334708 /nfs/dbraw/zinc/33/47/08/488334708.db2.gz OEHCLHRJAOBCHW-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN c1[nH]nc2c1CN(CCC1CCCCC1)CC2 ZINC000678626359 488273726 /nfs/dbraw/zinc/27/37/26/488273726.db2.gz BVXWAXJTSOOOBC-UHFFFAOYSA-N 0 3 233.359 2.738 20 0 BFADHN COCC1(C)CCN([C@@H](C)c2ccncc2)CC1 ZINC000678634104 488275497 /nfs/dbraw/zinc/27/54/97/488275497.db2.gz BVRWXHCFXHJCNQ-ZDUSSCGKSA-N 0 3 248.370 2.891 20 0 BFADHN COCCCCCN[C@H](C)c1c(C)noc1C ZINC000678803823 488283834 /nfs/dbraw/zinc/28/38/34/488283834.db2.gz BEPOVMLUGNOAKA-SNVBAGLBSA-N 0 3 240.347 2.759 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1nc2c(s1)CCC2 ZINC000678841177 488290043 /nfs/dbraw/zinc/29/00/43/488290043.db2.gz QWACGSAMOSPVAC-VHSXEESVSA-N 0 3 236.384 2.862 20 0 BFADHN C(c1nc2c(s1)CCC2)N1CC[C@H](C2CC2)C1 ZINC000678837212 488290049 /nfs/dbraw/zinc/29/00/49/488290049.db2.gz SFIOHTGFSYYRQV-NSHDSACASA-N 0 3 248.395 2.864 20 0 BFADHN CCOC1CC(N[C@@H](C)c2cnccc2C)C1 ZINC000678925673 488295536 /nfs/dbraw/zinc/29/55/36/488295536.db2.gz AQAOXFSCKBTFTQ-HIFPTAJRSA-N 0 3 234.343 2.608 20 0 BFADHN Fc1cccn2cc(CNCCCC3CC3)nc12 ZINC000678930773 488295989 /nfs/dbraw/zinc/29/59/89/488295989.db2.gz ADXPDINQSHGSGO-UHFFFAOYSA-N 0 3 247.317 2.753 20 0 BFADHN C[C@@H](CNCc1cn(C)cn1)C1CCCCC1 ZINC000673600900 488296410 /nfs/dbraw/zinc/29/64/10/488296410.db2.gz IKOYAGBWMPYLOZ-LBPRGKRZSA-N 0 3 235.375 2.726 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCc1cn[nH]c1)CCC2 ZINC000673665624 488301514 /nfs/dbraw/zinc/30/15/14/488301514.db2.gz YZGWVSUYXYLVFQ-HNNXBMFYSA-N 0 3 241.338 2.885 20 0 BFADHN CCc1cccnc1N[C@@H]1CCN(C)[C@H](C)C1 ZINC000679151986 488313928 /nfs/dbraw/zinc/31/39/28/488313928.db2.gz WYRVVUPLQTXSED-DGCLKSJQSA-N 0 3 233.359 2.539 20 0 BFADHN CC(C)CC[C@@H](O)CN(C)Cc1ccsc1 ZINC000684605792 488331235 /nfs/dbraw/zinc/33/12/35/488331235.db2.gz CEHPEIUXHHWSPX-CYBMUJFWSA-N 0 3 241.400 2.977 20 0 BFADHN CCc1nn(C)cc1CN1CCC(C)=C(C)C1 ZINC000684614440 488332210 /nfs/dbraw/zinc/33/22/10/488332210.db2.gz MIAFNBNJMSJDCT-UHFFFAOYSA-N 0 3 233.359 2.525 20 0 BFADHN Cc1nccc(CN(C2CC2)C2CCCC2)n1 ZINC000684617769 488332536 /nfs/dbraw/zinc/33/25/36/488332536.db2.gz OGBVCZUWIBURDL-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN Cc1ccnc(CN2CC(C)=C[C@@H](C)C2)c1 ZINC000684669623 488337563 /nfs/dbraw/zinc/33/75/63/488337563.db2.gz YUROYHQFMFKHLH-GFCCVEGCSA-N 0 3 216.328 2.788 20 0 BFADHN COc1c(O)cccc1CN1CCC[C@@H]1C1CC1 ZINC000679332003 488340592 /nfs/dbraw/zinc/34/05/92/488340592.db2.gz OUMSONWSFXMINW-CYBMUJFWSA-N 0 3 247.338 2.775 20 0 BFADHN Fc1ccccc1[C@H]1C[C@H](NCc2cn[nH]c2)C1 ZINC000684767094 488341070 /nfs/dbraw/zinc/34/10/70/488341070.db2.gz VHIDIFFHQYVQBC-HAQNSBGRSA-N 0 3 245.301 2.585 20 0 BFADHN Cc1ccc2c(c1)[C@@H](N[C@H](C)CCCCO)CO2 ZINC000679354943 488343987 /nfs/dbraw/zinc/34/39/87/488343987.db2.gz OIHGHQBCTCFWDM-OCCSQVGLSA-N 0 3 249.354 2.569 20 0 BFADHN COCCN1CCC[C@@H]1/C=C\c1ccccc1 ZINC000679546219 488357601 /nfs/dbraw/zinc/35/76/01/488357601.db2.gz UYIMHJDWESYGIH-FJVVXJACSA-N 0 3 231.339 2.811 20 0 BFADHN FC(F)O[C@H]1CCCN(Cc2ccccc2)C1 ZINC000679682723 488364444 /nfs/dbraw/zinc/36/44/44/488364444.db2.gz UGSGCBSNLHZNJK-LBPRGKRZSA-N 0 3 241.281 2.890 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2ccnn2CC2CC2)[C@H]1C ZINC000668243018 490047300 /nfs/dbraw/zinc/04/73/00/490047300.db2.gz PZSZUXKAGYKDOH-UPJWGTAASA-N 0 3 247.386 2.769 20 0 BFADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1nccn1C ZINC000120668230 490066610 /nfs/dbraw/zinc/06/66/10/490066610.db2.gz JNEAQVUOOSJZQW-STQMWFEESA-N 0 3 235.375 2.821 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1ccc(F)cc1F ZINC000220642521 490072716 /nfs/dbraw/zinc/07/27/16/490072716.db2.gz NWCZJRCECNRBSB-UFBFGSQYSA-N 0 3 243.322 2.948 20 0 BFADHN c1cnc(CNC(C2CCC2)C2CCC2)nc1 ZINC000324747197 490086133 /nfs/dbraw/zinc/08/61/33/490086133.db2.gz QJHKMHDWCVTDBO-UHFFFAOYSA-N 0 3 231.343 2.535 20 0 BFADHN COc1ccc(CN[C@H]2COC(C)(C)C2)c(C)c1 ZINC000584400151 490165521 /nfs/dbraw/zinc/16/55/21/490165521.db2.gz DUEQCHNQTSEOHI-CYBMUJFWSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)[C@H]1CC[C@H]1NCc1cn2ccccc2n1 ZINC000514081709 490166980 /nfs/dbraw/zinc/16/69/80/490166980.db2.gz DFXMZDNWHKXWHA-ZIAGYGMSSA-N 0 3 243.354 2.859 20 0 BFADHN Cc1ccc(CCN(C)Cc2ccc[nH]2)cc1 ZINC000673897227 490172800 /nfs/dbraw/zinc/17/28/00/490172800.db2.gz VXQCIIBAGOCNRW-UHFFFAOYSA-N 0 3 228.339 2.998 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@H]3CC[C@@H]2O3)c(C)n1 ZINC000652253933 490175104 /nfs/dbraw/zinc/17/51/04/490175104.db2.gz ZSSABGDNUPXCGN-MXYBEHONSA-N 0 3 246.354 2.669 20 0 BFADHN CO[C@H]1CCC[C@H](N[C@@H](C)c2ccccn2)C1 ZINC000070326403 490208296 /nfs/dbraw/zinc/20/82/96/490208296.db2.gz GODFWMRGYOTNSI-AVGNSLFASA-N 0 3 234.343 2.690 20 0 BFADHN CC1(C)C[C@@H](N2CCCc3occc3C2)CO1 ZINC000660562086 490237109 /nfs/dbraw/zinc/23/71/09/490237109.db2.gz JVZLZYDROHURBF-GFCCVEGCSA-N 0 3 235.327 2.595 20 0 BFADHN c1cncc(CN[C@@H](C2CC2)[C@@H]2CCCCO2)c1 ZINC000655812091 490259294 /nfs/dbraw/zinc/25/92/94/490259294.db2.gz ZCFTVXOYUIMRNN-GJZGRUSLSA-N 0 3 246.354 2.519 20 0 BFADHN CCCn1nccc1CN1CCC(C)(C)C1 ZINC000667621916 490295079 /nfs/dbraw/zinc/29/50/79/490295079.db2.gz ZVWGBFILJNITLL-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN C[C@@H](CO)CN1CC(C)(C)[C@H]1c1cccs1 ZINC000672578823 490339894 /nfs/dbraw/zinc/33/98/94/490339894.db2.gz HTWFUWXGRSNCEH-ZYHUDNBSSA-N 0 3 239.384 2.759 20 0 BFADHN Fc1cc(F)c(CNCCC2CC2)cc1F ZINC000124339181 490351566 /nfs/dbraw/zinc/35/15/66/490351566.db2.gz CWXQDZVLACHHSW-UHFFFAOYSA-N 0 3 229.245 2.994 20 0 BFADHN Oc1cccc(CN2CCC[C@H](CCF)C2)c1 ZINC000668592516 490393583 /nfs/dbraw/zinc/39/35/83/490393583.db2.gz MJEGXWYLNFNVQW-GFCCVEGCSA-N 0 3 237.318 2.964 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H](C)C1)c1ncc[nH]1 ZINC000124730011 490400782 /nfs/dbraw/zinc/40/07/82/490400782.db2.gz OJZMNHZUDWFYCO-GRYCIOLGSA-N 0 3 221.348 2.887 20 0 BFADHN C[C@H](N[C@H]1CCCC1(C)C)c1ncc[nH]1 ZINC000124770830 490402838 /nfs/dbraw/zinc/40/28/38/490402838.db2.gz GPLPWTANGMXEBF-UWVGGRQHSA-N 0 3 207.321 2.639 20 0 BFADHN CCn1nccc1CN[C@@H]1CCc2ccccc21 ZINC000125147127 490416729 /nfs/dbraw/zinc/41/67/29/490416729.db2.gz FZHZSKOZEFYPHM-OAHLLOKOSA-N 0 3 241.338 2.680 20 0 BFADHN CCn1c(CNC2CC=CC2)nc2ccccc21 ZINC000125253164 490423000 /nfs/dbraw/zinc/42/30/00/490423000.db2.gz HJTJTDDFQXYISM-UHFFFAOYSA-N 0 3 241.338 2.864 20 0 BFADHN CCC(CC)CNC(=O)Nc1cccc(CN)c1 ZINC000125273219 490427126 /nfs/dbraw/zinc/42/71/26/490427126.db2.gz UGMBFQVWIOPMHD-UHFFFAOYSA-N 0 3 249.358 2.703 20 0 BFADHN Cc1cnc([C@@H](C)N[C@@H]2CCO[C@H](C)C2)s1 ZINC000125416524 490431318 /nfs/dbraw/zinc/43/13/18/490431318.db2.gz GASOJAFIOQYJMG-FBIMIBRVSA-N 0 3 240.372 2.670 20 0 BFADHN CN(Cc1ccc(Cl)cc1)C[C@H](O)C1CC1 ZINC000079768090 490462540 /nfs/dbraw/zinc/46/25/40/490462540.db2.gz CSNWBIMLGALODC-ZDUSSCGKSA-N 0 3 239.746 2.543 20 0 BFADHN COC[C@H](Nc1cccc(CN(C)C)c1)C1CC1 ZINC000126168043 490485055 /nfs/dbraw/zinc/48/50/55/490485055.db2.gz FRANKTNWMWSPTL-HNNXBMFYSA-N 0 3 248.370 2.585 20 0 BFADHN C[C@@H](NCC(C)(C)CO)c1ccc(F)cc1F ZINC000126356046 490491743 /nfs/dbraw/zinc/49/17/43/490491743.db2.gz QSUVGCOBTPLBFB-SECBINFHSA-N 0 3 243.297 2.634 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2cncnc2)CC1 ZINC000126817206 490520087 /nfs/dbraw/zinc/52/00/87/490520087.db2.gz OMCIVRMAMJYKEJ-CQSZACIVSA-N 0 3 233.359 2.735 20 0 BFADHN CCC[C@H](C)N[C@H](C)c1nccnc1C ZINC000219072678 490551325 /nfs/dbraw/zinc/55/13/25/490551325.db2.gz IKYXNEJLDQWTIH-GXSJLCMTSA-N 0 3 207.321 2.624 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1CCO[C@@H](C)C1 ZINC000220412281 490600412 /nfs/dbraw/zinc/60/04/12/490600412.db2.gz SHHUZAYGCUIDJB-HOTUBEGUSA-N 0 3 238.331 2.510 20 0 BFADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1ccccn1 ZINC000131359222 490632922 /nfs/dbraw/zinc/63/29/22/490632922.db2.gz LOHIAVVYWCLWDH-UONOGXRCSA-N 0 3 248.370 2.765 20 0 BFADHN C[C@@H](N[C@H]1CCOC1)c1ccc2c(c1)CCC2 ZINC000131372284 490632779 /nfs/dbraw/zinc/63/27/79/490632779.db2.gz OURIDTAAOFPHLV-ABAIWWIYSA-N 0 3 231.339 2.615 20 0 BFADHN c1cc2c(c(CN3C[C@@H]4C[C@H]3CS4)c1)CCC2 ZINC000651830264 491076607 /nfs/dbraw/zinc/07/66/07/491076607.db2.gz IMWPFCLCSYOREG-KBPBESRZSA-N 0 3 245.391 2.865 20 0 BFADHN CC1(C)CC[C@@H](NCc2cc3n(n2)CCCC3)C1 ZINC000655757438 491071702 /nfs/dbraw/zinc/07/17/02/491071702.db2.gz SKKZKGVBPIFRDW-GFCCVEGCSA-N 0 3 247.386 2.888 20 0 BFADHN CCCC[C@@H](C)C(=O)Nc1cccc(CN)c1 ZINC000302301283 491074032 /nfs/dbraw/zinc/07/40/32/491074032.db2.gz DXMMZTHRGFBVAG-LLVKDONJSA-N 0 3 234.343 2.910 20 0 BFADHN CCOCCNCc1ccccc1C1CC1 ZINC000086896529 491065007 /nfs/dbraw/zinc/06/50/07/491065007.db2.gz GNUJOCSHRHMRJR-UHFFFAOYSA-N 0 3 219.328 2.690 20 0 BFADHN Cc1cnc(CN[C@H]2CCCC[C@@H]2C)o1 ZINC000184590748 491086253 /nfs/dbraw/zinc/08/62/53/491086253.db2.gz MNDLLEFHWQFNFC-ONGXEEELSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@@H](N[C@@H](C)C(C)(C)O)c1cc(F)ccc1F ZINC000087817554 491092567 /nfs/dbraw/zinc/09/25/67/491092567.db2.gz MCOAVGXWQGLKGF-BDAKNGLRSA-N 0 3 243.297 2.775 20 0 BFADHN Fc1cccc2c1CC[C@@H]2N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000268894927 491100044 /nfs/dbraw/zinc/10/00/44/491100044.db2.gz KGBLUHNAQNJQEU-UVCAVOOOSA-N 0 3 247.313 2.723 20 0 BFADHN C[C@H](c1ccccc1F)N(C)CC[C@H](C)O ZINC000245398325 491104317 /nfs/dbraw/zinc/10/43/17/491104317.db2.gz SEYJBVWFJOCLDJ-WDEREUQCSA-N 0 3 225.307 2.589 20 0 BFADHN CC[C@H](C)CCNCc1csc(C)n1 ZINC000394443045 491111267 /nfs/dbraw/zinc/11/12/67/491111267.db2.gz FMEFGBHEVLKALY-VIFPVBQESA-N 0 3 212.362 2.977 20 0 BFADHN CC[C@H](O)CN[C@@H](C)c1ccc2ccccc2n1 ZINC000293659031 491114286 /nfs/dbraw/zinc/11/42/86/491114286.db2.gz LTOFNIKCSXTQKP-AAEUAGOBSA-N 0 3 244.338 2.656 20 0 BFADHN Clc1ccc(OCCN2CCCCC2)cn1 ZINC000087543282 491116294 /nfs/dbraw/zinc/11/62/94/491116294.db2.gz GKAHYNVBRNSHNL-UHFFFAOYSA-N 0 3 240.734 2.600 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1ccc2nccnc2c1 ZINC000639122042 491121687 /nfs/dbraw/zinc/12/16/87/491121687.db2.gz YFQIUNMQZXUSTO-PHIMTYICSA-N 0 3 227.311 2.613 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1ccc2nccnc2c1 ZINC000639122041 491121694 /nfs/dbraw/zinc/12/16/94/491121694.db2.gz YFQIUNMQZXUSTO-GHMZBOCLSA-N 0 3 227.311 2.613 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cnc(C3CC3)nc2)C1 ZINC000335146630 491122313 /nfs/dbraw/zinc/12/23/13/491122313.db2.gz MYHAARDRDOGZFJ-MNOVXSKESA-N 0 3 231.343 2.584 20 0 BFADHN C[C@H](CCc1ccco1)N[C@H](C)c1ncc[nH]1 ZINC000139092343 491130359 /nfs/dbraw/zinc/13/03/59/491130359.db2.gz AIPSHZYLPMVIMZ-GHMZBOCLSA-N 0 3 233.315 2.675 20 0 BFADHN C/C=C/CN[C@H](C)c1sc(C)nc1C ZINC000230635131 491130418 /nfs/dbraw/zinc/13/04/18/491130418.db2.gz RHEUFKOAFXBKMU-HQZHTGGTSA-N 0 3 210.346 2.987 20 0 BFADHN c1cnc2c(c1)CCC[C@H]2NC[C@H]1CCCCO1 ZINC000091661384 491131302 /nfs/dbraw/zinc/13/13/02/491131302.db2.gz IPZKXSAGQGCJLP-ZIAGYGMSSA-N 0 3 246.354 2.618 20 0 BFADHN c1coc(CCN[C@H]2CCCc3cccnc32)c1 ZINC000091660550 491131398 /nfs/dbraw/zinc/13/13/98/491131398.db2.gz QABGKRXUOZRMMW-AWEZNQCLSA-N 0 3 242.322 2.884 20 0 BFADHN CO[C@H](C)CCNCc1cc2ccccc2o1 ZINC000263819865 491133066 /nfs/dbraw/zinc/13/30/66/491133066.db2.gz PUEPIEKCTCHOGO-LLVKDONJSA-N 0 3 233.311 2.947 20 0 BFADHN CC[C@H](C)C[C@@H](CO)N[C@H](C)c1ccco1 ZINC000647336013 491133242 /nfs/dbraw/zinc/13/32/42/491133242.db2.gz HAAVONJXAKRZLV-TUAOUCFPSA-N 0 3 225.332 2.727 20 0 BFADHN CCc1ccc(CNC2CSC2)s1 ZINC000308328997 491135836 /nfs/dbraw/zinc/13/58/36/491135836.db2.gz HPXAMMKPOFDUCH-UHFFFAOYSA-N 0 3 213.371 2.516 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1csc(C)n1 ZINC000308740884 491137821 /nfs/dbraw/zinc/13/78/21/491137821.db2.gz ORVSCHWSVDIOJW-GXSJLCMTSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CSC[C@@H]2C)s1 ZINC000308977934 491141759 /nfs/dbraw/zinc/14/17/59/491141759.db2.gz HESCFVZZNIKSQK-OYNCUSHFSA-N 0 3 242.413 2.854 20 0 BFADHN CC(C)[C@@H]1CCC[C@H]1NCc1cocn1 ZINC000308991598 491142027 /nfs/dbraw/zinc/14/20/27/491142027.db2.gz LHHZPFYTCKESIE-NWDGAFQWSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H](NCc1cscc1Cl)[C@@H]1CCOC1 ZINC000309151287 491145641 /nfs/dbraw/zinc/14/56/41/491145641.db2.gz WJQRUOHOEYBPON-RKDXNWHRSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@H](NC1CCC2(CC2)CC1)c1ncc[nH]1 ZINC000309538674 491150173 /nfs/dbraw/zinc/15/01/73/491150173.db2.gz FNFKUCIRXGLCJQ-JTQLQIEISA-N 0 3 219.332 2.783 20 0 BFADHN Cn1cccc1CNC(C)(C)CC(F)(F)F ZINC000309545320 491150958 /nfs/dbraw/zinc/15/09/58/491150958.db2.gz ZQPPVJZYLUSYKZ-UHFFFAOYSA-N 0 3 234.265 2.846 20 0 BFADHN Cc1ccc(CNCCC2(F)CCC2)cn1 ZINC000309616094 491152575 /nfs/dbraw/zinc/15/25/75/491152575.db2.gz BUFYJVSOFUHYIU-UHFFFAOYSA-N 0 3 222.307 2.762 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@@H]1NCc1cocn1 ZINC000309716022 491154882 /nfs/dbraw/zinc/15/48/82/491154882.db2.gz SWKQAIAQQIHZEC-LOWVWBTDSA-N 0 3 222.332 2.979 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1oc(C)nc1C ZINC000309704223 491155360 /nfs/dbraw/zinc/15/53/60/491155360.db2.gz NTESWRKLEIZKCV-VXGBXAGGSA-N 0 3 222.332 2.960 20 0 BFADHN C[C@@H](NCc1cc(Cl)ccc1F)C(C)(C)O ZINC000309781324 491156502 /nfs/dbraw/zinc/15/65/02/491156502.db2.gz MZITZZHVDPXHBN-MRVPVSSYSA-N 0 3 245.725 2.728 20 0 BFADHN CO[C@H](C)CCNCc1scnc1C1CC1 ZINC000309971262 491159114 /nfs/dbraw/zinc/15/91/14/491159114.db2.gz PRCCISGJCRLLCJ-SECBINFHSA-N 0 3 240.372 2.535 20 0 BFADHN Fc1cccc(CN[C@@H]2CCCOCC2)c1F ZINC000310472756 491161657 /nfs/dbraw/zinc/16/16/57/491161657.db2.gz JBYDSBATDXKOOG-LLVKDONJSA-N 0 3 241.281 2.624 20 0 BFADHN C[C@H](Nc1cccc(CN(C)C)c1)[C@@H]1CCCO1 ZINC000310836476 491162967 /nfs/dbraw/zinc/16/29/67/491162967.db2.gz GVUHQRMXVFGSGM-WFASDCNBSA-N 0 3 248.370 2.728 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCOC[C@@H]1c1ccccc1 ZINC000488300674 533721708 /nfs/dbraw/zinc/72/17/08/533721708.db2.gz RWBRQNQRAYNJAN-BPLDGKMQSA-N 0 3 231.339 2.716 20 0 BFADHN C[C@@H](CNC/C=C\c1ccncc1)C(F)(F)F ZINC000487572026 534054062 /nfs/dbraw/zinc/05/40/62/534054062.db2.gz NKMXDXBNJWYLHE-ZMWSMAPVSA-N 0 3 244.260 2.883 20 0 BFADHN COc1ccccc1CN(C)C[C@@H]1C[C@@H]1C ZINC000488289260 534060295 /nfs/dbraw/zinc/06/02/95/534060295.db2.gz VCNPIBLMRSZBGC-AAEUAGOBSA-N 0 3 219.328 2.783 20 0 BFADHN COc1ccccc1CN(C)C[C@@H]1C[C@H]1C ZINC000488289263 534061397 /nfs/dbraw/zinc/06/13/97/534061397.db2.gz VCNPIBLMRSZBGC-YPMHNXCESA-N 0 3 219.328 2.783 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN1CCCC1 ZINC000891447931 582687405 /nfs/dbraw/zinc/68/74/05/582687405.db2.gz WHUBNBRZJRDQME-UHFFFAOYSA-N 0 3 221.348 2.677 20 0 BFADHN CCn1cc(CN2C[C@H](C)C[C@@H](C)C2)c(C)n1 ZINC000891449381 582688800 /nfs/dbraw/zinc/68/88/00/582688800.db2.gz WDXLLKVAVJUENP-VXGBXAGGSA-N 0 3 235.375 2.689 20 0 BFADHN CCCCN(C)Cc1cn2ccnc2s1 ZINC000891449465 582688860 /nfs/dbraw/zinc/68/88/60/582688860.db2.gz CAZILSNJFAGCRN-UHFFFAOYSA-N 0 3 223.345 2.628 20 0 BFADHN CCCN(C)C[C@H]1C[C@@]1(C)Br ZINC000891578677 582699355 /nfs/dbraw/zinc/69/93/55/582699355.db2.gz NQXZXXKOHXKSKP-RKDXNWHRSA-N 0 3 220.154 2.502 20 0 BFADHN COc1ccc(CN(C)[C@H](C)C(C)C)o1 ZINC000891604351 582700885 /nfs/dbraw/zinc/70/08/85/582700885.db2.gz GQOZFTMRZNMIDN-SNVBAGLBSA-N 0 3 211.305 2.765 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](C)C(=O)Nc1ccccc1 ZINC000348391446 535823845 /nfs/dbraw/zinc/82/38/45/535823845.db2.gz LKJFDPVVXAMRET-BZPMIXESSA-N 0 3 246.354 2.792 20 0 BFADHN CC[C@H](C)[C@H](C)N(Cc1cncn1C)C1CC1 ZINC000177051295 535933516 /nfs/dbraw/zinc/93/35/16/535933516.db2.gz TXDBWQSRZRBOGS-RYUDHWBXSA-N 0 3 235.375 2.819 20 0 BFADHN CCc1ccc(CN2C[C@H](C)N(C)C[C@H]2C)cc1 ZINC000351951448 536180283 /nfs/dbraw/zinc/18/02/83/536180283.db2.gz KSCFRYFBCOJVLV-UONOGXRCSA-N 0 3 246.398 2.773 20 0 BFADHN COc1ccc(CN2CC[C@H](C)[C@H](C)C2)o1 ZINC000892064335 582782108 /nfs/dbraw/zinc/78/21/08/582782108.db2.gz BYRJKPYAGPIPHJ-WDEREUQCSA-N 0 3 223.316 2.766 20 0 BFADHN Cc1ccoc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000424191374 534449027 /nfs/dbraw/zinc/44/90/27/534449027.db2.gz HGWGQBXNFRILLX-NWDGAFQWSA-N 0 3 205.301 2.866 20 0 BFADHN CC[C@@H]1CCN(Cc2cnc(F)c(C)c2)C1 ZINC000892224429 582810319 /nfs/dbraw/zinc/81/03/19/582810319.db2.gz VHXCUQSAWKYSGG-LLVKDONJSA-N 0 3 222.307 2.761 20 0 BFADHN Cc1ccc2[nH]c(CNC[C@@H]3C[C@@H]3C)nc2c1 ZINC000488434678 534501618 /nfs/dbraw/zinc/50/16/18/534501618.db2.gz JTWFMCDWPAJATL-QWRGUYRKSA-N 0 3 229.327 2.617 20 0 BFADHN Cc1ccc2nc(CNC[C@@H]3C[C@@H]3C)[nH]c2c1 ZINC000488434678 534501621 /nfs/dbraw/zinc/50/16/21/534501621.db2.gz JTWFMCDWPAJATL-QWRGUYRKSA-N 0 3 229.327 2.617 20 0 BFADHN Cc1ncc(CN(C)C[C@@H]2C[C@H]2C)s1 ZINC000488298529 534734267 /nfs/dbraw/zinc/73/42/67/534734267.db2.gz RCWUXIJHQCCUJJ-SCZZXKLOSA-N 0 3 210.346 2.539 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1ccc(O)c(F)c1 ZINC000892404175 582877409 /nfs/dbraw/zinc/87/74/09/582877409.db2.gz ZVRLEWMOFXUAJS-LBPRGKRZSA-N 0 3 223.291 2.762 20 0 BFADHN CC[C@H](C)[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000892430061 582885048 /nfs/dbraw/zinc/88/50/48/582885048.db2.gz ZOXTWCQYKYZJHD-QMMMGPOBSA-N 0 3 213.708 2.934 20 0 BFADHN CC(C)CCOCCNC/C=C/c1ccncc1 ZINC000486951989 527759245 /nfs/dbraw/zinc/75/92/45/527759245.db2.gz AGUKUAJWPUCLTK-ONEGZZNKSA-N 0 3 248.370 2.747 20 0 BFADHN CCN(C/C=C\c1ccncc1)C[C@H]1CCCO1 ZINC000495150574 527872209 /nfs/dbraw/zinc/87/22/09/527872209.db2.gz KLIIEABBWJWJGY-UYWPIULCSA-N 0 3 246.354 2.596 20 0 BFADHN CCCC(CCC)N1CCC[C@@H]1C(=O)OCC ZINC000468538973 527999370 /nfs/dbraw/zinc/99/93/70/527999370.db2.gz ZBEGOOVRBUPBPE-CYBMUJFWSA-N 0 3 241.375 2.983 20 0 BFADHN CCC[C@H](C)CN1CC[C@H](C)C[C@H]1C(=O)OC ZINC000482493588 528032720 /nfs/dbraw/zinc/03/27/20/528032720.db2.gz XQSVIFNMRJBBAI-AVGNSLFASA-N 0 3 241.375 2.696 20 0 BFADHN CCN(CCC1CC1)Cc1cccnc1OC ZINC000488287106 528425579 /nfs/dbraw/zinc/42/55/79/528425579.db2.gz QIRBVXXBYXLPAO-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCC[C@H]1C[C@@H]1NCc1c(OC)cccc1OC ZINC000511766933 528427154 /nfs/dbraw/zinc/42/71/54/528427154.db2.gz AYFCJYSPXJGOLN-AAEUAGOBSA-N 0 3 249.354 2.982 20 0 BFADHN CCCCN(Cc1ccnn1CC)C1CC1 ZINC000507242364 528445567 /nfs/dbraw/zinc/44/55/67/528445567.db2.gz GAXMKNUKUKGTOP-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CCCc1ccc(CN2C[C@@H](O)C3(CC3)C2)cc1 ZINC000494661387 528622540 /nfs/dbraw/zinc/62/25/40/528622540.db2.gz MGLHSJPSNNOMES-OAHLLOKOSA-N 0 3 245.366 2.596 20 0 BFADHN CC/C=C/CCN1CC(C)(C)OC[C@@H]1C ZINC000488229669 528769533 /nfs/dbraw/zinc/76/95/33/528769533.db2.gz YCBGSRQOQMNVLP-SYTKJHMZSA-N 0 3 211.349 2.842 20 0 BFADHN CCC(CC)N(CCOC)Cc1conc1C ZINC000507391872 528779295 /nfs/dbraw/zinc/77/92/95/528779295.db2.gz NESRVBNZCDXOGQ-UHFFFAOYSA-N 0 3 240.347 2.620 20 0 BFADHN CCN(Cc1cnc(C)cn1)C1CCCCC1 ZINC000505267936 528871828 /nfs/dbraw/zinc/87/18/28/528871828.db2.gz XUXNJDZKNPMJLN-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN CCN(Cc1conc1C)C1CCCC1 ZINC000507225745 528880917 /nfs/dbraw/zinc/88/09/17/528880917.db2.gz DUAIRGCDLZZFDV-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN CCC[C@@H](C)N1CCO[C@@H](C(C)(C)C)C1 ZINC000501874423 528891964 /nfs/dbraw/zinc/89/19/64/528891964.db2.gz NYUWPWMORJYNKF-VXGBXAGGSA-N 0 3 213.365 2.922 20 0 BFADHN CCOC[C@H](C)NC/C=C\c1ccc(F)cc1 ZINC000494230035 528976611 /nfs/dbraw/zinc/97/66/11/528976611.db2.gz HGDMMLBMDNERTP-RXNFCKPNSA-N 0 3 237.318 2.854 20 0 BFADHN CC1(C)CN(Cc2ccnc(N)c2)CC(C)(C)C1 ZINC000488329740 529123758 /nfs/dbraw/zinc/12/37/58/529123758.db2.gz OZEAYDXXTDBLMT-UHFFFAOYSA-N 0 3 247.386 2.752 20 0 BFADHN CC[C@@H](O)CNCc1ccc(-c2ccccc2)o1 ZINC000488369168 529232027 /nfs/dbraw/zinc/23/20/27/529232027.db2.gz DVMRNKZVKHRVSN-CYBMUJFWSA-N 0 3 245.322 2.807 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cc(OC)ccn1 ZINC000466927330 529316359 /nfs/dbraw/zinc/31/63/59/529316359.db2.gz QWDFUFQHWYTBMA-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN CCCN1CCN(c2ccc(Cl)cc2)CC1 ZINC000040935990 534932093 /nfs/dbraw/zinc/93/20/93/534932093.db2.gz OMNVJLWRVVTBIK-UHFFFAOYSA-N 0 3 238.762 2.872 20 0 BFADHN CC(C)[C@H](C)N(Cc1cnc(N)s1)C1CC1 ZINC000261595736 534956485 /nfs/dbraw/zinc/95/64/85/534956485.db2.gz YJKLBHFFDORDLN-VIFPVBQESA-N 0 3 239.388 2.734 20 0 BFADHN CC1(C)SC[C@H]1NCc1cc2cccnc2o1 ZINC000336217531 535015634 /nfs/dbraw/zinc/01/56/34/535015634.db2.gz GNYUQMOTJZLFEO-LLVKDONJSA-N 0 3 248.351 2.811 20 0 BFADHN CC(C)N(CC(=O)NCCC(C)(C)C)C(C)C ZINC000183053458 535036648 /nfs/dbraw/zinc/03/66/48/535036648.db2.gz QOFDXKIXMDFURF-UHFFFAOYSA-N 0 3 242.407 2.658 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@@H]1CCC[C@@H](CC)C1 ZINC000221570510 535048306 /nfs/dbraw/zinc/04/83/06/535048306.db2.gz RGTJIYBQXKIFDN-UPJWGTAASA-N 0 3 240.391 2.589 20 0 BFADHN CCCCCNC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000249854957 535072535 /nfs/dbraw/zinc/07/25/35/535072535.db2.gz CZYVTFINMITCBU-UPJWGTAASA-N 0 3 240.391 2.554 20 0 BFADHN CC1(CNCc2cc(F)ccc2F)CC1 ZINC000224179472 535152675 /nfs/dbraw/zinc/15/26/75/535152675.db2.gz RKPXQVHATKGTQM-UHFFFAOYSA-N 0 3 211.255 2.855 20 0 BFADHN CC(C)[C@H](O)CN[C@H]1CCCOc2ccccc21 ZINC000178346098 535160728 /nfs/dbraw/zinc/16/07/28/535160728.db2.gz KQRSSGSTJHJPLV-UONOGXRCSA-N 0 3 249.354 2.507 20 0 BFADHN CC(C)[C@H]1CC[C@@H](C)C[C@@H]1NCc1cc[nH]n1 ZINC000217888842 535205779 /nfs/dbraw/zinc/20/57/79/535205779.db2.gz WYJVWVZUNWURJE-BNOWGMLFSA-N 0 3 235.375 2.960 20 0 BFADHN CCCCN(CCCO)Cc1ccc(CC)o1 ZINC000349421691 535254262 /nfs/dbraw/zinc/25/42/62/535254262.db2.gz SXURAMSMYIYLKH-UHFFFAOYSA-N 0 3 239.359 2.827 20 0 BFADHN CC[C@@H](C)CN(CC)[C@H](C)c1cnccn1 ZINC000248639953 535511083 /nfs/dbraw/zinc/51/10/83/535511083.db2.gz XVTFRHKFTWDKIG-VXGBXAGGSA-N 0 3 221.348 2.906 20 0 BFADHN CC[C@@H](C)CN1CCC[C@@H]1c1ncc[nH]1 ZINC000351063223 535513136 /nfs/dbraw/zinc/51/31/36/535513136.db2.gz LJQQZPPTOKOWPU-GHMZBOCLSA-N 0 3 207.321 2.593 20 0 BFADHN CC[C@@H](C)CN1CCCC[C@H]1c1cc[nH]n1 ZINC000352187306 535514427 /nfs/dbraw/zinc/51/44/27/535514427.db2.gz QWHVSJMNXZXWNF-YPMHNXCESA-N 0 3 221.348 2.983 20 0 BFADHN CCC[C@@](C)(N)C(=O)N1CCC[C@@](C)(CC)C1 ZINC000262720977 535523253 /nfs/dbraw/zinc/52/32/53/535523253.db2.gz ZUCYKXMIRQWFBY-ZIAGYGMSSA-N 0 3 240.391 2.543 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1nccn1C ZINC000070852819 535536227 /nfs/dbraw/zinc/53/62/27/535536227.db2.gz KHJXVFBNPVIBMV-NEPJUHHUSA-N 0 3 223.364 2.725 20 0 BFADHN CCOCCN(C)[C@@H](C)c1cccs1 ZINC000253611732 535572206 /nfs/dbraw/zinc/57/22/06/535572206.db2.gz YCBQZSTZMHOHLD-JTQLQIEISA-N 0 3 213.346 2.777 20 0 BFADHN CC[C@@H](C)N[C@H]1COCc2ccccc21 ZINC000225974047 535606797 /nfs/dbraw/zinc/60/67/97/535606797.db2.gz CTEBTIADAICJBA-MFKMUULPSA-N 0 3 205.301 2.646 20 0 BFADHN CCCn1cc(CN2CC[C@@H](C)[C@@H]2C)cn1 ZINC000180468687 535611054 /nfs/dbraw/zinc/61/10/54/535611054.db2.gz QIAPLERUWZTBNS-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@@H](NCCOC(C)C)c1ccc(F)cn1 ZINC000168874650 535803900 /nfs/dbraw/zinc/80/39/00/535803900.db2.gz IYWQUMFOSPNFQC-GFCCVEGCSA-N 0 3 240.322 2.686 20 0 BFADHN CC[C@@H](NC[C@@H](O)C(F)F)c1ccc(C)cc1 ZINC000224443001 535804549 /nfs/dbraw/zinc/80/45/49/535804549.db2.gz FZKNTFRTXRUPOG-VXGBXAGGSA-N 0 3 243.297 2.662 20 0 BFADHN CC[C@@H]1C[C@H](CN(C)Cc2cccnc2)CCO1 ZINC000350457976 535895583 /nfs/dbraw/zinc/89/55/83/535895583.db2.gz JAIPYSVWKSPELF-UKRRQHHQSA-N 0 3 248.370 2.719 20 0 BFADHN CC[C@H](N[C@H]1CCOC1)c1cccc(F)c1 ZINC000164085058 535947712 /nfs/dbraw/zinc/94/77/12/535947712.db2.gz SCQOYUMFLSKVMJ-STQMWFEESA-N 0 3 223.291 2.655 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cnc(C)cn1 ZINC000335313934 536093118 /nfs/dbraw/zinc/09/31/18/536093118.db2.gz ZHBZDDZDGFVKEB-YPMHNXCESA-N 0 3 219.332 2.548 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1cn2cccc(C)c2n1 ZINC000348386114 536101373 /nfs/dbraw/zinc/10/13/73/536101373.db2.gz JKYZZPZFXFNQBN-GXTWGEPZSA-N 0 3 243.354 2.921 20 0 BFADHN CC[C@H](C)CN(C)[C@H](CC(C)C)C(=O)OC ZINC000351768563 536122202 /nfs/dbraw/zinc/12/22/02/536122202.db2.gz XRQPWWXHWPIILZ-NWDGAFQWSA-N 0 3 229.364 2.552 20 0 BFADHN CC[C@H](C)N(C)C(=O)c1cccc(CN(C)C)c1 ZINC000172731485 536143837 /nfs/dbraw/zinc/14/38/37/536143837.db2.gz OPQUELUZDXIVGY-LBPRGKRZSA-N 0 3 248.370 2.619 20 0 BFADHN CN(C[C@H]1CCCCC1(F)F)C1CC1 ZINC000336201135 536443777 /nfs/dbraw/zinc/44/37/77/536443777.db2.gz TWARXVLNKGMGPQ-SECBINFHSA-N 0 3 203.276 2.906 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1CCC[C@@H](C)CC1 ZINC000351851905 536650877 /nfs/dbraw/zinc/65/08/77/536650877.db2.gz BBHXUTYOGDMFBE-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN COc1ccc2c(c1)C[C@H](N[C@@H](C)CF)CC2 ZINC000342261849 536992817 /nfs/dbraw/zinc/99/28/17/536992817.db2.gz XUKWJDSANAHXHR-GXFFZTMASA-N 0 3 237.318 2.500 20 0 BFADHN COc1ccccc1CNCC1(C)CC1 ZINC000224175457 537048017 /nfs/dbraw/zinc/04/80/17/537048017.db2.gz ALLZFANTPOAZSI-UHFFFAOYSA-N 0 3 205.301 2.585 20 0 BFADHN COc1ccccc1CNCC[C@@H]1CCCCO1 ZINC000227339333 537048194 /nfs/dbraw/zinc/04/81/94/537048194.db2.gz TYVXLIWVWAIJIG-AWEZNQCLSA-N 0 3 249.354 2.744 20 0 BFADHN COc1nccc(CN[C@@H](C)C2(C)CC2)c1F ZINC000892685901 582955271 /nfs/dbraw/zinc/95/52/71/582955271.db2.gz DOXPZCAQDUAYBX-VIFPVBQESA-N 0 3 238.306 2.508 20 0 BFADHN CO[C@@H]1CCC[C@H](N2CC[C@@](C)(F)[C@H](F)C2)C1 ZINC001172931184 974982866 /nfs/dbraw/zinc/98/28/66/974982866.db2.gz MVUYMIJTWKRKNO-UMSGYPCISA-N 0 3 247.329 2.716 20 0 BFADHN CO[C@@H]1CCC[C@H](N2CC[C@](C)(F)[C@@H](F)C2)C1 ZINC001172931183 974983720 /nfs/dbraw/zinc/98/37/20/974983720.db2.gz MVUYMIJTWKRKNO-RNJOBUHISA-N 0 3 247.329 2.716 20 0 BFADHN Cc1ccc(CN[C@@H]2CC23CCCC3)cn1 ZINC000335604841 566405104 /nfs/dbraw/zinc/40/51/04/566405104.db2.gz UONDGJQQQIJRNQ-CYBMUJFWSA-N 0 3 216.328 2.812 20 0 BFADHN C[C@H](CF)N[C@@H](C)Cc1ccsc1 ZINC000379409029 566444560 /nfs/dbraw/zinc/44/45/60/566444560.db2.gz CAGCFWADWQNSSH-DTWKUNHWSA-N 0 3 201.310 2.627 20 0 BFADHN Cc1oc(C(C)(C)C)cc1CNCC[C@H](C)O ZINC000892967391 583022121 /nfs/dbraw/zinc/02/21/21/583022121.db2.gz CSENATBKEFMELH-JTQLQIEISA-N 0 3 239.359 2.746 20 0 BFADHN COc1nccc(CN[C@H](C)CC(C)C)c1F ZINC000893179943 583065265 /nfs/dbraw/zinc/06/52/65/583065265.db2.gz DSMZIEPMTVSCHH-SNVBAGLBSA-N 0 3 240.322 2.754 20 0 BFADHN COc1ccc(CN[C@H](C)CC(C)C)o1 ZINC000893179815 583065282 /nfs/dbraw/zinc/06/52/82/583065282.db2.gz CGRPTIKNBVDROO-SNVBAGLBSA-N 0 3 211.305 2.812 20 0 BFADHN CC(C)[C@@H](CC(F)(F)F)NCc1cncnc1 ZINC000438473321 566372156 /nfs/dbraw/zinc/37/21/56/566372156.db2.gz ROQMROJXWLXULN-SNVBAGLBSA-N 0 3 247.264 2.543 20 0 BFADHN COc1ccc(CN[C@H]2CCC(C)(C)C2)o1 ZINC000893222865 583074015 /nfs/dbraw/zinc/07/40/15/583074015.db2.gz YDCCMOUNFBCCGP-JTQLQIEISA-N 0 3 223.316 2.957 20 0 BFADHN CO[C@H](C)CNCc1ccc(C(F)F)c(F)c1 ZINC000893910777 583246018 /nfs/dbraw/zinc/24/60/18/583246018.db2.gz KGMPLPGWFOFSDK-MRVPVSSYSA-N 0 3 247.260 2.888 20 0 BFADHN CCC[C@@H](C)N(C)Cc1c(C)ccnc1N ZINC000893945664 583256951 /nfs/dbraw/zinc/25/69/51/583256951.db2.gz IFSOGIRBTJVHAL-LLVKDONJSA-N 0 3 221.348 2.593 20 0 BFADHN CCC(CC)N(CCOC)Cc1ocnc1C ZINC000893959856 583262162 /nfs/dbraw/zinc/26/21/62/583262162.db2.gz XXPCNPSCOYVNFO-UHFFFAOYSA-N 0 3 240.347 2.620 20 0 BFADHN CC[C@]1(C)CCCN(Cc2ocnc2C)C1 ZINC000894032116 583277514 /nfs/dbraw/zinc/27/75/14/583277514.db2.gz DGKGEDLTDKIGPR-CYBMUJFWSA-N 0 3 222.332 2.995 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2cc(C)co2)C1 ZINC000894027052 583280821 /nfs/dbraw/zinc/28/08/21/583280821.db2.gz PWCKMIFHESRTQH-CYBMUJFWSA-N 0 3 237.343 2.837 20 0 BFADHN CO[C@@H]1CCC[C@@H]1NCc1ccc(C)c(F)c1 ZINC000110976656 588714561 /nfs/dbraw/zinc/71/45/61/588714561.db2.gz OJSFOCYOXATAAS-UONOGXRCSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H](NCCOC1CCCC1)c1ccccn1 ZINC000111635238 588764786 /nfs/dbraw/zinc/76/47/86/588764786.db2.gz DALPDJLGXCGDIG-GFCCVEGCSA-N 0 3 234.343 2.691 20 0 BFADHN Cc1cc(NC(=O)C(C)C(F)(F)F)ccc1N ZINC000854012706 588771579 /nfs/dbraw/zinc/77/15/79/588771579.db2.gz SUTMTAMTVQCZHO-ZETCQYMHSA-N 0 3 246.232 2.714 20 0 BFADHN CCc1nsc(-c2ccc(CN(C)C)cc2)n1 ZINC000854173935 588778126 /nfs/dbraw/zinc/77/81/26/588778126.db2.gz TXLAHDRFHBJIAW-UHFFFAOYSA-N 0 3 247.367 2.829 20 0 BFADHN COC1CC(CN(C)Cc2ccccc2F)C1 ZINC000859055477 582480270 /nfs/dbraw/zinc/48/02/70/582480270.db2.gz KJQUAGRDINOCBQ-UHFFFAOYSA-N 0 3 237.318 2.683 20 0 BFADHN CCc1cccc(CN2C[C@H]3[C@@H](C2)C3(F)F)c1 ZINC000844456415 582592251 /nfs/dbraw/zinc/59/22/51/582592251.db2.gz GFCMXFSXOBLTTL-BETUJISGSA-N 0 3 237.293 2.946 20 0 BFADHN C[C@H]1CCCN(Cc2cn(C)nc2C2CC2)C1 ZINC000891448186 582687684 /nfs/dbraw/zinc/68/76/84/582687684.db2.gz ZXMYBFBJROFFPN-NSHDSACASA-N 0 3 233.359 2.529 20 0 BFADHN c1nn(C2CCC2)cc1CN1C2CCC1CC2 ZINC000891556400 582696724 /nfs/dbraw/zinc/69/67/24/582696724.db2.gz XCZMXNWCTYAENQ-UHFFFAOYSA-N 0 3 231.343 2.735 20 0 BFADHN CCCN(C)Cc1nccc2c1CCCC2 ZINC000891577847 582698487 /nfs/dbraw/zinc/69/84/87/582698487.db2.gz HFCCVRHCGPWUSB-UHFFFAOYSA-N 0 3 218.344 2.802 20 0 BFADHN CCN(Cc1cccc2c1OCC2)C1CC1 ZINC000891609078 582701484 /nfs/dbraw/zinc/70/14/84/582701484.db2.gz KSWNLFATBZBOGZ-UHFFFAOYSA-N 0 3 217.312 2.606 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cccc2[nH]c(=O)oc21 ZINC000891644057 582705648 /nfs/dbraw/zinc/70/56/48/582705648.db2.gz RKEKAHZOXJNZOP-VIFPVBQESA-N 0 3 246.310 2.764 20 0 BFADHN CC(C)N(Cc1cn2ccnc2s1)C1CC1 ZINC000891661589 582707328 /nfs/dbraw/zinc/70/73/28/582707328.db2.gz HAUXAUJVWBDPAR-UHFFFAOYSA-N 0 3 235.356 2.769 20 0 BFADHN CCn1cc(CN([C@H](C)C2CC2)C2CC2)c(C)n1 ZINC000891669488 582708490 /nfs/dbraw/zinc/70/84/90/582708490.db2.gz WVKOIMNNQVYJIW-GFCCVEGCSA-N 0 3 247.386 2.974 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccnn1C1CCC1 ZINC000891779998 582719894 /nfs/dbraw/zinc/71/98/94/582719894.db2.gz PXYYCDBGMAGKGE-GFCCVEGCSA-N 0 3 233.359 2.983 20 0 BFADHN COc1ccc(CN2CCCC2(C)C)o1 ZINC000891869923 582732829 /nfs/dbraw/zinc/73/28/29/582732829.db2.gz PGHBAPISRBPJHJ-UHFFFAOYSA-N 0 3 209.289 2.663 20 0 BFADHN Cn1nc2c(c1CN1CCC(C)(C)CC1)CCC2 ZINC000891911351 582736540 /nfs/dbraw/zinc/73/65/40/582736540.db2.gz POCSAEJTTBRYSN-UHFFFAOYSA-N 0 3 247.386 2.531 20 0 BFADHN Cc1ccc(CCN(C)[C@H]2CCOC2)cc1C ZINC000891936319 582741113 /nfs/dbraw/zinc/74/11/13/582741113.db2.gz WBLWPPZZWROORN-HNNXBMFYSA-N 0 3 233.355 2.567 20 0 BFADHN CCOc1ccc(CN2CCC[C@@H]2C)o1 ZINC000892018978 582762351 /nfs/dbraw/zinc/76/23/51/582762351.db2.gz HLZUNESFEHSKEY-JTQLQIEISA-N 0 3 209.289 2.663 20 0 BFADHN CCN(Cc1cnn(C2CCC2)c1)CC1CC1 ZINC000892035288 582768401 /nfs/dbraw/zinc/76/84/01/582768401.db2.gz DSOQBUSOHCRPJC-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN C[C@H]1CCCCCN1Cc1c2c(nn1C)CCC2 ZINC000892036451 582769464 /nfs/dbraw/zinc/76/94/64/582769464.db2.gz LDYPQAACIJAOML-LBPRGKRZSA-N 0 3 247.386 2.673 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2cnn(C)c2C2CC2)C1 ZINC000892067413 582782963 /nfs/dbraw/zinc/78/29/63/582782963.db2.gz QEFPEABTRZGRBG-NEPJUHHUSA-N 0 3 247.386 2.918 20 0 BFADHN C[C@H]1CN(Cc2cnn(C3CCC3)c2)C[C@@H]1C ZINC000892068891 582784076 /nfs/dbraw/zinc/78/40/76/582784076.db2.gz VVRCXFSVRTXTIS-RYUDHWBXSA-N 0 3 233.359 2.696 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1nccn1C1CC1 ZINC000892068100 582785054 /nfs/dbraw/zinc/78/50/54/582785054.db2.gz FBRLCGZVPLMNDQ-RYUDHWBXSA-N 0 3 233.359 2.838 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1nccn1C1CC1 ZINC000892068098 582785376 /nfs/dbraw/zinc/78/53/76/582785376.db2.gz FBRLCGZVPLMNDQ-NEPJUHHUSA-N 0 3 233.359 2.838 20 0 BFADHN CCN(Cc1cn(CC)nc1C)C1CCC1 ZINC000892086273 582787798 /nfs/dbraw/zinc/78/77/98/582787798.db2.gz PITMKZARCPQSIO-UHFFFAOYSA-N 0 3 221.348 2.586 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cnn(CC3CCC3)c2)C1 ZINC000892105267 582792839 /nfs/dbraw/zinc/79/28/39/582792839.db2.gz YQROLIARGIMDGE-OLZOCXBDSA-N 0 3 247.386 2.914 20 0 BFADHN COc1ccc(CN2C[C@@H](C)C[C@H]2C)o1 ZINC000892104561 582792845 /nfs/dbraw/zinc/79/28/45/582792845.db2.gz UAWIMVDKAVCPFY-VHSXEESVSA-N 0 3 209.289 2.519 20 0 BFADHN CC(=O)[C@@H]1CCCN(Cc2ccc(C)cc2)C1 ZINC000104407368 582793475 /nfs/dbraw/zinc/79/34/75/582793475.db2.gz YUYNVJLJRZRVIF-OAHLLOKOSA-N 0 3 231.339 2.796 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3cnn(C4CCC4)c3)C[C@@H]21 ZINC000892114144 582795045 /nfs/dbraw/zinc/79/50/45/582795045.db2.gz LTNVDZUSJJZXSJ-OKILXGFUSA-N 0 3 245.370 2.696 20 0 BFADHN Cc1cc(CN2CC[C@@H](C3CC3)C2)cnc1F ZINC000892141323 582799341 /nfs/dbraw/zinc/79/93/41/582799341.db2.gz ALSWNBLVIFOGQO-CYBMUJFWSA-N 0 3 234.318 2.761 20 0 BFADHN c1cc(CN[C@@H](C2CC2)[C@H]2CCCCO2)co1 ZINC000848559574 582803144 /nfs/dbraw/zinc/80/31/44/582803144.db2.gz FUCCHXGJSRCVAT-KGLIPLIRSA-N 0 3 235.327 2.717 20 0 BFADHN COc1ccc(CN2CC[C@@H](C(C)C)C2)o1 ZINC000892221982 582808708 /nfs/dbraw/zinc/80/87/08/582808708.db2.gz LCPBTJUHNNPSIH-LLVKDONJSA-N 0 3 223.316 2.766 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cn2ccnc2s1 ZINC000892223538 582810010 /nfs/dbraw/zinc/81/00/10/582810010.db2.gz JHKZTXUWRGPKJG-NXEZZACHSA-N 0 3 235.356 2.769 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@H]1CCCOCC1 ZINC000092328439 582855097 /nfs/dbraw/zinc/85/50/97/582855097.db2.gz DLJGWCUAWZIVPO-SKDRFNHKSA-N 0 3 238.331 2.511 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NC[C@H]1CCCCO1 ZINC000092332019 582855276 /nfs/dbraw/zinc/85/52/76/582855276.db2.gz PHWZBBCWQPESGE-BXKDBHETSA-N 0 3 238.331 2.511 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccnc(N(C)C)c1 ZINC000782175085 582869612 /nfs/dbraw/zinc/86/96/12/582869612.db2.gz PGBBYCUFFYYTRM-CQSZACIVSA-N 0 3 247.386 2.912 20 0 BFADHN C[C@H](C1CC1)N1CCc2ccc(F)cc2C1 ZINC000782182454 582869923 /nfs/dbraw/zinc/86/99/23/582869923.db2.gz QTSWYJGWCFRMEF-SNVBAGLBSA-N 0 3 219.303 2.982 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cnn(CC2CCC2)c1 ZINC000892403642 582877504 /nfs/dbraw/zinc/87/75/04/582877504.db2.gz PYJUYCHEQZIQDP-HNNXBMFYSA-N 0 3 247.386 2.914 20 0 BFADHN CCc1onc(C)c1CN1CC[C@H]1C(C)C ZINC000892404140 582877675 /nfs/dbraw/zinc/87/76/75/582877675.db2.gz YPXSYAHGKGGGSJ-LBPRGKRZSA-N 0 3 222.332 2.776 20 0 BFADHN CCCCCNCc1ccc(OCC)o1 ZINC000892430636 582885548 /nfs/dbraw/zinc/88/55/48/582885548.db2.gz HPJQFRSUFLGFAF-UHFFFAOYSA-N 0 3 211.305 2.958 20 0 BFADHN CCOc1ccc(CN[C@H](C)Cc2ccco2)o1 ZINC000892514289 582904241 /nfs/dbraw/zinc/90/42/41/582904241.db2.gz BGQOTGFOLUXXDZ-LLVKDONJSA-N 0 3 249.310 2.992 20 0 BFADHN CC(C(=O)N(C)c1ccsc1)C(F)(F)F ZINC000892551089 582910973 /nfs/dbraw/zinc/91/09/73/582910973.db2.gz WJZSYPHKBJPHHJ-ZCFIWIBFSA-N 0 3 237.246 2.909 20 0 BFADHN COCCCC[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000892589935 582922692 /nfs/dbraw/zinc/92/26/92/582922692.db2.gz VWVBFPROMACEQH-UHFFFAOYSA-N 0 3 243.734 2.562 20 0 BFADHN Fc1cncc([C@H](N[C@H]2C=CCC2)C2CC2)c1 ZINC000850510295 582923363 /nfs/dbraw/zinc/92/33/63/582923363.db2.gz SEIHXFPOUSSOLF-UONOGXRCSA-N 0 3 232.302 2.980 20 0 BFADHN CC[C@H](N[C@H]1COC[C@H]1CC)c1ccncc1 ZINC000850539193 582928565 /nfs/dbraw/zinc/92/85/65/582928565.db2.gz BLSOCMDEHSGPOE-XBFCOCLRSA-N 0 3 234.343 2.547 20 0 BFADHN Fc1cccc(CN2CC[C@H](C3CCC3)C2)n1 ZINC000852177753 583008428 /nfs/dbraw/zinc/00/84/28/583008428.db2.gz SBLABAPVISTWNW-LBPRGKRZSA-N 0 3 234.318 2.843 20 0 BFADHN CC(=O)OC[C@H](C)N[C@@H]1CCc2c1cccc2C ZINC000892921593 583009643 /nfs/dbraw/zinc/00/96/43/583009643.db2.gz WVSFABDGNSOQIJ-XHDPSFHLSA-N 0 3 247.338 2.524 20 0 BFADHN CC(=O)OC[C@H](C)N[C@H]1CCc2ccc(C)cc21 ZINC000892920055 583010427 /nfs/dbraw/zinc/01/04/27/583010427.db2.gz GWKPWECDKBYXDK-NHYWBVRUSA-N 0 3 247.338 2.524 20 0 BFADHN Cc1cc(N)nc(NCc2c(C)cccc2C)n1 ZINC000892945445 583014786 /nfs/dbraw/zinc/01/47/86/583014786.db2.gz YTUHDOZPXYNCCO-UHFFFAOYSA-N 0 3 242.326 2.596 20 0 BFADHN CCOc1ccc(CN[C@H](C)CCSC)o1 ZINC000893004429 583028599 /nfs/dbraw/zinc/02/85/99/583028599.db2.gz VADJFJRZPJCKMT-SNVBAGLBSA-N 0 3 243.372 2.910 20 0 BFADHN COc1ccc(CN[C@@H](C)CCSC)o1 ZINC000893004569 583028642 /nfs/dbraw/zinc/02/86/42/583028642.db2.gz WFJFKIACACUVFQ-VIFPVBQESA-N 0 3 229.345 2.519 20 0 BFADHN CSC(C)(C)CNCc1cnc(F)cc1C ZINC000893073193 583041768 /nfs/dbraw/zinc/04/17/68/583041768.db2.gz FFYRTGNRUSXMSI-UHFFFAOYSA-N 0 3 242.363 2.760 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1ccc(OC)o1 ZINC000893108409 583050618 /nfs/dbraw/zinc/05/06/18/583050618.db2.gz OHDAGKRSMAVPQW-ZYHUDNBSSA-N 0 3 223.316 2.957 20 0 BFADHN CCc1onc(C)c1CN[C@H]1CC[C@@H]1SC ZINC000893123310 583052601 /nfs/dbraw/zinc/05/26/01/583052601.db2.gz GMQVMLVALVXBLC-JQWIXIFHSA-N 0 3 240.372 2.529 20 0 BFADHN CS[C@H](C)C[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000893181445 583065355 /nfs/dbraw/zinc/06/53/55/583065355.db2.gz LKNZONLADUIHCX-MRVPVSSYSA-N 0 3 245.775 2.887 20 0 BFADHN COc1nccc(CN[C@H](C)C2CCC2)c1F ZINC000893255744 583079094 /nfs/dbraw/zinc/07/90/94/583079094.db2.gz OQKGJLQEGPGJTO-SECBINFHSA-N 0 3 238.306 2.508 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2cn(C)nc2Cl)C1 ZINC000856765970 588876344 /nfs/dbraw/zinc/87/63/44/588876344.db2.gz SJZYDVYTBUKINX-MWLCHTKSSA-N 0 3 241.766 2.742 20 0 BFADHN COC[C@H](NCc1cc(C)ccc1OC)C1CC1 ZINC000397473341 583100641 /nfs/dbraw/zinc/10/06/41/583100641.db2.gz YFWBHSOQNLUHKJ-AWEZNQCLSA-N 0 3 249.354 2.518 20 0 BFADHN CC(C)C1(CNCc2cn(C)nc2Cl)CC1 ZINC000856772183 588877031 /nfs/dbraw/zinc/87/70/31/588877031.db2.gz UGMILYCKNQRKLB-UHFFFAOYSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2cn(C)nc2Cl)C1 ZINC000856783795 588877545 /nfs/dbraw/zinc/87/75/45/588877545.db2.gz HECSYRALVLBGQH-VHSXEESVSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@H](NCC1(O)CCC(C)CC1)c1ccoc1 ZINC000094869354 583171299 /nfs/dbraw/zinc/17/12/99/583171299.db2.gz VRXIGWWKZJZNRO-LXVYMNJGSA-N 0 3 237.343 2.871 20 0 BFADHN COc1cccc2cc(CNC[C@H](C)OC)oc21 ZINC000223997293 583182383 /nfs/dbraw/zinc/18/23/83/583182383.db2.gz OHPKFTQNUWRXJX-JTQLQIEISA-N 0 3 249.310 2.566 20 0 BFADHN C[C@@H]1CN(Cn2cccnc2=S)[C@@H](C)[C@H]1C ZINC000841695158 583204127 /nfs/dbraw/zinc/20/41/27/583204127.db2.gz ZLCFAXDONWOCBZ-VWYCJHECSA-N 0 3 237.372 2.546 20 0 BFADHN COC1CCN(C/C=C\c2cccc(F)c2)CC1 ZINC000893914929 583246116 /nfs/dbraw/zinc/24/61/16/583246116.db2.gz MPPOWWUOATUVOM-HYXAFXHYSA-N 0 3 249.329 2.950 20 0 BFADHN Cc1noc2ncc(CN(C)CC(C)C)cc12 ZINC000893926089 583249685 /nfs/dbraw/zinc/24/96/85/583249685.db2.gz YILBNYDLFINJIM-UHFFFAOYSA-N 0 3 233.315 2.619 20 0 BFADHN Cc1ncoc1CN(C)C1CCC(C)CC1 ZINC000893908676 583250143 /nfs/dbraw/zinc/25/01/43/583250143.db2.gz BQHQFTDATSLSGF-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN CC(C)CCN(C)Cc1ccc2oc(=O)oc2c1 ZINC000893931253 583251677 /nfs/dbraw/zinc/25/16/77/583251677.db2.gz NKQKOJMHWPVTMJ-UHFFFAOYSA-N 0 3 249.310 2.864 20 0 BFADHN CCCCN(Cc1c(C)ccnc1N)C1CC1 ZINC000893939631 583255070 /nfs/dbraw/zinc/25/50/70/583255070.db2.gz LGRHXASIWGJNGZ-UHFFFAOYSA-N 0 3 233.359 2.737 20 0 BFADHN CC[C@@H]1CCCN(Cc2c(C)ccnc2N)C1 ZINC000893961311 583256063 /nfs/dbraw/zinc/25/60/63/583256063.db2.gz FHBMFMJQZPZBMN-GFCCVEGCSA-N 0 3 233.359 2.594 20 0 BFADHN CCCN(Cc1ocnc1C)CC(C)C ZINC000893949268 583258581 /nfs/dbraw/zinc/25/85/81/583258581.db2.gz KDJGYGPMMIJXFR-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN Cc1ncoc1CN1CC[C@H](c2ccccc2)C1 ZINC000893948387 583259611 /nfs/dbraw/zinc/25/96/11/583259611.db2.gz VTMHOUQJOSZELH-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN Cc1ncoc1CN(CC1CC1)C(C)C ZINC000893959427 583262474 /nfs/dbraw/zinc/26/24/74/583262474.db2.gz UQZYEWNMBYEFPY-UHFFFAOYSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1coc(CN2CCC[C@@H](c3ncc[nH]3)C2)c1 ZINC000893965715 583264179 /nfs/dbraw/zinc/26/41/79/583264179.db2.gz AMXJTALWBDKPOY-GFCCVEGCSA-N 0 3 245.326 2.691 20 0 BFADHN CC(C)=CCCNCc1cnn(C)c1C1CC1 ZINC000893982266 583266264 /nfs/dbraw/zinc/26/62/64/583266264.db2.gz UBDQPNFQHZHSLH-UHFFFAOYSA-N 0 3 233.359 2.743 20 0 BFADHN Cc1ccnc(N)c1CN1CCCC(C)(C)C1 ZINC000893994339 583267690 /nfs/dbraw/zinc/26/76/90/583267690.db2.gz DEKPOGYSGJJWMG-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN Cc1ncoc1CN1CC[C@@H](c2ccco2)C1 ZINC000894020617 583274714 /nfs/dbraw/zinc/27/47/14/583274714.db2.gz JOUQKXYEGKAFES-LLVKDONJSA-N 0 3 232.283 2.566 20 0 BFADHN Cc1n[nH]c(CN2CC[C@H](C)[C@@H](C)C2)c1C ZINC000894034649 583278256 /nfs/dbraw/zinc/27/82/56/583278256.db2.gz UBWXNCYSUWWLNT-UWVGGRQHSA-N 0 3 221.348 2.504 20 0 BFADHN Cc1ncoc1CN1CCc2ccccc2CC1 ZINC000894024848 583280435 /nfs/dbraw/zinc/28/04/35/583280435.db2.gz NXDBIISHXUSKLF-UHFFFAOYSA-N 0 3 242.322 2.584 20 0 BFADHN Cc1coc(CN2CCC[C@H]3COCC[C@H]32)c1 ZINC000894087353 583298758 /nfs/dbraw/zinc/29/87/58/583298758.db2.gz BQPLYDHMXVTVMW-GXTWGEPZSA-N 0 3 235.327 2.589 20 0 BFADHN CC[C@@H]1CN(C/C=C\c2cccc(F)c2)C[C@@H]1O ZINC000894096497 583299891 /nfs/dbraw/zinc/29/98/91/583299891.db2.gz XGHCVBRBRCIKFS-CWNOIDMWSA-N 0 3 249.329 2.542 20 0 BFADHN CC(C)C1CN(C[C@H]2C[C@@]2(C)Br)C1 ZINC000894133733 583313663 /nfs/dbraw/zinc/31/36/63/583313663.db2.gz IKLIJZDXZATYMQ-GHMZBOCLSA-N 0 3 246.192 2.748 20 0 BFADHN Cc1ncoc1CNCCCNc1ccccc1 ZINC000894137781 583315381 /nfs/dbraw/zinc/31/53/81/583315381.db2.gz DLSPRPCRCOIGTM-UHFFFAOYSA-N 0 3 245.326 2.575 20 0 BFADHN Cc1c(Cl)cccc1CN1C[C@H](O)C[C@H]1C ZINC000877467089 583315745 /nfs/dbraw/zinc/31/57/45/583315745.db2.gz ABBNBWLAILHRTI-BXKDBHETSA-N 0 3 239.746 2.604 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@H]1C[C@]1(C)Br ZINC000894138915 583315774 /nfs/dbraw/zinc/31/57/74/583315774.db2.gz JARQIVJMDUXAFC-RGOKHQFPSA-N 0 3 232.165 2.643 20 0 BFADHN CCN(Cc1ccc(OC)o1)CC1CCC1 ZINC000894177227 583327800 /nfs/dbraw/zinc/32/78/00/583327800.db2.gz UPTHGIHTMSNAML-UHFFFAOYSA-N 0 3 223.316 2.910 20 0 BFADHN O[C@H](CN1CC(CC2CC2)C1)c1ccc(F)cc1 ZINC000857212258 588893957 /nfs/dbraw/zinc/89/39/57/588893957.db2.gz IVPZUCNACAKCEQ-OAHLLOKOSA-N 0 3 249.329 2.591 20 0 BFADHN FC(F)[C@@H]1CCN(C[C@@H]2C[C@H]3CCC[C@H]3O2)C1 ZINC000878319838 583369272 /nfs/dbraw/zinc/36/92/72/583369272.db2.gz BURUZKCWEKOSEZ-WISYIIOYSA-N 0 3 245.313 2.531 20 0 BFADHN CC1(C)CC[C@H](CN2CC[C@H](C(F)F)C2)OC1 ZINC000878319810 583370041 /nfs/dbraw/zinc/37/00/41/583370041.db2.gz BCHFQHWZNVSIGU-WDEREUQCSA-N 0 3 247.329 2.779 20 0 BFADHN Cc1cccc(C(C)(C)CNCc2c[nH]cn2)c1 ZINC000894211909 583377267 /nfs/dbraw/zinc/37/72/67/583377267.db2.gz VWHOPHVPTLQNCB-UHFFFAOYSA-N 0 3 243.354 2.786 20 0 BFADHN Cc1cc(C)cc(C[C@H](C)NCc2c[nH]cn2)c1 ZINC000894303586 583416100 /nfs/dbraw/zinc/41/61/00/583416100.db2.gz DBFAKZCFUOLANG-ZDUSSCGKSA-N 0 3 243.354 2.747 20 0 BFADHN CCO[C@H](CCNCc1ocnc1C)C(C)C ZINC000894326581 583423537 /nfs/dbraw/zinc/42/35/37/583423537.db2.gz PJQSKYQYCZCHJW-GFCCVEGCSA-N 0 3 240.347 2.524 20 0 BFADHN Cc1ncoc1CN[C@H]1CCCC(F)(F)C1 ZINC000894331098 583424993 /nfs/dbraw/zinc/42/49/93/583424993.db2.gz AWNSYFBRNHODRR-VIFPVBQESA-N 0 3 230.258 2.651 20 0 BFADHN CCCC1(CNCc2ocnc2C)CC1 ZINC000894340898 583428404 /nfs/dbraw/zinc/42/84/04/583428404.db2.gz UAEINSOAYFGBLJ-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CC(C)(C)CN(CN1CCC1=O)CC(C)(C)C ZINC000857235035 588898808 /nfs/dbraw/zinc/89/88/08/588898808.db2.gz XRTCGGDWMVJQIK-UHFFFAOYSA-N 0 3 240.391 2.570 20 0 BFADHN CC1(C)COC[C@@H]1N[C@H](c1cccnc1)C1CC1 ZINC000894367608 583439475 /nfs/dbraw/zinc/43/94/75/583439475.db2.gz HGRCIIZJHLNFDI-KBPBESRZSA-N 0 3 246.354 2.547 20 0 BFADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1c[nH]cn1 ZINC000894369761 583440170 /nfs/dbraw/zinc/44/01/70/583440170.db2.gz CMMLDKPSPSCDJE-XHDPSFHLSA-N 0 3 241.338 2.823 20 0 BFADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1cnc[nH]1 ZINC000894369761 583440173 /nfs/dbraw/zinc/44/01/73/583440173.db2.gz CMMLDKPSPSCDJE-XHDPSFHLSA-N 0 3 241.338 2.823 20 0 BFADHN Cc1ccsc1CCNCc1ocnc1C ZINC000894373322 583441279 /nfs/dbraw/zinc/44/12/79/583441279.db2.gz RYQFJWKULUEZKH-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN CCOc1ccc(CN[C@@H]2CSC[C@@H]2C)o1 ZINC000894412347 583451992 /nfs/dbraw/zinc/45/19/92/583451992.db2.gz GMUVJGYMGBLQOQ-GXSJLCMTSA-N 0 3 241.356 2.519 20 0 BFADHN CC[C@H](CN1CC[C@@](C)(C(F)(F)F)C1)OC ZINC000880004021 583453416 /nfs/dbraw/zinc/45/34/16/583453416.db2.gz PSNHVMWLBUKYJM-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCc1c[nH]cn1)[C@H](C)C2 ZINC000894432351 583456295 /nfs/dbraw/zinc/45/62/95/583456295.db2.gz YOTMVNLKLCWGBH-ABAIWWIYSA-N 0 3 241.338 2.741 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCc1cnc[nH]1)[C@H](C)C2 ZINC000894432351 583456297 /nfs/dbraw/zinc/45/62/97/583456297.db2.gz YOTMVNLKLCWGBH-ABAIWWIYSA-N 0 3 241.338 2.741 20 0 BFADHN CO[C@@H]1CCCN(Cc2cc(C)co2)CC1 ZINC000894441937 583457595 /nfs/dbraw/zinc/45/75/95/583457595.db2.gz SZVGNRHBILGAKU-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN CCc1onc(C)c1CNCCSC(C)C ZINC000894442840 583457645 /nfs/dbraw/zinc/45/76/45/583457645.db2.gz HHPRILKDLUVTNW-UHFFFAOYSA-N 0 3 242.388 2.777 20 0 BFADHN Cc1cc(CNCCSC(C)C)cnc1F ZINC000894443508 583459569 /nfs/dbraw/zinc/45/95/69/583459569.db2.gz NJRUFIBLKQMAEK-UHFFFAOYSA-N 0 3 242.363 2.760 20 0 BFADHN Cc1n[nH]c(CN2C[C@H](C)CC(C)(C)C2)c1C ZINC000894444366 583461031 /nfs/dbraw/zinc/46/10/31/583461031.db2.gz HUWNMJRMAXFIIS-SNVBAGLBSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1oc(C(C)(C)C)cc1CN(C)[C@H](C)CO ZINC000894464169 583466195 /nfs/dbraw/zinc/46/61/95/583466195.db2.gz LIRVERHQZCBXRS-SNVBAGLBSA-N 0 3 239.359 2.698 20 0 BFADHN CC[C@]1(CO)CCCN(Cc2cc(C)co2)C1 ZINC000894503867 583473694 /nfs/dbraw/zinc/47/36/94/583473694.db2.gz MPTJIMOKCGFHGQ-AWEZNQCLSA-N 0 3 237.343 2.573 20 0 BFADHN CC[C@]1(O)CCN(C/C=C/c2cccc(F)c2)C1 ZINC000894505162 583474529 /nfs/dbraw/zinc/47/45/29/583474529.db2.gz XIGYJXBHFPUQOO-DRDHIDPGSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1ncoc1CNCC(C)(C)C(C)C ZINC000894535714 583479429 /nfs/dbraw/zinc/47/94/29/583479429.db2.gz WRBMEGGIRSPIQZ-UHFFFAOYSA-N 0 3 210.321 2.755 20 0 BFADHN C[C@@H]1Cc2cc(CN3CC(C4CC4)C3)ccc2O1 ZINC000894565425 583484483 /nfs/dbraw/zinc/48/44/83/583484483.db2.gz IHVDRFXESBSHPX-LLVKDONJSA-N 0 3 243.350 2.852 20 0 BFADHN Cc1n[nH]c(CN2CC3(CCC3)C[C@@H]2C)c1C ZINC000894567103 583485120 /nfs/dbraw/zinc/48/51/20/583485120.db2.gz QHDKPYFZNWGYBW-JTQLQIEISA-N 0 3 233.359 2.791 20 0 BFADHN Cc1ncoc1CNC1CCC2(CC2)CC1 ZINC000894570511 583486096 /nfs/dbraw/zinc/48/60/96/583486096.db2.gz YIQPDIHDXJEULB-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN Cc1coc(CN2CCCC[C@@H]2C[C@@H](C)O)c1 ZINC000894596251 583492596 /nfs/dbraw/zinc/49/25/96/583492596.db2.gz OWABMVNEOLDSLK-CHWSQXEVSA-N 0 3 237.343 2.713 20 0 BFADHN CC(C)C1CN(Cc2cccc3c2CCOC3)C1 ZINC000894596584 583492644 /nfs/dbraw/zinc/49/26/44/583492644.db2.gz AXGASQXMRBBCIG-UHFFFAOYSA-N 0 3 245.366 2.847 20 0 BFADHN CCC(CC)(CNCc1ccc(OC)o1)OC ZINC000894594862 583493003 /nfs/dbraw/zinc/49/30/03/583493003.db2.gz GJXURWKKWFRDCW-UHFFFAOYSA-N 0 3 241.331 2.583 20 0 BFADHN C[C@@H]1CN(C[C@@H]2C[C@@]2(C)Br)[C@H]1C ZINC000894627309 583495243 /nfs/dbraw/zinc/49/52/43/583495243.db2.gz FORARMGXJRVMEK-XFWSIPNHSA-N 0 3 232.165 2.500 20 0 BFADHN CO[C@]1(C)CCCN(Cc2cc(C)co2)CC1 ZINC000894625618 583497054 /nfs/dbraw/zinc/49/70/54/583497054.db2.gz YYIVBRQOIBEDOA-CQSZACIVSA-N 0 3 237.343 2.979 20 0 BFADHN Cc1n[nH]c(CN(CC2CC2)C2CCC2)c1C ZINC000894639236 583498041 /nfs/dbraw/zinc/49/80/41/583498041.db2.gz UKKSLQGAMWMQQT-UHFFFAOYSA-N 0 3 233.359 2.791 20 0 BFADHN CC(C)CCCCN1CC2(C1)CCOC2 ZINC000894650167 583499897 /nfs/dbraw/zinc/49/98/97/583499897.db2.gz XYBXLTKCFFXDLT-UHFFFAOYSA-N 0 3 211.349 2.535 20 0 BFADHN Fc1ccc(CCN2CC[C@@H]2C2CC2)cc1F ZINC000894687305 583506957 /nfs/dbraw/zinc/50/69/57/583506957.db2.gz BJQQYVOXFLQNKH-CQSZACIVSA-N 0 3 237.293 2.992 20 0 BFADHN C[C@@H]1Cc2cc(CN3CC[C@H]3C3CC3)ccc2O1 ZINC000894687328 583507115 /nfs/dbraw/zinc/50/71/15/583507115.db2.gz CEEQNAZFKRGDDZ-ABAIWWIYSA-N 0 3 243.350 2.994 20 0 BFADHN CC(C)[C@@H](N[C@H]1COC(C)(C)C1)c1ccccn1 ZINC000841863471 583507178 /nfs/dbraw/zinc/50/71/78/583507178.db2.gz MAMMIOXTNIHMEB-TZMCWYRMSA-N 0 3 248.370 2.936 20 0 BFADHN COc1ccc(CN2CC[C@@H]2C2CC2)c(C)c1 ZINC000894690074 583508641 /nfs/dbraw/zinc/50/86/41/583508641.db2.gz PXLFRHBFDQTKGP-OAHLLOKOSA-N 0 3 231.339 2.988 20 0 BFADHN CCCCN(CCCO)Cc1cc(C)co1 ZINC000894776271 583517149 /nfs/dbraw/zinc/51/71/49/583517149.db2.gz FQVDFLIDUNQNJK-UHFFFAOYSA-N 0 3 225.332 2.573 20 0 BFADHN CCCC[C@H](C)[C@H](C)[NH2+][C@@H](C)c1nnc(C)[n-]1 ZINC000894774540 583517283 /nfs/dbraw/zinc/51/72/83/583517283.db2.gz UPHMARFFLFJLEZ-DCAQKATOSA-N 0 3 238.379 2.979 20 0 BFADHN CC1(C2(NCc3cn4ccnc4s3)CC2)CC1 ZINC000894760859 583518103 /nfs/dbraw/zinc/51/81/03/583518103.db2.gz NJOWQMVTOAMXTA-UHFFFAOYSA-N 0 3 247.367 2.818 20 0 BFADHN CCC[C@]1(CO)CCN(Cc2cc(C)co2)C1 ZINC000894786600 583521808 /nfs/dbraw/zinc/52/18/08/583521808.db2.gz LABDGSQUKPOJCW-AWEZNQCLSA-N 0 3 237.343 2.573 20 0 BFADHN Cc1ccnc(N)c1CN1CC(C)(C)[C@@H]1C1CC1 ZINC000894792975 583522553 /nfs/dbraw/zinc/52/25/53/583522553.db2.gz AXLLESUILYYQHR-ZDUSSCGKSA-N 0 3 245.370 2.593 20 0 BFADHN c1cc(CN2CC(C3CC3)C2)c2c(c1)COCC2 ZINC000894813871 583524001 /nfs/dbraw/zinc/52/40/01/583524001.db2.gz SHRVNRFJKYYWDS-UHFFFAOYSA-N 0 3 243.350 2.601 20 0 BFADHN Cc1cc(CN2CC[C@@H](CF)C2)cs1 ZINC000880299829 583526148 /nfs/dbraw/zinc/52/61/48/583526148.db2.gz LZBOKJNVSVGJDD-JTQLQIEISA-N 0 3 213.321 2.848 20 0 BFADHN FC(F)C1CN(C[C@H]2CCc3ccccc32)C1 ZINC000894827388 583526621 /nfs/dbraw/zinc/52/66/21/583526621.db2.gz BQVVDRDPDLQLJD-LLVKDONJSA-N 0 3 237.293 2.913 20 0 BFADHN CCC1(C)CN(C[C@@H]2C[C@@]2(C)Br)C1 ZINC000894840913 583529901 /nfs/dbraw/zinc/52/99/01/583529901.db2.gz SHGJHFWQRWHSDM-GXSJLCMTSA-N 0 3 246.192 2.892 20 0 BFADHN CSC[C@H]1CCCN(Cc2ocnc2C)C1 ZINC000894850975 583531743 /nfs/dbraw/zinc/53/17/43/583531743.db2.gz KUDAJTIILDGXRI-NSHDSACASA-N 0 3 240.372 2.558 20 0 BFADHN Cc1n[nH]c(CN(C2CCC2)C2CCC2)c1C ZINC000894853580 583532171 /nfs/dbraw/zinc/53/21/71/583532171.db2.gz WUGCKOBJVFCVAP-UHFFFAOYSA-N 0 3 233.359 2.934 20 0 BFADHN Cc1ncoc1CNC1CC(C(C)(C)C)C1 ZINC000894860005 583533567 /nfs/dbraw/zinc/53/35/67/583533567.db2.gz SHDQUUGAMYIZIC-UHFFFAOYSA-N 0 3 222.332 2.897 20 0 BFADHN CCOc1cc(CN2C[C@@H](C)[C@H]2C)ccc1O ZINC000894860682 583534455 /nfs/dbraw/zinc/53/44/55/583534455.db2.gz VEZUWACCTWPBLI-GHMZBOCLSA-N 0 3 235.327 2.631 20 0 BFADHN C[C@@H]1CN(Cc2cc3cccnc3o2)[C@H]1C ZINC000894860475 583534530 /nfs/dbraw/zinc/53/45/30/583534530.db2.gz NNTKWGHFSHEJSQ-ZJUUUORDSA-N 0 3 216.284 2.668 20 0 BFADHN Cc1ncoc1CNCCC(C)(C)C1CC1 ZINC000894865218 583537090 /nfs/dbraw/zinc/53/70/90/583537090.db2.gz PHHLFZJBVIAEMN-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN COc1ccc(CN[C@]2(C)CC=CCC2)nc1 ZINC000894868073 583538173 /nfs/dbraw/zinc/53/81/73/583538173.db2.gz YRGCBBWEQUPALG-CQSZACIVSA-N 0 3 232.327 2.679 20 0 BFADHN CC[C@H](CO)N(C)C/C=C/c1cccc(F)c1 ZINC000894870595 583539527 /nfs/dbraw/zinc/53/95/27/583539527.db2.gz MVPLLPRLAODDAZ-HZRUHFOJSA-N 0 3 237.318 2.542 20 0 BFADHN FC(F)(F)C1CN(C[C@@H]2CC=CCC2)C1 ZINC000894892021 583548460 /nfs/dbraw/zinc/54/84/60/583548460.db2.gz JFHCYCLEPWIVKQ-SECBINFHSA-N 0 3 219.250 2.837 20 0 BFADHN Cc1cc(F)ncc1CN[C@H]1CS[C@H](C)C1 ZINC000894902671 583552687 /nfs/dbraw/zinc/55/26/87/583552687.db2.gz HALFWLFXWNVEOE-MWLCHTKSSA-N 0 3 240.347 2.513 20 0 BFADHN CCOc1ccc(CN[C@H]2CS[C@H](C)C2)o1 ZINC000894904533 583552961 /nfs/dbraw/zinc/55/29/61/583552961.db2.gz SPKDQQHJZUUWSJ-NXEZZACHSA-N 0 3 241.356 2.662 20 0 BFADHN CCOc1ccc(CN[C@@H]2CS[C@@H](C)C2)o1 ZINC000894904534 583553198 /nfs/dbraw/zinc/55/31/98/583553198.db2.gz SPKDQQHJZUUWSJ-UWVGGRQHSA-N 0 3 241.356 2.662 20 0 BFADHN CC(C)[C@H]1CN(Cc2c[nH]cn2)[C@H]1C(C)C ZINC000894908572 583554138 /nfs/dbraw/zinc/55/41/38/583554138.db2.gz HTZYLAAXKSWLOE-OLZOCXBDSA-N 0 3 221.348 2.522 20 0 BFADHN CC(C)[C@H]1CN(Cc2cnc[nH]2)[C@H]1C(C)C ZINC000894908572 583554139 /nfs/dbraw/zinc/55/41/39/583554139.db2.gz HTZYLAAXKSWLOE-OLZOCXBDSA-N 0 3 221.348 2.522 20 0 BFADHN COc1ccc(CN2CC(C)(C)C[C@@H]2C)o1 ZINC000894921750 583556368 /nfs/dbraw/zinc/55/63/68/583556368.db2.gz LOLAFXCTQSKSIY-JTQLQIEISA-N 0 3 223.316 2.909 20 0 BFADHN Cc1ncoc1CN[C@]1(C)CC=CCC1 ZINC000894986588 583565294 /nfs/dbraw/zinc/56/52/94/583565294.db2.gz XVGRKVCVCWABAF-GFCCVEGCSA-N 0 3 206.289 2.571 20 0 BFADHN Cc1ncoc1CN[C@@H]1C[C@H]1CC(C)C ZINC000894987659 583565423 /nfs/dbraw/zinc/56/54/23/583565423.db2.gz VSGDXLCKMGFOTH-GHMZBOCLSA-N 0 3 208.305 2.507 20 0 BFADHN COc1ccc(CNC[C@@H]2C[C@H]2C2CCC2)nc1 ZINC000895005532 583565931 /nfs/dbraw/zinc/56/59/31/583565931.db2.gz FCOKELRPJDMBFJ-WFASDCNBSA-N 0 3 246.354 2.616 20 0 BFADHN C[C@@H]1CCN(Cc2cnn(C)c2C2CC2)[C@H](C)C1 ZINC000895010331 583567081 /nfs/dbraw/zinc/56/70/81/583567081.db2.gz ULQKPKDJRKWCNI-VXGBXAGGSA-N 0 3 247.386 2.918 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H]2C2CCC2)o1 ZINC000895010319 583567220 /nfs/dbraw/zinc/56/72/20/583567220.db2.gz OZOIZVTXIUBEOF-NWDGAFQWSA-N 0 3 221.300 2.566 20 0 BFADHN Cc1ccc(CCN[C@@H]2CCCc3c[nH]nc32)o1 ZINC000857846972 588912488 /nfs/dbraw/zinc/91/24/88/588912488.db2.gz JIBFPGNIJPOKNT-CYBMUJFWSA-N 0 3 245.326 2.521 20 0 BFADHN Cc1n[nH]c(CN2CC[C@@H](C3CCC3)C2)c1C ZINC000895016694 583568880 /nfs/dbraw/zinc/56/88/80/583568880.db2.gz PYKHNRGTISKUBQ-CYBMUJFWSA-N 0 3 233.359 2.649 20 0 BFADHN CSCCCCN[C@H]1CCCc2c[nH]nc21 ZINC000857854758 588913203 /nfs/dbraw/zinc/91/32/03/588913203.db2.gz KLZZHNAWPGKJBP-NSHDSACASA-N 0 3 239.388 2.520 20 0 BFADHN CCOc1ccc(CN(C)C(C)(C)C)o1 ZINC000895115693 583591802 /nfs/dbraw/zinc/59/18/02/583591802.db2.gz ITKUNYREGMUIGQ-UHFFFAOYSA-N 0 3 211.305 2.909 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1CCS[C@@H]1C ZINC000895126408 583598310 /nfs/dbraw/zinc/59/83/10/583598310.db2.gz WLSZNGHFBKMHMN-MWLCHTKSSA-N 0 3 240.372 2.529 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1CC12CCCC2 ZINC000857867219 588915630 /nfs/dbraw/zinc/91/56/30/588915630.db2.gz ZQPSULLOOWIWRX-NWDGAFQWSA-N 0 3 231.343 2.709 20 0 BFADHN CC1(C)C[C@@]1(C)N[C@H]1CCCc2c[nH]nc21 ZINC000857870317 588915817 /nfs/dbraw/zinc/91/58/17/588915817.db2.gz DSZMWPRAHUBJRB-GXFFZTMASA-N 0 3 219.332 2.565 20 0 BFADHN CC1(C)C[C@@]1(C)N[C@@H]1CCCc2c[nH]nc21 ZINC000857870324 588915961 /nfs/dbraw/zinc/91/59/61/588915961.db2.gz DSZMWPRAHUBJRB-ZWNOBZJWSA-N 0 3 219.332 2.565 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCC1=CCCCC1 ZINC000857867020 588916196 /nfs/dbraw/zinc/91/61/96/588916196.db2.gz FIQYCRVCMSGXKN-ZDUSSCGKSA-N 0 3 231.343 2.877 20 0 BFADHN CC[C@H](C)CCN[C@@H]1CCCc2c[nH]nc21 ZINC000857870175 588916760 /nfs/dbraw/zinc/91/67/60/588916760.db2.gz CYUKGNAMIFEQNC-CMPLNLGQSA-N 0 3 221.348 2.813 20 0 BFADHN CC(C)(F)CCN[C@@H]1CCCc2c[nH]nc21 ZINC000857872498 588917513 /nfs/dbraw/zinc/91/75/13/588917513.db2.gz NVRSRKKBNPMTAZ-SNVBAGLBSA-N 0 3 225.311 2.515 20 0 BFADHN CC1(C)C[C@]1(C)N[C@H]1CCCc2c[nH]nc21 ZINC000857870315 588917561 /nfs/dbraw/zinc/91/75/61/588917561.db2.gz DSZMWPRAHUBJRB-GWCFXTLKSA-N 0 3 219.332 2.565 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1ocnc1C ZINC000895237954 583630269 /nfs/dbraw/zinc/63/02/69/583630269.db2.gz VVKIKGOHKYJNJS-SNVBAGLBSA-N 0 3 210.321 2.897 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000895272442 583635104 /nfs/dbraw/zinc/63/51/04/583635104.db2.gz UPSOOVKZNNIHOF-WOPDTQHZSA-N 0 3 220.316 2.509 20 0 BFADHN CC1=NO[C@H](CNCCc2cc(C)cc(C)c2)C1 ZINC000895299143 583641017 /nfs/dbraw/zinc/64/10/17/583641017.db2.gz CDNCJBOOWXAVJG-HNNXBMFYSA-N 0 3 246.354 2.600 20 0 BFADHN CC1=NO[C@@H](CNC/C=C/c2cccc(F)c2)C1 ZINC000895300121 583641917 /nfs/dbraw/zinc/64/19/17/583641917.db2.gz SNNFTNZKRFEMMH-LYKUJDHUSA-N 0 3 248.301 2.593 20 0 BFADHN CC1=NO[C@H](CNCc2cccc(C3CC3)c2)C1 ZINC000895299839 583642068 /nfs/dbraw/zinc/64/20/68/583642068.db2.gz GQHNEAFUCHIYOM-HNNXBMFYSA-N 0 3 244.338 2.818 20 0 BFADHN CCCc1cccc(CNC[C@H]2CC(C)=NO2)c1 ZINC000895304678 583642724 /nfs/dbraw/zinc/64/27/24/583642724.db2.gz PFLQJASTHJPRCH-OAHLLOKOSA-N 0 3 246.354 2.894 20 0 BFADHN c1coc(CCCN[C@@H]2CCCc3c[nH]nc32)c1 ZINC000857873549 588918454 /nfs/dbraw/zinc/91/84/54/588918454.db2.gz YQSWKJKFNGHBQA-CYBMUJFWSA-N 0 3 245.326 2.603 20 0 BFADHN CC1=NO[C@H](CNCc2ccc(C)cc2C)C1 ZINC000895310053 583646500 /nfs/dbraw/zinc/64/65/00/583646500.db2.gz FXEPAAPEMBZDMR-AWEZNQCLSA-N 0 3 232.327 2.558 20 0 BFADHN CC1=NO[C@H](CNC/C=C/c2ccc(F)cc2)C1 ZINC000895308942 583646613 /nfs/dbraw/zinc/64/66/13/583646613.db2.gz RRKMWTYFQYCLNZ-HSWBROFVSA-N 0 3 248.301 2.593 20 0 BFADHN CC1=NO[C@H](CNCc2cc(C)cc(C)c2)C1 ZINC000895311084 583647087 /nfs/dbraw/zinc/64/70/87/583647087.db2.gz OEPYCPBYEVTUEU-AWEZNQCLSA-N 0 3 232.327 2.558 20 0 BFADHN CC[C@@H](C)CCNCc1ccc(OC)cn1 ZINC000895333609 583652363 /nfs/dbraw/zinc/65/23/63/583652363.db2.gz JWTCXIXZYBOAPC-LLVKDONJSA-N 0 3 222.332 2.616 20 0 BFADHN CC[C@H](C)CCNCc1ccnc(OC)c1F ZINC000895333212 583652537 /nfs/dbraw/zinc/65/25/37/583652537.db2.gz ADZYCVJDIQNRAX-JTQLQIEISA-N 0 3 240.322 2.755 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN[C@@H]1C=CCC1 ZINC000895338907 583653259 /nfs/dbraw/zinc/65/32/59/583653259.db2.gz VIIFNISHTSBCNZ-CYBMUJFWSA-N 0 3 233.359 2.889 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN[C@H]1C=CCC1 ZINC000895338913 583653532 /nfs/dbraw/zinc/65/35/32/583653532.db2.gz VIIFNISHTSBCNZ-ZDUSSCGKSA-N 0 3 233.359 2.889 20 0 BFADHN CCOC(CCCNCc1ccoc1)OCC ZINC000727464840 583656246 /nfs/dbraw/zinc/65/62/46/583656246.db2.gz ZCDUCTIRYNYJDI-UHFFFAOYSA-N 0 3 241.331 2.549 20 0 BFADHN Cn1cc(CNCCC(C)(C)F)c(C2CC2)n1 ZINC000895370738 583660735 /nfs/dbraw/zinc/66/07/35/583660735.db2.gz OJRMEEAZFILTPN-UHFFFAOYSA-N 0 3 239.338 2.525 20 0 BFADHN CCOc1ccc(CNCCC(C)(C)F)o1 ZINC000895371895 583661527 /nfs/dbraw/zinc/66/15/27/583661527.db2.gz VPSKUZDQDXLEJU-UHFFFAOYSA-N 0 3 229.295 2.906 20 0 BFADHN Cc1noc(C)c1C[C@H](C)NCc1ccoc1 ZINC000738439821 583661630 /nfs/dbraw/zinc/66/16/30/583661630.db2.gz NUUAJAGWPNXDQT-VIFPVBQESA-N 0 3 234.299 2.605 20 0 BFADHN C[C@H]1CCC[C@H](N2CCc3n[nH]cc3C2)CC1 ZINC000895390248 583663915 /nfs/dbraw/zinc/66/39/15/583663915.db2.gz VIZMYJDCZFUQJI-AAEUAGOBSA-N 0 3 233.359 2.737 20 0 BFADHN Fc1cccc(/C=C\CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000895390511 583664133 /nfs/dbraw/zinc/66/41/33/583664133.db2.gz VNNOINHRVLNQGU-JXTGZPRQSA-N 0 3 217.287 2.791 20 0 BFADHN C[C@@H]1CCC[C@@H](N2CCc3n[nH]cc3C2)CC1 ZINC000895390249 583664275 /nfs/dbraw/zinc/66/42/75/583664275.db2.gz VIZMYJDCZFUQJI-DGCLKSJQSA-N 0 3 233.359 2.737 20 0 BFADHN CC[C@H](NCc1ccnc(OC)c1F)C1CC1 ZINC000895393580 583664918 /nfs/dbraw/zinc/66/49/18/583664918.db2.gz YVLMHNLGNQBPCA-NSHDSACASA-N 0 3 238.306 2.508 20 0 BFADHN CC1=NO[C@H](CNCCc2ccc(C)c(C)c2)C1 ZINC000895427135 583668113 /nfs/dbraw/zinc/66/81/13/583668113.db2.gz AVEJQEAKIJUHQP-HNNXBMFYSA-N 0 3 246.354 2.600 20 0 BFADHN CCOc1ccc(CN2CC[C@H]3C[C@H]3C2)o1 ZINC000895433656 583671747 /nfs/dbraw/zinc/67/17/47/583671747.db2.gz ODQRAFKGBIZATO-QWRGUYRKSA-N 0 3 221.300 2.520 20 0 BFADHN [O-]c1cc(Cl)cc(C[NH2+][C@@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000895434324 583672353 /nfs/dbraw/zinc/67/23/53/583672353.db2.gz SKPHGGGQYZJELQ-CLLJXQQHSA-N 0 3 237.730 2.934 20 0 BFADHN Cc1nc(CN[C@@H]2[C@@H]3CCC[C@@H]32)sc1C ZINC000895434304 583672564 /nfs/dbraw/zinc/67/25/64/583672564.db2.gz SGPOMBXDIWTYJV-PEGIJTEDSA-N 0 3 222.357 2.648 20 0 BFADHN Cn1ncc(CN[C@]2(C)CC2(C)C)c1C1CC1 ZINC000895443578 583674050 /nfs/dbraw/zinc/67/40/50/583674050.db2.gz XFRKSBRHSMRJPY-CQSZACIVSA-N 0 3 233.359 2.576 20 0 BFADHN Cc1ncoc1CN[C@@H](C)CC1CCC1 ZINC000895449991 583676068 /nfs/dbraw/zinc/67/60/68/583676068.db2.gz QVHCYINNYCZSPZ-VIFPVBQESA-N 0 3 208.305 2.651 20 0 BFADHN COc1ccc(CNC2(CC(C)C)CC2)o1 ZINC000895451569 583676667 /nfs/dbraw/zinc/67/66/67/583676667.db2.gz YRNMHLHHCDDMJG-UHFFFAOYSA-N 0 3 223.316 2.957 20 0 BFADHN CCCSC[C@@H](C)NCc1ccnn1CC ZINC000895480304 583680343 /nfs/dbraw/zinc/68/03/43/583680343.db2.gz GHHKSKVQQRWGAJ-LLVKDONJSA-N 0 3 241.404 2.524 20 0 BFADHN CCOc1ccc(CNCC2CC(C)C2)o1 ZINC000895515191 583683081 /nfs/dbraw/zinc/68/30/81/583683081.db2.gz ZEBJWOBIFHDFKH-UHFFFAOYSA-N 0 3 223.316 2.814 20 0 BFADHN CCC[C@@H](C)CCNCc1cn2cccnc2n1 ZINC000895531334 583685592 /nfs/dbraw/zinc/68/55/92/583685592.db2.gz FIWQGKWSOHROOT-GFCCVEGCSA-N 0 3 246.358 2.645 20 0 BFADHN COc1ccccc1/C=C\CN1CC[C@H](CF)C1 ZINC000895572766 583691982 /nfs/dbraw/zinc/69/19/82/583691982.db2.gz GDLXSMBZJWPRFW-RYWCDNDXSA-N 0 3 249.329 3.000 20 0 BFADHN FC[C@H]1CCN(Cc2cnc(C3CC3)s2)C1 ZINC000895571973 583692355 /nfs/dbraw/zinc/69/23/55/583692355.db2.gz DBUDBMSSRVVNAH-SECBINFHSA-N 0 3 240.347 2.812 20 0 BFADHN Cc1cccc(CN2CC[C@H](CF)C2)c1F ZINC000895574715 583692714 /nfs/dbraw/zinc/69/27/14/583692714.db2.gz YSVOXMIWYVBZCL-LLVKDONJSA-N 0 3 225.282 2.926 20 0 BFADHN Cc1cc(CN2CC[C@H](CF)C2)ccc1F ZINC000895573667 583693244 /nfs/dbraw/zinc/69/32/44/583693244.db2.gz RDPNZXMMZIHPST-GFCCVEGCSA-N 0 3 225.282 2.926 20 0 BFADHN COC[C@H]1C[C@@H](NCc2c(C)cccc2OC)C1 ZINC000895622998 583697875 /nfs/dbraw/zinc/69/78/75/583697875.db2.gz NAGQZDWJCVUWCO-BETUJISGSA-N 0 3 249.354 2.518 20 0 BFADHN C/C=C\CNCc1cc(O)cc(Cl)c1 ZINC000895631019 583698115 /nfs/dbraw/zinc/69/81/15/583698115.db2.gz MZRBVLBMBYSRSB-IHWYPQMZSA-N 0 3 211.692 2.711 20 0 BFADHN COc1ccc(CNC[C@]2(C)C[C@H]3C[C@H]3C2)o1 ZINC000895630921 583698404 /nfs/dbraw/zinc/69/84/04/583698404.db2.gz LPLNOXNXSDESAS-GNXNZQSNSA-N 0 3 235.327 2.814 20 0 BFADHN Clc1ccc2c(n1)[C@@H](NC1CCC1)CC2 ZINC000857905280 588922717 /nfs/dbraw/zinc/92/27/17/588922717.db2.gz BQJULGXWOULXAZ-JTQLQIEISA-N 0 3 222.719 2.864 20 0 BFADHN FC1(CN2CCC3(CCCCO3)CC2)CC1 ZINC000895653066 583703757 /nfs/dbraw/zinc/70/37/57/583703757.db2.gz DJGUIKRZTOZMQU-UHFFFAOYSA-N 0 3 227.323 2.524 20 0 BFADHN COc1ccc(CNCC[C@@H]2CCSC2)o1 ZINC000895690562 583707530 /nfs/dbraw/zinc/70/75/30/583707530.db2.gz FXFWMAUKZWRSFH-SNVBAGLBSA-N 0 3 241.356 2.521 20 0 BFADHN COc1ccc(CN[C@@H]2CCCC23CC3)o1 ZINC000895691643 583708180 /nfs/dbraw/zinc/70/81/80/583708180.db2.gz JTXMLNRHFGGBEM-LLVKDONJSA-N 0 3 221.300 2.711 20 0 BFADHN Cc1ccc2oc(N[C@H]3CCN(C)[C@H]3C)nc2c1 ZINC000895741047 583714342 /nfs/dbraw/zinc/71/43/42/583714342.db2.gz XJAIMDNDRAPJHB-QWRGUYRKSA-N 0 3 245.326 2.641 20 0 BFADHN Oc1ccc(CN[C@@H]2CCCC[C@@H]2F)cc1F ZINC000895747359 583716537 /nfs/dbraw/zinc/71/65/37/583716537.db2.gz VMPJQAPFUUBDJU-CMPLNLGQSA-N 0 3 241.281 2.902 20 0 BFADHN C[C@H]1CCN(c2ccnc3ccccc32)CCO1 ZINC000895757821 583721281 /nfs/dbraw/zinc/72/12/81/583721281.db2.gz GFHYMPONBVDXJG-LBPRGKRZSA-N 0 3 242.322 2.850 20 0 BFADHN Cc1coc(CN2CC[C@H]([C@H]3CCCO3)C2)c1 ZINC000895842614 583734942 /nfs/dbraw/zinc/73/49/42/583734942.db2.gz IEGIZUBVQDEKJW-GXTWGEPZSA-N 0 3 235.327 2.589 20 0 BFADHN CCCSC[C@@H](C)N[C@@H](C)c1ccnn1C ZINC000895862788 583735753 /nfs/dbraw/zinc/73/57/53/583735753.db2.gz FERRBLXGORFREX-MNOVXSKESA-N 0 3 241.404 2.602 20 0 BFADHN COc1ccccc1/C=C\CN(C)CCCF ZINC000895897541 583740663 /nfs/dbraw/zinc/74/06/63/583740663.db2.gz AYYQCDMTTQYMPR-YVMONPNESA-N 0 3 237.318 3.000 20 0 BFADHN Cc1cc(CN(C)CCCF)cnc1Cl ZINC000895898321 583741043 /nfs/dbraw/zinc/74/10/43/583741043.db2.gz NJZNSAFEJRXNMH-UHFFFAOYSA-N 0 3 230.714 2.835 20 0 BFADHN CN(CCCF)Cc1cnc(-c2ccccc2)[nH]1 ZINC000895897868 583741206 /nfs/dbraw/zinc/74/12/06/583741206.db2.gz GKUAIZJPZMFCJK-UHFFFAOYSA-N 0 3 247.317 2.868 20 0 BFADHN CN(CCCF)Cc1cc2ccccc2n1C ZINC000895896455 583743850 /nfs/dbraw/zinc/74/38/50/583743850.db2.gz VDCWGZAXZSAMEP-UHFFFAOYSA-N 0 3 234.318 2.970 20 0 BFADHN Cc1ccoc1CN1CC(C)(C)CC[C@@H]1CO ZINC000895925753 583747668 /nfs/dbraw/zinc/74/76/68/583747668.db2.gz MCBHUZWMXDNEQM-GFCCVEGCSA-N 0 3 237.343 2.571 20 0 BFADHN CO[C@H]1CC[C@H](C)N(Cc2cc(C)oc2C)C1 ZINC000895926227 583747802 /nfs/dbraw/zinc/74/78/02/583747802.db2.gz BOPGBEYTKGTIPU-HZMBPMFUSA-N 0 3 237.343 2.896 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN2C[C@@H](O)CC[C@H]2C)o1 ZINC000895926781 583748326 /nfs/dbraw/zinc/74/83/26/583748326.db2.gz FTXNCZQBXPPDKT-KZVDOYCCSA-N 0 3 249.354 2.748 20 0 BFADHN Cc1cc(CNC2(C)CC(F)(F)C2)cnc1F ZINC000895948189 583749543 /nfs/dbraw/zinc/74/95/43/583749543.db2.gz RIIFWTOVDWWMDN-UHFFFAOYSA-N 0 3 244.260 2.807 20 0 BFADHN COCC1CN(C[C@H](C)c2ccc(F)cc2)C1 ZINC000895971391 583756219 /nfs/dbraw/zinc/75/62/19/583756219.db2.gz PHZFXZSTDYLRRF-NSHDSACASA-N 0 3 237.318 2.507 20 0 BFADHN C=C/C=C/CCNCc1ccc(OCC)o1 ZINC000895995555 583761336 /nfs/dbraw/zinc/76/13/36/583761336.db2.gz JBJXFQZNOGIQQH-AATRIKPKSA-N 0 3 221.300 2.900 20 0 BFADHN CCc1onc(C)c1CNC1CC(C(F)F)C1 ZINC000896012854 583762455 /nfs/dbraw/zinc/76/24/55/583762455.db2.gz WANWYEBMEVFYLB-UHFFFAOYSA-N 0 3 244.285 2.679 20 0 BFADHN COc1ccc(CNC[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)o1 ZINC000896046280 583765453 /nfs/dbraw/zinc/76/54/53/583765453.db2.gz CRMFUJBCNIGHHC-URBCHYCLSA-N 0 3 235.327 2.670 20 0 BFADHN COc1ccc(CNC[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)o1 ZINC000896046279 583765680 /nfs/dbraw/zinc/76/56/80/583765680.db2.gz CRMFUJBCNIGHHC-UKJIMTQDSA-N 0 3 235.327 2.670 20 0 BFADHN CC(C)CCCCN1CCc2ncncc2C1 ZINC000896038559 583766193 /nfs/dbraw/zinc/76/61/93/583766193.db2.gz RXPALUJZXBESSX-UHFFFAOYSA-N 0 3 233.359 2.661 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@]1(C)CC1(C)C ZINC000883260139 583770011 /nfs/dbraw/zinc/77/00/11/583770011.db2.gz LBALEFLCBVTYKO-RISCZKNCSA-N 0 3 235.375 2.990 20 0 BFADHN Cc1c[nH]c(CNCC[C@@H]2CCCC2(F)F)n1 ZINC000883265273 583770555 /nfs/dbraw/zinc/77/05/55/583770555.db2.gz XHWICOBPMDRNSJ-JTQLQIEISA-N 0 3 243.301 2.633 20 0 BFADHN C=C/C=C\CCN[C@H](C)c1nccn1CC ZINC000883268912 583772538 /nfs/dbraw/zinc/77/25/38/583772538.db2.gz VXXOZAIQMZOBGA-ZHRWSRJISA-N 0 3 219.332 2.686 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NCc1cc(C2CC2)no1 ZINC000896401387 583799410 /nfs/dbraw/zinc/79/94/10/583799410.db2.gz OCRYLWDLFJRIFE-PKINLEFWSA-N 0 3 232.327 2.686 20 0 BFADHN C[C@@H](Cc1ccsc1)N1CCO[C@@H](C)CC1 ZINC000896583040 583815682 /nfs/dbraw/zinc/81/56/82/583815682.db2.gz CNGBRDAISYGDNG-RYUDHWBXSA-N 0 3 239.384 2.790 20 0 BFADHN CCCC[C@H](COC)NCc1ccnc(F)c1 ZINC000858142401 588934809 /nfs/dbraw/zinc/93/48/09/588934809.db2.gz ZHYWHBYBLVPLCR-GFCCVEGCSA-N 0 3 240.322 2.516 20 0 BFADHN CCC[C@H](CNC(C)(C)/C=C/Cl)OC ZINC000896747621 583830026 /nfs/dbraw/zinc/83/00/26/583830026.db2.gz NQXWXKCXGNPKMY-QROSGCPLSA-N 0 3 219.756 2.922 20 0 BFADHN Fc1cc(CNCCC2=CCCC2)ccn1 ZINC000858153431 588935650 /nfs/dbraw/zinc/93/56/50/588935650.db2.gz LUGYCOYIXAHTHO-UHFFFAOYSA-N 0 3 220.291 2.811 20 0 BFADHN Fc1cc(CN[C@H]2CC23CCCC3)ccn1 ZINC000858160724 588935749 /nfs/dbraw/zinc/93/57/49/588935749.db2.gz NREDWKGTJAWGTJ-NSHDSACASA-N 0 3 220.291 2.643 20 0 BFADHN Cn1ccc(CN2CCC(F)(C3CC3)CC2)c1 ZINC000896946343 583863377 /nfs/dbraw/zinc/86/33/77/583863377.db2.gz RQCMHRQQUDMQIN-UHFFFAOYSA-N 0 3 236.334 2.739 20 0 BFADHN CS[C@H]1CC[C@H]1N[C@@H]1CCCc2cccnc21 ZINC000897070754 583877219 /nfs/dbraw/zinc/87/72/19/583877219.db2.gz ODKNMUXLYSYKOG-UPJWGTAASA-N 0 3 248.395 2.943 20 0 BFADHN C[C@H]1C[C@H](N[C@@H]2CCCc3cccnc32)CS1 ZINC000897070744 583877283 /nfs/dbraw/zinc/87/72/83/583877283.db2.gz NOLNDNIYQPHYCF-WCFLWFBJSA-N 0 3 248.395 2.943 20 0 BFADHN Cc1cc(CN[C@@H](CO)CCC(C)C)c(C)o1 ZINC000858241319 588940445 /nfs/dbraw/zinc/94/04/45/588940445.db2.gz PAYOJNQQEVUDKB-CQSZACIVSA-N 0 3 239.359 2.783 20 0 BFADHN c1cc(CN2CCC[C@H](C3CCOCC3)C2)co1 ZINC000897269886 583907832 /nfs/dbraw/zinc/90/78/32/583907832.db2.gz RRXZJZSFHVUVEM-HNNXBMFYSA-N 0 3 249.354 2.918 20 0 BFADHN CC[C@H]([NH2+]Cc1cncc([O-])c1)[C@H]1CC1(C)C ZINC000897305566 583912897 /nfs/dbraw/zinc/91/28/97/583912897.db2.gz XOHJFTKUQSOOMV-OLZOCXBDSA-N 0 3 234.343 2.702 20 0 BFADHN Cc1cc(CN[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)c(C)o1 ZINC000858283271 588941811 /nfs/dbraw/zinc/94/18/11/588941811.db2.gz FMDGOIPARKYBLP-WRZDFSGXSA-N 0 3 237.343 2.798 20 0 BFADHN CC(C)CC[C@@H](C)[NH2+]Cc1cncc([O-])c1 ZINC000897315383 583918882 /nfs/dbraw/zinc/91/88/82/583918882.db2.gz LPWLIIZUCNYLPP-LLVKDONJSA-N 0 3 222.332 2.702 20 0 BFADHN C[C@@H]1CC[C@@H]([NH2+]Cc2cncc([O-])c2)[C@@H](C)C1 ZINC000897316344 583919352 /nfs/dbraw/zinc/91/93/52/583919352.db2.gz YYLJPTUEPVGHRH-UHIISALHSA-N 0 3 234.343 2.702 20 0 BFADHN CC1=C[C@H](C)CN(C[C@@H](O)c2ccc(F)cc2)C1 ZINC000797526910 583926693 /nfs/dbraw/zinc/92/66/93/583926693.db2.gz ZKHFQIAAEUOSLV-XHDPSFHLSA-N 0 3 249.329 2.757 20 0 BFADHN CCC[C@@H](CNCc1ccc(F)nc1)OCC ZINC000858210166 588938922 /nfs/dbraw/zinc/93/89/22/588938922.db2.gz HJQAQSIQDPQWAB-LBPRGKRZSA-N 0 3 240.322 2.516 20 0 BFADHN CC(C)(CNCc1nccn1C1CC1)C1CC1 ZINC000897436173 583976316 /nfs/dbraw/zinc/97/63/16/583976316.db2.gz VQFJQWKNXJKKKN-UHFFFAOYSA-N 0 3 233.359 2.744 20 0 BFADHN Cc1cc(CNCC(C)(C)C2CC2)no1 ZINC000897438286 583983780 /nfs/dbraw/zinc/98/37/80/583983780.db2.gz UTYCBDPGHLVJRY-UHFFFAOYSA-N 0 3 208.305 2.509 20 0 BFADHN CC1(C)CC[C@H](CCNCc2ccccn2)OC1 ZINC000897477778 583991665 /nfs/dbraw/zinc/99/16/65/583991665.db2.gz GIHLPUQPAMCFLS-CQSZACIVSA-N 0 3 248.370 2.767 20 0 BFADHN C[C@]12C[C@H]1CCC[C@H]2NCc1cccc(F)n1 ZINC000800482527 583997856 /nfs/dbraw/zinc/99/78/56/583997856.db2.gz UOXQNTWHXCAVPB-QKCSRTOESA-N 0 3 234.318 2.889 20 0 BFADHN Fc1ncccc1CN[C@@H]1CC[C@H]1C1CCC1 ZINC000800984461 584000605 /nfs/dbraw/zinc/00/06/05/584000605.db2.gz VEFDWUJKFNGWEV-QWHCGFSZSA-N 0 3 234.318 2.889 20 0 BFADHN OC1(C2(CNCc3ccsc3)CC2)CCC1 ZINC000897530216 584007049 /nfs/dbraw/zinc/00/70/49/584007049.db2.gz BMJIICULCIKDPH-UHFFFAOYSA-N 0 3 237.368 2.533 20 0 BFADHN C[C@H]1CCC[C@H](CNc2ccnc(CO)c2)C1 ZINC000858361303 588948999 /nfs/dbraw/zinc/94/89/99/588948999.db2.gz MSSFDGAXSVOVSS-RYUDHWBXSA-N 0 3 234.343 2.812 20 0 BFADHN Cc1cccc(CCNc2ccnc(CO)c2)c1 ZINC000858378615 588949547 /nfs/dbraw/zinc/94/95/47/588949547.db2.gz PHPXJEYZBGJTAL-UHFFFAOYSA-N 0 3 242.322 2.537 20 0 BFADHN c1nc(CNCCc2ccccc2)cn1C1CC1 ZINC000865400733 584017912 /nfs/dbraw/zinc/01/79/12/584017912.db2.gz PCOSWGXWXZMNDT-UHFFFAOYSA-N 0 3 241.338 2.550 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1nn(C)cc1C(F)F ZINC000865808947 584032021 /nfs/dbraw/zinc/03/20/21/584032021.db2.gz VFWMQTOOWCWMET-WCBMZHEXSA-N 0 3 243.301 2.636 20 0 BFADHN CCSCC[C@@H](C)Nc1ccnc(CO)c1 ZINC000858429699 588953855 /nfs/dbraw/zinc/95/38/55/588953855.db2.gz LCQBYSFWXLHFLL-SNVBAGLBSA-N 0 3 240.372 2.518 20 0 BFADHN Clc1ccc(CN[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)cc1 ZINC000897824866 584051965 /nfs/dbraw/zinc/05/19/65/584051965.db2.gz PHLKZQHIKMTBOH-BJJPWKGXSA-N 0 3 249.741 2.605 20 0 BFADHN C/C(=C\c1ccccc1)CN[C@@H]1CC12CC(O)C2 ZINC000897851289 584054869 /nfs/dbraw/zinc/05/48/69/584054869.db2.gz UOYBEWKGRODXCV-DXDYDVQXSA-N 0 3 243.350 2.593 20 0 BFADHN C[C@@H](CSCCF)N[C@@H](C)c1cncs1 ZINC000897930182 584061502 /nfs/dbraw/zinc/06/15/02/584061502.db2.gz KDEHLOFBUMHUBZ-IUCAKERBSA-N 0 3 248.392 2.885 20 0 BFADHN CCCCC1(NCc2cn(C)nc2CC)CC1 ZINC000897935945 584062333 /nfs/dbraw/zinc/06/23/33/584062333.db2.gz KFPIDYQEIPVQDJ-UHFFFAOYSA-N 0 3 235.375 2.795 20 0 BFADHN c1cc2c(c(CN[C@@H]3C[C@@H]4CCC[C@@H]34)c1)OCO2 ZINC000897953069 584063558 /nfs/dbraw/zinc/06/35/58/584063558.db2.gz MGRMBKJWJOLNCX-CYZMBNFOSA-N 0 3 245.322 2.694 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)o1 ZINC000897953805 584063827 /nfs/dbraw/zinc/06/38/27/584063827.db2.gz TUGNQBNSXDQBBC-CYZMBNFOSA-N 0 3 205.301 2.866 20 0 BFADHN Cc1ccoc1CN[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897953458 584064071 /nfs/dbraw/zinc/06/40/71/584064071.db2.gz PJATVKKFBWWOKB-SDDRHHMPSA-N 0 3 205.301 2.866 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H]3CCC[C@H]23)sn1 ZINC000897954122 584064234 /nfs/dbraw/zinc/06/42/34/584064234.db2.gz AKKGEHVCHFYSMH-ZMLRMANQSA-N 0 3 222.357 2.730 20 0 BFADHN COCc1ccc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)o1 ZINC000897954745 584064677 /nfs/dbraw/zinc/06/46/77/584064677.db2.gz KFJAHIGQVHGKMO-ZLKJLUDKSA-N 0 3 235.327 2.704 20 0 BFADHN COCc1ccc(CN[C@@H]2C[C@H]3CCC[C@H]32)o1 ZINC000897954743 584064862 /nfs/dbraw/zinc/06/48/62/584064862.db2.gz KFJAHIGQVHGKMO-LERXQTSPSA-N 0 3 235.327 2.704 20 0 BFADHN c1c(CN[C@@H]2C[C@@H]3CCC[C@H]23)onc1C1CC1 ZINC000897958615 584066260 /nfs/dbraw/zinc/06/62/60/584066260.db2.gz LEMXHJNROSEBOF-VHRBIJSZSA-N 0 3 232.327 2.830 20 0 BFADHN CCc1nocc1CN[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897958920 584067024 /nfs/dbraw/zinc/06/70/24/584067024.db2.gz PEKKGXYYFWAPOM-IRUJWGPZSA-N 0 3 220.316 2.515 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897960421 584068183 /nfs/dbraw/zinc/06/81/83/584068183.db2.gz SFVWRONALQXCPD-CYZMBNFOSA-N 0 3 232.327 2.830 20 0 BFADHN OCCC1CC(NCc2ccsc2Cl)C1 ZINC000898010758 584071536 /nfs/dbraw/zinc/07/15/36/584071536.db2.gz KSCGEAWIIKDWMS-UHFFFAOYSA-N 0 3 245.775 2.652 20 0 BFADHN Cc1c[nH]c(CNCC[C@H]2CCC(F)(F)C2)n1 ZINC000898014010 584074159 /nfs/dbraw/zinc/07/41/59/584074159.db2.gz MAUIJFCCWKZEDS-SNVBAGLBSA-N 0 3 243.301 2.633 20 0 BFADHN Cc1nocc1CNCC[C@H]1CCC(F)(F)C1 ZINC000898014610 584074312 /nfs/dbraw/zinc/07/43/12/584074312.db2.gz SINXWKAOADPJRI-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN OCc1ccc(CNC[C@@H]2CCC=CCCC2)o1 ZINC000898032479 584078355 /nfs/dbraw/zinc/07/83/55/584078355.db2.gz RQOSUWVVMNCPBB-CYBMUJFWSA-N 0 3 249.354 2.998 20 0 BFADHN CCC[C@@H](NCc1cn(C)cn1)[C@@H]1CC1(C)C ZINC000898042258 584080362 /nfs/dbraw/zinc/08/03/62/584080362.db2.gz NHTIQVCCKAYMPF-QWHCGFSZSA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2cn(C)cn2)CC1 ZINC000898042585 584080740 /nfs/dbraw/zinc/08/07/40/584080740.db2.gz SLROLWZSEMQPFM-QWHCGFSZSA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)C[C@H](C)C[C@@H](C)NCc1cn(C)cn1 ZINC000898043552 584081161 /nfs/dbraw/zinc/08/11/61/584081161.db2.gz TWUGVZFPGCIWKS-QWHCGFSZSA-N 0 3 237.391 2.971 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CNCc1cn(C)cn1 ZINC000898043712 584081167 /nfs/dbraw/zinc/08/11/67/584081167.db2.gz YPVGDJYZHPDCAK-JSGCOSHPSA-N 0 3 233.359 2.502 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1cn(C)cn1 ZINC000898043660 584081222 /nfs/dbraw/zinc/08/12/22/584081222.db2.gz XDKQZXGKCKKEFF-NWDGAFQWSA-N 0 3 223.364 2.725 20 0 BFADHN CC[C@H](Cc1cccs1)NCc1cn(C)cn1 ZINC000898043752 584081319 /nfs/dbraw/zinc/08/13/19/584081319.db2.gz ZTOOKKUCZLSGQB-LLVKDONJSA-N 0 3 249.383 2.593 20 0 BFADHN Cc1c(CN2C[C@@H]3CCC[C@@H]3C2)ccnc1F ZINC000898071507 584082791 /nfs/dbraw/zinc/08/27/91/584082791.db2.gz MNMFQMRABNJSQA-BETUJISGSA-N 0 3 234.318 2.761 20 0 BFADHN CCC[C@H](C)[C@H](CC)NCc1cnn(CC)n1 ZINC000858443931 588955608 /nfs/dbraw/zinc/95/56/08/588955608.db2.gz QRSMQVSVIRWMOG-AAEUAGOBSA-N 0 3 238.379 2.602 20 0 BFADHN COCC1(CN2CC(Cc3ccoc3)C2)CCC1 ZINC000898160069 584087665 /nfs/dbraw/zinc/08/76/65/584087665.db2.gz PLCMAFPLRDMVNI-UHFFFAOYSA-N 0 3 249.354 2.571 20 0 BFADHN O[C@H](CCNCc1cscc1Cl)C1CC1 ZINC000898203074 584090316 /nfs/dbraw/zinc/09/03/16/584090316.db2.gz QMVAGSWAOSVQPH-LLVKDONJSA-N 0 3 245.775 2.652 20 0 BFADHN CC1(C)CCC[C@H](CN2CC[C@@H](O)[C@H](F)C2)C1 ZINC000898188069 584093735 /nfs/dbraw/zinc/09/37/35/584093735.db2.gz OEVFMJZFRVQZFS-YNEHKIRRSA-N 0 3 243.366 2.608 20 0 BFADHN O[C@H](CCNCc1cc(Cl)cs1)C1CC1 ZINC000898198461 584098134 /nfs/dbraw/zinc/09/81/34/584098134.db2.gz QZHCJRGGMSWLMR-LLVKDONJSA-N 0 3 245.775 2.652 20 0 BFADHN CC1(C)C[C@@H](N[C@@H](c2ccncc2)C2CC2)CO1 ZINC000898321324 584107124 /nfs/dbraw/zinc/10/71/24/584107124.db2.gz QPJWOAWZQGUWFV-ZIAGYGMSSA-N 0 3 246.354 2.690 20 0 BFADHN Cn1ccc(CN[C@@H](c2ccncc2)C2CC2)c1 ZINC000898325687 584107497 /nfs/dbraw/zinc/10/74/97/584107497.db2.gz QTGZQCLWJDROMB-OAHLLOKOSA-N 0 3 241.338 2.661 20 0 BFADHN CCOC[C@@H](C)N[C@H](c1ccncc1)C1CC1 ZINC000898323131 584107742 /nfs/dbraw/zinc/10/77/42/584107742.db2.gz YCONYCQSKVWSLG-RISCZKNCSA-N 0 3 234.343 2.547 20 0 BFADHN CSCC(C)(C)NCc1cc(C)ns1 ZINC000898305760 584109070 /nfs/dbraw/zinc/10/90/70/584109070.db2.gz QVVWQQMMXIDLBM-UHFFFAOYSA-N 0 3 230.402 2.683 20 0 BFADHN CSCC(C)(C)NCc1occc1C ZINC000898305665 584109126 /nfs/dbraw/zinc/10/91/26/584109126.db2.gz NCMLTUXMWQRPGS-UHFFFAOYSA-N 0 3 213.346 2.819 20 0 BFADHN CSCC(C)(C)NCc1n[nH]c2ccccc12 ZINC000898305823 584109288 /nfs/dbraw/zinc/10/92/88/584109288.db2.gz SZBNLTRVJPSMQS-UHFFFAOYSA-N 0 3 249.383 2.794 20 0 BFADHN Cc1ccc(CN[C@H]2COC[C@@H]2C2CC2)c(F)c1 ZINC000898375353 584113286 /nfs/dbraw/zinc/11/32/86/584113286.db2.gz DLIZRSXLJRGOKN-HIFRSBDPSA-N 0 3 249.329 2.649 20 0 BFADHN COC[C@H](CC(C)(C)C)NC/C=C/Cl ZINC000898428553 584132865 /nfs/dbraw/zinc/13/28/65/584132865.db2.gz JOYYAPFNGIGQDP-PORFMDCZSA-N 0 3 219.756 2.780 20 0 BFADHN Cc1coc(CN2C[C@@H]3CSC[C@]3(C)C2)c1 ZINC000898419518 584133155 /nfs/dbraw/zinc/13/31/55/584133155.db2.gz DJOJPMBDVIVQKS-YPMHNXCESA-N 0 3 237.368 2.773 20 0 BFADHN Cl/C=C/CN[C@@H]1CC[C@@H]2SCCS[C@@H]12 ZINC000898432324 584134890 /nfs/dbraw/zinc/13/48/90/584134890.db2.gz FCDCPXIELDGBOT-YHNUFBOMSA-N 0 3 249.832 2.708 20 0 BFADHN CCCC[C@H](COC)NC/C=C/Cl ZINC000898432418 584134946 /nfs/dbraw/zinc/13/49/46/584134946.db2.gz FSSCYKZLVRVMNF-BREXMAIKSA-N 0 3 205.729 2.534 20 0 BFADHN CC(C)[C@@H]1C[C@@H](NC/C=C\Cl)CS1 ZINC000898433976 584135060 /nfs/dbraw/zinc/13/50/60/584135060.db2.gz QKNSMBUARACALD-QKMQQOOLSA-N 0 3 219.781 2.859 20 0 BFADHN COC[C@H](Cc1ccccc1)NC/C=C\Cl ZINC000898432500 584135413 /nfs/dbraw/zinc/13/54/13/584135413.db2.gz GFWSRLWQUUTJPC-UJZCVKTISA-N 0 3 239.746 2.586 20 0 BFADHN Cc1cc(CNC/C=C/Cl)cc(C)c1O ZINC000898434763 584136090 /nfs/dbraw/zinc/13/60/90/584136090.db2.gz YHZVLEWTZZEXGE-ONEGZZNKSA-N 0 3 225.719 2.851 20 0 BFADHN Fc1cccc(OCCCNCc2ccoc2)c1 ZINC000898445345 584136918 /nfs/dbraw/zinc/13/69/18/584136918.db2.gz IZEQNLGCXAZIOY-UHFFFAOYSA-N 0 3 249.285 2.977 20 0 BFADHN Cc1c[nH]c(CNC2(C3CCCCC3)CC2)n1 ZINC000898462541 584138760 /nfs/dbraw/zinc/13/87/60/584138760.db2.gz PJWBELSUSQNUFC-UHFFFAOYSA-N 0 3 233.359 2.921 20 0 BFADHN C[C@@H]1CCC[C@@H](Nc2ccnc(CO)c2)CC1 ZINC000858508434 588961542 /nfs/dbraw/zinc/96/15/42/588961542.db2.gz NOQXLNILZAEAKH-VXGBXAGGSA-N 0 3 234.343 2.955 20 0 BFADHN C[C@H](Cc1ccncc1)N[C@H](C)c1ccns1 ZINC000858576160 588968130 /nfs/dbraw/zinc/96/81/30/588968130.db2.gz IKMHTBACJSSYSV-GHMZBOCLSA-N 0 3 247.367 2.820 20 0 BFADHN CC(C)(CCNc1ccnc(CO)c1)C1CC1 ZINC000858556277 588964965 /nfs/dbraw/zinc/96/49/65/588964965.db2.gz YHYOGBXMSLHHKU-UHFFFAOYSA-N 0 3 234.343 2.812 20 0 BFADHN OCc1cc(N[C@H]2CC3CCC2CC3)ccn1 ZINC000858554731 588964977 /nfs/dbraw/zinc/96/49/77/588964977.db2.gz MNQVRXUDEULOBI-MGULZYLOSA-N 0 3 232.327 2.565 20 0 BFADHN Cc1cc(C)cc(-c2ccc([C@H](N)CO)cc2)c1 ZINC000899320219 584197328 /nfs/dbraw/zinc/19/73/28/584197328.db2.gz VSQHLVRAFYGZJH-MRXNPFEDSA-N 0 3 241.334 2.963 20 0 BFADHN Cc1cc(C)cc(-c2ccc([C@@H](N)CO)cc2)c1 ZINC000899320218 584197440 /nfs/dbraw/zinc/19/74/40/584197440.db2.gz VSQHLVRAFYGZJH-INIZCTEOSA-N 0 3 241.334 2.963 20 0 BFADHN CC[C@H]1CCC[C@H]1CNc1ccnc(CO)c1 ZINC000858558673 588965702 /nfs/dbraw/zinc/96/57/02/588965702.db2.gz WWNCHYFZPPTYKI-RYUDHWBXSA-N 0 3 234.343 2.812 20 0 BFADHN CCCC[C@@H](COC)N[C@@H](C)c1ccns1 ZINC000858577879 588966048 /nfs/dbraw/zinc/96/60/48/588966048.db2.gz RYAZFYKESGYIOP-QWRGUYRKSA-N 0 3 242.388 2.999 20 0 BFADHN CCc1onc(C)c1COc1ccccc1CN ZINC000899588379 584220754 /nfs/dbraw/zinc/22/07/54/584220754.db2.gz RZGBODRTVKOQDW-UHFFFAOYSA-N 0 3 246.310 2.583 20 0 BFADHN CC1CC(COc2ccc3c(c2)CNC3)C1 ZINC000899588173 584220847 /nfs/dbraw/zinc/22/08/47/584220847.db2.gz JXJSQBKBGYZDFD-UHFFFAOYSA-N 0 3 217.312 2.715 20 0 BFADHN OCc1cc(N[C@H](C2CC2)C2CCC2)ccn1 ZINC000858565818 588966964 /nfs/dbraw/zinc/96/69/64/588966964.db2.gz AVVZSZULEXZASE-AWEZNQCLSA-N 0 3 232.327 2.565 20 0 BFADHN OCc1cc(NC[C@@H]2CCC[C@H]2C2CC2)ccn1 ZINC000858568171 588967298 /nfs/dbraw/zinc/96/72/98/588967298.db2.gz ONUQEFCAIWXBOE-WFASDCNBSA-N 0 3 246.354 2.812 20 0 BFADHN CC(C)[C@@H](N)c1cn(CC2CCC=CCC2)nn1 ZINC000899742985 584236285 /nfs/dbraw/zinc/23/62/85/584236285.db2.gz PTIGHHGQVPVLFT-CQSZACIVSA-N 0 3 248.374 2.680 20 0 BFADHN CC[C@H](Nc1ccnc(CO)c1)[C@H]1CC1(C)C ZINC000858571892 588968020 /nfs/dbraw/zinc/96/80/20/588968020.db2.gz IZZSAUYMKJIUBV-OLZOCXBDSA-N 0 3 234.343 2.811 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2cccnc2Cl)C1 ZINC000858586660 588969910 /nfs/dbraw/zinc/96/99/10/588969910.db2.gz WICRDFDQHZLPBC-OPRDCNLKSA-N 0 3 240.734 2.563 20 0 BFADHN CC(C)Cc1noc([C@@](C)(CN)CC(C)C)n1 ZINC000900000203 584263651 /nfs/dbraw/zinc/26/36/51/584263651.db2.gz WRSYSXQLQAQKFX-CYBMUJFWSA-N 0 3 239.363 2.531 20 0 BFADHN C[C@H](N)c1cn(CCCC2CCCCC2)nn1 ZINC000900628040 584333547 /nfs/dbraw/zinc/33/35/47/584333547.db2.gz CGOKBDXCWJBBJX-NSHDSACASA-N 0 3 236.363 2.658 20 0 BFADHN CCNCc1cc(-c2cccc(F)c2)no1 ZINC000901399055 584438908 /nfs/dbraw/zinc/43/89/08/584438908.db2.gz DCPSDCCLKUNQCM-UHFFFAOYSA-N 0 3 220.247 2.590 20 0 BFADHN CCn1cnc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)c1 ZINC000902026368 584512886 /nfs/dbraw/zinc/51/28/86/584512886.db2.gz PMZIPLPUBXXZJV-MBNYWOFBSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1cnc(CNC2C[C@H](C)C[C@@H](C)C2)c1 ZINC000902261538 584544968 /nfs/dbraw/zinc/54/49/68/584544968.db2.gz QKNVPTDZMWXJBC-VXGBXAGGSA-N 0 3 235.375 2.817 20 0 BFADHN CCNc1ccccc1CN[C@H]1CCSC1 ZINC000902281064 584547350 /nfs/dbraw/zinc/54/73/50/584547350.db2.gz WNRSOWRIODRZKK-LBPRGKRZSA-N 0 3 236.384 2.714 20 0 BFADHN CCn1cnc(CN[C@H]2CCCCC2(C)C)c1 ZINC000902310584 584555005 /nfs/dbraw/zinc/55/50/05/584555005.db2.gz FIADWFYZPZCAEZ-ZDUSSCGKSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1cnc(CNCC2(C)CCCCC2)c1 ZINC000902311442 584555221 /nfs/dbraw/zinc/55/52/21/584555221.db2.gz LBRABLQJZXGRQL-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN CN(Cc1ccnc(F)c1)C1CCCCC1 ZINC000859064183 588996476 /nfs/dbraw/zinc/99/64/76/588996476.db2.gz UXKADOSTBPXIJM-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN CCNc1ccccc1CNCC1(OC)CCC1 ZINC000902468262 584614588 /nfs/dbraw/zinc/61/45/88/584614588.db2.gz SNTUQJAACGMOOQ-UHFFFAOYSA-N 0 3 248.370 2.777 20 0 BFADHN CC(C)(C)[C@@H]1CC[C@H]1NCc1csc(N)n1 ZINC000902497841 584619464 /nfs/dbraw/zinc/61/94/64/584619464.db2.gz AFYKPZJWALFVRU-NXEZZACHSA-N 0 3 239.388 2.640 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2csc(N)n2)C1 ZINC000902497638 584619590 /nfs/dbraw/zinc/61/95/90/584619590.db2.gz AFPDCBICTMSGML-WCABBAIRSA-N 0 3 239.388 2.640 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1csc(N)n1 ZINC000902498956 584619825 /nfs/dbraw/zinc/61/98/25/584619825.db2.gz JKVOFXSGFBUHDC-ONGXEEELSA-N 0 3 239.388 2.784 20 0 BFADHN C[C@@H]1CC[C@H](NCc2csc(N)n2)C[C@@H]1C ZINC000902498694 584620017 /nfs/dbraw/zinc/62/00/17/584620017.db2.gz INWFDQVLUYXZNB-UTLUCORTSA-N 0 3 239.388 2.640 20 0 BFADHN C[C@@H]1CC[C@H](NCc2csc(N)n2)[C@H](C)C1 ZINC000902499958 584620238 /nfs/dbraw/zinc/62/02/38/584620238.db2.gz RFPANVKLZTYXME-KKZNHRDASA-N 0 3 239.388 2.640 20 0 BFADHN CCn1cnc(CN[C@@H]2CC[C@H](C)[C@H](C)C2)c1 ZINC000902481403 584624328 /nfs/dbraw/zinc/62/43/28/584624328.db2.gz CKLNKAISRRINCO-YNEHKIRRSA-N 0 3 235.375 2.817 20 0 BFADHN C[C@@H]1CCCN(Cc2ccnc(F)c2)CC1 ZINC000859094637 589000519 /nfs/dbraw/zinc/00/05/19/589000519.db2.gz HFPYERMYKUZNKD-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN CCn1cnc(CNCCCCCSC)c1 ZINC000902487173 584625912 /nfs/dbraw/zinc/62/59/12/584625912.db2.gz BWUNUSOHMQGAPQ-UHFFFAOYSA-N 0 3 241.404 2.526 20 0 BFADHN C[C@@H]1CC(NCc2csc(N)n2)C[C@@H](C)C1 ZINC000902489225 584627369 /nfs/dbraw/zinc/62/73/69/584627369.db2.gz JVHVVLXGHRDLJF-IUCAKERBSA-N 0 3 239.388 2.640 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1ccccc1NCC ZINC000902488732 584627385 /nfs/dbraw/zinc/62/73/85/584627385.db2.gz NDGHFECSRGNDSV-MFBWXBCUSA-N 0 3 248.370 2.535 20 0 BFADHN CCC1CCC(NCc2csc(N)n2)CC1 ZINC000902489376 584627552 /nfs/dbraw/zinc/62/75/52/584627552.db2.gz PVIOMULUWPVGHF-UHFFFAOYSA-N 0 3 239.388 2.784 20 0 BFADHN C[C@H]1CC(NCc2csc(N)n2)C[C@H](C)C1 ZINC000902489229 584627665 /nfs/dbraw/zinc/62/76/65/584627665.db2.gz JVHVVLXGHRDLJF-RKDXNWHRSA-N 0 3 239.388 2.640 20 0 BFADHN CNc1ccccc1CNC[C@@H]1CCC=CO1 ZINC000902516792 584629818 /nfs/dbraw/zinc/62/98/18/584629818.db2.gz GPKZZKBZWDWIII-ZDUSSCGKSA-N 0 3 232.327 2.511 20 0 BFADHN CNc1ccc(C)cc1CNC1CC(F)(F)C1 ZINC000902528088 584633059 /nfs/dbraw/zinc/63/30/59/584633059.db2.gz JGINJKWWOIJXJG-UHFFFAOYSA-N 0 3 240.297 2.924 20 0 BFADHN CCn1cnc(CNC[C@H]2CCC[C@H]2C2CC2)c1 ZINC000902594643 584635571 /nfs/dbraw/zinc/63/55/71/584635571.db2.gz DIHBLHJFWLWPSQ-HIFRSBDPSA-N 0 3 247.386 2.819 20 0 BFADHN CC[C@H]1CC[C@H](NCc2cn(CC)cn2)CC1 ZINC000902575203 584637486 /nfs/dbraw/zinc/63/74/86/584637486.db2.gz WJBOBOOQUXEACV-JOCQHMNTSA-N 0 3 235.375 2.961 20 0 BFADHN CNc1ccccc1CNCCC(C)(F)F ZINC000902576212 584637505 /nfs/dbraw/zinc/63/75/05/584637505.db2.gz SBVIFZSZEQZYIW-UHFFFAOYSA-N 0 3 228.286 2.863 20 0 BFADHN CC/C=C\CNCc1ccccc1NC ZINC000902578174 584637837 /nfs/dbraw/zinc/63/78/37/584637837.db2.gz NMZFHBLCGIOXOO-DAXSKMNVSA-N 0 3 204.317 2.784 20 0 BFADHN CC/C=C/CNCc1ccccc1NC ZINC000902578175 584638025 /nfs/dbraw/zinc/63/80/25/584638025.db2.gz NMZFHBLCGIOXOO-QPJJXVBHSA-N 0 3 204.317 2.784 20 0 BFADHN CCNc1ccccc1CNC[C@@H]1CC[C@@H](C)O1 ZINC000902585268 584638997 /nfs/dbraw/zinc/63/89/97/584638997.db2.gz DAVKMRFYBNIKLB-OCCSQVGLSA-N 0 3 248.370 2.776 20 0 BFADHN CNc1ccc(C)cc1CNC[C@@H]1CC[C@@H](C)O1 ZINC000902584954 584639316 /nfs/dbraw/zinc/63/93/16/584639316.db2.gz AMHVVMMSJCZLKT-OCCSQVGLSA-N 0 3 248.370 2.694 20 0 BFADHN CNc1ccccc1CN[C@@H](C)[C@H](OC)C1CC1 ZINC000902583924 584639341 /nfs/dbraw/zinc/63/93/41/584639341.db2.gz ISIRRSRUQWCNRB-NHYWBVRUSA-N 0 3 248.370 2.631 20 0 BFADHN CCn1cnc(CN[C@]2(C)CCCC[C@@H]2C)c1 ZINC000902584969 584639392 /nfs/dbraw/zinc/63/93/92/584639392.db2.gz AQOXLIRRHCBISE-GXTWGEPZSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@@H](NCc1cn(CC)cn1)[C@H]1CC1(C)C ZINC000902600193 584640677 /nfs/dbraw/zinc/64/06/77/584640677.db2.gz RZKXFJLBTKAEEO-CHWSQXEVSA-N 0 3 235.375 2.817 20 0 BFADHN CNc1ccccc1CN[C@H](C)C1(OC)CCC1 ZINC000902610748 584642990 /nfs/dbraw/zinc/64/29/90/584642990.db2.gz YREIYYZHGXGWSK-GFCCVEGCSA-N 0 3 248.370 2.776 20 0 BFADHN CC[C@@H](O)CNCc1ccc(C(F)F)c(F)c1 ZINC000902616196 584644373 /nfs/dbraw/zinc/64/43/73/584644373.db2.gz APPCIZXOQGCWIH-SECBINFHSA-N 0 3 247.260 2.624 20 0 BFADHN CCn1cnc(CNC2(CC(C)C)CC2)c1 ZINC000902622046 584645145 /nfs/dbraw/zinc/64/51/45/584645145.db2.gz VZDDCBQGXBKDHI-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN CCNc1ccccc1CNCC(C)(C)F ZINC000902627286 584646414 /nfs/dbraw/zinc/64/64/14/584646414.db2.gz STIFSIZMVITLBN-UHFFFAOYSA-N 0 3 224.323 2.956 20 0 BFADHN CNc1ccccc1CN[C@H]1C[C@H](SC)C1 ZINC000902633110 584647796 /nfs/dbraw/zinc/64/77/96/584647796.db2.gz RBQXPFNXOOEDQY-HAQNSBGRSA-N 0 3 236.384 2.712 20 0 BFADHN C[C@@H]1C[C@H](N[C@H](c2ccncc2)C2CC2)[C@H](C)O1 ZINC000902718658 584657724 /nfs/dbraw/zinc/65/77/24/584657724.db2.gz HOHMOYGMLHWGNI-PKIAMQTDSA-N 0 3 246.354 2.688 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](c2ccncc2)C2CC2)CO1 ZINC000902719661 584658448 /nfs/dbraw/zinc/65/84/48/584658448.db2.gz QIJDJANVLDGDIK-KFWWJZLASA-N 0 3 246.354 2.690 20 0 BFADHN c1csc(CNC2C[C@H]3CCC[C@@H]3C2)n1 ZINC000902759295 584665607 /nfs/dbraw/zinc/66/56/07/584665607.db2.gz UARZVBAXBGRQLN-NXEZZACHSA-N 0 3 222.357 2.811 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]3CCC[C@H]3C2)on1 ZINC000902794241 584673148 /nfs/dbraw/zinc/67/31/48/584673148.db2.gz UCFDYCNDXDYTFJ-ZSBIGDGJSA-N 0 3 220.316 2.651 20 0 BFADHN Fc1ccc(CN[C@@H]2C[C@H]3CCC[C@H]3C2)nc1 ZINC000902844072 584680707 /nfs/dbraw/zinc/68/07/07/584680707.db2.gz FHXDDRHWABVUOG-GNXNZQSNSA-N 0 3 234.318 2.889 20 0 BFADHN OCC[C@H](NC1C[C@@H]2CCC[C@H]2C1)c1ccco1 ZINC000902956660 584705754 /nfs/dbraw/zinc/70/57/54/584705754.db2.gz LYAYLYNKNFRKEJ-OBJOEFQTSA-N 0 3 249.354 2.871 20 0 BFADHN F[C@@H]1CCCN(C[C@H]2C[C@@H]3[C@H](C2)C3(F)F)C1 ZINC000902991608 584709907 /nfs/dbraw/zinc/70/99/07/584709907.db2.gz XCZNSHZQFUBGFN-CHWFTXMASA-N 0 3 233.277 2.712 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]2CCC[C@H]2C1)c1ccn(C)n1 ZINC000903017152 584713184 /nfs/dbraw/zinc/71/31/84/584713184.db2.gz KYMQINBJFOKGDE-FVCCEPFGSA-N 0 3 233.359 2.649 20 0 BFADHN CCCn1cc([C@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)nn1 ZINC000903017640 584713439 /nfs/dbraw/zinc/71/34/39/584713439.db2.gz QNAUBCOTWAQYRS-RNJOBUHISA-N 0 3 248.374 2.527 20 0 BFADHN COc1ccncc1CNC1C[C@H]2CCC[C@@H]2C1 ZINC000902999946 584715811 /nfs/dbraw/zinc/71/58/11/584715811.db2.gz SLYRSPLNMNCICN-VXGBXAGGSA-N 0 3 246.354 2.759 20 0 BFADHN CCCn1cc([C@@H](C)NC2(C(C)C)CC2)nn1 ZINC000903001639 584716094 /nfs/dbraw/zinc/71/60/94/584716094.db2.gz WJTAUPGKCJJECZ-LLVKDONJSA-N 0 3 236.363 2.527 20 0 BFADHN CC(C)c1nnc(C[NH2+]C2C[C@H]3CCC[C@@H]3C2)[n-]1 ZINC000903005062 584717124 /nfs/dbraw/zinc/71/71/24/584717124.db2.gz NPXWIMYVXAECNQ-GHMZBOCLSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CNC2C[C@H]3CCC[C@@H]3C2)[nH]1 ZINC000903005062 584717126 /nfs/dbraw/zinc/71/71/26/584717126.db2.gz NPXWIMYVXAECNQ-GHMZBOCLSA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nc(CNC2C[C@H]3CCC[C@@H]3C2)co1 ZINC000903011187 584717475 /nfs/dbraw/zinc/71/74/75/584717475.db2.gz CFGQVEWKVWHWCY-GHMZBOCLSA-N 0 3 234.343 2.905 20 0 BFADHN CCC[C@H](N[C@H](C)c1cn(C)nn1)C(C)(C)C ZINC000903007762 584717659 /nfs/dbraw/zinc/71/76/59/584717659.db2.gz HHHVZIWDUWGAEZ-PWSUYJOCSA-N 0 3 238.379 2.681 20 0 BFADHN CO[C@@H](C)CCN[C@H](c1ccccn1)C1CC1 ZINC000903090305 584725858 /nfs/dbraw/zinc/72/58/58/584725858.db2.gz YKNGHHYWNWVBDP-FZMZJTMJSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@@H](N[C@@H]1CCCc2cn[nH]c21)c1ccns1 ZINC000903073861 584726209 /nfs/dbraw/zinc/72/62/09/584726209.db2.gz VMSVNHHEFHSDOX-PSASIEDQSA-N 0 3 248.355 2.594 20 0 BFADHN CCC[C@@](C)(O)CN[C@H](c1ccccn1)C1CC1 ZINC000903096890 584728943 /nfs/dbraw/zinc/72/89/43/584728943.db2.gz MQARIIQLWYRVNZ-LSDHHAIUSA-N 0 3 248.370 2.673 20 0 BFADHN CS[C@@H](C)CN[C@@H](c1ccccn1)C1CC1 ZINC000903127599 584729846 /nfs/dbraw/zinc/72/98/46/584729846.db2.gz IZTZVDHNMVXVFH-GXFFZTMASA-N 0 3 236.384 2.874 20 0 BFADHN CCn1ccnc1[C@H](C)NCC[C@@H]1C[C@H]1C1CC1 ZINC000903161207 584731372 /nfs/dbraw/zinc/73/13/72/584731372.db2.gz DKFSRAWILVJQIE-YUTCNCBUSA-N 0 3 247.386 2.990 20 0 BFADHN Cc1cc([C@H](C)NCC[C@@H]2CC[C@@H]3C[C@@H]32)nn1C ZINC000903165700 584731786 /nfs/dbraw/zinc/73/17/86/584731786.db2.gz LCMYYAJRVSPZCI-IGQOVBAYSA-N 0 3 247.386 2.815 20 0 BFADHN Cc1cc([C@H](C)NCC[C@@H]2CC[C@H]3C[C@H]32)nn1C ZINC000903165704 584731871 /nfs/dbraw/zinc/73/18/71/584731871.db2.gz LCMYYAJRVSPZCI-XUXIUFHCSA-N 0 3 247.386 2.815 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](N[C@@H]2CCn3ccnc32)[C@H]1C ZINC000903178650 584733086 /nfs/dbraw/zinc/73/30/86/584733086.db2.gz XZBLKCXGPKBAGF-HTOAHKCRSA-N 0 3 247.386 2.988 20 0 BFADHN C[C@@H](NCc1nccc2c1CCCC2)C1CC1 ZINC000903185137 584733799 /nfs/dbraw/zinc/73/37/99/584733799.db2.gz QAOOCVVDMWZGGT-LLVKDONJSA-N 0 3 230.355 2.849 20 0 BFADHN CN(C)c1ccnc(CN[C@H]2CCC23CCC3)c1 ZINC000903199416 584734853 /nfs/dbraw/zinc/73/48/53/584734853.db2.gz XBNLKGOJNPPWCY-AWEZNQCLSA-N 0 3 245.370 2.570 20 0 BFADHN C[C@@H]1C[C@H](NCC(C)(C)C2CC2)c2nccn21 ZINC000903239215 584738092 /nfs/dbraw/zinc/73/80/92/584738092.db2.gz BELXUQFHTGCJRY-PWSUYJOCSA-N 0 3 233.359 2.915 20 0 BFADHN C[C@@H](NCC(C)(C)C1CC1)c1cn(C)cn1 ZINC000903239485 584738236 /nfs/dbraw/zinc/73/82/36/584738236.db2.gz FZYFSXHAQQUZRE-SNVBAGLBSA-N 0 3 221.348 2.507 20 0 BFADHN c1cnc([C@H](N[C@@H]2CCC[C@@H]3C[C@@H]32)C2CC2)nc1 ZINC000903223614 584738287 /nfs/dbraw/zinc/73/82/87/584738287.db2.gz IADQVXDQJKUOHB-XJFOESAGSA-N 0 3 243.354 2.706 20 0 BFADHN COc1cc([C@@H](C)NCC(C)(C)C2CC2)on1 ZINC000903244474 584738574 /nfs/dbraw/zinc/73/85/74/584738574.db2.gz WCYVMTRKSZLEFX-SECBINFHSA-N 0 3 238.331 2.770 20 0 BFADHN CC[C@H](NC/C=C/Cl)c1ccncc1 ZINC000903445762 584770010 /nfs/dbraw/zinc/77/00/10/584770010.db2.gz VMJBCPQNFFFZJT-GQOHGMTASA-N 0 3 210.708 2.875 20 0 BFADHN COc1cc([C@@H](C)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)ccn1 ZINC000903253361 584739122 /nfs/dbraw/zinc/73/91/22/584739122.db2.gz JNEAXHBULKTRJF-NBUWXKEQSA-N 0 3 246.354 2.785 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1ccoc1 ZINC000903253317 584739251 /nfs/dbraw/zinc/73/92/51/584739251.db2.gz JHNXKVKDLRMQPO-XUEURGHRSA-N 0 3 205.301 2.975 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1ccoc1 ZINC000903253315 584739289 /nfs/dbraw/zinc/73/92/89/584739289.db2.gz JHNXKVKDLRMQPO-AOBWVBKOSA-N 0 3 205.301 2.975 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1cncs1 ZINC000903253952 584739601 /nfs/dbraw/zinc/73/96/01/584739601.db2.gz MZENZTQCXYWYKB-NZHYYXIDSA-N 0 3 222.357 2.838 20 0 BFADHN C[C@H](NCC[C@@H]1CCCC[C@@H]1O)c1ccoc1 ZINC000903258374 584740060 /nfs/dbraw/zinc/74/00/60/584740060.db2.gz BDDJFKQMKMEWMX-OBJOEFQTSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](N[C@@H]1CCSC(C)(C)C1)c1ncc[nH]1 ZINC000903282844 584742983 /nfs/dbraw/zinc/74/29/83/584742983.db2.gz NLSPELUPXAEOID-VHSXEESVSA-N 0 3 239.388 2.735 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CCN(C)[C@@H]2C)o1 ZINC000903300262 584743558 /nfs/dbraw/zinc/74/35/58/584743558.db2.gz GFWYHWIEQFEYKP-LOWVWBTDSA-N 0 3 236.359 2.585 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)o1 ZINC000903289781 584746092 /nfs/dbraw/zinc/74/60/92/584746092.db2.gz SRHQYQNVFZDPFN-MHVRPGDESA-N 0 3 247.338 2.668 20 0 BFADHN CCCCC1(N[C@H](C)c2cn(C)cn2)CC1 ZINC000903312720 584747976 /nfs/dbraw/zinc/74/79/76/584747976.db2.gz AYJCYJBHJWDWAA-LLVKDONJSA-N 0 3 221.348 2.794 20 0 BFADHN Cc1ccc([C@H](NC[C@H]2CCN2C)C2CCC2)o1 ZINC000903315999 584749460 /nfs/dbraw/zinc/74/94/60/584749460.db2.gz BIKOPCGOELILLF-UKRRQHHQSA-N 0 3 248.370 2.723 20 0 BFADHN CCC[C@H](NC[C@@H]1CCN1C)c1cc(C)ccn1 ZINC000903316985 584749985 /nfs/dbraw/zinc/74/99/85/584749985.db2.gz RLXPFUHFJZKQGI-KBPBESRZSA-N 0 3 247.386 2.525 20 0 BFADHN CCC[C@@H](NC[C@H]1CCN1C)c1cc(C)ccn1 ZINC000903316988 584749997 /nfs/dbraw/zinc/74/99/97/584749997.db2.gz RLXPFUHFJZKQGI-ZIAGYGMSSA-N 0 3 247.386 2.525 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCC[C@@H]12)c1cscn1 ZINC000903317258 584750057 /nfs/dbraw/zinc/75/00/57/584750057.db2.gz LTWKYXRRZBLPSF-UKKRHICBSA-N 0 3 222.357 2.982 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CCC[C@H]21)c1cscn1 ZINC000903317257 584750345 /nfs/dbraw/zinc/75/03/45/584750345.db2.gz LTWKYXRRZBLPSF-LNFKQOIKSA-N 0 3 222.357 2.982 20 0 BFADHN COc1ccnc([C@H](C)N[C@@H]2C[C@@H]3CCC[C@H]23)c1 ZINC000903318135 584750607 /nfs/dbraw/zinc/75/06/07/584750607.db2.gz OFKIBSANAONMDG-TZQJONAQSA-N 0 3 246.354 2.929 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@H]3CCC[C@@H]32)c2nccn21 ZINC000903318575 584750957 /nfs/dbraw/zinc/75/09/57/584750957.db2.gz QJNJWIJFEYROBU-LBELIVKGSA-N 0 3 231.343 2.667 20 0 BFADHN C[C@@H]1C[C@H](N[C@H](c2ccccn2)C2CC2)[C@@H](C)O1 ZINC000903335378 584751400 /nfs/dbraw/zinc/75/14/00/584751400.db2.gz OOAGGODOCKNLCF-FIXIBIHLSA-N 0 3 246.354 2.688 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](c2ccccn2)C2CC2)CO1 ZINC000903334556 584751435 /nfs/dbraw/zinc/75/14/35/584751435.db2.gz BZWQCASOXHUXOX-GZBFAFLISA-N 0 3 246.354 2.690 20 0 BFADHN COC[C@@H](N[C@@H](c1ccccn1)C1CC1)C1CC1 ZINC000903340676 584752149 /nfs/dbraw/zinc/75/21/49/584752149.db2.gz RUGDJCNXZMWHHK-HUUCEWRRSA-N 0 3 246.354 2.547 20 0 BFADHN CC[C@H](CCC(F)(F)F)NCc1cn(C)cn1 ZINC000903351558 584755421 /nfs/dbraw/zinc/75/54/21/584755421.db2.gz MBNKXTSDJWMDKI-SECBINFHSA-N 0 3 249.280 2.631 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2cn(C)cn2)[C@@H]1C ZINC000903350986 584755576 /nfs/dbraw/zinc/75/55/76/584755576.db2.gz GTCXHOPIIUUCCU-GFQSEFKGSA-N 0 3 235.375 2.580 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@H]1NCc1cn(C)cn1 ZINC000903353137 584755944 /nfs/dbraw/zinc/75/59/44/584755944.db2.gz ZJDVVBJJIXDAMJ-YRGRVCCFSA-N 0 3 235.375 2.725 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2COC[C@@H]2C)o1 ZINC000903395022 584756846 /nfs/dbraw/zinc/75/68/46/584756846.db2.gz JEGNBVDKBMVKQK-JBLDHEPKSA-N 0 3 223.316 2.528 20 0 BFADHN C[C@@H]1COC[C@@H]1N[C@@H]1CC(C)(C)Cc2occc21 ZINC000903394598 584756869 /nfs/dbraw/zinc/75/68/69/584756869.db2.gz FFMDYUVMPQTLGK-RTXFEEFZSA-N 0 3 249.354 2.918 20 0 BFADHN CCOc1cccc([C@H](C)N[C@H]2COC[C@H]2C)c1 ZINC000903396684 584757613 /nfs/dbraw/zinc/75/76/13/584757613.db2.gz RNMWDSSOXWKETC-XUJVJEKNSA-N 0 3 249.354 2.771 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@@H](O)C2CC2)c(C)o1 ZINC000903409148 584759024 /nfs/dbraw/zinc/75/90/24/584759024.db2.gz VVZPCKSMHDAZLM-QMTHXVAHSA-N 0 3 237.343 2.708 20 0 BFADHN CCc1ccc([C@@H](C)NCC[C@H](O)C2CC2)o1 ZINC000903409077 584759228 /nfs/dbraw/zinc/75/92/28/584759228.db2.gz VMNWTIKQYZNCMB-MFKMUULPSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@H](O)C2CC2)c(C)o1 ZINC000903409149 584759254 /nfs/dbraw/zinc/75/92/54/584759254.db2.gz VVZPCKSMHDAZLM-YGRLFVJLSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC=CC[C@H]2C)nn1C ZINC000903414068 584759839 /nfs/dbraw/zinc/75/98/39/584759839.db2.gz UOTSFOINGCYMMB-KGYLQXTDSA-N 0 3 233.359 2.734 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H]2CC=CC[C@H]2C)nn1 ZINC000903413468 584759881 /nfs/dbraw/zinc/75/98/81/584759881.db2.gz MTHXYCQIACJVLZ-FRRDWIJNSA-N 0 3 248.374 2.693 20 0 BFADHN Cc1ncccc1CCN[C@@H](C)c1ccns1 ZINC000903419086 584760119 /nfs/dbraw/zinc/76/01/19/584760119.db2.gz SCGQZPMDMKAHGP-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN C[C@@H]1OC[C@H](N[C@@H](c2ccncc2)C2CC2)[C@@H]1C ZINC000903429432 584761484 /nfs/dbraw/zinc/76/14/84/584761484.db2.gz QMWUZPIEABFQEQ-FDRIWYBQSA-N 0 3 246.354 2.546 20 0 BFADHN COC1(OC)CC[C@H]1NC1(C)C(C)(C)C1(C)C ZINC000903441697 584761780 /nfs/dbraw/zinc/76/17/80/584761780.db2.gz DCHINNRGZDHZGI-SNVBAGLBSA-N 0 3 241.375 2.552 20 0 BFADHN C[C@H](NC1(C)C(C)(C)C1(C)C)c1ccnn1C ZINC000903442337 584767538 /nfs/dbraw/zinc/76/75/38/584767538.db2.gz NETNNUTVZLXXNE-JTQLQIEISA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H](NC1(C)C(C)(C)C1(C)C)c1ncc[nH]1 ZINC000903442907 584768019 /nfs/dbraw/zinc/76/80/19/584768019.db2.gz YFMUPGXYIAZDBG-SECBINFHSA-N 0 3 221.348 2.885 20 0 BFADHN C[C@@H](NC/C=C/Cl)c1cccc(CO)c1 ZINC000903445194 584769747 /nfs/dbraw/zinc/76/97/47/584769747.db2.gz SEVWPXPCTYTICN-QLCVYAKKSA-N 0 3 225.719 2.582 20 0 BFADHN COc1cccc([C@@H](C)NC/C=C/Cl)c1O ZINC000903445502 584769903 /nfs/dbraw/zinc/76/99/03/584769903.db2.gz URLPLBAKQUMOSZ-ILFKPUCNSA-N 0 3 241.718 2.804 20 0 BFADHN COC1([C@@H](C)NC/C=C\Cl)CCCC1 ZINC000903445486 584770149 /nfs/dbraw/zinc/77/01/49/584770149.db2.gz UNDPNTYQIMZQCU-DAGBOUIGSA-N 0 3 217.740 2.676 20 0 BFADHN COC1([C@@H](C)NC/C=C/Cl)CCCC1 ZINC000903445488 584770343 /nfs/dbraw/zinc/77/03/43/584770343.db2.gz UNDPNTYQIMZQCU-VHODGJRUSA-N 0 3 217.740 2.676 20 0 BFADHN C[C@H](NC1(C2CCCCC2)CC1)c1cnnn1C ZINC000903448957 584771098 /nfs/dbraw/zinc/77/10/98/584771098.db2.gz UMTHSZPRCDFLOO-NSHDSACASA-N 0 3 248.374 2.579 20 0 BFADHN C[C@H](NC1(C2CCCCC2)CC1)c1cn(C)nn1 ZINC000903448368 584771122 /nfs/dbraw/zinc/77/11/22/584771122.db2.gz NTTULOWYQZRTQE-NSHDSACASA-N 0 3 248.374 2.579 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1ccnc(F)c1 ZINC000859153650 589010265 /nfs/dbraw/zinc/01/02/65/589010265.db2.gz VSBHSNXJGRARNL-JQWIXIFHSA-N 0 3 222.307 2.841 20 0 BFADHN Fc1cc(CN2CCC3(CCC3)C2)ccn1 ZINC000859164412 589012290 /nfs/dbraw/zinc/01/22/90/589012290.db2.gz YPCSTUFPDXDZHP-UHFFFAOYSA-N 0 3 220.291 2.597 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccnc(F)c2)C1 ZINC000859163521 589012416 /nfs/dbraw/zinc/01/24/16/589012416.db2.gz NLLQHYCWAJLWGN-ZDUSSCGKSA-N 0 3 222.307 2.843 20 0 BFADHN Cn1ccc(CNC2CCC(=C3CCC3)CC2)n1 ZINC000877125273 584890895 /nfs/dbraw/zinc/89/08/95/584890895.db2.gz JJNYDDUIYYBIMU-UHFFFAOYSA-N 0 3 245.370 2.933 20 0 BFADHN CCC[C@@H](C)CCCN1CCC2(C1)OCCO2 ZINC000859186028 589015791 /nfs/dbraw/zinc/01/57/91/589015791.db2.gz DHZWGJTUBYECKQ-CYBMUJFWSA-N 0 3 241.375 2.652 20 0 BFADHN FCCN1CCC[C@H](Cc2ccccc2)C1 ZINC000759349592 584906675 /nfs/dbraw/zinc/90/66/75/584906675.db2.gz ZLCUQJUZOOYBHZ-CQSZACIVSA-N 0 3 221.319 2.911 20 0 BFADHN Cc1ccc(C)c(OCCN2CC[C@@H]3C[C@@H]32)c1 ZINC000761091328 584909535 /nfs/dbraw/zinc/90/95/35/584909535.db2.gz JYZLSSHTLOBTKB-KGLIPLIRSA-N 0 3 231.339 2.776 20 0 BFADHN CCc1noc(-c2ccc(CN(CC)CC)o2)n1 ZINC000731792878 584928792 /nfs/dbraw/zinc/92/87/92/584928792.db2.gz AZWICXSYFZEGSC-UHFFFAOYSA-N 0 3 249.314 2.734 20 0 BFADHN c1cc(CN(CCc2ccccc2)C2CC2)on1 ZINC000732200498 584934818 /nfs/dbraw/zinc/93/48/18/584934818.db2.gz XUZGOKNSMNWZOY-UHFFFAOYSA-N 0 3 242.322 2.882 20 0 BFADHN CCCN(CCC)CN1C[C@@H](C(C)C)CC1=O ZINC000733290117 584946054 /nfs/dbraw/zinc/94/60/54/584946054.db2.gz QKXCLVATRNSGPW-ZDUSSCGKSA-N 0 3 240.391 2.570 20 0 BFADHN CCCCN(CCCC)CN1C[C@H](C)CC1=O ZINC000733293030 584946178 /nfs/dbraw/zinc/94/61/78/584946178.db2.gz YGVHQKBUJYAYEM-CYBMUJFWSA-N 0 3 240.391 2.715 20 0 BFADHN Cl/C=C\CN1CCc2ccccc2CC1 ZINC000734852074 584968919 /nfs/dbraw/zinc/96/89/19/584968919.db2.gz KVQKDIDVZVUMDN-BAQGIRSFSA-N 0 3 221.731 2.840 20 0 BFADHN COC(=O)CCCN1Cc2ccccc2[C@H](C)C1 ZINC000735305588 584975497 /nfs/dbraw/zinc/97/54/97/584975497.db2.gz AKIAFGMMJIVWIG-GFCCVEGCSA-N 0 3 247.338 2.559 20 0 BFADHN CCOc1cccc(CN2C[C@@H](C)[C@H]2C)c1 ZINC000816649120 584981281 /nfs/dbraw/zinc/98/12/81/584981281.db2.gz UFLSTLSKWNLLER-VXGBXAGGSA-N 0 3 219.328 2.926 20 0 BFADHN c1ccc2c(c1)SC[C@H]2N[C@@H]1CCCOC1 ZINC000156281390 585021762 /nfs/dbraw/zinc/02/17/62/585021762.db2.gz MPSAZLIJTMYLKD-ZYHUDNBSSA-N 0 3 235.352 2.602 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@H]1C[C@@]12CCOC2 ZINC000885966626 585031913 /nfs/dbraw/zinc/03/19/13/585031913.db2.gz ASBVHBSOQDTCPQ-YRGRVCCFSA-N 0 3 235.327 2.699 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@@H]1C[C@@]12CCOC2 ZINC000885966624 585031944 /nfs/dbraw/zinc/03/19/44/585031944.db2.gz ASBVHBSOQDTCPQ-MBNYWOFBSA-N 0 3 235.327 2.699 20 0 BFADHN CC(C)[C@H](CN(C)C)NC(=O)CCC(C)(C)C ZINC000737851668 585038865 /nfs/dbraw/zinc/03/88/65/585038865.db2.gz ZSPKTVCUDOWEIB-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN c1cnc(CN(C2CC2)C2CCCCC2)cn1 ZINC000838792388 585068403 /nfs/dbraw/zinc/06/84/03/585068403.db2.gz KNRMILQICQPOOL-UHFFFAOYSA-N 0 3 231.343 2.774 20 0 BFADHN O[C@@H](CN1CCC[C@@H]1c1cccc(F)c1)C1CC1 ZINC000838797909 585069202 /nfs/dbraw/zinc/06/92/02/585069202.db2.gz DOLLHBYQESPIAX-CABCVRRESA-N 0 3 249.329 2.734 20 0 BFADHN O[C@@H](CN1CCC[C@@H]1c1ccc(F)cc1)C1CC1 ZINC000838783034 585069712 /nfs/dbraw/zinc/06/97/12/585069712.db2.gz GEMSQMHLDADVPJ-CABCVRRESA-N 0 3 249.329 2.734 20 0 BFADHN C[C@H](NCc1ccc2c(n1)CCC2)C1(C)CC1 ZINC000838915139 585077952 /nfs/dbraw/zinc/07/79/52/585077952.db2.gz UIOLSRSJPBKNMR-NSHDSACASA-N 0 3 230.355 2.849 20 0 BFADHN Cc1cc(NCC2CC2)ccc1OCCN(C)C ZINC000839184447 585096721 /nfs/dbraw/zinc/09/67/21/585096721.db2.gz KTWACXHILMXXHB-UHFFFAOYSA-N 0 3 248.370 2.757 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3COC[C@@H]32)c(C)o1 ZINC000839188115 585097196 /nfs/dbraw/zinc/09/71/96/585097196.db2.gz ZTTKZJJNOQWPLR-OCCSQVGLSA-N 0 3 235.327 2.507 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1ccc(F)nc1 ZINC000839162582 585098164 /nfs/dbraw/zinc/09/81/64/585098164.db2.gz DZLYFVCTRGIARX-VXGBXAGGSA-N 0 3 222.307 2.745 20 0 BFADHN O[C@@H]1CCN(C/C=C/Cl)C[C@H]1C1CCCC1 ZINC000839199402 585099281 /nfs/dbraw/zinc/09/92/81/585099281.db2.gz KZMOOQLXAXIHDB-XBFYPFSCSA-N 0 3 243.778 2.612 20 0 BFADHN C[C@H](CN(C)C/C=C/Cl)C(=O)OC(C)(C)C ZINC000839198459 585099342 /nfs/dbraw/zinc/09/93/42/585099342.db2.gz CBZZWNWXNVSQGM-VQCYPWCPSA-N 0 3 247.766 2.649 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1cc(C)co1)C1CC1 ZINC000886144753 585108937 /nfs/dbraw/zinc/10/89/37/585108937.db2.gz GSSDDOKYYWIBQC-RISCZKNCSA-N 0 3 237.343 2.881 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1cc(C)co1)C1CC1 ZINC000886144752 585110098 /nfs/dbraw/zinc/11/00/98/585110098.db2.gz GSSDDOKYYWIBQC-FZMZJTMJSA-N 0 3 237.343 2.881 20 0 BFADHN CCCCCCC(=O)N[C@H]1CCN(C)[C@@H](C)C1 ZINC000741724632 585117178 /nfs/dbraw/zinc/11/71/78/585117178.db2.gz LFKJBAGYQQISCG-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN CCCCOC(=O)[C@H](C)N(CC)C1CCCC1 ZINC000741776466 585117961 /nfs/dbraw/zinc/11/79/61/585117961.db2.gz IPHQMFKYMULGSA-LBPRGKRZSA-N 0 3 241.375 2.983 20 0 BFADHN CCCCOC(=O)[C@@H](C)N(CC1CC1)C(C)C ZINC000741776549 585118269 /nfs/dbraw/zinc/11/82/69/585118269.db2.gz LXALOPTXDDZMQD-GFCCVEGCSA-N 0 3 241.375 2.839 20 0 BFADHN CCCCOC(=O)[C@@H](C)N(C)C(C)(C)CC ZINC000741787301 585119278 /nfs/dbraw/zinc/11/92/78/585119278.db2.gz JQVCODJQJQZJJU-LLVKDONJSA-N 0 3 229.364 2.839 20 0 BFADHN Cc1cc(N[C@H]2C[C@@H]2C2CCCCC2)nc(N)n1 ZINC000742414569 585126185 /nfs/dbraw/zinc/12/61/85/585126185.db2.gz WWCNINMAPVXFEJ-NEPJUHHUSA-N 0 3 246.358 2.748 20 0 BFADHN ClC1(Cl)C[C@H]1CCN1C[C@@H]2C[C@@H]2C1 ZINC000886347805 585133978 /nfs/dbraw/zinc/13/39/78/585133978.db2.gz AAJIKNICDLBGPE-HRDYMLBCSA-N 0 3 220.143 2.522 20 0 BFADHN CCC[C@H]1CC(=O)N(CN(C)[C@@H](C)C(C)C)C1 ZINC000743353903 585135680 /nfs/dbraw/zinc/13/56/80/585135680.db2.gz JLKAPWKAWYNLSI-STQMWFEESA-N 0 3 240.391 2.569 20 0 BFADHN CC(C)C[C@H]1CCCN1Cn1cc[nH]c1=S ZINC000743360725 585136106 /nfs/dbraw/zinc/13/61/06/585136106.db2.gz XVWGKPFXTZVQQD-LLVKDONJSA-N 0 3 239.388 2.640 20 0 BFADHN COc1ccc(CN(C2CC2)C2CC2)cc1 ZINC000745456345 585159307 /nfs/dbraw/zinc/15/93/07/585159307.db2.gz ZIRBQCXRKLZQRY-UHFFFAOYSA-N 0 3 217.312 2.822 20 0 BFADHN CCCCC[C@H](C)NC(=O)CN(CC)CCC ZINC000746650916 585171659 /nfs/dbraw/zinc/17/16/59/585171659.db2.gz NLYUNJVQFYEQML-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN CCCCOC(=O)[C@H](C)N1C[C@@H](C)CC1(C)C ZINC000746677553 585172156 /nfs/dbraw/zinc/17/21/56/585172156.db2.gz CNQJVQOHCOEZCX-RYUDHWBXSA-N 0 3 241.375 2.839 20 0 BFADHN Fc1ccc(/C=C/CNCCOC2CCC2)cc1 ZINC000747910617 585185618 /nfs/dbraw/zinc/18/56/18/585185618.db2.gz MOZLMIZSVFDAOV-NSCUHMNNSA-N 0 3 249.329 2.998 20 0 BFADHN Fc1ccc2c(c1)[C@H](NCCOC1CCC1)CC2 ZINC000748269443 585190215 /nfs/dbraw/zinc/19/02/15/585190215.db2.gz OOXOEMJQEPKSQS-OAHLLOKOSA-N 0 3 249.329 2.972 20 0 BFADHN C/C=C\C(=O)Nc1cc(CN(C)C)ccc1C ZINC000748760278 585195222 /nfs/dbraw/zinc/19/52/22/585195222.db2.gz SQXVIIYCZZKNCJ-WAYWQWQTSA-N 0 3 232.327 2.571 20 0 BFADHN CC[C@]1(C)CCCN(C/C=C(\C)C(=O)OC)C1 ZINC000748822320 585197100 /nfs/dbraw/zinc/19/71/00/585197100.db2.gz BCELISWXMMGVEL-WEKMIXOTSA-N 0 3 239.359 2.618 20 0 BFADHN C[C@H](NCc1ccoc1)[C@@H](O)c1cccc(F)c1 ZINC000749120329 585199713 /nfs/dbraw/zinc/19/97/13/585199713.db2.gz VOBDSOGADOCXCL-IINYFYTJSA-N 0 3 249.285 2.630 20 0 BFADHN Fc1ccc(OCCN2CCCC2)cc1Cl ZINC000749363116 585201577 /nfs/dbraw/zinc/20/15/77/585201577.db2.gz JLDHZBRBDUZNHO-UHFFFAOYSA-N 0 3 243.709 2.954 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CCc1cccs1 ZINC000749436753 585202457 /nfs/dbraw/zinc/20/24/57/585202457.db2.gz QBSGOUONFAEEDK-NEPJUHHUSA-N 0 3 239.384 2.790 20 0 BFADHN C[C@@H]1CCN(C[C@@H](O)c2cccc(F)c2)[C@@H]1C ZINC000752089669 585242179 /nfs/dbraw/zinc/24/21/79/585242179.db2.gz XKLYSLUUHXXRNA-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN CCCCCC(C)(C)C(=O)N(C)CCN(C)C ZINC000753340272 585257034 /nfs/dbraw/zinc/25/70/34/585257034.db2.gz ANWIJCANOHKVAS-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN c1cc(CN2CCC([C@H]3CCOC3)CC2)co1 ZINC000753574731 585262422 /nfs/dbraw/zinc/26/24/22/585262422.db2.gz FVFPBLFMFIWOCG-AWEZNQCLSA-N 0 3 235.327 2.528 20 0 BFADHN COc1cc(C)c([C@@H](C)N[C@@H]2CCOC2)cc1C ZINC000753686850 585266331 /nfs/dbraw/zinc/26/63/31/585266331.db2.gz LNUGTZILZDZZHB-CHWSQXEVSA-N 0 3 249.354 2.752 20 0 BFADHN CCC(=O)CCN(C)Cc1ccc(F)cc1C ZINC000753787528 585269163 /nfs/dbraw/zinc/26/91/63/585269163.db2.gz XRPYAKZMGQHVFF-UHFFFAOYSA-N 0 3 237.318 2.935 20 0 BFADHN CN(C)c1cc(CN2CCC3(CCC3)C2)ccn1 ZINC000754062752 585276886 /nfs/dbraw/zinc/27/68/86/585276886.db2.gz VUEDPTFAICAUIQ-UHFFFAOYSA-N 0 3 245.370 2.524 20 0 BFADHN CCCC[C@H](NCc1nonc1C)C1CCC1 ZINC000754350328 585282769 /nfs/dbraw/zinc/28/27/69/585282769.db2.gz DLPVWUYCVDKPPM-LBPRGKRZSA-N 0 3 237.347 2.827 20 0 BFADHN CCCC[C@H]1CCC[C@H]1NCc1nonc1C ZINC000755047036 585293866 /nfs/dbraw/zinc/29/38/66/585293866.db2.gz DOAZSPXSIZIJTH-NWDGAFQWSA-N 0 3 237.347 2.827 20 0 BFADHN CCOc1ccc(CN(CC)C(C)C)nc1 ZINC000755925457 585310519 /nfs/dbraw/zinc/31/05/19/585310519.db2.gz PRBNTJICKPABGM-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1ccnc(N(C)C)c1 ZINC000839658267 585333780 /nfs/dbraw/zinc/33/37/80/585333780.db2.gz KMODMCWZVKZDEZ-LBPRGKRZSA-N 0 3 247.386 2.768 20 0 BFADHN O[C@@H](CN1CCCC1)c1ccc(Cl)c(F)c1 ZINC000759052413 585363823 /nfs/dbraw/zinc/36/38/23/585363823.db2.gz ZXDNLLCSUWGTAN-LBPRGKRZSA-N 0 3 243.709 2.608 20 0 BFADHN CC(C)[C@@H]1CCN1C[C@H](O)c1ccc(F)cc1 ZINC000759067861 585364022 /nfs/dbraw/zinc/36/40/22/585364022.db2.gz CWVTXQKSPGCKBV-KBPBESRZSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1ccccc1[C@H]1CCN(CCF)C1 ZINC000759339356 585368822 /nfs/dbraw/zinc/36/88/22/585368822.db2.gz MXIFVWLLPJWRTN-LBPRGKRZSA-N 0 3 207.292 2.754 20 0 BFADHN C[C@H]1[C@H](Cc2ccccc2)CCN1CCF ZINC000759343838 585369480 /nfs/dbraw/zinc/36/94/80/585369480.db2.gz AXXZJKFYPFTVAA-JSGCOSHPSA-N 0 3 221.319 2.909 20 0 BFADHN CN(C[C@@H](O)c1ccc(Cl)c(F)c1)C1CC1 ZINC000759845569 585381346 /nfs/dbraw/zinc/38/13/46/585381346.db2.gz QTUBRBKWARISSC-GFCCVEGCSA-N 0 3 243.709 2.607 20 0 BFADHN CCSCCSCCN1CCCCC1 ZINC000760511008 585393715 /nfs/dbraw/zinc/39/37/15/585393715.db2.gz DWHRDIJETUJHRJ-UHFFFAOYSA-N 0 3 233.446 2.959 20 0 BFADHN Cc1cc(CNCc2ccn(C)c2)cnc1Cl ZINC000760682302 585395644 /nfs/dbraw/zinc/39/56/44/585395644.db2.gz MISJWGHWLCYNAC-UHFFFAOYSA-N 0 3 249.745 2.672 20 0 BFADHN COCCCCCN1CC[C@H](C(F)(F)F)C1 ZINC000761042270 585404075 /nfs/dbraw/zinc/40/40/75/585404075.db2.gz PCXYEYAUYCMCFE-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN FC(F)(F)[C@@H]1CCN([C@H]2C=CCCC2)C1 ZINC000761049383 585404169 /nfs/dbraw/zinc/40/41/69/585404169.db2.gz PVICADUIZVNQDV-ZJUUUORDSA-N 0 3 219.250 2.979 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@H]2C[C@H]21 ZINC000761091187 585408250 /nfs/dbraw/zinc/40/82/50/585408250.db2.gz UYSOSUXINPJONH-SUZMYJTESA-N 0 3 205.276 2.981 20 0 BFADHN Clc1cccc(CN2CC[C@H]3C[C@H]32)c1 ZINC000761096412 585408990 /nfs/dbraw/zinc/40/89/90/585408990.db2.gz RFTURWDEWAPXEF-CMPLNLGQSA-N 0 3 207.704 2.934 20 0 BFADHN Clc1ccccc1CN1CC[C@@H]2C[C@@H]21 ZINC000761102133 585409529 /nfs/dbraw/zinc/40/95/29/585409529.db2.gz ZKTKZUICYWRZAJ-SKDRFNHKSA-N 0 3 207.704 2.934 20 0 BFADHN CCCCC[C@H](C)NC(=O)C1(N(C)C)CCC1 ZINC000762971309 585440494 /nfs/dbraw/zinc/44/04/94/585440494.db2.gz HHFODDRKXKTYPG-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CCc1ccc(N2CCN(CC3CC3)CC2)cc1 ZINC000763413915 585447906 /nfs/dbraw/zinc/44/79/06/585447906.db2.gz QBFOXIUWUFIZTP-UHFFFAOYSA-N 0 3 244.382 2.781 20 0 BFADHN C[C@H](COc1ccccc1)NCc1ccn(C)c1 ZINC000763462377 585448595 /nfs/dbraw/zinc/44/85/95/585448595.db2.gz GDNANXTYQCSWTP-CYBMUJFWSA-N 0 3 244.338 2.582 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1ccnc(F)c1 ZINC000859226497 589022252 /nfs/dbraw/zinc/02/22/52/589022252.db2.gz QVDOXQQFJZCTPH-ZYHUDNBSSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cccc3nsnc32)C1 ZINC000766074437 585497051 /nfs/dbraw/zinc/49/70/51/585497051.db2.gz HLHZDTUHRDANNH-ZJUUUORDSA-N 0 3 247.367 2.922 20 0 BFADHN CC(C)[C@H](N[C@H]1CCCOC1)c1ccccn1 ZINC000766082924 585497499 /nfs/dbraw/zinc/49/74/99/585497499.db2.gz UFSKJSGUFGSUJD-JSGCOSHPSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)N(Cc1ccnc(F)c1)C(C)C ZINC000859226883 589022505 /nfs/dbraw/zinc/02/25/05/589022505.db2.gz XNSVRQYAJYJHFO-UHFFFAOYSA-N 0 3 210.296 2.840 20 0 BFADHN Cc1ccc([C@H](C)NCCN2CCC[C@@H]2C)o1 ZINC000766267680 585499818 /nfs/dbraw/zinc/49/98/18/585499818.db2.gz HRUYSAUDWCUSNF-AAEUAGOBSA-N 0 3 236.359 2.723 20 0 BFADHN C[C@]1(CNCc2ccoc2)CCO[C@@H]1C1CC1 ZINC000767195532 585515446 /nfs/dbraw/zinc/51/54/46/585515446.db2.gz KHHUMLAEPCZWTL-ZIAGYGMSSA-N 0 3 235.327 2.574 20 0 BFADHN Cc1cc(CNC[C@@H](O)CC(C)(C)C)c(C)o1 ZINC000767369329 585518067 /nfs/dbraw/zinc/51/80/67/585518067.db2.gz AVCSUDQPAFCLFH-ZDUSSCGKSA-N 0 3 239.359 2.783 20 0 BFADHN C[C@@H]1c2ccccc2CCN1CCC(=O)C1CC1 ZINC000767375147 585518410 /nfs/dbraw/zinc/51/84/10/585518410.db2.gz XTZMTTNKKLFUMI-GFCCVEGCSA-N 0 3 243.350 2.975 20 0 BFADHN CO[C@H]1C[C@@H](NCc2cc(C)oc2C)C1(C)C ZINC000767374087 585518485 /nfs/dbraw/zinc/51/84/85/585518485.db2.gz WBXYQAZGEKKNTM-OLZOCXBDSA-N 0 3 237.343 2.800 20 0 BFADHN CN(CCCF)[C@@H]1C[C@@H]1c1ccccc1 ZINC000767906582 585528111 /nfs/dbraw/zinc/52/81/11/585528111.db2.gz UZSCPPRDHBHHIM-CHWSQXEVSA-N 0 3 207.292 2.834 20 0 BFADHN c1ncc(CN(C2CC2)C2CCCCC2)cn1 ZINC000768337711 585535986 /nfs/dbraw/zinc/53/59/86/585535986.db2.gz LTIXSVGAZHQONS-UHFFFAOYSA-N 0 3 231.343 2.774 20 0 BFADHN Cc1cc(CN(C)CC2CC2)cnc1Cl ZINC000768915545 585545970 /nfs/dbraw/zinc/54/59/70/585545970.db2.gz OLAGUOXZKBYRNK-UHFFFAOYSA-N 0 3 224.735 2.885 20 0 BFADHN COCCCN(C)Cc1cnc(Cl)c(C)c1 ZINC000769141983 585550063 /nfs/dbraw/zinc/55/00/63/585550063.db2.gz WLQWRCHJWARMBC-UHFFFAOYSA-N 0 3 242.750 2.512 20 0 BFADHN CC1(C)CCN(Cc2ccc3c(c2)COC3)C1 ZINC000769398185 585555369 /nfs/dbraw/zinc/55/53/69/585555369.db2.gz HHMSBJAHFTVPSA-UHFFFAOYSA-N 0 3 231.339 2.949 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC)C2)s1 ZINC000769446997 585556678 /nfs/dbraw/zinc/55/66/78/585556678.db2.gz RGTOBBXCZBBLEH-SNVBAGLBSA-N 0 3 225.357 2.531 20 0 BFADHN CCc1ccc(CN2CCOC[C@H](C)C2)s1 ZINC000769456567 585557698 /nfs/dbraw/zinc/55/76/98/585557698.db2.gz MWLMTJCHWIUUFC-LLVKDONJSA-N 0 3 239.384 2.779 20 0 BFADHN CCc1ccccc1CCN1CCOCC[C@H]1C ZINC000769786507 585563428 /nfs/dbraw/zinc/56/34/28/585563428.db2.gz JMHGEJWXMSIVGF-CQSZACIVSA-N 0 3 247.382 2.902 20 0 BFADHN CC(=O)CCN(C)Cc1cc(C)cc(C)c1 ZINC000769848508 585565133 /nfs/dbraw/zinc/56/51/33/585565133.db2.gz ZJPWPDCJGQXUPM-UHFFFAOYSA-N 0 3 219.328 2.714 20 0 BFADHN CC(C)[C@H](NCC1=CCCOC1)c1ccccn1 ZINC000769928384 585566750 /nfs/dbraw/zinc/56/67/50/585566750.db2.gz NZOFJYIAARERAY-HNNXBMFYSA-N 0 3 246.354 2.715 20 0 BFADHN COC(C)(C)CN(C)Cc1ccc2c(c1)COC2 ZINC000770320986 585572910 /nfs/dbraw/zinc/57/29/10/585572910.db2.gz WQLZAPPVBDTMDS-UHFFFAOYSA-N 0 3 249.354 2.574 20 0 BFADHN CCC[C@@H](C)CC(=O)OCCN1CCCCC1 ZINC000770361176 585573230 /nfs/dbraw/zinc/57/32/30/585573230.db2.gz WRPIVODXTKCKDE-CYBMUJFWSA-N 0 3 241.375 2.842 20 0 BFADHN CC[C@@H](C)[C@H](C)C(=O)OCCN1CCCCC1 ZINC000770415383 585573708 /nfs/dbraw/zinc/57/37/08/585573708.db2.gz PFRIHVUMRWOSRA-OLZOCXBDSA-N 0 3 241.375 2.698 20 0 BFADHN CC(C)C[C@@H](C)C(=O)OCCN1CCCCC1 ZINC000770416324 585574186 /nfs/dbraw/zinc/57/41/86/585574186.db2.gz AUPCXEVHTHRPIM-CYBMUJFWSA-N 0 3 241.375 2.698 20 0 BFADHN Cc1cc(C)c([C@H](C)N2CCN(C)CC2)c(C)c1 ZINC000771565619 585596406 /nfs/dbraw/zinc/59/64/06/585596406.db2.gz FYPLYZBCMUWCKI-HNNXBMFYSA-N 0 3 246.398 2.920 20 0 BFADHN CCCN(CCC(C)=O)Cc1ccc(OC)cc1 ZINC000771804165 585600419 /nfs/dbraw/zinc/60/04/19/585600419.db2.gz AZPQSZUHANXRSD-UHFFFAOYSA-N 0 3 249.354 2.886 20 0 BFADHN CC[C@H](C)CNCc1nc2cc(F)ccc2n1C ZINC000771841681 585602211 /nfs/dbraw/zinc/60/22/11/585602211.db2.gz JJNLMKNJCKYDTH-JTQLQIEISA-N 0 3 249.333 2.848 20 0 BFADHN CCN(CC)CCOC(=O)/C=C(/C)C(C)(C)C ZINC000772168312 585609509 /nfs/dbraw/zinc/60/95/09/585609509.db2.gz WBVPNXOVHVPXRI-QXMHVHEDSA-N 0 3 241.375 2.864 20 0 BFADHN C/C=C(/C=C\C(=O)OCCN(CC)CC)CC ZINC000772192975 585609996 /nfs/dbraw/zinc/60/99/96/585609996.db2.gz UQWUZUKIOZMGTK-RBUNJRTFSA-N 0 3 239.359 2.784 20 0 BFADHN CCN(CC)CCOC(=O)[C@@H]1CCCC1(C)C ZINC000772599243 585618646 /nfs/dbraw/zinc/61/86/46/585618646.db2.gz DLVHSPGNOMPGOK-LBPRGKRZSA-N 0 3 241.375 2.698 20 0 BFADHN CCCC[C@@H](COC)NCc1cccc(F)n1 ZINC000773084166 585630838 /nfs/dbraw/zinc/63/08/38/585630838.db2.gz BKRSAXJJMOBSJB-LBPRGKRZSA-N 0 3 240.322 2.516 20 0 BFADHN CCCC[C@H](COC)NCc1cccc(F)n1 ZINC000773084164 585630948 /nfs/dbraw/zinc/63/09/48/585630948.db2.gz BKRSAXJJMOBSJB-GFCCVEGCSA-N 0 3 240.322 2.516 20 0 BFADHN Cl/C=C\CN1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000773698641 585642623 /nfs/dbraw/zinc/64/26/23/585642623.db2.gz YSWIRPSJESWVKY-UVCYKKNVSA-N 0 3 243.778 2.878 20 0 BFADHN CC(C)C1(O)CN([C@@H]2CCCc3ccccc32)C1 ZINC000773895169 585646265 /nfs/dbraw/zinc/64/62/65/585646265.db2.gz GPUSCMICFRPDLJ-OAHLLOKOSA-N 0 3 245.366 2.767 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](CCO)C2CC2)c(C)o1 ZINC000774249728 585655066 /nfs/dbraw/zinc/65/50/66/585655066.db2.gz CLIPVJHPOHDZNW-YGRLFVJLSA-N 0 3 237.343 2.708 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1ccc2c(n1)CCCC2 ZINC000774436988 585659019 /nfs/dbraw/zinc/65/90/19/585659019.db2.gz GELFSOLVRVKRRL-FZMZJTMJSA-N 0 3 230.355 2.849 20 0 BFADHN CC(C)C[C@H]1OCCC[C@@H]1NCc1ccoc1 ZINC000775371071 585676724 /nfs/dbraw/zinc/67/67/24/585676724.db2.gz NQZMZUKRZKUDAJ-UONOGXRCSA-N 0 3 237.343 2.963 20 0 BFADHN C[C@@H]1CCOCCN1C/C=C\c1ccccc1 ZINC000775568884 585679848 /nfs/dbraw/zinc/67/98/48/585679848.db2.gz IERGENXDWXJAJM-BMWLXYDHSA-N 0 3 231.339 2.811 20 0 BFADHN C[C@H]1CN(CCCCc2ccccc2)CCN1C ZINC000775647738 585682455 /nfs/dbraw/zinc/68/24/55/585682455.db2.gz XJUUAFIOPOLDQE-HNNXBMFYSA-N 0 3 246.398 2.645 20 0 BFADHN CCCCCCN(C)[C@@H](C)C(=O)NC(C)(C)C ZINC000776858047 585706167 /nfs/dbraw/zinc/70/61/67/585706167.db2.gz RBFDEOSESSWGJF-LBPRGKRZSA-N 0 3 242.407 2.802 20 0 BFADHN Cc1cc(C)nc(N[C@@H](C)c2cnccn2)c1 ZINC000777256729 585710010 /nfs/dbraw/zinc/71/00/10/585710010.db2.gz RIBUQHUCRGGJHT-NSHDSACASA-N 0 3 228.299 2.662 20 0 BFADHN C[C@H](C1CC1)N1CCN(c2ccc(F)cc2)CC1 ZINC000777401842 585711322 /nfs/dbraw/zinc/71/13/22/585711322.db2.gz RUSZEUPCOYWBRY-GFCCVEGCSA-N 0 3 248.345 2.746 20 0 BFADHN Fc1ccc(CN[C@H]2CCCC(F)(F)C2)cn1 ZINC000778174315 585727180 /nfs/dbraw/zinc/72/71/80/585727180.db2.gz QMUNMWZEVYBBGM-JTQLQIEISA-N 0 3 244.260 2.888 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1ccc(F)nc1 ZINC000779153378 585745688 /nfs/dbraw/zinc/74/56/88/585745688.db2.gz CNWGIABYHFWVRL-GFCCVEGCSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CCCCCN1Cc1ccc(F)nc1 ZINC000779157387 585746073 /nfs/dbraw/zinc/74/60/73/585746073.db2.gz PFUDIFVTHFCFIW-LLVKDONJSA-N 0 3 222.307 2.985 20 0 BFADHN CCN(Cc1ccc(F)nc1)C1CCC1 ZINC000779158807 585746211 /nfs/dbraw/zinc/74/62/11/585746211.db2.gz YJWMEOCSSCFSSQ-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN C[C@H]1CCCCN(Cc2ccc(F)nc2)C1 ZINC000779171751 585746635 /nfs/dbraw/zinc/74/66/35/585746635.db2.gz MQPYAGZSJRRPIU-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN CC(C)[C@H](N[C@@H]1C[C@@H](O)C1(C)C)c1ccccn1 ZINC000779347679 585752392 /nfs/dbraw/zinc/75/23/92/585752392.db2.gz MJANBNZSBWSWFU-MCIONIFRSA-N 0 3 248.370 2.528 20 0 BFADHN Cc1c2ccccc2oc1CN[C@H](C)CCO ZINC000779349152 585752448 /nfs/dbraw/zinc/75/24/48/585752448.db2.gz WCZDCJQANPLVIC-SNVBAGLBSA-N 0 3 233.311 2.602 20 0 BFADHN COC1(C)CCN(Cc2cc(C)oc2C)CC1 ZINC000779598996 585756761 /nfs/dbraw/zinc/75/67/61/585756761.db2.gz ODJYSYAUSLOHQR-UHFFFAOYSA-N 0 3 237.343 2.897 20 0 BFADHN Cc1cc(CNCC[C@H]2CCCCO2)c(C)o1 ZINC000780015646 585771546 /nfs/dbraw/zinc/77/15/46/585771546.db2.gz VEKFFYDOWNVPPX-CQSZACIVSA-N 0 3 237.343 2.945 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCc3ccccc32)CCO1 ZINC000780288161 585776768 /nfs/dbraw/zinc/77/67/68/585776768.db2.gz SITRHXBRQHQRSE-LSDHHAIUSA-N 0 3 245.366 2.827 20 0 BFADHN CCc1cccnc1[C@H](C)NCCOC1CCC1 ZINC000780741551 585784147 /nfs/dbraw/zinc/78/41/47/585784147.db2.gz OUIASVKVISQDAN-LBPRGKRZSA-N 0 3 248.370 2.864 20 0 BFADHN CC[C@@](C)(NCC(OC)OC)c1ccccc1 ZINC000781902901 585805013 /nfs/dbraw/zinc/80/50/13/585805013.db2.gz MOZMUNHRYISGPY-CQSZACIVSA-N 0 3 237.343 2.520 20 0 BFADHN COC(CN[C@H](CC1CC1)c1ccccc1)OC ZINC000781903813 585805155 /nfs/dbraw/zinc/80/51/55/585805155.db2.gz YNFXQRHPMUEATC-CQSZACIVSA-N 0 3 249.354 2.736 20 0 BFADHN CCN(Cc1nonc1C)[C@@H]1CCCC[C@@H]1C ZINC000781924914 585805492 /nfs/dbraw/zinc/80/54/92/585805492.db2.gz RRNYHPLZGXIPJS-GXFFZTMASA-N 0 3 237.347 2.779 20 0 BFADHN CN(C)c1cc(CN2CCCCCC2)ccn1 ZINC000782173765 585809667 /nfs/dbraw/zinc/80/96/67/585809667.db2.gz FCIWMPMSPQXTBY-UHFFFAOYSA-N 0 3 233.359 2.524 20 0 BFADHN C[C@H](C1CC1)N1CCN(c2cccs2)CC1 ZINC000782191530 585811456 /nfs/dbraw/zinc/81/14/56/585811456.db2.gz WUNHKPYCEAKFRE-LLVKDONJSA-N 0 3 236.384 2.669 20 0 BFADHN COc1cc2c(cc1F)CN([C@H](C)C1CC1)CC2 ZINC000782191317 585811854 /nfs/dbraw/zinc/81/18/54/585811854.db2.gz OEMZDEUZVIXFTF-SNVBAGLBSA-N 0 3 249.329 2.991 20 0 BFADHN Cc1cc(N2CCCC3(CCCC3)C2)nc(N)n1 ZINC000782420436 585817807 /nfs/dbraw/zinc/81/78/07/585817807.db2.gz XAVNOWGVIQOUDP-UHFFFAOYSA-N 0 3 246.358 2.528 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1nc2cc(F)ccc2n1C ZINC000783252571 585832427 /nfs/dbraw/zinc/83/24/27/585832427.db2.gz SOILUOYRCQXQBR-MWLCHTKSSA-N 0 3 247.317 2.601 20 0 BFADHN COCC[C@@H](Cc1ccco1)NCc1ccoc1 ZINC000784625788 585864981 /nfs/dbraw/zinc/86/49/81/585864981.db2.gz OPOVCDPQOYTVDE-ZDUSSCGKSA-N 0 3 249.310 2.610 20 0 BFADHN Cc1nc(F)ccc1CN[C@H]1CCC(F)(F)C1 ZINC000785486750 585874682 /nfs/dbraw/zinc/87/46/82/585874682.db2.gz HPZJWPOXSZMPFK-JTQLQIEISA-N 0 3 244.260 2.807 20 0 BFADHN CCCCOC(=O)[C@@H](C)N(C)C1(C)CCCC1 ZINC000786216843 585888766 /nfs/dbraw/zinc/88/87/66/585888766.db2.gz DUBVUIHZSWRUKD-GFCCVEGCSA-N 0 3 241.375 2.983 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cncc2ccccc21 ZINC000786579316 585895387 /nfs/dbraw/zinc/89/53/87/585895387.db2.gz OUBMBJQWUFWTDP-NWDGAFQWSA-N 0 3 244.338 2.748 20 0 BFADHN C[C@H]1C[C@H](C(C)(C)C)CCN1CC1OCCO1 ZINC000805839942 585910054 /nfs/dbraw/zinc/91/00/54/585910054.db2.gz VUYIRPMGUHLYRJ-NWDGAFQWSA-N 0 3 241.375 2.506 20 0 BFADHN O[C@@H](CN[C@@H]1CCCc2occc21)c1ccco1 ZINC000788431296 585923161 /nfs/dbraw/zinc/92/31/61/585923161.db2.gz SLZKQRKLAIAHBX-NEPJUHHUSA-N 0 3 247.294 2.573 20 0 BFADHN Cc1cccc2c1CCN(C[C@H]1CC[C@@H](C)O1)C2 ZINC000789318097 585939699 /nfs/dbraw/zinc/93/96/99/585939699.db2.gz FNLPWVWUWMSVNF-UKRRQHHQSA-N 0 3 245.366 2.921 20 0 BFADHN C/C=C\COC(=O)[C@H]1CCCN1C1CCCC1 ZINC000789801159 585950267 /nfs/dbraw/zinc/95/02/67/585950267.db2.gz NSJZLXUUCFGYBC-XQJDBVBESA-N 0 3 237.343 2.513 20 0 BFADHN Cc1ccc2nc(CNCCC3CCC3)cn2c1 ZINC000790554511 585965962 /nfs/dbraw/zinc/96/59/62/585965962.db2.gz QLHSDJVJAIBPNP-UHFFFAOYSA-N 0 3 243.354 2.923 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1[C@@H](C)C[C@@H]1C ZINC000791573168 585984438 /nfs/dbraw/zinc/98/44/38/585984438.db2.gz HDLKUPCTDJONQE-COPLHBTASA-N 0 3 237.318 2.650 20 0 BFADHN COCCN(Cc1ccoc1)[C@@H]1CC[C@@H](C)C1 ZINC000792192361 585997335 /nfs/dbraw/zinc/99/73/35/585997335.db2.gz IREHWSJAKULLHV-TZMCWYRMSA-N 0 3 237.343 2.917 20 0 BFADHN COCCN(Cc1ccoc1)[C@H]1CC[C@@H](C)C1 ZINC000792192359 585997421 /nfs/dbraw/zinc/99/74/21/585997421.db2.gz IREHWSJAKULLHV-OCCSQVGLSA-N 0 3 237.343 2.917 20 0 BFADHN Cl/C=C\CN1CCC2(CCOCC2)CC1 ZINC000792933245 586007391 /nfs/dbraw/zinc/00/73/91/586007391.db2.gz IYCLAHWGSJTPKH-BHQIHCQQSA-N 0 3 229.751 2.632 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)Cc2ccco2)n(C)n1 ZINC000793527813 586021780 /nfs/dbraw/zinc/02/17/80/586021780.db2.gz WBIJKDYNRPPLDW-ZYHUDNBSSA-N 0 3 247.342 2.603 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)CC(F)(F)F)n(C)n1 ZINC000793552809 586022678 /nfs/dbraw/zinc/02/26/78/586022678.db2.gz LLQMGKOAPJMALL-DTWKUNHWSA-N 0 3 249.280 2.720 20 0 BFADHN CC(C)C(CN1CCO[C@@H](C(F)F)C1)C(C)C ZINC000793596427 586023889 /nfs/dbraw/zinc/02/38/89/586023889.db2.gz YKJAJZNPNDUIDN-GFCCVEGCSA-N 0 3 249.345 2.881 20 0 BFADHN Cc1ccccc1[C@H](O)CN1CC(C(C)C)C1 ZINC000795545867 586084845 /nfs/dbraw/zinc/08/48/45/586084845.db2.gz HOIFAFPKFIJEHU-OAHLLOKOSA-N 0 3 233.355 2.616 20 0 BFADHN CN(Cc1ccc(CF)cc1)[C@H]1CCCOC1 ZINC000796208357 586098874 /nfs/dbraw/zinc/09/88/74/586098874.db2.gz YBMIOMDUQYRSIM-AWEZNQCLSA-N 0 3 237.318 2.767 20 0 BFADHN CN(CCSC(C)(C)C)[C@H]1CCCOC1 ZINC000796205600 586099037 /nfs/dbraw/zinc/09/90/37/586099037.db2.gz OWRMTCFNANXSND-NSHDSACASA-N 0 3 231.405 2.629 20 0 BFADHN CC1=C(C)CN(C[C@H](O)c2ccccc2)CC1 ZINC000796589987 586107849 /nfs/dbraw/zinc/10/78/49/586107849.db2.gz QCICPYMHUINKAI-HNNXBMFYSA-N 0 3 231.339 2.762 20 0 BFADHN CC(C)N(Cc1cnc(Cl)cn1)C1CCC1 ZINC000799139360 586161091 /nfs/dbraw/zinc/16/10/91/586161091.db2.gz IREDNPWSZVLHKG-UHFFFAOYSA-N 0 3 239.750 2.893 20 0 BFADHN FC(F)(F)CCN1CC[C@H](c2ccccn2)C1 ZINC000799193460 586166462 /nfs/dbraw/zinc/16/64/62/586166462.db2.gz KGDLNLATAXNHJA-JTQLQIEISA-N 0 3 244.260 2.823 20 0 BFADHN CCCC[C@@H](C)C(=O)N[C@@H](CN(C)C)C(C)C ZINC000800493609 586223421 /nfs/dbraw/zinc/22/34/21/586223421.db2.gz OJHJPGUIRMRDAC-OLZOCXBDSA-N 0 3 242.407 2.515 20 0 BFADHN CC(C)C(=O)CCN1C[C@H](C)C(F)(F)[C@@H](C)C1 ZINC000859413821 589036078 /nfs/dbraw/zinc/03/60/78/589036078.db2.gz CLIZJMYSNZICGO-QWRGUYRKSA-N 0 3 247.329 2.825 20 0 BFADHN C[C@H]1CC(CCNCc2ccoc2)C[C@H](C)O1 ZINC000801732516 586270030 /nfs/dbraw/zinc/27/00/30/586270030.db2.gz NEIDOPXUODMYFX-RYUDHWBXSA-N 0 3 237.343 2.963 20 0 BFADHN C[C@@H]1[C@@H](C)N(CCSC(C)(C)C)CCN1C ZINC000801939210 586280598 /nfs/dbraw/zinc/28/05/98/586280598.db2.gz BVXZWRGFTZESTA-VXGBXAGGSA-N 0 3 244.448 2.543 20 0 BFADHN C[C@@H]1CN(CCSC(C)(C)C)[C@@H](C)CN1C ZINC000801939138 586280655 /nfs/dbraw/zinc/28/06/55/586280655.db2.gz AQYAWFOLCUYEMU-NEPJUHHUSA-N 0 3 244.448 2.543 20 0 BFADHN C[C@H](N(C)CN1CCC(C)(C)C1=O)C(C)(C)C ZINC000839959584 586300426 /nfs/dbraw/zinc/30/04/26/586300426.db2.gz PIBOINGPKWHYJZ-NSHDSACASA-N 0 3 240.391 2.569 20 0 BFADHN CCCCN(CN1CC[C@H](C)C1=O)[C@H](C)CC ZINC000839964682 586304765 /nfs/dbraw/zinc/30/47/65/586304765.db2.gz RASXGTNWMMXLGS-QWHCGFSZSA-N 0 3 240.391 2.713 20 0 BFADHN CC1CN(Cc2cnc3ccccc3c2)C1 ZINC000802651108 586307735 /nfs/dbraw/zinc/30/77/35/586307735.db2.gz ITJQKHIMPBNMRK-UHFFFAOYSA-N 0 3 212.296 2.687 20 0 BFADHN CN1CCCC[C@H]1CCC(=O)OC1CCCC1 ZINC000803855315 586378275 /nfs/dbraw/zinc/37/82/75/586378275.db2.gz FTOBNPGCLSPFCE-LBPRGKRZSA-N 0 3 239.359 2.737 20 0 BFADHN Fc1cccc(OCCN(C2CC2)C2CC2)c1 ZINC000859623372 589049796 /nfs/dbraw/zinc/04/97/96/589049796.db2.gz XECBQJCUXGYADH-UHFFFAOYSA-N 0 3 235.302 2.831 20 0 BFADHN CCN(CN1C(=O)CCC1(C)C)[C@@H](C)C(C)C ZINC000808145981 586464540 /nfs/dbraw/zinc/46/45/40/586464540.db2.gz VVZJUUJPGROYEV-LBPRGKRZSA-N 0 3 240.391 2.711 20 0 BFADHN CCN(CC)CCOC(=O)CC1(C)CCCC1 ZINC000808620850 586480593 /nfs/dbraw/zinc/48/05/93/586480593.db2.gz ARCODENDJKEPEC-UHFFFAOYSA-N 0 3 241.375 2.842 20 0 BFADHN CC(C)C(=O)CCN1CCC[C@H]1c1ccccn1 ZINC000808731913 586484886 /nfs/dbraw/zinc/48/48/86/586484886.db2.gz HARJVNVSTMFZAH-AWEZNQCLSA-N 0 3 246.354 2.834 20 0 BFADHN CC(C)C(=O)CCN1CCS[C@H](C(C)C)C1 ZINC000808732666 586485853 /nfs/dbraw/zinc/48/58/53/586485853.db2.gz NSKYVYFYRXLUNV-ZDUSSCGKSA-N 0 3 243.416 2.675 20 0 BFADHN CC(C)CC1(C)CCN(CC2OCCO2)CC1 ZINC000808779835 586489318 /nfs/dbraw/zinc/48/93/18/586489318.db2.gz BNHADFUISSUIJQ-UHFFFAOYSA-N 0 3 241.375 2.508 20 0 BFADHN C[C@@H](O)c1ncc(CN2[C@H](C)CC[C@@H]2C)s1 ZINC000809593275 586508815 /nfs/dbraw/zinc/50/88/15/586508815.db2.gz MFWVWVMKGXMHKB-KXUCPTDWSA-N 0 3 240.372 2.569 20 0 BFADHN CCC[C@H](CC)N[C@H](c1nncn1C)C(C)C ZINC000809692046 586513464 /nfs/dbraw/zinc/51/34/64/586513464.db2.gz OYLLKCZCRNJRJN-RYUDHWBXSA-N 0 3 238.379 2.681 20 0 BFADHN c1cc(CN2CCC[C@@H](C[C@H]3CCOC3)C2)co1 ZINC000809758288 586517598 /nfs/dbraw/zinc/51/75/98/586517598.db2.gz IPYIBHKFZIPYKY-UONOGXRCSA-N 0 3 249.354 2.918 20 0 BFADHN COc1ccc(CN2CCOCC[C@@H]2C)cc1C ZINC000809765265 586518803 /nfs/dbraw/zinc/51/88/03/586518803.db2.gz LTUWEVSAFDPNKR-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1nc(C)c(CN(C(C)C)C(C)C)o1 ZINC000809970077 586527566 /nfs/dbraw/zinc/52/75/66/586527566.db2.gz MPYVYMPRQYFYED-UHFFFAOYSA-N 0 3 210.321 2.910 20 0 BFADHN FC(F)(F)[C@@H]1CCN(CCc2ccncc2)C1 ZINC000809967421 586527600 /nfs/dbraw/zinc/52/76/00/586527600.db2.gz AKFUYEPUMRSWLQ-LLVKDONJSA-N 0 3 244.260 2.508 20 0 BFADHN Cc1nc(C)c(CN2CCCC23CCC3)o1 ZINC000809990332 586529493 /nfs/dbraw/zinc/52/94/93/586529493.db2.gz RNKJVSYFUYHLGM-UHFFFAOYSA-N 0 3 220.316 2.810 20 0 BFADHN CCC1(C)CN(C[C@@H](O)c2ccccc2C)C1 ZINC000812312735 586573056 /nfs/dbraw/zinc/57/30/56/586573056.db2.gz DTFUSJZBNOTKEX-CQSZACIVSA-N 0 3 233.355 2.760 20 0 BFADHN CCN(CCSC(C)(C)C)[C@H]1CCOC1 ZINC000812879072 586588457 /nfs/dbraw/zinc/58/84/57/586588457.db2.gz IIAPYDKLPKPTHY-NSHDSACASA-N 0 3 231.405 2.629 20 0 BFADHN c1cc(CN2CCC(C3CC3)CC2)co1 ZINC000814172320 586615627 /nfs/dbraw/zinc/61/56/27/586615627.db2.gz IAKJSLYCKZZPRY-UHFFFAOYSA-N 0 3 205.301 2.902 20 0 BFADHN C[C@H]1CN([C@H]2C=CCCCCC2)CCN1C ZINC000815079246 586665651 /nfs/dbraw/zinc/66/56/51/586665651.db2.gz NXEXPFDHLGOAAA-KBPBESRZSA-N 0 3 222.376 2.511 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@@H](O)c1cc2ccccc2o1 ZINC000815104132 586666825 /nfs/dbraw/zinc/66/68/25/586666825.db2.gz ZNVBPBUGUGRFDL-NTZNESFSSA-N 0 3 245.322 2.949 20 0 BFADHN OC[C@H](CNCc1ccoc1)C1CCCCC1 ZINC000815150794 586670398 /nfs/dbraw/zinc/67/03/98/586670398.db2.gz OQKHDJIADYLDIU-AWEZNQCLSA-N 0 3 237.343 2.558 20 0 BFADHN CCOc1ccc(CN2C[C@@H](C)[C@@H]2C)cc1 ZINC000815164013 586671321 /nfs/dbraw/zinc/67/13/21/586671321.db2.gz UBFOMXDXTGNPEV-NEPJUHHUSA-N 0 3 219.328 2.926 20 0 BFADHN CCN(CC)CCOC(=O)CC(C)(C)C1CC1 ZINC000815470461 586685589 /nfs/dbraw/zinc/68/55/89/586685589.db2.gz XHWWOISROADURL-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H]1CCCOC1 ZINC000815577682 586688388 /nfs/dbraw/zinc/68/83/88/586688388.db2.gz WILUWAYSYKNMOR-DGCLKSJQSA-N 0 3 237.318 2.964 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1cnn(C)c1Cl ZINC000816486997 586735697 /nfs/dbraw/zinc/73/56/97/586735697.db2.gz ZXKJZHGYIWLVFI-NSHDSACASA-N 0 3 241.766 2.694 20 0 BFADHN Cc1nocc1CN1CCC2(CCCC2)C1 ZINC000816509365 586737738 /nfs/dbraw/zinc/73/77/38/586737738.db2.gz NTFDNXVWTYKGQO-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cnn(C)c1Cl ZINC000816573321 586741525 /nfs/dbraw/zinc/74/15/25/586741525.db2.gz VMHAXVHBRVILAY-ONGXEEELSA-N 0 3 241.766 2.694 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cnn(C)c1Cl ZINC000816573320 586741570 /nfs/dbraw/zinc/74/15/70/586741570.db2.gz VMHAXVHBRVILAY-MWLCHTKSSA-N 0 3 241.766 2.694 20 0 BFADHN CN1CCN(Cc2ccoc2)c2ccccc2C1 ZINC000816618367 586744869 /nfs/dbraw/zinc/74/48/69/586744869.db2.gz VZKCYYOAJYXGOC-UHFFFAOYSA-N 0 3 242.322 2.732 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@H]2C)cc1C ZINC000816646259 586748017 /nfs/dbraw/zinc/74/80/17/586748017.db2.gz ARRBXBMGAJRIAL-NWDGAFQWSA-N 0 3 219.328 2.844 20 0 BFADHN CCCn1nc(C)c(CN2C[C@H](C)[C@@H]2C)c1C ZINC000816646325 586748046 /nfs/dbraw/zinc/74/80/46/586748046.db2.gz BXVOVQVDAFIEJQ-JQWIXIFHSA-N 0 3 235.375 2.750 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@H]2C)ccc1F ZINC000816647010 586749488 /nfs/dbraw/zinc/74/94/88/586749488.db2.gz MGRMKBWDULPVHU-WDEREUQCSA-N 0 3 207.292 2.974 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@@H]2C)ccc1F ZINC000816647009 586749565 /nfs/dbraw/zinc/74/95/65/586749565.db2.gz MGRMKBWDULPVHU-QWRGUYRKSA-N 0 3 207.292 2.974 20 0 BFADHN CCOc1cccc(CN2C[C@H](C)[C@H]2C)c1 ZINC000816649118 586752072 /nfs/dbraw/zinc/75/20/72/586752072.db2.gz UFLSTLSKWNLLER-NWDGAFQWSA-N 0 3 219.328 2.926 20 0 BFADHN C[C@H]1CN(Cc2cc(-n3ccnc3)cs2)[C@H]1C ZINC000816649082 586752153 /nfs/dbraw/zinc/75/21/53/586752153.db2.gz SXNLPMJKLJIMIX-QWRGUYRKSA-N 0 3 247.367 2.774 20 0 BFADHN C[C@@H]1CN(Cc2c[nH]nc2-c2ccccc2)[C@H]1C ZINC000816649402 586752231 /nfs/dbraw/zinc/75/22/31/586752231.db2.gz YRPHEARCDBTHRB-NEPJUHHUSA-N 0 3 241.338 2.917 20 0 BFADHN COc1ccsc1CN1C[C@H](C)[C@@H]1C ZINC000816649457 586752473 /nfs/dbraw/zinc/75/24/73/586752473.db2.gz ZOYRWSDMHRCBPK-IUCAKERBSA-N 0 3 211.330 2.597 20 0 BFADHN COc1ccsc1CN1C[C@@H](C)[C@H]1C ZINC000816649458 586752840 /nfs/dbraw/zinc/75/28/40/586752840.db2.gz ZOYRWSDMHRCBPK-RKDXNWHRSA-N 0 3 211.330 2.597 20 0 BFADHN c1cc(CN2CC3(CCOCC3)[C@H]2C2CC2)co1 ZINC000816657055 586753479 /nfs/dbraw/zinc/75/34/79/586753479.db2.gz JDHZKENRQVJZGM-CQSZACIVSA-N 0 3 247.338 2.671 20 0 BFADHN Cc1cc(CN[C@@H]2CC23CC3)cnc1Cl ZINC000818229916 586880482 /nfs/dbraw/zinc/88/04/82/586880482.db2.gz IAXXDGPMAKYFCX-SNVBAGLBSA-N 0 3 222.719 2.686 20 0 BFADHN COc1cccc2c1CN([C@@H]1CC[C@H](OC)C1)C2 ZINC000859940082 589072635 /nfs/dbraw/zinc/07/26/35/589072635.db2.gz XYINFASIWUTMAL-OLZOCXBDSA-N 0 3 247.338 2.578 20 0 BFADHN Clc1ccc2c(n1)CC[C@@H]2N[C@H]1CC12CC2 ZINC000818357051 586888814 /nfs/dbraw/zinc/88/88/14/586888814.db2.gz UWXPJTCDVRHQRL-ONGXEEELSA-N 0 3 234.730 2.864 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1ccnc(Cl)c1 ZINC000818356738 586888928 /nfs/dbraw/zinc/88/89/28/586888928.db2.gz QBOROCOGGUHVQC-PSASIEDQSA-N 0 3 222.719 2.938 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1ccc(Cl)nc1 ZINC000818356859 586888953 /nfs/dbraw/zinc/88/89/53/586888953.db2.gz RTBACIZLBJIIHM-SCZZXKLOSA-N 0 3 222.719 2.938 20 0 BFADHN CCNCC(=O)Nc1ccc(CCC(C)C)cc1 ZINC000818513125 586896072 /nfs/dbraw/zinc/89/60/72/586896072.db2.gz BQQLAZUWINXEQD-UHFFFAOYSA-N 0 3 248.370 2.823 20 0 BFADHN Cc1ccc([C@H](O)CNCCC(F)(F)F)cc1 ZINC000819123731 586931580 /nfs/dbraw/zinc/93/15/80/586931580.db2.gz AXSWQJIVLLKCLS-LLVKDONJSA-N 0 3 247.260 2.570 20 0 BFADHN C[C@@H](NC[C@H](O)c1cccc(F)c1)c1ccc[nH]1 ZINC000819194461 586937467 /nfs/dbraw/zinc/93/74/67/586937467.db2.gz RPMNMKNFZLVEEA-YGRLFVJLSA-N 0 3 248.301 2.538 20 0 BFADHN C[C@@H](NCCCOC(C)(C)C)c1ccns1 ZINC000860054868 589077044 /nfs/dbraw/zinc/07/70/44/589077044.db2.gz ONGRSDUUIMOPQM-SNVBAGLBSA-N 0 3 242.388 2.999 20 0 BFADHN CC/C=C\CNC[C@H](O)c1ccc(C)cc1 ZINC000819344259 586955376 /nfs/dbraw/zinc/95/53/76/586955376.db2.gz HKSQTTIJOCZFRR-WSNITJDQSA-N 0 3 219.328 2.584 20 0 BFADHN C[C@@H](NCCCCCCCO)c1ccns1 ZINC000860054753 589077291 /nfs/dbraw/zinc/07/72/91/589077291.db2.gz UGAHZRJXJUKHDC-LLVKDONJSA-N 0 3 242.388 2.737 20 0 BFADHN COc1cc([C@H](C)NCCc2ccccc2)on1 ZINC000820805755 587073482 /nfs/dbraw/zinc/07/34/82/587073482.db2.gz QZLJEQAOTKUQCI-NSHDSACASA-N 0 3 246.310 2.577 20 0 BFADHN CCCN(Cc1ccccc1)C[C@H]1CCC(=O)O1 ZINC000821336727 587108956 /nfs/dbraw/zinc/10/89/56/587108956.db2.gz INVVQVDBUFKFAK-CQSZACIVSA-N 0 3 247.338 2.604 20 0 BFADHN Cc1nnsc1CN1CCCCCCC1 ZINC000821343705 587109349 /nfs/dbraw/zinc/10/93/49/587109349.db2.gz ZJJNBVGCNWIJMK-UHFFFAOYSA-N 0 3 225.361 2.613 20 0 BFADHN Cc1cccc([C@@H](C)NC[C@@H]2CCC(=O)O2)c1C ZINC000821402908 587117727 /nfs/dbraw/zinc/11/77/27/587117727.db2.gz XVDWKWGKXGATNV-OLZOCXBDSA-N 0 3 247.338 2.660 20 0 BFADHN C[C@H](OC(=O)c1cccc(CN(C)C)c1)C1CC1 ZINC000821621561 587132699 /nfs/dbraw/zinc/13/26/99/587132699.db2.gz XRIWTILRWZAUCE-NSHDSACASA-N 0 3 247.338 2.704 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cc(C)nn2C)C1 ZINC000860186334 589087612 /nfs/dbraw/zinc/08/76/12/589087612.db2.gz YRWVEXKLUSWJEO-ISZGNANSSA-N 0 3 247.386 2.907 20 0 BFADHN Cc1ccsc1-c1noc([C@@H]2C[C@H]3C[C@H]3N2)n1 ZINC000823225559 587209678 /nfs/dbraw/zinc/20/96/78/587209678.db2.gz RMNCWUIGGATOGU-HLTSFMKQSA-N 0 3 247.323 2.529 20 0 BFADHN CC(C)CCCC(=O)N[C@@H](CN(C)C)C(C)C ZINC000823305903 587215277 /nfs/dbraw/zinc/21/52/77/587215277.db2.gz PJDFSNRCLBFHNG-ZDUSSCGKSA-N 0 3 242.407 2.515 20 0 BFADHN Fc1cnccc1CN1CCCCCCC1 ZINC000823382393 587219135 /nfs/dbraw/zinc/21/91/35/587219135.db2.gz JYDLAOIKHCBDEG-UHFFFAOYSA-N 0 3 222.307 2.987 20 0 BFADHN Fc1c(CNCC2CCC2)ccnc1Cl ZINC000823723721 587238016 /nfs/dbraw/zinc/23/80/16/587238016.db2.gz PMNCVVPXBIRNBZ-UHFFFAOYSA-N 0 3 228.698 2.764 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H](C)O[C@@H]2C)c(C)o1 ZINC000823866449 587244802 /nfs/dbraw/zinc/24/48/02/587244802.db2.gz HZDXCJXMATZOET-UFGOTCBOSA-N 0 3 223.316 2.552 20 0 BFADHN CS[C@H](C)CNCc1ccnc(Cl)c1F ZINC000824023495 587249086 /nfs/dbraw/zinc/24/90/86/587249086.db2.gz SMLQRGNJSXBSFP-SSDOTTSWSA-N 0 3 248.754 2.715 20 0 BFADHN C[C@@H](NCc1ccon1)[C@@H]1CCCC[C@H]1C ZINC000823966359 587247325 /nfs/dbraw/zinc/24/73/25/587247325.db2.gz MDEZQHLDFBAPIT-NQBHXWOUSA-N 0 3 222.332 2.979 20 0 BFADHN Cn1nc(C2CC2)cc1CNCC1(C)CCC1 ZINC000823966294 587247457 /nfs/dbraw/zinc/24/74/57/587247457.db2.gz JJKFIGBZAHAZMR-UHFFFAOYSA-N 0 3 233.359 2.577 20 0 BFADHN Fc1cc(CNCCC2CCC2)c(F)cn1 ZINC000824011931 587248299 /nfs/dbraw/zinc/24/82/99/587248299.db2.gz IGGHFCORSUHCNE-UHFFFAOYSA-N 0 3 226.270 2.640 20 0 BFADHN Cc1cnccc1CN[C@@H](C)c1ccc[nH]1 ZINC000824050937 587251885 /nfs/dbraw/zinc/25/18/85/587251885.db2.gz PBHOEPKQYAOJND-NSHDSACASA-N 0 3 215.300 2.569 20 0 BFADHN C[C@@H](NCc1cc(F)ncc1F)C1CCC1 ZINC000824051332 587251907 /nfs/dbraw/zinc/25/19/07/587251907.db2.gz VWRBSDLSSWSTKN-MRVPVSSYSA-N 0 3 226.270 2.638 20 0 BFADHN Fc1cnccc1CNC12CCC(CC1)C2 ZINC000824146849 587258634 /nfs/dbraw/zinc/25/86/34/587258634.db2.gz CVCCFAKGZCMEEG-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN Cc1nonc1CN[C@H](C)[C@H]1CCCC[C@H]1C ZINC000824388707 587268800 /nfs/dbraw/zinc/26/88/00/587268800.db2.gz FTAUVASLUUMOFR-FOGDFJRCSA-N 0 3 237.347 2.682 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN[C@@H]1CCn2ccnc21 ZINC000824525412 587273122 /nfs/dbraw/zinc/27/31/22/587273122.db2.gz ACUQGYDENRLDMC-MELADBBJSA-N 0 3 245.370 2.910 20 0 BFADHN c1cn2c(n1)[C@H](N[C@H]1CCC[C@H](C3CC3)C1)CC2 ZINC000824528042 587273950 /nfs/dbraw/zinc/27/39/50/587273950.db2.gz ZPQJVXCZDPAMLT-MELADBBJSA-N 0 3 245.370 2.886 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](N[C@@H]2CCn3ccnc32)C1 ZINC000824533874 587274509 /nfs/dbraw/zinc/27/45/09/587274509.db2.gz RJBURMKIYDJLID-YVECIDJPSA-N 0 3 233.359 2.742 20 0 BFADHN c1cc2cnccc2c(CN2CC3(CC3)C2)c1 ZINC000824533697 587274546 /nfs/dbraw/zinc/27/45/46/587274546.db2.gz KNAROVHJEONFKM-UHFFFAOYSA-N 0 3 224.307 2.831 20 0 BFADHN Fc1ccc(CNCC2=CCCCC2)cn1 ZINC000824538922 587274998 /nfs/dbraw/zinc/27/49/98/587274998.db2.gz VCRHYKLJOLZQBE-UHFFFAOYSA-N 0 3 220.291 2.811 20 0 BFADHN Cc1cc(CNCCc2ncccc2C)c(C)o1 ZINC000824794673 587287640 /nfs/dbraw/zinc/28/76/40/587287640.db2.gz GKYRQKMQKUDYRC-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN CO[C@H]1CCN(Cc2cc(C)oc2C)C[C@@H]1C ZINC000824815379 587288555 /nfs/dbraw/zinc/28/85/55/587288555.db2.gz NGVJKPYLMRNXIA-HZMBPMFUSA-N 0 3 237.343 2.753 20 0 BFADHN CN(Cc1ccoc1)[C@H](CO)CC(C)(C)C ZINC000825040880 587298638 /nfs/dbraw/zinc/29/86/38/587298638.db2.gz XEGHCDKBAFPTRU-LBPRGKRZSA-N 0 3 225.332 2.509 20 0 BFADHN CCc1nc(CN[C@@H]2CCC(C)(C)C2)co1 ZINC000825058498 587300902 /nfs/dbraw/zinc/30/09/02/587300902.db2.gz ZZKRNUYNQYUWLP-SNVBAGLBSA-N 0 3 222.332 2.905 20 0 BFADHN CCC[C@H](C)CNCc1coc(CC)n1 ZINC000825058363 587301132 /nfs/dbraw/zinc/30/11/32/587301132.db2.gz WRLBDYOMSZZPCN-JTQLQIEISA-N 0 3 210.321 2.763 20 0 BFADHN CCc1nc(CN[C@H]2CC[C@H](C)C2)co1 ZINC000825056635 587301269 /nfs/dbraw/zinc/30/12/69/587301269.db2.gz IDGIGDJJKCFTMV-UWVGGRQHSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1nc(CN[C@@H]2CCCC2(C)C)co1 ZINC000825058135 587301323 /nfs/dbraw/zinc/30/13/23/587301323.db2.gz SOFFCYLEOBNEIG-LLVKDONJSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nc(CN[C@@H](CC)C2CCC2)co1 ZINC000825062245 587301907 /nfs/dbraw/zinc/30/19/07/587301907.db2.gz QTSPHUGHUCJIOK-LBPRGKRZSA-N 0 3 222.332 2.905 20 0 BFADHN CO[C@H]([C@@H](C)NCc1cc(C)oc1C)C1CC1 ZINC000825098467 587303967 /nfs/dbraw/zinc/30/39/67/587303967.db2.gz JGZGRRLIKQAGBW-QMTHXVAHSA-N 0 3 237.343 2.800 20 0 BFADHN CO[C@@H]1C[C@H](N(C)Cc2ccoc2)C12CCC2 ZINC000825104166 587304526 /nfs/dbraw/zinc/30/45/26/587304526.db2.gz PUIQKGPBVNOJDB-QWHCGFSZSA-N 0 3 235.327 2.669 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2ccoc2)C12CCC2 ZINC000825104165 587304728 /nfs/dbraw/zinc/30/47/28/587304728.db2.gz PUIQKGPBVNOJDB-OLZOCXBDSA-N 0 3 235.327 2.669 20 0 BFADHN CCSCCN[C@@H]1C[C@@H](O)c2ccccc21 ZINC000827179518 587371995 /nfs/dbraw/zinc/37/19/95/587371995.db2.gz ZVPKSMZJZIVOLC-CHWSQXEVSA-N 0 3 237.368 2.508 20 0 BFADHN CC(C)(F)CCNCc1cc(F)ncc1F ZINC000827190202 587372304 /nfs/dbraw/zinc/37/23/04/587372304.db2.gz RRGMZZRDKFHDOW-UHFFFAOYSA-N 0 3 232.249 2.588 20 0 BFADHN CCC1(CC)CCCN(Cc2cncnc2)C1 ZINC000827264932 587374807 /nfs/dbraw/zinc/37/48/07/587374807.db2.gz DTGBACNGEXDKGV-UHFFFAOYSA-N 0 3 233.359 2.879 20 0 BFADHN C[C@@]1(CN2CCCC2)CC1(Cl)Cl ZINC000827353664 587378430 /nfs/dbraw/zinc/37/84/30/587378430.db2.gz GVBRYRLWUPDQST-QMMMGPOBSA-N 0 3 208.132 2.666 20 0 BFADHN Cc1cc(CN2CC[C@H]3C[C@H]3C2)c(C)o1 ZINC000827506482 587384478 /nfs/dbraw/zinc/38/44/78/587384478.db2.gz HNJFYXBEBVWSGU-AAEUAGOBSA-N 0 3 205.301 2.738 20 0 BFADHN Fc1c(CN2CC[C@@H]3C[C@@H]3C2)ccnc1Cl ZINC000827507123 587384788 /nfs/dbraw/zinc/38/47/88/587384788.db2.gz VGJNLEFUSNVBOL-PSASIEDQSA-N 0 3 240.709 2.716 20 0 BFADHN CCC[C@@H](O)CN1CCc2c(C)cccc2C1 ZINC000827685679 587396377 /nfs/dbraw/zinc/39/63/77/587396377.db2.gz RCIMEXPQNXTTTB-CQSZACIVSA-N 0 3 233.355 2.514 20 0 BFADHN CC(C)CC(=O)CCN1CCC(C)CC1 ZINC000827719531 587398945 /nfs/dbraw/zinc/39/89/45/587398945.db2.gz LOUYDUVANYTADF-UHFFFAOYSA-N 0 3 211.349 2.724 20 0 BFADHN CC(C)CC(=O)CCN1CCS[C@@H](C)[C@@H]1C ZINC000827930496 587410346 /nfs/dbraw/zinc/41/03/46/587410346.db2.gz LIZLIPILBHQLOU-RYUDHWBXSA-N 0 3 243.416 2.818 20 0 BFADHN Cc1cnccc1CCN1CCC(F)(F)CC1 ZINC000828456908 587443425 /nfs/dbraw/zinc/44/34/25/587443425.db2.gz JZIKWDSTWWSPAF-UHFFFAOYSA-N 0 3 240.297 2.664 20 0 BFADHN C[C@@H]1CN(CC/C=C/c2ccccc2)CCN1C ZINC000828529333 587448031 /nfs/dbraw/zinc/44/80/31/587448031.db2.gz MAUPUGAWMDZDAZ-WCLQSUPFSA-N 0 3 244.382 2.726 20 0 BFADHN C[C@]1(CN2CC[C@@H]3C[C@@H]32)CC1(Cl)Cl ZINC000828533621 587448358 /nfs/dbraw/zinc/44/83/58/587448358.db2.gz FUYSBKHDQKXQTL-HRDYMLBCSA-N 0 3 220.143 2.665 20 0 BFADHN C[C@@]1(F)CCCN(CCC[C@H]2CCOC2)C1 ZINC000828581584 587451820 /nfs/dbraw/zinc/45/18/20/587451820.db2.gz PDIAXISAFDXLLX-QWHCGFSZSA-N 0 3 229.339 2.627 20 0 BFADHN COC1(CN[C@@H](C)c2c(C)noc2C)CCC1 ZINC000828688221 587457338 /nfs/dbraw/zinc/45/73/38/587457338.db2.gz RTFXIDGZGTUCEV-VIFPVBQESA-N 0 3 238.331 2.511 20 0 BFADHN Cc1cccc(Cl)c1CN[C@H](CO)C1CC1 ZINC000828829233 587465172 /nfs/dbraw/zinc/46/51/72/587465172.db2.gz RKMGXVVASLRYNY-CYBMUJFWSA-N 0 3 239.746 2.509 20 0 BFADHN CN(C)CCSC[C@]1(C)CC1(Cl)Cl ZINC000829290488 587491777 /nfs/dbraw/zinc/49/17/77/587491777.db2.gz APPBJXBLSIFPCS-QMMMGPOBSA-N 0 3 242.215 2.865 20 0 BFADHN CC(C)CC1(C)CCN(CC[S@](C)=O)CC1 ZINC000829927022 587528697 /nfs/dbraw/zinc/52/86/97/587528697.db2.gz JMBGWFWZGJTYLZ-INIZCTEOSA-N 0 3 245.432 2.513 20 0 BFADHN C[C@@H]1CN(C[C@@]2(C)CC2(Cl)Cl)[C@@H]1C ZINC000830028274 587532681 /nfs/dbraw/zinc/53/26/81/587532681.db2.gz HCVDGBCMGSCWOW-IWSPIJDZSA-N 0 3 222.159 2.911 20 0 BFADHN C[C@@]1(F)CCCN(CC2(O)CCCCC2)C1 ZINC000830212202 587540910 /nfs/dbraw/zinc/54/09/10/587540910.db2.gz LOIFZTSFNBBNBQ-GFCCVEGCSA-N 0 3 229.339 2.506 20 0 BFADHN C[C@]1(F)CCCN(CCCCCCCO)C1 ZINC000830212658 587541376 /nfs/dbraw/zinc/54/13/76/587541376.db2.gz SZWBMBBQVSQKJE-ZDUSSCGKSA-N 0 3 231.355 2.753 20 0 BFADHN OC1CCC2(CC1)CCN(C/C=C/Cl)CC2 ZINC000830327378 587546481 /nfs/dbraw/zinc/54/64/81/587546481.db2.gz SYVIOAXAXDZURK-UNXLUWIOSA-N 0 3 243.778 2.756 20 0 BFADHN C[C@@H](NCc1cn(C)cn1)[C@H]1CCCC[C@H]1C ZINC000830515378 587555063 /nfs/dbraw/zinc/55/50/63/587555063.db2.gz VKUPBZCFTIDUEM-BZPMIXESSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H]1CC2(CN1C/C=C/Cl)CCOCC2 ZINC000830617969 587560522 /nfs/dbraw/zinc/56/05/22/587560522.db2.gz XAJCEDGFKCRLSD-XGQHYKRYSA-N 0 3 229.751 2.630 20 0 BFADHN CCO[C@@H]1C[C@@H](NC/C=C/Cl)C12CCC2 ZINC000831307750 587597089 /nfs/dbraw/zinc/59/70/89/587597089.db2.gz DPFFCXVDVYJEEG-OZSGMGSPSA-N 0 3 229.751 2.676 20 0 BFADHN CCO[C@@H]1C[C@H](NC/C=C/Cl)C12CCC2 ZINC000831307749 587597437 /nfs/dbraw/zinc/59/74/37/587597437.db2.gz DPFFCXVDVYJEEG-NAJRYUOPSA-N 0 3 229.751 2.676 20 0 BFADHN CC[C@H](C)NC(=O)CN1CCCCCCCC1 ZINC000831920313 587636303 /nfs/dbraw/zinc/63/63/03/587636303.db2.gz FJXCJVYVZCELQD-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1ccccc1[C@@H](O)CN1CC[C@@H]1C1CC1 ZINC000834383651 587753848 /nfs/dbraw/zinc/75/38/48/587753848.db2.gz VBDVCCHXWZIUPV-CABCVRRESA-N 0 3 231.339 2.513 20 0 BFADHN Cc1cc(F)ccc1[C@@H](O)CN1CC[C@H]1C1CC1 ZINC000834384102 587754038 /nfs/dbraw/zinc/75/40/38/587754038.db2.gz ZOXLREJZTNBDFJ-GJZGRUSLSA-N 0 3 249.329 2.652 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H](C)CCSC ZINC000834594051 587760395 /nfs/dbraw/zinc/76/03/95/587760395.db2.gz OXYYQVIKEVVPQM-WDEREUQCSA-N 0 3 241.404 2.695 20 0 BFADHN CC(C)(C)[C@@H]1CC[C@@H]1N[C@@H]1CCn2ccnc21 ZINC000834831603 587768217 /nfs/dbraw/zinc/76/82/17/587768217.db2.gz KPSJWHKHHYCMDH-GRYCIOLGSA-N 0 3 233.359 2.742 20 0 BFADHN CCc1nn(C)c(Cl)c1CN[C@H](C)CC ZINC000835033209 587779395 /nfs/dbraw/zinc/77/93/95/587779395.db2.gz DBEIZZOIFAAXDB-MRVPVSSYSA-N 0 3 229.755 2.524 20 0 BFADHN CCn1nc(C)c(CN[C@H](C)CC(C)(C)C)n1 ZINC000835064482 587780033 /nfs/dbraw/zinc/78/00/33/587780033.db2.gz DWVUFUZWHJZDID-SNVBAGLBSA-N 0 3 238.379 2.521 20 0 BFADHN CCCCC(=O)OC[C@H]1CCCCN1C(C)C ZINC000837336398 587867096 /nfs/dbraw/zinc/86/70/96/587867096.db2.gz FBEPRFHOTNOLLV-CYBMUJFWSA-N 0 3 241.375 2.983 20 0 BFADHN CC(C)N1CCCC[C@@H]1COC(=O)CC1CC1 ZINC000837418273 587870963 /nfs/dbraw/zinc/87/09/63/587870963.db2.gz GMLQWGKXLACQDP-CYBMUJFWSA-N 0 3 239.359 2.593 20 0 BFADHN CC[C@H](NCc1cccc(F)n1)[C@@H]1C[C@H]1C ZINC000840732526 587918495 /nfs/dbraw/zinc/91/84/95/587918495.db2.gz ZMVVJNBIHLFWGA-JLLWLGSASA-N 0 3 222.307 2.745 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CC1(F)F)c1cncs1 ZINC000840879183 587927544 /nfs/dbraw/zinc/92/75/44/587927544.db2.gz LOECFQRIYAAOSJ-BIIVOSGPSA-N 0 3 232.299 2.837 20 0 BFADHN CCCCCC[C@@H](C)N[C@@H]1CNCCC1(F)F ZINC000841081940 587944610 /nfs/dbraw/zinc/94/46/10/587944610.db2.gz VRKPGDVQJKDGPX-VXGBXAGGSA-N 0 3 248.361 2.932 20 0 BFADHN FC1(F)CCNC[C@H]1NC1CCCCCCC1 ZINC000841078050 587944666 /nfs/dbraw/zinc/94/46/66/587944666.db2.gz DYXSIYMGWSBBFS-GFCCVEGCSA-N 0 3 246.345 2.686 20 0 BFADHN CC[C@H](CN(C)CCc1ccccc1F)OC ZINC000842147082 588003334 /nfs/dbraw/zinc/00/33/34/588003334.db2.gz AHQNAPTYXWFXGP-CYBMUJFWSA-N 0 3 239.334 2.725 20 0 BFADHN CC[C@@H](CN[C@@H](c1ccccn1)C(C)C)OC ZINC000842154759 588003945 /nfs/dbraw/zinc/00/39/45/588003945.db2.gz WTSJSLAYMQGREE-GXTWGEPZSA-N 0 3 236.359 2.793 20 0 BFADHN CO[C@H](CC(C)C)CN1CCC(F)(F)CC1 ZINC000842159370 588004959 /nfs/dbraw/zinc/00/49/59/588004959.db2.gz OILIAYFRNWFNNL-LLVKDONJSA-N 0 3 235.318 2.779 20 0 BFADHN NCc1cccc(NC(=O)C=C2CCCCC2)c1 ZINC000843289067 588097811 /nfs/dbraw/zinc/09/78/11/588097811.db2.gz JXYJCRYDWGYBGO-UHFFFAOYSA-N 0 3 244.338 2.974 20 0 BFADHN c1cc(CN2CC[C@@H](c3cccnc3)C2)co1 ZINC000843419617 588107340 /nfs/dbraw/zinc/10/73/40/588107340.db2.gz MHTOXWGYKRFAPO-CQSZACIVSA-N 0 3 228.295 2.664 20 0 BFADHN CC(C)[C@@H]1N(Cc2cncnc2)CC12CC=CC2 ZINC000843431531 588109279 /nfs/dbraw/zinc/10/92/79/588109279.db2.gz GRSAEOVHYRKCFC-AWEZNQCLSA-N 0 3 243.354 2.653 20 0 BFADHN Cc1ccc(CN2CCCC[C@@H]2C2CCC2)nn1 ZINC000843437504 588110284 /nfs/dbraw/zinc/11/02/84/588110284.db2.gz IJHPHXFUCAPHPN-OAHLLOKOSA-N 0 3 245.370 2.940 20 0 BFADHN CC(C)c1ccc(N(C)C(=O)C2(N)CCC2)cc1 ZINC000843444712 588111087 /nfs/dbraw/zinc/11/10/87/588111087.db2.gz GGBICSHAOFJFFG-UHFFFAOYSA-N 0 3 246.354 2.654 20 0 BFADHN CCc1nc(CNC2CCSCC2)cs1 ZINC000105481419 588182938 /nfs/dbraw/zinc/18/29/38/588182938.db2.gz XFFIAIRGRFOFKR-UHFFFAOYSA-N 0 3 242.413 2.691 20 0 BFADHN CCCC[C@H](C)C(=O)O[C@@H](C)CN1CCCC1 ZINC000845663432 588240162 /nfs/dbraw/zinc/24/01/62/588240162.db2.gz JOERBFHBBHJYQC-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN CCC/C(C)=C/C(=O)O[C@@H](C)CN1CCCC1 ZINC000845669336 588240251 /nfs/dbraw/zinc/24/02/51/588240251.db2.gz SHUBVUQQEMWPOV-XSNHNAGMSA-N 0 3 239.359 2.760 20 0 BFADHN CCC(=CC(=O)O[C@@H](C)CN1CCCC1)CC ZINC000845665375 588240323 /nfs/dbraw/zinc/24/03/23/588240323.db2.gz TZPIXKCCMABLGF-LBPRGKRZSA-N 0 3 239.359 2.760 20 0 BFADHN CCC/C(C)=C\C(=O)O[C@@H](C)CN1CCCC1 ZINC000845669335 588240458 /nfs/dbraw/zinc/24/04/58/588240458.db2.gz SHUBVUQQEMWPOV-UKVQZPPCSA-N 0 3 239.359 2.760 20 0 BFADHN CC/C(C)=C\C(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845731634 588242880 /nfs/dbraw/zinc/24/28/80/588242880.db2.gz XSXKVBSWUNLZFD-MMRAYRKESA-N 0 3 239.359 2.759 20 0 BFADHN CCC[C@@H](C)C(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845739549 588243021 /nfs/dbraw/zinc/24/30/21/588243021.db2.gz JTUVQUPCVZWDBY-NEPJUHHUSA-N 0 3 241.375 2.839 20 0 BFADHN CCCCC(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845739370 588243045 /nfs/dbraw/zinc/24/30/45/588243045.db2.gz SACSJEAJSLBVRY-NSHDSACASA-N 0 3 227.348 2.593 20 0 BFADHN CC(C)(C)N1CC[C@H]1COC(=O)CC1CCC1 ZINC000845737971 588243093 /nfs/dbraw/zinc/24/30/93/588243093.db2.gz BNTFBDZVAGHLLM-LBPRGKRZSA-N 0 3 239.359 2.593 20 0 BFADHN Cc1ccc(CN[C@H](CO)C2CC2)c(Cl)c1 ZINC000845938716 588250931 /nfs/dbraw/zinc/25/09/31/588250931.db2.gz VIWACCGOOMVHGU-CYBMUJFWSA-N 0 3 239.746 2.509 20 0 BFADHN Cc1ccsc1CN1C[C@H]2[C@@H](C1)C2(F)F ZINC000846108685 588257014 /nfs/dbraw/zinc/25/70/14/588257014.db2.gz BXFKHXJMIOJUAH-DTORHVGOSA-N 0 3 229.295 2.753 20 0 BFADHN Cn1nc(C2CC2)cc1CNC1CC2(CCC2)C1 ZINC000846112784 588257445 /nfs/dbraw/zinc/25/74/45/588257445.db2.gz FWMCACFSWHVPRE-UHFFFAOYSA-N 0 3 245.370 2.720 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1ncc[nH]1 ZINC000846188847 588259545 /nfs/dbraw/zinc/25/95/45/588259545.db2.gz KLHAMDIZCPZUGZ-OUAUKWLOSA-N 0 3 221.348 2.885 20 0 BFADHN BrC1(CN2C3CCC2CC3)CC1 ZINC000846773676 588287555 /nfs/dbraw/zinc/28/75/55/588287555.db2.gz QQENTEXJYQAWIO-UHFFFAOYSA-N 0 3 230.149 2.541 20 0 BFADHN CN(Cc1cnc(Cl)c(F)c1)CC1CC1 ZINC000846775811 588287715 /nfs/dbraw/zinc/28/77/15/588287715.db2.gz WADUXJOQQLURTF-UHFFFAOYSA-N 0 3 228.698 2.716 20 0 BFADHN CN(C)Cc1ccc(-c2csc(CO)c2)cc1 ZINC000846779015 588287730 /nfs/dbraw/zinc/28/77/30/588287730.db2.gz JDVMAIKQMPBJHC-UHFFFAOYSA-N 0 3 247.363 2.969 20 0 BFADHN CC(C)N(C)Cc1cnc(Cl)c(F)c1 ZINC000846817726 588291244 /nfs/dbraw/zinc/29/12/44/588291244.db2.gz PDFJQYMCDHHLJE-UHFFFAOYSA-N 0 3 216.687 2.714 20 0 BFADHN CCOC(CN(CC)CC=C(C)C)OCC ZINC000846972418 588299330 /nfs/dbraw/zinc/29/93/30/588299330.db2.gz YQPPVBQMIGIHBC-UHFFFAOYSA-N 0 3 229.364 2.674 20 0 BFADHN CCC(CC)C(=O)OC[C@@H](C)N1CCCCC1 ZINC000847959824 588344650 /nfs/dbraw/zinc/34/46/50/588344650.db2.gz VKKKYBSJFOGFAS-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H](COC(=O)CC1CCC1)N1CCCCC1 ZINC000847959428 588345247 /nfs/dbraw/zinc/34/52/47/588345247.db2.gz GTLFIYZPJNWPJU-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN C[C@H](COC(=O)CC(C)(C)C)N1CCCCC1 ZINC000847958084 588345565 /nfs/dbraw/zinc/34/55/65/588345565.db2.gz BFOFVXNKJAXEDC-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN CC/C(C)=C/C(=O)OC[C@H](C)N1CCCCC1 ZINC000847963667 588345898 /nfs/dbraw/zinc/34/58/98/588345898.db2.gz TWJDNEVTKIIXEJ-XSNHNAGMSA-N 0 3 239.359 2.760 20 0 BFADHN CCN(CC)CCOC(=O)[C@H]1CCC[C@H](C)C1 ZINC000006382271 588372387 /nfs/dbraw/zinc/37/23/87/588372387.db2.gz AKCIKTVHXHWIMO-STQMWFEESA-N 0 3 241.375 2.698 20 0 BFADHN CCCCc1ccc(N(C)C(=O)[C@H](C)NC)cc1 ZINC000848407000 588378409 /nfs/dbraw/zinc/37/84/09/588378409.db2.gz VAJNYSMLLGUUBF-LBPRGKRZSA-N 0 3 248.370 2.600 20 0 BFADHN CCCCCCN[C@H]1CCCn2ccnc21 ZINC000848513490 588388563 /nfs/dbraw/zinc/38/85/63/588388563.db2.gz FFRYIYQMFDJZLS-LBPRGKRZSA-N 0 3 221.348 2.888 20 0 BFADHN Cc1cc(OCCCCCCO)c(C)c(C)n1 ZINC000848515338 588388781 /nfs/dbraw/zinc/38/87/81/588388781.db2.gz YXGRYLXYQSDFAO-UHFFFAOYSA-N 0 3 237.343 2.938 20 0 BFADHN C[C@H](Cc1ccco1)N[C@H]1CCCn2ccnc21 ZINC000848521282 588390763 /nfs/dbraw/zinc/39/07/63/588390763.db2.gz RUCARCZGWIFLNS-YPMHNXCESA-N 0 3 245.326 2.532 20 0 BFADHN CC(C)c1ccccc1CNCCn1cccn1 ZINC000848528666 588393075 /nfs/dbraw/zinc/39/30/75/588393075.db2.gz HVXQSNXPKSXUKP-UHFFFAOYSA-N 0 3 243.354 2.796 20 0 BFADHN COc1cc([C@H](C)N[C@@H](C)c2ccc[nH]2)ccn1 ZINC000848535006 588393866 /nfs/dbraw/zinc/39/38/66/588393866.db2.gz ANFXORKGXOFTAQ-QWRGUYRKSA-N 0 3 245.326 2.830 20 0 BFADHN CC(C)c1ccccc1CN[C@@H](CO)CCF ZINC000848557625 588397034 /nfs/dbraw/zinc/39/70/34/588397034.db2.gz IWWQJKMOBYEGSJ-CYBMUJFWSA-N 0 3 239.334 2.620 20 0 BFADHN C[C@H]1CC[C@H]1NCc1noc2ccccc21 ZINC000848575664 588399165 /nfs/dbraw/zinc/39/91/65/588399165.db2.gz UXOXDWVYJLMJPD-GXSJLCMTSA-N 0 3 216.284 2.716 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cnc(Cl)n1C ZINC000848880129 588416244 /nfs/dbraw/zinc/41/62/44/588416244.db2.gz APGMZHWNTCTGAL-SECBINFHSA-N 0 3 229.755 2.550 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cnc(Cl)n1C ZINC000848880130 588416291 /nfs/dbraw/zinc/41/62/91/588416291.db2.gz APGMZHWNTCTGAL-VIFPVBQESA-N 0 3 229.755 2.550 20 0 BFADHN CCN(Cc1cnc(Cl)n1C)[C@@H](C)C(C)C ZINC000848883249 588416790 /nfs/dbraw/zinc/41/67/90/588416790.db2.gz LDQAUTNMPUWUTO-JTQLQIEISA-N 0 3 243.782 2.940 20 0 BFADHN CCN(Cc1cnc(Cl)n1C)CC1CCC1 ZINC000848908219 588419556 /nfs/dbraw/zinc/41/95/56/588419556.db2.gz OXPGCMRXWUDAHL-UHFFFAOYSA-N 0 3 241.766 2.696 20 0 BFADHN CC[C@@H]1C[C@H](CN2CC3(CC3(F)F)C2)CCO1 ZINC000848922849 588420626 /nfs/dbraw/zinc/42/06/26/588420626.db2.gz ZVEBEVFWOUYKBJ-GHMZBOCLSA-N 0 3 245.313 2.533 20 0 BFADHN CC1CCN(C(N)=NOC2CCCCC2)CC1 ZINC000849214817 588461867 /nfs/dbraw/zinc/46/18/67/588461867.db2.gz UCYOQCVOUSTSTH-UHFFFAOYSA-N 0 3 239.363 2.507 20 0 BFADHN O[C@@H](CN1C[C@@H]2CCCC[C@@H]21)c1cccc(F)c1 ZINC000849325791 588472906 /nfs/dbraw/zinc/47/29/06/588472906.db2.gz SMRDTWJRPXGKON-QEJZJMRPSA-N 0 3 249.329 2.734 20 0 BFADHN C[C@@H](CNCc1cc2n(n1)CCC2)C(C)(C)C ZINC000850138067 588521210 /nfs/dbraw/zinc/52/12/10/588521210.db2.gz ZEHWOLOEULZOHM-NSHDSACASA-N 0 3 235.375 2.601 20 0 BFADHN COCC1(NCc2cc(C)oc2C)CCCC1 ZINC000850344944 588537834 /nfs/dbraw/zinc/53/78/34/588537834.db2.gz CLVBJSHGPJZFLL-UHFFFAOYSA-N 0 3 237.343 2.945 20 0 BFADHN C[C@@H]1C[C@@H](NC2CCCCCCC2)c2ncnn21 ZINC000850351764 588537942 /nfs/dbraw/zinc/53/79/42/588537942.db2.gz HCPPOUAOHKLEHG-DGCLKSJQSA-N 0 3 248.374 2.986 20 0 BFADHN CC[C@H](COCC1CC1)NCc1ccoc1 ZINC000850351279 588538182 /nfs/dbraw/zinc/53/81/82/588538182.db2.gz ZDBSCFYHHPDWHM-CYBMUJFWSA-N 0 3 223.316 2.574 20 0 BFADHN Cc1ccc(CNCC2C[C@H](C)C[C@@H](C)C2)nn1 ZINC000850356811 588538761 /nfs/dbraw/zinc/53/87/61/588538761.db2.gz XRACHSSVHMWGHM-VXGBXAGGSA-N 0 3 247.386 2.947 20 0 BFADHN c1cc(CN[C@H]2C=CCC2)n(-c2ccccc2)n1 ZINC000850358559 588538933 /nfs/dbraw/zinc/53/89/33/588538933.db2.gz GFGZPROCVDTHDZ-ZDUSSCGKSA-N 0 3 239.322 2.681 20 0 BFADHN COc1ccc(CN[C@H]2C=CCC2)c(C)c1OC ZINC000850358846 588539090 /nfs/dbraw/zinc/53/90/90/588539090.db2.gz MFVSPNAYYHSNRD-ZDUSSCGKSA-N 0 3 247.338 2.820 20 0 BFADHN CCCn1nc(C)c(CN[C@@H]2C=CCC2)c1C ZINC000850359173 588539740 /nfs/dbraw/zinc/53/97/40/588539740.db2.gz ZOFDSQWQFRCLIT-CYBMUJFWSA-N 0 3 233.359 2.718 20 0 BFADHN C1=C[C@@H](NCc2cc3cccnc3o2)CC1 ZINC000850359783 588540168 /nfs/dbraw/zinc/54/01/68/588540168.db2.gz RAGQHDTXPANSED-LLVKDONJSA-N 0 3 214.268 2.636 20 0 BFADHN CC[C@@H]1COC[C@H]1NCc1ccc(C)c(OC)c1 ZINC000850383171 588542500 /nfs/dbraw/zinc/54/25/00/588542500.db2.gz MUALVYYWCFLHMZ-ZIAGYGMSSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1csc(CNCC[C@]2(C)CC2(F)F)n1 ZINC000850380865 588542579 /nfs/dbraw/zinc/54/25/79/588542579.db2.gz OZZZUUSVLQKQAO-SNVBAGLBSA-N 0 3 246.326 2.977 20 0 BFADHN Cc1ncc(CNC[C@H]2C(C)(C)C2(F)F)s1 ZINC000850393788 588543778 /nfs/dbraw/zinc/54/37/78/588543778.db2.gz GGTPGFPVHQDTCA-VIFPVBQESA-N 0 3 246.326 2.832 20 0 BFADHN Fc1cccc(CN[C@@H]2CCCC23CC3)n1 ZINC000850446696 588547526 /nfs/dbraw/zinc/54/75/26/588547526.db2.gz BTQNYSULCXEADF-LLVKDONJSA-N 0 3 220.291 2.643 20 0 BFADHN COC1CC(N[C@@H](C)c2cc3cnccc3o2)C1 ZINC000850459942 588547847 /nfs/dbraw/zinc/54/78/47/588547847.db2.gz KOECSUJKQXFNMA-GCVQQVDUSA-N 0 3 246.310 2.656 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000850462302 588548553 /nfs/dbraw/zinc/54/85/53/588548553.db2.gz CDSVUUVDRZOKEE-NEPJUHHUSA-N 0 3 249.354 2.697 20 0 BFADHN CSCC1(CCN[C@@H](C)c2ncc[nH]2)CC1 ZINC000850505323 588552777 /nfs/dbraw/zinc/55/27/77/588552777.db2.gz AXLCGMBELUPYCT-JTQLQIEISA-N 0 3 239.388 2.594 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2C=CCC2)s1 ZINC000850508109 588553997 /nfs/dbraw/zinc/55/39/97/588553997.db2.gz HWXMREKRPRUFAB-WCBMZHEXSA-N 0 3 208.330 2.821 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2COC3(CCC3)C2)c1 ZINC000850524866 588557061 /nfs/dbraw/zinc/55/70/61/588557061.db2.gz ITIUZBWSPDZITG-JSGCOSHPSA-N 0 3 246.354 2.752 20 0 BFADHN Cc1cc([C@@H](C)NCCC(C)(C)F)n(C)n1 ZINC000850532243 588558107 /nfs/dbraw/zinc/55/81/07/588558107.db2.gz RBCWOGMABOAAPG-SNVBAGLBSA-N 0 3 227.327 2.517 20 0 BFADHN CC1(C)C[C@]1(C)N[C@@H]1CCCc2n[nH]cc21 ZINC000850554086 588560396 /nfs/dbraw/zinc/56/03/96/588560396.db2.gz BDNDEOWNNZSSMF-MFKMUULPSA-N 0 3 219.332 2.565 20 0 BFADHN CO[C@H]1CC[C@@H](N(C)Cc2ccc(C)o2)C1 ZINC000851718002 588616173 /nfs/dbraw/zinc/61/61/73/588616173.db2.gz BCNSIBXRZNHGJC-NEPJUHHUSA-N 0 3 223.316 2.587 20 0 BFADHN CO[C@@H]1CC[C@@H](N(C)Cc2ccc(C)o2)C1 ZINC000851718005 588616280 /nfs/dbraw/zinc/61/62/80/588616280.db2.gz BCNSIBXRZNHGJC-VXGBXAGGSA-N 0 3 223.316 2.587 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cccc(F)n2)C1 ZINC000851718714 588616520 /nfs/dbraw/zinc/61/65/20/588616520.db2.gz PKROEXJCCAICTG-GHMZBOCLSA-N 0 3 222.307 2.699 20 0 BFADHN Cc1cccc(CN(C)C[C@@H]2COC(C)(C)O2)c1 ZINC000851731941 588619444 /nfs/dbraw/zinc/61/94/44/588619444.db2.gz DNQZSTPCVDYOHQ-CQSZACIVSA-N 0 3 249.354 2.578 20 0 BFADHN CCN(Cc1ccc(C)cc1)C[C@@H]1CCC(=O)O1 ZINC000851738428 588620761 /nfs/dbraw/zinc/62/07/61/588620761.db2.gz GVAPIJOOMDLLCL-AWEZNQCLSA-N 0 3 247.338 2.523 20 0 BFADHN C[C@H]1CCCN(Cc2cccc(F)n2)CC1 ZINC000851738167 588620896 /nfs/dbraw/zinc/62/08/96/588620896.db2.gz BHIPZFARZSBEHG-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN CCC1(C)CCN(CCOC(F)F)CC1 ZINC000851747138 588622227 /nfs/dbraw/zinc/62/22/27/588622227.db2.gz ZZYIWUVLCISSQL-UHFFFAOYSA-N 0 3 221.291 2.738 20 0 BFADHN C[C@@H]1CCCN(Cc2cccc(F)n2)[C@@H]1C ZINC000851786545 588628592 /nfs/dbraw/zinc/62/85/92/588628592.db2.gz YARGVCDNLGROKC-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@]1(C)CCCN(C[C@@H](O)c2ccccn2)C1 ZINC000851790708 588628822 /nfs/dbraw/zinc/62/88/22/588628822.db2.gz NSWIIWIACPZDIF-CABCVRRESA-N 0 3 248.370 2.627 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1cccc(F)n1 ZINC000851786548 588628905 /nfs/dbraw/zinc/62/89/05/588628905.db2.gz YARGVCDNLGROKC-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN CCc1nc([C@@H](C)N2CCC3(CCCC3)C2)n[nH]1 ZINC000851790835 588629186 /nfs/dbraw/zinc/62/91/86/588629186.db2.gz PMWKGVWXCSZSBY-LLVKDONJSA-N 0 3 248.374 2.694 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cccc(F)n1 ZINC000851809664 588632077 /nfs/dbraw/zinc/63/20/77/588632077.db2.gz WZRMFHYZJQRLLN-UWVGGRQHSA-N 0 3 208.280 2.594 20 0 BFADHN CC(C)(NCCOC(F)F)c1ccc(F)cc1 ZINC000851838320 588633980 /nfs/dbraw/zinc/63/39/80/588633980.db2.gz HNUBANNNCFGUCL-UHFFFAOYSA-N 0 3 247.260 2.890 20 0 BFADHN CC(C)(C)[C@H]1CCCC[C@@H]1NCc1cnon1 ZINC000851838318 588633987 /nfs/dbraw/zinc/63/39/87/588633987.db2.gz HMSGSDYNDHVHKY-RYUDHWBXSA-N 0 3 237.347 2.764 20 0 BFADHN CO[C@H]1CC[C@@H](N2CC=C(C(F)(F)F)CC2)C1 ZINC000851869787 588637178 /nfs/dbraw/zinc/63/71/78/588637178.db2.gz QTNCKIWRPJJWPB-MNOVXSKESA-N 0 3 249.276 2.748 20 0 BFADHN CO[C@H]1CC[C@H](N2CC=C(C(F)(F)F)CC2)C1 ZINC000851869788 588637405 /nfs/dbraw/zinc/63/74/05/588637405.db2.gz QTNCKIWRPJJWPB-QWRGUYRKSA-N 0 3 249.276 2.748 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)CCOC(F)F ZINC000851878430 588639011 /nfs/dbraw/zinc/63/90/11/588639011.db2.gz KXECZGXBOHZLPF-NSHDSACASA-N 0 3 244.285 2.704 20 0 BFADHN CO[C@H]1CC[C@@H](N2CCc3cccc(F)c3C2)C1 ZINC000851885788 588640075 /nfs/dbraw/zinc/64/00/75/588640075.db2.gz LTPDWMVZJSPDTH-OLZOCXBDSA-N 0 3 249.329 2.751 20 0 BFADHN CO[C@H]1CC[C@H](N2CCc3cccc(F)c3C2)C1 ZINC000851885790 588640227 /nfs/dbraw/zinc/64/02/27/588640227.db2.gz LTPDWMVZJSPDTH-STQMWFEESA-N 0 3 249.329 2.751 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cccc(F)n1 ZINC000851889591 588640905 /nfs/dbraw/zinc/64/09/05/588640905.db2.gz CPYMGHFUGPGHJD-PWSUYJOCSA-N 0 3 222.307 2.984 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cccc(F)n1 ZINC000851889592 588641223 /nfs/dbraw/zinc/64/12/23/588641223.db2.gz CPYMGHFUGPGHJD-ZYHUDNBSSA-N 0 3 222.307 2.984 20 0 BFADHN CC[C@H](CSC)N(C)Cc1cccc(F)n1 ZINC000851893492 588641486 /nfs/dbraw/zinc/64/14/86/588641486.db2.gz WUIPSPCPAFGZPE-LLVKDONJSA-N 0 3 242.363 2.794 20 0 BFADHN CC[C@@H](NCC=C(Cl)Cl)[C@H]1CCCO1 ZINC000851928698 588644823 /nfs/dbraw/zinc/64/48/23/588644823.db2.gz WGXCNRYUCWZJPX-RKDXNWHRSA-N 0 3 238.158 2.853 20 0 BFADHN CCC[C@@H]1CCCC[C@H]1NCc1cnon1 ZINC000851946723 588646653 /nfs/dbraw/zinc/64/66/53/588646653.db2.gz LDFBEDLYCBJHCX-ZYHUDNBSSA-N 0 3 223.320 2.518 20 0 BFADHN Cc1ccc(C)c(CN(C)CCOC(F)F)c1 ZINC000851984652 588651414 /nfs/dbraw/zinc/65/14/14/588651414.db2.gz NZIMHOISJUHVEH-UHFFFAOYSA-N 0 3 243.297 2.974 20 0 BFADHN Fc1cccc(CN2CCC[C@@H](C3CC3)C2)n1 ZINC000852053536 588657691 /nfs/dbraw/zinc/65/76/91/588657691.db2.gz ZYPBAABFRQQLQZ-GFCCVEGCSA-N 0 3 234.318 2.843 20 0 BFADHN CO[C@@H](CN1CCC[C@@](C)(F)C1)C1CCC1 ZINC000852096278 588662031 /nfs/dbraw/zinc/66/20/31/588662031.db2.gz KDSZYEMVPUDQGM-QWHCGFSZSA-N 0 3 229.339 2.626 20 0 BFADHN COc1cc(CN2CCCCCCCC2)on1 ZINC000852141612 588666651 /nfs/dbraw/zinc/66/66/51/588666651.db2.gz WOSHSXMZIUXYNG-UHFFFAOYSA-N 0 3 238.331 2.839 20 0 BFADHN CO[C@@H]1CC[C@@H](N2CCC[C@@]3(CC3(F)F)C2)C1 ZINC000852213452 588672985 /nfs/dbraw/zinc/67/29/85/588672985.db2.gz LSSSUTUPMILZTH-IJLUTSLNSA-N 0 3 245.313 2.675 20 0 BFADHN FC1(F)[C@H]2CN(CCCC3CCC3)C[C@H]21 ZINC000852213856 588673159 /nfs/dbraw/zinc/67/31/59/588673159.db2.gz PRIOXQIKORALJM-PHIMTYICSA-N 0 3 215.287 2.764 20 0 BFADHN CC[C@@H](C)N(CC)Cc1cccc(F)n1 ZINC000852291420 588676334 /nfs/dbraw/zinc/67/63/34/588676334.db2.gz UVFNXRGTTLSZBX-SNVBAGLBSA-N 0 3 210.296 2.841 20 0 BFADHN CCC(=O)CCN1CCC(c2ccco2)CC1 ZINC000852320463 588678490 /nfs/dbraw/zinc/67/84/90/588678490.db2.gz ADDREGWBZBVREB-UHFFFAOYSA-N 0 3 235.327 2.828 20 0 BFADHN COCCN(CCF)C[C@@H]1CCCC[C@@H]1C ZINC000852479880 588683098 /nfs/dbraw/zinc/68/30/98/588683098.db2.gz KAJCSZDXIQSYAD-STQMWFEESA-N 0 3 231.355 2.731 20 0 BFADHN COCCN(CCF)C[C@H]1CCC[C@@H](C)C1 ZINC000852480804 588684246 /nfs/dbraw/zinc/68/42/46/588684246.db2.gz CSMFKQCSDWWILS-OLZOCXBDSA-N 0 3 231.355 2.731 20 0 BFADHN O[C@@H]1C[C@@H](NCc2ccc(CF)cc2)C12CCC2 ZINC000852583147 588692022 /nfs/dbraw/zinc/69/20/22/588692022.db2.gz RQMSFDMUHSDTPF-ZIAGYGMSSA-N 0 3 249.329 2.549 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1CC[C@H]2C[C@H]2C1 ZINC000852635117 588693400 /nfs/dbraw/zinc/69/34/00/588693400.db2.gz KWLZQLMWCUWYLG-SLEUVZQESA-N 0 3 249.329 2.509 20 0 BFADHN CCC(CC)CCCN(C)CC[S@@](C)=O ZINC000852670425 588695083 /nfs/dbraw/zinc/69/50/83/588695083.db2.gz XLCLIAIHEOGECK-OAHLLOKOSA-N 0 3 233.421 2.513 20 0 BFADHN CCCCC[C@H]1CCCCN1CC[S@](C)=O ZINC000852712492 588697180 /nfs/dbraw/zinc/69/71/80/588697180.db2.gz QLDWTRMZNVQGEW-BBRMVZONSA-N 0 3 245.432 2.800 20 0 BFADHN Cc1oncc1CN(CCC1CC1)CC1CC1 ZINC000852717177 588697830 /nfs/dbraw/zinc/69/78/30/588697830.db2.gz FUEKCESRTBVMHJ-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1cnc(CN(CCC2CC2)CC2CC2)cn1 ZINC000852718356 588698199 /nfs/dbraw/zinc/69/81/99/588698199.db2.gz YPPRSTBQVFHDKM-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN COCC1(NC/C=C\Cl)CCCCC1 ZINC000852771553 588701231 /nfs/dbraw/zinc/70/12/31/588701231.db2.gz SDRCEIBCYPHGTO-YVMONPNESA-N 0 3 217.740 2.678 20 0 BFADHN CN(Cc1cccc(F)n1)C[C@@H]1CC1(C)C ZINC000852772465 588701533 /nfs/dbraw/zinc/70/15/33/588701533.db2.gz NRTSYXCOUDLHAZ-JTQLQIEISA-N 0 3 222.307 2.699 20 0 BFADHN CCC/C=C/C(=O)Nc1cccc(CNC)c1 ZINC000852793308 588702902 /nfs/dbraw/zinc/70/29/02/588702902.db2.gz WTBGKBKLJFCEMA-WEVVVXLNSA-N 0 3 232.327 2.701 20 0 BFADHN CC[C@@H](NC)C(=O)N(C)c1ccc(C(C)C)cc1 ZINC000852848754 588707858 /nfs/dbraw/zinc/70/78/58/588707858.db2.gz RAWGQMVUKBEGEV-CQSZACIVSA-N 0 3 248.370 2.771 20 0 BFADHN CCC/C=C\C(=O)Nc1ccc2c(c1)CNC2 ZINC000852882012 588711085 /nfs/dbraw/zinc/71/10/85/588711085.db2.gz VORJBZZYOJJGKU-PLNGDYQASA-N 0 3 230.311 2.585 20 0 BFADHN Cc1c(CN(C)C2CCCC2)ccnc1F ZINC000862216337 589194472 /nfs/dbraw/zinc/19/44/72/589194472.db2.gz HSVWKYZNJJOTID-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccnc(F)c1C ZINC000862231202 589195511 /nfs/dbraw/zinc/19/55/11/589195511.db2.gz FICJISUWMPGXNV-GFCCVEGCSA-N 0 3 222.307 2.904 20 0 BFADHN Cc1c(CN(C(C)C)C2CC2)ccnc1F ZINC000862224172 589195896 /nfs/dbraw/zinc/19/58/96/589195896.db2.gz VJOMDCFBNRJBSV-UHFFFAOYSA-N 0 3 222.307 2.902 20 0 BFADHN Cc1c(CN2CCC[C@@H]2C)ccnc1F ZINC000862242218 589196151 /nfs/dbraw/zinc/19/61/51/589196151.db2.gz AEGSJADQUMBSLE-VIFPVBQESA-N 0 3 208.280 2.513 20 0 BFADHN Cc1c(CN2CCC3(CCC3)C2)ccnc1F ZINC000862246793 589196571 /nfs/dbraw/zinc/19/65/71/589196571.db2.gz UMJCPGDJEVZASK-UHFFFAOYSA-N 0 3 234.318 2.905 20 0 BFADHN Cc1c(CN2[C@H](C)CC[C@@H]2C)ccnc1F ZINC000862251806 589197307 /nfs/dbraw/zinc/19/73/07/589197307.db2.gz NQHGDRDMFPBMJS-AOOOYVTPSA-N 0 3 222.307 2.902 20 0 BFADHN CC[C@@H]1CCN(Cc2ccnc(F)c2C)C1 ZINC000862251655 589197392 /nfs/dbraw/zinc/19/73/92/589197392.db2.gz KIACKONYYQHXJW-LLVKDONJSA-N 0 3 222.307 2.761 20 0 BFADHN Cc1c(CN2CC[C@@H](C)[C@H]2C)ccnc1F ZINC000862251733 589197567 /nfs/dbraw/zinc/19/75/67/589197567.db2.gz MFAAROCSHSBERH-MWLCHTKSSA-N 0 3 222.307 2.759 20 0 BFADHN C[C@@H](Cc1ccco1)NCc1cnc(C2CC2)o1 ZINC000862266461 589198158 /nfs/dbraw/zinc/19/81/58/589198158.db2.gz QCAXAVNDDAPZHO-JTQLQIEISA-N 0 3 246.310 2.866 20 0 BFADHN Cc1ccc([C@H](C)CN2CC3(C2)CCOC3)cc1 ZINC000862380589 589206317 /nfs/dbraw/zinc/20/63/17/589206317.db2.gz KBRBDNRIWPZJQS-CQSZACIVSA-N 0 3 245.366 2.821 20 0 BFADHN c1cc2cc(CN3CC4(CC4)C3)ccc2[nH]1 ZINC000862382401 589206611 /nfs/dbraw/zinc/20/66/11/589206611.db2.gz SRLAXRSFYJGLAW-UHFFFAOYSA-N 0 3 212.296 2.764 20 0 BFADHN CCc1nc(CN[C@H](C)C2CC(F)(F)C2)co1 ZINC000862387314 589207479 /nfs/dbraw/zinc/20/74/79/589207479.db2.gz JVEYHPIWGJNLHT-MRVPVSSYSA-N 0 3 244.285 2.760 20 0 BFADHN COCCC1CCN(Cc2ccoc2)CC1 ZINC000862438317 589213003 /nfs/dbraw/zinc/21/30/03/589213003.db2.gz ZRMFOQOPSPEZMK-UHFFFAOYSA-N 0 3 223.316 2.528 20 0 BFADHN c1csc(CC2CN(C[C@H]3CCC=CO3)C2)c1 ZINC000862540116 589218563 /nfs/dbraw/zinc/21/85/63/589218563.db2.gz ZZQZMSTVJPGFMF-CYBMUJFWSA-N 0 3 249.379 2.915 20 0 BFADHN Oc1ccc([C@@H]2CCN(Cc3ccoc3)C2)cc1 ZINC000862540823 589218730 /nfs/dbraw/zinc/21/87/30/589218730.db2.gz KOZSEMWZGPOCLA-CQSZACIVSA-N 0 3 243.306 2.975 20 0 BFADHN C/C=C/CNC[C@H](O)c1cccc(Cl)c1 ZINC000863581660 589300982 /nfs/dbraw/zinc/30/09/82/589300982.db2.gz DTXVRKRKDYHJSL-JDGPPOGSSA-N 0 3 225.719 2.539 20 0 BFADHN C/C=C/CNC[C@H](O)c1ccc(F)cc1Cl ZINC000863582331 589301716 /nfs/dbraw/zinc/30/17/16/589301716.db2.gz KYEYAOZEDFZWPO-JDGPPOGSSA-N 0 3 243.709 2.678 20 0 BFADHN Cc1ncc(-c2ccc(CN(C)C)cc2)cc1N ZINC000863691600 589310864 /nfs/dbraw/zinc/31/08/64/589310864.db2.gz INQQHPBGLRIXRJ-UHFFFAOYSA-N 0 3 241.338 2.701 20 0 BFADHN Cc1cc(N)ncc1-c1ccc(CN(C)C)cc1 ZINC000863691537 589311067 /nfs/dbraw/zinc/31/10/67/589311067.db2.gz GCYOHYSTYRMTKB-UHFFFAOYSA-N 0 3 241.338 2.701 20 0 BFADHN CC1(C)C[C@@H](NC/C=C/Cl)C(C)(C)O1 ZINC000119747303 589329387 /nfs/dbraw/zinc/32/93/87/589329387.db2.gz GJCALXSOLRXJMX-VUHVRTRXSA-N 0 3 217.740 2.675 20 0 BFADHN CCOCCN[C@@H]1c2ccccc2NC1(C)C ZINC000863984264 589333313 /nfs/dbraw/zinc/33/33/13/589333313.db2.gz PQVPEOJQASOUSN-CYBMUJFWSA-N 0 3 234.343 2.558 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CCCOC2)c(C)o1 ZINC000119783249 589333902 /nfs/dbraw/zinc/33/39/02/589333902.db2.gz IXFDDZFBAQDATB-CYBMUJFWSA-N 0 3 237.343 2.755 20 0 BFADHN Cc1cc(CNCCc2ccc(C)nc2)c(C)o1 ZINC000120261117 589398205 /nfs/dbraw/zinc/39/82/05/589398205.db2.gz NNHYGAREPIOGOL-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN CC(C)CCNCc1cc2c(cc[nH]c2=O)o1 ZINC000865398169 589431672 /nfs/dbraw/zinc/43/16/72/589431672.db2.gz RZWOUYQQKNYAPJ-UHFFFAOYSA-N 0 3 234.299 2.669 20 0 BFADHN c1nc(CNCC2CCCCC2)cn1C1CC1 ZINC000865398200 589431676 /nfs/dbraw/zinc/43/16/76/589431676.db2.gz SQGCKNFTUMXGKC-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN CC(C)CNCc1cccnc1Br ZINC000865399741 589432504 /nfs/dbraw/zinc/43/25/04/589432504.db2.gz VHUOMVLBQGLIQJ-UHFFFAOYSA-N 0 3 243.148 2.590 20 0 BFADHN c1cc(CCNCc2cn(C3CC3)cn2)cs1 ZINC000865408042 589433646 /nfs/dbraw/zinc/43/36/46/589433646.db2.gz QUEJORNNSJIOTA-UHFFFAOYSA-N 0 3 247.367 2.612 20 0 BFADHN c1nc(CNC[C@@H]2CC=CCC2)cn1C1CC1 ZINC000865431140 589435471 /nfs/dbraw/zinc/43/54/71/589435471.db2.gz DXSJAYSYCGKTGS-GFCCVEGCSA-N 0 3 231.343 2.664 20 0 BFADHN c1nc(CNC[C@H]2CC=CCC2)cn1C1CC1 ZINC000865431141 589435516 /nfs/dbraw/zinc/43/55/16/589435516.db2.gz DXSJAYSYCGKTGS-LBPRGKRZSA-N 0 3 231.343 2.664 20 0 BFADHN CCC[C@H](O)CNCc1cccc(Cl)c1C ZINC000865461685 589437897 /nfs/dbraw/zinc/43/78/97/589437897.db2.gz XUGMZXGFSQORRZ-LBPRGKRZSA-N 0 3 241.762 2.899 20 0 BFADHN CSC[C@@H](C)NCc1cnc(Cl)c(F)c1 ZINC000865468593 589438382 /nfs/dbraw/zinc/43/83/82/589438382.db2.gz IYTPAJNXBFSHIC-SSDOTTSWSA-N 0 3 248.754 2.715 20 0 BFADHN c1nc(CN[C@H]2CC3CCC2CC3)cn1C1CC1 ZINC000865495394 589440821 /nfs/dbraw/zinc/44/08/21/589440821.db2.gz ILLGIRRUFSBDNJ-QOZQQMKHSA-N 0 3 245.370 2.886 20 0 BFADHN Cc1c(Cl)cccc1CN[C@@]1(C)CCOC1 ZINC000865509926 589442133 /nfs/dbraw/zinc/44/21/33/589442133.db2.gz GPNZPVYUKNALOS-ZDUSSCGKSA-N 0 3 239.746 2.917 20 0 BFADHN c1nc(CNC23CCC(CC2)C3)cn1C1CC1 ZINC000865526103 589443461 /nfs/dbraw/zinc/44/34/61/589443461.db2.gz LEYUWZNULLXZTA-UHFFFAOYSA-N 0 3 231.343 2.640 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cc3c(cc[nH]c3=O)o2)C1 ZINC000865537161 589443995 /nfs/dbraw/zinc/44/39/95/589443995.db2.gz AZYFPNBSOWXKLU-NXEZZACHSA-N 0 3 246.310 2.812 20 0 BFADHN CO[C@@H](C)CNCc1cccc(Cl)c1C ZINC000865539123 589444575 /nfs/dbraw/zinc/44/45/75/589444575.db2.gz PSHVOCGRBGLNIT-VIFPVBQESA-N 0 3 227.735 2.773 20 0 BFADHN CC(C)=CCCNCc1cc2c(cc[nH]c2=O)o1 ZINC000865542957 589444922 /nfs/dbraw/zinc/44/49/22/589444922.db2.gz UDFYILJGMSAIRG-UHFFFAOYSA-N 0 3 246.310 2.979 20 0 BFADHN c1nc(CN[C@H](C2CC2)C2CCC2)cn1C1CC1 ZINC000865595694 589449846 /nfs/dbraw/zinc/44/98/46/589449846.db2.gz OEEJHXMEDSVONI-HNNXBMFYSA-N 0 3 245.370 2.886 20 0 BFADHN CN(C)c1ccc(F)cc1CN[C@@H]1CC12CC2 ZINC000865609702 589450993 /nfs/dbraw/zinc/45/09/93/589450993.db2.gz POPMXEMBJQEXOV-CYBMUJFWSA-N 0 3 234.318 2.534 20 0 BFADHN Cc1cc(C)c(/C=C/CN[C@@H]2CC[C@H]2O)c(C)c1 ZINC000865608896 589451218 /nfs/dbraw/zinc/45/12/18/589451218.db2.gz DQIQGWUVCJKFGH-FZZYSBJUSA-N 0 3 245.366 2.738 20 0 BFADHN C[C@@H](NCc1cn(C2CC2)cn1)C(C)(C)C ZINC000865616362 589451306 /nfs/dbraw/zinc/45/13/06/589451306.db2.gz QMVGHDJZJZAISG-SNVBAGLBSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@]1(CNCc2cn(C3CC3)cn2)C[C@H]2C[C@H]2C1 ZINC000865643019 589454129 /nfs/dbraw/zinc/45/41/29/589454129.db2.gz QILOVUVSYKEKEA-JYAVWHMHSA-N 0 3 245.370 2.744 20 0 BFADHN C[C@H]1CC[C@@H](NCc2ccnc(F)c2)CS1 ZINC000865721970 589458414 /nfs/dbraw/zinc/45/84/14/589458414.db2.gz CIFQXKDZJNIYFD-GXSJLCMTSA-N 0 3 240.347 2.594 20 0 BFADHN CC[C@@H]1C[C@H](CNCc2ccc(C)o2)CCO1 ZINC000865724363 589458615 /nfs/dbraw/zinc/45/86/15/589458615.db2.gz RCXNOWVYOSIAKT-CHWSQXEVSA-N 0 3 237.343 2.883 20 0 BFADHN CC[C@H]1C[C@@H](CNCc2ccc(C)o2)CCO1 ZINC000865724366 589458665 /nfs/dbraw/zinc/45/86/65/589458665.db2.gz RCXNOWVYOSIAKT-STQMWFEESA-N 0 3 237.343 2.883 20 0 BFADHN CS[C@H]1C[C@H](NCc2ccc(F)cc2F)C1 ZINC000865734613 589459429 /nfs/dbraw/zinc/45/94/29/589459429.db2.gz HFWKEELUJANTQP-XYPYZODXSA-N 0 3 243.322 2.948 20 0 BFADHN CC(C)(NCc1ccccn1)[C@H]1CCCCO1 ZINC000865747969 589460701 /nfs/dbraw/zinc/46/07/01/589460701.db2.gz XBXNQFXSMLOAEL-CYBMUJFWSA-N 0 3 234.343 2.519 20 0 BFADHN C[C@@H](NCc1noc2ccc(F)cc12)C1CC1 ZINC000865761722 589461314 /nfs/dbraw/zinc/46/13/14/589461314.db2.gz OXMAUOAQRZHCNR-MRVPVSSYSA-N 0 3 234.274 2.855 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1nn(C)cc1C(F)F ZINC000865808938 589462546 /nfs/dbraw/zinc/46/25/46/589462546.db2.gz VFWMQTOOWCWMET-PSASIEDQSA-N 0 3 243.301 2.636 20 0 BFADHN CC1(CNCc2noc3cc(F)ccc23)CC1 ZINC000865836904 589464016 /nfs/dbraw/zinc/46/40/16/589464016.db2.gz XDMSBBVLPZZLEV-UHFFFAOYSA-N 0 3 234.274 2.857 20 0 BFADHN Cc1ccc(CN[C@]23CCC[C@H]2OCC3)c(F)c1 ZINC000865856555 589465284 /nfs/dbraw/zinc/46/52/84/589465284.db2.gz HEHRRCFSKXCVLP-CABCVRRESA-N 0 3 249.329 2.935 20 0 BFADHN Cn1cccc1CNC1([C@H]2CCCCO2)CCC1 ZINC000865857784 589465398 /nfs/dbraw/zinc/46/53/98/589465398.db2.gz URDXJPADGVMGSW-CQSZACIVSA-N 0 3 248.370 2.607 20 0 BFADHN Cc1cc(CN[C@]23CCC[C@H]2OCC3)cs1 ZINC000865858974 589465474 /nfs/dbraw/zinc/46/54/74/589465474.db2.gz PFAGBQKDQKWASS-OLZOCXBDSA-N 0 3 237.368 2.858 20 0 BFADHN Cc1ncc([C@@H](C)Nc2ccnc(CO)c2)s1 ZINC000866055261 589474227 /nfs/dbraw/zinc/47/42/27/589474227.db2.gz RYURUEKHJNGQFM-MRVPVSSYSA-N 0 3 249.339 2.512 20 0 BFADHN CC(C)[C@H](NCC1(CO)CCC1)c1ccccn1 ZINC000866338530 589486976 /nfs/dbraw/zinc/48/69/76/589486976.db2.gz SVPIWAFDRLDWQI-AWEZNQCLSA-N 0 3 248.370 2.531 20 0 BFADHN C[C@@]1(O)C[C@H](N[C@H]2CCCc3c(F)cccc32)C1 ZINC000866375786 589488856 /nfs/dbraw/zinc/48/88/56/589488856.db2.gz FKZZLXZSIRHSAH-NZVBXONLSA-N 0 3 249.329 2.706 20 0 BFADHN CCC(C)(C)CCN[C@@H](C)c1ncc[nH]1 ZINC000866428763 589492191 /nfs/dbraw/zinc/49/21/91/589492191.db2.gz FSZAZNRESIIWQL-JTQLQIEISA-N 0 3 209.337 2.887 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1noc2ccc(F)cc12 ZINC000866510691 589498694 /nfs/dbraw/zinc/49/86/94/589498694.db2.gz IDPFPSVGWOCEOC-LDYMZIIASA-N 0 3 234.274 2.855 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1nn(C)cc1C(F)F ZINC000866563472 589500669 /nfs/dbraw/zinc/50/06/69/589500669.db2.gz MNXCJFYMUAEBMR-IUCAKERBSA-N 0 3 245.317 2.882 20 0 BFADHN C[C@H](NCC[C@H](O)C1CCCC1)c1ccncc1 ZINC000866637131 589506624 /nfs/dbraw/zinc/50/66/24/589506624.db2.gz DSFZFZOBUMNENJ-WFASDCNBSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H](NCC[C@@H](O)C1CCCC1)c1cccnc1 ZINC000866638494 589506693 /nfs/dbraw/zinc/50/66/93/589506693.db2.gz RDRZASYHQKLBRU-IUODEOHRSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@H](NCC[C@H](O)C1CCCC1)c1ccoc1 ZINC000866639300 589507272 /nfs/dbraw/zinc/50/72/72/589507272.db2.gz XRFRAHHBYGPMOK-FZMZJTMJSA-N 0 3 237.343 2.871 20 0 BFADHN CCCC(=O)N1c2ccccc2[C@H](N)C1(C)C ZINC000867990707 589542718 /nfs/dbraw/zinc/54/27/18/589542718.db2.gz FVSLFAYSTNNLNR-ZDUSSCGKSA-N 0 3 232.327 2.612 20 0 BFADHN Cn1c2ccccc2nc1CNCCC1CCC1 ZINC000123007273 589651330 /nfs/dbraw/zinc/65/13/30/589651330.db2.gz LUCIVEBFAXPBKO-UHFFFAOYSA-N 0 3 243.354 2.853 20 0 BFADHN C[C@@H]1CC[C@H](C)N1C[C@H](O)c1cccc(F)c1 ZINC000123059704 589658389 /nfs/dbraw/zinc/65/83/89/589658389.db2.gz JNOCSJROAWKKLG-WDMOLILDSA-N 0 3 237.318 2.732 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)c1ccsc1C ZINC000870548668 589673965 /nfs/dbraw/zinc/67/39/65/589673965.db2.gz SQRFKWNZSYQSSC-JTQLQIEISA-N 0 3 241.356 2.554 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)/C=C/c1ccccc1 ZINC000870553322 589674648 /nfs/dbraw/zinc/67/46/48/589674648.db2.gz ZNKHWWQTQWNMPN-VNDWYCCKSA-N 0 3 247.338 2.583 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)c1sccc1C ZINC000870551267 589674823 /nfs/dbraw/zinc/67/48/23/589674823.db2.gz FLBZKJFPGRIAKF-JTQLQIEISA-N 0 3 241.356 2.554 20 0 BFADHN CC(C)[C@@H](CN(C)C)OC(=O)C(C)(C)C1CC1 ZINC000870566727 589676476 /nfs/dbraw/zinc/67/64/76/589676476.db2.gz IBPVPBREARCGBG-GFCCVEGCSA-N 0 3 241.375 2.552 20 0 BFADHN CC[C@@H](NCc1cc(C)oc1C)[C@H]1CCCO1 ZINC000123511357 589740287 /nfs/dbraw/zinc/74/02/87/589740287.db2.gz GSMLPHWSPNBFLZ-ZIAGYGMSSA-N 0 3 237.343 2.944 20 0 BFADHN Cc1conc1COc1cc(C)nc(C)c1C ZINC000871753858 589805714 /nfs/dbraw/zinc/80/57/14/589805714.db2.gz YWYSHCXBLWEQAK-UHFFFAOYSA-N 0 3 232.283 2.882 20 0 BFADHN CCOc1cccc(F)c1CNc1nccn1C ZINC000871863852 589827184 /nfs/dbraw/zinc/82/71/84/589827184.db2.gz FPPLFNFMWBZHAT-UHFFFAOYSA-N 0 3 249.289 2.570 20 0 BFADHN C/C=C\CN[C@@H](CC)C(=O)Nc1ccc(C)cc1 ZINC000871897199 589830909 /nfs/dbraw/zinc/83/09/09/589830909.db2.gz QRPDGOVPDBIQOI-SDQPKGBYSA-N 0 3 246.354 2.878 20 0 BFADHN CCOCCC[NH2+][C@@H](C)c1cccc([O-])c1F ZINC000872016316 589856179 /nfs/dbraw/zinc/85/61/79/589856179.db2.gz HXWTXMIRXHJFHC-JTQLQIEISA-N 0 3 241.306 2.609 20 0 BFADHN C[C@H](NC[C@H]1C[C@H]1C)c1cc(O)ccc1F ZINC000872026434 589857257 /nfs/dbraw/zinc/85/72/57/589857257.db2.gz ATIXESTUXFUHRV-KXUCPTDWSA-N 0 3 223.291 2.838 20 0 BFADHN COCC[C@@H](C)[NH2+][C@H](C)c1cccc([O-])c1F ZINC000872031634 589857656 /nfs/dbraw/zinc/85/76/56/589857656.db2.gz RRUNWFCSXWHZIY-NXEZZACHSA-N 0 3 241.306 2.607 20 0 BFADHN CC[C@H](CCC(F)(F)F)NCc1ccon1 ZINC000872041267 589858836 /nfs/dbraw/zinc/85/88/36/589858836.db2.gz ROWKDCQSRIKIRX-MRVPVSSYSA-N 0 3 236.237 2.885 20 0 BFADHN CSC[C@H](C)N[C@H](C)c1cc(O)ccc1F ZINC000872037974 589859342 /nfs/dbraw/zinc/85/93/42/589859342.db2.gz JEGZBDDZANQLBW-DTWKUNHWSA-N 0 3 243.347 2.933 20 0 BFADHN C[C@H](NC1CC=CC1)c1cc(O)ccc1F ZINC000872044809 589859727 /nfs/dbraw/zinc/85/97/27/589859727.db2.gz WPDMJROHTNLJFV-VIFPVBQESA-N 0 3 221.275 2.901 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1cc(O)ccc1F ZINC000872051422 589860351 /nfs/dbraw/zinc/86/03/51/589860351.db2.gz IDZBNZGONIQGHT-DTWKUNHWSA-N 0 3 243.347 2.933 20 0 BFADHN C[C@H](N[C@H]1CCSC1)c1cc(O)ccc1F ZINC000872047924 589860583 /nfs/dbraw/zinc/86/05/83/589860583.db2.gz GXXNAWQAPKVDMB-IUCAKERBSA-N 0 3 241.331 2.687 20 0 BFADHN C[C@H](NCCC1CC1)c1cc(O)ccc1F ZINC000872048334 589860756 /nfs/dbraw/zinc/86/07/56/589860756.db2.gz IPZIAGZVMZFDKG-VIFPVBQESA-N 0 3 223.291 2.982 20 0 BFADHN C[C@@H](F)CC[NH2+][C@H](C)c1cccc([O-])c1F ZINC000872072110 589863026 /nfs/dbraw/zinc/86/30/26/589863026.db2.gz FCOBWXGMCJYXNJ-RKDXNWHRSA-N 0 3 229.270 2.930 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1cccc(O)c1F ZINC000872082608 589866041 /nfs/dbraw/zinc/86/60/41/589866041.db2.gz YIIXWOSYWWHETR-GZMMTYOYSA-N 0 3 221.275 2.734 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1cccc(O)c1F ZINC000872082610 589866429 /nfs/dbraw/zinc/86/64/29/589866429.db2.gz YIIXWOSYWWHETR-KWQFWETISA-N 0 3 221.275 2.734 20 0 BFADHN CC[C@@H](NCc1cn(CC)nn1)C(C)(C)CC ZINC000872083882 589867026 /nfs/dbraw/zinc/86/70/26/589867026.db2.gz BTIPOAGMYYEODN-GFCCVEGCSA-N 0 3 238.379 2.602 20 0 BFADHN C[C@@H](NCCC[C@H]1C=CCC1)c1ccn(C)n1 ZINC000872107025 589873099 /nfs/dbraw/zinc/87/30/99/589873099.db2.gz NNFRZUZQPJQDPJ-OLZOCXBDSA-N 0 3 233.359 2.817 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@H]1CCC12CCC2 ZINC000872131949 589877575 /nfs/dbraw/zinc/87/75/75/589877575.db2.gz AVYIWYKHAWCLBJ-NEPJUHHUSA-N 0 3 231.343 2.768 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@H]1NCc1nonc1C ZINC000872135259 589878641 /nfs/dbraw/zinc/87/86/41/589878641.db2.gz CXGFOZLWTUPZGF-MVWJERBFSA-N 0 3 237.347 2.682 20 0 BFADHN O[C@@H](CN[C@@H]1CCCC[C@@H]1F)CC1CCCC1 ZINC000872218008 589895998 /nfs/dbraw/zinc/89/59/98/589895998.db2.gz DKZUFYDCZGBMPB-HZSPNIEDSA-N 0 3 243.366 2.798 20 0 BFADHN FC1(CN2CCC[C@H](OC3CCC3)CC2)CC1 ZINC000872259126 589901149 /nfs/dbraw/zinc/90/11/49/589901149.db2.gz LYYKOKVEMNUKPM-ZDUSSCGKSA-N 0 3 241.350 2.912 20 0 BFADHN CN1CCN(CCCC2CC2)Cc2cccnc21 ZINC000872320122 589911968 /nfs/dbraw/zinc/91/19/68/589911968.db2.gz JFZRSIZAPWONQT-UHFFFAOYSA-N 0 3 245.370 2.524 20 0 BFADHN COc1cccc(CNCCC[C@H]2C=CCC2)n1 ZINC000873153660 589987526 /nfs/dbraw/zinc/98/75/26/589987526.db2.gz CJCYUFCMQKKYIB-ZDUSSCGKSA-N 0 3 246.354 2.926 20 0 BFADHN Cc1nc(CNCCC[C@H]2C=CCC2)[nH]c1C ZINC000873154240 589987780 /nfs/dbraw/zinc/98/77/80/589987780.db2.gz SHMUIVJEZIVILB-ZDUSSCGKSA-N 0 3 233.359 2.863 20 0 BFADHN CC(C)OC1(CNCc2cccc(O)c2)CCC1 ZINC000873168507 589989013 /nfs/dbraw/zinc/98/90/13/589989013.db2.gz BSZGEKLKHBCNAZ-UHFFFAOYSA-N 0 3 249.354 2.830 20 0 BFADHN Cc1ccncc1CNCC1(OC(C)C)CCC1 ZINC000873168128 589989232 /nfs/dbraw/zinc/98/92/32/589989232.db2.gz UOVKWRQOYBLKCY-UHFFFAOYSA-N 0 3 248.370 2.827 20 0 BFADHN [O-]c1ccc(C[NH2+]CC2(F)CC2)cc1Cl ZINC000873179330 589990733 /nfs/dbraw/zinc/99/07/33/589990733.db2.gz IDMJUPLEBRSDNR-UHFFFAOYSA-N 0 3 229.682 2.637 20 0 BFADHN CCCC[C@@H](CCC)NCc1cnc(N)cn1 ZINC000873211556 589996669 /nfs/dbraw/zinc/99/66/69/589996669.db2.gz CBZIMMNETYFAFW-LLVKDONJSA-N 0 3 236.363 2.507 20 0 BFADHN CC(C)Cc1noc(C[C@@H](N)c2ccccc2)n1 ZINC000020000686 590066155 /nfs/dbraw/zinc/06/61/55/590066155.db2.gz KJTCVLNGJIFLDZ-GFCCVEGCSA-N 0 3 245.326 2.511 20 0 BFADHN CC(C)Cc1noc(C[C@H](N)c2ccccc2)n1 ZINC000020000689 590066943 /nfs/dbraw/zinc/06/69/43/590066943.db2.gz KJTCVLNGJIFLDZ-LBPRGKRZSA-N 0 3 245.326 2.511 20 0 BFADHN CC(C)Cc1noc([C@@H]2C[C@H]3CCCC[C@@H]3N2)n1 ZINC000020019258 590067429 /nfs/dbraw/zinc/06/74/29/590067429.db2.gz VSYOAGOQZFELAM-WOPDTQHZSA-N 0 3 249.358 2.861 20 0 BFADHN COc1c(C)cnc(CNC2CCCC2)c1C ZINC000020037177 590068346 /nfs/dbraw/zinc/06/83/46/590068346.db2.gz UNNSBZNKHSCIHO-UHFFFAOYSA-N 0 3 234.343 2.739 20 0 BFADHN C[C@H](NCc1ccncc1)c1cccs1 ZINC000020139864 590078023 /nfs/dbraw/zinc/07/80/23/590078023.db2.gz DSCVLICCGYTXFE-JTQLQIEISA-N 0 3 218.325 2.994 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccc(F)cc1)c1ccoc1 ZINC000124911305 590097906 /nfs/dbraw/zinc/09/79/06/590097906.db2.gz XPFPOLGXIXVEBM-IINYFYTJSA-N 0 3 249.285 2.803 20 0 BFADHN Cc1nn(C)c(Cl)c1CNCCCC1CC1 ZINC000124964007 590106652 /nfs/dbraw/zinc/10/66/52/590106652.db2.gz PBUHBPWRMPMJAC-UHFFFAOYSA-N 0 3 241.766 2.662 20 0 BFADHN CCCN(C)CC(=O)N1CCCC1(CC)CC ZINC000874628041 590119369 /nfs/dbraw/zinc/11/93/69/590119369.db2.gz BNBPHSFDWBRQRI-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@@H]1CCN(C[C@H](O)c2cccc(F)c2)C1 ZINC000125144765 590126331 /nfs/dbraw/zinc/12/63/31/590126331.db2.gz OJUCRBQJYHXIEO-RISCZKNCSA-N 0 3 237.318 2.591 20 0 BFADHN Cc1cc(N[C@@H]2CCCCC2(C)C)nc(N)n1 ZINC000125761206 590188666 /nfs/dbraw/zinc/18/86/66/590188666.db2.gz FPVWOAACQGRSFE-SNVBAGLBSA-N 0 3 234.347 2.748 20 0 BFADHN CCCCN(C)Cc1cc2c(cc[nH]c2=O)o1 ZINC000876525579 590208223 /nfs/dbraw/zinc/20/82/23/590208223.db2.gz HZUBWIGJSFYSRK-UHFFFAOYSA-N 0 3 234.299 2.765 20 0 BFADHN CCC[C@@H](CC)C(=O)NC[C@H](N)c1ccccc1 ZINC000876526420 590208294 /nfs/dbraw/zinc/20/82/94/590208294.db2.gz YXHNNDZPZTWOCB-OCCSQVGLSA-N 0 3 248.370 2.629 20 0 BFADHN CCc1cccc(NC(=O)[C@@H]2C[C@H](C)CCN2)c1 ZINC000126021214 590215386 /nfs/dbraw/zinc/21/53/86/590215386.db2.gz BMZCPEWTTHJLSX-RISCZKNCSA-N 0 3 246.354 2.576 20 0 BFADHN c1cc(CNC[C@H]2COc3ccccc32)co1 ZINC000126034853 590216418 /nfs/dbraw/zinc/21/64/18/590216418.db2.gz QFYPZBFPZAMVJJ-LBPRGKRZSA-N 0 3 229.279 2.545 20 0 BFADHN Cc1c(Cl)cccc1CN(CCO)C1CC1 ZINC000876579634 590218763 /nfs/dbraw/zinc/21/87/63/590218763.db2.gz JAVUIZQCYUQZAN-UHFFFAOYSA-N 0 3 239.746 2.605 20 0 BFADHN O[C@H]1CCN(Cc2cccc(CC3CCC3)c2)C1 ZINC000876590554 590219142 /nfs/dbraw/zinc/21/91/42/590219142.db2.gz XJTFKSMDSZAGAR-INIZCTEOSA-N 0 3 245.366 2.596 20 0 BFADHN Cc1cc(C)cc(CCN2CCCOCC2)c1 ZINC000876618232 590221883 /nfs/dbraw/zinc/22/18/83/590221883.db2.gz NTDCRIMHBZSZLA-UHFFFAOYSA-N 0 3 233.355 2.568 20 0 BFADHN c1cc(CN2CCC3(CCCC3)C2)sn1 ZINC000876725822 590233508 /nfs/dbraw/zinc/23/35/08/590233508.db2.gz YPYATFQIFRSOBR-UHFFFAOYSA-N 0 3 222.357 2.909 20 0 BFADHN Fc1cccc2c1CCN(CCC[C@H]1CCO1)C2 ZINC000876719647 590233782 /nfs/dbraw/zinc/23/37/82/590233782.db2.gz QWRCNFXSCNDLBY-ZDUSSCGKSA-N 0 3 249.329 2.753 20 0 BFADHN CSC(C)(C)CCN1CCO[C@H](C)[C@H]1C ZINC000876738405 590235259 /nfs/dbraw/zinc/23/52/59/590235259.db2.gz CTBCHYJKAZOSSS-GHMZBOCLSA-N 0 3 231.405 2.627 20 0 BFADHN Cc1c(Cl)cccc1CN(C)CC[C@H](C)O ZINC000876746302 590237358 /nfs/dbraw/zinc/23/73/58/590237358.db2.gz QMROPTMFFJBAGV-JTQLQIEISA-N 0 3 241.762 2.851 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cc2c(cc[nH]c2=O)o1 ZINC000876807392 590245096 /nfs/dbraw/zinc/24/50/96/590245096.db2.gz CMXZJOFJRNCJLJ-UWVGGRQHSA-N 0 3 246.310 2.906 20 0 BFADHN CCCCCNCc1cc(OC)cnc1F ZINC000876889026 590254523 /nfs/dbraw/zinc/25/45/23/590254523.db2.gz XNQQUTSXTHSLAB-UHFFFAOYSA-N 0 3 226.295 2.509 20 0 BFADHN CC(C)NCc1cc2c(ccnc2Cl)o1 ZINC000876910940 590255987 /nfs/dbraw/zinc/25/59/87/590255987.db2.gz QCDQTTZCABAMOD-UHFFFAOYSA-N 0 3 224.691 2.979 20 0 BFADHN C[C@H]1C[C@H]1CNCc1nc(Cl)cs1 ZINC000876931509 590258123 /nfs/dbraw/zinc/25/81/23/590258123.db2.gz NWUZZNKEOWWAHK-BQBZGAKWSA-N 0 3 216.737 2.542 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ccc(Cl)nn1 ZINC000876970076 590262448 /nfs/dbraw/zinc/26/24/48/590262448.db2.gz ILRWHAFZNRVFIN-DTWKUNHWSA-N 0 3 227.739 2.654 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cc(OC)cnc1F ZINC000876970092 590262581 /nfs/dbraw/zinc/26/25/81/590262581.db2.gz IPOLZXSNZOALNF-NXEZZACHSA-N 0 3 240.322 2.754 20 0 BFADHN C[C@@H](NCc1cc(C2CC2)no1)C1(C)CC1 ZINC000876976687 590263417 /nfs/dbraw/zinc/26/34/17/590263417.db2.gz OZONEUHQFUSFHF-SECBINFHSA-N 0 3 220.316 2.830 20 0 BFADHN CCC(C)(CC)NCc1cc(OC)cnc1F ZINC000877034833 590271960 /nfs/dbraw/zinc/27/19/60/590271960.db2.gz PLTTWNRNJGJFKN-UHFFFAOYSA-N 0 3 240.322 2.898 20 0 BFADHN Fc1cccc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)n1 ZINC000877115315 590287669 /nfs/dbraw/zinc/28/76/69/590287669.db2.gz MCFHBSGHTSLWDI-UTUOFQBUSA-N 0 3 234.318 2.747 20 0 BFADHN Fc1cccc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)n1 ZINC000877115313 590288042 /nfs/dbraw/zinc/28/80/42/590288042.db2.gz MCFHBSGHTSLWDI-GRYCIOLGSA-N 0 3 234.318 2.747 20 0 BFADHN C[C@H](NC[C@H]1CC1(Cl)Cl)c1ccc[nH]1 ZINC000877125107 590289308 /nfs/dbraw/zinc/28/93/08/590289308.db2.gz XZKCDULWASYATB-JGVFFNPUSA-N 0 3 233.142 2.859 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccc[nH]1)CC(C)C ZINC000877123755 590289313 /nfs/dbraw/zinc/28/93/13/590289313.db2.gz CXWFWMSBUAZADM-NEPJUHHUSA-N 0 3 224.348 2.726 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccc[nH]1)CC(C)C ZINC000877123757 590289633 /nfs/dbraw/zinc/28/96/33/590289633.db2.gz CXWFWMSBUAZADM-RYUDHWBXSA-N 0 3 224.348 2.726 20 0 BFADHN CCCCCC[C@H](C)NC(=O)[C@@H](CC)N(C)C ZINC000126367784 590294075 /nfs/dbraw/zinc/29/40/75/590294075.db2.gz XNZONPVSIJMJOP-QWHCGFSZSA-N 0 3 242.407 2.802 20 0 BFADHN Clc1ccc(CNC23CCC(CC2)C3)nn1 ZINC000877308993 590311582 /nfs/dbraw/zinc/31/15/82/590311582.db2.gz GIGJDVRZRQYVHJ-UHFFFAOYSA-N 0 3 237.734 2.552 20 0 BFADHN COc1cccc(C)c1CNC[C@@H]1CCC=CO1 ZINC000877460735 590331461 /nfs/dbraw/zinc/33/14/61/590331461.db2.gz XDXIOUJEYMKHGW-ZDUSSCGKSA-N 0 3 247.338 2.786 20 0 BFADHN COc1cccc(C)c1CNC1CC(F)(F)C1 ZINC000877477096 590335676 /nfs/dbraw/zinc/33/56/76/590335676.db2.gz XHLIZIIWQWUJQK-UHFFFAOYSA-N 0 3 241.281 2.891 20 0 BFADHN Clc1ccc(CN[C@@H]2CC23CCCC3)nn1 ZINC000877476720 590336382 /nfs/dbraw/zinc/33/63/82/590336382.db2.gz LPQAWEGPJHZQSK-SNVBAGLBSA-N 0 3 237.734 2.552 20 0 BFADHN CC[C@H](C)[C@@H](CN1CCC(F)(F)CC1)OC ZINC000877491315 590339763 /nfs/dbraw/zinc/33/97/63/590339763.db2.gz IPGSWPFBWBXVQD-WDEREUQCSA-N 0 3 235.318 2.779 20 0 BFADHN c1c(CN[C@H]2CCC[C@H]3C[C@H]32)onc1C1CC1 ZINC000877502689 590342974 /nfs/dbraw/zinc/34/29/74/590342974.db2.gz QDIYBSXKZRORCX-UHTWSYAYSA-N 0 3 232.327 2.830 20 0 BFADHN Cc1nonc1CN[C@@H](C)[C@@H]1CCC(C)=C(C)C1 ZINC000877526453 590347868 /nfs/dbraw/zinc/34/78/68/590347868.db2.gz IMCIGYXQCKSYCF-WCQYABFASA-N 0 3 249.358 2.993 20 0 BFADHN CCc1ncc(CNCC2(C)CCCC2)o1 ZINC000877573024 590363065 /nfs/dbraw/zinc/36/30/65/590363065.db2.gz NCNIQTNHZRABIW-UHFFFAOYSA-N 0 3 222.332 2.907 20 0 BFADHN C[C@@H](NCc1ccc(Cl)nn1)C(C)(C)C ZINC000877615194 590375097 /nfs/dbraw/zinc/37/50/97/590375097.db2.gz RNLYMGLICXRKCK-MRVPVSSYSA-N 0 3 227.739 2.654 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2C[C@H](O)c3ccccc32)CS1 ZINC000877629531 590378464 /nfs/dbraw/zinc/37/84/64/590378464.db2.gz URMCBHBMMLNLFV-OAACRXHESA-N 0 3 249.379 2.648 20 0 BFADHN CSC(C)(C)CCN[C@@H](C)c1nccn1C ZINC000877639975 590381650 /nfs/dbraw/zinc/38/16/50/590381650.db2.gz HRMJBBMNEKEIMD-JTQLQIEISA-N 0 3 241.404 2.602 20 0 BFADHN C/C=C/CNCc1cccc(Cl)c1N ZINC000877656845 590386002 /nfs/dbraw/zinc/38/60/02/590386002.db2.gz BMGVGMSICOCGSL-NSCUHMNNSA-N 0 3 210.708 2.588 20 0 BFADHN CC[C@@H](C)[C@@H](CN[C@@H]1CCCC[C@@H]1F)OC ZINC000877681747 590390515 /nfs/dbraw/zinc/39/05/15/590390515.db2.gz BVVNHQVUEVFERD-YVECIDJPSA-N 0 3 231.355 2.918 20 0 BFADHN F[C@@H]1CCCC[C@@H]1NC[C@@H]1CCC=CO1 ZINC000877682983 590391026 /nfs/dbraw/zinc/39/10/26/590391026.db2.gz WHZFZGQOUZMIEI-TUAOUCFPSA-N 0 3 213.296 2.549 20 0 BFADHN Clc1ccc(CN[C@@]23C[C@@H]2CCCC3)nn1 ZINC000877685967 590391677 /nfs/dbraw/zinc/39/16/77/590391677.db2.gz JAUIPLOKNYJWIW-CABZTGNLSA-N 0 3 237.734 2.552 20 0 BFADHN C[C@@H](CC1CCC1)NCc1ccc(Cl)nn1 ZINC000877700066 590393532 /nfs/dbraw/zinc/39/35/32/590393532.db2.gz BFLMKAZCQHMUCF-VIFPVBQESA-N 0 3 239.750 2.798 20 0 BFADHN FC(F)(F)[C@@H]1C[C@H]1NC[C@@H]1CC1(Cl)Cl ZINC000877703408 590395843 /nfs/dbraw/zinc/39/58/43/590395843.db2.gz HPOMLZUOKXLCSE-KVQBGUIXSA-N 0 3 248.075 2.721 20 0 BFADHN Cc1ccc(CN2CC[C@H]3CSC[C@@H]3C2)cn1 ZINC000877737421 590405310 /nfs/dbraw/zinc/40/53/10/590405310.db2.gz IXQUHGFNQHMUBV-KBPBESRZSA-N 0 3 248.395 2.575 20 0 BFADHN CC(C)OC1(CNCc2ccns2)CCC1 ZINC000877807774 590426263 /nfs/dbraw/zinc/42/62/63/590426263.db2.gz UJTFKXRQFSUQHI-UHFFFAOYSA-N 0 3 240.372 2.580 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1noc2c1CC(C)(C)CC2 ZINC000877809935 590426298 /nfs/dbraw/zinc/42/62/98/590426298.db2.gz KAYOSUDCYQGYET-WDEREUQCSA-N 0 3 248.370 2.935 20 0 BFADHN CO[C@H]1C[C@@H](CN(C)Cc2ccccc2F)C1 ZINC000877901321 590443479 /nfs/dbraw/zinc/44/34/79/590443479.db2.gz KJQUAGRDINOCBQ-BJHJDKERSA-N 0 3 237.318 2.683 20 0 BFADHN CC(C)[C@@H](O)CCN(C)Cc1ccc(F)cc1 ZINC000877906223 590444331 /nfs/dbraw/zinc/44/43/31/590444331.db2.gz KUMRTXUJWGIGSV-AWEZNQCLSA-N 0 3 239.334 2.665 20 0 BFADHN CC(C)[C@@H](O)CCN(C)Cc1cccs1 ZINC000877932328 590450341 /nfs/dbraw/zinc/45/03/41/590450341.db2.gz POJWRXMCWNUIPR-LBPRGKRZSA-N 0 3 227.373 2.587 20 0 BFADHN C[C@H]1CCCCN1CCCOC(F)(F)F ZINC000877935036 590452440 /nfs/dbraw/zinc/45/24/40/590452440.db2.gz GRSSGMJJNRGXHM-VIFPVBQESA-N 0 3 225.254 2.787 20 0 BFADHN C=C/C=C\CCN(C)Cc1c(C)noc1C ZINC000877955660 590456602 /nfs/dbraw/zinc/45/66/02/590456602.db2.gz BPOZRZSBGHHWOE-SREVYHEPSA-N 0 3 220.316 2.856 20 0 BFADHN C=C/C=C\CCN1C[C@H](C)OC[C@@H]1CC ZINC000877963709 590460716 /nfs/dbraw/zinc/46/07/16/590460716.db2.gz JAMUMDJVCQMKEJ-JSIVBPPZSA-N 0 3 209.333 2.618 20 0 BFADHN C=C/C=C/CCN1C[C@@H](C)OC(C)(C)C1 ZINC000877994430 590468088 /nfs/dbraw/zinc/46/80/88/590468088.db2.gz FYPBLIUQONHOLX-NNNHXZLVSA-N 0 3 209.333 2.618 20 0 BFADHN CCN(CC[C@@H](O)C(C)C)Cc1ccoc1 ZINC000878036125 590477388 /nfs/dbraw/zinc/47/73/88/590477388.db2.gz HAYDKFBTMLITIC-CYBMUJFWSA-N 0 3 225.332 2.509 20 0 BFADHN C=C/C=C\CCN1CCOC2(CCCC2)C1 ZINC000878196960 590522846 /nfs/dbraw/zinc/52/28/46/590522846.db2.gz KBENEQVLEZAMSY-ARJAWSKDSA-N 0 3 221.344 2.764 20 0 BFADHN Cc1conc1CN(CC1CC1)CC1CC1 ZINC000878249485 590529468 /nfs/dbraw/zinc/52/94/68/590529468.db2.gz PVEGRAGTGORIOP-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN C=C/C=C/CCN(C)Cc1cccc(C)n1 ZINC000878256574 590530741 /nfs/dbraw/zinc/53/07/41/590530741.db2.gz YMHMCVQNCLJASE-AATRIKPKSA-N 0 3 216.328 2.954 20 0 BFADHN C[C@H](CN(C)Cc1cn[nH]c1)CC(C)(C)C ZINC000878263430 590530929 /nfs/dbraw/zinc/53/09/29/590530929.db2.gz KIQBUOJJFJDBLS-NSHDSACASA-N 0 3 223.364 2.914 20 0 BFADHN C=C/C=C\CCN1CCc2ccccc2[C@H]1CO ZINC000878267768 590531318 /nfs/dbraw/zinc/53/13/18/590531318.db2.gz HCTYNZCGUITFFN-MJSXRHKHSA-N 0 3 243.350 2.710 20 0 BFADHN C=C/C=C/CCN1CCc2ccccc2[C@@H]1CO ZINC000878267767 590531465 /nfs/dbraw/zinc/53/14/65/590531465.db2.gz HCTYNZCGUITFFN-CWDCEQMOSA-N 0 3 243.350 2.710 20 0 BFADHN C[C@@H]1COCCCN1CC[C@H]1CCCC1(F)F ZINC000878286725 590534606 /nfs/dbraw/zinc/53/46/06/590534606.db2.gz RZTLLTWBETXSCQ-VXGBXAGGSA-N 0 3 247.329 2.923 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2C[C@@H]1CC2(CCC2)CO1 ZINC000878295228 590536379 /nfs/dbraw/zinc/53/63/79/590536379.db2.gz XGUKFKBGSXYCQK-MJBXVCDLSA-N 0 3 233.355 2.739 20 0 BFADHN CC1=CCN(C[C@@H]2C[C@@H]3CCC[C@H]3O2)CC1 ZINC000878300537 590537187 /nfs/dbraw/zinc/53/71/87/590537187.db2.gz KGLPIEFROMMPQV-MELADBBJSA-N 0 3 221.344 2.596 20 0 BFADHN CC(C)[C@H](O)CCN1CCC[C@H]1c1ccncc1 ZINC000878310712 590539440 /nfs/dbraw/zinc/53/94/40/590539440.db2.gz PLURMCMTSRAZEC-LSDHHAIUSA-N 0 3 248.370 2.626 20 0 BFADHN CC1(C)CCC[C@H](CN2CC[C@H](C(F)F)C2)O1 ZINC000878320472 590545340 /nfs/dbraw/zinc/54/53/40/590545340.db2.gz NFTPGQSAJPWQSL-WDEREUQCSA-N 0 3 247.329 2.921 20 0 BFADHN C(C1CC2(CCC2)C1)N1CCOC[C@@H]1C1CC1 ZINC000878322624 590546687 /nfs/dbraw/zinc/54/66/87/590546687.db2.gz OVPIUSIHDULOSS-CQSZACIVSA-N 0 3 235.371 2.678 20 0 BFADHN C[C@@H](NC[C@H]1CC2(CCC2)CO1)c1ccncc1 ZINC000878344440 590553344 /nfs/dbraw/zinc/55/33/44/590553344.db2.gz CRQQKWIEZVGCBE-TZMCWYRMSA-N 0 3 246.354 2.691 20 0 BFADHN CC(C)[C@H](O)CCNC1(c2ccccc2)CC1 ZINC000878359338 590553681 /nfs/dbraw/zinc/55/36/81/590553681.db2.gz JPISOVCUMQSIHX-CQSZACIVSA-N 0 3 233.355 2.672 20 0 BFADHN CO[C@H]1C[C@@H](CNC2(c3ccccc3F)CC2)C1 ZINC000878364818 590554844 /nfs/dbraw/zinc/55/48/44/590554844.db2.gz INZTWSXUVDPEND-TXEJJXNPSA-N 0 3 249.329 2.829 20 0 BFADHN C[C@H]1OCC[C@@H]1CNC1(c2ccccc2F)CC1 ZINC000878366744 590555016 /nfs/dbraw/zinc/55/50/16/590555016.db2.gz XALNSXPDYOWUHO-VXGBXAGGSA-N 0 3 249.329 2.829 20 0 BFADHN FC(F)(F)OCCCN1CCCC2(CC2)C1 ZINC000878444404 590568319 /nfs/dbraw/zinc/56/83/19/590568319.db2.gz CULWZYXCVGJYHT-UHFFFAOYSA-N 0 3 237.265 2.789 20 0 BFADHN c1nc2c(s1)CN(CCCC1CC1)CC2 ZINC000878481334 590576093 /nfs/dbraw/zinc/57/60/93/590576093.db2.gz OYGGQEPCLOZICZ-UHFFFAOYSA-N 0 3 222.357 2.691 20 0 BFADHN C=C/C=C\CCN1CCO[C@@](C)(CC)C1 ZINC000878484688 590578289 /nfs/dbraw/zinc/57/82/89/590578289.db2.gz VOAPXSUGNWKRKA-FWWRYZNZSA-N 0 3 209.333 2.620 20 0 BFADHN Cc1conc1CN1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000878496682 590580131 /nfs/dbraw/zinc/58/01/31/590580131.db2.gz GWIHRNWMMAEIFK-CKYFFXLPSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1conc1CN1C[C@H](C)C[C@H](C)[C@H]1C ZINC000878496684 590580295 /nfs/dbraw/zinc/58/02/95/590580295.db2.gz GWIHRNWMMAEIFK-JFGNBEQYSA-N 0 3 222.332 2.849 20 0 BFADHN C=C/C=C\CCN1CCC[C@@H]1c1cc[nH]n1 ZINC000878523549 590586217 /nfs/dbraw/zinc/58/62/17/590586217.db2.gz DTJPHAKRVOVURN-DHCBQETCSA-N 0 3 217.316 2.679 20 0 BFADHN C[C@H]1CN(CCCC2CC2)C[C@H](C2CC2)O1 ZINC000878535229 590588296 /nfs/dbraw/zinc/58/82/96/590588296.db2.gz QUVJCUUMMGSYLL-SMDDNHRTSA-N 0 3 223.360 2.676 20 0 BFADHN CC1(C)CC[C@H](CN2CCC(F)(F)CC2)OC1 ZINC000878542725 590589001 /nfs/dbraw/zinc/58/90/01/590589001.db2.gz PATBYEVVACCYHR-LLVKDONJSA-N 0 3 247.329 2.923 20 0 BFADHN CC(=O)CN1C[C@H](C)C[C@H]1c1ccccc1 ZINC000878612590 590596575 /nfs/dbraw/zinc/59/65/75/590596575.db2.gz RJAIEBHKFYKEDB-RISCZKNCSA-N 0 3 217.312 2.659 20 0 BFADHN C=C/C=C\CCN(CCOC)Cc1ccccn1 ZINC000878617538 590597219 /nfs/dbraw/zinc/59/72/19/590597219.db2.gz REXDWMYUNBSQAK-PLNGDYQASA-N 0 3 246.354 2.662 20 0 BFADHN C=C/C=C\CCN(C)Cc1ccc(C)cn1 ZINC000878669314 590606769 /nfs/dbraw/zinc/60/67/69/590606769.db2.gz YRVGYIYJQULLMD-WAYWQWQTSA-N 0 3 216.328 2.954 20 0 BFADHN C=C/C=C\CCN1CCO[C@@H](C2CCC2)C1 ZINC000878708667 590613752 /nfs/dbraw/zinc/61/37/52/590613752.db2.gz VOIPSQAYVHZJCB-VQTKUKTRSA-N 0 3 221.344 2.620 20 0 BFADHN C[C@H]1CN(CCCC2CC2)CC2(CCC2)O1 ZINC000878770321 590620578 /nfs/dbraw/zinc/62/05/78/590620578.db2.gz NVOCYQGQHHDWAR-LBPRGKRZSA-N 0 3 223.360 2.820 20 0 BFADHN CCOC1CC(CN2CCC=C(Cl)C2)C1 ZINC000878790819 590622665 /nfs/dbraw/zinc/62/26/65/590622665.db2.gz WICXYUBQXUYDDJ-UHFFFAOYSA-N 0 3 229.751 2.630 20 0 BFADHN C[C@@H]1C[C@H](CN2CCC=C(Cl)C2)CCO1 ZINC000878792092 590622838 /nfs/dbraw/zinc/62/28/38/590622838.db2.gz UYFPSEYNIHSIAN-GHMZBOCLSA-N 0 3 229.751 2.630 20 0 BFADHN C=C/C=C\CCN1C[C@H](C)OCC12CCC2 ZINC000878807736 590626177 /nfs/dbraw/zinc/62/61/77/590626177.db2.gz SFGQSIHAAJRYNB-ZFDPJTLLSA-N 0 3 221.344 2.762 20 0 BFADHN C[C@@H](CN(C)Cc1c[nH]cn1)CC(C)(C)C ZINC000878885216 590640506 /nfs/dbraw/zinc/64/05/06/590640506.db2.gz ZONNYXSMLSMEHE-LLVKDONJSA-N 0 3 223.364 2.914 20 0 BFADHN C[C@@H](CN(C)Cc1cnc[nH]1)CC(C)(C)C ZINC000878885216 590640508 /nfs/dbraw/zinc/64/05/08/590640508.db2.gz ZONNYXSMLSMEHE-LLVKDONJSA-N 0 3 223.364 2.914 20 0 BFADHN CC1(C)CCC[C@@H](CN(C2CC2)C2CC2)O1 ZINC000879036800 590683059 /nfs/dbraw/zinc/68/30/59/590683059.db2.gz AFSWBUYUGQMIJG-ZDUSSCGKSA-N 0 3 223.360 2.961 20 0 BFADHN C([C@H]1C[C@H]2CCC[C@@H]2O1)N(C1CC1)C1CC1 ZINC000879037441 590684041 /nfs/dbraw/zinc/68/40/41/590684041.db2.gz IQZMERLQCVHWSU-HONMWMINSA-N 0 3 221.344 2.571 20 0 BFADHN FCCN1CCC2(CCSCC2)CC1 ZINC000879200536 590713298 /nfs/dbraw/zinc/71/32/98/590713298.db2.gz MTUDJKQJFBOKCH-UHFFFAOYSA-N 0 3 217.353 2.565 20 0 BFADHN Cc1cc(CN[C@H](C)[C@H]2CCCO2)c(C)o1 ZINC000127587259 590716634 /nfs/dbraw/zinc/71/66/34/590716634.db2.gz AVBMXJHMGBSYES-ZWNOBZJWSA-N 0 3 223.316 2.554 20 0 BFADHN COc1ccc(CN2C[C@@H](OC)CC[C@H]2C)cc1 ZINC000879324028 590744050 /nfs/dbraw/zinc/74/40/50/590744050.db2.gz BBOZHCZGEYEZNE-DOMZBBRYSA-N 0 3 249.354 2.695 20 0 BFADHN CC(C)(C)C(=O)CCN1CCC(C)(F)CC1 ZINC000879382087 590758050 /nfs/dbraw/zinc/75/80/50/590758050.db2.gz DJSYUPMQJUUTBK-UHFFFAOYSA-N 0 3 229.339 2.816 20 0 BFADHN CC1(F)CCN(CCCOC(F)(F)F)CC1 ZINC000879383857 590758867 /nfs/dbraw/zinc/75/88/67/590758867.db2.gz SYDVKJHNELXRRL-UHFFFAOYSA-N 0 3 243.244 2.737 20 0 BFADHN CC(C)(NC/C=C\Cl)[C@H]1CCCCO1 ZINC000879410404 590763412 /nfs/dbraw/zinc/76/34/12/590763412.db2.gz TXDTUJCMXYBRDO-ONRRBMGISA-N 0 3 217.740 2.676 20 0 BFADHN CCCCN1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000879497892 590783434 /nfs/dbraw/zinc/78/34/34/590783434.db2.gz JGVNNXAZZUQNEQ-CQSZACIVSA-N 0 3 245.370 2.666 20 0 BFADHN Cc1cccc(CN2CC[C@@H]3CSC[C@@H]3C2)n1 ZINC000879558828 590802232 /nfs/dbraw/zinc/80/22/32/590802232.db2.gz LPKCMLLQTBYEJO-OLZOCXBDSA-N 0 3 248.395 2.575 20 0 BFADHN C[C@H](N[C@H]1C=CCCCCC1)c1ccnn1C ZINC000879686776 590848896 /nfs/dbraw/zinc/84/88/96/590848896.db2.gz ITURDVXOJADXJS-STQMWFEESA-N 0 3 233.359 2.960 20 0 BFADHN CCC(=O)CCN(C)Cc1ccc2cc[nH]c2c1 ZINC000879724670 590857294 /nfs/dbraw/zinc/85/72/94/590857294.db2.gz MSAIRWFDHYCBMJ-UHFFFAOYSA-N 0 3 244.338 2.969 20 0 BFADHN CSCCN1CCC[C@H]1c1cncc(C)c1 ZINC000879747643 590857959 /nfs/dbraw/zinc/85/79/59/590857959.db2.gz OMGMVKPTDNKIFG-ZDUSSCGKSA-N 0 3 236.384 2.890 20 0 BFADHN CCOCCN1CCC[C@H]1c1cncc(C)c1 ZINC000879747186 590860547 /nfs/dbraw/zinc/86/05/47/590860547.db2.gz JVYHCWPQJIOJSM-AWEZNQCLSA-N 0 3 234.343 2.563 20 0 BFADHN CO[C@H](C)CN1CCC[C@@H]1c1cncc(C)c1 ZINC000879750728 590862665 /nfs/dbraw/zinc/86/26/65/590862665.db2.gz YNJPIIRVVPBNQK-TZMCWYRMSA-N 0 3 234.343 2.562 20 0 BFADHN CCC[C@H](O)CN1CCC[C@@H]1c1cncc(C)c1 ZINC000879751065 590863016 /nfs/dbraw/zinc/86/30/16/590863016.db2.gz DCDQSBQLEJNBQW-LSDHHAIUSA-N 0 3 248.370 2.688 20 0 BFADHN C[C@@H](c1ccncc1)N1CCC[C@@H](C(C)(C)O)C1 ZINC000879961756 590899002 /nfs/dbraw/zinc/89/90/02/590899002.db2.gz HSWTTXSFQINPDP-GXTWGEPZSA-N 0 3 248.370 2.626 20 0 BFADHN CCC(=O)CCN1CC[C@](C)(C(F)(F)F)C1 ZINC000880003382 590906084 /nfs/dbraw/zinc/90/60/84/590906084.db2.gz GAEPZNORNBIOQE-JTQLQIEISA-N 0 3 237.265 2.630 20 0 BFADHN CC(C)OCCN1CC[C@@](C)(C(F)(F)F)C1 ZINC000879999112 590907379 /nfs/dbraw/zinc/90/73/79/590907379.db2.gz HVRSIUCDRRCOCG-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN CCCCN1CC[C@@H](C(F)(F)F)O[C@@H](C)C1 ZINC000880059144 590915535 /nfs/dbraw/zinc/91/55/35/590915535.db2.gz HDJLKNJMEYGKFE-UWVGGRQHSA-N 0 3 239.281 2.828 20 0 BFADHN CC/C=C\CCN[C@H](C)c1nccnc1C ZINC000880109008 590926746 /nfs/dbraw/zinc/92/67/46/590926746.db2.gz NWCVFUPXDNGMOE-ISALQUGTSA-N 0 3 219.332 2.792 20 0 BFADHN C[C@@H](c1ccncc1)N1CCC[C@@H](CF)C1 ZINC000880231947 590963815 /nfs/dbraw/zinc/96/38/15/590963815.db2.gz BXZNBMXMGAEVDQ-RYUDHWBXSA-N 0 3 222.307 2.824 20 0 BFADHN Cc1ncc(CN2CCC[C@H](CF)C2)s1 ZINC000880232089 590963858 /nfs/dbraw/zinc/96/38/58/590963858.db2.gz GLPWMZYGWVHCCP-SNVBAGLBSA-N 0 3 228.336 2.633 20 0 BFADHN FC[C@H]1CCCN(CCCOC(F)(F)F)C1 ZINC000880238400 590970323 /nfs/dbraw/zinc/97/03/23/590970323.db2.gz DUVXZPJNBVKOEP-SECBINFHSA-N 0 3 243.244 2.594 20 0 BFADHN C[C@H](c1ccncc1)N1CCC(F)CC1 ZINC000880241349 590975280 /nfs/dbraw/zinc/97/52/80/590975280.db2.gz ABTIOMBMBPXAPD-SNVBAGLBSA-N 0 3 208.280 2.577 20 0 BFADHN C[C@]1(CN2CC[C@@H](CF)C2)CC1(Cl)Cl ZINC000880299804 590982879 /nfs/dbraw/zinc/98/28/79/590982879.db2.gz LPRFETRLGAPLRW-DTWKUNHWSA-N 0 3 240.149 2.862 20 0 BFADHN C[C@]1(CN2CC[C@H](CF)C2)CC1(Cl)Cl ZINC000880299807 590983854 /nfs/dbraw/zinc/98/38/54/590983854.db2.gz LPRFETRLGAPLRW-RKDXNWHRSA-N 0 3 240.149 2.862 20 0 BFADHN CO[C@@]1(C(F)(F)F)CCN(CCC(C)C)C1 ZINC000880304593 590998427 /nfs/dbraw/zinc/99/84/27/590998427.db2.gz OYDFQHYFZPBPOO-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN CCC[C@@H](C)N1CC[C@](OC)(C(F)(F)F)C1 ZINC000880307657 591000613 /nfs/dbraw/zinc/00/06/13/591000613.db2.gz SCRJHSYSIJGFFV-NXEZZACHSA-N 0 3 239.281 2.828 20 0 BFADHN CCSCCN1CC[C@H](c2nccs2)C1 ZINC000880317351 591004109 /nfs/dbraw/zinc/00/41/09/591004109.db2.gz NFXBSNGQMOSELJ-JTQLQIEISA-N 0 3 242.413 2.686 20 0 BFADHN c1csc([C@H]2CCN(Cc3cccnc3)C2)n1 ZINC000880316127 591004499 /nfs/dbraw/zinc/00/44/99/591004499.db2.gz CZLWUZAVXNPSRV-LBPRGKRZSA-N 0 3 245.351 2.528 20 0 BFADHN CC[C@@H](C)N1CCc2cc(C(=O)OC)ccc2C1 ZINC000880352018 591009062 /nfs/dbraw/zinc/00/90/62/591009062.db2.gz GYCRZYKMJHWHAM-LLVKDONJSA-N 0 3 247.338 2.630 20 0 BFADHN CC[C@H](C)N1CCc2cc(C(=O)OC)ccc2C1 ZINC000880352019 591009343 /nfs/dbraw/zinc/00/93/43/591009343.db2.gz GYCRZYKMJHWHAM-NSHDSACASA-N 0 3 247.338 2.630 20 0 BFADHN C[C@H]1c2sccc2CCN1C[C@@H]1CCCO1 ZINC000880378177 591017312 /nfs/dbraw/zinc/01/73/12/591017312.db2.gz PMGJMJIZMWUPRC-JQWIXIFHSA-N 0 3 237.368 2.846 20 0 BFADHN c1ncc2c(n1)CCN(CC1CCCCCC1)C2 ZINC000880597106 591106008 /nfs/dbraw/zinc/10/60/08/591106008.db2.gz NTEUNDOYYXWRBG-UHFFFAOYSA-N 0 3 245.370 2.805 20 0 BFADHN c1ncc2c(n1)CCN(CCC1CCCCC1)C2 ZINC000880597092 591106233 /nfs/dbraw/zinc/10/62/33/591106233.db2.gz MTTJNJBFJCTZED-UHFFFAOYSA-N 0 3 245.370 2.805 20 0 BFADHN CC1CCC(CN2CCc3ncncc3C2)CC1 ZINC000880596975 591106529 /nfs/dbraw/zinc/10/65/29/591106529.db2.gz IDHCQMFKYYOIAS-UHFFFAOYSA-N 0 3 245.370 2.661 20 0 BFADHN CC[C@](C)(CN)c1nc(-c2cccc(F)c2)no1 ZINC000211494915 591177672 /nfs/dbraw/zinc/17/76/72/591177672.db2.gz JFPYOYKQBAFJEI-CYBMUJFWSA-N 0 3 249.289 2.502 20 0 BFADHN C[C@H](N)c1cn(CC[C@H]2CCC[C@@H](C)C2)nn1 ZINC000881354096 591195218 /nfs/dbraw/zinc/19/52/18/591195218.db2.gz JUAACDCABATCRG-GRYCIOLGSA-N 0 3 236.363 2.514 20 0 BFADHN CC(C)c1noc([C@H](C)[C@@H](N)c2ccccc2)n1 ZINC000129583495 591330285 /nfs/dbraw/zinc/33/02/85/591330285.db2.gz BHSUFFWZBHUXBF-ZYHUDNBSSA-N 0 3 245.326 2.997 20 0 BFADHN CC(C)(CNc1ccnc(CO)c1)CC(F)F ZINC000882779982 591436903 /nfs/dbraw/zinc/43/69/03/591436903.db2.gz OJQKKIZDYFWGHA-UHFFFAOYSA-N 0 3 244.285 2.667 20 0 BFADHN CCC[C@H](C)NCc1nc(C(C)C)c[nH]1 ZINC000882877252 591460790 /nfs/dbraw/zinc/46/07/90/591460790.db2.gz HGDAFLFKIXWTEH-JTQLQIEISA-N 0 3 209.337 2.811 20 0 BFADHN CCC(C)(C)NCc1nc(C(C)C)c[nH]1 ZINC000882946950 591481761 /nfs/dbraw/zinc/48/17/61/591481761.db2.gz CUAKQEQPIIPQDL-UHFFFAOYSA-N 0 3 209.337 2.811 20 0 BFADHN CCCCOCCCNCc1c(C)noc1C ZINC000130650363 591495423 /nfs/dbraw/zinc/49/54/23/591495423.db2.gz RMYUKTPANOVAEB-UHFFFAOYSA-N 0 3 240.347 2.588 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@@H]2CC2(C)C)n1 ZINC000882994183 591498717 /nfs/dbraw/zinc/49/87/17/591498717.db2.gz VPRLZPJGEZTIJR-JTQLQIEISA-N 0 3 221.348 2.669 20 0 BFADHN CCC1(NCc2nc(C(C)C)c[nH]2)CCC1 ZINC000883028081 591519481 /nfs/dbraw/zinc/51/94/81/591519481.db2.gz ZHRNGTOYBCTLQI-UHFFFAOYSA-N 0 3 221.348 2.955 20 0 BFADHN CC(C)c1c[nH]c(CNCC2(C)CCC2)n1 ZINC000883029799 591519944 /nfs/dbraw/zinc/51/99/44/591519944.db2.gz JFCWUYVBFKBSGI-UHFFFAOYSA-N 0 3 221.348 2.813 20 0 BFADHN Fc1ccc(CN[C@H]2C[C@@H]3CC[C@@H](C3)C2)nc1 ZINC000883047487 591527526 /nfs/dbraw/zinc/52/75/26/591527526.db2.gz RIRWQDJAGFIEDA-YABSGUDNSA-N 0 3 234.318 2.889 20 0 BFADHN CSc1ccc(CNC[C@@H]2CCC=CO2)o1 ZINC000883098705 591539364 /nfs/dbraw/zinc/53/93/64/591539364.db2.gz WTYZDBKYLOKGJI-JTQLQIEISA-N 0 3 239.340 2.784 20 0 BFADHN CSc1ccc(CNC[C@H]2CCC=CO2)o1 ZINC000883098706 591539893 /nfs/dbraw/zinc/53/98/93/591539893.db2.gz WTYZDBKYLOKGJI-SNVBAGLBSA-N 0 3 239.340 2.784 20 0 BFADHN CCSCCCNCc1nc(C(C)C)c[nH]1 ZINC000883111762 591541696 /nfs/dbraw/zinc/54/16/96/591541696.db2.gz PFXWMAZFZOLVSK-UHFFFAOYSA-N 0 3 241.404 2.766 20 0 BFADHN CC[C@@H](C[C@@H](C)O)NCc1ccc(SC)o1 ZINC000883200412 591563763 /nfs/dbraw/zinc/56/37/63/591563763.db2.gz JAAOADVMJVKBLL-ZJUUUORDSA-N 0 3 243.372 2.641 20 0 BFADHN O=c1oc2ccc(CNCC3=CCCC3)cc2o1 ZINC000883180166 591563958 /nfs/dbraw/zinc/56/39/58/591563958.db2.gz VNIHYTCSCQOOIZ-UHFFFAOYSA-N 0 3 245.278 2.586 20 0 BFADHN CC(C)c1c[nH]c(CNCC2CC(C)C2)n1 ZINC000883184486 591565018 /nfs/dbraw/zinc/56/50/18/591565018.db2.gz YFMLFLIBNZJOJM-UHFFFAOYSA-N 0 3 221.348 2.669 20 0 BFADHN CC(C)c1c[nH]c(CNCC[C@H]2CC2(F)F)n1 ZINC000883184231 591565119 /nfs/dbraw/zinc/56/51/19/591565119.db2.gz RTVYJLOJOJJJFA-VIFPVBQESA-N 0 3 243.301 2.668 20 0 BFADHN CC[C@H](C[C@@H](C)O)NCc1ccc(SC)o1 ZINC000883200409 591571038 /nfs/dbraw/zinc/57/10/38/591571038.db2.gz JAAOADVMJVKBLL-NXEZZACHSA-N 0 3 243.372 2.641 20 0 BFADHN C1=C[C@@H](CNCc2ccc3c(n2)CCC3)CC1 ZINC000883236857 591582550 /nfs/dbraw/zinc/58/25/50/591582550.db2.gz LZHBPZXFYPVSMF-GFCCVEGCSA-N 0 3 228.339 2.626 20 0 BFADHN C1=C[C@H](CNCc2cnc(C3CC3)o2)CC1 ZINC000883236543 591582667 /nfs/dbraw/zinc/58/26/67/591582667.db2.gz DQYSNZGWYLMCFQ-JTQLQIEISA-N 0 3 218.300 2.608 20 0 BFADHN COc1cccc(OC)c1CNC[C@H]1C=CCC1 ZINC000883237833 591583469 /nfs/dbraw/zinc/58/34/69/591583469.db2.gz LIUMOTPRVDCPJP-LBPRGKRZSA-N 0 3 247.338 2.760 20 0 BFADHN CC[C@H](N[C@H]1C[C@H](O)C1)c1sccc1Cl ZINC000883237357 591583914 /nfs/dbraw/zinc/58/39/14/591583914.db2.gz ZMCLCEOBTYLWFV-NRPADANISA-N 0 3 245.775 2.966 20 0 BFADHN CCC[C@@H](C)CCNCc1cnc(C)o1 ZINC000883250695 591585799 /nfs/dbraw/zinc/58/57/99/591585799.db2.gz JXWCNZOVSKUJPU-SNVBAGLBSA-N 0 3 210.321 2.899 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1C=CCC1 ZINC000883245809 591587319 /nfs/dbraw/zinc/58/73/19/591587319.db2.gz SHPSEAQQFPYUHU-NWDGAFQWSA-N 0 3 219.332 2.520 20 0 BFADHN C[C@H](NC[C@H]1C=CCC1)c1cc2n(n1)CCCC2 ZINC000883246085 591587627 /nfs/dbraw/zinc/58/76/27/591587627.db2.gz ZWEUNNSKINAUMP-STQMWFEESA-N 0 3 245.370 2.836 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCc1ccns1 ZINC000883247508 591588600 /nfs/dbraw/zinc/58/86/00/591588600.db2.gz MWHVFCQYZWPUHV-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN CCC[C@@H](C)CCNCc1nccn1CC ZINC000883251117 591590988 /nfs/dbraw/zinc/59/09/88/591590988.db2.gz CGAVUCBEAVRRHS-GFCCVEGCSA-N 0 3 223.364 2.819 20 0 BFADHN c1ncc(CNCC2(CC3CC3)CC2)s1 ZINC000883249980 591591341 /nfs/dbraw/zinc/59/13/41/591591341.db2.gz WWVMMDUTQQBAAJ-UHFFFAOYSA-N 0 3 222.357 2.813 20 0 BFADHN Cn1cc(CNC[C@]2(C)CC2(C)C)c(Cl)n1 ZINC000883252579 591592289 /nfs/dbraw/zinc/59/22/89/591592289.db2.gz NTFHTHYVDWACPN-LBPRGKRZSA-N 0 3 241.766 2.599 20 0 BFADHN CC(C)(CNCc1nccs1)CC(F)F ZINC000883251868 591593042 /nfs/dbraw/zinc/59/30/42/591593042.db2.gz HFPOSMWDHFYUDP-UHFFFAOYSA-N 0 3 234.315 2.914 20 0 BFADHN C[C@H](NCC1(CC2CC2)CC1)c1cnccn1 ZINC000883252803 591593799 /nfs/dbraw/zinc/59/37/99/591593799.db2.gz ZCJKUYRRMAXLME-NSHDSACASA-N 0 3 231.343 2.708 20 0 BFADHN C[C@H](NCC1(C)CC(F)(F)C1)c1ccn(C)n1 ZINC000883257307 591594693 /nfs/dbraw/zinc/59/46/93/591594693.db2.gz YBZGJUULYNAGMS-VIFPVBQESA-N 0 3 243.301 2.506 20 0 BFADHN CCC[C@@H](C)CCN[C@@H](C)c1nccn1C ZINC000883257668 591594880 /nfs/dbraw/zinc/59/48/80/591594880.db2.gz HPRYUSLLCITXPQ-NEPJUHHUSA-N 0 3 223.364 2.897 20 0 BFADHN C=C/C=C\CCNCc1c2c(nn1C)CCCC2 ZINC000883259796 591597999 /nfs/dbraw/zinc/59/79/99/591597999.db2.gz YSMHULXXWWXDSV-PLNGDYQASA-N 0 3 245.370 2.521 20 0 BFADHN C=C/C=C/CCNCc1cccc(OCC)n1 ZINC000883261186 591598897 /nfs/dbraw/zinc/59/88/97/591598897.db2.gz TXJAHBNNAWOZLB-AATRIKPKSA-N 0 3 232.327 2.702 20 0 BFADHN CC[C@@H](NC[C@]1(C)CC1(C)C)c1nccn1C ZINC000883260832 591599009 /nfs/dbraw/zinc/59/90/09/591599009.db2.gz IEBRFPLHILOLNL-RISCZKNCSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1ncc(CNCC[C@@H]2CCCC2(F)F)o1 ZINC000883264612 591599680 /nfs/dbraw/zinc/59/96/80/591599680.db2.gz GHEVJJULSDZXMT-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN CO[C@H]1C[C@H](CNCc2ccc(SC)o2)C1 ZINC000883261799 591599902 /nfs/dbraw/zinc/59/99/02/591599902.db2.gz MYLRBFUDATUTKW-HOMQSWHASA-N 0 3 241.356 2.516 20 0 BFADHN CO[C@H]1C[C@H](CN[C@H](C)c2cc(C)c(C)o2)C1 ZINC000883272743 591604113 /nfs/dbraw/zinc/60/41/13/591604113.db2.gz VCUMXCSCHXWXPI-RAIGVLPGSA-N 0 3 237.343 2.972 20 0 BFADHN CSCC[C@@H](C)NCc1ccc(C)cn1 ZINC000131367889 591604861 /nfs/dbraw/zinc/60/48/61/591604861.db2.gz WJEBKLBQYCBAFW-LLVKDONJSA-N 0 3 224.373 2.621 20 0 BFADHN CC[C@H](NC1(c2ncccn2)CCC1)[C@@H]1C[C@H]1C ZINC000883311841 591610984 /nfs/dbraw/zinc/61/09/84/591610984.db2.gz MFEIBTADUQQNIO-UPJWGTAASA-N 0 3 245.370 2.880 20 0 BFADHN CC[C@H](C)[C@@H](C)NC1(c2ncccn2)CCC1 ZINC000883313673 591612016 /nfs/dbraw/zinc/61/20/16/591612016.db2.gz JURQNMNSNUYVLG-NWDGAFQWSA-N 0 3 233.359 2.880 20 0 BFADHN C[C@H](NC1CCC2(COC2)CC1)c1ccco1 ZINC000883378209 591636937 /nfs/dbraw/zinc/63/69/37/591636937.db2.gz CKGDXZYPCZCMGL-NSHDSACASA-N 0 3 235.327 2.889 20 0 BFADHN CN(CCc1ccccc1F)Cc1cccn1C ZINC000131801124 591663365 /nfs/dbraw/zinc/66/33/65/591663365.db2.gz GSTHWIAZKXQTGO-UHFFFAOYSA-N 0 3 246.329 2.839 20 0 BFADHN CC[C@H](NCC[S@@](=O)CC)c1cccs1 ZINC000133471567 591798537 /nfs/dbraw/zinc/79/85/37/591798537.db2.gz TYGPDQYJCODJHU-ZUZCIYMTSA-N 0 3 245.413 2.557 20 0 BFADHN Cc1cc(CNC(C)(C)CO)ccc1Cl ZINC000134653558 591945215 /nfs/dbraw/zinc/94/52/15/591945215.db2.gz BHTROBCYDKTIRS-UHFFFAOYSA-N 0 3 227.735 2.509 20 0 BFADHN CCC[C@@H](CC)NCc1nnc(C)s1 ZINC000134720451 591948123 /nfs/dbraw/zinc/94/81/23/591948123.db2.gz XEAZESMPBMHBPD-SECBINFHSA-N 0 3 213.350 2.515 20 0 BFADHN Cc1nnc(CNC[C@H]2CCCC[C@@H]2C)s1 ZINC000134865346 591953102 /nfs/dbraw/zinc/95/31/02/591953102.db2.gz FPVAVGGQMPDQOB-GXSJLCMTSA-N 0 3 239.388 2.762 20 0 BFADHN Cc1cnc(NC[C@@H]2CCN2C2CCCCC2)o1 ZINC000884563453 591981216 /nfs/dbraw/zinc/98/12/16/591981216.db2.gz GFDUEVCNTSVZBO-ZDUSSCGKSA-N 0 3 249.358 2.802 20 0 BFADHN Cc1ccoc1CNC[C@@H](C)N1CCCCC1 ZINC000135159316 591992677 /nfs/dbraw/zinc/99/26/77/591992677.db2.gz KTCHLOOVUFKHTL-CYBMUJFWSA-N 0 3 236.359 2.552 20 0 BFADHN CCCNCc1cc(C)cc(OC)c1OC ZINC000885889715 592106438 /nfs/dbraw/zinc/10/64/38/592106438.db2.gz NVWCSJKAFPYDGI-UHFFFAOYSA-N 0 3 223.316 2.512 20 0 BFADHN C[C@H]1CN(CC[C@@H]2C[C@H]2C2CC2)[C@@H](C)CO1 ZINC000885940358 592111219 /nfs/dbraw/zinc/11/12/19/592111219.db2.gz HLXWHTINJGCXNU-VTPLQMEGSA-N 0 3 223.360 2.532 20 0 BFADHN CN(Cc1ccsc1)C[C@H]1C[C@@]12CCOC2 ZINC000885941083 592111420 /nfs/dbraw/zinc/11/14/20/592111420.db2.gz ZPENKJPMSIWCBC-CHWSQXEVSA-N 0 3 237.368 2.607 20 0 BFADHN CN(Cc1ccsc1)C[C@@H]1C[C@@]12CCOC2 ZINC000885941085 592111822 /nfs/dbraw/zinc/11/18/22/592111822.db2.gz ZPENKJPMSIWCBC-QWHCGFSZSA-N 0 3 237.368 2.607 20 0 BFADHN Cc1n[nH]c(CNCCSC(C)(C)C)c1C ZINC000885969028 592118147 /nfs/dbraw/zinc/11/81/47/592118147.db2.gz IATBSJXGLKDPOO-UHFFFAOYSA-N 0 3 241.404 2.648 20 0 BFADHN Cc1coc(CNC[C@@](C)(O)c2ccccc2)c1 ZINC000885985464 592121943 /nfs/dbraw/zinc/12/19/43/592121943.db2.gz ILLOESBIBGBFTG-OAHLLOKOSA-N 0 3 245.322 2.585 20 0 BFADHN Cc1n[nH]c(CNCCC2=CCCC2)c1C ZINC000886043760 592139165 /nfs/dbraw/zinc/13/91/65/592139165.db2.gz JEJJJQGEYUEFFE-UHFFFAOYSA-N 0 3 219.332 2.617 20 0 BFADHN Cc1coc(CN[C@H]2CCO[C@H](C(C)C)C2)c1 ZINC000886055109 592140853 /nfs/dbraw/zinc/14/08/53/592140853.db2.gz SAGVAHIGUNMPFQ-JSGCOSHPSA-N 0 3 237.343 2.881 20 0 BFADHN Cc1coc(CN[C@@H]2CCO[C@H](C(C)C)C2)c1 ZINC000886055110 592140855 /nfs/dbraw/zinc/14/08/55/592140855.db2.gz SAGVAHIGUNMPFQ-OCCSQVGLSA-N 0 3 237.343 2.881 20 0 BFADHN Cc1coc(CN[C@H](C)C[C@H](O)c2ccco2)c1 ZINC000886052131 592141364 /nfs/dbraw/zinc/14/13/64/592141364.db2.gz LKLQZQULAYQJHF-YPMHNXCESA-N 0 3 249.310 2.783 20 0 BFADHN Cc1coc(CN[C@@]2(C)CCO[C@H]2C2CC2)c1 ZINC000886062320 592141802 /nfs/dbraw/zinc/14/18/02/592141802.db2.gz MOVUQIISAVEHRV-KBPBESRZSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1coc(CNC[C@]2(C)CCO[C@@H]2C2CC2)c1 ZINC000886067089 592143380 /nfs/dbraw/zinc/14/33/80/592143380.db2.gz HESKFFXNBOGMHJ-CABCVRRESA-N 0 3 249.354 2.883 20 0 BFADHN Cc1n[nH]c(CNC(C)(C)CC(F)(F)F)c1C ZINC000886080184 592144464 /nfs/dbraw/zinc/14/44/64/592144464.db2.gz BBRUAODSRATGCK-UHFFFAOYSA-N 0 3 249.280 2.847 20 0 BFADHN Cc1[nH]nc(CNC(C)(C)CC(F)(F)F)c1C ZINC000886080184 592144465 /nfs/dbraw/zinc/14/44/65/592144465.db2.gz BBRUAODSRATGCK-UHFFFAOYSA-N 0 3 249.280 2.847 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2C[C@H]1CC[C@H](C2CC2)O1 ZINC000886083788 592145538 /nfs/dbraw/zinc/14/55/38/592145538.db2.gz NERJLFDBROQCFX-GBJTYRQASA-N 0 3 233.355 2.737 20 0 BFADHN C[C@H](c1ccccn1)N(C)C[C@H]1C[C@]12CCOC2 ZINC000886092076 592146872 /nfs/dbraw/zinc/14/68/72/592146872.db2.gz NEZFBVQAOPKLPN-NFAWXSAZSA-N 0 3 246.354 2.501 20 0 BFADHN C(CN1CCOC2(CCC2)C1)[C@@H]1C[C@H]1C1CC1 ZINC000886097530 592147586 /nfs/dbraw/zinc/14/75/86/592147586.db2.gz AXDPQDVQOMNEQQ-KGLIPLIRSA-N 0 3 235.371 2.678 20 0 BFADHN COCC1(NC/C=C/c2cccc(F)c2)CCC1 ZINC000886109948 592156410 /nfs/dbraw/zinc/15/64/10/592156410.db2.gz VJSKJXPAPFNLRZ-ZZXKWVIFSA-N 0 3 249.329 2.998 20 0 BFADHN C1=CCN(C[C@@H]2CCC3(CCC3)CO2)CC1 ZINC000886118985 592158219 /nfs/dbraw/zinc/15/82/19/592158219.db2.gz UYMHPHLVFSSFPR-ZDUSSCGKSA-N 0 3 221.344 2.598 20 0 BFADHN Cc1coc(CNC[C@@]2(O)CCCC2(C)C)c1 ZINC000886125376 592158801 /nfs/dbraw/zinc/15/88/01/592158801.db2.gz PVFMSOUPYVNXPH-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN CO[C@H](CNC/C=C\c1cccc(F)c1)C1CC1 ZINC000886127970 592159092 /nfs/dbraw/zinc/15/90/92/592159092.db2.gz YUBCHYBGHSHLJM-UYWPIULCSA-N 0 3 249.329 2.854 20 0 BFADHN Cc1coc(CNC[C@H]2CCOC(C)(C)C2)c1 ZINC000886138234 592161672 /nfs/dbraw/zinc/16/16/72/592161672.db2.gz FEQGSMCZCMCTCL-LBPRGKRZSA-N 0 3 237.343 2.883 20 0 BFADHN OCC[C@@H](NCC[C@@H]1C[C@H]1C1CC1)c1ccco1 ZINC000886138712 592161952 /nfs/dbraw/zinc/16/19/52/592161952.db2.gz RQPOVTRIFYWTII-HZSPNIEDSA-N 0 3 249.354 2.729 20 0 BFADHN COC1([C@H](C)NCc2cc(C)co2)CCC1 ZINC000886147355 592163613 /nfs/dbraw/zinc/16/36/13/592163613.db2.gz PYRJODKWCXYPGT-NSHDSACASA-N 0 3 223.316 2.635 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@@H]1CCC2(CCC2)CO1 ZINC000886181380 592170915 /nfs/dbraw/zinc/17/09/15/592170915.db2.gz KMTXGDKIFYZAFP-XQQFMLRXSA-N 0 3 223.360 2.818 20 0 BFADHN CC1=CCCN(C[C@H]2CC[C@@H](C3CC3)O2)C1 ZINC000886185794 592171410 /nfs/dbraw/zinc/17/14/10/592171410.db2.gz IAWVADADQUGVOG-KGLIPLIRSA-N 0 3 221.344 2.596 20 0 BFADHN CC1=CCCN(C[C@@H]2CCC3(CCC3)CO2)C1 ZINC000886185830 592171949 /nfs/dbraw/zinc/17/19/49/592171949.db2.gz IZONPMUASILHMA-AWEZNQCLSA-N 0 3 235.371 2.988 20 0 BFADHN FC[C@H]1CCCN(CCSC(F)(F)F)C1 ZINC000886315213 592206889 /nfs/dbraw/zinc/20/68/89/592206889.db2.gz BAJOOPSPLRQYHK-MRVPVSSYSA-N 0 3 245.285 2.921 20 0 BFADHN C[C@@](O)(CN1CCC(C2CCC2)CC1)C(F)F ZINC000886277038 592196507 /nfs/dbraw/zinc/19/65/07/592196507.db2.gz FDOODSOXQSLFEZ-CYBMUJFWSA-N 0 3 247.329 2.515 20 0 BFADHN Cc1ccoc1CNC[C@H]1CC2(CCC2)CO1 ZINC000886288476 592200851 /nfs/dbraw/zinc/20/08/51/592200851.db2.gz ABOVOLVZBBZONU-GFCCVEGCSA-N 0 3 235.327 2.637 20 0 BFADHN Cc1cc(CNC[C@H]2CC3(CCC3)CO2)c(C)o1 ZINC000886289396 592201776 /nfs/dbraw/zinc/20/17/76/592201776.db2.gz UVZYMUUEVWTHMY-CQSZACIVSA-N 0 3 249.354 2.945 20 0 BFADHN COc1ccc(F)cc1CCNCc1ccco1 ZINC000886311943 592205753 /nfs/dbraw/zinc/20/57/53/592205753.db2.gz MTWXNJCNDBKKPL-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN FC1CCN(CCOC2CCCCC2)CC1 ZINC000886315384 592206783 /nfs/dbraw/zinc/20/67/83/592206783.db2.gz JKGDJNLGZZFEID-UHFFFAOYSA-N 0 3 229.339 2.770 20 0 BFADHN Cc1cn[nH]c1CN1CCc2ccsc2[C@@H]1C ZINC000886318028 592207606 /nfs/dbraw/zinc/20/76/06/592207606.db2.gz HWQPZPMBTAMBSO-JTQLQIEISA-N 0 3 247.367 2.899 20 0 BFADHN c1c(CN2C[C@@H]3C[C@@H]3C2)onc1-c1ccccc1 ZINC000886327136 592209595 /nfs/dbraw/zinc/20/95/95/592209595.db2.gz BRZJMOFZYRKKPN-BETUJISGSA-N 0 3 240.306 2.793 20 0 BFADHN Clc1ccccc1CCN1C[C@@H]2C[C@@H]2C1 ZINC000886333017 592211336 /nfs/dbraw/zinc/21/13/36/592211336.db2.gz BNLGPIJUXSMLDR-TXEJJXNPSA-N 0 3 221.731 2.834 20 0 BFADHN CC[C@@H]1CCCN1C[C@@H](O)c1ccccc1F ZINC000219451334 592225187 /nfs/dbraw/zinc/22/51/87/592225187.db2.gz OOHLDJHDDFFYBC-BXUZGUMPSA-N 0 3 237.318 2.734 20 0 BFADHN CC(C)[C@@H](NCc1ccsc1)c1cnn(C)c1 ZINC000886502499 592238912 /nfs/dbraw/zinc/23/89/12/592238912.db2.gz APLKEVDOLHSXMU-CYBMUJFWSA-N 0 3 249.383 2.969 20 0 BFADHN COC(C)(C)C[C@H](C)N[C@@H]1CCc2cccnc21 ZINC000886533373 592248682 /nfs/dbraw/zinc/24/86/82/592248682.db2.gz ZMZGNTMLZNKOCC-WCQYABFASA-N 0 3 248.370 2.862 20 0 BFADHN Fc1ccccc1CN1C[C@@H]2CSC[C@@H]2C1 ZINC000886553234 592259198 /nfs/dbraw/zinc/25/91/98/592259198.db2.gz HIDBXLUXJVSVIB-TXEJJXNPSA-N 0 3 237.343 2.621 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@H]1CCc2cccnc21 ZINC000886607063 592274845 /nfs/dbraw/zinc/27/48/45/592274845.db2.gz KRZBHQMEVWHJMW-IHRRRGAJSA-N 0 3 246.354 2.616 20 0 BFADHN COC1([C@@H](C)N[C@@H]2CCc3cccnc32)CCC1 ZINC000886626550 592281118 /nfs/dbraw/zinc/28/11/18/592281118.db2.gz FJSDFIBDZQYBAN-DGCLKSJQSA-N 0 3 246.354 2.616 20 0 BFADHN c1cnc2c(c1)CC[C@@H]2NC1(C2CCC2)CC1 ZINC000886631823 592283379 /nfs/dbraw/zinc/28/33/79/592283379.db2.gz XIMPXCKQIKWGQE-ZDUSSCGKSA-N 0 3 228.339 2.991 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CN[C@H]1CCCn2ccnc21 ZINC000886694576 592304922 /nfs/dbraw/zinc/30/49/22/592304922.db2.gz YQNAJRGHINHFHU-HTOAHKCRSA-N 0 3 245.370 2.600 20 0 BFADHN C[C@@H](N[C@H]1CCc2c1cccc2F)[C@H]1CCCO1 ZINC000886792244 592324013 /nfs/dbraw/zinc/32/40/13/592324013.db2.gz RUQTZRXFDGLGOR-WKPIXPDZSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@@H](NCc2ccon2)C1 ZINC000220716804 592327021 /nfs/dbraw/zinc/32/70/21/592327021.db2.gz YJZKLRCVLLEWIP-FOGDFJRCSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2ccon2)C1 ZINC000220716920 592327292 /nfs/dbraw/zinc/32/72/92/592327292.db2.gz YJZKLRCVLLEWIP-SCVCMEIPSA-N 0 3 208.305 2.589 20 0 BFADHN Fc1ccc(CN2CC[C@H]([C@@H]3CCCO3)C2)cc1 ZINC000886821526 592344294 /nfs/dbraw/zinc/34/42/94/592344294.db2.gz DCHMPFOXAPFLCQ-ZFWWWQNUSA-N 0 3 249.329 2.827 20 0 BFADHN C[C@H](NC1(C2CC2)CCC1)c1cc2n(n1)CCC2 ZINC000886825444 592348305 /nfs/dbraw/zinc/34/83/05/592348305.db2.gz TYAMDPAULWHYSX-NSHDSACASA-N 0 3 245.370 2.813 20 0 BFADHN Cc1nccnc1[C@@H](C)NC1(C2CC2)CCC1 ZINC000886825655 592348559 /nfs/dbraw/zinc/34/85/59/592348559.db2.gz ZBEMDSKVLKGINP-LLVKDONJSA-N 0 3 231.343 2.768 20 0 BFADHN C[C@H]1CC[C@H](CN[C@H]2CCc3c2cccc3F)O1 ZINC000886923276 592397682 /nfs/dbraw/zinc/39/76/82/592397682.db2.gz UGZCCTIHCJNADH-RWSFTLGLSA-N 0 3 249.329 2.970 20 0 BFADHN COC(=O)/C(C)=C/CN[C@H](C)c1cccs1 ZINC000221211342 592401270 /nfs/dbraw/zinc/40/12/70/592401270.db2.gz XCJJLDHWJKFFKR-OLKPEBQYSA-N 0 3 239.340 2.518 20 0 BFADHN CN(CCCF)CCSC(F)(F)F ZINC000887143974 592460955 /nfs/dbraw/zinc/46/09/55/592460955.db2.gz WDQBLJVAWYPHLO-UHFFFAOYSA-N 0 3 219.247 2.531 20 0 BFADHN CC[C@@H](C)CN1CCc2cc(O)c(OC)cc2C1 ZINC000887105239 592445434 /nfs/dbraw/zinc/44/54/34/592445434.db2.gz MRTILIUDTNJYGY-LLVKDONJSA-N 0 3 249.354 2.805 20 0 BFADHN Cc1cc(CN(C)CCCF)cs1 ZINC000887142244 592456705 /nfs/dbraw/zinc/45/67/05/592456705.db2.gz BSNDQHMPJGZRCX-UHFFFAOYSA-N 0 3 201.310 2.848 20 0 BFADHN COCc1ccc(CN(C)CCCF)cc1 ZINC000887142240 592457275 /nfs/dbraw/zinc/45/72/75/592457275.db2.gz BQJXIRHFDPLJKP-UHFFFAOYSA-N 0 3 225.307 2.624 20 0 BFADHN CN(CCCF)C[C@@H]1CCC2(CCCC2)O1 ZINC000887141268 592458081 /nfs/dbraw/zinc/45/80/81/592458081.db2.gz MBMVTPXPVIDUCV-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN COc1cc(CN(C)CCCF)ccc1C ZINC000887142782 592459861 /nfs/dbraw/zinc/45/98/61/592459861.db2.gz ZTENLQSEETYMKQ-UHFFFAOYSA-N 0 3 225.307 2.795 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2cccc(F)c2)on1 ZINC000223208488 592522666 /nfs/dbraw/zinc/52/26/66/592522666.db2.gz BGWLAOMJBDFCEN-SNVBAGLBSA-N 0 3 248.301 2.843 20 0 BFADHN F[C@@H]1CN(C2CCCC2)CCCC1(F)F ZINC001257350333 984916975 /nfs/dbraw/zinc/91/69/75/984916975.db2.gz JHIPDPVRNSYMAL-SNVBAGLBSA-N 0 3 221.266 2.998 20 0 BFADHN CCOC1CCN([C@@H](C)CC(F)(F)F)CC1 ZINC001255658614 987052970 /nfs/dbraw/zinc/05/29/70/987052970.db2.gz SXCGFPQSBKNGQL-VIFPVBQESA-N 0 3 239.281 2.828 20 0 BFADHN CSCC[C@H](C)NCc1cnccc1Cl ZINC001258198904 991114361 /nfs/dbraw/zinc/11/43/61/991114361.db2.gz QQNXLQIFDYBCDH-VIFPVBQESA-N 0 3 244.791 2.966 20 0 BFADHN CSC(C)(C)C[C@H](C)N(C)C1(C)COC1 ZINC001258340438 991268525 /nfs/dbraw/zinc/26/85/25/991268525.db2.gz FBWJKUVQJHXJDS-JTQLQIEISA-N 0 3 231.405 2.627 20 0 BFADHN CC1(C)COC[C@@H]1N[C@@H]1CSc2ccccc21 ZINC000313063142 634445755 /nfs/dbraw/zinc/44/57/55/634445755.db2.gz KGDVXWTWJPORRQ-YPMHNXCESA-N 0 3 249.379 2.848 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1ccoc1 ZINC000093558914 634562724 /nfs/dbraw/zinc/56/27/24/634562724.db2.gz QPCFQNHGUPFHOM-SNVBAGLBSA-N 0 3 213.346 2.853 20 0 BFADHN CCCCCC(C)(C)CNCc1cn(C)nn1 ZINC000094984734 635398293 /nfs/dbraw/zinc/39/82/93/635398293.db2.gz MMPAFXIDOYQUJE-UHFFFAOYSA-N 0 3 238.379 2.511 20 0 BFADHN CCCCC[C@H](C)NCc1ccn(CC)n1 ZINC000840838077 631217128 /nfs/dbraw/zinc/21/71/28/631217128.db2.gz MYAUAJMNGASMRA-LBPRGKRZSA-N 0 3 223.364 2.961 20 0 BFADHN C[C@@H]1CCCN(Cc2ccnn2C2CCC2)C1 ZINC000891446139 631318443 /nfs/dbraw/zinc/31/84/43/631318443.db2.gz FISIHSHGGRLSOT-GFCCVEGCSA-N 0 3 233.359 2.840 20 0 BFADHN C[C@]1(c2nc(C3CCCCC3)no2)CCCN1 ZINC000079484876 631364522 /nfs/dbraw/zinc/36/45/22/631364522.db2.gz OOIHWKSVXKUIRN-CYBMUJFWSA-N 0 3 235.331 2.716 20 0 BFADHN CC(C)(C)c1ccc(CN2CC[C@H]2CO)cc1 ZINC000934028455 631626571 /nfs/dbraw/zinc/62/65/71/631626571.db2.gz YARBKYXTEVHODR-AWEZNQCLSA-N 0 3 233.355 2.551 20 0 BFADHN COCc1cccc(CNC/C=C\Cl)c1 ZINC000308773011 631640239 /nfs/dbraw/zinc/64/02/39/631640239.db2.gz RIKWIERWIRZPIK-UTCJRWHESA-N 0 3 225.719 2.675 20 0 BFADHN C[C@@H](O)[C@@H](C)NCc1ccc(Cl)cc1Cl ZINC000308864827 631700973 /nfs/dbraw/zinc/70/09/73/631700973.db2.gz ACFNFLBMUIBTMV-HTQZYQBOSA-N 0 3 248.153 2.852 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ncc(Cl)n1C ZINC000042555877 631732109 /nfs/dbraw/zinc/73/21/09/631732109.db2.gz HCNDGQSDWDRGED-DTWKUNHWSA-N 0 3 229.755 2.598 20 0 BFADHN Cc1cnc(CN[C@@H](C)Cc2cccnc2)s1 ZINC000934233564 631739506 /nfs/dbraw/zinc/73/95/06/631739506.db2.gz KCKCNLGFPMNCOM-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN Cc1ccc(CN[C@@H]2CCOC23CCCC3)nc1 ZINC000934386636 631816477 /nfs/dbraw/zinc/81/64/77/631816477.db2.gz NXYSQPJGOYEJMR-CQSZACIVSA-N 0 3 246.354 2.581 20 0 BFADHN Cc1nn(C)c(CN[C@H](C)C2CCC2)c1Cl ZINC000124067696 632087351 /nfs/dbraw/zinc/08/73/51/632087351.db2.gz DQBBSVORFDVFKP-MRVPVSSYSA-N 0 3 241.766 2.660 20 0 BFADHN CCCCCCNC(=O)CN(C)CCC(C)C ZINC000052245726 632110335 /nfs/dbraw/zinc/11/03/35/632110335.db2.gz YBYCVCVJBRACJO-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN Cc1ccc([C@H](C)Nc2cc(C)nc(N)n2)cc1 ZINC000052471778 632415353 /nfs/dbraw/zinc/41/53/53/632415353.db2.gz UZHRQIDZZPXVOR-NSHDSACASA-N 0 3 242.326 2.849 20 0 BFADHN CC[C@@H](N[C@H](C)c1nonc1C)C1CCCC1 ZINC000926544308 632472272 /nfs/dbraw/zinc/47/22/72/632472272.db2.gz YSKXSRHWQDCFPU-BXKDBHETSA-N 0 3 237.347 2.997 20 0 BFADHN C/C=C\CN[C@@H](c1ccccn1)C(C)C ZINC000309153085 632650764 /nfs/dbraw/zinc/65/07/64/632650764.db2.gz WUEIBVQEFNYAND-DSYXLKISSA-N 0 3 204.317 2.944 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1nonc1C ZINC000310025047 632695207 /nfs/dbraw/zinc/69/52/07/632695207.db2.gz OUTMAXRFRSTOLR-KOLCDFICSA-N 0 3 225.336 2.682 20 0 BFADHN C[C@@H](NC1CC1)c1cccc(Br)n1 ZINC000924529366 632707554 /nfs/dbraw/zinc/70/75/54/632707554.db2.gz ANHQOZFEAJQWGJ-SSDOTTSWSA-N 0 3 241.132 2.657 20 0 BFADHN CCCCCC[C@H](C)NC(=O)[C@H](N)CC1CC1 ZINC000310255759 632711922 /nfs/dbraw/zinc/71/19/22/632711922.db2.gz PFSUPXBBXCVENW-WCQYABFASA-N 0 3 240.391 2.589 20 0 BFADHN C[C@H]1CCC[C@@H](CCNCc2cn(C)cn2)C1 ZINC000310251723 632712233 /nfs/dbraw/zinc/71/22/33/632712233.db2.gz OEOYMRNALARCNU-STQMWFEESA-N 0 3 235.375 2.726 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2ncccn2)CC1 ZINC000235613761 632798217 /nfs/dbraw/zinc/79/82/17/632798217.db2.gz VJQOKZGIHLZQKY-NWDGAFQWSA-N 0 3 219.332 2.535 20 0 BFADHN CC(C)(C)C1CCN(c2ccncc2CO)CC1 ZINC000126485602 635839468 /nfs/dbraw/zinc/83/94/68/635839468.db2.gz CIBHXQMQYOLNTG-UHFFFAOYSA-N 0 3 248.370 2.836 20 0 BFADHN CC(C)[C@@H]1CCCN(c2ccncc2CO)CC1 ZINC000126519627 635847535 /nfs/dbraw/zinc/84/75/35/635847535.db2.gz VJZNRSBHZUUULA-CYBMUJFWSA-N 0 3 248.370 2.836 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cccc(Cl)n1 ZINC000177879337 632895948 /nfs/dbraw/zinc/89/59/48/632895948.db2.gz YQUUFUYNFZLHFU-VHSXEESVSA-N 0 3 224.735 2.965 20 0 BFADHN C[C@@H]([NH2+][C@H](C)C1CC1)c1cc([O-])cc(F)c1 ZINC000924569357 632912088 /nfs/dbraw/zinc/91/20/88/632912088.db2.gz CDZLYRAZERJHLJ-RKDXNWHRSA-N 0 3 223.291 2.980 20 0 BFADHN C[C@@H]([NH2+][C@@H](C)C1CC1)c1cc([O-])cc(F)c1 ZINC000924569352 632912542 /nfs/dbraw/zinc/91/25/42/632912542.db2.gz CDZLYRAZERJHLJ-DTWKUNHWSA-N 0 3 223.291 2.980 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2N[C@@H](C)C1CC1 ZINC000924569782 632919582 /nfs/dbraw/zinc/91/95/82/632919582.db2.gz FRDYMKNIPILKII-KWQFWETISA-N 0 3 220.316 2.749 20 0 BFADHN CC(C)n1cc([C@@H](C)NCC2CC(C)C2)nn1 ZINC000926738381 632926225 /nfs/dbraw/zinc/92/62/25/632926225.db2.gz VSJQJDKOQCYRLT-MOENNCHZSA-N 0 3 236.363 2.556 20 0 BFADHN CCCCCCNC(=O)CN1CCCC[C@H]1C ZINC000066560055 632942478 /nfs/dbraw/zinc/94/24/78/632942478.db2.gz OGAUMRJMHIIFGG-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@@H](C)C1CCCC1 ZINC000925064866 635884208 /nfs/dbraw/zinc/88/42/08/635884208.db2.gz IOVVTJKGPRIIQC-DTWKUNHWSA-N 0 3 223.320 2.607 20 0 BFADHN CCn1nc(C)c([C@H](C)N[C@H]2CCCC23CC3)n1 ZINC000926779742 633051673 /nfs/dbraw/zinc/05/16/73/633051673.db2.gz IQEILBVNTPGMGZ-JQWIXIFHSA-N 0 3 248.374 2.590 20 0 BFADHN C[C@@H]1C[C@H](Nc2nc(Cl)cs2)CCN1C ZINC000165076591 633085866 /nfs/dbraw/zinc/08/58/66/633085866.db2.gz XMHVHMUMLAIKMX-HTQZYQBOSA-N 0 3 245.779 2.691 20 0 BFADHN C[C@H]1C[C@H](Nc2nc(Cl)cs2)CCN1C ZINC000165076691 633086179 /nfs/dbraw/zinc/08/61/79/633086179.db2.gz XMHVHMUMLAIKMX-JGVFFNPUSA-N 0 3 245.779 2.691 20 0 BFADHN CC[C@@]1(C)CCCN(c2ccncc2CO)C1 ZINC000892724245 633193705 /nfs/dbraw/zinc/19/37/05/633193705.db2.gz TYNNYPGUUQNBCR-AWEZNQCLSA-N 0 3 234.343 2.590 20 0 BFADHN CCC(F)(F)CNc1cc(N)ncc1Cl ZINC000894738049 635919988 /nfs/dbraw/zinc/91/99/88/635919988.db2.gz JOVPFOIPPWMTHV-UHFFFAOYSA-N 0 3 235.665 2.774 20 0 BFADHN C[C@@H](CCC(C)(C)C)NCc1cn(C)cn1 ZINC000309598151 633209382 /nfs/dbraw/zinc/20/93/82/633209382.db2.gz WUAFVOJQLKXYDG-NSHDSACASA-N 0 3 223.364 2.725 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CC[C@@H]2C)s1 ZINC000307000282 635979744 /nfs/dbraw/zinc/97/97/44/635979744.db2.gz DJZNOFFXFLZLEF-HGNGGELXSA-N 0 3 210.346 2.901 20 0 BFADHN CS[C@H]1C[C@H](Nc2cc(N)ncc2Cl)C1 ZINC000894982584 636012452 /nfs/dbraw/zinc/01/24/52/636012452.db2.gz RQOVWWVRHXUGEW-LJGSYFOKSA-N 0 3 243.763 2.623 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1C/C=C\c1cccc(F)c1 ZINC000895034926 636017245 /nfs/dbraw/zinc/01/72/45/636017245.db2.gz ZHBQAJHTOFILEK-FWQWQBSGSA-N 0 3 249.329 2.684 20 0 BFADHN COCCC1CCN(Cc2cc(C)co2)CC1 ZINC000895389246 636141270 /nfs/dbraw/zinc/14/12/70/636141270.db2.gz NIDFJCRSWHRHSK-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN CCCCCC[C@@H](C)NCc1cn(C)cn1 ZINC000309788808 633743463 /nfs/dbraw/zinc/74/34/63/633743463.db2.gz UBBKLBWULYNXQF-GFCCVEGCSA-N 0 3 223.364 2.869 20 0 BFADHN CC(C)n1cc([C@@H](C)NC2(C3CC3)CCC2)nn1 ZINC000926874350 633760770 /nfs/dbraw/zinc/76/07/70/633760770.db2.gz PMLBVFZMAMOILM-LLVKDONJSA-N 0 3 248.374 2.842 20 0 BFADHN CCc1nnc(CNC2[C@H](C)CC[C@H]2C)s1 ZINC000309949589 633968999 /nfs/dbraw/zinc/96/89/99/633968999.db2.gz FNPIYBXNDBWZTB-RKDXNWHRSA-N 0 3 239.388 2.625 20 0 BFADHN CC(C)c1nnc(CNCC2CC=CC2)s1 ZINC000895563546 636157648 /nfs/dbraw/zinc/15/76/48/636157648.db2.gz AYGHPNKFKGYSCW-UHFFFAOYSA-N 0 3 237.372 2.717 20 0 BFADHN Cc1nonc1[C@@H](C)NC(C(C)C)C(C)C ZINC000925566561 634060668 /nfs/dbraw/zinc/06/06/68/634060668.db2.gz IZDRLJTZVURYOF-SECBINFHSA-N 0 3 225.336 2.709 20 0 BFADHN Cc1nonc1[C@H](C)NC(C(C)C)C(C)C ZINC000925566562 634061164 /nfs/dbraw/zinc/06/11/64/634061164.db2.gz IZDRLJTZVURYOF-VIFPVBQESA-N 0 3 225.336 2.709 20 0 BFADHN O=C(C1CCN(C2CCCC2)CC1)C(F)(F)F ZINC000186032030 634066783 /nfs/dbraw/zinc/06/67/83/634066783.db2.gz HNOSOKZEXGRUSA-UHFFFAOYSA-N 0 3 249.276 2.772 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1ccc(F)nc1 ZINC000189452577 634181642 /nfs/dbraw/zinc/18/16/42/634181642.db2.gz XZEPQEXYZKEVOS-UWVGGRQHSA-N 0 3 208.280 2.594 20 0 BFADHN CC(C)(C)C1=CCN(c2ccncc2CO)CC1 ZINC000162609390 634226417 /nfs/dbraw/zinc/22/64/17/634226417.db2.gz VJNPDFRQMJQLCU-UHFFFAOYSA-N 0 3 246.354 2.757 20 0 BFADHN C[C@@H]1CCCC[C@H]1OCCNCc1ccoc1 ZINC000053553256 634234895 /nfs/dbraw/zinc/23/48/95/634234895.db2.gz NRWLYTQLHGPNTE-TZMCWYRMSA-N 0 3 237.343 2.965 20 0 BFADHN CC(C)(C)SCCNCc1ccoc1 ZINC000053616734 634272649 /nfs/dbraw/zinc/27/26/49/634272649.db2.gz JALAJZVUILDUAI-UHFFFAOYSA-N 0 3 213.346 2.901 20 0 BFADHN CCN(CN1CCSC1=S)C1CCCC1 ZINC000123996185 634368038 /nfs/dbraw/zinc/36/80/38/634368038.db2.gz WQJGWPNUCGPQBC-UHFFFAOYSA-N 0 3 244.429 2.542 20 0 BFADHN Cc1cc(CN2CCCOC[C@H]2C)cc(C)c1O ZINC000398903837 635192898 /nfs/dbraw/zinc/19/28/98/635192898.db2.gz FGLSIQYLOXMWKI-CYBMUJFWSA-N 0 3 249.354 2.620 20 0 BFADHN CC[C@H](NCc1cc(N)ccn1)c1cccs1 ZINC000925771556 635208334 /nfs/dbraw/zinc/20/83/34/635208334.db2.gz MXEZPRWNBABARQ-LBPRGKRZSA-N 0 3 247.367 2.966 20 0 BFADHN CC(=O)CCN(C)Cc1cc(C)ccc1C ZINC000259000427 635233012 /nfs/dbraw/zinc/23/30/12/635233012.db2.gz YUFLDERHNMCJLR-UHFFFAOYSA-N 0 3 219.328 2.714 20 0 BFADHN CCCCC[C@@H](NC(=O)[C@@H](N)CC)C(C)(C)C ZINC000236826012 635354006 /nfs/dbraw/zinc/35/40/06/635354006.db2.gz CPSSUSHWODUQHK-NWDGAFQWSA-N 0 3 242.407 2.835 20 0 BFADHN C[C@H]1CCC[C@H](NCc2ncccn2)CC1 ZINC000235625102 635583854 /nfs/dbraw/zinc/58/38/54/635583854.db2.gz VJQOKZGIHLZQKY-RYUDHWBXSA-N 0 3 219.332 2.535 20 0 BFADHN [O-]c1cc(Cl)cc(C[NH2+]CCCCF)c1 ZINC000894563410 635909248 /nfs/dbraw/zinc/90/92/48/635909248.db2.gz GZYZTGOCDQPVBF-UHFFFAOYSA-N 0 3 231.698 2.885 20 0 BFADHN Cc1cc(N2CCC3(C2)CCCCC3)nc(N)n1 ZINC000310688829 636007756 /nfs/dbraw/zinc/00/77/56/636007756.db2.gz OSJJKOUUMXOTJP-UHFFFAOYSA-N 0 3 246.358 2.528 20 0 BFADHN C[C@H](CCC(C)(C)C)N[C@H](C)c1cnn(C)n1 ZINC000925099702 636008551 /nfs/dbraw/zinc/00/85/51/636008551.db2.gz YFDZTOORQZGHRM-GHMZBOCLSA-N 0 3 238.379 2.681 20 0 BFADHN Cc1cc(N[C@@H]2CCC[C@@H](C(C)C)C2)nc(N)n1 ZINC000728910790 636409526 /nfs/dbraw/zinc/40/95/26/636409526.db2.gz UFGANEKROHMZLX-VXGBXAGGSA-N 0 3 248.374 2.994 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@@]1(C)CCCO1 ZINC000925249016 636516434 /nfs/dbraw/zinc/51/64/34/636516434.db2.gz JQAOVSYMRWSDGU-TVQRCGJNSA-N 0 3 238.331 2.511 20 0 BFADHN C[C@@H](N[C@@H](C)c1cc2n(n1)CCC2)C1(C)CC1 ZINC000840735239 636580666 /nfs/dbraw/zinc/58/06/66/636580666.db2.gz OETGEWGOZPNICU-WDEREUQCSA-N 0 3 233.359 2.669 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H](C)c1cncs1 ZINC000897932807 636846924 /nfs/dbraw/zinc/84/69/24/636846924.db2.gz QBXYWABBJXZQIH-ZJUUUORDSA-N 0 3 228.361 2.607 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCS[C@@H](C)C2)no1 ZINC000927029184 636998878 /nfs/dbraw/zinc/99/88/78/636998878.db2.gz OTTIXXXIJJMMPB-DCAQKATOSA-N 0 3 240.372 2.918 20 0 BFADHN C[C@H](NCC[C@H]1CCC2(CCC2)O1)c1ncc[nH]1 ZINC000927124168 637039033 /nfs/dbraw/zinc/03/90/33/637039033.db2.gz HSDFUMHYPWVLOX-NWDGAFQWSA-N 0 3 249.358 2.552 20 0 BFADHN CO[C@@H]1CCC[C@@H]1N[C@H]1COc2ccc(C)cc21 ZINC000903123893 637344866 /nfs/dbraw/zinc/34/48/66/637344866.db2.gz CLNGQFBQAHSNEJ-KCQAQPDRSA-N 0 3 247.338 2.586 20 0 BFADHN CC(C)=CC(=O)Nc1ccccc1CN(C)C ZINC000042752491 637379937 /nfs/dbraw/zinc/37/99/37/637379937.db2.gz APBACKHVRFIIEF-UHFFFAOYSA-N 0 3 232.327 2.653 20 0 BFADHN C[C@H]([NH2+]C[C@H]1C[C@@H]1C)c1cc([O-])cc(F)c1 ZINC000924799314 637438737 /nfs/dbraw/zinc/43/87/37/637438737.db2.gz URYUOHDNCVJEJQ-ATZCPNFKSA-N 0 3 223.291 2.838 20 0 BFADHN CNc1ccc(-c2ccc(CN(C)C)s2)nc1 ZINC000904864566 637512105 /nfs/dbraw/zinc/51/21/05/637512105.db2.gz KVJZOKHYFJCIDJ-UHFFFAOYSA-N 0 3 247.367 2.913 20 0 BFADHN Cc1nnc(-c2ccc(CN(C)C)s2)s1 ZINC000904864322 637512454 /nfs/dbraw/zinc/51/24/54/637512454.db2.gz ITHDCTKYVKTOBL-UHFFFAOYSA-N 0 3 239.369 2.637 20 0 BFADHN CCC1(C)CN(C[C@H](O)c2cccc(C)c2)C1 ZINC000905957201 638004754 /nfs/dbraw/zinc/00/47/54/638004754.db2.gz LZEMOTACHMDPJU-AWEZNQCLSA-N 0 3 233.355 2.760 20 0 BFADHN Cc1cc(-c2cnc([C@H]3CCCN3)nc2)cs1 ZINC000906159046 638067357 /nfs/dbraw/zinc/06/73/57/638067357.db2.gz PUGLWGJBNDXOEK-GFCCVEGCSA-N 0 3 245.351 2.938 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1cn(C2CC2)nn1 ZINC000906296391 638136432 /nfs/dbraw/zinc/13/64/32/638136432.db2.gz LBRCAHXBZJACTK-RISCZKNCSA-N 0 3 248.374 2.671 20 0 BFADHN CCOCCN(C)[C@H]1C[C@@H]1c1cccc(F)c1 ZINC000906588274 638212757 /nfs/dbraw/zinc/21/27/57/638212757.db2.gz PKQQFVHWZWXXHF-KGLIPLIRSA-N 0 3 237.318 2.650 20 0 BFADHN CN(Cc1cn[nH]c1)[C@@H]1C[C@@H]1c1cccc(F)c1 ZINC000906592951 638214318 /nfs/dbraw/zinc/21/43/18/638214318.db2.gz SFLAKEKVJVXDKU-ZIAGYGMSSA-N 0 3 245.301 2.537 20 0 BFADHN CC(C)n1cc([C@@H](C)NC[C@@H](C)C2CC2)nn1 ZINC000924829988 639408300 /nfs/dbraw/zinc/40/83/00/639408300.db2.gz YWHFSVFQJAQICJ-GHMZBOCLSA-N 0 3 236.363 2.556 20 0 BFADHN C[C@H]1CCN(CCCc2cccnc2)C[C@@H]1F ZINC000930245446 639656064 /nfs/dbraw/zinc/65/60/64/639656064.db2.gz PQUOLPGERXOTOD-JSGCOSHPSA-N 0 3 236.334 2.694 20 0 BFADHN C[C@@H]1CCN(CCCc2cccnc2)C[C@@H]1F ZINC000930245447 639657114 /nfs/dbraw/zinc/65/71/14/639657114.db2.gz PQUOLPGERXOTOD-OCCSQVGLSA-N 0 3 236.334 2.694 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2cc(C)cc(C)n2)C1 ZINC000930271096 639675306 /nfs/dbraw/zinc/67/53/06/639675306.db2.gz UNUZZUCYIQEBKR-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN CC[C@H]1CN(Cc2cc(C)cc(C)n2)CCCO1 ZINC000930317987 639699553 /nfs/dbraw/zinc/69/95/53/639699553.db2.gz XHQIWFRTFXGOPG-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN CCSc1ccccc1CN(C)CCCO ZINC000930324291 639705667 /nfs/dbraw/zinc/70/56/67/639705667.db2.gz FSRRAMOACJSGEH-UHFFFAOYSA-N 0 3 239.384 2.613 20 0 BFADHN COCCN(Cc1ccccn1)CC1CC(C)C1 ZINC000930484436 639776172 /nfs/dbraw/zinc/77/61/72/639776172.db2.gz HGTPAHATDMDXFZ-UHFFFAOYSA-N 0 3 248.370 2.576 20 0 BFADHN CC[C@H](CO)N1CC(C)(C)[C@H]1c1cccs1 ZINC000930495209 639780760 /nfs/dbraw/zinc/78/07/60/639780760.db2.gz WRRSNTPIMIZVDQ-ZYHUDNBSSA-N 0 3 239.384 2.902 20 0 BFADHN CCN(Cc1cc(C)cc(C)n1)C[C@H](C)OC ZINC000930540006 639805934 /nfs/dbraw/zinc/80/59/34/639805934.db2.gz QLWAUQKYDVBOSI-ZDUSSCGKSA-N 0 3 236.359 2.555 20 0 BFADHN CCN(C[C@@H](C)OC)[C@H](C)c1ccc(F)nc1 ZINC000930542098 639807270 /nfs/dbraw/zinc/80/72/70/639807270.db2.gz YMMUEYABVIZJPQ-GHMZBOCLSA-N 0 3 240.322 2.639 20 0 BFADHN C[C@H]1CN(CCc2cccc(F)c2)[C@H]1C ZINC000930581951 639813942 /nfs/dbraw/zinc/81/39/42/639813942.db2.gz KIMUATWMQIWTCJ-QWRGUYRKSA-N 0 3 207.292 2.708 20 0 BFADHN Cc1cc(C)nc(CN2C[C@@H](C)[C@H]2C)c1 ZINC000930583177 639814608 /nfs/dbraw/zinc/81/46/08/639814608.db2.gz VMNFIBLJQWBTSV-ZYHUDNBSSA-N 0 3 204.317 2.539 20 0 BFADHN Cc1ccc2c(c1)CN(CCOC1CC1)CC2 ZINC000930962312 639998036 /nfs/dbraw/zinc/99/80/36/639998036.db2.gz LPGHATIDECUUKF-UHFFFAOYSA-N 0 3 231.339 2.532 20 0 BFADHN CCc1ncc(CN2CCC[C@H](CCF)C2)o1 ZINC000931014278 640018021 /nfs/dbraw/zinc/01/80/21/640018021.db2.gz AIPVGYPICCGDRU-LLVKDONJSA-N 0 3 240.322 2.809 20 0 BFADHN CC1CC(CN2CCC[C@@H]2c2ncccn2)C1 ZINC000931054719 640042726 /nfs/dbraw/zinc/04/27/26/640042726.db2.gz ONJRKMJJAUZCSI-WXRRBKDZSA-N 0 3 231.343 2.660 20 0 BFADHN C[C@H](c1ncccn1)N1[C@@H]2CC[C@H]1CC(C)C2 ZINC000931066338 640052117 /nfs/dbraw/zinc/05/21/17/640052117.db2.gz OEIODVDKDWENFY-HYWTVENDSA-N 0 3 231.343 2.801 20 0 BFADHN C[C@H](C1CC1)N1CCc2nc(C3CC3)ncc2C1 ZINC000931258299 640118801 /nfs/dbraw/zinc/11/88/01/640118801.db2.gz JEZRKDMHHDFDJT-SNVBAGLBSA-N 0 3 243.354 2.511 20 0 BFADHN CC(C)CN[C@H](C)c1cn(-c2ccccc2)nn1 ZINC000150838984 640162289 /nfs/dbraw/zinc/16/22/89/640162289.db2.gz NCELQFKFHKVSRK-GFCCVEGCSA-N 0 3 244.342 2.574 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2)Cc2c[nH]nc21 ZINC000931454776 640213881 /nfs/dbraw/zinc/21/38/81/640213881.db2.gz FKUOSLOTPKANSM-GFCCVEGCSA-N 0 3 241.338 2.572 20 0 BFADHN C[C@H]1CN(CCc2cccs2)Cc2c[nH]nc21 ZINC000931458993 640216642 /nfs/dbraw/zinc/21/66/42/640216642.db2.gz MHQLBMCXVFZEFK-JTQLQIEISA-N 0 3 247.367 2.633 20 0 BFADHN CC(C)CCCN1Cc2c[nH]nc2[C@@H](C)C1 ZINC000931461851 640218780 /nfs/dbraw/zinc/21/87/80/640218780.db2.gz ZXWRGLIQDWZRPB-NSHDSACASA-N 0 3 221.348 2.765 20 0 BFADHN CCc1ncc(CNC2(C3CC3)CCC2)o1 ZINC000931764487 640356564 /nfs/dbraw/zinc/35/65/64/640356564.db2.gz RHDDDNBIAPSXNF-UHFFFAOYSA-N 0 3 220.316 2.659 20 0 BFADHN C[C@H](c1ccc(F)nc1)N(C)CCCF ZINC000931764681 640357060 /nfs/dbraw/zinc/35/70/60/640357060.db2.gz UJWMUJKZFLYKAW-SECBINFHSA-N 0 3 214.259 2.573 20 0 BFADHN CC[C@@H](CO)N[C@H](C)c1cccc(Cl)c1F ZINC000931775340 640369046 /nfs/dbraw/zinc/36/90/46/640369046.db2.gz WVFBAQYRCPGBNW-BDAKNGLRSA-N 0 3 245.725 2.901 20 0 BFADHN CC[C@H](CO)N[C@H](C)c1cccc(Cl)c1F ZINC000931775343 640369525 /nfs/dbraw/zinc/36/95/25/640369525.db2.gz WVFBAQYRCPGBNW-RKDXNWHRSA-N 0 3 245.725 2.901 20 0 BFADHN CC/C=C\CCN1CC[C@H](c2noc(C)n2)C1 ZINC000931940179 640399095 /nfs/dbraw/zinc/39/90/95/640399095.db2.gz WQOYWQCZEFRTNU-RXNFCKPNSA-N 0 3 235.331 2.524 20 0 BFADHN c1ncc(CN[C@H]2CCSc3ccccc32)[nH]1 ZINC000054764873 640406019 /nfs/dbraw/zinc/40/60/19/640406019.db2.gz WMQHNFDCWJDKIW-LBPRGKRZSA-N 0 3 245.351 2.736 20 0 BFADHN FC(F)(F)[C@@H]1CN(CC2CCC2)CCS1 ZINC000932072251 640422374 /nfs/dbraw/zinc/42/23/74/640422374.db2.gz BXAQWLLNIIITPO-VIFPVBQESA-N 0 3 239.306 2.766 20 0 BFADHN CC1(CN[C@H](c2ncccn2)C2CC2)CCC1 ZINC000932352081 640494364 /nfs/dbraw/zinc/49/43/64/640494364.db2.gz FMPBBGCHZSRFPR-LBPRGKRZSA-N 0 3 231.343 2.708 20 0 BFADHN c1cnc([C@H](NCCC2CCC2)C2CC2)nc1 ZINC000932352785 640495808 /nfs/dbraw/zinc/49/58/08/640495808.db2.gz DXPKJRAPMVZHAT-CYBMUJFWSA-N 0 3 231.343 2.708 20 0 BFADHN c1cnc([C@@H](NCCC2CCC2)C2CC2)nc1 ZINC000932352786 640496480 /nfs/dbraw/zinc/49/64/80/640496480.db2.gz DXPKJRAPMVZHAT-ZDUSSCGKSA-N 0 3 231.343 2.708 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H](c1ncccn1)C1CC1 ZINC000932353202 640497297 /nfs/dbraw/zinc/49/72/97/640497297.db2.gz XKJMYZLAGNVUGP-KGYLQXTDSA-N 0 3 231.343 2.563 20 0 BFADHN COC/C(C)=C\CN[C@@H](c1ccccn1)C1CC1 ZINC000932387110 640515797 /nfs/dbraw/zinc/51/57/97/640515797.db2.gz WVOFKYBENBJZBX-LDCOFTPGSA-N 0 3 246.354 2.715 20 0 BFADHN Cc1cccc(Cl)c1CN[C@H]1COC[C@H]1C ZINC000932487353 640551254 /nfs/dbraw/zinc/55/12/54/640551254.db2.gz AEPLGWTWNRQUIT-MFKMUULPSA-N 0 3 239.746 2.773 20 0 BFADHN CC(C)C[C@@H](C)N1CCn2cccc2C1 ZINC000932525239 640564551 /nfs/dbraw/zinc/56/45/51/640564551.db2.gz VLQCKQHNSKLXRO-GFCCVEGCSA-N 0 3 206.333 2.738 20 0 BFADHN CSCC1CCN(Cc2ccccn2)CC1 ZINC000932592490 640579922 /nfs/dbraw/zinc/57/99/22/640579922.db2.gz XYMIAUVTUVMXOM-UHFFFAOYSA-N 0 3 236.384 2.657 20 0 BFADHN C[C@H](NC[C@@H]1CSCCS1)c1ccco1 ZINC000157176394 640618176 /nfs/dbraw/zinc/61/81/76/640618176.db2.gz OVFLKVOAFUDKAO-VHSXEESVSA-N 0 3 243.397 2.779 20 0 BFADHN CC(=O)CN1CCC[C@@]1(C)Cc1cccs1 ZINC000932923626 640645572 /nfs/dbraw/zinc/64/55/72/640645572.db2.gz ROARSTGGNDBLEU-ZDUSSCGKSA-N 0 3 237.368 2.734 20 0 BFADHN CC(=O)CN1CCC[C@]1(C)Cc1cccs1 ZINC000932923625 640646191 /nfs/dbraw/zinc/64/61/91/640646191.db2.gz ROARSTGGNDBLEU-CYBMUJFWSA-N 0 3 237.368 2.734 20 0 BFADHN COc1ccc([C@@H](C)NCc2cn[nH]c2)cc1C ZINC000933483823 640720743 /nfs/dbraw/zinc/72/07/43/640720743.db2.gz MIGGCJCVIJTYRE-LLVKDONJSA-N 0 3 245.326 2.578 20 0 BFADHN C[C@]12CN(Cc3ccon3)C[C@H]1[C@H]1CC[C@@H]2C1 ZINC000933706246 640751645 /nfs/dbraw/zinc/75/16/45/640751645.db2.gz SHPURKKFUQNAAY-UZGDPCLZSA-N 0 3 232.327 2.543 20 0 BFADHN c1cc(CN(CCc2cncs2)C2CC2)co1 ZINC000934003868 640804373 /nfs/dbraw/zinc/80/43/73/640804373.db2.gz JZDBSEVCNACUFP-UHFFFAOYSA-N 0 3 248.351 2.943 20 0 BFADHN Cc1ccc(F)c(CNC[C@@H](O)CC(C)C)c1 ZINC000227286139 640825205 /nfs/dbraw/zinc/82/52/05/640825205.db2.gz MOHWXZMDROHIKU-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN CC(C)=CCN[C@H]1CCc2cccnc21 ZINC000228855955 640899753 /nfs/dbraw/zinc/89/97/53/640899753.db2.gz XANSPUNNRDREOV-LBPRGKRZSA-N 0 3 202.301 2.625 20 0 BFADHN CC1(C)CCCN(c2ccnc(CO)c2)CC1 ZINC000087658769 640958478 /nfs/dbraw/zinc/95/84/78/640958478.db2.gz ZWLAAESPLLNDLM-UHFFFAOYSA-N 0 3 234.343 2.590 20 0 BFADHN Cc1nc(CNC[C@H]2CCCS2)sc1C ZINC000087669192 640966574 /nfs/dbraw/zinc/96/65/74/640966574.db2.gz HWLODLVIQHNJJI-SNVBAGLBSA-N 0 3 242.413 2.745 20 0 BFADHN Cc1nc(CNC[C@@H]2CCCS2)sc1C ZINC000087669191 640966815 /nfs/dbraw/zinc/96/68/15/640966815.db2.gz HWLODLVIQHNJJI-JTQLQIEISA-N 0 3 242.413 2.745 20 0 BFADHN CCCCCNC(=O)CN1CCCCCCC1 ZINC000061758508 640993140 /nfs/dbraw/zinc/99/31/40/640993140.db2.gz AKGVAKWAUXTZEH-UHFFFAOYSA-N 0 3 240.391 2.559 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2ncc(Cl)n2C)C1 ZINC000230416295 641054203 /nfs/dbraw/zinc/05/42/03/641054203.db2.gz HSYRSZIAHLQUFZ-NXEZZACHSA-N 0 3 241.766 2.599 20 0 BFADHN COC(C)(C)CCN[C@H](C)c1nccs1 ZINC000230417580 641054335 /nfs/dbraw/zinc/05/43/35/641054335.db2.gz YVYOIUIQWXZABQ-SECBINFHSA-N 0 3 228.361 2.609 20 0 BFADHN CC[C@H](C)C[C@@H](C)Nc1cc(C)nc(N)n1 ZINC000087836832 641066100 /nfs/dbraw/zinc/06/61/00/641066100.db2.gz NEFGKEWQTQURED-DTWKUNHWSA-N 0 3 222.336 2.604 20 0 BFADHN CO[C@@H]1CC[C@@H]1N(C)Cc1ccc(C)c(F)c1 ZINC000934105508 641068343 /nfs/dbraw/zinc/06/83/43/641068343.db2.gz FPJGHBPDDPOQMM-UONOGXRCSA-N 0 3 237.318 2.743 20 0 BFADHN CO[C@@H]1CC[C@H]1N(C)Cc1cc(F)ccc1C ZINC000934109593 641073948 /nfs/dbraw/zinc/07/39/48/641073948.db2.gz BDLPVHFQNZGONM-ZIAGYGMSSA-N 0 3 237.318 2.743 20 0 BFADHN CCCCC1(NCc2conc2C)CC1 ZINC000934200852 641099089 /nfs/dbraw/zinc/09/90/89/641099089.db2.gz OZJQDTWAOJCMEL-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN CCCCC1(NCc2cc(C)ncn2)CC1 ZINC000934201611 641101993 /nfs/dbraw/zinc/10/19/93/641101993.db2.gz BOFJNJHAWCXTDZ-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN CCCOC(=O)[C@@H](C)N(CC(C)C)C(C)C ZINC000172748891 641106013 /nfs/dbraw/zinc/10/60/13/641106013.db2.gz LNRHOBWPRHHVIT-GFCCVEGCSA-N 0 3 229.364 2.695 20 0 BFADHN C[C@H](Cc1cccnc1)NCC=C(Cl)Cl ZINC000934229801 641108765 /nfs/dbraw/zinc/10/87/65/641108765.db2.gz KXPCOVQURYLMNV-SECBINFHSA-N 0 3 245.153 2.921 20 0 BFADHN OCc1cc(N2CCC3(CCCC3)CC2)ccn1 ZINC000062955419 641114861 /nfs/dbraw/zinc/11/48/61/641114861.db2.gz JDMVTFGDDKOLLV-UHFFFAOYSA-N 0 3 246.354 2.735 20 0 BFADHN CC[C@H](C)CN(C)Cc1cnc(Cl)cn1 ZINC000231276445 641150249 /nfs/dbraw/zinc/15/02/49/641150249.db2.gz PROOEIXIEYGNNY-VIFPVBQESA-N 0 3 227.739 2.608 20 0 BFADHN C[C@@]1(C2CC2)COCCN1Cc1ccccc1 ZINC000934485105 641169520 /nfs/dbraw/zinc/16/95/20/641169520.db2.gz YNPUEYCLOBBSTC-HNNXBMFYSA-N 0 3 231.339 2.688 20 0 BFADHN CC1(C)CCC(CN2CC[C@H](O)[C@@H](F)C2)CC1 ZINC000934570865 641201187 /nfs/dbraw/zinc/20/11/87/641201187.db2.gz NPMXTJMYMKJKET-STQMWFEESA-N 0 3 243.366 2.608 20 0 BFADHN CCc1nnc(CN[C@@H]2CC=CC[C@H]2C)s1 ZINC000934619399 641216074 /nfs/dbraw/zinc/21/60/74/641216074.db2.gz LSAQTLHYJHUDKJ-NXEZZACHSA-N 0 3 237.372 2.545 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCc1nccn1C(F)F ZINC000934624609 641220796 /nfs/dbraw/zinc/22/07/96/641220796.db2.gz AEYQURIPVOIJGX-NXEZZACHSA-N 0 3 241.285 2.723 20 0 BFADHN CSCC(C)(C)NCc1cc(C2CC2)no1 ZINC000934662982 641237419 /nfs/dbraw/zinc/23/74/19/641237419.db2.gz ILXWKZBDMPPNDO-UHFFFAOYSA-N 0 3 240.372 2.783 20 0 BFADHN Cl/C=C\CN[C@@H](c1ccncc1)C1CC1 ZINC000934689586 641247543 /nfs/dbraw/zinc/24/75/43/641247543.db2.gz PJJQJZYZWXQUBS-CEOGKZTLSA-N 0 3 222.719 2.875 20 0 BFADHN Cc1cn(C)nc1CNC1(C2CCCCC2)CC1 ZINC000934787234 641268263 /nfs/dbraw/zinc/26/82/63/641268263.db2.gz GVHFZHRCVCZIBR-UHFFFAOYSA-N 0 3 247.386 2.931 20 0 BFADHN c1cc(CNC2(C3CCCCC3)CC2)n[nH]1 ZINC000934789251 641271931 /nfs/dbraw/zinc/27/19/31/641271931.db2.gz GLJXGBFNNXEWGF-UHFFFAOYSA-N 0 3 219.332 2.612 20 0 BFADHN Cc1cnc(CNC2(C3CCCCC3)CC2)cn1 ZINC000934788080 641272658 /nfs/dbraw/zinc/27/26/58/641272658.db2.gz OFGYWYWYHRLSHD-UHFFFAOYSA-N 0 3 245.370 2.988 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@@H]1CC1(C)C ZINC000925391728 641420981 /nfs/dbraw/zinc/42/09/81/641420981.db2.gz AJWCGRNXSHZPRY-QWRGUYRKSA-N 0 3 234.343 2.996 20 0 BFADHN CCCC1CCC(NCc2cc[nH]n2)CC1 ZINC000041014690 641539709 /nfs/dbraw/zinc/53/97/09/641539709.db2.gz NFDKWNCJUSEVGX-UHFFFAOYSA-N 0 3 221.348 2.858 20 0 BFADHN c1c(CN2CC[C@H]3C[C@H]32)onc1-c1ccccc1 ZINC000761078971 641571605 /nfs/dbraw/zinc/57/16/05/641571605.db2.gz ANXFGWDVHPVGTK-SWLSCSKDSA-N 0 3 240.306 2.936 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCN(C)[C@H](C)C1 ZINC000761071002 641572423 /nfs/dbraw/zinc/57/24/23/641572423.db2.gz BICGQNKJZHRJIV-NEPJUHHUSA-N 0 3 236.334 2.523 20 0 BFADHN CCCCCC[C@H](C)NC(=O)CN(C)C(C)C ZINC000152577234 641615002 /nfs/dbraw/zinc/61/50/02/641615002.db2.gz JMGNUCPSZPOVLR-ZDUSSCGKSA-N 0 3 242.407 2.802 20 0 BFADHN CC[C@H](C)N1CCC(C(=O)C(F)(F)F)CC1 ZINC000187729018 641722806 /nfs/dbraw/zinc/72/28/06/641722806.db2.gz CTOAHSJHOKWBKT-QMMMGPOBSA-N 0 3 237.265 2.628 20 0 BFADHN CCCCCCCCN1CCCNC(=O)[C@@H]1C ZINC000189264230 641797049 /nfs/dbraw/zinc/79/70/49/641797049.db2.gz KSMSJAJWCLFHFC-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2ccc(F)nc2)C1 ZINC000189371428 641803769 /nfs/dbraw/zinc/80/37/69/641803769.db2.gz KDJWAGUUWHSEGQ-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2ccc(F)nc2)C1 ZINC000189371452 641804077 /nfs/dbraw/zinc/80/40/77/641804077.db2.gz KDJWAGUUWHSEGQ-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN CCC(=O)CCN1Cc2ccccc2C[C@H]1C ZINC000190250844 641852651 /nfs/dbraw/zinc/85/26/51/641852651.db2.gz LVBUWVRQAILMLY-GFCCVEGCSA-N 0 3 231.339 2.802 20 0 BFADHN CCN(Cc1c[nH]cn1)[C@H](C)Cc1ccsc1 ZINC000190261380 641854308 /nfs/dbraw/zinc/85/43/08/641854308.db2.gz GWBWKGLTWNBIMY-LLVKDONJSA-N 0 3 249.383 2.924 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CN1CCOCC1 ZINC000628116856 641856766 /nfs/dbraw/zinc/85/67/66/641856766.db2.gz HHDDVOQVKFEGHY-CHWSQXEVSA-N 0 3 211.349 2.535 20 0 BFADHN Cc1nc(SC[C@@H]2CCCN2C)sc1C ZINC000917103685 642010428 /nfs/dbraw/zinc/01/04/28/642010428.db2.gz ULTGXLNOJOJWBB-JTQLQIEISA-N 0 3 242.413 2.946 20 0 BFADHN Cc1cc(N2CC[C@@H](CC(C)(C)C)C2)nc(N)n1 ZINC000307813657 642316404 /nfs/dbraw/zinc/31/64/04/642316404.db2.gz RGBPSUKNYGZYLJ-NSHDSACASA-N 0 3 248.374 2.630 20 0 BFADHN C[C@@H](NC[C@@](C)(O)c1ccccc1)c1ccoc1 ZINC000160741593 642319241 /nfs/dbraw/zinc/31/92/41/642319241.db2.gz ISNUESBXVXPDPO-IUODEOHRSA-N 0 3 245.322 2.838 20 0 BFADHN COc1cccc(CN2CCCOC[C@H]2C)c1C ZINC000930069339 642327938 /nfs/dbraw/zinc/32/79/38/642327938.db2.gz XLUHRPBFFBKFEN-GFCCVEGCSA-N 0 3 249.354 2.614 20 0 BFADHN CC[C@@H](CSC)N(C)C/C=C\Cl ZINC000255523044 642445330 /nfs/dbraw/zinc/44/53/30/642445330.db2.gz YIQGWCZOCQMIEJ-UDIARPCQSA-N 0 3 207.770 2.812 20 0 BFADHN c1sc(CNCC2CC2)nc1-c1ccncc1 ZINC000070988987 642450397 /nfs/dbraw/zinc/45/03/97/642450397.db2.gz FYJSUQADTHWHNA-UHFFFAOYSA-N 0 3 245.351 2.705 20 0 BFADHN C[C@@H]([NH2+][C@H]1CCSC1)c1cc([O-])cc(F)c1 ZINC000925480637 642519650 /nfs/dbraw/zinc/51/96/50/642519650.db2.gz MVCRICDCPGTRJV-KCJUWKMLSA-N 0 3 241.331 2.687 20 0 BFADHN C[C@H](NCc1cnn(CCF)c1)c1ccccc1 ZINC000921477040 642636137 /nfs/dbraw/zinc/63/61/37/642636137.db2.gz VRCMSEQAJTVUNZ-LBPRGKRZSA-N 0 3 247.317 2.703 20 0 BFADHN CC[C@H](C)CN(CC)CN1CCSC1=S ZINC000174942950 642639232 /nfs/dbraw/zinc/63/92/32/642639232.db2.gz RNUCWZUMQQPMIR-JTQLQIEISA-N 0 3 246.445 2.646 20 0 BFADHN OCC[C@@H]1CCCN1c1ccnc2ccccc21 ZINC000305725555 642683453 /nfs/dbraw/zinc/68/34/53/642683453.db2.gz NUEAJAGLKGHVTA-LBPRGKRZSA-N 0 3 242.322 2.586 20 0 BFADHN CC(C)c1cccc(NC(=O)[C@@H](N)CC2CC2)c1 ZINC000306081762 642722811 /nfs/dbraw/zinc/72/28/11/642722811.db2.gz DFJUGAJTLCTKJB-AWEZNQCLSA-N 0 3 246.354 2.876 20 0 BFADHN c1ccc([C@H]2CCCCN2CC2OCCO2)cc1 ZINC000273814036 642965079 /nfs/dbraw/zinc/96/50/79/642965079.db2.gz DSDRMAPHFKCUQW-CQSZACIVSA-N 0 3 247.338 2.587 20 0 BFADHN CC(C)CCC1CCN(CC2OCCO2)CC1 ZINC000182462085 643020846 /nfs/dbraw/zinc/02/08/46/643020846.db2.gz LNZUPVCFGQAJTF-UHFFFAOYSA-N 0 3 241.375 2.508 20 0 BFADHN C[C@H](Cc1ccccc1Cl)NCc1ncc[nH]1 ZINC000049714931 643220272 /nfs/dbraw/zinc/22/02/72/643220272.db2.gz QVEUMOYLZHQXJB-SNVBAGLBSA-N 0 3 249.745 2.784 20 0 BFADHN CC[C@@H](NCCCCCCO)c1nccs1 ZINC000185087627 643261616 /nfs/dbraw/zinc/26/16/16/643261616.db2.gz WMUAVOKNUNFXQE-LLVKDONJSA-N 0 3 242.388 2.737 20 0 BFADHN Cc1ccc(CNCC[C@@H](O)c2ccccc2)o1 ZINC000306075731 643288035 /nfs/dbraw/zinc/28/80/35/643288035.db2.gz GACLZBQDNLSZCG-OAHLLOKOSA-N 0 3 245.322 2.801 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@H]2C)sc1C ZINC000307593260 643516352 /nfs/dbraw/zinc/51/63/52/643516352.db2.gz HANXHLZJHXGNPR-GMSGAONNSA-N 0 3 210.346 2.648 20 0 BFADHN COCCOc1ccc(CN2C[C@H](C)[C@@H]2C)cc1 ZINC000816648468 643558090 /nfs/dbraw/zinc/55/80/90/643558090.db2.gz LADJRAXQLZXNGB-STQMWFEESA-N 0 3 249.354 2.552 20 0 BFADHN CO[C@H]1CCC[C@H]1NCc1ccccc1Cl ZINC000049948919 643565534 /nfs/dbraw/zinc/56/55/34/643565534.db2.gz AWTXBKJUKWBKMP-OLZOCXBDSA-N 0 3 239.746 2.997 20 0 BFADHN Cc1nn(C)c(CN[C@@H](C)CC(C)C)c1Cl ZINC000084270430 643655108 /nfs/dbraw/zinc/65/51/08/643655108.db2.gz CLKYSHHKMPXRQF-VIFPVBQESA-N 0 3 243.782 2.906 20 0 BFADHN Cc1cnc(Cl)c(CN[C@@H]2C[C@H]2C)c1 ZINC000921686251 643739678 /nfs/dbraw/zinc/73/96/78/643739678.db2.gz PSYUKTFVQSSVHH-PSASIEDQSA-N 0 3 210.708 2.541 20 0 BFADHN CC1CC(NCc2c(Cl)n[nH]c2C2CC2)C1 ZINC000921811170 643800903 /nfs/dbraw/zinc/80/09/03/643800903.db2.gz ZWIOBYUWOABWFJ-UHFFFAOYSA-N 0 3 239.750 2.829 20 0 BFADHN Cc1cnc(Cl)c(CNCC2(C)CC2)c1 ZINC000921813491 643800955 /nfs/dbraw/zinc/80/09/55/643800955.db2.gz FMPWQSLNPPDDEG-UHFFFAOYSA-N 0 3 224.735 2.933 20 0 BFADHN CS[C@H](C)CNCc1cc(C)cnc1Cl ZINC000921923719 643825390 /nfs/dbraw/zinc/82/53/90/643825390.db2.gz GGZWXTCDNFYISJ-SECBINFHSA-N 0 3 244.791 2.885 20 0 BFADHN C[C@H](NC[C@H](O)c1ccccc1)c1ccco1 ZINC000035188406 643913854 /nfs/dbraw/zinc/91/38/54/643913854.db2.gz QZGTYCZTXUEEPJ-AAEUAGOBSA-N 0 3 231.295 2.664 20 0 BFADHN c1nn(CC2CCC2)cc1CNCC1=CCCC1 ZINC000922260006 643926869 /nfs/dbraw/zinc/92/68/69/643926869.db2.gz IVIBQMRPZZIWPR-UHFFFAOYSA-N 0 3 245.370 2.883 20 0 BFADHN C/C=C/CNCc1nccc2c1CCCC2 ZINC000922293297 643957871 /nfs/dbraw/zinc/95/78/71/643957871.db2.gz JPIYJUUBVKYZBR-NSCUHMNNSA-N 0 3 216.328 2.626 20 0 BFADHN C[C@@H]1Cc2cc(CNCC(C)(C)F)ccc2O1 ZINC000922299565 643960324 /nfs/dbraw/zinc/96/03/24/643960324.db2.gz DPYOJJZHGAFCEY-SNVBAGLBSA-N 0 3 237.318 2.848 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)o1 ZINC000922510598 644009666 /nfs/dbraw/zinc/00/96/66/644009666.db2.gz IXJHAVBAQDXOGA-WRSRJMLGSA-N 0 3 205.301 2.722 20 0 BFADHN CC(C)CCCN[C@@H](c1ncccn1)C1CC1 ZINC000922577763 644030225 /nfs/dbraw/zinc/03/02/25/644030225.db2.gz DSBSOVCKXFUOKA-CYBMUJFWSA-N 0 3 233.359 2.954 20 0 BFADHN c1cnc([C@H](NC[C@H]2CC=CCC2)C2CC2)nc1 ZINC000922581743 644032042 /nfs/dbraw/zinc/03/20/42/644032042.db2.gz JDKRIOUZFCSFLO-GXTWGEPZSA-N 0 3 243.354 2.874 20 0 BFADHN CCC[C@H](C)CN[C@H](c1ncccn1)C1CC1 ZINC000922579136 644032564 /nfs/dbraw/zinc/03/25/64/644032564.db2.gz PNXWAMFLFURXIV-AAEUAGOBSA-N 0 3 233.359 2.954 20 0 BFADHN CCC[C@H](C)CN[C@@H](c1ncccn1)C1CC1 ZINC000922579138 644033166 /nfs/dbraw/zinc/03/31/66/644033166.db2.gz PNXWAMFLFURXIV-WCQYABFASA-N 0 3 233.359 2.954 20 0 BFADHN Cn1cccc1CNC(C)(C)/C=C\Cl ZINC000922651121 644057161 /nfs/dbraw/zinc/05/71/61/644057161.db2.gz URDYOPIZUGMCJN-SREVYHEPSA-N 0 3 212.724 2.646 20 0 BFADHN C[C@@]1(CNCc2ccccc2F)CCCCO1 ZINC000922810857 644099180 /nfs/dbraw/zinc/09/91/80/644099180.db2.gz LIESXLPWRFZPTB-AWEZNQCLSA-N 0 3 237.318 2.875 20 0 BFADHN CCC[C@@H](O)CCNCc1ccc(Cl)o1 ZINC000922826121 644102346 /nfs/dbraw/zinc/10/23/46/644102346.db2.gz VRQXJUIPIDFGHC-SECBINFHSA-N 0 3 231.723 2.574 20 0 BFADHN Cc1csc(CN[C@H]2CCS[C@@H](C)C2)n1 ZINC000922836925 644113715 /nfs/dbraw/zinc/11/37/15/644113715.db2.gz PLPDFDRBKNHXRV-UWVGGRQHSA-N 0 3 242.413 2.825 20 0 BFADHN Cc1nc(CN[C@@H]2CCS[C@@H](C)C2)cs1 ZINC000922843358 644117828 /nfs/dbraw/zinc/11/78/28/644117828.db2.gz QNPPZVNYLPCCQT-WCBMZHEXSA-N 0 3 242.413 2.825 20 0 BFADHN OCc1cc(N2CCCCCCCC2)ccn1 ZINC000321549202 644136781 /nfs/dbraw/zinc/13/67/81/644136781.db2.gz JFEDSNOUNUNDBL-UHFFFAOYSA-N 0 3 234.343 2.735 20 0 BFADHN Cc1csc(CNC[C@H]2Cc3ccccc32)n1 ZINC000079055209 644148215 /nfs/dbraw/zinc/14/82/15/644148215.db2.gz NSVZXGHEEIFSQS-GFCCVEGCSA-N 0 3 244.363 2.881 20 0 BFADHN CC(C)[C@H]1CCCN(c2ccnc(CO)c2)CC1 ZINC000079359485 644176251 /nfs/dbraw/zinc/17/62/51/644176251.db2.gz DXHRXDOGMRIPHK-ZDUSSCGKSA-N 0 3 248.370 2.836 20 0 BFADHN C[C@@H]1CC[C@@H]([NH2+]Cc2cncc([O-])c2)C[C@@H]1C ZINC000922975904 644205566 /nfs/dbraw/zinc/20/55/66/644205566.db2.gz NQHBQKCLJXNRSA-NTZNESFSSA-N 0 3 234.343 2.702 20 0 BFADHN C[C@H]1C[C@H]([NH2+]Cc2cncc([O-])c2)C[C@@H](C)C1 ZINC000922975167 644206116 /nfs/dbraw/zinc/20/61/16/644206116.db2.gz AFBMWRZFYAZPRL-PJXYFTJBSA-N 0 3 234.343 2.702 20 0 BFADHN C[C@@H]1CC[C@H]([NH2+]Cc2cncc([O-])c2)C[C@H]1C ZINC000922975905 644206428 /nfs/dbraw/zinc/20/64/28/644206428.db2.gz NQHBQKCLJXNRSA-WZRBSPASSA-N 0 3 234.343 2.702 20 0 BFADHN O[C@H](CNC/C=C/c1ccccc1)c1ccco1 ZINC000080189851 644236639 /nfs/dbraw/zinc/23/66/39/644236639.db2.gz GAMKSYFPNNQCGE-YOIVXCQUSA-N 0 3 243.306 2.616 20 0 BFADHN Cc1ncccc1CNC1([C@@H]2CCCCO2)CC1 ZINC000923029960 644240995 /nfs/dbraw/zinc/24/09/95/644240995.db2.gz MVHBHJLFMYLAIL-AWEZNQCLSA-N 0 3 246.354 2.581 20 0 BFADHN CC[C@@H]1CCCC[C@H]1Nc1cc(C)nc(N)n1 ZINC000091015665 644610336 /nfs/dbraw/zinc/61/03/36/644610336.db2.gz RDAHQFBUGDDODT-GHMZBOCLSA-N 0 3 234.347 2.748 20 0 BFADHN CO[C@H]1CCCN(Cc2cc(C)oc2C)C1 ZINC000091772665 644638657 /nfs/dbraw/zinc/63/86/57/644638657.db2.gz WISKGNNOQBBCEI-ZDUSSCGKSA-N 0 3 223.316 2.507 20 0 BFADHN Cc1nn(C)cc1CN1CCC(CC(C)C)CC1 ZINC000092020735 644646042 /nfs/dbraw/zinc/64/60/42/644646042.db2.gz HKQOCNFAGHACMO-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN CCN(Cc1cc(C)oc1C)C[C@H]1CCCO1 ZINC000092407624 644657036 /nfs/dbraw/zinc/65/70/36/644657036.db2.gz HBQPRAAREAAXIP-CQSZACIVSA-N 0 3 237.343 2.897 20 0 BFADHN COC1CC(N[C@@H]2COc3c2ccc(C)c3C)C1 ZINC000769485495 644682596 /nfs/dbraw/zinc/68/25/96/644682596.db2.gz WYGLGAZNSYWFLO-ORHYLEIMSA-N 0 3 247.338 2.504 20 0 BFADHN Cc1ccc(-c2cnc([C@H]3CCCN3)nc2)cc1 ZINC000094576917 644721651 /nfs/dbraw/zinc/72/16/51/644721651.db2.gz ASYDKLQLOZPJNG-CQSZACIVSA-N 0 3 239.322 2.877 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H]1CSc2ccccc21 ZINC000250446037 644757453 /nfs/dbraw/zinc/75/74/53/644757453.db2.gz CUCBJHIWPMCPER-FRRDWIJNSA-N 0 3 249.379 2.991 20 0 BFADHN CCCCCCN1CC[C@@](O)(C(F)(F)F)C1 ZINC000303260109 644813451 /nfs/dbraw/zinc/81/34/51/644813451.db2.gz YAICOJLNQMUDFK-JTQLQIEISA-N 0 3 239.281 2.566 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)Cc2ccco2)nn1C ZINC000800472845 644834724 /nfs/dbraw/zinc/83/47/24/644834724.db2.gz BYTRAAKJFPKWMF-ZYHUDNBSSA-N 0 3 247.342 2.603 20 0 BFADHN F[C@@H]1CCCC[C@H]1N[C@@H]1CCCc2n[nH]cc21 ZINC000414312942 644857607 /nfs/dbraw/zinc/85/76/07/644857607.db2.gz OFPXTCGEOUQWLB-NQBHXWOUSA-N 0 3 237.322 2.657 20 0 BFADHN CCCOC(=O)[C@H](C)N1CCCC[C@H]1C(C)C ZINC000303938857 644858339 /nfs/dbraw/zinc/85/83/39/644858339.db2.gz SFQNEHWQIDRQHH-STQMWFEESA-N 0 3 241.375 2.839 20 0 BFADHN CCN(CN1CC(C)(C)CC1=O)[C@@H](C)C(C)C ZINC000808143732 644876063 /nfs/dbraw/zinc/87/60/63/644876063.db2.gz CEUBZPGDIKGBTQ-LBPRGKRZSA-N 0 3 240.391 2.569 20 0 BFADHN CC[C@H](N[C@@H]1C[C@@H](C)n2ncnc21)C1CCCC1 ZINC000926544176 645268315 /nfs/dbraw/zinc/26/83/15/645268315.db2.gz WDXMSABJJSRKOD-KGYLQXTDSA-N 0 3 248.374 2.842 20 0 BFADHN C[C@@H](N[C@@H](C)CC(C)(C)C)c1cc(CO)on1 ZINC000926565732 645287408 /nfs/dbraw/zinc/28/74/08/645287408.db2.gz WLVICNSKDFQPSA-VHSXEESVSA-N 0 3 240.347 2.642 20 0 BFADHN COc1ncncc1CN1CCCCCCCC1 ZINC000843412488 645290699 /nfs/dbraw/zinc/29/06/99/645290699.db2.gz VUSSWYICPPSGLG-UHFFFAOYSA-N 0 3 249.358 2.641 20 0 BFADHN C[C@@H](NC1CC2(C1)CCCC2)c1ccn(C)n1 ZINC000926578586 645297842 /nfs/dbraw/zinc/29/78/42/645297842.db2.gz XWDNNFFUPRESFQ-LLVKDONJSA-N 0 3 233.359 2.794 20 0 BFADHN COc1ccnc([C@H](C)N[C@@H]2C[C@H]2C2CCC2)c1 ZINC000926617374 645319014 /nfs/dbraw/zinc/31/90/14/645319014.db2.gz XTZSSLGNEWNVAU-VZJVUDMVSA-N 0 3 246.354 2.929 20 0 BFADHN C[C@H]([NH2+][C@@H]1C[C@H]2C[C@H]2C1)c1cc([O-])cc(F)c1 ZINC000926618127 645319091 /nfs/dbraw/zinc/31/90/91/645319091.db2.gz ZKLQCOGVYXVUHZ-MGAJZRQESA-N 0 3 235.302 2.980 20 0 BFADHN CC[C@@H]1CO[C@@H](CC)CN1CC1CC(F)(F)C1 ZINC000844385368 645328165 /nfs/dbraw/zinc/32/81/65/645328165.db2.gz JODIOMDHTLIXDD-NEPJUHHUSA-N 0 3 247.329 2.921 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2CC[C@@H]3C[C@@H]3C2)nn1 ZINC000926640989 645330082 /nfs/dbraw/zinc/33/00/82/645330082.db2.gz APNGGMUVHJQDLW-UMSGYPCISA-N 0 3 248.374 2.698 20 0 BFADHN C[C@@H]1CN(CCF)CCc2ccccc21 ZINC000844425045 645330604 /nfs/dbraw/zinc/33/06/04/645330604.db2.gz NVULPFXMSZOECF-LLVKDONJSA-N 0 3 207.292 2.618 20 0 BFADHN COc1c(C)cccc1CN[C@@H]1CO[C@H](C)[C@H]1C ZINC000926664569 645343926 /nfs/dbraw/zinc/34/39/26/645343926.db2.gz RDLXBHKSWZFCTQ-YRGRVCCFSA-N 0 3 249.354 2.517 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@H]1C[C@H](C)n2ncnc21 ZINC000926684532 645356280 /nfs/dbraw/zinc/35/62/80/645356280.db2.gz CRKMDQWHYSJVNB-DCAQKATOSA-N 0 3 236.363 2.698 20 0 BFADHN C[C@@H](N[C@@H]1C=CCC1)c1ccnn1C1CCC1 ZINC000926689340 645360707 /nfs/dbraw/zinc/36/07/07/645360707.db2.gz JRUXEQGLJXMMRX-VXGBXAGGSA-N 0 3 231.343 2.977 20 0 BFADHN C[C@@H](N[C@H]1C=CCC1)c1cnn(C2CCC2)c1 ZINC000926692856 645361852 /nfs/dbraw/zinc/36/18/52/645361852.db2.gz ZKRWEGKSGMOSDM-YPMHNXCESA-N 0 3 231.343 2.977 20 0 BFADHN C[C@@H](N[C@@]1(C)CC1(C)C)c1ccns1 ZINC000926722344 645384694 /nfs/dbraw/zinc/38/46/94/645384694.db2.gz SJFLKEOPNFDUEU-KCJUWKMLSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@H](NCc1ccco1)[C@@](C)(O)c1ccccc1 ZINC000846040611 645390639 /nfs/dbraw/zinc/39/06/39/645390639.db2.gz WLNDQWXJMYOGHL-SWLSCSKDSA-N 0 3 245.322 2.665 20 0 BFADHN C[C@@H](NCc1ccco1)[C@@](C)(O)c1ccccc1 ZINC000846040609 645391993 /nfs/dbraw/zinc/39/19/93/645391993.db2.gz WLNDQWXJMYOGHL-IUODEOHRSA-N 0 3 245.322 2.665 20 0 BFADHN C/C=C/CN[C@@H](CC)c1cccc(OC)n1 ZINC000926764195 645410825 /nfs/dbraw/zinc/41/08/25/645410825.db2.gz AXMQQYBGOCWQRI-MALLOTDXSA-N 0 3 220.316 2.707 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C)c1ccns1 ZINC000926764368 645411205 /nfs/dbraw/zinc/41/12/05/645411205.db2.gz UZWMFAGMVGJCCA-LIJGXYGRSA-N 0 3 240.372 2.606 20 0 BFADHN C/C=C/CN[C@H](C)c1cc(O)cc(F)c1 ZINC000926769754 645417430 /nfs/dbraw/zinc/41/74/30/645417430.db2.gz KTWASXQTNIPFTF-CDAZIORVSA-N 0 3 209.264 2.758 20 0 BFADHN C[C@H](NC[C@@H]1CCCC12CC2)c1ncco1 ZINC000926775230 645423113 /nfs/dbraw/zinc/42/31/13/645423113.db2.gz GOMFFRPATQMOOO-QWRGUYRKSA-N 0 3 220.316 2.906 20 0 BFADHN Cc1nonc1[C@@H](C)NCC1CCC2(CC2)CC1 ZINC000926789108 645433300 /nfs/dbraw/zinc/43/33/00/645433300.db2.gz PBOQYMYVOCOPCE-SNVBAGLBSA-N 0 3 249.358 2.999 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2c(C)noc2C)C1 ZINC000926791978 645435291 /nfs/dbraw/zinc/43/52/91/645435291.db2.gz WKYIRXDFAAOMEO-SWPVVBRQSA-N 0 3 240.372 2.836 20 0 BFADHN CCC[C@@H]1C[C@@H]1N[C@H](C)c1cc(OC)ccn1 ZINC000925486436 645453309 /nfs/dbraw/zinc/45/33/09/645453309.db2.gz SHDFUUFIVNGMDN-GYSYKLTISA-N 0 3 234.343 2.929 20 0 BFADHN C=C/C=C\CCN[C@H](C)c1cn(C(C)C)nn1 ZINC000926845398 645455387 /nfs/dbraw/zinc/45/53/87/645455387.db2.gz QAHXRWDBKCSAJX-ZHRWSRJISA-N 0 3 234.347 2.642 20 0 BFADHN C[C@@H]1OC[C@@H](NCc2cscc2Cl)[C@@H]1C ZINC000926865913 645462494 /nfs/dbraw/zinc/46/24/94/645462494.db2.gz RDRDJUHGGCXSAN-VHSKPIJISA-N 0 3 245.775 2.915 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000926889522 645478554 /nfs/dbraw/zinc/47/85/54/645478554.db2.gz XYKOGWXFRZULIQ-YMEVDKQGSA-N 0 3 234.343 2.987 20 0 BFADHN COc1cc([C@@H](C)NCCC2CC=CC2)on1 ZINC000926949573 645495707 /nfs/dbraw/zinc/49/57/07/645495707.db2.gz KIMVLFOTDPKXCL-SNVBAGLBSA-N 0 3 236.315 2.690 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2C[C@H]2C(F)(F)F)no1 ZINC000926951639 645497850 /nfs/dbraw/zinc/49/78/50/645497850.db2.gz SRCZQYPQRBLOMJ-XHNCKOQMSA-N 0 3 248.248 2.832 20 0 BFADHN CCn1nc(C)c([C@H](C)NCCC2CC=CC2)n1 ZINC000926951055 645498705 /nfs/dbraw/zinc/49/87/05/645498705.db2.gz VDDJHNRBUBGICL-NSHDSACASA-N 0 3 248.374 2.613 20 0 BFADHN COC[C@@H](CN[C@@H](C)c1cscn1)C(C)C ZINC000927097718 645548285 /nfs/dbraw/zinc/54/82/85/645548285.db2.gz SGZDLEGARDYDQX-WDEREUQCSA-N 0 3 242.388 2.712 20 0 BFADHN Cn1nc(CN[C@H]2CCC23CCC3)cc1C1CC1 ZINC000927106735 645550222 /nfs/dbraw/zinc/55/02/22/645550222.db2.gz DBKARUQWUBOTBP-AWEZNQCLSA-N 0 3 245.370 2.720 20 0 BFADHN C[C@H](NCc1cc(C2CC2)n(C)n1)C(C)(C)C ZINC000927112752 645550961 /nfs/dbraw/zinc/55/09/61/645550961.db2.gz RIJBCQIKYJAXGH-JTQLQIEISA-N 0 3 235.375 2.822 20 0 BFADHN Cn1nc(CN[C@H]2CCC(C)(C)C2)cc1C1CC1 ZINC000927109734 645551996 /nfs/dbraw/zinc/55/19/96/645551996.db2.gz WHYUBWBSZJXPSW-LBPRGKRZSA-N 0 3 247.386 2.966 20 0 BFADHN C[C@H](CC1CCC1)NCc1cc(C2CC2)n(C)n1 ZINC000927113299 645552950 /nfs/dbraw/zinc/55/29/50/645552950.db2.gz YQCASQWVCWUVRU-LLVKDONJSA-N 0 3 247.386 2.966 20 0 BFADHN C[C@@H](NC[C@]12C[C@H]1CCC2)c1cc2n(n1)CCC2 ZINC000927120438 645555196 /nfs/dbraw/zinc/55/51/96/645555196.db2.gz DPCNCJUXBHPLFY-JMSVASOKSA-N 0 3 245.370 2.670 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@]23C[C@H]2CCC3)c2nccn21 ZINC000927122789 645557093 /nfs/dbraw/zinc/55/70/93/645557093.db2.gz SPKVGLLWJQKPCX-BYNQJWBRSA-N 0 3 231.343 2.669 20 0 BFADHN CCC1CN(C[C@H]2CC2(Cl)Cl)C1 ZINC000877578815 645800021 /nfs/dbraw/zinc/80/00/21/645800021.db2.gz JDAABELIXZEVTB-MRVPVSSYSA-N 0 3 208.132 2.522 20 0 BFADHN C[C@@H](NC[C@H]1CC2(CCC2)CO1)c1ccoc1 ZINC000878422915 645814282 /nfs/dbraw/zinc/81/42/82/645814282.db2.gz OBQLGDLKCJFJQL-DGCLKSJQSA-N 0 3 235.327 2.889 20 0 BFADHN c1ncc(CN2C[C@@H]3CC[C@H]2c2ccccc23)[nH]1 ZINC000880537446 645836882 /nfs/dbraw/zinc/83/68/82/645836882.db2.gz FFAGRTPPKGQUOG-NHYWBVRUSA-N 0 3 239.322 2.844 20 0 BFADHN CSC(C)(C)CNCc1oc(C)nc1C ZINC000723608693 711525356 /nfs/dbraw/zinc/52/53/56/711525356.db2.gz SUNROKALXFTUBI-UHFFFAOYSA-N 0 3 228.361 2.523 20 0 BFADHN CCc1ncc(CN(C)C2CCCC2)o1 ZINC000929191829 645997457 /nfs/dbraw/zinc/99/74/57/645997457.db2.gz PRWJXSKXNANVSC-UHFFFAOYSA-N 0 3 208.305 2.611 20 0 BFADHN CCc1ncc(CN2CCC[C@@H](CC)C2)o1 ZINC000929200461 646000693 /nfs/dbraw/zinc/00/06/93/646000693.db2.gz IQLWOFUVXONNPB-LLVKDONJSA-N 0 3 222.332 2.859 20 0 BFADHN CCc1onc(C)c1CN(C)[C@H](C)C1CC1 ZINC000929203693 646002673 /nfs/dbraw/zinc/00/26/73/646002673.db2.gz NOMKPEBIEGNCOO-SNVBAGLBSA-N 0 3 222.332 2.776 20 0 BFADHN COC/C(C)=C\CN(C)Cc1cccc(OC)c1 ZINC000929206848 646004048 /nfs/dbraw/zinc/00/40/48/646004048.db2.gz LQEWCVRABJIXND-JYRVWZFOSA-N 0 3 249.354 2.720 20 0 BFADHN COC/C(C)=C/CN(C)Cc1cccs1 ZINC000929207543 646006936 /nfs/dbraw/zinc/00/69/36/646006936.db2.gz NZNFJXWCWKDQAH-IZZDOVSWSA-N 0 3 225.357 2.773 20 0 BFADHN COC/C(C)=C\CN(C)Cc1cccs1 ZINC000929207544 646007598 /nfs/dbraw/zinc/00/75/98/646007598.db2.gz NZNFJXWCWKDQAH-WDZFZDKYSA-N 0 3 225.357 2.773 20 0 BFADHN CCC[C@@H](C)N[C@@H](C)c1cc(OC)no1 ZINC000924527808 646047983 /nfs/dbraw/zinc/04/79/83/646047983.db2.gz KGJRFYBEGCFJKS-BDAKNGLRSA-N 0 3 212.293 2.522 20 0 BFADHN CC(C)CCC[C@H](C)N[C@@H](C)c1cnn(C)n1 ZINC000924529279 646050163 /nfs/dbraw/zinc/05/01/63/646050163.db2.gz OENMAESWGLBRHG-RYUDHWBXSA-N 0 3 238.379 2.681 20 0 BFADHN Cc1cn(C)nc1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000929274436 646054787 /nfs/dbraw/zinc/05/47/87/646054787.db2.gz WGQDMOJUESWNJG-YPMHNXCESA-N 0 3 235.375 2.739 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NCCC(C)C ZINC000924538173 646058126 /nfs/dbraw/zinc/05/81/26/646058126.db2.gz GGTCNJIFXIVDOY-NSHDSACASA-N 0 3 222.332 2.996 20 0 BFADHN COc1cc([C@@H](C)NCCCC(C)C)on1 ZINC000924604707 646073106 /nfs/dbraw/zinc/07/31/06/646073106.db2.gz XVIZDGPLWWDWCB-SNVBAGLBSA-N 0 3 226.320 2.770 20 0 BFADHN Clc1cccc2c1[C@@H](NCC1CC1)COC2 ZINC000924629597 646078827 /nfs/dbraw/zinc/07/88/27/646078827.db2.gz VKOIONCXEJVQSO-LBPRGKRZSA-N 0 3 237.730 2.911 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N[C@@H]1C[C@H](C)n2ncnc21 ZINC000924621617 646080234 /nfs/dbraw/zinc/08/02/34/646080234.db2.gz YOPLFAOVUOAWRJ-QNWHQSFQSA-N 0 3 248.374 2.842 20 0 BFADHN Cc1cccc2c1[C@H](NCc1ccnn1C)CC2 ZINC000924643379 646083155 /nfs/dbraw/zinc/08/31/55/646083155.db2.gz JSRLMZZJKSLSRU-CQSZACIVSA-N 0 3 241.338 2.506 20 0 BFADHN CCc1ncc(CN2CCC[C@@H](C)CC2)o1 ZINC000929365052 646097893 /nfs/dbraw/zinc/09/78/93/646097893.db2.gz VJDKAQKJKCESRN-LLVKDONJSA-N 0 3 222.332 2.859 20 0 BFADHN Cc1cccc2c1[C@H](NCc1cnn(C)c1)CC2 ZINC000924750535 646099694 /nfs/dbraw/zinc/09/96/94/646099694.db2.gz FSDKZCRDMUOXDR-CQSZACIVSA-N 0 3 241.338 2.506 20 0 BFADHN CC[C@H](N[C@H](C)c1nn(CC)nc1C)C(C)C ZINC000924799376 646111401 /nfs/dbraw/zinc/11/14/01/646111401.db2.gz NBXITZQMUBJPDS-PWSUYJOCSA-N 0 3 238.379 2.692 20 0 BFADHN CC(C)n1cc([C@@H](C)NC[C@H]2CC=CCC2)nn1 ZINC000924806683 646112978 /nfs/dbraw/zinc/11/29/78/646112978.db2.gz QQYKMGSJKALNNA-OLZOCXBDSA-N 0 3 248.374 2.866 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@H](C)C1CC1 ZINC000924827542 646115611 /nfs/dbraw/zinc/11/56/11/646115611.db2.gz JEWHJXAKIOAOLI-CABZTGNLSA-N 0 3 234.343 2.996 20 0 BFADHN C[C@@H]1C[C@@H]1N[C@H]1CCc2c1nccc2Cl ZINC000924947984 646136869 /nfs/dbraw/zinc/13/68/69/646136869.db2.gz FHFDMTSXGOLZBB-GGVZMXCHSA-N 0 3 222.719 2.720 20 0 BFADHN CCC(CC)CN[C@H](C)c1cn(C(C)C)nn1 ZINC000924995703 646154469 /nfs/dbraw/zinc/15/44/69/646154469.db2.gz YDLNXQIDLYWKNF-LLVKDONJSA-N 0 3 238.379 2.946 20 0 BFADHN COc1ccc(CN(C)CCOC2CC2)cc1C ZINC000929510379 646158967 /nfs/dbraw/zinc/15/89/67/646158967.db2.gz OHHAGGBNZSSJCJ-UHFFFAOYSA-N 0 3 249.354 2.614 20 0 BFADHN CSC[C@@H](C)N[C@H](C)c1c(C)noc1C ZINC000925097673 646184294 /nfs/dbraw/zinc/18/42/94/646184294.db2.gz SNEBUBZXAIIUNT-HTQZYQBOSA-N 0 3 228.361 2.694 20 0 BFADHN CC[C@@H]1CCC[C@H](N[C@H](C)c2nonc2C)C1 ZINC000925120038 646188156 /nfs/dbraw/zinc/18/81/56/646188156.db2.gz HTBOVBMJMAMBQF-JLLWLGSASA-N 0 3 237.347 2.997 20 0 BFADHN CCc1ncc(CN2[C@@H](C)CCC[C@@H]2C)o1 ZINC000929604376 646195300 /nfs/dbraw/zinc/19/53/00/646195300.db2.gz KWWCCROHDPVMTK-QWRGUYRKSA-N 0 3 222.332 3.000 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2ccns2)C1(C)C ZINC000925238541 646216090 /nfs/dbraw/zinc/21/60/90/646216090.db2.gz XMRFGDDAIWDJAK-DVVUODLYSA-N 0 3 240.372 2.607 20 0 BFADHN CCC1(CN[C@H](C)c2cc(OC)no2)CCC1 ZINC000925241474 646219172 /nfs/dbraw/zinc/21/91/72/646219172.db2.gz UYAZYXAVNWBEDK-SNVBAGLBSA-N 0 3 238.331 2.914 20 0 BFADHN CCn1cc(CN(C)CCCC(C)(F)F)cn1 ZINC000929645423 646219587 /nfs/dbraw/zinc/21/95/87/646219587.db2.gz HZSKMUMDYDOENN-UHFFFAOYSA-N 0 3 245.317 2.770 20 0 BFADHN CCC1(CN[C@H]2C[C@H](C)n3ncnc32)CCCC1 ZINC000925278624 646228650 /nfs/dbraw/zinc/22/86/50/646228650.db2.gz RJSXFXWZYIZJDQ-RYUDHWBXSA-N 0 3 248.374 2.844 20 0 BFADHN CCC1(CN[C@@H]2C[C@@H](C)n3ncnc32)CCCC1 ZINC000925278625 646229836 /nfs/dbraw/zinc/22/98/36/646229836.db2.gz RJSXFXWZYIZJDQ-VXGBXAGGSA-N 0 3 248.374 2.844 20 0 BFADHN Cc1cc(C)nc(CN2CCCOC(C)(C)C2)c1 ZINC000929669165 646231343 /nfs/dbraw/zinc/23/13/43/646231343.db2.gz SEPAUPLMPRRYIH-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1CCc1ccns1 ZINC000929674452 646234554 /nfs/dbraw/zinc/23/45/54/646234554.db2.gz KKGFQVPMDLVKML-ZJUUUORDSA-N 0 3 242.413 2.511 20 0 BFADHN CCc1onc(C)c1CN(C)C1CCC1 ZINC000929720167 646242720 /nfs/dbraw/zinc/24/27/20/646242720.db2.gz MINDJZUSMXJDGO-UHFFFAOYSA-N 0 3 208.305 2.530 20 0 BFADHN COc1cc([C@H](C)NCCCC2CC2)on1 ZINC000925436314 646282889 /nfs/dbraw/zinc/28/28/89/646282889.db2.gz XEFSGYWGZPJEPS-VIFPVBQESA-N 0 3 224.304 2.524 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@@H]2CC=CCC2)nn1 ZINC000925437937 646283468 /nfs/dbraw/zinc/28/34/68/646283468.db2.gz RZXGHOGTRJYXIL-VXGBXAGGSA-N 0 3 234.347 2.618 20 0 BFADHN C[C@H](c1ccc(F)nc1)N1CCC[C@@H]1C ZINC000929755868 646288016 /nfs/dbraw/zinc/28/80/16/646288016.db2.gz SIJVDWJGLBSKAH-VHSXEESVSA-N 0 3 208.280 2.766 20 0 BFADHN CCC[C@@H]1C[C@H]1N[C@@H](C)c1ccns1 ZINC000925486387 646296183 /nfs/dbraw/zinc/29/61/83/646296183.db2.gz RMSQMFJAMFYYKM-IVZWLZJFSA-N 0 3 210.346 2.982 20 0 BFADHN CC[C@H](C)CN(CC)Cc1nn(C)cc1C ZINC000929786699 646314238 /nfs/dbraw/zinc/31/42/38/646314238.db2.gz ZAYKFUNTDBHANC-NSHDSACASA-N 0 3 223.364 2.597 20 0 BFADHN Cc1nc(C)c(CN(C)[C@@H](C)C2(C)CC2)[nH]1 ZINC000929818173 646335717 /nfs/dbraw/zinc/33/57/17/646335717.db2.gz VJAMHLNNNUNTLF-JTQLQIEISA-N 0 3 221.348 2.647 20 0 BFADHN Cc1nc(C)c(CN(C)[C@H](C)C2(C)CC2)[nH]1 ZINC000929818174 646336070 /nfs/dbraw/zinc/33/60/70/646336070.db2.gz VJAMHLNNNUNTLF-SNVBAGLBSA-N 0 3 221.348 2.647 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H](C)c1cn(C(C)C)nn1 ZINC000925602840 646354865 /nfs/dbraw/zinc/35/48/65/646354865.db2.gz XGYXEMYGWFMCQG-NEPJUHHUSA-N 0 3 238.379 2.944 20 0 BFADHN CC(C)C[C@H](C)N[C@@H](C)c1cn(C(C)C)nn1 ZINC000925602842 646355641 /nfs/dbraw/zinc/35/56/41/646355641.db2.gz XGYXEMYGWFMCQG-RYUDHWBXSA-N 0 3 238.379 2.944 20 0 BFADHN CC(C)n1cc([C@@H](C)NCC2(C3CC3)CC2)nn1 ZINC000925612952 646363389 /nfs/dbraw/zinc/36/33/89/646363389.db2.gz OTBQRGVQOVYRHO-LLVKDONJSA-N 0 3 248.374 2.700 20 0 BFADHN CC(C)CSCCN[C@@H](C)c1ccnnc1 ZINC000925634356 646377684 /nfs/dbraw/zinc/37/76/84/646377684.db2.gz NOXHZJJKKSOBKE-NSHDSACASA-N 0 3 239.388 2.516 20 0 BFADHN CCc1onc(C)c1CN(C)CCC1CC1 ZINC000930064188 646417893 /nfs/dbraw/zinc/41/78/93/646417893.db2.gz HXBQOZMIZSWDGH-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN CC1=CCN(CCSc2ccncc2)CC1 ZINC000930094375 646431495 /nfs/dbraw/zinc/43/14/95/646431495.db2.gz JVOQKUOGVIMBHO-UHFFFAOYSA-N 0 3 234.368 2.826 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C)[C@@H](C)C2)o1 ZINC000930145609 646458868 /nfs/dbraw/zinc/45/88/68/646458868.db2.gz KJOHOJPWYBJEKN-MNOVXSKESA-N 0 3 222.332 2.715 20 0 BFADHN COc1cc([C@H](C)N[C@H](C)C2(C)CC2)on1 ZINC000925778627 646464719 /nfs/dbraw/zinc/46/47/19/646464719.db2.gz WCOSZONYFFTVCH-DTWKUNHWSA-N 0 3 224.304 2.522 20 0 BFADHN Cc1cc([C@@H](C)NCc2cc(N)ccn2)c(C)o1 ZINC000925771626 646470951 /nfs/dbraw/zinc/47/09/51/646470951.db2.gz NLGQGZWOJUMTEK-SNVBAGLBSA-N 0 3 245.326 2.724 20 0 BFADHN C[C@@H]1[C@@H](NC2(c3ccccc3F)CC2)CO[C@H]1C ZINC000925883212 646531604 /nfs/dbraw/zinc/53/16/04/646531604.db2.gz GLSUFOGHDRPORT-MJVIPROJSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@@H]1CCCC(C)(C)C1 ZINC000926242148 646602833 /nfs/dbraw/zinc/60/28/33/646602833.db2.gz VMETVQUNBIDQLQ-MWLCHTKSSA-N 0 3 237.347 2.997 20 0 BFADHN COc1cc([C@@H](C)NCCC=C(C)C)on1 ZINC000926267668 646608577 /nfs/dbraw/zinc/60/85/77/646608577.db2.gz YZRHKHSEKRCDSQ-SNVBAGLBSA-N 0 3 224.304 2.690 20 0 BFADHN C[C@@H]1C[C@H](NCC2C(C)(C)C2(C)C)c2ncnn21 ZINC000926344463 646621715 /nfs/dbraw/zinc/62/17/15/646621715.db2.gz XNJBMXIUTWSFTC-ZJUUUORDSA-N 0 3 248.374 2.556 20 0 BFADHN Cc1nonc1[C@@H](C)NC(C1CCC1)C1CCC1 ZINC000926417757 646631977 /nfs/dbraw/zinc/63/19/77/646631977.db2.gz IFHSVIXSFPOCDR-SECBINFHSA-N 0 3 249.358 2.997 20 0 BFADHN Cc1cccc2c1[C@H](NCc1cncn1C)CC2 ZINC000926445291 646639748 /nfs/dbraw/zinc/63/97/48/646639748.db2.gz XDCUQYNTSXXBCV-CQSZACIVSA-N 0 3 241.338 2.506 20 0 BFADHN C[C@H]([NH2+]CCCCF)c1cc([O-])cc(F)c1 ZINC000926465095 646651203 /nfs/dbraw/zinc/65/12/03/646651203.db2.gz ZQDKJNQJYNZWRY-VIFPVBQESA-N 0 3 229.270 2.932 20 0 BFADHN C[C@@H](NC1CC(C(C)(C)C)C1)c1cn(C)cn1 ZINC000926483392 646655860 /nfs/dbraw/zinc/65/58/60/646655860.db2.gz ULSBELPHMNQWEH-VOMCLLRMSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H](NCC[C@H](C)F)c1cn2c(n1)CCCC2 ZINC000926486408 646658158 /nfs/dbraw/zinc/65/81/58/646658158.db2.gz RBVRWUSMSZRMAW-WDEREUQCSA-N 0 3 239.338 2.618 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@H]2CCCC2(C)C)c2ncnn21 ZINC000926491919 646661245 /nfs/dbraw/zinc/66/12/45/646661245.db2.gz VQDJQHGNDIDUGP-IJLUTSLNSA-N 0 3 248.374 2.700 20 0 BFADHN C[C@@H]1C[C@H](NC[C@@H]2CCCC2(C)C)c2ncnn21 ZINC000926491921 646661299 /nfs/dbraw/zinc/66/12/99/646661299.db2.gz VQDJQHGNDIDUGP-WOPDTQHZSA-N 0 3 248.374 2.700 20 0 BFADHN CCC[C@H](N[C@H](C)c1cnn(C)n1)C(C)(C)C ZINC000926513660 646662176 /nfs/dbraw/zinc/66/21/76/646662176.db2.gz BOZQYDVPVRJJKM-PWSUYJOCSA-N 0 3 238.379 2.681 20 0 BFADHN COc1ccnc([C@@H](C)NCCC(C)(F)F)c1 ZINC000926494473 646662228 /nfs/dbraw/zinc/66/22/28/646662228.db2.gz GGPWSAJJYKHDIS-SECBINFHSA-N 0 3 244.285 2.786 20 0 BFADHN COc1cc([C@@H](C)NCCCC(C)(F)F)on1 ZINC000926497445 646662437 /nfs/dbraw/zinc/66/24/37/646662437.db2.gz XJRQQNRETVOVGA-MRVPVSSYSA-N 0 3 248.273 2.769 20 0 BFADHN CC(C)(C)[C@@H](O)CN(Cc1ccoc1)C1CC1 ZINC000723770068 711537099 /nfs/dbraw/zinc/53/70/99/711537099.db2.gz OJKHVMUFTGEQJI-ZDUSSCGKSA-N 0 3 237.343 2.651 20 0 BFADHN FC(F)(F)SCCNCc1ccco1 ZINC000136060952 711683313 /nfs/dbraw/zinc/68/33/13/711683313.db2.gz QXZSWLVNEVYEPK-UHFFFAOYSA-N 0 3 225.235 2.622 20 0 BFADHN COC[C@@H](CN[C@@H](C)c1nccs1)C(C)C ZINC000721987013 711862014 /nfs/dbraw/zinc/86/20/14/711862014.db2.gz PLVFDTYRACPMSN-WDEREUQCSA-N 0 3 242.388 2.712 20 0 BFADHN C[C@H](NCc1cccc(O)c1)C1(Cl)CC1 ZINC000702265466 712042475 /nfs/dbraw/zinc/04/24/75/712042475.db2.gz ZGTHLOKQADRCCG-VIFPVBQESA-N 0 3 225.719 2.642 20 0 BFADHN CC(C)CC[C@H](N)C(=O)NC[C@@H](C)C(C)(C)C ZINC000704431354 712107372 /nfs/dbraw/zinc/10/73/72/712107372.db2.gz YQSRUYXZUPWVKZ-NEPJUHHUSA-N 0 3 242.407 2.548 20 0 BFADHN CC(C)n1ccnc1CN[C@H](C)C1(C)CC1 ZINC000706934318 712161510 /nfs/dbraw/zinc/16/15/10/712161510.db2.gz HGNRHCYUMLZNTN-LLVKDONJSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1ccc([C@@H](C)NCCc2ccns2)o1 ZINC000709814335 712228302 /nfs/dbraw/zinc/22/83/02/712228302.db2.gz SNSQFPHNCFAGON-SNVBAGLBSA-N 0 3 236.340 2.938 20 0 BFADHN C[C@@H](NC1CCCCCCC1)c1nncn1C ZINC000037016758 712529228 /nfs/dbraw/zinc/52/92/28/712529228.db2.gz WEWBVHDDFIBYHP-LLVKDONJSA-N 0 3 236.363 2.579 20 0 BFADHN C[C@@H](Cc1ccco1)NCc1ccco1 ZINC000036993531 712527052 /nfs/dbraw/zinc/52/70/52/712527052.db2.gz ZCVLSIOPQJDWGX-JTQLQIEISA-N 0 3 205.257 2.593 20 0 BFADHN CC(C)CCC[C@@H](C)N[C@@H](C)c1cn(C)nn1 ZINC000715899448 712541190 /nfs/dbraw/zinc/54/11/90/712541190.db2.gz AUGWJBIEFNRHLB-NEPJUHHUSA-N 0 3 238.379 2.681 20 0 BFADHN CCCC[C@@H](CC)CN[C@@H](C)c1cn(C)nn1 ZINC000715903770 712541399 /nfs/dbraw/zinc/54/13/99/712541399.db2.gz TWFIXOPXQADUOH-NWDGAFQWSA-N 0 3 238.379 2.682 20 0 BFADHN Cc1cc(CNCCCCC2CC2)on1 ZINC000716407429 712558749 /nfs/dbraw/zinc/55/87/49/712558749.db2.gz UITQBCWFKDRVLM-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CC[C@@H](C[C@H](C)O)NCc1ccc(Cl)o1 ZINC000716956890 712600121 /nfs/dbraw/zinc/60/01/21/712600121.db2.gz XFBRRVFBBOKYLA-IUCAKERBSA-N 0 3 231.723 2.572 20 0 BFADHN Cc1cc(CNCC[C@@H]2CC[C@H]3C[C@H]32)on1 ZINC000717055532 712626038 /nfs/dbraw/zinc/62/60/38/712626038.db2.gz QQUWDERXAAUEBC-GVXVVHGQSA-N 0 3 220.316 2.509 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1ccc(Cl)cn1 ZINC000380111717 712687730 /nfs/dbraw/zinc/68/77/30/712687730.db2.gz OKDCCXUMVFVHAV-KWQFWETISA-N 0 3 242.775 2.719 20 0 BFADHN Cc1coc(CNC[C@H]2CCC(C)(C)CO2)c1 ZINC000718030977 712736646 /nfs/dbraw/zinc/73/66/46/712736646.db2.gz PQVIVRVXFUMXBL-GFCCVEGCSA-N 0 3 237.343 2.883 20 0 BFADHN CC[C@@]1(NCc2cc(C)oc2C)CCOC1 ZINC000381398697 710631278 /nfs/dbraw/zinc/63/12/78/710631278.db2.gz AURDIWXJWOTJNV-CYBMUJFWSA-N 0 3 223.316 2.555 20 0 BFADHN CCc1noc(C)c1[C@@H](C)N[C@H]1CC1(C)C ZINC000381462284 710640408 /nfs/dbraw/zinc/64/04/08/710640408.db2.gz LJWQUDTWTSYKKS-KCJUWKMLSA-N 0 3 222.332 2.995 20 0 BFADHN CCc1noc(C)c1[C@H](C)N[C@@H]1CCSC1 ZINC000381459875 710639569 /nfs/dbraw/zinc/63/95/69/710639569.db2.gz KRIBTXLCTFNGLE-WCBMZHEXSA-N 0 3 240.372 2.702 20 0 BFADHN CCc1noc(C)c1[C@H](C)N[C@H]1CC1(C)C ZINC000381462285 710640117 /nfs/dbraw/zinc/64/01/17/710640117.db2.gz LJWQUDTWTSYKKS-KWQFWETISA-N 0 3 222.332 2.995 20 0 BFADHN CCO[C@H]1CCC[C@H](NCc2cscn2)C1 ZINC000721208013 712898637 /nfs/dbraw/zinc/89/86/37/712898637.db2.gz POYNVYXWAZLBSZ-JQWIXIFHSA-N 0 3 240.372 2.580 20 0 BFADHN CCO[C@@H]1CCC[C@H](NCc2cscn2)C1 ZINC000721208011 712898861 /nfs/dbraw/zinc/89/88/61/712898861.db2.gz POYNVYXWAZLBSZ-CMPLNLGQSA-N 0 3 240.372 2.580 20 0 BFADHN CSC1(CNCc2cccnc2F)CCC1 ZINC000381499622 710648155 /nfs/dbraw/zinc/64/81/55/710648155.db2.gz CCAPCERIEKMNMI-UHFFFAOYSA-N 0 3 240.347 2.596 20 0 BFADHN Cc1cc(CNCCOC(F)(F)F)c(C)o1 ZINC000381541516 710652575 /nfs/dbraw/zinc/65/25/75/710652575.db2.gz ICJWTFICSDAYAK-UHFFFAOYSA-N 0 3 237.221 2.522 20 0 BFADHN Cn1cc([C@@H](NC/C=C/Cl)C(C)(C)C)cn1 ZINC000381577922 710657329 /nfs/dbraw/zinc/65/73/29/710657329.db2.gz DAQDJQOARGUYHN-MVIFTORASA-N 0 3 241.766 2.849 20 0 BFADHN Cc1cccc(CNC[C@H](O)CC(C)C)c1F ZINC000384586875 710993779 /nfs/dbraw/zinc/99/37/79/710993779.db2.gz YNHANMSYOWFFNA-CYBMUJFWSA-N 0 3 239.334 2.631 20 0 BFADHN CC/C=C\CNC[C@@H](O)c1c(F)cccc1F ZINC000385091074 711005189 /nfs/dbraw/zinc/00/51/89/711005189.db2.gz YBNYZNYKVWTQPR-VSQXVHSFSA-N 0 3 241.281 2.554 20 0 BFADHN C[C@@H](CCNCc1cn(C)cn1)c1ccccc1 ZINC000386214344 711025433 /nfs/dbraw/zinc/02/54/33/711025433.db2.gz NHSDAHAFZFUIRI-ZDUSSCGKSA-N 0 3 243.354 2.704 20 0 BFADHN CC1(C)CCN(C/C=C\Cl)CCS1 ZINC000388622156 711063677 /nfs/dbraw/zinc/06/36/77/711063677.db2.gz HPEOQTQKNPLODU-HYXAFXHYSA-N 0 3 219.781 2.956 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ccc(Cl)nc1 ZINC000390491814 711122127 /nfs/dbraw/zinc/12/21/27/711122127.db2.gz QQLBHUYADKKPFV-VHSXEESVSA-N 0 3 242.750 2.811 20 0 BFADHN CCc1nn(C)c(Cl)c1CN[C@@H]1CC[C@@H]1C ZINC000390581550 711126328 /nfs/dbraw/zinc/12/63/28/711126328.db2.gz XASIKKCNFMYFAQ-GZMMTYOYSA-N 0 3 241.766 2.524 20 0 BFADHN Cn1c(Cl)cnc1CNCC1CC(C)(C)C1 ZINC000390820261 711136256 /nfs/dbraw/zinc/13/62/56/711136256.db2.gz VSYGQCGTCIMCAM-UHFFFAOYSA-N 0 3 241.766 2.599 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+][C@H]2CCCC(C)(C)C2)[n-]1 ZINC000392347235 711144556 /nfs/dbraw/zinc/14/45/56/711144556.db2.gz GPTLGTUTXCUCAX-KOLCDFICSA-N 0 3 236.363 2.733 20 0 BFADHN CC(F)(F)CC[NH2+]Cc1ccc([O-])c(F)c1 ZINC000393650384 711168522 /nfs/dbraw/zinc/16/85/22/711168522.db2.gz BJIWHUPCGOBYLT-UHFFFAOYSA-N 0 3 233.233 2.666 20 0 BFADHN FCCC[C@@H]1CCC[C@H]1NCc1ccon1 ZINC000393753225 711172194 /nfs/dbraw/zinc/17/21/94/711172194.db2.gz UJXZQTRWDVAPPV-CMPLNLGQSA-N 0 3 226.295 2.683 20 0 BFADHN CC[C@@H](NC1CSC1)c1ccccc1OC ZINC000396440944 711220765 /nfs/dbraw/zinc/22/07/65/711220765.db2.gz FEBSPLAQDOGKOH-GFCCVEGCSA-N 0 3 237.368 2.851 20 0 BFADHN CC[C@@H](NCC(C)(C)SC)c1ccn(C)n1 ZINC000381307382 710620509 /nfs/dbraw/zinc/62/05/09/710620509.db2.gz KEWKWKRINJLJMO-SNVBAGLBSA-N 0 3 241.404 2.602 20 0 BFADHN COCCC(C)(C)NCc1cccnc1Cl ZINC000381443088 710637669 /nfs/dbraw/zinc/63/76/69/710637669.db2.gz ABBLRQYRPFDWSG-UHFFFAOYSA-N 0 3 242.750 2.640 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+]CC2CCCCCC2)[n-]1 ZINC000392381738 711145352 /nfs/dbraw/zinc/14/53/52/711145352.db2.gz SZRLTNSXYPTRPD-SNVBAGLBSA-N 0 3 236.363 2.734 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2CC(C)(C)C[C@H]2C)[n-]1 ZINC000392348395 711145333 /nfs/dbraw/zinc/14/53/33/711145333.db2.gz HDENBCAYHJHCJU-YWVKMMECSA-N 0 3 236.363 2.588 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+][C@H]2CCCC23CCCC3)[n-]1 ZINC000392343202 711145630 /nfs/dbraw/zinc/14/56/30/711145630.db2.gz CYVAESQEEUZBLY-PWSUYJOCSA-N 0 3 248.374 2.877 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C[C@H]2CCC[C@@H](C)C2)[n-]1 ZINC000392386300 711147102 /nfs/dbraw/zinc/14/71/02/711147102.db2.gz QDCJCMBWXDBCAF-SCVCMEIPSA-N 0 3 236.363 2.590 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+]C2CCCCCCC2)[n-]1 ZINC000392394510 711147551 /nfs/dbraw/zinc/14/75/51/711147551.db2.gz XWSZVAGSCPCQSL-SNVBAGLBSA-N 0 3 236.363 2.877 20 0 BFADHN CCOC(CCN[C@H](C)c1ccco1)OCC ZINC000160194397 711448282 /nfs/dbraw/zinc/44/82/82/711448282.db2.gz KNJJMSJMRFQADS-LLVKDONJSA-N 0 3 241.331 2.719 20 0 BFADHN COc1cccc(CN2CCO[C@@H](C)CC2)c1C ZINC000932334230 711453803 /nfs/dbraw/zinc/45/38/03/711453803.db2.gz AKBGTTLWVNGHOP-LBPRGKRZSA-N 0 3 249.354 2.614 20 0 BFADHN c1cn(C2CC2)c(CNCCC2CC=CC2)n1 ZINC000724204862 711570349 /nfs/dbraw/zinc/57/03/49/711570349.db2.gz IWKJDSNVVICDQB-UHFFFAOYSA-N 0 3 231.343 2.664 20 0 BFADHN Cn1ncc(CNCCCC(C)(C)C)c1Cl ZINC000382384001 711572025 /nfs/dbraw/zinc/57/20/25/711572025.db2.gz KHGBNDAPVOWPAG-UHFFFAOYSA-N 0 3 243.782 2.989 20 0 BFADHN CCCCC1(NCc2cccnc2OC)CC1 ZINC000934203819 711575228 /nfs/dbraw/zinc/57/52/28/711575228.db2.gz VCJILNUKMBNDHH-UHFFFAOYSA-N 0 3 234.343 2.903 20 0 BFADHN Cc1csc(CN[C@@H](C)Cc2cccnc2)n1 ZINC000934229239 711576908 /nfs/dbraw/zinc/57/69/08/711576908.db2.gz HOUAHULYCARXSM-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN CCc1[nH]nc(Cl)c1CN1CC[C@H](C)[C@H]1C ZINC000892213915 711583105 /nfs/dbraw/zinc/58/31/05/711583105.db2.gz AOONOFGEOAPJKV-DTWKUNHWSA-N 0 3 241.766 2.856 20 0 BFADHN C[C@@H](CNCc1ncc(Cl)n1C)C(C)(C)C ZINC000162800757 711680438 /nfs/dbraw/zinc/68/04/38/711680438.db2.gz WGLRFFUMNQSABJ-VIFPVBQESA-N 0 3 243.782 2.845 20 0 BFADHN Fc1ccc(CN[C@@H]2CCCc3n[nH]cc32)cc1 ZINC000334201826 711714041 /nfs/dbraw/zinc/71/40/41/711714041.db2.gz PHNYVSPELAICHO-CYBMUJFWSA-N 0 3 245.301 2.716 20 0 BFADHN CCc1ccc(CNC[C@H]2CC[C@H](C3CC3)O2)o1 ZINC000722314689 711734567 /nfs/dbraw/zinc/73/45/67/711734567.db2.gz DJTDCCAWMOEVAC-HUUCEWRRSA-N 0 3 249.354 2.889 20 0 BFADHN Cc1ccc([C@H](C)NCc2cc(N)ccn2)cc1 ZINC000925769650 711741090 /nfs/dbraw/zinc/74/10/90/711741090.db2.gz BNMMZYPIVFVVMS-LBPRGKRZSA-N 0 3 241.338 2.823 20 0 BFADHN Cc1ncoc1CN[C@H]1C[C@H]1Cc1ccccc1 ZINC000894270753 711766925 /nfs/dbraw/zinc/76/69/25/711766925.db2.gz ZIAMQCZVVHOOIJ-KGLIPLIRSA-N 0 3 242.322 2.704 20 0 BFADHN Cc1nonc1[C@@H](C)NC[C@H](C)C(C)(C)C ZINC000925076534 711772006 /nfs/dbraw/zinc/77/20/06/711772006.db2.gz OWKYTWFMEDXNGT-DTWKUNHWSA-N 0 3 225.336 2.711 20 0 BFADHN Cc1cc(CNC[C@H](O)c2ccccc2)c(C)o1 ZINC000163208271 711783142 /nfs/dbraw/zinc/78/31/42/711783142.db2.gz IEXSWNCCMPDENW-HNNXBMFYSA-N 0 3 245.322 2.720 20 0 BFADHN CC[C@H]1COC[C@@H]1NCc1c(C)cccc1F ZINC000925163115 711786204 /nfs/dbraw/zinc/78/62/04/711786204.db2.gz BUDAHMPRMXRDJD-FZMZJTMJSA-N 0 3 237.318 2.649 20 0 BFADHN Fc1c(CN[C@]23C[C@H]2CCC3)ccnc1Cl ZINC000897374752 711788473 /nfs/dbraw/zinc/78/84/73/711788473.db2.gz BPEFPEKRPGCUIH-BXKDBHETSA-N 0 3 240.709 2.906 20 0 BFADHN CCS[C@@H]1CC[C@H](N(C)CCF)C1 ZINC000379397508 711801277 /nfs/dbraw/zinc/80/12/77/711801277.db2.gz OKJUVBIUVHOTIV-VHSXEESVSA-N 0 3 205.342 2.562 20 0 BFADHN CCOC(CCN[C@@H](C)c1ccco1)OCC ZINC000160194278 711805999 /nfs/dbraw/zinc/80/59/99/711805999.db2.gz KNJJMSJMRFQADS-NSHDSACASA-N 0 3 241.331 2.719 20 0 BFADHN CCCCCCN(CC(=O)OC)C1CCCC1 ZINC000167622291 711830472 /nfs/dbraw/zinc/83/04/72/711830472.db2.gz STPYNFUNDNMKQF-UHFFFAOYSA-N 0 3 241.375 2.984 20 0 BFADHN CCC[C@@H](C)[NH2+]Cc1ccc([O-])c(F)c1 ZINC000167963690 711831127 /nfs/dbraw/zinc/83/11/27/711831127.db2.gz GGBLEHQMKFDKSD-SECBINFHSA-N 0 3 211.280 2.810 20 0 BFADHN Cc1cc(N[C@@H]2CC[C@@H](C)[C@@H](C)C2)nc(N)n1 ZINC000227396428 711832272 /nfs/dbraw/zinc/83/22/72/711832272.db2.gz JTKGZWSKUXNLLN-WCABBAIRSA-N 0 3 234.347 2.604 20 0 BFADHN Cc1cc(N[C@@H]2CC[C@H](C)[C@@H](C)C2)nc(N)n1 ZINC000227396434 711832305 /nfs/dbraw/zinc/83/23/05/711832305.db2.gz JTKGZWSKUXNLLN-ATZCPNFKSA-N 0 3 234.347 2.604 20 0 BFADHN COC[C@H](CN[C@H](C)c1nccs1)C(C)C ZINC000721987010 711861970 /nfs/dbraw/zinc/86/19/70/711861970.db2.gz PLVFDTYRACPMSN-MNOVXSKESA-N 0 3 242.388 2.712 20 0 BFADHN [O-]c1ccc(C[NH2+]C2(C3CCC3)CC2)cc1F ZINC000700001182 711982914 /nfs/dbraw/zinc/98/29/14/711982914.db2.gz CVJWWDSZXYSRCU-UHFFFAOYSA-N 0 3 235.302 2.954 20 0 BFADHN Cc1cc(CNCC(C)(C)C(C)(F)F)on1 ZINC000700331585 711989840 /nfs/dbraw/zinc/98/98/40/711989840.db2.gz XKBGOLWUUNZVPR-UHFFFAOYSA-N 0 3 232.274 2.754 20 0 BFADHN Fc1cc(CN[C@@H]2C=CCC2)cc(F)c1F ZINC000700602511 712000719 /nfs/dbraw/zinc/00/07/19/712000719.db2.gz JOXFVLACSNJQNX-SECBINFHSA-N 0 3 227.229 2.912 20 0 BFADHN CCS[C@H]1CCC[C@H]1NCc1cnc(C)o1 ZINC000700848780 712008039 /nfs/dbraw/zinc/00/80/39/712008039.db2.gz PHDPJBCUDCPBGI-NEPJUHHUSA-N 0 3 240.372 2.747 20 0 BFADHN [O-]c1ccc(C[NH2+]CC[C@@H]2CC2(F)F)cc1F ZINC000701938854 712032700 /nfs/dbraw/zinc/03/27/00/712032700.db2.gz XZCGJNKHIQOPAF-SECBINFHSA-N 0 3 245.244 2.666 20 0 BFADHN OCc1cc(N2CCC[C@@H](C3CCC3)C2)ccn1 ZINC000703057255 712065865 /nfs/dbraw/zinc/06/58/65/712065865.db2.gz QASRLEVHQVOEEE-CYBMUJFWSA-N 0 3 246.354 2.590 20 0 BFADHN C[C@@H](NCC[C@@H]1CCSC1)c1cscn1 ZINC000704867849 712119515 /nfs/dbraw/zinc/11/95/15/712119515.db2.gz PMNWFFOZOJFCGH-NXEZZACHSA-N 0 3 242.413 2.937 20 0 BFADHN CC(C)n1ccnc1CNC[C@]1(C)CC1(C)C ZINC000706432432 712145570 /nfs/dbraw/zinc/14/55/70/712145570.db2.gz XRKRPORXKZHNCJ-AWEZNQCLSA-N 0 3 235.375 2.990 20 0 BFADHN CSC1CC(NCc2ccc(C)c(C)n2)C1 ZINC000706794572 712155902 /nfs/dbraw/zinc/15/59/02/712155902.db2.gz DIZRLACVZRWDFH-UHFFFAOYSA-N 0 3 236.384 2.682 20 0 BFADHN CS[C@@H](C)CNCc1ccc(Cl)cn1 ZINC000707363929 712169497 /nfs/dbraw/zinc/16/94/97/712169497.db2.gz MXLGNLMREYCBAQ-QMMMGPOBSA-N 0 3 230.764 2.576 20 0 BFADHN CCCCCN[C@@H](C)c1cn(C(C)C)nn1 ZINC000708296876 712185433 /nfs/dbraw/zinc/18/54/33/712185433.db2.gz ZMXDDYJWYSYITN-NSHDSACASA-N 0 3 224.352 2.700 20 0 BFADHN CC1(C)CO[C@H](CNCc2ccccc2F)C1 ZINC000708362925 712191110 /nfs/dbraw/zinc/19/11/10/712191110.db2.gz VKDHTUZDPKWAHL-LBPRGKRZSA-N 0 3 237.318 2.730 20 0 BFADHN Cc1cc(N2CCC[C@@H](C(C)(C)C)C2)nc(N)n1 ZINC000708468491 712195709 /nfs/dbraw/zinc/19/57/09/712195709.db2.gz VQGKMRMLBBVVGF-LLVKDONJSA-N 0 3 248.374 2.630 20 0 BFADHN Cc1cc(CN[C@H](C)[C@@]23C[C@@H]2CCCC3)nn1C ZINC000708848985 712200781 /nfs/dbraw/zinc/20/07/81/712200781.db2.gz LGQRRMSNKMKJPY-VNHYZAJKSA-N 0 3 247.386 2.787 20 0 BFADHN C[C@H](NC[C@]1(C)CC1(C)C)c1cn(C)cn1 ZINC000709937765 712233595 /nfs/dbraw/zinc/23/35/95/712233595.db2.gz DIROPBPVGOQGQP-GWCFXTLKSA-N 0 3 221.348 2.507 20 0 BFADHN COC1(OC)CC[C@H]1N[C@@H](C)c1ccc(C)cc1 ZINC000710988344 712272339 /nfs/dbraw/zinc/27/23/39/712272339.db2.gz LHIOLRCKZLHCSO-GXTWGEPZSA-N 0 3 249.354 2.797 20 0 BFADHN CCOC(=O)CN(C[C@H](C)C(C)(C)C)C(C)C ZINC000711132868 712286124 /nfs/dbraw/zinc/28/61/24/712286124.db2.gz MFLAYOZVLYNNRJ-LBPRGKRZSA-N 0 3 243.391 2.942 20 0 BFADHN C[C@@H](NC[C@@H]1CCC2(CCC2)O1)c1ccccn1 ZINC000711484578 712306623 /nfs/dbraw/zinc/30/66/23/712306623.db2.gz LROFUMTXPNPMSD-OLZOCXBDSA-N 0 3 246.354 2.834 20 0 BFADHN CC1(CNCc2nccn2C2CC2)CCCC1 ZINC000711747230 712322897 /nfs/dbraw/zinc/32/28/97/712322897.db2.gz RFNXCDKRHBQXOO-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN C[C@@H](CNCc1nccn1C1CC1)CC(F)F ZINC000711750213 712324313 /nfs/dbraw/zinc/32/43/13/712324313.db2.gz DTGHSVLMQSHLPL-SECBINFHSA-N 0 3 243.301 2.599 20 0 BFADHN c1cn(C2CC2)c(CNCCCC2CCC2)n1 ZINC000711763853 712327255 /nfs/dbraw/zinc/32/72/55/712327255.db2.gz RMXSLGJEIIYYOC-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN FC(F)(F)CCCNCc1nccn1C1CC1 ZINC000711816711 712332495 /nfs/dbraw/zinc/33/24/95/712332495.db2.gz KAVGWZJQXGYKLE-UHFFFAOYSA-N 0 3 247.264 2.650 20 0 BFADHN Cc1nonc1CN[C@@H](C1CCC1)C1CCCC1 ZINC000711897853 712338614 /nfs/dbraw/zinc/33/86/14/712338614.db2.gz WUSGAPYKDBEFQK-CQSZACIVSA-N 0 3 249.358 2.827 20 0 BFADHN COC(=O)c1sccc1CNC1(C)CCC1 ZINC000378692095 712357377 /nfs/dbraw/zinc/35/73/77/712357377.db2.gz BASSKMGHWLWADM-UHFFFAOYSA-N 0 3 239.340 2.567 20 0 BFADHN C[C@@H](NCc1cnn(C)c1Cl)C(C)(C)C ZINC000378863241 712364948 /nfs/dbraw/zinc/36/49/48/712364948.db2.gz VAGSOIIKMPJOEO-MRVPVSSYSA-N 0 3 229.755 2.598 20 0 BFADHN CSCC[C@H](C)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000379141288 712370463 /nfs/dbraw/zinc/37/04/63/712370463.db2.gz FQSHTKCSBZRKMK-HBNTYKKESA-N 0 3 239.388 2.620 20 0 BFADHN Cc1nc(C)c(CN[C@@H](C)Cc2ccco2)o1 ZINC000383021447 712386566 /nfs/dbraw/zinc/38/65/66/712386566.db2.gz RODCPVBRBIQUTE-VIFPVBQESA-N 0 3 234.299 2.605 20 0 BFADHN CC(=O)CCN1C[C@@H](C(F)(F)F)CC[C@H]1C ZINC000780384219 712416604 /nfs/dbraw/zinc/41/66/04/712416604.db2.gz FUHUOKWSERBFHA-SCZZXKLOSA-N 0 3 237.265 2.628 20 0 BFADHN Cc1nc(CNCC[C@@H]2C[C@H]2C2CC2)cs1 ZINC000712705245 712448615 /nfs/dbraw/zinc/44/86/15/712448615.db2.gz VUYCIAARSBKICB-YPMHNXCESA-N 0 3 236.384 2.977 20 0 BFADHN C=C/C=C\CCN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000713495963 712462919 /nfs/dbraw/zinc/46/29/19/712462919.db2.gz MBMHVJKQMVNUAI-XLMCQVRKSA-N 0 3 217.316 2.611 20 0 BFADHN C=C/C=C/CCN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000713495958 712463120 /nfs/dbraw/zinc/46/31/20/712463120.db2.gz MBMHVJKQMVNUAI-GKUNOOHESA-N 0 3 217.316 2.611 20 0 BFADHN C=C/C=C\CCNCc1nc(C)cs1 ZINC000713657945 712468223 /nfs/dbraw/zinc/46/82/23/712468223.db2.gz GULSBIWNFGZGOP-PLNGDYQASA-N 0 3 208.330 2.673 20 0 BFADHN C=C/C=C\CCNCc1oc(C)nc1C ZINC000713676745 712468764 /nfs/dbraw/zinc/46/87/64/712468764.db2.gz FLEUCUGYIRRQCE-WAYWQWQTSA-N 0 3 206.289 2.513 20 0 BFADHN C=C/C=C\CCN[C@H](C)c1nccs1 ZINC000713660397 712468833 /nfs/dbraw/zinc/46/88/33/712468833.db2.gz NAZIINJNAKBNTM-UMCURTJPSA-N 0 3 208.330 2.926 20 0 BFADHN C=C/C=C/CCN(CC(=O)OC)C1CCCC1 ZINC000713748403 712471063 /nfs/dbraw/zinc/47/10/63/712471063.db2.gz RBBMTEPJGFJIQK-SNAWJCMRSA-N 0 3 237.343 2.536 20 0 BFADHN CCC[C@H](N)c1cn(CC[C@@H]2C[C@H]2C2CC2)nn1 ZINC000714830529 712501320 /nfs/dbraw/zinc/50/13/20/712501320.db2.gz PBAFXKBGOSKBSG-AGIUHOORSA-N 0 3 248.374 2.514 20 0 BFADHN CCCn1cc([C@@H](C)N[C@H]2CCCC23CC3)nn1 ZINC000715885309 712539665 /nfs/dbraw/zinc/53/96/65/712539665.db2.gz RGWVBPVFRGRADL-YPMHNXCESA-N 0 3 248.374 2.671 20 0 BFADHN CCCn1cc([C@@H](C)NCC2(C)CCC2)nn1 ZINC000715905226 712541612 /nfs/dbraw/zinc/54/16/12/712541612.db2.gz AFSDQGQABXLWNN-LLVKDONJSA-N 0 3 236.363 2.529 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccccc1)c1ccns1 ZINC000715933815 712543634 /nfs/dbraw/zinc/54/36/34/712543634.db2.gz UNLHCNCKKOYUSS-PWSUYJOCSA-N 0 3 248.351 2.527 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@H](C)c1ccns1 ZINC000716000327 712551878 /nfs/dbraw/zinc/55/18/78/712551878.db2.gz SEAOVIHHBGDBQG-RKDXNWHRSA-N 0 3 228.361 2.607 20 0 BFADHN c1coc(CNC[C@H]2CCC3(CCC3)CO2)c1 ZINC000716291991 712553883 /nfs/dbraw/zinc/55/38/83/712553883.db2.gz NEMMKOIOFKQEBM-CYBMUJFWSA-N 0 3 235.327 2.719 20 0 BFADHN CC(C)n1ccnc1CNC[C@H]1C[C@@H]1[C@H]1C[C@H]1C ZINC000716555155 712563626 /nfs/dbraw/zinc/56/36/26/712563626.db2.gz VWBLEJSYVVMWSJ-MQYQWHSLSA-N 0 3 247.386 2.846 20 0 BFADHN CCCc1ccc(NC(=O)[C@@H](N)[C@@H](C)CC)cc1 ZINC000037287479 712563746 /nfs/dbraw/zinc/56/37/46/712563746.db2.gz YRIBAOBCNRJRNO-FZMZJTMJSA-N 0 3 248.370 2.951 20 0 BFADHN CCCc1ccc(NC(=O)[C@@H](N)[C@H](C)CC)cc1 ZINC000037287481 712563756 /nfs/dbraw/zinc/56/37/56/712563756.db2.gz YRIBAOBCNRJRNO-RISCZKNCSA-N 0 3 248.370 2.951 20 0 BFADHN Cc1cnc(CNC[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)s1 ZINC000716635932 712568176 /nfs/dbraw/zinc/56/81/76/712568176.db2.gz HOUCGRNBLIGSJX-KLHWPWHYSA-N 0 3 236.384 2.833 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C[NH2+]Cc1ccc([O-])c(F)c1 ZINC000716639585 712568615 /nfs/dbraw/zinc/56/86/15/712568615.db2.gz WEZXQYVJIYXMQC-XEZLXBQYSA-N 0 3 249.329 2.913 20 0 BFADHN CC[C@@H](C[C@H](C)O)Nc1ccnc2ccccc21 ZINC000716908143 712581653 /nfs/dbraw/zinc/58/16/53/712581653.db2.gz OYGBNZXIXJDGBU-RYUDHWBXSA-N 0 3 244.338 2.618 20 0 BFADHN Cc1cn(C)nc1CN1CCCC2(CCCC2)C1 ZINC000930250902 712615688 /nfs/dbraw/zinc/61/56/88/712615688.db2.gz GYSRYXPZCKKESW-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN c1csc(CNCC[C@@H]2CC[C@@H]3C[C@@H]32)n1 ZINC000717046547 712624830 /nfs/dbraw/zinc/62/48/30/712624830.db2.gz HVSBUOXNMQKAAP-HBNTYKKESA-N 0 3 222.357 2.669 20 0 BFADHN c1ccc2c(c1)COC[C@@H]2NC[C@@H]1CCC=CO1 ZINC000380020333 712671718 /nfs/dbraw/zinc/67/17/18/712671718.db2.gz PBEVASNBZAVRQG-ZFWWWQNUSA-N 0 3 245.322 2.540 20 0 BFADHN c1ccc2c(c1)COC[C@H]2NC[C@H]1CCC=CO1 ZINC000380020332 712671766 /nfs/dbraw/zinc/67/17/66/712671766.db2.gz PBEVASNBZAVRQG-UKRRQHHQSA-N 0 3 245.322 2.540 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1ccc(Cl)cn1 ZINC000380111716 712687556 /nfs/dbraw/zinc/68/75/56/712687556.db2.gz OKDCCXUMVFVHAV-KCJUWKMLSA-N 0 3 242.775 2.719 20 0 BFADHN CO[C@@H]1CC[C@@H](C)N(Cc2cc(C)cc(C)n2)C1 ZINC000931473638 712714193 /nfs/dbraw/zinc/71/41/93/712714193.db2.gz BNLKJZBAJXAMIU-UKRRQHHQSA-N 0 3 248.370 2.698 20 0 BFADHN Cc1conc1CNC1(C2CCC2)CCC1 ZINC000717884974 712731189 /nfs/dbraw/zinc/73/11/89/712731189.db2.gz RCRTUKHBRCWQOF-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN CCC(CC)(CNCc1cc(C)co1)OC ZINC000718052713 712739458 /nfs/dbraw/zinc/73/94/58/712739458.db2.gz NDPYHZGZJIMSLS-UHFFFAOYSA-N 0 3 225.332 2.883 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2cc(C)co2)C12CCC2 ZINC000718110504 712743482 /nfs/dbraw/zinc/74/34/82/712743482.db2.gz TWKHABCSTJXTAL-CHWSQXEVSA-N 0 3 235.327 2.635 20 0 BFADHN CC[C@](C)(CNCc1cnc(Cl)s1)OC ZINC000718208069 712754159 /nfs/dbraw/zinc/75/41/59/712754159.db2.gz NJCMTVZUMCNXJI-SNVBAGLBSA-N 0 3 248.779 2.701 20 0 BFADHN COCC(C)(C)CCNCc1ncc(C)s1 ZINC000718719036 712778769 /nfs/dbraw/zinc/77/87/69/712778769.db2.gz XLUZYQWXAFOLIG-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN CC[C@H]1CCCN1Cc1c(C)nn(C)c1Cl ZINC000037913727 712785573 /nfs/dbraw/zinc/78/55/73/712785573.db2.gz HINFAWUFBFWTQS-JTQLQIEISA-N 0 3 241.766 2.756 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cn[nH]c2)s1 ZINC000038005550 712798703 /nfs/dbraw/zinc/79/87/03/712798703.db2.gz HNDOBBQKNHMIDT-SECBINFHSA-N 0 3 221.329 2.630 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@@H]3C[C@@H]32)no1 ZINC000720355668 712833749 /nfs/dbraw/zinc/83/37/49/712833749.db2.gz LVCLNWWSMXJWSZ-MDZLAQPJSA-N 0 3 220.316 2.509 20 0 BFADHN CC(C)(C)[C@H](NCc1ccon1)C1CC1 ZINC000720397513 712838306 /nfs/dbraw/zinc/83/83/06/712838306.db2.gz MCYVHPQBRMFJGM-LLVKDONJSA-N 0 3 208.305 2.589 20 0 BFADHN Cc1conc1CN[C@@H]1CC[C@H](C)C[C@H]1C ZINC000720409717 712840610 /nfs/dbraw/zinc/84/06/10/712840610.db2.gz MJIHDJRFZLDZPQ-HOSYDEDBSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1conc1CN[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000720420397 712841434 /nfs/dbraw/zinc/84/14/34/712841434.db2.gz NBFJJQQGZUVTRN-ADEWGFFLSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ccoc1CNC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000720820883 712868986 /nfs/dbraw/zinc/86/89/86/712868986.db2.gz OOTWBISJRCLSAF-AVGNSLFASA-N 0 3 235.327 2.635 20 0 BFADHN CCO[C@H]1CCCN(Cc2cc(C)c(C)o2)C1 ZINC001204535014 957970668 /nfs/dbraw/zinc/97/06/68/957970668.db2.gz PGVVZULSKGMCFL-ZDUSSCGKSA-N 0 3 237.343 2.897 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNCc1nccs1 ZINC000716534482 958811992 /nfs/dbraw/zinc/81/19/92/958811992.db2.gz WNXHIOCHZGVAHM-RCWTZXSCSA-N 0 3 222.357 2.525 20 0 BFADHN C[C@H]1CC(OC[C@@H]2COC(C)(C)N2)C[C@H](C)C1 ZINC001217860820 959673869 /nfs/dbraw/zinc/67/38/69/959673869.db2.gz PMDQMMDYAWGWFD-IJLUTSLNSA-N 0 3 241.375 2.552 20 0 BFADHN CN(Cc1c[nH]nc1C(F)(F)F)CC(C)(C)C ZINC001141016359 960815802 /nfs/dbraw/zinc/81/58/02/960815802.db2.gz VZLVOGHJFIIMLS-UHFFFAOYSA-N 0 3 249.280 2.906 20 0 BFADHN C[C@H](NCC1SCCS1)c1cccnc1 ZINC000692889275 961183305 /nfs/dbraw/zinc/18/33/05/961183305.db2.gz VJVZNBLPJLXFON-VIFPVBQESA-N 0 3 240.397 2.538 20 0 BFADHN CCc1cc(CN2CCC[C@@H](C)CC2)on1 ZINC001203212648 963749008 /nfs/dbraw/zinc/74/90/08/963749008.db2.gz QBOZPMUKYYWOOF-LLVKDONJSA-N 0 3 222.332 2.859 20 0 BFADHN Cc1cnc(NC2=CNCCC2)c(Cl)c1 ZINC001159234838 964024398 /nfs/dbraw/zinc/02/43/98/964024398.db2.gz VUOBDVLZXBGQLK-UHFFFAOYSA-N 0 3 223.707 2.680 20 0 BFADHN CCCc1ccnc(NC2=CNCCC2)c1 ZINC001159408375 964076343 /nfs/dbraw/zinc/07/63/43/964076343.db2.gz BTKMISIZEXPTMR-UHFFFAOYSA-N 0 3 217.316 2.671 20 0 BFADHN Fc1cc(F)cc(CN[C@@H]2C=CCC2)c1 ZINC000698213457 965111376 /nfs/dbraw/zinc/11/13/76/965111376.db2.gz JZZUNIGUVDPVEB-GFCCVEGCSA-N 0 3 209.239 2.773 20 0 BFADHN c1csc(CN2CC[C@@H]3CCCO[C@@H]3C2)c1 ZINC001204015033 966854914 /nfs/dbraw/zinc/85/49/14/966854914.db2.gz WAQLPOYCXKRHOM-WCQYABFASA-N 0 3 237.368 2.749 20 0 BFADHN COCCN(Cc1cc(C)c(C)o1)C(C)C ZINC001204535627 967034717 /nfs/dbraw/zinc/03/47/17/967034717.db2.gz VNVSPVFYGDTONH-UHFFFAOYSA-N 0 3 225.332 2.753 20 0 BFADHN CCCN(CCOC)Cc1sc(C)nc1C ZINC001206143973 968081111 /nfs/dbraw/zinc/08/11/11/968081111.db2.gz BCIYIZRTUJKZSN-UHFFFAOYSA-N 0 3 242.388 2.618 20 0 BFADHN Cc1ccc(C2=CCN(C)CC2)cc1F ZINC001250623266 968168981 /nfs/dbraw/zinc/16/89/81/968168981.db2.gz LVFVJWBMZXTDIC-UHFFFAOYSA-N 0 3 205.276 2.853 20 0 BFADHN CC(C)=CCC[C@H](C)N[C@H](C)c1nnc(C)[nH]1 ZINC000821826784 968494876 /nfs/dbraw/zinc/49/48/76/968494876.db2.gz DPLPGEJBIGZXMT-WDEREUQCSA-N 0 3 236.363 2.899 20 0 BFADHN Cc1ncsc1CN1CCC[C@@H](F)CC1 ZINC001206863346 968506734 /nfs/dbraw/zinc/50/67/34/968506734.db2.gz CCJRFZDORZSHMN-SNVBAGLBSA-N 0 3 228.336 2.776 20 0 BFADHN CS[C@H](C)CCN1CC[C@](C)(F)[C@H](F)C1 ZINC001208140271 969052550 /nfs/dbraw/zinc/05/25/50/969052550.db2.gz ZJJMODPSNDYFBP-MXWKQRLJSA-N 0 3 237.359 2.900 20 0 BFADHN CS[C@@H](C)CCN1CCCC[C@@H]1C(C)=O ZINC001208156039 969069547 /nfs/dbraw/zinc/06/95/47/969069547.db2.gz VHLGOZUCDZWXNS-CMPLNLGQSA-N 0 3 229.389 2.572 20 0 BFADHN CS[C@@H](C)CCN(C)Cc1ccc(C)nc1 ZINC001208149947 969071406 /nfs/dbraw/zinc/07/14/06/969071406.db2.gz OLSBHGJRGKISKS-LBPRGKRZSA-N 0 3 238.400 2.963 20 0 BFADHN CCOC1CN(C[C@H]2CCC(C)=C[C@H]2C)C1 ZINC001208160984 969089216 /nfs/dbraw/zinc/08/92/16/969089216.db2.gz LKLMBQXFVYPXES-CHWSQXEVSA-N 0 3 223.360 2.700 20 0 BFADHN CC/C=C\CCCCCN1C[C@@H](F)C[C@H]1CO ZINC001208188052 969115706 /nfs/dbraw/zinc/11/57/06/969115706.db2.gz FXISHUJNQLJJAX-NMEQGOOXSA-N 0 3 243.366 2.918 20 0 BFADHN C[C@H](CCN1C[C@@H](F)C[C@H]1CO)CC(C)(C)C ZINC001208656378 969184291 /nfs/dbraw/zinc/18/42/91/969184291.db2.gz VTCYHTPBIKASOQ-AGIUHOORSA-N 0 3 245.382 2.854 20 0 BFADHN CCCCC[C@H](O)CNCc1ccccc1F ZINC001253522398 969198842 /nfs/dbraw/zinc/19/88/42/969198842.db2.gz LLTHKOCBHJGIIZ-ZDUSSCGKSA-N 0 3 239.334 2.857 20 0 BFADHN CCCCC[C@H](O)CN1CCCC[C@@H](F)C1 ZINC001253524687 969199724 /nfs/dbraw/zinc/19/97/24/969199724.db2.gz DWDCITMTVBZAQV-OLZOCXBDSA-N 0 3 231.355 2.752 20 0 BFADHN CC/C=C\CCN1CCCC[C@H]1C(C)=O ZINC001208730613 969215757 /nfs/dbraw/zinc/21/57/57/969215757.db2.gz YUMBCEOZZUFNST-ZFDPJTLLSA-N 0 3 209.333 2.786 20 0 BFADHN CCO[C@@H]1CCCN(C[C@@H](C)C(F)(F)F)C1 ZINC001208894493 969280761 /nfs/dbraw/zinc/28/07/61/969280761.db2.gz UQYBCICMZHFFQD-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1ccc(Cl)c(CNC[C@@H](O)C(C)C)c1 ZINC001253784978 969404647 /nfs/dbraw/zinc/40/46/47/969404647.db2.gz PFWLPALJESRYHA-CYBMUJFWSA-N 0 3 241.762 2.755 20 0 BFADHN C[C@@H]1CCN(C2CCC(F)(F)CC2)CCC1=O ZINC001254218492 969542430 /nfs/dbraw/zinc/54/24/30/969542430.db2.gz IYIXNSCLOPQLRT-SNVBAGLBSA-N 0 3 245.313 2.865 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCSC2)nc1 ZINC001255270727 970021518 /nfs/dbraw/zinc/02/15/18/970021518.db2.gz LHEYKNPDRFDGNS-GHMZBOCLSA-N 0 3 222.357 2.546 20 0 BFADHN CCCC[C@H](C)N1CCCC[C@@H]1C(=O)OCC ZINC001255330417 970113220 /nfs/dbraw/zinc/11/32/20/970113220.db2.gz SZEPRPGWUZKSIJ-QWHCGFSZSA-N 0 3 241.375 2.983 20 0 BFADHN COC[C@@H]1CN([C@@H](C)CCCC(C)C)CCO1 ZINC001170088556 970218144 /nfs/dbraw/zinc/21/81/44/970218144.db2.gz VJSGYIWBOGQCOW-KBPBESRZSA-N 0 3 243.391 2.548 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ncc(F)cc2F)C1 ZINC001170101823 970296866 /nfs/dbraw/zinc/29/68/66/970296866.db2.gz PUYMGPVLQSRULR-PSASIEDQSA-N 0 3 226.270 2.638 20 0 BFADHN COC[C@@H](C)N(C)[C@@H](C)c1ccccc1OC ZINC001255581848 970383384 /nfs/dbraw/zinc/38/33/84/970383384.db2.gz PEKXGLPPLSWKHH-NEPJUHHUSA-N 0 3 237.343 2.723 20 0 BFADHN C[C@@H](CC(F)(F)F)N1CC[C@@]2(CCCO2)C1 ZINC001255645112 970423315 /nfs/dbraw/zinc/42/33/15/970423315.db2.gz ALNSDOWZPBUTJH-UWVGGRQHSA-N 0 3 237.265 2.582 20 0 BFADHN CCCCOC1CN([C@@H]2CC[C@H](C)C2)C1 ZINC001170153444 970466729 /nfs/dbraw/zinc/46/67/29/970466729.db2.gz PYLYIGMBIHCSDL-NWDGAFQWSA-N 0 3 211.349 2.676 20 0 BFADHN CCC1(N[C@H](C)Cc2ccccc2F)COC1 ZINC001255834132 970506314 /nfs/dbraw/zinc/50/63/14/970506314.db2.gz CZSJMXDNDRPPOV-LLVKDONJSA-N 0 3 237.318 2.525 20 0 BFADHN CC[C@@H]1C[C@H](N2CC[C@](C)(F)[C@@H](F)C2)CCO1 ZINC001170208637 970581930 /nfs/dbraw/zinc/58/19/30/970581930.db2.gz QEUFRSSDNQUUNY-NDBYEHHHSA-N 0 3 247.329 2.716 20 0 BFADHN Cc1cc(CN)nn1[C@H](C)CCC(C)C ZINC001256353375 970750743 /nfs/dbraw/zinc/75/07/43/970750743.db2.gz RRZLXBSOWCNGOL-SNVBAGLBSA-N 0 3 209.337 2.647 20 0 BFADHN CCCCCCc1nnc([C@@H]2CCN(C)C2)o1 ZINC001256356370 970751847 /nfs/dbraw/zinc/75/18/47/970751847.db2.gz XAQZSUMXTZYHGP-LLVKDONJSA-N 0 3 237.347 2.612 20 0 BFADHN CCSC[C@H](C)N1CCc2ncccc2C1 ZINC001173305338 975213959 /nfs/dbraw/zinc/21/39/59/975213959.db2.gz MLQXHZAFCHFXOK-NSHDSACASA-N 0 3 236.384 2.581 20 0 BFADHN CN(Cc1ccccc1OCC(F)F)C1CC1 ZINC001332690348 975251028 /nfs/dbraw/zinc/25/10/28/975251028.db2.gz LBYKTEPRGBFKDJ-UHFFFAOYSA-N 0 3 241.281 2.925 20 0 BFADHN CCSC[C@@H](C)NCc1cccc(Cl)n1 ZINC001173286998 975250186 /nfs/dbraw/zinc/25/01/86/975250186.db2.gz FEPXZGMUQIRTJX-SECBINFHSA-N 0 3 244.791 2.966 20 0 BFADHN CC(=O)CN(CCc1ccccc1)C(C)C ZINC001333019084 975373798 /nfs/dbraw/zinc/37/37/98/975373798.db2.gz KZMCCHPFJHFUFA-UHFFFAOYSA-N 0 3 219.328 2.529 20 0 BFADHN COc1ccc(CNC[C@]2(C)CCCS2)o1 ZINC001333736362 976044943 /nfs/dbraw/zinc/04/49/43/976044943.db2.gz BYVRRMZICWSBNT-LBPRGKRZSA-N 0 3 241.356 2.664 20 0 BFADHN Cc1cnccc1Nc1cc(Cl)ncc1C ZINC001174537164 976822226 /nfs/dbraw/zinc/82/22/26/976822226.db2.gz ZVXSMMUGSUHOCJ-UHFFFAOYSA-N 0 3 233.702 2.912 20 0 BFADHN Cc1ncc(Nc2ccc(C)cc2F)n1C ZINC001175325792 977375482 /nfs/dbraw/zinc/37/54/82/977375482.db2.gz ZHLBDYDWAJBNFL-UHFFFAOYSA-N 0 3 219.263 2.920 20 0 BFADHN C[C@@]1(F)CCCN(Cc2cncc(O)c2)CC1 ZINC001232039788 977867197 /nfs/dbraw/zinc/86/71/97/977867197.db2.gz WPSZCHFBPWPFGA-CYBMUJFWSA-N 0 3 238.306 2.501 20 0 BFADHN Cc1ccnc(C(C)C)c1Nc1ncc[nH]1 ZINC001176082581 977879333 /nfs/dbraw/zinc/87/93/33/977879333.db2.gz DVTSMTYUBOJXCZ-UHFFFAOYSA-N 0 3 216.288 2.980 20 0 BFADHN C[C@]1(F)CCCN(Cc2ccns2)CC1 ZINC001232188586 977911069 /nfs/dbraw/zinc/91/10/69/977911069.db2.gz GJSFAFJIKNDNQO-NSHDSACASA-N 0 3 228.336 2.857 20 0 BFADHN CSc1ccc(CN2CCC[C@H]3C[C@H]32)cn1 ZINC001232330033 978002853 /nfs/dbraw/zinc/00/28/53/978002853.db2.gz MIRXYMSPBKASBX-NWDGAFQWSA-N 0 3 234.368 2.788 20 0 BFADHN Fc1ccnc(CN2CCCCCCC2)c1 ZINC001232495472 978148290 /nfs/dbraw/zinc/14/82/90/978148290.db2.gz DGKNFTLUKCOILT-UHFFFAOYSA-N 0 3 222.307 2.987 20 0 BFADHN COC(=O)[C@@]1(C)CCCN1[C@H](C)CCC(C)C ZINC001256363816 970764483 /nfs/dbraw/zinc/76/44/83/970764483.db2.gz MKGAEXRAKUZJRC-TZMCWYRMSA-N 0 3 241.375 2.839 20 0 BFADHN COCCN(Cc1cnc(C)cc1C)C(C)C ZINC001233007967 978399857 /nfs/dbraw/zinc/39/98/57/978399857.db2.gz XHRNOVWJZDGFBS-UHFFFAOYSA-N 0 3 236.359 2.555 20 0 BFADHN Cc1ncc(CN2CCC23CCCCC3)o1 ZINC001233365138 978574527 /nfs/dbraw/zinc/57/45/27/978574527.db2.gz AXEJHTXCVVJRBK-UHFFFAOYSA-N 0 3 220.316 2.892 20 0 BFADHN Cc1c[nH+]c(-c2cc([O-])cc(Cl)c2)cc1N ZINC001235898489 979187829 /nfs/dbraw/zinc/18/78/29/979187829.db2.gz JGNHWEMJFBKRAY-UHFFFAOYSA-N 0 3 234.686 2.998 20 0 BFADHN CN(CCC1CC1)Cc1sc(=O)[nH]c1Cl ZINC001237166886 979824816 /nfs/dbraw/zinc/82/48/16/979824816.db2.gz WBMORXSNWUPUPN-UHFFFAOYSA-N 0 3 246.763 2.734 20 0 BFADHN C[C@H]1C[N@H+](Cc2occc2[S-])C2(CC2)C1 ZINC001237180928 979834327 /nfs/dbraw/zinc/83/43/27/979834327.db2.gz CNIJFJNHEUXTSW-SECBINFHSA-N 0 3 223.341 2.943 20 0 BFADHN C[C@H]1C[N@@H+](Cc2occc2[S-])C2(CC2)C1 ZINC001237180928 979834331 /nfs/dbraw/zinc/83/43/31/979834331.db2.gz CNIJFJNHEUXTSW-SECBINFHSA-N 0 3 223.341 2.943 20 0 BFADHN C[C@@H]1C[N@H+](Cc2occc2[S-])C2(CC2)C1 ZINC001237180931 979834573 /nfs/dbraw/zinc/83/45/73/979834573.db2.gz CNIJFJNHEUXTSW-VIFPVBQESA-N 0 3 223.341 2.943 20 0 BFADHN C[C@@H]1C[N@@H+](Cc2occc2[S-])C2(CC2)C1 ZINC001237180931 979834580 /nfs/dbraw/zinc/83/45/80/979834580.db2.gz CNIJFJNHEUXTSW-VIFPVBQESA-N 0 3 223.341 2.943 20 0 BFADHN FC1(F)C[C@@]12CC[N@H+](Cc1occc1[S-])C2 ZINC001237190304 979840243 /nfs/dbraw/zinc/84/02/43/979840243.db2.gz HQANJLMLFNRUEG-SNVBAGLBSA-N 0 3 245.294 2.799 20 0 BFADHN FC1(F)C[C@@]12CC[N@@H+](Cc1occc1[S-])C2 ZINC001237190304 979840246 /nfs/dbraw/zinc/84/02/46/979840246.db2.gz HQANJLMLFNRUEG-SNVBAGLBSA-N 0 3 245.294 2.799 20 0 BFADHN CCCC1CCN(Cc2cncnc2C)CC1 ZINC001238170104 980199407 /nfs/dbraw/zinc/19/94/07/980199407.db2.gz PEZPOMBKMOJJBL-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CCc1nc(C)c(CN2CCCC[C@H]2C)[nH]1 ZINC001203235809 980873558 /nfs/dbraw/zinc/87/35/58/980873558.db2.gz YXWPOHNRHNEHNY-SNVBAGLBSA-N 0 3 221.348 2.655 20 0 BFADHN C=Cc1ccc([C@H]2CCCCN2C)cn1 ZINC001240829684 981869593 /nfs/dbraw/zinc/86/95/93/981869593.db2.gz QMKRCJNBJUBXLG-CYBMUJFWSA-N 0 3 202.301 2.881 20 0 BFADHN COc1cc(C)ccc1C1=CCN(C)CC1 ZINC001241328189 982488810 /nfs/dbraw/zinc/48/88/10/982488810.db2.gz YPUGYXFIUUYBRP-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN CCc1nc(C)c(CN(C)CC(C)(C)C)[nH]1 ZINC001203243737 982708713 /nfs/dbraw/zinc/70/87/13/982708713.db2.gz NVAULJKIUHMSNM-UHFFFAOYSA-N 0 3 223.364 2.758 20 0 BFADHN CCC[C@@H](C)CCNC(=O)[C@@H](C(C)C)N(C)C ZINC001589661849 982858549 /nfs/dbraw/zinc/85/85/49/982858549.db2.gz MVKJEXLCKIBDSJ-CHWSQXEVSA-N 0 3 242.407 2.515 20 0 BFADHN CSc1ccc(-c2cc(N)c(C)cn2)cn1 ZINC001241632900 982870033 /nfs/dbraw/zinc/87/00/33/982870033.db2.gz LTEITFQJGKDIJX-UHFFFAOYSA-N 0 3 231.324 2.756 20 0 BFADHN CC(C)=CCC[C@H](C)CN1C[C@@H]2C[C@H]1CO2 ZINC001201490389 983258699 /nfs/dbraw/zinc/25/86/99/983258699.db2.gz JUCBUFHKHMXATJ-IHRRRGAJSA-N 0 3 223.360 2.842 20 0 BFADHN CC(C)=CCC[C@H](C)CN1C[C@H]2C[C@@H](C1)O2 ZINC001201496516 983261789 /nfs/dbraw/zinc/26/17/89/983261789.db2.gz NUGVLDPGVGUEMU-MELADBBJSA-N 0 3 223.360 2.842 20 0 BFADHN CCCCCN1CCc2cccnc2C1 ZINC001201737636 983444099 /nfs/dbraw/zinc/44/40/99/983444099.db2.gz ZHARGMVSKGXFEE-UHFFFAOYSA-N 0 3 204.317 2.630 20 0 BFADHN C[C@@H]1CN([C@@H]2CC[C@@H](C)[C@@H](C)C2)CCC1=O ZINC000082846520 983453890 /nfs/dbraw/zinc/45/38/90/983453890.db2.gz LNIAGDLHMPBIBJ-YVECIDJPSA-N 0 3 223.360 2.722 20 0 BFADHN CCCCCCN(C)[C@H](C(=O)OC)C(C)C ZINC001201756566 983460052 /nfs/dbraw/zinc/46/00/52/983460052.db2.gz NFGNRGQERSGENA-LBPRGKRZSA-N 0 3 229.364 2.696 20 0 BFADHN CCCCCN1CCc2nc(C)sc2C1 ZINC001201735611 983474517 /nfs/dbraw/zinc/47/45/17/983474517.db2.gz WWJGLROQZRPXSJ-UHFFFAOYSA-N 0 3 224.373 3.000 20 0 BFADHN CC(C)(O)CNC1(c2ccccc2Cl)CC1 ZINC001252366160 983748590 /nfs/dbraw/zinc/74/85/90/983748590.db2.gz YIFWCOMYQJBCQT-UHFFFAOYSA-N 0 3 239.746 2.690 20 0 BFADHN CO[C@@H](C)C1CN(Cc2cc(C)cs2)C1 ZINC001137160065 984005588 /nfs/dbraw/zinc/00/55/88/984005588.db2.gz PTLSBECWDWWJRH-JTQLQIEISA-N 0 3 225.357 2.523 20 0 BFADHN CN1CC[C@H](Oc2ccc(F)c(Cl)c2)C1 ZINC001225917833 974728188 /nfs/dbraw/zinc/72/81/88/974728188.db2.gz RXZFHBPCCNJLDU-VIFPVBQESA-N 0 3 229.682 2.562 20 0 BFADHN CN1CCC[C@H](Oc2ccc(F)c(Cl)c2)C1 ZINC001225917016 974728268 /nfs/dbraw/zinc/72/82/68/974728268.db2.gz DJXMZRUALLEVDQ-JTQLQIEISA-N 0 3 243.709 2.952 20 0 BFADHN CC(C)OCC[C@@H](C)N[C@H](C)c1nccs1 ZINC001172436733 974792987 /nfs/dbraw/zinc/79/29/87/974792987.db2.gz HZJAVOIXOHKSOY-GHMZBOCLSA-N 0 3 242.388 2.997 20 0 BFADHN CC(C)OCC[C@@H](C)N1CCO[C@H](C(C)C)C1 ZINC001172456792 974821296 /nfs/dbraw/zinc/82/12/96/974821296.db2.gz OZSHENZHGKSADP-KGLIPLIRSA-N 0 3 243.391 2.547 20 0 BFADHN CCCC[C@@H](C)N(CCCC)Cc1nc[nH]n1 ZINC001327883074 972779439 /nfs/dbraw/zinc/77/94/39/972779439.db2.gz ZEGCFZRIYMOQNF-GFCCVEGCSA-N 0 3 238.379 2.986 20 0 BFADHN CCCC[C@@H](C)N(CCCC)Cc1nnc[nH]1 ZINC001327883074 972779458 /nfs/dbraw/zinc/77/94/58/972779458.db2.gz ZEGCFZRIYMOQNF-GFCCVEGCSA-N 0 3 238.379 2.986 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)CCC(C)C)n(C)n1 ZINC001256367579 970771630 /nfs/dbraw/zinc/77/16/30/970771630.db2.gz HBVFBMJAPRKJGE-ZDUSSCGKSA-N 0 3 237.391 2.985 20 0 BFADHN CC(C)CC[C@@H](C)N(C)Cc1cnccn1 ZINC001256371678 970784066 /nfs/dbraw/zinc/78/40/66/970784066.db2.gz SRRBPCYITUMMQA-GFCCVEGCSA-N 0 3 221.348 2.733 20 0 BFADHN CC(C)CC[C@H](C)N(C)Cc1cnccn1 ZINC001256371679 970788013 /nfs/dbraw/zinc/78/80/13/970788013.db2.gz SRRBPCYITUMMQA-LBPRGKRZSA-N 0 3 221.348 2.733 20 0 BFADHN CC(C)CC[C@@H](C)N(C)Cc1ccno1 ZINC001256372602 970790697 /nfs/dbraw/zinc/79/06/97/970790697.db2.gz LZCPLRXPBCNMFJ-LLVKDONJSA-N 0 3 210.321 2.931 20 0 BFADHN CC(C)CC[C@H](C)N(C)Cc1ccncn1 ZINC001256373647 970791022 /nfs/dbraw/zinc/79/10/22/970791022.db2.gz CCRJESXQTCWJLZ-LBPRGKRZSA-N 0 3 221.348 2.733 20 0 BFADHN CCCCC(=O)[C@H](C)N[C@H](C)Cc1ccccn1 ZINC001256400551 970808353 /nfs/dbraw/zinc/80/83/53/970808353.db2.gz JXDKXVNPCWIYNC-OLZOCXBDSA-N 0 3 248.370 2.750 20 0 BFADHN C[C@H](Cc1ccccn1)N1C[C@@H](C)C[C@H]1CF ZINC001256411415 970817579 /nfs/dbraw/zinc/81/75/79/970817579.db2.gz QZASEOQCPHTLKW-SCRDCRAPSA-N 0 3 236.334 2.693 20 0 BFADHN C[C@H](Cc1ccccn1)N1CCCC[C@H](F)C1 ZINC001256410432 970819045 /nfs/dbraw/zinc/81/90/45/970819045.db2.gz KXEUOJNGLWUMGA-OLZOCXBDSA-N 0 3 236.334 2.837 20 0 BFADHN CC(C)c1ccc(N)c(Nc2nccn2C)c1 ZINC001216016909 970901404 /nfs/dbraw/zinc/90/14/04/970901404.db2.gz FMBYHHPSGHISMJ-UHFFFAOYSA-N 0 3 230.315 2.869 20 0 BFADHN F[C@@H]1CCN([C@H]2CCO[C@H](c3ccccc3)C2)C1 ZINC001256807187 970993376 /nfs/dbraw/zinc/99/33/76/970993376.db2.gz OMLPTMDOHXQRRU-ILXRZTDVSA-N 0 3 249.329 2.951 20 0 BFADHN CN(CCF)[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC001256826006 970996251 /nfs/dbraw/zinc/99/62/51/970996251.db2.gz YTMPWYLQQUMURO-ZIAGYGMSSA-N 0 3 237.318 2.808 20 0 BFADHN CCC1CCC(Nc2ccc(CN)nc2)CC1 ZINC001256868076 971001349 /nfs/dbraw/zinc/00/13/49/971001349.db2.gz KBZYJQYIPSHMHG-UHFFFAOYSA-N 0 3 233.359 2.921 20 0 BFADHN CNCc1cc(-c2c(C)cc(F)cc2C)ncn1 ZINC001243914851 971021021 /nfs/dbraw/zinc/02/10/21/971021021.db2.gz PGWVSOQDNDYRMS-UHFFFAOYSA-N 0 3 245.301 2.619 20 0 BFADHN Cc1nc2c(cccc2C2=CNCCC2)[nH]1 ZINC001243951163 971038419 /nfs/dbraw/zinc/03/84/19/971038419.db2.gz UFPKWQKAJUBZDG-UHFFFAOYSA-N 0 3 213.284 2.596 20 0 BFADHN Cc1noc2ccc(C3=CNCCC3)cc12 ZINC001243949356 971041138 /nfs/dbraw/zinc/04/11/38/971041138.db2.gz CCRQNAMXJCJVGL-UHFFFAOYSA-N 0 3 214.268 2.861 20 0 BFADHN COC(=O)c1cc(F)c(C)c(C2=CNCCC2)c1 ZINC001243951571 971044776 /nfs/dbraw/zinc/04/47/76/971044776.db2.gz KIKPQQKWEMAGFE-UHFFFAOYSA-N 0 3 249.285 2.645 20 0 BFADHN C[C@H]1CCCCN1Cc1ccc(O)cc1F ZINC000404056693 971119716 /nfs/dbraw/zinc/11/97/16/971119716.db2.gz LCRRKJXRONXRRV-JTQLQIEISA-N 0 3 223.291 2.906 20 0 BFADHN Fc1cc(OC(F)F)ccc1CNC1CC1 ZINC000404092650 971121130 /nfs/dbraw/zinc/12/11/30/971121130.db2.gz XAHAIOPQQFKVFC-UHFFFAOYSA-N 0 3 231.217 2.679 20 0 BFADHN CCC[C@H](CC)Nc1ccc(CN)nc1 ZINC001257250558 971148121 /nfs/dbraw/zinc/14/81/21/971148121.db2.gz QDBWQPPLKAUTOT-JTQLQIEISA-N 0 3 207.321 2.531 20 0 BFADHN COC(C)(C)CN(C)Cc1cccc(Cl)n1 ZINC000404343501 971159979 /nfs/dbraw/zinc/15/99/79/971159979.db2.gz GLKJZZZYKSFUBU-UHFFFAOYSA-N 0 3 242.750 2.592 20 0 BFADHN Nc1ccnc(-c2ccc3cc[nH]c(=O)c3c2)c1 ZINC001244310092 971177260 /nfs/dbraw/zinc/17/72/60/971177260.db2.gz FBGKRMLRLGNKRK-UHFFFAOYSA-N 0 3 237.262 2.585 20 0 BFADHN CCC(CC)NCC(=O)c1cccc(F)c1 ZINC001257291317 971177567 /nfs/dbraw/zinc/17/75/67/971177567.db2.gz LMUOJCDQCDNFTJ-UHFFFAOYSA-N 0 3 223.291 2.787 20 0 BFADHN CCC(CC)NCc1nc(C)ccc1F ZINC001257289178 971175162 /nfs/dbraw/zinc/17/51/62/971175162.db2.gz RXEYBXFWNZVTSQ-UHFFFAOYSA-N 0 3 210.296 2.807 20 0 BFADHN CCC[C@H](C)NCC(=O)c1cccc(F)c1 ZINC001257312696 971206385 /nfs/dbraw/zinc/20/63/85/971206385.db2.gz GYYANZWNMLTPPK-JTQLQIEISA-N 0 3 223.291 2.787 20 0 BFADHN CCC[C@H](C)N[C@H](CO)c1cc(F)ccc1F ZINC001257314219 971209774 /nfs/dbraw/zinc/20/97/74/971209774.db2.gz JLDDMGQXQLBJPG-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN CCCCN(CC(=O)OCC)[C@H](C)CCC ZINC001257323784 971222078 /nfs/dbraw/zinc/22/20/78/971222078.db2.gz GHKFJKFQMXYSEP-GFCCVEGCSA-N 0 3 229.364 2.840 20 0 BFADHN CCCC(CCC)N1CCc2ncn(C)c2C1 ZINC001257339397 971247824 /nfs/dbraw/zinc/24/78/24/971247824.db2.gz PHWZIJIIHQOPKC-UHFFFAOYSA-N 0 3 235.375 2.747 20 0 BFADHN Cc1ccc(C2(NC3CCCC3)COC2)cc1 ZINC001257344694 971254318 /nfs/dbraw/zinc/25/43/18/971254318.db2.gz KONKHBCEOGJVSS-UHFFFAOYSA-N 0 3 231.339 2.753 20 0 BFADHN NCc1cc(-c2ccncc2)nn1C1CCCC1 ZINC001257346119 971258174 /nfs/dbraw/zinc/25/81/74/971258174.db2.gz MPRNFWFUOLSXNX-UHFFFAOYSA-N 0 3 242.326 2.519 20 0 BFADHN CCCCCC[C@H](C)N1C[C@@H]2C[C@H]1CO2 ZINC001257368866 971286636 /nfs/dbraw/zinc/28/66/36/971286636.db2.gz QDPJJDMOANMJPT-AVGNSLFASA-N 0 3 211.349 2.818 20 0 BFADHN C[C@@H]1[C@@H](N[C@@H]2CSc3ccccc32)CO[C@H]1C ZINC000405681426 971292394 /nfs/dbraw/zinc/29/23/94/971292394.db2.gz KUJUVIOMDNFJRW-XRRVDJEJSA-N 0 3 249.379 2.846 20 0 BFADHN C[C@@H]1[C@@H](NCc2cc3ccccc3o2)CO[C@H]1C ZINC000405693715 971294192 /nfs/dbraw/zinc/29/41/92/971294192.db2.gz XDNOGWHWZDKQGJ-MJVIPROJSA-N 0 3 245.322 2.946 20 0 BFADHN CCCC[C@@H](CC)n1nc(CN)cc1C ZINC001257410617 971303290 /nfs/dbraw/zinc/30/32/90/971303290.db2.gz VRJOZWOGBSRKEG-GFCCVEGCSA-N 0 3 209.337 2.792 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1CO[C@H](C)[C@H]1C ZINC000405793904 971307219 /nfs/dbraw/zinc/30/72/19/971307219.db2.gz WKVRROKDWXKNPF-YRGRVCCFSA-N 0 3 249.354 2.517 20 0 BFADHN CCCC[C@@H](CC)N1CC(N2CC[C@H](F)C2)C1 ZINC001257424519 971325042 /nfs/dbraw/zinc/32/50/42/971325042.db2.gz FVFYFBBKQRQVJS-QWHCGFSZSA-N 0 3 242.382 2.683 20 0 BFADHN CCCC[C@@H](CC)N1CCc2c(cnn2C)C1 ZINC001257426340 971326862 /nfs/dbraw/zinc/32/68/62/971326862.db2.gz LBBZSUCOUDFWND-CYBMUJFWSA-N 0 3 235.375 2.747 20 0 BFADHN CC[C@@H](C)N1CCc2ncc(Cl)cc2C1 ZINC001257446345 971361750 /nfs/dbraw/zinc/36/17/50/971361750.db2.gz LZIUZAKMMXTLTO-SECBINFHSA-N 0 3 224.735 2.892 20 0 BFADHN Cc1cccc2c1[C@@H](NC1CCCCC1)C(=O)N2 ZINC001257449902 971370733 /nfs/dbraw/zinc/37/07/33/971370733.db2.gz HEAFFMCBQAIEDS-CQSZACIVSA-N 0 3 244.338 2.911 20 0 BFADHN CC(C)OC(=O)CN1[C@H](C)C[C@@H]2CCCC[C@@H]21 ZINC001330089532 974102457 /nfs/dbraw/zinc/10/24/57/974102457.db2.gz OTUKWTGGRYMDJC-AGIUHOORSA-N 0 3 239.359 2.591 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N1CC[C@@](F)(CO)C1 ZINC001257474360 971396273 /nfs/dbraw/zinc/39/62/73/971396273.db2.gz ARQNQUXHKMFMQF-MJBXVCDLSA-N 0 3 245.382 2.998 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N1C[C@@H](F)C[C@H]1CO ZINC001257477899 971399959 /nfs/dbraw/zinc/39/99/59/971399959.db2.gz PKLTYLHUGSCDRG-CRWXNKLISA-N 0 3 245.382 2.996 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N(C)CC(=O)N(C)C ZINC001257479803 971404948 /nfs/dbraw/zinc/40/49/48/971404948.db2.gz AIQWOWLEMFDVKY-CHWSQXEVSA-N 0 3 242.407 2.611 20 0 BFADHN CCCCC[C@@H](C)OC[C@H]1COC(C)(C)N1 ZINC001217838131 971433577 /nfs/dbraw/zinc/43/35/77/971433577.db2.gz VUIMMIFGFRFGLJ-NEPJUHHUSA-N 0 3 229.364 2.696 20 0 BFADHN CCCCC[C@H](C)N1C[C@H]2CC[C@@H]1CO2 ZINC001257518100 971442653 /nfs/dbraw/zinc/44/26/53/971442653.db2.gz HSFVSQDFHOUEGY-YNEHKIRRSA-N 0 3 211.349 2.818 20 0 BFADHN CC(C)CC[C@H](C)OC[C@H]1COC(C)(C)N1 ZINC001217869263 971465129 /nfs/dbraw/zinc/46/51/29/971465129.db2.gz BMUPNBAEOIOMHY-RYUDHWBXSA-N 0 3 229.364 2.552 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCCSC2)cn1 ZINC001257681143 971486117 /nfs/dbraw/zinc/48/61/17/971486117.db2.gz HYLCOPQGLIPPAZ-ZDUSSCGKSA-N 0 3 236.384 2.717 20 0 BFADHN CC[C@H](C)CC[C@@H](C)OC[C@@H]1COC(C)(C)N1 ZINC001217891547 971488673 /nfs/dbraw/zinc/48/86/73/971488673.db2.gz KZBANCINFLAVGB-YNEHKIRRSA-N 0 3 243.391 2.942 20 0 BFADHN CC[C@H](C)CC[C@H](C)OC[C@@H]1COC(C)(C)N1 ZINC001217891542 971490824 /nfs/dbraw/zinc/49/08/24/971490824.db2.gz KZBANCINFLAVGB-RWMBFGLXSA-N 0 3 243.391 2.942 20 0 BFADHN CCCCCC[C@@H](CC)OC[C@@H]1CNCCO1 ZINC001217893023 971492053 /nfs/dbraw/zinc/49/20/53/971492053.db2.gz VMJHLWDWDLYIHG-KGLIPLIRSA-N 0 3 243.391 2.740 20 0 BFADHN C[C@@H]1CCC[C@H](C)[C@H]1OC[C@H]1COC(C)(C)N1 ZINC001217893773 971492563 /nfs/dbraw/zinc/49/25/63/971492563.db2.gz SUUKZFQFYCWTAE-QNWHQSFQSA-N 0 3 241.375 2.552 20 0 BFADHN CCCCCC[C@@H](CC)OC[C@H]1CNCCO1 ZINC001217893025 971493753 /nfs/dbraw/zinc/49/37/53/971493753.db2.gz VMJHLWDWDLYIHG-ZIAGYGMSSA-N 0 3 243.391 2.740 20 0 BFADHN CCCCCC[C@H](CC)OC[C@@H]1CNCCO1 ZINC001217893022 971493827 /nfs/dbraw/zinc/49/38/27/971493827.db2.gz VMJHLWDWDLYIHG-KBPBESRZSA-N 0 3 243.391 2.740 20 0 BFADHN Fc1cc(F)c2c(c1)CC[C@@H](N1CCC1)C2 ZINC001170684873 971512907 /nfs/dbraw/zinc/51/29/07/971512907.db2.gz GKSFMGQBILSHOE-LLVKDONJSA-N 0 3 223.266 2.528 20 0 BFADHN CN(C1CC1)[C@H]1COc2ccc(Cl)cc2C1 ZINC001257955461 971545438 /nfs/dbraw/zinc/54/54/38/971545438.db2.gz AKPLGICVBUBDCW-GFCCVEGCSA-N 0 3 237.730 2.738 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)n1ccc(CN)n1 ZINC001258051423 971572373 /nfs/dbraw/zinc/57/23/73/971572373.db2.gz KOYILULMXOPGMZ-NEPJUHHUSA-N 0 3 223.364 2.975 20 0 BFADHN Cc1ccc(Cl)c(O[C@H]2CCNC[C@@H]2F)c1 ZINC001218064200 971593913 /nfs/dbraw/zinc/59/39/13/971593913.db2.gz HHZSSIIXUWRNRU-QWRGUYRKSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1ccc(O[C@H]2CCNC[C@H]2F)cc1Cl ZINC001218064415 971593956 /nfs/dbraw/zinc/59/39/56/971593956.db2.gz KYLXCQLGVDQBIA-NEPJUHHUSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1cc(Cl)ccc1O[C@@H]1CCNC[C@@H]1F ZINC001218066938 971594019 /nfs/dbraw/zinc/59/40/19/971594019.db2.gz OVNHLKZDHDBGLX-CMPLNLGQSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1cc(C)c(C)c(O[C@@H]2CCNC[C@H]2F)c1 ZINC001218067891 971594923 /nfs/dbraw/zinc/59/49/23/971594923.db2.gz VABZZCULZIKIRT-CHWSQXEVSA-N 0 3 237.318 2.691 20 0 BFADHN F[C@@H]1CNCC[C@H]1Oc1cccc2ccccc21 ZINC001218064764 971595163 /nfs/dbraw/zinc/59/51/63/971595163.db2.gz OZDFQLKDTWNKIQ-UKRRQHHQSA-N 0 3 245.297 2.919 20 0 BFADHN CC(C)c1cccc(O[C@H]2CCNC[C@@H]2F)c1 ZINC001218064343 971595528 /nfs/dbraw/zinc/59/55/28/971595528.db2.gz JTYZHTBLPGWJPX-KBPBESRZSA-N 0 3 237.318 2.889 20 0 BFADHN Cc1cc(Cl)ccc1O[C@H]1CCNC[C@H]1F ZINC001218066941 971592645 /nfs/dbraw/zinc/59/26/45/971592645.db2.gz OVNHLKZDHDBGLX-PWSUYJOCSA-N 0 3 243.709 2.727 20 0 BFADHN CCCc1cccc(O[C@H]2CCNC[C@H]2F)c1 ZINC001218065070 971593075 /nfs/dbraw/zinc/59/30/75/971593075.db2.gz CRYSCTYAEGHPNF-KGLIPLIRSA-N 0 3 237.318 2.718 20 0 BFADHN Cc1ccc(O[C@@H]2CCNC[C@H]2F)c(Cl)c1 ZINC001218063454 971593590 /nfs/dbraw/zinc/59/35/90/971593590.db2.gz AEDQIIVZIRWRCR-ZYHUDNBSSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1nnc(CN[C@@H]2CCC[C@H]2C(C)C)s1 ZINC000309679038 971755767 /nfs/dbraw/zinc/75/57/67/971755767.db2.gz MRVWQIJZCOKZMN-WDEREUQCSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1cccc([C@@H](C)N[C@@H]2COC(C)(C)C2)n1 ZINC001172275425 974491084 /nfs/dbraw/zinc/49/10/84/974491084.db2.gz QKOWHJOFLRKIQP-NEPJUHHUSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1ccc(F)cc1O[C@@H]1CNCCC1(F)F ZINC001218446440 971821915 /nfs/dbraw/zinc/82/19/15/971821915.db2.gz APVNMZRXCCMRLD-LLVKDONJSA-N 0 3 245.244 2.510 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)NCc1nccs1 ZINC001172317087 974524001 /nfs/dbraw/zinc/52/40/01/974524001.db2.gz PIMQIOOVIOPQFP-GHMZBOCLSA-N 0 3 242.388 2.826 20 0 BFADHN CN(C)c1ncccc1CN1CCC12CCCC2 ZINC001327305924 972544464 /nfs/dbraw/zinc/54/44/64/972544464.db2.gz UGJRUXOPLPCVSS-UHFFFAOYSA-N 0 3 245.370 2.666 20 0 BFADHN Cc1c2[nH]cnc2ccc1N[C@@H]1CCOC[C@@H]1C ZINC001170817167 971899355 /nfs/dbraw/zinc/89/93/55/971899355.db2.gz FOKTYGIGDGPOCI-GXSJLCMTSA-N 0 3 245.326 2.708 20 0 BFADHN CN(CCc1ccccc1)Cc1ccc(F)nc1 ZINC000189292344 971997936 /nfs/dbraw/zinc/99/79/36/971997936.db2.gz SMUXUECKRSNSHQ-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN C[C@@H]1CC[C@H](N2CC3(C2)CCCO3)C[C@@H]1C ZINC001172364885 974638166 /nfs/dbraw/zinc/63/81/66/974638166.db2.gz HCSCMKHLXZOTEN-AGIUHOORSA-N 0 3 223.360 2.676 20 0 BFADHN CCOC[C@H](C)NCc1cnc(Cl)c(C)c1 ZINC000390496654 972207784 /nfs/dbraw/zinc/20/77/84/972207784.db2.gz SEBWWQMOLICDMV-JTQLQIEISA-N 0 3 242.750 2.558 20 0 BFADHN c1cnc([C@H](NCCCC2CC2)C2CC2)nc1 ZINC000932351601 957110091 /nfs/dbraw/zinc/11/00/91/957110091.db2.gz AILOYVHFVUUIIY-CYBMUJFWSA-N 0 3 231.343 2.708 20 0 BFADHN CN1CC=C(Nc2cncc(C3CCC3)c2)CC1 ZINC001208802619 957177406 /nfs/dbraw/zinc/17/74/06/957177406.db2.gz OOPKTKBRVBSEIQ-UHFFFAOYSA-N 0 3 243.354 2.980 20 0 BFADHN CN1CC=C(Nc2cccc(F)c2F)CC1 ZINC001208836716 957179443 /nfs/dbraw/zinc/17/94/43/957179443.db2.gz AEQPHQXCDBWMLD-UHFFFAOYSA-N 0 3 224.254 2.596 20 0 BFADHN CC1(CN2CCC(=O)[C@H](F)CC2)CCCCC1 ZINC001208897755 957217852 /nfs/dbraw/zinc/21/78/52/957217852.db2.gz HZYPQZBCMKRBTM-GFCCVEGCSA-N 0 3 241.350 2.960 20 0 BFADHN CSC[C@@H](C)NCc1ccnc(Cl)c1F ZINC000823914256 972259850 /nfs/dbraw/zinc/25/98/50/972259850.db2.gz CEUNXUMHQGJMKY-SSDOTTSWSA-N 0 3 248.754 2.715 20 0 BFADHN C[C@H]1C[C@H]1CN1CCc2ncc(Cl)cc2C1 ZINC001209426597 957450673 /nfs/dbraw/zinc/45/06/73/957450673.db2.gz FFBYXRFIJBGWCZ-UWVGGRQHSA-N 0 3 236.746 2.749 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3CCC[C@H]3C2)[nH]1 ZINC001209570603 957508642 /nfs/dbraw/zinc/50/86/42/957508642.db2.gz QHADVKVGIRYBBV-STQMWFEESA-N 0 3 218.344 2.945 20 0 BFADHN Cc1ccc(CN2CC[C@@](C)(CF)C2)[nH]1 ZINC001209570491 957509706 /nfs/dbraw/zinc/50/97/06/957509706.db2.gz PFRVRLXUMFSVEX-LBPRGKRZSA-N 0 3 210.296 2.505 20 0 BFADHN CCCc1cccc(Nc2ccncc2CN)c1 ZINC001160340951 972272585 /nfs/dbraw/zinc/27/25/85/972272585.db2.gz JAXFANZDQMWLHW-UHFFFAOYSA-N 0 3 241.338 2.658 20 0 BFADHN c1nc(CN2CCCC3(CC3)CC2)cn1C1CC1 ZINC001207000568 957735629 /nfs/dbraw/zinc/73/56/29/957735629.db2.gz YKBZOIDJZYHYQT-UHFFFAOYSA-N 0 3 245.370 2.984 20 0 BFADHN C[C@H]1CCN1Cc1ccc(OCC(F)F)cc1 ZINC001136935450 972300278 /nfs/dbraw/zinc/30/02/78/972300278.db2.gz DZNJEBIYUJNNIF-JTQLQIEISA-N 0 3 241.281 2.925 20 0 BFADHN Cc1cc(CN2CCN3CCCC[C@@H]3C2)oc1C ZINC001204535730 957997216 /nfs/dbraw/zinc/99/72/16/957997216.db2.gz XZUPQZXHEYUMEH-CQSZACIVSA-N 0 3 248.370 2.567 20 0 BFADHN COC[C@H]1CCN1Cc1c[nH]c2cc(C)ccc12 ZINC001136977258 972309325 /nfs/dbraw/zinc/30/93/25/972309325.db2.gz VQWSIPGMUIYCSA-CYBMUJFWSA-N 0 3 244.338 2.697 20 0 BFADHN COc1c(C)cnc(CNCC=C(C)C)c1C ZINC000234781569 958035341 /nfs/dbraw/zinc/03/53/41/958035341.db2.gz PMVUPPVYCVKHNT-UHFFFAOYSA-N 0 3 234.343 2.763 20 0 BFADHN COc1ncc(CN2CCC23CCCC3)cc1C ZINC001137000193 972316409 /nfs/dbraw/zinc/31/64/09/972316409.db2.gz PYFYNTZUPFAVEW-UHFFFAOYSA-N 0 3 246.354 2.917 20 0 BFADHN COc1ncc(CN2CCC(C)CC2)cc1C ZINC001136999417 972316763 /nfs/dbraw/zinc/31/67/63/972316763.db2.gz WJVSITORHFTZRR-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN Clc1cncc(CN2CCC[C@H]3C[C@H]32)c1 ZINC001136997837 972318816 /nfs/dbraw/zinc/31/88/16/972318816.db2.gz QZSIZHZNSXPGDK-CMPLNLGQSA-N 0 3 222.719 2.719 20 0 BFADHN COc1ncc(CN2C3CCC2CC3)cc1C ZINC001137006492 972321463 /nfs/dbraw/zinc/32/14/63/972321463.db2.gz TUOQQGILFLBRGQ-UHFFFAOYSA-N 0 3 232.327 2.525 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCOC2)cc(C)c1F ZINC001143381513 958186130 /nfs/dbraw/zinc/18/61/30/958186130.db2.gz FGNBADGCXAKUFV-ZDUSSCGKSA-N 0 3 237.318 2.663 20 0 BFADHN COc1cc(Nc2cnc(C)n2C)cc(C)c1F ZINC001215701779 958279429 /nfs/dbraw/zinc/27/94/29/958279429.db2.gz QXZBSOPTJAFWEZ-UHFFFAOYSA-N 0 3 249.289 2.928 20 0 BFADHN CC[C@H](O)CCCNCc1cc(F)ccc1F ZINC000398487975 958287462 /nfs/dbraw/zinc/28/74/62/958287462.db2.gz QWQCHXQYONFRAR-LBPRGKRZSA-N 0 3 243.297 2.606 20 0 BFADHN Cc1cccc([C@H](NCc2nnc[nH]2)C(C)C)c1 ZINC001348248834 958306710 /nfs/dbraw/zinc/30/67/10/958306710.db2.gz ROZRPMJKCSQBHR-CQSZACIVSA-N 0 3 244.342 2.600 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@]23C[C@H]2CCCC3)n1 ZINC000693528061 958718248 /nfs/dbraw/zinc/71/82/48/958718248.db2.gz RIDNWMBMVHIEAJ-DOMZBBRYSA-N 0 3 246.354 2.766 20 0 BFADHN Cc1ccnc(CN[C@@H]2CCOC23CCCC3)c1 ZINC000934384646 958883947 /nfs/dbraw/zinc/88/39/47/958883947.db2.gz DXRFLUBZXWJKRQ-CQSZACIVSA-N 0 3 246.354 2.581 20 0 BFADHN c1csc(CNC[C@]23C[C@H]2CCCC3)n1 ZINC000693585974 958888364 /nfs/dbraw/zinc/88/83/64/958888364.db2.gz MDFFKRRLAHFZDG-PWSUYJOCSA-N 0 3 222.357 2.813 20 0 BFADHN Cc1nc(CN2CCC[C@H]3CCCC[C@@H]32)c[nH]1 ZINC000724775817 959446839 /nfs/dbraw/zinc/44/68/39/959446839.db2.gz JJRUBYOFRRUCNZ-OCCSQVGLSA-N 0 3 233.359 2.873 20 0 BFADHN COc1c(F)c(C)ccc1-c1ccnc(CN)c1 ZINC001222308444 972437787 /nfs/dbraw/zinc/43/77/87/972437787.db2.gz DTBAQAFPQZMNFL-UHFFFAOYSA-N 0 3 246.285 2.663 20 0 BFADHN CN(CCC1CC1)Cc1cc(Cl)ccn1 ZINC000309022693 959559539 /nfs/dbraw/zinc/55/95/39/959559539.db2.gz YDRFYQFSVNORPC-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN C[C@H]1CC(OC[C@H]2COC(C)(C)N2)C[C@H](C)C1 ZINC001217860822 959677540 /nfs/dbraw/zinc/67/75/40/959677540.db2.gz PMDQMMDYAWGWFD-UTUOFQBUSA-N 0 3 241.375 2.552 20 0 BFADHN CCCc1ccc(O[C@H]2CCNC[C@@H]2F)cc1 ZINC001218068189 959854198 /nfs/dbraw/zinc/85/41/98/959854198.db2.gz WCBHICYYZLLEEM-KBPBESRZSA-N 0 3 237.318 2.718 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cnc2ccccc2c1 ZINC000694479679 959889575 /nfs/dbraw/zinc/88/95/75/959889575.db2.gz SLTOGKVGLQOJJK-CABCVRRESA-N 0 3 242.322 2.502 20 0 BFADHN CNCc1cc(-c2ccccc2Cl)ncn1 ZINC001222423199 972473665 /nfs/dbraw/zinc/47/36/65/972473665.db2.gz MOMBIAYYWFPMJM-UHFFFAOYSA-N 0 3 233.702 2.516 20 0 BFADHN COC(=O)[C@H](C)N(C)[C@@H]1C[C@H](C)CC(C)(C)C1 ZINC000709019247 960210899 /nfs/dbraw/zinc/21/08/99/960210899.db2.gz IAWHVSBRTIKIQR-SDDRHHMPSA-N 0 3 241.375 2.695 20 0 BFADHN COC(=O)[C@H](C)N(C)[C@H]1C[C@@H](C)CC(C)(C)C1 ZINC000709019250 960211165 /nfs/dbraw/zinc/21/11/65/960211165.db2.gz IAWHVSBRTIKIQR-WOPDTQHZSA-N 0 3 241.375 2.695 20 0 BFADHN C[C@@H]1CCCN1Cn1cc(-c2ccccc2)cn1 ZINC000173517977 960328531 /nfs/dbraw/zinc/32/85/31/960328531.db2.gz PTSKVTFIQLHSPM-CYBMUJFWSA-N 0 3 241.338 2.992 20 0 BFADHN CC(C)n1ncnc1CN[C@@H]1CCCC2(CC2)C1 ZINC000695687834 960370841 /nfs/dbraw/zinc/37/08/41/960370841.db2.gz DNIHVHDACDCLJB-GFCCVEGCSA-N 0 3 248.374 2.671 20 0 BFADHN CCn1ccnc1CNCC1CCC2(CC2)CC1 ZINC000695695124 960377700 /nfs/dbraw/zinc/37/77/00/960377700.db2.gz RYGVNPZHDKLWJH-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN c1cc(CN2CC(c3ccccc3)C2)c[nH]1 ZINC001140708496 960520980 /nfs/dbraw/zinc/52/09/80/960520980.db2.gz BMJWOQXGCRKGNG-UHFFFAOYSA-N 0 3 212.296 2.614 20 0 BFADHN Cc1ccc(CN[C@H]2COC3(CCC3)C2)c(F)c1 ZINC000686499529 960610607 /nfs/dbraw/zinc/61/06/07/960610607.db2.gz JRCUJADUBLSVRC-CYBMUJFWSA-N 0 3 249.329 2.935 20 0 BFADHN CCO[C@H]1CCCN(Cc2[nH]cc(C)c2C)C1 ZINC001140860468 960639516 /nfs/dbraw/zinc/63/95/16/960639516.db2.gz SXFJWMAEHFIKBC-ZDUSSCGKSA-N 0 3 236.359 2.632 20 0 BFADHN Cc1cc(CN(C)CCc2ccc(F)cc2)n[nH]1 ZINC001203076998 960689357 /nfs/dbraw/zinc/68/93/57/960689357.db2.gz NICBCDFWBUDUOT-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN CCCN(CCc1ccccn1)Cc1cnco1 ZINC001140932577 960714373 /nfs/dbraw/zinc/71/43/73/960714373.db2.gz SYHAHWWSZCYAKN-UHFFFAOYSA-N 0 3 245.326 2.524 20 0 BFADHN CC1CN(Cc2cccc(-c3ncccn3)c2)C1 ZINC001140975479 960768803 /nfs/dbraw/zinc/76/88/03/960768803.db2.gz KFTKSLFHXWIVTH-UHFFFAOYSA-N 0 3 239.322 2.595 20 0 BFADHN Cc1ccsc1CN[C@H]1CCCc2n[nH]cc21 ZINC000335746803 960821133 /nfs/dbraw/zinc/82/11/33/960821133.db2.gz SFQOVHLLLPYYQV-NSHDSACASA-N 0 3 247.367 2.947 20 0 BFADHN c1cn2ccc(CN3CCCCC34CC4)cc2n1 ZINC001141046857 960834864 /nfs/dbraw/zinc/83/48/64/960834864.db2.gz SHUOFLUCEBUVBQ-UHFFFAOYSA-N 0 3 241.338 2.853 20 0 BFADHN CCCCc1nc(CN2C[C@@H]3CCC[C@@H]3C2)c[nH]1 ZINC001141066342 960853290 /nfs/dbraw/zinc/85/32/90/960853290.db2.gz CPPYLRKKLFGJOK-BETUJISGSA-N 0 3 247.386 2.984 20 0 BFADHN CCCCc1nc(CN2C[C@H]3CC[C@@H]2C3)c[nH]1 ZINC001141072789 960859100 /nfs/dbraw/zinc/85/91/00/960859100.db2.gz ZCIZLTYPRLZNOD-WCQYABFASA-N 0 3 233.359 2.737 20 0 BFADHN CCCCc1nc(CN2CC3CC(C3)C2)c[nH]1 ZINC001141071131 960863842 /nfs/dbraw/zinc/86/38/42/960863842.db2.gz CPDDNWASKWPKNQ-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN CCCN(C)Cc1cccc(F)c1OC ZINC001143525474 961046947 /nfs/dbraw/zinc/04/69/47/961046947.db2.gz VPJZXIRXNKMKIJ-UHFFFAOYSA-N 0 3 211.280 2.676 20 0 BFADHN Cc1ccc(CN2CC3CC(C3)C2)c(Cl)n1 ZINC001142143942 961284234 /nfs/dbraw/zinc/28/42/34/961284234.db2.gz MRNGMSDWPAFLRG-UHFFFAOYSA-N 0 3 236.746 2.885 20 0 BFADHN C[C@@H]1CCCN1Cc1[nH]cnc1C(C)(C)C ZINC001142209441 961346088 /nfs/dbraw/zinc/34/60/88/961346088.db2.gz NVNHVRLTGBFKCX-SNVBAGLBSA-N 0 3 221.348 2.692 20 0 BFADHN N=CNc1ccc(-c2nc3cc[nH]cc-3n2)cc1 ZINC001167867755 961354518 /nfs/dbraw/zinc/35/45/18/961354518.db2.gz APYDTOZCAQOCAU-UHFFFAOYSA-N 0 3 237.266 2.644 20 0 BFADHN COc1cc(NC=N)ccc1-c1cnc(C)o1 ZINC001167871931 961384634 /nfs/dbraw/zinc/38/46/34/961384634.db2.gz YPXZBVZJSCRKKR-UHFFFAOYSA-N 0 3 231.255 2.678 20 0 BFADHN C[C@H](N[C@H]1C[C@H]2C[C@H]2C1)c1nccs1 ZINC001167901946 961645601 /nfs/dbraw/zinc/64/56/01/961645601.db2.gz IQOCPRKQZPEHOY-AXTSPUMRSA-N 0 3 208.330 2.592 20 0 BFADHN CN(C)Cc1nccnc1-c1ccc(Cl)cc1 ZINC001142962065 961855969 /nfs/dbraw/zinc/85/59/69/961855969.db2.gz DFBJEBPBKUGPLH-UHFFFAOYSA-N 0 3 247.729 2.859 20 0 BFADHN CC(C)Oc1ccccc1OC1CCN(C)CC1 ZINC001225209178 961869930 /nfs/dbraw/zinc/86/99/30/961869930.db2.gz IHTUVEIRLBOSQO-UHFFFAOYSA-N 0 3 249.354 2.947 20 0 BFADHN C[C@]1(CF)CCN(Cc2cc3cccnc3[nH]2)C1 ZINC001143216504 961949664 /nfs/dbraw/zinc/94/96/64/961949664.db2.gz FZRUNIONCPHDKK-CQSZACIVSA-N 0 3 247.317 2.744 20 0 BFADHN COc1cccc2c1CN([C@H]1C[C@H]3C[C@H]3C1)CC2 ZINC001167929967 962050288 /nfs/dbraw/zinc/05/02/88/962050288.db2.gz FMRKVPYPVBHKCL-WDNDVIMCSA-N 0 3 243.350 2.852 20 0 BFADHN COc1cccnc1CN1CCC[C@@H]2CCC[C@H]21 ZINC001206650797 962678681 /nfs/dbraw/zinc/67/86/81/962678681.db2.gz YVRIARJMEASRRG-GXTWGEPZSA-N 0 3 246.354 2.855 20 0 BFADHN Nc1cccc(F)c1CN1CCC[C@@H](CF)C1 ZINC000711506053 962721338 /nfs/dbraw/zinc/72/13/38/962721338.db2.gz QWHPUJNZCDLCQO-JTQLQIEISA-N 0 3 240.297 2.589 20 0 BFADHN C[C@H]1CCN(Cc2ccc(F)nc2)[C@@H](C)C1 ZINC001137741180 962721792 /nfs/dbraw/zinc/72/17/92/962721792.db2.gz PBZIRPFSHQXDJW-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN CCN1CCC[C@H](Oc2cc(F)ccc2F)C1 ZINC001225903329 962900418 /nfs/dbraw/zinc/90/04/18/962900418.db2.gz WOVVENAGETUJIM-NSHDSACASA-N 0 3 241.281 2.828 20 0 BFADHN FC[C@H]1CCCN1CCc1ccccc1 ZINC000293193519 962918929 /nfs/dbraw/zinc/91/89/29/962918929.db2.gz WOEHMYQRHJWONU-CYBMUJFWSA-N 0 3 207.292 2.663 20 0 BFADHN CCCN(CCC)c1cccc(N(C)C)n1 ZINC001155179292 962998781 /nfs/dbraw/zinc/99/87/81/962998781.db2.gz LHARKYHGXOWIDD-UHFFFAOYSA-N 0 3 221.348 2.774 20 0 BFADHN C[C@H](N[C@@H]1COC2(CCC2)C1)c1ccco1 ZINC000688341947 963185199 /nfs/dbraw/zinc/18/51/99/963185199.db2.gz KLVYTDOOPKWNNF-QWRGUYRKSA-N 0 3 221.300 2.642 20 0 BFADHN CC(F)(F)C(C)(C)CN[C@@H]1CCn2ccnc21 ZINC000696586364 963268527 /nfs/dbraw/zinc/26/85/27/963268527.db2.gz GDSSKPQGYYYCHP-SECBINFHSA-N 0 3 243.301 2.599 20 0 BFADHN C[C@H]1C[C@@H](CO)N(Cc2ccccc2Cl)C1 ZINC001203377947 963374953 /nfs/dbraw/zinc/37/49/53/963374953.db2.gz ZTZOPZTWHXTRLN-JQWIXIFHSA-N 0 3 239.746 2.543 20 0 BFADHN CC(=O)c1cc(C)ccc1O[C@@H](C)CN(C)C ZINC000713982839 963571266 /nfs/dbraw/zinc/57/12/66/963571266.db2.gz JUMXIHNHHRECIC-NSHDSACASA-N 0 3 235.327 2.527 20 0 BFADHN COc1ccnc(NCCC2CCC2)c1 ZINC001158049184 963797749 /nfs/dbraw/zinc/79/77/49/963797749.db2.gz XQBWTIXMUKXOTG-UHFFFAOYSA-N 0 3 206.289 2.692 20 0 BFADHN Nc1cccc(N[C@@H](C2CCC2)C(F)(F)F)n1 ZINC001158399783 963860479 /nfs/dbraw/zinc/86/04/79/963860479.db2.gz MGQPXHFRFZVIHT-JTQLQIEISA-N 0 3 245.248 2.807 20 0 BFADHN FC(F)(F)OCCNc1c[nH]cc2ccnc1-2 ZINC001168167234 963894685 /nfs/dbraw/zinc/89/46/85/963894685.db2.gz UCMNZOWPANXEIP-UHFFFAOYSA-N 0 3 245.204 2.511 20 0 BFADHN FC(F)(F)OCCNc1cncc2cc[nH]c21 ZINC001168167234 963894688 /nfs/dbraw/zinc/89/46/88/963894688.db2.gz UCMNZOWPANXEIP-UHFFFAOYSA-N 0 3 245.204 2.511 20 0 BFADHN O=c1cccc2[nH]cc(NC3=CNCCC3)cc1-2 ZINC001159313985 964054850 /nfs/dbraw/zinc/05/48/50/964054850.db2.gz LPOCZYPRBWTYKY-UHFFFAOYSA-N 0 3 241.294 2.577 20 0 BFADHN Cc1cc2cc(N[C@H]3C[C@@H](CO)C3)ccc2cn1 ZINC001168246481 964059356 /nfs/dbraw/zinc/05/93/56/964059356.db2.gz LHQINWFFVVMYJN-WGRBQBNCSA-N 0 3 242.322 2.726 20 0 BFADHN COc1ccc2c(c1)C[C@@H](N1C[C@@H](F)C[C@H]1C)C2 ZINC001168263215 964096862 /nfs/dbraw/zinc/09/68/62/964096862.db2.gz NDLFTUNCVTZVHR-SWHYSGLUSA-N 0 3 249.329 2.595 20 0 BFADHN Fc1cc(F)c(F)c(N=C2CCCNC2)c1F ZINC001159502705 964114743 /nfs/dbraw/zinc/11/47/43/964114743.db2.gz YFZULJPIVHKNEU-UHFFFAOYSA-N 0 3 246.207 2.532 20 0 BFADHN Fc1cc(F)c(NC2=CNCCC2)c(F)c1 ZINC001159502104 964115090 /nfs/dbraw/zinc/11/50/90/964115090.db2.gz MMHPLLXCEDGECV-UHFFFAOYSA-N 0 3 228.217 2.741 20 0 BFADHN CCCO[C@@H]1CCN(Cc2ccccc2OC)C1 ZINC001203432310 964624534 /nfs/dbraw/zinc/62/45/34/964624534.db2.gz ORMQAPVUTOQEHL-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CCC1(Nc2cccc(N(C)C)n2)CCC1 ZINC001161558526 964640221 /nfs/dbraw/zinc/64/02/21/964640221.db2.gz LZYSDSIUSMJALQ-UHFFFAOYSA-N 0 3 219.332 2.892 20 0 BFADHN CN(C)Cc1ccc(NCC(C)(C)C)nc1 ZINC001161634428 964654963 /nfs/dbraw/zinc/65/49/63/964654963.db2.gz BOYOEFJSPFSDKD-UHFFFAOYSA-N 0 3 221.348 2.601 20 0 BFADHN CN(C)Cc1ccc(NCCC(F)(F)F)nc1 ZINC001161822851 964769918 /nfs/dbraw/zinc/76/99/18/964769918.db2.gz CWHPNXOTLXXSRT-UHFFFAOYSA-N 0 3 247.264 2.508 20 0 BFADHN C[C@@H]1CCCC[C@H]1CNc1cncn1C ZINC001162526792 965027297 /nfs/dbraw/zinc/02/72/97/965027297.db2.gz SFELNIUYYQNFIU-MNOVXSKESA-N 0 3 207.321 2.658 20 0 BFADHN C[C@H]1CCCC[C@@H]1CNc1cccc(CN)n1 ZINC001162530943 965028549 /nfs/dbraw/zinc/02/85/49/965028549.db2.gz QNKVEZDZHJGITA-NWDGAFQWSA-N 0 3 233.359 2.779 20 0 BFADHN NCc1ccnn1-c1cc(F)c2ccccc2c1 ZINC001162597904 965068525 /nfs/dbraw/zinc/06/85/25/965068525.db2.gz NNDILGVNYVWIEJ-UHFFFAOYSA-N 0 3 241.269 2.623 20 0 BFADHN Cc1nc2c[nH]cc(NC(=N)C(C)(C)C)c-2n1 ZINC001162665369 965102714 /nfs/dbraw/zinc/10/27/14/965102714.db2.gz DKJLUEGWOCZPSC-UHFFFAOYSA-N 0 3 231.303 2.702 20 0 BFADHN C[C@@H](N[C@@H]1C=CCC1)c1ccc(F)cn1 ZINC000698207354 965107940 /nfs/dbraw/zinc/10/79/40/965107940.db2.gz WKVAIUKSTVQTNG-MWLCHTKSSA-N 0 3 206.264 2.590 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCCC[C@@H]2C2CC2)[n-]1 ZINC000696061847 965230044 /nfs/dbraw/zinc/23/00/44/965230044.db2.gz OWIOYMQKAFCNTJ-ZWKOPEQDSA-N 0 3 248.374 2.733 20 0 BFADHN CCCCCCN1CC[C@H]1CNC(=O)CCC ZINC001483625808 965295879 /nfs/dbraw/zinc/29/58/79/965295879.db2.gz NAZMVAIMPRGFPK-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1cc(C)nc(N[C@H](C)c2ccno2)c1 ZINC001163208165 965302825 /nfs/dbraw/zinc/30/28/25/965302825.db2.gz WOIYASHHTNWWLS-SNVBAGLBSA-N 0 3 217.272 2.860 20 0 BFADHN Cc1ccc(N[C@@H]2CNCc3ccsc32)cn1 ZINC001163226763 965307584 /nfs/dbraw/zinc/30/75/84/965307584.db2.gz LPBRTPDEOKAWDI-GFCCVEGCSA-N 0 3 245.351 2.708 20 0 BFADHN CCOc1ccnc(CN2CCC[C@H](C)C2)c1 ZINC001232578479 965382556 /nfs/dbraw/zinc/38/25/56/965382556.db2.gz BTHLJVXBVLXHHR-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN COc1c(C)cccc1N[C@H]1CN2CCC1CC2 ZINC001163395178 965394588 /nfs/dbraw/zinc/39/45/88/965394588.db2.gz PNLHTLNGUVGJKF-AWEZNQCLSA-N 0 3 246.354 2.510 20 0 BFADHN CCc1ccc(F)c(N[C@H]2CN3CCC2CC3)c1 ZINC001163394118 965396551 /nfs/dbraw/zinc/39/65/51/965396551.db2.gz DOPAWSPCVAEPEW-HNNXBMFYSA-N 0 3 248.345 2.894 20 0 BFADHN CCOC(=O)CC(=N)Nc1c(C)cc(C)cc1C ZINC001163446686 965404360 /nfs/dbraw/zinc/40/43/60/965404360.db2.gz KTMKVSZQCFKZSG-UHFFFAOYSA-N 0 3 248.326 2.954 20 0 BFADHN Fc1ccc2c(c1)CC[C@H](N1CC[C@H](F)C1)C2 ZINC001168430469 965480705 /nfs/dbraw/zinc/48/07/05/965480705.db2.gz ZETKDGFJSOXGHF-KBPBESRZSA-N 0 3 237.293 2.727 20 0 BFADHN Cc1c(F)ccc(O[C@H]2CN3CCC2CC3)c1C ZINC001233867137 965599630 /nfs/dbraw/zinc/59/96/30/965599630.db2.gz BYOJBFOREGYCSS-HNNXBMFYSA-N 0 3 249.329 2.916 20 0 BFADHN Cn1cncc1NC(C)(C)Cc1ccc(F)cc1 ZINC001163852808 965606094 /nfs/dbraw/zinc/60/60/94/965606094.db2.gz GXMWFMLUDJEEGA-UHFFFAOYSA-N 0 3 247.317 2.992 20 0 BFADHN C[C@H](Cc1cccc(F)c1)NC1(CF)CC1 ZINC001168455085 965762506 /nfs/dbraw/zinc/76/25/06/965762506.db2.gz UBKBTBRWDMBFPW-SNVBAGLBSA-N 0 3 225.282 2.848 20 0 BFADHN Cc1nocc1CN[C@@H](C)COc1ccccc1 ZINC000699326343 965844783 /nfs/dbraw/zinc/84/47/83/965844783.db2.gz PVTLYADIKYUGDR-NSHDSACASA-N 0 3 246.310 2.540 20 0 BFADHN CCc1ccc(CN2CC[C@@]3(C2)CCCCO3)o1 ZINC001204390170 965869759 /nfs/dbraw/zinc/86/97/59/965869759.db2.gz DJCSIQZOGMJQMH-OAHLLOKOSA-N 0 3 249.354 2.987 20 0 BFADHN CC[C@@H]1COC[C@@H]1NCc1cc2ccccc2o1 ZINC000699540061 965956920 /nfs/dbraw/zinc/95/69/20/965956920.db2.gz VQRUWDWBNGJBPG-RISCZKNCSA-N 0 3 245.322 2.947 20 0 BFADHN C=Cc1ccc(N2CCN(C(C)(C)C)CC2)nc1 ZINC001165091168 965959803 /nfs/dbraw/zinc/95/98/03/965959803.db2.gz OZPREQSDPRTWJC-UHFFFAOYSA-N 0 3 245.370 2.645 20 0 BFADHN CC(C)(O)C=CNc1ccnc(C2CC2)c1 ZINC001203216529 966559747 /nfs/dbraw/zinc/55/97/47/966559747.db2.gz KHDMMATYRWQHLV-SOFGYWHQSA-N 0 3 218.300 2.656 20 0 BFADHN CCc1cc(CN2CCC[C@H]2CC)on1 ZINC001203224901 966563732 /nfs/dbraw/zinc/56/37/32/966563732.db2.gz GNNIONRMSWXRMN-LLVKDONJSA-N 0 3 208.305 2.611 20 0 BFADHN c1coc(CN2CCC3(CCCOC3)CC2)c1 ZINC001203284090 966601395 /nfs/dbraw/zinc/60/13/95/966601395.db2.gz QSVSFWADNUEQOO-UHFFFAOYSA-N 0 3 235.327 2.672 20 0 BFADHN COCCN(Cc1ccccc1OC)C(C)C ZINC001203432667 966644287 /nfs/dbraw/zinc/64/42/87/966644287.db2.gz ZBBACVALJFIBIK-UHFFFAOYSA-N 0 3 237.343 2.552 20 0 BFADHN COc1cccc(CN2CC3CC(C3)C2)c1OC ZINC001203453288 966654767 /nfs/dbraw/zinc/65/47/67/966654767.db2.gz UHJMGUXALGXFSQ-UHFFFAOYSA-N 0 3 247.338 2.546 20 0 BFADHN COc1ccc(CN2CCC[C@H]3C[C@H]32)c(OC)c1 ZINC001203484238 966661187 /nfs/dbraw/zinc/66/11/87/966661187.db2.gz IRNLRCPOXDJQNN-SMDDNHRTSA-N 0 3 247.338 2.688 20 0 BFADHN COc1ccc(CN2CCC3(CC3)C2)c(OC)c1 ZINC001203474954 966663991 /nfs/dbraw/zinc/66/39/91/966663991.db2.gz ABXRGVKQJLIKAQ-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN Cc1ncncc1CN1CCC[C@H]2CCCC[C@H]21 ZINC001238170235 966728252 /nfs/dbraw/zinc/72/82/52/966728252.db2.gz JTZNZDODLBNRCS-UKRRQHHQSA-N 0 3 245.370 2.940 20 0 BFADHN CCOCC[C@H](C)NCc1cccnc1Cl ZINC001172412641 974677838 /nfs/dbraw/zinc/67/78/38/974677838.db2.gz ZWRHNNUIHDFKPG-JTQLQIEISA-N 0 3 242.750 2.640 20 0 BFADHN COC[C@H]1CCN1Cc1cc(C)c(OC)cc1C ZINC001203755754 966770755 /nfs/dbraw/zinc/77/07/55/966770755.db2.gz RPGZCNFVQJKBMK-CQSZACIVSA-N 0 3 249.354 2.533 20 0 BFADHN CC(=O)[C@@H]1CCCN1Cc1cc(C)ccc1C ZINC001203751181 966773729 /nfs/dbraw/zinc/77/37/29/966773729.db2.gz ARQNMIOBZZVZDQ-HNNXBMFYSA-N 0 3 231.339 2.857 20 0 BFADHN COc1cc(C)c(CN2CC(C)C2)cc1C ZINC001203753020 966774049 /nfs/dbraw/zinc/77/40/49/966774049.db2.gz WKUSSCJRICFYBK-UHFFFAOYSA-N 0 3 219.328 2.764 20 0 BFADHN CCOc1ccc(C)c(CN(C)[C@@H]2CCOC2)c1 ZINC001238708461 966777340 /nfs/dbraw/zinc/77/73/40/966777340.db2.gz OCODNXNGAOPDCB-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CCc1onc(C)c1CN1CCC(C)CC1 ZINC000929215726 966777584 /nfs/dbraw/zinc/77/75/84/966777584.db2.gz RMJNGGMEMSWHFG-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN Fc1cccc(CN2CCC[C@H]3C[C@H]32)c1 ZINC001203787772 966781721 /nfs/dbraw/zinc/78/17/21/966781721.db2.gz DGCJBLMEUTYJKM-WCQYABFASA-N 0 3 205.276 2.810 20 0 BFADHN COc1cc(CN2CCC[C@@H]3C[C@@H]32)cc(OC)c1 ZINC001203858432 966797672 /nfs/dbraw/zinc/79/76/72/966797672.db2.gz KKAZDBGYVXAIQU-DOMZBBRYSA-N 0 3 247.338 2.688 20 0 BFADHN COc1cc(CN2CC3CC(C3)C2)cc(OC)c1 ZINC001203858336 966799106 /nfs/dbraw/zinc/79/91/06/966799106.db2.gz IEJOHXDQJDPHSJ-UHFFFAOYSA-N 0 3 247.338 2.546 20 0 BFADHN O=C1CCC[C@@H]2CN(Cc3ccc(F)cc3)C[C@H]12 ZINC001203917960 966822349 /nfs/dbraw/zinc/82/23/49/966822349.db2.gz JHABCKCNFAKUMU-OCCSQVGLSA-N 0 3 247.313 2.627 20 0 BFADHN Cc1c[nH]c(=O)c(CNCc2ccccc2C)c1 ZINC001203934517 966824878 /nfs/dbraw/zinc/82/48/78/966824878.db2.gz AZXSXBUOQJYXKJ-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN CCCO[C@@H]1CCN(Cc2ccc(OC)cc2)C1 ZINC001203955558 966827190 /nfs/dbraw/zinc/82/71/90/966827190.db2.gz HYPZDDLKMHXPIP-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN c1c[nH]c(CN2CC[C@H](Oc3ccccc3)C2)c1 ZINC001204010261 966849449 /nfs/dbraw/zinc/84/94/49/966849449.db2.gz LWOIFKBZDFCZIU-HNNXBMFYSA-N 0 3 242.322 2.668 20 0 BFADHN c1c[nH]c(CN2CCC(c3cccnc3)CC2)c1 ZINC001204011061 966851071 /nfs/dbraw/zinc/85/10/71/966851071.db2.gz HFFOWWCNZRFZCQ-UHFFFAOYSA-N 0 3 241.338 2.789 20 0 BFADHN CO[C@@H](C)C1CN(Cc2ccc(C)s2)C1 ZINC001204033992 966861669 /nfs/dbraw/zinc/86/16/69/966861669.db2.gz CPIWXJSQTPKCQG-JTQLQIEISA-N 0 3 225.357 2.523 20 0 BFADHN CCOCC1CCN(Cc2cccc(C)n2)CC1 ZINC001204067644 966877090 /nfs/dbraw/zinc/87/70/90/966877090.db2.gz CVEHIAPHRCPWIF-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN CCCOC1CCN(Cc2cccc(C)n2)CC1 ZINC001204069537 966880443 /nfs/dbraw/zinc/88/04/43/966880443.db2.gz SRXGKLYIHSVBPD-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN C[C@@H]1CCN(Cc2cccnc2)CCC1(F)F ZINC001204072680 966880497 /nfs/dbraw/zinc/88/04/97/966880497.db2.gz RMCUQYKOAKKQNR-LLVKDONJSA-N 0 3 240.297 2.949 20 0 BFADHN c1cc(CN2CCCCC23CC3)ccn1 ZINC001204080972 966887158 /nfs/dbraw/zinc/88/71/58/966887158.db2.gz CEJLSMDSTUNKAG-UHFFFAOYSA-N 0 3 202.301 2.600 20 0 BFADHN C1=CCN(Cc2ccnc3ccccc23)C1 ZINC001204085294 966888299 /nfs/dbraw/zinc/88/82/99/966888299.db2.gz HBGAOAFAGHBKQN-UHFFFAOYSA-N 0 3 210.280 2.607 20 0 BFADHN CSc1ccc(CN2CC[C@H]3OCC[C@H]32)cc1 ZINC001204138148 966903633 /nfs/dbraw/zinc/90/36/33/966903633.db2.gz FFSSZVFNYXRUFH-ZIAGYGMSSA-N 0 3 249.379 2.772 20 0 BFADHN Cc1cc(C)cc(CN2CC3(CSC3)C2)c1 ZINC001204524183 967023867 /nfs/dbraw/zinc/02/38/67/967023867.db2.gz MXZAAZRHSGJUNF-UHFFFAOYSA-N 0 3 233.380 2.852 20 0 BFADHN Cc1cc(CN2CCC[C@]3(CCO3)C2)oc1C ZINC001204533286 967029551 /nfs/dbraw/zinc/02/95/51/967029551.db2.gz OAEDEMYQKNDSBN-AWEZNQCLSA-N 0 3 235.327 2.651 20 0 BFADHN CCN(CCn1ccnc1)Cc1cc(C)c(C)o1 ZINC001204540767 967039346 /nfs/dbraw/zinc/03/93/46/967039346.db2.gz ZATWGRAPKWWHNA-UHFFFAOYSA-N 0 3 247.342 2.615 20 0 BFADHN Cc1c(CN2CCC[C@@H]3CCCC[C@@H]32)cnn1C ZINC001204571943 967066205 /nfs/dbraw/zinc/06/62/05/967066205.db2.gz LSFIJOFMSVKEOJ-ZFWWWQNUSA-N 0 3 247.386 2.883 20 0 BFADHN CCCC1CCN(Cc2[nH]cnc2C)CC1 ZINC001204589442 967079868 /nfs/dbraw/zinc/07/98/68/967079868.db2.gz VBHPDKQTXUBSFM-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN CCn1ccc(CN2CCC[C@@H]2C2CCC2)n1 ZINC001204601726 967096848 /nfs/dbraw/zinc/09/68/48/967096848.db2.gz KPLVESLWGJUUMM-CQSZACIVSA-N 0 3 233.359 2.668 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1ncccc1F ZINC001204626127 967102830 /nfs/dbraw/zinc/10/28/30/967102830.db2.gz ZWDGPSNYCCGZOR-NXEZZACHSA-N 0 3 208.280 2.594 20 0 BFADHN COc1ccc(CN2CCC(C)CC2)nc1C ZINC001249889641 967134497 /nfs/dbraw/zinc/13/44/97/967134497.db2.gz QLFFMNAUKFDJTB-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN CN(C)Cc1cccc(CC(=O)C(C)(C)C)c1 ZINC001249919220 967158691 /nfs/dbraw/zinc/15/86/91/967158691.db2.gz MNFOEWKWNCMVIQ-UHFFFAOYSA-N 0 3 233.355 2.906 20 0 BFADHN CN(Cc1nc2ccccc2[nH]1)C(C)(C)C ZINC001204780269 967167102 /nfs/dbraw/zinc/16/71/02/967167102.db2.gz VCKOMBAJTDBHNB-UHFFFAOYSA-N 0 3 217.316 2.793 20 0 BFADHN CN(C)c1cc(F)cc(CN2CCC[C@H]3C[C@H]32)c1 ZINC001249957429 967199720 /nfs/dbraw/zinc/19/97/20/967199720.db2.gz AHUKKURVVSUFRT-SWLSCSKDSA-N 0 3 248.345 2.876 20 0 BFADHN CCN(Cc1cccn1C(F)F)C1CC1 ZINC001204881876 967214238 /nfs/dbraw/zinc/21/42/38/967214238.db2.gz WOXKPUAARMBFNB-UHFFFAOYSA-N 0 3 214.259 2.868 20 0 BFADHN CCOc1cc(OC)ccc1CN(CC)CC ZINC001250050087 967309263 /nfs/dbraw/zinc/30/92/63/967309263.db2.gz WUGHGDVLEOTXPK-UHFFFAOYSA-N 0 3 237.343 2.936 20 0 BFADHN Clc1cccc2c1C[C@@H](NCc1cnco1)C2 ZINC001168684804 967324874 /nfs/dbraw/zinc/32/48/74/967324874.db2.gz LVKMLWUEYIWLIS-JTQLQIEISA-N 0 3 248.713 2.585 20 0 BFADHN CC[C@H](C)C[C@H](C)Nc1ccc2c(n1)CNCC2 ZINC001168695082 967411928 /nfs/dbraw/zinc/41/19/28/967411928.db2.gz OFLDMNJYINAIQB-RYUDHWBXSA-N 0 3 247.386 2.964 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccc(C)c(F)c2)C1 ZINC001205244158 967437452 /nfs/dbraw/zinc/43/74/52/967437452.db2.gz VOHSSVAOSFREIW-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN COc1cc(CCN2CCCC2)cc(F)c1F ZINC001250164861 967460628 /nfs/dbraw/zinc/46/06/28/967460628.db2.gz WOPVOXAJBUMWNL-UHFFFAOYSA-N 0 3 241.281 2.612 20 0 BFADHN CC(=O)c1ccccc1CCN1CCCC1 ZINC001250164722 967460066 /nfs/dbraw/zinc/46/00/66/967460066.db2.gz SVKYAPJLTRNPBT-UHFFFAOYSA-N 0 3 217.312 2.528 20 0 BFADHN CCOc1cc(C)ccc1CN(C)C1CC1 ZINC001250170598 967468580 /nfs/dbraw/zinc/46/85/80/967468580.db2.gz ARNLCWDRWGZFTO-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN CCc1cc(Nc2nccn2C)ccc1OC ZINC001250175828 967482757 /nfs/dbraw/zinc/48/27/57/967482757.db2.gz WNRXFMPIYOUYFJ-UHFFFAOYSA-N 0 3 231.299 2.735 20 0 BFADHN Cc1nn(C)cc1-c1ccc2c(N)ccnc2c1 ZINC001240303131 967531879 /nfs/dbraw/zinc/53/18/79/967531879.db2.gz SWPKSEFPAXSNPE-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN Oc1c(F)cccc1CCN1CCCCC1 ZINC001250242217 967553255 /nfs/dbraw/zinc/55/32/55/967553255.db2.gz UXGUUEHALOHUQY-UHFFFAOYSA-N 0 3 223.291 2.560 20 0 BFADHN [O-]c1c(F)cccc1CC[NH+]1CCCCC1 ZINC001250242217 967553259 /nfs/dbraw/zinc/55/32/59/967553259.db2.gz UXGUUEHALOHUQY-UHFFFAOYSA-N 0 3 223.291 2.560 20 0 BFADHN COc1c(C)ccc(F)c1CN1CC2CC(C2)C1 ZINC001250258254 967571439 /nfs/dbraw/zinc/57/14/39/967571439.db2.gz UPVUQXWTZYXGPV-UHFFFAOYSA-N 0 3 249.329 2.985 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@@]3(CCCO3)C2)c1 ZINC001205616810 967729656 /nfs/dbraw/zinc/72/96/56/967729656.db2.gz KZQOEJULWSXKCS-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1cccnc1CN1CC(c2ccccc2)C1 ZINC001205807904 967833706 /nfs/dbraw/zinc/83/37/06/967833706.db2.gz XDSJLZIPMGJEML-UHFFFAOYSA-N 0 3 238.334 2.989 20 0 BFADHN CC(C)Cc1nc2ccc(N(C)C)cc2[nH]1 ZINC001250425878 967840893 /nfs/dbraw/zinc/84/08/93/967840893.db2.gz SZOQHGHBWLMYIC-UHFFFAOYSA-N 0 3 217.316 2.827 20 0 BFADHN Cc1cnccc1CN1CC[C@@]2(C1)CCCCO2 ZINC001205835096 967845257 /nfs/dbraw/zinc/84/52/57/967845257.db2.gz IJMICWOFRXYGEK-OAHLLOKOSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1cnccc1CN1CC2CCC1CC2 ZINC001205858139 967859006 /nfs/dbraw/zinc/85/90/06/967859006.db2.gz ZBHTVNSPMAHULK-UHFFFAOYSA-N 0 3 216.328 2.764 20 0 BFADHN Cc1ncc(-c2nc3ccc(F)c(C)c3[nH]2)n1C ZINC001250519033 968021256 /nfs/dbraw/zinc/02/12/56/968021256.db2.gz YYRRNUWJFYTZQH-UHFFFAOYSA-N 0 3 244.273 2.719 20 0 BFADHN Cc1nc(CNC[C@]23C[C@H]2CCC3)cs1 ZINC000693601206 968101020 /nfs/dbraw/zinc/10/10/20/968101020.db2.gz QNXYXIKNQDMOHR-PWSUYJOCSA-N 0 3 222.357 2.731 20 0 BFADHN COCCCc1nc2ccc(F)c(C)c2[nH]1 ZINC001250602189 968143845 /nfs/dbraw/zinc/14/38/45/968143845.db2.gz GMRQYUWRDMWJJG-UHFFFAOYSA-N 0 3 222.263 2.589 20 0 BFADHN CCn1ccc(CN2CC[C@@H](C3CCCC3)C2)n1 ZINC001206351315 968253189 /nfs/dbraw/zinc/25/31/89/968253189.db2.gz UECWCJBALYMTPV-CQSZACIVSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1ncc(Nc2cc(F)cc(F)c2F)n1C ZINC001206395840 968274635 /nfs/dbraw/zinc/27/46/35/968274635.db2.gz MORKIZOBSHSFLH-UHFFFAOYSA-N 0 3 241.216 2.889 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccncc1OC ZINC001206480078 968335163 /nfs/dbraw/zinc/33/51/63/968335163.db2.gz IPGINSFXFFTQPX-ZDUSSCGKSA-N 0 3 234.343 2.855 20 0 BFADHN COc1cnccc1CN1C[C@@H](C)C[C@H](C)C1 ZINC001206480230 968336296 /nfs/dbraw/zinc/33/62/96/968336296.db2.gz OQHOEUQPOPHMHQ-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN CCSC[C@H](C)NCc1ncccc1C ZINC000163874953 968382735 /nfs/dbraw/zinc/38/27/35/968382735.db2.gz KIMKLXZWNDNPGQ-NSHDSACASA-N 0 3 224.373 2.621 20 0 BFADHN CCSC[C@@H](C)NCc1ncccc1C ZINC000163874840 968383034 /nfs/dbraw/zinc/38/30/34/968383034.db2.gz KIMKLXZWNDNPGQ-LLVKDONJSA-N 0 3 224.373 2.621 20 0 BFADHN COc1ncccc1CN1CC[C@H](C)C[C@@H]1C ZINC001206585278 968403807 /nfs/dbraw/zinc/40/38/07/968403807.db2.gz WJIONDKVUSVRFO-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN CCCN(CCC)Cc1ncccc1OC ZINC001206611432 968419437 /nfs/dbraw/zinc/41/94/37/968419437.db2.gz VWDHARPUXGUPKL-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN COc1cccnc1CN1[C@@H](C)CCC[C@@H]1C ZINC001206610445 968419700 /nfs/dbraw/zinc/41/97/00/968419700.db2.gz HQVAJQFBNLTORB-RYUDHWBXSA-N 0 3 234.343 2.853 20 0 BFADHN COc1cc(C)ccc1CN1CCC[C@@H]2C[C@@H]21 ZINC001206782808 968470044 /nfs/dbraw/zinc/47/00/44/968470044.db2.gz GGWNDOHLHSZLHJ-OCCSQVGLSA-N 0 3 231.339 2.988 20 0 BFADHN CCCC1CCN(Cc2cnc(C)n2C)CC1 ZINC001206792740 968476760 /nfs/dbraw/zinc/47/67/60/968476760.db2.gz GTRAIZRFAMNSKE-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1ncc(CN2CC[C@H]3CCCC[C@@H]3C2)n1C ZINC001206795639 968480458 /nfs/dbraw/zinc/48/04/58/968480458.db2.gz IZYPQDOUOOCQER-ZIAGYGMSSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)[C@H](C)C2)no1 ZINC001206818423 968487743 /nfs/dbraw/zinc/48/77/43/968487743.db2.gz RMJAKUZPBYYQBC-WDEREUQCSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@@H]1CCC[C@H](C)C1 ZINC000822400025 968514186 /nfs/dbraw/zinc/51/41/86/968514186.db2.gz AEIYNSCHFJJCNR-JBLDHEPKSA-N 0 3 237.347 2.855 20 0 BFADHN CN(Cc1ccc(F)cc1)Cc1ccc[nH]c1=O ZINC001207000403 968534300 /nfs/dbraw/zinc/53/43/00/968534300.db2.gz LDWBQMCUYAMFKW-UHFFFAOYSA-N 0 3 246.285 2.558 20 0 BFADHN Fc1cncc(CN2CC[C@@H]3CCC[C@@H]3C2)c1 ZINC001207018377 968553490 /nfs/dbraw/zinc/55/34/90/968553490.db2.gz LNVGSCODDMBMFE-QWHCGFSZSA-N 0 3 234.318 2.843 20 0 BFADHN Fc1ccc(CN2CC[C@H]3CCC[C@@H]3C2)nc1 ZINC001207038903 968570976 /nfs/dbraw/zinc/57/09/76/968570976.db2.gz UBKHDBDYUKVYRA-VXGBXAGGSA-N 0 3 234.318 2.843 20 0 BFADHN CCCN(CCC)Cc1ncc2ccccn21 ZINC001207048360 968581596 /nfs/dbraw/zinc/58/15/96/968581596.db2.gz MPCBCGRWVHSDKP-UHFFFAOYSA-N 0 3 231.343 2.956 20 0 BFADHN CCCC[C@H](O)CNCc1cccc(F)c1F ZINC001252110120 968593149 /nfs/dbraw/zinc/59/31/49/968593149.db2.gz FTZSFYDBBFAHBY-NSHDSACASA-N 0 3 243.297 2.606 20 0 BFADHN CCc1nccc(CN2CC[C@H]3CCC[C@H]3C2)n1 ZINC001207083723 968619296 /nfs/dbraw/zinc/61/92/96/968619296.db2.gz FJAUQVKGHKSOLR-OLZOCXBDSA-N 0 3 245.370 2.661 20 0 BFADHN Cc1ncccc1CN1CCC[C@H](C(F)F)C1 ZINC001207151380 968661729 /nfs/dbraw/zinc/66/17/29/968661729.db2.gz SQFPBOAFMRSQRW-LBPRGKRZSA-N 0 3 240.297 2.867 20 0 BFADHN CCCOC1CCN(Cc2cncc(C)c2)CC1 ZINC001207157070 968667241 /nfs/dbraw/zinc/66/72/41/968667241.db2.gz VFQOLSXVECCNIW-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CC(C)n1nccc1CN(CC1CC1)C1CC1 ZINC001207176865 968684826 /nfs/dbraw/zinc/68/48/26/968684826.db2.gz WIZHNWLVIAMTLY-UHFFFAOYSA-N 0 3 233.359 2.838 20 0 BFADHN COC(CN(Cc1ccccc1)C1CCC1)OC ZINC001207255519 968697345 /nfs/dbraw/zinc/69/73/45/968697345.db2.gz LSCDSCZBCIONIE-UHFFFAOYSA-N 0 3 249.354 2.660 20 0 BFADHN Fc1cccnc1CNCCC1CC=CC1 ZINC001207508993 968810408 /nfs/dbraw/zinc/81/04/08/968810408.db2.gz GDYFMBNASWKCDX-UHFFFAOYSA-N 0 3 220.291 2.667 20 0 BFADHN CC(=O)C1CCN(CCc2ccc(F)cc2)CC1 ZINC001207515525 968815200 /nfs/dbraw/zinc/81/52/00/968815200.db2.gz SUTIAOWYDZFQKX-UHFFFAOYSA-N 0 3 249.329 2.669 20 0 BFADHN C[C@H](CN(C)C1(C)COC1)c1ccccc1 ZINC001207910382 968926892 /nfs/dbraw/zinc/92/68/92/968926892.db2.gz PFXUHNVUPJRAJK-GFCCVEGCSA-N 0 3 219.328 2.511 20 0 BFADHN C[C@H](CN1CCOC2(CC2)C1)c1ccccc1 ZINC001207913214 968929743 /nfs/dbraw/zinc/92/97/43/968929743.db2.gz HISOOQDVMCHLNS-CYBMUJFWSA-N 0 3 231.339 2.655 20 0 BFADHN CCc1cc2cc(C3=CCN(C)CC3)cnc2[nH]1 ZINC001241319296 968974908 /nfs/dbraw/zinc/97/49/08/968974908.db2.gz GTAJEUSXXNHBPT-UHFFFAOYSA-N 0 3 241.338 2.844 20 0 BFADHN CC/C=C\CCCN1CCc2c(ncn2C)C1 ZINC001208135312 969035534 /nfs/dbraw/zinc/03/55/34/969035534.db2.gz AVBSKWSVEXEOMD-PLNGDYQASA-N 0 3 233.359 2.525 20 0 BFADHN Cc1ccc([C@H](C)CCN2CC3(C2)CCOC3)o1 ZINC001208153369 969081429 /nfs/dbraw/zinc/08/14/29/969081429.db2.gz YJTMMYBKHSZXED-GFCCVEGCSA-N 0 3 249.354 2.804 20 0 BFADHN CS[C@H](C)CCN1CCc2cccnc2C1 ZINC001208156276 969086167 /nfs/dbraw/zinc/08/61/67/969086167.db2.gz VWWSXXSJSNFAKP-LLVKDONJSA-N 0 3 236.384 2.581 20 0 BFADHN CCOC1CN(C[C@@H]2CCC(C)=C[C@@H]2C)C1 ZINC001208160989 969088671 /nfs/dbraw/zinc/08/86/71/969088671.db2.gz LKLMBQXFVYPXES-STQMWFEESA-N 0 3 223.360 2.700 20 0 BFADHN CC1=C[C@@H](C)[C@H](CN2CCOCC23CC3)CC1 ZINC001208181909 969113858 /nfs/dbraw/zinc/11/38/58/969113858.db2.gz RQJNAURUKPDUCY-KGLIPLIRSA-N 0 3 235.371 2.844 20 0 BFADHN Fc1cccc(CCN2CCC[C@@]3(CCO3)C2)c1 ZINC001208198080 969128716 /nfs/dbraw/zinc/12/87/16/969128716.db2.gz DCINBEXKFFFWGY-OAHLLOKOSA-N 0 3 249.329 2.623 20 0 BFADHN CCSC[C@@H](C)N[C@H]1COc2ccccc21 ZINC000381387918 969161270 /nfs/dbraw/zinc/16/12/70/969161270.db2.gz NEZQAOMZYHFHBJ-PWSUYJOCSA-N 0 3 237.368 2.851 20 0 BFADHN Clc1ccc2c(n1)CCN(CCC1CC1)C2 ZINC001208684436 969191558 /nfs/dbraw/zinc/19/15/58/969191558.db2.gz ZAJGPLHAGXNGIA-UHFFFAOYSA-N 0 3 236.746 2.893 20 0 BFADHN Cc1cc(CN(C)CCC2CCCC2)n(C)n1 ZINC001208695610 969197519 /nfs/dbraw/zinc/19/75/19/969197519.db2.gz YBWZDHOYEXRSKK-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CCCCC[C@H](O)CN1CCCC[C@H](F)C1 ZINC001253524689 969199684 /nfs/dbraw/zinc/19/96/84/969199684.db2.gz DWDCITMTVBZAQV-STQMWFEESA-N 0 3 231.355 2.752 20 0 BFADHN CC/C=C\CCN1CCC[C@@H]1c1cnccn1 ZINC001208728909 969214802 /nfs/dbraw/zinc/21/48/02/969214802.db2.gz GVWQDLCBFADMOH-VQTKUKTRSA-N 0 3 231.343 2.970 20 0 BFADHN Cc1c(Cl)nccc1NC1=CCN(C)CC1 ZINC001208793519 969237494 /nfs/dbraw/zinc/23/74/94/969237494.db2.gz HQZNXKCVZVDCAF-UHFFFAOYSA-N 0 3 237.734 2.675 20 0 BFADHN CN1CC=C(Nc2cncc(C3CC3)c2)CC1 ZINC001208802490 969243658 /nfs/dbraw/zinc/24/36/58/969243658.db2.gz MPHNSGMEHFCILT-UHFFFAOYSA-N 0 3 229.327 2.590 20 0 BFADHN COc1cccc(C)c1NC1=CCN(C)CC1 ZINC001208838824 969251326 /nfs/dbraw/zinc/25/13/26/969251326.db2.gz TVZLOESRFBOWAH-UHFFFAOYSA-N 0 3 232.327 2.635 20 0 BFADHN CN1CC=C(Nc2ccc3ncccc3c2)CC1 ZINC001208839261 969251362 /nfs/dbraw/zinc/25/13/62/969251362.db2.gz ZGXHGDZZFREEJJ-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN Cc1nc2ccc(NC3=CCN(C)CC3)cc2o1 ZINC001208844035 969254708 /nfs/dbraw/zinc/25/47/08/969254708.db2.gz KLHFZZUZXPFOMG-UHFFFAOYSA-N 0 3 243.310 2.768 20 0 BFADHN Cc1nc2c(cccc2NC2=CCN(C)CC2)o1 ZINC001208843856 969255153 /nfs/dbraw/zinc/25/51/53/969255153.db2.gz JJIWWDIQCJGWMH-UHFFFAOYSA-N 0 3 243.310 2.768 20 0 BFADHN Cc1nc2cc(NC3=CCN(C)CC3)ccc2o1 ZINC001208843448 969258195 /nfs/dbraw/zinc/25/81/95/969258195.db2.gz DNEBUOQZZNHDFW-UHFFFAOYSA-N 0 3 243.310 2.768 20 0 BFADHN CC1(CN2CCc3ncncc3C2)CCCCC1 ZINC001208899765 969291547 /nfs/dbraw/zinc/29/15/47/969291547.db2.gz QEVIQIPHOAYFCI-UHFFFAOYSA-N 0 3 245.370 2.805 20 0 BFADHN CN1CC=C(Nc2cccc3c2OCCC3)CC1 ZINC001208909865 969294954 /nfs/dbraw/zinc/29/49/54/969294954.db2.gz HYIBBLVGSILBGQ-UHFFFAOYSA-N 0 3 244.338 2.643 20 0 BFADHN CC[C@H](C)[C@@H](C(=O)OC)N(CC)CCC1CC1 ZINC001169014176 969341359 /nfs/dbraw/zinc/34/13/59/969341359.db2.gz MLYLXMCCXYTBOK-AAEUAGOBSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@@H](C)[C@H](C(=O)OC)N(CC)CCC1CC1 ZINC001169014178 969341423 /nfs/dbraw/zinc/34/14/23/969341423.db2.gz MLYLXMCCXYTBOK-DGCLKSJQSA-N 0 3 241.375 2.696 20 0 BFADHN CC(C)[C@@H]1COCCN1CCc1cccs1 ZINC001209226795 969413476 /nfs/dbraw/zinc/41/34/76/969413476.db2.gz FEJLFODSLSNBQY-ZDUSSCGKSA-N 0 3 239.384 2.647 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCCC(F)(F)[C@@H](F)C1 ZINC001209417395 969481004 /nfs/dbraw/zinc/48/10/04/969481004.db2.gz NTPHYGDGRNQVKH-UTLUCORTSA-N 0 3 221.266 2.712 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCCC(F)(F)[C@H](F)C1 ZINC001209417393 969483319 /nfs/dbraw/zinc/48/33/19/969483319.db2.gz NTPHYGDGRNQVKH-KXUCPTDWSA-N 0 3 221.266 2.712 20 0 BFADHN NCc1cc(N[C@@H]2CCCC(F)(F)C2)ccn1 ZINC001169219260 969486674 /nfs/dbraw/zinc/48/66/74/969486674.db2.gz QYEDSFNGPYXSJU-SNVBAGLBSA-N 0 3 241.285 2.530 20 0 BFADHN C[C@H](N)c1ccn(-c2ccccc2C2CC2)n1 ZINC001169235572 969487319 /nfs/dbraw/zinc/48/73/19/969487319.db2.gz XGEFEUSKOCNMLU-JTQLQIEISA-N 0 3 227.311 2.769 20 0 BFADHN C[C@H](N)c1ccn(-c2cccc(C(F)F)c2)n1 ZINC001169231448 969508275 /nfs/dbraw/zinc/50/82/75/969508275.db2.gz JSPPRYOQQCKBCD-QMMMGPOBSA-N 0 3 237.253 2.830 20 0 BFADHN C[C@H](N)c1ccn(-c2cc(C(C)(C)C)ccn2)n1 ZINC001169234699 969520536 /nfs/dbraw/zinc/52/05/36/969520536.db2.gz KDUWOWWVZNYUKC-JTQLQIEISA-N 0 3 244.342 2.585 20 0 BFADHN Cc1cc(-n2ccc([C@@H](C)N)n2)cc2cc[nH]c21 ZINC001169235072 969521167 /nfs/dbraw/zinc/52/11/67/969521167.db2.gz PFDRJFPWRBMJHU-SNVBAGLBSA-N 0 3 240.310 2.682 20 0 BFADHN Cc1c2[nH]ccc2ccc1-n1ccc([C@H](C)N)n1 ZINC001169235614 969524605 /nfs/dbraw/zinc/52/46/05/969524605.db2.gz YMKVEQDRZDUNTL-JTQLQIEISA-N 0 3 240.310 2.682 20 0 BFADHN CC[C@@H]1CCN(Cc2cc3c(cn2)OCCC3)C1 ZINC001209505752 969529787 /nfs/dbraw/zinc/52/97/87/969529787.db2.gz HDYUHRJJOIZSHX-GFCCVEGCSA-N 0 3 246.354 2.639 20 0 BFADHN c1ncc(CN(CC2CC2)C2CC2)s1 ZINC001209551244 969537024 /nfs/dbraw/zinc/53/70/24/969537024.db2.gz SOEKQFXRWYRFOA-UHFFFAOYSA-N 0 3 208.330 2.518 20 0 BFADHN C[C@@H](N)c1nccn1C1CCC(F)(F)CC1 ZINC001254207380 969537155 /nfs/dbraw/zinc/53/71/55/969537155.db2.gz RXDLCTGPHZHEIM-MRVPVSSYSA-N 0 3 229.274 2.653 20 0 BFADHN Cn1cc[nH+]c1Nc1ccc(Cl)c([O-])c1 ZINC001212586856 969539218 /nfs/dbraw/zinc/53/92/18/969539218.db2.gz CWUZJUQOTBIVLU-UHFFFAOYSA-N 0 3 223.663 2.523 20 0 BFADHN CC(C)[C@@H]1COCCN1C1CCC(F)(F)CC1 ZINC001254225342 969548649 /nfs/dbraw/zinc/54/86/49/969548649.db2.gz SBCICGUJYGDTLP-LBPRGKRZSA-N 0 3 247.329 2.921 20 0 BFADHN Cc1ccc(CN2CC[C@]3(CC3(F)F)C2)[nH]1 ZINC001209574139 969558223 /nfs/dbraw/zinc/55/82/23/969558223.db2.gz HCQIFRUKMSMYLW-NSHDSACASA-N 0 3 226.270 2.554 20 0 BFADHN CN(Cc1nn(C)c2ccccc12)CC1CCC1 ZINC001209581952 969560172 /nfs/dbraw/zinc/56/01/72/969560172.db2.gz SKMRPJQNPHSDKK-UHFFFAOYSA-N 0 3 243.354 2.805 20 0 BFADHN c1cncc([C@@H]2CCCCN2[C@H]2CCCOC2)c1 ZINC001254383424 969598529 /nfs/dbraw/zinc/59/85/29/969598529.db2.gz FBYZLYTXVAJLQG-GJZGRUSLSA-N 0 3 246.354 2.788 20 0 BFADHN CN(C)c1ccccc1CN1CC2(CCC2)C1 ZINC001209774083 969609530 /nfs/dbraw/zinc/60/95/30/969609530.db2.gz YLGBUMNYQLJKQS-UHFFFAOYSA-N 0 3 230.355 2.739 20 0 BFADHN C[C@@H](N)c1ccn(C2CCC3(CC3)CC2)n1 ZINC001254435921 969625130 /nfs/dbraw/zinc/62/51/30/969625130.db2.gz JJNGUTSFWUMDAS-SNVBAGLBSA-N 0 3 219.332 2.798 20 0 BFADHN CN(c1ccc(Cl)c([O-])c1)c1cc(N)cc[nH+]1 ZINC001212591488 969760679 /nfs/dbraw/zinc/76/06/79/969760679.db2.gz PHPGHARMYBKPJI-UHFFFAOYSA-N 0 3 249.701 2.791 20 0 BFADHN C[C@]1(F)CCCN(C2C[C@H]3CC(=O)C[C@@H]3C2)C1 ZINC001254944609 969831972 /nfs/dbraw/zinc/83/19/72/969831972.db2.gz CBBXTIWGHDGZKU-MJVIPROJSA-N 0 3 239.334 2.568 20 0 BFADHN CN(c1cc(N)cc[nH+]1)c1cccc(Cl)c1[O-] ZINC001210220260 969835884 /nfs/dbraw/zinc/83/58/84/969835884.db2.gz PSCYWGAHCMZATC-UHFFFAOYSA-N 0 3 249.701 2.791 20 0 BFADHN FC1(F)CC2(CN(C3Cc4ccccc4C3)C2)C1 ZINC001255019348 969848000 /nfs/dbraw/zinc/84/80/00/969848000.db2.gz HIVLTEJYCUZAGP-UHFFFAOYSA-N 0 3 249.304 2.885 20 0 BFADHN Nc1cncc(Nc2ccnc(C3CC3)c2)c1 ZINC001210437098 969887296 /nfs/dbraw/zinc/88/72/96/969887296.db2.gz FYSPXEYYJGUAEI-UHFFFAOYSA-N 0 3 226.283 2.680 20 0 BFADHN [O-]c1c(F)cccc1-c1cccc2c1C[NH2+]C2 ZINC001242350201 969899248 /nfs/dbraw/zinc/89/92/48/969899248.db2.gz CGXONBLUUYTUTL-UHFFFAOYSA-N 0 3 229.254 2.802 20 0 BFADHN Cn1ccc(-c2ccc(C3=NCCC3)cc2)n1 ZINC001242459693 969954887 /nfs/dbraw/zinc/95/48/87/969954887.db2.gz ZILANXCNDZOMNF-UHFFFAOYSA-N 0 3 225.295 2.670 20 0 BFADHN CNCc1cc(-c2cc(C)cc(Cl)c2)ncn1 ZINC001242520032 969987931 /nfs/dbraw/zinc/98/79/31/969987931.db2.gz VDBZPYJHPXNLDN-UHFFFAOYSA-N 0 3 247.729 2.825 20 0 BFADHN Cc1ncc(Nc2ccc([C@@H](C)O)cc2)n1C ZINC001210645926 969990810 /nfs/dbraw/zinc/99/08/10/969990810.db2.gz KEBMXZCMIXKUIT-SECBINFHSA-N 0 3 231.299 2.525 20 0 BFADHN c1cc2c(s1)CCN([C@H]1CCSC1)C2 ZINC001255287566 970042973 /nfs/dbraw/zinc/04/29/73/970042973.db2.gz YXKMBCPFHOBMRR-JTQLQIEISA-N 0 3 225.382 2.612 20 0 BFADHN CCCC[C@@H](C)NCc1nc(C)oc1C ZINC001255308948 970076158 /nfs/dbraw/zinc/07/61/58/970076158.db2.gz MPHYXGRSZWSNOQ-SECBINFHSA-N 0 3 210.321 2.960 20 0 BFADHN CCCC[C@H](C)NCc1ccn(CCF)n1 ZINC001255317327 970089885 /nfs/dbraw/zinc/08/98/85/970089885.db2.gz LZYMEBWVNJAQED-NSHDSACASA-N 0 3 227.327 2.521 20 0 BFADHN CCCC[C@H](C)N1CCCC[C@H]1C(=O)OCC ZINC001255330418 970110915 /nfs/dbraw/zinc/11/09/15/970110915.db2.gz SZEPRPGWUZKSIJ-STQMWFEESA-N 0 3 241.375 2.983 20 0 BFADHN CC[C@@H](C)Nc1ccc(CN(C)C2CC2)cn1 ZINC001157236926 970164245 /nfs/dbraw/zinc/16/42/45/970164245.db2.gz BOIKGYXCUUVJMP-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN CC(C)CCC[C@H](C)N1CCc2c(cnn2C)C1 ZINC001170087371 970214797 /nfs/dbraw/zinc/21/47/97/970214797.db2.gz DGDHSJJFNBRAMB-ZDUSSCGKSA-N 0 3 249.402 2.993 20 0 BFADHN CCCOc1ccccc1Nc1nccn1C ZINC001211375591 970254965 /nfs/dbraw/zinc/25/49/65/970254965.db2.gz KEUPHWIFMHSRLF-UHFFFAOYSA-N 0 3 231.299 2.953 20 0 BFADHN Cn1cncc1-c1ccc(C2=NCCC2)cc1 ZINC001243066500 970258445 /nfs/dbraw/zinc/25/84/45/970258445.db2.gz HIGJCEKXCONYJB-UHFFFAOYSA-N 0 3 225.295 2.670 20 0 BFADHN COc1ccccc1C(=O)CN[C@@H]1CC[C@@H](C)C1 ZINC001170099716 970281546 /nfs/dbraw/zinc/28/15/46/970281546.db2.gz DPVZCTWKFTXIHR-VXGBXAGGSA-N 0 3 247.338 2.656 20 0 BFADHN CC(C)(C)c1cc(Nc2cnc[nH]c2=O)ccn1 ZINC001213164196 970288666 /nfs/dbraw/zinc/28/86/66/970288666.db2.gz KVBOMZMTZCSKDK-UHFFFAOYSA-N 0 3 244.298 2.618 20 0 BFADHN C[C@H]1CC[C@@H](NCc2ncc(F)cc2F)C1 ZINC001170101826 970295394 /nfs/dbraw/zinc/29/53/94/970295394.db2.gz PUYMGPVLQSRULR-WCBMZHEXSA-N 0 3 226.270 2.638 20 0 BFADHN Cc1cccc(C2(N[C@@H]3CC[C@H](C)C3)COC2)c1 ZINC001170104918 970300450 /nfs/dbraw/zinc/30/04/50/970300450.db2.gz OFNFSZZLOOEZDO-DZGCQCFKSA-N 0 3 245.366 2.999 20 0 BFADHN C[C@@H]1CC[C@H](NCC(=O)c2ccccc2F)C1 ZINC001170102282 970301047 /nfs/dbraw/zinc/30/10/47/970301047.db2.gz ADMHFNJBSUYJMV-MNOVXSKESA-N 0 3 235.302 2.787 20 0 BFADHN Cc1ccc(C2(N[C@@H]3CC[C@H](C)C3)COC2)cc1 ZINC001170105771 970304338 /nfs/dbraw/zinc/30/43/38/970304338.db2.gz TXBIZNZYSOJZOF-DZGCQCFKSA-N 0 3 245.366 2.999 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCN(C)c3ncccc3C2)C1 ZINC001170144861 970430212 /nfs/dbraw/zinc/43/02/12/970430212.db2.gz PRSNZKQCGPDTLT-TZMCWYRMSA-N 0 3 245.370 2.522 20 0 BFADHN C[C@@H]1CC[C@@H](N2C[C@@H]3C[C@H]2[C@@H](C(F)(F)F)O3)C1 ZINC001170147589 970440947 /nfs/dbraw/zinc/44/09/47/970440947.db2.gz CQUIWDGTSRXJSS-UVOCVTCTSA-N 0 3 249.276 2.579 20 0 BFADHN CC(C)O[C@H]1CCN([C@@H](C)CC(F)(F)F)C1 ZINC001255660370 970444839 /nfs/dbraw/zinc/44/48/39/970444839.db2.gz HQEBPJCKDJWJCT-UWVGGRQHSA-N 0 3 239.281 2.827 20 0 BFADHN C[C@H]1CC[C@H](N2CCc3ncccc3C2)C1 ZINC001170151223 970461222 /nfs/dbraw/zinc/46/12/22/970461222.db2.gz GBWKGCSPQUFCIN-AAEUAGOBSA-N 0 3 216.328 2.628 20 0 BFADHN FC(F)(F)[C@@H]1CCC[C@@H](N2C[C@@H]3C[C@H]2CO3)C1 ZINC001255717263 970465099 /nfs/dbraw/zinc/46/50/99/970465099.db2.gz KMPQPEDAMMMIMN-ZNSHCXBVSA-N 0 3 249.276 2.581 20 0 BFADHN Cc1ccc(C[C@@H](C)N2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC001255787320 970491064 /nfs/dbraw/zinc/49/10/64/970491064.db2.gz JEOSKGFZEDGUHZ-BMFZPTHFSA-N 0 3 245.366 2.789 20 0 BFADHN Cc1ccc(C[C@H](C)N2CCO[C@@H](C)C2)cc1 ZINC001255788230 970491820 /nfs/dbraw/zinc/49/18/20/970491820.db2.gz UGLBNGPJNMALSA-KBPBESRZSA-N 0 3 233.355 2.647 20 0 BFADHN Cc1cnc2c(c1)CN([C@@H]1CC[C@@H](C)C1)CC2 ZINC001170160420 970498272 /nfs/dbraw/zinc/49/82/72/970498272.db2.gz ZBBUNQPWUIIXIL-BXUZGUMPSA-N 0 3 230.355 2.937 20 0 BFADHN Cc1cnc2c(c1)CN([C@H]1CC[C@H](C)C1)CC2 ZINC001170160421 970499275 /nfs/dbraw/zinc/49/92/75/970499275.db2.gz ZBBUNQPWUIIXIL-FZMZJTMJSA-N 0 3 230.355 2.937 20 0 BFADHN CC(=O)c1cc(Nc2ccncc2C)cs1 ZINC001214771611 970534800 /nfs/dbraw/zinc/53/48/00/970534800.db2.gz XRXFBCJFCREGBY-UHFFFAOYSA-N 0 3 232.308 2.820 20 0 BFADHN CC(=O)[C@@H]1CCCN1[C@H](C)Cc1ccc(F)cc1 ZINC001255878373 970539093 /nfs/dbraw/zinc/53/90/93/970539093.db2.gz CRFJBNOQULMOGQ-ABAIWWIYSA-N 0 3 249.329 2.810 20 0 BFADHN Cc1nc(CN2C[C@H]3CCCC[C@@H]3C2)co1 ZINC001206041726 970548570 /nfs/dbraw/zinc/54/85/70/970548570.db2.gz WYGJHAIEWLEGEL-VXGBXAGGSA-N 0 3 220.316 2.605 20 0 BFADHN CCOc1ncc(Nc2cnc(C)n2C)cc1C ZINC001214932787 970574108 /nfs/dbraw/zinc/57/41/08/970574108.db2.gz ANUVJTQCAYRZEH-UHFFFAOYSA-N 0 3 246.314 2.574 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)N(C)c1ccc(C)cc1 ZINC000400745545 970622688 /nfs/dbraw/zinc/62/26/88/970622688.db2.gz ANDMFJVNKLPKAG-BXUZGUMPSA-N 0 3 248.370 2.721 20 0 BFADHN CCc1ccccc1NC(=O)[C@H](N)C[C@H](C)CC ZINC000400784372 970627476 /nfs/dbraw/zinc/62/74/76/970627476.db2.gz QVJOTAVNPGZCLR-DGCLKSJQSA-N 0 3 248.370 2.951 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)Nc1ccccc1F ZINC000400900361 970641185 /nfs/dbraw/zinc/64/11/85/970641185.db2.gz MWSFYQXESNPQJE-KOLCDFICSA-N 0 3 238.306 2.528 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)Nc1ccccc1F ZINC000400900364 970645215 /nfs/dbraw/zinc/64/52/15/970645215.db2.gz MWSFYQXESNPQJE-ONGXEEELSA-N 0 3 238.306 2.528 20 0 BFADHN CCn1ccc2cc(NCC3CN(C)C3)ccc21 ZINC001170257966 970647514 /nfs/dbraw/zinc/64/75/14/970647514.db2.gz DXDJBHXAQMXZTL-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC000400966772 970648549 /nfs/dbraw/zinc/64/85/49/970648549.db2.gz NIBUGABGECOBMU-RVMXOQNASA-N 0 3 240.391 2.539 20 0 BFADHN CCCCCc1nnc([C@H]2CCCN(C)C2)o1 ZINC001256093187 970655762 /nfs/dbraw/zinc/65/57/62/970655762.db2.gz ILCDSSYQMHLGFV-NSHDSACASA-N 0 3 237.347 2.612 20 0 BFADHN CC1=Cc2cccc(NCC3CN(C)C3)c2C1 ZINC001170254538 970668399 /nfs/dbraw/zinc/66/83/99/970668399.db2.gz PKMBMIZPUPABDL-UHFFFAOYSA-N 0 3 228.339 2.620 20 0 BFADHN CN1CC(CNc2cccc3c2CCCC3)C1 ZINC001170254096 970668452 /nfs/dbraw/zinc/66/84/52/970668452.db2.gz IJWWJLPWJMQRMT-UHFFFAOYSA-N 0 3 230.355 2.539 20 0 BFADHN CC[C@@H](C)Nc1ccc(N2CCN(C)CC2)cc1 ZINC000182629256 970725337 /nfs/dbraw/zinc/72/53/37/970725337.db2.gz YKJKTMDMUAXGCX-CYBMUJFWSA-N 0 3 247.386 2.649 20 0 BFADHN CCCC1CCN(Cc2conc2C)CC1 ZINC001327499977 972599203 /nfs/dbraw/zinc/59/92/03/972599203.db2.gz TYWVZAWLMYYQFN-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN CC(C)CC[C@@H]1CCCCN1Cc1nnc[nH]1 ZINC001327556046 972633464 /nfs/dbraw/zinc/63/34/64/972633464.db2.gz VZDCMMMXVNMPQW-LBPRGKRZSA-N 0 3 236.363 2.595 20 0 BFADHN Fc1ccc2[nH]nc(CN3CC4CC(C4)C3)c2c1 ZINC001144200610 972671915 /nfs/dbraw/zinc/67/19/15/972671915.db2.gz FIPBFNNGBVBIDY-UHFFFAOYSA-N 0 3 245.301 2.544 20 0 BFADHN Fc1ccc2n[nH]c(CN3CC4CC(C4)C3)c2c1 ZINC001144200610 972671917 /nfs/dbraw/zinc/67/19/17/972671917.db2.gz FIPBFNNGBVBIDY-UHFFFAOYSA-N 0 3 245.301 2.544 20 0 BFADHN CCC[C@@H](O)CN(C)Cc1cc2ccccc2[nH]1 ZINC001327985205 972843060 /nfs/dbraw/zinc/84/30/60/972843060.db2.gz OCIUIXMVCOQZER-CQSZACIVSA-N 0 3 246.354 2.761 20 0 BFADHN CCCC[C@@H](C)N1CC[C@@](N)(C(F)(F)F)C1 ZINC001246571494 972851497 /nfs/dbraw/zinc/85/14/97/972851497.db2.gz SGLPAMDPTVIVDX-ZJUUUORDSA-N 0 3 238.297 2.531 20 0 BFADHN CN(Cc1ncn2ccccc12)C1CCCC1 ZINC001144623124 972895662 /nfs/dbraw/zinc/89/56/62/972895662.db2.gz QHZKVLZAPFKLNU-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN CN(C)Cc1ccc(F)c(Br)c1 ZINC000042340383 973023162 /nfs/dbraw/zinc/02/31/62/973023162.db2.gz XQEYTDFEQIGXBK-UHFFFAOYSA-N 0 3 232.096 2.650 20 0 BFADHN CN1CCCC(C)(C)[C@H]1CNc1nccs1 ZINC000846756498 973041842 /nfs/dbraw/zinc/04/18/42/973041842.db2.gz VWRSVXPLMUNBFM-SNVBAGLBSA-N 0 3 239.388 2.675 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N1C[C@@H](N)[C@@H](F)C1 ZINC001246820630 973123159 /nfs/dbraw/zinc/12/31/59/973123159.db2.gz PASVONVDTPLVSS-QNWHQSFQSA-N 0 3 230.371 2.572 20 0 BFADHN C(=C/C1CC1)\CNCc1cc2cnccc2o1 ZINC001329661121 973873510 /nfs/dbraw/zinc/87/35/10/973873510.db2.gz YLBDBUARARKMFA-OWOJBTEDSA-N 0 3 228.295 2.884 20 0 BFADHN Cc1cccc2c1CC[C@H](NCc1ccon1)C2 ZINC001171550986 974096012 /nfs/dbraw/zinc/09/60/12/974096012.db2.gz MHROFXJBNMSKAQ-ZDUSSCGKSA-N 0 3 242.322 2.630 20 0 BFADHN Cc1cccc2c1CC[C@H](N1CC[C@H](F)C1)C2 ZINC001171564986 974103605 /nfs/dbraw/zinc/10/36/05/974103605.db2.gz ILPFHUKSNOHNHW-KBPBESRZSA-N 0 3 233.330 2.896 20 0 BFADHN Cc1ccc(CC[C@H](C)N2C=C(N)C=CC2)cc1 ZINC001171664430 974125264 /nfs/dbraw/zinc/12/52/64/974125264.db2.gz ZSPKOPXRZMZKKA-AWEZNQCLSA-N 0 3 242.366 2.988 20 0 BFADHN CC(=O)CN(C)[C@H](C)CCc1ccc(C)cc1 ZINC001171671012 974129894 /nfs/dbraw/zinc/12/98/94/974129894.db2.gz NZKHGJXGNYDXAO-CYBMUJFWSA-N 0 3 233.355 2.837 20 0 BFADHN Cc1ccc(CC[C@H](C)N2C[C@@H]3C[C@H]2CO3)cc1 ZINC001171681196 974137803 /nfs/dbraw/zinc/13/78/03/974137803.db2.gz YNKHQVQZNGUZQL-BPUTZDHNSA-N 0 3 245.366 2.789 20 0 BFADHN C(=C\C1CC1)\CNCc1ccnn1CC1CCC1 ZINC001330344331 974240862 /nfs/dbraw/zinc/24/08/62/974240862.db2.gz WFTHMKJIEAPFHG-DJWKRKHSSA-N 0 3 245.370 2.739 20 0 BFADHN C[C@@H]1CN(Cc2c[nH]nc2C(C)(C)C)[C@H]1C ZINC001330422889 974257280 /nfs/dbraw/zinc/25/72/80/974257280.db2.gz GNTFXPCXYVGHQE-ZJUUUORDSA-N 0 3 221.348 2.547 20 0 BFADHN CCN1CCC[C@@H](Oc2ccc(F)cc2F)C1 ZINC001225312356 974386536 /nfs/dbraw/zinc/38/65/36/974386536.db2.gz PPNAPSHCBSRMMS-LLVKDONJSA-N 0 3 241.281 2.828 20 0 BFADHN CC[C@H](N[C@H]1COC(C)(C)C1)c1ncccc1C ZINC001172270685 974485352 /nfs/dbraw/zinc/48/53/52/974485352.db2.gz FZSYBSGRKNUZLH-OLZOCXBDSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@@H](N[C@@H]1COC(C)(C)C1)c1ncccc1C ZINC001172270686 974486265 /nfs/dbraw/zinc/48/62/65/974486265.db2.gz FZSYBSGRKNUZLH-QWHCGFSZSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)NCc1cc(C)no1 ZINC001172321376 974530691 /nfs/dbraw/zinc/53/06/91/974530691.db2.gz JZCPRZLXHDRDHS-PWSUYJOCSA-N 0 3 240.347 2.666 20 0 BFADHN CC[C@H](C)OCC[C@H](C)NCc1cc(C)no1 ZINC001172321375 974531536 /nfs/dbraw/zinc/53/15/36/974531536.db2.gz JZCPRZLXHDRDHS-JQWIXIFHSA-N 0 3 240.347 2.666 20 0 BFADHN C[C@@H]1CC[C@H](N2Cc3cnn(C)c3C2)C[C@@H]1C ZINC001172372091 974568010 /nfs/dbraw/zinc/56/80/10/974568010.db2.gz CDYNODNBMMPTND-MDZLAQPJSA-N 0 3 233.359 2.560 20 0 BFADHN C[C@@H]1CC[C@@H](N(C)Cc2cnccn2)C[C@H]1C ZINC001172373789 974568069 /nfs/dbraw/zinc/56/80/69/974568069.db2.gz NLOXQWBTXKUFBA-YRGRVCCFSA-N 0 3 233.359 2.733 20 0 BFADHN CC(C)OC1CN([C@@H]2CC[C@H](C)[C@@H](C)C2)C1 ZINC001172376683 974582514 /nfs/dbraw/zinc/58/25/14/974582514.db2.gz FNAVGNYHPXIGJV-RWMBFGLXSA-N 0 3 225.376 2.920 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1CCOC(C)(C)C1 ZINC001172336551 974600562 /nfs/dbraw/zinc/60/05/62/974600562.db2.gz IHDPLLGYAPLXRF-STQMWFEESA-N 0 3 243.391 2.691 20 0 BFADHN Cc1cc2c(ccc(O[C@@H]3CCN(C)C3)c2F)[nH]1 ZINC001225641756 974612168 /nfs/dbraw/zinc/61/21/68/974612168.db2.gz JAYRTRYTNXFCCF-SNVBAGLBSA-N 0 3 248.301 2.698 20 0 BFADHN C[C@H](N)c1ccn([C@@H]2CC[C@H](C)[C@H](C)C2)n1 ZINC001172363558 974636158 /nfs/dbraw/zinc/63/61/58/974636158.db2.gz LBTQIIDRGHXKTB-WHOHXGKFSA-N 0 3 221.348 2.900 20 0 BFADHN C[C@@H]1CC[C@@H](NCC(=O)c2cccnc2)C[C@@H]1C ZINC001172363390 974636972 /nfs/dbraw/zinc/63/69/72/974636972.db2.gz HMHFNORFVQCHFI-MBNYWOFBSA-N 0 3 246.354 2.679 20 0 BFADHN C[C@@H]1CC[C@H](N2CCC(=O)[C@H](F)CC2)C[C@H]1C ZINC001172365220 974642671 /nfs/dbraw/zinc/64/26/71/974642671.db2.gz JUCFCCKOQMKIHE-FVCCEPFGSA-N 0 3 241.350 2.814 20 0 BFADHN CCN1CC[C@H](Oc2nc3ccccc3s2)C1 ZINC001225693074 974647267 /nfs/dbraw/zinc/64/72/67/974647267.db2.gz MOCLQJKRRCFJGP-JTQLQIEISA-N 0 3 248.351 2.769 20 0 BFADHN C[C@@H]1CC[C@H](Nc2ccc(CN)nc2)C[C@H]1C ZINC001172368336 974648731 /nfs/dbraw/zinc/64/87/31/974648731.db2.gz OAEKBMFQPZGVPJ-UTUOFQBUSA-N 0 3 233.359 2.777 20 0 BFADHN CC[C@@H](CC(C)C)n1c(C)nc2c1CNCC2 ZINC001172404288 974657795 /nfs/dbraw/zinc/65/77/95/974657795.db2.gz UZJBJZFLXUDUKO-LBPRGKRZSA-N 0 3 235.375 2.834 20 0 BFADHN CC[C@@H](CC(C)C)N1CCc2ncncc2C1 ZINC001172408081 974667816 /nfs/dbraw/zinc/66/78/16/974667816.db2.gz REAZKEXQLCZRCQ-ZDUSSCGKSA-N 0 3 233.359 2.659 20 0 BFADHN CCOCC[C@@H](C)NCc1noc2ccccc12 ZINC001172418224 974690302 /nfs/dbraw/zinc/69/03/02/974690302.db2.gz CFQUWLZRLUVDMN-LLVKDONJSA-N 0 3 248.326 2.733 20 0 BFADHN Cc1cc(OC2CCN(C)CC2)cnc1Cl ZINC001225823350 974696881 /nfs/dbraw/zinc/69/68/81/974696881.db2.gz YMFWFXCRLMNJFB-UHFFFAOYSA-N 0 3 240.734 2.516 20 0 BFADHN CCn1cncc1CN1CC[C@@H]2CCCC[C@@H]2C1 ZINC001331450193 974725390 /nfs/dbraw/zinc/72/53/90/974725390.db2.gz CAWZSXIVLRMIIZ-UONOGXRCSA-N 0 3 247.386 2.915 20 0 BFADHN CC[C@@H](CC(C)C)NCc1nccc(C)n1 ZINC001172382855 974726333 /nfs/dbraw/zinc/72/63/33/974726333.db2.gz HTLFVSZUMOBALX-LBPRGKRZSA-N 0 3 221.348 2.699 20 0 BFADHN CN1CCC(Oc2c(F)cc(F)cc2F)CC1 ZINC001225934211 974736042 /nfs/dbraw/zinc/73/60/42/974736042.db2.gz KQQOTTZXAZASQY-UHFFFAOYSA-N 0 3 245.244 2.577 20 0 BFADHN CCCCCC[C@@H](CCC)N1CC(O)C1 ZINC001172389743 974737526 /nfs/dbraw/zinc/73/75/26/974737526.db2.gz ZGNHUPFQWOEWNA-GFCCVEGCSA-N 0 3 213.365 2.802 20 0 BFADHN CC(C)OCC[C@@H](C)N1CCc2ncccc2C1 ZINC001172449359 974812221 /nfs/dbraw/zinc/81/22/21/974812221.db2.gz UDOQJYGKOBPWFM-CYBMUJFWSA-N 0 3 248.370 2.643 20 0 BFADHN CC(C)OCC[C@H](C)N(C)Cc1cncs1 ZINC001172455067 974818148 /nfs/dbraw/zinc/81/81/48/974818148.db2.gz PRPBTSUFNWVTQL-NSHDSACASA-N 0 3 242.388 2.779 20 0 BFADHN F[C@H]1COC[C@@H]1N[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001172553738 974867368 /nfs/dbraw/zinc/86/73/68/974867368.db2.gz BEJKOVLRJJMLQS-KBXIAJHMSA-N 0 3 249.329 2.649 20 0 BFADHN CCN1CCC[C@@H](Oc2noc3ccccc32)C1 ZINC001226404024 974885096 /nfs/dbraw/zinc/88/50/96/974885096.db2.gz ZUQLZYMYCLXJCW-LLVKDONJSA-N 0 3 246.310 2.691 20 0 BFADHN Clc1ccc2c(c1)C[C@H](N1CC=CC1)C2 ZINC001172720157 974905605 /nfs/dbraw/zinc/90/56/05/974905605.db2.gz WMBYNCSKOYZQQP-CYBMUJFWSA-N 0 3 219.715 2.679 20 0 BFADHN Oc1ccc(CN2CCC[C@H](C(F)F)C2)cc1 ZINC001204098023 974908139 /nfs/dbraw/zinc/90/81/39/974908139.db2.gz RZVSGXKTVAARGB-NSHDSACASA-N 0 3 241.281 2.869 20 0 BFADHN C1[C@@H]2CN(C3CCC4(CCCC4)CC3)C[C@H]1O2 ZINC001172729629 974911035 /nfs/dbraw/zinc/91/10/35/974911035.db2.gz JDJVHNHABWXZLF-OKILXGFUSA-N 0 3 235.371 2.963 20 0 BFADHN Clc1ccc2c(c1)C[C@H](NCc1cnco1)C2 ZINC001172708548 974926983 /nfs/dbraw/zinc/92/69/83/974926983.db2.gz ALMXFPGNFXUNMT-GFCCVEGCSA-N 0 3 248.713 2.585 20 0 BFADHN Nc1cc(O[C@H]2CCC=CCCC2)ccn1 ZINC001226656536 975002516 /nfs/dbraw/zinc/00/25/16/975002516.db2.gz LDABUAAMZHOFIW-NSHDSACASA-N 0 3 218.300 2.932 20 0 BFADHN C[C@H](Oc1ccnc(N)c1)c1ccccc1F ZINC001226657852 975004926 /nfs/dbraw/zinc/00/49/26/975004926.db2.gz SJOZOSJLPINYJM-VIFPVBQESA-N 0 3 232.258 2.943 20 0 BFADHN C[C@@H](Oc1ccnc(N)c1)c1ccccc1F ZINC001226657851 975005554 /nfs/dbraw/zinc/00/55/54/975005554.db2.gz SJOZOSJLPINYJM-SECBINFHSA-N 0 3 232.258 2.943 20 0 BFADHN Nc1cc(O[C@@H]2CC3CCC2CC3)ccn1 ZINC001226658142 975006238 /nfs/dbraw/zinc/00/62/38/975006238.db2.gz YCZJRPWEANZQNB-RTYFJBAXSA-N 0 3 218.300 2.621 20 0 BFADHN CN1CCC[C@H](Oc2cnc3ccccc3c2)C1 ZINC001226661484 975008452 /nfs/dbraw/zinc/00/84/52/975008452.db2.gz OGSCJYCOLOXENN-ZDUSSCGKSA-N 0 3 242.322 2.708 20 0 BFADHN FC12C[C@@H]3C[C@H](C1)CC(NCc1ncc[nH]1)(C3)C2 ZINC001332316231 975051557 /nfs/dbraw/zinc/05/15/57/975051557.db2.gz FSZGNBDLYLXWNF-WHCAJBEMSA-N 0 3 249.333 2.560 20 0 BFADHN C[C@@H](CN(C)CN1C(=O)CC1(C)C)C(C)(C)C ZINC001332332547 975060196 /nfs/dbraw/zinc/06/01/96/975060196.db2.gz MRKYRQIZPSBVQF-NSHDSACASA-N 0 3 240.391 2.569 20 0 BFADHN CCc1ccc(C[C@H](C)n2cncc2CN)cc1 ZINC001173237214 975160685 /nfs/dbraw/zinc/16/06/85/975160685.db2.gz GOKXBKPEWBONSZ-LBPRGKRZSA-N 0 3 243.354 2.708 20 0 BFADHN CCc1ccc(C[C@@H](C)N(CC)C2COC2)cc1 ZINC001173242276 975163811 /nfs/dbraw/zinc/16/38/11/975163811.db2.gz DXNMQUVQRXRIJT-CYBMUJFWSA-N 0 3 247.382 2.901 20 0 BFADHN CCc1ccc(C[C@@H](C)NCc2ccno2)cc1 ZINC001173240184 975165288 /nfs/dbraw/zinc/16/52/88/975165288.db2.gz WPYIFPNCUHSZNF-GFCCVEGCSA-N 0 3 244.338 2.958 20 0 BFADHN CC[C@@H]1CC[C@H](N2CCc3c(cc[nH]c3=O)C2)C1 ZINC001173294722 975171644 /nfs/dbraw/zinc/17/16/44/975171644.db2.gz OSLWURKXKXKQHJ-YPMHNXCESA-N 0 3 246.354 2.724 20 0 BFADHN CC[C@H]1CC[C@@H](N2CCc3c(cc[nH]c3=O)C2)C1 ZINC001173294720 975175213 /nfs/dbraw/zinc/17/52/13/975175213.db2.gz OSLWURKXKXKQHJ-WCQYABFASA-N 0 3 246.354 2.724 20 0 BFADHN CCC(=O)c1ccc(O[C@H]2CCN(CC)C2)cc1 ZINC001226954532 975187097 /nfs/dbraw/zinc/18/70/97/975187097.db2.gz MCPMZUQYRJRALD-AWEZNQCLSA-N 0 3 247.338 2.752 20 0 BFADHN CCSC[C@H](C)N1C[C@@H](F)C[C@H]1C ZINC001173301273 975195381 /nfs/dbraw/zinc/19/53/81/975195381.db2.gz QEKQMBCQRGVNAK-UTLUCORTSA-N 0 3 205.342 2.560 20 0 BFADHN CCSC[C@H](C)N1CC[C@@H](C)[C@H](F)C1 ZINC001173301255 975195880 /nfs/dbraw/zinc/19/58/80/975195880.db2.gz PJBFFTGPFFQBKV-OUAUKWLOSA-N 0 3 219.369 2.808 20 0 BFADHN CCSC[C@@H](C)N1CC2(C1)C[C@H](F)CS2 ZINC001173306018 975210196 /nfs/dbraw/zinc/21/01/96/975210196.db2.gz CVKHSPFJGNPCLK-ZJUUUORDSA-N 0 3 249.420 2.657 20 0 BFADHN Cc1cc(O[C@@H]2CCN(C)C2)nc2ccccc12 ZINC001227048713 975236086 /nfs/dbraw/zinc/23/60/86/975236086.db2.gz KXHGRSPMMGJKKT-GFCCVEGCSA-N 0 3 242.322 2.626 20 0 BFADHN Cc1nocc1Nc1ccnc(C2CC2)c1 ZINC001212720448 975242603 /nfs/dbraw/zinc/24/26/03/975242603.db2.gz LBOUQFUYCYSNAU-UHFFFAOYSA-N 0 3 215.256 2.999 20 0 BFADHN CCSC[C@@H](C)NCc1cnccc1Cl ZINC001173284162 975243135 /nfs/dbraw/zinc/24/31/35/975243135.db2.gz DBMCFESTALDLPH-SECBINFHSA-N 0 3 244.791 2.966 20 0 BFADHN CC[C@H]1CC[C@H](N(C)[C@H](C(=O)OC)C(C)C)C1 ZINC001173292045 975267986 /nfs/dbraw/zinc/26/79/86/975267986.db2.gz DETPISKHASNIER-AVGNSLFASA-N 0 3 241.375 2.695 20 0 BFADHN CCN1CCC[C@@H](Oc2c(C)cccc2OC)C1 ZINC001227164550 975299497 /nfs/dbraw/zinc/29/94/97/975299497.db2.gz JRTIYECMWGNNEE-CYBMUJFWSA-N 0 3 249.354 2.867 20 0 BFADHN CCc1ccc(O[C@H]2CCCN(C)C2)c(OC)c1 ZINC001227403690 975380386 /nfs/dbraw/zinc/38/03/86/975380386.db2.gz DYMDGXUMLSHYLH-ZDUSSCGKSA-N 0 3 249.354 2.731 20 0 BFADHN Fc1cc2c(cc1F)CC(N1CCC3(CC3)C1)C2 ZINC001173479389 975386352 /nfs/dbraw/zinc/38/63/52/975386352.db2.gz DUWUYKXZURBLDM-UHFFFAOYSA-N 0 3 249.304 2.918 20 0 BFADHN Fc1ccccc1CN1CC[C@]2(C1)CCCCO2 ZINC001203346649 975393963 /nfs/dbraw/zinc/39/39/63/975393963.db2.gz SLYHSBNTBZYXCQ-HNNXBMFYSA-N 0 3 249.329 2.971 20 0 BFADHN CN1CC[C@H](Oc2ccc(O)cc2C(C)(C)C)C1 ZINC001227440992 975408553 /nfs/dbraw/zinc/40/85/53/975408553.db2.gz UCVUWTFCGYVSJA-LBPRGKRZSA-N 0 3 249.354 2.773 20 0 BFADHN Cc1ncc(Nc2ccc(F)cc2)n1C ZINC001173799335 975652289 /nfs/dbraw/zinc/65/22/89/975652289.db2.gz OZOVVJPRTXWFOC-UHFFFAOYSA-N 0 3 205.236 2.611 20 0 BFADHN COc1ccc(Nc2ccncc2C)cc1 ZINC001173804373 975689488 /nfs/dbraw/zinc/68/94/88/975689488.db2.gz FVNKQICHYILDAZ-UHFFFAOYSA-N 0 3 214.268 2.564 20 0 BFADHN FC1(F)CC(NCc2cccn2C2CC2)C1 ZINC001333556712 975850711 /nfs/dbraw/zinc/85/07/11/975850711.db2.gz ILIVHROHVHAUAE-UHFFFAOYSA-N 0 3 226.270 2.710 20 0 BFADHN Cc1cnccc1Nc1cccc(F)c1 ZINC001173832585 975854796 /nfs/dbraw/zinc/85/47/96/975854796.db2.gz XRFAIYSGWMVXOM-UHFFFAOYSA-N 0 3 202.232 2.695 20 0 BFADHN CCc1cc(Nc2ccccc2OC)ccn1 ZINC001173838837 975870740 /nfs/dbraw/zinc/87/07/40/975870740.db2.gz SWUFPKFIMPUYDM-UHFFFAOYSA-N 0 3 228.295 2.818 20 0 BFADHN Cc1cc(Nc2ccccc2CN(C)C)on1 ZINC001173927465 975888522 /nfs/dbraw/zinc/88/85/22/975888522.db2.gz BPTOJUHTXPWROR-UHFFFAOYSA-N 0 3 231.299 2.788 20 0 BFADHN COc1ccc(OC)c(Nc2ccncc2C)c1 ZINC001173915589 975897456 /nfs/dbraw/zinc/89/74/56/975897456.db2.gz CCGCNASSEDPUMF-UHFFFAOYSA-N 0 3 244.294 2.573 20 0 BFADHN Cc1nc2c(Nc3ccncc3)cccn2c1C ZINC001173882976 975949915 /nfs/dbraw/zinc/94/99/15/975949915.db2.gz ZELXYSCILUTXHI-UHFFFAOYSA-N 0 3 238.294 2.512 20 0 BFADHN Nc1cc(F)cc2c1ccn2-c1ccncc1 ZINC001173884894 975965298 /nfs/dbraw/zinc/96/52/98/975965298.db2.gz WYMJORSLTSPTHG-UHFFFAOYSA-N 0 3 227.242 2.747 20 0 BFADHN Nc1cccc2ccn(-c3ccncc3)c21 ZINC001173884466 975968173 /nfs/dbraw/zinc/96/81/73/975968173.db2.gz KJXJXKFRTGWTSU-UHFFFAOYSA-N 0 3 209.252 2.608 20 0 BFADHN CCCOc1ccccc1O[C@H]1CCCN(C)C1 ZINC001228180672 975975964 /nfs/dbraw/zinc/97/59/64/975975964.db2.gz YHHHKFBJPJSRGX-ZDUSSCGKSA-N 0 3 249.354 2.948 20 0 BFADHN COc1ccc(Nc2ccncc2C)c(OC)c1 ZINC001173889418 975982355 /nfs/dbraw/zinc/98/23/55/975982355.db2.gz ZRUVGUIVVWAYNU-UHFFFAOYSA-N 0 3 244.294 2.573 20 0 BFADHN Cc1cnn(C)c1Nc1ccccc1CN(C)C ZINC001173930216 976037913 /nfs/dbraw/zinc/03/79/13/976037913.db2.gz QUHGFUWIWBDMIR-UHFFFAOYSA-N 0 3 244.342 2.534 20 0 BFADHN COc1cc(CN(C)CCCF)ccc1F ZINC001333735976 976045371 /nfs/dbraw/zinc/04/53/71/976045371.db2.gz OTWVACSZYDHMCP-UHFFFAOYSA-N 0 3 229.270 2.626 20 0 BFADHN COc1ccc(C)cc1Nc1cnc(C)n1C ZINC001173985957 976126614 /nfs/dbraw/zinc/12/66/14/976126614.db2.gz PWJUVEKOXZKEJU-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN Cc1cccnc1CNC[C@@H]1C(C)(C)C1(F)F ZINC001334030809 976229617 /nfs/dbraw/zinc/22/96/17/976229617.db2.gz LSMQFDHJIWNHHO-LLVKDONJSA-N 0 3 240.297 2.771 20 0 BFADHN COc1ncc(Nc2ccnc(C3CC3)c2)cn1 ZINC001174035022 976241838 /nfs/dbraw/zinc/24/18/38/976241838.db2.gz PGLMBIQFKQBEHO-UHFFFAOYSA-N 0 3 242.282 2.501 20 0 BFADHN c1cn2cc(Nc3cnc4ccccn34)ccc2n1 ZINC001174277543 976504554 /nfs/dbraw/zinc/50/45/54/976504554.db2.gz ZHOTUHOCDSNKOT-UHFFFAOYSA-N 0 3 249.277 2.726 20 0 BFADHN CN1CCC(Oc2cc3cc[nH]c3cc2F)CC1 ZINC001228885885 976550093 /nfs/dbraw/zinc/55/00/93/976550093.db2.gz SISIRUZUJNGEOW-UHFFFAOYSA-N 0 3 248.301 2.780 20 0 BFADHN CCCN(Cc1cn(C)nc1Cl)CC(C)C ZINC001334670743 976585724 /nfs/dbraw/zinc/58/57/24/976585724.db2.gz XASZZRKDRAIZCN-UHFFFAOYSA-N 0 3 243.782 2.942 20 0 BFADHN CN1CCc2cc(OC3CC=CC3)ccc2C1 ZINC001228937337 976588587 /nfs/dbraw/zinc/58/85/87/976588587.db2.gz ZNLLZLUHGKOHCJ-UHFFFAOYSA-N 0 3 229.323 2.772 20 0 BFADHN CC(=O)c1c(C)cccc1O[C@H](C)CN(C)C ZINC001228993022 976622820 /nfs/dbraw/zinc/62/28/20/976622820.db2.gz LWZZTXSRZSFLMS-LLVKDONJSA-N 0 3 235.327 2.527 20 0 BFADHN CCN1CCC[C@H](Oc2ncccc2C2CC2)C1 ZINC001229014665 976654069 /nfs/dbraw/zinc/65/40/69/976654069.db2.gz LDVQTUBHKYXYSU-ZDUSSCGKSA-N 0 3 246.354 2.822 20 0 BFADHN Cc1cc(Nc2nccn2C)c(C)s1 ZINC001174421118 976672593 /nfs/dbraw/zinc/67/25/93/976672593.db2.gz MPQBQMPYSLAEAD-UHFFFAOYSA-N 0 3 207.302 2.842 20 0 BFADHN Cn1ccnc1Nc1cccc(N2CCCC2)c1 ZINC001174485742 976712220 /nfs/dbraw/zinc/71/22/20/976712220.db2.gz SCAGJJDFMJEWDE-UHFFFAOYSA-N 0 3 242.326 2.764 20 0 BFADHN COc1cnc(Cl)c(Nc2ccncc2C)c1 ZINC001174535890 976812141 /nfs/dbraw/zinc/81/21/41/976812141.db2.gz BKXFNGIXXSXAAF-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN Cc1cnccc1Nc1cnc2c(c1)CCCC2 ZINC001174536002 976813635 /nfs/dbraw/zinc/81/36/35/976813635.db2.gz FSJVCCNOIJKQBW-UHFFFAOYSA-N 0 3 239.322 2.829 20 0 BFADHN CCCc1ccnc(Nc2ccncc2C)c1 ZINC001174537227 976820066 /nfs/dbraw/zinc/82/00/66/976820066.db2.gz CQRZPZNBEVEDPC-UHFFFAOYSA-N 0 3 227.311 2.903 20 0 BFADHN Cc1cnccc1Nc1ccccc1N(C)C ZINC001174537275 976820319 /nfs/dbraw/zinc/82/03/19/976820319.db2.gz AMQAKNCDJSAHFY-UHFFFAOYSA-N 0 3 227.311 2.622 20 0 BFADHN Cc1cnccc1Nc1cc(C)c(O)cc1C ZINC001174537713 976823262 /nfs/dbraw/zinc/82/32/62/976823262.db2.gz NVRGINOJCDNFHR-UHFFFAOYSA-N 0 3 228.295 2.878 20 0 BFADHN COc1cc(Nc2ccncc2C)cc(OC)c1 ZINC001174537669 976823287 /nfs/dbraw/zinc/82/32/87/976823287.db2.gz LYBMUOMJZXQZCT-UHFFFAOYSA-N 0 3 244.294 2.573 20 0 BFADHN Cc1cnccc1Nc1ccc(Cl)c(CO)c1 ZINC001174538476 976824337 /nfs/dbraw/zinc/82/43/37/976824337.db2.gz GKVCYENRTRHGDR-UHFFFAOYSA-N 0 3 248.713 2.701 20 0 BFADHN C(=C\C1CC1)\CNCc1ccnn1C1CCC1 ZINC001335019727 976827751 /nfs/dbraw/zinc/82/77/51/976827751.db2.gz ZGZCOPJEHMCCMG-IHWYPQMZSA-N 0 3 231.343 2.664 20 0 BFADHN CCOc1cccc(Nc2ccncc2C)c1 ZINC001174539477 976828120 /nfs/dbraw/zinc/82/81/20/976828120.db2.gz HLHUHFBXTXGUMX-UHFFFAOYSA-N 0 3 228.295 2.954 20 0 BFADHN Cc1cnccc1Nc1cc(CO)ccc1Cl ZINC001174539178 976828214 /nfs/dbraw/zinc/82/82/14/976828214.db2.gz WGITYFMBWMJQRM-UHFFFAOYSA-N 0 3 248.713 2.701 20 0 BFADHN Cc1c[nH+]ccc1Nc1cccc([O-])c1Cl ZINC001174539786 976829028 /nfs/dbraw/zinc/82/90/28/976829028.db2.gz ROISTTSJAOUQMC-UHFFFAOYSA-N 0 3 234.686 2.915 20 0 BFADHN Cc1cc(C)c(N)c(Nc2ccncc2C)c1 ZINC001174539141 976829983 /nfs/dbraw/zinc/82/99/83/976829983.db2.gz FSWOUKVDHIHGOJ-UHFFFAOYSA-N 0 3 227.311 2.755 20 0 BFADHN Cc1c2ccc(N)cc2nn1-c1ccncc1C ZINC001174539991 976831387 /nfs/dbraw/zinc/83/13/87/976831387.db2.gz VSCDHUCPLAUHHW-UHFFFAOYSA-N 0 3 238.294 2.620 20 0 BFADHN C[C@@H]1CCN([C@H]2CCc3c2cccc3F)CCO1 ZINC001335119088 976855663 /nfs/dbraw/zinc/85/56/63/976855663.db2.gz XCOZRWSLDPVXQT-ABAIWWIYSA-N 0 3 249.329 2.924 20 0 BFADHN Cc1cc(Nc2cn(CC3CCC3)cn2)ccn1 ZINC001174654620 976942556 /nfs/dbraw/zinc/94/25/56/976942556.db2.gz HFEDGYUOIKVOIY-UHFFFAOYSA-N 0 3 242.326 2.552 20 0 BFADHN Cc1cc(N(c2ccc(N)cn2)C2CC2)ccn1 ZINC001174654536 976944908 /nfs/dbraw/zinc/94/49/08/976944908.db2.gz FHGWANATTIQJPF-UHFFFAOYSA-N 0 3 240.310 2.668 20 0 BFADHN CCOC[C@H](C)Oc1ccccc1CN(C)C ZINC001229699507 976948133 /nfs/dbraw/zinc/94/81/33/976948133.db2.gz OIRLYAWOOIYULL-LBPRGKRZSA-N 0 3 237.343 2.552 20 0 BFADHN CC(C)OCCOc1ccccc1CN(C)C ZINC001229699981 976948312 /nfs/dbraw/zinc/94/83/12/976948312.db2.gz UCMOBJUCWLBEJR-UHFFFAOYSA-N 0 3 237.343 2.552 20 0 BFADHN C[C@H]1OCC[C@H]1COc1ccccc1CN(C)C ZINC001229699169 976950718 /nfs/dbraw/zinc/95/07/18/976950718.db2.gz JXIVPXDIDYLCCN-OCCSQVGLSA-N 0 3 249.354 2.552 20 0 BFADHN Cl/C=C\CNCc1cccn1C1CC1 ZINC001335584726 977160434 /nfs/dbraw/zinc/16/04/34/977160434.db2.gz YJGXWFZFFXVKGY-KXFIGUGUSA-N 0 3 210.708 2.665 20 0 BFADHN CCN1CC[C@H](Oc2cc(F)c(F)cc2C)C1 ZINC001230443316 977207775 /nfs/dbraw/zinc/20/77/75/977207775.db2.gz DJQMTISZAGHGJG-JTQLQIEISA-N 0 3 241.281 2.746 20 0 BFADHN Cc1cc(F)c(F)cc1O[C@@H]1CCCN(C)C1 ZINC001230447481 977212481 /nfs/dbraw/zinc/21/24/81/977212481.db2.gz UBSKIAXXGCRDGP-SNVBAGLBSA-N 0 3 241.281 2.746 20 0 BFADHN CCOc1cc(Nc2nccn2C)ccc1F ZINC001175049050 977246407 /nfs/dbraw/zinc/24/64/07/977246407.db2.gz XFAYLPBGWOWVJV-UHFFFAOYSA-N 0 3 235.262 2.702 20 0 BFADHN CCOc1cccc(Nc2cnc(C)n2C)c1 ZINC001175092428 977265481 /nfs/dbraw/zinc/26/54/81/977265481.db2.gz UJAHXNLSTUOQOR-UHFFFAOYSA-N 0 3 231.299 2.871 20 0 BFADHN Cc1cnccc1Nc1c(F)ccc(O)c1F ZINC001175261970 977358645 /nfs/dbraw/zinc/35/86/45/977358645.db2.gz VCTSACLTEUZLHW-UHFFFAOYSA-N 0 3 236.221 2.539 20 0 BFADHN C[C@@H]1CC[C@H](Oc2nncc3n[nH]cc32)C[C@@H]1C ZINC001230899869 977367108 /nfs/dbraw/zinc/36/71/08/977367108.db2.gz BPJRFKATMGIXIB-UTLUCORTSA-N 0 3 246.314 2.556 20 0 BFADHN C[C@@H]1CC[C@@H](Oc2nncc3n[nH]cc32)C[C@H]1C ZINC001230899868 977368871 /nfs/dbraw/zinc/36/88/71/977368871.db2.gz BPJRFKATMGIXIB-OPRDCNLKSA-N 0 3 246.314 2.556 20 0 BFADHN CCC[C@@H](Oc1nncc2n[nH]cc21)C(C)(C)C ZINC001230899959 977370077 /nfs/dbraw/zinc/37/00/77/977370077.db2.gz CJINLRWPVVHQDW-LLVKDONJSA-N 0 3 248.330 2.947 20 0 BFADHN c1[nH]nc2cnnc(O[C@@H]3CCC=CCCC3)c12 ZINC001230901663 977370927 /nfs/dbraw/zinc/37/09/27/977370927.db2.gz SJFGQQSEDDZGQF-SNVBAGLBSA-N 0 3 244.298 2.621 20 0 BFADHN CC(C)CCC[C@H](C)Oc1nncc2n[nH]cc21 ZINC001230901847 977371921 /nfs/dbraw/zinc/37/19/21/977371921.db2.gz VGWSSGBHZWSEKF-JTQLQIEISA-N 0 3 248.330 2.947 20 0 BFADHN CC(C)CC[C@H](C)Oc1nncc2n[nH]cc21 ZINC001230901566 977373269 /nfs/dbraw/zinc/37/32/69/977373269.db2.gz RFYHIZRSTIACBF-VIFPVBQESA-N 0 3 234.303 2.556 20 0 BFADHN Cc1ncc(Nc2cc3c[nH]nc3c(C)c2)n1C ZINC001175518115 977499991 /nfs/dbraw/zinc/49/99/91/977499991.db2.gz YCLJDUWRUYSMPB-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN CN1CCC=C(Nc2ccc(Cl)cc2)C1 ZINC001175575839 977579458 /nfs/dbraw/zinc/57/94/58/977579458.db2.gz BYSWMYMEYJYIJJ-UHFFFAOYSA-N 0 3 222.719 2.971 20 0 BFADHN CCc1ccccc1NC1=CCCN(C)C1 ZINC001175577984 977594134 /nfs/dbraw/zinc/59/41/34/977594134.db2.gz BGDXMNOXFAODMD-UHFFFAOYSA-N 0 3 216.328 2.880 20 0 BFADHN COc1cc(NC2=CCCN(C)C2)ccc1C ZINC001175580213 977595148 /nfs/dbraw/zinc/59/51/48/977595148.db2.gz WMYUPSPEQSJOBA-UHFFFAOYSA-N 0 3 232.327 2.635 20 0 BFADHN CCN(CC)Cc1ccc(OC(C)C)nc1 ZINC001231531806 977627155 /nfs/dbraw/zinc/62/71/55/977627155.db2.gz DFWZTRQGFHBXKL-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CC(C)Oc1ccc(CN2CCC[C@@H]2C)cn1 ZINC001231534752 977628204 /nfs/dbraw/zinc/62/82/04/977628204.db2.gz OEWYNBNNURKLAG-LBPRGKRZSA-N 0 3 234.343 2.853 20 0 BFADHN CC(C)Oc1ccc(CN(C)C(C)C)cn1 ZINC001231531926 977629167 /nfs/dbraw/zinc/62/91/67/977629167.db2.gz JVQKFNADKCPVTE-UHFFFAOYSA-N 0 3 222.332 2.709 20 0 BFADHN Cc1n[nH]c2cc(Nc3ccncc3C)ccc12 ZINC001175656836 977634321 /nfs/dbraw/zinc/63/43/21/977634321.db2.gz HIAVTRGDBIZMGE-UHFFFAOYSA-N 0 3 238.294 2.740 20 0 BFADHN Cn1ccnc1Nc1ccc2ccncc2c1 ZINC001175702275 977634705 /nfs/dbraw/zinc/63/47/05/977634705.db2.gz VQCFDRMEGFUCLZ-UHFFFAOYSA-N 0 3 224.267 2.712 20 0 BFADHN COc1cc(F)ccc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC001231606894 977647044 /nfs/dbraw/zinc/64/70/44/977647044.db2.gz UPDZYMSBCCACIM-BETUJISGSA-N 0 3 249.329 2.922 20 0 BFADHN COc1cc(F)ccc1CN1CCC[C@H]2C[C@H]21 ZINC001231605160 977647756 /nfs/dbraw/zinc/64/77/56/977647756.db2.gz BKTDSUXWYXEWQO-GXFFZTMASA-N 0 3 235.302 2.819 20 0 BFADHN CCOc1ccc(CN2CCCC[C@H]2C)cn1 ZINC001231648973 977660961 /nfs/dbraw/zinc/66/09/61/977660961.db2.gz FOGKIJUWRZNJCH-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN COc1ncc(F)cc1CN(C(C)C)C(C)C ZINC001231741104 977723099 /nfs/dbraw/zinc/72/30/99/977723099.db2.gz RADZWZOKNQQPCH-UHFFFAOYSA-N 0 3 240.322 2.848 20 0 BFADHN CCOc1ncccc1CN(CC)C(C)C ZINC001231761270 977732817 /nfs/dbraw/zinc/73/28/17/977732817.db2.gz WYBSAWKHACGMBX-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CCOc1ncccc1CN1C[C@@H]2CCC[C@H]2C1 ZINC001231760837 977736789 /nfs/dbraw/zinc/73/67/89/977736789.db2.gz OLWFDTCNZYPMFK-STQMWFEESA-N 0 3 246.354 2.712 20 0 BFADHN CCCOc1ncccc1CN1CCC[C@H]1C ZINC001231860037 977769500 /nfs/dbraw/zinc/76/95/00/977769500.db2.gz OHQBMWSZFHDIPP-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN C[C@H]1C[C@@H](CF)N(Cc2ccc3c[nH]nc3c2)C1 ZINC001231904946 977791041 /nfs/dbraw/zinc/79/10/41/977791041.db2.gz AOTBPVXOVZMQIQ-GWCFXTLKSA-N 0 3 247.317 2.743 20 0 BFADHN COc1cccc2c(CN3CC[C@@H]3C)c[nH]c21 ZINC001231895918 977794603 /nfs/dbraw/zinc/79/46/03/977794603.db2.gz RAGCTAIKSHHQQL-JTQLQIEISA-N 0 3 230.311 2.771 20 0 BFADHN COc1cccc2c(CN3CC[C@H]3C)c[nH]c21 ZINC001231895920 977794816 /nfs/dbraw/zinc/79/48/16/977794816.db2.gz RAGCTAIKSHHQQL-SNVBAGLBSA-N 0 3 230.311 2.771 20 0 BFADHN C[C@H]1C[C@@H](CF)N(Cc2cc3c[nH]ccc-3n2)C1 ZINC001232010791 977839549 /nfs/dbraw/zinc/83/95/49/977839549.db2.gz AXZWGJNQQQBNBI-GWCFXTLKSA-N 0 3 247.317 2.743 20 0 BFADHN CCC[N@H+](Cc1cncc([O-])c1)[C@H](C)CC ZINC001232038600 977861120 /nfs/dbraw/zinc/86/11/20/977861120.db2.gz GXVMCOPGDSVKJF-LLVKDONJSA-N 0 3 222.332 2.798 20 0 BFADHN CCC[N@@H+](Cc1cncc([O-])c1)[C@H](C)CC ZINC001232038600 977861125 /nfs/dbraw/zinc/86/11/25/977861125.db2.gz GXVMCOPGDSVKJF-LLVKDONJSA-N 0 3 222.332 2.798 20 0 BFADHN C[C@]1(F)CCCN(Cc2cncc(O)c2)CC1 ZINC001232039789 977865671 /nfs/dbraw/zinc/86/56/71/977865671.db2.gz WPSZCHFBPWPFGA-ZDUSSCGKSA-N 0 3 238.306 2.501 20 0 BFADHN C[C@]1(F)CCC[N@H+](Cc2cncc([O-])c2)CC1 ZINC001232039789 977865673 /nfs/dbraw/zinc/86/56/73/977865673.db2.gz WPSZCHFBPWPFGA-ZDUSSCGKSA-N 0 3 238.306 2.501 20 0 BFADHN C[C@]1(F)CCC[N@@H+](Cc2cncc([O-])c2)CC1 ZINC001232039789 977865675 /nfs/dbraw/zinc/86/56/75/977865675.db2.gz WPSZCHFBPWPFGA-ZDUSSCGKSA-N 0 3 238.306 2.501 20 0 BFADHN CCC[C@@H]1CCCC[N@@H+]1Cc1cncc([O-])c1 ZINC001232042772 977866661 /nfs/dbraw/zinc/86/66/61/977866661.db2.gz YAWFWUCAKPPWOB-CYBMUJFWSA-N 0 3 234.343 2.942 20 0 BFADHN CCC[C@@H]1CCCC[N@H+]1Cc1cncc([O-])c1 ZINC001232042772 977866663 /nfs/dbraw/zinc/86/66/63/977866663.db2.gz YAWFWUCAKPPWOB-CYBMUJFWSA-N 0 3 234.343 2.942 20 0 BFADHN C[C@@]1(F)CCC[N@H+](Cc2cncc([O-])c2)CC1 ZINC001232039788 977867202 /nfs/dbraw/zinc/86/72/02/977867202.db2.gz WPSZCHFBPWPFGA-CYBMUJFWSA-N 0 3 238.306 2.501 20 0 BFADHN C[C@@]1(F)CCC[N@@H+](Cc2cncc([O-])c2)CC1 ZINC001232039788 977867207 /nfs/dbraw/zinc/86/72/07/977867207.db2.gz WPSZCHFBPWPFGA-CYBMUJFWSA-N 0 3 238.306 2.501 20 0 BFADHN C[C@H]1CC[C@H]([N@H+](C)Cc2cncc([O-])c2)CC1 ZINC001232043496 977871825 /nfs/dbraw/zinc/87/18/25/977871825.db2.gz GBHZBGPXBFQGQV-AULYBMBSSA-N 0 3 234.343 2.798 20 0 BFADHN C[C@H]1CC[C@H]([N@@H+](C)Cc2cncc([O-])c2)CC1 ZINC001232043496 977871828 /nfs/dbraw/zinc/87/18/28/977871828.db2.gz GBHZBGPXBFQGQV-AULYBMBSSA-N 0 3 234.343 2.798 20 0 BFADHN Cc1nc(Cl)ccc1Nc1ncc[nH]1 ZINC001176079580 977873790 /nfs/dbraw/zinc/87/37/90/977873790.db2.gz JGOIEYLUUCAGLA-UHFFFAOYSA-N 0 3 208.652 2.510 20 0 BFADHN F[C@@H]1CCCN(Cc2cc3ncccc3[nH]2)CC1 ZINC001232061142 977875109 /nfs/dbraw/zinc/87/51/09/977875109.db2.gz CRQGVDVWCAUEKQ-LLVKDONJSA-N 0 3 247.317 2.887 20 0 BFADHN CC(=O)Nc1cccc(CNC/C=C/C2CC2)c1 ZINC001336711457 977875392 /nfs/dbraw/zinc/87/53/92/977875392.db2.gz KYJMZUUVAGMDJO-HWKANZROSA-N 0 3 244.338 2.701 20 0 BFADHN CCOc1cc(F)ccc1Nc1ncc[nH]1 ZINC001176082574 977881022 /nfs/dbraw/zinc/88/10/22/977881022.db2.gz DDQPJHNBZXGVKC-UHFFFAOYSA-N 0 3 221.235 2.691 20 0 BFADHN Cc1ccc(CN2CC[C@@](C)(CF)C2)c(C)n1 ZINC001232081672 977885050 /nfs/dbraw/zinc/88/50/50/977885050.db2.gz BADFDHPQTIIOSO-AWEZNQCLSA-N 0 3 236.334 2.880 20 0 BFADHN F[C@@H]1CCCN(Cc2cc3ccncc3[nH]2)CC1 ZINC001232091267 977889445 /nfs/dbraw/zinc/88/94/45/977889445.db2.gz VJURCQSHUKZRJP-GFCCVEGCSA-N 0 3 247.317 2.887 20 0 BFADHN CC(C)N(CCCCCO)Cc1ccns1 ZINC001232193718 977915381 /nfs/dbraw/zinc/91/53/81/977915381.db2.gz HVFRSIWHKWARRA-UHFFFAOYSA-N 0 3 242.388 2.516 20 0 BFADHN C[C@@H]1CN(Cc2ccns2)C2(CC2)C1 ZINC001232193715 977916884 /nfs/dbraw/zinc/91/68/84/977916884.db2.gz HSGCDPIXBDPJOZ-VIFPVBQESA-N 0 3 208.330 2.518 20 0 BFADHN c1nscc1CN1CCC[C@H]2CCC[C@H]21 ZINC001232230611 977961387 /nfs/dbraw/zinc/96/13/87/977961387.db2.gz POXLHMSVUYEPDD-VXGBXAGGSA-N 0 3 222.357 2.908 20 0 BFADHN COCc1cccc(CN2CC[C@H](C)C[C@H]2C)n1 ZINC001232255580 977979804 /nfs/dbraw/zinc/97/98/04/977979804.db2.gz RETBNSJASKTKEH-QWHCGFSZSA-N 0 3 248.370 2.848 20 0 BFADHN CCOc1ccc(CN2CCCC23CC3)c(C)n1 ZINC001232307889 977999052 /nfs/dbraw/zinc/99/90/52/977999052.db2.gz JMITXWATKQBBFD-UHFFFAOYSA-N 0 3 246.354 2.917 20 0 BFADHN COc1ccc(CN2CC3CCC2CC3)c(C)n1 ZINC001232334594 978008710 /nfs/dbraw/zinc/00/87/10/978008710.db2.gz IYIQIIKMPHCQAJ-UHFFFAOYSA-N 0 3 246.354 2.773 20 0 BFADHN COc1ccc(CN2CCCC[C@@H]2C)c(C)n1 ZINC001232331729 978011044 /nfs/dbraw/zinc/01/10/44/978011044.db2.gz WBRVZIZWIDSQFE-NSHDSACASA-N 0 3 234.343 2.773 20 0 BFADHN Cc1nc(N)ccc1CN1CCC[C@H]2CCC[C@H]21 ZINC001232341201 978020027 /nfs/dbraw/zinc/02/00/27/978020027.db2.gz OHMGIQWJVYEVDN-TZMCWYRMSA-N 0 3 245.370 2.737 20 0 BFADHN Cc1nc(N)ccc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001232341199 978020483 /nfs/dbraw/zinc/02/04/83/978020483.db2.gz OHMGIQWJVYEVDN-JSGCOSHPSA-N 0 3 245.370 2.737 20 0 BFADHN COc1cc(C)c(CN2CCC(C)(C)C2)cn1 ZINC001232352138 978031092 /nfs/dbraw/zinc/03/10/92/978031092.db2.gz HGAADGIAEUTDJF-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN CC(C)CN(C)Cc1cn2cc(F)ccc2n1 ZINC001232409782 978051189 /nfs/dbraw/zinc/05/11/89/978051189.db2.gz RSODKOUORNTPAI-UHFFFAOYSA-N 0 3 235.306 2.561 20 0 BFADHN CC1(C)CCCN1Cc1cn2cc(F)ccc2n1 ZINC001232409593 978054087 /nfs/dbraw/zinc/05/40/87/978054087.db2.gz LWDSDBAXURZYMP-UHFFFAOYSA-N 0 3 247.317 2.848 20 0 BFADHN CC1(C)CCN(Cc2[nH]nc3c2CCC3)CC1 ZINC001232425520 978065592 /nfs/dbraw/zinc/06/55/92/978065592.db2.gz AIJHFTGHKAPZPP-UHFFFAOYSA-N 0 3 233.359 2.520 20 0 BFADHN Cc1ncc(Nc2ccnc(C3CC3)c2)cn1 ZINC001176395550 978070480 /nfs/dbraw/zinc/07/04/80/978070480.db2.gz VJZVYSNKFAZMRE-UHFFFAOYSA-N 0 3 226.283 2.801 20 0 BFADHN Cc1ncc(CN2CCc3ccccc3[C@H]2C)[nH]1 ZINC001232458959 978083117 /nfs/dbraw/zinc/08/31/17/978083117.db2.gz XVWFEVCLXPAAGX-LLVKDONJSA-N 0 3 241.338 2.837 20 0 BFADHN Cc1cccc(F)c1CN1C[C@H]2[C@H](CF)[C@H]2C1 ZINC001232484263 978134253 /nfs/dbraw/zinc/13/42/53/978134253.db2.gz ZSNJRBPKDZDCSW-UOJUARBOSA-N 0 3 237.293 2.781 20 0 BFADHN CC1(C)CCCN1Cc1cc(F)ccn1 ZINC001232489829 978139301 /nfs/dbraw/zinc/13/93/01/978139301.db2.gz CHZVFTHCHNUQHR-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN CCC[C@H]1CCCN1Cc1cncc(F)c1 ZINC001176783435 978179492 /nfs/dbraw/zinc/17/94/92/978179492.db2.gz VZEYUMIWTCPXQI-ZDUSSCGKSA-N 0 3 222.307 2.985 20 0 BFADHN CCOc1ccnc(CN2CCC23CCCC3)c1 ZINC001232583291 978185574 /nfs/dbraw/zinc/18/55/74/978185574.db2.gz XGYPMZNKWUNLRN-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN Fc1nccc(Cl)c1CN1CC2CC(C2)C1 ZINC001232619657 978195890 /nfs/dbraw/zinc/19/58/90/978195890.db2.gz XUKCUYVDHGPAKJ-UHFFFAOYSA-N 0 3 240.709 2.716 20 0 BFADHN CN(Cc1cccc2ncsc21)[C@H]1CCOC1 ZINC001232733962 978256629 /nfs/dbraw/zinc/25/66/29/978256629.db2.gz TWAAABJIVJTRJH-NSHDSACASA-N 0 3 248.351 2.517 20 0 BFADHN CN1CCCC[C@@H]1CNc1ncc(Cl)s1 ZINC001337308137 978274377 /nfs/dbraw/zinc/27/43/77/978274377.db2.gz XTCGYAHHOUGJAD-MRVPVSSYSA-N 0 3 245.779 2.693 20 0 BFADHN CCn1ccc(CNCCCc2ccccc2)n1 ZINC001177108515 978291664 /nfs/dbraw/zinc/29/16/64/978291664.db2.gz DBDGNBOMRGPRJG-UHFFFAOYSA-N 0 3 243.354 2.625 20 0 BFADHN CC(C)n1ccc(CNCCCC(F)(F)F)n1 ZINC000149061674 978327862 /nfs/dbraw/zinc/32/78/62/978327862.db2.gz XYSZCSPQMSIOIK-UHFFFAOYSA-N 0 3 249.280 2.896 20 0 BFADHN CCCN(C)Cc1ccc(OC(F)F)nc1 ZINC001232952329 978352090 /nfs/dbraw/zinc/35/20/90/978352090.db2.gz KENYRGKNVRHFED-UHFFFAOYSA-N 0 3 230.258 2.525 20 0 BFADHN F[C@@H]1C[C@@H]2C[C@H]1CN2Cc1ccc2ncoc2c1 ZINC001232942426 978352858 /nfs/dbraw/zinc/35/28/58/978352858.db2.gz XZIGTVKLKFVICK-SDDRHHMPSA-N 0 3 246.285 2.760 20 0 BFADHN C[C@@H]1CN(Cc2ccc(C3CC3)cc2)CCN1C ZINC001232985365 978384111 /nfs/dbraw/zinc/38/41/11/978384111.db2.gz DOEQCVMMGJSCQX-CYBMUJFWSA-N 0 3 244.382 2.700 20 0 BFADHN [O-]c1cnc(Cl)cc1C[N@@H+]1CCCC12CC2 ZINC001233033255 978418459 /nfs/dbraw/zinc/41/84/59/978418459.db2.gz JSLKZOWWIWAEFZ-UHFFFAOYSA-N 0 3 238.718 2.569 20 0 BFADHN [O-]c1cnc(Cl)cc1C[N@H+]1CCCC12CC2 ZINC001233033255 978418482 /nfs/dbraw/zinc/41/84/82/978418482.db2.gz JSLKZOWWIWAEFZ-UHFFFAOYSA-N 0 3 238.718 2.569 20 0 BFADHN CN(C)C1(C)CN(Cc2ccsc2Cl)C1 ZINC001233057024 978453510 /nfs/dbraw/zinc/45/35/10/978453510.db2.gz GKVONSHRUAKITC-UHFFFAOYSA-N 0 3 244.791 2.537 20 0 BFADHN Cc1cc(CN2CC[C@@](C)(CF)C2)cnc1C ZINC001233156895 978476576 /nfs/dbraw/zinc/47/65/76/978476576.db2.gz WNXHCBNPCLSETQ-AWEZNQCLSA-N 0 3 236.334 2.880 20 0 BFADHN CO[C@@H]1CCN(Cc2cnc(C)c(C)c2)[C@H](C)C1 ZINC001233165107 978486793 /nfs/dbraw/zinc/48/67/93/978486793.db2.gz SUMSOJAESQCKLC-IUODEOHRSA-N 0 3 248.370 2.698 20 0 BFADHN Cc1ccc(CN2CC[C@@](C)(CF)C2)cc1O ZINC001233228460 978497710 /nfs/dbraw/zinc/49/77/10/978497710.db2.gz BYFQJHAAPJNSJL-AWEZNQCLSA-N 0 3 237.318 2.882 20 0 BFADHN Cc1ccc(CN2CC[C@](C)(CF)C2)cc1O ZINC001233228462 978499045 /nfs/dbraw/zinc/49/90/45/978499045.db2.gz BYFQJHAAPJNSJL-CQSZACIVSA-N 0 3 237.318 2.882 20 0 BFADHN COCC1CCN(Cc2ccc(C)c(O)c2)CC1 ZINC001233231328 978503164 /nfs/dbraw/zinc/50/31/64/978503164.db2.gz UBKXSYRKVGKXJN-UHFFFAOYSA-N 0 3 249.354 2.559 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]3C[C@@H]32)cc1O ZINC001233234774 978505625 /nfs/dbraw/zinc/50/56/25/978505625.db2.gz IITXGLDJLBOPHC-OLZOCXBDSA-N 0 3 217.312 2.685 20 0 BFADHN Cc1ccc(CN2CCCOC(C)(C)C2)cc1O ZINC001233234000 978507661 /nfs/dbraw/zinc/50/76/61/978507661.db2.gz YRNQHSWMKPHVFX-UHFFFAOYSA-N 0 3 249.354 2.702 20 0 BFADHN CC[C@H](C)c1cccc(CN2CCN(C)CC2)c1 ZINC001249863019 978536473 /nfs/dbraw/zinc/53/64/73/978536473.db2.gz NMIVSDPJIASMQF-AWEZNQCLSA-N 0 3 246.398 2.948 20 0 BFADHN Cc1cc(CN2C[C@H](C)CC23CC3)sn1 ZINC001233313176 978542099 /nfs/dbraw/zinc/54/20/99/978542099.db2.gz IATXZEZAPYJLBZ-SECBINFHSA-N 0 3 222.357 2.826 20 0 BFADHN CSC1CCN(Cc2sncc2C)CC1 ZINC001233320968 978554484 /nfs/dbraw/zinc/55/44/84/978554484.db2.gz UQLWQXXJDRHJPR-UHFFFAOYSA-N 0 3 242.413 2.779 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)C[C@@H]2C)o1 ZINC001233367147 978579445 /nfs/dbraw/zinc/57/94/45/978579445.db2.gz NEPOICRNQROIIS-ZJUUUORDSA-N 0 3 208.305 2.603 20 0 BFADHN CC1(C)CCCN1Cc1cc(F)c(F)cc1N ZINC001233378421 978594871 /nfs/dbraw/zinc/59/48/71/978594871.db2.gz RUBAXUWLWJPXRG-UHFFFAOYSA-N 0 3 240.297 2.921 20 0 BFADHN CCN1CCN(Cc2cc(C)c(C)s2)CC1 ZINC001233645885 978659423 /nfs/dbraw/zinc/65/94/23/978659423.db2.gz LAWSVUBCUOOELZ-UHFFFAOYSA-N 0 3 238.400 2.502 20 0 BFADHN c1cc2cc(O[C@H]3CN4CCC3CC4)ccc2o1 ZINC001233677189 978669873 /nfs/dbraw/zinc/66/98/73/978669873.db2.gz OBJDCRQXCCAWBW-HNNXBMFYSA-N 0 3 243.306 2.906 20 0 BFADHN CC(C)Cc1cccc(CN2CCN(C)CC2)c1 ZINC001249927073 978687807 /nfs/dbraw/zinc/68/78/07/978687807.db2.gz JFVWFUPZXZSAPE-UHFFFAOYSA-N 0 3 246.398 2.633 20 0 BFADHN CC(C)(NCc1cnsc1)[C@@H]1CCCCO1 ZINC001179401356 978700253 /nfs/dbraw/zinc/70/02/53/978700253.db2.gz BTKTWYBYVGWQTP-NSHDSACASA-N 0 3 240.372 2.580 20 0 BFADHN COc1cccc(OC)c1CN1CCC(C)CC1 ZINC001204247154 978712294 /nfs/dbraw/zinc/71/22/94/978712294.db2.gz GJSDJJYQYNGGDA-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN CSc1ccc(C)c(O[C@@H]2CCN(C)C2)c1 ZINC001234234278 978800325 /nfs/dbraw/zinc/80/03/25/978800325.db2.gz RWESXWNXOHCMMZ-LLVKDONJSA-N 0 3 237.368 2.800 20 0 BFADHN CCCn1cc(CN2CCCCC2)c(C)n1 ZINC001180157188 978836439 /nfs/dbraw/zinc/83/64/39/978836439.db2.gz AUAQIMAMTJPZHB-UHFFFAOYSA-N 0 3 221.348 2.587 20 0 BFADHN CC(C)c1ccc(OC2CCN(C)CC2)cn1 ZINC001234485607 978849196 /nfs/dbraw/zinc/84/91/96/978849196.db2.gz ODCZAFUKZUZMGX-UHFFFAOYSA-N 0 3 234.343 2.678 20 0 BFADHN CCCCN(C)Cc1cncn1CCC ZINC001180375798 978868460 /nfs/dbraw/zinc/86/84/60/978868460.db2.gz YIKCSRXAVRZGRN-UHFFFAOYSA-N 0 3 209.337 2.525 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@](C)(F)CC2)[nH]1 ZINC001234602147 978868898 /nfs/dbraw/zinc/86/88/98/978868898.db2.gz YQINIDYJBASLSZ-ZDUSSCGKSA-N 0 3 239.338 2.741 20 0 BFADHN CCCC1=CC[C@@H](N2CCOCC2)CC1 ZINC001249968651 978882890 /nfs/dbraw/zinc/88/28/90/978882890.db2.gz MKDBETWVRKBXJI-CYBMUJFWSA-N 0 3 209.333 2.598 20 0 BFADHN CCc1cccc(OC)c1O[C@@H]1CCN(CC)C1 ZINC001234639330 978883028 /nfs/dbraw/zinc/88/30/28/978883028.db2.gz HRLRWDXAAOIUEB-CYBMUJFWSA-N 0 3 249.354 2.731 20 0 BFADHN CC(C)c1cccc(CNCc2cnc[nH]2)c1 ZINC001339778881 978913156 /nfs/dbraw/zinc/91/31/56/978913156.db2.gz DWIHUIKOZFRBLH-UHFFFAOYSA-N 0 3 229.327 2.823 20 0 BFADHN CN(Cc1c(N)cccc1F)C1CCCC1 ZINC000070961585 978945272 /nfs/dbraw/zinc/94/52/72/978945272.db2.gz ANQUDUVWSKHJGB-UHFFFAOYSA-N 0 3 222.307 2.782 20 0 BFADHN CC1CN(Cc2cccc(N3CCCC3)c2)C1 ZINC001235084184 978974239 /nfs/dbraw/zinc/97/42/39/978974239.db2.gz QNUQHUAAXSWFFW-UHFFFAOYSA-N 0 3 230.355 2.739 20 0 BFADHN C[C@H]1CCCCCN1Cc1ncccc1F ZINC001181006902 978981653 /nfs/dbraw/zinc/98/16/53/978981653.db2.gz BTMOOQOEBSQGLO-NSHDSACASA-N 0 3 222.307 2.985 20 0 BFADHN CCCN(CCOC)Cc1cccc(CC)n1 ZINC001235248443 979008501 /nfs/dbraw/zinc/00/85/01/979008501.db2.gz CSROKKBSHKTNOL-UHFFFAOYSA-N 0 3 236.359 2.502 20 0 BFADHN CCc1cccc(CN2CCC(CF)CC2)n1 ZINC001235261237 979013792 /nfs/dbraw/zinc/01/37/92/979013792.db2.gz QLAFFSAJLJOHNT-UHFFFAOYSA-N 0 3 236.334 2.826 20 0 BFADHN Cc1cc(CN2CCC(F)CC2)cc(C)c1O ZINC000689910091 979067287 /nfs/dbraw/zinc/06/72/87/979067287.db2.gz UHYMXOVFPXNWMB-UHFFFAOYSA-N 0 3 237.318 2.943 20 0 BFADHN Cc1ncn(C)c1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001235546633 979082297 /nfs/dbraw/zinc/08/22/97/979082297.db2.gz AIPOUQZTECDEBQ-UONOGXRCSA-N 0 3 247.386 2.883 20 0 BFADHN OCCCN1CCCC[C@@H]1c1ccccc1F ZINC001235681397 979118604 /nfs/dbraw/zinc/11/86/04/979118604.db2.gz ZGJNHMANAOHCFK-CQSZACIVSA-N 0 3 237.318 2.735 20 0 BFADHN Fc1ccc2nc(CN3C4CCC3CC4)[nH]c2c1 ZINC001235693950 979125541 /nfs/dbraw/zinc/12/55/41/979125541.db2.gz HGMDJXFHIFVZHJ-UHFFFAOYSA-N 0 3 245.301 2.829 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CC(C)(OC)C2)C1 ZINC001181544665 979148403 /nfs/dbraw/zinc/14/84/03/979148403.db2.gz VGUIASYHBPIUGR-OLZOCXBDSA-N 0 3 225.376 2.924 20 0 BFADHN COC[C@H](C)N(C)Cc1cc2ccccc2[nH]1 ZINC001340898371 979148536 /nfs/dbraw/zinc/14/85/36/979148536.db2.gz OGHGOGZXIVCUHH-NSHDSACASA-N 0 3 232.327 2.635 20 0 BFADHN CCOc1cc(CN2C[C@@H]3C[C@@H]3C2)ccc1F ZINC001235801255 979154977 /nfs/dbraw/zinc/15/49/77/979154977.db2.gz XKFWMGPAFSHBCP-TXEJJXNPSA-N 0 3 235.302 2.676 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@H](C)CC12CC2 ZINC001235821367 979159586 /nfs/dbraw/zinc/15/95/86/979159586.db2.gz XVXKGZOWJZKVHO-SNVBAGLBSA-N 0 3 234.318 2.904 20 0 BFADHN CO[C@H](C)CNCc1c(F)cccc1SC ZINC001181688202 979217481 /nfs/dbraw/zinc/21/74/81/979217481.db2.gz PXKLRSSCFAHSHQ-SECBINFHSA-N 0 3 243.347 2.672 20 0 BFADHN CC(C)c1ccc(NC(=O)[C@@H](N)C(C)(C)C)cn1 ZINC001341126350 979246839 /nfs/dbraw/zinc/24/68/39/979246839.db2.gz IDQZNEHVOGPHSD-GFCCVEGCSA-N 0 3 249.358 2.517 20 0 BFADHN CCN1CCCC[C@H]1C(=O)N(C(C)C)C(C)C ZINC001181994275 979396312 /nfs/dbraw/zinc/39/63/12/979396312.db2.gz OORCZRFCCUZEQC-ZDUSSCGKSA-N 0 3 240.391 2.506 20 0 BFADHN CCN1CCCC[C@@H]1C(=O)N(C(C)C)C(C)C ZINC001181994274 979399327 /nfs/dbraw/zinc/39/93/27/979399327.db2.gz OORCZRFCCUZEQC-CYBMUJFWSA-N 0 3 240.391 2.506 20 0 BFADHN Cn1c(CNC2(C)CC2)nc2ccc(Cl)cc21 ZINC001341801644 979565721 /nfs/dbraw/zinc/56/57/21/979565721.db2.gz GPRTXMCDFXELPM-UHFFFAOYSA-N 0 3 249.745 2.869 20 0 BFADHN CO[C@H](CNCc1ccoc1)c1ccc(F)cc1 ZINC001341803534 979566433 /nfs/dbraw/zinc/56/64/33/979566433.db2.gz YBBBYXQJGZFQNW-CQSZACIVSA-N 0 3 249.285 2.896 20 0 BFADHN Cc1ccc(-c2cc(N)ccn2)c2c[nH]nc21 ZINC001236476608 979606753 /nfs/dbraw/zinc/60/67/53/979606753.db2.gz KWJGWJZLCISPKL-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN CCOc1ncc(Cl)cc1-c1cnccc1N ZINC001236497183 979613584 /nfs/dbraw/zinc/61/35/84/979613584.db2.gz ZDCBLXWGNYPEQU-UHFFFAOYSA-N 0 3 249.701 2.608 20 0 BFADHN CC(C)=CCC[C@@H](C)CCN1CCNC1 ZINC001236845128 979759851 /nfs/dbraw/zinc/75/98/51/979759851.db2.gz YZUCQZXPRAOQDI-CYBMUJFWSA-N 0 3 210.365 2.622 20 0 BFADHN Cc1ccc(Cl)c(CN2CCNC2)c1Cl ZINC001236850457 979759897 /nfs/dbraw/zinc/75/98/97/979759897.db2.gz MIINBXKXXJVOMR-UHFFFAOYSA-N 0 3 245.153 2.665 20 0 BFADHN CC1CC(N(C)Cc2sc(=O)[nH]c2Cl)C1 ZINC001237160173 979823884 /nfs/dbraw/zinc/82/38/84/979823884.db2.gz HDASRWACHZGKBL-UHFFFAOYSA-N 0 3 246.763 2.732 20 0 BFADHN [S-]c1ccoc1C[NH+]1CCC2(CC2)CC1 ZINC001237181006 979832543 /nfs/dbraw/zinc/83/25/43/979832543.db2.gz LFIXCMQOTDYQSC-UHFFFAOYSA-N 0 3 223.341 2.944 20 0 BFADHN CC1(C)[C@@H]2C[N@@H+](Cc3occc3[S-])C[C@@H]21 ZINC001237191644 979840035 /nfs/dbraw/zinc/84/00/35/979840035.db2.gz MYORZOBREJXSQQ-DTORHVGOSA-N 0 3 223.341 2.656 20 0 BFADHN CC1(C)[C@@H]2C[N@H+](Cc3occc3[S-])C[C@@H]21 ZINC001237191644 979840038 /nfs/dbraw/zinc/84/00/38/979840038.db2.gz MYORZOBREJXSQQ-DTORHVGOSA-N 0 3 223.341 2.656 20 0 BFADHN [S-]c1ccoc1C[N@@H+]1C[C@H]2CC[C@@H]1C2 ZINC001237190840 979842225 /nfs/dbraw/zinc/84/22/25/979842225.db2.gz JGLHCRFBRORVEW-DTWKUNHWSA-N 0 3 209.314 2.553 20 0 BFADHN [S-]c1ccoc1C[N@H+]1C[C@H]2CC[C@@H]1C2 ZINC001237190840 979842230 /nfs/dbraw/zinc/84/22/30/979842230.db2.gz JGLHCRFBRORVEW-DTWKUNHWSA-N 0 3 209.314 2.553 20 0 BFADHN FCC1CC[NH+](Cc2occc2[S-])CC1 ZINC001237192353 979842298 /nfs/dbraw/zinc/84/22/98/979842298.db2.gz UJVKVDRVCWRDAG-UHFFFAOYSA-N 0 3 229.320 2.750 20 0 BFADHN Nc1cccc(CN2CCCC[C@H](F)C2)c1 ZINC001237217482 979848901 /nfs/dbraw/zinc/84/89/01/979848901.db2.gz WJMFYAUYKAVUQH-LBPRGKRZSA-N 0 3 222.307 2.593 20 0 BFADHN c1ccc(CCN2CCNC3=CCCC[C@@H]32)cc1 ZINC001237222023 979853488 /nfs/dbraw/zinc/85/34/88/979853488.db2.gz ZLRHFQGENNUGRU-INIZCTEOSA-N 0 3 242.366 2.571 20 0 BFADHN Cc1cc(F)cc(C)c1CN1CC(C)C1 ZINC001237318513 979867348 /nfs/dbraw/zinc/86/73/48/979867348.db2.gz SAKTWTMPQFBYBJ-UHFFFAOYSA-N 0 3 207.292 2.894 20 0 BFADHN CC(=O)Nc1ccccc1CN1CCC2(CC2)C1 ZINC001237407021 979885004 /nfs/dbraw/zinc/88/50/04/979885004.db2.gz RUHZPXGPCJCHED-UHFFFAOYSA-N 0 3 244.338 2.631 20 0 BFADHN Fc1cc(CN2CCC[C@@H]3C[C@@H]32)cnc1Cl ZINC001237406016 979885939 /nfs/dbraw/zinc/88/59/39/979885939.db2.gz CEEVAYRRYGQBGL-KOLCDFICSA-N 0 3 240.709 2.858 20 0 BFADHN CC(=O)Nc1ccccc1CN1CCC[C@@H]2C[C@@H]21 ZINC001237418084 979888695 /nfs/dbraw/zinc/88/86/95/979888695.db2.gz NJSDSLMYUVAGNS-DOMZBBRYSA-N 0 3 244.338 2.629 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1cc2ccccn2n1 ZINC001237446450 979897281 /nfs/dbraw/zinc/89/72/81/979897281.db2.gz CVYYEEDOEKAZPY-HNNXBMFYSA-N 0 3 243.354 2.955 20 0 BFADHN CCC(CC)N(C)Cc1cc2ccccn2n1 ZINC001237449421 979899197 /nfs/dbraw/zinc/89/91/97/979899197.db2.gz MPSNPWXRZHIDBV-UHFFFAOYSA-N 0 3 231.343 2.955 20 0 BFADHN COc1c(C)cccc1CN(C)[C@@H]1CCCOC1 ZINC001237505220 979923937 /nfs/dbraw/zinc/92/39/37/979923937.db2.gz DZACIPQSKJMPHB-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CN(Cc1ccnn1CC1CCC1)CC1CCC1 ZINC001184135516 979945146 /nfs/dbraw/zinc/94/51/46/979945146.db2.gz QSSUGWLGMSWKMN-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1cncc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001237590691 979951509 /nfs/dbraw/zinc/95/15/09/979951509.db2.gz AXACVCQXLCMEQY-CHWSQXEVSA-N 0 3 233.359 2.525 20 0 BFADHN CCc1n[nH]cc1CN(C)CCc1ccccc1 ZINC001237652357 980010760 /nfs/dbraw/zinc/01/07/60/980010760.db2.gz SMVVBUJNBKBRTI-UHFFFAOYSA-N 0 3 243.354 2.647 20 0 BFADHN Cc1cccnc1[C@@H](C)NC[C@@H]1CCC=CO1 ZINC001184290306 980015625 /nfs/dbraw/zinc/01/56/25/980015625.db2.gz JWVQZWLLDDTEAR-OLZOCXBDSA-N 0 3 232.327 2.733 20 0 BFADHN COc1ccoc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001237870107 980103332 /nfs/dbraw/zinc/10/33/32/980103332.db2.gz UZGJVYVIURMGEQ-VXGBXAGGSA-N 0 3 235.327 2.910 20 0 BFADHN CC[C@H]1CCCN(Cc2occc2OC)C1 ZINC001237875595 980109010 /nfs/dbraw/zinc/10/90/10/980109010.db2.gz XXIUKUJWPXRLJW-NSHDSACASA-N 0 3 223.316 2.910 20 0 BFADHN c1ccc(C2CCOCC2)c(CN2CCC2)c1 ZINC001237900091 980111627 /nfs/dbraw/zinc/11/16/27/980111627.db2.gz SVTWLYNNGIGXEC-UHFFFAOYSA-N 0 3 231.339 2.786 20 0 BFADHN CC(C)CN1CCC[C@@H]1C(=O)Nc1ccccc1 ZINC000005522469 980113194 /nfs/dbraw/zinc/11/31/94/980113194.db2.gz VCNWTWUQFBIGNB-CQSZACIVSA-N 0 3 246.354 2.746 20 0 BFADHN CC1CN(Cc2n[nH]c3cc(Cl)ccc32)C1 ZINC001237994318 980124638 /nfs/dbraw/zinc/12/46/38/980124638.db2.gz UHYVVXVHUCGOJE-UHFFFAOYSA-N 0 3 235.718 2.668 20 0 BFADHN CCOC1CCN(Cc2cc(O)ccc2C)CC1 ZINC001238100303 980145881 /nfs/dbraw/zinc/14/58/81/980145881.db2.gz XOBIUYJVXXLZIX-UHFFFAOYSA-N 0 3 249.354 2.702 20 0 BFADHN C[C@@]1(CF)CCN(Cc2cccc3cncn32)C1 ZINC001238103048 980149433 /nfs/dbraw/zinc/14/94/33/980149433.db2.gz JEZLRQUBTTYUMG-AWEZNQCLSA-N 0 3 247.317 2.516 20 0 BFADHN Cc1ncncc1CN1CCC[C@H]2CCC[C@@H]21 ZINC001238176499 980205943 /nfs/dbraw/zinc/20/59/43/980205943.db2.gz YDSBPOCHSUOWGQ-OCCSQVGLSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1cc(CN2C[C@@H]3C[C@@H]3C2)cnc1OC(C)C ZINC001238375188 980242150 /nfs/dbraw/zinc/24/21/50/980242150.db2.gz JFROYRICIRUJQP-OKILXGFUSA-N 0 3 246.354 2.629 20 0 BFADHN CC[C@@H](C)CCC(=O)Nc1cnccc1N(C)C ZINC001185562863 980244242 /nfs/dbraw/zinc/24/42/42/980244242.db2.gz LDYZVXAASWVTFY-LLVKDONJSA-N 0 3 249.358 2.912 20 0 BFADHN COC[C@@H]1CCCCN1Cc1ncc(C)cc1C ZINC001238425109 980252433 /nfs/dbraw/zinc/25/24/33/980252433.db2.gz GPIAPZCGWUHANL-AWEZNQCLSA-N 0 3 248.370 2.699 20 0 BFADHN CCOC1CCN(Cc2ncc(C)cc2C)CC1 ZINC001238429747 980255594 /nfs/dbraw/zinc/25/55/94/980255594.db2.gz OQAOBUCNRPBQOG-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1cnc(CN2CC[C@H](OC(C)C)C2)c(C)c1 ZINC001238439947 980263574 /nfs/dbraw/zinc/26/35/74/980263574.db2.gz ILDWULQXFLAPMR-AWEZNQCLSA-N 0 3 248.370 2.698 20 0 BFADHN Cc1ccc(C)c(-n2ccc([C@H](C)N)n2)c1 ZINC000074115238 980272314 /nfs/dbraw/zinc/27/23/14/980272314.db2.gz YIJWHQGWEJVSRT-NSHDSACASA-N 0 3 215.300 2.509 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(F)c(C3CC3)c2)C1 ZINC001238499276 980275317 /nfs/dbraw/zinc/27/53/17/980275317.db2.gz RASXLHKEFQMWEO-ZDUSSCGKSA-N 0 3 249.329 2.924 20 0 BFADHN OC[C@H]1CCCN1Cc1ccc(F)c(C2CC2)c1 ZINC001238500017 980280436 /nfs/dbraw/zinc/28/04/36/980280436.db2.gz YVPJZBDXZXBAJV-CYBMUJFWSA-N 0 3 249.329 2.660 20 0 BFADHN COc1cc(C)cc(F)c1CN1CC2CC(C2)C1 ZINC001238596553 980297734 /nfs/dbraw/zinc/29/77/34/980297734.db2.gz VWKLOLXVGQULAI-UHFFFAOYSA-N 0 3 249.329 2.985 20 0 BFADHN NCc1cccc(-c2coc3ccccc23)n1 ZINC001239053355 980427361 /nfs/dbraw/zinc/42/73/61/980427361.db2.gz SFEOJCSZUITCRS-UHFFFAOYSA-N 0 3 224.263 2.954 20 0 BFADHN NCc1ccc(-c2coc3ccccc23)cn1 ZINC001239061990 980432905 /nfs/dbraw/zinc/43/29/05/980432905.db2.gz QLRDGYUFQOTUJL-UHFFFAOYSA-N 0 3 224.263 2.954 20 0 BFADHN CC(C)(O)/C=C/c1ccc2c(N)ccnc2c1 ZINC001239158097 980471289 /nfs/dbraw/zinc/47/12/89/980471289.db2.gz PNVWNNCJAMEYDO-FNORWQNLSA-N 0 3 228.295 2.601 20 0 BFADHN Cc1ccc(-c2cc(N)c(C)cn2)c(C)n1 ZINC001239448867 980577833 /nfs/dbraw/zinc/57/78/33/980577833.db2.gz CGCUJAJSALNUBA-UHFFFAOYSA-N 0 3 213.284 2.651 20 0 BFADHN Cc1ccccc1-c1ccc2c(n1)CNCC2 ZINC001239500718 980661168 /nfs/dbraw/zinc/66/11/68/980661168.db2.gz IFOCTMBALFIGIR-UHFFFAOYSA-N 0 3 224.307 2.703 20 0 BFADHN CN1CCc2ccc(-c3cccnc3)cc2C1 ZINC001239572166 980763289 /nfs/dbraw/zinc/76/32/89/980763289.db2.gz YWCVKYKINHDIIZ-UHFFFAOYSA-N 0 3 224.307 2.737 20 0 BFADHN Cc1ccccc1CN1CC(N2CCCCC2)C1 ZINC001203710076 980828027 /nfs/dbraw/zinc/82/80/27/980828027.db2.gz YOWQQHNLYXSMMK-UHFFFAOYSA-N 0 3 244.382 2.665 20 0 BFADHN CCc1nc(C)c(CN2CCC(C)CC2)[nH]1 ZINC001203235561 980849415 /nfs/dbraw/zinc/84/94/15/980849415.db2.gz XCBQYSDBDNREHU-UHFFFAOYSA-N 0 3 221.348 2.512 20 0 BFADHN Cc1cc(F)ccc1-c1cccc(CN)n1 ZINC001239739225 980884969 /nfs/dbraw/zinc/88/49/69/980884969.db2.gz SMUFKMASQPHYOL-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN C[C@H](c1ccccn1)N1CCC[C@H](OC2CC2)C1 ZINC001205534131 980895949 /nfs/dbraw/zinc/89/59/49/980895949.db2.gz OOSIXQDHGSOONH-OCCSQVGLSA-N 0 3 246.354 2.786 20 0 BFADHN NCc1ccc(-c2cnc3ccccc3c2)cn1 ZINC001239793915 980934298 /nfs/dbraw/zinc/93/42/98/980934298.db2.gz RJAHNONQVJLNLZ-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN NCc1ccc(-c2ccnc3ccccc32)cn1 ZINC001239851942 980993054 /nfs/dbraw/zinc/99/30/54/980993054.db2.gz XMLKQLZRKWSEPP-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN CNCc1cc(-c2ccccc2C(C)C)ncn1 ZINC001239865993 981006193 /nfs/dbraw/zinc/00/61/93/981006193.db2.gz CEEZLDQJLQPZSG-UHFFFAOYSA-N 0 3 241.338 2.986 20 0 BFADHN Cc1ccc(F)c(-c2ccc(CN)nc2)c1 ZINC001239963452 981063766 /nfs/dbraw/zinc/06/37/66/981063766.db2.gz DEBUSJQDYHHAGJ-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN Cc1ncc(CN2CC[C@@H]3CCCC[C@@H]3C2)n1C ZINC001347385588 981065462 /nfs/dbraw/zinc/06/54/62/981065462.db2.gz IZYPQDOUOOCQER-UONOGXRCSA-N 0 3 247.386 2.741 20 0 BFADHN c1cc(CN2CC[C@@H]2c2ccccc2)c[nH]1 ZINC000335847370 981111840 /nfs/dbraw/zinc/11/18/40/981111840.db2.gz GOGJFWNCEJKFPH-CQSZACIVSA-N 0 3 212.296 2.962 20 0 BFADHN CC(C)[C@@H]1CN(CC[C@@H]2C[C@H]2C2CC2)CCO1 ZINC001192547680 981168352 /nfs/dbraw/zinc/16/83/52/981168352.db2.gz TWUKWGCUFJJHRO-ILXRZTDVSA-N 0 3 237.387 2.779 20 0 BFADHN c1[nH]nc2cccc(-c3nccc4c3CCN4)c12 ZINC001240312108 981281240 /nfs/dbraw/zinc/28/12/40/981281240.db2.gz MJUHLDKDMVQSQP-UHFFFAOYSA-N 0 3 236.278 2.593 20 0 BFADHN Nc1ccnc(-c2ccc3ncsc3c2)c1 ZINC001240342324 981306832 /nfs/dbraw/zinc/30/68/32/981306832.db2.gz YKNUSAMTTNJYOF-UHFFFAOYSA-N 0 3 227.292 2.941 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2ccc3ncnn3c2)n1 ZINC001240354413 981310974 /nfs/dbraw/zinc/31/09/74/981310974.db2.gz GSIJKJKIHMSKFQ-UHFFFAOYSA-N 0 3 249.277 2.581 20 0 BFADHN CNCc1cc(-c2cc(C)sc2C)ncn1 ZINC001240427248 981378338 /nfs/dbraw/zinc/37/83/38/981378338.db2.gz IVWYIHDULRRBLW-UHFFFAOYSA-N 0 3 233.340 2.541 20 0 BFADHN c1ccc(C2=CC[C@@H](N3CCOCC3)CC2)cc1 ZINC001250536603 981419483 /nfs/dbraw/zinc/41/94/83/981419483.db2.gz NAHSCTIKKZHVEO-MRXNPFEDSA-N 0 3 243.350 2.955 20 0 BFADHN CCCN(CCCCCF)[C@H](C)C(=O)OCC ZINC001194029491 981534074 /nfs/dbraw/zinc/53/40/74/981534074.db2.gz FWSQZCGIFFOZGD-GFCCVEGCSA-N 0 3 247.354 2.790 20 0 BFADHN CC[C@H](C)[C@H](CN(C)CCC(F)(F)F)OC ZINC001349595985 981590394 /nfs/dbraw/zinc/59/03/94/981590394.db2.gz DRUBDORKUQZLOL-UWVGGRQHSA-N 0 3 241.297 2.932 20 0 BFADHN [NH3+]CCc1cccc(-c2ccc([O-])c(F)c2)c1 ZINC001240786807 981833072 /nfs/dbraw/zinc/83/30/72/981833072.db2.gz WOUWLPBZQAVUPO-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN C=Cc1cncc(CN2CCCCC2)c1 ZINC001240831541 981871997 /nfs/dbraw/zinc/87/19/97/981871997.db2.gz FYXHNTPSGWPPOD-UHFFFAOYSA-N 0 3 202.301 2.711 20 0 BFADHN c1cc(CN2CCC[C@H]2c2cccnc2)c[nH]1 ZINC000336590221 981903090 /nfs/dbraw/zinc/90/30/90/981903090.db2.gz YNIRHUNJIYJLFE-AWEZNQCLSA-N 0 3 227.311 2.747 20 0 BFADHN Cc1ccc(F)cc1-c1ccnc(CN)c1 ZINC001240920586 981979064 /nfs/dbraw/zinc/97/90/64/981979064.db2.gz UZVCGCFEIOGGCQ-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN COc1ccc(C2=CCN(C)CC2)cc1F ZINC001250620468 982117419 /nfs/dbraw/zinc/11/74/19/982117419.db2.gz SNNVLCVGHOVDOY-UHFFFAOYSA-N 0 3 221.275 2.553 20 0 BFADHN CCN1CCN(Cc2ccc(C)o2)C(C)(C)C1 ZINC001203300581 982159318 /nfs/dbraw/zinc/15/93/18/982159318.db2.gz OUIPJGFENYZIRG-UHFFFAOYSA-N 0 3 236.359 2.504 20 0 BFADHN CN(C)Cc1cccc(-c2ccc(O)cc2)n1 ZINC001241061970 982170436 /nfs/dbraw/zinc/17/04/36/982170436.db2.gz NXWZZZHIWRZJFW-UHFFFAOYSA-N 0 3 228.295 2.516 20 0 BFADHN NCc1cc(-c2cc(F)cc(F)c2F)ccn1 ZINC001241093241 982204715 /nfs/dbraw/zinc/20/47/15/982204715.db2.gz DQGNGONQSJKWEL-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN CCOc1cccc(-c2ccc(CN)nc2)c1 ZINC001241116057 982232310 /nfs/dbraw/zinc/23/23/10/982232310.db2.gz FICYZSWGQRNANI-UHFFFAOYSA-N 0 3 228.295 2.606 20 0 BFADHN CCOc1ccc(C)cc1-c1ccnc(CN)c1 ZINC001241148944 982283165 /nfs/dbraw/zinc/28/31/65/982283165.db2.gz RUMJELNCYPRBOY-UHFFFAOYSA-N 0 3 242.322 2.914 20 0 BFADHN CC[C@H](C)[C@@H](C(=O)OC)N(C)CCC(C)(C)C ZINC001195498870 982294906 /nfs/dbraw/zinc/29/49/06/982294906.db2.gz RGFWIXUKLINZKD-RYUDHWBXSA-N 0 3 243.391 2.942 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2ccnc(N)c2)n1 ZINC001241156566 982299535 /nfs/dbraw/zinc/29/95/35/982299535.db2.gz FPNSLQZVUUAPJP-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Nc1cc(-c2ccc(C3=NCCC3)cc2)ccn1 ZINC001241162743 982302732 /nfs/dbraw/zinc/30/27/32/982302732.db2.gz HFAAJFZOVRIZTL-UHFFFAOYSA-N 0 3 237.306 2.914 20 0 BFADHN CN(Cc1ccccc1)C1CC(F)(F)C1 ZINC001203302050 982336317 /nfs/dbraw/zinc/33/63/17/982336317.db2.gz DUGMGONDGAYTAF-UHFFFAOYSA-N 0 3 211.255 2.916 20 0 BFADHN CN(C)c1ccnc(-c2ccc3c(c2)OCC3)c1 ZINC001241206930 982355965 /nfs/dbraw/zinc/35/59/65/982355965.db2.gz YCIMEBJJTAJALI-UHFFFAOYSA-N 0 3 240.306 2.750 20 0 BFADHN C[NH+](C)Cc1cccc(-c2cc([O-])cc(F)c2)n1 ZINC001241260978 982397683 /nfs/dbraw/zinc/39/76/83/982397683.db2.gz DUICLFDCYJRRDW-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN [O-]c1cc(F)cc(-c2ccc3c(c2)C[NH2+]CC3)c1 ZINC001241266999 982402879 /nfs/dbraw/zinc/40/28/79/982402879.db2.gz QZHRFBYYHOLGBK-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN [NH3+]CCc1ccc(-c2cc([O-])cc(F)c2)cc1 ZINC001241277926 982408724 /nfs/dbraw/zinc/40/87/24/982408724.db2.gz RVJVDNCBLKWJTI-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN Cc1cc(-c2cccc(CN)n2)ccc1F ZINC001241279168 982411731 /nfs/dbraw/zinc/41/17/31/982411731.db2.gz WCVLVQCZIPRQCQ-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN Cc1c(CN(C)CCCC(F)(F)F)cnn1C ZINC001352028343 982441173 /nfs/dbraw/zinc/44/11/73/982441173.db2.gz DKFJTIMAVOVCDC-UHFFFAOYSA-N 0 3 249.280 2.503 20 0 BFADHN Cc1cccc2c1ncnc2C1=CCN(C)CC1 ZINC001241308359 982446973 /nfs/dbraw/zinc/44/69/73/982446973.db2.gz JTUAPOUNARQPMG-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1c[nH]c2nc(C3=CCN(C)CC3)ccc12 ZINC001241308752 982448910 /nfs/dbraw/zinc/44/89/10/982448910.db2.gz NKOISGWRGVDLQN-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN COc1ccc(C)cc1C1=CCN(C)CC1 ZINC001241319182 982473305 /nfs/dbraw/zinc/47/33/05/982473305.db2.gz DWOOEIRDIBCRBT-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN CCOc1cc(F)ccc1-c1ccnc(CN)c1 ZINC001241319978 982480155 /nfs/dbraw/zinc/48/01/55/982480155.db2.gz FPOGKLHAIUFTKQ-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN CCOc1cccc(C2=CCN(C)CC2)c1 ZINC001241323097 982484213 /nfs/dbraw/zinc/48/42/13/982484213.db2.gz YSOJKYYZLVUMQM-UHFFFAOYSA-N 0 3 217.312 2.804 20 0 BFADHN CN1CC=C(c2ccc3c(c2)CCCO3)CC1 ZINC001241322486 982484224 /nfs/dbraw/zinc/48/42/24/982484224.db2.gz OMLMKJJVDLWEST-UHFFFAOYSA-N 0 3 229.323 2.731 20 0 BFADHN COCOc1ccc(C)cc1C1=CCN(C)CC1 ZINC001241326976 982488420 /nfs/dbraw/zinc/48/84/20/982488420.db2.gz DGRADZHCNSEHOJ-UHFFFAOYSA-N 0 3 247.338 2.697 20 0 BFADHN CN1CC=C(c2ccc(C3CC3)nc2)CC1 ZINC001241332929 982497658 /nfs/dbraw/zinc/49/76/58/982497658.db2.gz NDUIXAPIGIZEJU-UHFFFAOYSA-N 0 3 214.312 2.678 20 0 BFADHN Cc1cc(C)c(C2=CCN(C)CC2)c(C)n1 ZINC001241333177 982501721 /nfs/dbraw/zinc/50/17/21/982501721.db2.gz SQGYCDJVMDWWJT-UHFFFAOYSA-N 0 3 216.328 2.726 20 0 BFADHN CCc1cncc(-c2cccc(CN(C)C)n2)c1 ZINC001241372708 982534638 /nfs/dbraw/zinc/53/46/38/982534638.db2.gz DBNMEUSSVYXZHV-UHFFFAOYSA-N 0 3 241.338 2.768 20 0 BFADHN NCc1cccc(-c2ccc3ncccc3c2)n1 ZINC001241397668 982550726 /nfs/dbraw/zinc/55/07/26/982550726.db2.gz RSLOZKQNCRKCLA-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN F[C@H]1CCC[C@H](NCc2ccc(Cl)nn2)C1 ZINC001352879645 982617136 /nfs/dbraw/zinc/61/71/36/982617136.db2.gz XMSCLFGTBXWARI-IUCAKERBSA-N 0 3 243.713 2.500 20 0 BFADHN CCC[C@@H]1CCCN1Cc1csnc1OC ZINC001198430727 982710812 /nfs/dbraw/zinc/71/08/12/982710812.db2.gz UIXRSPZAKMZLNG-LLVKDONJSA-N 0 3 240.372 2.916 20 0 BFADHN CCC[C@H]1CCCN1Cc1csnc1OC ZINC001198430730 982714363 /nfs/dbraw/zinc/71/43/63/982714363.db2.gz UIXRSPZAKMZLNG-NSHDSACASA-N 0 3 240.372 2.916 20 0 BFADHN COC(=O)c1cc(C2=CCCN(C)C2)ccc1C ZINC001241569415 982816048 /nfs/dbraw/zinc/81/60/48/982816048.db2.gz YIVOKXAQMYSJPG-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN CCOC(=O)c1ccc(C2=CCCN(C)C2)cc1 ZINC001241569719 982817057 /nfs/dbraw/zinc/81/70/57/982817057.db2.gz NKNSAJXIJRJDHQ-UHFFFAOYSA-N 0 3 245.322 2.582 20 0 BFADHN COc1cc(C2=CCCN(C)C2)ccc1C ZINC001241569936 982818527 /nfs/dbraw/zinc/81/85/27/982818527.db2.gz BAHDAGOIUIWLPM-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN CCc1cc2cc(C3=CCCN(C)C3)cnc2[nH]1 ZINC001241569868 982821169 /nfs/dbraw/zinc/82/11/69/982821169.db2.gz SYSXGYFVFPNWHR-UHFFFAOYSA-N 0 3 241.338 2.844 20 0 BFADHN CC(=O)Nc1ccc(C2=CCCN(C)C2)cc1C ZINC001241570901 982823309 /nfs/dbraw/zinc/82/33/09/982823309.db2.gz PXDXODIFBYPHTM-UHFFFAOYSA-N 0 3 244.338 2.672 20 0 BFADHN Cc1cc2c(nccc2C2=CCCN(C)C2)[nH]1 ZINC001241571056 982823696 /nfs/dbraw/zinc/82/36/96/982823696.db2.gz UTNQDPTWMMQDDT-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN COc1cc2cc[nH]c2cc1C1=CCCN(C)C1 ZINC001241570653 982824839 /nfs/dbraw/zinc/82/48/39/982824839.db2.gz NDASSPMOOKKBIA-UHFFFAOYSA-N 0 3 242.322 2.895 20 0 BFADHN CN1CCC=C(c2cccc3c2OCCC3)C1 ZINC001241572195 982826791 /nfs/dbraw/zinc/82/67/91/982826791.db2.gz PNXWALQPBYJJNW-UHFFFAOYSA-N 0 3 229.323 2.731 20 0 BFADHN CCCOc1cccc(-c2ccc(CN)nc2)c1 ZINC001241591397 982838009 /nfs/dbraw/zinc/83/80/09/982838009.db2.gz VODWBFPRBKMZFK-UHFFFAOYSA-N 0 3 242.322 2.996 20 0 BFADHN CNCc1cc(-c2cc(C)c(C)cc2C)ncn1 ZINC001241626142 982862307 /nfs/dbraw/zinc/86/23/07/982862307.db2.gz OEPRVYLZOPSFIE-UHFFFAOYSA-N 0 3 241.338 2.788 20 0 BFADHN c1cc(N2CCOCC2)cc(C2=CCCCC2)n1 ZINC001241715560 982976701 /nfs/dbraw/zinc/97/67/01/982976701.db2.gz YPESJLNDQXKQCD-UHFFFAOYSA-N 0 3 244.338 2.876 20 0 BFADHN NCc1cc(-c2ccc3c(c2)CCCN3)ccn1 ZINC001241836436 983081116 /nfs/dbraw/zinc/08/11/16/983081116.db2.gz XZMYCLYKLDKHJX-UHFFFAOYSA-N 0 3 239.322 2.565 20 0 BFADHN NCc1ccc(-c2ccc3scnc3c2)cn1 ZINC001241866775 983089400 /nfs/dbraw/zinc/08/94/00/983089400.db2.gz HXLJNAANGAYYGB-UHFFFAOYSA-N 0 3 241.319 2.817 20 0 BFADHN c1nc(-c2cncc(CN3CCCC3)c2)cs1 ZINC001241893082 983113141 /nfs/dbraw/zinc/11/31/41/983113141.db2.gz XFAJHVMDZHRYAK-UHFFFAOYSA-N 0 3 245.351 2.801 20 0 BFADHN C=Cc1ccccc1-c1ccnc(CN)c1 ZINC001242010789 983201952 /nfs/dbraw/zinc/20/19/52/983201952.db2.gz SBVOJAVNZHGHMR-UHFFFAOYSA-N 0 3 210.280 2.850 20 0 BFADHN O=C1CCN(CC2CCCCC2)CC[C@H]1F ZINC001201390884 983212240 /nfs/dbraw/zinc/21/22/40/983212240.db2.gz QVDRRCMXCYABCS-GFCCVEGCSA-N 0 3 227.323 2.570 20 0 BFADHN CC(C)(C)CN1CC[C@](C)(F)[C@@H](F)C1 ZINC001201433076 983226128 /nfs/dbraw/zinc/22/61/28/983226128.db2.gz RUNRLAMMZJNVEC-ONGXEEELSA-N 0 3 205.292 2.805 20 0 BFADHN Cc1ccc2c(n1)N(C)CCN(CC(C)(C)C)C2 ZINC001201435614 983236454 /nfs/dbraw/zinc/23/64/54/983236454.db2.gz UDRWQGRHKNVRDV-UHFFFAOYSA-N 0 3 247.386 2.688 20 0 BFADHN CCOC(=O)[C@H]1C[C@H](C)CCN1C[C@H](C)CC ZINC001201514321 983250899 /nfs/dbraw/zinc/25/08/99/983250899.db2.gz DLUYYHYJIQBIFS-JHJVBQTASA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@H](C)CN1CC[C@@](C)(F)[C@@H](F)C1 ZINC001201503244 983272859 /nfs/dbraw/zinc/27/28/59/983272859.db2.gz RWJHJNAKTMEGTK-GARJFASQSA-N 0 3 205.292 2.805 20 0 BFADHN Cc1ncc(Nc2cncc(OC(C)C)c2)n1C ZINC001201541241 983299077 /nfs/dbraw/zinc/29/90/77/983299077.db2.gz PGPDAWFJSAMLOW-UHFFFAOYSA-N 0 3 246.314 2.654 20 0 BFADHN CCC[C@@H](C)CN1CCC(=O)C(C)(C)C1 ZINC001201552247 983305008 /nfs/dbraw/zinc/30/50/08/983305008.db2.gz LDNZYFZWCBVAQF-LLVKDONJSA-N 0 3 211.349 2.724 20 0 BFADHN CC[C@H](NCCOC1CC1)c1c(C)noc1C ZINC001354598678 983330137 /nfs/dbraw/zinc/33/01/37/983330137.db2.gz ALPSTLQVVAKEJI-LBPRGKRZSA-N 0 3 238.331 2.511 20 0 BFADHN CCN1CCC2(CC(=O)c3ccc(F)cc32)CC1 ZINC001201573121 983337212 /nfs/dbraw/zinc/33/72/12/983337212.db2.gz IJNSVMBSXOQWHY-UHFFFAOYSA-N 0 3 247.313 2.766 20 0 BFADHN CC(C)=C(C)CC(=O)Nc1cccc2c1CNC2 ZINC001354657685 983340840 /nfs/dbraw/zinc/34/08/40/983340840.db2.gz AHCHETLZVXEWAL-UHFFFAOYSA-N 0 3 244.338 2.975 20 0 BFADHN Cc1noc(C)c1-c1cnccc1/C=C/N(C)C ZINC001242109116 983358575 /nfs/dbraw/zinc/35/85/75/983358575.db2.gz BDYDVINOVODYPX-SOFGYWHQSA-N 0 3 243.310 2.886 20 0 BFADHN Cc1cc(-c2cncc(CN(C)C)c2)ccc1O ZINC001242121547 983364295 /nfs/dbraw/zinc/36/42/95/983364295.db2.gz MJNSSSBOODKMME-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN c1ccc(CCN2CCc3ccncc3C2)cc1 ZINC001201604530 983372065 /nfs/dbraw/zinc/37/20/65/983372065.db2.gz ZRFUWNLGXJKCKH-UHFFFAOYSA-N 0 3 238.334 2.682 20 0 BFADHN CCCN1CCN(c2c(C)cccc2C)CC1 ZINC001201652038 983406128 /nfs/dbraw/zinc/40/61/28/983406128.db2.gz FXQOXJLQZOCHMA-UHFFFAOYSA-N 0 3 232.371 2.835 20 0 BFADHN F[C@@H]1CN(CCCc2ccccc2)C[C@@H]2C[C@@H]21 ZINC001201655090 983406722 /nfs/dbraw/zinc/40/67/22/983406722.db2.gz MKNDZSCAQAMTGH-SOUVJXGZSA-N 0 3 233.330 2.909 20 0 BFADHN [NH3+]CCc1ccccc1-c1ccc(F)cc1[O-] ZINC001242218704 983421223 /nfs/dbraw/zinc/42/12/23/983421223.db2.gz LZULNMSLXUPIEM-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN [O-]c1cc(F)ccc1-c1cccc2c1CC[NH2+]C2 ZINC001242220656 983424553 /nfs/dbraw/zinc/42/45/53/983424553.db2.gz JOBYSNNWKVIKOD-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN CC(C)Oc1ccccc1-c1ccc(CN)nc1 ZINC001242221650 983429275 /nfs/dbraw/zinc/42/92/75/983429275.db2.gz GECJBSRSETYKML-UHFFFAOYSA-N 0 3 242.322 2.995 20 0 BFADHN CCCCCCN1CCC(=O)[C@H](C)CC1 ZINC001201743640 983449287 /nfs/dbraw/zinc/44/92/87/983449287.db2.gz XJTFMSNJPOBQIZ-GFCCVEGCSA-N 0 3 211.349 2.868 20 0 BFADHN CCCSC[C@H](C)NCc1ccoc1 ZINC001355239834 983453464 /nfs/dbraw/zinc/45/34/64/983453464.db2.gz WPOXJLQSKMQHQO-JTQLQIEISA-N 0 3 213.346 2.901 20 0 BFADHN C[C@@H]1CC[C@H](N2CCC(=O)C[C@@H]2C)C[C@@H]1C ZINC000082846553 983454348 /nfs/dbraw/zinc/45/43/48/983454348.db2.gz LQWTTXHLLCOQCV-VOAKCMCISA-N 0 3 223.360 2.865 20 0 BFADHN CCCCCN1CC(N2CCC(F)(F)CC2)C1 ZINC001201735131 983472962 /nfs/dbraw/zinc/47/29/62/983472962.db2.gz JGXICXYVJGJZTE-UHFFFAOYSA-N 0 3 246.345 2.592 20 0 BFADHN Cc1c(F)c(F)ccc1-c1cccc(CN)n1 ZINC001242305317 983528179 /nfs/dbraw/zinc/52/81/79/983528179.db2.gz SGFMQLDSWQIQGL-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN CC1(C)CC=C(c2cc(CN)ncn2)CC1 ZINC001242327543 983562587 /nfs/dbraw/zinc/56/25/87/983562587.db2.gz ZRTUFKXSGHJINV-UHFFFAOYSA-N 0 3 217.316 2.529 20 0 BFADHN FC(F)(F)CCN1C[C@H]2[C@H](CCC2(F)F)C1 ZINC001202308106 983620554 /nfs/dbraw/zinc/62/05/54/983620554.db2.gz RZZVYMFWUXOKJV-SFYZADRCSA-N 0 3 243.219 2.916 20 0 BFADHN [O-]c1c(F)cccc1-c1ccc2c(c1)C[NH2+]CC2 ZINC001242351413 983621875 /nfs/dbraw/zinc/62/18/75/983621875.db2.gz UZISPMGZOIDAOZ-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN c1nc2c(c(C3=CCCCCCC3)n1)CNCC2 ZINC001242502669 983827468 /nfs/dbraw/zinc/82/74/68/983827468.db2.gz ZQUOUMLQDIKJBS-UHFFFAOYSA-N 0 3 243.354 2.860 20 0 BFADHN CCc1ncccc1-c1cccc(CN(C)C)n1 ZINC001242522188 983842403 /nfs/dbraw/zinc/84/24/03/983842403.db2.gz SWRSEHLCVXXJEI-UHFFFAOYSA-N 0 3 241.338 2.768 20 0 BFADHN CCCCOC(=O)[C@H](C)N1CCC[C@@H]1CCC ZINC001473859228 983894832 /nfs/dbraw/zinc/89/48/32/983894832.db2.gz DLQDGMVRMLUETR-STQMWFEESA-N 0 3 241.375 2.983 20 0 BFADHN c1cc(N2CCCC2)oc1CN1CCC2(CC2)C1 ZINC001137063643 983895959 /nfs/dbraw/zinc/89/59/59/983895959.db2.gz ZFRFGKIXWPEHEP-UHFFFAOYSA-N 0 3 246.354 2.866 20 0 BFADHN CC(C)n1cc(CN2C[C@H](C)CC23CC3)cn1 ZINC001137081408 983920593 /nfs/dbraw/zinc/92/05/93/983920593.db2.gz GTRSJPYWSRGSEY-GFCCVEGCSA-N 0 3 233.359 2.838 20 0 BFADHN Cc1oncc1-c1cnccc1/C=C/N(C)C ZINC001242604161 983963740 /nfs/dbraw/zinc/96/37/40/983963740.db2.gz KHXBOERMDZRQJL-FNORWQNLSA-N 0 3 229.283 2.577 20 0 BFADHN C[C@@H](O)c1ccc(-c2cc(N(C)C)ccn2)cc1 ZINC001242643240 984013400 /nfs/dbraw/zinc/01/34/00/984013400.db2.gz UNHLYAAHHMAVJB-LLVKDONJSA-N 0 3 242.322 2.868 20 0 BFADHN Cc1c(N)ccnc1-c1ccnc(OC2CC2)c1 ZINC001242721969 984075294 /nfs/dbraw/zinc/07/52/94/984075294.db2.gz OSAYHOZQWKDIPR-UHFFFAOYSA-N 0 3 241.294 2.575 20 0 BFADHN C/C=C(\C)c1cncc([C@H]2CN3CCC2CC3)n1 ZINC001242757498 984114587 /nfs/dbraw/zinc/11/45/87/984114587.db2.gz LBYXQZFYVTZQKO-TWXILYBASA-N 0 3 243.354 2.709 20 0 BFADHN C[C@]1(F)CCN(CC2CCC2)C[C@@H]1F ZINC001202705098 984161771 /nfs/dbraw/zinc/16/17/71/984161771.db2.gz BLCQGQCZRJANND-QWRGUYRKSA-N 0 3 203.276 2.559 20 0 BFADHN COc1cc(C)ccc1-c1ccc(CN)nc1 ZINC001242980149 984347848 /nfs/dbraw/zinc/34/78/48/984347848.db2.gz WWHSLBNWKHYRLJ-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN C[NH+](C)Cc1cncc(-c2ccc(F)c([O-])c2)c1 ZINC001243063987 984459222 /nfs/dbraw/zinc/45/92/22/984459222.db2.gz YNTXZAPZDARDFC-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN Cn1c(-c2cccc(CN)n2)cc2ccccc21 ZINC001243352076 984838143 /nfs/dbraw/zinc/83/81/43/984838143.db2.gz WNYFHRAEACSNGU-UHFFFAOYSA-N 0 3 237.306 2.699 20 0 BFADHN Cc1cc(-c2cncc(CN(C)C)c2)cnc1F ZINC001243398667 984889038 /nfs/dbraw/zinc/88/90/38/984889038.db2.gz RUXDRKUEHCJJNL-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN CCC[C@@H](C)n1nc(CN)c2cc(OC)ccc21 ZINC001257313323 984889889 /nfs/dbraw/zinc/88/98/89/984889889.db2.gz AVSLHMMBOBHILU-SNVBAGLBSA-N 0 3 247.342 2.865 20 0 BFADHN CCC[C@@H](C)N1CCN(c2ncccc2C)CC1 ZINC001257316999 984891310 /nfs/dbraw/zinc/89/13/10/984891310.db2.gz PMNNXUNKXHDFNP-CQSZACIVSA-N 0 3 247.386 2.701 20 0 BFADHN CCC[C@@H](C)N1CCc2ncc(C)cc2C1 ZINC001257327377 984897680 /nfs/dbraw/zinc/89/76/80/984897680.db2.gz KBSNZSJGQKZDJN-GFCCVEGCSA-N 0 3 218.344 2.937 20 0 BFADHN CCCCCC[C@H](C)N1CCCN(C)C(=O)C1 ZINC001257368725 984930313 /nfs/dbraw/zinc/93/03/13/984930313.db2.gz ODHJLWPJCZTOSS-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN COC/C(C)=C/CN1CCC=C(c2ccco2)C1 ZINC000930442051 984953267 /nfs/dbraw/zinc/95/32/67/984953267.db2.gz MDNDHXPHMYMXKI-NTUHNPAUSA-N 0 3 247.338 2.961 20 0 BFADHN CCCC[C@@H](CC)N1CC[C@](O)(CF)[C@@H](F)C1 ZINC001257417777 984971743 /nfs/dbraw/zinc/97/17/43/984971743.db2.gz HESPJRRTERYCOO-AGIUHOORSA-N 0 3 249.345 2.700 20 0 BFADHN CCCC[C@@H](CC)N1CC[C@](F)(C(=O)OC)C1 ZINC001257419413 984978704 /nfs/dbraw/zinc/97/87/04/984978704.db2.gz WLNPVAJYDQICKL-DGCLKSJQSA-N 0 3 245.338 2.542 20 0 BFADHN CCCC[C@H](CC)N1CCC[C@H]1C(=O)OCC ZINC001257424138 984982335 /nfs/dbraw/zinc/98/23/35/984982335.db2.gz BJDLIVCMENWMSS-STQMWFEESA-N 0 3 241.375 2.983 20 0 BFADHN CCCC[C@H](CC)N1CC(N2CC[C@H](F)C2)C1 ZINC001257424520 984983454 /nfs/dbraw/zinc/98/34/54/984983454.db2.gz FVFYFBBKQRQVJS-STQMWFEESA-N 0 3 242.382 2.683 20 0 BFADHN CC[C@@H](C)N1CCCC(F)(F)[C@H](F)C1 ZINC001257437610 985010847 /nfs/dbraw/zinc/01/08/47/985010847.db2.gz KLLCSYASYXQSSR-RKDXNWHRSA-N 0 3 209.255 2.854 20 0 BFADHN CC[C@@H](C)N1CCc2nc(Cl)ccc2C1 ZINC001257442908 985017321 /nfs/dbraw/zinc/01/73/21/985017321.db2.gz PRPMHNAASAYXMB-SECBINFHSA-N 0 3 224.735 2.892 20 0 BFADHN [O-]c1cccc(-c2ccc3c(c2)CC[NH2+]C3)c1F ZINC001243544211 985080626 /nfs/dbraw/zinc/08/06/26/985080626.db2.gz DQVGVXRSGNQTGM-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN [NH3+]Cc1cccc(-c2cccc([O-])c2F)c1F ZINC001243545327 985082811 /nfs/dbraw/zinc/08/28/11/985082811.db2.gz DXAFDWQYWDAKBL-UHFFFAOYSA-N 0 3 235.233 2.796 20 0 BFADHN [O-]c1cccc(-c2ccc3c(c2)C[NH2+]C3)c1F ZINC001243545761 985092840 /nfs/dbraw/zinc/09/28/40/985092840.db2.gz BCOHHRFSGMVOQT-UHFFFAOYSA-N 0 3 229.254 2.802 20 0 BFADHN [NH3+]Cc1ccc(-c2cccc([O-])c2F)cc1 ZINC001243547019 985096325 /nfs/dbraw/zinc/09/63/25/985096325.db2.gz SOWFUEYZPUHOFX-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN CN(C)Cc1cncc(-c2cccc(O)c2F)c1 ZINC001243546799 985099074 /nfs/dbraw/zinc/09/90/74/985099074.db2.gz GXBTVRKTRYSRHN-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN Cc1ccc(CN[C@@H]2COC3(CCC3)C2)c(F)c1 ZINC000686499530 985122502 /nfs/dbraw/zinc/12/25/02/985122502.db2.gz JRCUJADUBLSVRC-ZDUSSCGKSA-N 0 3 249.329 2.935 20 0 BFADHN CSc1ccc(-c2ccc(CN)nc2)c(C)n1 ZINC001243581316 985141390 /nfs/dbraw/zinc/14/13/90/985141390.db2.gz YVXCFFWVSXMJOT-UHFFFAOYSA-N 0 3 245.351 2.633 20 0 BFADHN CC(F)(F)c1ccc(-c2cc(CN)ncn2)cc1 ZINC001243643797 985151864 /nfs/dbraw/zinc/15/18/64/985151864.db2.gz WMQCICLPLPFKHQ-UHFFFAOYSA-N 0 3 249.264 2.714 20 0 BFADHN Cc1nocc1-c1cncc(CN2CCCC2)c1 ZINC001243678476 985187546 /nfs/dbraw/zinc/18/75/46/985187546.db2.gz UTQONCACTUTCKH-UHFFFAOYSA-N 0 3 243.310 2.641 20 0 BFADHN Cc1cc(F)ncc1-c1cccc(CN(C)C)n1 ZINC001243759552 985291240 /nfs/dbraw/zinc/29/12/40/985291240.db2.gz WHSUSAAYAOVJOA-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN CCOc1ncc(-c2cc(N)c(C)cn2)cc1F ZINC001243772109 985304035 /nfs/dbraw/zinc/30/40/35/985304035.db2.gz ZYABKQWZNKXYJX-UHFFFAOYSA-N 0 3 247.273 2.572 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)N1CC[C@@H](O)[C@H](F)C1 ZINC001258063768 985368072 /nfs/dbraw/zinc/36/80/72/985368072.db2.gz NXZGAHQXROLRQL-IGQOVBAYSA-N 0 3 245.382 2.852 20 0 BFADHN CN(CCOC(C)(C)C)CCC(=O)C(C)(C)C ZINC000690058706 985368412 /nfs/dbraw/zinc/36/84/12/985368412.db2.gz KLNVFOQJVKYPAI-UHFFFAOYSA-N 0 3 243.391 2.739 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)N1CC[C@@H](O)[C@@H](F)C1 ZINC001258063774 985372764 /nfs/dbraw/zinc/37/27/64/985372764.db2.gz NXZGAHQXROLRQL-XDQVBPFNSA-N 0 3 245.382 2.852 20 0 BFADHN CO[C@@H]1CC=C(c2cc(CN(C)C)ccn2)CC1 ZINC001243805532 985379852 /nfs/dbraw/zinc/37/98/52/985379852.db2.gz MATSJIHSMSIAIQ-CQSZACIVSA-N 0 3 246.354 2.726 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CC[C@](F)(CO)C1 ZINC001258086629 985390201 /nfs/dbraw/zinc/39/02/01/985390201.db2.gz VLHXVZWHKOEEPC-CHWSQXEVSA-N 0 3 229.339 2.528 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CC[C@@](F)(CO)C1 ZINC001258086630 985390399 /nfs/dbraw/zinc/39/03/99/985390399.db2.gz VLHXVZWHKOEEPC-OLZOCXBDSA-N 0 3 229.339 2.528 20 0 BFADHN c1cc2c(c(-c3nccc4c3CCN4)c1)CCN2 ZINC001243850625 985489748 /nfs/dbraw/zinc/48/97/48/985489748.db2.gz XCODUVULCUWUTI-UHFFFAOYSA-N 0 3 237.306 2.685 20 0 BFADHN C[C@H]1C[C@H](NCC(=O)c2cccnc2)C[C@@H](C)C1 ZINC001258158497 985516544 /nfs/dbraw/zinc/51/65/44/985516544.db2.gz QOXLHDSOLGMOBJ-IMRBUKKESA-N 0 3 246.354 2.679 20 0 BFADHN CC(C)c1noc(-c2cc3c(s2)CCNC3)n1 ZINC000688052993 985542934 /nfs/dbraw/zinc/54/29/34/985542934.db2.gz KWZSCFTZSOTVAS-UHFFFAOYSA-N 0 3 249.339 2.567 20 0 BFADHN C[C@H](Cc1ccco1)NCc1cccnc1F ZINC001258176117 985563960 /nfs/dbraw/zinc/56/39/60/985563960.db2.gz JCWCRGLAXXYFKP-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN Fc1cc(C2=CNCCC2)ccc1C1OCCO1 ZINC001243949249 985638519 /nfs/dbraw/zinc/63/85/19/985638519.db2.gz BRTYRQAXLUEDNC-UHFFFAOYSA-N 0 3 249.285 2.595 20 0 BFADHN CC(C)[S@@](=O)c1ccc(C2=CNCCC2)cc1 ZINC001243949825 985643099 /nfs/dbraw/zinc/64/30/99/985643099.db2.gz JHXNPVTWWCTVRA-QGZVFWFLSA-N 0 3 249.379 2.927 20 0 BFADHN CC(C)COc1ccc(C2=CNCCC2)cn1 ZINC001243951576 985655987 /nfs/dbraw/zinc/65/59/87/985655987.db2.gz ICCINONDBUXVDS-UHFFFAOYSA-N 0 3 232.327 2.841 20 0 BFADHN COC(=O)c1ccc(C2=CNCCC2)c(C)c1 ZINC001243951575 985657123 /nfs/dbraw/zinc/65/71/23/985657123.db2.gz BCUIQMWVSPMIEC-UHFFFAOYSA-N 0 3 231.295 2.506 20 0 BFADHN Cc1nc(-c2ccc(C3=CNCCC3)cc2)no1 ZINC001243952355 985659210 /nfs/dbraw/zinc/65/92/10/985659210.db2.gz KCNBFDSMWGXNIF-UHFFFAOYSA-N 0 3 241.294 2.769 20 0 BFADHN C(C1CCCCCC1)N(C1CC1)C1COC1 ZINC001460143423 985770209 /nfs/dbraw/zinc/77/02/09/985770209.db2.gz CXFBBXJTPKPKCF-UHFFFAOYSA-N 0 3 223.360 2.820 20 0 BFADHN CO[C@@H]1CC=C(Nc2ncc(O)cc2C)CC1 ZINC001212872137 985818237 /nfs/dbraw/zinc/81/82/37/985818237.db2.gz NJDXTILWMXSBOR-GFCCVEGCSA-N 0 3 234.299 2.590 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CC(C)(C)C[C@H]1C ZINC001460253400 985818535 /nfs/dbraw/zinc/81/85/35/985818535.db2.gz XKCLNIZONZXQTR-VXGBXAGGSA-N 0 3 241.375 2.839 20 0 BFADHN Cc1cncc(-c2cc(CN(C)C)ccn2)c1C ZINC001244153163 985867458 /nfs/dbraw/zinc/86/74/58/985867458.db2.gz ZHRINGBCBNYORI-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN Cc1cncc(-c2ccc3[nH]c(N)nc3c2)c1C ZINC001244154607 985870460 /nfs/dbraw/zinc/87/04/60/985870460.db2.gz XLJGLBVOPUFBRI-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN Cc1cncc(-c2ccc3nc(N)[nH]c3c2)c1C ZINC001244154607 985870466 /nfs/dbraw/zinc/87/04/66/985870466.db2.gz XLJGLBVOPUFBRI-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN CCCCC[C@@H](CCC)N1CC(=O)NC[C@H]1C ZINC001258673841 986004785 /nfs/dbraw/zinc/00/47/85/986004785.db2.gz PKFPEYVCVQZZCN-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1cnc(CN[C@@H]2C[C@H]2C(C)C)s1 ZINC001461836515 986191463 /nfs/dbraw/zinc/19/14/63/986191463.db2.gz GAQJOWDOKOCYPO-VHSXEESVSA-N 0 3 210.346 2.586 20 0 BFADHN CC(C)[C@H](CO)NCc1cscc1Cl ZINC001461837677 986194350 /nfs/dbraw/zinc/19/43/50/986194350.db2.gz VYJXZORIOCJGIY-JTQLQIEISA-N 0 3 233.764 2.508 20 0 BFADHN CCCn1cncc1CN1CCC[C@@H](C)[C@@H]1C ZINC001461850732 986201609 /nfs/dbraw/zinc/20/16/09/986201609.db2.gz QGRHOBOUWYQRRB-OLZOCXBDSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1cc(-c2[nH+]ccc3c2CCN3)cc([O-])c1F ZINC001244506972 986211286 /nfs/dbraw/zinc/21/12/86/986211286.db2.gz RJVMAMGLMBXIHD-UHFFFAOYSA-N 0 3 244.269 2.870 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)N1CCC(=O)CC1 ZINC001258789109 986217725 /nfs/dbraw/zinc/21/77/25/986217725.db2.gz VWGRSSMSGWWITO-VXGBXAGGSA-N 0 3 211.349 2.866 20 0 BFADHN COc1nc(CN2CC[C@H](C)[C@H](C)C2)ccc1C ZINC001461955434 986243542 /nfs/dbraw/zinc/24/35/42/986243542.db2.gz NNTZHHVPUXISQZ-WCQYABFASA-N 0 3 248.370 2.877 20 0 BFADHN Cc1csc2nc(C)c(CN3CC[C@@H](C)C3)n12 ZINC001461982499 986248072 /nfs/dbraw/zinc/24/80/72/986248072.db2.gz JVKPFYFINNRGOJ-SECBINFHSA-N 0 3 249.383 2.854 20 0 BFADHN OCc1c(F)cccc1-c1cncc2cc[nH]c21 ZINC001244561961 986251564 /nfs/dbraw/zinc/25/15/64/986251564.db2.gz WOCTVKMZZAZGNG-UHFFFAOYSA-N 0 3 242.253 2.861 20 0 BFADHN COc1ccc(C)c(F)c1-c1ccc(CN)nc1 ZINC001244570142 986259716 /nfs/dbraw/zinc/25/97/16/986259716.db2.gz VETIWRFZSCEWTA-UHFFFAOYSA-N 0 3 246.285 2.663 20 0 BFADHN CNc1ccccc1CN1CC(C)(CCOC)C1 ZINC001462330255 986352117 /nfs/dbraw/zinc/35/21/17/986352117.db2.gz GULFXUBANQHTMX-UHFFFAOYSA-N 0 3 248.370 2.587 20 0 BFADHN Nc1cc(Nc2cccc([O-])c2Cl)cc[nH+]1 ZINC001206562017 986355442 /nfs/dbraw/zinc/35/54/42/986355442.db2.gz VCJALHWTZUALEL-UHFFFAOYSA-N 0 3 235.674 2.766 20 0 BFADHN CCCN(Cc1ccn(CC)n1)C(C)C ZINC001462388617 986368158 /nfs/dbraw/zinc/36/81/58/986368158.db2.gz TVEWXVHUGSIFQC-UHFFFAOYSA-N 0 3 209.337 2.523 20 0 BFADHN C[C@H](CNCc1ncccc1F)c1ccncc1 ZINC001462492164 986404766 /nfs/dbraw/zinc/40/47/66/986404766.db2.gz WFKCTCRIKBMBIS-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN COc1ccc(-c2cncc3cc[nH]c32)cc1N ZINC001244897545 986427784 /nfs/dbraw/zinc/42/77/84/986427784.db2.gz KCIGMFWJTANDMG-UHFFFAOYSA-N 0 3 239.278 2.821 20 0 BFADHN CN(Cc1cnccn1)C1CCC2(CC2)CC1 ZINC001254448063 986646270 /nfs/dbraw/zinc/64/62/70/986646270.db2.gz NVLFIGUNVDJBLZ-UHFFFAOYSA-N 0 3 231.343 2.631 20 0 BFADHN CC(C)(O)c1cc(F)cc(-c2cc(N)ccn2)c1 ZINC001245139996 986672583 /nfs/dbraw/zinc/67/25/83/986672583.db2.gz NIOVLRHPAIVXDD-UHFFFAOYSA-N 0 3 246.285 2.697 20 0 BFADHN CC(=O)c1cccc(-c2cccc(CN)n2)c1F ZINC001245147193 986676718 /nfs/dbraw/zinc/67/67/18/986676718.db2.gz CFQLTOYRBHQGRT-UHFFFAOYSA-N 0 3 244.269 2.549 20 0 BFADHN CC[C@H](C)N(C)Cc1nc2ccccn2c1C ZINC001137269781 986707603 /nfs/dbraw/zinc/70/76/03/986707603.db2.gz ZKNOKXVSUBCPNV-NSHDSACASA-N 0 3 231.343 2.873 20 0 BFADHN Cc1csc(CN2CC[C@H](CO)C2)c1Cl ZINC001137291379 986729863 /nfs/dbraw/zinc/72/98/63/986729863.db2.gz QGAHECBFILRQOR-VIFPVBQESA-N 0 3 245.775 2.524 20 0 BFADHN Cc1cc(C)c(CN2CC[C@@H]3CCCO[C@@H]3C2)[nH]1 ZINC001137322711 986772663 /nfs/dbraw/zinc/77/26/63/986772663.db2.gz NTCZGGIHBJPBQP-DZGCQCFKSA-N 0 3 248.370 2.632 20 0 BFADHN Cc1cc(C)c(CN2CC[C@H]3O[C@@H](C)C[C@H]3C2)[nH]1 ZINC001137324774 986777018 /nfs/dbraw/zinc/77/70/18/986777018.db2.gz XZADMUKNGUFDOI-KCQAQPDRSA-N 0 3 248.370 2.631 20 0 BFADHN Cc1cc(C)c(CN2CC[C@@H](OC(C)C)C2)[nH]1 ZINC001137331333 986788208 /nfs/dbraw/zinc/78/82/08/986788208.db2.gz ZYBFBQRLZFDCOG-CYBMUJFWSA-N 0 3 236.359 2.631 20 0 BFADHN Cc1cc(C)c(CN(C)C[C@H]2CCCCO2)[nH]1 ZINC001137329840 986792680 /nfs/dbraw/zinc/79/26/80/986792680.db2.gz RFMFOKMTEXNFQQ-CYBMUJFWSA-N 0 3 236.359 2.632 20 0 BFADHN c1cc(-c2cccc(C3=NCCC3)c2)n[nH]1 ZINC001245312374 986797933 /nfs/dbraw/zinc/79/79/33/986797933.db2.gz AIGTVQHNUBPRFM-UHFFFAOYSA-N 0 3 211.268 2.660 20 0 BFADHN Nc1nc2cc(-c3cc4cccnc4[nH]3)ccc2[nH]1 ZINC001245402909 986843842 /nfs/dbraw/zinc/84/38/42/986843842.db2.gz GOQSEQMNQPGANF-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN Nc1nc2ccc(-c3cc4cccnc4[nH]3)cc2[nH]1 ZINC001245402909 986843847 /nfs/dbraw/zinc/84/38/47/986843847.db2.gz GOQSEQMNQPGANF-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN Clc1ncccc1CN1CC2CC(C2)C1 ZINC001137428872 986892506 /nfs/dbraw/zinc/89/25/06/986892506.db2.gz ZWJGEBIUCWZJEY-UHFFFAOYSA-N 0 3 222.719 2.577 20 0 BFADHN COc1cccc2c1CCN([C@H]1CCSC1)C2 ZINC001255292679 986893345 /nfs/dbraw/zinc/89/33/45/986893345.db2.gz HVJLVRIEBNPRLL-LBPRGKRZSA-N 0 3 249.379 2.559 20 0 BFADHN CCCC[C@H](C)N1CC(N2CCC(F)CC2)C1 ZINC001255329113 986920697 /nfs/dbraw/zinc/92/06/97/986920697.db2.gz JGLOVAUUSWPZRE-LBPRGKRZSA-N 0 3 242.382 2.683 20 0 BFADHN Cc1cc(-c2cncc3cc[nH]c32)cnc1N ZINC001245539773 986926149 /nfs/dbraw/zinc/92/61/49/986926149.db2.gz YPTWZIMVLCQKBP-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Cc1cc(F)c(-c2ccnc(CN)c2)cc1F ZINC001245544136 986935083 /nfs/dbraw/zinc/93/50/83/986935083.db2.gz VWTWZTCCSANEMB-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN Cc1ccc2[nH]c(-c3ccnc(CN)c3)cc2c1 ZINC001245571935 986968238 /nfs/dbraw/zinc/96/82/38/986968238.db2.gz NHVZYWBHLPKBBK-UHFFFAOYSA-N 0 3 237.306 2.997 20 0 BFADHN Nc1ccncc1-c1cc2cc(F)ccc2[nH]1 ZINC001245577054 986972990 /nfs/dbraw/zinc/97/29/90/986972990.db2.gz ODBHKEBQBRGYKA-UHFFFAOYSA-N 0 3 227.242 2.782 20 0 BFADHN CC(C)CC[C@H](C)N1C[C@H]2CC[C@@H]1CO2 ZINC001256375114 987196242 /nfs/dbraw/zinc/19/62/42/987196242.db2.gz RQDSNURGSFOUAG-YNEHKIRRSA-N 0 3 211.349 2.674 20 0 BFADHN CC[C@@H]1c2ccccc2CCN1CC1=NOCC1 ZINC001473169112 987354919 /nfs/dbraw/zinc/35/49/19/987354919.db2.gz UUZJMPMKKBMXPD-OAHLLOKOSA-N 0 3 244.338 2.772 20 0 BFADHN CC[C@@H]1CCN(Cc2cc(OC)ns2)[C@H]1C ZINC001473401974 987420494 /nfs/dbraw/zinc/42/04/94/987420494.db2.gz GMQHRBFYLCBVAH-VHSXEESVSA-N 0 3 240.372 2.772 20 0 BFADHN Cc1cnc(CN2CC[C@H]3CCCC[C@@H]3C2)cn1 ZINC001115983952 987423738 /nfs/dbraw/zinc/42/37/38/987423738.db2.gz FSBYMFYKMAIDBC-ZIAGYGMSSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1cc(CN(C2CC2)C2CCCC2)[nH]n1 ZINC001116439656 987569174 /nfs/dbraw/zinc/56/91/74/987569174.db2.gz LXPDVPLGNPUOPL-UHFFFAOYSA-N 0 3 219.332 2.625 20 0 BFADHN Cc1cccnc1CN1CCCC[C@@]12CCOC2 ZINC001473895806 987606773 /nfs/dbraw/zinc/60/67/73/987606773.db2.gz WOCZFQPIEUWIEV-HNNXBMFYSA-N 0 3 246.354 2.535 20 0 BFADHN CCCn1cncc1CN1CC[C@@H](C)[C@H](C)C1 ZINC001474369705 987698494 /nfs/dbraw/zinc/69/84/94/987698494.db2.gz KAUQYJDWNWXYGX-CHWSQXEVSA-N 0 3 235.375 2.771 20 0 BFADHN CCC[C@@H]1CN(CC2(C(C)C)CC2)CCO1 ZINC001474370743 987701994 /nfs/dbraw/zinc/70/19/94/987701994.db2.gz BMLZMJWHPVPTOQ-CYBMUJFWSA-N 0 3 225.376 2.924 20 0 BFADHN COC1(C)CN(C[C@H]2CCC[C@@H](C)C2)C1 ZINC001474505325 987741374 /nfs/dbraw/zinc/74/13/74/987741374.db2.gz RTFZSWUJVUSQRC-NEPJUHHUSA-N 0 3 211.349 2.533 20 0 BFADHN Fc1nccc(Cl)c1CN1CC[C@@H]2C[C@@H]2C1 ZINC001474639519 987790034 /nfs/dbraw/zinc/79/00/34/987790034.db2.gz HHPNIKJMOUEXKV-RKDXNWHRSA-N 0 3 240.709 2.716 20 0 BFADHN Fc1cccnc1CNC1CCC=CCC1 ZINC001474711885 987805212 /nfs/dbraw/zinc/80/52/12/987805212.db2.gz DIGMDXMJSSLNHW-UHFFFAOYSA-N 0 3 220.291 2.809 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N1C[C@@H](F)[C@@H](N)C1 ZINC001246531168 987887104 /nfs/dbraw/zinc/88/71/04/987887104.db2.gz PASVONVDTPLVSS-XQHKEYJVSA-N 0 3 230.371 2.572 20 0 BFADHN CCCCN(CC)C(=O)[C@@H](N)C1CCCCC1 ZINC001118198622 988089878 /nfs/dbraw/zinc/08/98/78/988089878.db2.gz QMYZHUYQLSWRIX-ZDUSSCGKSA-N 0 3 240.391 2.543 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CCC(F)(F)[C@@H](N)C1 ZINC001246582567 988128513 /nfs/dbraw/zinc/12/85/13/988128513.db2.gz NVVZVWXCNVMCAT-NEPJUHHUSA-N 0 3 246.345 2.790 20 0 BFADHN Cc1ccc(C[C@@H](C)NCc2ccno2)cc1 ZINC001118264431 988166169 /nfs/dbraw/zinc/16/61/69/988166169.db2.gz HGWUZRFBUJDWBT-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN CCOC1CCN(Cc2cccn2C2CC2)CC1 ZINC001118300481 988204357 /nfs/dbraw/zinc/20/43/57/988204357.db2.gz URNWJCBSMXICQC-UHFFFAOYSA-N 0 3 248.370 2.824 20 0 BFADHN c1cc(CN2CC[C@H]3CCCC[C@H]3C2)on1 ZINC001322738951 988371832 /nfs/dbraw/zinc/37/18/32/988371832.db2.gz OPFILTMOKDIBQL-NEPJUHHUSA-N 0 3 220.316 2.687 20 0 BFADHN CS[C@@H]1CC[C@H](N(C)Cc2conc2C)C1 ZINC001118495620 988384621 /nfs/dbraw/zinc/38/46/21/988384621.db2.gz XMOCSNHDJCKCAV-NWDGAFQWSA-N 0 3 240.372 2.699 20 0 BFADHN CS[C@H]1CC[C@H](N(C)Cc2conc2C)C1 ZINC001118495621 988386685 /nfs/dbraw/zinc/38/66/85/988386685.db2.gz XMOCSNHDJCKCAV-RYUDHWBXSA-N 0 3 240.372 2.699 20 0 BFADHN COc1cc2c(c(CNC3(C)CC3)c1)O[C@@H](C)C2 ZINC001322985528 988424123 /nfs/dbraw/zinc/42/41/23/988424123.db2.gz DINJPIUTLVNQTJ-JTQLQIEISA-N 0 3 247.338 2.661 20 0 BFADHN CC(C)(C)C1CCC(N2C[C@@H](N)[C@@H](F)C2)CC1 ZINC001246819944 988504108 /nfs/dbraw/zinc/50/41/08/988504108.db2.gz LKJFDHVKCVUXFL-IFWUJCSASA-N 0 3 242.382 2.572 20 0 BFADHN CCO[C@H](CN(C)CCC(F)(F)F)C1CC1 ZINC001118816370 988602012 /nfs/dbraw/zinc/60/20/12/988602012.db2.gz SJDMDDBJVGRUEP-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN CN[C@@H]1CCN1C1CCC(C(C)(C)C)CC1 ZINC001246894739 988664347 /nfs/dbraw/zinc/66/43/47/988664347.db2.gz BWFQUYCATMFUTP-BPCQOVAHSA-N 0 3 224.392 2.843 20 0 BFADHN CN[C@@H]1CCN1[C@H](C)Cc1cccc(Cl)c1 ZINC001246897177 988669547 /nfs/dbraw/zinc/66/95/47/988669547.db2.gz IKTVSVDLWFNDDP-MFKMUULPSA-N 0 3 238.762 2.522 20 0 BFADHN CCCC[C@H](CC(C)C)N1CC[C@H]1NC ZINC001246901919 988674173 /nfs/dbraw/zinc/67/41/73/988674173.db2.gz FPAQLZMSLUGMLU-OLZOCXBDSA-N 0 3 212.381 2.843 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CCc1ccc(F)cc1F ZINC001324275506 988778771 /nfs/dbraw/zinc/77/87/71/988778771.db2.gz DYWFQPCMQQOBHU-VHSXEESVSA-N 0 3 225.282 2.848 20 0 BFADHN CC[C@H]1CN(Cc2cccn2C2CC2)CCCO1 ZINC001324428932 988839185 /nfs/dbraw/zinc/83/91/85/988839185.db2.gz MRWGPNUGONARPJ-HNNXBMFYSA-N 0 3 248.370 2.824 20 0 BFADHN C[C@H](N)c1nc2c(cc(F)c(Cl)c2F)[nH]1 ZINC001247017858 988845630 /nfs/dbraw/zinc/84/56/30/988845630.db2.gz POPGFGRFJPNVKG-VKHMYHEASA-N 0 3 231.633 2.514 20 0 BFADHN C[C@H](N)c1nc2ccc(F)cc2n1C(C)(C)C ZINC001247040967 988847662 /nfs/dbraw/zinc/84/76/62/988847662.db2.gz PQYMNJGNSJPRFH-QMMMGPOBSA-N 0 3 235.306 2.950 20 0 BFADHN CCCn1c([C@@H](C)N)nc2ccc(F)c(F)c21 ZINC001247042249 988848427 /nfs/dbraw/zinc/84/84/27/988848427.db2.gz VEKQMZUCKDXJNH-SSDOTTSWSA-N 0 3 239.269 2.744 20 0 BFADHN C=Cn1cc(CN2CC[C@@H]3CCCC[C@@H]3C2)cn1 ZINC001324761034 988971048 /nfs/dbraw/zinc/97/10/48/988971048.db2.gz YBALMKPCPOTPRN-LSDHHAIUSA-N 0 3 245.370 2.996 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cccn2C2CC2)C1 ZINC001325110885 989085501 /nfs/dbraw/zinc/08/55/01/989085501.db2.gz IBLJWZIXLLVWSN-OAHLLOKOSA-N 0 3 248.370 2.824 20 0 BFADHN C(=C/C1CC1)\CNCc1ccc2c(n1)CCC2 ZINC001325502263 989197805 /nfs/dbraw/zinc/19/78/05/989197805.db2.gz PCUJODAQTYSZDG-NSCUHMNNSA-N 0 3 228.339 2.626 20 0 BFADHN Cc1cc(C)nc(CN2CC3CCC(CC3)C2)n1 ZINC001248977545 989236572 /nfs/dbraw/zinc/23/65/72/989236572.db2.gz NGYCEHYGHCCRSM-UHFFFAOYSA-N 0 3 245.370 2.715 20 0 BFADHN CCCN(Cc1nc(C)cc(C)n1)CC(C)C ZINC001248983124 989236621 /nfs/dbraw/zinc/23/66/21/989236621.db2.gz SIOKWOCAOIBMOV-UHFFFAOYSA-N 0 3 235.375 2.961 20 0 BFADHN c1ccc(-c2noc([C@@H]3CC4(CC4)CN3)n2)cc1 ZINC001249211976 989261798 /nfs/dbraw/zinc/26/17/98/989261798.db2.gz CHGZDSLWOGJAGM-NSHDSACASA-N 0 3 241.294 2.551 20 0 BFADHN c1ccc(-c2noc([C@H]3N[C@@H]4CC[C@H]3C4)n2)cc1 ZINC001249211173 989264575 /nfs/dbraw/zinc/26/45/75/989264575.db2.gz ZEKGVQVJJSWBME-TUAOUCFPSA-N 0 3 241.294 2.550 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1[C@H]1CC[C@@H](CC)C1 ZINC000344700610 989282696 /nfs/dbraw/zinc/28/26/96/989282696.db2.gz HDOBIUXYWXCALZ-AGIUHOORSA-N 0 3 239.359 2.593 20 0 BFADHN CCCC1CCN(Cc2cc(C)ncn2)CC1 ZINC001326110151 989377273 /nfs/dbraw/zinc/37/72/73/989377273.db2.gz CWMBYQWYFUMYDZ-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CCC[C@H]1CCCC[C@@H]1NCc1nncs1 ZINC001119743289 989378618 /nfs/dbraw/zinc/37/86/18/989378618.db2.gz QSSOUINKDLSYOK-QWRGUYRKSA-N 0 3 239.388 2.987 20 0 BFADHN Cc1cn(C)nc1CN(CC1CCC1)C(C)C ZINC001119772384 989399062 /nfs/dbraw/zinc/39/90/62/989399062.db2.gz XLCZQGYRACETGD-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@@H]1COCCN([C@@H]2CCc3c2cccc3F)C1 ZINC001119780051 989401199 /nfs/dbraw/zinc/40/11/99/989401199.db2.gz DEPHZKIPMPAIFN-XHDPSFHLSA-N 0 3 249.329 2.781 20 0 BFADHN F[C@H]1CCC[C@H](NCc2nccs2)C1 ZINC001326492052 989585848 /nfs/dbraw/zinc/58/58/48/989585848.db2.gz VKHVCCNACRYBMJ-IUCAKERBSA-N 0 3 214.309 2.513 20 0 BFADHN C[C@@]1(C(=O)Nc2cccc3c2CNC3)C=CCC1 ZINC001522496903 989859215 /nfs/dbraw/zinc/85/92/15/989859215.db2.gz QRDBIQIDMTUTFX-OAHLLOKOSA-N 0 3 242.322 2.585 20 0 BFADHN CCC[C@@H](C)NC(=O)[C@H](N)C1CCCCCC1 ZINC001121833183 990097394 /nfs/dbraw/zinc/09/73/94/990097394.db2.gz NSBWYSJVSGKYND-DGCLKSJQSA-N 0 3 240.391 2.589 20 0 BFADHN CCC/C=C\C(=O)Nc1cccc2c1CNC2 ZINC001122137300 990253091 /nfs/dbraw/zinc/25/30/91/990253091.db2.gz NEVBASQMYFMPKW-YWEYNIOJSA-N 0 3 230.311 2.585 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)NC(C(C)C)C(C)C ZINC001122486321 990396755 /nfs/dbraw/zinc/39/67/55/990396755.db2.gz WYWFLIWXXHKBNY-NEPJUHHUSA-N 0 3 242.407 2.547 20 0 BFADHN Cc1cccc(CN2CCCC3(COC3)C2)c1F ZINC001137673616 990837700 /nfs/dbraw/zinc/83/77/00/990837700.db2.gz KZZNDFODZDXDGN-UHFFFAOYSA-N 0 3 249.329 2.747 20 0 BFADHN Cc1cccc(CN2C[C@H]3OC[C@@H](C)[C@H]3C2)c1F ZINC001137678575 990840814 /nfs/dbraw/zinc/84/08/14/990840814.db2.gz OQJBPOKBMUAFAR-MRVWCRGKSA-N 0 3 249.329 2.601 20 0 BFADHN C[C@@H]1CN(Cc2ccc(F)nc2)C2(CC2)C1 ZINC001137743020 990847940 /nfs/dbraw/zinc/84/79/40/990847940.db2.gz VVQJADCSNQDQPX-JTQLQIEISA-N 0 3 220.291 2.595 20 0 BFADHN CN(C)Cc1cc(F)ccc1C(F)(F)F ZINC001138086700 990880228 /nfs/dbraw/zinc/88/02/28/990880228.db2.gz KKKLUJCCVDYHIJ-UHFFFAOYSA-N 0 3 221.197 2.906 20 0 BFADHN CCN(C)Cc1ccc(N2CCCCC2)o1 ZINC001138170701 990896673 /nfs/dbraw/zinc/89/66/73/990896673.db2.gz BCXOPIJJZMWDEF-UHFFFAOYSA-N 0 3 222.332 2.722 20 0 BFADHN Oc1cc(O)cc(CN2CC[C@H]3CCC[C@@H]3C2)c1 ZINC001138325920 990917383 /nfs/dbraw/zinc/91/73/83/990917383.db2.gz YZXHFRTXUMBMDX-CHWSQXEVSA-N 0 3 247.338 2.720 20 0 BFADHN CC(C)C1CCN(Cc2cc(O)cc(O)c2)CC1 ZINC001138331229 990921024 /nfs/dbraw/zinc/92/10/24/990921024.db2.gz RGPQTEZWQXPODD-UHFFFAOYSA-N 0 3 249.354 2.966 20 0 BFADHN Cc1c2ccccc2[nH]c1CN(C)[C@@H]1CCOC1 ZINC001138402783 990941530 /nfs/dbraw/zinc/94/15/30/990941530.db2.gz SEHMPSLRBDJZOF-GFCCVEGCSA-N 0 3 244.338 2.697 20 0 BFADHN CCOc1ccc(CN2CC=CC2)c(C)c1 ZINC001138430565 990945487 /nfs/dbraw/zinc/94/54/87/990945487.db2.gz BVLIUGKRQJVGCQ-UHFFFAOYSA-N 0 3 217.312 2.766 20 0 BFADHN CC(C)C[C@H](C)C[C@@H](C)N1C[C@@H]2C[C@H]1CO2 ZINC001258069785 990967083 /nfs/dbraw/zinc/96/70/83/990967083.db2.gz KWVOCNCVTLLOQU-CRWXNKLISA-N 0 3 225.376 2.920 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CCC[C@H]2C[C@H]21 ZINC001138580307 990976784 /nfs/dbraw/zinc/97/67/84/990976784.db2.gz AWFHHGPIDMSDCM-CMPLNLGQSA-N 0 3 233.359 2.692 20 0 BFADHN CC(C)=CCC[C@H](C)n1ccc(CN)n1 ZINC001258079165 990979343 /nfs/dbraw/zinc/97/93/43/990979343.db2.gz LOKDKXYVKARTQO-NSHDSACASA-N 0 3 207.321 2.649 20 0 BFADHN COc1ccc2c(CN3CC=CC3)c[nH]c2c1 ZINC001138587981 990981373 /nfs/dbraw/zinc/98/13/73/990981373.db2.gz QRPJPZUTZHLIQV-UHFFFAOYSA-N 0 3 228.295 2.548 20 0 BFADHN CC(C)=CCC[C@H](C)N1CCO[C@H](C)C1 ZINC001258088723 990992845 /nfs/dbraw/zinc/99/28/45/990992845.db2.gz OAMHDARDAOWRIE-QWHCGFSZSA-N 0 3 211.349 2.842 20 0 BFADHN CCCCN(C)Cc1cnc(SCC)nc1 ZINC001138649730 990996895 /nfs/dbraw/zinc/99/68/95/990996895.db2.gz AQQLASORVOFUQZ-UHFFFAOYSA-N 0 3 239.388 2.821 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CCc2nn(C)cc2C1 ZINC001258096706 991005143 /nfs/dbraw/zinc/00/51/43/991005143.db2.gz UKMXPMHTOKGTGZ-CYBMUJFWSA-N 0 3 247.386 2.913 20 0 BFADHN CC(C)=CCC[C@@H](C)N1C[C@H]2CC[C@@H]1CO2 ZINC001258096780 991008352 /nfs/dbraw/zinc/00/83/52/991008352.db2.gz ARPACFOHFZUDMC-MGPQQGTHSA-N 0 3 223.360 2.985 20 0 BFADHN CC(C)=CCC[C@H](C)N1CCc2nn(C)cc2C1 ZINC001258096707 991008712 /nfs/dbraw/zinc/00/87/12/991008712.db2.gz UKMXPMHTOKGTGZ-ZDUSSCGKSA-N 0 3 247.386 2.913 20 0 BFADHN CSc1ncc(CN2CCC3(CC3)CC2)cn1 ZINC001138702236 991009689 /nfs/dbraw/zinc/00/96/89/991009689.db2.gz YXWNUZVFSXRXNJ-UHFFFAOYSA-N 0 3 249.383 2.575 20 0 BFADHN CCOC(=O)C1CN([C@@H](C)CCC=C(C)C)C1 ZINC001258098838 991010916 /nfs/dbraw/zinc/01/09/16/991010916.db2.gz DXWRINAVMRKGJP-LBPRGKRZSA-N 0 3 239.359 2.616 20 0 BFADHN CCOC(=O)C1CN([C@H](C)CCC=C(C)C)C1 ZINC001258098834 991013158 /nfs/dbraw/zinc/01/31/58/991013158.db2.gz DXWRINAVMRKGJP-GFCCVEGCSA-N 0 3 239.359 2.616 20 0 BFADHN CCOC(=O)CCC[C@@H](C)N1CCC[C@@H](F)C1 ZINC001258127076 991026927 /nfs/dbraw/zinc/02/69/27/991026927.db2.gz LIDWHYVTUDJVJC-VXGBXAGGSA-N 0 3 245.338 2.542 20 0 BFADHN C[C@@H]1CC(NC2(c3cnccn3)CC2)C[C@@H](C)C1 ZINC001258157274 991040679 /nfs/dbraw/zinc/04/06/79/991040679.db2.gz ALOBTRKVDZICES-RYUDHWBXSA-N 0 3 245.370 2.880 20 0 BFADHN C[C@@H]1CCCCCN1Cc1cccnc1F ZINC001138789076 991050542 /nfs/dbraw/zinc/05/05/42/991050542.db2.gz SKUCWRXIKKCLAY-LLVKDONJSA-N 0 3 222.307 2.985 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1cccnc1F ZINC001138791134 991052709 /nfs/dbraw/zinc/05/27/09/991052709.db2.gz QVVJJVXLARMCCZ-LBPRGKRZSA-N 0 3 222.307 2.841 20 0 BFADHN CCCCN(C)Cc1cccc2ccnn21 ZINC001138804200 991062844 /nfs/dbraw/zinc/06/28/44/991062844.db2.gz AKKHBSXCUKDULC-UHFFFAOYSA-N 0 3 217.316 2.566 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3ccnn32)[C@@H](C)C1 ZINC001138805533 991063038 /nfs/dbraw/zinc/06/30/38/991063038.db2.gz BWARGVAUCBSFDG-OLZOCXBDSA-N 0 3 243.354 2.955 20 0 BFADHN CCCCC(=O)[C@H](C)N[C@@H](C)Cc1ccco1 ZINC001258177419 991077630 /nfs/dbraw/zinc/07/76/30/991077630.db2.gz SYRUAIUVUTURBA-RYUDHWBXSA-N 0 3 237.343 2.948 20 0 BFADHN CCCc1cc(CN)nn1[C@H](C)Cc1ccco1 ZINC001258182106 991084632 /nfs/dbraw/zinc/08/46/32/991084632.db2.gz VRVMPNFGCWNHDF-LLVKDONJSA-N 0 3 247.342 2.691 20 0 BFADHN CC1CC(N(C)Cc2cnc3ccccc3n2)C1 ZINC001138863407 991089563 /nfs/dbraw/zinc/08/95/63/991089563.db2.gz KSDIYQIWIZIVPD-UHFFFAOYSA-N 0 3 241.338 2.860 20 0 BFADHN C[C@@H](Cc1ccco1)N1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001258188867 991099356 /nfs/dbraw/zinc/09/93/56/991099356.db2.gz NSYWSHIMLUOXHT-UKJIMTQDSA-N 0 3 223.291 2.500 20 0 BFADHN Cc1c[nH]c(CN2CCC[C@@H](C)[C@H](C)C2)n1 ZINC001138935091 991102870 /nfs/dbraw/zinc/10/28/70/991102870.db2.gz BUGBNGCJYGXCKS-GHMZBOCLSA-N 0 3 221.348 2.586 20 0 BFADHN Cc1c[nH]c(CN2CCC[C@@H](C)[C@@H](C)C2)n1 ZINC001138935092 991103720 /nfs/dbraw/zinc/10/37/20/991103720.db2.gz BUGBNGCJYGXCKS-MNOVXSKESA-N 0 3 221.348 2.586 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2ncccc2F)C1 ZINC001308731564 991111220 /nfs/dbraw/zinc/11/12/20/991111220.db2.gz BHBALBLHHGKJAO-WDEREUQCSA-N 0 3 222.307 2.747 20 0 BFADHN CSCC[C@H](C)NC1(c2ccccn2)CC1 ZINC001258202855 991118577 /nfs/dbraw/zinc/11/85/77/991118577.db2.gz XUTHUZHUIJTZFG-NSHDSACASA-N 0 3 236.384 2.802 20 0 BFADHN CC[C@H](C)[C@H](C(=O)OC)N(C)CCC(C)C ZINC001559491474 991120805 /nfs/dbraw/zinc/12/08/05/991120805.db2.gz IYEWKLNBFUNJSL-NWDGAFQWSA-N 0 3 229.364 2.552 20 0 BFADHN CSCC[C@H](C)NCc1nc(C)ccc1F ZINC001258204601 991124074 /nfs/dbraw/zinc/12/40/74/991124074.db2.gz XFMWFAPDMMJZJD-VIFPVBQESA-N 0 3 242.363 2.760 20 0 BFADHN CSCC[C@H](C)NCc1ncn2ccccc12 ZINC001258208905 991127060 /nfs/dbraw/zinc/12/70/60/991127060.db2.gz IJRZDVZEJVEFCU-NSHDSACASA-N 0 3 249.383 2.566 20 0 BFADHN CO[C@@H](C)CNCc1cc(C2CC2)ccc1F ZINC001308786163 991130562 /nfs/dbraw/zinc/13/05/62/991130562.db2.gz JKLUCLTUMRAVJY-JTQLQIEISA-N 0 3 237.318 2.828 20 0 BFADHN CC[C@H](C)CN1CC(N(C)Cc2ccccc2)C1 ZINC001308806918 991146718 /nfs/dbraw/zinc/14/67/18/991146718.db2.gz DFXBXKZBUHBXMH-AWEZNQCLSA-N 0 3 246.398 2.849 20 0 BFADHN COc1cc(O)ccc1CN1CCC(C)(C)C1 ZINC001139140964 991164619 /nfs/dbraw/zinc/16/46/19/991164619.db2.gz VSAANQFOOIAZMU-UHFFFAOYSA-N 0 3 235.327 2.633 20 0 BFADHN c1nn(C2CCCCCCC2)c2c1CNCC2 ZINC001258237258 991181644 /nfs/dbraw/zinc/18/16/44/991181644.db2.gz HLEORDDOKFLLEM-UHFFFAOYSA-N 0 3 233.359 2.814 20 0 BFADHN c1cc(CN2C3CCC2CC3)cc2nsnc12 ZINC001139213354 991192207 /nfs/dbraw/zinc/19/22/07/991192207.db2.gz VWIRVNKCCISTFI-UHFFFAOYSA-N 0 3 245.351 2.818 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CN1CCO[C@@H](OC)C1 ZINC001308921311 991221397 /nfs/dbraw/zinc/22/13/97/991221397.db2.gz APQFWPPERIWDFO-HZSPNIEDSA-N 0 3 241.375 2.508 20 0 BFADHN Fc1cccnc1CNCC[C@@H]1CC[C@H]2C[C@H]21 ZINC001308944447 991227285 /nfs/dbraw/zinc/22/72/85/991227285.db2.gz WYYWNJSFUUGGCT-SRVKXCTJSA-N 0 3 234.318 2.747 20 0 BFADHN CN(C)c1cccc(CN2CCCC3(CC3)C2)n1 ZINC001559774762 991254305 /nfs/dbraw/zinc/25/43/05/991254305.db2.gz JTWJZKKDNPDCHN-UHFFFAOYSA-N 0 3 245.370 2.524 20 0 BFADHN CSC(C)(C)C[C@@H](C)N1CCCC(=O)CC1 ZINC001258336926 991259888 /nfs/dbraw/zinc/25/98/88/991259888.db2.gz IHJMKSJECCCDGL-LLVKDONJSA-N 0 3 243.416 2.962 20 0 BFADHN Cc1cc(CN2CC3CC(C3)C2)cnc1Cl ZINC001139545731 991269045 /nfs/dbraw/zinc/26/90/45/991269045.db2.gz BKRSROMVHDWKEL-UHFFFAOYSA-N 0 3 236.746 2.885 20 0 BFADHN CC[C@@H](C)N(C)Cc1cn2c(cccc2F)n1 ZINC001139567962 991273318 /nfs/dbraw/zinc/27/33/18/991273318.db2.gz GAXUKDJIHPDBJP-SNVBAGLBSA-N 0 3 235.306 2.704 20 0 BFADHN C[C@H]1COCCN1CC1CCC2(CC2)CC1 ZINC001559852353 991282716 /nfs/dbraw/zinc/28/27/16/991282716.db2.gz LYWYEYGWORRRDL-LBPRGKRZSA-N 0 3 223.360 2.678 20 0 BFADHN CCOc1ccncc1CN1C[C@@H](C)C[C@H](C)C1 ZINC001139629806 991300135 /nfs/dbraw/zinc/30/01/35/991300135.db2.gz VNSCEOKXLQYNIO-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN CCN(Cc1c(C)nc2cc(C)ccn21)C1CC1 ZINC001139674084 991314508 /nfs/dbraw/zinc/31/45/08/991314508.db2.gz NCFQAZHPQGQSKR-UHFFFAOYSA-N 0 3 243.354 2.935 20 0 BFADHN COc1ccc(CC[C@H](C)N[C@@H]2C[C@H]2F)cc1 ZINC001258390012 991345669 /nfs/dbraw/zinc/34/56/69/991345669.db2.gz FERAEHGJYJDBQL-ZLKJLUDKSA-N 0 3 237.318 2.716 20 0 BFADHN CCCOC(=O)[C@H](C)N1[C@H](CC)CCC[C@@H]1C ZINC001560018065 991357535 /nfs/dbraw/zinc/35/75/35/991357535.db2.gz DSIUGACOXCQCAJ-RWMBFGLXSA-N 0 3 241.375 2.981 20 0 BFADHN CCCCC[C@@H](CC)N1CC[C@@H](O)[C@@H](F)C1 ZINC001258438434 991375796 /nfs/dbraw/zinc/37/57/96/991375796.db2.gz CURSVGFRCLIDSL-FRRDWIJNSA-N 0 3 231.355 2.750 20 0 BFADHN CCCCC[C@H](CC)N1CC[C@@H](O)[C@@H](F)C1 ZINC001258438668 991377358 /nfs/dbraw/zinc/37/73/58/991377358.db2.gz CURSVGFRCLIDSL-RWMBFGLXSA-N 0 3 231.355 2.750 20 0 BFADHN CCC(CC)N(C)Cc1cnc(OC)s1 ZINC001139818268 991380775 /nfs/dbraw/zinc/38/07/75/991380775.db2.gz AJYMEHQRHMMPIW-UHFFFAOYSA-N 0 3 228.361 2.772 20 0 BFADHN CCCCC[C@@H](CC)N1CCN(C(C)=O)CC1 ZINC001258440176 991382807 /nfs/dbraw/zinc/38/28/07/991382807.db2.gz NMEPXOVUQIFFME-CQSZACIVSA-N 0 3 240.391 2.509 20 0 BFADHN CCCCC[C@H](CC)N1CCO[C@H](COC)C1 ZINC001258444602 991386876 /nfs/dbraw/zinc/38/68/76/991386876.db2.gz YLVXZYKHNRKLDB-KBPBESRZSA-N 0 3 243.391 2.693 20 0 BFADHN CCCCC[C@H](CC)N1CCO[C@H]2C[C@H]21 ZINC001258444070 991387809 /nfs/dbraw/zinc/38/78/09/991387809.db2.gz RBQHYLPGDQIFKH-XQQFMLRXSA-N 0 3 211.349 2.818 20 0 BFADHN CC(C)C[C@@H](C)N1Cc2c[nH]nc2C[C@H](C)C1 ZINC001560144301 991392540 /nfs/dbraw/zinc/39/25/40/991392540.db2.gz XOHJSTYAEIRWRJ-NWDGAFQWSA-N 0 3 235.375 2.839 20 0 BFADHN CCC(CC)CN1CCN(CC(C)(F)F)CC1 ZINC001560174788 991405824 /nfs/dbraw/zinc/40/58/24/991405824.db2.gz VTEAPHAKDMXLHE-UHFFFAOYSA-N 0 3 248.361 2.695 20 0 BFADHN CCc1ccc(CN2C[C@@H](C)OCC[C@H]2C)nc1 ZINC001560232611 991430604 /nfs/dbraw/zinc/43/06/04/991430604.db2.gz AUXNAANMZSRPEK-CHWSQXEVSA-N 0 3 248.370 2.643 20 0 BFADHN C[C@@H](CC1CC1)N(C)Cc1cncs1 ZINC001258469805 991434996 /nfs/dbraw/zinc/43/49/96/991434996.db2.gz IMGGSBDHFSZWOP-VIFPVBQESA-N 0 3 210.346 2.764 20 0 BFADHN COC(=O)CN([C@H](C)CC1CC1)C1CCCC1 ZINC001258472504 991439731 /nfs/dbraw/zinc/43/97/31/991439731.db2.gz JJTFLVCYVPSRJC-LLVKDONJSA-N 0 3 239.359 2.593 20 0 BFADHN CCOc1cccc(F)c1CNC[C@@H]1C[C@@H]1C ZINC001560267419 991445017 /nfs/dbraw/zinc/44/50/17/991445017.db2.gz RDXLJHOXGLYRPU-QWRGUYRKSA-N 0 3 237.318 2.970 20 0 BFADHN CCOc1cccc(F)c1CNC[C@@H]1C[C@H]1C ZINC001560267418 991446380 /nfs/dbraw/zinc/44/63/80/991446380.db2.gz RDXLJHOXGLYRPU-MNOVXSKESA-N 0 3 237.318 2.970 20 0 BFADHN c1cnnc(CN2CCC3(C2)CCCCC3)c1 ZINC001139977754 991446575 /nfs/dbraw/zinc/44/65/75/991446575.db2.gz XNTSZIYTCYHNSU-UHFFFAOYSA-N 0 3 231.343 2.633 20 0 BFADHN c1cc(CN2CCC[C@H]3CCCC[C@@H]32)cnn1 ZINC001139983780 991460795 /nfs/dbraw/zinc/46/07/95/991460795.db2.gz KGVHOEBGHWNKBA-KGLIPLIRSA-N 0 3 231.343 2.631 20 0 BFADHN c1cc(CN2CCC[C@H]3CCCC[C@H]32)cnn1 ZINC001139983782 991462217 /nfs/dbraw/zinc/46/22/17/991462217.db2.gz KGVHOEBGHWNKBA-ZIAGYGMSSA-N 0 3 231.343 2.631 20 0 BFADHN C[C@H](N)c1nccn1[C@H]1CCC(C)(C)C1 ZINC001258592045 991484440 /nfs/dbraw/zinc/48/44/40/991484440.db2.gz LXHRPRRGWKIKNH-UWVGGRQHSA-N 0 3 207.321 2.654 20 0 BFADHN Cc1ccc(CN)nc1N(C)[C@@H]1CCC(C)(C)C1 ZINC001258592456 991487412 /nfs/dbraw/zinc/48/74/12/991487412.db2.gz YTJHRFBKPSSHFX-CYBMUJFWSA-N 0 3 247.386 2.864 20 0 BFADHN CC1(C)CC[C@H](NC2(c3cnccn3)CC2)C1 ZINC001258598672 991498456 /nfs/dbraw/zinc/49/84/56/991498456.db2.gz WPJXNLIMEGQJQS-NSHDSACASA-N 0 3 231.343 2.634 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3cc(O)ccc3F)C[C@@H]21 ZINC001140051418 991503279 /nfs/dbraw/zinc/50/32/79/991503279.db2.gz HVFLGHSIRPPBGH-TXEJJXNPSA-N 0 3 235.302 2.619 20 0 BFADHN CC1(C)CCCN1Cc1cc(O)ccc1F ZINC001140050119 991505543 /nfs/dbraw/zinc/50/55/43/991505543.db2.gz ILADVOJMJJULNE-UHFFFAOYSA-N 0 3 223.291 2.906 20 0 BFADHN c1nc(CN2CCC[C@H]2C2CCC2)cn1C1CC1 ZINC001560667692 991542033 /nfs/dbraw/zinc/54/20/33/991542033.db2.gz PHHVCQXZBFVJSG-HNNXBMFYSA-N 0 3 245.370 2.983 20 0 BFADHN c1nc(CN2CCC[C@@H]2C2CCC2)cn1C1CC1 ZINC001560667693 991544499 /nfs/dbraw/zinc/54/44/99/991544499.db2.gz PHHVCQXZBFVJSG-OAHLLOKOSA-N 0 3 245.370 2.983 20 0 BFADHN COC(C)(C)CN(C)Cc1ccc(C)cc1F ZINC001560670722 991547331 /nfs/dbraw/zinc/54/73/31/991547331.db2.gz LLJZFQOWCBBVRV-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN CCn1ccc(CN2C[C@@H](C)CCC[C@@H]2C)n1 ZINC001560687484 991557328 /nfs/dbraw/zinc/55/73/28/991557328.db2.gz MBNIGROQRDCCHF-STQMWFEESA-N 0 3 235.375 2.914 20 0 BFADHN C[C@H](Cc1cccnc1)N1CCCC[C@H](F)C1 ZINC001258622642 991558660 /nfs/dbraw/zinc/55/86/60/991558660.db2.gz QAZYXUGPHMYWIY-OCCSQVGLSA-N 0 3 236.334 2.837 20 0 BFADHN Fc1cccnc1CNC12CCC(CC1)C2 ZINC001560752466 991587769 /nfs/dbraw/zinc/58/77/69/991587769.db2.gz GIFYWUCYMOHJKK-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN CCC[C@@H](Cc1ccccc1)N(C)C1COC1 ZINC001258658438 991593969 /nfs/dbraw/zinc/59/39/69/991593969.db2.gz GOYIBMNEPWHPDJ-AWEZNQCLSA-N 0 3 233.355 2.728 20 0 BFADHN [O-]c1cnccc1C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC001140276777 991593964 /nfs/dbraw/zinc/59/39/64/991593964.db2.gz KNAFIALUUANGDP-CQSZACIVSA-N 0 3 240.306 2.734 20 0 BFADHN [O-]c1cnccc1C[N@H+]1CC[C@@H]1c1ccccc1 ZINC001140276777 991593974 /nfs/dbraw/zinc/59/39/74/991593974.db2.gz KNAFIALUUANGDP-CQSZACIVSA-N 0 3 240.306 2.734 20 0 BFADHN CCCCC[C@@H](CCC)N1CCNC(=O)[C@@H]1C ZINC001258672460 991614671 /nfs/dbraw/zinc/61/46/71/991614671.db2.gz YGEXGKIKSHTCQW-QWHCGFSZSA-N 0 3 240.391 2.556 20 0 BFADHN Fc1cccnc1CNCCC1CC(F)(F)C1 ZINC001560873757 991631589 /nfs/dbraw/zinc/63/15/89/991631589.db2.gz ZVIPEURDIVJLQH-UHFFFAOYSA-N 0 3 244.260 2.746 20 0 BFADHN CC[C@@H](CCC(F)(F)F)NCc1ncc[nH]1 ZINC001560957084 991665893 /nfs/dbraw/zinc/66/58/93/991665893.db2.gz UNHYYIKMWAMSOR-QMMMGPOBSA-N 0 3 235.253 2.620 20 0 BFADHN Cc1cc(CN2C[C@@H](C)C[C@H]2CF)ccc1O ZINC001140574798 991676165 /nfs/dbraw/zinc/67/61/65/991676165.db2.gz RDKANJWNSBIOLS-GWCFXTLKSA-N 0 3 237.318 2.881 20 0 BFADHN CCCC[C@H](CCC)N1CCC12COC2 ZINC001258762884 991716039 /nfs/dbraw/zinc/71/60/39/991716039.db2.gz DSIYPPUDJMDOBE-LBPRGKRZSA-N 0 3 211.349 2.820 20 0 BFADHN C[C@@H](c1ccncc1)N1CCN(C(C)(C)C)CC1 ZINC001318779794 991717349 /nfs/dbraw/zinc/71/73/49/991717349.db2.gz MMBGMHUWZPVGSU-ZDUSSCGKSA-N 0 3 247.386 2.559 20 0 BFADHN CCCC[C@@H](CCC)N(CC)CC(=O)OC ZINC001258764448 991728651 /nfs/dbraw/zinc/72/86/51/991728651.db2.gz QXZWCHNZUGGLBO-GFCCVEGCSA-N 0 3 229.364 2.840 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)n1ccc(CN)n1 ZINC001258780888 991749682 /nfs/dbraw/zinc/74/96/82/991749682.db2.gz RQNWOLOKPXWMMK-ZYHUDNBSSA-N 0 3 209.337 2.729 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N1CC(N2CC(F)C2)C1 ZINC001258788301 991768163 /nfs/dbraw/zinc/76/81/63/991768163.db2.gz MFERELUHLUICBI-WCQYABFASA-N 0 3 242.382 2.539 20 0 BFADHN CC[C@@H](C)C[C@H](CC)n1ncc2c1CCNC2 ZINC001258789686 991776627 /nfs/dbraw/zinc/77/66/27/991776627.db2.gz CUCAMSIKGGSZRJ-YPMHNXCESA-N 0 3 235.375 2.916 20 0 BFADHN CC(C)C1CCC(n2ccc(CN)n2)CC1 ZINC001258797812 991791407 /nfs/dbraw/zinc/79/14/07/991791407.db2.gz KNMOCBKDEPDRJX-UHFFFAOYSA-N 0 3 221.348 2.729 20 0 BFADHN CC(C)C1CCC(n2cncc2CN)CC1 ZINC001258800748 991800725 /nfs/dbraw/zinc/80/07/25/991800725.db2.gz UZMZXSGUMJJTCN-UHFFFAOYSA-N 0 3 221.348 2.729 20 0 BFADHN C[C@H]1CCC[C@H](Nc2ccc(CN)nc2)C1 ZINC001258813872 991824050 /nfs/dbraw/zinc/82/40/50/991824050.db2.gz IDCYICOWMYBROB-QWRGUYRKSA-N 0 3 219.332 2.531 20 0 BFADHN CCCOC1CN([C@@H]2CCC[C@@H](C)C2)C1 ZINC001258823393 991845825 /nfs/dbraw/zinc/84/58/25/991845825.db2.gz LAISARFGRNMHIM-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@@H](Cc1ccccc1)n1ccc(CN)n1 ZINC001258838049 991870314 /nfs/dbraw/zinc/87/03/14/991870314.db2.gz LAWWINOWDFDGLX-AWEZNQCLSA-N 0 3 229.327 2.536 20 0 BFADHN CC[C@@H](Cc1ccccc1)N1CCOC[C@H]1C ZINC001258844302 991874027 /nfs/dbraw/zinc/87/40/27/991874027.db2.gz LQAWZRVUFFOGEF-HIFRSBDPSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@H](Cc1ccccc1)N1CCO[C@H](C)C1 ZINC001258845928 991878569 /nfs/dbraw/zinc/87/85/69/991878569.db2.gz IYNNLPPOHUDSDT-UKRRQHHQSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@@H](Cc1ccccc1)N1CCO[C@H](C)C1 ZINC001258845927 991881319 /nfs/dbraw/zinc/88/13/19/991881319.db2.gz IYNNLPPOHUDSDT-HIFRSBDPSA-N 0 3 233.355 2.728 20 0 BFADHN Cc1ncc(CN2CC[C@@H]3CCCC[C@H]3C2)cn1 ZINC001319682952 991933021 /nfs/dbraw/zinc/93/30/21/991933021.db2.gz ZULGVJLORMAASF-GJZGRUSLSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1cnc2ccccc2c1N[C@@H]1CCCOC1 ZINC001261131482 992183252 /nfs/dbraw/zinc/18/32/52/992183252.db2.gz JLWVWYFZTNNEEW-GFCCVEGCSA-N 0 3 242.322 2.556 20 0 BFADHN CS[C@H]1CC[C@@H](N(C)Cc2cnoc2C)C1 ZINC001320550791 992241152 /nfs/dbraw/zinc/24/11/52/992241152.db2.gz KVKNTTVZPRGSPE-NEPJUHHUSA-N 0 3 240.372 2.699 20 0 BFADHN Fc1cc(CN[C@@H]2CCC[C@@H](F)C2)c(F)cn1 ZINC001261494080 992345022 /nfs/dbraw/zinc/34/50/22/992345022.db2.gz DWRPUIHJUXDLCW-NXEZZACHSA-N 0 3 244.260 2.730 20 0 BFADHN OCc1ccc(F)c(CN2CC3CCC2CC3)c1 ZINC001261794915 992518226 /nfs/dbraw/zinc/51/82/26/992518226.db2.gz FCSQWYDAWVXZTN-UHFFFAOYSA-N 0 3 249.329 2.692 20 0 BFADHN F[C@@H]1CCC[C@H](NCc2cn(C3CC3)cn2)C1 ZINC001261850284 992545653 /nfs/dbraw/zinc/54/56/53/992545653.db2.gz GKIZMAGEFUKMJS-MNOVXSKESA-N 0 3 237.322 2.588 20 0 BFADHN C[C@H]([NH2+][C@H]1CC[C@@H]1C)c1cccc([O-])c1F ZINC001261891118 992564664 /nfs/dbraw/zinc/56/46/64/992564664.db2.gz YROZUIKUGSEXIL-QXEWZRGKSA-N 0 3 223.291 2.980 20 0 BFADHN CCC(CC)N(C(=O)CNC)c1cccc(C)c1 ZINC001573698487 992678215 /nfs/dbraw/zinc/67/82/15/992678215.db2.gz DOSIYNOIPVFEHL-UHFFFAOYSA-N 0 3 248.370 2.736 20 0 BFADHN Cc1ncoc1CNCCc1ccc(C)c(C)c1 ZINC001262112039 992682753 /nfs/dbraw/zinc/68/27/53/992682753.db2.gz XIEIZSWEWKEDPV-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN c1nn(C2CCC2)cc1CN[C@H]1C=CCCC1 ZINC001262353666 992855779 /nfs/dbraw/zinc/85/57/79/992855779.db2.gz HLXDCYJJWLDUCQ-ZDUSSCGKSA-N 0 3 231.343 2.806 20 0 BFADHN Cc1nnc(CNCC23CCC(CC2)C3)s1 ZINC001262364018 992859798 /nfs/dbraw/zinc/85/97/98/992859798.db2.gz KBSOUMHMWGZDEZ-UHFFFAOYSA-N 0 3 237.372 2.516 20 0 BFADHN c1ncc2c(n1)CN(C1CCCCCC1)C2 ZINC000585332091 993252123 /nfs/dbraw/zinc/25/21/23/993252123.db2.gz GOCBJZAJLHTSAZ-UHFFFAOYSA-N 0 3 217.316 2.515 20 0 BFADHN Clc1cccc(CN[C@H]2CCCSC2)n1 ZINC000380175719 993319456 /nfs/dbraw/zinc/31/94/56/993319456.db2.gz JSSOYJHRXMQSBP-JTQLQIEISA-N 0 3 242.775 2.720 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1ncccc1C)OC ZINC000381286358 993381702 /nfs/dbraw/zinc/38/17/02/993381702.db2.gz MPQASUURQUSQRQ-BXUZGUMPSA-N 0 3 236.359 2.541 20 0 BFADHN FC(F)CCNc1ccnc2ccccc21 ZINC000381692533 993414711 /nfs/dbraw/zinc/41/47/11/993414711.db2.gz ZYLHPTYLZMFVLM-UHFFFAOYSA-N 0 3 222.238 2.724 20 0 BFADHN N#Cc1ccc(CNC/C=C\C2CC2)s1 ZINC000382334162 993450472 /nfs/dbraw/zinc/45/04/72/993450472.db2.gz XNKIAPPAPNMFAZ-UPHRSURJSA-N 0 3 218.325 2.676 20 0 BFADHN Nc1cccc(F)c1CN1CC2CCC1CC2 ZINC000383666293 993515573 /nfs/dbraw/zinc/51/55/73/993515573.db2.gz KWZYVIOWGZGYEN-UHFFFAOYSA-N 0 3 234.318 2.782 20 0 BFADHN Cc1nc2c(n1C1C[C@H](C)C[C@@H](C)C1)CNCC2 ZINC000385416047 993658146 /nfs/dbraw/zinc/65/81/46/993658146.db2.gz VIVPRSVVJCNSCZ-GHMZBOCLSA-N 0 3 247.386 2.834 20 0 BFADHN CCC[N@@H+](Cc1ccc([O-])cn1)CC(C)C ZINC000390556957 993794592 /nfs/dbraw/zinc/79/45/92/993794592.db2.gz ISYNILZRILDKIM-UHFFFAOYSA-N 0 3 222.332 2.655 20 0 BFADHN CCC[N@H+](Cc1ccc([O-])cn1)CC(C)C ZINC000390556957 993794605 /nfs/dbraw/zinc/79/46/05/993794605.db2.gz ISYNILZRILDKIM-UHFFFAOYSA-N 0 3 222.332 2.655 20 0 BFADHN COC[C@@H](NCC1CC(C)C1)c1ccco1 ZINC001332864804 994373722 /nfs/dbraw/zinc/37/37/22/994373722.db2.gz ACBXJBUNZKCZSJ-HTAVTVPLSA-N 0 3 223.316 2.603 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2CCC[C@H](F)C2)on1 ZINC001334404222 994592273 /nfs/dbraw/zinc/59/22/73/994592273.db2.gz VMDITJKELLCIMK-LPEHRKFASA-N 0 3 242.294 2.615 20 0 BFADHN C[C@H](CN1CCOC[C@@H]1C)CC(C)(C)C ZINC001307783944 995463285 /nfs/dbraw/zinc/46/32/85/995463285.db2.gz ACUMIHALOPWXGO-RYUDHWBXSA-N 0 3 213.365 2.779 20 0 BFADHN CC(C)[C@H]1CCCCCN1Cc1ncccn1 ZINC001307619784 995418726 /nfs/dbraw/zinc/41/87/26/995418726.db2.gz ASJCVNLJSUMMKZ-CYBMUJFWSA-N 0 3 233.359 2.877 20 0 BFADHN C[C@@H](CN1CCO[C@@H](C)C1)CC(C)(C)C ZINC001307807406 995469836 /nfs/dbraw/zinc/46/98/36/995469836.db2.gz QVIJZYNRHAYVBO-NEPJUHHUSA-N 0 3 213.365 2.779 20 0 BFADHN CN1CCN(CCC2CCC2)Cc2cccnc21 ZINC001308028187 995524252 /nfs/dbraw/zinc/52/42/52/995524252.db2.gz WBPIFDGQBCGJEW-UHFFFAOYSA-N 0 3 245.370 2.524 20 0 BFADHN Cc1cc(CN2CC=CC23CCCCC3)ncn1 ZINC001308170161 995584193 /nfs/dbraw/zinc/58/41/93/995584193.db2.gz CVGMMKMRMXLPOR-UHFFFAOYSA-N 0 3 243.354 2.860 20 0 BFADHN COc1nsc(CNC[C@@H]2C[C@H]2C)c1Cl ZINC001308200103 995598318 /nfs/dbraw/zinc/59/83/18/995598318.db2.gz CGHSWUVNFQIQND-RQJHMYQMSA-N 0 3 246.763 2.551 20 0 BFADHN CCc1cccnc1[C@H](C)NCCSC ZINC000309056405 349439303 /nfs/dbraw/zinc/43/93/03/349439303.db2.gz UOHWTAUPUIYSQP-JTQLQIEISA-N 0 3 224.373 2.658 20 0 BFADHN C[C@H](CN[C@@H](C)c1ccc(F)cn1)C1CC1 ZINC000161434607 538915599 /nfs/dbraw/zinc/91/55/99/538915599.db2.gz FHPVWFBQCZLRJK-ZJUUUORDSA-N 0 3 222.307 2.917 20 0 BFADHN CCC(CC)(CC)CNCc1cocn1 ZINC000180650730 168315852 /nfs/dbraw/zinc/31/58/52/168315852.db2.gz BSQVGPWERKWMNK-UHFFFAOYSA-N 0 3 210.321 2.981 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCC[C@@H]1C ZINC000070827225 398139293 /nfs/dbraw/zinc/13/92/93/398139293.db2.gz MLVDGHKFPPTUDF-UFBFGSQYSA-N 0 3 208.305 2.570 20 0 BFADHN CCOC[C@H](C)N[C@@H]1CCc2ccc(F)cc21 ZINC000488124640 538913546 /nfs/dbraw/zinc/91/35/46/538913546.db2.gz KGTJKPXXUKKUOF-IINYFYTJSA-N 0 3 237.318 2.828 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)O[C@H]1C)c1ccsc1 ZINC000385233229 398141788 /nfs/dbraw/zinc/14/17/88/398141788.db2.gz UOEVIHZIWRLTDK-WDCWCFNPSA-N 0 3 225.357 2.965 20 0 BFADHN COCc1ccc(CNCC2(C3CC3)CC2)o1 ZINC000269814543 398142503 /nfs/dbraw/zinc/14/25/03/398142503.db2.gz NMGLJPSYLZKIBY-UHFFFAOYSA-N 0 3 235.327 2.706 20 0 BFADHN CC[C@@H](C(=O)N(C)CCC(C)C)N(CC)CC ZINC000605425225 343977697 /nfs/dbraw/zinc/97/76/97/343977697.db2.gz CUSRMYZBPYNHHO-ZDUSSCGKSA-N 0 3 242.407 2.611 20 0 BFADHN C[C@@H](O)CCN1CCc2cc(F)ccc2[C@H]1C ZINC000649319440 398142665 /nfs/dbraw/zinc/14/26/65/398142665.db2.gz BRGHTJJIGNZSAJ-GHMZBOCLSA-N 0 3 237.318 2.516 20 0 BFADHN C[C@H](O)CCN1CCc2cc(F)ccc2[C@H]1C ZINC000649319443 398142880 /nfs/dbraw/zinc/14/28/80/398142880.db2.gz BRGHTJJIGNZSAJ-WDEREUQCSA-N 0 3 237.318 2.516 20 0 BFADHN CCc1cc(N2CC[C@@H](C)[C@@H](F)C2)ccn1 ZINC000649319794 398143241 /nfs/dbraw/zinc/14/32/41/398143241.db2.gz ALSRQAZHJLOBIU-MFKMUULPSA-N 0 3 222.307 2.828 20 0 BFADHN FC(F)[C@H]1C[C@@H]1N[C@H]1CCCc2occc21 ZINC000378699351 346810848 /nfs/dbraw/zinc/81/08/48/346810848.db2.gz MNAGBQBCITXGBF-GUBZILKMSA-N 0 3 227.254 2.900 20 0 BFADHN C[C@H](CCO)N(C)Cc1cccc(Cl)c1 ZINC000649863305 398145025 /nfs/dbraw/zinc/14/50/25/398145025.db2.gz BVITZBVXHIYORB-SNVBAGLBSA-N 0 3 227.735 2.543 20 0 BFADHN C[C@]1(NCc2cccc(C3CC3)c2)CCOC1 ZINC000161655933 349488814 /nfs/dbraw/zinc/48/88/14/349488814.db2.gz PCRFVGARYJMPTJ-HNNXBMFYSA-N 0 3 231.339 2.833 20 0 BFADHN CCc1noc(CN2[C@H](C)C[C@@H]3CCCC[C@@H]32)n1 ZINC000605421702 343978550 /nfs/dbraw/zinc/97/85/50/343978550.db2.gz SSGYGIRJFTWPFQ-WOPDTQHZSA-N 0 3 249.358 2.785 20 0 BFADHN COC[C@H](C)CN[C@@H](C)c1ccncc1Cl ZINC000421823316 398146218 /nfs/dbraw/zinc/14/62/18/398146218.db2.gz CSSIBQAZHWCYKB-ZJUUUORDSA-N 0 3 242.750 2.668 20 0 BFADHN CCC(CC)(CO)CN[C@@H](C)c1cncs1 ZINC000131394281 168328707 /nfs/dbraw/zinc/32/87/07/168328707.db2.gz NEIQURKBHCUHMP-JTQLQIEISA-N 0 3 242.388 2.592 20 0 BFADHN CCC(CC)(CO)CN[C@@H](C)c1ccccn1 ZINC000161327587 168328731 /nfs/dbraw/zinc/32/87/31/168328731.db2.gz HXKCZZWBYKYWBH-LBPRGKRZSA-N 0 3 236.359 2.531 20 0 BFADHN C[C@@H](NCCC1(CO)CC1)c1ccccc1F ZINC000395903900 262794557 /nfs/dbraw/zinc/79/45/57/262794557.db2.gz ZBZGXBGIOVQIGU-LLVKDONJSA-N 0 3 237.318 2.639 20 0 BFADHN CCC1(CN[C@@H](C)c2ccncn2)CCC1 ZINC000395896884 262794587 /nfs/dbraw/zinc/79/45/87/262794587.db2.gz MVBQCNBMRDNPIB-NSHDSACASA-N 0 3 219.332 2.708 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1C/C=C/c1ccc(F)cc1 ZINC000421375954 192322459 /nfs/dbraw/zinc/32/24/59/192322459.db2.gz POMBDUOIBCHFDG-FKZRYSJHSA-N 0 3 249.329 2.684 20 0 BFADHN Fc1cc(F)c(CNC2CCC2)cc1F ZINC000225276202 136213589 /nfs/dbraw/zinc/21/35/89/136213589.db2.gz HOFRRXMMYRRKKY-UHFFFAOYSA-N 0 3 215.218 2.746 20 0 BFADHN CCC(CC)(CO)NCc1ccc(F)cc1F ZINC000053046632 168329671 /nfs/dbraw/zinc/32/96/71/168329671.db2.gz TVVUDUDEHULQHY-UHFFFAOYSA-N 0 3 243.297 2.606 20 0 BFADHN Fc1ccccc1CN1CC[C@H]2OCCC[C@@H]2C1 ZINC000153000045 136212512 /nfs/dbraw/zinc/21/25/12/136212512.db2.gz PGTMTTMEPOMGPM-UKRRQHHQSA-N 0 3 249.329 2.827 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1occc1C ZINC000336656109 398144278 /nfs/dbraw/zinc/14/42/78/398144278.db2.gz OIGBKIOKRRGNEG-ONGXEEELSA-N 0 3 211.330 2.572 20 0 BFADHN C[C@@H](NCCC1CCC1)c1cscn1 ZINC000161871558 538919671 /nfs/dbraw/zinc/91/96/71/538919671.db2.gz DFDKXMNIMAFYRO-SECBINFHSA-N 0 3 210.346 2.984 20 0 BFADHN C[C@H](CCO)N(C)Cc1ccc(Cl)c(F)c1 ZINC000649870753 398158749 /nfs/dbraw/zinc/15/87/49/398158749.db2.gz JBYIRTRGLJCQNT-SECBINFHSA-N 0 3 245.725 2.682 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@H]1O)c1cccc(Cl)c1 ZINC000378717445 346815387 /nfs/dbraw/zinc/81/53/87/346815387.db2.gz CWXRAQZSBZDGQB-GGZOMVNGSA-N 0 3 225.719 2.514 20 0 BFADHN Cc1cnc(CN[C@H]2CCC[C@H]3C[C@H]32)s1 ZINC000378728201 346816920 /nfs/dbraw/zinc/81/69/20/346816920.db2.gz JUDMAWSWZDENLS-AXFHLTTASA-N 0 3 222.357 2.730 20 0 BFADHN Cc1cc(CNC[C@@H](C)c2ccccc2)no1 ZINC000044296439 178022576 /nfs/dbraw/zinc/02/25/76/178022576.db2.gz PYXNBMIHHCTFIC-LLVKDONJSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1cc(CN2CC[C@]3(CC[C@H](C)C3)C2)nn1C ZINC000359558923 178003242 /nfs/dbraw/zinc/00/32/42/178003242.db2.gz ORVBNEYOYBECFQ-WFASDCNBSA-N 0 3 247.386 2.741 20 0 BFADHN CCC[C@H](N)C(=O)N([C@@H](C)[C@H](C)CC)C1CC1 ZINC000262537730 398151110 /nfs/dbraw/zinc/15/11/10/398151110.db2.gz QGLSLJBOAJHLCU-MDZLAQPJSA-N 0 3 240.391 2.539 20 0 BFADHN C[C@H](CCO)N(C)Cc1cc2ccccc2o1 ZINC000649869101 398152340 /nfs/dbraw/zinc/15/23/40/398152340.db2.gz XENWKPUQHVCVJS-LLVKDONJSA-N 0 3 233.311 2.636 20 0 BFADHN Cc1cc(CN2C[C@H](C)CC2(C)C)no1 ZINC000266922317 178008232 /nfs/dbraw/zinc/00/82/32/178008232.db2.gz IUQIPQALKPMIMH-SECBINFHSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@H]3CCCC[C@@H]32)nn1C ZINC000360212418 178008508 /nfs/dbraw/zinc/00/85/08/178008508.db2.gz JMAYCOYJPSTEKW-GLQYFDAESA-N 0 3 247.386 2.739 20 0 BFADHN C[C@@H](CCO)N(C)Cc1ccc(C(F)F)cc1 ZINC000649869894 398156314 /nfs/dbraw/zinc/15/63/14/398156314.db2.gz OSWPQWOBBVDFAC-JTQLQIEISA-N 0 3 243.297 2.827 20 0 BFADHN Cc1cc(CNC2CCC3(CC3)CC2)no1 ZINC000309707651 178018919 /nfs/dbraw/zinc/01/89/19/178018919.db2.gz PGKFSSFREHBISE-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN Cc1cc(CNCC2CCCCC2)no1 ZINC000044293791 178020517 /nfs/dbraw/zinc/02/05/17/178020517.db2.gz DZIYDSQPEJBMDD-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN Clc1cnc2oc(CNC3CC3)cc2c1 ZINC000648408488 398158468 /nfs/dbraw/zinc/15/84/68/398158468.db2.gz OYFCBCBSANRIJZ-UHFFFAOYSA-N 0 3 222.675 2.733 20 0 BFADHN Cc1cc(CNC[C@H]2CCCCO2)cs1 ZINC000285734195 178025057 /nfs/dbraw/zinc/02/50/57/178025057.db2.gz BXXRQCNFJVMMTF-GFCCVEGCSA-N 0 3 225.357 2.715 20 0 BFADHN Cc1cc(CNC[C@H]2CCCCO2)ccc1F ZINC000052684767 178025398 /nfs/dbraw/zinc/02/53/98/178025398.db2.gz VBEKDSIGXHRJBR-CYBMUJFWSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1ccnc(CN2CC[C@@]3(CC3(F)F)C2)c1 ZINC000649907993 398182970 /nfs/dbraw/zinc/18/29/70/398182970.db2.gz DQRQGPPPOATICD-GFCCVEGCSA-N 0 3 238.281 2.621 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@@H]2CCCO2)ccc1F ZINC000050544843 178028861 /nfs/dbraw/zinc/02/88/61/178028861.db2.gz NPFPLWMDFYRFRZ-FZMZJTMJSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cc(CN[C@@H](C)C(C)(C)C)nc(C)n1 ZINC000309781908 178028987 /nfs/dbraw/zinc/02/89/87/178028987.db2.gz NCYNYOMMLBLVKC-JTQLQIEISA-N 0 3 221.348 2.618 20 0 BFADHN Cc1cc(CN[C@@H](C)Cn2cccn2)c(C)s1 ZINC000049686611 178029234 /nfs/dbraw/zinc/02/92/34/178029234.db2.gz MGCVNYOXCSYPSZ-JTQLQIEISA-N 0 3 249.383 2.740 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC[C@H]2C)no1 ZINC000044295894 178032848 /nfs/dbraw/zinc/03/28/48/178032848.db2.gz JSVNPUSDCRHMBJ-BXKDBHETSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H]2CCCF)on1 ZINC000337994415 178033587 /nfs/dbraw/zinc/03/35/87/178033587.db2.gz KUSLQGWUYKDPEF-DGCLKSJQSA-N 0 3 240.322 2.991 20 0 BFADHN Cc1cc(CN[C@@H]2CCC(C)(C)C2)on1 ZINC000087771536 178033620 /nfs/dbraw/zinc/03/36/20/178033620.db2.gz XPHQGBXNSCGFQL-SNVBAGLBSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)nn1C ZINC000360340414 178035037 /nfs/dbraw/zinc/03/50/37/178035037.db2.gz ZJRULZMAOOQCMB-COPLHBTASA-N 0 3 235.375 2.643 20 0 BFADHN C[C@@H](c1cccnc1)N1CC[C@]2(CC2(F)F)C1 ZINC000649903184 398173170 /nfs/dbraw/zinc/17/31/70/398173170.db2.gz KJTBKZOSMQBRDT-JQWIXIFHSA-N 0 3 238.281 2.874 20 0 BFADHN Cc1cc(CN[C@H](C)CCC2CC2)on1 ZINC000186321347 178036496 /nfs/dbraw/zinc/03/64/96/178036496.db2.gz DOWMWDOIQYPCLH-SECBINFHSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@H](C)C2CCCC2)no1 ZINC000054081359 178037086 /nfs/dbraw/zinc/03/70/86/178037086.db2.gz VYAJFOXLIGTQEG-SNVBAGLBSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@@]2(C)CCCOC2)cs1 ZINC000309049402 178037209 /nfs/dbraw/zinc/03/72/09/178037209.db2.gz OQVKXKYENHHOGZ-LBPRGKRZSA-N 0 3 225.357 2.715 20 0 BFADHN Cc1cc(CN[C@H](C)c2cn[nH]c2)cc(C)c1O ZINC000229628064 178038658 /nfs/dbraw/zinc/03/86/58/178038658.db2.gz SLGLRWOWLURMKL-LLVKDONJSA-N 0 3 245.326 2.583 20 0 BFADHN Cc1cc(CN[C@H]2CCOC2(C)C)ccc1F ZINC000293785112 178040363 /nfs/dbraw/zinc/04/03/63/178040363.db2.gz QJUGYXIADGMUBK-ZDUSSCGKSA-N 0 3 237.318 2.791 20 0 BFADHN CCN1CCN([C@H]2C=CCCCCC2)C[C@@H]1C ZINC000645101489 398176400 /nfs/dbraw/zinc/17/64/00/398176400.db2.gz HEANYGQXSZNWBU-GJZGRUSLSA-N 0 3 236.403 2.901 20 0 BFADHN CC[C@H](C[C@@H](C)CO)N[C@@H](C)c1ccco1 ZINC000336743967 398181234 /nfs/dbraw/zinc/18/12/34/398181234.db2.gz UHUIASGFLYOIMM-GRYCIOLGSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@H](NCc1ncc(C)s1)[C@H]1C[C@@H]1C ZINC000322024364 398160203 /nfs/dbraw/zinc/16/02/03/398160203.db2.gz KYXXCTVMUVKHGW-LSJOCFKGSA-N 0 3 224.373 2.976 20 0 BFADHN Cc1cc(Cl)ccc1CN1C[C@@H](O)C[C@@H]1C ZINC000346178731 178067018 /nfs/dbraw/zinc/06/70/18/178067018.db2.gz FVYVJAAQESVEAT-GWCFXTLKSA-N 0 3 239.746 2.604 20 0 BFADHN Cc1cc(Cl)nc(CN[C@H]2CCSC2)c1 ZINC000282763480 178071181 /nfs/dbraw/zinc/07/11/81/178071181.db2.gz OKOZUWFEAOWURY-VIFPVBQESA-N 0 3 242.775 2.639 20 0 BFADHN Cc1cc(Cl)nc(CN[C@@H](C)C2CC2)c1 ZINC000282162171 178071473 /nfs/dbraw/zinc/07/14/73/178071473.db2.gz XVHUVJCPQPTJQI-VIFPVBQESA-N 0 3 224.735 2.932 20 0 BFADHN COCC(C)(C)CN[C@@H]1CCCc2cccnc21 ZINC000385672279 398186559 /nfs/dbraw/zinc/18/65/59/398186559.db2.gz FAHKSLLCDWLARC-CYBMUJFWSA-N 0 3 248.370 2.721 20 0 BFADHN Cc1cc(F)cc2c1CN(CC1CC1)CC2 ZINC000337441513 178081222 /nfs/dbraw/zinc/08/12/22/178081222.db2.gz GITKMQYIZCBCCP-UHFFFAOYSA-N 0 3 219.303 2.902 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1cncc(F)c1)OC ZINC000293801360 398188477 /nfs/dbraw/zinc/18/84/77/398188477.db2.gz YHEJLDYNAAVQOM-GXFFZTMASA-N 0 3 240.322 2.686 20 0 BFADHN CCC[C@H](CN[C@H](C)c1cncc(F)c1)OC ZINC000293801364 398188488 /nfs/dbraw/zinc/18/84/88/398188488.db2.gz YHEJLDYNAAVQOM-ZWNOBZJWSA-N 0 3 240.322 2.686 20 0 BFADHN Cc1cc(F)ccc1CN1CC([C@H]2CCOC2)C1 ZINC000373464850 178084990 /nfs/dbraw/zinc/08/49/90/178084990.db2.gz HUKRVVFADNSXAF-ZDUSSCGKSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1cc(F)ccc1CN(C)CCOC(C)C ZINC000354057385 178085264 /nfs/dbraw/zinc/08/52/64/178085264.db2.gz URJOCMXYMRMIJJ-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN Cc1cc(F)ccc1CNCCOCC(F)F ZINC000190894252 178087096 /nfs/dbraw/zinc/08/70/96/178087096.db2.gz ZBIGMLPXQKOPGF-UHFFFAOYSA-N 0 3 247.260 2.505 20 0 BFADHN Cc1cc(F)ccc1[C@H]1CCCN1C[C@@H](C)O ZINC000336295892 178092358 /nfs/dbraw/zinc/09/23/58/178092358.db2.gz WQJQAVWGNURIEL-BXUZGUMPSA-N 0 3 237.318 2.652 20 0 BFADHN Cc1ccc([O-])c(C[NH+]2C[C@H]3CCCC[C@@H]3C2)n1 ZINC000093441863 398196030 /nfs/dbraw/zinc/19/60/30/398196030.db2.gz FOZSTORWXKKPAF-CHWSQXEVSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccsc1CCNCc1nc(C)c(C)[nH]1 ZINC000576292464 365556731 /nfs/dbraw/zinc/55/67/31/365556731.db2.gz OWCQVZQZXFABCO-UHFFFAOYSA-N 0 3 249.383 2.729 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(F)c2N)[C@H]1C ZINC000380577637 398201433 /nfs/dbraw/zinc/20/14/33/398201433.db2.gz ACAKRSMEFMPJDQ-ZJUUUORDSA-N 0 3 222.307 2.638 20 0 BFADHN C[C@@H](CN[C@@H](C)c1nccn1C)C(C)(C)C ZINC000162675993 538924194 /nfs/dbraw/zinc/92/41/94/538924194.db2.gz BZEKDLRVBPPZFH-QWRGUYRKSA-N 0 3 223.364 2.753 20 0 BFADHN CC(C)(C)NCc1cnc2ccccc2n1 ZINC000037184332 361736031 /nfs/dbraw/zinc/73/60/31/361736031.db2.gz XVSUSXSVIIGWFK-UHFFFAOYSA-N 0 3 215.300 2.518 20 0 BFADHN CC(C)[C@H](CO)N[C@H](C)c1ccc(F)cc1F ZINC000092393234 398205107 /nfs/dbraw/zinc/20/51/07/398205107.db2.gz UQDRPIWQTKIKJF-RNCFNFMXSA-N 0 3 243.297 2.632 20 0 BFADHN CC(C)[C@H](CO)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000092393307 398205164 /nfs/dbraw/zinc/20/51/64/398205164.db2.gz NISHBEMDEYZKQZ-RTXFEEFZSA-N 0 3 237.343 2.510 20 0 BFADHN CC[C@@H](F)CN1CCS[C@@H](C)CC1 ZINC000306518442 398208256 /nfs/dbraw/zinc/20/82/56/398208256.db2.gz DFECRISPUKFCCS-VHSXEESVSA-N 0 3 205.342 2.562 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCC[C@@H]3C[C@@H]32)c2nccn21 ZINC000414173534 398218889 /nfs/dbraw/zinc/21/88/89/398218889.db2.gz GLHFEOHTSKQWHI-NAWOPXAZSA-N 0 3 231.343 2.667 20 0 BFADHN CC(C)(CNCc1cccnc1)C(F)(F)F ZINC000381114684 343984604 /nfs/dbraw/zinc/98/46/04/343984604.db2.gz GUUOKIMQYKNGAD-UHFFFAOYSA-N 0 3 232.249 2.760 20 0 BFADHN CCO[C@@H]1CCN([C@H](C)c2ccncc2)C[C@H]1C ZINC000649990049 398210273 /nfs/dbraw/zinc/21/02/73/398210273.db2.gz NLLQYJXWONMWBQ-UMVBOHGHSA-N 0 3 248.370 2.890 20 0 BFADHN CCC(CC)CC(=O)NC[C@H](N)c1ccccc1 ZINC000236632246 168344812 /nfs/dbraw/zinc/34/48/12/168344812.db2.gz IMPKKDVUPORHJX-AWEZNQCLSA-N 0 3 248.370 2.629 20 0 BFADHN C[C@H](CCc1ccc(F)cc1)NCCF ZINC000336767413 136221386 /nfs/dbraw/zinc/22/13/86/136221386.db2.gz TUSAMEANDXXIBB-SNVBAGLBSA-N 0 3 213.271 2.706 20 0 BFADHN CCCCCN(Cc1cnccn1)C(C)C ZINC000576325018 365566962 /nfs/dbraw/zinc/56/69/62/365566962.db2.gz HQTCWCDKZNWBED-UHFFFAOYSA-N 0 3 221.348 2.877 20 0 BFADHN Cc1cc(NC[C@@H]2CCOC2)c2ccccc2n1 ZINC000037988186 178167703 /nfs/dbraw/zinc/16/77/03/178167703.db2.gz VCJTYZDDNDVLJY-LBPRGKRZSA-N 0 3 242.322 2.992 20 0 BFADHN C[C@@H](NCc1cscn1)C1CCCC1 ZINC000082625940 136221856 /nfs/dbraw/zinc/22/18/56/136221856.db2.gz LMDHSZOOLXIKHK-SECBINFHSA-N 0 3 210.346 2.811 20 0 BFADHN CCC(CC)CN(CC)Cc1n[nH]cc1C ZINC000356064815 168350065 /nfs/dbraw/zinc/35/00/65/168350065.db2.gz YRNLQCKTAJZWPG-UHFFFAOYSA-N 0 3 223.364 2.976 20 0 BFADHN CC1=C[C@@H](C)CN(CCOc2ccccc2)C1 ZINC000430280577 398226268 /nfs/dbraw/zinc/22/62/68/398226268.db2.gz QCVQPZWVKCHVCM-CYBMUJFWSA-N 0 3 231.339 2.963 20 0 BFADHN COC[C@H]1CCN1Cc1cccc(C2CC2)c1 ZINC000421380108 192324097 /nfs/dbraw/zinc/32/40/97/192324097.db2.gz JMJRHKVARWRREY-OAHLLOKOSA-N 0 3 231.339 2.785 20 0 BFADHN Cc1ccnc([C@H](C)NCCCC2(O)CCC2)c1 ZINC000421388614 192326464 /nfs/dbraw/zinc/32/64/64/192326464.db2.gz QEDRJINHSLNOPR-ZDUSSCGKSA-N 0 3 248.370 2.736 20 0 BFADHN CCn1cncc1CN1CC[C@@H](C2CCCC2)C1 ZINC000421386643 192327449 /nfs/dbraw/zinc/32/74/49/192327449.db2.gz HWNLZQFQJKKQEQ-CQSZACIVSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1ccnc(CN2CCSC[C@H]2C2CC2)c1 ZINC000650059242 398228789 /nfs/dbraw/zinc/22/87/89/398228789.db2.gz NALOFJXBSUVHCV-AWEZNQCLSA-N 0 3 248.395 2.717 20 0 BFADHN CC[C@@H]1CN(CC(C)(C)COC)C[C@@H](CC)O1 ZINC000421394783 192328905 /nfs/dbraw/zinc/32/89/05/192328905.db2.gz TUVDAGNBEXVEFG-CHWSQXEVSA-N 0 3 243.391 2.548 20 0 BFADHN CCn1cc(CN2CC[C@@H](C3CCCC3)C2)cn1 ZINC000421397153 192329016 /nfs/dbraw/zinc/32/90/16/192329016.db2.gz XEZXJYDGYPDIAZ-OAHLLOKOSA-N 0 3 247.386 2.915 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCSC2)C[C@@H](CC)O1 ZINC000421397221 192329096 /nfs/dbraw/zinc/32/90/96/192329096.db2.gz XKWIAFGFRPZYHB-YNEHKIRRSA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCSC2)C[C@@H](CC)O1 ZINC000421397218 192329997 /nfs/dbraw/zinc/32/99/97/192329997.db2.gz XKWIAFGFRPZYHB-JHJVBQTASA-N 0 3 243.416 2.629 20 0 BFADHN CCC(CC)CNC(=O)C[C@H](N)c1ccccc1 ZINC000083379024 168353874 /nfs/dbraw/zinc/35/38/74/168353874.db2.gz KFQAOXLPMDZBSP-AWEZNQCLSA-N 0 3 248.370 2.629 20 0 BFADHN Cc1cc([C@@H](C)NC(C2CC2)C2CC2)no1 ZINC000336750388 178221965 /nfs/dbraw/zinc/22/19/65/178221965.db2.gz UUMVGWVCNGHQBJ-SECBINFHSA-N 0 3 220.316 2.822 20 0 BFADHN Cc1cc([C@@H](C)NCC23CCC(CC2)C3)nn1C ZINC000337481953 178222087 /nfs/dbraw/zinc/22/20/87/178222087.db2.gz QZIBRUGOKYXLBX-DNOWBOINSA-N 0 3 247.386 2.959 20 0 BFADHN Cc1cc([C@@H](C)NCC2(C)CCC2)nn1C ZINC000336721739 178223322 /nfs/dbraw/zinc/22/33/22/178223322.db2.gz AMZKSYXWNFTNPO-LLVKDONJSA-N 0 3 221.348 2.569 20 0 BFADHN Cc1cc([C@@H](C)NCCN2CCCC2)c(C)o1 ZINC000020438839 178224256 /nfs/dbraw/zinc/22/42/56/178224256.db2.gz UHTPVUMZUNHNNY-GFCCVEGCSA-N 0 3 236.359 2.643 20 0 BFADHN Cc1cc([C@@H](C)NCCCn2ccnc2)c(C)o1 ZINC000020506065 178224661 /nfs/dbraw/zinc/22/46/61/178224661.db2.gz XQUZLIJDZAAIIR-GFCCVEGCSA-N 0 3 247.342 2.834 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](O)C(C)(C)C)c(C)o1 ZINC000302062925 178226795 /nfs/dbraw/zinc/22/67/95/178226795.db2.gz YPLOHTBCCWYOFL-ZWNOBZJWSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@@H](C)NCCn2ccnc2C)c(C)o1 ZINC000044730231 178226889 /nfs/dbraw/zinc/22/68/89/178226889.db2.gz QLJIGJBKTLVUSI-LLVKDONJSA-N 0 3 247.342 2.752 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](O)C(C)(C)C)c(C)o1 ZINC000302062924 178226985 /nfs/dbraw/zinc/22/69/85/178226985.db2.gz YPLOHTBCCWYOFL-MFKMUULPSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@@H](C)NCCn2ccnc2C)cs1 ZINC000294216205 178226996 /nfs/dbraw/zinc/22/69/96/178226996.db2.gz MYIMCTGQUBOUQK-LLVKDONJSA-N 0 3 249.383 2.912 20 0 BFADHN Cc1cc([C@@H](C)NCCn2ccnc2)cs1 ZINC000294302110 178227053 /nfs/dbraw/zinc/22/70/53/178227053.db2.gz VDSTXLYBTNKCCI-LLVKDONJSA-N 0 3 235.356 2.604 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@](C)(O)C2CC2)oc1C ZINC000337512568 178227356 /nfs/dbraw/zinc/22/73/56/178227356.db2.gz JSJDLKAITSBSKD-QMTHXVAHSA-N 0 3 237.343 2.708 20 0 BFADHN CCC(O)(CC)CN[C@H](C)c1nc(C)cs1 ZINC000164569722 538933567 /nfs/dbraw/zinc/93/35/67/538933567.db2.gz YSTJEBJGPADYKF-SNVBAGLBSA-N 0 3 242.388 2.653 20 0 BFADHN CCCN(CC)Cc1cc(C(=O)OC)cs1 ZINC000602435345 349522705 /nfs/dbraw/zinc/52/27/05/349522705.db2.gz YHOYGAYJKABMPR-UHFFFAOYSA-N 0 3 241.356 2.767 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](CO)CC(C)C)c(C)o1 ZINC000040775146 178231352 /nfs/dbraw/zinc/23/13/52/178231352.db2.gz ILGZKLGLDFLRNT-DGCLKSJQSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CC=CCC2)no1 ZINC000290682567 178232290 /nfs/dbraw/zinc/23/22/90/178232290.db2.gz MBILYQJLTXDLER-MNOVXSKESA-N 0 3 206.289 2.742 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)Cn2cccn2)cs1 ZINC000294287289 178232365 /nfs/dbraw/zinc/23/23/65/178232365.db2.gz TZDKHEGXRMRDRL-ZYHUDNBSSA-N 0 3 249.383 2.992 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCCC[C@@H]2O)oc1C ZINC000282817398 178232786 /nfs/dbraw/zinc/23/27/86/178232786.db2.gz RNWUKXCVDKGCAW-WXHSDQCUSA-N 0 3 237.343 2.851 20 0 BFADHN Cc1nocc1CN1CC[C@@H](C)C[C@H](C)C1 ZINC000334290464 136225195 /nfs/dbraw/zinc/22/51/95/136225195.db2.gz KZNZRUPKIANGPD-MNOVXSKESA-N 0 3 222.332 2.851 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1[C@H]1C=CCCC1 ZINC000343037525 136225422 /nfs/dbraw/zinc/22/54/22/136225422.db2.gz KAWSULNDWLPOIH-UPJWGTAASA-N 0 3 209.333 2.594 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](CO)CC(C)C)c(C)o1 ZINC000040775142 178233349 /nfs/dbraw/zinc/23/33/49/178233349.db2.gz ILGZKLGLDFLRNT-YPMHNXCESA-N 0 3 239.359 2.954 20 0 BFADHN CCOCCN[C@@H]1c2cc(F)ccc2C[C@@H]1C ZINC000161969255 349526436 /nfs/dbraw/zinc/52/64/36/349526436.db2.gz NHPJOCMCUDULNQ-HZMBPMFUSA-N 0 3 237.318 2.685 20 0 BFADHN Fc1ccc(CN2CCCC2)cc1-c1c[nH]cn1 ZINC000649433289 398234928 /nfs/dbraw/zinc/23/49/28/398234928.db2.gz LRVWNBCXOAKGKJ-UHFFFAOYSA-N 0 3 245.301 2.812 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)[C@@H]2CCOC2)oc1C ZINC000282208262 178253574 /nfs/dbraw/zinc/25/35/74/178253574.db2.gz OHKQTDJVFNLQOT-GMXVVIOVSA-N 0 3 237.343 2.972 20 0 BFADHN Cc1cc([C@H](C)NC2CCC(C)CC2)nn1C ZINC000337485969 178245488 /nfs/dbraw/zinc/24/54/88/178245488.db2.gz SMMFOMDNVGVGTD-YDGIUTOCSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@H](C)NCCC(C)(C)CO)oc1C ZINC000296532720 178246303 /nfs/dbraw/zinc/24/63/03/178246303.db2.gz QOABBRGTYKYLMT-NSHDSACASA-N 0 3 239.359 2.956 20 0 BFADHN Cc1cc([C@H](C)NCCN2CC=CCC2)oc1C ZINC000282408198 178246345 /nfs/dbraw/zinc/24/63/45/178246345.db2.gz CCVQAPXNMKJOGS-ZDUSSCGKSA-N 0 3 248.370 2.809 20 0 BFADHN Cc1cc([C@H](C)NCCC(C)(C)C)nn1C ZINC000309454486 178246416 /nfs/dbraw/zinc/24/64/16/178246416.db2.gz NIGDTTAURNEIRW-NSHDSACASA-N 0 3 223.364 2.815 20 0 BFADHN C[C@@H](NCC[C@H](C)F)c1ccncc1F ZINC000336773735 398233712 /nfs/dbraw/zinc/23/37/12/398233712.db2.gz ZBHMVVFSZLXFFA-DTWKUNHWSA-N 0 3 214.259 2.619 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCCO2)cs1 ZINC000294338167 178247879 /nfs/dbraw/zinc/24/78/79/178247879.db2.gz YHFHBXNLFXDMHG-JQWIXIFHSA-N 0 3 225.357 2.886 20 0 BFADHN Cc1cc([C@H](C)NCCn2ccnc2)cs1 ZINC000294302113 178248361 /nfs/dbraw/zinc/24/83/61/178248361.db2.gz VDSTXLYBTNKCCI-NSHDSACASA-N 0 3 235.356 2.604 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCCN2C)oc1C ZINC000337517994 178248611 /nfs/dbraw/zinc/24/86/11/178248611.db2.gz NHFPTTMRUYDNLJ-AAEUAGOBSA-N 0 3 236.359 2.641 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CCCO2)c(C)o1 ZINC000020438427 178250314 /nfs/dbraw/zinc/25/03/14/178250314.db2.gz FQDQEHPPFGWVAO-CMPLNLGQSA-N 0 3 223.316 2.726 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)CC(C)C)nn1C ZINC000309333618 178252032 /nfs/dbraw/zinc/25/20/32/178252032.db2.gz IKZHQDWZVCOXHU-JQWIXIFHSA-N 0 3 223.364 2.814 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](CO)C(C)C)oc1C ZINC000336742014 178254293 /nfs/dbraw/zinc/25/42/93/178254293.db2.gz NWEDXXKTCJLOBO-JQWIXIFHSA-N 0 3 225.332 2.564 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)Cn2ccnc2)cs1 ZINC000294300491 178256222 /nfs/dbraw/zinc/25/62/22/178256222.db2.gz UYLUXUHDKKNLQQ-PWSUYJOCSA-N 0 3 249.383 2.992 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)Cn2cccn2)oc1C ZINC000337485950 178256486 /nfs/dbraw/zinc/25/64/86/178256486.db2.gz SMIIDLQJIJYXSW-NEPJUHHUSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCCOC2)oc1C ZINC000282304903 178257806 /nfs/dbraw/zinc/25/78/06/178257806.db2.gz STQAQQROVIYUQA-JQWIXIFHSA-N 0 3 223.316 2.726 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCOC[C@@H]2C)oc1C ZINC000282652517 178258030 /nfs/dbraw/zinc/25/80/30/178258030.db2.gz IDBVYIKXNDJCRY-GVXVVHGQSA-N 0 3 237.343 2.972 20 0 BFADHN CN(Cc1cccc(-c2ncccn2)c1)C1CC1 ZINC000649438934 398237328 /nfs/dbraw/zinc/23/73/28/398237328.db2.gz ZBTMSCJJSFAYOW-UHFFFAOYSA-N 0 3 239.322 2.738 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CC[C@H](C)C2)no1 ZINC000336754545 178259643 /nfs/dbraw/zinc/25/96/43/178259643.db2.gz IHGAAFGPXDFVKD-LSJOCFKGSA-N 0 3 208.305 2.822 20 0 BFADHN COc1ccc(-c2cccc(CN(C)C)c2)nc1 ZINC000649436690 398238230 /nfs/dbraw/zinc/23/82/30/398238230.db2.gz PESQQGVPTKPJFK-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN CN(C)Cc1cccc(-c2ccsn2)c1 ZINC000649436990 398239059 /nfs/dbraw/zinc/23/90/59/398239059.db2.gz YEWPDDYXESVXKE-UHFFFAOYSA-N 0 3 218.325 2.872 20 0 BFADHN COC[C@H](CC(C)C)NCc1cnc(C)s1 ZINC000336775575 398239352 /nfs/dbraw/zinc/23/93/52/398239352.db2.gz ZJJNYAIFNGOQGC-NSHDSACASA-N 0 3 242.388 2.602 20 0 BFADHN CCC(CC)CN[C@@H](COC)c1ccco1 ZINC000133360665 168362697 /nfs/dbraw/zinc/36/26/97/168362697.db2.gz URLJOHARYYLRPC-LBPRGKRZSA-N 0 3 225.332 2.993 20 0 BFADHN CCC(CC)C[NH2+]Cc1nc(C)ccc1[O-] ZINC000106479967 168363343 /nfs/dbraw/zinc/36/33/43/168363343.db2.gz JVPPKAPFUQNQCY-UHFFFAOYSA-N 0 3 222.332 2.621 20 0 BFADHN COCC[C@H](C)N1CCC=C(c2ccco2)C1 ZINC000285273133 538941118 /nfs/dbraw/zinc/94/11/18/538941118.db2.gz IVKJUTUJDLTKLA-LBPRGKRZSA-N 0 3 235.327 2.794 20 0 BFADHN CC[C@H](N[C@@H](C)[C@@H](C)OC)c1nc(C)cs1 ZINC000167372243 538941522 /nfs/dbraw/zinc/94/15/22/538941522.db2.gz MAGFJAUVLFYAKP-AXFHLTTASA-N 0 3 242.388 2.916 20 0 BFADHN CC(C)(C)c1ncc(CNCCC2CC2)cn1 ZINC000284305114 538940269 /nfs/dbraw/zinc/94/02/69/538940269.db2.gz JCJNWLAGDLMCAM-UHFFFAOYSA-N 0 3 233.359 2.664 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@@H](C)c1ncc[nH]1 ZINC000286066555 538943139 /nfs/dbraw/zinc/94/31/39/538943139.db2.gz KXHCCVJNIJYDAT-DCAQKATOSA-N 0 3 209.337 2.885 20 0 BFADHN CN(C)CCSCc1ccccc1N(C)C ZINC000179907035 398246311 /nfs/dbraw/zinc/24/63/11/398246311.db2.gz LPAPCHULZQOQMW-UHFFFAOYSA-N 0 3 238.400 2.547 20 0 BFADHN CSC[C@@H](C)NCC1=Cc2ccccc2OC1 ZINC000076769133 398246801 /nfs/dbraw/zinc/24/68/01/398246801.db2.gz RYGRWAZQFFYFCF-LLVKDONJSA-N 0 3 249.379 2.804 20 0 BFADHN Cc1cccc(CCN[C@H](C)c2ncc[nH]2)c1 ZINC000286150742 538943434 /nfs/dbraw/zinc/94/34/34/538943434.db2.gz PKBNFMDZQNZDPC-GFCCVEGCSA-N 0 3 229.327 2.611 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1cncc(OC)n1 ZINC000625563020 343995431 /nfs/dbraw/zinc/99/54/31/343995431.db2.gz KCSSRTFFJCCXGB-WCQYABFASA-N 0 3 249.358 2.638 20 0 BFADHN CO[C@H](CN[C@H](C)c1cnc(C)s1)C1CC1 ZINC000382160326 398256081 /nfs/dbraw/zinc/25/60/81/398256081.db2.gz SNLGHAQWYVMCBD-LDYMZIIASA-N 0 3 240.372 2.527 20 0 BFADHN CC[C@H](CN[C@H]1CCc2ccc(F)cc21)OC ZINC000290227134 538946016 /nfs/dbraw/zinc/94/60/16/538946016.db2.gz RJUBWTJYZMZJKK-OCCSQVGLSA-N 0 3 237.318 2.828 20 0 BFADHN c1cc2c(cccc2CNCc2cncs2)[nH]1 ZINC000168973015 538946131 /nfs/dbraw/zinc/94/61/31/538946131.db2.gz SAYXYXYVOMAQSU-UHFFFAOYSA-N 0 3 243.335 2.914 20 0 BFADHN CCc1cnc(CN2C[C@H](C)[C@H](C)[C@@H]2C)o1 ZINC000576732828 365672650 /nfs/dbraw/zinc/67/26/50/365672650.db2.gz QJPAHIDWCIYEBM-DCAQKATOSA-N 0 3 222.332 2.713 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@@H]2C)o1 ZINC000124300827 178331306 /nfs/dbraw/zinc/33/13/06/178331306.db2.gz ZDMWOWYGXLGBSF-JTQLQIEISA-N 0 3 245.326 2.962 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@H]2C2CCC2)[nH]c1C ZINC000576767519 365682198 /nfs/dbraw/zinc/68/21/98/365682198.db2.gz AIYDEWFFUXWCPV-STQMWFEESA-N 0 3 233.359 2.552 20 0 BFADHN Cc1cnccc1CN[C@H]1CCC(F)(F)C1 ZINC000384844482 398259858 /nfs/dbraw/zinc/25/98/58/398259858.db2.gz JQPQIBSHBBRXHA-NSHDSACASA-N 0 3 226.270 2.667 20 0 BFADHN CC[C@@](C)(CN(C)Cc1cnccc1C)OC ZINC000639124522 398266964 /nfs/dbraw/zinc/26/69/64/398266964.db2.gz LTBBNQDTMYMJGQ-AWEZNQCLSA-N 0 3 236.359 2.637 20 0 BFADHN COC[C@]1(C)CN(CCCC(C)(C)C)CCO1 ZINC000626176866 346826489 /nfs/dbraw/zinc/82/64/89/346826489.db2.gz YLWVRTLHXDJAOG-AWEZNQCLSA-N 0 3 243.391 2.550 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@H]1O)c1cccc(Cl)c1 ZINC000378759329 346826517 /nfs/dbraw/zinc/82/65/17/346826517.db2.gz AMHAKIQMOZACMZ-YNEHKIRRSA-N 0 3 239.746 2.904 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CCC[C@@H]2C)n(C)n1 ZINC000417780785 398267721 /nfs/dbraw/zinc/26/77/21/398267721.db2.gz WBVGUSHAEDSTNP-AAEUAGOBSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCC2(F)F)cn1 ZINC000381664747 398269196 /nfs/dbraw/zinc/26/91/96/398269196.db2.gz KGXILJSETHRJTP-LBPRGKRZSA-N 0 3 240.297 2.915 20 0 BFADHN CCC(CC)N(CCOC)Cc1ccncc1 ZINC000130363931 168374547 /nfs/dbraw/zinc/37/45/47/168374547.db2.gz IYAQIYVBJNMGQY-UHFFFAOYSA-N 0 3 236.359 2.719 20 0 BFADHN c1nc(-c2ccccc2)[nH]c1CN1CC=CCC1 ZINC000034218346 538954165 /nfs/dbraw/zinc/95/41/65/538954165.db2.gz UPRVUPXMDKZVMF-UHFFFAOYSA-N 0 3 239.322 2.839 20 0 BFADHN Cc1cncc([C@@H](C)N[C@H]2C[C@@H]2C(F)F)c1 ZINC000378804773 346838358 /nfs/dbraw/zinc/83/83/58/346838358.db2.gz WDZNBROCEXRWGY-MIMYLULJSA-N 0 3 226.270 2.694 20 0 BFADHN COc1cnccc1[C@H](C)N[C@H]1CC[C@@H](C)C1 ZINC000188777631 346840402 /nfs/dbraw/zinc/84/04/02/346840402.db2.gz XODACNBAKSQZRS-WOPDTQHZSA-N 0 3 234.343 2.929 20 0 BFADHN CCC[C@H]1CCCN(Cc2cn(C)nc2CC)C1 ZINC000180491103 538958120 /nfs/dbraw/zinc/95/81/20/538958120.db2.gz LFKVQXBIIBBEMI-ZDUSSCGKSA-N 0 3 249.402 2.995 20 0 BFADHN Cc1sccc1CNCCOCC1CCC1 ZINC000378860079 346848183 /nfs/dbraw/zinc/84/81/83/346848183.db2.gz OEFLSAMKIDDHNT-UHFFFAOYSA-N 0 3 239.384 2.963 20 0 BFADHN CCc1nn(C)cc1CN1CCC[C@@H](CC)C1 ZINC000179763395 538956113 /nfs/dbraw/zinc/95/61/13/538956113.db2.gz JFEOZCKTTUOFSX-GFCCVEGCSA-N 0 3 235.375 2.605 20 0 BFADHN CCc1nn(C)cc1CN(CC(C)C)C1CC1 ZINC000179730881 538956218 /nfs/dbraw/zinc/95/62/18/538956218.db2.gz GTVRZHFOZFBGKX-UHFFFAOYSA-N 0 3 235.375 2.603 20 0 BFADHN CC[C@@H](C)N1CCO[C@]2(CCc3ccccc32)C1 ZINC000181133110 538960203 /nfs/dbraw/zinc/96/02/03/538960203.db2.gz HTGBMTJNULNECK-CZUORRHYSA-N 0 3 245.366 2.959 20 0 BFADHN Cc1nc(C)c(CNCCSCC(C)C)o1 ZINC000600140957 342689411 /nfs/dbraw/zinc/68/94/11/342689411.db2.gz CKPKCNMSFCNUHW-UHFFFAOYSA-N 0 3 242.388 2.770 20 0 BFADHN Cc1ncc(CNCCCSCC(C)C)o1 ZINC000600142819 342689434 /nfs/dbraw/zinc/68/94/34/342689434.db2.gz QFOBLTVEARQQNN-UHFFFAOYSA-N 0 3 242.388 2.852 20 0 BFADHN CS[C@H]1CCCCN(Cc2cnc(C)o2)C1 ZINC000600133263 342687266 /nfs/dbraw/zinc/68/72/66/342687266.db2.gz PHOOZZPIMPEYAJ-LBPRGKRZSA-N 0 3 240.372 2.701 20 0 BFADHN CC1(C)CC[C@H](CNCc2cccs2)O1 ZINC000166070964 361848714 /nfs/dbraw/zinc/84/87/14/361848714.db2.gz YAZQZXJLQRGDME-SNVBAGLBSA-N 0 3 225.357 2.795 20 0 BFADHN CS[C@H](CNCc1cnc(C)o1)C(C)(C)C ZINC000600143292 342689743 /nfs/dbraw/zinc/68/97/43/342689743.db2.gz AMYOOASKQDETLY-LLVKDONJSA-N 0 3 242.388 2.850 20 0 BFADHN CSC[C@H]1CCCN(Cc2cnc(C)o2)C1 ZINC000600167158 342694497 /nfs/dbraw/zinc/69/44/97/342694497.db2.gz FLXAJELXJNFKGQ-NSHDSACASA-N 0 3 240.372 2.558 20 0 BFADHN CC[C@H](CSC)N(C)Cc1cnc(C)o1 ZINC000600168800 342694688 /nfs/dbraw/zinc/69/46/88/342694688.db2.gz WEPITBGGCHFOEH-SNVBAGLBSA-N 0 3 228.361 2.556 20 0 BFADHN CCSCC[C@@H](C)N[C@H](C)c1ncc[nH]1 ZINC000285527167 342695774 /nfs/dbraw/zinc/69/57/74/342695774.db2.gz BLMJIVPRLRHWIK-NXEZZACHSA-N 0 3 227.377 2.592 20 0 BFADHN CC(C)[C@H]1CCC[C@H](NCc2ccno2)C1 ZINC000231885284 342741538 /nfs/dbraw/zinc/74/15/38/342741538.db2.gz FMDZBENDQJIYRN-RYUDHWBXSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ccno2)C[C@@H]1C ZINC000231880516 342742244 /nfs/dbraw/zinc/74/22/44/342742244.db2.gz HFHQUSFLODWFCS-OUAUKWLOSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H](Cc1ccccc1F)NCc1ccno1 ZINC000231881158 342742570 /nfs/dbraw/zinc/74/25/70/342742570.db2.gz UNOZNPWESUEJPH-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN CC(C)[C@H](C)CNCc1ccncc1F ZINC000449158224 398280316 /nfs/dbraw/zinc/28/03/16/398280316.db2.gz SNHCAUOSMUKWQT-SNVBAGLBSA-N 0 3 210.296 2.602 20 0 BFADHN Cc1ccc(N[C@@H]2CO[C@@H](C)C2)c(CN(C)C)c1 ZINC000647195212 398282328 /nfs/dbraw/zinc/28/23/28/398282328.db2.gz DSBXBRNCZRNDHW-JSGCOSHPSA-N 0 3 248.370 2.646 20 0 BFADHN COCC1(NCc2ccc(C)c(Cl)c2)CC1 ZINC000309648277 342774735 /nfs/dbraw/zinc/77/47/35/342774735.db2.gz NCZVKYAEXYNQAM-UHFFFAOYSA-N 0 3 239.746 2.917 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCC2(CO)CCC2)o1 ZINC000184376294 538968486 /nfs/dbraw/zinc/96/84/86/538968486.db2.gz YDMICCBRTZZDDQ-WCQYABFASA-N 0 3 249.354 2.655 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1ccccc1F ZINC000083462490 398283002 /nfs/dbraw/zinc/28/30/02/398283002.db2.gz PSZGALKNKPGEAG-MFKMUULPSA-N 0 3 225.307 2.637 20 0 BFADHN CCC(CC)NCc1cn2cccc(C)c2n1 ZINC000102680155 168390267 /nfs/dbraw/zinc/39/02/67/168390267.db2.gz KSVRAFSBJMCZEO-UHFFFAOYSA-N 0 3 231.343 2.921 20 0 BFADHN CCCc1ncc(CNC[C@@](C)(CC)OC)o1 ZINC000639253981 398285343 /nfs/dbraw/zinc/28/53/43/398285343.db2.gz TXADMULRYLMJGD-CYBMUJFWSA-N 0 3 240.347 2.532 20 0 BFADHN CCCc1ncc(CN[C@@H]2CS[C@@H](C)C2)o1 ZINC000639254233 398285653 /nfs/dbraw/zinc/28/56/53/398285653.db2.gz WFFBUXGIZROELD-UWVGGRQHSA-N 0 3 240.372 2.611 20 0 BFADHN Cc1cccc(CN[C@H]2CCC23CCC3)n1 ZINC000309706974 342794639 /nfs/dbraw/zinc/79/46/39/342794639.db2.gz OYLSVZHKKKOKOT-ZDUSSCGKSA-N 0 3 216.328 2.812 20 0 BFADHN CC[C@@](C)(O)CNCc1cscc1Cl ZINC000379584344 538978534 /nfs/dbraw/zinc/97/85/34/538978534.db2.gz ODEGHSKPOOVPMR-SNVBAGLBSA-N 0 3 233.764 2.652 20 0 BFADHN CCC(CC)N[C@H]1CCCc2c[nH]nc21 ZINC000271539051 168392652 /nfs/dbraw/zinc/39/26/52/168392652.db2.gz SHOCKUMIXLEQFF-NSHDSACASA-N 0 3 207.321 2.565 20 0 BFADHN CC(C)CSCCCN[C@H](C)c1ncc[nH]1 ZINC000286102905 342813206 /nfs/dbraw/zinc/81/32/06/342813206.db2.gz MQLUHJWMGPEZFC-LLVKDONJSA-N 0 3 241.404 2.840 20 0 BFADHN OCCCCCNCc1cscc1Cl ZINC000379647791 538979145 /nfs/dbraw/zinc/97/91/45/538979145.db2.gz ZZMAZSKLBNUXDW-UHFFFAOYSA-N 0 3 233.764 2.654 20 0 BFADHN C[C@H](NCc1ccc(F)cc1)[C@H]1CCCCO1 ZINC000379264338 538976464 /nfs/dbraw/zinc/97/64/64/538976464.db2.gz POZDUFNCLCHGIA-SMDDNHRTSA-N 0 3 237.318 2.873 20 0 BFADHN CC[C@H](c1ccccc1)N1CCN(C2CC2)CC1 ZINC000126969151 342807895 /nfs/dbraw/zinc/80/78/95/342807895.db2.gz LJKFEWZIWZNXJQ-MRXNPFEDSA-N 0 3 244.382 2.918 20 0 BFADHN Cc1cc(C)cc(CN2CC3(CC3)C2)c1 ZINC000449370534 398292365 /nfs/dbraw/zinc/29/23/65/398292365.db2.gz KYJKNFXGVVJCTP-UHFFFAOYSA-N 0 3 201.313 2.899 20 0 BFADHN Cc1nnc(CNC(C(C)C)C(C)C)s1 ZINC000380129469 538985900 /nfs/dbraw/zinc/98/59/00/538985900.db2.gz SMLIIPQNCYWZKP-UHFFFAOYSA-N 0 3 227.377 2.617 20 0 BFADHN CC[C@H](CN[C@H](C)c1cnc(C)s1)OC ZINC000380207868 538987548 /nfs/dbraw/zinc/98/75/48/538987548.db2.gz XJELMTKEKTZCCQ-PSASIEDQSA-N 0 3 228.361 2.527 20 0 BFADHN C[C@H]1Cc2ccccc2[C@H]1NC1CSC1 ZINC000380255359 538987916 /nfs/dbraw/zinc/98/79/16/538987916.db2.gz QEADEMNMJOOPPZ-ZANVPECISA-N 0 3 219.353 2.625 20 0 BFADHN Cc1ncsc1CN[C@@H]1CCC(F)(F)C1 ZINC000380261641 538988217 /nfs/dbraw/zinc/98/82/17/538988217.db2.gz RROGUPUHWZEERJ-MRVPVSSYSA-N 0 3 232.299 2.729 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc(NC(C)=O)cc1 ZINC000624198257 342835096 /nfs/dbraw/zinc/83/50/96/342835096.db2.gz JQBIFRKVLLVFJS-ZWNMCFTASA-N 0 3 232.327 2.872 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@H]1C[C@H]1C ZINC000379876711 538981181 /nfs/dbraw/zinc/98/11/81/538981181.db2.gz AMRAQGZOZPWDOV-VHSKPIJISA-N 0 3 208.305 2.598 20 0 BFADHN OCCC1(CNCc2cscc2Cl)CC1 ZINC000379931998 538982259 /nfs/dbraw/zinc/98/22/59/538982259.db2.gz PEMLXORLRNLHAT-UHFFFAOYSA-N 0 3 245.775 2.654 20 0 BFADHN C[C@H](NCC1COC1)c1ccccc1Cl ZINC000380015240 538983840 /nfs/dbraw/zinc/98/38/40/538983840.db2.gz MYYAXTPACXKSGK-VIFPVBQESA-N 0 3 225.719 2.637 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1nc2c(s1)CCC2 ZINC000380079495 538985016 /nfs/dbraw/zinc/98/50/16/538985016.db2.gz GDDHGAHUERTXNN-IUCAKERBSA-N 0 3 222.357 2.520 20 0 BFADHN c1nc(CN[C@H]2CCC[C@H](C3CC3)C2)co1 ZINC000381519585 539002806 /nfs/dbraw/zinc/00/28/06/539002806.db2.gz UBEOUZSFSAJHSS-RYUDHWBXSA-N 0 3 220.316 2.733 20 0 BFADHN C[C@H](N[C@@H]1CCC(F)(F)C1)c1cccnc1 ZINC000381516624 539002904 /nfs/dbraw/zinc/00/29/04/539002904.db2.gz SLYDNIRQJMAGEG-GXSJLCMTSA-N 0 3 226.270 2.920 20 0 BFADHN c1n[nH]cc1CNCCC1=CCCCCC1 ZINC000381626023 539005231 /nfs/dbraw/zinc/00/52/31/539005231.db2.gz LDZSRJPQOZDMSB-UHFFFAOYSA-N 0 3 219.332 2.780 20 0 BFADHN CO[C@H](C)CCN[C@H](C)c1nc(C)sc1C ZINC000381621798 539005406 /nfs/dbraw/zinc/00/54/06/539005406.db2.gz AICOBJUHYXXXGX-RKDXNWHRSA-N 0 3 242.388 2.836 20 0 BFADHN FC(F)(F)c1ccc(CNC2CSC2)cc1 ZINC000381831239 539007792 /nfs/dbraw/zinc/00/77/92/539007792.db2.gz NSKBFSYIHOFHJU-UHFFFAOYSA-N 0 3 247.285 2.910 20 0 BFADHN CO[C@H](CN[C@H]1CCCc2cccnc21)C(C)C ZINC000381935314 539008207 /nfs/dbraw/zinc/00/82/07/539008207.db2.gz SCISWBSSPSGRPQ-UONOGXRCSA-N 0 3 248.370 2.720 20 0 BFADHN CCN(Cc1cn2cccc(C)c2n1)CC1CC1 ZINC000070012067 342839029 /nfs/dbraw/zinc/83/90/29/342839029.db2.gz IIFZFQCNHFIWIN-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CCCC1CCC(NCc2cn(C)cn2)CC1 ZINC000383541764 539011970 /nfs/dbraw/zinc/01/19/70/539011970.db2.gz UMRAJBYDWBMMNW-UHFFFAOYSA-N 0 3 235.375 2.869 20 0 BFADHN CC1=C[C@H](C)C[C@H](CNCc2cocn2)C1 ZINC000381245406 538996608 /nfs/dbraw/zinc/99/66/08/538996608.db2.gz OEJOAGAWOSQZCQ-JQWIXIFHSA-N 0 3 220.316 2.757 20 0 BFADHN CC(C)Oc1ccc(CNC2CSC2)cc1 ZINC000381242518 538996768 /nfs/dbraw/zinc/99/67/68/538996768.db2.gz NMKBVSZIORIHSD-UHFFFAOYSA-N 0 3 237.368 2.679 20 0 BFADHN C[C@@H](NCc1ccc(Cl)cc1F)C(C)(C)O ZINC000381246003 538996849 /nfs/dbraw/zinc/99/68/49/538996849.db2.gz OGEAUTRMCHJLSD-MRVPVSSYSA-N 0 3 245.725 2.728 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@@H]1CCC(F)(F)C1 ZINC000381330132 538998090 /nfs/dbraw/zinc/99/80/90/538998090.db2.gz STPMZQVJYIIYBC-IONNQARKSA-N 0 3 229.274 2.557 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@H]1CCC(F)(F)C1 ZINC000381330131 538998357 /nfs/dbraw/zinc/99/83/57/538998357.db2.gz STPMZQVJYIIYBC-CBAPKCEASA-N 0 3 229.274 2.557 20 0 BFADHN Cc1cc(CNC2CCCCCC2)ncn1 ZINC000381358719 538999520 /nfs/dbraw/zinc/99/95/20/538999520.db2.gz DZWDLZQFQWZNHL-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN C[C@@H](NC[C@]1(C)C[C@H]2C[C@H]2C1)c1cnccn1 ZINC000624199390 342842533 /nfs/dbraw/zinc/84/25/33/342842533.db2.gz NFEUATQOFVQFHI-NRWUCQMLSA-N 0 3 231.343 2.563 20 0 BFADHN C[C@H](NCc1ccc([C@@H]2C[C@H]2C)o1)C(C)(C)O ZINC000393959043 344006546 /nfs/dbraw/zinc/00/65/46/344006546.db2.gz AGIQAYOXOKKCTP-JFGNBEQYSA-N 0 3 237.343 2.652 20 0 BFADHN CCOc1cccc([C@H](C)NC2CSC2)c1 ZINC000390610128 539026804 /nfs/dbraw/zinc/02/68/04/539026804.db2.gz DVQZPFQLFHCSGC-JTQLQIEISA-N 0 3 237.368 2.851 20 0 BFADHN CC(C)C[C@H]1CCCN1Cc1cc2n(n1)CCC2 ZINC000649502459 398297349 /nfs/dbraw/zinc/29/73/49/398297349.db2.gz GWSRMWITQKBDDK-CQSZACIVSA-N 0 3 247.386 2.840 20 0 BFADHN Cc1cc(CN2CCC[C@@H](CO)[C@H]2C)cs1 ZINC000335633187 136241598 /nfs/dbraw/zinc/24/15/98/136241598.db2.gz KTIHUEWSJPXYOG-YPMHNXCESA-N 0 3 239.384 2.649 20 0 BFADHN CO[C@@H](C)CCNCc1cscc1Cl ZINC000389374519 539015942 /nfs/dbraw/zinc/01/59/42/539015942.db2.gz ZKOOYWCMFHIBOO-QMMMGPOBSA-N 0 3 233.764 2.916 20 0 BFADHN CCC[C@H](C)CCCN1CCN(CC)CC1 ZINC000625059542 342846387 /nfs/dbraw/zinc/84/63/87/342846387.db2.gz FINGXHMTDYNOOV-AWEZNQCLSA-N 0 3 226.408 2.840 20 0 BFADHN CCO[C@@H](CN(C)Cc1ccco1)C1CC1 ZINC000625055686 342846713 /nfs/dbraw/zinc/84/67/13/342846713.db2.gz AOYYYELFCPBUKY-ZDUSSCGKSA-N 0 3 223.316 2.527 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000624200367 342846749 /nfs/dbraw/zinc/84/67/49/342846749.db2.gz JXLGZBDAQDHKMR-XPCVCDNBSA-N 0 3 245.370 2.872 20 0 BFADHN CC(C)=CCNCc1c[nH]nc1C(C)(C)C ZINC000191632257 398301900 /nfs/dbraw/zinc/30/19/00/398301900.db2.gz DLEAKJKCLQEPQL-UHFFFAOYSA-N 0 3 221.348 2.763 20 0 BFADHN CCN1CCC[C@@H]1CNc1nc(Cl)cs1 ZINC000164975501 342861432 /nfs/dbraw/zinc/86/14/32/342861432.db2.gz HZYLEMCQOPGKEP-MRVPVSSYSA-N 0 3 245.779 2.693 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@@H]1C[C@H](C)n2ccnc21 ZINC000449575215 398304919 /nfs/dbraw/zinc/30/49/19/398304919.db2.gz XCFBWVLNILESKK-ZDEQEGDKSA-N 0 3 233.359 2.913 20 0 BFADHN CCc1nocc1CN[C@H]1CCC(F)(F)C1 ZINC000382110429 398305831 /nfs/dbraw/zinc/30/58/31/398305831.db2.gz DPVFATUJBQFGJT-VIFPVBQESA-N 0 3 230.258 2.514 20 0 BFADHN C[C@H]1C[C@H]1CNCc1cccc(C(F)(F)F)n1 ZINC000449403222 398310800 /nfs/dbraw/zinc/31/08/00/398310800.db2.gz VJGCPICOSVQKLP-IUCAKERBSA-N 0 3 244.260 2.846 20 0 BFADHN CCC[C@H](C)[C@H](CC)NCc1nccn1C ZINC000167250735 136245138 /nfs/dbraw/zinc/24/51/38/136245138.db2.gz MFKLLZOHEISBAJ-RYUDHWBXSA-N 0 3 223.364 2.725 20 0 BFADHN CCO[C@@H](CN(CC)Cc1ccoc1)C1CC1 ZINC000625131461 342884866 /nfs/dbraw/zinc/88/48/66/342884866.db2.gz BBOTWHOUSSRNLV-AWEZNQCLSA-N 0 3 237.343 2.917 20 0 BFADHN Cc1ccc2c(CN3CCCC3)cc(=O)oc2c1 ZINC000016445156 342911042 /nfs/dbraw/zinc/91/10/42/342911042.db2.gz XLGNEAFOTZKRQC-UHFFFAOYSA-N 0 3 243.306 2.697 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CCC[C@@H]2C)on1 ZINC000351520441 136246973 /nfs/dbraw/zinc/24/69/73/136246973.db2.gz RROWCPRCOCRXLY-JQWIXIFHSA-N 0 3 222.332 2.994 20 0 BFADHN OCC[C@@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC000227209951 342904596 /nfs/dbraw/zinc/90/45/96/342904596.db2.gz GPBIVBOPLJQODI-FAOGRTBUSA-N 0 3 245.366 2.794 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCSc3ccccc32)CO1 ZINC000401922817 259329146 /nfs/dbraw/zinc/32/91/46/259329146.db2.gz OXRZGDHQJSBCBW-WZRBSPASSA-N 0 3 249.379 2.991 20 0 BFADHN CCc1ccccc1CN[C@H]1COCC1(C)C ZINC000313022804 259329584 /nfs/dbraw/zinc/32/95/84/259329584.db2.gz DTXMERXKHJIDNU-AWEZNQCLSA-N 0 3 233.355 2.764 20 0 BFADHN CC[C@@](C)(CO)NCc1ccc(C)cc1Cl ZINC000233948820 342916066 /nfs/dbraw/zinc/91/60/66/342916066.db2.gz WWQOIXDSFNLVBF-ZDUSSCGKSA-N 0 3 241.762 2.899 20 0 BFADHN CC[C@H](CO)NCc1ccc(C)cc1Cl ZINC000234016567 342916818 /nfs/dbraw/zinc/91/68/18/342916818.db2.gz GWZKZUQMLSCYPD-LLVKDONJSA-N 0 3 227.735 2.509 20 0 BFADHN CCN(Cc1ccc(Cl)nc1)C1CC1 ZINC000037079980 342917612 /nfs/dbraw/zinc/91/76/12/342917612.db2.gz XUKGXRDQHBFHRL-UHFFFAOYSA-N 0 3 210.708 2.719 20 0 BFADHN CCO[C@@H](CN(C)CCOC(C)(C)C)C1CC1 ZINC000625220194 342919488 /nfs/dbraw/zinc/91/94/88/342919488.db2.gz HRPOYDAXCZKILX-ZDUSSCGKSA-N 0 3 243.391 2.548 20 0 BFADHN CCOC[C@@H](N[C@H](C)c1ccoc1)C(C)C ZINC000189361209 346874106 /nfs/dbraw/zinc/87/41/06/346874106.db2.gz UZCKYBNCJQSICG-DGCLKSJQSA-N 0 3 225.332 2.991 20 0 BFADHN CC[C@H](CNC/C=C/c1ccc(F)cc1)OC ZINC000353071511 398327151 /nfs/dbraw/zinc/32/71/51/398327151.db2.gz KALRGBDGRPWXJT-ISZGNANSSA-N 0 3 237.318 2.854 20 0 BFADHN CCCC[C@@](C)(CO)NCc1ccoc1C ZINC000647240457 398328106 /nfs/dbraw/zinc/32/81/06/398328106.db2.gz SICAXSAYOMTCQI-ZDUSSCGKSA-N 0 3 225.332 2.619 20 0 BFADHN CCc1nc(CN[C@@H]2CC[C@H]2C)cs1 ZINC000308549067 346882605 /nfs/dbraw/zinc/88/26/05/346882605.db2.gz QTQAOICNUJWWKR-PSASIEDQSA-N 0 3 210.346 2.594 20 0 BFADHN CCCN[C@@H](CO)c1cccc(C(F)(F)F)c1 ZINC000038123408 398336313 /nfs/dbraw/zinc/33/63/13/398336313.db2.gz VFHHGBMNQQRZGW-NSHDSACASA-N 0 3 247.260 2.738 20 0 BFADHN Cc1nc(C(C)C)sc1[C@@H](C)N(C)CCO ZINC000120951721 398337441 /nfs/dbraw/zinc/33/74/41/398337441.db2.gz YRWSBZBNZCSIQO-SNVBAGLBSA-N 0 3 242.388 2.560 20 0 BFADHN CCC[C@H](C)CN1CC[S@](=O)C(C)(C)CC1 ZINC000449570335 398338059 /nfs/dbraw/zinc/33/80/59/398338059.db2.gz HCBQYVDKXIWRSP-LRDDRELGSA-N 0 3 245.432 2.656 20 0 BFADHN Cc1noc(C)c1CCN[C@@H](C)c1cccnc1 ZINC000112545491 398332359 /nfs/dbraw/zinc/33/23/59/398332359.db2.gz LOXQFYGVMWVYBP-JTQLQIEISA-N 0 3 245.326 2.580 20 0 BFADHN COC[C@@H](NCCCCF)c1ccc(F)cc1 ZINC000351728766 136250217 /nfs/dbraw/zinc/25/02/17/136250217.db2.gz AICNJSATGOXYOW-CYBMUJFWSA-N 0 3 243.297 2.853 20 0 BFADHN NC(=O)[C@H](NC1CCCCCC1)c1ccccc1 ZINC000037855739 398335032 /nfs/dbraw/zinc/33/50/32/398335032.db2.gz GENBKULEFDBCHB-CQSZACIVSA-N 0 3 246.354 2.525 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1snnc1C ZINC000336674234 346892825 /nfs/dbraw/zinc/89/28/25/346892825.db2.gz OZEXPIRRCIBEIM-GHMZBOCLSA-N 0 3 239.388 2.905 20 0 BFADHN C[C@H]1C[C@@H](NCc2cccc3cccnc32)CO1 ZINC000335424749 398341316 /nfs/dbraw/zinc/34/13/16/398341316.db2.gz HNRDUBMWBZZLRK-SMDDNHRTSA-N 0 3 242.322 2.502 20 0 BFADHN C[C@H]1C[C@H](NCc2cccc3cccnc32)CO1 ZINC000335424747 398341407 /nfs/dbraw/zinc/34/14/07/398341407.db2.gz HNRDUBMWBZZLRK-FZMZJTMJSA-N 0 3 242.322 2.502 20 0 BFADHN CCC[C@H](O)CNCc1ccc(F)cc1Cl ZINC000133106611 398341419 /nfs/dbraw/zinc/34/14/19/398341419.db2.gz OUQJHWUYWISVCA-NSHDSACASA-N 0 3 245.725 2.730 20 0 BFADHN C[C@@H](NC1CC(C)(C)C1)c1nnc2ccccn21 ZINC000335786143 398342703 /nfs/dbraw/zinc/34/27/03/398342703.db2.gz BPJUCNVABQXYRZ-SNVBAGLBSA-N 0 3 244.342 2.569 20 0 BFADHN C/C(=C\c1ccccc1)CNCc1cnn(C)c1 ZINC000263799296 398342761 /nfs/dbraw/zinc/34/27/61/398342761.db2.gz JLAQAWRKGLUCET-MDWZMJQESA-N 0 3 241.338 2.613 20 0 BFADHN Cc1cccc([C@@H](C)N[C@@H](C)C(=O)NC(C)C)c1 ZINC000160234181 398343390 /nfs/dbraw/zinc/34/33/90/398343390.db2.gz SDOMNJICROZKRN-OLZOCXBDSA-N 0 3 248.370 2.559 20 0 BFADHN CCCCN(CC)C(=O)C[C@H](N)c1ccccc1 ZINC000019430670 342948635 /nfs/dbraw/zinc/94/86/35/342948635.db2.gz DSRALASTKQPFCK-AWEZNQCLSA-N 0 3 248.370 2.725 20 0 BFADHN Cc1ccc(CN(CCO)CC[C@@H](C)F)cc1 ZINC000351762526 136253114 /nfs/dbraw/zinc/25/31/14/136253114.db2.gz ZNRDZXRXTUIBOT-CYBMUJFWSA-N 0 3 239.334 2.537 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1cc(C)ccc1OC ZINC000019904990 342962589 /nfs/dbraw/zinc/96/25/89/342962589.db2.gz JJMYXLMHYSBRDD-RYUDHWBXSA-N 0 3 237.343 2.689 20 0 BFADHN CCC[C@@H](NCc1cc[nH]n1)C1CCCC1 ZINC000313414966 259335048 /nfs/dbraw/zinc/33/50/48/259335048.db2.gz SIGUWVDVEPCWKM-CYBMUJFWSA-N 0 3 221.348 2.858 20 0 BFADHN CCCCOCCN[C@@H](C)c1ccccn1 ZINC000040506216 346906000 /nfs/dbraw/zinc/90/60/00/346906000.db2.gz HDYIDJABKVQGNY-LBPRGKRZSA-N 0 3 222.332 2.549 20 0 BFADHN Cc1ccc(CNC[C@@H](c2ccco2)N(C)C)o1 ZINC000020122130 342969551 /nfs/dbraw/zinc/96/95/51/342969551.db2.gz KGBODUCFFCPAEO-ZDUSSCGKSA-N 0 3 248.326 2.574 20 0 BFADHN C[C@@H](CCc1ccco1)NCc1ccco1 ZINC000020144606 342971678 /nfs/dbraw/zinc/97/16/78/342971678.db2.gz BTVYOHTYUCTDLF-NSHDSACASA-N 0 3 219.284 2.984 20 0 BFADHN Cc1ccc(CNCc2cccnc2)c(C)c1 ZINC000020140572 342971760 /nfs/dbraw/zinc/97/17/60/342971760.db2.gz TZPIHZKNWZGKKF-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN Cc1ccc(CNCc2ccncc2)c(C)c1 ZINC000020139661 342971771 /nfs/dbraw/zinc/97/17/71/342971771.db2.gz LOLAZSBWRQNCPM-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN CO[C@@H]1CN(Cc2ccsc2)CC[C@H]1C ZINC000249168381 536733064 /nfs/dbraw/zinc/73/30/64/536733064.db2.gz KRCYXTSXORDEFH-ZYHUDNBSSA-N 0 3 225.357 2.605 20 0 BFADHN CCOc1ccc(CN[C@@H](C)[C@H]2CCCO2)cc1 ZINC000020205443 342976752 /nfs/dbraw/zinc/97/67/52/342976752.db2.gz MIVFJKQZERTLAJ-SWLSCSKDSA-N 0 3 249.354 2.742 20 0 BFADHN COc1cccc(CN(C)CC[C@@H](C)F)c1 ZINC000351852702 136257463 /nfs/dbraw/zinc/25/74/63/136257463.db2.gz KWSKUFHUYJGKNL-LLVKDONJSA-N 0 3 225.307 2.875 20 0 BFADHN COC(C)(C)C[C@@H](C)N[C@H](C)c1ccccn1 ZINC000020524547 342989937 /nfs/dbraw/zinc/98/99/37/342989937.db2.gz DNKHVQQPEOAPIO-VXGBXAGGSA-N 0 3 236.359 2.936 20 0 BFADHN CC[C@@]1(O)CCN(C/C=C/c2ccccc2)C1 ZINC000495190234 529572471 /nfs/dbraw/zinc/57/24/71/529572471.db2.gz IBVZGYLJSKIWJT-RZIFZGNASA-N 0 3 231.339 2.547 20 0 BFADHN CC[C@@]1(O)CCN(Cc2ccccc2Cl)C1 ZINC000495195840 529574912 /nfs/dbraw/zinc/57/49/12/529574912.db2.gz RRGLGGUOJCAWIF-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN CC[C@@]1(O)CCN(Cc2cccc(Cl)c2)C1 ZINC000495228031 529575387 /nfs/dbraw/zinc/57/53/87/529575387.db2.gz ZTHLULGTZDOLAJ-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN Fc1ccccc1CNCCOCC1CCC1 ZINC000584027422 342978614 /nfs/dbraw/zinc/97/86/14/342978614.db2.gz XWHDKQYORWDLBY-UHFFFAOYSA-N 0 3 237.318 2.732 20 0 BFADHN CC[C@@H](C)NC(=O)[C@H](C)N[C@@H](C)c1ccccc1 ZINC000020367949 342984087 /nfs/dbraw/zinc/98/40/87/342984087.db2.gz MSSIIAVFAOYPGJ-AGIUHOORSA-N 0 3 248.370 2.640 20 0 BFADHN CC(C)c1cccc(NC(=O)[C@H](N)C(C)C)c1 ZINC000020475640 342986761 /nfs/dbraw/zinc/98/67/61/342986761.db2.gz YZZQPTYTCPOCIO-CYBMUJFWSA-N 0 3 234.343 2.732 20 0 BFADHN CSC1CCN(Cc2oc(C)nc2C)CC1 ZINC000602728286 349716535 /nfs/dbraw/zinc/71/65/35/349716535.db2.gz YXJBPYXCJJZDNY-UHFFFAOYSA-N 0 3 240.372 2.619 20 0 BFADHN CN(Cc1ccc(F)c(F)c1)[C@@H]1CCCOC1 ZINC000335902475 136259705 /nfs/dbraw/zinc/25/97/05/136259705.db2.gz OFCLJZIPHZFFAR-LLVKDONJSA-N 0 3 241.281 2.576 20 0 BFADHN Cc1cc(C(F)(F)F)nn1-c1ccnc(C)c1 ZINC000634618960 343017993 /nfs/dbraw/zinc/01/79/93/343017993.db2.gz KADPQEJMMGWPAL-UHFFFAOYSA-N 0 3 241.216 2.903 20 0 BFADHN C[C@@H]1CCN(Cc2cc3ccccc3o2)C[C@H]1O ZINC000335904244 136260450 /nfs/dbraw/zinc/26/04/50/136260450.db2.gz MJIZFDLINLQPAU-BXUZGUMPSA-N 0 3 245.322 2.636 20 0 BFADHN Cc1nc(C)c(CN(C)C(C)(C)C)s1 ZINC000335906496 136260877 /nfs/dbraw/zinc/26/08/77/136260877.db2.gz NHXRGGJMNDKKMV-UHFFFAOYSA-N 0 3 212.362 2.990 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](CC)c1cccs1 ZINC000309032046 259341566 /nfs/dbraw/zinc/34/15/66/259341566.db2.gz FJXLQOPIZNTIOG-HBNTYKKESA-N 0 3 225.357 2.966 20 0 BFADHN C[C@@H]1CN(CCOCC2CC2)C[C@@H](C)C1(F)F ZINC000625650421 344023781 /nfs/dbraw/zinc/02/37/81/344023781.db2.gz RHTOLSSSLZOVLQ-GHMZBOCLSA-N 0 3 247.329 2.636 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](CC)c1cccs1 ZINC000309032044 259341888 /nfs/dbraw/zinc/34/18/88/259341888.db2.gz FJXLQOPIZNTIOG-GARJFASQSA-N 0 3 225.357 2.966 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1CC[C@H](OC)C1 ZINC000471789003 529624087 /nfs/dbraw/zinc/62/40/87/529624087.db2.gz CKUBKENWVBGMTQ-YUTCNCBUSA-N 0 3 248.370 2.862 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cnc(C)o1 ZINC000628287855 346920973 /nfs/dbraw/zinc/92/09/73/346920973.db2.gz PJUVVKBRQKMGTJ-SNVBAGLBSA-N 0 3 210.321 2.994 20 0 BFADHN C[C@H](CNCc1ncc[nH]1)C1CCCCC1 ZINC000309516459 259343373 /nfs/dbraw/zinc/34/33/73/259343373.db2.gz YVBZBRSKQORABR-LLVKDONJSA-N 0 3 221.348 2.716 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@H](C)CO)c(Cl)c1 ZINC000235467033 343038863 /nfs/dbraw/zinc/03/88/63/343038863.db2.gz LQEOUVLUFQVJOM-MNOVXSKESA-N 0 3 241.762 2.755 20 0 BFADHN CC[C@H](N[C@@H]1CCOC1)c1ccccc1F ZINC000166508155 529659498 /nfs/dbraw/zinc/65/94/98/529659498.db2.gz JWHQWBHBFNADGQ-MFKMUULPSA-N 0 3 223.291 2.655 20 0 BFADHN Cc1csc(CNC(C2CC2)C2CC2)n1 ZINC000038090619 343059541 /nfs/dbraw/zinc/05/95/41/343059541.db2.gz WRSCPYITHDYIIW-UHFFFAOYSA-N 0 3 222.357 2.730 20 0 BFADHN Cc1ccc(CN[C@]2(C)CCOC2)c(Cl)c1 ZINC000235862050 343064807 /nfs/dbraw/zinc/06/48/07/343064807.db2.gz POWFCXJZVOAZGM-CYBMUJFWSA-N 0 3 239.746 2.917 20 0 BFADHN COc1ccnc(CN[C@H](C)C(C)(C)C)c1 ZINC000309866243 343071165 /nfs/dbraw/zinc/07/11/65/343071165.db2.gz ORQWMIMQTIKCQT-SNVBAGLBSA-N 0 3 222.332 2.614 20 0 BFADHN CCCCN(C)Cc1cnc(CC)s1 ZINC000067402461 362020863 /nfs/dbraw/zinc/02/08/63/362020863.db2.gz HYQWJVYIGUKVNL-UHFFFAOYSA-N 0 3 212.362 2.937 20 0 BFADHN CO[C@H](C)CNCc1cscc1Cl ZINC000308265725 488198994 /nfs/dbraw/zinc/19/89/94/488198994.db2.gz PJEDUFUIAQUANO-SSDOTTSWSA-N 0 3 219.737 2.526 20 0 BFADHN CCc1nc(COc2ccccc2CN)cs1 ZINC000049268429 343099299 /nfs/dbraw/zinc/09/92/99/343099299.db2.gz GMGJXDOVAQUFRK-UHFFFAOYSA-N 0 3 248.351 2.743 20 0 BFADHN CN1CCN(C2CCCC2)[C@H](c2ccccc2)C1 ZINC000120080162 343107706 /nfs/dbraw/zinc/10/77/06/343107706.db2.gz YSDINOJYQDCGDE-INIZCTEOSA-N 0 3 244.382 2.918 20 0 BFADHN CC[C@@H](COC)NC/C=C/c1ccccc1OC ZINC000120211052 343112255 /nfs/dbraw/zinc/11/22/55/343112255.db2.gz WVJOVFHWEBIIEI-KGXGESDWSA-N 0 3 249.354 2.723 20 0 BFADHN CCN(CC)CC1=Cc2ccccc2OC1 ZINC000039375141 343124833 /nfs/dbraw/zinc/12/48/33/343124833.db2.gz JKMWXSVEGFQZFU-UHFFFAOYSA-N 0 3 217.312 2.804 20 0 BFADHN CCCN(CCO)Cc1ccc(CC)s1 ZINC000050106303 343134133 /nfs/dbraw/zinc/13/41/33/343134133.db2.gz VHIPVSYGGMVZSH-UHFFFAOYSA-N 0 3 227.373 2.515 20 0 BFADHN CC[C@H](C)N(C)Cc1c(C)nc2ccccn21 ZINC000507146459 529691075 /nfs/dbraw/zinc/69/10/75/529691075.db2.gz WVBUBPXVASPLDZ-NSHDSACASA-N 0 3 231.343 2.873 20 0 BFADHN Cc1nnsc1CN1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000569697333 323037476 /nfs/dbraw/zinc/03/74/76/323037476.db2.gz NLZOSTXCXIQGLH-YWVKMMECSA-N 0 3 239.388 2.713 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H](C)O[C@@H]2C)cs1 ZINC000394988050 362116752 /nfs/dbraw/zinc/11/67/52/362116752.db2.gz BKECQKGDKACDJQ-MKPLZMMCSA-N 0 3 225.357 2.712 20 0 BFADHN Cc1nnsc1CN1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000569697331 323037511 /nfs/dbraw/zinc/03/75/11/323037511.db2.gz NLZOSTXCXIQGLH-KKZNHRDASA-N 0 3 239.388 2.713 20 0 BFADHN Cc1ccc(CN(C)CCN(C)C)c(Cl)c1 ZINC000576949693 365729609 /nfs/dbraw/zinc/72/96/09/365729609.db2.gz KMFBABXPTXIVKP-UHFFFAOYSA-N 0 3 240.778 2.642 20 0 BFADHN C[C@H](F)CCN[C@@H](C)c1cn2ccccc2n1 ZINC000576957479 365732776 /nfs/dbraw/zinc/73/27/76/365732776.db2.gz NVORCWKWAAFTHQ-QWRGUYRKSA-N 0 3 235.306 2.733 20 0 BFADHN Cc1cccc(C(C)(C)NCc2cn[nH]c2)c1 ZINC000605596263 346929587 /nfs/dbraw/zinc/92/95/87/346929587.db2.gz XLAKLNTYXXVVIW-UHFFFAOYSA-N 0 3 229.327 2.743 20 0 BFADHN CC1(C)CCCC[C@@H]1N[C@@H]1CNCCC1(F)F ZINC000576959697 365734136 /nfs/dbraw/zinc/73/41/36/365734136.db2.gz CNDOJQCDQRSAJU-WDEREUQCSA-N 0 3 246.345 2.542 20 0 BFADHN Cc1nnsc1CN1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000569697330 323037389 /nfs/dbraw/zinc/03/73/89/323037389.db2.gz NLZOSTXCXIQGLH-FXPVBKGRSA-N 0 3 239.388 2.713 20 0 BFADHN CCO[C@@H](CN(C)[C@@H](C)c1ccccn1)C1CC1 ZINC000625272867 343192835 /nfs/dbraw/zinc/19/28/35/343192835.db2.gz WUWWLZDAHJAEBM-WFASDCNBSA-N 0 3 248.370 2.890 20 0 BFADHN c1ncc(CNC[C@@H]2CCCCS2)s1 ZINC000087684187 136282374 /nfs/dbraw/zinc/28/23/74/136282374.db2.gz RZUFTGBELADFNQ-VIFPVBQESA-N 0 3 228.386 2.518 20 0 BFADHN CCC[C@H](C)CCCN[C@@H](C)c1nncn1C ZINC000625322667 343232061 /nfs/dbraw/zinc/23/20/61/343232061.db2.gz KBLWRSUNZSBJQY-RYUDHWBXSA-N 0 3 238.379 2.682 20 0 BFADHN OCc1ccc(F)c(CN2CC[C@H]3CCC[C@@H]32)c1 ZINC000625341337 343240926 /nfs/dbraw/zinc/24/09/26/343240926.db2.gz FOSDBEYCYWSQSM-DOMZBBRYSA-N 0 3 249.329 2.692 20 0 BFADHN CC[C@H](c1ccncc1)N(C)CCOCC1CC1 ZINC000162537853 136277592 /nfs/dbraw/zinc/27/75/92/136277592.db2.gz VERZRVGLEBOENI-OAHLLOKOSA-N 0 3 248.370 2.891 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@@H](C)O[C@@H]2C)c1F ZINC000384506762 343242610 /nfs/dbraw/zinc/24/26/10/343242610.db2.gz RMJHHZAYPVQCNQ-NQBHXWOUSA-N 0 3 237.318 2.790 20 0 BFADHN C[C@@H](O)CCN[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000212784710 343247985 /nfs/dbraw/zinc/24/79/85/343247985.db2.gz CORKFCOLSYPIHN-BDAKNGLRSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H](O)CCN[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000212784647 343248058 /nfs/dbraw/zinc/24/80/58/343248058.db2.gz CORKFCOLSYPIHN-IUCAKERBSA-N 0 3 245.725 2.901 20 0 BFADHN CCO[C@@H](CN[C@H](C)c1cccc(O)c1)C1CC1 ZINC000625294120 343223800 /nfs/dbraw/zinc/22/38/00/343223800.db2.gz UGQNPSCFLCYQDS-ABAIWWIYSA-N 0 3 249.354 2.858 20 0 BFADHN C[C@@H](O)CNC(c1ccccc1)c1ccccc1 ZINC000035101357 343284415 /nfs/dbraw/zinc/28/44/15/343284415.db2.gz FJKWWKYSPPQNGQ-CYBMUJFWSA-N 0 3 241.334 2.746 20 0 BFADHN COC[C@H](C)N[C@H](C)c1ccc(OC)c(F)c1 ZINC000019905144 343260989 /nfs/dbraw/zinc/26/09/89/343260989.db2.gz MPIHAYYSWSMRGZ-VHSXEESVSA-N 0 3 241.306 2.520 20 0 BFADHN CCCCCCN[C@@H](C)c1nnc2ccccn21 ZINC000036968876 343328822 /nfs/dbraw/zinc/32/88/22/343328822.db2.gz OPKLMVSNRYOHEZ-LBPRGKRZSA-N 0 3 246.358 2.960 20 0 BFADHN C[C@H](Cn1ccnc1)NCc1ccc(Cl)cc1 ZINC000035274886 343292056 /nfs/dbraw/zinc/29/20/56/343292056.db2.gz NJJDQXKVYOSOAP-LLVKDONJSA-N 0 3 249.745 2.715 20 0 BFADHN C[C@H](Cn1cccn1)N[C@H](C)c1ccc(F)cc1 ZINC000035276504 343292403 /nfs/dbraw/zinc/29/24/03/343292403.db2.gz XDNGEZYNLPRAHW-VXGBXAGGSA-N 0 3 247.317 2.762 20 0 BFADHN CC(C)C[C@@H](C)N[C@H](C)c1nccn1C ZINC000070007587 343296675 /nfs/dbraw/zinc/29/66/75/343296675.db2.gz QADKJJXBRGRPHS-GHMZBOCLSA-N 0 3 209.337 2.505 20 0 BFADHN C[C@@H](CO)NCc1ccc(-c2ccc(F)cc2)o1 ZINC000035602395 343299129 /nfs/dbraw/zinc/29/91/29/343299129.db2.gz XNKCXGCUCDFXDE-JTQLQIEISA-N 0 3 249.285 2.556 20 0 BFADHN CCCC[C@H](C)N[C@H](c1nncn1C)C(C)C ZINC000348711543 136294008 /nfs/dbraw/zinc/29/40/08/136294008.db2.gz GDCJUDUGYWMFAL-RYUDHWBXSA-N 0 3 238.379 2.681 20 0 BFADHN Cc1cccc(CN(C)[C@H]2CCCOC2)c1C ZINC000348718896 136294153 /nfs/dbraw/zinc/29/41/53/136294153.db2.gz YLQYQYNGCXPRPT-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN c1cc(CNCCOC2CCCC2)cs1 ZINC000070167375 343302346 /nfs/dbraw/zinc/30/23/46/343302346.db2.gz YWOZCQAOKOXVGD-UHFFFAOYSA-N 0 3 225.357 2.797 20 0 BFADHN CCn1cncc1CN(C)[C@H](C)C(C)(C)C ZINC000584042787 343305105 /nfs/dbraw/zinc/30/51/05/343305105.db2.gz SIDFCFXDKJFMIU-LLVKDONJSA-N 0 3 223.364 2.769 20 0 BFADHN O[C@@H]1CCN(Cc2cccc(F)c2)C2(CCC2)C1 ZINC000335612263 136295374 /nfs/dbraw/zinc/29/53/74/136295374.db2.gz QHAOAUQQYGOVGG-CQSZACIVSA-N 0 3 249.329 2.705 20 0 BFADHN Cc1cscc1N[C@H]1CCN(C)[C@H](C)C1 ZINC000335612368 136295703 /nfs/dbraw/zinc/29/57/03/136295703.db2.gz CKVOPPQBOKVXNU-MNOVXSKESA-N 0 3 224.373 2.951 20 0 BFADHN Fc1cccc(CN[C@@H]2CC[C@H](F)C2)c1F ZINC000335620269 136299145 /nfs/dbraw/zinc/29/91/45/136299145.db2.gz CZGGBOJUBHKIJU-VHSXEESVSA-N 0 3 229.245 2.945 20 0 BFADHN CCN1CCC(Nc2ccc(Cl)cn2)CC1 ZINC000038098342 343371166 /nfs/dbraw/zinc/37/11/66/343371166.db2.gz RQRPMWKOVSYGKX-UHFFFAOYSA-N 0 3 239.750 2.631 20 0 BFADHN C[C@H](CN1CCCCC1)N[C@@H](C)c1cccnc1 ZINC000037153977 343336849 /nfs/dbraw/zinc/33/68/49/343336849.db2.gz HXMNAEZOZMJULQ-KGLIPLIRSA-N 0 3 247.386 2.607 20 0 BFADHN C[C@H](Cn1cccn1)N[C@@H](C)c1cccs1 ZINC000037155396 343336858 /nfs/dbraw/zinc/33/68/58/343336858.db2.gz OXTDISYNURLKMT-MNOVXSKESA-N 0 3 235.356 2.684 20 0 BFADHN C[C@@H](N[C@@H](C)CN1CCCCC1)c1ccccn1 ZINC000037153538 343336867 /nfs/dbraw/zinc/33/68/67/343336867.db2.gz UOVRVMGHPIPTEP-UONOGXRCSA-N 0 3 247.386 2.607 20 0 BFADHN C[C@@H](N[C@@H](C)Cn1ccnc1)c1ccccc1F ZINC000037157876 343337387 /nfs/dbraw/zinc/33/73/87/343337387.db2.gz PJUVGARTUDQSBD-NWDGAFQWSA-N 0 3 247.317 2.762 20 0 BFADHN C[C@H](Cn1ccnc1)N[C@@H](C)c1ccc(F)cc1 ZINC000037157914 343337489 /nfs/dbraw/zinc/33/74/89/343337489.db2.gz LLYPIFNGBGANQH-NEPJUHHUSA-N 0 3 247.317 2.762 20 0 BFADHN CC[C@@H](C)CN(C)C(=O)C[C@@H](N)c1ccccc1 ZINC000037173414 343337981 /nfs/dbraw/zinc/33/79/81/343337981.db2.gz RRYXTVZFOFMYKH-TZMCWYRMSA-N 0 3 248.370 2.581 20 0 BFADHN Cc1c2ccccc2oc1[C@@H](C)NCCN(C)C ZINC000037184049 343338271 /nfs/dbraw/zinc/33/82/71/343338271.db2.gz HWGXCGRIIXLURG-GFCCVEGCSA-N 0 3 246.354 2.953 20 0 BFADHN Cc1cc(CN[C@H]2CCCOC2)c(C)s1 ZINC000071012709 343342797 /nfs/dbraw/zinc/34/27/97/343342797.db2.gz LXXYVPPKCRIAPR-LBPRGKRZSA-N 0 3 225.357 2.634 20 0 BFADHN CC[C@@H](N[C@H](C)c1nnc2ccccn21)C(C)C ZINC000037281400 343342800 /nfs/dbraw/zinc/34/28/00/343342800.db2.gz YQWWPVOPNBOEQM-VXGBXAGGSA-N 0 3 246.358 2.815 20 0 BFADHN CC(C)(CO)NCc1cc(Cl)cc(Cl)c1 ZINC000037423739 343345168 /nfs/dbraw/zinc/34/51/68/343345168.db2.gz SCTVQLZDGLVROZ-UHFFFAOYSA-N 0 3 248.153 2.854 20 0 BFADHN CCC[C@H](NCC(OC)OC)c1ccccc1 ZINC000037566621 343348600 /nfs/dbraw/zinc/34/86/00/343348600.db2.gz MFVIOKURRXEMKQ-ZDUSSCGKSA-N 0 3 237.343 2.736 20 0 BFADHN CCOc1cccc(CNC[C@H]2CCCCO2)c1 ZINC000037679831 343351366 /nfs/dbraw/zinc/35/13/66/343351366.db2.gz XUPHXKHBDHNWNC-OAHLLOKOSA-N 0 3 249.354 2.744 20 0 BFADHN Fc1cccc(Cl)c1CNC[C@H]1CCOC1 ZINC000037738194 343353678 /nfs/dbraw/zinc/35/36/78/343353678.db2.gz LRXJCKCZFGINKK-SECBINFHSA-N 0 3 243.709 2.605 20 0 BFADHN CCc1ncc(CN[C@H]2CS[C@@H](C)C2)s1 ZINC000397794833 262934051 /nfs/dbraw/zinc/93/40/51/262934051.db2.gz JKPPBRBIYJQQHA-DTWKUNHWSA-N 0 3 242.413 2.689 20 0 BFADHN Oc1ccc2c(c1)CN(CC1CCCC1)C2 ZINC000221671659 346935535 /nfs/dbraw/zinc/93/55/35/346935535.db2.gz VWVXIEDNBUYXFD-UHFFFAOYSA-N 0 3 217.312 2.898 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1)c1ccc2ncsc2c1 ZINC000335659408 136307725 /nfs/dbraw/zinc/30/77/25/136307725.db2.gz XHPXKMYFXPRDCX-MWLCHTKSSA-N 0 3 248.351 2.736 20 0 BFADHN C[C@H](N[C@H]1CCOC1)c1ccc2ncsc2c1 ZINC000335659410 136308009 /nfs/dbraw/zinc/30/80/09/136308009.db2.gz XHPXKMYFXPRDCX-ONGXEEELSA-N 0 3 248.351 2.736 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCOC[C@H](C)C1 ZINC000335665855 136311067 /nfs/dbraw/zinc/31/10/67/136311067.db2.gz KVDVGHIVRCUNIY-NEPJUHHUSA-N 0 3 237.318 2.855 20 0 BFADHN Fc1ccccc1CN1CCC[C@H]2COCC[C@H]21 ZINC000335668979 136312265 /nfs/dbraw/zinc/31/22/65/136312265.db2.gz KNOMQICCJSNKQT-DZGCQCFKSA-N 0 3 249.329 2.827 20 0 BFADHN Fc1ccccc1CN1CCC[C@@H]2COCC[C@H]21 ZINC000335668981 136312286 /nfs/dbraw/zinc/31/22/86/136312286.db2.gz KNOMQICCJSNKQT-UKRRQHHQSA-N 0 3 249.329 2.827 20 0 BFADHN CCn1ccnc1CN1CC[C@@H]2CCCC[C@@H]21 ZINC000335660954 136315030 /nfs/dbraw/zinc/31/50/30/136315030.db2.gz LUZDQPNFYASOCP-STQMWFEESA-N 0 3 233.359 2.668 20 0 BFADHN Cc1cnc(CN2CC[C@@H]3CCCC[C@@H]32)nc1 ZINC000335661825 136315196 /nfs/dbraw/zinc/31/51/96/136315196.db2.gz UEWLHWHGVVHXNF-STQMWFEESA-N 0 3 231.343 2.550 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@@H](C)C2)cc1C ZINC000335664305 136315935 /nfs/dbraw/zinc/31/59/35/136315935.db2.gz QJWMXQBMZJVAOV-JSGCOSHPSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1cc(CN[C@@H]2CO[C@H](C)C2)c(C)s1 ZINC000335664605 136316230 /nfs/dbraw/zinc/31/62/30/136316230.db2.gz UKIXXYGBNSAGHN-PELKAZGASA-N 0 3 225.357 2.632 20 0 BFADHN CC[C@H](N)c1cn([C@H]2C=CCCCCC2)nn1 ZINC000641075946 362185063 /nfs/dbraw/zinc/18/50/63/362185063.db2.gz OCECSCZCNQSMBY-RYUDHWBXSA-N 0 3 234.347 2.749 20 0 BFADHN CC(C)[C@@H](N)c1cn([C@@H]2C=CCCCCC2)nn1 ZINC000641076158 362185066 /nfs/dbraw/zinc/18/50/66/362185066.db2.gz VRKMKQDMIRKLIE-TZMCWYRMSA-N 0 3 248.374 2.995 20 0 BFADHN CC[C@H](N)c1cn([C@@H]2C=CCCCCC2)nn1 ZINC000641075944 362185207 /nfs/dbraw/zinc/18/52/07/362185207.db2.gz OCECSCZCNQSMBY-NEPJUHHUSA-N 0 3 234.347 2.749 20 0 BFADHN CCc1cccc(CNC2(COC)CCC2)c1 ZINC000580318828 346936076 /nfs/dbraw/zinc/93/60/76/346936076.db2.gz IJSLOTGBBLADSN-UHFFFAOYSA-N 0 3 233.355 2.908 20 0 BFADHN CC[C@@](C)(N)c1cn(CCC2=CCCCC2)nn1 ZINC000641080199 362195193 /nfs/dbraw/zinc/19/51/93/362195193.db2.gz UXMJHZZAPDMZTD-CQSZACIVSA-N 0 3 248.374 2.753 20 0 BFADHN CCC[C@H](C)CCCN1CC[C@@](F)(CO)C1 ZINC000625400392 343410619 /nfs/dbraw/zinc/41/06/19/343410619.db2.gz IDXPMEOZUIOOMN-STQMWFEESA-N 0 3 231.355 2.609 20 0 BFADHN C/C=C\C[C@H](CO)N[C@@H](C)c1cccc(F)c1 ZINC000349350121 136342815 /nfs/dbraw/zinc/34/28/15/136342815.db2.gz CIZUAJTWUOSONM-FFIQWJHNSA-N 0 3 237.318 2.803 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H](C)c1cccc(F)c1 ZINC000349350122 136342834 /nfs/dbraw/zinc/34/28/34/136342834.db2.gz CIZUAJTWUOSONM-LAPROLRISA-N 0 3 237.318 2.803 20 0 BFADHN CCCc1cccc(CN2CC[C@H](C)[C@H](O)C2)c1 ZINC000349417946 136345191 /nfs/dbraw/zinc/34/51/91/136345191.db2.gz NDZXDCWDYWULJQ-XJKSGUPXSA-N 0 3 247.382 2.842 20 0 BFADHN Cc1ccc(NC(=O)C(C)C(F)(F)F)c(C)n1 ZINC000335685898 136330250 /nfs/dbraw/zinc/33/02/50/136330250.db2.gz IATHTQYVQSIDAR-ZETCQYMHSA-N 0 3 246.232 2.835 20 0 BFADHN Cc1cc(Cl)cc(C)c1CN(C)[C@@H](C)CO ZINC000349272522 136333659 /nfs/dbraw/zinc/33/36/59/136333659.db2.gz AFAUVJDSBDLVKP-NSHDSACASA-N 0 3 241.762 2.769 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2C[C@H]3CC[C@@H]2O3)oc1C ZINC000367086806 136335261 /nfs/dbraw/zinc/33/52/61/136335261.db2.gz MWQLQSIWAIPMIG-JHEVNIALSA-N 0 3 235.327 2.867 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCCC[C@@H]2C)cn1 ZINC000335710216 136337114 /nfs/dbraw/zinc/33/71/14/136337114.db2.gz NGJCTKQOMLBOTO-FZMZJTMJSA-N 0 3 233.359 2.796 20 0 BFADHN c1c(CNC2CCCC2)nnn1CCC1CCC1 ZINC000641092489 362218867 /nfs/dbraw/zinc/21/88/67/362218867.db2.gz OCZPEILJJNPXCM-UHFFFAOYSA-N 0 3 248.374 2.501 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H](C)c1ccccc1OC ZINC000349313685 136341029 /nfs/dbraw/zinc/34/10/29/136341029.db2.gz PJIFNXPVVVMFSV-DIABFLQPSA-N 0 3 249.354 2.673 20 0 BFADHN CSC1CCN(CCc2cscn2)CC1 ZINC000602919153 349809606 /nfs/dbraw/zinc/80/96/06/349809606.db2.gz HGNMRIDIKXOTJT-UHFFFAOYSA-N 0 3 242.413 2.513 20 0 BFADHN CC[C@@H]1CCCN([C@H](C)c2cnc(C)cn2)C1 ZINC000335879327 136356643 /nfs/dbraw/zinc/35/66/43/136356643.db2.gz QBAGCJNDZNUWRL-CHWSQXEVSA-N 0 3 233.359 2.968 20 0 BFADHN CC[C@H]1CCCN([C@H](C)c2cnc(C)cn2)C1 ZINC000335879328 136356722 /nfs/dbraw/zinc/35/67/22/136356722.db2.gz QBAGCJNDZNUWRL-OLZOCXBDSA-N 0 3 233.359 2.968 20 0 BFADHN CC(C)C[C@@H](C)NCc1ccn(C(C)C)n1 ZINC000082866370 362243183 /nfs/dbraw/zinc/24/31/83/362243183.db2.gz KGBYDIIUXPDLMP-GFCCVEGCSA-N 0 3 223.364 2.988 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2C[C@H](C)O[C@@H]2C)c1 ZINC000395054012 362220487 /nfs/dbraw/zinc/22/04/87/362220487.db2.gz MWGLJFYCZSHUMQ-MISXGVKJSA-N 0 3 237.318 2.790 20 0 BFADHN Cc1nc(CNC2CC(C)C2)sc1C ZINC000166637230 136362106 /nfs/dbraw/zinc/36/21/06/136362106.db2.gz ZKLZISFOMGNONS-UHFFFAOYSA-N 0 3 210.346 2.648 20 0 BFADHN Fc1ccc(F)c(CNC[C@H]2CCCCO2)c1 ZINC000042815071 343454443 /nfs/dbraw/zinc/45/44/43/343454443.db2.gz RBMIHEOBIAXBQA-GFCCVEGCSA-N 0 3 241.281 2.624 20 0 BFADHN Fc1ccc(CNC[C@@H]2CCCCO2)c(F)c1 ZINC000042811710 343454685 /nfs/dbraw/zinc/45/46/85/343454685.db2.gz BLWWKEKJWSACTL-LBPRGKRZSA-N 0 3 241.281 2.624 20 0 BFADHN Cc1[nH]nc2ncc(CN3CCCC[C@H]3C)cc12 ZINC000335907673 136371310 /nfs/dbraw/zinc/37/13/10/136371310.db2.gz IWDHHGWSXJMAIH-SNVBAGLBSA-N 0 3 244.342 2.641 20 0 BFADHN CC[C@@H](NCCC1CC1)c1nccs1 ZINC000083926595 343509586 /nfs/dbraw/zinc/50/95/86/343509586.db2.gz FEBSXPOBMHEEGW-SNVBAGLBSA-N 0 3 210.346 2.984 20 0 BFADHN CN(CC(C)(C)C)C(=O)C[C@H](N)c1ccccc1 ZINC000045087971 343523193 /nfs/dbraw/zinc/52/31/93/343523193.db2.gz IYMJTICQWUFUQS-ZDUSSCGKSA-N 0 3 248.370 2.581 20 0 BFADHN C[C@@H](CNCc1ccc(F)cc1Cl)N(C)C ZINC000045107768 343523831 /nfs/dbraw/zinc/52/38/31/343523831.db2.gz GVXITXWFEORYJK-VIFPVBQESA-N 0 3 244.741 2.519 20 0 BFADHN COC[C@@H](C)CN(C)[C@@H](C)c1ccco1 ZINC000124323253 343548329 /nfs/dbraw/zinc/54/83/29/343548329.db2.gz KZKFEJOCLHRQKC-QWRGUYRKSA-N 0 3 211.305 2.555 20 0 BFADHN CC(C)n1cncc1CNC1(C2(C)CC2)CC1 ZINC000398142559 262943573 /nfs/dbraw/zinc/94/35/73/262943573.db2.gz RSTWSKSOZXSAMT-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1ccc(Cl)o1 ZINC000379663908 343591187 /nfs/dbraw/zinc/59/11/87/343591187.db2.gz UDRMAGVJHSBCSN-DTWKUNHWSA-N 0 3 231.748 2.917 20 0 BFADHN CCCc1ccc(CN2CC[C@H](CO)C2)s1 ZINC000013570140 343578644 /nfs/dbraw/zinc/57/86/44/343578644.db2.gz LMUFDTFETQTFEZ-NSHDSACASA-N 0 3 239.384 2.515 20 0 BFADHN FC1(CNC[C@H]2CSc3ccccc32)CC1 ZINC000570246167 323053887 /nfs/dbraw/zinc/05/38/87/323053887.db2.gz SJLBYOKNRFEGLR-JTQLQIEISA-N 0 3 237.343 2.968 20 0 BFADHN C[C@H](CN1CCCCC1)Nc1cccc(F)n1 ZINC000049503126 343600433 /nfs/dbraw/zinc/60/04/33/343600433.db2.gz MEVBDXQHWYLKOV-LLVKDONJSA-N 0 3 237.322 2.507 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2cccnc2C)C1(C)C ZINC000310925913 343605389 /nfs/dbraw/zinc/60/53/89/343605389.db2.gz HZHOMAHGUBOVHQ-UONOGXRCSA-N 0 3 248.370 2.683 20 0 BFADHN CC(C)CC[C@H](O)CN1CCC(F)(F)[C@@H](C)C1 ZINC000352027707 534946062 /nfs/dbraw/zinc/94/60/62/534946062.db2.gz NARITEUAFUAWJL-RYUDHWBXSA-N 0 3 249.345 2.761 20 0 BFADHN CC(C)CSCCNCc1ccco1 ZINC000053618936 346949660 /nfs/dbraw/zinc/94/96/60/346949660.db2.gz PMQQWKKLPDZBQK-UHFFFAOYSA-N 0 3 213.346 2.758 20 0 BFADHN COc1ccccc1[C@H](CO)NCC1(C)CCC1 ZINC000577044608 365786286 /nfs/dbraw/zinc/78/62/86/365786286.db2.gz VYGUEFAOGDWDRR-ZDUSSCGKSA-N 0 3 249.354 2.508 20 0 BFADHN CCCCOc1ncccc1CNC(C)C ZINC000051543873 343626115 /nfs/dbraw/zinc/62/61/15/343626115.db2.gz RVRPYEQONUMUHT-UHFFFAOYSA-N 0 3 222.332 2.759 20 0 BFADHN CC(C)OCCN(Cc1ccccn1)C(C)C ZINC000052218137 343632731 /nfs/dbraw/zinc/63/27/31/343632731.db2.gz ZFVCQXBXBRTMAY-UHFFFAOYSA-N 0 3 236.359 2.717 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H]2CCCc3c(O)cccc32)C1 ZINC000624300884 343678786 /nfs/dbraw/zinc/67/87/86/343678786.db2.gz UMXKZTCGMXUUEN-JTNHKYCSSA-N 0 3 247.338 2.537 20 0 BFADHN C[C@H](NC[C@@H]1CCCS1)c1ccco1 ZINC000087667556 343656082 /nfs/dbraw/zinc/65/60/82/343656082.db2.gz MMMVJMWUQTUABU-UWVGGRQHSA-N 0 3 211.330 2.826 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1cnc(C2CC2)s1 ZINC000380101232 343679477 /nfs/dbraw/zinc/67/94/77/343679477.db2.gz LXLMOPABYPJLDW-LDYMZIIASA-N 0 3 222.357 2.909 20 0 BFADHN CCN1CCN(Cc2ccc(C)s2)C[C@@H]1C ZINC000678261801 488228592 /nfs/dbraw/zinc/22/85/92/488228592.db2.gz UENXAVGSJKHTQK-NSHDSACASA-N 0 3 238.400 2.583 20 0 BFADHN C[C@H](NCc1cccn1C)c1cccs1 ZINC000020360432 537804660 /nfs/dbraw/zinc/80/46/60/537804660.db2.gz WRWABXDQGKQOSF-JTQLQIEISA-N 0 3 220.341 2.937 20 0 BFADHN c1nc2c([nH]1)CN(CCCC1CCCC1)CC2 ZINC000625436314 343733982 /nfs/dbraw/zinc/73/39/82/343733982.db2.gz BVOSOGODBMQJSY-UHFFFAOYSA-N 0 3 233.359 2.738 20 0 BFADHN CN(C/C=C/c1ccncc1)C[C@@H]1CCCCO1 ZINC000495171178 529820024 /nfs/dbraw/zinc/82/00/24/529820024.db2.gz IFTSMSAYJIBDHM-RGDDUWESSA-N 0 3 246.354 2.596 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)CCCCO ZINC000471810192 529820600 /nfs/dbraw/zinc/82/06/00/529820600.db2.gz KKPXWLCLSBBEHD-PLNGDYQASA-N 0 3 237.318 2.543 20 0 BFADHN Cc1ccc2nccc(N3CCCOCC3)c2c1 ZINC000336394183 537806218 /nfs/dbraw/zinc/80/62/18/537806218.db2.gz WJVYSADKNBONPC-UHFFFAOYSA-N 0 3 242.322 2.770 20 0 BFADHN C[C@H]1CCC[C@@H](CCN2CCc3nc[nH]c3C2)C1 ZINC000625438854 343740639 /nfs/dbraw/zinc/74/06/39/343740639.db2.gz SPCKRUGWZYTQNN-STQMWFEESA-N 0 3 247.386 2.984 20 0 BFADHN CCN(CC(=O)NCC(C)(C)C)CC(C)(C)C ZINC000625442322 343741753 /nfs/dbraw/zinc/74/17/53/343741753.db2.gz CKLWCKGRHTULBS-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN CC[C@@H](NCc1cc(C)on1)C(C)(C)C ZINC000309068735 346958884 /nfs/dbraw/zinc/95/88/84/346958884.db2.gz APOMABFHFQQKEP-LLVKDONJSA-N 0 3 210.321 2.897 20 0 BFADHN CCOc1cccc(CN[C@@H]2COCC2(C)C)c1 ZINC000312990340 343711701 /nfs/dbraw/zinc/71/17/01/343711701.db2.gz CMEHBWXAKZWWAD-CQSZACIVSA-N 0 3 249.354 2.600 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1cnc(C)s1 ZINC000380265521 343712054 /nfs/dbraw/zinc/71/20/54/343712054.db2.gz TYUDKSDCYFMNNZ-FKTZTGRPSA-N 0 3 242.413 2.996 20 0 BFADHN CC1(C)COC[C@@H]1N[C@H]1CCCc2occc21 ZINC000312992965 343714130 /nfs/dbraw/zinc/71/41/30/343714130.db2.gz YKULWDLDYDKQJB-AAEUAGOBSA-N 0 3 235.327 2.672 20 0 BFADHN CCc1ccc(CN[C@@H]2COCC2(C)C)s1 ZINC000313011628 343728791 /nfs/dbraw/zinc/72/87/91/343728791.db2.gz UWCXOTLCSDUVAI-GFCCVEGCSA-N 0 3 239.384 2.825 20 0 BFADHN CC1(C)COC[C@@H]1NCc1ccc(Cl)s1 ZINC000313059572 343778249 /nfs/dbraw/zinc/77/82/49/343778249.db2.gz VVKXZJYWIXZTIS-VIFPVBQESA-N 0 3 245.775 2.916 20 0 BFADHN C[C@@H](CO)CN(C)[C@@H](C)c1ccccc1Cl ZINC000128371772 346967299 /nfs/dbraw/zinc/96/72/99/346967299.db2.gz CDQDUCZJDRXHQI-MNOVXSKESA-N 0 3 241.762 2.961 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)[C@H](OC)c1ccccc1 ZINC000584208300 343774053 /nfs/dbraw/zinc/77/40/53/343774053.db2.gz JYSUAQOJFSJNOB-BEAPCOKYSA-N 0 3 249.354 2.530 20 0 BFADHN CSCCCCCNCc1cc(C)no1 ZINC000309101846 346968245 /nfs/dbraw/zinc/96/82/45/346968245.db2.gz JTEXQKIJWQTWQQ-UHFFFAOYSA-N 0 3 228.361 2.606 20 0 BFADHN CC(C)=CC(=O)N(CCN(C)C)c1ccccc1 ZINC000063853561 343754906 /nfs/dbraw/zinc/75/49/06/343754906.db2.gz RYNSXIBUHLJKOF-UHFFFAOYSA-N 0 3 246.354 2.547 20 0 BFADHN CC[C@@H](NCc1nc(C)c(C)[nH]1)C(C)(C)C ZINC000584124929 343761991 /nfs/dbraw/zinc/76/19/91/343761991.db2.gz VUTLFOFJZQLLKO-LLVKDONJSA-N 0 3 223.364 2.941 20 0 BFADHN CCCC[C@@H](CCC)NCc1ncnn1CC ZINC000232975247 537815362 /nfs/dbraw/zinc/81/53/62/537815362.db2.gz KKZANNYJWWNFTA-GFCCVEGCSA-N 0 3 238.379 2.747 20 0 BFADHN FC1(F)C[C@H]2CC[C@@H](C1)N2CCOCC1CC1 ZINC000625683648 344038113 /nfs/dbraw/zinc/03/81/13/344038113.db2.gz OKKDRFULRSWDMF-TXEJJXNPSA-N 0 3 245.313 2.675 20 0 BFADHN CN(CCCCO)Cc1cc(F)cc(Cl)c1 ZINC000471807998 529885164 /nfs/dbraw/zinc/88/51/64/529885164.db2.gz FCRFKGOMLGBVNI-UHFFFAOYSA-N 0 3 245.725 2.683 20 0 BFADHN CC[C@H](C)N(C)C(=O)c1ccc(CN(C)C)cc1 ZINC000066646347 349879045 /nfs/dbraw/zinc/87/90/45/349879045.db2.gz XRAFNFJVPFLBIF-LBPRGKRZSA-N 0 3 248.370 2.619 20 0 BFADHN C[C@@H](CNCc1nccs1)C(C)(C)C ZINC000096610085 343829169 /nfs/dbraw/zinc/82/91/69/343829169.db2.gz ASRJYYJINBFSQF-VIFPVBQESA-N 0 3 212.362 2.915 20 0 BFADHN CC(C)(C)C1CCN(Cc2cnc[nH]2)CC1 ZINC000179766694 346996198 /nfs/dbraw/zinc/99/61/98/346996198.db2.gz PSOCNZLRSBQGSD-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@@H]1CCCN(Cc2cnn3ccccc23)[C@@H]1C ZINC000179799174 346998079 /nfs/dbraw/zinc/99/80/79/346998079.db2.gz YLWCZSXNFHEURX-CHWSQXEVSA-N 0 3 243.354 2.955 20 0 BFADHN CCOc1cncc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)c1 ZINC000621731695 343881213 /nfs/dbraw/zinc/88/12/13/343881213.db2.gz XGOCSMKNHFIOPS-XQQFMLRXSA-N 0 3 248.370 2.957 20 0 BFADHN Cc1ccc(CNC2(C3CC3)CCOCC2)o1 ZINC000368391585 178600954 /nfs/dbraw/zinc/60/09/54/178600954.db2.gz XRPXTRXDTZUONZ-UHFFFAOYSA-N 0 3 235.327 2.637 20 0 BFADHN CC[C@H](N[C@@H](C)c1ncc[nH]1)C(C)(C)C ZINC000336729241 537832012 /nfs/dbraw/zinc/83/20/12/537832012.db2.gz IVWAVDWUHHHJRF-UWVGGRQHSA-N 0 3 209.337 2.885 20 0 BFADHN COc1cncc(CN[C@]2(C)CCCC[C@@H]2C)n1 ZINC000625466010 343871553 /nfs/dbraw/zinc/87/15/53/343871553.db2.gz XHYKNFUTPIMIAV-SMDDNHRTSA-N 0 3 249.358 2.544 20 0 BFADHN CCCc1ccc(CN2C[C@@H](O)C[C@@H]2C)s1 ZINC000621724620 343872066 /nfs/dbraw/zinc/87/20/66/343872066.db2.gz ORDQMUAQRSEERK-QWRGUYRKSA-N 0 3 239.384 2.656 20 0 BFADHN CCCc1ccc(CN2C[C@@H](O)C[C@H]2C)s1 ZINC000621724619 343872121 /nfs/dbraw/zinc/87/21/21/343872121.db2.gz ORDQMUAQRSEERK-MNOVXSKESA-N 0 3 239.384 2.656 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1ccc(C#N)s1 ZINC000393613783 343900491 /nfs/dbraw/zinc/90/04/91/343900491.db2.gz SRVVHEJYUIGJSB-LDYMZIIASA-N 0 3 238.381 2.603 20 0 BFADHN CC[C@@H](O)CN(CC)Cc1cccc(Cl)c1 ZINC000106382971 347013678 /nfs/dbraw/zinc/01/36/78/347013678.db2.gz MSCCJTKLQFZMQS-CYBMUJFWSA-N 0 3 241.762 2.933 20 0 BFADHN CC[C@@H](C)N1CCO[C@@]2(CCc3ccccc32)C1 ZINC000248373287 537835564 /nfs/dbraw/zinc/83/55/64/537835564.db2.gz HTGBMTJNULNECK-CJNGLKHVSA-N 0 3 245.366 2.959 20 0 BFADHN CC[C@H](C)N(CC)Cc1cc(CO)ccc1F ZINC000625528444 343918441 /nfs/dbraw/zinc/91/84/41/343918441.db2.gz CGWDMGVMJAYNBZ-NSHDSACASA-N 0 3 239.334 2.938 20 0 BFADHN Cc1cc(CNCc2ccc(C)cc2C)n(C)n1 ZINC000283798182 178613999 /nfs/dbraw/zinc/61/39/99/178613999.db2.gz BCUGZJJMHDYWGY-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN CCN(Cc1cccc2c1OCCO2)CC1CC1 ZINC000070011753 343946386 /nfs/dbraw/zinc/94/63/86/343946386.db2.gz LPCHNQUACCCKSA-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN Cc1cc(OCCC[C@H](C)O)c(C)c(C)n1 ZINC000570581288 323063827 /nfs/dbraw/zinc/06/38/27/323063827.db2.gz MUOJEYICQYLLOL-JTQLQIEISA-N 0 3 223.316 2.547 20 0 BFADHN CC1(C)CC[C@@H](CNCc2ccc(Cl)o2)O1 ZINC000309398940 343929129 /nfs/dbraw/zinc/92/91/29/343929129.db2.gz HBGFZBZDCLEOGO-JTQLQIEISA-N 0 3 243.734 2.980 20 0 BFADHN c1nc(C2CC2)oc1CN1CCCCCC1 ZINC000628119085 343970006 /nfs/dbraw/zinc/97/00/06/343970006.db2.gz ZZZULSYCDJGISN-UHFFFAOYSA-N 0 3 220.316 2.928 20 0 BFADHN Cc1noc(C)c1CN1CCCC[C@@H](C)C1 ZINC000187930481 259371922 /nfs/dbraw/zinc/37/19/22/259371922.db2.gz AQGUUHOMWLGVNL-SNVBAGLBSA-N 0 3 222.332 2.913 20 0 BFADHN CC(C)[C@H](NCCO)c1ccccc1Cl ZINC000091265653 343948634 /nfs/dbraw/zinc/94/86/34/343948634.db2.gz PTKVZFCDAMSOEF-LBPRGKRZSA-N 0 3 227.735 2.619 20 0 BFADHN c1cn(CCNCc2ccccc2C2CC2)cn1 ZINC000094357559 537837149 /nfs/dbraw/zinc/83/71/49/537837149.db2.gz SMWUMBDYZGBVNR-UHFFFAOYSA-N 0 3 241.338 2.550 20 0 BFADHN CCCN(CC(=O)OCC)C[C@H]1CC=CCC1 ZINC000092380351 343955369 /nfs/dbraw/zinc/95/53/69/343955369.db2.gz MSLIMKCJPVBCLL-ZDUSSCGKSA-N 0 3 239.359 2.618 20 0 BFADHN CCS[C@H]1CCCC[C@H]1NCc1cn[nH]c1 ZINC000393802622 343963314 /nfs/dbraw/zinc/96/33/14/343963314.db2.gz NWHZFOKFRLQWGK-NEPJUHHUSA-N 0 3 239.388 2.564 20 0 BFADHN CCC[C@@H](O)CN[C@H](c1ccccn1)C1CCC1 ZINC000625785524 344073980 /nfs/dbraw/zinc/07/39/80/344073980.db2.gz FBURXXNIWASPOW-HIFRSBDPSA-N 0 3 248.370 2.673 20 0 BFADHN CN(C/C=C/c1ccccc1)CC1(C)COC1 ZINC000625787770 344074595 /nfs/dbraw/zinc/07/45/95/344074595.db2.gz GYQFCDRFNNDZDR-RMKNXTFCSA-N 0 3 231.339 2.668 20 0 BFADHN Oc1ccc2c(c1)CN(C[C@@H]1C[C@H]3C[C@H]3C1)C2 ZINC000625728228 344060180 /nfs/dbraw/zinc/06/01/80/344060180.db2.gz AOJSZWLPCHDHJR-LMKPVCQUSA-N 0 3 229.323 2.754 20 0 BFADHN CC(C)OC1CC(N2Cc3ccc(O)cc3C2)C1 ZINC000625728245 344060209 /nfs/dbraw/zinc/06/02/09/344060209.db2.gz CAWBUOQXAWQBBB-UHFFFAOYSA-N 0 3 247.338 2.664 20 0 BFADHN FC1(F)CCCN(CCc2cncs2)CC1 ZINC000625771210 344067092 /nfs/dbraw/zinc/06/70/92/344067092.db2.gz CUAWNONXDVAFRP-UHFFFAOYSA-N 0 3 246.326 2.807 20 0 BFADHN c1cncc(CN2CC[C@H]3CC[C@@H](C2)S3)c1 ZINC000625774069 344070467 /nfs/dbraw/zinc/07/04/67/344070467.db2.gz BCMZCCZNSWYGMB-OLZOCXBDSA-N 0 3 234.368 2.552 20 0 BFADHN Cc1cccc(CN2CC[C@H]3CC[C@@H](C2)S3)n1 ZINC000625774740 344070986 /nfs/dbraw/zinc/07/09/86/344070986.db2.gz SNCGWJUYPZTPJW-KGLIPLIRSA-N 0 3 248.395 2.860 20 0 BFADHN Cc1cccnc1CN1CC[C@H]2CC[C@@H](C1)S2 ZINC000625776897 344071896 /nfs/dbraw/zinc/07/18/96/344071896.db2.gz TZCDXGGBIIMMET-OLZOCXBDSA-N 0 3 248.395 2.860 20 0 BFADHN Cc1cnccc1CN1CC[C@H]2CC[C@@H](C1)S2 ZINC000625777945 344072531 /nfs/dbraw/zinc/07/25/31/344072531.db2.gz KXTDEWXRZHQSJW-KGLIPLIRSA-N 0 3 248.395 2.860 20 0 BFADHN CCOCCN[C@H](c1ccccn1)C1CCC1 ZINC000625784019 344073680 /nfs/dbraw/zinc/07/36/80/344073680.db2.gz CBIAOKREGPNAIB-AWEZNQCLSA-N 0 3 234.343 2.549 20 0 BFADHN CC[C@@H](CN1CCC[C@H]1c1cccc(C)n1)OC ZINC000573346581 344105319 /nfs/dbraw/zinc/10/53/19/344105319.db2.gz PISMOCMPTOHKKS-ZFWWWQNUSA-N 0 3 248.370 2.952 20 0 BFADHN COCC(C)(C)NCc1scnc1C1CC1 ZINC000336767628 537839817 /nfs/dbraw/zinc/83/98/17/537839817.db2.gz PVZWKTLRCQEEGA-UHFFFAOYSA-N 0 3 240.372 2.535 20 0 BFADHN Cc1ccc(CN[C@H](C)c2cnn(C)c2)c(C)c1 ZINC000336789835 178631875 /nfs/dbraw/zinc/63/18/75/178631875.db2.gz UYEPBBOUEJEUDB-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN Fc1ccccc1OCCN(C1CC1)C1CC1 ZINC000625834029 344088890 /nfs/dbraw/zinc/08/88/90/344088890.db2.gz GGTUMNYOSLLNRT-UHFFFAOYSA-N 0 3 235.302 2.831 20 0 BFADHN COc1ccccc1OCCN(C1CC1)C1CC1 ZINC000625837280 344089943 /nfs/dbraw/zinc/08/99/43/344089943.db2.gz HMGIQWIRYMSHBG-UHFFFAOYSA-N 0 3 247.338 2.701 20 0 BFADHN CC1(C)CC[C@H](CN(C2CC2)C2CC2)O1 ZINC000625841024 344091598 /nfs/dbraw/zinc/09/15/98/344091598.db2.gz BLCXBEDFDDGPFG-GFCCVEGCSA-N 0 3 209.333 2.571 20 0 BFADHN Cc1ccc(CN(C2CC2)C2CC2)nc1 ZINC000625843318 344094235 /nfs/dbraw/zinc/09/42/35/344094235.db2.gz SUQGXFWTNIPFAS-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN CCCN(Cc1cnc(C2CC2)o1)C1CC1 ZINC000628133778 344173420 /nfs/dbraw/zinc/17/34/20/344173420.db2.gz QNHMCTYJDAOTHG-UHFFFAOYSA-N 0 3 220.316 2.926 20 0 BFADHN C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCO[C@@H]2CCC[C@@H]21 ZINC000625854115 344125653 /nfs/dbraw/zinc/12/56/53/344125653.db2.gz WYPLCAUYTRWOCK-NIFZNCRKSA-N 0 3 235.371 2.676 20 0 BFADHN CCc1ccc(CNC[C@@H]2C[C@H]2CC)o1 ZINC000387705200 347029809 /nfs/dbraw/zinc/02/98/09/347029809.db2.gz LNWAANXRCULEKQ-MNOVXSKESA-N 0 3 207.317 2.978 20 0 BFADHN c1cc2ccc(CN3CCSCC3)cc2[nH]1 ZINC000628129791 344157772 /nfs/dbraw/zinc/15/77/72/344157772.db2.gz VBWIAEOAVQUDQE-UHFFFAOYSA-N 0 3 232.352 2.717 20 0 BFADHN C[C@H](C[C@@H]1CCCO1)N[C@@H](C)c1ccoc1 ZINC000381224198 344216055 /nfs/dbraw/zinc/21/60/55/344216055.db2.gz JKBYTBGAROMDCN-MDZLAQPJSA-N 0 3 223.316 2.888 20 0 BFADHN CC(C)=CCN1C[C@H](C)OC2(CCC2)C1 ZINC000564928328 344217861 /nfs/dbraw/zinc/21/78/61/344217861.db2.gz AIYFVKAYLWVHJB-LBPRGKRZSA-N 0 3 209.333 2.596 20 0 BFADHN CCc1cnc(CN[C@H](C)C(C)C)s1 ZINC000130464072 344222134 /nfs/dbraw/zinc/22/21/34/344222134.db2.gz VBLFZEAFVPTJSI-SECBINFHSA-N 0 3 212.362 2.840 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H]1CC[C@@H]1C1CC1 ZINC000348111236 537840956 /nfs/dbraw/zinc/84/09/56/537840956.db2.gz OHKNAMUDEOEGCA-ZIAGYGMSSA-N 0 3 247.386 2.817 20 0 BFADHN FC(F)[C@H]1CCN(CCCC2CCC2)CCO1 ZINC000634759122 344232241 /nfs/dbraw/zinc/23/22/41/344232241.db2.gz BZUPSYUEZUXNHM-GFCCVEGCSA-N 0 3 247.329 2.923 20 0 BFADHN FC(F)[C@@H]1CCN(CCCC2CCC2)CCO1 ZINC000634759123 344232363 /nfs/dbraw/zinc/23/23/63/344232363.db2.gz BZUPSYUEZUXNHM-LBPRGKRZSA-N 0 3 247.329 2.923 20 0 BFADHN CC[C@H](O)CCNCc1cccc(Cl)c1 ZINC000131684330 344234492 /nfs/dbraw/zinc/23/44/92/344234492.db2.gz BGJNPEKDYHBAAI-LBPRGKRZSA-N 0 3 227.735 2.591 20 0 BFADHN CC[C@H](CSC)N(C)Cc1cccnc1C ZINC000570762054 323069471 /nfs/dbraw/zinc/06/94/71/323069471.db2.gz KKHYBGHBITUJOO-CYBMUJFWSA-N 0 3 238.400 2.963 20 0 BFADHN CC(C)[C@H](CO)CNCc1ccccc1Cl ZINC000381201016 344185758 /nfs/dbraw/zinc/18/57/58/344185758.db2.gz FIODADSMGJXBAQ-LBPRGKRZSA-N 0 3 241.762 2.694 20 0 BFADHN Cc1c[nH]c(CN2CCC[C@@H]2CC(C)C)n1 ZINC000628134159 344188000 /nfs/dbraw/zinc/18/80/00/344188000.db2.gz HAPHTRHPKDEXJV-GFCCVEGCSA-N 0 3 221.348 2.729 20 0 BFADHN CSC[C@H](C)N[C@@H]1CCCc2cccnc21 ZINC000127358258 344238338 /nfs/dbraw/zinc/23/83/38/344238338.db2.gz VVFOVBGCARVBQJ-CMPLNLGQSA-N 0 3 236.384 2.800 20 0 BFADHN CC(C)c1ncc(CN2CCCC2)s1 ZINC000130840452 344201953 /nfs/dbraw/zinc/20/19/53/344201953.db2.gz FUCYZJVHFGCNCU-UHFFFAOYSA-N 0 3 210.346 2.862 20 0 BFADHN C[C@H](O)CN[C@H]1CCCc2sc(Cl)cc21 ZINC000132265101 344295573 /nfs/dbraw/zinc/29/55/73/344295573.db2.gz UXHYUNZHNDAVQB-CBAPKCEASA-N 0 3 245.775 2.749 20 0 BFADHN CCC[C@H](CCO)Nc1ccnc2ccccc21 ZINC000631426987 344267732 /nfs/dbraw/zinc/26/77/32/344267732.db2.gz LDCDCZONHZNYTI-GFCCVEGCSA-N 0 3 244.338 2.620 20 0 BFADHN Cc1ccc(C[C@H](C)NCc2nccn2C)s1 ZINC000080227019 178651586 /nfs/dbraw/zinc/65/15/86/178651586.db2.gz IBIMFWKBIJBVQW-JTQLQIEISA-N 0 3 249.383 2.511 20 0 BFADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1ccoc1 ZINC000306571874 344278530 /nfs/dbraw/zinc/27/85/30/344278530.db2.gz HHEWWODYPNBTBR-YWVKMMECSA-N 0 3 211.330 2.824 20 0 BFADHN CCC1(CNCc2ccccc2Cl)COC1 ZINC000336744168 168478404 /nfs/dbraw/zinc/47/84/04/168478404.db2.gz UQIBSCDLKRWQPJ-UHFFFAOYSA-N 0 3 239.746 2.856 20 0 BFADHN CC[C@H](CN1CCC[C@@H]1c1ccccn1)OC ZINC000573583472 344321936 /nfs/dbraw/zinc/32/19/36/344321936.db2.gz AQVLRKZPZVDHEP-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN CCC[C@@H](N)C(=O)N(Cc1ccccc1)C(C)C ZINC000144891871 344328796 /nfs/dbraw/zinc/32/87/96/344328796.db2.gz UMVFOZNTIDXQRV-CQSZACIVSA-N 0 3 248.370 2.551 20 0 BFADHN Cc1cccnc1CN(C)[C@@H](C)C1CC1 ZINC000093158296 344309825 /nfs/dbraw/zinc/30/98/25/344309825.db2.gz AKPUCYXNGWFWSX-NSHDSACASA-N 0 3 204.317 2.620 20 0 BFADHN C[C@H](c1cc2ccccc2o1)N(C)CCCO ZINC000044089881 344389266 /nfs/dbraw/zinc/38/92/66/344389266.db2.gz RKWZITAEKJXPTO-LLVKDONJSA-N 0 3 233.311 2.808 20 0 BFADHN COC(=O)c1csc([C@@H](C)NCCCF)c1 ZINC000381458425 344509521 /nfs/dbraw/zinc/50/95/21/344509521.db2.gz KEANVBRKUYLCOG-MRVPVSSYSA-N 0 3 245.319 2.545 20 0 BFADHN CC[C@H](O)CN[C@H](C)c1ccc(Cl)s1 ZINC000042587814 344460162 /nfs/dbraw/zinc/46/01/62/344460162.db2.gz LLDNCHGFLLSEBY-SFYZADRCSA-N 0 3 233.764 2.823 20 0 BFADHN Cc1ccc([C@H](C)CNCc2cc[nH]n2)cc1 ZINC000119695290 344463060 /nfs/dbraw/zinc/46/30/60/344463060.db2.gz XJIWAGNZKFOJGG-GFCCVEGCSA-N 0 3 229.327 2.611 20 0 BFADHN c1nc2c(s1)CCC[C@H]2NCC1CC1 ZINC000623859446 344476003 /nfs/dbraw/zinc/47/60/03/344476003.db2.gz NVEMKIPLGZUIFE-SECBINFHSA-N 0 3 208.330 2.520 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1sc(C)nc1C ZINC000381476349 344510527 /nfs/dbraw/zinc/51/05/27/344510527.db2.gz QWUJWLFQSHHTDQ-DVVUODLYSA-N 0 3 240.372 2.588 20 0 BFADHN COc1ccc(CNCc2cccnc2C)cc1 ZINC000381506227 344513399 /nfs/dbraw/zinc/51/33/99/344513399.db2.gz KSZKOPGCTQLBKT-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN N#Cc1csc(CN[C@H]2CCC(F)(F)C2)c1 ZINC000381500186 344513647 /nfs/dbraw/zinc/51/36/47/344513647.db2.gz COVJHPYZEHXONP-VIFPVBQESA-N 0 3 242.294 2.897 20 0 BFADHN COc1cc(Cl)ccc1CNCC1CC1 ZINC000043565332 344528579 /nfs/dbraw/zinc/52/85/79/344528579.db2.gz ZTMLHBXMYZAMKC-UHFFFAOYSA-N 0 3 225.719 2.848 20 0 BFADHN CC(C)(C)CCN1CCS[C@H]2COCC[C@@H]21 ZINC000135517433 344536622 /nfs/dbraw/zinc/53/66/22/344536622.db2.gz XGLSWWIENIYCAZ-RYUDHWBXSA-N 0 3 243.416 2.629 20 0 BFADHN CC1CC(NCc2scnc2C2CC2)C1 ZINC000336764823 537847710 /nfs/dbraw/zinc/84/77/10/537847710.db2.gz QNYKGDZFEJHEEX-UHFFFAOYSA-N 0 3 222.357 2.909 20 0 BFADHN CCOCCCN(C)[C@H](C)c1cccnc1 ZINC000119795828 344549673 /nfs/dbraw/zinc/54/96/73/344549673.db2.gz MDODFGDNBWXCRZ-GFCCVEGCSA-N 0 3 222.332 2.501 20 0 BFADHN C[C@@H](N[C@H]1CC1(C)C)c1cccc(O)c1 ZINC000045116063 344560100 /nfs/dbraw/zinc/56/01/00/344560100.db2.gz MGLOJAGUPJEMNG-SKDRFNHKSA-N 0 3 205.301 2.841 20 0 BFADHN C[C@H](N[C@H]1CC1(C)C)c1cccc(O)c1 ZINC000045116061 344560332 /nfs/dbraw/zinc/56/03/32/344560332.db2.gz MGLOJAGUPJEMNG-CABZTGNLSA-N 0 3 205.301 2.841 20 0 BFADHN COCCCN(C)C/C=C\c1ccccc1 ZINC000215717271 349913081 /nfs/dbraw/zinc/91/30/81/349913081.db2.gz AQUFBDZXHXXXFJ-POHAHGRESA-N 0 3 219.328 2.668 20 0 BFADHN Cc1ccc(CNCCOC(C)C)s1 ZINC000048348953 344586586 /nfs/dbraw/zinc/58/65/86/344586586.db2.gz QGGSFYROXJTBDJ-UHFFFAOYSA-N 0 3 213.346 2.571 20 0 BFADHN Cc1ccc(F)cc1CN[C@H]1CCSC1 ZINC000226512561 178687307 /nfs/dbraw/zinc/68/73/07/178687307.db2.gz HKLCVIXFMBTLOY-LBPRGKRZSA-N 0 3 225.332 2.729 20 0 BFADHN CCN1CCC(Cc2nc(C3CCC3)no2)CC1 ZINC000625997881 344663513 /nfs/dbraw/zinc/66/35/13/344663513.db2.gz RJDHQRCSFIZICO-UHFFFAOYSA-N 0 3 249.358 2.612 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1ccnn1C(C)C ZINC000394592244 259378885 /nfs/dbraw/zinc/37/88/85/259378885.db2.gz FYCLJZGLVMRZAA-TZMCWYRMSA-N 0 3 235.375 2.988 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CCO[C@H](CCF)C1 ZINC000626005388 344667754 /nfs/dbraw/zinc/66/77/54/344667754.db2.gz BPFAZXYHKHTLKS-MGPQQGTHSA-N 0 3 243.366 2.873 20 0 BFADHN CC[C@@H](C)N1CCc2c(O)cccc2C1 ZINC000626028844 344681870 /nfs/dbraw/zinc/68/18/70/344681870.db2.gz ZYAPIIBWWOSFKN-SNVBAGLBSA-N 0 3 205.301 2.549 20 0 BFADHN CCOCCCN(C)Cc1ccsc1 ZINC000158561055 344679584 /nfs/dbraw/zinc/67/95/84/344679584.db2.gz KXDZJYBJGFBRRX-UHFFFAOYSA-N 0 3 213.346 2.607 20 0 BFADHN CO[C@H](CN1CCC(F)(F)C[C@H]1C)C1CCC1 ZINC000625981523 344647597 /nfs/dbraw/zinc/64/75/97/344647597.db2.gz WAEGPQANCPSHNW-ZYHUDNBSSA-N 0 3 247.329 2.921 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1ncc(C)o1 ZINC000075022523 344762619 /nfs/dbraw/zinc/76/26/19/344762619.db2.gz SEXGORQUXATHNV-KOLCDFICSA-N 0 3 208.305 2.603 20 0 BFADHN CSCCCN(C)Cc1cccs1 ZINC000075615858 344780274 /nfs/dbraw/zinc/78/02/74/344780274.db2.gz BURJHBOYUNHIDY-UHFFFAOYSA-N 0 3 215.387 2.933 20 0 BFADHN CC[C@@H](O)CN1CCC[C@H]1c1cccc(F)c1 ZINC000075643428 344782478 /nfs/dbraw/zinc/78/24/78/344782478.db2.gz GMLBSEMVVIBYCB-KGLIPLIRSA-N 0 3 237.318 2.734 20 0 BFADHN CCc1cnc(CN2CCC[C@H]2C(C)C)o1 ZINC000075648353 344782965 /nfs/dbraw/zinc/78/29/65/344782965.db2.gz XGGODGIAJDMCBX-LBPRGKRZSA-N 0 3 222.332 2.857 20 0 BFADHN CC[C@@H](NCc1cc(F)cc(Cl)c1)[C@H](C)O ZINC000395297071 323077645 /nfs/dbraw/zinc/07/76/45/323077645.db2.gz FLEAOXPINAKYQJ-QPUJVOFHSA-N 0 3 245.725 2.728 20 0 BFADHN COc1ccc(C)cc1CN(C)C1CCC1 ZINC000075841229 344792616 /nfs/dbraw/zinc/79/26/16/344792616.db2.gz NWCFXNFZPWYPGA-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN CC[C@@H](N[C@@H](CO)CC(F)F)c1cccs1 ZINC000309493723 347076655 /nfs/dbraw/zinc/07/66/55/347076655.db2.gz XUZQTHUTXRFGSW-RKDXNWHRSA-N 0 3 249.326 2.805 20 0 BFADHN CCCCOCCN1CCS[C@@H](C(C)C)C1 ZINC000076065639 344794098 /nfs/dbraw/zinc/79/40/98/344794098.db2.gz SGKMDOWMRZQRQX-CYBMUJFWSA-N 0 3 245.432 2.877 20 0 BFADHN CCC(CC)N(CCOC)Cc1cnc(C)o1 ZINC000628142182 344808548 /nfs/dbraw/zinc/80/85/48/344808548.db2.gz TVJUXVAZMWJOIE-UHFFFAOYSA-N 0 3 240.347 2.620 20 0 BFADHN CC[C@@H](O)[C@@H]1CCCCN1CCC(F)(F)F ZINC000077286964 344815445 /nfs/dbraw/zinc/81/54/45/344815445.db2.gz IESIRVAAQFFJLE-VHSXEESVSA-N 0 3 239.281 2.564 20 0 BFADHN Cc1ccc(NC(=O)[C@@H]2C[C@@H](C)CCN2)c(C)c1 ZINC000125991113 178744048 /nfs/dbraw/zinc/74/40/48/178744048.db2.gz GZYYGNYVACDYLI-FZMZJTMJSA-N 0 3 246.354 2.630 20 0 BFADHN OCC[C@@H](CNCc1ccco1)c1ccccc1 ZINC000077451277 344824674 /nfs/dbraw/zinc/82/46/74/344824674.db2.gz UHMFWJWDKKTEAW-AWEZNQCLSA-N 0 3 245.322 2.535 20 0 BFADHN OCC[C@H](CNCc1ccco1)c1ccccc1 ZINC000077451279 344824695 /nfs/dbraw/zinc/82/46/95/344824695.db2.gz UHMFWJWDKKTEAW-CQSZACIVSA-N 0 3 245.322 2.535 20 0 BFADHN CCN(Cc1nc(C)c(C)[nH]1)[C@H](C)C(C)C ZINC000628156164 344847672 /nfs/dbraw/zinc/84/76/72/344847672.db2.gz DPPQSQIWOFUVTR-GFCCVEGCSA-N 0 3 223.364 2.893 20 0 BFADHN Cc1ncc(CN2CCC[C@H](C)CC2)o1 ZINC000628156342 344848406 /nfs/dbraw/zinc/84/84/06/344848406.db2.gz MAYXKSIPESAUFB-JTQLQIEISA-N 0 3 208.305 2.605 20 0 BFADHN C[C@H]1CCCN(C[C@H](O)c2ccccc2F)C1 ZINC000078338431 344848714 /nfs/dbraw/zinc/84/87/14/344848714.db2.gz FNLFJZKDZAKUGQ-FZMZJTMJSA-N 0 3 237.318 2.591 20 0 BFADHN CC[C@@H]1CN(C[C@@]2(C)CCCS2)C[C@@H](C)O1 ZINC000628154686 344844504 /nfs/dbraw/zinc/84/45/04/344844504.db2.gz DFVPFRCPIABDAA-JHJVBQTASA-N 0 3 243.416 2.771 20 0 BFADHN COC[C@@H](NCC1(OC)CCC1)c1ccccc1 ZINC000234691510 537869254 /nfs/dbraw/zinc/86/92/54/537869254.db2.gz YKNPHYZNTWYVED-CQSZACIVSA-N 0 3 249.354 2.533 20 0 BFADHN FC(F)c1ccc(CNC2CC=CC2)cn1 ZINC000631089987 344913096 /nfs/dbraw/zinc/91/30/96/344913096.db2.gz ICGKIUREDVSLDO-UHFFFAOYSA-N 0 3 224.254 2.827 20 0 BFADHN c1nc(C2CC2)oc1CN1C[C@@H]2CCC[C@H]2C1 ZINC000628176995 344905599 /nfs/dbraw/zinc/90/55/99/344905599.db2.gz HCQLKMGYWJXXLC-RYUDHWBXSA-N 0 3 232.327 2.784 20 0 BFADHN c1nc(C2CC2)oc1CN1C[C@H]2CCC[C@@H]2C1 ZINC000628176997 344905721 /nfs/dbraw/zinc/90/57/21/344905721.db2.gz HCQLKMGYWJXXLC-VXGBXAGGSA-N 0 3 232.327 2.784 20 0 BFADHN Cc1ncc(CN(CC2CC2)CC2CC2)o1 ZINC000628178230 344909442 /nfs/dbraw/zinc/90/94/42/344909442.db2.gz JBOMKBVQDPXXIL-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1ncc(CN(C(C)C)C2CCC2)o1 ZINC000628182619 344928686 /nfs/dbraw/zinc/92/86/86/344928686.db2.gz BRIXYUFAZFQRKD-UHFFFAOYSA-N 0 3 208.305 2.746 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cnc(C3CC3)o2)C1 ZINC000628183255 344929285 /nfs/dbraw/zinc/92/92/85/344929285.db2.gz VKGOXDAMCRCWAH-UWVGGRQHSA-N 0 3 220.316 2.782 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3cnc(C4CC4)o3)C[C@@H]21 ZINC000628183429 344931770 /nfs/dbraw/zinc/93/17/70/344931770.db2.gz ARJFNPMNZNWPBQ-TXEJJXNPSA-N 0 3 232.327 2.640 20 0 BFADHN Cc1ncc(CN2CCCC(C)(C)CC2)o1 ZINC000628183860 344932474 /nfs/dbraw/zinc/93/24/74/344932474.db2.gz QQMNFUQXNKUWEW-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1ncc(CN2C[C@@H](C)CC[C@H]2C)o1 ZINC000628179011 344913437 /nfs/dbraw/zinc/91/34/37/344913437.db2.gz LHENYMKZJGRLPD-VHSXEESVSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1nc(C)c(C)[nH]1 ZINC000628179382 344914413 /nfs/dbraw/zinc/91/44/13/344914413.db2.gz YJWUILNIGNBNCO-CABZTGNLSA-N 0 3 221.348 2.647 20 0 BFADHN CN(Cc1cccn1C)C[C@@]1(C)CCCS1 ZINC000628181648 344921145 /nfs/dbraw/zinc/92/11/45/344921145.db2.gz KSBOLDAYZWCLFQ-CYBMUJFWSA-N 0 3 238.400 2.743 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H](NCc2ccn(C)n2)C1 ZINC000221390159 344961514 /nfs/dbraw/zinc/96/15/14/344961514.db2.gz FDXSDPRBOBMJKA-CHWSQXEVSA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@H](C)CN(C)Cc1ccc(C)nc1 ZINC000153764187 344970378 /nfs/dbraw/zinc/97/03/78/344970378.db2.gz FPSOQQZKXBTZHL-NSHDSACASA-N 0 3 206.333 2.868 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cnc(C)o1 ZINC000628188019 344941028 /nfs/dbraw/zinc/94/10/28/344941028.db2.gz KLJJOOUOPKKSIL-SNVBAGLBSA-N 0 3 210.321 2.851 20 0 BFADHN Cc1c[nH]c(CN2CC[C@@H](C)C[C@@H](C)C2)n1 ZINC000628189705 344942543 /nfs/dbraw/zinc/94/25/43/344942543.db2.gz KNYWQEMBXQLAOB-GHMZBOCLSA-N 0 3 221.348 2.586 20 0 BFADHN Cc1ncc(CN(C)[C@H](C)C2(C)CC2)o1 ZINC000628190241 344943294 /nfs/dbraw/zinc/94/32/94/344943294.db2.gz ZRDHYABXGRELIY-SECBINFHSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cnc(C2CC2)o1 ZINC000628190856 344944406 /nfs/dbraw/zinc/94/44/06/344944406.db2.gz SNSVWMBLSHBXLV-UWVGGRQHSA-N 0 3 220.316 2.925 20 0 BFADHN Cc1ccc(CCNCc2oc(C)nc2C)o1 ZINC000628202029 344976042 /nfs/dbraw/zinc/97/60/42/344976042.db2.gz FFHBUCRHPFJKQU-UHFFFAOYSA-N 0 3 234.299 2.525 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1ccc(C)cc1F ZINC000631113916 344980759 /nfs/dbraw/zinc/98/07/59/344980759.db2.gz NHCCZYCVCJYMQI-SCOBNMCVSA-N 0 3 237.318 2.551 20 0 BFADHN COCC[C@H](C)N1CCC=C(c2ccccn2)C1 ZINC000574766534 345004584 /nfs/dbraw/zinc/00/45/84/345004584.db2.gz LTTUFZJSPJQOQS-ZDUSSCGKSA-N 0 3 246.354 2.596 20 0 BFADHN CC[C@@H](NCc1cn(C)nc1C)c1ccccc1 ZINC000126009244 345102732 /nfs/dbraw/zinc/10/27/32/345102732.db2.gz QZXBCNOVWHUKJC-OAHLLOKOSA-N 0 3 243.354 2.969 20 0 BFADHN Cc1cc(NC(=O)C(C)C(F)(F)F)ccn1 ZINC000080220862 345122938 /nfs/dbraw/zinc/12/29/38/345122938.db2.gz ORAZVWYEJCCDKY-ZETCQYMHSA-N 0 3 232.205 2.527 20 0 BFADHN c1cc(CNC[C@H](c2ccccc2)C2CC2)no1 ZINC000634981772 345161533 /nfs/dbraw/zinc/16/15/33/345161533.db2.gz RSWVHEKCUDSFNW-OAHLLOKOSA-N 0 3 242.322 2.958 20 0 BFADHN c1cc(CNC[C@@H](c2ccccc2)C2CC2)no1 ZINC000634981771 345161896 /nfs/dbraw/zinc/16/18/96/345161896.db2.gz RSWVHEKCUDSFNW-HNNXBMFYSA-N 0 3 242.322 2.958 20 0 BFADHN CC/C=C\CNCc1ccc2nccnc2c1 ZINC000634982776 345164102 /nfs/dbraw/zinc/16/41/02/345164102.db2.gz VKJZGOXJGRRNOA-ARJAWSKDSA-N 0 3 227.311 2.686 20 0 BFADHN Fc1ccc2oc(CNCCC3CC3)nc2c1 ZINC000634965599 345137791 /nfs/dbraw/zinc/13/77/91/345137791.db2.gz ONKNWHQZFOJFLB-UHFFFAOYSA-N 0 3 234.274 2.857 20 0 BFADHN CC1(CNCc2nc3cc(F)ccc3o2)CC1 ZINC000634967213 345140104 /nfs/dbraw/zinc/14/01/04/345140104.db2.gz ISXKOVQGUNMYLV-UHFFFAOYSA-N 0 3 234.274 2.857 20 0 BFADHN CC(C)(CNCc1ccon1)C1CCCC1 ZINC000634972544 345147922 /nfs/dbraw/zinc/14/79/22/345147922.db2.gz AZKFOCUXHXZGMW-UHFFFAOYSA-N 0 3 222.332 2.981 20 0 BFADHN CC1CC(NCc2ccn(C3CCCC3)n2)C1 ZINC000158297623 345314439 /nfs/dbraw/zinc/31/44/39/345314439.db2.gz MAKRNZQLFGCYAF-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1cccc(F)c1 ZINC000157390691 345258760 /nfs/dbraw/zinc/25/87/60/345258760.db2.gz AGFKNRIPXSOSPH-GXFFZTMASA-N 0 3 225.307 2.637 20 0 BFADHN CCN1CCC[C@@H]1c1ccc(COC)o1 ZINC000131296063 345296605 /nfs/dbraw/zinc/29/66/05/345296605.db2.gz SVZJZCQALPAXQZ-LLVKDONJSA-N 0 3 209.289 2.583 20 0 BFADHN COCC[C@@H](C)N1CCC(C(F)(F)F)CC1 ZINC000446775527 537893647 /nfs/dbraw/zinc/89/36/47/537893647.db2.gz ICFYHEFNXUVPJX-SECBINFHSA-N 0 3 239.281 2.686 20 0 BFADHN CC[C@@H](O)CCNCc1cccc(Cl)c1F ZINC000131680754 345305474 /nfs/dbraw/zinc/30/54/74/345305474.db2.gz BMPHKLGZXPSOQX-SNVBAGLBSA-N 0 3 245.725 2.730 20 0 BFADHN COc1cccc(CN2CCCC3(CC3)CC2)n1 ZINC000626113882 345307795 /nfs/dbraw/zinc/30/77/95/345307795.db2.gz KPPYDWBKYFNROZ-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CCN(CCOCC1CC1)Cc1ccccc1 ZINC000158252011 345311994 /nfs/dbraw/zinc/31/19/94/345311994.db2.gz JXSXJNCNBGBUHL-UHFFFAOYSA-N 0 3 233.355 2.935 20 0 BFADHN CC[C@@H](N[C@H]1CC=CCC1)c1nccn1C ZINC000132261834 345324155 /nfs/dbraw/zinc/32/41/55/345324155.db2.gz DTNSEODXOWDIGO-NWDGAFQWSA-N 0 3 219.332 2.570 20 0 BFADHN CC(C)C[C@@H](CN(C)C)NC(=O)CC(C)(C)C ZINC000077729884 192370432 /nfs/dbraw/zinc/37/04/32/192370432.db2.gz QWFTWUDXPPKDNL-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1ccccc1F ZINC000133057394 345357673 /nfs/dbraw/zinc/35/76/73/345357673.db2.gz HLFAAUQFPRHHGK-JTQLQIEISA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@@H](C)[C@@H](C)NC(=O)CN(C)CC(C)(C)C ZINC000635419599 345359003 /nfs/dbraw/zinc/35/90/03/345359003.db2.gz CNSCWRLFKNFFMI-VXGBXAGGSA-N 0 3 242.407 2.515 20 0 BFADHN CCN(Cc1cc(F)cc(F)c1)C1CC1 ZINC000133291563 345368207 /nfs/dbraw/zinc/36/82/07/345368207.db2.gz YTQZYVACKQJWGP-UHFFFAOYSA-N 0 3 211.255 2.949 20 0 BFADHN CCN(Cc1ccc(N2CCCC2)nc1)C1CC1 ZINC000133309389 345368646 /nfs/dbraw/zinc/36/86/46/345368646.db2.gz SYEXRWAHXJEEHJ-UHFFFAOYSA-N 0 3 245.370 2.666 20 0 BFADHN CC(C)n1cc(CN2CCCC3(CC3)CC2)nn1 ZINC000626114993 345326175 /nfs/dbraw/zinc/32/61/75/345326175.db2.gz BZOPZDNAOOWCIL-UHFFFAOYSA-N 0 3 248.374 2.625 20 0 BFADHN CCC[C@H](C)[C@@H](CC)NCc1nncn1CC ZINC000158436968 345334494 /nfs/dbraw/zinc/33/44/94/345334494.db2.gz GXQIYRNALBTEDS-NWDGAFQWSA-N 0 3 238.379 2.602 20 0 BFADHN CCN1CCC[C@H]1CC(=O)c1ccc(F)cc1 ZINC000134829097 345423351 /nfs/dbraw/zinc/42/33/51/345423351.db2.gz TXZWQYNEGNJMKC-ZDUSSCGKSA-N 0 3 235.302 2.883 20 0 BFADHN CCN1CCC[C@@H]1CC(=O)c1ccc(F)cc1 ZINC000134829076 345423366 /nfs/dbraw/zinc/42/33/66/345423366.db2.gz TXZWQYNEGNJMKC-CYBMUJFWSA-N 0 3 235.302 2.883 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]C[C@@H]2CCCS2)c1 ZINC000159608930 345446007 /nfs/dbraw/zinc/44/60/07/345446007.db2.gz ZNCFWAMMIVHWEM-LBPRGKRZSA-N 0 3 241.331 2.517 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1cc(C)oc1C ZINC000158960280 345394711 /nfs/dbraw/zinc/39/47/11/345394711.db2.gz GYJPKYNCEULVFR-JTQLQIEISA-N 0 3 225.332 2.972 20 0 BFADHN C[C@@H](c1cccnc1)N1CCSC(C)(C)C1 ZINC000083417440 345505817 /nfs/dbraw/zinc/50/58/17/345505817.db2.gz BQFDZTRWBHEODB-NSHDSACASA-N 0 3 236.384 2.970 20 0 BFADHN COc1ccc(OCCN2CCC23CCC3)cc1 ZINC000081545425 345477860 /nfs/dbraw/zinc/47/78/60/345477860.db2.gz LWRBADFAEXEYBF-UHFFFAOYSA-N 0 3 247.338 2.702 20 0 BFADHN C[C@H](c1ccc(F)cc1)N(C)CCC(C)(C)O ZINC000081646800 345483483 /nfs/dbraw/zinc/48/34/83/345483483.db2.gz XZLGXLVHNMABPB-LLVKDONJSA-N 0 3 239.334 2.980 20 0 BFADHN Cc1csc(CN2C[C@H](C)C[C@@H]2C)n1 ZINC000088601494 345542635 /nfs/dbraw/zinc/54/26/35/345542635.db2.gz FWYUQOKMXAHCPE-SCZZXKLOSA-N 0 3 210.346 2.682 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C)[C@@H]2C)s1 ZINC000091859243 345610675 /nfs/dbraw/zinc/61/06/75/345610675.db2.gz LODHLKGRADDESQ-ZJUUUORDSA-N 0 3 224.373 2.936 20 0 BFADHN CC[C@@H]1COCCN1C[C@@H]1C[C@@H]1c1ccccc1 ZINC000091981064 345614019 /nfs/dbraw/zinc/61/40/19/345614019.db2.gz DDPXAHGFFHNJOI-ARFHVFGLSA-N 0 3 245.366 2.901 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CCCCC1 ZINC000092107284 345616257 /nfs/dbraw/zinc/61/62/57/345616257.db2.gz WYIYOXPAXMWEPB-UHFFFAOYSA-N 0 3 221.348 2.693 20 0 BFADHN OC[C@@H]1CCCN1Cc1cc2cc(F)ccc2o1 ZINC000092455593 345620692 /nfs/dbraw/zinc/62/06/92/345620692.db2.gz XDEDDTDEWDKFPP-LBPRGKRZSA-N 0 3 249.285 2.529 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C)C2)s1 ZINC000092471187 345622107 /nfs/dbraw/zinc/62/21/07/345622107.db2.gz UIOYOUDIHZHYRV-SECBINFHSA-N 0 3 210.346 2.547 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@H](C)C2)c1 ZINC000092471196 345622427 /nfs/dbraw/zinc/62/24/27/345622427.db2.gz ISCCXDKGUVXATN-NSHDSACASA-N 0 3 207.292 2.976 20 0 BFADHN C[C@H]1CCN(CC2=Cc3ccccc3OC2)C1 ZINC000092471438 345623656 /nfs/dbraw/zinc/62/36/56/345623656.db2.gz OHDBTIBZPGVIMU-LBPRGKRZSA-N 0 3 229.323 2.804 20 0 BFADHN CC(C)(C)C[C@@H]1CCCN1Cc1c[nH]cn1 ZINC000092644210 345632326 /nfs/dbraw/zinc/63/23/26/345632326.db2.gz ILIVDQORZJCBSK-LBPRGKRZSA-N 0 3 221.348 2.810 20 0 BFADHN C[C@H]1CCC[C@@H](CN2CCc3n[nH]cc3C2)C1 ZINC000091077717 345582143 /nfs/dbraw/zinc/58/21/43/345582143.db2.gz WVMZXJPPAICQES-NWDGAFQWSA-N 0 3 233.359 2.594 20 0 BFADHN Cc1cccnc1CN1CCC(C)(C)C1 ZINC000093177428 345659319 /nfs/dbraw/zinc/65/93/19/345659319.db2.gz WXBRHHDMXXBLAL-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1ccc2ncc(CN3C[C@H](C)[C@@H](C)C3)n2c1 ZINC000093504212 345662190 /nfs/dbraw/zinc/66/21/90/345662190.db2.gz YGZMJIUKFVBLDA-STQMWFEESA-N 0 3 243.354 2.731 20 0 BFADHN CSC[C@@H]1CCCN1Cc1occc1C ZINC000093761373 345664206 /nfs/dbraw/zinc/66/42/06/345664206.db2.gz MXZYSDAIDSZZRD-NSHDSACASA-N 0 3 225.357 2.915 20 0 BFADHN CCc1ccc(CNCc2ccncc2N)s1 ZINC000641706830 362615406 /nfs/dbraw/zinc/61/54/06/362615406.db2.gz FGJOTWYISCFESE-UHFFFAOYSA-N 0 3 247.367 2.578 20 0 BFADHN Cc1ccc(CN2CC[C@@]3(CCOC3)C2)cc1F ZINC000093820746 345665379 /nfs/dbraw/zinc/66/53/79/345665379.db2.gz BBTJMOSVVOJFNK-OAHLLOKOSA-N 0 3 249.329 2.747 20 0 BFADHN CCCN(CC)Cc1cnn(CC(F)(F)F)c1 ZINC000434987039 537902343 /nfs/dbraw/zinc/90/23/43/537902343.db2.gz KPYRUHQNORZCGR-UHFFFAOYSA-N 0 3 249.280 2.677 20 0 BFADHN CSc1ccc(CN[C@@H](C)[C@H]2CCOC2)o1 ZINC000641729773 362644474 /nfs/dbraw/zinc/64/44/74/362644474.db2.gz KLBHSCOOZWUMTR-UWVGGRQHSA-N 0 3 241.356 2.516 20 0 BFADHN Cc1ccc(CN2CCN(C)CC2(C)C)s1 ZINC000093457260 345671537 /nfs/dbraw/zinc/67/15/37/345671537.db2.gz GDRHNFYDHIYKFX-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN Cc1ccn2c(CN3C[C@H](C)[C@H](C)C3)cnc2c1 ZINC000093503512 345674388 /nfs/dbraw/zinc/67/43/88/345674388.db2.gz MVUPHCRXKLQYGA-BETUJISGSA-N 0 3 243.354 2.731 20 0 BFADHN C[C@H]1CN(Cc2cccc3c2OCO3)C[C@H]1C ZINC000093503458 345674401 /nfs/dbraw/zinc/67/44/01/345674401.db2.gz BVZUUVRBHJMNDK-PHIMTYICSA-N 0 3 233.311 2.503 20 0 BFADHN CSc1ccc(CNCCC2CC2)o1 ZINC000641730748 362655385 /nfs/dbraw/zinc/65/53/85/362655385.db2.gz OERGQVUHJVVPDY-UHFFFAOYSA-N 0 3 211.330 2.891 20 0 BFADHN Cc1ncc(COc2cc(C)nc(C)c2C)o1 ZINC000641719844 362635557 /nfs/dbraw/zinc/63/55/57/362635557.db2.gz GZLMJCQXLCCPMA-UHFFFAOYSA-N 0 3 232.283 2.882 20 0 BFADHN CSc1ccc(CNC[C@]2(C)CCOC2)o1 ZINC000641720454 362636914 /nfs/dbraw/zinc/63/69/14/362636914.db2.gz UQWYCOLVMBZSTG-LBPRGKRZSA-N 0 3 241.356 2.518 20 0 BFADHN CC(C)c1cnc(NCCN2CCCC2)s1 ZINC000144884904 345709866 /nfs/dbraw/zinc/70/98/66/345709866.db2.gz WCCLXLXZOXKOBH-UHFFFAOYSA-N 0 3 239.388 2.774 20 0 BFADHN Cc1cc(CN(CC(C)C)C(C)C)nn1C ZINC000149689749 345716838 /nfs/dbraw/zinc/71/68/38/345716838.db2.gz YAURXXHTFKXCBS-UHFFFAOYSA-N 0 3 223.364 2.595 20 0 BFADHN CC(C)OC1CCN(Cc2cccc(N)c2)CC1 ZINC000201057927 345729223 /nfs/dbraw/zinc/72/92/23/345729223.db2.gz UDKMSFFZOYMOJJ-UHFFFAOYSA-N 0 3 248.370 2.658 20 0 BFADHN C[C@H]1C[C@@H](NCc2ncc[nH]2)CC(C)(C)C1 ZINC000070621337 345791432 /nfs/dbraw/zinc/79/14/32/345791432.db2.gz RMTTULOOKKQFNQ-WDEREUQCSA-N 0 3 221.348 2.714 20 0 BFADHN Fc1ccc2nc(NCc3nccs3)[nH]c2c1 ZINC000236192077 537912321 /nfs/dbraw/zinc/91/23/21/537912321.db2.gz CRQNNEBFPUQPPL-UHFFFAOYSA-N 0 3 248.286 2.771 20 0 BFADHN CCC1(CNCc2ccc(C)cc2F)COC1 ZINC000631151609 345856070 /nfs/dbraw/zinc/85/60/70/345856070.db2.gz BOUPYJJPLNZDAM-UHFFFAOYSA-N 0 3 237.318 2.650 20 0 BFADHN Cc1cccnc1CN(C)[C@H](C)C1CC1 ZINC000093158297 345833525 /nfs/dbraw/zinc/83/35/25/345833525.db2.gz AKPUCYXNGWFWSX-LLVKDONJSA-N 0 3 204.317 2.620 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1cnc(C)o1 ZINC000628222282 345960620 /nfs/dbraw/zinc/96/06/20/345960620.db2.gz LLZDDOUVKBAHNF-VHSXEESVSA-N 0 3 210.321 2.897 20 0 BFADHN CCCC[C@@H](CC)CCN1CCN(C)CC1 ZINC000437591859 537926998 /nfs/dbraw/zinc/92/69/98/537926998.db2.gz PWWCCUPQYDCJQR-CQSZACIVSA-N 0 3 226.408 2.840 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1sc(C)nc1C ZINC000381476352 346027527 /nfs/dbraw/zinc/02/75/27/346027527.db2.gz QWUJWLFQSHHTDQ-JMJZKYOTSA-N 0 3 240.372 2.588 20 0 BFADHN CCN(Cc1cccc2c1OCCO2)CC(C)C ZINC000101726364 346029400 /nfs/dbraw/zinc/02/94/00/346029400.db2.gz FZOLNRPOEFBAGP-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN CCCC[C@H](C)NCc1cnccc1OC ZINC000294456090 346031618 /nfs/dbraw/zinc/03/16/18/346031618.db2.gz VXSRDXRSVYGQAR-NSHDSACASA-N 0 3 222.332 2.759 20 0 BFADHN C[C@H](CNCC1(F)CC1)Oc1ccccc1 ZINC000381481627 346032846 /nfs/dbraw/zinc/03/28/46/346032846.db2.gz SWGCYDBIQCVDSN-LLVKDONJSA-N 0 3 223.291 2.546 20 0 BFADHN Cc1ncc(CNCCc2ccc(F)cc2C)o1 ZINC000628229457 346178207 /nfs/dbraw/zinc/17/82/07/346178207.db2.gz VQZCYPHFMWSNEQ-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCC(F)(F)C2)o1 ZINC000628229363 346178613 /nfs/dbraw/zinc/17/86/13/346178613.db2.gz SBYTZRARMVQVAH-VIFPVBQESA-N 0 3 230.258 2.569 20 0 BFADHN CCO[C@H](CCNCc1cnc(C)o1)C(C)C ZINC000628227885 346176209 /nfs/dbraw/zinc/17/62/09/346176209.db2.gz WNKTVOQREYXHLK-CYBMUJFWSA-N 0 3 240.347 2.524 20 0 BFADHN Cc1ccc([C@@H](C)CNCc2ccon2)cc1 ZINC000628225722 346177227 /nfs/dbraw/zinc/17/72/27/346177227.db2.gz LVJVTRIXXLHSLN-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN CCC1(NCc2cnn(CC(C)C)c2)CC1 ZINC000449417270 201911311 /nfs/dbraw/zinc/91/13/11/201911311.db2.gz XLMDVEDZDCZSHP-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1ncc(CN2CCC[C@H](C(C)C)C2)o1 ZINC000628243765 346207757 /nfs/dbraw/zinc/20/77/57/346207757.db2.gz GIEJVOVROYUDJV-LBPRGKRZSA-N 0 3 222.332 2.851 20 0 BFADHN CC[C@]1(C)CN(C[C@@]2(C)CCCS2)CCO1 ZINC000628245070 346210026 /nfs/dbraw/zinc/21/00/26/346210026.db2.gz HQTIFMDHGISFOP-CHWSQXEVSA-N 0 3 243.416 2.773 20 0 BFADHN COC(C)(C)C[C@H](C)NCc1oc(C)nc1C ZINC000311727600 346179904 /nfs/dbraw/zinc/17/99/04/346179904.db2.gz CAVBAHZUIGPKNH-VIFPVBQESA-N 0 3 240.347 2.585 20 0 BFADHN CCc1nn(C)cc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000245644363 537954069 /nfs/dbraw/zinc/95/40/69/537954069.db2.gz FGWYHKSHUMVGLY-SWLSCSKDSA-N 0 3 247.386 2.747 20 0 BFADHN CC(C)[C@@H]1CN(C[C@]2(C)CCCS2)CCO1 ZINC000628235119 346188583 /nfs/dbraw/zinc/18/85/83/346188583.db2.gz ZEYNNDHGPCGRER-STQMWFEESA-N 0 3 243.416 2.629 20 0 BFADHN CC(C)[C@H]1CN(C[C@]2(C)CCCS2)CCO1 ZINC000628235117 346188624 /nfs/dbraw/zinc/18/86/24/346188624.db2.gz ZEYNNDHGPCGRER-OLZOCXBDSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1c[nH]c(CN2C[C@H](C)[C@@H]3CCCC[C@H]32)n1 ZINC000628236458 346191585 /nfs/dbraw/zinc/19/15/85/346191585.db2.gz BWRGKQNIYABDGV-WCFLWFBJSA-N 0 3 233.359 2.729 20 0 BFADHN Cc1ncc(CN(CC2CCC2)C(C)C)o1 ZINC000628253067 346227552 /nfs/dbraw/zinc/22/75/52/346227552.db2.gz SJVYVJLDKWRABK-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN C/C=C\C[C@H](CO)NCc1csc(C)c1C ZINC000631114780 346230302 /nfs/dbraw/zinc/23/03/02/346230302.db2.gz ZNVDZAKSMWWGFP-DSYXLKISSA-N 0 3 239.384 2.782 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1csc(C)c1C ZINC000631114783 346230416 /nfs/dbraw/zinc/23/04/16/346230416.db2.gz ZNVDZAKSMWWGFP-ZFDPJTLLSA-N 0 3 239.384 2.782 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@H](C)[C@H]2C)[nH]c1C ZINC000628249395 346218534 /nfs/dbraw/zinc/21/85/34/346218534.db2.gz BYQUJSSBWSMHFP-GBIKHYSHSA-N 0 3 235.375 2.893 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1ccnc(OC)n1 ZINC000294659366 346221131 /nfs/dbraw/zinc/22/11/31/346221131.db2.gz COCAJCSBPJYPEE-DGCLKSJQSA-N 0 3 249.358 2.544 20 0 BFADHN CC1(CCNCc2cnc3ccccc3n2)CC1 ZINC000628254738 346237366 /nfs/dbraw/zinc/23/73/66/346237366.db2.gz NMWOEIRIUDRJNS-UHFFFAOYSA-N 0 3 241.338 2.910 20 0 BFADHN CC1(CCNCc2cnc(C3CC3)o2)CC1 ZINC000628254527 346238517 /nfs/dbraw/zinc/23/85/17/346238517.db2.gz FCOVZLQFZOKWLJ-UHFFFAOYSA-N 0 3 220.316 2.832 20 0 BFADHN CC1CN(Cc2ccc3[nH]ccc3c2)C1 ZINC000628255436 346249578 /nfs/dbraw/zinc/24/95/78/346249578.db2.gz MPPUIQKRPGZLEB-UHFFFAOYSA-N 0 3 200.285 2.620 20 0 BFADHN CCN(Cc1cnc(C)o1)CC1CCC1 ZINC000628255870 346253281 /nfs/dbraw/zinc/25/32/81/346253281.db2.gz ADCIBMMDAJLPGS-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCC(C)(C)C2)o1 ZINC000628255979 346253526 /nfs/dbraw/zinc/25/35/26/346253526.db2.gz DXATXWWGIGIWRI-LLVKDONJSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1ncc(CNC[C@H]2CC[C@H](C)C2)o1 ZINC000628256512 346254444 /nfs/dbraw/zinc/25/44/44/346254444.db2.gz ZZPXYLWKPXMJES-ONGXEEELSA-N 0 3 208.305 2.509 20 0 BFADHN CSc1ccc(CN[C@@H]2CCCOCC2)o1 ZINC000641746432 362672246 /nfs/dbraw/zinc/67/22/46/362672246.db2.gz XRTYMQOAKJWZFA-SNVBAGLBSA-N 0 3 241.356 2.660 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@H](C)CC2)ncn1 ZINC000641744836 362666901 /nfs/dbraw/zinc/66/69/01/362666901.db2.gz LSKJQYYKPVCQPE-AAEUAGOBSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@@H](C)CC2)ncn1 ZINC000641744844 362667044 /nfs/dbraw/zinc/66/70/44/362667044.db2.gz LSKJQYYKPVCQPE-YPMHNXCESA-N 0 3 233.359 2.843 20 0 BFADHN CSc1ccc(CN[C@]2(C)CCCOC2)o1 ZINC000641746300 362672516 /nfs/dbraw/zinc/67/25/16/362672516.db2.gz SLHHYMQMGHDTNV-GFCCVEGCSA-N 0 3 241.356 2.660 20 0 BFADHN CC(C)CC[C@H](CO)N[C@@H](C)c1ccccn1 ZINC000624110102 346264221 /nfs/dbraw/zinc/26/42/21/346264221.db2.gz PCMBQJCOKNPJLO-QWHCGFSZSA-N 0 3 236.359 2.529 20 0 BFADHN CSC[C@@H](C)NCc1ccc(SC)o1 ZINC000603420486 349947390 /nfs/dbraw/zinc/94/73/90/349947390.db2.gz LTSWOFRACCMXCM-MRVPVSSYSA-N 0 3 231.386 2.843 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CN1CC[C@](F)(CO)C1 ZINC000628264757 346266512 /nfs/dbraw/zinc/26/65/12/346266512.db2.gz AQHGVIIEDFKOFS-MGPQQGTHSA-N 0 3 243.366 2.609 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cn(C)nc2C)C1 ZINC000626164409 346271083 /nfs/dbraw/zinc/27/10/83/346271083.db2.gz FKVWKXZLVKZJAD-ISZGNANSSA-N 0 3 247.386 2.907 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cncn2C)C1 ZINC000626161957 346272652 /nfs/dbraw/zinc/27/26/52/346272652.db2.gz MBKXCISJVCPLDS-DHCBQETCSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cccnc2N)C1 ZINC000626164239 346276655 /nfs/dbraw/zinc/27/66/55/346276655.db2.gz ZJGJGAAJPLZGJU-ZRMMWKCHSA-N 0 3 245.370 2.842 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1ccccc1OCC ZINC000641747502 362674468 /nfs/dbraw/zinc/67/44/68/362674468.db2.gz JZWBLJYKQBUXHT-KQIUPUNMSA-N 0 3 249.354 2.502 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1nc(C)c(C)[nH]1 ZINC000628281146 346292860 /nfs/dbraw/zinc/29/28/60/346292860.db2.gz CYVXCIJKCMFCNZ-JOYOIKCWSA-N 0 3 221.348 2.789 20 0 BFADHN C/C=C/C[C@H](CO)NCc1ccc(F)cc1C ZINC000641748357 362675811 /nfs/dbraw/zinc/67/58/11/362675811.db2.gz RAVBTZDQHANHCU-RDFMZFSFSA-N 0 3 237.318 2.551 20 0 BFADHN Cc1cccc(C)c1CN1CCO[C@H](C2CC2)C1 ZINC000362528871 179035285 /nfs/dbraw/zinc/03/52/85/179035285.db2.gz OUDZZZYRMVZHJI-INIZCTEOSA-N 0 3 245.366 2.914 20 0 BFADHN Cc1ncc(CN(C)C2CCC(F)(F)CC2)o1 ZINC000628281916 346291301 /nfs/dbraw/zinc/29/13/01/346291301.db2.gz GBYYQZRKCKJJGL-UHFFFAOYSA-N 0 3 244.285 2.993 20 0 BFADHN COCC(C)(C)CCCNCc1cnc(C)o1 ZINC000628281468 346291320 /nfs/dbraw/zinc/29/13/20/346291320.db2.gz BSBNAUCAJDVIHT-UHFFFAOYSA-N 0 3 240.347 2.525 20 0 BFADHN CSc1ccc(CN[C@H]2CS[C@H](C)C2)o1 ZINC000641761918 362688783 /nfs/dbraw/zinc/68/87/83/362688783.db2.gz AHFPQAZTRNWOGK-RKDXNWHRSA-N 0 3 243.397 2.985 20 0 BFADHN Cc1cccc(C)c1NC(=O)CN[C@H](C)C(C)C ZINC000232714554 179041155 /nfs/dbraw/zinc/04/11/55/179041155.db2.gz BXZJVPWCLOAESJ-CYBMUJFWSA-N 0 3 248.370 2.876 20 0 BFADHN CC[C@@]1(NCc2ccc(SC)o2)CCOC1 ZINC000641760579 362693148 /nfs/dbraw/zinc/69/31/48/362693148.db2.gz GNHQUZNVBBQWTB-GFCCVEGCSA-N 0 3 241.356 2.660 20 0 BFADHN CC[C@H](NCc1ncccc1F)[C@H]1C[C@@H]1C ZINC000563343312 323119343 /nfs/dbraw/zinc/11/93/43/323119343.db2.gz ONWRTECSUGQKLK-NHCYSSNCSA-N 0 3 222.307 2.745 20 0 BFADHN CSc1ccc(CNCC2(SC)CC2)o1 ZINC000603432886 349953966 /nfs/dbraw/zinc/95/39/66/349953966.db2.gz VZCHKQAFEMQLDM-UHFFFAOYSA-N 0 3 243.397 2.987 20 0 BFADHN CSc1ccc(CN[C@H]2CC23CC3)o1 ZINC000641765723 362698400 /nfs/dbraw/zinc/69/84/00/362698400.db2.gz OEIASDGCXHJJAR-VIFPVBQESA-N 0 3 209.314 2.644 20 0 BFADHN Cc1cccc(CCNCc2ccco2)c1 ZINC000051668670 179058167 /nfs/dbraw/zinc/05/81/67/179058167.db2.gz RRDHGPUVBFQHGY-UHFFFAOYSA-N 0 3 215.296 2.920 20 0 BFADHN CCc1nnc(CN[C@H]2CCC[C@@H]2CC)s1 ZINC000381554082 346338236 /nfs/dbraw/zinc/33/82/36/346338236.db2.gz NYSPCRXNTKPMBC-UWVGGRQHSA-N 0 3 239.388 2.769 20 0 BFADHN CCC1(CC)[C@@H](NCC2(F)CC2)C[C@@H]1OC ZINC000381555780 346341555 /nfs/dbraw/zinc/34/15/55/346341555.db2.gz OXFKFKKKABBNKV-QWRGUYRKSA-N 0 3 229.339 2.672 20 0 BFADHN Cc1noc(C)c1CNCCc1cccc(C)c1 ZINC000070143919 179057818 /nfs/dbraw/zinc/05/78/18/179057818.db2.gz MFEDONKGQZXNCW-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN Cc1cc(CNCCc2cccc(C)c2)on1 ZINC000310394475 179058242 /nfs/dbraw/zinc/05/82/42/179058242.db2.gz LRJZFONOXFDIMB-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1cccc(CCN[C@H](C)c2cnccn2)c1 ZINC000070479607 179060072 /nfs/dbraw/zinc/06/00/72/179060072.db2.gz YGOIIAFQSDOIFG-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN CC[C@H](C)NCc1nc2ccccc2nc1C ZINC000589031894 346374842 /nfs/dbraw/zinc/37/48/42/346374842.db2.gz PMWWMQLBFAVYIP-JTQLQIEISA-N 0 3 229.327 2.826 20 0 BFADHN Fc1cccc(CN[C@H]2[C@@H]3CCC[C@@H]32)c1F ZINC000381602072 346410444 /nfs/dbraw/zinc/41/04/44/346410444.db2.gz OFXUQOWDDWKHTL-IWIIMEHWSA-N 0 3 223.266 2.853 20 0 BFADHN OC/C=C/CN[C@@H](c1ccccc1)C1CCC1 ZINC000641783169 362722921 /nfs/dbraw/zinc/72/29/21/362722921.db2.gz QBEPUOHLPLZACH-RGDDUWESSA-N 0 3 231.339 2.666 20 0 BFADHN Cc1cccc(CN2CCCO[C@H](C)C2)c1 ZINC000170770977 179074013 /nfs/dbraw/zinc/07/40/13/179074013.db2.gz ZZZWQKDZFCEYKB-CYBMUJFWSA-N 0 3 219.328 2.606 20 0 BFADHN Cc1cccc(CN(C)CCC2OCCCO2)c1 ZINC000091465855 179064741 /nfs/dbraw/zinc/06/47/41/179064741.db2.gz BARGCHOOSPGCIR-UHFFFAOYSA-N 0 3 249.354 2.580 20 0 BFADHN Cc1cccc(CN(C)C[C@@H]2CCOC2)c1F ZINC000294866893 179065857 /nfs/dbraw/zinc/06/58/57/179065857.db2.gz PWXOUKWTWLDFQC-LBPRGKRZSA-N 0 3 237.318 2.602 20 0 BFADHN C[C@H]1CCCN(C[C@@H]2COc3ccccc3O2)C1 ZINC000148698173 346411337 /nfs/dbraw/zinc/41/13/37/346411337.db2.gz SEBCNUZREVPPBF-QWHCGFSZSA-N 0 3 247.338 2.558 20 0 BFADHN C[C@@H]1CCCN(C[C@@H]2COc3ccccc3O2)C1 ZINC000148697950 346411677 /nfs/dbraw/zinc/41/16/77/346411677.db2.gz SEBCNUZREVPPBF-CHWSQXEVSA-N 0 3 247.338 2.558 20 0 BFADHN Clc1ccccc1CNCCCN1CCC1 ZINC000381605786 346420539 /nfs/dbraw/zinc/42/05/39/346420539.db2.gz QACUEFFPDRQHPW-UHFFFAOYSA-N 0 3 238.762 2.525 20 0 BFADHN Cc1cccc(CN2C[C@H](C(N)=O)CC[C@H]2C)n1 ZINC000334144028 179084063 /nfs/dbraw/zinc/08/40/63/179084063.db2.gz SIIDQXVKWQCGPT-VXGBXAGGSA-N 0 3 247.342 2.526 20 0 BFADHN CC1(C)CCCN1Cc1ccc2c(c1)OCCO2 ZINC000170872888 346465132 /nfs/dbraw/zinc/46/51/32/346465132.db2.gz UXXWBCWKRHXSHR-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN C[C@H](NCc1cnccn1)[C@@H]1CC2CCC1CC2 ZINC000382039351 347093801 /nfs/dbraw/zinc/09/38/01/347093801.db2.gz DCKKABBVKVKXJE-CLTVBZQCSA-N 0 3 245.370 2.781 20 0 BFADHN Cc1cccc(CNC[C@H](C)C[C@@H](C)O)c1F ZINC000295757611 179094763 /nfs/dbraw/zinc/09/47/63/179094763.db2.gz PYFBYRNQUXHGCQ-ZYHUDNBSSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1c([C@H](C)NCc2cccc(C)c2)cnn1C ZINC000020349436 179098925 /nfs/dbraw/zinc/09/89/25/179098925.db2.gz DKDOPDCDPVDGOM-LBPRGKRZSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cccc(CN[C@H](C)CC(C)(C)O)c1F ZINC000295028584 179099254 /nfs/dbraw/zinc/09/92/54/179099254.db2.gz LKLMABYTAIYMNK-LLVKDONJSA-N 0 3 239.334 2.773 20 0 BFADHN CC1(C)[C@H](O)CCN1C/C=C\c1ccccc1 ZINC000380031039 365816277 /nfs/dbraw/zinc/81/62/77/365816277.db2.gz OYMCKGRQIGGGLC-DEBLGDTFSA-N 0 3 231.339 2.545 20 0 BFADHN C[C@H]1SCCN(CCOCC2CCC2)[C@@H]1C ZINC000419204877 192104256 /nfs/dbraw/zinc/10/42/56/192104256.db2.gz JRCRBAPCOZPZNF-VXGBXAGGSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1cccc(CN[C@H](C)Cc2ccco2)n1 ZINC000062772114 179100135 /nfs/dbraw/zinc/10/01/35/179100135.db2.gz SDHAEENLDKZSRM-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN Cc1cccc(CN[C@H](C)Cn2cccn2)c1F ZINC000296238011 179100426 /nfs/dbraw/zinc/10/04/26/179100426.db2.gz CNQIJVQDUXYOBG-GFCCVEGCSA-N 0 3 247.317 2.509 20 0 BFADHN Cc1cccc(CN[C@H]2CCO[C@H](C)C2)c1F ZINC000295769997 179101691 /nfs/dbraw/zinc/10/16/91/179101691.db2.gz QTTLMCLTQNUGKC-YPMHNXCESA-N 0 3 237.318 2.791 20 0 BFADHN CCc1ccc(CN2CCCCC2)nc1 ZINC000201022780 346491079 /nfs/dbraw/zinc/49/10/79/346491079.db2.gz QRJPPIGJDSPOSB-UHFFFAOYSA-N 0 3 204.317 2.630 20 0 BFADHN COCC1(N[C@H]2CCCc3c(O)cccc32)CC1 ZINC000577118616 365823560 /nfs/dbraw/zinc/82/35/60/365823560.db2.gz GANNMPKIWFGFIU-ZDUSSCGKSA-N 0 3 247.338 2.538 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCC(CO)CC1 ZINC000056493764 346559135 /nfs/dbraw/zinc/55/91/35/346559135.db2.gz OEUYDMMCCSNDRQ-NSHDSACASA-N 0 3 237.318 2.591 20 0 BFADHN Cc1cc(CN2CC[C@@H](O)[C@H](C)C2)c(C)s1 ZINC000186857165 346542827 /nfs/dbraw/zinc/54/28/27/346542827.db2.gz RYGDNXSJUCYTDC-NOZJJQNGSA-N 0 3 239.384 2.568 20 0 BFADHN C[C@H](CNCc1cc[nH]n1)c1cccc(Cl)c1 ZINC000187642585 346594305 /nfs/dbraw/zinc/59/43/05/346594305.db2.gz NZIZKRBOHWHCKC-SNVBAGLBSA-N 0 3 249.745 2.956 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1nc2ccccc2[nH]1 ZINC000192834731 365838913 /nfs/dbraw/zinc/83/89/13/365838913.db2.gz XYPTYNBXLHSGFD-JTQLQIEISA-N 0 3 229.327 2.793 20 0 BFADHN COC[C@@H](C)CNC(C)(C)c1nc(C)cs1 ZINC000187930608 346651845 /nfs/dbraw/zinc/65/18/45/346651845.db2.gz CKGFTFPLSYEWEC-VIFPVBQESA-N 0 3 242.388 2.559 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CCC[C@H](F)C1 ZINC000563626402 323132335 /nfs/dbraw/zinc/13/23/35/323132335.db2.gz YTKZAOTVXIJFRJ-SRVKXCTJSA-N 0 3 239.338 2.834 20 0 BFADHN c1cncc(CNCc2ccc3cccnc3c2)c1 ZINC000589633798 346720105 /nfs/dbraw/zinc/72/01/05/346720105.db2.gz KQRAJDZELDOQIU-UHFFFAOYSA-N 0 3 249.317 2.920 20 0 BFADHN c1cc(CNCc2ccc3[nH]cnc3c2)cs1 ZINC000589641195 346721347 /nfs/dbraw/zinc/72/13/47/346721347.db2.gz WXJMFNYXZRQVLP-UHFFFAOYSA-N 0 3 243.335 2.914 20 0 BFADHN c1cc(CNCc2ccc3nc[nH]c3c2)cs1 ZINC000589641195 346721350 /nfs/dbraw/zinc/72/13/50/346721350.db2.gz WXJMFNYXZRQVLP-UHFFFAOYSA-N 0 3 243.335 2.914 20 0 BFADHN C[C@@H](O)CN[C@@H](CC(F)(F)F)c1ccccc1 ZINC000037258118 346679713 /nfs/dbraw/zinc/67/97/13/346679713.db2.gz MTUFMFVPHIWWDY-KOLCDFICSA-N 0 3 247.260 2.651 20 0 BFADHN CCSCCNCc1ccc(Cl)o1 ZINC000308128612 346689763 /nfs/dbraw/zinc/68/97/63/346689763.db2.gz CIBXDLYBYNXVHB-UHFFFAOYSA-N 0 3 219.737 2.776 20 0 BFADHN CCCc1cccc(CN[C@@H]2CO[C@H](C)C2)c1 ZINC000577177356 365858919 /nfs/dbraw/zinc/85/89/19/365858919.db2.gz VPTCTLAJKHMACE-DOMZBBRYSA-N 0 3 233.355 2.906 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N[C@H]1CCn2ccnc21 ZINC000345349491 365858339 /nfs/dbraw/zinc/85/83/39/365858339.db2.gz MUXNXWQTUJCJSD-UPJWGTAASA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H](N[C@H]1CCC1(C)C)c1ccn(C)n1 ZINC000309624223 347123340 /nfs/dbraw/zinc/12/33/40/347123340.db2.gz FIVXBHGKXZHONT-PWSUYJOCSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H](C)c1cscn1 ZINC000387870307 347114348 /nfs/dbraw/zinc/11/43/48/347114348.db2.gz VMVHBPNMGPCPCA-BBBLOLIVSA-N 0 3 210.346 2.840 20 0 BFADHN CCc1nocc1CN[C@H]1CC[C@H](C)CC1 ZINC000378680604 346805603 /nfs/dbraw/zinc/80/56/03/346805603.db2.gz VPFPCELSLOMQJN-UMSPYCQHSA-N 0 3 222.332 2.905 20 0 BFADHN CCN(Cc1cnn(CC(C)C)c1)C(C)C ZINC000183025555 347116296 /nfs/dbraw/zinc/11/62/96/347116296.db2.gz RVIYWJNDAYKAPD-UHFFFAOYSA-N 0 3 223.364 2.769 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@@H](N)[C@@H](C)CC ZINC000228508047 349975544 /nfs/dbraw/zinc/97/55/44/349975544.db2.gz JLPUBIZZYPWJGI-XQQFMLRXSA-N 0 3 242.407 2.835 20 0 BFADHN Cc1nc(CNCC2(C)CCCC2)[nH]c1C ZINC000580330435 347191270 /nfs/dbraw/zinc/19/12/70/347191270.db2.gz KGYNVZNGCWQSLB-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CC[C@@H](N[C@H]1CCOC1)c1ccsc1 ZINC000382108635 347182102 /nfs/dbraw/zinc/18/21/02/347182102.db2.gz KJDQEICGHREVPL-WDEREUQCSA-N 0 3 211.330 2.578 20 0 BFADHN CC[C@@H](N[C@@H](C)CC(C)C)c1ccn(C)n1 ZINC000310000202 347215766 /nfs/dbraw/zinc/21/57/66/347215766.db2.gz DNZCDEYMFFXMND-NWDGAFQWSA-N 0 3 223.364 2.895 20 0 BFADHN Cc1ccnc([C@H](C)NCCOC2CCCC2)c1 ZINC000353387724 187372564 /nfs/dbraw/zinc/37/25/64/187372564.db2.gz OUXSFXYGTFQXDZ-ZDUSSCGKSA-N 0 3 248.370 3.000 20 0 BFADHN CC(C)C1(NCc2cnc(C3CC3)o2)CC1 ZINC000628296112 347231236 /nfs/dbraw/zinc/23/12/36/347231236.db2.gz WBTWPHSLPFKAOY-UHFFFAOYSA-N 0 3 220.316 2.830 20 0 BFADHN Cc1nc(C)c(CNCCCC(C)(F)F)o1 ZINC000628297052 347243409 /nfs/dbraw/zinc/24/34/09/347243409.db2.gz ICGYYYBLLCVPEZ-UHFFFAOYSA-N 0 3 232.274 2.816 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1cccc(O)c1)C1CC1 ZINC000388293335 347297031 /nfs/dbraw/zinc/29/70/31/347297031.db2.gz XTKFCHVUXFGPHV-RWSFTLGLSA-N 0 3 249.354 2.856 20 0 BFADHN CCCCOCCN[C@@H](C)c1cncs1 ZINC000119594862 347298062 /nfs/dbraw/zinc/29/80/62/347298062.db2.gz IFLWMNDDYAGBDK-JTQLQIEISA-N 0 3 228.361 2.610 20 0 BFADHN Cc1cccc([C@H](O)CN2CCCCC2)c1 ZINC000019784922 179202017 /nfs/dbraw/zinc/20/20/17/179202017.db2.gz LMJROOOGKZDQGR-CQSZACIVSA-N 0 3 219.328 2.514 20 0 BFADHN COc1cccc(CN[C@H](C)[C@H](OC)C2CC2)c1 ZINC000388261634 347275787 /nfs/dbraw/zinc/27/57/87/347275787.db2.gz ALKBNBRTJIUONC-ABAIWWIYSA-N 0 3 249.354 2.598 20 0 BFADHN CO[C@H]([C@@H](C)NCc1ccccc1F)C1CC1 ZINC000388261904 347275817 /nfs/dbraw/zinc/27/58/17/347275817.db2.gz BLQXLMOFJRPKBY-QMTHXVAHSA-N 0 3 237.318 2.729 20 0 BFADHN COc1cccc(CN[C@H](C)[C@@H](OC)C2CC2)c1 ZINC000388261635 347275866 /nfs/dbraw/zinc/27/58/66/347275866.db2.gz ALKBNBRTJIUONC-IAQYHMDHSA-N 0 3 249.354 2.598 20 0 BFADHN CC[C@@H](F)CN[C@@H]1CCCC[C@H]1OC(F)F ZINC000382421051 347327982 /nfs/dbraw/zinc/32/79/82/347327982.db2.gz WVZKUWHXMXVXAS-OPRDCNLKSA-N 0 3 239.281 2.875 20 0 BFADHN Cc1cccc2c1CN(C[C@H]1CC[C@@H](C)O1)CC2 ZINC000277231091 179217194 /nfs/dbraw/zinc/21/71/94/179217194.db2.gz ORDJVVUBOFNIRK-UKRRQHHQSA-N 0 3 245.366 2.921 20 0 BFADHN CCN(Cc1cncc(C)c1)CC1CC1 ZINC000189887242 347313075 /nfs/dbraw/zinc/31/30/75/347313075.db2.gz NNCLFAFQYLWTKP-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CC[C@H]1CN(CCOCC2CCC2)CCS1 ZINC000419221914 192106186 /nfs/dbraw/zinc/10/61/86/192106186.db2.gz WDHWNIFLRTVLGA-ZDUSSCGKSA-N 0 3 243.416 2.631 20 0 BFADHN COCc1ccc(CN2CC=CCC2)cc1 ZINC000679360711 488346663 /nfs/dbraw/zinc/34/66/63/488346663.db2.gz VSJXVMSDWYGFDI-UHFFFAOYSA-N 0 3 217.312 2.595 20 0 BFADHN Cc1csc([C@H](C)N[C@H]2CS[C@H](C)C2)n1 ZINC000250875767 362825326 /nfs/dbraw/zinc/82/53/26/362825326.db2.gz PQENXSDKUBRXLT-KXUCPTDWSA-N 0 3 242.413 2.996 20 0 BFADHN Cc1cccc2nc(NCCN(C)C)sc21 ZINC000280129680 179231158 /nfs/dbraw/zinc/23/11/58/179231158.db2.gz XSDFQMYSQGXNRJ-UHFFFAOYSA-N 0 3 235.356 2.578 20 0 BFADHN Cc1cccc2ncnc(SCCN(C)C)c12 ZINC000301609058 179232782 /nfs/dbraw/zinc/23/27/82/179232782.db2.gz PEYZMGHVMUCAII-UHFFFAOYSA-N 0 3 247.367 2.592 20 0 BFADHN Cc1nc(C)c(CN(C)CC2CCCC2)o1 ZINC000684836654 488347625 /nfs/dbraw/zinc/34/76/25/488347625.db2.gz SOVHFEUDBJVGTM-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN CC[C@@H](NCc1cncn1C)c1cccs1 ZINC000082394616 347464822 /nfs/dbraw/zinc/46/48/22/347464822.db2.gz IMBRWIKNIQSPNY-LLVKDONJSA-N 0 3 235.356 2.723 20 0 BFADHN C[C@H](NC[C@@H]1CCCC1(F)F)c1ccnn1C ZINC000390611623 259395905 /nfs/dbraw/zinc/39/59/05/259395905.db2.gz WYPLZAVOFGBPMC-UWVGGRQHSA-N 0 3 243.301 2.506 20 0 BFADHN CC1(CNCc2ccon2)CCCCC1 ZINC000394623387 347478992 /nfs/dbraw/zinc/47/89/92/347478992.db2.gz YZUGLTZCYXELJZ-UHFFFAOYSA-N 0 3 208.305 2.735 20 0 BFADHN CCCC[C@H](C)C(=O)NC[C@H](N)c1ccccc1 ZINC000302355525 347522171 /nfs/dbraw/zinc/52/21/71/347522171.db2.gz KLVLFUVSUGBZBV-JSGCOSHPSA-N 0 3 248.370 2.629 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1c2cc(C)ccc2C[C@@H]1C ZINC000577248143 365898040 /nfs/dbraw/zinc/89/80/40/365898040.db2.gz TZLJZVPFDCXJJO-KSYCFECVSA-N 0 3 245.366 2.995 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@@H](C)NC1CC1 ZINC000383038793 347580918 /nfs/dbraw/zinc/58/09/18/347580918.db2.gz KOWJIMRXVAZKTM-VXGBXAGGSA-N 0 3 240.391 2.602 20 0 BFADHN Cc1ccccc1CCN(C)CC1=CCCOC1 ZINC000294671000 179263228 /nfs/dbraw/zinc/26/32/28/179263228.db2.gz ANAUMSNGCNQJJI-UHFFFAOYSA-N 0 3 245.366 2.816 20 0 BFADHN Cc1nocc1CN(C)CCc1ccccc1C ZINC000295137141 179263303 /nfs/dbraw/zinc/26/33/03/179263303.db2.gz PJQGVBFSQHMXIS-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN Fc1ccc(C2(NC[C@H]3CCCO3)CCC2)cc1 ZINC000591375301 347649959 /nfs/dbraw/zinc/64/99/59/347649959.db2.gz GKEGOSJMOICGCH-CQSZACIVSA-N 0 3 249.329 2.974 20 0 BFADHN Cc1ccccc1CN(C)C[C@H]1CCCCO1 ZINC000042977368 179269046 /nfs/dbraw/zinc/26/90/46/179269046.db2.gz GZVXJJJQKRTIRH-OAHLLOKOSA-N 0 3 233.355 2.996 20 0 BFADHN Cc1ccccc1CN(C)Cc1ccnc(N)c1 ZINC000052729187 179270018 /nfs/dbraw/zinc/27/00/18/179270018.db2.gz QQERCXPJXSAKPD-UHFFFAOYSA-N 0 3 241.338 2.604 20 0 BFADHN Cc1ccccc1CNC1CC(F)(F)C1 ZINC000309234138 179280814 /nfs/dbraw/zinc/28/08/14/179280814.db2.gz XGOCPIFCEUREGN-UHFFFAOYSA-N 0 3 211.255 2.882 20 0 BFADHN Cc1ccccc1CN1CCN(C)[C@H](C)[C@@H]1C ZINC000356979657 179273193 /nfs/dbraw/zinc/27/31/93/179273193.db2.gz DPFRSHZZIFHDNR-KGLIPLIRSA-N 0 3 232.371 2.519 20 0 BFADHN CC(C)N1CCCO[C@@H](c2ccccc2)C1 ZINC000591813125 347699954 /nfs/dbraw/zinc/69/99/54/347699954.db2.gz GWMJWSUMIDTBHC-CQSZACIVSA-N 0 3 219.328 2.858 20 0 BFADHN c1cnc2cc(CN[C@H]3CCCOC3)ccc2c1 ZINC000592291064 347765378 /nfs/dbraw/zinc/76/53/78/347765378.db2.gz OMAWVUGZTXUQTQ-AWEZNQCLSA-N 0 3 242.322 2.503 20 0 BFADHN COc1ccccc1[C@@H]1CCCCN1CCCO ZINC000592331484 347767788 /nfs/dbraw/zinc/76/77/88/347767788.db2.gz OMUYFSOXHLPMQX-AWEZNQCLSA-N 0 3 249.354 2.605 20 0 BFADHN Cc1ccccc1CNCc1cccn1C ZINC000049537497 179283604 /nfs/dbraw/zinc/28/36/04/179283604.db2.gz IKBPNDDTONHCAC-UHFFFAOYSA-N 0 3 214.312 2.623 20 0 BFADHN Cc1ccccc1CN[C@H]1CCC[C@H]1F ZINC000339590574 179284117 /nfs/dbraw/zinc/28/41/17/179284117.db2.gz QARSTQJTJDFQSJ-OLZOCXBDSA-N 0 3 207.292 2.975 20 0 BFADHN Cc1ccccc1CN[C@H]1COCC1(C)C ZINC000313082540 179284783 /nfs/dbraw/zinc/28/47/83/179284783.db2.gz NQTRTVGBJVVKFE-ZDUSSCGKSA-N 0 3 219.328 2.510 20 0 BFADHN CCCN1CCC(C)(C(=O)OC(C)(C)C)CC1 ZINC000592157638 347745936 /nfs/dbraw/zinc/74/59/36/347745936.db2.gz GZGDDXHWWVINPT-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN CCN(CCSC)CCc1csc(C)n1 ZINC000592203887 347755981 /nfs/dbraw/zinc/75/59/81/347755981.db2.gz PYUKAOQLCXEQAM-UHFFFAOYSA-N 0 3 244.429 2.679 20 0 BFADHN Cc1nc(C)c(CN2C[C@H](C3CC3)[C@H]2C2CC2)o1 ZINC000628300067 347815345 /nfs/dbraw/zinc/81/53/45/347815345.db2.gz MZBOPSXAFHRLEC-UKRRQHHQSA-N 0 3 246.354 2.912 20 0 BFADHN Cc1cc(CN[C@@H]2CCc3ccc(F)cc32)n[nH]1 ZINC000592462470 347784610 /nfs/dbraw/zinc/78/46/10/347784610.db2.gz MBLJNMUPTADYQT-CQSZACIVSA-N 0 3 245.301 2.634 20 0 BFADHN Fc1ccc2c(c1)OC[C@@H](NCc1ccco1)C2 ZINC000577296958 365921595 /nfs/dbraw/zinc/92/15/95/365921595.db2.gz UODWTKPIWQKWHF-LBPRGKRZSA-N 0 3 247.269 2.512 20 0 BFADHN Cc1cnn(C)c1CN[C@H](C)c1ccccc1C ZINC000285560206 179320771 /nfs/dbraw/zinc/32/07/71/179320771.db2.gz VISPHNYDJYEGHY-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1cccs1 ZINC000308625898 347928422 /nfs/dbraw/zinc/92/84/22/347928422.db2.gz WBJMZVYKNATYRG-LPEHRKFASA-N 0 3 211.330 2.576 20 0 BFADHN Cc1ccccc1[C@@H](C)NC[C@@H](O)C(F)(F)F ZINC000068879634 179321128 /nfs/dbraw/zinc/32/11/28/179321128.db2.gz DYRSICBUGGWFSB-MWLCHTKSSA-N 0 3 247.260 2.569 20 0 BFADHN C[C@H](N[C@H]1CC[C@H]1C)c1cn2ccccc2n1 ZINC000577388480 365942852 /nfs/dbraw/zinc/94/28/52/365942852.db2.gz KACDLZPWVTZVGM-WOPDTQHZSA-N 0 3 229.327 2.783 20 0 BFADHN c1cc2c(o1)CCCN(CCOCC1CCC1)C2 ZINC000419273586 192110512 /nfs/dbraw/zinc/11/05/12/192110512.db2.gz HYEGEEQKQBZLLL-UHFFFAOYSA-N 0 3 249.354 2.845 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1cc(Cl)cs1 ZINC000308611413 347909804 /nfs/dbraw/zinc/90/98/04/347909804.db2.gz QUYKEPJPTWJCRL-VHSXEESVSA-N 0 3 231.748 2.669 20 0 BFADHN Cc1ccccc1[C@@H](C)NC(=O)CN(C)C(C)C ZINC000070944361 179319049 /nfs/dbraw/zinc/31/90/49/179319049.db2.gz CNPMBOHRKYGYHO-CYBMUJFWSA-N 0 3 248.370 2.512 20 0 BFADHN CC[C@@H](CN1CCC=C(c2ccco2)C1)OC ZINC000419271157 192109915 /nfs/dbraw/zinc/10/99/15/192109915.db2.gz RZVKUFLRLVAATM-ZDUSSCGKSA-N 0 3 235.327 2.794 20 0 BFADHN Cc1ccccc1[C@@H](C)NCC1(C)OCCCO1 ZINC000190665324 179320383 /nfs/dbraw/zinc/32/03/83/179320383.db2.gz NXTNYLFFULVBKO-CYBMUJFWSA-N 0 3 249.354 2.799 20 0 BFADHN c1ccc(CCCN2CCO[C@H](C3CC3)C2)cc1 ZINC000362556946 187383420 /nfs/dbraw/zinc/38/34/20/187383420.db2.gz ALLFAXOHEZFLEA-INIZCTEOSA-N 0 3 245.366 2.730 20 0 BFADHN CSCCN1C[C@@H](C)OC2(CCCCC2)C1 ZINC000594057569 347996351 /nfs/dbraw/zinc/99/63/51/347996351.db2.gz HWZSSGPWVDYPKQ-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN CSCCN1C[C@H](C)OC2(CCCCC2)C1 ZINC000594057570 347996678 /nfs/dbraw/zinc/99/66/78/347996678.db2.gz HWZSSGPWVDYPKQ-LBPRGKRZSA-N 0 3 243.416 2.773 20 0 BFADHN Cc1cccc([C@H](C)N[C@H]2CO[C@H](C)C2)c1 ZINC000401820032 348020073 /nfs/dbraw/zinc/02/00/73/348020073.db2.gz JOVDHDAOUDCXOW-MBNYWOFBSA-N 0 3 219.328 2.823 20 0 BFADHN CC(C)(CNCC1(F)CC1)C(F)(F)F ZINC000308684739 348064878 /nfs/dbraw/zinc/06/48/78/348064878.db2.gz YYOVEKOXTQDMIC-UHFFFAOYSA-N 0 3 213.218 2.667 20 0 BFADHN CN(C)CCSC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000594890262 348123968 /nfs/dbraw/zinc/12/39/68/348123968.db2.gz AZZUOZCWMAALLT-GRYCIOLGSA-N 0 3 213.390 2.717 20 0 BFADHN CCSCCNCc1cccc(C)c1OC ZINC000594614167 348083452 /nfs/dbraw/zinc/08/34/52/348083452.db2.gz JAVXOBQLXQYEAM-UHFFFAOYSA-N 0 3 239.384 2.846 20 0 BFADHN CS[C@@H](CNCc1nc(C)c[nH]1)C(C)(C)C ZINC000594941932 348143693 /nfs/dbraw/zinc/14/36/93/348143693.db2.gz CNKLNDPPQOEBBE-JTQLQIEISA-N 0 3 241.404 2.585 20 0 BFADHN CSC[C@@H](C)NCc1ccc(F)c(F)c1F ZINC000594923835 348156453 /nfs/dbraw/zinc/15/64/53/348156453.db2.gz VUGDMMOFFQVWGT-SSDOTTSWSA-N 0 3 249.301 2.945 20 0 BFADHN C[C@@H](CSCCF)N[C@@H](C)c1ccccn1 ZINC000595009529 348159090 /nfs/dbraw/zinc/15/90/90/348159090.db2.gz XYSCPDCZKPVGDA-QWRGUYRKSA-N 0 3 242.363 2.823 20 0 BFADHN CCSC1(CNCc2ccc(C)cn2)CC1 ZINC000594986831 348166384 /nfs/dbraw/zinc/16/63/84/348166384.db2.gz LBGLCUXTKXKUNA-UHFFFAOYSA-N 0 3 236.384 2.765 20 0 BFADHN CC(C)=CCN1CCC(OCC2CC2)CC1 ZINC000374117806 187387504 /nfs/dbraw/zinc/38/75/04/187387504.db2.gz AUGTXOBCPSSDRF-UHFFFAOYSA-N 0 3 223.360 2.844 20 0 BFADHN c1nc(C2CC2)sc1CN[C@H]1CCSC1 ZINC000308836691 323179819 /nfs/dbraw/zinc/17/98/19/323179819.db2.gz IKXJQIJGJNKOJU-VIFPVBQESA-N 0 3 240.397 2.616 20 0 BFADHN CN(Cc1ccc(C#N)cc1)[C@@H]1COC(C)(C)C1 ZINC000396566664 348254547 /nfs/dbraw/zinc/25/45/47/348254547.db2.gz BGJOSAXERWBMMI-AWEZNQCLSA-N 0 3 244.338 2.558 20 0 BFADHN CC1=C(C)CN(CCOCC2CCC2)CC1 ZINC000419298500 192119480 /nfs/dbraw/zinc/11/94/80/192119480.db2.gz WLYORIUVSUOXOI-UHFFFAOYSA-N 0 3 223.360 2.845 20 0 BFADHN CC[C@H](CN(C)Cc1ccc(F)c(C)c1)OC ZINC000419308047 192120083 /nfs/dbraw/zinc/12/00/83/192120083.db2.gz OLIGHMKNOUBBMO-CYBMUJFWSA-N 0 3 239.334 2.991 20 0 BFADHN c1ccc2nc(CNCC3CCC3)cnc2c1 ZINC000037253667 348292788 /nfs/dbraw/zinc/29/27/88/348292788.db2.gz UVWVKEMFWHPYJS-UHFFFAOYSA-N 0 3 227.311 2.520 20 0 BFADHN CO[C@H](CC(C)C)CN1C[C@H](C)O[C@@H](C)[C@@H]1C ZINC000419261512 192116658 /nfs/dbraw/zinc/11/66/58/192116658.db2.gz TTWXNHBBXZHURQ-XDQVBPFNSA-N 0 3 243.391 2.545 20 0 BFADHN CC[C@@H](CN(C)Cc1ccc(F)c(C)c1)OC ZINC000419308049 192120464 /nfs/dbraw/zinc/12/04/64/192120464.db2.gz OLIGHMKNOUBBMO-ZDUSSCGKSA-N 0 3 239.334 2.991 20 0 BFADHN CC(C)[C@H](CO)NCc1ccsc1Cl ZINC000312626586 187392904 /nfs/dbraw/zinc/39/29/04/187392904.db2.gz OYXRQLZNRVAJNE-VIFPVBQESA-N 0 3 233.764 2.508 20 0 BFADHN CCCCN(CC)Cc1cncn1CC ZINC000417741508 323181904 /nfs/dbraw/zinc/18/19/04/323181904.db2.gz IYRHBYLQLOFOCV-UHFFFAOYSA-N 0 3 209.337 2.525 20 0 BFADHN Cc1cccnc1[C@@H]1CCC[C@H](NCCF)C1 ZINC000281670209 179397835 /nfs/dbraw/zinc/39/78/35/179397835.db2.gz QTNKFAZEBXWFAO-OLZOCXBDSA-N 0 3 236.334 2.975 20 0 BFADHN CN(C[C@H](O)C(F)(F)F)C1CCCCCC1 ZINC000082680145 348327736 /nfs/dbraw/zinc/32/77/36/348327736.db2.gz DMDDOSAXDRGXMT-JTQLQIEISA-N 0 3 239.281 2.564 20 0 BFADHN CCC(CC)CN(CC)C[C@@H](O)C(F)(F)F ZINC000082680206 348328208 /nfs/dbraw/zinc/32/82/08/348328208.db2.gz ZWYYTIOVMRWTFH-SNVBAGLBSA-N 0 3 241.297 2.668 20 0 BFADHN CC[C@](C)(CNCc1cnc(C)cc1C)OC ZINC000397485955 362888313 /nfs/dbraw/zinc/88/83/13/362888313.db2.gz ISYRUGXZADILOZ-CQSZACIVSA-N 0 3 236.359 2.603 20 0 BFADHN Cc1cc(C)c(CN[C@H]2CS[C@@H](C)C2)cn1 ZINC000397509125 362889921 /nfs/dbraw/zinc/88/99/21/362889921.db2.gz WIRQAWNFOYLAIB-WCQYABFASA-N 0 3 236.384 2.682 20 0 BFADHN CSCCCN1CC2(CCCC2)OC[C@H]1C ZINC000595816222 348343510 /nfs/dbraw/zinc/34/35/10/348343510.db2.gz UNWSPCXGJUXRAL-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN CCC[C@@H](NCc1ncc[nH]1)[C@H]1CC1(C)C ZINC000397564456 362893956 /nfs/dbraw/zinc/89/39/56/362893956.db2.gz BGFHIMBUKVXDJB-GHMZBOCLSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@@H](NCCCC(C)(C)C)c1ncc[nH]1 ZINC000309099343 349985960 /nfs/dbraw/zinc/98/59/60/349985960.db2.gz DZSOQWNUXNCTIQ-SNVBAGLBSA-N 0 3 209.337 2.887 20 0 BFADHN C[C@H]1[C@@H](C)N(CCn2cc(Cl)cn2)C[C@H]1C ZINC000419356495 192126887 /nfs/dbraw/zinc/12/68/87/192126887.db2.gz OHOALKXDKFQMPM-GMTAPVOTSA-N 0 3 241.766 2.513 20 0 BFADHN CC[C@@H](C)N1CCC(OC)(C(F)(F)F)CC1 ZINC000419362135 192127115 /nfs/dbraw/zinc/12/71/15/192127115.db2.gz YFBALKWVUPSKLO-SECBINFHSA-N 0 3 239.281 2.828 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@H](C)[C@H](C)[C@H]2C)cn1 ZINC000419355444 192126412 /nfs/dbraw/zinc/12/64/12/192126412.db2.gz NAGNMJVRMNWRIL-SYEHKZFSSA-N 0 3 233.359 2.822 20 0 BFADHN CC[C@H](F)CN1CCSC[C@H]1C1CCC1 ZINC000419373772 192128761 /nfs/dbraw/zinc/12/87/61/192128761.db2.gz NDYFRYAUVAPONZ-RYUDHWBXSA-N 0 3 231.380 2.952 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCCSC2)c1 ZINC000286111968 179468785 /nfs/dbraw/zinc/46/87/85/179468785.db2.gz NRYZVMDHJJFINU-NWDGAFQWSA-N 0 3 236.384 2.936 20 0 BFADHN Cc1ccoc1CNCCC(C)(F)F ZINC000294146284 179525606 /nfs/dbraw/zinc/52/56/06/179525606.db2.gz GZGIUEPFTWZYHR-UHFFFAOYSA-N 0 3 203.232 2.723 20 0 BFADHN CC1(C)C[C@@H]1NCc1nc(-c2ccccc2)c[nH]1 ZINC000419812863 192146136 /nfs/dbraw/zinc/14/61/36/192146136.db2.gz IGRWRQCZVAQFKZ-ZDUSSCGKSA-N 0 3 241.338 2.965 20 0 BFADHN Cc1ccoc1CN[C@@H]1CC[C@H]1C1CC1 ZINC000309166491 179529512 /nfs/dbraw/zinc/52/95/12/179529512.db2.gz JERZWDKYYWUZCY-NWDGAFQWSA-N 0 3 205.301 2.866 20 0 BFADHN Cc1ccsc1CNCc1c(C)nn(C)c1C ZINC000078358656 179549473 /nfs/dbraw/zinc/54/94/73/179549473.db2.gz AWAFXCCKXGFELN-UHFFFAOYSA-N 0 3 249.383 2.697 20 0 BFADHN Cc1ccn2cc(CNCC(C)(C)C)nc2c1 ZINC000596669626 348601773 /nfs/dbraw/zinc/60/17/73/348601773.db2.gz HYGNODGZAXVADN-UHFFFAOYSA-N 0 3 231.343 2.778 20 0 BFADHN COC(=O)c1oc(CNC2(C)CC=CC2)cc1C ZINC000597158016 348665888 /nfs/dbraw/zinc/66/58/88/348665888.db2.gz RREDFAKDIYLSGO-UHFFFAOYSA-N 0 3 249.310 2.573 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1ccc(F)cc1F ZINC000401925654 348739361 /nfs/dbraw/zinc/73/93/61/348739361.db2.gz RAQQUSOCFMXYON-KKZNHRDASA-N 0 3 241.281 2.793 20 0 BFADHN CCSCCNCc1cc(COC)cs1 ZINC000597208153 348679331 /nfs/dbraw/zinc/67/93/31/348679331.db2.gz CRWNVSSWJMDVOJ-UHFFFAOYSA-N 0 3 245.413 2.737 20 0 BFADHN CC[C@H](C)CCNCc1cc(C(=O)OC)co1 ZINC000597185453 348683183 /nfs/dbraw/zinc/68/31/83/348683183.db2.gz VIRZXOCIFISSGK-JTQLQIEISA-N 0 3 239.315 2.592 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@H]1CCc2ccc(O)cc21 ZINC000597214553 348689592 /nfs/dbraw/zinc/68/95/92/348689592.db2.gz WQLGPWQDIPZYFC-BFHYXJOUSA-N 0 3 249.379 2.863 20 0 BFADHN Cc1cncc(NC(=O)C(C)C(F)(F)F)c1 ZINC000335907438 179678266 /nfs/dbraw/zinc/67/82/66/179678266.db2.gz QEBDEFHFJYFLKG-ZETCQYMHSA-N 0 3 232.205 2.527 20 0 BFADHN CCOc1ccc([C@H](C)N[C@@H]2CO[C@H](C)C2)cc1 ZINC000401960412 348918606 /nfs/dbraw/zinc/91/86/06/348918606.db2.gz VMTISLLBGUUZJL-DYEKYZERSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1cncc([C@H](C)NC[C@H]2CCCS2)c1 ZINC000184386801 349073690 /nfs/dbraw/zinc/07/36/90/349073690.db2.gz DBSYLJWMYRWTPF-WCQYABFASA-N 0 3 236.384 2.936 20 0 BFADHN CCC1CCC(CNCc2cnccn2)CC1 ZINC000054766554 349078974 /nfs/dbraw/zinc/07/89/74/349078974.db2.gz STQHHHYLPABNQF-UHFFFAOYSA-N 0 3 233.359 2.783 20 0 BFADHN C[C@@H](NCCN1CCCC[C@@H]1C)c1ccoc1 ZINC000247103753 349104835 /nfs/dbraw/zinc/10/48/35/349104835.db2.gz QCQRWJGGILUATC-QWHCGFSZSA-N 0 3 236.359 2.805 20 0 BFADHN Cc1cc(C)c(CN[C@H]2CO[C@H](C)C2)cc1C ZINC000402011488 349147553 /nfs/dbraw/zinc/14/75/53/349147553.db2.gz BHOJPUCOSISNNH-UKRRQHHQSA-N 0 3 233.355 2.879 20 0 BFADHN COC1([C@H](C)NCc2cccc(O)c2)CCCC1 ZINC000421826904 192387311 /nfs/dbraw/zinc/38/73/11/192387311.db2.gz VJPBFIBMMQLWJE-LBPRGKRZSA-N 0 3 249.354 2.830 20 0 BFADHN C[C@@H]1CCCCN1CCC(=O)OC(C)(C)C ZINC000055058646 349128046 /nfs/dbraw/zinc/12/80/46/349128046.db2.gz LHOUMCTWNLVNCR-LLVKDONJSA-N 0 3 227.348 2.593 20 0 BFADHN Cc1ccc([C@@H](C)NCCCC2(O)CCC2)o1 ZINC000421861050 192396089 /nfs/dbraw/zinc/39/60/89/192396089.db2.gz SZOTXQKINFVDHO-GFCCVEGCSA-N 0 3 237.343 2.934 20 0 BFADHN CC(C)n1cc(CNC[C@@H]2CCCC23CC3)nn1 ZINC000623825994 349185172 /nfs/dbraw/zinc/18/51/72/349185172.db2.gz PLQIMPPOGVFRFO-LBPRGKRZSA-N 0 3 248.374 2.529 20 0 BFADHN CCOCC(C)(C)NCc1ccc(C#N)cc1C ZINC000386120188 362941896 /nfs/dbraw/zinc/94/18/96/362941896.db2.gz VXGVHKPNDIQMRM-UHFFFAOYSA-N 0 3 246.354 2.771 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1ccncc1Cl ZINC000421860126 192390671 /nfs/dbraw/zinc/39/06/71/192390671.db2.gz UEWDQHQXQJTXEG-UTLUCORTSA-N 0 3 242.750 2.809 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1ccncc1Cl ZINC000421838986 192392636 /nfs/dbraw/zinc/39/26/36/192392636.db2.gz WBSIRYMKSYILEL-VHSXEESVSA-N 0 3 242.750 2.811 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1ccncc1Cl ZINC000421844527 192393556 /nfs/dbraw/zinc/39/35/56/192393556.db2.gz UEWDQHQXQJTXEG-GUBZILKMSA-N 0 3 242.750 2.809 20 0 BFADHN CCSCCN1C[C@@H](C)C(F)(F)[C@@H](C)C1 ZINC000599514175 349204762 /nfs/dbraw/zinc/20/47/62/349204762.db2.gz VEAHHTQCMQLVPT-AOOOYVTPSA-N 0 3 237.359 2.963 20 0 BFADHN CC[C@H](C)N1Cc2ccccc2[C@@H](C(=O)OC)C1 ZINC000599664589 349247716 /nfs/dbraw/zinc/24/77/16/349247716.db2.gz DUWFPEWOWKXBRP-FZMZJTMJSA-N 0 3 247.338 2.557 20 0 BFADHN c1nc(C2CC2)oc1CNC[C@@H]1C[C@H]1C1CC1 ZINC000628302680 349249515 /nfs/dbraw/zinc/24/95/15/349249515.db2.gz NPURRNZVWNKHFO-AAEUAGOBSA-N 0 3 232.327 2.688 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2cnc(C)o2)C1 ZINC000628302822 349256821 /nfs/dbraw/zinc/25/68/21/349256821.db2.gz SXANEJKWYWFMBU-CMPLNLGQSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H]1C1CC1)c1cscn1 ZINC000308976209 349262098 /nfs/dbraw/zinc/26/20/98/349262098.db2.gz GSGYZCUERNKIJX-GDPRMGEGSA-N 0 3 222.357 2.982 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1ccncn1 ZINC000388615972 349264105 /nfs/dbraw/zinc/26/41/05/349264105.db2.gz ASQBQYWOQSAFKJ-WZRBSPASSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(C3CC3)cc2)CO1 ZINC000402053519 349278094 /nfs/dbraw/zinc/27/80/94/349278094.db2.gz QJJXAGQLQSNFHX-ABAIWWIYSA-N 0 3 231.339 2.831 20 0 BFADHN Cc1ccc([C@@H](C)NCc2ccc(=O)[nH]c2)cc1 ZINC000392713589 349370246 /nfs/dbraw/zinc/37/02/46/349370246.db2.gz YFYZSMGBNGNAPN-GFCCVEGCSA-N 0 3 242.322 2.946 20 0 BFADHN CC(C)[C@H](C)NC(=O)c1ccc(CN(C)C)cc1 ZINC000073041659 191005281 /nfs/dbraw/zinc/00/52/81/191005281.db2.gz MQCBRQXYFFPOAW-LBPRGKRZSA-N 0 3 248.370 2.523 20 0 BFADHN CCc1nnc([C@H](C)N2C[C@@H]3CCCC[C@@H]3C2)o1 ZINC000426771234 191006925 /nfs/dbraw/zinc/00/69/25/191006925.db2.gz ZZKDRUPBJZHIJD-SDDRHHMPSA-N 0 3 249.358 2.815 20 0 BFADHN CCc1nnc([C@@H](C)N2C[C@@H]3CCCC[C@@H]3C2)o1 ZINC000426771236 191006944 /nfs/dbraw/zinc/00/69/44/191006944.db2.gz ZZKDRUPBJZHIJD-UTUOFQBUSA-N 0 3 249.358 2.815 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@H](C)C2)cc1Cl ZINC000402239572 350082803 /nfs/dbraw/zinc/08/28/03/350082803.db2.gz XMHQBEHWSGEFBI-PWSUYJOCSA-N 0 3 239.746 2.915 20 0 BFADHN CCc1ncc(CN[C@H](C)CCC2CC2)o1 ZINC000449610214 201985635 /nfs/dbraw/zinc/98/56/35/201985635.db2.gz PSGJAMMNECRTBM-SNVBAGLBSA-N 0 3 222.332 2.905 20 0 BFADHN CC[C@H](NCCCCSC)c1nccn1C ZINC000132187320 191026279 /nfs/dbraw/zinc/02/62/79/191026279.db2.gz SPSHFHQRGJZOCA-NSHDSACASA-N 0 3 241.404 2.604 20 0 BFADHN Cc1nc([C@@H](C)NCC2CCOCC2)cs1 ZINC000082544369 180036494 /nfs/dbraw/zinc/03/64/94/180036494.db2.gz DYQITBMTGMBQOZ-SECBINFHSA-N 0 3 240.372 2.529 20 0 BFADHN CCC(CC)NCc1nccn1C(C)C ZINC000309166289 350114496 /nfs/dbraw/zinc/11/44/96/350114496.db2.gz JCOHMKBXZCLEFL-UHFFFAOYSA-N 0 3 209.337 2.742 20 0 BFADHN CCOC[C@H]1CCC[C@H]1NCc1occc1C ZINC000426333492 191020074 /nfs/dbraw/zinc/02/00/74/191020074.db2.gz HXLYAAVKTKKLAE-CHWSQXEVSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1nc([C@H](C)NC[C@@H]2C[C@@H]2C)cs1 ZINC000158854720 180049034 /nfs/dbraw/zinc/04/90/34/180049034.db2.gz ZFVPUPRARVMBMT-NRPADANISA-N 0 3 210.346 2.758 20 0 BFADHN Cc1nc([C@H](C)NC[C@@H](C)C[C@@H](C)O)cs1 ZINC000269396707 180049478 /nfs/dbraw/zinc/04/94/78/180049478.db2.gz FDLVPVVREFLZBR-AEJSXWLSSA-N 0 3 242.388 2.509 20 0 BFADHN Cc1nc([C@H](C)NC[C@@H]2CCCOC2)cs1 ZINC000038044783 180049591 /nfs/dbraw/zinc/04/95/91/180049591.db2.gz UPXRMLCCLSETGG-ONGXEEELSA-N 0 3 240.372 2.529 20 0 BFADHN Cc1nc([C@H](C)NC[C@H](C)C[C@H](C)O)cs1 ZINC000269396713 180049742 /nfs/dbraw/zinc/04/97/42/180049742.db2.gz FDLVPVVREFLZBR-UTLUCORTSA-N 0 3 242.388 2.509 20 0 BFADHN Cc1nc([C@H](C)N[C@H]2CCOC[C@@H]2C)cs1 ZINC000278465206 180050438 /nfs/dbraw/zinc/05/04/38/180050438.db2.gz JJJXTYYMRSKRGX-QXEWZRGKSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1nc([C@H](C)N[C@@H]2CCO[C@@H](C)C2)cs1 ZINC000222771833 180050737 /nfs/dbraw/zinc/05/07/37/180050737.db2.gz KINPAEMZKANWBK-ATZCPNFKSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1nc(SCCN2CCCCC2)[nH]c1C ZINC000292781491 180030827 /nfs/dbraw/zinc/03/08/27/180030827.db2.gz YMNXBJDHIMUTFQ-UHFFFAOYSA-N 0 3 239.388 2.605 20 0 BFADHN Cc1nc2c(s1)[C@@H](NC1CC1)CCC2 ZINC000132758021 180074930 /nfs/dbraw/zinc/07/49/30/180074930.db2.gz CIRUORAHNGNWPQ-JTQLQIEISA-N 0 3 208.330 2.581 20 0 BFADHN Cc1cc(CNC2CSC2)ccc1Cl ZINC000306741346 490342424 /nfs/dbraw/zinc/34/24/24/490342424.db2.gz DHRMHGMVKWLVNA-UHFFFAOYSA-N 0 3 227.760 2.853 20 0 BFADHN Fc1cc(F)c(CNCC2CCC2)cc1F ZINC000124236137 490336852 /nfs/dbraw/zinc/33/68/52/490336852.db2.gz YOKWGEBNHLRKGN-UHFFFAOYSA-N 0 3 229.245 2.994 20 0 BFADHN CCN(Cc1ccccn1)CC(C)(C)COC ZINC000426415367 191043903 /nfs/dbraw/zinc/04/39/03/191043903.db2.gz DBPVDIHQMMQDIU-UHFFFAOYSA-N 0 3 236.359 2.576 20 0 BFADHN Cc1nc2ccccc2nc1CN1CCCC1 ZINC000061745603 180103522 /nfs/dbraw/zinc/10/35/22/180103522.db2.gz SUQMXVCVMFXFTC-UHFFFAOYSA-N 0 3 227.311 2.534 20 0 BFADHN Cc1ncc(CN2CCS[C@H](C)CC2)s1 ZINC000192174644 180143287 /nfs/dbraw/zinc/14/32/87/180143287.db2.gz MITIJSXRDDDIRA-SECBINFHSA-N 0 3 242.413 2.779 20 0 BFADHN Cc1ncc(CN(C)CC2CCCCC2)cn1 ZINC000339035179 180136797 /nfs/dbraw/zinc/13/67/97/180136797.db2.gz GSFRFQSNZOPJCW-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCCC[C@H]2C)cn1 ZINC000335710215 180139783 /nfs/dbraw/zinc/13/97/83/180139783.db2.gz NGJCTKQOMLBOTO-BXUZGUMPSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ncc(CN([C@H](C)C(C)C)C2CC2)cn1 ZINC000339102476 180141389 /nfs/dbraw/zinc/14/13/89/180141389.db2.gz YFOGBLSHCHGKBQ-LLVKDONJSA-N 0 3 233.359 2.794 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCC(C)(C)C2)cn1 ZINC000336491182 180141481 /nfs/dbraw/zinc/14/14/81/180141481.db2.gz WINFGWDKBLRWBH-ZDUSSCGKSA-N 0 3 233.359 2.796 20 0 BFADHN CCOC1(C)CCN(Cc2cccc(C)n2)CC1 ZINC000428738923 191059573 /nfs/dbraw/zinc/05/95/73/191059573.db2.gz BVLJPYGNPCOMEP-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1ncc([C@@H](C)NCCC(C)(F)F)c(C)n1 ZINC000297321226 180172410 /nfs/dbraw/zinc/17/24/10/180172410.db2.gz NJQSQJBMYXOKBW-MRVPVSSYSA-N 0 3 243.301 2.789 20 0 BFADHN Cc1ncc([C@@H](C)NCCC(F)(F)F)c(C)n1 ZINC000358188021 180172911 /nfs/dbraw/zinc/17/29/11/180172911.db2.gz KHRBXVJTCFCMIP-SSDOTTSWSA-N 0 3 247.264 2.696 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)[C@H]2C)s1 ZINC000248357569 180145483 /nfs/dbraw/zinc/14/54/83/180145483.db2.gz PQGYCAMKEIJQCD-DTWKUNHWSA-N 0 3 210.346 2.682 20 0 BFADHN Cc1ncc(CN2C[C@H](C)[C@@H](C)C2)s1 ZINC000267670270 180146942 /nfs/dbraw/zinc/14/69/42/180146942.db2.gz OGCLRPFWTGSBKR-IUCAKERBSA-N 0 3 210.346 2.539 20 0 BFADHN C[C@H](c1ccccc1)[C@H](CO)NCc1ccco1 ZINC000604768352 350185746 /nfs/dbraw/zinc/18/57/46/350185746.db2.gz NVOBRAPOBXQYEL-DOMZBBRYSA-N 0 3 245.322 2.534 20 0 BFADHN CC(C)CN(Cc1n[nH]c(C(C)C)n1)C1CC1 ZINC000428456650 191074477 /nfs/dbraw/zinc/07/44/77/191074477.db2.gz KWNZRIIFIBIVLL-UHFFFAOYSA-N 0 3 236.363 2.549 20 0 BFADHN Cc1nccc(CN[C@H](C)CCC(C)C)n1 ZINC000038037486 180191381 /nfs/dbraw/zinc/19/13/81/180191381.db2.gz VXIDQNSBSUXYQQ-LLVKDONJSA-N 0 3 221.348 2.699 20 0 BFADHN CN(CC[C@H]1CCCO1)Cc1ccsc1 ZINC000343500252 490382640 /nfs/dbraw/zinc/38/26/40/490382640.db2.gz VNRORAXBKKKSIK-GFCCVEGCSA-N 0 3 225.357 2.749 20 0 BFADHN Cc1nccc(CNC2CCCCCCC2)n1 ZINC000037997811 180187440 /nfs/dbraw/zinc/18/74/40/180187440.db2.gz YLLJSDDTXQDGNZ-UHFFFAOYSA-N 0 3 233.359 2.988 20 0 BFADHN Cc1cc(N[C@H]2CO[C@H](C)C2)c2ccccc2n1 ZINC000402378515 350227992 /nfs/dbraw/zinc/22/79/92/350227992.db2.gz KUBYRMNYVKVWJD-VXGBXAGGSA-N 0 3 242.322 2.554 20 0 BFADHN Cc1cccc(NC(=O)[C@@H](C)N(C)CC(C)C)c1 ZINC000068334940 350230240 /nfs/dbraw/zinc/23/02/40/350230240.db2.gz SIVRJQLDOLAUAT-CYBMUJFWSA-N 0 3 248.370 2.910 20 0 BFADHN CCn1cncc1CN(C)[C@H](C)C1(C)CC1 ZINC000417799958 490393525 /nfs/dbraw/zinc/39/35/25/490393525.db2.gz SBUIBXYNRBTWKS-LLVKDONJSA-N 0 3 221.348 2.523 20 0 BFADHN Cc1csc([C@H](C)NCC[C@H]2CCCO2)n1 ZINC000083526824 350234655 /nfs/dbraw/zinc/23/46/55/350234655.db2.gz PMSTZUPMEHZKIT-WDEREUQCSA-N 0 3 240.372 2.671 20 0 BFADHN Cc1nccn1CCCN(C)[C@@H](C)c1ccco1 ZINC000270627315 180234584 /nfs/dbraw/zinc/23/45/84/180234584.db2.gz LBGIJHWJCBLDHD-LBPRGKRZSA-N 0 3 247.342 2.868 20 0 BFADHN CCN1CCC(Nc2nc(C)c(C)s2)CC1 ZINC000083532757 350240330 /nfs/dbraw/zinc/24/03/30/350240330.db2.gz XHDJBBNJYPFNIE-UHFFFAOYSA-N 0 3 239.388 2.656 20 0 BFADHN Cc1nccn1CCCN1Cc2ccccc2C1 ZINC000270643438 180238618 /nfs/dbraw/zinc/23/86/18/180238618.db2.gz NNQPMMVPOQKKJF-UHFFFAOYSA-N 0 3 241.338 2.597 20 0 BFADHN CN(CCCO)Cc1ccc2ccccc2c1 ZINC000049967814 350268686 /nfs/dbraw/zinc/26/86/86/350268686.db2.gz MUAIFDJSRAYRBH-UHFFFAOYSA-N 0 3 229.323 2.654 20 0 BFADHN Clc1ccccc1CNC[C@H]1CCCOC1 ZINC000038043670 350302547 /nfs/dbraw/zinc/30/25/47/350302547.db2.gz JNMMTRJMWQKRKH-LLVKDONJSA-N 0 3 239.746 2.856 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCc1ccccc1 ZINC000275750652 180279380 /nfs/dbraw/zinc/27/93/80/180279380.db2.gz SNCRHIDLTQVJPG-GFCCVEGCSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCc1ccsc1 ZINC000187727804 180280164 /nfs/dbraw/zinc/28/01/64/180280164.db2.gz DNLVUBCQBKXUMZ-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1nccnc1[C@H](C)NC1CCCCC1 ZINC000187684561 180281027 /nfs/dbraw/zinc/28/10/27/180281027.db2.gz KYJVUBIUPHKAQA-NSHDSACASA-N 0 3 219.332 2.768 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@H]1CCC1(C)C ZINC000287258286 180282009 /nfs/dbraw/zinc/28/20/09/180282009.db2.gz ZXWNMILLSXVXTN-MNOVXSKESA-N 0 3 219.332 2.624 20 0 BFADHN OCCC1CCN(C/C=C/c2ccccc2)CC1 ZINC000038954380 350324869 /nfs/dbraw/zinc/32/48/69/350324869.db2.gz FDUUOSDRECIZQC-QPJJXVBHSA-N 0 3 245.366 2.794 20 0 BFADHN Cc1ncsc1CCN[C@@H](C)c1cccnc1 ZINC000050284807 180311664 /nfs/dbraw/zinc/31/16/64/180311664.db2.gz LGMYGFNFWAEUCE-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN Cc1ncsc1CN(C)CCc1ccncc1 ZINC000066564162 180312456 /nfs/dbraw/zinc/31/24/56/180312456.db2.gz IHZPORUVIFFNCE-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN C[C@@H]1c2ccc(F)cc2CCN1C[C@H]1CCOC1 ZINC000606448594 350338209 /nfs/dbraw/zinc/33/82/09/350338209.db2.gz BSMQIFFSUBEYAM-VXGBXAGGSA-N 0 3 249.329 2.781 20 0 BFADHN C[C@H]1c2ccc(F)cc2CCN1C[C@@H]1CCOC1 ZINC000606448593 350338497 /nfs/dbraw/zinc/33/84/97/350338497.db2.gz BSMQIFFSUBEYAM-RYUDHWBXSA-N 0 3 249.329 2.781 20 0 BFADHN Cc1nc([C@H](C)NCCCF)c(C)s1 ZINC000130966644 490466481 /nfs/dbraw/zinc/46/64/81/490466481.db2.gz DQQPYPJVTPAQDU-ZETCQYMHSA-N 0 3 216.325 2.770 20 0 BFADHN COc1cccc(CN(C)[C@@H]2CC2(C)C)c1OC ZINC000426537024 191097309 /nfs/dbraw/zinc/09/73/09/191097309.db2.gz DKYQHOUFKBKIHX-CYBMUJFWSA-N 0 3 249.354 2.934 20 0 BFADHN C[C@@H](CC(C)(C)C)N[C@H]1CCn2ccnc21 ZINC000384524061 350368771 /nfs/dbraw/zinc/36/87/71/350368771.db2.gz KVBKPXHALHREMU-QWRGUYRKSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cccn2c(CN(C)[C@H]3CC3(C)C)cnc12 ZINC000426552710 191097561 /nfs/dbraw/zinc/09/75/61/191097561.db2.gz LVMHKLOALNABJX-ZDUSSCGKSA-N 0 3 243.354 2.873 20 0 BFADHN CCO[C@H]1CCCN([C@@H](C)c2ccncc2)C1 ZINC000606438598 350333873 /nfs/dbraw/zinc/33/38/73/350333873.db2.gz BQBDCZRAZBKGIV-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN Cc1ncsc1CNCCOCCC(C)C ZINC000084149363 180321027 /nfs/dbraw/zinc/32/10/27/180321027.db2.gz RLNFIBMNLKGVCK-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ccc2c(c1)COC2 ZINC000427888190 191100365 /nfs/dbraw/zinc/10/03/65/191100365.db2.gz SFFQKSAIAHQTAW-NWDGAFQWSA-N 0 3 249.354 2.792 20 0 BFADHN Cc1nn(C)c(Cl)c1CN1CC[C@H](C)[C@@H]1C ZINC000177909884 180349306 /nfs/dbraw/zinc/34/93/06/180349306.db2.gz YDGLMMNACVMZNN-WPRPVWTQSA-N 0 3 241.766 2.612 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@H]1CCC[C@H]1C ZINC000162624718 180351969 /nfs/dbraw/zinc/35/19/69/180351969.db2.gz CWFYLNXMNXFEKF-KCJUWKMLSA-N 0 3 241.766 2.660 20 0 BFADHN Cc1nn(C)c(Cl)c1CNC1(C)CCCC1 ZINC000162510409 180352045 /nfs/dbraw/zinc/35/20/45/180352045.db2.gz SOEADQFVIMJQSS-UHFFFAOYSA-N 0 3 241.766 2.804 20 0 BFADHN CCN(Cc1cccc(C)n1)CC1CC1 ZINC000336642965 350413211 /nfs/dbraw/zinc/41/32/11/350413211.db2.gz BDHRCYVOVBPMGA-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CCOC1CC(NCc2ccccc2Cl)C1 ZINC000162773002 350423983 /nfs/dbraw/zinc/42/39/83/350423983.db2.gz JSOINOVQNIYLNK-UHFFFAOYSA-N 0 3 239.746 2.997 20 0 BFADHN CCC[C@@](C)(O)CN[C@@H](C)c1cnc(C)s1 ZINC000126975142 490530429 /nfs/dbraw/zinc/53/04/29/490530429.db2.gz GLRWUNHRQPMYTG-JOYOIKCWSA-N 0 3 242.388 2.653 20 0 BFADHN Cc1nn(C)cc1CN1CC(C)(C)[C@@H]1C(C)C ZINC000336334356 180373115 /nfs/dbraw/zinc/37/31/15/180373115.db2.gz ZQZZKQCDPFCGSV-ZDUSSCGKSA-N 0 3 235.375 2.595 20 0 BFADHN Cc1nn(C)cc1CN1CC(C)(C)[C@H]1C(C)C ZINC000336334355 180373745 /nfs/dbraw/zinc/37/37/45/180373745.db2.gz ZQZZKQCDPFCGSV-CYBMUJFWSA-N 0 3 235.375 2.595 20 0 BFADHN Cc1nn(C)cc1[C@H]1CCCN1[C@H]1C=CCCC1 ZINC000362282944 180382306 /nfs/dbraw/zinc/38/23/06/180382306.db2.gz LHYREBSBAOCLKL-DZGCQCFKSA-N 0 3 245.370 2.974 20 0 BFADHN CCOC[C@H](C)NCc1cc(F)ccc1C ZINC000163239181 350510330 /nfs/dbraw/zinc/51/03/30/350510330.db2.gz FUVZDLHKPNCTSJ-NSHDSACASA-N 0 3 225.307 2.649 20 0 BFADHN C[C@@H](NC[C@H](N)c1ccccc1)c1ccoc1 ZINC000577482245 365963819 /nfs/dbraw/zinc/96/38/19/365963819.db2.gz VEUUMHYPFVCNDW-RISCZKNCSA-N 0 3 230.311 2.630 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CC[C@@H](C(C)C)C1 ZINC000228672806 323366347 /nfs/dbraw/zinc/36/63/47/323366347.db2.gz LTIHZYIDPDRGTE-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1noc(C)c1CNCCCCOC(C)C ZINC000228566175 350546868 /nfs/dbraw/zinc/54/68/68/350546868.db2.gz GQNPBGYLARCQFD-UHFFFAOYSA-N 0 3 240.347 2.586 20 0 BFADHN CCN(Cc1cnn(C)c1)C[C@@H](C)CC(C)C ZINC000337126361 350558566 /nfs/dbraw/zinc/55/85/66/350558566.db2.gz FXAYQLRYTIBHSI-ZDUSSCGKSA-N 0 3 237.391 2.924 20 0 BFADHN COc1cc(CN[C@H](C)C(C)(C)C)sn1 ZINC000399036224 490655783 /nfs/dbraw/zinc/65/57/83/490655783.db2.gz ANAXIIHQFAZREW-MRVPVSSYSA-N 0 3 228.361 2.676 20 0 BFADHN CC[C@@H](N[C@@H]1CCCOC1)c1ccccc1OC ZINC000112794157 350673812 /nfs/dbraw/zinc/67/38/12/350673812.db2.gz BOSZUXQVYLTGJY-TZMCWYRMSA-N 0 3 249.354 2.915 20 0 BFADHN c1cc2ccc(CNCCOCC3CC3)cc2[nH]1 ZINC000232482473 350660292 /nfs/dbraw/zinc/66/02/92/350660292.db2.gz PQBYPYZOVYEOQD-UHFFFAOYSA-N 0 3 244.338 2.684 20 0 BFADHN CN(Cc1cnc2ccccn12)C1CCCC1 ZINC000177259654 365989316 /nfs/dbraw/zinc/98/93/16/365989316.db2.gz ZJISSQOXVKEAAR-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC1(F)F)c1ccncn1 ZINC000390849301 259416485 /nfs/dbraw/zinc/41/64/85/259416485.db2.gz GZJQREAPOGXBSU-ZJUUUORDSA-N 0 3 241.285 2.563 20 0 BFADHN CC1(CNCc2cc(F)c(F)c(F)c2)CC1 ZINC000224177170 535150853 /nfs/dbraw/zinc/15/08/53/535150853.db2.gz LSQYUMRHJVCTIS-UHFFFAOYSA-N 0 3 229.245 2.994 20 0 BFADHN COC[C@H](C)NCc1ccc(C(C)(F)F)cc1 ZINC000339419044 350755743 /nfs/dbraw/zinc/75/57/43/350755743.db2.gz SDVRRZISPDSDCS-JTQLQIEISA-N 0 3 243.297 2.923 20 0 BFADHN CC[C@@H](O)CN[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000339065749 350730756 /nfs/dbraw/zinc/73/07/56/350730756.db2.gz GRVDDCZLIBUSPV-IONNQARKSA-N 0 3 247.260 2.525 20 0 BFADHN CC[C@H](NCc1conc1C)C1CCC1 ZINC000397987685 362981282 /nfs/dbraw/zinc/98/12/82/362981282.db2.gz KZNYCKJKBLLLQX-LBPRGKRZSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1nocc1CN[C@@H]1C[C@H]1Cc1ccccc1 ZINC000293660022 180508746 /nfs/dbraw/zinc/50/87/46/180508746.db2.gz TXNXIOIHEQDODE-UKRRQHHQSA-N 0 3 242.322 2.704 20 0 BFADHN Cc1cc(CN2CCSC[C@H]2C2CC2)ccn1 ZINC000621299392 350766534 /nfs/dbraw/zinc/76/65/34/350766534.db2.gz BLGQCCWHUCQQRC-AWEZNQCLSA-N 0 3 248.395 2.717 20 0 BFADHN Cc1cscc1CN[C@@H]1C[C@@H](C)O[C@H]1C ZINC000385139623 350774260 /nfs/dbraw/zinc/77/42/60/350774260.db2.gz LXBPRVQJNLEINK-JFGNBEQYSA-N 0 3 225.357 2.712 20 0 BFADHN CCC[C@H](NCc1nc(C)c[nH]1)C1CCC1 ZINC000628309113 350785128 /nfs/dbraw/zinc/78/51/28/350785128.db2.gz AMTCBCNMZQYNGM-LBPRGKRZSA-N 0 3 221.348 2.777 20 0 BFADHN Cc1nc(C)c(CN[C@@]2(C)CC=CCC2)o1 ZINC000628309456 350786164 /nfs/dbraw/zinc/78/61/64/350786164.db2.gz WMLLVMOUHQMJOO-ZDUSSCGKSA-N 0 3 220.316 2.880 20 0 BFADHN Cc1ncc(CNC[C@H]2CCC[C@@H]2C2CC2)o1 ZINC000628310226 350788134 /nfs/dbraw/zinc/78/81/34/350788134.db2.gz SDDLEIJQBSDIQU-TZMCWYRMSA-N 0 3 234.343 2.899 20 0 BFADHN CCN(Cc1cnc(C)o1)C1CC(C)(C)C1 ZINC000628312139 350813063 /nfs/dbraw/zinc/81/30/63/350813063.db2.gz QBHQNUZVFXQQLZ-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@H](N[C@H](C)COC)c1ccc(F)cc1 ZINC000019904763 350840188 /nfs/dbraw/zinc/84/01/88/350840188.db2.gz DIKXFAUPSFEVAP-MFKMUULPSA-N 0 3 225.307 2.901 20 0 BFADHN Cc1ccc(CNCCCCn2ccnc2C)o1 ZINC000174454040 191124704 /nfs/dbraw/zinc/12/47/04/191124704.db2.gz SPFXFPUQQBUUMF-UHFFFAOYSA-N 0 3 247.342 2.663 20 0 BFADHN CC1(C)CCC[C@@H]1CNCc1ccc(CO)o1 ZINC000293523751 350899529 /nfs/dbraw/zinc/89/95/29/350899529.db2.gz WHMVQEGNAAHKTN-LLVKDONJSA-N 0 3 237.343 2.688 20 0 BFADHN CSCc1ccc(CNC2CSC2)cc1 ZINC000389857980 350940282 /nfs/dbraw/zinc/94/02/82/350940282.db2.gz BUZJFLWMKLXQAF-UHFFFAOYSA-N 0 3 239.409 2.755 20 0 BFADHN C/C=C\CNCC1=Cc2ccccc2OC1 ZINC000623738884 350882113 /nfs/dbraw/zinc/88/21/13/350882113.db2.gz AKRBGWQADIPXTP-IHWYPQMZSA-N 0 3 215.296 2.628 20 0 BFADHN CCc1nc(C)c(CNCC(C)(C)C)o1 ZINC000291786382 350958882 /nfs/dbraw/zinc/95/88/82/350958882.db2.gz PEXSOUBFFCYUST-UHFFFAOYSA-N 0 3 210.321 2.681 20 0 BFADHN C[C@H]1CSC[C@@H]1Nc1ccnc2ccccc21 ZINC000397951323 350994735 /nfs/dbraw/zinc/99/47/35/350994735.db2.gz ZRKSSYMUYOREPO-HZMBPMFUSA-N 0 3 244.363 2.820 20 0 BFADHN c1nn2c(c1CN[C@H]1CC13CCCCC3)CCC2 ZINC000623568853 362996109 /nfs/dbraw/zinc/99/61/09/362996109.db2.gz LYGUSYTVPMYOMW-AWEZNQCLSA-N 0 3 245.370 2.642 20 0 BFADHN CCc1ncc(CN[C@@H]2CC23CCCCC3)cn1 ZINC000623568905 362996198 /nfs/dbraw/zinc/99/61/98/362996198.db2.gz NVUILJSFFSUWIX-CYBMUJFWSA-N 0 3 245.370 2.851 20 0 BFADHN Cc1cccc(NC(=O)CN2C[C@@H](C)C[C@@H]2C)c1 ZINC000607154237 351030215 /nfs/dbraw/zinc/03/02/15/351030215.db2.gz GYVHSTDMAPJBQM-STQMWFEESA-N 0 3 246.354 2.664 20 0 BFADHN Cc1sccc1CN[C@@H]1CCSC1 ZINC000293544038 180565397 /nfs/dbraw/zinc/56/53/97/180565397.db2.gz KLAXLOXHRCNOPI-SNVBAGLBSA-N 0 3 213.371 2.652 20 0 BFADHN Cc1ncc(CNC[C@@H]2CC3CCC2CC3)o1 ZINC000628321734 351183436 /nfs/dbraw/zinc/18/34/36/351183436.db2.gz ZLQSQKKZXJPUAB-BPCQOVAHSA-N 0 3 234.343 2.899 20 0 BFADHN Cc1ncc(CNC[C@H]2CC3CCC2CC3)o1 ZINC000628321735 351183607 /nfs/dbraw/zinc/18/36/07/351183607.db2.gz ZLQSQKKZXJPUAB-WXRRBKDZSA-N 0 3 234.343 2.899 20 0 BFADHN Cc1nc(C)c(CNC[C@H](C)CC(F)F)o1 ZINC000628321473 351183858 /nfs/dbraw/zinc/18/38/58/351183858.db2.gz JQUVAWQAAPDZDZ-SSDOTTSWSA-N 0 3 232.274 2.672 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](c1cccnc1)C(C)C ZINC000571626760 351127881 /nfs/dbraw/zinc/12/78/81/351127881.db2.gz CEMZYLRCKPXGRA-MJBXVCDLSA-N 0 3 234.343 2.546 20 0 BFADHN CN(Cc1cnc(C2CC2)o1)C(C)(C)C ZINC000628322750 351211750 /nfs/dbraw/zinc/21/17/50/351211750.db2.gz KKXXAXACMNMTBI-UHFFFAOYSA-N 0 3 208.305 2.782 20 0 BFADHN C[C@@H](N[C@@H]1CCCC[C@H]1F)[C@H]1CCCCO1 ZINC000624001191 363022481 /nfs/dbraw/zinc/02/24/81/363022481.db2.gz YTGXCQPBKARJMG-FDYHWXHSSA-N 0 3 229.339 2.814 20 0 BFADHN Fc1cnccc1CNC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000623589342 363023134 /nfs/dbraw/zinc/02/31/34/363023134.db2.gz HIBSVJHMOARBHO-WZRBSPASSA-N 0 3 234.318 2.747 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1cnc(C)s1 ZINC000379996898 351308667 /nfs/dbraw/zinc/30/86/67/351308667.db2.gz JZYMYZAKVQDEPY-IWSPIJDZSA-N 0 3 228.361 2.526 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000379996897 351308859 /nfs/dbraw/zinc/30/88/59/351308859.db2.gz JZYMYZAKVQDEPY-HRDYMLBCSA-N 0 3 228.361 2.526 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2C(C)(C)C2(F)F)o1 ZINC000628350029 351309385 /nfs/dbraw/zinc/30/93/85/351309385.db2.gz VXZQIEBHVNCCEV-SNVBAGLBSA-N 0 3 244.285 2.672 20 0 BFADHN Cc1ncc(CN(CCC2CC2)CC2CC2)o1 ZINC000628352423 351315378 /nfs/dbraw/zinc/31/53/78/351315378.db2.gz IJVMLTUYHGHKBS-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN Cn1nc2c(c1CN[C@]1(C)CC1(C)C)CCCC2 ZINC000631176316 351317412 /nfs/dbraw/zinc/31/74/12/351317412.db2.gz AAYDXZBBGCQXAZ-OAHLLOKOSA-N 0 3 247.386 2.577 20 0 BFADHN CC(C)Cc1ccccc1CNCc1cn[nH]c1 ZINC000623586726 363020902 /nfs/dbraw/zinc/02/09/02/363020902.db2.gz JCHGEJZOIPRZGW-UHFFFAOYSA-N 0 3 243.354 2.898 20 0 BFADHN Cc1nc(C)c(CNC[C@@H](C)c2ccccn2)o1 ZINC000628336149 351279331 /nfs/dbraw/zinc/27/93/31/351279331.db2.gz AZSCHEGSQLRBCA-SNVBAGLBSA-N 0 3 245.326 2.580 20 0 BFADHN CC[C@@H](O)CN1Cc2ccccc2C(C)(C)C1 ZINC000270383505 351378914 /nfs/dbraw/zinc/37/89/14/351378914.db2.gz QFXMTFOIKBKKAE-CYBMUJFWSA-N 0 3 233.355 2.551 20 0 BFADHN Cc1cc(CNC[C@H]2CC[C@H](C)C2)on1 ZINC000380062825 351338887 /nfs/dbraw/zinc/33/88/87/351338887.db2.gz HGKLOMPGTGATJI-ONGXEEELSA-N 0 3 208.305 2.509 20 0 BFADHN C[C@H](NCc1ccc(O)cc1)c1cccnc1 ZINC000020127432 363027049 /nfs/dbraw/zinc/02/70/49/363027049.db2.gz NKMZLRPTOJHARW-NSHDSACASA-N 0 3 228.295 2.638 20 0 BFADHN Cc1ccc([C@H](C)NCCCn2ccnc2)o1 ZINC000034958078 351364108 /nfs/dbraw/zinc/36/41/08/351364108.db2.gz BVMYKYMLFISNOX-LBPRGKRZSA-N 0 3 233.315 2.525 20 0 BFADHN CCC[C@H](CNCc1ccccc1)OCC ZINC000623597953 363033472 /nfs/dbraw/zinc/03/34/72/363033472.db2.gz KFPDJUGPIZCTGS-CQSZACIVSA-N 0 3 221.344 2.981 20 0 BFADHN CCN(Cc1ccccc1C)[C@H]1CCOC1 ZINC000271312283 351411900 /nfs/dbraw/zinc/41/19/00/351411900.db2.gz VPEABPVZBJYDRT-AWEZNQCLSA-N 0 3 219.328 2.606 20 0 BFADHN CN(C[C@H]1CC1(Cl)Cl)[C@@H]1CCSC1 ZINC000307013828 351419165 /nfs/dbraw/zinc/41/91/65/351419165.db2.gz MEGHJYRXIPMQMG-HTQZYQBOSA-N 0 3 240.199 2.618 20 0 BFADHN CCC[C@H](CNCc1cccnc1C)OCC ZINC000623599003 363036762 /nfs/dbraw/zinc/03/67/62/363036762.db2.gz UUHMJHMALGYMJJ-CQSZACIVSA-N 0 3 236.359 2.685 20 0 BFADHN CCN(Cc1cccc(F)c1)C[C@H](C)OC ZINC000272807614 351496810 /nfs/dbraw/zinc/49/68/10/351496810.db2.gz MODVWJSFTYXYKJ-NSHDSACASA-N 0 3 225.307 2.683 20 0 BFADHN C[C@H](Cc1ccsc1)NCc1ccns1 ZINC000404460562 351468884 /nfs/dbraw/zinc/46/88/84/351468884.db2.gz QTLYPNNXUWZVPS-SECBINFHSA-N 0 3 238.381 2.925 20 0 BFADHN CC(C)C[C@H](C)CN1CCN(C2CCC2)CC1 ZINC000337196530 191137239 /nfs/dbraw/zinc/13/72/39/191137239.db2.gz WJWZEQKSFYSAQC-AWEZNQCLSA-N 0 3 238.419 2.839 20 0 BFADHN CC(C)C[C@H](C)CN1C[C@@H](C)O[C@H](C)C1 ZINC000337135040 191135983 /nfs/dbraw/zinc/13/59/83/191135983.db2.gz NJFZUXHCKOFOAP-YNEHKIRRSA-N 0 3 213.365 2.778 20 0 BFADHN c1cncc(CN[C@@H]2CC23CCCCC3)c1 ZINC000386883036 363057390 /nfs/dbraw/zinc/05/73/90/363057390.db2.gz ISNVJXQGIGRKFG-CYBMUJFWSA-N 0 3 216.328 2.894 20 0 BFADHN CCOC[C@@H](C)NCc1ccc(F)c(Cl)c1 ZINC000088041009 351532910 /nfs/dbraw/zinc/53/29/10/351532910.db2.gz OOMZRMMHYTVNOH-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN CC1(C)CC[C@@H](N[C@@H](CCO)c2ccco2)C1 ZINC000274659293 351575431 /nfs/dbraw/zinc/57/54/31/351575431.db2.gz MXMRSPSVFNHXOV-NEPJUHHUSA-N 0 3 237.343 2.871 20 0 BFADHN NCc1cccc(NC(=O)[C@H]2CCCC23CC3)c1 ZINC000637802791 351637918 /nfs/dbraw/zinc/63/79/18/351637918.db2.gz ZUQKZOFKOGHVRX-CYBMUJFWSA-N 0 3 244.338 2.664 20 0 BFADHN Fc1ccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)cc1F ZINC000577651636 366033072 /nfs/dbraw/zinc/03/30/72/366033072.db2.gz MOKRRLUYPVSNTN-DDFAGTSDSA-N 0 3 223.266 2.853 20 0 BFADHN CC[C@](C)(CN(C)Cc1cccc(O)c1)OC ZINC000637813135 351649480 /nfs/dbraw/zinc/64/94/80/351649480.db2.gz KPDQXFSUMATNAN-CQSZACIVSA-N 0 3 237.343 2.639 20 0 BFADHN CCCN(Cc1ccns1)CC1CC1 ZINC000637811478 351653265 /nfs/dbraw/zinc/65/32/65/351653265.db2.gz ORXCODODCQHNOJ-UHFFFAOYSA-N 0 3 210.346 2.765 20 0 BFADHN CCc1nc(CN[C@@H]2CC[C@@H]2CC)cs1 ZINC000336731574 351621837 /nfs/dbraw/zinc/62/18/37/351621837.db2.gz KUXBDRNUMIMEQF-GXSJLCMTSA-N 0 3 224.373 2.984 20 0 BFADHN Cc1cc(Cl)cc(CNC[C@@H]2CCCO2)c1 ZINC000088139591 351629410 /nfs/dbraw/zinc/62/94/10/351629410.db2.gz ZUXUNEAHZCLLHS-ZDUSSCGKSA-N 0 3 239.746 2.917 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1Cc1ccns1 ZINC000637833766 351683471 /nfs/dbraw/zinc/68/34/71/351683471.db2.gz WOUZYDCQRRNFTB-NXEZZACHSA-N 0 3 210.346 2.906 20 0 BFADHN COc1ncc(CN2C[C@H](C)C[C@@H](C)C2)s1 ZINC000637833786 351683779 /nfs/dbraw/zinc/68/37/79/351683779.db2.gz WZTLJDNMAAJRHQ-NXEZZACHSA-N 0 3 240.372 2.630 20 0 BFADHN CCc1cc(CCCN2[C@H](C)C[C@@H]2C)on1 ZINC000577697363 366038617 /nfs/dbraw/zinc/03/86/17/366038617.db2.gz JBLDHXVVSBNXPL-PHIMTYICSA-N 0 3 222.332 2.652 20 0 BFADHN CO[C@@](C)(CN1CCn2cccc2[C@@H]1C)C1CC1 ZINC000637843754 351703103 /nfs/dbraw/zinc/70/31/03/351703103.db2.gz ZDESUESVRLMONA-WFASDCNBSA-N 0 3 248.370 2.680 20 0 BFADHN CO[C@](C)(CN(C)Cc1ccco1)C1CC1 ZINC000637859279 351716963 /nfs/dbraw/zinc/71/69/63/351716963.db2.gz CTWZNNODFBVMKX-CYBMUJFWSA-N 0 3 223.316 2.527 20 0 BFADHN CC(C)[C@@](C)(O)CNCc1ccc(Cl)s1 ZINC000123267747 191141091 /nfs/dbraw/zinc/14/10/91/191141091.db2.gz OJXVVCVJKYTLFG-NSHDSACASA-N 0 3 247.791 2.898 20 0 BFADHN CC[C@@](C)(CN(C)Cc1ccco1)OC ZINC000637858902 351720201 /nfs/dbraw/zinc/72/02/01/351720201.db2.gz ALCOVVOJFKMWSM-LBPRGKRZSA-N 0 3 211.305 2.527 20 0 BFADHN CC[C@@H](C)[C@H](CN(C)Cc1ccco1)OC ZINC000637862035 351722926 /nfs/dbraw/zinc/72/29/26/351722926.db2.gz VWLXHUPYUPXGGV-YPMHNXCESA-N 0 3 225.332 2.773 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)N[C@@H](C)c1ccccc1 ZINC000637868858 351728117 /nfs/dbraw/zinc/72/81/17/351728117.db2.gz PNZVAXTZYXCIEO-GXTWGEPZSA-N 0 3 248.370 2.627 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC000637870950 351737347 /nfs/dbraw/zinc/73/73/47/351737347.db2.gz KVCUWYVPYJEQJA-XQQFMLRXSA-N 0 3 240.391 2.539 20 0 BFADHN COc1cccc(C)c1CN1CCC(OC)CC1 ZINC000637880192 351746394 /nfs/dbraw/zinc/74/63/94/351746394.db2.gz DUGYLLBMLFHNGO-UHFFFAOYSA-N 0 3 249.354 2.614 20 0 BFADHN COc1cncc(CN(C)[C@@H](C)C(C)C)c1C ZINC000637902997 351775606 /nfs/dbraw/zinc/77/56/06/351775606.db2.gz NPAYGMVRXSRAOW-LBPRGKRZSA-N 0 3 236.359 2.875 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2occc2C)C1(C)C ZINC000072926563 351775624 /nfs/dbraw/zinc/77/56/24/351775624.db2.gz NAMGTJZEAFIBCN-GXTWGEPZSA-N 0 3 237.343 2.881 20 0 BFADHN CC[C@@H](C)N(C)Cc1c2c(nn1C)CCCC2 ZINC000637912093 351785803 /nfs/dbraw/zinc/78/58/03/351785803.db2.gz RMWNWXCRGPQGGR-LLVKDONJSA-N 0 3 235.375 2.529 20 0 BFADHN CCC[C@H](C)N(C)[C@@H](c1nccn1C)C1CC1 ZINC000637912365 351785865 /nfs/dbraw/zinc/78/58/65/351785865.db2.gz ULNBILBZWAYPCL-WCQYABFASA-N 0 3 235.375 2.992 20 0 BFADHN CCC[C@H](C)N(C)[C@H](c1nccn1C)C1CC1 ZINC000637912163 351785916 /nfs/dbraw/zinc/78/59/16/351785916.db2.gz ULNBILBZWAYPCL-AAEUAGOBSA-N 0 3 235.375 2.992 20 0 BFADHN CC[C@@H](C)N(C)Cc1c(OC)cccc1OC ZINC000637912109 351786050 /nfs/dbraw/zinc/78/60/50/351786050.db2.gz RXNFLPIAFLZHMY-LLVKDONJSA-N 0 3 237.343 2.934 20 0 BFADHN CCN[C@H](C)C(=O)N(C)[C@H](C)c1ccc(C)cc1 ZINC000637915847 351790052 /nfs/dbraw/zinc/79/00/52/351790052.db2.gz AITCAJOEUUQQCF-CHWSQXEVSA-N 0 3 248.370 2.512 20 0 BFADHN Cc1nsc(C)c1CN[C@H]1CSC[C@H]1C ZINC000572374839 323613305 /nfs/dbraw/zinc/61/33/05/323613305.db2.gz BVCOBLCIVKSEGU-HQJQHLMTSA-N 0 3 242.413 2.601 20 0 BFADHN Cc1cc(C)c(/C=C\CN2CC[C@@H](O)C2)cc1C ZINC000637890726 351762554 /nfs/dbraw/zinc/76/25/54/351762554.db2.gz UQTJJSKXLBYLOY-YIEVGGLXSA-N 0 3 245.366 2.692 20 0 BFADHN CO[C@@H](CNCc1sc(C)nc1C)C(C)C ZINC000072924619 351767174 /nfs/dbraw/zinc/76/71/74/351767174.db2.gz CPJULPLVYYDGDC-NSHDSACASA-N 0 3 242.388 2.521 20 0 BFADHN CC(C)N(Cc1c2c(nn1C)CCCC2)C1CC1 ZINC000637928958 351805525 /nfs/dbraw/zinc/80/55/25/351805525.db2.gz YLACWWVXKNDUKD-UHFFFAOYSA-N 0 3 247.386 2.672 20 0 BFADHN CCN(CCOC)Cc1cc2ccccc2n1C ZINC000637895133 351767505 /nfs/dbraw/zinc/76/75/05/351767505.db2.gz CKXUFXZBTYQNTD-UHFFFAOYSA-N 0 3 246.354 2.647 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N(C)[C@@H](C)C(C)(C)C ZINC000637929718 351803367 /nfs/dbraw/zinc/80/33/67/351803367.db2.gz OKWQCFXSQZYLCX-RYUDHWBXSA-N 0 3 242.407 2.643 20 0 BFADHN CCN(Cc1ccnn1C(C)C)C(C)C ZINC000279708549 351821785 /nfs/dbraw/zinc/82/17/85/351821785.db2.gz SSGSIKMJMYGSNA-UHFFFAOYSA-N 0 3 209.337 2.694 20 0 BFADHN CCN[C@@H](C)C(=O)N(C)c1cccc(C(C)C)c1 ZINC000637954768 351831680 /nfs/dbraw/zinc/83/16/80/351831680.db2.gz AFTCXUOKRQOEGQ-LBPRGKRZSA-N 0 3 248.370 2.771 20 0 BFADHN CCCCN(C(=O)[C@H](N)CCC(C)C)C1CC1 ZINC000637932832 351811730 /nfs/dbraw/zinc/81/17/30/351811730.db2.gz WISGWUIAKDDATP-CYBMUJFWSA-N 0 3 240.391 2.541 20 0 BFADHN COCCCN(C)Cc1cc2ccccc2n1C ZINC000637977459 351855036 /nfs/dbraw/zinc/85/50/36/351855036.db2.gz PTICHAQFTUPQDM-UHFFFAOYSA-N 0 3 246.354 2.647 20 0 BFADHN C[C@@H]1CCCN([C@H](c2nccn2C)C2CC2)CC1 ZINC000637977047 351855234 /nfs/dbraw/zinc/85/52/34/351855234.db2.gz HQSYNYCTXBLDDG-OCCSQVGLSA-N 0 3 247.386 2.993 20 0 BFADHN CN(Cc1ccns1)CC1CCCC1 ZINC000637984602 351858744 /nfs/dbraw/zinc/85/87/44/351858744.db2.gz HUYXDIQDRKPCGU-UHFFFAOYSA-N 0 3 210.346 2.765 20 0 BFADHN CC(C)CC[C@H](O)CN(C)Cc1cccs1 ZINC000280386094 351842880 /nfs/dbraw/zinc/84/28/80/351842880.db2.gz WFEFDNGGVNAOAG-LBPRGKRZSA-N 0 3 241.400 2.977 20 0 BFADHN c1cc(CN2CCC[C@@H]3CCC[C@H]32)sn1 ZINC000637973406 351848810 /nfs/dbraw/zinc/84/88/10/351848810.db2.gz WGHBQOXYPKHMDM-CMPLNLGQSA-N 0 3 222.357 2.908 20 0 BFADHN CN(CC1CCCC1)[C@@H](c1nccn1C)C1CC1 ZINC000637986432 351862648 /nfs/dbraw/zinc/86/26/48/351862648.db2.gz YCSTZESLEHFJDJ-CQSZACIVSA-N 0 3 247.386 2.993 20 0 BFADHN Cc1ncc(CNCCC(C)(C)C2CC2)cn1 ZINC000339564667 187505327 /nfs/dbraw/zinc/50/53/27/187505327.db2.gz XNGBZHCMBAIODO-UHFFFAOYSA-N 0 3 233.359 2.701 20 0 BFADHN C[C@@H]1CCCN1Cc1ccnn1CC1CCC1 ZINC000638067938 351938025 /nfs/dbraw/zinc/93/80/25/351938025.db2.gz HCBNQVRMJACJSK-GFCCVEGCSA-N 0 3 233.359 2.668 20 0 BFADHN COc1cccc(C)c1CN1CCCO[C@@H](C)C1 ZINC000638023894 351903046 /nfs/dbraw/zinc/90/30/46/351903046.db2.gz ORJNUCPCPDEWKT-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN CC[C@](C)(CN(C)Cc1scnc1C)OC ZINC000638023083 351905072 /nfs/dbraw/zinc/90/50/72/351905072.db2.gz VDXNKGHHUMMKAE-GFCCVEGCSA-N 0 3 242.388 2.698 20 0 BFADHN Cn1ccnc1[C@@H](C1CC1)N1CCC[C@@H]1C1CC1 ZINC000638028146 351907546 /nfs/dbraw/zinc/90/75/46/351907546.db2.gz GVTPMVNTTPGOCD-ZIAGYGMSSA-N 0 3 245.370 2.746 20 0 BFADHN CCC[C@H](CN1Cc2ccccc2C1)OC ZINC000638034776 351915575 /nfs/dbraw/zinc/91/55/75/351915575.db2.gz FFZOJPARAWAQJI-CQSZACIVSA-N 0 3 219.328 2.817 20 0 BFADHN CCN[C@@H](C)C(=O)N(CC(C)C)C(CC)CC ZINC000638035868 351916332 /nfs/dbraw/zinc/91/63/32/351916332.db2.gz AUNYAHVCPADNNX-LBPRGKRZSA-N 0 3 242.407 2.658 20 0 BFADHN COC1CCC(N(C)Cc2ccns2)CC1 ZINC000638081037 351954666 /nfs/dbraw/zinc/95/46/66/351954666.db2.gz HNMSNKIVMJLCSQ-UHFFFAOYSA-N 0 3 240.372 2.533 20 0 BFADHN c1n[nH]cc1[C@H]1CCCCN1CCC[C@@H]1CCO1 ZINC000638081885 351955358 /nfs/dbraw/zinc/95/53/58/351955358.db2.gz AKNKQLOGXFAFDI-ZIAGYGMSSA-N 0 3 249.358 2.506 20 0 BFADHN Cc1ncc([C@@H](C)N(C)C2CCC2)c(C)n1 ZINC000638089020 351967595 /nfs/dbraw/zinc/96/75/95/351967595.db2.gz KFBMFPSIFWGUEN-SNVBAGLBSA-N 0 3 219.332 2.639 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CCC2(CCC2)C1 ZINC000638089120 351967747 /nfs/dbraw/zinc/96/77/47/351967747.db2.gz SKTOOKWTWXJDDW-ZDUSSCGKSA-N 0 3 245.370 2.747 20 0 BFADHN Cc1cc(CN2C[C@@H](C)[C@H](C)C2)sn1 ZINC000638093635 351972865 /nfs/dbraw/zinc/97/28/65/351972865.db2.gz MHTMIROHSWQMBI-RKDXNWHRSA-N 0 3 210.346 2.539 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)[C@@H](C)C2)sn1 ZINC000638094029 351973849 /nfs/dbraw/zinc/97/38/49/351973849.db2.gz XKZIBSFRJBGDOM-ZJUUUORDSA-N 0 3 224.373 2.929 20 0 BFADHN CCC[C@@H]1CN(CC2CCSCC2)CCO1 ZINC000638095242 351975626 /nfs/dbraw/zinc/97/56/26/351975626.db2.gz BUVREMRSYSJOPE-CYBMUJFWSA-N 0 3 243.416 2.631 20 0 BFADHN C[C@@H]1C[C@@H](C)N([C@@H](c2nccn2C)C2CC2)C1 ZINC000638108835 351985533 /nfs/dbraw/zinc/98/55/33/351985533.db2.gz BERIDZFLEPKNLJ-NQBHXWOUSA-N 0 3 233.359 2.602 20 0 BFADHN FC(F)(F)C1=CCN(CCC[C@@H]2CCO2)CC1 ZINC000638113882 351990873 /nfs/dbraw/zinc/99/08/73/351990873.db2.gz FTTDZFSQTCDETN-LLVKDONJSA-N 0 3 249.276 2.750 20 0 BFADHN Cc1cc(CN2CC[C@H](C3CC3)C2)sn1 ZINC000638132283 352000728 /nfs/dbraw/zinc/00/07/28/352000728.db2.gz FYVPHKRMZDVUAG-NSHDSACASA-N 0 3 222.357 2.683 20 0 BFADHN COc1cncc(CN2CC[C@@H](C3CC3)C2)c1C ZINC000638133387 352001003 /nfs/dbraw/zinc/00/10/03/352001003.db2.gz JNWZVAUZSMZTEC-CYBMUJFWSA-N 0 3 246.354 2.631 20 0 BFADHN CC[C@H](C)[C@@H](CN(C)Cc1ccc[nH]1)OC ZINC000638149613 352013913 /nfs/dbraw/zinc/01/39/13/352013913.db2.gz BDKWOBBVRMZQQA-WCQYABFASA-N 0 3 224.348 2.508 20 0 BFADHN CC[C@@]1(C)CCN([C@H](c2nccn2C)C2CC2)C1 ZINC000638135124 352002611 /nfs/dbraw/zinc/00/26/11/352002611.db2.gz QBFMFEZPCDQKFV-ZFWWWQNUSA-N 0 3 247.386 2.993 20 0 BFADHN CO[C@H](CNc1cccc(CN(C)C)c1)C1CC1 ZINC000638190857 352055625 /nfs/dbraw/zinc/05/56/25/352055625.db2.gz KPSKFTZQDSPMGY-OAHLLOKOSA-N 0 3 248.370 2.585 20 0 BFADHN C[C@@H]1CCN(Cc2ccnn2CC2CCC2)[C@@H]1C ZINC000638163870 352027984 /nfs/dbraw/zinc/02/79/84/352027984.db2.gz UGLVRYHATWLXMY-CHWSQXEVSA-N 0 3 247.386 2.914 20 0 BFADHN COc1cncc(CN2CC[C@H](C)[C@H]2C)c1C ZINC000638164121 352032159 /nfs/dbraw/zinc/03/21/59/352032159.db2.gz VYLYDNQKGOVMLA-CMPLNLGQSA-N 0 3 234.343 2.629 20 0 BFADHN CC[C@H]1CCN(Cc2cc(C)ns2)C1 ZINC000638171547 352038694 /nfs/dbraw/zinc/03/86/94/352038694.db2.gz RDZOUDZYEYMIEB-JTQLQIEISA-N 0 3 210.346 2.683 20 0 BFADHN CC[C@@H](NCc1nccc(C(F)F)n1)C(C)C ZINC000287149380 352115696 /nfs/dbraw/zinc/11/56/96/352115696.db2.gz SRRRLIDVJSOIRN-SECBINFHSA-N 0 3 243.301 2.938 20 0 BFADHN CCN(Cc1ccncc1)C[C@@H]1CCC=CO1 ZINC000289736685 352196697 /nfs/dbraw/zinc/19/66/97/352196697.db2.gz IEYJCYGHEHWTQO-AWEZNQCLSA-N 0 3 232.327 2.596 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cn2cccnc2n1 ZINC000289736997 352196707 /nfs/dbraw/zinc/19/67/07/352196707.db2.gz DQTVWRPKOSMFDJ-GFCCVEGCSA-N 0 3 246.358 2.740 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cc(C)no1 ZINC000290038318 352208298 /nfs/dbraw/zinc/20/82/98/352208298.db2.gz WHZKBSZRUAYSBN-LLVKDONJSA-N 0 3 210.321 2.994 20 0 BFADHN C[C@H](CNCc1cc(C2CC2)no1)C1CC1 ZINC000638419591 352229023 /nfs/dbraw/zinc/22/90/23/352229023.db2.gz IZMOUTFLNGXEFF-SECBINFHSA-N 0 3 220.316 2.688 20 0 BFADHN CCN(Cc1cccnc1)CC(C)(C)C ZINC000291022311 352255518 /nfs/dbraw/zinc/25/55/18/352255518.db2.gz CSJXPKAQEXSCFC-UHFFFAOYSA-N 0 3 206.333 2.950 20 0 BFADHN COCCN(C)Cc1cnc2ccc(C)cc2c1 ZINC000411178976 191164467 /nfs/dbraw/zinc/16/44/67/191164467.db2.gz AUCZVUUSYMFSEH-UHFFFAOYSA-N 0 3 244.338 2.621 20 0 BFADHN CC1(C)CN(Cc2cccnc2)[C@H]1C1CC1 ZINC000291552362 352279949 /nfs/dbraw/zinc/27/99/49/352279949.db2.gz MKTNKMYBXAMGDR-ZDUSSCGKSA-N 0 3 216.328 2.702 20 0 BFADHN Fc1ccc(F)c(CNCCc2ccccn2)c1 ZINC000037228345 358470300 /nfs/dbraw/zinc/47/03/00/358470300.db2.gz BTCOJTVLMAXVHB-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN Cc1cc(CN2CCCOCC2)c(C)s1 ZINC000334190235 352294380 /nfs/dbraw/zinc/29/43/80/352294380.db2.gz HURXDOFNWJAVCV-UHFFFAOYSA-N 0 3 225.357 2.587 20 0 BFADHN CN(C[C@H]1CCCO1)[C@H]1C[C@H]1c1cccc(F)c1 ZINC000411173400 191167381 /nfs/dbraw/zinc/16/73/81/191167381.db2.gz PVLDQZXGGHJDJP-ILXRZTDVSA-N 0 3 249.329 2.792 20 0 BFADHN CO[C@@H]1CCCN([C@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411230298 191171591 /nfs/dbraw/zinc/17/15/91/191171591.db2.gz PHLOHQSOCMYZBJ-ILXRZTDVSA-N 0 3 249.329 2.792 20 0 BFADHN CSCC[C@H](C)N(C)Cc1oc(C)nc1C ZINC000618905058 352342193 /nfs/dbraw/zinc/34/21/93/352342193.db2.gz RQSCSNFCODLAMY-VIFPVBQESA-N 0 3 242.388 2.865 20 0 BFADHN CSCCN(C)[C@@H]1C[C@H]1c1cccc(F)c1 ZINC000411345164 191179064 /nfs/dbraw/zinc/17/90/64/191179064.db2.gz KFTSYCYOXTWWPY-QWHCGFSZSA-N 0 3 239.359 2.976 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1oc(C)nc1C ZINC000618905032 352344006 /nfs/dbraw/zinc/34/40/06/352344006.db2.gz RQSCSNFCODLAMY-SECBINFHSA-N 0 3 242.388 2.865 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1conc1C ZINC000294090038 352369049 /nfs/dbraw/zinc/36/90/49/352369049.db2.gz ZMNUDAYYQURFSZ-SNVBAGLBSA-N 0 3 210.321 2.994 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCc1cncs1 ZINC000411412377 191182714 /nfs/dbraw/zinc/18/27/14/191182714.db2.gz BRLCXXRKAZAKEJ-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN COc1ncc(CNC(C)(C)C(C)C)s1 ZINC000638525036 352358536 /nfs/dbraw/zinc/35/85/36/352358536.db2.gz FXPBGCJMPOCYFU-UHFFFAOYSA-N 0 3 228.361 2.676 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@H](O)C1)c1cc(F)ccc1F ZINC000295803006 352424466 /nfs/dbraw/zinc/42/44/66/352424466.db2.gz AKTUAVMFVNGAFL-GBIKHYSHSA-N 0 3 241.281 2.529 20 0 BFADHN CC[C@]1(O)CCN([C@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411566819 191193623 /nfs/dbraw/zinc/19/36/23/191193623.db2.gz HFOJSYSGXZXRIC-KKUMJFAQSA-N 0 3 249.329 2.528 20 0 BFADHN O[C@H]1CCCN([C@@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000411766124 191209096 /nfs/dbraw/zinc/20/90/96/191209096.db2.gz BEKKOXVFQULPPU-SOUVJXGZSA-N 0 3 249.329 2.528 20 0 BFADHN c1cc(CNC[C@@H]2CCc3ccccc32)sn1 ZINC000638624201 352461839 /nfs/dbraw/zinc/46/18/39/352461839.db2.gz XXOJCQBOQHOIDS-LBPRGKRZSA-N 0 3 244.363 2.963 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCCC[C@H]1CCO1 ZINC000638638409 352480028 /nfs/dbraw/zinc/48/00/28/352480028.db2.gz FPTWNWBSPVHITR-SKDRFNHKSA-N 0 3 238.331 2.511 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H](C)[C@H]1CC1(F)F ZINC000638638954 352482454 /nfs/dbraw/zinc/48/24/54/352482454.db2.gz LVTFBOUWWQLJJP-MATHAZKKSA-N 0 3 244.285 2.986 20 0 BFADHN Cc1cnc([C@@H](NC(C)C)C2CC2)s1 ZINC000045206208 352588412 /nfs/dbraw/zinc/58/84/12/352588412.db2.gz RQVVAYONBJKHOJ-JTQLQIEISA-N 0 3 210.346 2.901 20 0 BFADHN FC[C@H]1CN(CC2CCCCCC2)CCO1 ZINC000411839577 191216723 /nfs/dbraw/zinc/21/67/23/191216723.db2.gz JBBSUCNWUJKWDW-ZDUSSCGKSA-N 0 3 229.339 2.627 20 0 BFADHN c1c(CN[C@@H]2CCCSC2)onc1C1CC1 ZINC000638733232 352613965 /nfs/dbraw/zinc/61/39/65/352613965.db2.gz AUALHTSXQXEAHU-SNVBAGLBSA-N 0 3 238.356 2.537 20 0 BFADHN COc1cccc(C)c1CNC1CC(C)C1 ZINC000638726350 352583606 /nfs/dbraw/zinc/58/36/06/352583606.db2.gz NXDHVDBSQWTWGW-UHFFFAOYSA-N 0 3 219.328 2.892 20 0 BFADHN CC[C@@H]1CCCN1CC(=O)N(C(C)C)C(C)C ZINC000340688394 352630615 /nfs/dbraw/zinc/63/06/15/352630615.db2.gz MQSBLPGMHGXCIE-CYBMUJFWSA-N 0 3 240.391 2.506 20 0 BFADHN CC(C)C[C@H](C)N1CCO[C@@H](C2CCC2)C1 ZINC000411858592 191222817 /nfs/dbraw/zinc/22/28/17/191222817.db2.gz YACLBRKCMRCTIG-GXTWGEPZSA-N 0 3 225.376 2.922 20 0 BFADHN CO[C@](C)([C@H](C)NCc1cccnc1C)C1CC1 ZINC000411968276 191234559 /nfs/dbraw/zinc/23/45/59/191234559.db2.gz JIEFBADVZPEESO-SWLSCSKDSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1nc2ccccc2nc1CNC1CC=CC1 ZINC000638759630 352696588 /nfs/dbraw/zinc/69/65/88/352696588.db2.gz KQBBWYYNFDLAOB-UHFFFAOYSA-N 0 3 239.322 2.746 20 0 BFADHN CCN(Cc1occc1C)C[C@@H]1CCCCO1 ZINC000412048712 191242894 /nfs/dbraw/zinc/24/28/94/191242894.db2.gz XHIDJGSQTIKZEV-ZDUSSCGKSA-N 0 3 237.343 2.979 20 0 BFADHN COc1ccc(CN2CC(C)(C)C[C@@H]2C)cn1 ZINC000412046301 191243538 /nfs/dbraw/zinc/24/35/38/191243538.db2.gz QYDUHNAAFNTGJD-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CO[C@H](C)C2)s1 ZINC000401857947 352731504 /nfs/dbraw/zinc/73/15/04/352731504.db2.gz ZTYKZAZXUCZOCE-DVVUODLYSA-N 0 3 225.357 2.885 20 0 BFADHN COC1(CNCc2cnc(C)s2)CCCC1 ZINC000336769419 187833715 /nfs/dbraw/zinc/83/37/15/187833715.db2.gz RVSGXWGFJLFRMG-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN CC(C)C[C@H](C)CN1CCO[C@@H](C)[C@@H]1C ZINC000337157923 187835176 /nfs/dbraw/zinc/83/51/76/187835176.db2.gz QFOBUAGCMITTML-AVGNSLFASA-N 0 3 213.365 2.778 20 0 BFADHN CC(C)n1nccc1CN1CC[C@@H]2CCC[C@@H]21 ZINC000334186779 352776652 /nfs/dbraw/zinc/77/66/52/352776652.db2.gz CQVKREUAKLLVHK-JSGCOSHPSA-N 0 3 233.359 2.838 20 0 BFADHN c1ccc([C@H]2CCN2CC2=CCCOC2)cc1 ZINC000334187031 352776660 /nfs/dbraw/zinc/77/66/60/352776660.db2.gz HFQAIBGFOYZHRX-OAHLLOKOSA-N 0 3 229.323 2.780 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1cnc2ccccn12 ZINC000412040744 191249972 /nfs/dbraw/zinc/24/99/72/191249972.db2.gz KMMLETASZZUQPN-LBPRGKRZSA-N 0 3 243.354 2.955 20 0 BFADHN CCc1cccnc1[C@H](C)NCCC1(O)CCC1 ZINC000342812131 352861923 /nfs/dbraw/zinc/86/19/23/352861923.db2.gz YIHVFBUMQUQACW-LBPRGKRZSA-N 0 3 248.370 2.600 20 0 BFADHN Cc1ccc(CN2CCC[C@]23CCOC3)cc1F ZINC000342843782 352872611 /nfs/dbraw/zinc/87/26/11/352872611.db2.gz YLAYTXAMWPSKOJ-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN COc1cccc(CN2CC[C@H]2C(C)C)c1 ZINC000342850622 352874520 /nfs/dbraw/zinc/87/45/20/352874520.db2.gz NWCMTKZQPPWHCU-AWEZNQCLSA-N 0 3 219.328 2.926 20 0 BFADHN COc1cccc(CN2CC[C@H]2C(C)C)c1OC ZINC000342852750 352874962 /nfs/dbraw/zinc/87/49/62/352874962.db2.gz RQCALWMTDPDPIZ-ZDUSSCGKSA-N 0 3 249.354 2.934 20 0 BFADHN Fc1cncc(CN2CCCC23CCC3)c1 ZINC000334271341 352907731 /nfs/dbraw/zinc/90/77/31/352907731.db2.gz UVMHUPIFTOBXMX-UHFFFAOYSA-N 0 3 220.291 2.739 20 0 BFADHN COCc1ccc(CN2CC[C@@H]2C(C)C)o1 ZINC000342861796 352879096 /nfs/dbraw/zinc/87/90/96/352879096.db2.gz NRJNJFKKFUTEMO-CYBMUJFWSA-N 0 3 223.316 2.656 20 0 BFADHN Cc1[nH]ncc1CNc1cccc(N(C)C)c1C ZINC000342861105 352879635 /nfs/dbraw/zinc/87/96/35/352879635.db2.gz LPMJHESQJKXBSG-UHFFFAOYSA-N 0 3 244.342 2.705 20 0 BFADHN COc1cc(CN2CCCC(C)(C)C2)ccn1 ZINC000342860464 352879662 /nfs/dbraw/zinc/87/96/62/352879662.db2.gz OOIBBPDMPDDEPN-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COCC[C@H](C)N(C)Cc1cc(C)ccc1F ZINC000444745997 538106083 /nfs/dbraw/zinc/10/60/83/538106083.db2.gz WMKINPIIJNWFRT-LBPRGKRZSA-N 0 3 239.334 2.991 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H]2C(C)C)s1 ZINC000334318443 352948840 /nfs/dbraw/zinc/94/88/40/352948840.db2.gz SCRUPQFLEWFWRX-NSHDSACASA-N 0 3 224.373 2.990 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cnc3ccccn23)C1 ZINC000334318565 352948994 /nfs/dbraw/zinc/94/89/94/352948994.db2.gz SIXCFYNKPWPCAS-NEPJUHHUSA-N 0 3 229.327 2.565 20 0 BFADHN Cc1cscc1-c1noc([C@H]2CCN(C)C2)n1 ZINC000334372923 353025436 /nfs/dbraw/zinc/02/54/36/353025436.db2.gz ZBWMMLMJZBOXDQ-VIFPVBQESA-N 0 3 249.339 2.526 20 0 BFADHN C[C@@H](NCc1cc(C2CC2)no1)C1CCC1 ZINC000638814241 352994755 /nfs/dbraw/zinc/99/47/55/352994755.db2.gz XJWRTRCNEIFBDH-SECBINFHSA-N 0 3 220.316 2.830 20 0 BFADHN CCc1cnc(CNC2CC(CC)C2)s1 ZINC000336749518 353000318 /nfs/dbraw/zinc/00/03/18/353000318.db2.gz DKWYQYUSNPKYCQ-UHFFFAOYSA-N 0 3 224.373 2.984 20 0 BFADHN COc1cccc(C)c1CN1CC=CCC1 ZINC000638914097 353072668 /nfs/dbraw/zinc/07/26/68/353072668.db2.gz LJUALGHRWNGGGT-UHFFFAOYSA-N 0 3 217.312 2.766 20 0 BFADHN CCc1ccc([C@@H](C)NCC(C)(C)CO)o1 ZINC000131080833 538107167 /nfs/dbraw/zinc/10/71/67/538107167.db2.gz GPFVOZPLNAYKTM-SNVBAGLBSA-N 0 3 225.332 2.511 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cscc1Cl ZINC000379566020 538107290 /nfs/dbraw/zinc/10/72/90/538107290.db2.gz CHEWCTNLZIZGHK-JGVFFNPUSA-N 0 3 233.764 2.915 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cscc1Cl ZINC000379566021 538107292 /nfs/dbraw/zinc/10/72/92/538107292.db2.gz CHEWCTNLZIZGHK-YUMQZZPRSA-N 0 3 233.764 2.915 20 0 BFADHN Cc1nccc(CN2CC[C@@H](C(C)(C)C)C2)n1 ZINC000334471776 353115574 /nfs/dbraw/zinc/11/55/74/353115574.db2.gz HEYQAEIYHJHRNJ-GFCCVEGCSA-N 0 3 233.359 2.653 20 0 BFADHN CC[C@H](C)[C@H](CN(C)Cc1cccnc1)OC ZINC000638917454 353081246 /nfs/dbraw/zinc/08/12/46/353081246.db2.gz FLCREMTXTAIIGP-JSGCOSHPSA-N 0 3 236.359 2.575 20 0 BFADHN CCC(CC)CNCc1cnc(OC)s1 ZINC000393733742 353084987 /nfs/dbraw/zinc/08/49/87/353084987.db2.gz UGZZHBQETCSRSY-UHFFFAOYSA-N 0 3 228.361 2.678 20 0 BFADHN Fc1ccc2c(c1)CCN(CCC[C@@H]1CCO1)C2 ZINC000638924808 353085601 /nfs/dbraw/zinc/08/56/01/353085601.db2.gz FZSLUDLVNHQLAB-OAHLLOKOSA-N 0 3 249.329 2.753 20 0 BFADHN Cc1ccnc([C@@H](C)NCCOC2CCCC2)c1 ZINC000353387723 188061011 /nfs/dbraw/zinc/06/10/11/188061011.db2.gz OUXSFXYGTFQXDZ-CYBMUJFWSA-N 0 3 248.370 3.000 20 0 BFADHN C=Cn1cc(CN2CC[C@@H](CC3CC3)C2)cn1 ZINC000354530647 188062813 /nfs/dbraw/zinc/06/28/13/188062813.db2.gz VPFCWMORHXKAER-ZDUSSCGKSA-N 0 3 231.343 2.606 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1ccc(C)c(C)c1 ZINC000037619289 358475604 /nfs/dbraw/zinc/47/56/04/358475604.db2.gz VMFINGLVBCFVEA-CYBMUJFWSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1ccc2c(c1)CCN(C[C@H]1CC[C@@H](C)O1)C2 ZINC000361840958 188071162 /nfs/dbraw/zinc/07/11/62/188071162.db2.gz ACNQZLJCBBTQQL-CZUORRHYSA-N 0 3 245.366 2.921 20 0 BFADHN CC[C@H](C)N(C)C(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000412177773 191266717 /nfs/dbraw/zinc/26/67/17/191266717.db2.gz MDWBDXLWFCLSTA-RVMXOQNASA-N 0 3 240.391 2.505 20 0 BFADHN Cc1ccc(F)c(CNCC[C@H](C)F)c1 ZINC000339496832 188083774 /nfs/dbraw/zinc/08/37/74/188083774.db2.gz UQTNPCJPIZFMOA-JTQLQIEISA-N 0 3 213.271 2.972 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1ncc(Cl)n1C ZINC000268865223 188089089 /nfs/dbraw/zinc/08/90/89/188089089.db2.gz SPNVPBODUSELET-ZJUUUORDSA-N 0 3 241.766 2.742 20 0 BFADHN CC(C)(O)CCNCc1cc(Cl)ccc1F ZINC000274717602 188092926 /nfs/dbraw/zinc/09/29/26/188092926.db2.gz CSFXUELRJXQXJB-UHFFFAOYSA-N 0 3 245.725 2.730 20 0 BFADHN CC(C)=CCCNCc1cnc([C@@H](C)O)s1 ZINC000289760518 188096530 /nfs/dbraw/zinc/09/65/30/188096530.db2.gz QHVRVKDZWDPUQS-SNVBAGLBSA-N 0 3 240.372 2.642 20 0 BFADHN CC(C)(CO)CCNCc1sccc1Cl ZINC000294917874 188097206 /nfs/dbraw/zinc/09/72/06/188097206.db2.gz YNJWCYJFQHGBHX-UHFFFAOYSA-N 0 3 247.791 2.900 20 0 BFADHN CC(C)(C)c1ccc(NC(=O)C(C)(C)N)cc1 ZINC000019672562 188107827 /nfs/dbraw/zinc/10/78/27/188107827.db2.gz JFOUMDATSXTEJQ-UHFFFAOYSA-N 0 3 234.343 2.660 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1ccsc1C ZINC000638978803 353185990 /nfs/dbraw/zinc/18/59/90/353185990.db2.gz PJXIUPBHNVLBHU-NSHDSACASA-N 0 3 226.345 2.513 20 0 BFADHN Cc1nccn1CCN[C@H](C)c1cccc(F)c1 ZINC000049529909 188124838 /nfs/dbraw/zinc/12/48/38/188124838.db2.gz NXCKADCBXSJDCA-LLVKDONJSA-N 0 3 247.317 2.681 20 0 BFADHN CCOCCN(Cc1ccc(OC)cc1)C1CC1 ZINC000057620183 188127958 /nfs/dbraw/zinc/12/79/58/188127958.db2.gz OJNXZTITOPBLQE-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN CC(C)(O)CNCc1ccccc1C(F)(F)F ZINC000061626611 188128221 /nfs/dbraw/zinc/12/82/21/188128221.db2.gz WSEZDOPAALDJLV-UHFFFAOYSA-N 0 3 247.260 2.566 20 0 BFADHN CC1(C)C[C@H]1NCc1ccc(F)cc1F ZINC000044371858 188152273 /nfs/dbraw/zinc/15/22/73/188152273.db2.gz DKLWVXJLXHIIAO-LLVKDONJSA-N 0 3 211.255 2.853 20 0 BFADHN C(c1noc([C@@H]2C[C@@H]3CCCC[C@@H]3N2)n1)C1CC1 ZINC000226542312 188155305 /nfs/dbraw/zinc/15/53/05/188155305.db2.gz YZFRUEIYPQBJLA-SRVKXCTJSA-N 0 3 247.342 2.615 20 0 BFADHN CC(=O)Nc1cccc([C@@H](C)NCCCF)c1 ZINC000128822248 188155558 /nfs/dbraw/zinc/15/55/58/188155558.db2.gz WRRAWEBPXABMOS-SNVBAGLBSA-N 0 3 238.306 2.655 20 0 BFADHN C/C(=C/c1ccccc1)CN1CCC[C@](C)(O)C1 ZINC000129508396 188155840 /nfs/dbraw/zinc/15/58/40/188155840.db2.gz NOPJBDFFPHNCLH-QBOMHBFWSA-N 0 3 245.366 2.937 20 0 BFADHN CC(C)(CCO)NCc1cc(F)cc(Cl)c1 ZINC000168277965 188161558 /nfs/dbraw/zinc/16/15/58/188161558.db2.gz OHLDWBRRBCYULI-UHFFFAOYSA-N 0 3 245.725 2.730 20 0 BFADHN CC(C)(NCCc1cccnc1)c1nccs1 ZINC000173418615 188163606 /nfs/dbraw/zinc/16/36/06/188163606.db2.gz WJPOQOAYQOQIMV-UHFFFAOYSA-N 0 3 247.367 2.606 20 0 BFADHN CC(C)[C@@H]1OCCC[C@H]1CNCc1ccco1 ZINC000175063120 188164184 /nfs/dbraw/zinc/16/41/84/188164184.db2.gz DNPKQFVDFUJYQW-JSGCOSHPSA-N 0 3 237.343 2.820 20 0 BFADHN CC(C)(O)c1ccc(CNCc2cc[nH]c2)cc1 ZINC000187499462 188170975 /nfs/dbraw/zinc/17/09/75/188170975.db2.gz GFSFVZQGYCCNIK-UHFFFAOYSA-N 0 3 244.338 2.532 20 0 BFADHN CC(=O)CCN1CC[C@](C)(c2ccccc2)C1 ZINC000364006556 188170997 /nfs/dbraw/zinc/17/09/97/188170997.db2.gz CYQSGRBQGDARKA-HNNXBMFYSA-N 0 3 231.339 2.629 20 0 BFADHN C/C=C\C[C@H](CO)N[C@@H](C)c1ccsc1 ZINC000336760248 188171448 /nfs/dbraw/zinc/17/14/48/188171448.db2.gz MCGQBDIVRAWFHY-OIFMLDNNSA-N 0 3 225.357 2.726 20 0 BFADHN CC(C)=CCNCc1ccc(Cl)nc1 ZINC000191630114 188173328 /nfs/dbraw/zinc/17/33/28/188173328.db2.gz LZJRAAOSGYLBBE-UHFFFAOYSA-N 0 3 210.708 2.791 20 0 BFADHN CC1(C)C[C@@H]1NCc1cn2cc(Cl)ccc2n1 ZINC000096543838 188147599 /nfs/dbraw/zinc/14/75/99/188147599.db2.gz KCKQHSMBKHYHEZ-NSHDSACASA-N 0 3 249.745 2.876 20 0 BFADHN CC(=O)CCN1CC[C@H](c2ccccc2F)C1 ZINC000264403066 188193984 /nfs/dbraw/zinc/19/39/84/188193984.db2.gz DARRXSPNWJCWTQ-LBPRGKRZSA-N 0 3 235.302 2.594 20 0 BFADHN COc1ccc(CN2C[C@H](C)CC[C@H]2C)nc1 ZINC000271970274 188199660 /nfs/dbraw/zinc/19/96/60/188199660.db2.gz MULCWQRHIHQQOD-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1cc(Cl)cs1 ZINC000193221483 188174475 /nfs/dbraw/zinc/17/44/75/188174475.db2.gz PCJHDDUBJPINQP-PBKGFPTLSA-N 0 3 245.775 2.818 20 0 BFADHN C=Cn1cc(CN(C)[C@@H](C)CCSC)cn1 ZINC000193984846 188175013 /nfs/dbraw/zinc/17/50/13/188175013.db2.gz HZUPIRHCERWDAI-NSHDSACASA-N 0 3 239.388 2.557 20 0 BFADHN C=Cn1cc(CN2CC[C@@H](CC(C)C)C2)cn1 ZINC000193941233 188175458 /nfs/dbraw/zinc/17/54/58/188175458.db2.gz PZZGCICABSUTBN-ZDUSSCGKSA-N 0 3 233.359 2.852 20 0 BFADHN CCCc1csc(CNCC2(C)CC2)n1 ZINC000336771126 188176239 /nfs/dbraw/zinc/17/62/39/188176239.db2.gz WMEVKPWFKLHIAA-UHFFFAOYSA-N 0 3 224.373 2.985 20 0 BFADHN CC[C@H](N[C@H](C)CCO)c1ccccc1OC ZINC000121345512 324012737 /nfs/dbraw/zinc/01/27/37/324012737.db2.gz HITWWIOTLHRRFT-YPMHNXCESA-N 0 3 237.343 2.507 20 0 BFADHN FC(F)Oc1ccccc1CNC1CCC1 ZINC000044480339 180908382 /nfs/dbraw/zinc/90/83/82/180908382.db2.gz XJDSEWXUIOUMGD-UHFFFAOYSA-N 0 3 227.254 2.930 20 0 BFADHN CC(C)=CCN1CCC(c2cc(C)[nH]n2)CC1 ZINC000272881012 188200084 /nfs/dbraw/zinc/20/00/84/188200084.db2.gz CGYFSSDLLSLMQW-UHFFFAOYSA-N 0 3 233.359 2.864 20 0 BFADHN CC(C)=CCN1CCC(c2cc(C)n[nH]2)CC1 ZINC000272881012 188200086 /nfs/dbraw/zinc/20/00/86/188200086.db2.gz CGYFSSDLLSLMQW-UHFFFAOYSA-N 0 3 233.359 2.864 20 0 BFADHN Cc1ccc(F)c(CNC[C@@H]2CCSC2)c1 ZINC000228731286 188185440 /nfs/dbraw/zinc/18/54/40/188185440.db2.gz IDAOSYPNWJZJFH-NSHDSACASA-N 0 3 239.359 2.977 20 0 BFADHN CC(C)COCCN(C)Cc1cccc(F)c1 ZINC000337340290 188185691 /nfs/dbraw/zinc/18/56/91/188185691.db2.gz SPRWMOYCHNXEMU-UHFFFAOYSA-N 0 3 239.334 2.930 20 0 BFADHN COc1ccc(CN(C(C)C)C2CCC2)nc1 ZINC000338270051 188188688 /nfs/dbraw/zinc/18/86/88/188188688.db2.gz FGBWIUFFEUGFSD-UHFFFAOYSA-N 0 3 234.343 2.853 20 0 BFADHN C1=C[C@H](N2CCOC3(CCCC3)C2)CCC1 ZINC000366215449 188189177 /nfs/dbraw/zinc/18/91/77/188189177.db2.gz VAJRQSWDWABHJP-ZDUSSCGKSA-N 0 3 221.344 2.740 20 0 BFADHN Cc1ccc2c(c1)CC[C@@H]2NCc1cncn1C ZINC000263861282 188192816 /nfs/dbraw/zinc/19/28/16/188192816.db2.gz VSLRGXGBELKGSH-HNNXBMFYSA-N 0 3 241.338 2.506 20 0 BFADHN CC(C)N(Cc1cnn(CC(F)F)c1)C1CC1 ZINC000295490270 188213601 /nfs/dbraw/zinc/21/36/01/188213601.db2.gz XRNUEKGEKFPMIL-UHFFFAOYSA-N 0 3 243.301 2.521 20 0 BFADHN CC(C)[C@H]1CCCC[C@@H]1NCc1ccon1 ZINC000122094786 324027181 /nfs/dbraw/zinc/02/71/81/324027181.db2.gz AODNGICAMNETGT-OLZOCXBDSA-N 0 3 222.332 2.979 20 0 BFADHN CC(C)N(CCO)Cc1cc(Cl)cs1 ZINC000278416377 188203688 /nfs/dbraw/zinc/20/36/88/188203688.db2.gz HUISPMSUKJFKDR-UHFFFAOYSA-N 0 3 233.764 2.604 20 0 BFADHN Cc1nc(F)ccc1CN(C)C1CCCC1 ZINC000278461479 188203842 /nfs/dbraw/zinc/20/38/42/188203842.db2.gz OZUNSSJWTFTSFH-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN CO[C@]1(C)CCCN(Cc2cccs2)C1 ZINC000279444174 188205028 /nfs/dbraw/zinc/20/50/28/188205028.db2.gz YVDDOUNKBJAPGP-GFCCVEGCSA-N 0 3 225.357 2.749 20 0 BFADHN CCCCO[C@H]1C[C@H](NCCF)C1(C)C ZINC000336765745 353261948 /nfs/dbraw/zinc/26/19/48/353261948.db2.gz RDGLYEYJDQFRNB-QWRGUYRKSA-N 0 3 217.328 2.529 20 0 BFADHN Cc1ccsc1CN[C@@H](C)c1c[nH]nc1C ZINC000134552110 538113095 /nfs/dbraw/zinc/11/30/95/538113095.db2.gz QOCKJDXBDRGHTF-VIFPVBQESA-N 0 3 235.356 2.939 20 0 BFADHN c1coc(CN[C@@H]2CCCC23CCOCC3)c1 ZINC000282703059 188206929 /nfs/dbraw/zinc/20/69/29/188206929.db2.gz PBDVUHUKESDZBE-CYBMUJFWSA-N 0 3 235.327 2.719 20 0 BFADHN CC(C)COCCN1CCC[C@H]1c1ccncc1 ZINC000283295203 188207192 /nfs/dbraw/zinc/20/71/92/188207192.db2.gz MWJIIWRAHNNPLL-HNNXBMFYSA-N 0 3 248.370 2.891 20 0 BFADHN COc1ccc(CN(C(C)C)C2CCCC2)nn1 ZINC000282622740 188207598 /nfs/dbraw/zinc/20/75/98/188207598.db2.gz AHWBXWRIRLXIIP-UHFFFAOYSA-N 0 3 249.358 2.638 20 0 BFADHN Cc1ccnc([C@H](C)NCCCCCCO)c1 ZINC000286242630 188208188 /nfs/dbraw/zinc/20/81/88/188208188.db2.gz UEOTZQCVMOEQBY-ZDUSSCGKSA-N 0 3 236.359 2.593 20 0 BFADHN COc1ccncc1CN1CCC[C@H](C)[C@@H]1C ZINC000287777441 188209092 /nfs/dbraw/zinc/20/90/92/188209092.db2.gz NFPALRFPEGQFLX-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN c1cc2c(o1)CCCN(C[C@@H]1CCC=CO1)C2 ZINC000290250165 188210537 /nfs/dbraw/zinc/21/05/37/188210537.db2.gz VQTWXEXFQSUHDU-ZDUSSCGKSA-N 0 3 233.311 2.721 20 0 BFADHN Cc1cn[nH]c1CN[C@H]1CCc2cc(C)ccc21 ZINC000290040655 188210879 /nfs/dbraw/zinc/21/08/79/188210879.db2.gz WKNAQFCURATHJU-AWEZNQCLSA-N 0 3 241.338 2.804 20 0 BFADHN c1coc(C2=CCCN(CCOCC3CC3)C2)c1 ZINC000352550749 188230284 /nfs/dbraw/zinc/23/02/84/188230284.db2.gz QGYIISRFCAUQBX-UHFFFAOYSA-N 0 3 247.338 2.795 20 0 BFADHN FCC1CCN(Cc2cccs2)CC1 ZINC000295055396 180921522 /nfs/dbraw/zinc/92/15/22/180921522.db2.gz NURWZXPBFUUTEQ-UHFFFAOYSA-N 0 3 213.321 2.930 20 0 BFADHN CC(C)[C@H](Cn1cccn1)NCc1ccsc1 ZINC000284250772 188222976 /nfs/dbraw/zinc/22/29/76/188222976.db2.gz VOSRXRNMCLQVGT-ZDUSSCGKSA-N 0 3 249.383 2.759 20 0 BFADHN CC(C)(NCCCCF)c1nccs1 ZINC000285608978 188223267 /nfs/dbraw/zinc/22/32/67/188223267.db2.gz LYLPMXBAQHCTFP-UHFFFAOYSA-N 0 3 216.325 2.718 20 0 BFADHN CC(C)(CCNCc1ccccn1)C1CC1 ZINC000293479026 188224541 /nfs/dbraw/zinc/22/45/41/188224541.db2.gz RRPVXIFJTBEIFH-UHFFFAOYSA-N 0 3 218.344 2.998 20 0 BFADHN CCCC[C@@H](N)C(=O)N[C@@H](C)c1ccc(C)cc1 ZINC000037764990 358477430 /nfs/dbraw/zinc/47/74/30/358477430.db2.gz MJDNFTHUVLNKCR-GXTWGEPZSA-N 0 3 248.370 2.690 20 0 BFADHN CC(C)C[C@@H](C)N1CC[S@@](=O)C(C)(C)CC1 ZINC000336305270 188228566 /nfs/dbraw/zinc/22/85/66/188228566.db2.gz CXNVBPHGOLZAGB-MLGOLLRUSA-N 0 3 245.432 2.654 20 0 BFADHN CCc1noc(CC)c1CN[C@H](C)C(C)C ZINC000122854762 324055467 /nfs/dbraw/zinc/05/54/67/324055467.db2.gz YTFICOIVTXQEDJ-SNVBAGLBSA-N 0 3 224.348 2.934 20 0 BFADHN CC(C)OCCNCc1cc2ccccc2n1C ZINC000639045162 353334064 /nfs/dbraw/zinc/33/40/64/353334064.db2.gz UAODFRMBFURMSU-UHFFFAOYSA-N 0 3 246.354 2.693 20 0 BFADHN Cc1cscc1CNC[C@H](O)CCC(C)C ZINC000563710352 353336043 /nfs/dbraw/zinc/33/60/43/353336043.db2.gz ZLAWQDZFXZOPGH-CYBMUJFWSA-N 0 3 241.400 2.943 20 0 BFADHN Cn1c(CN[C@@H]2CC[C@H](F)C2)nc2ccccc21 ZINC000334502701 353338277 /nfs/dbraw/zinc/33/82/77/353338277.db2.gz LAMSPWWCIKVCNO-WDEREUQCSA-N 0 3 247.317 2.554 20 0 BFADHN CC(C)C1(CN(C)Cc2ccncc2)CC1 ZINC000639040847 353318506 /nfs/dbraw/zinc/31/85/06/353318506.db2.gz NQJRQEUTJAAXFJ-UHFFFAOYSA-N 0 3 218.344 2.950 20 0 BFADHN Cn1c(CN[C@@H]2CC[C@@H](F)C2)nc2ccccc21 ZINC000334502694 353337482 /nfs/dbraw/zinc/33/74/82/353337482.db2.gz LAMSPWWCIKVCNO-GHMZBOCLSA-N 0 3 247.317 2.554 20 0 BFADHN CC[C@H](C)[C@H](CN(C)Cc1ccccn1)OC ZINC000639041995 353322787 /nfs/dbraw/zinc/32/27/87/353322787.db2.gz RNXNXMUWSYXPGK-JSGCOSHPSA-N 0 3 236.359 2.575 20 0 BFADHN CC[C@@H](C)[C@@H](CN(C)Cc1ccccn1)OC ZINC000639041997 353323268 /nfs/dbraw/zinc/32/32/68/353323268.db2.gz RNXNXMUWSYXPGK-TZMCWYRMSA-N 0 3 236.359 2.575 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CCCC2(CC2)C1 ZINC000639057417 353363325 /nfs/dbraw/zinc/36/33/25/353363325.db2.gz PARSQBSQJLHPAG-ZDUSSCGKSA-N 0 3 245.370 2.747 20 0 BFADHN CC[C@@H](N[C@@H](C)CCO)c1ccccc1OC ZINC000122913978 324063015 /nfs/dbraw/zinc/06/30/15/324063015.db2.gz HITWWIOTLHRRFT-WCQYABFASA-N 0 3 237.343 2.507 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)Nc1cscc1Cl ZINC000639073797 353391607 /nfs/dbraw/zinc/39/16/07/353391607.db2.gz QWLOMRRHARPXOI-RCOVLWMOSA-N 0 3 246.763 2.713 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cnc(OC)s1 ZINC000639065520 353376082 /nfs/dbraw/zinc/37/60/82/353376082.db2.gz JJHTUFHMOUKGRQ-ONGXEEELSA-N 0 3 240.372 2.772 20 0 BFADHN Cc1ncc2c(n1)CCN(CC1CCCCC1)C2 ZINC000334508529 353378407 /nfs/dbraw/zinc/37/84/07/353378407.db2.gz MXHXRWUOVLFDIO-UHFFFAOYSA-N 0 3 245.370 2.723 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1cscc1Cl ZINC000639073944 353389345 /nfs/dbraw/zinc/38/93/45/353389345.db2.gz UFCPAAFIWMNBOD-SNVBAGLBSA-N 0 3 246.763 2.858 20 0 BFADHN Cc1ncc([C@@H](C)NC2CC(F)(F)C2)s1 ZINC000308989309 491051000 /nfs/dbraw/zinc/05/10/00/491051000.db2.gz KRUZZWGCSWBLCH-ZCFIWIBFSA-N 0 3 232.299 2.900 20 0 BFADHN C[C@@H]1CN(CC2CCSCC2)[C@H](C)[C@@H](C)O1 ZINC000639086751 353428521 /nfs/dbraw/zinc/42/85/21/353428521.db2.gz ZMOLBTIDWMNUBS-IJLUTSLNSA-N 0 3 243.416 2.627 20 0 BFADHN CCc1nn(C)cc1CN1CCC[C@@](C)(CC)C1 ZINC000180288781 538117727 /nfs/dbraw/zinc/11/77/27/538117727.db2.gz MDOVNAQTLGRBAM-OAHLLOKOSA-N 0 3 249.402 2.995 20 0 BFADHN CCC[C@@H](CN(C)Cc1ccc(C)cn1)OC ZINC000639096077 353487273 /nfs/dbraw/zinc/48/72/73/353487273.db2.gz AEJVDNJQMQYJJR-AWEZNQCLSA-N 0 3 236.359 2.637 20 0 BFADHN CCn1ccc(CN(C)C[C@](C)(CC)OC)c1 ZINC000639099447 353505337 /nfs/dbraw/zinc/50/53/37/353505337.db2.gz LBSGJOWZVFJZMH-AWEZNQCLSA-N 0 3 238.375 2.755 20 0 BFADHN CCCc1cccc(CN2CC3(C2)CCOC3)c1 ZINC000354775955 188420161 /nfs/dbraw/zinc/42/01/61/188420161.db2.gz TYVQLPBYZPRWOV-UHFFFAOYSA-N 0 3 245.366 2.861 20 0 BFADHN CCC(C)(C)CNCc1nc(C)cs1 ZINC000230639448 353519292 /nfs/dbraw/zinc/51/92/92/353519292.db2.gz MIHUQNLLMAUZMT-UHFFFAOYSA-N 0 3 212.362 2.977 20 0 BFADHN CCC[C@@H](CN(C)Cc1ccn(CC)c1)OC ZINC000639099184 353503720 /nfs/dbraw/zinc/50/37/20/353503720.db2.gz JKCGAMXZMOBXIF-AWEZNQCLSA-N 0 3 238.375 2.755 20 0 BFADHN CC1(C)C[C@H]1N[C@H]1CCCc2cccnc21 ZINC000085789605 491052978 /nfs/dbraw/zinc/05/29/78/491052978.db2.gz LCNMZPXSLVSVIA-NWDGAFQWSA-N 0 3 216.328 2.847 20 0 BFADHN C[C@@H]1C[C@@H](NC(C2CC2)C2CC2)c2nccn21 ZINC000345342800 188439700 /nfs/dbraw/zinc/43/97/00/188439700.db2.gz GHXCAPZRVJYTIH-BXKDBHETSA-N 0 3 231.343 2.667 20 0 BFADHN C[C@H]1SCCN(CCOC(C)(C)C)[C@@H]1C ZINC000118417496 353566659 /nfs/dbraw/zinc/56/66/59/353566659.db2.gz NJKHFXIKAFCHNW-GHMZBOCLSA-N 0 3 231.405 2.627 20 0 BFADHN Cc1ccc(CN2CCC23CCOCC3)c(F)c1 ZINC000639107050 353634831 /nfs/dbraw/zinc/63/48/31/353634831.db2.gz OQHXSZKRUYCYSM-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1cc(CN2CCCCCCC2)on1 ZINC000053398628 363240095 /nfs/dbraw/zinc/24/00/95/363240095.db2.gz QWFWUCVTNPQIOY-UHFFFAOYSA-N 0 3 208.305 2.749 20 0 BFADHN Cc1cc(CN2CCSCC[C@@H]2C)sn1 ZINC000639107172 353641003 /nfs/dbraw/zinc/64/10/03/353641003.db2.gz YMDFGWSMIHQYQD-JTQLQIEISA-N 0 3 242.413 2.779 20 0 BFADHN CC[C@H](C)[C@@H]1CCCCN1Cc1nccn1C ZINC000353806455 353695867 /nfs/dbraw/zinc/69/58/67/353695867.db2.gz PTVWIMZUWMVRSD-STQMWFEESA-N 0 3 235.375 2.821 20 0 BFADHN Fc1cccc2c1CCN(CC[C@@H]1CCOC1)C2 ZINC000336524965 181004936 /nfs/dbraw/zinc/00/49/36/181004936.db2.gz CKWSCIVTKRNPIB-GFCCVEGCSA-N 0 3 249.329 2.610 20 0 BFADHN Fc1cccc2c1CC[C@H]2NC[C@H]1CCCO1 ZINC000147592123 181006355 /nfs/dbraw/zinc/00/63/55/181006355.db2.gz IVHYZTVMCQNQFW-QMTHXVAHSA-N 0 3 235.302 2.582 20 0 BFADHN Fc1ccccc1CNC[C@H]1CCSC1 ZINC000224287472 181012466 /nfs/dbraw/zinc/01/24/66/181012466.db2.gz SCLUQRCLQVANIK-SNVBAGLBSA-N 0 3 225.332 2.668 20 0 BFADHN CC[C@@H](C)CN1CCN(c2ccccc2)CC1 ZINC000147496088 353752073 /nfs/dbraw/zinc/75/20/73/353752073.db2.gz IWXOVVIYCNJZOM-CQSZACIVSA-N 0 3 232.371 2.855 20 0 BFADHN Fc1ccccc1CN[C@H]1CCC12CCOCC2 ZINC000368522374 181012918 /nfs/dbraw/zinc/01/29/18/181012918.db2.gz NPLYESPXWMMBCW-AWEZNQCLSA-N 0 3 249.329 2.875 20 0 BFADHN C[C@H](NC[C@@]1(C)CCCC[C@@H]1O)c1ccoc1 ZINC000178280221 366111514 /nfs/dbraw/zinc/11/15/14/366111514.db2.gz KBGSPFNPUQOZRD-FPMFFAJLSA-N 0 3 237.343 2.871 20 0 BFADHN Fc1ccccc1N1CCCN(CC2CC2)CC1 ZINC000375852071 181014501 /nfs/dbraw/zinc/01/45/01/181014501.db2.gz NGQPKTWLNLRBAF-UHFFFAOYSA-N 0 3 248.345 2.748 20 0 BFADHN Fc1ccccc1C1(NC[C@H]2CCCOC2)CC1 ZINC000341855969 181009900 /nfs/dbraw/zinc/00/99/00/181009900.db2.gz QRZAIBVDGHCRGO-GFCCVEGCSA-N 0 3 249.329 2.831 20 0 BFADHN Cc1ncc([C@@H](C)N2CC(C(C)C)C2)c(C)n1 ZINC000639117851 353743879 /nfs/dbraw/zinc/74/38/79/353743879.db2.gz LJXBUANGSNEBIG-LLVKDONJSA-N 0 3 233.359 2.742 20 0 BFADHN Cc1c(CN(C)CC2(C(C)C)CC2)cnn1C ZINC000639117599 353746148 /nfs/dbraw/zinc/74/61/48/353746148.db2.gz RLULWLSZFABBFX-UHFFFAOYSA-N 0 3 235.375 2.597 20 0 BFADHN Fc1cncc(CN2CCC[C@@H]3CCC[C@@H]32)c1 ZINC000274397093 181019103 /nfs/dbraw/zinc/01/91/03/181019103.db2.gz XCGVLGMIUCYAQI-JSGCOSHPSA-N 0 3 234.318 2.985 20 0 BFADHN Fc1cncc(CN[C@@H]2CC23CCCC3)c1 ZINC000335620210 181019468 /nfs/dbraw/zinc/01/94/68/181019468.db2.gz NDIXFBSSHOXTGB-GFCCVEGCSA-N 0 3 220.291 2.643 20 0 BFADHN Fc1cnccc1CN1CCC[C@H](C2CC2)C1 ZINC000336222804 181020470 /nfs/dbraw/zinc/02/04/70/181020470.db2.gz LZIQITWKZPPMDZ-LBPRGKRZSA-N 0 3 234.318 2.843 20 0 BFADHN C[C@@H](N[C@@H]1CCC12CCCC2)c1ccncn1 ZINC000334521969 353887498 /nfs/dbraw/zinc/88/74/98/353887498.db2.gz ICGPJZRIOGIUOH-DGCLKSJQSA-N 0 3 231.343 2.850 20 0 BFADHN c1cn2c(n1)[C@@H](N[C@@H]1CCC13CCCC3)CC2 ZINC000334521830 353887568 /nfs/dbraw/zinc/88/75/68/353887568.db2.gz FPWNEJICQQLUTC-NWDGAFQWSA-N 0 3 231.343 2.640 20 0 BFADHN C[C@@H](c1ccco1)N1CCC[C@@]2(CCOC2)C1 ZINC000334531868 353910761 /nfs/dbraw/zinc/91/07/61/353910761.db2.gz XETSSDZWLZQYME-GXTWGEPZSA-N 0 3 235.327 2.843 20 0 BFADHN C[C@H]1CCCN1CCc1cccc(F)c1F ZINC000343553194 353920066 /nfs/dbraw/zinc/92/00/66/353920066.db2.gz PECZEMMQQMDWFM-JTQLQIEISA-N 0 3 225.282 2.992 20 0 BFADHN CO[C@H]1CCN(Cc2cccc(C)n2)CC1(C)C ZINC000334536433 353922557 /nfs/dbraw/zinc/92/25/57/353922557.db2.gz YIFDCXOMOGDPPO-AWEZNQCLSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@@H]1[C@H](Nc2nccc3occc32)CCCN1C ZINC000343603149 353931740 /nfs/dbraw/zinc/93/17/40/353931740.db2.gz RGRHHQDNLCFTRD-ZYHUDNBSSA-N 0 3 245.326 2.722 20 0 BFADHN COc1cc(C)c(CN[C@H]2CC2(C)C)cc1OC ZINC000343639546 353951153 /nfs/dbraw/zinc/95/11/53/353951153.db2.gz LWDXZVYIYVHHLC-AWEZNQCLSA-N 0 3 249.354 2.900 20 0 BFADHN CCC1(CC)[C@H](NCc2ccoc2)C[C@@H]1OC ZINC000178457223 366125642 /nfs/dbraw/zinc/12/56/42/366125642.db2.gz FWDNJHNPBPYWDL-OLZOCXBDSA-N 0 3 237.343 2.963 20 0 BFADHN CCCN(CC)[C@H](C)C(=O)N1CCCCCC1 ZINC000343636899 353950586 /nfs/dbraw/zinc/95/05/86/353950586.db2.gz CAXRBYXKBZQLJD-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN COc1cncc(CN2CCC[C@@H](C(C)C)C2)c1 ZINC000343707183 353968050 /nfs/dbraw/zinc/96/80/50/353968050.db2.gz DFZVMKBVHHTFEN-CQSZACIVSA-N 0 3 248.370 2.958 20 0 BFADHN CCc1nc(C)c(CN2C[C@@H](C)C[C@@H]2C)o1 ZINC000334540325 353974146 /nfs/dbraw/zinc/97/41/46/353974146.db2.gz RJGQPNWSZVNNGA-UWVGGRQHSA-N 0 3 222.332 2.776 20 0 BFADHN CCc1nc(C)c(CN2C[C@H](C)C[C@@H]2C)o1 ZINC000334540327 353974164 /nfs/dbraw/zinc/97/41/64/353974164.db2.gz RJGQPNWSZVNNGA-ZJUUUORDSA-N 0 3 222.332 2.776 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NC1CCCC1 ZINC000086109748 491057437 /nfs/dbraw/zinc/05/74/37/491057437.db2.gz SBEHTRDLSQEBGS-MRVPVSSYSA-N 0 3 208.305 2.885 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H](C)C1CC1 ZINC000086109738 491057492 /nfs/dbraw/zinc/05/74/92/491057492.db2.gz NWRXDTDWHRXKTE-YUMQZZPRSA-N 0 3 208.305 2.741 20 0 BFADHN Cc1cc(C)nc(NCc2cncc(F)c2)c1 ZINC000334552146 353992342 /nfs/dbraw/zinc/99/23/42/353992342.db2.gz PWBCXUSOVJBXEY-UHFFFAOYSA-N 0 3 231.274 2.845 20 0 BFADHN Cc1nc([C@H](C)N2CCCC3(CCCC3)C2)n[nH]1 ZINC000334553123 353995350 /nfs/dbraw/zinc/99/53/50/353995350.db2.gz DIVIHNXCLRPSSZ-NSHDSACASA-N 0 3 248.374 2.830 20 0 BFADHN COc1c(O)cccc1CNC[C@@H]1CCC[C@@H]1C ZINC000343644822 353951837 /nfs/dbraw/zinc/95/18/37/353951837.db2.gz XCIGSSDWTULSEP-RYUDHWBXSA-N 0 3 249.354 2.927 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cncc(OC)c1 ZINC000343803809 354008297 /nfs/dbraw/zinc/00/82/97/354008297.db2.gz CGELAOOZOJMYKV-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@H]1CCCN(Cc2cc(C)no2)CC1 ZINC000343919807 354042466 /nfs/dbraw/zinc/04/24/66/354042466.db2.gz HZSXXTAPBINNMI-LBPRGKRZSA-N 0 3 222.332 2.995 20 0 BFADHN c1cncc([C@@H]2CCCN2CCC[C@H]2CCO2)c1 ZINC000639167071 354045829 /nfs/dbraw/zinc/04/58/29/354045829.db2.gz ANNWJDUPTQPVPB-GJZGRUSLSA-N 0 3 246.354 2.788 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1nc2ccccc2o1 ZINC000639167108 354046639 /nfs/dbraw/zinc/04/66/39/354046639.db2.gz ZGCGAVQCQMWMGE-NXEZZACHSA-N 0 3 216.284 2.716 20 0 BFADHN CCC(CC)N(CC)Cc1cc(C)n(C)n1 ZINC000344040543 354106449 /nfs/dbraw/zinc/10/64/49/354106449.db2.gz VFCUALVUYLUFAS-UHFFFAOYSA-N 0 3 223.364 2.739 20 0 BFADHN COc1ccc(CN2C[C@@H](C)CC(C)(C)C2)cn1 ZINC000344041510 354107282 /nfs/dbraw/zinc/10/72/82/354107282.db2.gz VZNUEFKKFZEXKE-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN CCc1ccc(CN2CCCSCC2)nc1 ZINC000334560929 354113752 /nfs/dbraw/zinc/11/37/52/354113752.db2.gz IVTKJJJEEJJRLC-UHFFFAOYSA-N 0 3 236.384 2.583 20 0 BFADHN C[C@H](NCc1ccc(F)cn1)C1CC(F)(F)C1 ZINC000529540774 324331641 /nfs/dbraw/zinc/33/16/41/324331641.db2.gz FXLNRIXLBRZMCM-QMMMGPOBSA-N 0 3 244.260 2.744 20 0 BFADHN Cc1occc1CN[C@@H]1[C@@H]2CCCO[C@@H]2C1(C)C ZINC000344049931 354122175 /nfs/dbraw/zinc/12/21/75/354122175.db2.gz INALWCXQHNPTHR-MJBXVCDLSA-N 0 3 249.354 2.881 20 0 BFADHN CCC(CC)N(CC)Cc1n[nH]c(C2CC2)n1 ZINC000344050716 354123457 /nfs/dbraw/zinc/12/34/57/354123457.db2.gz HVGYKKOUMSUPPG-UHFFFAOYSA-N 0 3 236.363 2.693 20 0 BFADHN CCC(CC)[N@H+](CC)Cc1nnc(C2CC2)[n-]1 ZINC000344050716 354123461 /nfs/dbraw/zinc/12/34/61/354123461.db2.gz HVGYKKOUMSUPPG-UHFFFAOYSA-N 0 3 236.363 2.693 20 0 BFADHN CCC(CC)[N@@H+](CC)Cc1nnc(C2CC2)[n-]1 ZINC000344050716 354123465 /nfs/dbraw/zinc/12/34/65/354123465.db2.gz HVGYKKOUMSUPPG-UHFFFAOYSA-N 0 3 236.363 2.693 20 0 BFADHN Cc1csc(CNC[C@@H]2CCC[C@H]2C)n1 ZINC000230665257 363318800 /nfs/dbraw/zinc/31/88/00/363318800.db2.gz LLTPQBBSGHNBLP-KOLCDFICSA-N 0 3 224.373 2.977 20 0 BFADHN C[C@@H]1CC[C@H](N[C@H](c2nccn2C)C2CC2)C1 ZINC000353848315 354169954 /nfs/dbraw/zinc/16/99/54/354169954.db2.gz VGIVYQMMTYBHTE-WXHSDQCUSA-N 0 3 233.359 2.649 20 0 BFADHN CC(C)[C@@H](N[C@H]1CCCOC1)c1cccnc1 ZINC000344119142 354143181 /nfs/dbraw/zinc/14/31/81/354143181.db2.gz WJGFCQLKCFQAQA-UONOGXRCSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)[C@H](N[C@H]1CCCOC1)c1cccnc1 ZINC000344119140 354143719 /nfs/dbraw/zinc/14/37/19/354143719.db2.gz WJGFCQLKCFQAQA-KBPBESRZSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@H]1CC[C@@H](NCc2cnc(C3CC3)o2)C1 ZINC000449700937 202004049 /nfs/dbraw/zinc/00/40/49/202004049.db2.gz VDFOQCLOIWVILE-GXSJLCMTSA-N 0 3 220.316 2.830 20 0 BFADHN C[C@@H](NCc1cnc(C2CC2)o1)C1CCC1 ZINC000449699799 202004252 /nfs/dbraw/zinc/00/42/52/202004252.db2.gz ABMVOOOMHNNSGT-SECBINFHSA-N 0 3 220.316 2.830 20 0 BFADHN CCC1CC(NCc2cnc(C3CC3)o2)C1 ZINC000449706840 202007907 /nfs/dbraw/zinc/00/79/07/202007907.db2.gz OSRJFFWFELLIJP-UHFFFAOYSA-N 0 3 220.316 2.830 20 0 BFADHN c1nc(C2CC2)oc1CNC[C@@H]1CC=CCC1 ZINC000449698417 202005233 /nfs/dbraw/zinc/00/52/33/202005233.db2.gz NLJNSQLSNPGFPL-LLVKDONJSA-N 0 3 232.327 2.998 20 0 BFADHN C[C@@H](Cc1ccoc1)NCc1cnc(C2CC2)o1 ZINC000449708155 202006609 /nfs/dbraw/zinc/00/66/09/202006609.db2.gz UEXPIIRSXPYKDT-JTQLQIEISA-N 0 3 246.310 2.866 20 0 BFADHN CC1(C)CC(NCc2cnc(C3CC3)o2)C1 ZINC000449709038 202006857 /nfs/dbraw/zinc/00/68/57/202006857.db2.gz XQJWDAAMGWAGKT-UHFFFAOYSA-N 0 3 220.316 2.830 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2ncc(C)n2C)C1 ZINC000449715985 202007369 /nfs/dbraw/zinc/00/73/69/202007369.db2.gz FTKPSHHDWZRWRT-WCQYABFASA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1cnc(C2CC2)o1 ZINC000449708147 202007542 /nfs/dbraw/zinc/00/75/42/202007542.db2.gz UEQHEBJDQVNELJ-CABZTGNLSA-N 0 3 220.316 2.830 20 0 BFADHN Fc1ccc(C2(NCc3cc[nH]c3)CC2)cc1 ZINC000344239100 354187617 /nfs/dbraw/zinc/18/76/17/354187617.db2.gz BLNKJYKWJWPRJQ-UHFFFAOYSA-N 0 3 230.286 2.933 20 0 BFADHN Cc1cc(C)c(CN[C@H](C)COC(C)C)cn1 ZINC000449736239 202012910 /nfs/dbraw/zinc/01/29/10/202012910.db2.gz UNKZAQODKFMMDC-CYBMUJFWSA-N 0 3 236.359 2.602 20 0 BFADHN C[C@]1(NCc2ccc(F)cn2)CC=CCC1 ZINC000529604741 324361963 /nfs/dbraw/zinc/36/19/63/324361963.db2.gz MYJTVDUVVQZSRH-ZDUSSCGKSA-N 0 3 220.291 2.809 20 0 BFADHN COCC1(CNCc2ccc(C)o2)CCCC1 ZINC000449742213 202016040 /nfs/dbraw/zinc/01/60/40/202016040.db2.gz YNDZPAFEYRWRRV-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN COc1cccnc1CNC1CCCCCC1 ZINC000449747284 202017319 /nfs/dbraw/zinc/01/73/19/202017319.db2.gz IRCGVUBNQRTJEA-UHFFFAOYSA-N 0 3 234.343 2.903 20 0 BFADHN COc1cccnc1CNCCC(C)(C)C ZINC000449746061 202017449 /nfs/dbraw/zinc/01/74/49/202017449.db2.gz DPPDVELBSOWCHH-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN COc1cccnc1CN[C@@H](C)[C@@H]1CC1(C)C ZINC000449746812 202017528 /nfs/dbraw/zinc/01/75/28/202017528.db2.gz HAHDLLAUVJZQMK-QWRGUYRKSA-N 0 3 234.343 2.614 20 0 BFADHN C[C@@H]1CN(CCc2cncs2)CCC1(F)F ZINC000334583307 354203709 /nfs/dbraw/zinc/20/37/09/354203709.db2.gz IPFDDRIQQFALMD-SECBINFHSA-N 0 3 246.326 2.663 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ncccc1OC ZINC000449746588 202018641 /nfs/dbraw/zinc/01/86/41/202018641.db2.gz GOWATDWETQGBFX-GHMZBOCLSA-N 0 3 222.332 2.614 20 0 BFADHN C[C@H]1CCCC[C@H]1CCNCc1cncnc1 ZINC000344378825 354256452 /nfs/dbraw/zinc/25/64/52/354256452.db2.gz LXKSXEUWCTYKMO-JSGCOSHPSA-N 0 3 233.359 2.783 20 0 BFADHN CCn1cncc1CN1CCC[C@@H](C)CC1 ZINC000334596639 354230050 /nfs/dbraw/zinc/23/00/50/354230050.db2.gz FWVFVWCQDBDFJP-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN Cc1cccc(CN2CC3(C2)CCCOC3)c1 ZINC000334599670 354235376 /nfs/dbraw/zinc/23/53/76/354235376.db2.gz MIODEWCLINBROC-UHFFFAOYSA-N 0 3 231.339 2.607 20 0 BFADHN COc1ccc(CNCC(C)(C)C)c(F)c1 ZINC000165930279 354285429 /nfs/dbraw/zinc/28/54/29/354285429.db2.gz GRUQEIIRLOTJFB-UHFFFAOYSA-N 0 3 225.307 2.970 20 0 BFADHN Cc1cc(CNCCC2(F)CCC2)sn1 ZINC000639180991 354290727 /nfs/dbraw/zinc/29/07/27/354290727.db2.gz LPGRNDHQOHIITC-UHFFFAOYSA-N 0 3 228.336 2.823 20 0 BFADHN C[C@H]1C[C@H](C)CN(CCSc2ncco2)C1 ZINC000577964060 366148194 /nfs/dbraw/zinc/14/81/94/366148194.db2.gz XHNFPUDDLVEOCS-QWRGUYRKSA-N 0 3 240.372 2.745 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(C)nc2C)[C@@H](C)C1 ZINC000334615241 354301070 /nfs/dbraw/zinc/30/10/70/354301070.db2.gz QQFHPXOCPQBOOU-SWLSCSKDSA-N 0 3 248.370 2.698 20 0 BFADHN CCc1ccc(CN[C@@H](CC)C[C@@H](C)CO)o1 ZINC000344579101 354310173 /nfs/dbraw/zinc/31/01/73/354310173.db2.gz MXWMSHYILFURHE-NEPJUHHUSA-N 0 3 239.359 2.729 20 0 BFADHN Cc1ccoc1CNC[C@](C)(O)CCC(C)C ZINC000344443552 354275071 /nfs/dbraw/zinc/27/50/71/354275071.db2.gz SUBMEIHYVDMQHB-CQSZACIVSA-N 0 3 239.359 2.865 20 0 BFADHN CS[C@@H]1CCN(Cc2c(C)nsc2C)C1 ZINC000577961627 366145461 /nfs/dbraw/zinc/14/54/61/366145461.db2.gz YTDWLALYKRJHQC-SNVBAGLBSA-N 0 3 242.413 2.697 20 0 BFADHN FC1(CCNCc2cc(C3CC3)no2)CCC1 ZINC000639180399 354277540 /nfs/dbraw/zinc/27/75/40/354277540.db2.gz HXVVDMNLNPTZKM-UHFFFAOYSA-N 0 3 238.306 2.924 20 0 BFADHN Cc1ccc(CN[C@H](C)Cc2ccncc2)o1 ZINC000344760503 354355215 /nfs/dbraw/zinc/35/52/15/354355215.db2.gz MGWNOHUQCKQIRL-LLVKDONJSA-N 0 3 230.311 2.704 20 0 BFADHN CCO[C@@H]1C[C@H](N[C@@H](C)CF)C12CCCC2 ZINC000639183929 354355299 /nfs/dbraw/zinc/35/52/99/354355299.db2.gz XCXNUJNRMNOEKS-SDDRHHMPSA-N 0 3 229.339 2.672 20 0 BFADHN CCn1cc(CN[C@@H]2CCCC(F)(F)C2)cn1 ZINC000344767352 354357338 /nfs/dbraw/zinc/35/73/38/354357338.db2.gz CCYVKOODMBJZTE-LLVKDONJSA-N 0 3 243.301 2.571 20 0 BFADHN CO[C@H]1CCN(Cc2ccoc2C)[C@H](C)C1 ZINC000334608321 354315469 /nfs/dbraw/zinc/31/54/69/354315469.db2.gz DXOLHSNNXXZJCY-MFKMUULPSA-N 0 3 223.316 2.587 20 0 BFADHN CC[C@H](C[C@H](C)CO)NCc1ccccc1F ZINC000344592995 354322358 /nfs/dbraw/zinc/32/23/58/354322358.db2.gz PEAJRTLZGWCNJM-WCQYABFASA-N 0 3 239.334 2.712 20 0 BFADHN C[C@@H](CF)NCC(C)(C)Oc1ccccc1 ZINC000639182937 354340409 /nfs/dbraw/zinc/34/04/09/354340409.db2.gz MZTHZSDLKBDKGN-NSHDSACASA-N 0 3 225.307 2.792 20 0 BFADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@@H](C)CF ZINC000639183086 354342270 /nfs/dbraw/zinc/34/22/70/354342270.db2.gz ODTGHXDEQHSCBD-LOWVWBTDSA-N 0 3 225.307 2.710 20 0 BFADHN CC[C@](C)(CN[C@@H]1c2ccccc2O[C@@H]1C)OC ZINC000639186196 354380095 /nfs/dbraw/zinc/38/00/95/354380095.db2.gz KJKTYTCTIRPYRW-BYCMXARLSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@H](N[C@@H]1c2ccccc2O[C@H]1C)[C@@H]1CCCO1 ZINC000639185724 354380501 /nfs/dbraw/zinc/38/05/01/354380501.db2.gz CWYXBBFJAWJKHN-UHXUCMFUSA-N 0 3 247.338 2.666 20 0 BFADHN CCC[C@H](CN[C@H]1c2ccccc2O[C@@H]1C)OC ZINC000639185695 354380522 /nfs/dbraw/zinc/38/05/22/354380522.db2.gz CHVWHZQGUBICHF-LALPHHSUSA-N 0 3 249.354 2.913 20 0 BFADHN COC[C@H](N[C@@H]1c2ccccc2O[C@@H]1C)C1CC1 ZINC000639185954 354381273 /nfs/dbraw/zinc/38/12/73/354381273.db2.gz GOHFXGNQKWTLMB-DGFSRKRXSA-N 0 3 247.338 2.523 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H]1c2ccccc2O[C@@H]1C ZINC000639187017 354381471 /nfs/dbraw/zinc/38/14/71/354381471.db2.gz WYIWRPYRVQSFHY-ICUOPCATSA-N 0 3 235.327 2.522 20 0 BFADHN CCC[C@@H](C)CNCc1ncccc1OC ZINC000449749233 202019319 /nfs/dbraw/zinc/01/93/19/202019319.db2.gz OVBTUWYKFKJERT-LLVKDONJSA-N 0 3 222.332 2.616 20 0 BFADHN CCC[C@H](CN1CCC(F)(F)[C@@H](C)C1)OC ZINC000639187720 354388700 /nfs/dbraw/zinc/38/87/00/354388700.db2.gz FCJBREPYZZUQQB-WDEREUQCSA-N 0 3 235.318 2.779 20 0 BFADHN COc1cccnc1CN[C@H]1CCC[C@@H]1C1CC1 ZINC000449748362 202019973 /nfs/dbraw/zinc/01/99/73/202019973.db2.gz LOJIGKIURQRMRC-OLZOCXBDSA-N 0 3 246.354 2.759 20 0 BFADHN CN(Cc1cc2ccc(F)cc2[nH]1)[C@H]1CCOC1 ZINC000334641265 354397008 /nfs/dbraw/zinc/39/70/08/354397008.db2.gz RDLPARKNEBAACA-ZDUSSCGKSA-N 0 3 248.301 2.528 20 0 BFADHN CC1CCN(CCN2CCC[C@](C)(F)C2)CC1 ZINC000334623259 354362017 /nfs/dbraw/zinc/36/20/17/354362017.db2.gz SDKNEBRAHJEZHY-AWEZNQCLSA-N 0 3 242.382 2.542 20 0 BFADHN COc1cccnc1CNC[C@@H](C)CC(C)C ZINC000449750117 202020380 /nfs/dbraw/zinc/02/03/80/202020380.db2.gz SREPNNOMYSUVQN-LBPRGKRZSA-N 0 3 236.359 2.862 20 0 BFADHN Cc1cccnc1N(C)C(=O)C(C)C(F)(F)F ZINC000334629278 354371554 /nfs/dbraw/zinc/37/15/54/354371554.db2.gz YYEKZXWWNNHSBL-QMMMGPOBSA-N 0 3 246.232 2.551 20 0 BFADHN F[C@H]1CCN(CC2CCC(F)(F)CC2)C1 ZINC000334646801 354437129 /nfs/dbraw/zinc/43/71/29/354437129.db2.gz NUABZUIRCJKCAF-JTQLQIEISA-N 0 3 221.266 2.856 20 0 BFADHN CCc1cc(N2CC[C@@H](OC)C(C)(C)C2)ccn1 ZINC000334643756 354401240 /nfs/dbraw/zinc/40/12/40/354401240.db2.gz FPSQVUQMMOGGNA-CQSZACIVSA-N 0 3 248.370 2.895 20 0 BFADHN Cc1ncc(NC(=O)C(C)C(F)(F)F)s1 ZINC000335920785 134007221 /nfs/dbraw/zinc/00/72/21/134007221.db2.gz OFEQRMMZGWLGBV-BYPYZUCNSA-N 0 3 238.234 2.588 20 0 BFADHN CC1(C)CN(Cc2ccc(CO)cc2)[C@@H]1C1CC1 ZINC000639190219 354415275 /nfs/dbraw/zinc/41/52/75/354415275.db2.gz MLVLJYDBPPBNGC-OAHLLOKOSA-N 0 3 245.366 2.799 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1cc2cccnc2o1 ZINC000334678436 354422252 /nfs/dbraw/zinc/42/22/52/354422252.db2.gz LJJHNISYUKDQFM-AOOOYVTPSA-N 0 3 216.284 2.811 20 0 BFADHN Oc1cccc(CN2CCSC[C@@H]2C2CC2)c1 ZINC000334680069 354425883 /nfs/dbraw/zinc/42/58/83/354425883.db2.gz ZHTHRNSTZZUPJL-CQSZACIVSA-N 0 3 249.379 2.720 20 0 BFADHN CC1(CN2CCC[C@@H]2c2cc[nH]n2)CCC1 ZINC000334659559 354456610 /nfs/dbraw/zinc/45/66/10/354456610.db2.gz LUFFNZISXXBUMA-GFCCVEGCSA-N 0 3 219.332 2.737 20 0 BFADHN Cc1cc(CN2C[C@H](C)CC(C)(C)C2)on1 ZINC000334746562 354470995 /nfs/dbraw/zinc/47/09/95/354470995.db2.gz AVJGYGHPUDQCMS-SNVBAGLBSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1cn[nH]c1CN1CCc2cc(C)ccc2C1 ZINC000334649276 354440858 /nfs/dbraw/zinc/44/08/58/354440858.db2.gz JIOUCFWBHYAMBF-UHFFFAOYSA-N 0 3 241.338 2.585 20 0 BFADHN Cc1ncc(CN[C@H]2CC=C(C)CC2)o1 ZINC000334727214 354527215 /nfs/dbraw/zinc/52/72/15/354527215.db2.gz XSJLSJJRMDSDAB-NSHDSACASA-N 0 3 206.289 2.571 20 0 BFADHN CO[C@@H]1CCN(Cc2cccc(F)c2)C[C@H]1C ZINC000334737671 354540367 /nfs/dbraw/zinc/54/03/67/354540367.db2.gz FHGPCCWORNQVJS-BXUZGUMPSA-N 0 3 237.318 2.683 20 0 BFADHN CO[C@H]1CCN(Cc2ccccc2F)C[C@H]1C ZINC000334738882 354541871 /nfs/dbraw/zinc/54/18/71/354541871.db2.gz RAEZANCSWXWKKL-RISCZKNCSA-N 0 3 237.318 2.683 20 0 BFADHN CO[C@@H]1CCN(Cc2ccccc2F)C[C@@H]1C ZINC000334738885 354542138 /nfs/dbraw/zinc/54/21/38/354542138.db2.gz RAEZANCSWXWKKL-SMDDNHRTSA-N 0 3 237.318 2.683 20 0 BFADHN COCCN1Cc2sccc2CC1(C)C ZINC000334739729 354542862 /nfs/dbraw/zinc/54/28/62/354542862.db2.gz FQYVGTTXLWSYOH-UHFFFAOYSA-N 0 3 225.357 2.531 20 0 BFADHN C[C@H]1C[C@H]1CN1CCN(C)CC12CCCCC2 ZINC000334710807 354507603 /nfs/dbraw/zinc/50/76/03/354507603.db2.gz OUOJBSFNKDAJIK-KBPBESRZSA-N 0 3 236.403 2.593 20 0 BFADHN c1cc(CN[C@@H]2CCCSC2)nc2c1CCC2 ZINC000334720162 354520422 /nfs/dbraw/zinc/52/04/22/354520422.db2.gz ZIOSVOGYTAILHZ-CYBMUJFWSA-N 0 3 248.395 2.556 20 0 BFADHN C[C@@H]1CCN(CCc2cncs2)CCS1 ZINC000334813412 354570570 /nfs/dbraw/zinc/57/05/70/354570570.db2.gz UYMYLSVZMLVZBG-SNVBAGLBSA-N 0 3 242.413 2.513 20 0 BFADHN CCC[C@@H](CN1CCCc2occc2C1)OC ZINC000639192626 354578701 /nfs/dbraw/zinc/57/87/01/354578701.db2.gz NUMBJGNNIOVRGL-ZDUSSCGKSA-N 0 3 237.343 2.843 20 0 BFADHN Cc1cncc(CN(C)[C@H]2CC2(C)C)c1 ZINC000334766827 354588283 /nfs/dbraw/zinc/58/82/83/354588283.db2.gz FWYUWOUVPJLHLD-LBPRGKRZSA-N 0 3 204.317 2.620 20 0 BFADHN CC(C)[C@H]1CCN(Cc2ccncc2F)C1 ZINC000334769085 354590273 /nfs/dbraw/zinc/59/02/73/354590273.db2.gz ZMIMKTYTDBVVRI-NSHDSACASA-N 0 3 222.307 2.699 20 0 BFADHN CCN(Cc1c(C)cccc1OC)[C@@H]1CCOC1 ZINC000639198547 354599952 /nfs/dbraw/zinc/59/99/52/354599952.db2.gz QMERUJHRWRWABC-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN CN(Cc1ccncc1)C1CC(C)(C)C1 ZINC000334796149 354550434 /nfs/dbraw/zinc/55/04/34/354550434.db2.gz AVPYTHKSXQQUIX-UHFFFAOYSA-N 0 3 204.317 2.702 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc2nccnc2c1 ZINC000639203743 354633326 /nfs/dbraw/zinc/63/33/26/354633326.db2.gz YXEGMQRROWOYTL-GFCCVEGCSA-N 0 3 227.311 2.614 20 0 BFADHN CC[C@H]1CCN1[C@H](c1nc[nH]n1)C1CCCCC1 ZINC000639202461 354608275 /nfs/dbraw/zinc/60/82/75/354608275.db2.gz BVSFFKWDGFDLRM-STQMWFEESA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@H]1CC[N@@H+]1[C@H](c1nnc[n-]1)C1CCCCC1 ZINC000639202461 354608277 /nfs/dbraw/zinc/60/82/77/354608277.db2.gz BVSFFKWDGFDLRM-STQMWFEESA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@H]1CC[N@H+]1[C@H](c1nnc[n-]1)C1CCCCC1 ZINC000639202461 354608279 /nfs/dbraw/zinc/60/82/79/354608279.db2.gz BVSFFKWDGFDLRM-STQMWFEESA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@H]1CCN1[C@H](c1nnc[nH]1)C1CCCCC1 ZINC000639202461 354608282 /nfs/dbraw/zinc/60/82/82/354608282.db2.gz BVSFFKWDGFDLRM-STQMWFEESA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@@H]1CCN1[C@@H](C)c1cnc(C)nc1C ZINC000639202826 354608407 /nfs/dbraw/zinc/60/84/07/354608407.db2.gz KVSAGSZQIYIJCU-CMPLNLGQSA-N 0 3 219.332 2.639 20 0 BFADHN COc1ccncc1CN1CC2(CCC2)C[C@@H]1C ZINC000335085719 354619350 /nfs/dbraw/zinc/61/93/50/354619350.db2.gz NFCOULHTQXVLRU-LBPRGKRZSA-N 0 3 246.354 2.855 20 0 BFADHN CCc1ccc(CNC2(C(C)C)CC2)nc1 ZINC000379452809 358488512 /nfs/dbraw/zinc/48/85/12/358488512.db2.gz NWGVYTXRRRHLAA-UHFFFAOYSA-N 0 3 218.344 2.922 20 0 BFADHN CCCN(CCC)C(=O)[C@@H](CC)N(CC)CC ZINC000345612641 354714754 /nfs/dbraw/zinc/71/47/54/354714754.db2.gz RMWWARMTJZQIEL-CYBMUJFWSA-N 0 3 242.407 2.755 20 0 BFADHN CCc1noc(C)c1CN[C@H](C)[C@@H]1CC1(F)F ZINC000639207228 354672057 /nfs/dbraw/zinc/67/20/57/354672057.db2.gz ZKPNMVOAMDEVGU-XCBNKYQSSA-N 0 3 244.285 2.679 20 0 BFADHN CCCN(CC)Cc1c(C)nc2ccccn21 ZINC000345751213 354752227 /nfs/dbraw/zinc/75/22/27/354752227.db2.gz FLQHLIXWWFRZQD-UHFFFAOYSA-N 0 3 231.343 2.875 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2ccc(C)cn2)C1(C)C ZINC000345706094 354753883 /nfs/dbraw/zinc/75/38/83/354753883.db2.gz MJERNTLXEIYYMT-IACUBPJLSA-N 0 3 248.370 2.539 20 0 BFADHN C[C@H]1CCN(Cc2cnc(C3CC3)nc2)C[C@H]1C ZINC000335138332 354789259 /nfs/dbraw/zinc/78/92/59/354789259.db2.gz IGXNHMXXZXKLKY-NWDGAFQWSA-N 0 3 245.370 2.832 20 0 BFADHN CCc1ccc(CN2CCC23CCC3)cn1 ZINC000335138674 354790190 /nfs/dbraw/zinc/79/01/90/354790190.db2.gz BPULPLLHLBRJQB-UHFFFAOYSA-N 0 3 216.328 2.772 20 0 BFADHN CCC(=O)CCCN1CCS[C@H](C)[C@@H]1C ZINC000232861271 363387762 /nfs/dbraw/zinc/38/77/62/363387762.db2.gz BMVGHPSDOGEPQN-WDEREUQCSA-N 0 3 229.389 2.572 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](CO)C2CC2)o1 ZINC000353878133 354819367 /nfs/dbraw/zinc/81/93/67/354819367.db2.gz HIISRJQRFMDKLY-ZYHUDNBSSA-N 0 3 237.343 2.511 20 0 BFADHN CC1(CNCc2ccc(Br)o2)CC1 ZINC000232927752 363388977 /nfs/dbraw/zinc/38/89/77/363388977.db2.gz CUZGILCGQIYKAM-UHFFFAOYSA-N 0 3 244.132 2.932 20 0 BFADHN C([C@@H]1C[C@H]2C[C@H]2C1)N1C[C@]2(CCCO2)[C@H]1C1CC1 ZINC000639215543 354821590 /nfs/dbraw/zinc/82/15/90/354821590.db2.gz YUROBLUUGJMUDJ-DPZJBDQQSA-N 0 3 247.382 2.676 20 0 BFADHN CCc1cccc(CN2CCC(OC)CC2)c1 ZINC000345920952 354826914 /nfs/dbraw/zinc/82/69/14/354826914.db2.gz HMWXZOSFOQSWIM-UHFFFAOYSA-N 0 3 233.355 2.860 20 0 BFADHN C[C@H]1CN(Cc2nc3ccccc3[nH]2)C[C@@H]1C ZINC000353878507 354832469 /nfs/dbraw/zinc/83/24/69/354832469.db2.gz DPQWQZVIRWXBNK-QWRGUYRKSA-N 0 3 229.327 2.651 20 0 BFADHN CCC[C@H](CC)NC(=O)[C@@H](CC)N(CC)CC ZINC000345992051 354834451 /nfs/dbraw/zinc/83/44/51/354834451.db2.gz CYDBKYLCEXKFCE-QWHCGFSZSA-N 0 3 242.407 2.802 20 0 BFADHN CCC[C@H](CC)NC(=O)[C@H](CC)N(CC)CC ZINC000345992053 354834500 /nfs/dbraw/zinc/83/45/00/354834500.db2.gz CYDBKYLCEXKFCE-STQMWFEESA-N 0 3 242.407 2.802 20 0 BFADHN CC[C@H](C(=O)N(C)[C@H](C)C(C)C)N(CC)CC ZINC000345991316 354834801 /nfs/dbraw/zinc/83/48/01/354834801.db2.gz MCWGRBZIJQKWMU-CHWSQXEVSA-N 0 3 242.407 2.610 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2cnc(C3CC3)nc2)C1 ZINC000335140599 354844271 /nfs/dbraw/zinc/84/42/71/354844271.db2.gz ULOUOHKFTBMGKD-VXGBXAGGSA-N 0 3 245.370 2.974 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCC(=O)[C@@H](C)C2)C1 ZINC000235340310 363441223 /nfs/dbraw/zinc/44/12/23/363441223.db2.gz UQMDSMVEYLKJMZ-AGIUHOORSA-N 0 3 223.360 2.724 20 0 BFADHN CCCN(CC)CC(=O)N1CCCC[C@@H]1CC ZINC000346318226 354895189 /nfs/dbraw/zinc/89/51/89/354895189.db2.gz QEPOPGUBOODWTN-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCC(=O)[C@@H](C)C2)C1 ZINC000235357515 363442786 /nfs/dbraw/zinc/44/27/86/363442786.db2.gz UQMDSMVEYLKJMZ-FRRDWIJNSA-N 0 3 223.360 2.724 20 0 BFADHN FC(F)(F)CCN1CCC[C@H]1[C@@H]1CCCO1 ZINC000366942510 354903061 /nfs/dbraw/zinc/90/30/61/354903061.db2.gz DSCGOFKCAFEVNS-UWVGGRQHSA-N 0 3 237.265 2.582 20 0 BFADHN COC[C@@H](C)NCc1ccc(C)c(Cl)c1 ZINC000234688340 363427713 /nfs/dbraw/zinc/42/77/13/363427713.db2.gz GUIFPRCRRGHXQW-SNVBAGLBSA-N 0 3 227.735 2.773 20 0 BFADHN COc1cccnc1CN[C@@H]1CCC[C@H]2C[C@]21C ZINC000449750431 202021139 /nfs/dbraw/zinc/02/11/39/202021139.db2.gz UMWTVRHFBGQOFZ-NILFDRSVSA-N 0 3 246.354 2.759 20 0 BFADHN F[C@@H]1CC[C@@H](NCc2ccc3c(n2)CCC3)C1 ZINC000335170174 354932585 /nfs/dbraw/zinc/93/25/85/354932585.db2.gz LSXWKUNQFRBTNI-VXGBXAGGSA-N 0 3 234.318 2.551 20 0 BFADHN CN(CC1(O)CCC1)c1ccnc2ccccc21 ZINC000335164431 354952184 /nfs/dbraw/zinc/95/21/84/354952184.db2.gz BVGODOGOXVRXNT-UHFFFAOYSA-N 0 3 242.322 2.586 20 0 BFADHN CCn1cccc1CN(C)CC[C@H]1CCCO1 ZINC000346490846 354958739 /nfs/dbraw/zinc/95/87/39/354958739.db2.gz KKAAXAOWOWKNKG-CQSZACIVSA-N 0 3 236.359 2.509 20 0 BFADHN CCN(CCC1CC1)[C@H](CC(C)C)C(=O)OC ZINC000351987022 134025833 /nfs/dbraw/zinc/02/58/33/134025833.db2.gz PAUMCQIPWFMLFR-CYBMUJFWSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1nc2ccccc2nc1CN[C@@H](C)C1CC1 ZINC000639224954 354995656 /nfs/dbraw/zinc/99/56/56/354995656.db2.gz CWXXMWZMOUFIAS-JTQLQIEISA-N 0 3 241.338 2.826 20 0 BFADHN C[C@H](N[C@H](c1cccnc1)C1CC1)[C@H]1CCCO1 ZINC000346624131 354995823 /nfs/dbraw/zinc/99/58/23/354995823.db2.gz DDTVMMXTUCRFNL-GLQYFDAESA-N 0 3 246.354 2.690 20 0 BFADHN Cc1noc(C)c1CN1CCC[C@H](C2CC2)C1 ZINC000335188813 355020784 /nfs/dbraw/zinc/02/07/84/355020784.db2.gz COLSJDYBVSYXLT-ZDUSSCGKSA-N 0 3 234.343 2.913 20 0 BFADHN CCc1cc(N2CCC3(C2)CCOCC3)ccn1 ZINC000335228073 355069974 /nfs/dbraw/zinc/06/99/74/355069974.db2.gz DMZORLHTUGHVRT-UHFFFAOYSA-N 0 3 246.354 2.651 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(-c3cc[nH]n3)o2)C1 ZINC000335229533 355072440 /nfs/dbraw/zinc/07/24/40/355072440.db2.gz OAGZYLRBHDGXOF-SNVBAGLBSA-N 0 3 231.299 2.512 20 0 BFADHN C[C@H]1CCN(Cc2ccc(-c3cc[nH]n3)o2)C1 ZINC000335229532 355072668 /nfs/dbraw/zinc/07/26/68/355072668.db2.gz OAGZYLRBHDGXOF-JTQLQIEISA-N 0 3 231.299 2.512 20 0 BFADHN F[C@H]1CCN(C[C@@H]2CCN(c3ccccc3)C2)C1 ZINC000639226925 355046661 /nfs/dbraw/zinc/04/66/61/355046661.db2.gz GROVKPDNVUSXSB-KBPBESRZSA-N 0 3 248.345 2.557 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1ccc(CC)nc1 ZINC000449754066 202022382 /nfs/dbraw/zinc/02/23/82/202022382.db2.gz ILCMQPSNSIPTCF-HUUCEWRRSA-N 0 3 248.370 2.691 20 0 BFADHN Cc1ccc(NC(=O)C(C)C(F)(F)F)cc1O ZINC000335976405 134031362 /nfs/dbraw/zinc/03/13/62/134031362.db2.gz IYUDYYUHMQAVKX-SSDOTTSWSA-N 0 3 247.216 2.838 20 0 BFADHN COc1nc(C)cc(C)c1CN1CCC(C)CC1 ZINC000346859044 355118633 /nfs/dbraw/zinc/11/86/33/355118633.db2.gz BQHABIXHIALBSZ-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN Cc1nnc(CN(CC(C)C)C2CCCC2)o1 ZINC000346739404 355090218 /nfs/dbraw/zinc/09/02/18/355090218.db2.gz KKBNKUIEVVXINA-UHFFFAOYSA-N 0 3 237.347 2.779 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1ccccn1 ZINC000449752117 202022761 /nfs/dbraw/zinc/02/27/61/202022761.db2.gz BAHLTJQTSWEOOO-IACUBPJLSA-N 0 3 234.343 2.690 20 0 BFADHN COC[C@@H]1CCCCN1C/C=C\c1ccncc1 ZINC000449760301 202025103 /nfs/dbraw/zinc/02/51/03/202025103.db2.gz NJCIMPYBLSQVBF-XVWMLYKFSA-N 0 3 246.354 2.596 20 0 BFADHN C[C@@H](O)[C@H]1CCN(Cc2cccc(Cl)c2)C1 ZINC000166077231 355127342 /nfs/dbraw/zinc/12/73/42/355127342.db2.gz GKZWCIHSKFPJOC-PWSUYJOCSA-N 0 3 239.746 2.543 20 0 BFADHN CCC(C)(C)N(C)C(=O)CN1[C@H](C)CC[C@H]1C ZINC000346847474 355136571 /nfs/dbraw/zinc/13/65/71/355136571.db2.gz CPWUGGCURSMOKH-VXGBXAGGSA-N 0 3 240.391 2.506 20 0 BFADHN CCc1cccnc1[C@@H](C)NCC[C@H]1CCOC1 ZINC000346877064 355141838 /nfs/dbraw/zinc/14/18/38/355141838.db2.gz SEXULDBCBPXKGX-OLZOCXBDSA-N 0 3 248.370 2.721 20 0 BFADHN CN(CCCC1CCOCC1)Cc1ccoc1 ZINC000346866967 355156844 /nfs/dbraw/zinc/15/68/44/355156844.db2.gz IWNKCQDTCLZNAX-UHFFFAOYSA-N 0 3 237.343 2.918 20 0 BFADHN CC[C@@]1(C)C[C@H]1C(=O)Nc1ccc2c(c1)CNC2 ZINC000335242026 355177474 /nfs/dbraw/zinc/17/74/74/355177474.db2.gz WSMKQBNIWYOXDS-ZFWWWQNUSA-N 0 3 244.338 2.665 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1cc(C2CC2)no1 ZINC000639233777 355216573 /nfs/dbraw/zinc/21/65/73/355216573.db2.gz SKTUVOZQDPVSMN-AAEUAGOBSA-N 0 3 234.343 2.934 20 0 BFADHN COc1ccncc1CN1CC[C@@H](C)C[C@H](C)C1 ZINC000346990186 355190681 /nfs/dbraw/zinc/19/06/81/355190681.db2.gz VHNQMEGCFHCUNK-OLZOCXBDSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cc(CN2C[C@H](C3CC3)[C@H]2C2CC2)on1 ZINC000639232431 355215343 /nfs/dbraw/zinc/21/53/43/355215343.db2.gz ILFZKCDPCZXXPH-ZIAGYGMSSA-N 0 3 232.327 2.603 20 0 BFADHN C[C@]1(F)CCN(CCCC2CCOCC2)C1 ZINC000346998103 355196007 /nfs/dbraw/zinc/19/60/07/355196007.db2.gz FGVLUHHRUCASPZ-ZDUSSCGKSA-N 0 3 229.339 2.627 20 0 BFADHN CC(=O)[C@@H](C)SC[C@@H]1CCCCN1C(C)C ZINC000412996731 191333422 /nfs/dbraw/zinc/33/34/22/191333422.db2.gz GSTQHWOREAJSKV-OLZOCXBDSA-N 0 3 243.416 2.960 20 0 BFADHN CC(=O)[C@@H](C)SC[C@H]1CCCCN1C(C)C ZINC000412996730 191333675 /nfs/dbraw/zinc/33/36/75/191333675.db2.gz GSTQHWOREAJSKV-CHWSQXEVSA-N 0 3 243.416 2.960 20 0 BFADHN CCc1ccc(CN(CC)C[C@@H]2CCCO2)cn1 ZINC000347632304 355241810 /nfs/dbraw/zinc/24/18/10/355241810.db2.gz ANNKLJYPOZMYNM-HNNXBMFYSA-N 0 3 248.370 2.645 20 0 BFADHN CC[C@@H](CN(C)Cc1cccc(OC)c1)OC ZINC000412976032 191346521 /nfs/dbraw/zinc/34/65/21/191346521.db2.gz HLFRHEXYMIVDPT-ZDUSSCGKSA-N 0 3 237.343 2.552 20 0 BFADHN CC[C@H](CN(Cc1cccnc1)C(C)C)OC ZINC000412976326 191347214 /nfs/dbraw/zinc/34/72/14/191347214.db2.gz IOLVENIWGNZFOM-CQSZACIVSA-N 0 3 236.359 2.717 20 0 BFADHN Cc1cccc(C)c1CN1CCN(C)CC1(C)C ZINC000347592453 355249175 /nfs/dbraw/zinc/24/91/75/355249175.db2.gz CVAHZDDXYJMKLO-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN CC[C@@H](CN1Cc2ccccc2[C@@H]1C)OC ZINC000412980155 191350574 /nfs/dbraw/zinc/35/05/74/191350574.db2.gz WOQOISWRMHHGCX-AAEUAGOBSA-N 0 3 219.328 2.988 20 0 BFADHN CCO[C@H]1C[C@@H](Nc2ccnc3ccccc32)C1 ZINC000413388677 191378400 /nfs/dbraw/zinc/37/84/00/191378400.db2.gz RTLMJRXIEJKCBQ-TXEJJXNPSA-N 0 3 242.322 2.636 20 0 BFADHN CCSCC[C@@H](C)N[C@H](C)c1ccn(C)n1 ZINC000414096734 191431875 /nfs/dbraw/zinc/43/18/75/191431875.db2.gz HXXTZXAQLBJDPI-GHMZBOCLSA-N 0 3 241.404 2.602 20 0 BFADHN COCC[C@H](C)CNCc1nc2ccccc2o1 ZINC000639261328 355313504 /nfs/dbraw/zinc/31/35/04/355313504.db2.gz JGACEKZWXDIEKD-NSHDSACASA-N 0 3 248.326 2.590 20 0 BFADHN C[C@H]1CC(C)(C)CN1[C@@H](c1nccn1C)C1CC1 ZINC000639267830 355329852 /nfs/dbraw/zinc/32/98/52/355329852.db2.gz PJTZYNOVILVKAD-WCQYABFASA-N 0 3 247.386 2.992 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H](C)c1cc2n(n1)CCC2 ZINC000414182412 191454669 /nfs/dbraw/zinc/45/46/69/191454669.db2.gz MZLJCYGMIVJDFO-YWPYICTPSA-N 0 3 247.386 2.915 20 0 BFADHN COc1cccc(C)c1CNC[C@H]1CC[C@H](C)O1 ZINC000639249055 355280046 /nfs/dbraw/zinc/28/00/46/355280046.db2.gz CICWYEFJTLSOGR-QWHCGFSZSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](OC(C)C)C2)o1 ZINC000651896769 491077559 /nfs/dbraw/zinc/07/75/59/491077559.db2.gz CBXXCZWYCDUSAL-CYBMUJFWSA-N 0 3 237.343 2.977 20 0 BFADHN CO[C@H](CN1CC(C(F)F)C1)C1CCCCC1 ZINC000639252269 355286320 /nfs/dbraw/zinc/28/63/20/355286320.db2.gz CFXBSMGHTLKHBU-GFCCVEGCSA-N 0 3 247.329 2.779 20 0 BFADHN CO[C@@H](CN1CC2(CC(F)C2)C1)C1CCCC1 ZINC000639252899 355287022 /nfs/dbraw/zinc/28/70/22/355287022.db2.gz VXUJZYOGKMUERA-ZDUSSCGKSA-N 0 3 241.350 2.626 20 0 BFADHN C[C@@H]1CCN([C@H](c2nccn2C)C2CC2)[C@H](C)C1 ZINC000639278902 355364636 /nfs/dbraw/zinc/36/46/36/355364636.db2.gz VPZYUZBFCYBWPE-BZPMIXESSA-N 0 3 247.386 2.992 20 0 BFADHN CCC[C@@H](NCc1ccn(CC)n1)C1CCC1 ZINC000414209880 191469278 /nfs/dbraw/zinc/46/92/78/191469278.db2.gz LPIMUPRRURXKDR-CQSZACIVSA-N 0 3 235.375 2.961 20 0 BFADHN CC1(CC(F)F)CN(CCC[C@H]2CCO2)C1 ZINC000639284716 355383959 /nfs/dbraw/zinc/38/39/59/355383959.db2.gz ZTLWAVHQUZCGLM-JTQLQIEISA-N 0 3 233.302 2.533 20 0 BFADHN Cc1csc([C@@H](C)NC[C@@H]2CCCS2)n1 ZINC000623904394 355384851 /nfs/dbraw/zinc/38/48/51/355384851.db2.gz BOHBWEQLAATYPD-ZJUUUORDSA-N 0 3 242.413 2.998 20 0 BFADHN C[C@@H](NC[C@H]1C[C@@H](C)O[C@H]1C)c1nccs1 ZINC000414291897 191482728 /nfs/dbraw/zinc/48/27/28/191482728.db2.gz YDDMLBQRVGNMLL-CHWFTXMASA-N 0 3 240.372 2.607 20 0 BFADHN CC[C@@](C)(CNCc1cc(C)nc(C)c1)OC ZINC000639270279 355337510 /nfs/dbraw/zinc/33/75/10/355337510.db2.gz BMGREKPTNHXRPM-AWEZNQCLSA-N 0 3 236.359 2.603 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1ccns1 ZINC000639270731 355340964 /nfs/dbraw/zinc/34/09/64/355340964.db2.gz KHKLXWWFMFKFAN-KXUCPTDWSA-N 0 3 210.346 2.619 20 0 BFADHN CC[C@@H](C)[C@@H](CN[C@@H]1C[C@H]1c1ccco1)OC ZINC000639272340 355343070 /nfs/dbraw/zinc/34/30/70/355343070.db2.gz JASGHJUTMPGETR-HKUMRIAESA-N 0 3 237.343 2.786 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@@H]1C[C@H]1c1ccco1)OC ZINC000639272338 355343085 /nfs/dbraw/zinc/34/30/85/355343085.db2.gz JASGHJUTMPGETR-FMCLSXCISA-N 0 3 237.343 2.786 20 0 BFADHN CO[C@@](C)(CNCc1ccc(C)c(C)n1)C1CC1 ZINC000639277903 355359222 /nfs/dbraw/zinc/35/92/22/355359222.db2.gz QVAYMZUHSQCAHP-HNNXBMFYSA-N 0 3 248.370 2.603 20 0 BFADHN Cc1occc1CN1CCC(CCCO)CC1 ZINC000414436953 191509861 /nfs/dbraw/zinc/50/98/61/191509861.db2.gz SXIWOTMWLXGJEC-UHFFFAOYSA-N 0 3 237.343 2.573 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@H]1N1CCc2n[nH]cc2C1 ZINC000623240585 355417777 /nfs/dbraw/zinc/41/77/77/355417777.db2.gz PBDPAAQNYMJGFM-SMDDNHRTSA-N 0 3 247.386 2.983 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CCc3n[nH]cc3C2)C1 ZINC000623240860 355420478 /nfs/dbraw/zinc/42/04/78/355420478.db2.gz YWDVDXRHOTYVLF-OLZOCXBDSA-N 0 3 247.386 2.984 20 0 BFADHN CC[C@@H]1CCC[C@@H](CN2CCc3n[nH]cc3C2)C1 ZINC000623240859 355420543 /nfs/dbraw/zinc/42/05/43/355420543.db2.gz YWDVDXRHOTYVLF-CHWSQXEVSA-N 0 3 247.386 2.984 20 0 BFADHN Fc1cccc(CN2CCC3(CCO3)CC2)c1 ZINC000367100744 355422352 /nfs/dbraw/zinc/42/23/52/355422352.db2.gz QIDXNSGJEOZLIS-UHFFFAOYSA-N 0 3 235.302 2.581 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1cc2ccc(F)cc2[nH]1 ZINC000335784247 355432818 /nfs/dbraw/zinc/43/28/18/355432818.db2.gz KLZHGTZIXZVROJ-ZANVPECISA-N 0 3 248.301 2.574 20 0 BFADHN Cc1ccc(CNCC2(C3CCC3)CCC2)nn1 ZINC000414465735 191515845 /nfs/dbraw/zinc/51/58/45/191515845.db2.gz YHVCFFHDKQHEQB-UHFFFAOYSA-N 0 3 245.370 2.845 20 0 BFADHN Cc1ccc(CN2CCCC3(CC3)C2)nc1 ZINC000335784333 355433223 /nfs/dbraw/zinc/43/32/23/355433223.db2.gz YBMXQEYZFXTXMY-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CCOc1ccc(CN2CCC(OC)CC2)cc1 ZINC000349762964 355436306 /nfs/dbraw/zinc/43/63/06/355436306.db2.gz GOYVATFOJAKECL-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1cc(C2CC2)no1 ZINC000639288136 355398886 /nfs/dbraw/zinc/39/88/86/355398886.db2.gz BPLBGPREGYCOKY-GZMMTYOYSA-N 0 3 238.356 2.536 20 0 BFADHN C/C=C\C[C@H](CO)NCc1cc(F)ccc1C ZINC000349855169 355497586 /nfs/dbraw/zinc/49/75/86/355497586.db2.gz WLKIGDIXVBTNHF-VQTKUKTRSA-N 0 3 237.318 2.551 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1cc(C)ccc1F ZINC000349784149 355461171 /nfs/dbraw/zinc/46/11/71/355461171.db2.gz CJRLTOFOEDFADW-SCOBNMCVSA-N 0 3 237.318 2.551 20 0 BFADHN COCCN(C)C/C(C)=C\c1ccccc1 ZINC000349798873 355464827 /nfs/dbraw/zinc/46/48/27/355464827.db2.gz HXNTWLPGUZCOAO-QBFSEMIESA-N 0 3 219.328 2.668 20 0 BFADHN CC(C)CC[C@H](NCc1ccn(C)n1)C1CC1 ZINC000336724983 358496824 /nfs/dbraw/zinc/49/68/24/358496824.db2.gz ZTMDBDXHCRDEKY-AWEZNQCLSA-N 0 3 235.375 2.725 20 0 BFADHN COCCN(C)Cc1cc(C)c(C)cc1C ZINC000349817576 355468417 /nfs/dbraw/zinc/46/84/17/355468417.db2.gz BECLJNIARYHHSS-UHFFFAOYSA-N 0 3 221.344 2.690 20 0 BFADHN C/C=C\C[C@H](CO)NCc1cccc(OCC)c1 ZINC000349830798 355487844 /nfs/dbraw/zinc/48/78/44/355487844.db2.gz ROBGCDWYKHIXBW-PKXJPQMGSA-N 0 3 249.354 2.502 20 0 BFADHN Cc1ccccc1CN(Cc1cnc[nH]1)C1CC1 ZINC000349950560 355534381 /nfs/dbraw/zinc/53/43/81/355534381.db2.gz YITIMLWEVMAGLG-UHFFFAOYSA-N 0 3 241.338 2.883 20 0 BFADHN CO[C@H]1CN(Cc2ccc(C)nc2C)CC[C@H]1C ZINC000335820512 355538512 /nfs/dbraw/zinc/53/85/12/355538512.db2.gz XEFKIDLFRJKXML-ABAIWWIYSA-N 0 3 248.370 2.555 20 0 BFADHN C[C@H](CCC(C)(C)C)N1CCc2n[nH]cc2C1 ZINC000623241989 355540784 /nfs/dbraw/zinc/54/07/84/355540784.db2.gz LVVILGUKLFWMSB-LLVKDONJSA-N 0 3 235.375 2.983 20 0 BFADHN COCCN(C)C/C=C\c1ccc(F)cc1F ZINC000349979488 355558196 /nfs/dbraw/zinc/55/81/96/355558196.db2.gz XJKUSASZKYOISR-ARJAWSKDSA-N 0 3 241.281 2.556 20 0 BFADHN CC[C@@H]1CN(CC2CCSCC2)[C@@H](C)CO1 ZINC000639296015 355591537 /nfs/dbraw/zinc/59/15/37/355591537.db2.gz OGFXUKWNDQIDRZ-WCQYABFASA-N 0 3 243.416 2.629 20 0 BFADHN COc1cc(CNC2CC3(CCC3)C2)sn1 ZINC000639296383 355594637 /nfs/dbraw/zinc/59/46/37/355594637.db2.gz LRGLPDWMWJTQIP-UHFFFAOYSA-N 0 3 238.356 2.574 20 0 BFADHN c1c(CNC2CC3(CCC3)C2)onc1C1CC1 ZINC000639298051 355595551 /nfs/dbraw/zinc/59/55/51/355595551.db2.gz XMNTUXQMNQFABJ-UHFFFAOYSA-N 0 3 232.327 2.974 20 0 BFADHN Cc1ncc([C@H](C)NC2(C)CC=CC2)c(C)n1 ZINC000639297250 355603098 /nfs/dbraw/zinc/60/30/98/355603098.db2.gz QIVHSINWPYTZSJ-NSHDSACASA-N 0 3 231.343 2.853 20 0 BFADHN CC[C@@]1(C)CCN(Cc2c(C)noc2C)C1 ZINC000335837256 355565496 /nfs/dbraw/zinc/56/54/96/355565496.db2.gz BKOIIRONRXUTHU-ZDUSSCGKSA-N 0 3 222.332 2.913 20 0 BFADHN CC[C@H](NCc1ccns1)[C@H]1CCCCO1 ZINC000639302394 355647684 /nfs/dbraw/zinc/64/76/84/355647684.db2.gz MEKWHUHUANGPOB-NWDGAFQWSA-N 0 3 240.372 2.580 20 0 BFADHN c1c(CN[C@]23C[C@H]2CCC3)onc1C1CC1 ZINC000639304620 355659689 /nfs/dbraw/zinc/65/96/89/355659689.db2.gz YJEZCDLDCJHWAH-ZWNOBZJWSA-N 0 3 218.300 2.584 20 0 BFADHN CCCCCNC(=O)Nc1cccc(CNC)c1 ZINC000415925435 191704500 /nfs/dbraw/zinc/70/45/00/191704500.db2.gz ASQGULNQYXFWAK-UHFFFAOYSA-N 0 3 249.358 2.718 20 0 BFADHN CC[C@]1(C)CCC[C@@H]1N1CCc2n[nH]cc2C1 ZINC000623243891 355617281 /nfs/dbraw/zinc/61/72/81/355617281.db2.gz SGQXJAFRIJCMTR-UONOGXRCSA-N 0 3 233.359 2.737 20 0 BFADHN COCC1(CNCc2c(C)cccc2OC)CC1 ZINC000639299313 355626697 /nfs/dbraw/zinc/62/66/97/355626697.db2.gz KSHMDWBVTONLEA-UHFFFAOYSA-N 0 3 249.354 2.520 20 0 BFADHN Cc1ncccc1CN1CCC(C(F)F)CC1 ZINC000335866506 355631361 /nfs/dbraw/zinc/63/13/61/355631361.db2.gz ITXWLCCCMGBDLY-UHFFFAOYSA-N 0 3 240.297 2.867 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@@H]2C)s1 ZINC000335868482 355633793 /nfs/dbraw/zinc/63/37/93/355633793.db2.gz OILKNWNIUUFKJF-BDAKNGLRSA-N 0 3 210.346 2.682 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC1(C)C)c1ccncn1 ZINC000389120142 355695917 /nfs/dbraw/zinc/69/59/17/355695917.db2.gz OJKGMCYQWHTEKV-NEPJUHHUSA-N 0 3 233.359 2.954 20 0 BFADHN CCCCN1C[C@@H](CC)N(C(C)=O)C[C@H]1CC ZINC000350471233 355710416 /nfs/dbraw/zinc/71/04/16/355710416.db2.gz AJOUMDMDLYCOHN-ZIAGYGMSSA-N 0 3 240.391 2.508 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CS[C@@H](C)C2)o1 ZINC000393979441 355711037 /nfs/dbraw/zinc/71/10/37/355711037.db2.gz ZZDZIYIJMDTTCR-WCBMZHEXSA-N 0 3 240.372 2.529 20 0 BFADHN COc1cc(CNCCC2CCCCC2)on1 ZINC000639324065 355718169 /nfs/dbraw/zinc/71/81/69/355718169.db2.gz JKTYZRUSEGQRRA-UHFFFAOYSA-N 0 3 238.331 2.743 20 0 BFADHN Cc1cc(CN2CC3(CCC3)C[C@H]2C)on1 ZINC000336010897 134051084 /nfs/dbraw/zinc/05/10/84/134051084.db2.gz LGGCRRMZRIVIEF-LLVKDONJSA-N 0 3 220.316 2.748 20 0 BFADHN COc1ncc(CNC2(CC(C)C)CC2)s1 ZINC000639334795 355762695 /nfs/dbraw/zinc/76/26/95/355762695.db2.gz HTOQLEOACSPRDG-UHFFFAOYSA-N 0 3 240.372 2.820 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2[C@@H]3CCC[C@@H]32)c(C)n1 ZINC000639325873 355724941 /nfs/dbraw/zinc/72/49/41/355724941.db2.gz VMNQKYDCJNHFKN-ZKCLLBTKSA-N 0 3 231.343 2.543 20 0 BFADHN COc1cc(CNC[C@H](C)c2ccccc2)on1 ZINC000639325424 355727372 /nfs/dbraw/zinc/72/73/72/355727372.db2.gz XOSFAVLIENTHLL-NSHDSACASA-N 0 3 246.310 2.577 20 0 BFADHN CC1(c2ccccc2)CN(CCC[C@@H]2CCO2)C1 ZINC000639340642 355781825 /nfs/dbraw/zinc/78/18/25/355781825.db2.gz XWGJLHLAIUSQTB-OAHLLOKOSA-N 0 3 245.366 2.829 20 0 BFADHN Cn1c(CN2C[C@@H]3C[C@@H]3C2)cc2ccccc21 ZINC000639348536 355789861 /nfs/dbraw/zinc/78/98/61/355789861.db2.gz RLWCJCJLQCRAEX-BETUJISGSA-N 0 3 226.323 2.630 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1ccc(C)o1 ZINC000191658715 355825119 /nfs/dbraw/zinc/82/51/19/355825119.db2.gz UQZXLIYCNFYSEQ-DCAQKATOSA-N 0 3 211.305 2.662 20 0 BFADHN CCC[C@@H](CNCc1cc(C)ns1)OCC ZINC000639347250 355793698 /nfs/dbraw/zinc/79/36/98/355793698.db2.gz WQDRFMDYUNORMH-NSHDSACASA-N 0 3 242.388 2.746 20 0 BFADHN Cc1cc(CN[C@H]2CCCC23CC3)sn1 ZINC000639359551 355813788 /nfs/dbraw/zinc/81/37/88/355813788.db2.gz BEFMAOQDWANRSS-NSHDSACASA-N 0 3 222.357 2.874 20 0 BFADHN CCn1nc(C)c(CN(C)C[C@H]2C[C@H]2C)c1C ZINC000639359535 355813929 /nfs/dbraw/zinc/81/39/29/355813929.db2.gz ADUUZDHCSILEDI-ZWNOBZJWSA-N 0 3 235.375 2.608 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(C)CC2(C)COC2)o1 ZINC000608491752 355814886 /nfs/dbraw/zinc/81/48/86/355814886.db2.gz BMLQHMDEFQQVRY-YPMHNXCESA-N 0 3 249.354 2.871 20 0 BFADHN c1ccc(C2CN(CCC[C@H]3CCO3)C2)cc1 ZINC000639361465 355815232 /nfs/dbraw/zinc/81/52/32/355815232.db2.gz CJTNJPQDUYZTCI-HNNXBMFYSA-N 0 3 231.339 2.655 20 0 BFADHN CO[C@@H](CN1CC(c2ccccc2)C1)C1CC1 ZINC000639361416 355815803 /nfs/dbraw/zinc/81/58/03/355815803.db2.gz ARLDOOCRWZNFMW-HNNXBMFYSA-N 0 3 231.339 2.511 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)[C@H](C)OC)o1 ZINC000191649456 355821503 /nfs/dbraw/zinc/82/15/03/355821503.db2.gz DVGOQZIYMAQSMH-DCAQKATOSA-N 0 3 225.332 2.916 20 0 BFADHN C[C@H](CO)CN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000608498572 355826936 /nfs/dbraw/zinc/82/69/36/355826936.db2.gz UUOMGQPFDQOKFD-UWVGGRQHSA-N 0 3 243.297 2.586 20 0 BFADHN c1coc(CN[C@H](C2CCC2)[C@H]2CCCO2)c1 ZINC000631252530 355840203 /nfs/dbraw/zinc/84/02/03/355840203.db2.gz ACAVAGJMCTUYMU-ZIAGYGMSSA-N 0 3 235.327 2.717 20 0 BFADHN CC[C@@H](Cc1cccs1)NCc1ncc[nH]1 ZINC000639370491 355861526 /nfs/dbraw/zinc/86/15/26/355861526.db2.gz DLYXAMDGINVYDU-JTQLQIEISA-N 0 3 235.356 2.582 20 0 BFADHN CC(C)C[C@H](C)C[C@@H](C)NCc1ncc[nH]1 ZINC000639371938 355872007 /nfs/dbraw/zinc/87/20/07/355872007.db2.gz NPMCEYKLAOPHHH-NWDGAFQWSA-N 0 3 223.364 2.960 20 0 BFADHN CCC(=O)CCCN1CCS[C@@H](C(C)C)C1 ZINC000347737205 535292454 /nfs/dbraw/zinc/29/24/54/535292454.db2.gz PNDJFDYGMSQFRQ-CYBMUJFWSA-N 0 3 243.416 2.819 20 0 BFADHN c1c(CN[C@]23C[C@H]2CCCC3)onc1C1CC1 ZINC000639380060 355916331 /nfs/dbraw/zinc/91/63/31/355916331.db2.gz NJLKYXIWZSKJOO-BXUZGUMPSA-N 0 3 232.327 2.974 20 0 BFADHN C[C@@]1(CNC2(C)COC2)C[C@H]1c1ccccc1 ZINC000639381078 355917933 /nfs/dbraw/zinc/91/79/33/355917933.db2.gz OTXDQFJHLQXUOC-KBPBESRZSA-N 0 3 231.339 2.559 20 0 BFADHN Cc1cnccc1CN1CCC(CCF)CC1 ZINC000639376459 355899219 /nfs/dbraw/zinc/89/92/19/355899219.db2.gz KIXPBZWPUGRSHI-UHFFFAOYSA-N 0 3 236.334 2.962 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCN(C)C[C@@H]1c1ccccc1 ZINC000351414384 355988088 /nfs/dbraw/zinc/98/80/88/355988088.db2.gz MYHPYNITYMBHDN-FVQBIDKESA-N 0 3 244.382 2.631 20 0 BFADHN Cc1cc(CN2C[C@H](C)CCC[C@H]2C)on1 ZINC000351520443 356007718 /nfs/dbraw/zinc/00/77/18/356007718.db2.gz RROWCPRCOCRXLY-ZYHUDNBSSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@H](F)CCN1CCc2ccccc2C1 ZINC000352101685 134067424 /nfs/dbraw/zinc/06/74/24/134067424.db2.gz CISNRWGQEUXCBY-NSHDSACASA-N 0 3 207.292 2.793 20 0 BFADHN CCC[C@@H]1CCCN(Cc2conc2C)C1 ZINC000351670842 356051002 /nfs/dbraw/zinc/05/10/02/356051002.db2.gz DWWHXYRYULHDAP-GFCCVEGCSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1ccc(CN2CCC(C)(F)CC2)cn1 ZINC000639388784 356008465 /nfs/dbraw/zinc/00/84/65/356008465.db2.gz MKWFDSXYLPGDBZ-UHFFFAOYSA-N 0 3 222.307 2.714 20 0 BFADHN COc1ncc(CN[C@H](C)CC2CCC2)s1 ZINC000639389865 356020517 /nfs/dbraw/zinc/02/05/17/356020517.db2.gz PWKHCVXQNVIEAT-SECBINFHSA-N 0 3 240.372 2.820 20 0 BFADHN CCc1nocc1CN(C)[C@H](C)C1(C)CC1 ZINC000351776140 356084642 /nfs/dbraw/zinc/08/46/42/356084642.db2.gz YPZFKQPFZPIRHN-SNVBAGLBSA-N 0 3 222.332 2.857 20 0 BFADHN CN(Cc1cn2c(n1)CCCC2)C1CCCC1 ZINC000335911777 356127296 /nfs/dbraw/zinc/12/72/96/356127296.db2.gz WOKJGUCOTLMQLL-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN COc1ccc(CN(C)CC[C@@H](C)F)cc1 ZINC000351861771 356099155 /nfs/dbraw/zinc/09/91/55/356099155.db2.gz SPEWAGWFFJYRGC-LLVKDONJSA-N 0 3 225.307 2.875 20 0 BFADHN C[C@@H](F)CCN(C)Cc1ccc2c(c1)OCO2 ZINC000351864185 356100116 /nfs/dbraw/zinc/10/01/16/356100116.db2.gz XVSGKPNGWRZWIV-SNVBAGLBSA-N 0 3 239.290 2.595 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1C[C@H](C)C[C@@H](C)C1 ZINC000351864799 356100944 /nfs/dbraw/zinc/10/09/44/356100944.db2.gz YZYUFYKJPVYKBD-UPJWGTAASA-N 0 3 241.375 2.552 20 0 BFADHN C[C@@H](F)CCN1CCCC[C@@H]1c1cn[nH]c1 ZINC000351865775 356101363 /nfs/dbraw/zinc/10/13/63/356101363.db2.gz VIFJMXNKVKGNNO-ZYHUDNBSSA-N 0 3 225.311 2.685 20 0 BFADHN C[C@H](CN(C)Cc1cncn1C)C(C)(C)C ZINC000639399692 356130245 /nfs/dbraw/zinc/13/02/45/356130245.db2.gz SKDYKWMBGXIHKB-LLVKDONJSA-N 0 3 223.364 2.534 20 0 BFADHN CCc1nnc([C@H](C)N[C@H]2CCC23CCCC3)[nH]1 ZINC000329917443 356144223 /nfs/dbraw/zinc/14/42/23/356144223.db2.gz FJADHYFCBCMRMK-QWRGUYRKSA-N 0 3 248.374 2.741 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H]2CCC23CCCC3)[n-]1 ZINC000329917443 356144228 /nfs/dbraw/zinc/14/42/28/356144228.db2.gz FJADHYFCBCMRMK-QWRGUYRKSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@H](C(N)=O)N1CCC[C@H](C(C)(C)C)C1 ZINC000336087888 134076911 /nfs/dbraw/zinc/07/69/11/134076911.db2.gz KIVQAEGEFCDLBI-ZJUUUORDSA-N 0 3 212.337 2.668 20 0 BFADHN CC(=O)CN[C@H](c1ccccc1)C(C)C ZINC000639439452 356216671 /nfs/dbraw/zinc/21/66/71/356216671.db2.gz FHFNALZNVRRBNP-ZDUSSCGKSA-N 0 3 205.301 2.562 20 0 BFADHN COc1ccccc1CN(C)C[C@H]1CCO[C@H]1C ZINC000639440112 356217615 /nfs/dbraw/zinc/21/76/15/356217615.db2.gz AEOBFGNDNPVENT-QWHCGFSZSA-N 0 3 249.354 2.552 20 0 BFADHN CC[C@H](C)CCNC(=O)[C@H](CC)N(CC)CC ZINC000617636451 356230083 /nfs/dbraw/zinc/23/00/83/356230083.db2.gz BUYPKBYXTDGOCH-STQMWFEESA-N 0 3 242.407 2.659 20 0 BFADHN Cc1ccc(CN(C[C@H]2CCO[C@@H]2C)C2CC2)o1 ZINC000639454470 356238936 /nfs/dbraw/zinc/23/89/36/356238936.db2.gz ZMGTZAXPRLXPAJ-CHWSQXEVSA-N 0 3 249.354 2.977 20 0 BFADHN Cc1ccc(CN(C[C@@H]2CCO[C@@H]2C)C2CC2)o1 ZINC000639454472 356238968 /nfs/dbraw/zinc/23/89/68/356238968.db2.gz ZMGTZAXPRLXPAJ-OLZOCXBDSA-N 0 3 249.354 2.977 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@H]1CCO[C@H]1C ZINC000639461636 356245325 /nfs/dbraw/zinc/24/53/25/356245325.db2.gz HANVCXHFHAFVER-GRYCIOLGSA-N 0 3 223.316 2.697 20 0 BFADHN O=c1cc(CN2CCC[C@H]3CCC[C@@H]32)cc[nH]1 ZINC000639497658 356326080 /nfs/dbraw/zinc/32/60/80/356326080.db2.gz MYAWEIPCLOTHDB-OLZOCXBDSA-N 0 3 232.327 2.552 20 0 BFADHN CC[C@@H]1CCN1Cc1c(C)nc2ccccn21 ZINC000336122532 134091694 /nfs/dbraw/zinc/09/16/94/134091694.db2.gz YHXHRXKQZPTAAL-GFCCVEGCSA-N 0 3 229.327 2.627 20 0 BFADHN CCN(CC(C)=O)[C@@H](C)Cc1ccc(OC)cc1 ZINC000639484910 356300814 /nfs/dbraw/zinc/30/08/14/356300814.db2.gz YLLWJVNFKRETCN-LBPRGKRZSA-N 0 3 249.354 2.537 20 0 BFADHN CCN(CC(C)=O)[C@H](C)Cc1ccc(OC)cc1 ZINC000639484909 356300864 /nfs/dbraw/zinc/30/08/64/356300864.db2.gz YLLWJVNFKRETCN-GFCCVEGCSA-N 0 3 249.354 2.537 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2cocn2)C1 ZINC000236948146 363461860 /nfs/dbraw/zinc/46/18/60/363461860.db2.gz IEKQOYPGNKVMKO-MNOVXSKESA-N 0 3 208.305 2.733 20 0 BFADHN CC1(C)CO[C@H](CN2CCC(C(F)F)CC2)C1 ZINC000639519872 356364511 /nfs/dbraw/zinc/36/45/11/356364511.db2.gz WHVWOGAIEGLNEK-NSHDSACASA-N 0 3 247.329 2.779 20 0 BFADHN C[C@@]1(CNCc2nccs2)CCCS1 ZINC000087620654 491091090 /nfs/dbraw/zinc/09/10/90/491091090.db2.gz ZYIKJELMXAQOOL-JTQLQIEISA-N 0 3 228.386 2.518 20 0 BFADHN CCN1CCN(CCCC2CCCC2)[C@H](C)C1 ZINC000352656497 356396634 /nfs/dbraw/zinc/39/66/34/356396634.db2.gz ATTZMHQXSVAHFJ-CQSZACIVSA-N 0 3 238.419 2.983 20 0 BFADHN CCN1CCN(Cc2c(C)cccc2C)[C@@H](C)C1 ZINC000352656860 356397739 /nfs/dbraw/zinc/39/77/39/356397739.db2.gz CWORBDIMQSPQKW-HNNXBMFYSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCCOC2)c(C)s1 ZINC000352194448 134098392 /nfs/dbraw/zinc/09/83/92/134098392.db2.gz JVOZRUVFGORMQL-ZDUSSCGKSA-N 0 3 239.384 2.976 20 0 BFADHN Cc1cccc(CN[C@H]2CO[C@H](C3CC3)C2)c1F ZINC000623754579 356418616 /nfs/dbraw/zinc/41/86/16/356418616.db2.gz PZLWRZUQMXYQMA-KGLIPLIRSA-N 0 3 249.329 2.791 20 0 BFADHN Clc1ccc(CN[C@H]2CCOC2)cc1Cl ZINC000087783036 491092205 /nfs/dbraw/zinc/09/22/05/491092205.db2.gz DHTQEQQSGCLLFO-VIFPVBQESA-N 0 3 246.137 2.872 20 0 BFADHN CCN(C[C@H](C)O)[C@@H](C)c1ccc(F)cc1F ZINC000352211860 134107875 /nfs/dbraw/zinc/10/78/75/134107875.db2.gz MDAIJLQXUBKJMB-UWVGGRQHSA-N 0 3 243.297 2.729 20 0 BFADHN CCN(Cc1cc(C)sc1C)C[C@@H](C)O ZINC000352210889 134108115 /nfs/dbraw/zinc/10/81/15/134108115.db2.gz IGPLQUKRHPQNQA-SECBINFHSA-N 0 3 227.373 2.568 20 0 BFADHN CC1=CCCN(Cc2ccc(-c3cc[nH]n3)o2)C1 ZINC000336161306 134111186 /nfs/dbraw/zinc/11/11/86/134111186.db2.gz BSTSPGKTSNMJKZ-UHFFFAOYSA-N 0 3 243.310 2.822 20 0 BFADHN CCc1cc(N2CCC[C@H](CCO)CC2)ccn1 ZINC000420634570 192252752 /nfs/dbraw/zinc/25/27/52/192252752.db2.gz DFZTUINMALSFHR-ZDUSSCGKSA-N 0 3 248.370 2.633 20 0 BFADHN CC(=O)CN[C@@H](c1ccccc1C)C1CC1 ZINC000639570490 356495176 /nfs/dbraw/zinc/49/51/76/356495176.db2.gz PBTIGZCZTYYGNT-CQSZACIVSA-N 0 3 217.312 2.625 20 0 BFADHN C[C@@H]1CCC[C@H](c2noc([C@H]3CCN(C)C3)n2)C1 ZINC000350024602 366248074 /nfs/dbraw/zinc/24/80/74/366248074.db2.gz PDXKXRFXCSCSED-WOPDTQHZSA-N 0 3 249.358 2.782 20 0 BFADHN CC[C@H](NC[C@@H]1CCO[C@H]1C)c1nccs1 ZINC000639579748 356500771 /nfs/dbraw/zinc/50/07/71/356500771.db2.gz KHCZQJNJSSXOMC-DCAQKATOSA-N 0 3 240.372 2.609 20 0 BFADHN CN(Cc1ccccc1Cl)C[C@H]1C[C@@H](O)C1 ZINC000420898609 192268901 /nfs/dbraw/zinc/26/89/01/192268901.db2.gz FSXCONHNYMMEDB-KLPPZKSPSA-N 0 3 239.746 2.543 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2Cc3ccccc32)o1 ZINC000394126498 356508523 /nfs/dbraw/zinc/50/85/23/356508523.db2.gz RMTCNSGFIPLRMM-ZDUSSCGKSA-N 0 3 242.322 2.721 20 0 BFADHN CC[C@@H]1CN(CCCSC)C[C@@H](CC)O1 ZINC000420955753 192279325 /nfs/dbraw/zinc/27/93/25/192279325.db2.gz MCFPRJQIIFFRAN-VXGBXAGGSA-N 0 3 231.405 2.629 20 0 BFADHN Cc1oncc1CN1CCCCC(C)(C)C1 ZINC000336181400 134119837 /nfs/dbraw/zinc/11/98/37/134119837.db2.gz VZENFGZDFNRMNG-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN CCSCCN1C[C@@H](CC)O[C@@H](CC)C1 ZINC000420963358 192283803 /nfs/dbraw/zinc/28/38/03/192283803.db2.gz VJYOCGFOEXKHPE-TXEJJXNPSA-N 0 3 231.405 2.629 20 0 BFADHN CC[C@@H]1CN(CC[C@@H](C)F)C[C@H](CC)O1 ZINC000420966090 192285067 /nfs/dbraw/zinc/28/50/67/192285067.db2.gz ZALXHPQGVKQEAY-UTUOFQBUSA-N 0 3 217.328 2.624 20 0 BFADHN C[C@H](N[C@@H]1CCOC(C)(C)C1)c1ccoc1 ZINC000179561103 366270727 /nfs/dbraw/zinc/27/07/27/366270727.db2.gz GQZANXNLEFSNCU-CMPLNLGQSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1cccc2c1CCOC2 ZINC000639618363 356535666 /nfs/dbraw/zinc/53/56/66/356535666.db2.gz JOAJMJVHOPVQQP-VXGBXAGGSA-N 0 3 231.339 2.742 20 0 BFADHN C[C@H](N[C@H]1CCOC(C)(C)C1)c1ccoc1 ZINC000179561124 366271967 /nfs/dbraw/zinc/27/19/67/366271967.db2.gz GQZANXNLEFSNCU-JQWIXIFHSA-N 0 3 223.316 2.888 20 0 BFADHN COCCN[C@H](CC(F)F)c1ccccc1 ZINC000420984746 192289822 /nfs/dbraw/zinc/28/98/22/192289822.db2.gz GOPUMIPJNVPRLT-LLVKDONJSA-N 0 3 229.270 2.619 20 0 BFADHN CCC[C@@H](C)CN1CCN(CC(C)C)CC1 ZINC000179587865 366273883 /nfs/dbraw/zinc/27/38/83/366273883.db2.gz KLTVSMDKGQIZQL-CQSZACIVSA-N 0 3 226.408 2.696 20 0 BFADHN CC(C)(C)C[C@H]1CCN(Cc2cc[nH]n2)C1 ZINC000449771083 202030545 /nfs/dbraw/zinc/03/05/45/202030545.db2.gz FCFGCFBHCPBTAG-LLVKDONJSA-N 0 3 221.348 2.668 20 0 BFADHN OCCCCN[C@H](CC(F)F)c1ccccc1 ZINC000420997944 192294353 /nfs/dbraw/zinc/29/43/53/192294353.db2.gz SJEWYWGWGZFMEK-GFCCVEGCSA-N 0 3 243.297 2.745 20 0 BFADHN C[C@H]1CN(CC2CC(F)(F)C2)CC(C)(C)O1 ZINC000336192583 134124230 /nfs/dbraw/zinc/12/42/30/134124230.db2.gz TYMDHADVJHVXGP-VIFPVBQESA-N 0 3 233.302 2.531 20 0 BFADHN CC(C)N(CCO)[C@H](C)c1ccsc1 ZINC000421002709 192296703 /nfs/dbraw/zinc/29/67/03/192296703.db2.gz GQEGTFRQKLFSBA-SNVBAGLBSA-N 0 3 213.346 2.512 20 0 BFADHN CC[C@@H](O)CN[C@@H](CC(F)F)c1ccccc1 ZINC000420999953 192296916 /nfs/dbraw/zinc/29/69/16/192296916.db2.gz VMBROXDPAVZZBY-NEPJUHHUSA-N 0 3 243.297 2.743 20 0 BFADHN COC[C@@H]1CCCN(Cc2ccc(C)nc2)CC1 ZINC000449773902 202032677 /nfs/dbraw/zinc/03/26/77/202032677.db2.gz JIMSKCKJRBJTCS-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN CCn1nccc1CN1CCC[C@@H](C)CC1 ZINC000179653124 366280519 /nfs/dbraw/zinc/28/05/19/366280519.db2.gz GIRRCVDREGWMNW-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN NC(=O)[C@@H]1CCCCN1C[C@@H]1CCC(F)(F)C1 ZINC000336196498 134126196 /nfs/dbraw/zinc/12/61/96/134126196.db2.gz OSSBQCSRWSWFSV-ZJUUUORDSA-N 0 3 246.301 2.812 20 0 BFADHN FC1(F)CC[C@H](CN2CCOC[C@H]2C2CC2)C1 ZINC000336197232 134126590 /nfs/dbraw/zinc/12/65/90/134126590.db2.gz KRCDUZOAVSSPMI-JQWIXIFHSA-N 0 3 245.313 2.533 20 0 BFADHN Cc1cc(C)c(CN[C@@]2(C)CCO[C@@H]2C)c(C)n1 ZINC000639636554 356550737 /nfs/dbraw/zinc/55/07/37/356550737.db2.gz XJODKRUMVCETRW-HIFRSBDPSA-N 0 3 248.370 2.664 20 0 BFADHN CC[C@@]1(O)CCN([C@H](C)c2ccc(F)cc2)C1 ZINC000336198426 134127363 /nfs/dbraw/zinc/12/73/63/134127363.db2.gz DNUMXWOAPIGZPL-BXUZGUMPSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H]1[C@H](C)N(CC2=CCCCC2)CCN1C ZINC000336198881 134127746 /nfs/dbraw/zinc/12/77/46/134127746.db2.gz VSCPTSUFKGUGLO-OLZOCXBDSA-N 0 3 222.376 2.511 20 0 BFADHN CN(Cc1cn(C)nc1C(C)(C)C)CC1CC1 ZINC000179793358 366301719 /nfs/dbraw/zinc/30/17/19/366301719.db2.gz USEYUYHCCVUZSX-UHFFFAOYSA-N 0 3 235.375 2.559 20 0 BFADHN O=c1cc(CN2CCC3(CCC3)CC2)cc[nH]1 ZINC000639643628 356556946 /nfs/dbraw/zinc/55/69/46/356556946.db2.gz KZTCECKRMMAOLV-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN Cc1ccc(CN2CC[C@@]23CCOC3)c(C)c1 ZINC000421026418 192307146 /nfs/dbraw/zinc/30/71/46/192307146.db2.gz SOHGNUCOOUAQNT-HNNXBMFYSA-N 0 3 231.339 2.668 20 0 BFADHN c1cc(CN2CC[C@H](c3ccncc3)C2)sn1 ZINC000639644986 356558741 /nfs/dbraw/zinc/55/87/41/356558741.db2.gz BZLZDEJBUFNIBT-LBPRGKRZSA-N 0 3 245.351 2.528 20 0 BFADHN CC(=O)CN1[C@H](C)C[C@@H](c2ccccc2)[C@@H]1C ZINC000639659300 356566932 /nfs/dbraw/zinc/56/69/32/356566932.db2.gz JVTBDCPVPYKMQG-OSAQELSMSA-N 0 3 231.339 2.842 20 0 BFADHN c1ccc(CNC[C@H]2CC23CCSCC3)nc1 ZINC000421350779 192320319 /nfs/dbraw/zinc/32/03/19/192320319.db2.gz LHIKOBJAUZPSIX-GFCCVEGCSA-N 0 3 248.395 2.705 20 0 BFADHN Cc1nccnc1CN1[C@@H](C)CCC1(C)C ZINC000639669183 356583768 /nfs/dbraw/zinc/58/37/68/356583768.db2.gz XBOQJZWHJNVGBI-JTQLQIEISA-N 0 3 219.332 2.548 20 0 BFADHN CCn1nccc1CN[C@@H]1CC12CCCCC2 ZINC000387135661 363513417 /nfs/dbraw/zinc/51/34/17/363513417.db2.gz MXQGXRUVQAZTBG-CYBMUJFWSA-N 0 3 233.359 2.715 20 0 BFADHN COC(=O)c1ccccc1CN1C[C@H](C)C[C@@H]1C ZINC000162909074 202096694 /nfs/dbraw/zinc/09/66/94/202096694.db2.gz JQVDZWWTVBHIOM-NEPJUHHUSA-N 0 3 247.338 2.704 20 0 BFADHN CCc1ccc2nccc(N(CC)CCO)c2c1 ZINC000450212191 202098336 /nfs/dbraw/zinc/09/83/36/202098336.db2.gz RXMBJIUNPOCTAD-UHFFFAOYSA-N 0 3 244.338 2.616 20 0 BFADHN CCN1CCN(CC/C=C/c2ccccc2)CC1 ZINC000450236969 202102613 /nfs/dbraw/zinc/10/26/13/202102613.db2.gz HUQKWAJDSDRSFQ-UXBLZVDNSA-N 0 3 244.382 2.727 20 0 BFADHN CCN1[C@H](C)CN([C@H](C)c2ccccn2)C[C@@H]1C ZINC000639743437 356635542 /nfs/dbraw/zinc/63/55/42/356635542.db2.gz MXSOHCQSFHDMRF-HZSPNIEDSA-N 0 3 247.386 2.557 20 0 BFADHN CCc1cc(N(C)Cc2ccncc2)ccn1 ZINC000450247849 202106742 /nfs/dbraw/zinc/10/67/42/202106742.db2.gz ZOVHIZOMCVJZQA-UHFFFAOYSA-N 0 3 227.311 2.675 20 0 BFADHN CCC[C@H](O)CN(C)Cc1ccc(F)c(F)c1 ZINC000450251536 202109018 /nfs/dbraw/zinc/10/90/18/202109018.db2.gz BJULXYSKGJNVAZ-NSHDSACASA-N 0 3 243.297 2.558 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CC1=CCCCC1 ZINC000450259476 202110394 /nfs/dbraw/zinc/11/03/94/202110394.db2.gz GCKNGSNCLIDYHG-OCCSQVGLSA-N 0 3 223.360 2.986 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CC1=CCCCC1 ZINC000450259477 202112468 /nfs/dbraw/zinc/11/24/68/202112468.db2.gz GCKNGSNCLIDYHG-TZMCWYRMSA-N 0 3 223.360 2.986 20 0 BFADHN CCc1cc(N(C)Cc2ccccn2)ccn1 ZINC000450269334 202113982 /nfs/dbraw/zinc/11/39/82/202113982.db2.gz LTJRMEIYLRPDLU-UHFFFAOYSA-N 0 3 227.311 2.675 20 0 BFADHN C(N1CCO[C@H]2CCC[C@H]21)C12CCC(CC1)C2 ZINC000450281707 202119265 /nfs/dbraw/zinc/11/92/65/202119265.db2.gz DUUREZZJLQKFFL-FUUBYGMNSA-N 0 3 235.371 2.820 20 0 BFADHN CCc1cc(N2CCS[C@H](C)C2)ccn1 ZINC000450316936 202127636 /nfs/dbraw/zinc/12/76/36/202127636.db2.gz RQSHXZAPHFQZRM-SNVBAGLBSA-N 0 3 222.357 2.586 20 0 BFADHN Cc1cccc(N2CCN(CC(C)C)CC2)c1 ZINC000053944223 363558239 /nfs/dbraw/zinc/55/82/39/363558239.db2.gz DVIFCDBDBCIQEY-UHFFFAOYSA-N 0 3 232.371 2.773 20 0 BFADHN CN(CCC(F)(F)F)CC1CCC(=O)CC1 ZINC000450336736 202131472 /nfs/dbraw/zinc/13/14/72/202131472.db2.gz AWSMBGKOJWPIGB-UHFFFAOYSA-N 0 3 237.265 2.630 20 0 BFADHN CCn1nccc1CN1CCC[C@@H](C)[C@@H]1C ZINC000179798899 366308817 /nfs/dbraw/zinc/30/88/17/366308817.db2.gz OITBVZNZKDSDLO-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@H](CCc1ccc(F)cc1)NCc1ccno1 ZINC000582241976 356671881 /nfs/dbraw/zinc/67/18/81/356671881.db2.gz SKRYGBINKLKLEK-LLVKDONJSA-N 0 3 248.301 2.925 20 0 BFADHN CCc1cc(N2C[C@H](C)[C@@H](C)C2)ccn1 ZINC000450361372 202142229 /nfs/dbraw/zinc/14/22/29/202142229.db2.gz NLQZHDWRUXKGCE-QWRGUYRKSA-N 0 3 204.317 2.736 20 0 BFADHN C[C@H]1CN(CC2=CCCCC2)CCS1 ZINC000450357408 202142461 /nfs/dbraw/zinc/14/24/61/202142461.db2.gz ZZTHTAKIWAEFEA-NSHDSACASA-N 0 3 211.374 2.924 20 0 BFADHN CCc1cc(N2C[C@@H](C)[C@H](C)C2)ccn1 ZINC000450361370 202142467 /nfs/dbraw/zinc/14/24/67/202142467.db2.gz NLQZHDWRUXKGCE-GHMZBOCLSA-N 0 3 204.317 2.736 20 0 BFADHN CCN1CC[C@H](NCc2sccc2Cl)C1 ZINC000389465639 356679676 /nfs/dbraw/zinc/67/96/76/356679676.db2.gz TTYZCTMCCKYSKH-VIFPVBQESA-N 0 3 244.791 2.585 20 0 BFADHN CC(C)[C@H](C)NCc1noc2ccccc12 ZINC000578142110 366322225 /nfs/dbraw/zinc/32/22/25/366322225.db2.gz AVLKPNRHFIYLCW-JTQLQIEISA-N 0 3 218.300 2.962 20 0 BFADHN CCc1cc(N2CC[C@@H](CC)C2)ccn1 ZINC000450374102 202146042 /nfs/dbraw/zinc/14/60/42/202146042.db2.gz BJQKUFUQBYDXCP-LLVKDONJSA-N 0 3 204.317 2.880 20 0 BFADHN CC(C)[C@H](O)CCN[C@H](C)c1ccsc1 ZINC000168229516 134160636 /nfs/dbraw/zinc/16/06/36/134160636.db2.gz QSPBEHWPGFCVGU-ZYHUDNBSSA-N 0 3 227.373 2.806 20 0 BFADHN Cc1ncsc1CN(C)CC1CC(F)(F)C1 ZINC000450397860 202154235 /nfs/dbraw/zinc/15/42/35/202154235.db2.gz WDMFADVEAQJJIU-UHFFFAOYSA-N 0 3 246.326 2.929 20 0 BFADHN CCC[C@@H](O)CN1Cc2ccccc2[C@H]1C ZINC000450456266 202169749 /nfs/dbraw/zinc/16/97/49/202169749.db2.gz SOLVXKLZUMQBBQ-DGCLKSJQSA-N 0 3 219.328 2.724 20 0 BFADHN COC[C@H](C)NCc1cc2cc(C)ccc2o1 ZINC000152024160 356713632 /nfs/dbraw/zinc/71/36/32/356713632.db2.gz DHKUBESFOIXMGK-NSHDSACASA-N 0 3 233.311 2.866 20 0 BFADHN COC[C@@H](C)NCc1cc2cc(C)ccc2o1 ZINC000152024241 356714304 /nfs/dbraw/zinc/71/43/04/356714304.db2.gz DHKUBESFOIXMGK-LLVKDONJSA-N 0 3 233.311 2.866 20 0 BFADHN COc1ccncc1CN(C)C1(C)CCCC1 ZINC000347120072 538566274 /nfs/dbraw/zinc/56/62/74/538566274.db2.gz KCSRBNLYKJCXAJ-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CO[C@@H]1CCN(Cc2c(C)cccc2Cl)C1 ZINC000450474822 202176685 /nfs/dbraw/zinc/17/66/85/202176685.db2.gz SMUKLDGHECPSEL-LLVKDONJSA-N 0 3 239.746 2.869 20 0 BFADHN CC(=O)c1ccc(CN2C[C@H](C)[C@H](C)C2)cc1 ZINC000450456431 202170165 /nfs/dbraw/zinc/17/01/65/202170165.db2.gz PWLRBNXYOBNSGP-TXEJJXNPSA-N 0 3 231.339 2.977 20 0 BFADHN CN(Cc1ccns1)CC1CC=CC1 ZINC000639805303 356774466 /nfs/dbraw/zinc/77/44/66/356774466.db2.gz BOVBJUWDMYUSJU-UHFFFAOYSA-N 0 3 208.330 2.541 20 0 BFADHN CCc1cc(N2C[C@H](C)O[C@@H](C)[C@@H]2C)ccn1 ZINC000450470054 202175231 /nfs/dbraw/zinc/17/52/31/202175231.db2.gz IIWMLPOEEUWVKI-SRVKXCTJSA-N 0 3 234.343 2.646 20 0 BFADHN CCc1cc(N2C[C@@H](C3CC3)O[C@@H](C)C2)ccn1 ZINC000450492378 202184086 /nfs/dbraw/zinc/18/40/86/202184086.db2.gz SVXHEXYOQVTMHK-NHYWBVRUSA-N 0 3 246.354 2.648 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1C[C@H]1CCC(F)(F)C1 ZINC000450494941 202184189 /nfs/dbraw/zinc/18/41/89/202184189.db2.gz QEKIFGRXODDNMK-VWYCJHECSA-N 0 3 233.302 2.531 20 0 BFADHN CCc1cc(N2CCC[C@](C)(OC)C2)ccn1 ZINC000450492615 202184378 /nfs/dbraw/zinc/18/43/78/202184378.db2.gz VJIBMLFAQCZINX-AWEZNQCLSA-N 0 3 234.343 2.649 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1CCCC[C@H]1O ZINC000356903535 356808476 /nfs/dbraw/zinc/80/84/76/356808476.db2.gz SEAUFZRIFYDOBK-IACUBPJLSA-N 0 3 248.370 2.598 20 0 BFADHN CCOc1ccc(CN(C)CC(C)(C)C)nc1 ZINC000088504754 491103478 /nfs/dbraw/zinc/10/34/78/491103478.db2.gz UELMTAXYCLTKQR-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1Cc1cnns1 ZINC000450497343 202187677 /nfs/dbraw/zinc/18/76/77/202187677.db2.gz FMWHZJSQFZEVFW-CMPLNLGQSA-N 0 3 239.388 2.939 20 0 BFADHN FC(F)C1CCN(CCc2cscn2)CC1 ZINC000450505631 202187853 /nfs/dbraw/zinc/18/78/53/202187853.db2.gz ZPDFZQHYHXAEIC-UHFFFAOYSA-N 0 3 246.326 2.663 20 0 BFADHN CCc1cc(N2CCC=C(C)C2)ccn1 ZINC000450500917 202188125 /nfs/dbraw/zinc/18/81/25/202188125.db2.gz OMRUZTIIAQNZJR-UHFFFAOYSA-N 0 3 202.301 2.800 20 0 BFADHN CC[C@@H]([NH2+][C@H](C)c1nnc(C(C)C)[n-]1)C1CC1 ZINC000358248737 356864307 /nfs/dbraw/zinc/86/43/07/356864307.db2.gz MQXINXABPLBION-MWLCHTKSSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@@H](N[C@H](C)c1nnc(C(C)C)[nH]1)C1CC1 ZINC000358248737 356864310 /nfs/dbraw/zinc/86/43/10/356864310.db2.gz MQXINXABPLBION-MWLCHTKSSA-N 0 3 236.363 2.767 20 0 BFADHN CCN(Cc1cn(C)nc1C)CC(C)(C)C ZINC000361027725 356915855 /nfs/dbraw/zinc/91/58/55/356915855.db2.gz ZAROJAWSAATRGG-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN CCN(Cc1cc(C)sc1C)[C@@H]1CCOC1 ZINC000361237478 356920678 /nfs/dbraw/zinc/92/06/78/356920678.db2.gz PBHKASOIPBDZDA-CYBMUJFWSA-N 0 3 239.384 2.976 20 0 BFADHN CCN(Cc1ccc(C)s1)[C@H]1CCOC1 ZINC000361236983 356920697 /nfs/dbraw/zinc/92/06/97/356920697.db2.gz HSZHAODBVXPUQX-NSHDSACASA-N 0 3 225.357 2.667 20 0 BFADHN CCN(Cc1cc(C)cc(C)c1)[C@H]1CCOC1 ZINC000361237408 356921045 /nfs/dbraw/zinc/92/10/45/356921045.db2.gz KEMIGFHGOBIYRZ-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN CCN(Cc1ccc(C)c(C)c1)[C@H]1CCOC1 ZINC000361240073 356922053 /nfs/dbraw/zinc/92/20/53/356922053.db2.gz ZZUDONXEYSAYTN-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN C[C@H](NC[C@@H]1CCc2ccccc21)c1ncc[nH]1 ZINC000353521350 538748669 /nfs/dbraw/zinc/74/86/69/538748669.db2.gz RFWZFORASRUMJQ-AAEUAGOBSA-N 0 3 241.338 2.790 20 0 BFADHN C[C@@H](NC[C@@H]1CCc2ccccc21)c1ncc[nH]1 ZINC000353521353 538749019 /nfs/dbraw/zinc/74/90/19/538749019.db2.gz RFWZFORASRUMJQ-YPMHNXCESA-N 0 3 241.338 2.790 20 0 BFADHN CCN(Cc1cccnc1OC)CC(C)(C)C ZINC000361527872 356932030 /nfs/dbraw/zinc/93/20/30/356932030.db2.gz KLKVMTSRAZBXFI-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CCc1cc(N2CCCO[C@@H](C(C)C)C2)ccn1 ZINC000450544521 202194042 /nfs/dbraw/zinc/19/40/42/202194042.db2.gz PFIHIAIJXMQVQU-OAHLLOKOSA-N 0 3 248.370 2.895 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@@H](CCO)c1ccco1 ZINC000353690056 538763798 /nfs/dbraw/zinc/76/37/98/538763798.db2.gz REUHCOIBMXZDTI-AVGNSLFASA-N 0 3 237.343 2.727 20 0 BFADHN COC[C@H](C)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000019904477 356992787 /nfs/dbraw/zinc/99/27/87/356992787.db2.gz NRGIBOKMWUBSIA-JBLDHEPKSA-N 0 3 223.316 2.528 20 0 BFADHN CC1(C)CCN(CCOc2cccc(F)c2)C1 ZINC000362416666 356945187 /nfs/dbraw/zinc/94/51/87/356945187.db2.gz SDKMQGUFMGHRFU-UHFFFAOYSA-N 0 3 237.318 2.936 20 0 BFADHN Cc1cnc(CN[C@H]2CC[C@H]2C(C)C)s1 ZINC000390007235 357083812 /nfs/dbraw/zinc/08/38/12/357083812.db2.gz XIGVCYWGXPHZJC-QWRGUYRKSA-N 0 3 224.373 2.976 20 0 BFADHN CC1=CC[C@H](N[C@H](CO)c2ccsc2)CC1 ZINC000390460211 357094166 /nfs/dbraw/zinc/09/41/66/357094166.db2.gz FJSWIWUUMPQQDU-QWHCGFSZSA-N 0 3 237.368 2.870 20 0 BFADHN CCC[C@H](C)[C@H](CO)N[C@H](C)c1ccoc1 ZINC000582425276 357145885 /nfs/dbraw/zinc/14/58/85/357145885.db2.gz RXDZJAAKAIFVMB-LOWVWBTDSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@H](NCC(C)(C)F)c1ccc2c(c1)OCO2 ZINC000631658601 357158211 /nfs/dbraw/zinc/15/82/11/357158211.db2.gz LYTTXAVJKYMMNH-VIFPVBQESA-N 0 3 239.290 2.814 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC2CCC1CC2 ZINC000390821103 357117337 /nfs/dbraw/zinc/11/73/37/357117337.db2.gz XTKDGMSGKVFZFN-VQXHTEKXSA-N 0 3 237.372 2.515 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC2(F)F)ccn1 ZINC000391162885 357124616 /nfs/dbraw/zinc/12/46/16/357124616.db2.gz DCGWYNNPKFPYKZ-GFCCVEGCSA-N 0 3 240.297 2.915 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@H](C3CC3)C2)c(F)c1 ZINC000631183427 357240046 /nfs/dbraw/zinc/24/00/46/357240046.db2.gz OOKNGUQLGNSAIQ-ZFWWWQNUSA-N 0 3 249.329 2.791 20 0 BFADHN CCOc1ccccc1CN1C[C@@H]2C[C@@H]2C1 ZINC000628407204 357203819 /nfs/dbraw/zinc/20/38/19/357203819.db2.gz BAWPHMDUVSPCQN-BETUJISGSA-N 0 3 217.312 2.537 20 0 BFADHN Clc1ccc(CN2C[C@@H]3C[C@@H]3C2)cc1 ZINC000628407668 357205515 /nfs/dbraw/zinc/20/55/15/357205515.db2.gz KUFKPEXAZKDNJF-PHIMTYICSA-N 0 3 207.704 2.792 20 0 BFADHN CSc1ccc(CN2C[C@@H]3C[C@@H]3C2)cc1 ZINC000628408250 357205865 /nfs/dbraw/zinc/20/58/65/357205865.db2.gz WHBOXEFHHHEWMC-TXEJJXNPSA-N 0 3 219.353 2.860 20 0 BFADHN CCOc1ccc(CN2C[C@@H]3C[C@@H]3C2)cc1OC ZINC000628408099 357206047 /nfs/dbraw/zinc/20/60/47/357206047.db2.gz TZQVVJVNMXIFCJ-BETUJISGSA-N 0 3 247.338 2.546 20 0 BFADHN Cc1cc(F)ccc1CN1C[C@@H]2C[C@@H]2C1 ZINC000628409515 357210859 /nfs/dbraw/zinc/21/08/59/357210859.db2.gz UKLRBZBEUXGLPS-TXEJJXNPSA-N 0 3 205.276 2.586 20 0 BFADHN COC[C@@H]1CCCN1Cc1c(C)cc(C)nc1C ZINC000639891016 357212012 /nfs/dbraw/zinc/21/20/12/357212012.db2.gz DYKOXJYCHVSOQA-AWEZNQCLSA-N 0 3 248.370 2.618 20 0 BFADHN c1cc(OCC2CC2)ccc1CN1C[C@@H]2C[C@@H]2C1 ZINC000628410598 357215946 /nfs/dbraw/zinc/21/59/46/357215946.db2.gz ODNREWXVOSCJQR-GASCZTMLSA-N 0 3 243.350 2.927 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCCC23CC3)nn1C ZINC000631662195 357216043 /nfs/dbraw/zinc/21/60/43/357216043.db2.gz DQQKIAWYMMWWFP-YPMHNXCESA-N 0 3 233.359 2.712 20 0 BFADHN CCO[C@@H]1C[C@H](NCC(C)(C)F)C1(C)C ZINC000631658880 357177724 /nfs/dbraw/zinc/17/77/24/357177724.db2.gz ODSOMBZJAKTWPT-VHSXEESVSA-N 0 3 217.328 2.528 20 0 BFADHN CCO[C@H]1C[C@H](NCC(C)(C)F)C12CCC2 ZINC000631659100 357185964 /nfs/dbraw/zinc/18/59/64/357185964.db2.gz PFKKVXXJOACAPQ-QWRGUYRKSA-N 0 3 229.339 2.672 20 0 BFADHN CC1(C)CCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC000582464357 357288131 /nfs/dbraw/zinc/28/81/31/357288131.db2.gz QZETVQOPHKNSDR-UHFFFAOYSA-N 0 3 231.299 2.654 20 0 BFADHN CCC1CC(NCc2ncc(C)s2)C1 ZINC000393671169 357292391 /nfs/dbraw/zinc/29/23/91/357292391.db2.gz VXLUWPKTYQXZDH-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN CC(C)=CCN[C@H](CO)c1ccccc1C ZINC000393750647 357296527 /nfs/dbraw/zinc/29/65/27/357296527.db2.gz CFTHEYSCUKVKRX-CQSZACIVSA-N 0 3 219.328 2.584 20 0 BFADHN Cn1ccc(CN2CCCC(F)(F)CC2)c1 ZINC000628422101 357244465 /nfs/dbraw/zinc/24/44/65/357244465.db2.gz NPGIBZLCFOGWIS-UHFFFAOYSA-N 0 3 228.286 2.646 20 0 BFADHN C[C@H]1CCCC[C@H]1CN1CC2(C1)CCCO2 ZINC000628427119 357256124 /nfs/dbraw/zinc/25/61/24/357256124.db2.gz HNRJFTVOVBLGAG-STQMWFEESA-N 0 3 223.360 2.678 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CC2(C1)CCCO2 ZINC000628427117 357256164 /nfs/dbraw/zinc/25/61/64/357256164.db2.gz HNRJFTVOVBLGAG-OLZOCXBDSA-N 0 3 223.360 2.678 20 0 BFADHN CC[C@H](NCC(C)=O)c1cc(C)ccc1C ZINC000639895094 357258604 /nfs/dbraw/zinc/25/86/04/357258604.db2.gz XFAVNZQLWBYSIV-AWEZNQCLSA-N 0 3 219.328 2.933 20 0 BFADHN C[C@H]1CC(F)(F)CCN1C[C@@H]1CC(C)(C)CO1 ZINC000639895204 357259214 /nfs/dbraw/zinc/25/92/14/357259214.db2.gz XOWIYLRFARDALA-QWRGUYRKSA-N 0 3 247.329 2.921 20 0 BFADHN Cc1nnsc1CN1CC[C@H](C(C)(C)C)C1 ZINC000578196889 366382933 /nfs/dbraw/zinc/38/29/33/366382933.db2.gz XJJXZKHPFHZDRR-JTQLQIEISA-N 0 3 239.388 2.715 20 0 BFADHN C[C@H](N[C@H]1CCC[C@H]2C[C@H]21)c1ccon1 ZINC000393160851 357278416 /nfs/dbraw/zinc/27/84/16/357278416.db2.gz WFAOPPZRCQYYPF-GUDRVLHUSA-N 0 3 206.289 2.514 20 0 BFADHN Cc1cnc(CNCCCC2CC2)s1 ZINC000394727090 357370830 /nfs/dbraw/zinc/37/08/30/357370830.db2.gz KDMWIPHBQKJKMU-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1Cc1cnccn1 ZINC000582471718 357314289 /nfs/dbraw/zinc/31/42/89/357314289.db2.gz JGMMVYYSYBNLTP-TZMCWYRMSA-N 0 3 233.359 2.877 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccc(N(C)C)nc1 ZINC000157467640 538826978 /nfs/dbraw/zinc/82/69/78/538826978.db2.gz SGVXEQNFSBAPPM-CQSZACIVSA-N 0 3 247.386 2.912 20 0 BFADHN CCO[C@@H]1C[C@@H](N[C@H]2CCC[C@H]2F)C1(C)C ZINC000393966137 357322346 /nfs/dbraw/zinc/32/23/46/357322346.db2.gz LPSKYBOIKBNJHA-WRWGMCAJSA-N 0 3 229.339 2.670 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1nnc(C2CC2)[nH]1 ZINC000582707973 357375948 /nfs/dbraw/zinc/37/59/48/357375948.db2.gz BFQUQGYZIUPLCV-VIFPVBQESA-N 0 3 236.363 2.596 20 0 BFADHN Cc1ccc2oc(CN[C@@H](C)[C@H](C)O)cc2c1 ZINC000582689217 357367819 /nfs/dbraw/zinc/36/78/19/357367819.db2.gz HGUHDBAMZDHKPD-QWRGUYRKSA-N 0 3 233.311 2.600 20 0 BFADHN CCNCc1ccsc1C(F)(F)F ZINC000394845439 357382889 /nfs/dbraw/zinc/38/28/89/357382889.db2.gz RELAPFPNQNYQFP-UHFFFAOYSA-N 0 3 209.236 2.876 20 0 BFADHN Cc1cc(OCCN2CCCCC2)cc(C)n1 ZINC000582763418 357383041 /nfs/dbraw/zinc/38/30/41/357383041.db2.gz LCRQIGSIDACLED-UHFFFAOYSA-N 0 3 234.343 2.563 20 0 BFADHN COC[C@@H](NCc1ccc(F)cc1C)C1CC1 ZINC000178680840 357395397 /nfs/dbraw/zinc/39/53/97/357395397.db2.gz LRMQHGOVAXFALZ-CQSZACIVSA-N 0 3 237.318 2.649 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCN(CC3CC3)C2)o1 ZINC000157810422 538841291 /nfs/dbraw/zinc/84/12/91/538841291.db2.gz JDCOXHMZPJZBOK-JSGCOSHPSA-N 0 3 248.370 2.723 20 0 BFADHN Cn1c2ccccc2nc1CNC1CC(C)(C)C1 ZINC000395166359 357416170 /nfs/dbraw/zinc/41/61/70/357416170.db2.gz CVNYVMCVNSEJTR-UHFFFAOYSA-N 0 3 243.354 2.852 20 0 BFADHN COc1ccc(CN2[C@H](C)C[C@H]2C)c(C)c1OC ZINC000582871668 357416618 /nfs/dbraw/zinc/41/66/18/357416618.db2.gz IHCHSSXZKCACDX-GHMZBOCLSA-N 0 3 249.354 2.995 20 0 BFADHN COc1cc(C)nc(CNC2CC(C)(C)C2)c1 ZINC000395235551 357429663 /nfs/dbraw/zinc/42/96/63/357429663.db2.gz WNFNRCAZJWYCRK-UHFFFAOYSA-N 0 3 234.343 2.677 20 0 BFADHN CC(C)n1cncc1CNC1CC(C)(C)C1 ZINC000395262744 357430457 /nfs/dbraw/zinc/43/04/57/357430457.db2.gz JTMDBEJAYMXVSX-UHFFFAOYSA-N 0 3 221.348 2.742 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N1CCC(C)(C)C1 ZINC000582926082 357432142 /nfs/dbraw/zinc/43/21/42/357432142.db2.gz RVPXJACAWWKWAS-GFCCVEGCSA-N 0 3 241.375 2.696 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1CCC(C)(C)C1 ZINC000582926083 357432338 /nfs/dbraw/zinc/43/23/38/357432338.db2.gz RVPXJACAWWKWAS-LBPRGKRZSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2ccoc2)CCO1 ZINC000583123819 357490751 /nfs/dbraw/zinc/49/07/51/357490751.db2.gz OLDHKUUYSVLSBI-CYZMBNFOSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2cn3ccccc3n2)C1 ZINC000230411916 357540977 /nfs/dbraw/zinc/54/09/77/357540977.db2.gz LLZVVQFGOWBDEG-CHWSQXEVSA-N 0 3 243.354 2.860 20 0 BFADHN C[C@@H](NC[C@@H]1CC[C@@H](C)C1)c1nccn1C ZINC000230412098 357541465 /nfs/dbraw/zinc/54/14/65/357541465.db2.gz JSXJBIMHEAIUKM-IJLUTSLNSA-N 0 3 221.348 2.507 20 0 BFADHN C[C@H](NC[C@H]1CC[C@@H](C)C1)c1nccn1C ZINC000230412109 357541552 /nfs/dbraw/zinc/54/15/52/357541552.db2.gz JSXJBIMHEAIUKM-WOPDTQHZSA-N 0 3 221.348 2.507 20 0 BFADHN CC(C)n1cc(CN[C@H](C)CC(F)(F)F)cn1 ZINC000231750389 357625067 /nfs/dbraw/zinc/62/50/67/357625067.db2.gz QXTMLNWXSJVNIW-SECBINFHSA-N 0 3 249.280 2.895 20 0 BFADHN COC1CC(NCc2ccc(Cl)cc2F)C1 ZINC000230955393 357586622 /nfs/dbraw/zinc/58/66/22/357586622.db2.gz OSHTYCOBMXJNKB-UHFFFAOYSA-N 0 3 243.709 2.746 20 0 BFADHN CO[C@H]1CC[C@@H](NCc2cc(C)ccc2F)C1 ZINC000231679783 357619504 /nfs/dbraw/zinc/61/95/04/357619504.db2.gz FRSWYHVCXRHCGC-OLZOCXBDSA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)Cc1ccc(CNC2CC2)cn1 ZINC000685947396 538889142 /nfs/dbraw/zinc/88/91/42/538889142.db2.gz KFAYTTGGOHDTJE-UHFFFAOYSA-N 0 3 204.317 2.532 20 0 BFADHN C[C@H]1CCCN(Cc2cn3ccccc3n2)CC1 ZINC000063388340 357658802 /nfs/dbraw/zinc/65/88/02/357658802.db2.gz WCECUEOFBWRAIX-ZDUSSCGKSA-N 0 3 243.354 2.956 20 0 BFADHN CC(C)(NCCc1ccncc1)c1nccs1 ZINC000232560584 357671097 /nfs/dbraw/zinc/67/10/97/357671097.db2.gz CIYZVNYFUMEZAK-UHFFFAOYSA-N 0 3 247.367 2.606 20 0 BFADHN Cc1cccc([C@H]2CCCN2CCC[C@@H](C)O)n1 ZINC000583238038 357672192 /nfs/dbraw/zinc/67/21/92/357672192.db2.gz HJPLDOXBQXVGBW-UKRRQHHQSA-N 0 3 248.370 2.688 20 0 BFADHN C[C@H](NCc1nn(C)c2ccccc12)C1CCC1 ZINC000160167021 538882261 /nfs/dbraw/zinc/88/22/61/538882261.db2.gz PURSXBNLQCITSF-NSHDSACASA-N 0 3 243.354 2.852 20 0 BFADHN Cc1cccc2c1OCC[C@H]2N[C@H]1CCO[C@H]1C ZINC000189372861 357635189 /nfs/dbraw/zinc/63/51/89/357635189.db2.gz NJINAVXXTJKIMX-FPMFFAJLSA-N 0 3 247.338 2.586 20 0 BFADHN CCCN(C)Cc1cc(OC)ccc1OC ZINC000063742771 357716082 /nfs/dbraw/zinc/71/60/82/357716082.db2.gz OXXRDMJXJMSUSW-UHFFFAOYSA-N 0 3 223.316 2.546 20 0 BFADHN CC(C)n1cc(CNC2C(C)(C)C2(C)C)cn1 ZINC000232779195 357684012 /nfs/dbraw/zinc/68/40/12/357684012.db2.gz HTUOIDLLMGCYGO-UHFFFAOYSA-N 0 3 235.375 2.988 20 0 BFADHN CCC(=O)CCN1CCC[C@H]1c1cccc(C)n1 ZINC000190282315 357699203 /nfs/dbraw/zinc/69/92/03/357699203.db2.gz APOZQASSPKUYSU-HNNXBMFYSA-N 0 3 246.354 2.896 20 0 BFADHN CC[C@@H](NCc1ccno1)[C@@H]1CC1(C)C ZINC000397870537 357775887 /nfs/dbraw/zinc/77/58/87/357775887.db2.gz ZXVUZPREYGDTBG-WDEREUQCSA-N 0 3 208.305 2.589 20 0 BFADHN CCOC[C@@H](C)N[C@@H]1CCCc2ccc(O)cc21 ZINC000233740445 357742052 /nfs/dbraw/zinc/74/20/52/357742052.db2.gz DJZPFIJSVICADE-IAQYHMDHSA-N 0 3 249.354 2.784 20 0 BFADHN CCN(C)c1ccc(CN(C)CC2CCC2)cn1 ZINC000191153704 357755214 /nfs/dbraw/zinc/75/52/14/357755214.db2.gz YYIFPJYSIMZKEH-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN COCCCCN1CCc2ccsc2C1 ZINC000132844691 325248278 /nfs/dbraw/zinc/24/82/78/325248278.db2.gz UCJJOLMBOODHRF-UHFFFAOYSA-N 0 3 225.357 2.533 20 0 BFADHN CCC[C@@H](CCO)N[C@H](C)c1nc(C)cs1 ZINC000631667659 357800864 /nfs/dbraw/zinc/80/08/64/357800864.db2.gz FMSSQVFRHQHLFT-MNOVXSKESA-N 0 3 242.388 2.653 20 0 BFADHN CCC[C@@H](CCO)N[C@@H](C)c1nc(C)cs1 ZINC000631667660 357801360 /nfs/dbraw/zinc/80/13/60/357801360.db2.gz FMSSQVFRHQHLFT-QWRGUYRKSA-N 0 3 242.388 2.653 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1Cc1ncccn1 ZINC000192077545 357816505 /nfs/dbraw/zinc/81/65/05/357816505.db2.gz YNKIHFRAIGZCIG-STQMWFEESA-N 0 3 233.359 2.877 20 0 BFADHN CCOC[C@H](C)N[C@@H]1CCCc2c(O)cccc21 ZINC000191636585 357779956 /nfs/dbraw/zinc/77/99/56/357779956.db2.gz CCWVECXHYYECMP-SMDDNHRTSA-N 0 3 249.354 2.784 20 0 BFADHN CCn1cncc1CNCc1ccc(C)s1 ZINC000085183872 134225086 /nfs/dbraw/zinc/22/50/86/134225086.db2.gz GRGLGSWTQBQYIJ-UHFFFAOYSA-N 0 3 235.356 2.563 20 0 BFADHN C[C@@H](CN(C)C)N[C@@H](C)c1ccc(F)cc1F ZINC000168529540 134225840 /nfs/dbraw/zinc/22/58/40/134225840.db2.gz DHKLQFDDSHZKAH-UWVGGRQHSA-N 0 3 242.313 2.566 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2cccc(O)c2)C1(C)C ZINC000234857479 357838958 /nfs/dbraw/zinc/83/89/58/357838958.db2.gz AZOXGDDPDUPRHJ-LEWSCRJBSA-N 0 3 249.354 2.856 20 0 BFADHN Cc1cc(CNCC2CCC(F)(F)CC2)on1 ZINC000631128934 357839755 /nfs/dbraw/zinc/83/97/55/357839755.db2.gz SNZXSDPHZZJYBY-UHFFFAOYSA-N 0 3 244.285 2.898 20 0 BFADHN COc1cc(CNC2CC(C(C)C)C2)ccn1 ZINC000234898974 357840900 /nfs/dbraw/zinc/84/09/00/357840900.db2.gz DAYOJWLZWAAIKB-UHFFFAOYSA-N 0 3 234.343 2.614 20 0 BFADHN C[C@H]1CC[C@H](CNCc2cccc(F)c2F)O1 ZINC000398130136 357847657 /nfs/dbraw/zinc/84/76/57/357847657.db2.gz KINZKCLAFQRIBU-GXSJLCMTSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2cccc(F)c2F)O1 ZINC000398130137 357847880 /nfs/dbraw/zinc/84/78/80/357847880.db2.gz KINZKCLAFQRIBU-KOLCDFICSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@@H]1CC[C@@H](C)C1 ZINC000235316722 357865249 /nfs/dbraw/zinc/86/52/49/357865249.db2.gz MUSYHULHPLUNHW-KGYLQXTDSA-N 0 3 233.359 2.872 20 0 BFADHN COc1ccccc1CNCCOCC1CCC1 ZINC000583296795 357868174 /nfs/dbraw/zinc/86/81/74/357868174.db2.gz YIVJRJILIGPJDS-UHFFFAOYSA-N 0 3 249.354 2.602 20 0 BFADHN CCCN(Cc1cccnc1OC)CC1CC1 ZINC000193293003 357873387 /nfs/dbraw/zinc/87/33/87/357873387.db2.gz GGIZBHXFROOETJ-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1noc(C)c1CNC1C(C)(C)C1(C)C ZINC000086239567 357874753 /nfs/dbraw/zinc/87/47/53/357874753.db2.gz ZMHJCHANGKMXMY-UHFFFAOYSA-N 0 3 222.332 2.816 20 0 BFADHN CC[C@](C)(NCCOC)c1ccc(F)cc1 ZINC000639927597 357863410 /nfs/dbraw/zinc/86/34/10/357863410.db2.gz GAQDNYPCWXFKBB-ZDUSSCGKSA-N 0 3 225.307 2.687 20 0 BFADHN COC1([C@@H](C)N[C@H](C)c2ccoc2)CCC1 ZINC000564304718 325292033 /nfs/dbraw/zinc/29/20/33/325292033.db2.gz AANHMUYUIPCQTB-GHMZBOCLSA-N 0 3 223.316 2.888 20 0 BFADHN Cc1occc1CNC[C@@H](C)c1nccs1 ZINC000321535326 259475307 /nfs/dbraw/zinc/47/53/07/259475307.db2.gz PTXQNFGKJBASHT-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN CC/C=C\CCN(C)Cc1c[nH]nc1C ZINC000639971900 358031481 /nfs/dbraw/zinc/03/14/81/358031481.db2.gz ZRELRBBARRVJBH-WAYWQWQTSA-N 0 3 207.321 2.506 20 0 BFADHN CSCCNCc1c[nH]c(-c2ccccc2)n1 ZINC000610658260 358045582 /nfs/dbraw/zinc/04/55/82/358045582.db2.gz SILYLABAQDVVSM-UHFFFAOYSA-N 0 3 247.367 2.529 20 0 BFADHN CSCCNCc1cnc(-c2ccccc2)[nH]1 ZINC000610658260 358045585 /nfs/dbraw/zinc/04/55/85/358045585.db2.gz SILYLABAQDVVSM-UHFFFAOYSA-N 0 3 247.367 2.529 20 0 BFADHN C[C@@H](Cc1ccc(Cl)cc1)NCc1cc[nH]n1 ZINC000036938169 358056356 /nfs/dbraw/zinc/05/63/56/358056356.db2.gz IHJUECGYXHNNSF-JTQLQIEISA-N 0 3 249.745 2.784 20 0 BFADHN O=C(CNC1CC1)Nc1cccc2ccccc21 ZINC000037386667 358079012 /nfs/dbraw/zinc/07/90/12/358079012.db2.gz QCQYQSHHZWUUQG-UHFFFAOYSA-N 0 3 240.306 2.530 20 0 BFADHN CCCCc1ccc(NC(=O)CNC2CC2)cc1 ZINC000037386715 358079434 /nfs/dbraw/zinc/07/94/34/358079434.db2.gz MQTGDRFISTZXPK-UHFFFAOYSA-N 0 3 246.354 2.720 20 0 BFADHN CCC[C@H](C)[C@H](CC)NCc1ncccn1 ZINC000584666080 358119608 /nfs/dbraw/zinc/11/96/08/358119608.db2.gz JGIYFOAWFDNLIB-RYUDHWBXSA-N 0 3 221.348 2.781 20 0 BFADHN Cc1ccccc1[C@@H](CO)NCc1ccccc1 ZINC000038110966 358115315 /nfs/dbraw/zinc/11/53/15/358115315.db2.gz FZMLDAJGQJXANM-MRXNPFEDSA-N 0 3 241.334 2.818 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC(C)(F)CC1 ZINC000640071460 358161801 /nfs/dbraw/zinc/16/18/01/358161801.db2.gz NTNFBLJBHGWQBY-NSHDSACASA-N 0 3 222.307 2.967 20 0 BFADHN CO[C@@H](Cc1ccccc1)CN1CC=C(C)CC1 ZINC000450617272 202213921 /nfs/dbraw/zinc/21/39/21/202213921.db2.gz GDVOTSUVOIPVTM-INIZCTEOSA-N 0 3 245.366 2.896 20 0 BFADHN CC1(F)CCN(CCOCC2CCC2)CC1 ZINC000640075183 358173172 /nfs/dbraw/zinc/17/31/72/358173172.db2.gz ZIDZVJKUOUHZLX-UHFFFAOYSA-N 0 3 229.339 2.627 20 0 BFADHN C[C@H](NC[C@H]1CC2CCC1CC2)c1ccn(C)n1 ZINC000584779767 358176115 /nfs/dbraw/zinc/17/61/15/358176115.db2.gz MYBSYSDRQWVGPX-GFJIZPEISA-N 0 3 247.386 2.897 20 0 BFADHN CCC[C@H](CCO)N[C@@H](C)c1cnc(C)s1 ZINC000631669086 358183280 /nfs/dbraw/zinc/18/32/80/358183280.db2.gz PBRSYFYEBJFQBN-GXSJLCMTSA-N 0 3 242.388 2.653 20 0 BFADHN CCC[C@H](CCO)N[C@H](C)c1cnc(C)s1 ZINC000631669088 358183312 /nfs/dbraw/zinc/18/33/12/358183312.db2.gz PBRSYFYEBJFQBN-MWLCHTKSSA-N 0 3 242.388 2.653 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2cnn(C)c2)CC1 ZINC000172176924 134262781 /nfs/dbraw/zinc/26/27/81/134262781.db2.gz FELUENHJKKOXMU-AWEZNQCLSA-N 0 3 235.375 2.678 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cc(C)on1 ZINC000075023082 491124520 /nfs/dbraw/zinc/12/45/20/491124520.db2.gz LIHPUHWYXLOTGE-JOYOIKCWSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@H]1CCCCN1Cc1cccc(OC)n1 ZINC000171859199 134261493 /nfs/dbraw/zinc/26/14/93/134261493.db2.gz OQZKGABGHDLOLD-ZDUSSCGKSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1nc(CN[C@@]2(C)CC=CCC2)[nH]c1C ZINC000584849413 358209396 /nfs/dbraw/zinc/20/93/96/358209396.db2.gz JFZOZOKNTNLUMG-ZDUSSCGKSA-N 0 3 219.332 2.615 20 0 BFADHN Cc1cccc(CC[C@@H](C)NCc2cc[nH]n2)c1 ZINC000041015705 358241367 /nfs/dbraw/zinc/24/13/67/358241367.db2.gz LZNXNNJHEHWFNV-CYBMUJFWSA-N 0 3 243.354 2.829 20 0 BFADHN c1cnc(CNCCCC2CCCC2)cn1 ZINC000225097236 358246036 /nfs/dbraw/zinc/24/60/36/358246036.db2.gz HUIBFAGHHLUCOJ-UHFFFAOYSA-N 0 3 219.332 2.537 20 0 BFADHN OC[C@H](NCC1=CCCCC1)c1ccc(F)cc1 ZINC000450709148 202241160 /nfs/dbraw/zinc/24/11/60/202241160.db2.gz LHNHSHNJHWWDEK-HNNXBMFYSA-N 0 3 249.329 2.949 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H]2CCCSC2)s1 ZINC000090418759 491126684 /nfs/dbraw/zinc/12/66/84/491126684.db2.gz KGRLWPPTBVXQJP-PSASIEDQSA-N 0 3 242.413 2.998 20 0 BFADHN Cc1ncc([C@H](C)NC2CC=CC2)s1 ZINC000090419415 491126737 /nfs/dbraw/zinc/12/67/37/491126737.db2.gz NFZCZYKKSOGRIC-QMMMGPOBSA-N 0 3 208.330 2.821 20 0 BFADHN OC[C@H](NCC1=CCCCC1)c1cccc(F)c1 ZINC000450710199 202243059 /nfs/dbraw/zinc/24/30/59/202243059.db2.gz MWZQUFYEWYGLDM-HNNXBMFYSA-N 0 3 249.329 2.949 20 0 BFADHN COCC1=CCN(CC2=CCCCC2)CC1 ZINC000450760603 202257620 /nfs/dbraw/zinc/25/76/20/202257620.db2.gz RFLNBIVOTVHDAD-UHFFFAOYSA-N 0 3 221.344 2.765 20 0 BFADHN CC(C)N(C(=O)CN1CCCC1(C)C)C(C)C ZINC000077382701 325376632 /nfs/dbraw/zinc/37/66/32/325376632.db2.gz JVJJJVQAWGLWBP-UHFFFAOYSA-N 0 3 240.391 2.506 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H](C)CC[C@H]2C)no1 ZINC000227033907 358347338 /nfs/dbraw/zinc/34/73/38/358347338.db2.gz OPCMUBQNLVIHBP-OPQQBVKSSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CCC[C@@H]1C ZINC000219186271 134274731 /nfs/dbraw/zinc/27/47/31/134274731.db2.gz DAEKSVVHTSAASF-ZMLRMANQSA-N 0 3 219.332 2.624 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CC[C@H](O)CC2)o1 ZINC000578213950 366429439 /nfs/dbraw/zinc/42/94/39/366429439.db2.gz FTDNZQXJOBBSFY-SRVKXCTJSA-N 0 3 237.343 2.796 20 0 BFADHN CC[C@@H](NCc1ccc(Cl)o1)[C@@H]1CCCO1 ZINC000126318181 358549219 /nfs/dbraw/zinc/54/92/19/358549219.db2.gz ZMXLIEKVBKMRRJ-MNOVXSKESA-N 0 3 243.734 2.980 20 0 BFADHN COc1cccc(CN2C[C@H](C)CC[C@H]2C)n1 ZINC000174489790 134281771 /nfs/dbraw/zinc/28/17/71/134281771.db2.gz ZXZMNTHDZPVWOM-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN CCN(Cc1ccc(C)cn1)CC1CC1 ZINC000124890311 358529881 /nfs/dbraw/zinc/52/98/81/358529881.db2.gz HOVJVPDPBCXLFT-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN C[C@H](N[C@@H]1CSc2ccccc21)[C@@H]1CCCO1 ZINC000130052545 358606627 /nfs/dbraw/zinc/60/66/27/358606627.db2.gz FOFRFIDEXLCCLK-UHTWSYAYSA-N 0 3 249.379 2.991 20 0 BFADHN CC(C)(C)c1ccc(CN2CCC[C@@H](O)C2)cc1 ZINC000047915882 358607091 /nfs/dbraw/zinc/60/70/91/358607091.db2.gz XTLAJDZUJSXQNG-OAHLLOKOSA-N 0 3 247.382 2.941 20 0 BFADHN Cc1cc(Cl)cc(C)c1CN(C)C[C@@H](C)O ZINC000130764413 358642942 /nfs/dbraw/zinc/64/29/42/358642942.db2.gz PRZPXWMEGSXKSZ-LLVKDONJSA-N 0 3 241.762 2.769 20 0 BFADHN COC(=O)c1ccccc1CN(C)CC1CCC1 ZINC000130279859 358616054 /nfs/dbraw/zinc/61/60/54/358616054.db2.gz XLGAZPIGMCDAFF-UHFFFAOYSA-N 0 3 247.338 2.705 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NCc1cc2ccccc2o1 ZINC000129523140 358587496 /nfs/dbraw/zinc/58/74/96/358587496.db2.gz SLTALTJECRFMCP-UONOGXRCSA-N 0 3 245.322 2.682 20 0 BFADHN CCC[C@@H]1CCCN(Cc2ccnn2CC)C1 ZINC000131455456 358676807 /nfs/dbraw/zinc/67/68/07/358676807.db2.gz LZPYMUGKOKGWTH-CYBMUJFWSA-N 0 3 235.375 2.915 20 0 BFADHN CC[C@H](NCc1ccnn1C)c1cccs1 ZINC000049529392 358693383 /nfs/dbraw/zinc/69/33/83/358693383.db2.gz YJOANDSZQYAYBQ-NSHDSACASA-N 0 3 235.356 2.723 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1ccc(F)cc1F ZINC000131843389 358697043 /nfs/dbraw/zinc/69/70/43/358697043.db2.gz NQRTZGCMLPWYPS-MWLCHTKSSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@@H](NC[C@@H]1CC1(C)C)c1nccs1 ZINC000222038388 358697302 /nfs/dbraw/zinc/69/73/02/358697302.db2.gz MWTPXEGXYVXEQQ-BDAKNGLRSA-N 0 3 210.346 2.840 20 0 BFADHN COC(C)(C)CN[C@H](C)c1cccc(F)c1 ZINC000133061551 358744311 /nfs/dbraw/zinc/74/43/11/358744311.db2.gz XPSBVIDLBBRDBO-SNVBAGLBSA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@H](NCC(=O)N(C)C(C)C)c1ccccc1 ZINC000051628194 358757355 /nfs/dbraw/zinc/75/73/55/358757355.db2.gz MWMJDLRMQPPPLG-AWEZNQCLSA-N 0 3 248.370 2.594 20 0 BFADHN CCCCCN(CC(=O)N(CC)CC)C(C)C ZINC000051709022 358760464 /nfs/dbraw/zinc/76/04/64/358760464.db2.gz NHQUSVJYTXZQOY-UHFFFAOYSA-N 0 3 242.407 2.755 20 0 BFADHN CCCCCN(CC(=O)N1CCCC1)C(C)C ZINC000051709130 358760574 /nfs/dbraw/zinc/76/05/74/358760574.db2.gz KLDKYIQMXWOOIL-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CCN(Cc1cccnc1OC)[C@H](C)C(C)C ZINC000176460751 134291620 /nfs/dbraw/zinc/29/16/20/134291620.db2.gz OYGZJEDGGSVXFB-GFCCVEGCSA-N 0 3 236.359 2.957 20 0 BFADHN CCSCC[C@@H](C)NCc1cncn1CC ZINC000133750136 358766293 /nfs/dbraw/zinc/76/62/93/358766293.db2.gz SIJVSNIDMJOLIT-LLVKDONJSA-N 0 3 241.404 2.524 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@@H](C)c1nccn1C ZINC000132241008 358713898 /nfs/dbraw/zinc/71/38/98/358713898.db2.gz UISUAKPYGIASNF-QJPTWQEYSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@@H](Cc1cccs1)NCCF ZINC000308429326 491136084 /nfs/dbraw/zinc/13/60/84/491136084.db2.gz SNXNLMZDSHQWMO-VIFPVBQESA-N 0 3 201.310 2.628 20 0 BFADHN CCOC[C@H](C)NCc1ccc(F)c(C)c1 ZINC000050545713 358733233 /nfs/dbraw/zinc/73/32/33/358733233.db2.gz VJAGEIXSRBUJEV-NSHDSACASA-N 0 3 225.307 2.649 20 0 BFADHN Cc1nccn1CCNCc1cccc(Cl)c1 ZINC000050973963 358740096 /nfs/dbraw/zinc/74/00/96/358740096.db2.gz BYHMGWFJROWEPN-UHFFFAOYSA-N 0 3 249.745 2.635 20 0 BFADHN CCC1CCN(CCC(=O)OC(C)(C)C)CC1 ZINC000053382034 358826531 /nfs/dbraw/zinc/82/65/31/358826531.db2.gz SVWLTSZRXVEQFI-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN c1csc(CN(CC2CC2)CC2CC2)n1 ZINC000135192474 358824286 /nfs/dbraw/zinc/82/42/86/358824286.db2.gz ISAKADRTFZIXSG-UHFFFAOYSA-N 0 3 222.357 2.765 20 0 BFADHN CS[C@H]1CCCCN(Cc2cccnc2)C1 ZINC000134546042 358795360 /nfs/dbraw/zinc/79/53/60/358795360.db2.gz ICBRFPXWCZWSFS-ZDUSSCGKSA-N 0 3 236.384 2.799 20 0 BFADHN CCCN[C@@H](c1ccccc1)c1cncnc1 ZINC000052887157 358798844 /nfs/dbraw/zinc/79/88/44/358798844.db2.gz SEHJSLNMBMDUDF-AWEZNQCLSA-N 0 3 227.311 2.566 20 0 BFADHN Clc1ccc(CNCC[C@H]2CCCCO2)o1 ZINC000134671102 358800994 /nfs/dbraw/zinc/80/09/94/358800994.db2.gz UDWYDTNYFSJJDJ-SNVBAGLBSA-N 0 3 243.734 2.982 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1nnc(C)s1 ZINC000134719328 358802979 /nfs/dbraw/zinc/80/29/79/358802979.db2.gz GVXARFKEPDGIBO-WDEREUQCSA-N 0 3 239.388 2.905 20 0 BFADHN CCN(CC)C(=O)CN[C@H](C)c1cccc(C)c1 ZINC000134799773 358806109 /nfs/dbraw/zinc/80/61/09/358806109.db2.gz LVPQNWMJJJZJKY-CYBMUJFWSA-N 0 3 248.370 2.514 20 0 BFADHN CC(=O)CN1CC[C@]1(C)C1CCCCC1 ZINC000640218192 358868791 /nfs/dbraw/zinc/86/87/91/358868791.db2.gz CYLKSEFPLGBHNJ-CYBMUJFWSA-N 0 3 209.333 2.620 20 0 BFADHN C[C@H](Cc1ccsc1)N1CCCO[C@@H](C)C1 ZINC000578258623 366472805 /nfs/dbraw/zinc/47/28/05/366472805.db2.gz XMTACILIUAUWAX-NEPJUHHUSA-N 0 3 239.384 2.790 20 0 BFADHN CC[C@H](COC)NCc1cscc1Cl ZINC000308594406 491137298 /nfs/dbraw/zinc/13/72/98/491137298.db2.gz LTQPXKZJOMCVJW-SECBINFHSA-N 0 3 233.764 2.916 20 0 BFADHN CC[C@@H](COC)NCc1cscc1Cl ZINC000308594407 491137319 /nfs/dbraw/zinc/13/73/19/491137319.db2.gz LTQPXKZJOMCVJW-VIFPVBQESA-N 0 3 233.764 2.916 20 0 BFADHN Cn1cncc1CN1CCCC2(CCCCC2)C1 ZINC000177069630 134297423 /nfs/dbraw/zinc/29/74/23/134297423.db2.gz XURFBZFXJFQTKX-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN Cn1cc(CN2CC[C@@]2(C)C2CCCCC2)cn1 ZINC000640217840 358875433 /nfs/dbraw/zinc/87/54/33/358875433.db2.gz BESCICJYEMRJFH-HNNXBMFYSA-N 0 3 247.386 2.965 20 0 BFADHN COC(CN1CCC[C@@H]1c1cccs1)OC ZINC000136719459 358885832 /nfs/dbraw/zinc/88/58/32/358885832.db2.gz LQJJGXUJLOZRHU-SNVBAGLBSA-N 0 3 241.356 2.504 20 0 BFADHN Cn1cnc(CN2CC[C@]2(C)C2CCCCC2)c1 ZINC000640219298 358887318 /nfs/dbraw/zinc/88/73/18/358887318.db2.gz LIZCBMFGYUFXFN-OAHLLOKOSA-N 0 3 247.386 2.965 20 0 BFADHN CCCCOCCN(C)Cc1ccccc1 ZINC000056492237 358897899 /nfs/dbraw/zinc/89/78/99/358897899.db2.gz CZARYASYHXNRKF-UHFFFAOYSA-N 0 3 221.344 2.935 20 0 BFADHN Cc1cc(CN2C[C@@H]3CCCC[C@@H]3C2)on1 ZINC000177524757 134298769 /nfs/dbraw/zinc/29/87/69/134298769.db2.gz KRSXVDANTWAYCO-TXEJJXNPSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1nnc(CN2CCC[C@@H]2CC(C)(C)C)o1 ZINC000057863649 358908327 /nfs/dbraw/zinc/90/83/27/358908327.db2.gz MOUZZMWMMBRZNK-LLVKDONJSA-N 0 3 237.347 2.779 20 0 BFADHN Cc1n[nH]cc1CN[C@@H]1CCCc2sccc21 ZINC000061328540 358933086 /nfs/dbraw/zinc/93/30/86/358933086.db2.gz OTKLVMKSWSJTLO-GFCCVEGCSA-N 0 3 247.367 2.947 20 0 BFADHN CCc1csc(N[C@H]2CCCN(CC)C2)n1 ZINC000061894533 358937185 /nfs/dbraw/zinc/93/71/85/358937185.db2.gz YVZXDNKHSOZBAE-NSHDSACASA-N 0 3 239.388 2.602 20 0 BFADHN Cc1nc(CNCC2(CC(C)C)CC2)[nH]c1C ZINC000578338584 366528162 /nfs/dbraw/zinc/52/81/62/366528162.db2.gz ODSDSALLUSTZFB-UHFFFAOYSA-N 0 3 235.375 2.942 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccccc2OC)CCO1 ZINC000070343100 359049432 /nfs/dbraw/zinc/04/94/32/359049432.db2.gz LNXAVWBFSCOKJW-UONOGXRCSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H](C)C1)c1nccn1C ZINC000070007558 359037686 /nfs/dbraw/zinc/03/76/86/359037686.db2.gz NHGMMTFLTFLQOD-WOPDTQHZSA-N 0 3 221.348 2.649 20 0 BFADHN C1=C[C@H](N2CC[C@H]3OCCC[C@@H]3C2)CCC1 ZINC000177996427 134302455 /nfs/dbraw/zinc/30/24/55/134302455.db2.gz BURRNVAZUGKBHQ-HZSPNIEDSA-N 0 3 221.344 2.596 20 0 BFADHN Cn1ccnc1CNc1ccnc2ccccc21 ZINC000071274294 359074993 /nfs/dbraw/zinc/07/49/93/359074993.db2.gz DYAKEICHLGIMQI-UHFFFAOYSA-N 0 3 238.294 2.580 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cccc(OC)n1 ZINC000177859172 134303553 /nfs/dbraw/zinc/30/35/53/134303553.db2.gz QULCXHJUTSQOQD-LBPRGKRZSA-N 0 3 236.359 2.958 20 0 BFADHN c1cnn([C@@H]2CCCC[C@H]2NCc2ccco2)c1 ZINC000072746286 359089895 /nfs/dbraw/zinc/08/98/95/359089895.db2.gz RZKYNUYBNBIYSH-ZIAGYGMSSA-N 0 3 245.326 2.750 20 0 BFADHN CC(C)CN(Cc1cccnc1)C1CC1 ZINC000073605651 359103833 /nfs/dbraw/zinc/10/38/33/359103833.db2.gz VMXQZHPJZVSBRR-UHFFFAOYSA-N 0 3 204.317 2.702 20 0 BFADHN CC1(C)CN(CC2CCCCC2)CCO1 ZINC000073871048 359107132 /nfs/dbraw/zinc/10/71/32/359107132.db2.gz LYHJHFVMFOBFEU-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN COc1ccc([C@H](C)N(C)C[C@@H]2CCCO2)cc1 ZINC000073986614 359109272 /nfs/dbraw/zinc/10/92/72/359109272.db2.gz PTRSATDPKRMKSI-WFASDCNBSA-N 0 3 249.354 2.867 20 0 BFADHN C[C@H](NC[C@@H]1CCCC[C@@H]1C)c1nccn1C ZINC000070445333 359055919 /nfs/dbraw/zinc/05/59/19/359055919.db2.gz FKHKRKBITQTJML-AVGNSLFASA-N 0 3 235.375 2.897 20 0 BFADHN OC[C@H]1CCCCN1Cc1ccc2c(c1)CCC2 ZINC000070502026 359057308 /nfs/dbraw/zinc/05/73/08/359057308.db2.gz ZXVYHIGPENUWOX-MRXNPFEDSA-N 0 3 245.366 2.522 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C[C@@H](C)C2)on1 ZINC000246789036 359164945 /nfs/dbraw/zinc/16/49/45/359164945.db2.gz SEFIWBRWEGAJSD-WDEREUQCSA-N 0 3 222.332 2.851 20 0 BFADHN CC[C@H](C)CN(C)[C@H](C)C(=O)Nc1ccccc1 ZINC000247592521 359236307 /nfs/dbraw/zinc/23/63/07/359236307.db2.gz NOQGHZQZWPLGHG-QWHCGFSZSA-N 0 3 248.370 2.992 20 0 BFADHN C[C@H](N[C@@H]1Cc2ccccc2[C@H]1O)c1ccco1 ZINC000248199977 359258924 /nfs/dbraw/zinc/25/89/24/359258924.db2.gz YKIIEBDCIVEBRA-PSOPSSQASA-N 0 3 243.306 2.589 20 0 BFADHN COCCN1CC[C@@H](C)C[C@@H]1c1ccco1 ZINC000247687916 359240600 /nfs/dbraw/zinc/24/06/00/359240600.db2.gz VJTZUCOQYVLWTR-VXGBXAGGSA-N 0 3 223.316 2.699 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](O)[C@H](C)CC)o1 ZINC000248001028 359252107 /nfs/dbraw/zinc/25/21/07/359252107.db2.gz KJLRBPWNFUVVQL-MDZLAQPJSA-N 0 3 239.359 2.900 20 0 BFADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cn[nH]c2)C1 ZINC000611276261 359288186 /nfs/dbraw/zinc/28/81/86/359288186.db2.gz FTFUKWZLGNBJQA-VXGBXAGGSA-N 0 3 239.388 2.516 20 0 BFADHN CCC(CC)CN(C)C1(C(=O)OC)CCCC1 ZINC000611274636 359288465 /nfs/dbraw/zinc/28/84/65/359288465.db2.gz FPWXECGJCITNKU-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN CO[C@@H]1CCCN([C@H]2CCc3ccc(F)cc32)C1 ZINC000248357934 359269843 /nfs/dbraw/zinc/26/98/43/359269843.db2.gz QCXUOSDRJLMLDA-HIFRSBDPSA-N 0 3 249.329 2.924 20 0 BFADHN CCOC(=O)c1ccc(CN[C@@H](C)C(C)C)o1 ZINC000611424272 359366182 /nfs/dbraw/zinc/36/61/82/359366182.db2.gz ZAVGPVBRNXJMOD-JTQLQIEISA-N 0 3 239.315 2.590 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)C2CCC2)no1 ZINC000308921678 491140302 /nfs/dbraw/zinc/14/03/02/491140302.db2.gz CGMCYRNEDBAFEW-NXEZZACHSA-N 0 3 208.305 2.822 20 0 BFADHN OCCCN(Cc1ccc(Cl)s1)C1CC1 ZINC000404349800 359601429 /nfs/dbraw/zinc/60/14/29/359601429.db2.gz YRIIUHJIEYFOJE-UHFFFAOYSA-N 0 3 245.775 2.748 20 0 BFADHN CC(C)[C@@H](CO)CNCc1cscc1Cl ZINC000308997563 491142132 /nfs/dbraw/zinc/14/21/32/491142132.db2.gz NAFFXBXSVBYNLT-SECBINFHSA-N 0 3 247.791 2.756 20 0 BFADHN CCN1CC=C(c2cccc(OC)c2)CC1 ZINC000005851547 359661496 /nfs/dbraw/zinc/66/14/96/359661496.db2.gz DNDWVWSRWMEFQT-UHFFFAOYSA-N 0 3 217.312 2.804 20 0 BFADHN Cc1csc([C@@H](C)NCC[C@H](C)CCO)n1 ZINC000623990581 359667509 /nfs/dbraw/zinc/66/75/09/359667509.db2.gz GXPPBJGKIIXHGB-GXSJLCMTSA-N 0 3 242.388 2.511 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cnc(C)n2C)C1 ZINC000628495144 359696348 /nfs/dbraw/zinc/69/63/48/359696348.db2.gz JLXXYPFNNSPMMC-WSNITJDQSA-N 0 3 247.386 2.907 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2ccc(CO)o2)C1 ZINC000628494950 359692558 /nfs/dbraw/zinc/69/25/58/359692558.db2.gz DPPJLADBIKAUHS-YWVDXFKGSA-N 0 3 249.354 2.950 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1cscc1Cl ZINC000309047941 491143387 /nfs/dbraw/zinc/14/33/87/491143387.db2.gz PLZZWVLPRJSWGK-ZMWSMAPVSA-N 0 3 245.775 2.818 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2c(C)cnn2C)C1 ZINC000628495397 359696592 /nfs/dbraw/zinc/69/65/92/359696592.db2.gz PVOKTPKSDNFIKI-ISZGNANSSA-N 0 3 247.386 2.907 20 0 BFADHN C[C@@H]1CN(CC2=CCCCC2)[C@@H](C)[C@H](C)O1 ZINC000450821358 202275740 /nfs/dbraw/zinc/27/57/40/202275740.db2.gz AIHOEMJRMKBYOA-AGIUHOORSA-N 0 3 223.360 2.985 20 0 BFADHN CCN(Cc1cnns1)[C@H]1CCCC[C@H]1C ZINC000450813167 202273121 /nfs/dbraw/zinc/27/31/21/202273121.db2.gz VCFMCIPENQJDMO-PWSUYJOCSA-N 0 3 239.388 2.939 20 0 BFADHN CCc1ccc(CN2CCC2(C)C)cn1 ZINC000580498306 359751230 /nfs/dbraw/zinc/75/12/30/359751230.db2.gz IBUKEJOCBTYAAM-UHFFFAOYSA-N 0 3 204.317 2.628 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1ccccc1 ZINC000309064286 491143902 /nfs/dbraw/zinc/14/39/02/491143902.db2.gz YKUPABMSZLBAEZ-WCFLWFBJSA-N 0 3 205.301 2.515 20 0 BFADHN Cn1nc(CNC[C@H]2CC2(C)C)c2ccccc21 ZINC000226255509 359731538 /nfs/dbraw/zinc/73/15/38/359731538.db2.gz DZXFQVZJIIUNFR-LLVKDONJSA-N 0 3 243.354 2.709 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)Cc1cc[nH]c1 ZINC000580719086 359733689 /nfs/dbraw/zinc/73/36/89/359733689.db2.gz NIEINWWWDSEWQD-AWEZNQCLSA-N 0 3 229.327 2.993 20 0 BFADHN Oc1cccc2c1CCC[C@@H]2N[C@@H]1CCSC1 ZINC000191638254 359734447 /nfs/dbraw/zinc/73/44/47/359734447.db2.gz XVRAKPKGMKFYGY-MFKMUULPSA-N 0 3 249.379 2.865 20 0 BFADHN Oc1cccc2c1CCC[C@H]2N[C@H]1CCSC1 ZINC000191638299 359734592 /nfs/dbraw/zinc/73/45/92/359734592.db2.gz XVRAKPKGMKFYGY-GXFFZTMASA-N 0 3 249.379 2.865 20 0 BFADHN COC(=O)[C@@H](C)N(CCC(C)(C)C)C1CCC1 ZINC000191640778 359734603 /nfs/dbraw/zinc/73/46/03/359734603.db2.gz RWODVNSQFFFJHL-LLVKDONJSA-N 0 3 241.375 2.839 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1ccccc1 ZINC000309064285 491143862 /nfs/dbraw/zinc/14/38/62/491143862.db2.gz YKUPABMSZLBAEZ-RAIGVLPGSA-N 0 3 205.301 2.515 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1ccccc1 ZINC000309064284 491143879 /nfs/dbraw/zinc/14/38/79/491143879.db2.gz YKUPABMSZLBAEZ-KGYLQXTDSA-N 0 3 205.301 2.515 20 0 BFADHN CCSCCN1CC[C@@H](OCCC(C)C)C1 ZINC000083247947 359787421 /nfs/dbraw/zinc/78/74/21/359787421.db2.gz HGKMHNWGKKJYJC-CYBMUJFWSA-N 0 3 245.432 2.877 20 0 BFADHN CC1=CCCN(CCN(C)Cc2ccccc2)C1 ZINC000450906132 202305496 /nfs/dbraw/zinc/30/54/96/202305496.db2.gz DTGDKFLHNYDLIY-UHFFFAOYSA-N 0 3 244.382 2.770 20 0 BFADHN C[C@@H](NCCC(C)(F)F)c1ccncc1F ZINC000450939706 202313689 /nfs/dbraw/zinc/31/36/89/202313689.db2.gz QOFYBCAZFFDNEZ-MRVPVSSYSA-N 0 3 232.249 2.917 20 0 BFADHN CCC(=O)CCCN1CCC(F)(F)[C@@H](C)C1 ZINC000451039635 202334569 /nfs/dbraw/zinc/33/45/69/202334569.db2.gz DLEHZNYVLKEQPR-JTQLQIEISA-N 0 3 233.302 2.723 20 0 BFADHN CC[C@@H](NCc1c(C)cnn1C)c1ccccc1 ZINC000352773509 366562301 /nfs/dbraw/zinc/56/23/01/366562301.db2.gz UANRNDCQBZNINU-CQSZACIVSA-N 0 3 243.354 2.969 20 0 BFADHN CCSCCCN[C@@H](C)c1cncnc1C ZINC000578522311 366589628 /nfs/dbraw/zinc/58/96/28/366589628.db2.gz HVQFACJPVUUJOY-JTQLQIEISA-N 0 3 239.388 2.579 20 0 BFADHN COC1(CCN2CCC[C@](C)(F)C2)CCC1 ZINC000451115390 202354653 /nfs/dbraw/zinc/35/46/53/202354653.db2.gz NXGWPQSRJLCPDU-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN COC[C@H]1CCN1CCc1ccc(Cl)cc1 ZINC000451069747 202345900 /nfs/dbraw/zinc/34/59/00/202345900.db2.gz MNAWQKJVRZGALZ-CYBMUJFWSA-N 0 3 239.746 2.603 20 0 BFADHN C[C@]1(F)CCCN(C[C@H](O)C2CCCCC2)C1 ZINC000451115632 202354148 /nfs/dbraw/zinc/35/41/48/202354148.db2.gz PBKSVHXEEILRGO-KBPBESRZSA-N 0 3 243.366 2.752 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H](c2cccnc2)C2CC2)[C@H](C)O1 ZINC000451146772 202364956 /nfs/dbraw/zinc/36/49/56/202364956.db2.gz LYYKTPVYGBHSJV-FDRIWYBQSA-N 0 3 246.354 2.688 20 0 BFADHN C[C@@]1(F)CCCN(CCCc2ccncc2)C1 ZINC000451116272 202355704 /nfs/dbraw/zinc/35/57/04/202355704.db2.gz QUDAGLPLJKZSBK-CQSZACIVSA-N 0 3 236.334 2.838 20 0 BFADHN CC(C)N(CCCCC(F)(F)F)C1COC1 ZINC000451118508 202357285 /nfs/dbraw/zinc/35/72/85/202357285.db2.gz AERLOXHNBICXQH-UHFFFAOYSA-N 0 3 239.281 2.828 20 0 BFADHN C[C@H](NCCOC1CCC1)c1ccsc1 ZINC000309148860 491145579 /nfs/dbraw/zinc/14/55/79/491145579.db2.gz VVOJCRQIQKSZOX-JTQLQIEISA-N 0 3 225.357 2.968 20 0 BFADHN Cc1ccccc1CCCN1CC[C@H](F)C1 ZINC000451192839 202375471 /nfs/dbraw/zinc/37/54/71/202375471.db2.gz TXFVDJQHXZACII-AWEZNQCLSA-N 0 3 221.319 2.971 20 0 BFADHN CCn1cncc1CNCc1sccc1C ZINC000087308640 359906578 /nfs/dbraw/zinc/90/65/78/359906578.db2.gz YBHSEEOASGJQFJ-UHFFFAOYSA-N 0 3 235.356 2.563 20 0 BFADHN C[C@@H](NCc1cscc1Cl)[C@H]1CCOC1 ZINC000309151274 491145664 /nfs/dbraw/zinc/14/56/64/491145664.db2.gz WJQRUOHOEYBPON-BDAKNGLRSA-N 0 3 245.775 2.916 20 0 BFADHN F[C@H]1CCN(CCCCC2CCOCC2)C1 ZINC000451199668 202377633 /nfs/dbraw/zinc/37/76/33/202377633.db2.gz RMRNKVFFODAFED-ZDUSSCGKSA-N 0 3 229.339 2.627 20 0 BFADHN Cc1cccc(CCCN2CC[C@@H](F)C2)c1 ZINC000451202949 202378707 /nfs/dbraw/zinc/37/87/07/202378707.db2.gz YHNXHCLOJGZICW-CQSZACIVSA-N 0 3 221.319 2.971 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1ccc(F)c(F)c1 ZINC000087012246 359893750 /nfs/dbraw/zinc/89/37/50/359893750.db2.gz RYOMSTNWNHGRHU-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN COC(C)(C)CN(C)Cc1cccc(C(C)=O)c1 ZINC000578604230 366605507 /nfs/dbraw/zinc/60/55/07/366605507.db2.gz NOSWMDAFQXHFMU-UHFFFAOYSA-N 0 3 249.354 2.746 20 0 BFADHN C[C@@H](NC[C@H]1CCCS1)c1cccc(O)c1 ZINC000087668186 359922957 /nfs/dbraw/zinc/92/29/57/359922957.db2.gz WNVYRJVZBIUTAQ-ZWNOBZJWSA-N 0 3 237.368 2.938 20 0 BFADHN CCC1([NH2+]Cc2nnc(C(C)C)[n-]2)CCCC1 ZINC000451279521 202393563 /nfs/dbraw/zinc/39/35/63/202393563.db2.gz XLYVMJHVJSARAK-UHFFFAOYSA-N 0 3 236.363 2.741 20 0 BFADHN CCC1(NCc2nnc(C(C)C)[nH]2)CCCC1 ZINC000451279521 202393565 /nfs/dbraw/zinc/39/35/65/202393565.db2.gz XLYVMJHVJSARAK-UHFFFAOYSA-N 0 3 236.363 2.741 20 0 BFADHN CN(Cc1cccc(O)c1)CC1(C)CC1 ZINC000578705478 366628407 /nfs/dbraw/zinc/62/84/07/366628407.db2.gz LXSBGIRDIUQLNX-UHFFFAOYSA-N 0 3 205.301 2.624 20 0 BFADHN C=Cn1cc(CN(C)[C@@H](C)C(C)(C)C)cn1 ZINC000578721447 366630808 /nfs/dbraw/zinc/63/08/08/366630808.db2.gz GPVQXTCZSZJHSO-NSHDSACASA-N 0 3 221.348 2.850 20 0 BFADHN C[C@H](N[C@H]1CCCCC[C@H]1O)c1ccco1 ZINC000088169677 359939720 /nfs/dbraw/zinc/93/97/20/359939720.db2.gz FIJWACXFYIOLID-SDDRHHMPSA-N 0 3 223.316 2.624 20 0 BFADHN COCc1ccc(CN[C@@H]2CCC[C@H](F)C2)o1 ZINC000578768894 366640479 /nfs/dbraw/zinc/64/04/79/366640479.db2.gz ZPTOGJCVDOHCIN-WDEREUQCSA-N 0 3 241.306 2.796 20 0 BFADHN CC[C@@H](N[C@H]1CCO[C@@H]1C)c1c(C)noc1C ZINC000090887422 360093816 /nfs/dbraw/zinc/09/38/16/360093816.db2.gz DGKROXBLOAMDQX-JLLWLGSASA-N 0 3 238.331 2.510 20 0 BFADHN CC(C)(C)CCN1CCOC[C@@H]1C1CC1 ZINC000090008252 360054032 /nfs/dbraw/zinc/05/40/32/360054032.db2.gz OJUQIAHSJPFPJR-GFCCVEGCSA-N 0 3 211.349 2.533 20 0 BFADHN c1nc(CN(CC2CCCCC2)C2CC2)c[nH]1 ZINC000092165134 360153169 /nfs/dbraw/zinc/15/31/69/360153169.db2.gz HFXIYXHJTSPOHW-UHFFFAOYSA-N 0 3 233.359 2.954 20 0 BFADHN c1ncc(CN(CC2CCCCC2)C2CC2)[nH]1 ZINC000092165134 360153172 /nfs/dbraw/zinc/15/31/72/360153172.db2.gz HFXIYXHJTSPOHW-UHFFFAOYSA-N 0 3 233.359 2.954 20 0 BFADHN c1csc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)n1 ZINC000403787899 360108390 /nfs/dbraw/zinc/10/83/90/360108390.db2.gz ZTADPDMBTRAOBD-OUAUKWLOSA-N 0 3 222.357 2.669 20 0 BFADHN CO[C@H]1CCCN(Cc2ccc(C)c(C)c2)C1 ZINC000091772683 360127905 /nfs/dbraw/zinc/12/79/05/360127905.db2.gz GOUYXAJWEKMHSK-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN CC1CCC(CN2CCOC[C@@H]2C)CC1 ZINC000091810710 360131773 /nfs/dbraw/zinc/13/17/73/360131773.db2.gz VYKJLIQPAHJIAF-CPCZMJQVSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)[C@@H](C)C2(C)CC2)n1 ZINC000091900368 360135421 /nfs/dbraw/zinc/13/54/21/360135421.db2.gz DYYYCDHFUTYIMA-NSHDSACASA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)[C@@H](C)C2(C)CC2)n1 ZINC000091900368 360135422 /nfs/dbraw/zinc/13/54/22/360135422.db2.gz DYYYCDHFUTYIMA-NSHDSACASA-N 0 3 234.343 2.716 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cccc2c1OCO2 ZINC000091937503 360137857 /nfs/dbraw/zinc/13/78/57/360137857.db2.gz OQGLPEKMCDZONH-GHMZBOCLSA-N 0 3 233.311 2.788 20 0 BFADHN COc1cccc(CNCC2C(C)(C)C2(C)C)n1 ZINC000092816333 360201404 /nfs/dbraw/zinc/20/14/04/360201404.db2.gz QNWSDIPGFVIMES-UHFFFAOYSA-N 0 3 248.370 2.862 20 0 BFADHN CCOCCN[C@H]1CCCc2ccc(OC)cc21 ZINC000092383589 360165612 /nfs/dbraw/zinc/16/56/12/360165612.db2.gz COAMPNUNZJMTDM-HNNXBMFYSA-N 0 3 249.354 2.699 20 0 BFADHN CC(C)[C@H](CO)N[C@@H](C)c1cc(F)ccc1F ZINC000092393972 360168603 /nfs/dbraw/zinc/16/86/03/360168603.db2.gz IOVKSXGNWATUGP-ZANVPECISA-N 0 3 243.297 2.632 20 0 BFADHN COCCCN(C)Cc1coc2ccccc12 ZINC000092537045 360177334 /nfs/dbraw/zinc/17/73/34/360177334.db2.gz DLCPCSHESHVDHM-UHFFFAOYSA-N 0 3 233.311 2.901 20 0 BFADHN Cn1cccc1CN1CCCc2ccccc2C1 ZINC000093085222 360214865 /nfs/dbraw/zinc/21/48/65/360214865.db2.gz QJSICAGNEFVNNH-UHFFFAOYSA-N 0 3 240.350 2.974 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC3(CCCC3)C2)n1 ZINC000093336568 360232260 /nfs/dbraw/zinc/23/22/60/360232260.db2.gz GUJWLVDJHNRASB-UHFFFAOYSA-N 0 3 246.354 2.862 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC3(CCCC3)C2)n1 ZINC000093336568 360232264 /nfs/dbraw/zinc/23/22/64/360232264.db2.gz GUJWLVDJHNRASB-UHFFFAOYSA-N 0 3 246.354 2.862 20 0 BFADHN CC1(C)CCN(Cc2cccc3c2OCO3)C1 ZINC000093339830 360234761 /nfs/dbraw/zinc/23/47/61/360234761.db2.gz LIHDHKAOLYXZJY-UHFFFAOYSA-N 0 3 233.311 2.647 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)NC1CCCCCC1 ZINC000060575197 360239936 /nfs/dbraw/zinc/23/99/36/360239936.db2.gz MXGCHASCEKWAEH-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1ccc(CN[C@@H]2CCOC3(CCC3)C2)o1 ZINC000094371928 360306401 /nfs/dbraw/zinc/30/64/01/360306401.db2.gz UZHKGGWLNYDLEY-GFCCVEGCSA-N 0 3 235.327 2.779 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cnc(C)s1)C(C)C ZINC000309433048 491148243 /nfs/dbraw/zinc/14/82/43/491148243.db2.gz GQDMQSDIFUJSJC-KOLCDFICSA-N 0 3 242.388 2.773 20 0 BFADHN CCC(C)(C)[C@H]1CCCN1Cc1cc[nH]n1 ZINC000093809501 360279701 /nfs/dbraw/zinc/27/97/01/360279701.db2.gz BWBBBLGPSYFNDJ-GFCCVEGCSA-N 0 3 221.348 2.810 20 0 BFADHN c1cn(CCNCc2ccc(C3CC3)cc2)cn1 ZINC000094519354 360310362 /nfs/dbraw/zinc/31/03/62/360310362.db2.gz SFCIATURYFVGEX-UHFFFAOYSA-N 0 3 241.338 2.550 20 0 BFADHN C[C@]1(CNCc2ccc(F)c(F)c2)CCCO1 ZINC000094797093 360317402 /nfs/dbraw/zinc/31/74/02/360317402.db2.gz WHIMKTGAROQFIM-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1CCC[C@H](C)CC1 ZINC000096867305 360356536 /nfs/dbraw/zinc/35/65/36/360356536.db2.gz GYZXSQDDCMXMND-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN Cc1cc(CN[C@H](C)CCC(C)C)ncn1 ZINC000309424738 491149053 /nfs/dbraw/zinc/14/90/53/491149053.db2.gz BJYMQMOHJAPSRW-LLVKDONJSA-N 0 3 221.348 2.699 20 0 BFADHN CC[C@@H](COC)NCc1scnc1C1CC1 ZINC000309473915 491149354 /nfs/dbraw/zinc/14/93/54/491149354.db2.gz RJDQOPMUKANILL-JTQLQIEISA-N 0 3 240.372 2.535 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2ccc3c[nH]nc3c2)C1 ZINC000430878390 192894093 /nfs/dbraw/zinc/89/40/93/192894093.db2.gz OENYLFPPGXDTOV-LLVKDONJSA-N 0 3 241.338 2.961 20 0 BFADHN COC[C@@H](CC(C)C)NCc1ccoc1C ZINC000309461136 491149738 /nfs/dbraw/zinc/14/97/38/491149738.db2.gz NYNVZQMIBFBTDL-CYBMUJFWSA-N 0 3 225.332 2.739 20 0 BFADHN OC[C@H](N[C@@H]1CC[C@H]1C1CC1)c1ccsc1 ZINC000309516750 491149783 /nfs/dbraw/zinc/14/97/83/491149783.db2.gz RTXUMYOSGCXNCQ-XQQFMLRXSA-N 0 3 237.368 2.560 20 0 BFADHN CCN(CC)Cc1cc(C)ccc1OC ZINC000061757318 360659660 /nfs/dbraw/zinc/65/96/60/360659660.db2.gz GCOVJCBWLNMDEQ-UHFFFAOYSA-N 0 3 207.317 2.845 20 0 BFADHN CCC(C)(C)CCNC(=O)[C@@H](C(C)C)N(C)C ZINC000633967289 360661013 /nfs/dbraw/zinc/66/10/13/360661013.db2.gz MJCXBLJHPWRQJP-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN CCc1cnc(CN[C@H]2CCC[C@@H]2C)s1 ZINC000152330756 360739007 /nfs/dbraw/zinc/73/90/07/360739007.db2.gz BPFXCRDIVRKMDX-ONGXEEELSA-N 0 3 224.373 2.984 20 0 BFADHN C[C@@H](CN1CC[C@@H](F)C1)c1ccc(F)cc1 ZINC000449394316 200670897 /nfs/dbraw/zinc/67/08/97/200670897.db2.gz NQEPKLQCJHFANF-GXFFZTMASA-N 0 3 225.282 2.973 20 0 BFADHN Cc1ccccc1CNC1(CF)CCOCC1 ZINC000449444858 200680542 /nfs/dbraw/zinc/68/05/42/200680542.db2.gz DYOCDACTAUARAA-UHFFFAOYSA-N 0 3 237.318 2.603 20 0 BFADHN C[C@H](NC[C@@H]1CCCC[C@@H]1C)c1ncc[nH]1 ZINC000309577444 491151998 /nfs/dbraw/zinc/15/19/98/491151998.db2.gz PLEJZGXRJTWVDE-SRVKXCTJSA-N 0 3 221.348 2.887 20 0 BFADHN CCC(C)(C)CCNCc1c(Cl)cnn1C ZINC000631207380 360998349 /nfs/dbraw/zinc/99/83/49/360998349.db2.gz UBESPERFXAOPFA-UHFFFAOYSA-N 0 3 243.782 2.989 20 0 BFADHN CCc1nc(CN[C@@H](C)C2CCC2)cs1 ZINC000133400535 491153543 /nfs/dbraw/zinc/15/35/43/491153543.db2.gz VCIMGXBUEGLUAF-VIFPVBQESA-N 0 3 224.373 2.984 20 0 BFADHN COC[C@@H](C)CN[C@H](C)c1ccncc1Cl ZINC000421823314 200851733 /nfs/dbraw/zinc/85/17/33/200851733.db2.gz CSSIBQAZHWCYKB-VHSXEESVSA-N 0 3 242.750 2.668 20 0 BFADHN Cc1nc([C@@H](C)N(C)C[C@@H](C)C(C)(C)C)n[nH]1 ZINC000640346568 361061593 /nfs/dbraw/zinc/06/15/93/361061593.db2.gz ACEWVNCJZQOMID-NXEZZACHSA-N 0 3 238.379 2.788 20 0 BFADHN COc1cc(CN(C)C[C@@H](C)C(C)(C)C)on1 ZINC000640349228 361072013 /nfs/dbraw/zinc/07/20/13/361072013.db2.gz XKYNKNGKNDFSRC-SNVBAGLBSA-N 0 3 240.347 2.797 20 0 BFADHN c1nc(CN[C@@H]2CCCC[C@H]2C2CC2)co1 ZINC000309688238 491154445 /nfs/dbraw/zinc/15/44/45/491154445.db2.gz OTYQVDFHAFNIAL-QWHCGFSZSA-N 0 3 220.316 2.733 20 0 BFADHN Cc1c2ccccc2oc1[C@@H](C)N[C@@H]1CC[C@H]1O ZINC000424311499 200905562 /nfs/dbraw/zinc/90/55/62/200905562.db2.gz FKNWDFVDHNZCMO-RAIGVLPGSA-N 0 3 245.322 2.915 20 0 BFADHN CC1(NCc2ccnn2CC2CCC2)CCC1 ZINC000631105235 361147069 /nfs/dbraw/zinc/14/70/69/361147069.db2.gz IYLGXOMTMPJXGI-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H](C)O[C@H]2C)c(F)c1 ZINC000631218846 361181568 /nfs/dbraw/zinc/18/15/68/361181568.db2.gz QUTWABBHROLGHB-UHIISALHSA-N 0 3 237.318 2.790 20 0 BFADHN Cc1cc(Cl)cc(CNCCn2cccn2)c1 ZINC000339203986 200928894 /nfs/dbraw/zinc/92/88/94/200928894.db2.gz XKIACCMAZHPXOP-UHFFFAOYSA-N 0 3 249.745 2.635 20 0 BFADHN CC(C)c1csc(C2(N)CCCC2)n1 ZINC000041059609 361222515 /nfs/dbraw/zinc/22/25/15/361222515.db2.gz ZOQFKQFMRTZZGD-UHFFFAOYSA-N 0 3 210.346 2.995 20 0 BFADHN Cc1nc(CNC2CC(C(C)(C)C)C2)[nH]c1C ZINC000575003909 361293947 /nfs/dbraw/zinc/29/39/47/361293947.db2.gz YHGYJUVJJYJGHR-UHFFFAOYSA-N 0 3 235.375 2.941 20 0 BFADHN C[C@@H]1CC[C@@H]1N[C@@H](c1nc[nH]n1)C1CCCCC1 ZINC000579069101 366703004 /nfs/dbraw/zinc/70/30/04/366703004.db2.gz CTTKXYPZUHUVMR-KGYLQXTDSA-N 0 3 248.374 2.814 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1n[nH]c(C(C)C)n1 ZINC000428305973 201007707 /nfs/dbraw/zinc/00/77/07/201007707.db2.gz MYCFGTQARGFSMS-GHMZBOCLSA-N 0 3 236.363 2.691 20 0 BFADHN CCN(CCC1CC1)[C@H](C)c1cnc(C)cn1 ZINC000428418979 201013934 /nfs/dbraw/zinc/01/39/34/201013934.db2.gz HFMYGKKYWKPCCM-GFCCVEGCSA-N 0 3 233.359 2.968 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1n[nH]c(C(C)C)n1 ZINC000428420220 201015428 /nfs/dbraw/zinc/01/54/28/201015428.db2.gz HZDWXSXJUBXCNO-WDEREUQCSA-N 0 3 236.363 2.549 20 0 BFADHN CC[C@]1(O)CCN(Cc2ccc([C@H]3C[C@@H]3C)o2)C1 ZINC000428442232 201018541 /nfs/dbraw/zinc/01/85/41/201018541.db2.gz ZSKRAEPIPDCBSG-WHOFXGATSA-N 0 3 249.354 2.750 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC[C@H]2CCO)o1 ZINC000428447729 201018657 /nfs/dbraw/zinc/01/86/57/201018657.db2.gz NFIHCIYOGODAJG-MBNYWOFBSA-N 0 3 249.354 2.750 20 0 BFADHN C[C@H](NCc1cc(Cl)ccc1F)C(C)(C)O ZINC000309781326 491156468 /nfs/dbraw/zinc/15/64/68/491156468.db2.gz MZITZZHVDPXHBN-QMMMGPOBSA-N 0 3 245.725 2.728 20 0 BFADHN CC(C)c1nc(CN(C)C2CCCCC2)n[nH]1 ZINC000428452948 201019182 /nfs/dbraw/zinc/01/91/82/201019182.db2.gz OADJVCMHCFSSQH-UHFFFAOYSA-N 0 3 236.363 2.693 20 0 BFADHN CC(C)Cn1cc(CN2CC[C@@H]3CCC[C@@H]32)cn1 ZINC000428454605 201019525 /nfs/dbraw/zinc/01/95/25/201019525.db2.gz ILGOCFMDYKKTKT-GJZGRUSLSA-N 0 3 247.386 2.914 20 0 BFADHN OCC[C@@H]1CCCN1C/C=C/c1ccc(F)cc1 ZINC000428458082 201020701 /nfs/dbraw/zinc/02/07/01/201020701.db2.gz BWWCWVATDWJRFY-KZTTYJGESA-N 0 3 249.329 2.686 20 0 BFADHN CCCc1cccc(CN2CC[C@H](O)[C@H](C)C2)c1 ZINC000428471980 201021425 /nfs/dbraw/zinc/02/14/25/201021425.db2.gz ZXVVOMXPWNPGNL-CJNGLKHVSA-N 0 3 247.382 2.842 20 0 BFADHN CCCN(CC)[C@@H](C)c1cnc(C)cn1 ZINC000428468073 201022962 /nfs/dbraw/zinc/02/29/62/201022962.db2.gz MDEFYRWJGFWDLW-NSHDSACASA-N 0 3 207.321 2.578 20 0 BFADHN CCCC[C@@H]([NH2+]Cc1nnc(C)[n-]1)C1CCC1 ZINC000428348693 201008936 /nfs/dbraw/zinc/00/89/36/201008936.db2.gz UKOUNHNDCMLMMZ-GFCCVEGCSA-N 0 3 236.363 2.562 20 0 BFADHN CC(C)(C)OCCN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000428709676 201036734 /nfs/dbraw/zinc/03/67/34/201036734.db2.gz HZZMPHFMGWHTLS-CMPLNLGQSA-N 0 3 247.329 2.779 20 0 BFADHN c1coc(C2=CCCN(CC[C@H]3CCCO3)C2)c1 ZINC000285327308 370687390 /nfs/dbraw/zinc/68/73/90/370687390.db2.gz NNXYWJAKRHBBNB-CQSZACIVSA-N 0 3 247.338 2.938 20 0 BFADHN CSCCCN(C)[C@H](C)c1cnc(C)cn1 ZINC000428966599 201054437 /nfs/dbraw/zinc/05/44/37/201054437.db2.gz KWPDWQPWQWQANH-LLVKDONJSA-N 0 3 239.388 2.531 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CCCC(C)C ZINC000429058199 201063844 /nfs/dbraw/zinc/06/38/44/201063844.db2.gz DFDUSHWVXWVIIG-OLZOCXBDSA-N 0 3 213.365 2.922 20 0 BFADHN CC(C)CCCN1CCN(C2CCCC2)CC1 ZINC000429055821 201063952 /nfs/dbraw/zinc/06/39/52/201063952.db2.gz OPQQWMRQDJIPIX-UHFFFAOYSA-N 0 3 238.419 2.983 20 0 BFADHN CC[C@H](C(=O)NCC(C)(C)CC)N(CC)CC ZINC000429054464 201064719 /nfs/dbraw/zinc/06/47/19/201064719.db2.gz PWWBBOHLIGARHX-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@H](NCc1cc(F)cc(Cl)c1)C(C)(C)O ZINC000309823354 491157314 /nfs/dbraw/zinc/15/73/14/491157314.db2.gz WVJQDFPTFXMDBA-QMMMGPOBSA-N 0 3 245.725 2.728 20 0 BFADHN COCC(C)(C)N(C)Cc1cc(F)ccc1C ZINC000429255149 201085723 /nfs/dbraw/zinc/08/57/23/201085723.db2.gz MFWMXAYZTPOILC-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN Cn1ccnc1[C@@H](N[C@H]1CC12CCCC2)C1CC1 ZINC000429421243 201108909 /nfs/dbraw/zinc/10/89/09/201108909.db2.gz DSWJXXGZUXXDNW-STQMWFEESA-N 0 3 245.370 2.794 20 0 BFADHN Cc1cnc(CN[C@@H](C)Cc2ccoc2)s1 ZINC000579314828 366758203 /nfs/dbraw/zinc/75/82/03/366758203.db2.gz GYVYUHJYPYRAJB-VIFPVBQESA-N 0 3 236.340 2.765 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](CO)CC(F)F)cc1 ZINC000429463475 201109629 /nfs/dbraw/zinc/10/96/29/201109629.db2.gz TYKPIIIQERXTAU-PWSUYJOCSA-N 0 3 243.297 2.662 20 0 BFADHN COc1ccc([C@@H](C)NC2CSC2)cc1F ZINC000309885335 491157568 /nfs/dbraw/zinc/15/75/68/491157568.db2.gz XYLPXEMKDIJWMN-MRVPVSSYSA-N 0 3 241.331 2.600 20 0 BFADHN CCC(CC)CN1CCO[C@@]2(CCSC2)C1 ZINC000579355401 366768179 /nfs/dbraw/zinc/76/81/79/366768179.db2.gz BPNYFMXPJAPNNF-ZDUSSCGKSA-N 0 3 243.416 2.631 20 0 BFADHN CCC(C)(C)CCN1CC[C@@H](C)[S@@](=O)CC1 ZINC000429563541 201116657 /nfs/dbraw/zinc/11/66/57/201116657.db2.gz NKDNYNDMNKCVPK-WBMJQRKESA-N 0 3 245.432 2.656 20 0 BFADHN COc1cc(CN(C)[C@@H]2CC[C@@H](C)C2)ccn1 ZINC000429582075 201118528 /nfs/dbraw/zinc/11/85/28/201118528.db2.gz ZCZMYQCUBIYKDU-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1noc(CN2[C@H](C)C[C@@H]3CCCC[C@@H]32)n1 ZINC000429689698 201125701 /nfs/dbraw/zinc/12/57/01/201125701.db2.gz FZHBFXWZLOAPOB-USWWRNFRSA-N 0 3 235.331 2.531 20 0 BFADHN Cc1noc(CN2[C@H](C)C[C@@H]3CCCC[C@H]32)n1 ZINC000429689694 201127659 /nfs/dbraw/zinc/12/76/59/201127659.db2.gz FZHBFXWZLOAPOB-ADEWGFFLSA-N 0 3 235.331 2.531 20 0 BFADHN CCCCCN(CCC)[C@H](C)C(=O)OCC ZINC000429721645 201130505 /nfs/dbraw/zinc/13/05/05/201130505.db2.gz RPRMDKTWSVODLF-GFCCVEGCSA-N 0 3 229.364 2.840 20 0 BFADHN CC(C)(C)CNCc1ccc(-c2cc[nH]n2)o1 ZINC000433328219 201181571 /nfs/dbraw/zinc/18/15/71/201181571.db2.gz VLQNEMZNZBAGBA-UHFFFAOYSA-N 0 3 233.315 2.805 20 0 BFADHN CC1(NCc2ccc(-c3cc[nH]n3)o2)CCC1 ZINC000433324316 201181622 /nfs/dbraw/zinc/18/16/22/201181622.db2.gz DBWODVAYVAMFKB-UHFFFAOYSA-N 0 3 231.299 2.702 20 0 BFADHN C[C@H](NCc1ccc(-c2cc[nH]n2)o1)C1CC1 ZINC000433329232 201182185 /nfs/dbraw/zinc/18/21/85/201182185.db2.gz GAMQYZUSEDOUTO-VIFPVBQESA-N 0 3 231.299 2.558 20 0 BFADHN c1c(CN[C@@]23C[C@@H]2CCCC3)nc2ccccn12 ZINC000631224658 361566884 /nfs/dbraw/zinc/56/68/84/361566884.db2.gz CEMMXJJSLCAOEP-WFASDCNBSA-N 0 3 241.338 2.757 20 0 BFADHN CCc1nnc(CNC[C@H](C)C(C)(C)C)s1 ZINC000309976736 491159220 /nfs/dbraw/zinc/15/92/20/491159220.db2.gz TYMBARZDAIHZHT-VIFPVBQESA-N 0 3 241.404 2.872 20 0 BFADHN CCC(CC)CN1CC[S@](=O)C(C)(C)CC1 ZINC000448551739 201228384 /nfs/dbraw/zinc/22/83/84/201228384.db2.gz IHBXXSBOIRMGIY-INIZCTEOSA-N 0 3 245.432 2.656 20 0 BFADHN CCn1ccnc1CNC1CCC2(CC2)CC1 ZINC000434771686 201239060 /nfs/dbraw/zinc/23/90/60/201239060.db2.gz AVRHBUPMLHQCTA-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN Cn1ccc(CN2CC[C@@H](CC(C)(C)C)C2)n1 ZINC000448556190 201260782 /nfs/dbraw/zinc/26/07/82/201260782.db2.gz XZAPYFBONPORMG-LBPRGKRZSA-N 0 3 235.375 2.678 20 0 BFADHN Cc1coc(-c2ccc3c(c2)CCNC3)c1 ZINC000629735625 361672395 /nfs/dbraw/zinc/67/23/95/361672395.db2.gz BTSHLLDBYWFGMA-UHFFFAOYSA-N 0 3 213.280 2.901 20 0 BFADHN CCn1cc([C@H](C)N[C@@H]2CCC(F)(F)C2)cn1 ZINC000310234321 491160865 /nfs/dbraw/zinc/16/08/65/491160865.db2.gz HNKJGDMVNJZUQL-GXSJLCMTSA-N 0 3 243.301 2.741 20 0 BFADHN CCC[C@@H](N[C@@H]1CCOC1)c1cc(C)ccn1 ZINC000631598801 361691242 /nfs/dbraw/zinc/69/12/42/361691242.db2.gz FLNAUJUTWHABDX-CHWSQXEVSA-N 0 3 234.343 2.610 20 0 BFADHN c1cc2c(c(CNC3CC4(CCC4)C3)c1)OCO2 ZINC000436469074 201327411 /nfs/dbraw/zinc/32/74/11/201327411.db2.gz VRHVDVNJRHQMMJ-UHFFFAOYSA-N 0 3 245.322 2.838 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)C(C)(C)O)c(C)o1 ZINC000083727687 491162795 /nfs/dbraw/zinc/16/27/95/491162795.db2.gz XIOIHBOUWCUSHT-KOLCDFICSA-N 0 3 225.332 2.706 20 0 BFADHN C[C@@H](NCc1ccc(F)c(Cl)c1)C(C)(C)O ZINC000083727907 491162843 /nfs/dbraw/zinc/16/28/43/491162843.db2.gz NNJSJKABNXJDHZ-MRVPVSSYSA-N 0 3 245.725 2.728 20 0 BFADHN CCOc1ncccc1CN[C@H](C)C1CCC1 ZINC000177890719 134304130 /nfs/dbraw/zinc/30/41/30/134304130.db2.gz JEWNPXMTFRYVCH-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN CCCC[C@H](CC)CCN1CCC[C@@H]1C(N)=O ZINC000437577273 201358674 /nfs/dbraw/zinc/35/86/74/201358674.db2.gz JPSOHSKDCHXBRR-QWHCGFSZSA-N 0 3 240.391 2.543 20 0 BFADHN C[C@@H](CN1CCSCC1)c1ccc(F)cc1 ZINC000437586023 201359819 /nfs/dbraw/zinc/35/98/19/201359819.db2.gz CGCGHGNKDBACLJ-NSHDSACASA-N 0 3 239.359 2.978 20 0 BFADHN COc1ccc(CN2CC[C@H](C(C)C)C2)cn1 ZINC000438102108 201389283 /nfs/dbraw/zinc/38/92/83/201389283.db2.gz CYBKVBDSLRECFY-ZDUSSCGKSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C[C@H](C)C2)cn1 ZINC000438097973 201388844 /nfs/dbraw/zinc/38/88/44/201388844.db2.gz RSGKUNIKZABLGO-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN Fc1ccccc1C1(NCC[C@H]2CCOC2)CC1 ZINC000438187527 201396591 /nfs/dbraw/zinc/39/65/91/201396591.db2.gz HZHNYRRGWCVPJW-LBPRGKRZSA-N 0 3 249.329 2.831 20 0 BFADHN CCSCCNCc1nc2cc(C)ccc2[nH]1 ZINC000438184003 201397236 /nfs/dbraw/zinc/39/72/36/201397236.db2.gz XCDZMAFUJRBBSB-UHFFFAOYSA-N 0 3 249.383 2.714 20 0 BFADHN CCSCCNCc1nc2ccc(C)cc2[nH]1 ZINC000438184003 201397239 /nfs/dbraw/zinc/39/72/39/201397239.db2.gz XCDZMAFUJRBBSB-UHFFFAOYSA-N 0 3 249.383 2.714 20 0 BFADHN CCCc1ccccc1NC(=O)CNC(C)C ZINC000037610078 363890772 /nfs/dbraw/zinc/89/07/72/363890772.db2.gz SQZAHMTUMDHFMO-UHFFFAOYSA-N 0 3 234.343 2.576 20 0 BFADHN CC(C)OCCNCc1ccnc2ccccc12 ZINC000168951083 541307604 /nfs/dbraw/zinc/30/76/04/541307604.db2.gz AVWJFGQETNJKEB-UHFFFAOYSA-N 0 3 244.338 2.749 20 0 BFADHN C[C@H](NCCCCF)c1cn2ccccc2n1 ZINC000514798137 370698613 /nfs/dbraw/zinc/69/86/13/370698613.db2.gz JCKPVKQNFRGLRC-NSHDSACASA-N 0 3 235.306 2.735 20 0 BFADHN C[C@H](NC[C@H]1C[C@H]1C)c1cn2ccccc2n1 ZINC000556261352 370703044 /nfs/dbraw/zinc/70/30/44/370703044.db2.gz LKUCFKXJXOEBFT-GRYCIOLGSA-N 0 3 229.327 2.641 20 0 BFADHN C[C@H](NC[C@@H]1CC12CC2)c1cn2ccccc2n1 ZINC000564225567 370705017 /nfs/dbraw/zinc/70/50/17/370705017.db2.gz IGUARFSWRQKYBI-RYUDHWBXSA-N 0 3 241.338 2.785 20 0 BFADHN CC(C)CCN(C)[C@@H](C)C(=O)Nc1ccccc1 ZINC000080071253 370724940 /nfs/dbraw/zinc/72/49/40/370724940.db2.gz JGKFRYPRFFLJRI-ZDUSSCGKSA-N 0 3 248.370 2.992 20 0 BFADHN CCOc1ncccc1CN[C@H](C)C(C)C ZINC000151184255 192951764 /nfs/dbraw/zinc/95/17/64/192951764.db2.gz SOGXKDKKZVDBHZ-LLVKDONJSA-N 0 3 222.332 2.614 20 0 BFADHN COc1ccc(CN[C@@H]2C=CCCC2)c(OC)c1 ZINC000151179144 192952239 /nfs/dbraw/zinc/95/22/39/192952239.db2.gz KQDGBGVPGNAGNU-CYBMUJFWSA-N 0 3 247.338 2.902 20 0 BFADHN COC[C@@H](N[C@H]1CCCc2cccnc21)C(C)C ZINC000091661818 541344477 /nfs/dbraw/zinc/34/44/77/541344477.db2.gz ZUBXGKODKUZSIW-UONOGXRCSA-N 0 3 248.370 2.720 20 0 BFADHN C[C@@H]1CC[C@@H](n2cc(CNC3CCCC3)nn2)C1 ZINC000630602177 364319024 /nfs/dbraw/zinc/31/90/24/364319024.db2.gz AMTGMKYXHVZUEY-BXUZGUMPSA-N 0 3 248.374 2.671 20 0 BFADHN C[C@@H]1CC[C@H](n2cc([C@H](N)C(C)(C)C)nn2)C1 ZINC000630604858 364323480 /nfs/dbraw/zinc/32/34/80/364323480.db2.gz VNJGPDKQELGING-SCVCMEIPSA-N 0 3 236.363 2.685 20 0 BFADHN CCOCCN(C)CCOC1CCCCCC1 ZINC000093313036 541352106 /nfs/dbraw/zinc/35/21/06/541352106.db2.gz TZGOMVFOHLLXDN-UHFFFAOYSA-N 0 3 243.391 2.694 20 0 BFADHN CC(C)CC[C@@H]1CCCN1Cc1cncnc1 ZINC000180203522 541355744 /nfs/dbraw/zinc/35/57/44/541355744.db2.gz JBYQGUOILZFIHP-AWEZNQCLSA-N 0 3 233.359 2.877 20 0 BFADHN CO[C@H](C)CCN[C@@H](C)c1nc(C)sc1C ZINC000381621790 541356299 /nfs/dbraw/zinc/35/62/99/541356299.db2.gz AICOBJUHYXXXGX-BDAKNGLRSA-N 0 3 242.388 2.836 20 0 BFADHN C[C@H]1C[C@@H](NCCC2(C)CC2)c2nccn21 ZINC000453225028 202711602 /nfs/dbraw/zinc/71/16/02/202711602.db2.gz SICDLBFXCPPTJH-WDEREUQCSA-N 0 3 219.332 2.669 20 0 BFADHN CC[C@@H](C)N[C@H](c1ccccc1)c1cncnc1 ZINC000453053777 202693424 /nfs/dbraw/zinc/69/34/24/202693424.db2.gz GWBUUBGWQCOTNA-IUODEOHRSA-N 0 3 241.338 2.954 20 0 BFADHN C[C@@H](NCCCF)c1nc2c(s1)CCC2 ZINC000134649272 364410211 /nfs/dbraw/zinc/41/02/11/364410211.db2.gz XZSBPLMEMOXKFA-MRVPVSSYSA-N 0 3 228.336 2.642 20 0 BFADHN Cc1ccc(CN(CCC(C)(C)O)C2CC2)o1 ZINC000674229865 487527850 /nfs/dbraw/zinc/52/78/50/487527850.db2.gz OHPVRRHVTOXFMF-UHFFFAOYSA-N 0 3 237.343 2.713 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H]1CCc2c1cccc2F ZINC000579552411 366865695 /nfs/dbraw/zinc/86/56/95/366865695.db2.gz MMZQLWHSUTXYCY-MGPQQGTHSA-N 0 3 235.302 2.580 20 0 BFADHN CCC(C)(C)CCN[C@H]1CCn2ccnc21 ZINC000631684804 364555178 /nfs/dbraw/zinc/55/51/78/364555178.db2.gz VBCLJGDSFAMXPS-NSHDSACASA-N 0 3 221.348 2.744 20 0 BFADHN CC[C@H]1COCCN1C[C@@H](C)Cc1ccccc1 ZINC000182033536 366860686 /nfs/dbraw/zinc/86/06/86/366860686.db2.gz HMKIWSDCYPUZPK-HOCLYGCPSA-N 0 3 247.382 2.976 20 0 BFADHN Cc1nc(CN2CC[C@H](C)[C@@H]3CCCC[C@H]32)n[nH]1 ZINC000644657801 541367307 /nfs/dbraw/zinc/36/73/07/541367307.db2.gz PVFHYWRAUBFADI-WCFLWFBJSA-N 0 3 248.374 2.514 20 0 BFADHN CCC(CC)[C@@H](O)CN[C@@H](C)c1ccoc1 ZINC000167512500 364795196 /nfs/dbraw/zinc/79/51/96/364795196.db2.gz MFOTTWJWJFIXSE-GWCFXTLKSA-N 0 3 225.332 2.727 20 0 BFADHN CCCCN(C)CCN[C@H](C)c1ccoc1 ZINC000167542750 364795957 /nfs/dbraw/zinc/79/59/57/364795957.db2.gz UPKUWKKWOPDUAW-GFCCVEGCSA-N 0 3 224.348 2.662 20 0 BFADHN CN(CCC(C)(C)C)Cc1cccn1C ZINC000156828911 364750717 /nfs/dbraw/zinc/75/07/17/364750717.db2.gz DWLNGJSWBMDNJW-UHFFFAOYSA-N 0 3 208.349 2.893 20 0 BFADHN Cc1nc(CNC[C@@H]2CCCC2(C)C)[nH]c1C ZINC000573505652 364851363 /nfs/dbraw/zinc/85/13/63/364851363.db2.gz BVFFSAAEUBSPMI-LBPRGKRZSA-N 0 3 235.375 2.942 20 0 BFADHN Cc1nc(CNC[C@H]2CCCC2(C)C)[nH]c1C ZINC000573505648 364851407 /nfs/dbraw/zinc/85/14/07/364851407.db2.gz BVFFSAAEUBSPMI-GFCCVEGCSA-N 0 3 235.375 2.942 20 0 BFADHN CCO[C@@H]1C[C@@H](NC2(CF)CCC2)C12CCC2 ZINC000573565376 364863744 /nfs/dbraw/zinc/86/37/44/364863744.db2.gz HTLMDDZKSVFOOG-VXGBXAGGSA-N 0 3 241.350 2.816 20 0 BFADHN CCN(CC(=O)Nc1ccccc1)CC(C)C ZINC000046064937 541380391 /nfs/dbraw/zinc/38/03/91/541380391.db2.gz MYKFDUGEWNBTEB-UHFFFAOYSA-N 0 3 234.343 2.603 20 0 BFADHN CCn1ccc(CN[C@@H](C)C(C)(C)CC)n1 ZINC000573426018 364829846 /nfs/dbraw/zinc/82/98/46/364829846.db2.gz KGFLSASCFAMRIX-NSHDSACASA-N 0 3 223.364 2.817 20 0 BFADHN CCOC[C@H](C)NCc1ccc2cnccc2c1 ZINC000233767936 541387952 /nfs/dbraw/zinc/38/79/52/541387952.db2.gz FFSNCWVDRPQRMB-LBPRGKRZSA-N 0 3 244.338 2.749 20 0 BFADHN CCc1ccc(CN(C)C(C)(C)C)nc1 ZINC000573718875 364900411 /nfs/dbraw/zinc/90/04/11/364900411.db2.gz HCZCSUHGDOVCMN-UHFFFAOYSA-N 0 3 206.333 2.874 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@H](C)CC(F)(F)F ZINC000573981740 364955111 /nfs/dbraw/zinc/95/51/11/364955111.db2.gz VUAVJZCAKNSPOW-VXNVDRBHSA-N 0 3 247.264 2.777 20 0 BFADHN C[C@@H](CC1CCCC1)NCc1ccno1 ZINC000573967130 364956134 /nfs/dbraw/zinc/95/61/34/364956134.db2.gz RHUXFZDVWGLHAG-JTQLQIEISA-N 0 3 208.305 2.733 20 0 BFADHN Cc1nnsc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000574116237 364990014 /nfs/dbraw/zinc/99/00/14/364990014.db2.gz GMNQXLVOFNTVMN-MNOVXSKESA-N 0 3 237.372 2.611 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1ccc(Cl)cn1 ZINC000574157308 364991040 /nfs/dbraw/zinc/99/10/40/364991040.db2.gz WNXZAUPWMNANOF-KKZNHRDASA-N 0 3 240.734 2.563 20 0 BFADHN C[C@H]1CCCC[C@@H]1CCNCc1cnccn1 ZINC000574044722 364975718 /nfs/dbraw/zinc/97/57/18/364975718.db2.gz LWMQAPFTJFMGMQ-QWHCGFSZSA-N 0 3 233.359 2.783 20 0 BFADHN CCCN(Cc1cnccc1OC)C(C)C ZINC000574217805 365013312 /nfs/dbraw/zinc/01/33/12/365013312.db2.gz OAVIFOLLMWVWNL-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN Cc1cc(C)cc(CN2CCN(C)C(C)(C)C2)c1 ZINC000574343309 365042490 /nfs/dbraw/zinc/04/24/90/365042490.db2.gz AEGCTIOZBAAABT-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN C([NH2+]CC1CCCCCC1)c1nnc(C2CC2)[n-]1 ZINC000574396985 365052676 /nfs/dbraw/zinc/05/26/76/365052676.db2.gz ZZTYBXQWGJEYEO-UHFFFAOYSA-N 0 3 248.374 2.742 20 0 BFADHN C(NCC1CCCCCC1)c1nnc(C2CC2)[nH]1 ZINC000574396985 365052681 /nfs/dbraw/zinc/05/26/81/365052681.db2.gz ZZTYBXQWGJEYEO-UHFFFAOYSA-N 0 3 248.374 2.742 20 0 BFADHN Cc1nc(CNC[C@H](C)c2ccccc2)[nH]c1C ZINC000574822716 365153084 /nfs/dbraw/zinc/15/30/84/365153084.db2.gz CMWQBCXELJTMRO-NSHDSACASA-N 0 3 243.354 2.920 20 0 BFADHN CC(C)(C)c1n[nH]cc1CNC1CC(C)(C)C1 ZINC000574824223 365155477 /nfs/dbraw/zinc/15/54/77/365155477.db2.gz RBMNFDPKMJRBJJ-UHFFFAOYSA-N 0 3 235.375 2.985 20 0 BFADHN CCC(CC)(CC)CNCc1cc[nH]n1 ZINC000152498664 541453591 /nfs/dbraw/zinc/45/35/91/541453591.db2.gz CNPSUQCOQGEHKG-UHFFFAOYSA-N 0 3 209.337 2.716 20 0 BFADHN CO[C@@H](C)CNCc1ccccc1OCC1CC1 ZINC000442990438 193009476 /nfs/dbraw/zinc/00/94/76/193009476.db2.gz IOCWVPRBLBXSBZ-LBPRGKRZSA-N 0 3 249.354 2.600 20 0 BFADHN CO[C@H](C)CNC/C=C\c1ccc(F)cc1F ZINC000443001837 193010353 /nfs/dbraw/zinc/01/03/53/193010353.db2.gz NSQCHOJBJVJXBL-UMBAGQNISA-N 0 3 241.281 2.603 20 0 BFADHN CC(C)c1ncc(CN(C)C[C@@H]2C[C@H]2C)cn1 ZINC000443061005 193012785 /nfs/dbraw/zinc/01/27/85/193012785.db2.gz HUYQUAVPCDRNHL-YPMHNXCESA-N 0 3 233.359 2.688 20 0 BFADHN Cn1ccnc1CNC[C@@H]1CCCC(C)(C)C1 ZINC000443804092 193031235 /nfs/dbraw/zinc/03/12/35/193031235.db2.gz RPYRRJUMVMNXKQ-GFCCVEGCSA-N 0 3 235.375 2.726 20 0 BFADHN COc1ccnc(CN2CC[C@@H](C)C[C@H](C)C2)c1 ZINC000443647078 193027724 /nfs/dbraw/zinc/02/77/24/193027724.db2.gz KXBFFHRPVFEQSY-OLZOCXBDSA-N 0 3 248.370 2.958 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN1C[C@H]2CC[C@@H](C1)O2 ZINC000443639621 193028187 /nfs/dbraw/zinc/02/81/87/193028187.db2.gz GUGPTKXYAOFPFK-BYNSBNAKSA-N 0 3 235.371 2.842 20 0 BFADHN CC[C@H](C)CN(C)CC(=O)Nc1ccc(C)cc1 ZINC000171952485 365261330 /nfs/dbraw/zinc/26/13/30/365261330.db2.gz YNCMCQVQEZIDGZ-LBPRGKRZSA-N 0 3 248.370 2.911 20 0 BFADHN Cc1cccnc1CN[C@H]1CCC1(C)C ZINC000379786306 365269975 /nfs/dbraw/zinc/26/99/75/365269975.db2.gz FKZBQPVFFKMDPH-LBPRGKRZSA-N 0 3 204.317 2.668 20 0 BFADHN Cc1cccnc1CN[C@@H]1CCC1(C)C ZINC000379786299 365270511 /nfs/dbraw/zinc/27/05/11/365270511.db2.gz FKZBQPVFFKMDPH-GFCCVEGCSA-N 0 3 204.317 2.668 20 0 BFADHN CC(C)C(C)(C)NCc1cn(C(C)(C)C)nn1 ZINC000575179941 365229630 /nfs/dbraw/zinc/22/96/30/365229630.db2.gz BMXZZDQJWYYQSW-UHFFFAOYSA-N 0 3 238.379 2.557 20 0 BFADHN C[C@@H](O)[C@H](C)N[C@H](C)c1ccc(F)cc1Cl ZINC000575522255 365329669 /nfs/dbraw/zinc/32/96/69/365329669.db2.gz CUGWLBGBQCMKOP-DJLDLDEBSA-N 0 3 245.725 2.899 20 0 BFADHN Cc1ccoc1CN1C[C@@H](C(N)=O)CC[C@H]1C ZINC000335354579 365281861 /nfs/dbraw/zinc/28/18/61/365281861.db2.gz SKQIMPOUZCYKRU-MNOVXSKESA-N 0 3 236.315 2.724 20 0 BFADHN CC(C)CCc1ccc(NC(=O)C2(N)CC2)cc1 ZINC000575374507 365305372 /nfs/dbraw/zinc/30/53/72/365305372.db2.gz IGBTVPYQTBOFLG-UHFFFAOYSA-N 0 3 246.354 2.705 20 0 BFADHN Cc1ccccc1CN1CCOC[C@@H]1C1CCC1 ZINC000575800757 365366973 /nfs/dbraw/zinc/36/69/73/365366973.db2.gz TXYZWFLDTBQOOM-MRXNPFEDSA-N 0 3 245.366 2.996 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@H]1CC12CCCC2 ZINC000336514668 365338248 /nfs/dbraw/zinc/33/82/48/365338248.db2.gz NEPHYPWBMYRTCW-GWCFXTLKSA-N 0 3 233.359 2.712 20 0 BFADHN Fc1ccccc1C1(NC2CCOCC2)CC1 ZINC000182239735 366877662 /nfs/dbraw/zinc/87/76/62/366877662.db2.gz BYIZWOUUDVRAOT-UHFFFAOYSA-N 0 3 235.302 2.583 20 0 BFADHN C[C@H](NCc1cnccn1)[C@H]1CCC[C@@H](C)C1 ZINC000575636247 365341303 /nfs/dbraw/zinc/34/13/03/365341303.db2.gz HMZNRMKKNFSNQN-AGIUHOORSA-N 0 3 233.359 2.781 20 0 BFADHN CCC[C@@H](CC)NCc1cc(OC)ns1 ZINC000399083285 365400413 /nfs/dbraw/zinc/40/04/13/365400413.db2.gz TWUWQGNPULIJRN-SECBINFHSA-N 0 3 228.361 2.820 20 0 BFADHN CCc1cnc(CNC2(C(C)C)CC2)s1 ZINC000339506591 365464737 /nfs/dbraw/zinc/46/47/37/365464737.db2.gz NKYDISSUAUYRQQ-UHFFFAOYSA-N 0 3 224.373 2.984 20 0 BFADHN CC[C@@H](NCc1conc1C)C(C)(C)C ZINC000339228757 365453513 /nfs/dbraw/zinc/45/35/13/365453513.db2.gz AZLRFXJCVSDFFC-LLVKDONJSA-N 0 3 210.321 2.897 20 0 BFADHN CC[C@@H](NCCOC(C)C)c1c(C)noc1C ZINC000182407888 366899019 /nfs/dbraw/zinc/89/90/19/366899019.db2.gz FMMGFNWTLFRGLW-GFCCVEGCSA-N 0 3 240.347 2.757 20 0 BFADHN Cc1nnsc1CN(C(C)C)C1CCCC1 ZINC000576112001 365491310 /nfs/dbraw/zinc/49/13/10/365491310.db2.gz TTXGBLVUIZAHRY-UHFFFAOYSA-N 0 3 239.388 3.000 20 0 BFADHN Cc1nc(CNCC2(C(C)C)CC2)[nH]c1C ZINC000564472462 325793704 /nfs/dbraw/zinc/79/37/04/325793704.db2.gz XTOFXRWRXUADPB-UHFFFAOYSA-N 0 3 221.348 2.552 20 0 BFADHN Cc1cc(CN2[C@H](C)C[C@@H](O)C[C@@H]2C)cs1 ZINC000576169831 365509205 /nfs/dbraw/zinc/50/92/05/365509205.db2.gz UBTLTNRPHIMMQE-DDFAGTSDSA-N 0 3 239.384 2.790 20 0 BFADHN Cc1cc(CN2[C@@H](C)CC(O)C[C@@H]2C)cs1 ZINC000576169833 365509305 /nfs/dbraw/zinc/50/93/05/365509305.db2.gz UBTLTNRPHIMMQE-UWVGGRQHSA-N 0 3 239.384 2.790 20 0 BFADHN CC(C)[C@H]1CC[C@@H]1NCc1cccc(N(C)C)n1 ZINC000353632145 541484789 /nfs/dbraw/zinc/48/47/89/541484789.db2.gz NLYQXWDHTFYHFK-KGLIPLIRSA-N 0 3 247.386 2.672 20 0 BFADHN Cc1nn(C)cc1CN(CCC(C)C)C1CC1 ZINC000180022745 541506387 /nfs/dbraw/zinc/50/63/87/541506387.db2.gz HVSSWFPMUHDPBH-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN CCCn1c(C)nnc1CN[C@@H](C)[C@H](C)CC ZINC000183033847 366968227 /nfs/dbraw/zinc/96/82/27/366968227.db2.gz HNQBQGCTZLUYKX-MNOVXSKESA-N 0 3 238.379 2.521 20 0 BFADHN Cc1cc(OCCOC(C)C)c(C)c(C)n1 ZINC000579730071 366997027 /nfs/dbraw/zinc/99/70/27/366997027.db2.gz BBTVIIAQVQMFTD-UHFFFAOYSA-N 0 3 223.316 2.811 20 0 BFADHN CCCN(Cc1cnn(CC(C)C)c1)C1CC1 ZINC000183055071 366970698 /nfs/dbraw/zinc/97/06/98/366970698.db2.gz LXWHGNYIJGXSFY-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc(OC)cc1OC ZINC000384806680 541515803 /nfs/dbraw/zinc/51/58/03/541515803.db2.gz ZTLHTFYKQKSKNU-MVIFTORASA-N 0 3 235.327 2.931 20 0 BFADHN CC(C)Cn1cc(CN(C)CCC2CC2)cn1 ZINC000183421605 367022772 /nfs/dbraw/zinc/02/27/72/367022772.db2.gz OUEPUUVUSNPEJP-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@@H](N[C@@H](C)c1cscn1)[C@H]1C[C@H]1C ZINC000579732968 366998654 /nfs/dbraw/zinc/99/86/54/366998654.db2.gz FEJHVIFGKJYGLE-IMSYWVGJSA-N 0 3 210.346 2.838 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc(OC)cc1OC ZINC000384806678 541515328 /nfs/dbraw/zinc/51/53/28/541515328.db2.gz ZTLHTFYKQKSKNU-GZTOBOFZSA-N 0 3 235.327 2.931 20 0 BFADHN Cn1ccnc1[C@H](NCC1(C2CC2)CC1)C1CC1 ZINC000183352696 367017346 /nfs/dbraw/zinc/01/73/46/367017346.db2.gz ZLQXARHXFVMDNH-CYBMUJFWSA-N 0 3 245.370 2.651 20 0 BFADHN CCCC[C@H](CC)CN1CCN(C2CC2)CC1 ZINC000183605152 367032072 /nfs/dbraw/zinc/03/20/72/367032072.db2.gz SMRQXYGJDZOOLG-AWEZNQCLSA-N 0 3 238.419 2.983 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cnoc1C ZINC000185166246 367123705 /nfs/dbraw/zinc/12/37/05/367123705.db2.gz LXIFDIGVWNKHTJ-GFCCVEGCSA-N 0 3 208.305 2.748 20 0 BFADHN CCC[C@H]1CCCCN1C(=O)CN(C)C(C)C ZINC000185422868 367131561 /nfs/dbraw/zinc/13/15/61/367131561.db2.gz CULJOHLBDPVNCU-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN CC(C)n1cncc1CN[C@H]1CCC[C@H](F)C1 ZINC000580892931 367199022 /nfs/dbraw/zinc/19/90/22/367199022.db2.gz PAOJDEOZHGVFDB-RYUDHWBXSA-N 0 3 239.338 2.834 20 0 BFADHN C=Cn1cc(CN(C2CC2)C2CCCC2)cn1 ZINC000193684282 367204865 /nfs/dbraw/zinc/20/48/65/367204865.db2.gz FBYLMFVXUCNXNU-UHFFFAOYSA-N 0 3 231.343 2.891 20 0 BFADHN C=Cn1cc(CN2CCC[C@@H](C)[C@H]2C)cn1 ZINC000193830887 367216661 /nfs/dbraw/zinc/21/66/61/367216661.db2.gz HUVMJSOTMLWFJX-VXGBXAGGSA-N 0 3 219.332 2.604 20 0 BFADHN COC[C@H](C)N(C)C/C=C/c1ccccc1 ZINC000193899362 367225396 /nfs/dbraw/zinc/22/53/96/367225396.db2.gz RSXUAPJXICHZFN-RSPDNQDQSA-N 0 3 219.328 2.667 20 0 BFADHN COC[C@@H](C)N(C)Cc1cc(C)cc(C)c1 ZINC000193898613 367225518 /nfs/dbraw/zinc/22/55/18/367225518.db2.gz VGPHZSSJGYCRPF-CYBMUJFWSA-N 0 3 221.344 2.770 20 0 BFADHN COCC1(N[C@H](C)c2sc(C)nc2C)CC1 ZINC000381342397 367384074 /nfs/dbraw/zinc/38/40/74/367384074.db2.gz XWBOTPUEFVGYOK-SECBINFHSA-N 0 3 240.372 2.590 20 0 BFADHN CCc1nc(C)c(CNC2(C3CC3)CC2)o1 ZINC000381345958 367384358 /nfs/dbraw/zinc/38/43/58/367384358.db2.gz YZNJAOFTUIRHSD-UHFFFAOYSA-N 0 3 220.316 2.578 20 0 BFADHN COCC1(N[C@@H](C)c2sc(C)nc2C)CC1 ZINC000381342398 367384532 /nfs/dbraw/zinc/38/45/32/367384532.db2.gz XWBOTPUEFVGYOK-VIFPVBQESA-N 0 3 240.372 2.590 20 0 BFADHN COCC1(N[C@H](C)c2ccccc2C)CC1 ZINC000381447086 367406444 /nfs/dbraw/zinc/40/64/44/367406444.db2.gz GEBUKRGTZVRRJR-GFCCVEGCSA-N 0 3 219.328 2.825 20 0 BFADHN CO[C@@H](C)CN[C@@H]1CCc2c1cccc2Cl ZINC000381456574 367407790 /nfs/dbraw/zinc/40/77/90/367407790.db2.gz JPXIPWWIHYWVKD-TVQRCGJNSA-N 0 3 239.746 2.952 20 0 BFADHN Cc1cc(CNCCOC(F)(F)F)cs1 ZINC000381176843 367358587 /nfs/dbraw/zinc/35/85/87/367358587.db2.gz QJNMBRJMROYDNO-UHFFFAOYSA-N 0 3 239.262 2.683 20 0 BFADHN CC[C@H](N[C@@H]1CCOC1)c1ccsc1 ZINC000382108630 367487394 /nfs/dbraw/zinc/48/73/94/367487394.db2.gz KJDQEICGHREVPL-MNOVXSKESA-N 0 3 211.330 2.578 20 0 BFADHN Cc1csc(CNC[C@H]2CCCC2(F)F)n1 ZINC000381760270 367455548 /nfs/dbraw/zinc/45/55/48/367455548.db2.gz XAKVJUASZGMURS-SECBINFHSA-N 0 3 246.326 2.977 20 0 BFADHN CN(Cc1cc(Cl)cn1C)CC1(C)CC1 ZINC000581524618 367547046 /nfs/dbraw/zinc/54/70/46/367547046.db2.gz BZVXOVYAKWKUKF-UHFFFAOYSA-N 0 3 226.751 2.910 20 0 BFADHN CC(C)[C@H]1N(CC2(F)CC2)CC12CCC2 ZINC000581525237 367548683 /nfs/dbraw/zinc/54/86/83/367548683.db2.gz DXFNMGBNZWKNDW-LLVKDONJSA-N 0 3 211.324 2.999 20 0 BFADHN CC[C@H](N[C@H]1CCn2ccnc21)C1CCCC1 ZINC000382767457 367555254 /nfs/dbraw/zinc/55/52/54/367555254.db2.gz HRYNKPIJSOOBCU-STQMWFEESA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H]1C(F)F)c1ccccc1 ZINC000382214347 367499430 /nfs/dbraw/zinc/49/94/30/367499430.db2.gz HQXHUFVYUKCCRX-MIMYLULJSA-N 0 3 211.255 2.991 20 0 BFADHN Cc1cnc(CNCC2CC(C)(C)C2)s1 ZINC000581469056 367500050 /nfs/dbraw/zinc/50/00/50/367500050.db2.gz IGJLNGNUFCAYIU-UHFFFAOYSA-N 0 3 224.373 2.977 20 0 BFADHN C[C@H]1C[C@@H](NCCCC2CC2)c2nccn21 ZINC000382290094 367503982 /nfs/dbraw/zinc/50/39/82/367503982.db2.gz QPHSPTRSTFAEHH-CMPLNLGQSA-N 0 3 219.332 2.669 20 0 BFADHN Cc1cnc([C@@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000382390542 367513730 /nfs/dbraw/zinc/51/37/30/367513730.db2.gz MCNFWCSUVPGLPB-WISYIIOYSA-N 0 3 220.316 2.822 20 0 BFADHN CC(C)(C)OCCN1CC[C@@H](c2cccnc2)C1 ZINC000581486681 367517188 /nfs/dbraw/zinc/51/71/88/367517188.db2.gz XIKGQYKYKHOGHX-CQSZACIVSA-N 0 3 248.370 2.686 20 0 BFADHN CO[C@@](C)(CNCc1ccco1)c1ccccc1 ZINC000384340314 367685227 /nfs/dbraw/zinc/68/52/27/367685227.db2.gz XFKRAAJFFVRYNE-HNNXBMFYSA-N 0 3 245.322 2.931 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@@H](C)c1nccn1C ZINC000384514800 367695046 /nfs/dbraw/zinc/69/50/46/367695046.db2.gz IHDMGJHXXLWOBV-MNOVXSKESA-N 0 3 223.364 2.895 20 0 BFADHN C/C=C/CNCc1cccc2c1OCCCO2 ZINC000384737399 367723110 /nfs/dbraw/zinc/72/31/10/367723110.db2.gz LBMCPXDMSFOITN-NSCUHMNNSA-N 0 3 233.311 2.514 20 0 BFADHN COc1ccc([C@H](C)NCCSC)c(F)c1 ZINC000068994093 370870377 /nfs/dbraw/zinc/87/03/77/370870377.db2.gz WSQVEVRONSTNCL-VIFPVBQESA-N 0 3 243.347 2.848 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H](C)O[C@H]2C)cc1F ZINC000384885429 367751039 /nfs/dbraw/zinc/75/10/39/367751039.db2.gz JNNSQIZSYGLBGY-COPLHBTASA-N 0 3 237.318 2.790 20 0 BFADHN Cc1cccc(CNC[C@H]2C[C@@H]2C)c1F ZINC000384625317 367708893 /nfs/dbraw/zinc/70/88/93/367708893.db2.gz JEDKORJSXLRESD-CMPLNLGQSA-N 0 3 207.292 2.880 20 0 BFADHN CCCC[C@H](C(=O)OC)N(CC)CCC1CC1 ZINC000385242961 367811863 /nfs/dbraw/zinc/81/18/63/367811863.db2.gz FWAUSEFNZHIAMO-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN CCC1(CN[C@@H](C)c2nc(C)cs2)COC1 ZINC000623996004 370884208 /nfs/dbraw/zinc/88/42/08/370884208.db2.gz PPMHHQPBMKZGDN-JTQLQIEISA-N 0 3 240.372 2.529 20 0 BFADHN Cc1ccc(F)c(CN[C@@H](C)CC(C)(C)O)c1 ZINC000385097346 367789931 /nfs/dbraw/zinc/78/99/31/367789931.db2.gz ZCRLAEFOVIROBN-NSHDSACASA-N 0 3 239.334 2.773 20 0 BFADHN C[C@H](CC(C)(C)O)N[C@H]1CCCc2occc21 ZINC000385224684 367810095 /nfs/dbraw/zinc/81/00/95/367810095.db2.gz SDTSUHHHOFAMBV-PWSUYJOCSA-N 0 3 237.343 2.796 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H](C)c1nccn1C ZINC000385779374 367864508 /nfs/dbraw/zinc/86/45/08/367864508.db2.gz BYDDGEBPQIMNRM-QJPTWQEYSA-N 0 3 221.348 2.505 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H]2CC(C)C)cc1O ZINC000385876105 367874289 /nfs/dbraw/zinc/87/42/89/367874289.db2.gz WAMHQNITPWKCQN-CHWSQXEVSA-N 0 3 249.354 2.925 20 0 BFADHN C1=C(CN2CCOC[C@@H]2C2CCC2)CCCC1 ZINC000581822816 367831576 /nfs/dbraw/zinc/83/15/76/367831576.db2.gz QLQTVRCSXMBGPE-OAHLLOKOSA-N 0 3 235.371 2.988 20 0 BFADHN CC(C)n1ccnc1CN[C@@H]1CCC1(C)C ZINC000581832343 367836590 /nfs/dbraw/zinc/83/65/90/367836590.db2.gz FWQWFYVFMZPXDC-LLVKDONJSA-N 0 3 221.348 2.742 20 0 BFADHN c1cc2c(cc1OCC1CCCC1)OCCNC2 ZINC000385536869 367841972 /nfs/dbraw/zinc/84/19/72/367841972.db2.gz LGIGBGAGPNXWPP-UHFFFAOYSA-N 0 3 247.338 2.738 20 0 BFADHN Clc1ccsc1CNCCCN1CCC1 ZINC000581893853 367884476 /nfs/dbraw/zinc/88/44/76/367884476.db2.gz BVSCSUWJMYXPIQ-UHFFFAOYSA-N 0 3 244.791 2.587 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)[C@H]1C)C(=O)Nc1ccccc1 ZINC000385952200 367889783 /nfs/dbraw/zinc/88/97/83/367889783.db2.gz MXIFKMCNYDZHKH-KZVDOYCCSA-N 0 3 246.354 2.648 20 0 BFADHN C[C@@H](CCC(C)(C)C)NCc1cnccn1 ZINC000386260624 367941372 /nfs/dbraw/zinc/94/13/72/367941372.db2.gz MQVLQTOJRXLPAQ-NSHDSACASA-N 0 3 221.348 2.781 20 0 BFADHN CC[C@@H](NCc1sccc1Cl)[C@@H](C)O ZINC000386910357 368034269 /nfs/dbraw/zinc/03/42/69/368034269.db2.gz PXXCLNWANVRGAG-VXNVDRBHSA-N 0 3 233.764 2.651 20 0 BFADHN c1cnc(CNCCCCC2CCCC2)cn1 ZINC000581988781 368053545 /nfs/dbraw/zinc/05/35/45/368053545.db2.gz MRUCTDWAAFXZCZ-UHFFFAOYSA-N 0 3 233.359 2.927 20 0 BFADHN CC[C@H](N[C@H](C)c1ccccc1F)[C@H](C)O ZINC000386736055 368014557 /nfs/dbraw/zinc/01/45/57/368014557.db2.gz WUMXNJIBWZPWRW-NRUUGDAUSA-N 0 3 225.307 2.636 20 0 BFADHN CC[C@H](NCc1cc(Cl)ccc1F)[C@@H](C)O ZINC000386831504 368025568 /nfs/dbraw/zinc/02/55/68/368025568.db2.gz VXYUDURUZMZEJB-PELKAZGASA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@H](NCc1ccc(Cl)cc1F)[C@H](C)O ZINC000386837307 368027403 /nfs/dbraw/zinc/02/74/03/368027403.db2.gz WZBVFPGRYSSPSA-UFBFGSQYSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@@H](CN(C)Cc1ccc(CO)o1)C(C)(C)C ZINC000399487289 368168710 /nfs/dbraw/zinc/16/87/10/368168710.db2.gz FQFYEIIPERWXRA-NSHDSACASA-N 0 3 239.359 2.886 20 0 BFADHN CN[C@@H](CC(C)(C)C)C(=O)Nc1ccsc1 ZINC000387420419 368176715 /nfs/dbraw/zinc/17/67/15/368176715.db2.gz FYPVHANLSRFXAW-JTQLQIEISA-N 0 3 240.372 2.711 20 0 BFADHN COCc1ccc(CN[C@H]2CC23CCCC3)o1 ZINC000387276980 368140083 /nfs/dbraw/zinc/14/00/83/368140083.db2.gz MQGCWWSDVPFENN-ZDUSSCGKSA-N 0 3 235.327 2.848 20 0 BFADHN CC(C)C(=O)CCN(C)Cc1ccccc1 ZINC000039269159 368274247 /nfs/dbraw/zinc/27/42/47/368274247.db2.gz VCNFIRHBHKMUJP-UHFFFAOYSA-N 0 3 219.328 2.734 20 0 BFADHN CCN(CC)CC(=O)NC(C)(C)CC(C)(C)C ZINC000033638478 368322240 /nfs/dbraw/zinc/32/22/40/368322240.db2.gz UPXOZUQTOHSCEZ-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN CCc1ccc(CN(CCOC)C2CC2)cc1 ZINC000042146741 368299320 /nfs/dbraw/zinc/29/93/20/368299320.db2.gz NFMYMJVAOXFAMF-UHFFFAOYSA-N 0 3 233.355 2.860 20 0 BFADHN CC1=CC[C@H](N[C@@H](C)c2ccon2)CC1 ZINC000566518408 326061399 /nfs/dbraw/zinc/06/13/99/326061399.db2.gz AGLGEHNUIXSSPB-QWRGUYRKSA-N 0 3 206.289 2.824 20 0 BFADHN Fc1cccc(F)c1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000566572466 326065844 /nfs/dbraw/zinc/06/58/44/326065844.db2.gz PBDXXHPOAAWTDC-YFKFIEJBSA-N 0 3 223.266 2.853 20 0 BFADHN CCCCN(C)[C@@H](C)C(=O)NC1CCCCC1 ZINC000057569863 368494856 /nfs/dbraw/zinc/49/48/56/368494856.db2.gz GWFYPNNBABRKNP-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CC(C)CN(C)Cc1cccc2c1OCCO2 ZINC000058932059 368525989 /nfs/dbraw/zinc/52/59/89/368525989.db2.gz BLFFZFBAFYGNRV-UHFFFAOYSA-N 0 3 235.327 2.546 20 0 BFADHN CCC[C@H](C)N(C)Cc1cn2ccccc2n1 ZINC000058517900 368513459 /nfs/dbraw/zinc/51/34/59/368513459.db2.gz RZPPGKFTYGSQFC-LBPRGKRZSA-N 0 3 231.343 2.955 20 0 BFADHN CCOCCN(CC)Cc1cccc(C)c1 ZINC000058563055 368514589 /nfs/dbraw/zinc/51/45/89/368514589.db2.gz QPSZBANYCUKCGC-UHFFFAOYSA-N 0 3 221.344 2.853 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000060575169 368547034 /nfs/dbraw/zinc/54/70/34/368547034.db2.gz HVXOFESXZMJKGP-GFCCVEGCSA-N 0 3 234.343 2.664 20 0 BFADHN CCOC[C@@H](C)NCc1csc(C)c1C ZINC000399765889 368563491 /nfs/dbraw/zinc/56/34/91/368563491.db2.gz IOIRNRUBLHSTSD-SECBINFHSA-N 0 3 227.373 2.880 20 0 BFADHN COc1ccc(C)cc1CN1CCCC1 ZINC000061757027 368568904 /nfs/dbraw/zinc/56/89/04/368568904.db2.gz FPBZZUMPNHNIIZ-UHFFFAOYSA-N 0 3 205.301 2.599 20 0 BFADHN CN(Cc1cccc(Cl)c1)C[C@H]1CCCO1 ZINC000061778637 368570587 /nfs/dbraw/zinc/57/05/87/368570587.db2.gz NTXVZDCUZLKDDM-CYBMUJFWSA-N 0 3 239.746 2.951 20 0 BFADHN Cc1nccn1CCNCc1csc(C)c1C ZINC000399834011 368611371 /nfs/dbraw/zinc/61/13/71/368611371.db2.gz FFEPVTUMXDUKKY-UHFFFAOYSA-N 0 3 249.383 2.660 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1csc(C)c1C ZINC000399870229 368643474 /nfs/dbraw/zinc/64/34/74/368643474.db2.gz TYOKZUREDYBFKS-NXEZZACHSA-N 0 3 227.373 2.878 20 0 BFADHN Cc1cnc(CN2[C@@H](C)CCC[C@@H]2C)cn1 ZINC000066531795 368652921 /nfs/dbraw/zinc/65/29/21/368652921.db2.gz LEYMZPFQNZMGFN-RYUDHWBXSA-N 0 3 219.332 2.548 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2cnccn2)C1 ZINC000082847497 368718107 /nfs/dbraw/zinc/71/81/07/368718107.db2.gz LZIISYYLJRDWFU-NWDGAFQWSA-N 0 3 219.332 2.535 20 0 BFADHN CC(C)c1ccc(CN(C)CCCO)cc1 ZINC000067128435 368680064 /nfs/dbraw/zinc/68/00/64/368680064.db2.gz SMTXSVWEGGLOHH-UHFFFAOYSA-N 0 3 221.344 2.624 20 0 BFADHN C(C1CCCCC1)N1CCOC2(CCC2)C1 ZINC000154198647 326134847 /nfs/dbraw/zinc/13/48/47/326134847.db2.gz SWCOONPWUGKOBJ-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CC[C@@]1(C)C[C@H]1C(=O)Nc1cc(C)cc(CN)c1 ZINC000457384876 203051212 /nfs/dbraw/zinc/05/12/12/203051212.db2.gz UXHYLJJRXAPADG-ZFWWWQNUSA-N 0 3 246.354 2.828 20 0 BFADHN CCc1ccc(CC)c(NC(=O)[C@@H](N)C(C)C)c1 ZINC000457369180 203046186 /nfs/dbraw/zinc/04/61/86/203046186.db2.gz BWEHJQXEGORVBI-AWEZNQCLSA-N 0 3 248.370 2.733 20 0 BFADHN Cc1nc(CCN2CC[C@@H](C)[C@H](C)C2)cs1 ZINC000154409525 326143065 /nfs/dbraw/zinc/14/30/65/326143065.db2.gz NDLJTXCKPOWHSE-GHMZBOCLSA-N 0 3 238.400 2.972 20 0 BFADHN CC[C@]1(C)C[C@@H]1C(=O)Nc1cc(C)cc(CN)c1 ZINC000457384875 203050452 /nfs/dbraw/zinc/05/04/52/203050452.db2.gz UXHYLJJRXAPADG-UKRRQHHQSA-N 0 3 246.354 2.828 20 0 BFADHN Cc1ccc(CNCC2(CCO)CCCC2)o1 ZINC000072925734 368785392 /nfs/dbraw/zinc/78/53/92/368785392.db2.gz BVFLBOQKXVSSJK-UHFFFAOYSA-N 0 3 237.343 2.620 20 0 BFADHN CCN(CC)Cc1cc2c(c(Cl)c1)OCO2 ZINC000073020855 368790958 /nfs/dbraw/zinc/79/09/58/368790958.db2.gz WRENBKBBNNSGRW-UHFFFAOYSA-N 0 3 241.718 2.911 20 0 BFADHN Cc1scc(CN[C@@H]2COCC2(C)C)c1C ZINC000399888991 368906759 /nfs/dbraw/zinc/90/67/59/368906759.db2.gz NRSUXOMPEIALQT-GFCCVEGCSA-N 0 3 239.384 2.880 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2ccsc2)n(C)n1 ZINC000089183187 368938354 /nfs/dbraw/zinc/93/83/54/368938354.db2.gz OOCGVIRVFPDGPR-JTQLQIEISA-N 0 3 249.383 2.511 20 0 BFADHN CO[C@H]1C[C@H](NCc2cc(F)cc(Cl)c2)C1 ZINC000623808474 368941204 /nfs/dbraw/zinc/94/12/04/368941204.db2.gz SWHPYYPJDBLSPX-HAQNSBGRSA-N 0 3 243.709 2.746 20 0 BFADHN COc1ccc(CN2[C@H](C)CC[C@H]2C)cc1O ZINC000091937578 369023062 /nfs/dbraw/zinc/02/30/62/369023062.db2.gz VEUZXIKKCSOCKU-GHMZBOCLSA-N 0 3 235.327 2.774 20 0 BFADHN CC[C@H]1CCC[C@H](CNCc2ccno2)C1 ZINC000310022720 326180040 /nfs/dbraw/zinc/18/00/40/326180040.db2.gz OCTGYZZNVDLMMH-RYUDHWBXSA-N 0 3 222.332 2.981 20 0 BFADHN CC1(CN2CC(C)(C)OC(C)(C)C2)CC1 ZINC000567424913 326188000 /nfs/dbraw/zinc/18/80/00/326188000.db2.gz FEZAKTBSEFKDDJ-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1cc(NC(=O)C2(C)CC2)ccc1CN(C)C ZINC000458289423 203154546 /nfs/dbraw/zinc/15/45/46/203154546.db2.gz QXLJZIQZTXIWGB-UHFFFAOYSA-N 0 3 246.354 2.795 20 0 BFADHN C[C@@H]1CN(CC2CCC(F)(F)CC2)[C@@H](C)CO1 ZINC000459282265 203248024 /nfs/dbraw/zinc/24/80/24/203248024.db2.gz DHHACAQMFXPAFC-WDEREUQCSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1CCCCCN1CCn1cc(Cl)cn1 ZINC000459385640 203272130 /nfs/dbraw/zinc/27/21/30/203272130.db2.gz ZERPULHJSPYVNV-LLVKDONJSA-N 0 3 241.766 2.801 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1cc(C)on1 ZINC000459411523 203275782 /nfs/dbraw/zinc/27/57/82/203275782.db2.gz DOGQWNWZMJLRQO-UWVGGRQHSA-N 0 3 210.321 2.897 20 0 BFADHN C[C@H]1CCCN(CCn2cc(Cl)cn2)CC1 ZINC000459318735 203256485 /nfs/dbraw/zinc/25/64/85/203256485.db2.gz CYMYNOONCGYMIT-NSHDSACASA-N 0 3 241.766 2.659 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc(C)cn2)C1 ZINC000156772516 326241898 /nfs/dbraw/zinc/24/18/98/326241898.db2.gz DEMITDXNZZHFCV-GFCCVEGCSA-N 0 3 204.317 2.622 20 0 BFADHN CC[C@H]1CCN(Cc2cnc(C3CC3)nc2)C1 ZINC000459340390 203262167 /nfs/dbraw/zinc/26/21/67/203262167.db2.gz DBMBXQLLUFBFLI-NSHDSACASA-N 0 3 231.343 2.586 20 0 BFADHN CC[C@H]1CC[C@H](C)N1CCn1cc(Cl)cn1 ZINC000459483334 203289173 /nfs/dbraw/zinc/28/91/73/203289173.db2.gz YZVKSLATLJGMEH-JQWIXIFHSA-N 0 3 241.766 2.799 20 0 BFADHN C[C@H]1CN(CCOCC2CCCC2)[C@H]1C ZINC000459484225 203289335 /nfs/dbraw/zinc/28/93/35/203289335.db2.gz GWZUFEPLBZGNDI-RYUDHWBXSA-N 0 3 211.349 2.533 20 0 BFADHN CCOCCN1Cc2sccc2CC1(C)C ZINC000459574931 203305829 /nfs/dbraw/zinc/30/58/29/203305829.db2.gz DKWHOSZVOLYGQT-UHFFFAOYSA-N 0 3 239.384 2.921 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC2(C)C)no1 ZINC000459583183 203306835 /nfs/dbraw/zinc/30/68/35/203306835.db2.gz FNOUAXAYJFKXON-NSHDSACASA-N 0 3 222.332 2.899 20 0 BFADHN Cn1cccc1CN1CC(C2CCCC2)C1 ZINC000459604183 203313595 /nfs/dbraw/zinc/31/35/95/203313595.db2.gz BRDDVVSIBLUNFI-UHFFFAOYSA-N 0 3 218.344 2.647 20 0 BFADHN Cc1ccc(CN2CC3(C2)CCCOC3)cc1C ZINC000459602660 203313635 /nfs/dbraw/zinc/31/36/35/203313635.db2.gz FXTNVYIMJVAWNR-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(C3CC3)cc2)C[C@@H]1O ZINC000459555022 203299919 /nfs/dbraw/zinc/29/99/19/203299919.db2.gz FEJGDKFUZAVFAO-WBMJQRKESA-N 0 3 245.366 2.767 20 0 BFADHN CCn1ccnc1[C@@H](C)NC1CC2(CCC2)C1 ZINC000459567429 203302813 /nfs/dbraw/zinc/30/28/13/203302813.db2.gz IIFXNIBMPCUHML-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1ccccc1F ZINC000401886764 369374554 /nfs/dbraw/zinc/37/45/54/369374554.db2.gz QBRXXXVMHNPYHD-OUAUKWLOSA-N 0 3 223.291 2.654 20 0 BFADHN Cc1ncc(CN[C@H]2CCC[C@H]2C(C)C)o1 ZINC000459673094 203332068 /nfs/dbraw/zinc/33/20/68/203332068.db2.gz IPJRPSLTNLONBF-STQMWFEESA-N 0 3 222.332 2.897 20 0 BFADHN CC1(CO)CN(CC2CCC(C)(C)CC2)C1 ZINC000459618379 203318413 /nfs/dbraw/zinc/31/84/13/203318413.db2.gz FGIPYCTXNZPYPE-UHFFFAOYSA-N 0 3 225.376 2.517 20 0 BFADHN Cc1cc(CN[C@]2(C)CCCC[C@H]2C)nn1C ZINC000459619650 203318667 /nfs/dbraw/zinc/31/86/67/203318667.db2.gz UREQBFODQZYMGC-BXUZGUMPSA-N 0 3 235.375 2.787 20 0 BFADHN CCC[C@@H](CN1CC(C)(CO)C1)c1ccccc1 ZINC000459619328 203319021 /nfs/dbraw/zinc/31/90/21/203319021.db2.gz HYEDTWRPPIRVHQ-HNNXBMFYSA-N 0 3 247.382 2.885 20 0 BFADHN COCC1(C)CN(Cc2cc(C)cc(C)c2)C1 ZINC000459618095 203319997 /nfs/dbraw/zinc/31/99/97/203319997.db2.gz BPDAWWBMCNKSJJ-UHFFFAOYSA-N 0 3 233.355 2.772 20 0 BFADHN CC(C)CN1CC(COc2ccccc2)C1 ZINC000459629503 203324767 /nfs/dbraw/zinc/32/47/67/203324767.db2.gz GPTUTYONDAPECE-UHFFFAOYSA-N 0 3 219.328 2.653 20 0 BFADHN CC1(CC(F)(F)F)CN(CC2CC2)C1 ZINC000459632193 203325106 /nfs/dbraw/zinc/32/51/06/203325106.db2.gz DYSIKBKVRSPTCS-UHFFFAOYSA-N 0 3 207.239 2.671 20 0 BFADHN C[C@H]1C[C@H]1CN1CC(C)(CC(F)(F)F)C1 ZINC000459635550 203326843 /nfs/dbraw/zinc/32/68/43/203326843.db2.gz JGNLNBWCKNNDEV-IUCAKERBSA-N 0 3 221.266 2.917 20 0 BFADHN Cc1cccnc1CCN[C@@H](C)c1ccoc1 ZINC000404891859 369438277 /nfs/dbraw/zinc/43/82/77/369438277.db2.gz XFZPQJYYVZJGOU-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1occc1C ZINC000459674827 203336067 /nfs/dbraw/zinc/33/60/67/203336067.db2.gz LJVDERXMZIJGOX-CYBMUJFWSA-N 0 3 237.343 2.979 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H](C)c1cc(C)ccn1 ZINC000459737128 203347081 /nfs/dbraw/zinc/34/70/81/203347081.db2.gz HIBGMOKSOBRBIJ-SCRDCRAPSA-N 0 3 234.343 2.608 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1ccc(F)cc1F ZINC000459740903 203349278 /nfs/dbraw/zinc/34/92/78/203349278.db2.gz MXVROPHTCDHYIP-OLZOCXBDSA-N 0 3 241.281 2.622 20 0 BFADHN CCc1nocc1CN[C@@H]1C[C@H]1CC(C)C ZINC000459661463 203333157 /nfs/dbraw/zinc/33/31/57/203333157.db2.gz XOQGXTWBOVAGJU-ZWNOBZJWSA-N 0 3 222.332 2.761 20 0 BFADHN C[C@@H](NC1(C2CCC2)CCC1)c1cnccn1 ZINC000459754053 203355200 /nfs/dbraw/zinc/35/52/00/203355200.db2.gz LCWVSDDJVIMWOY-LLVKDONJSA-N 0 3 231.343 2.850 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@H](C)c1ccn(C)n1 ZINC000459750859 203356427 /nfs/dbraw/zinc/35/64/27/203356427.db2.gz HRYWRWJOFZONFO-GHMZBOCLSA-N 0 3 223.364 2.895 20 0 BFADHN C[C@@H](Cc1cccs1)N[C@@H](C)c1ccn(C)n1 ZINC000459761660 203357900 /nfs/dbraw/zinc/35/79/00/203357900.db2.gz SVQUZDYDIMJALS-QWRGUYRKSA-N 0 3 249.383 2.763 20 0 BFADHN Cc1c[nH]c(CNCC[C@H]2CC=CCC2)n1 ZINC000623698527 369505405 /nfs/dbraw/zinc/50/54/05/369505405.db2.gz LDCOVUOFICNQCQ-LBPRGKRZSA-N 0 3 219.332 2.554 20 0 BFADHN c1csc(CNCC[C@H]2CC=CCC2)n1 ZINC000623698642 369505481 /nfs/dbraw/zinc/50/54/81/369505481.db2.gz QRSQNGAQHVZZQA-NSHDSACASA-N 0 3 222.357 2.979 20 0 BFADHN COc1cncc(CNCc2ccccc2)c1C ZINC000631032854 370974833 /nfs/dbraw/zinc/97/48/33/370974833.db2.gz NZUJNEVHSJQRSC-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN C[C@H](Cc1cccc(F)c1)N(C)Cc1c[nH]cn1 ZINC000459763654 203360168 /nfs/dbraw/zinc/36/01/68/203360168.db2.gz USRONKSIYPWDHD-LLVKDONJSA-N 0 3 247.317 2.612 20 0 BFADHN C[C@H](Cc1cccc(F)c1)N(C)Cc1cnc[nH]1 ZINC000459763654 203360172 /nfs/dbraw/zinc/36/01/72/203360172.db2.gz USRONKSIYPWDHD-LLVKDONJSA-N 0 3 247.317 2.612 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H](C)C1)c1ccn(C)n1 ZINC000459764784 203361345 /nfs/dbraw/zinc/36/13/45/203361345.db2.gz VIYRBWXHOUVNCO-WOPDTQHZSA-N 0 3 221.348 2.649 20 0 BFADHN CCOC1CCN(Cc2ccccc2)CC1 ZINC000060493863 369487888 /nfs/dbraw/zinc/48/78/88/369487888.db2.gz WCHVSLRYDDHIBN-UHFFFAOYSA-N 0 3 219.328 2.688 20 0 BFADHN CC(C)=CCN(C)C[C@H](C)C(=O)OC(C)(C)C ZINC000459776168 203365311 /nfs/dbraw/zinc/36/53/11/203365311.db2.gz REWCGGNGUSIQOK-LBPRGKRZSA-N 0 3 241.375 2.862 20 0 BFADHN Cc1oncc1CNC1(C2CCC2)CCC1 ZINC000459776996 203366127 /nfs/dbraw/zinc/36/61/27/203366127.db2.gz RHDWZVUZCCXVHZ-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN C[C@H](NC[C@H]1CCC(F)(F)C1)c1ccn(C)n1 ZINC000459776262 203366197 /nfs/dbraw/zinc/36/61/97/203366197.db2.gz DFUGHKAXKRLHBC-UWVGGRQHSA-N 0 3 243.301 2.506 20 0 BFADHN Cc1nocc1CNC1(C2CCC2)CCC1 ZINC000459743056 203352001 /nfs/dbraw/zinc/35/20/01/203352001.db2.gz LDZQQKVGMQGHJB-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN CC(C)C[C@H](C)N[C@@H](C)c1ccn(C)n1 ZINC000459743041 203352223 /nfs/dbraw/zinc/35/22/23/203352223.db2.gz GPHFSZLZWFVAQA-QWRGUYRKSA-N 0 3 209.337 2.505 20 0 BFADHN Cc1ccc(NC(=O)[C@H]2C[C@H]2C)c(CN(C)C)c1 ZINC000072841394 369496264 /nfs/dbraw/zinc/49/62/64/369496264.db2.gz MYSOEYWHXNTGJO-YPMHNXCESA-N 0 3 246.354 2.651 20 0 BFADHN CCCCCNCc1cnc(CCOC)s1 ZINC000631031847 370968534 /nfs/dbraw/zinc/96/85/34/370968534.db2.gz KWIKFQTVCXVKGZ-UHFFFAOYSA-N 0 3 242.388 2.612 20 0 BFADHN C[C@H](NCCCc1ccsc1)c1ccn(C)n1 ZINC000459798699 203374399 /nfs/dbraw/zinc/37/43/99/203374399.db2.gz ULSIDEVQCCHQJW-NSHDSACASA-N 0 3 249.383 2.765 20 0 BFADHN CCC[C@H](NCc1nccc(OC)n1)C1CCC1 ZINC000459815951 203376262 /nfs/dbraw/zinc/37/62/62/203376262.db2.gz YXOIRAFXYVAUMB-LBPRGKRZSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@@H](NCCC1CCCC1)c1ccn(C)n1 ZINC000459788573 203369961 /nfs/dbraw/zinc/36/99/61/203369961.db2.gz LSQMUXCITAORFK-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN COC(=O)CN(C[C@H](C)C(C)(C)C)C(C)C ZINC000619843827 369615989 /nfs/dbraw/zinc/61/59/89/369615989.db2.gz OLUGOTRGOIIRGU-NSHDSACASA-N 0 3 229.364 2.552 20 0 BFADHN CC(C)OCCN1CCC[C@H]1c1ccc(O)cc1 ZINC000619968254 369644986 /nfs/dbraw/zinc/64/49/86/369644986.db2.gz BXKQRAHTHBIKSM-HNNXBMFYSA-N 0 3 249.354 2.954 20 0 BFADHN CC(C)Cn1cc(CN(C)C(C)(C)C)cn1 ZINC000568090087 326307153 /nfs/dbraw/zinc/30/71/53/326307153.db2.gz IDKNQTJPGHFHBF-UHFFFAOYSA-N 0 3 223.364 2.769 20 0 BFADHN CN(CCNc1nccc2sccc21)C1CC1 ZINC000062010307 369910098 /nfs/dbraw/zinc/91/00/98/369910098.db2.gz PYEGZFLYVDJYAD-UHFFFAOYSA-N 0 3 247.367 2.802 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)C2)ccn1 ZINC000621245817 370179902 /nfs/dbraw/zinc/17/99/02/370179902.db2.gz YULXMSGZSHUTTG-LLVKDONJSA-N 0 3 204.317 2.622 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cncc(Cl)c1 ZINC000621245430 370179916 /nfs/dbraw/zinc/17/99/16/370179916.db2.gz DKRNLCYWAMLAQN-SECBINFHSA-N 0 3 224.735 2.965 20 0 BFADHN COCCN(Cc1ccnc(C)c1)C1CCCC1 ZINC000621250078 370184321 /nfs/dbraw/zinc/18/43/21/370184321.db2.gz NRHZJNAYKRJCDU-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CCCN(CCOC)Cc1ccc(OC)cc1 ZINC000621292447 370218638 /nfs/dbraw/zinc/21/86/38/370218638.db2.gz ABYGRXKKWNZZHP-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1cncc(Cl)c1 ZINC000621294834 370220662 /nfs/dbraw/zinc/22/06/62/370220662.db2.gz OXQHDYKFEPKGTI-KCJUWKMLSA-N 0 3 242.775 2.576 20 0 BFADHN CN(Cc1cncc(Cl)c1)C(C)(C)C ZINC000621312436 370237290 /nfs/dbraw/zinc/23/72/90/370237290.db2.gz VLBMTQPPHYNLLW-UHFFFAOYSA-N 0 3 212.724 2.965 20 0 BFADHN Cc1cc(CN2CCC23CCCC3)ccn1 ZINC000621312426 370237357 /nfs/dbraw/zinc/23/73/57/370237357.db2.gz VCUJOHQRMIQCGC-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN CC[C@H](NCc1ccnc(C)c1)[C@@H]1CCCCO1 ZINC000621313180 370238904 /nfs/dbraw/zinc/23/89/04/370238904.db2.gz XZXFOFYMYNKBAY-GJZGRUSLSA-N 0 3 248.370 2.827 20 0 BFADHN Clc1cncc(CN2CC[C@H]3C[C@H]3C2)c1 ZINC000621322421 370251029 /nfs/dbraw/zinc/25/10/29/370251029.db2.gz VQYYYHSQKJNZNI-QWRGUYRKSA-N 0 3 222.719 2.577 20 0 BFADHN Cc1cc(CN2CC[C@H](c3ccco3)C2)ccn1 ZINC000621257121 370189878 /nfs/dbraw/zinc/18/98/78/370189878.db2.gz IBGDWQYPZNJUPZ-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cncc(Cl)c2)C1 ZINC000621259295 370192563 /nfs/dbraw/zinc/19/25/63/370192563.db2.gz QYNHBYTYXVKGLK-UWVGGRQHSA-N 0 3 224.735 2.965 20 0 BFADHN Clc1cncc(CN2C[C@H]3CCC[C@@H]3C2)c1 ZINC000621262863 370194757 /nfs/dbraw/zinc/19/47/57/370194757.db2.gz SRMVYDISTJLLPZ-VXGBXAGGSA-N 0 3 236.746 2.967 20 0 BFADHN COc1ccc(F)c(CN[C@H](C)[C@@H]2C[C@H]2C)c1 ZINC000621376348 370317678 /nfs/dbraw/zinc/31/76/78/370317678.db2.gz ZLQIWXGGLNZMJH-GIPNMCIBSA-N 0 3 237.318 2.968 20 0 BFADHN COc1ccc(F)c(CN[C@H](C)[C@@H]2C[C@@H]2C)c1 ZINC000621376349 370317722 /nfs/dbraw/zinc/31/77/22/370317722.db2.gz ZLQIWXGGLNZMJH-OPQQBVKSSA-N 0 3 237.318 2.968 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1nccnc1C ZINC000621328059 370259863 /nfs/dbraw/zinc/25/98/63/370259863.db2.gz DXIXFSXVTUWJKI-STQMWFEESA-N 0 3 233.359 2.843 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1nccnc1C ZINC000621328056 370259868 /nfs/dbraw/zinc/25/98/68/370259868.db2.gz DXIXFSXVTUWJKI-CHWSQXEVSA-N 0 3 233.359 2.843 20 0 BFADHN CC(C)CCNCc1nn(C)c2ccccc12 ZINC000112337588 370284713 /nfs/dbraw/zinc/28/47/13/370284713.db2.gz DAUHMBKTYFKODE-UHFFFAOYSA-N 0 3 231.343 2.709 20 0 BFADHN CC[C@@H](NCc1nccnc1C)[C@@H]1CC1(C)C ZINC000621363296 370297995 /nfs/dbraw/zinc/29/79/95/370297995.db2.gz LUBPREUANZUIFP-NWDGAFQWSA-N 0 3 233.359 2.699 20 0 BFADHN CCCc1ccc(CN[C@@H](CO)CCF)s1 ZINC000621369938 370304689 /nfs/dbraw/zinc/30/46/89/370304689.db2.gz YZOWQNJUFDKTLF-SNVBAGLBSA-N 0 3 245.363 2.511 20 0 BFADHN CCOc1cncc(CNC2(C)CC=CC2)c1 ZINC000621369064 370305484 /nfs/dbraw/zinc/30/54/84/370305484.db2.gz FDLGLAPAOBGNPN-UHFFFAOYSA-N 0 3 232.327 2.679 20 0 BFADHN CCOc1cncc(CNCC2=CCCC2)c1 ZINC000621370688 370306490 /nfs/dbraw/zinc/30/64/90/370306490.db2.gz OOFFVDNHQASKRQ-UHFFFAOYSA-N 0 3 232.327 2.680 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1nn(C)c2ccccc12 ZINC000112840598 370360009 /nfs/dbraw/zinc/36/00/09/370360009.db2.gz XHJNSEJVZNKYMI-DGCLKSJQSA-N 0 3 243.354 2.852 20 0 BFADHN CCCC[C@H](C(=O)NC[C@@H](C)N(C)C)C(C)C ZINC000621539009 370383432 /nfs/dbraw/zinc/38/34/32/370383432.db2.gz CSOUYUDVCUNUAN-OLZOCXBDSA-N 0 3 242.407 2.515 20 0 BFADHN COCc1ccc(CNCC2CC(C)C2)o1 ZINC000621383551 370326983 /nfs/dbraw/zinc/32/69/83/370326983.db2.gz LSLWVBQNTLKASR-UHFFFAOYSA-N 0 3 223.316 2.562 20 0 BFADHN Cc1nc(C)c(CNCCSC(C)C)o1 ZINC000311749927 370428219 /nfs/dbraw/zinc/42/82/19/370428219.db2.gz IOPXZMOQMWFUDC-UHFFFAOYSA-N 0 3 228.361 2.523 20 0 BFADHN Cc1cc(C)cc([C@H](C)NCc2cn[nH]c2)c1 ZINC000113413060 370410342 /nfs/dbraw/zinc/41/03/42/370410342.db2.gz MATAZANWINFRRA-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN CC[C@H]1CCCCN1Cc1nccnc1C ZINC000621708810 370453289 /nfs/dbraw/zinc/45/32/89/370453289.db2.gz AQEKWHKOILNFCV-LBPRGKRZSA-N 0 3 219.332 2.550 20 0 BFADHN CCCc1ccc(CN(CC)CCO)s1 ZINC000621709163 370454938 /nfs/dbraw/zinc/45/49/38/370454938.db2.gz NIWJOCCVRFOAON-UHFFFAOYSA-N 0 3 227.373 2.515 20 0 BFADHN CCCCN(C)Cc1cncc(OCC)c1 ZINC000621709278 370454965 /nfs/dbraw/zinc/45/49/65/370454965.db2.gz QFYKHTKCQVAZGC-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CCC(CC)N(Cc1nccnc1C)C1CC1 ZINC000621711009 370455737 /nfs/dbraw/zinc/45/57/37/370455737.db2.gz AEQYKFHUPANFSO-UHFFFAOYSA-N 0 3 233.359 2.938 20 0 BFADHN Cc1nccnc1CN(C)[C@H](C)C(C)(C)C ZINC000621711800 370457796 /nfs/dbraw/zinc/45/77/96/370457796.db2.gz HWIABMKBZLVZOL-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1nccnc1CN(C)[C@@H]1CCCC[C@H]1C ZINC000621726385 370478346 /nfs/dbraw/zinc/47/83/46/370478346.db2.gz UVTULMGAMSUBNU-BXUZGUMPSA-N 0 3 233.359 2.796 20 0 BFADHN CCOc1cncc(CN2CCC[C@H]2C2CC2)c1 ZINC000621715518 370463149 /nfs/dbraw/zinc/46/31/49/370463149.db2.gz XHVLTCTVYFHAEY-HNNXBMFYSA-N 0 3 246.354 2.855 20 0 BFADHN CCCc1ccc(CN(C)CC[C@H](C)O)s1 ZINC000621717065 370465756 /nfs/dbraw/zinc/46/57/56/370465756.db2.gz VXKFLVDORPMOSL-NSHDSACASA-N 0 3 241.400 2.903 20 0 BFADHN CC[C@H]1CCCN(Cc2nccnc2C)CC1 ZINC000621717985 370466661 /nfs/dbraw/zinc/46/66/61/370466661.db2.gz VKWUSVIATUQMAS-ZDUSSCGKSA-N 0 3 233.359 2.797 20 0 BFADHN CCOc1cncc(CN(CC)C2CCC2)c1 ZINC000621717622 370468035 /nfs/dbraw/zinc/46/80/35/370468035.db2.gz JNLJVOCNGWCAMA-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCOc1cncc(CN2CC[C@@H](C)[C@@H]2C)c1 ZINC000621719493 370469889 /nfs/dbraw/zinc/46/98/89/370469889.db2.gz PAPHONYWHGEGGR-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1nccnc1CN1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000621722054 370474196 /nfs/dbraw/zinc/47/41/96/370474196.db2.gz JVNDKEIGVOEKOV-IUODEOHRSA-N 0 3 245.370 2.797 20 0 BFADHN c1ccc(CN2CC(CC3CC3)C2)cc1 ZINC000621741444 370500772 /nfs/dbraw/zinc/50/07/72/370500772.db2.gz RUNRTDCFCTZXLW-UHFFFAOYSA-N 0 3 201.313 2.919 20 0 BFADHN CC[C@H](NCC[C@H]1CC1(F)F)c1ccn(C)n1 ZINC000621767299 370508119 /nfs/dbraw/zinc/50/81/19/370508119.db2.gz BLRKWUTZDZQMQL-UWVGGRQHSA-N 0 3 243.301 2.506 20 0 BFADHN CCn1ccnc1[C@H](C)NCC[C@H]1CC1(F)F ZINC000621767546 370509615 /nfs/dbraw/zinc/50/96/15/370509615.db2.gz GEIUAPWQTMXESX-UWVGGRQHSA-N 0 3 243.301 2.599 20 0 BFADHN C[C@@H](NCC[C@@H]1CC1(F)F)c1cscn1 ZINC000621768218 370510172 /nfs/dbraw/zinc/51/01/72/370510172.db2.gz MBGCLZFTHLSLOH-HTQZYQBOSA-N 0 3 232.299 2.839 20 0 BFADHN CCC(CC)N(CC)Cc1nccnc1C ZINC000621728288 370480279 /nfs/dbraw/zinc/48/02/79/370480279.db2.gz JNSMIKCEUIJSGM-UHFFFAOYSA-N 0 3 221.348 2.796 20 0 BFADHN Cc1nccnc1CN1CC[C@@H](C2CCCC2)C1 ZINC000621731379 370484662 /nfs/dbraw/zinc/48/46/62/370484662.db2.gz LHEOSWIWGPMWLP-CQSZACIVSA-N 0 3 245.370 2.797 20 0 BFADHN CCOc1cncc(CN2CCC23CCCC3)c1 ZINC000621731976 370485749 /nfs/dbraw/zinc/48/57/49/370485749.db2.gz IOWWWVMDUSDRAX-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN COc1ccc(F)c(CN[C@@H]2C[C@@H](C)[C@H]2C)c1 ZINC000621733096 370488632 /nfs/dbraw/zinc/48/86/32/370488632.db2.gz AXDLTWNTQANZTI-GPCCPHFNSA-N 0 3 237.318 2.968 20 0 BFADHN C[C@H](NCC[C@H]1CC1(F)F)c1ccccn1 ZINC000621734824 370491732 /nfs/dbraw/zinc/49/17/32/370491732.db2.gz XHSCKURSGOFHFQ-UWVGGRQHSA-N 0 3 226.270 2.778 20 0 BFADHN c1cc(CN2CC(CC3CC3)C2)cc2c1OCC2 ZINC000621740197 370498378 /nfs/dbraw/zinc/49/83/78/370498378.db2.gz CTDYHHWEYBKHLG-UHFFFAOYSA-N 0 3 243.350 2.853 20 0 BFADHN CC[C@H](O)[C@H](CC)NCc1cccc(F)c1F ZINC000313140775 370569274 /nfs/dbraw/zinc/56/92/74/370569274.db2.gz HVJXITLPZMVAMD-RYUDHWBXSA-N 0 3 243.297 2.604 20 0 BFADHN C/C=C/CNCc1cn(C)nc1-c1ccc(C)o1 ZINC000623740506 371071715 /nfs/dbraw/zinc/07/17/15/371071715.db2.gz YOVPMJYTZXPSCZ-SNAWJCMRSA-N 0 3 245.326 2.654 20 0 BFADHN C/C=C\CNCc1ccc(COCCOC)cc1 ZINC000623739839 371074446 /nfs/dbraw/zinc/07/44/46/371074446.db2.gz HZMCMMDWUHUCDG-ARJAWSKDSA-N 0 3 249.354 2.515 20 0 BFADHN CC1(C)C[C@H]1NCc1ccc(C(F)F)nc1 ZINC000631049043 371088816 /nfs/dbraw/zinc/08/88/16/371088816.db2.gz RLYOJADVYRDRJS-SNVBAGLBSA-N 0 3 226.270 2.907 20 0 BFADHN CC1(C)C[C@@H]1NCc1ccc(C(F)F)nc1 ZINC000631049042 371089539 /nfs/dbraw/zinc/08/95/39/371089539.db2.gz RLYOJADVYRDRJS-JTQLQIEISA-N 0 3 226.270 2.907 20 0 BFADHN CC(=O)Nc1cccc(CN[C@@H]2CC2(C)C)c1 ZINC000631049531 371092460 /nfs/dbraw/zinc/09/24/60/371092460.db2.gz ZWDYLVZIGJWXOZ-CYBMUJFWSA-N 0 3 232.327 2.533 20 0 BFADHN COCCCCN[C@@H]1CCCc2scnc21 ZINC000623864317 371131580 /nfs/dbraw/zinc/13/15/80/371131580.db2.gz LWMIWVJSACRYAP-SNVBAGLBSA-N 0 3 240.372 2.537 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc(Cl)s2)C1 ZINC000623807217 371102358 /nfs/dbraw/zinc/10/23/58/371102358.db2.gz WWCLJCNDECJGSF-ZKCHVHJHSA-N 0 3 231.748 2.669 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccccc2Cl)C1 ZINC000623807124 371102385 /nfs/dbraw/zinc/10/23/85/371102385.db2.gz SWBADZVWUIMOOB-XYPYZODXSA-N 0 3 225.719 2.607 20 0 BFADHN Cc1csc([C@H](C)NC[C@@]2(C)CCOC2)n1 ZINC000623893662 371143939 /nfs/dbraw/zinc/14/39/39/371143939.db2.gz YJRSDKVKOKRBAV-CMPLNLGQSA-N 0 3 240.372 2.529 20 0 BFADHN C[C@@H]1CN(CCOCC2CCC2)C[C@H](C)S1 ZINC000568966849 326383930 /nfs/dbraw/zinc/38/39/30/326383930.db2.gz GKAXYUIYOHYPFS-TXEJJXNPSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCOC2)c(F)c1 ZINC000631050885 371109630 /nfs/dbraw/zinc/10/96/30/371109630.db2.gz HAKMRWMYPJYIFH-LBPRGKRZSA-N 0 3 237.318 2.650 20 0 BFADHN CCn1ccnc1CNC[C@H]1CCCC12CC2 ZINC000623824853 371112688 /nfs/dbraw/zinc/11/26/88/371112688.db2.gz QOPAJIVDTUCVHP-GFCCVEGCSA-N 0 3 233.359 2.573 20 0 BFADHN CCCCN[C@H]1CCCc2scnc21 ZINC000623849180 371123803 /nfs/dbraw/zinc/12/38/03/371123803.db2.gz YDSPLMPENQUOTR-VIFPVBQESA-N 0 3 210.346 2.910 20 0 BFADHN CCCCN[C@@H]1CCCc2scnc21 ZINC000623849179 371125055 /nfs/dbraw/zinc/12/50/55/371125055.db2.gz YDSPLMPENQUOTR-SECBINFHSA-N 0 3 210.346 2.910 20 0 BFADHN c1nc2c(s1)CCC[C@H]2NCCC1CC1 ZINC000623918303 371154169 /nfs/dbraw/zinc/15/41/69/371154169.db2.gz OAJXJDBSHRRCQD-SNVBAGLBSA-N 0 3 222.357 2.910 20 0 BFADHN Cc1ccc(CNCCN(C)C(C)C)c(F)c1 ZINC000631059118 371191459 /nfs/dbraw/zinc/19/14/59/371191459.db2.gz HODCWMKRGHJQGL-UHFFFAOYSA-N 0 3 238.350 2.564 20 0 BFADHN Cc1csc([C@@H](C)NC[C@H](C)CCCO)n1 ZINC000623992367 371226667 /nfs/dbraw/zinc/22/66/67/371226667.db2.gz LKTUZKWWZHGCGZ-MWLCHTKSSA-N 0 3 242.388 2.511 20 0 BFADHN C[C@@H](F)CCN[C@@H]1CCCc2scnc21 ZINC000623989686 371223290 /nfs/dbraw/zinc/22/32/90/371223290.db2.gz DSISZHLOHBEARS-RKDXNWHRSA-N 0 3 228.336 2.858 20 0 BFADHN C[C@H](F)CCN[C@@H]1CCCc2scnc21 ZINC000623989684 371223730 /nfs/dbraw/zinc/22/37/30/371223730.db2.gz DSISZHLOHBEARS-DTWKUNHWSA-N 0 3 228.336 2.858 20 0 BFADHN Cc1csc([C@@H](C)NCCC(C)(C)CO)n1 ZINC000623992044 371225869 /nfs/dbraw/zinc/22/58/69/371225869.db2.gz AGAYGIWAIOEBDV-SNVBAGLBSA-N 0 3 242.388 2.511 20 0 BFADHN CC[C@H](NC/C=C/CO)c1cc(C)ccc1C ZINC000624012158 371248357 /nfs/dbraw/zinc/24/83/57/371248357.db2.gz SPKMGYWPRUHFPB-NFAHFFEMSA-N 0 3 233.355 2.893 20 0 BFADHN C[C@@H]1CN([C@H]2COC(C)(C)C2)C[C@@H](C)C1(F)F ZINC000624061250 371285707 /nfs/dbraw/zinc/28/57/07/371285707.db2.gz ZALFXJBEGOEYCM-GMTAPVOTSA-N 0 3 247.329 2.777 20 0 BFADHN C[C@@H](NCC1CC=CC1)c1cc2n(n1)CCCC2 ZINC000624077129 371294124 /nfs/dbraw/zinc/29/41/24/371294124.db2.gz UBOPBCGMYSWFMD-GFCCVEGCSA-N 0 3 245.370 2.836 20 0 BFADHN Cc1ccc(CNCCOCC2CC2)c(F)c1 ZINC000631069588 371295428 /nfs/dbraw/zinc/29/54/28/371295428.db2.gz WCTSLOKODOJPER-UHFFFAOYSA-N 0 3 237.318 2.650 20 0 BFADHN C[C@H](CN[C@@H](C)c1ccn(C)n1)c1ccccc1 ZINC000020351332 371268296 /nfs/dbraw/zinc/26/82/96/371268296.db2.gz QDSQXLWBIXZSAS-OLZOCXBDSA-N 0 3 243.354 2.874 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1cncs1)OCC ZINC000624049511 371270610 /nfs/dbraw/zinc/27/06/10/371270610.db2.gz JLDJFDZIRCYDLE-WDEREUQCSA-N 0 3 242.388 2.999 20 0 BFADHN c1cc(CN[C@@H]2CCCC23CC3)sn1 ZINC000624047004 371272357 /nfs/dbraw/zinc/27/23/57/371272357.db2.gz SFNDJRSIOXHHAW-SNVBAGLBSA-N 0 3 208.330 2.565 20 0 BFADHN Cc1ccc(CNC[C@](C)(O)C(C)C)c(F)c1 ZINC000631069897 371300521 /nfs/dbraw/zinc/30/05/21/371300521.db2.gz IURZRQFWWIBSBM-AWEZNQCLSA-N 0 3 239.334 2.631 20 0 BFADHN CCOC[C@@H](C)N[C@@H](c1ccccn1)C1CCC1 ZINC000624104443 371309443 /nfs/dbraw/zinc/30/94/43/371309443.db2.gz SEGQWVBXMMWGAC-IUODEOHRSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@H](C)[C@H](O)CNCc1ccc(C)cc1F ZINC000631070969 371312397 /nfs/dbraw/zinc/31/23/97/371312397.db2.gz IQFPQDFSKUWIEX-SMDDNHRTSA-N 0 3 239.334 2.631 20 0 BFADHN CCc1ccc(CCNCc2cc(C)no2)cc1 ZINC000631074434 371354965 /nfs/dbraw/zinc/35/49/65/371354965.db2.gz DHYOSIPPLGYXBX-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN C/C=C\CN[C@@H](C)c1sccc1OC ZINC000624198277 371387293 /nfs/dbraw/zinc/38/72/93/371387293.db2.gz KECDNIHZDCBMRU-WBSSQXGSSA-N 0 3 211.330 2.983 20 0 BFADHN C/C=C\CN[C@H]1CCc2cc(F)c(OC)cc21 ZINC000624198420 371387462 /nfs/dbraw/zinc/38/74/62/371387462.db2.gz OGKOVLKIZHUXBH-SCOBNMCVSA-N 0 3 235.302 2.987 20 0 BFADHN CCCCN(C)Cc1cc(OC)cc(OC)c1 ZINC000125835531 371420511 /nfs/dbraw/zinc/42/05/11/371420511.db2.gz YWFAJPGPCGJCFH-UHFFFAOYSA-N 0 3 237.343 2.936 20 0 BFADHN C[C@@H](NC[C@H]1C[C@@H](O)C1)c1csc(Cl)c1 ZINC000624216578 371403634 /nfs/dbraw/zinc/40/36/34/371403634.db2.gz KFWOVOOWNRKWIP-MRTMQBJTSA-N 0 3 245.775 2.823 20 0 BFADHN Cc1ccc([C@H](NC[C@H]2C[C@@H](O)C2)C2CCC2)o1 ZINC000624217754 371406619 /nfs/dbraw/zinc/40/66/19/371406619.db2.gz HFZQLFMLAKWPQN-OSAQELSMSA-N 0 3 249.354 2.790 20 0 BFADHN c1n[nH]cc1CNC1(c2ccccc2)CCC1 ZINC000624218403 371407231 /nfs/dbraw/zinc/40/72/31/371407231.db2.gz DSKLIJCGXQAIRK-UHFFFAOYSA-N 0 3 227.311 2.579 20 0 BFADHN CC(C)(NCc1ccc(=O)[nH]c1)c1cccs1 ZINC000631076393 371369347 /nfs/dbraw/zinc/36/93/47/371369347.db2.gz YTYLUDORGFQBKI-UHFFFAOYSA-N 0 3 248.351 2.874 20 0 BFADHN C[C@H](NCc1ccc[nH]c1=O)c1cccs1 ZINC000624257522 371443663 /nfs/dbraw/zinc/44/36/63/371443663.db2.gz GRBBJRYMKVPEIT-VIFPVBQESA-N 0 3 234.324 2.700 20 0 BFADHN CSCCN[C@@H]1CCc2c1c(F)ccc2F ZINC000125927167 371451648 /nfs/dbraw/zinc/45/16/48/371451648.db2.gz VNMPPCGCNJZILM-LLVKDONJSA-N 0 3 243.322 2.905 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2ccc(F)cc2F)C1 ZINC000624296844 371461952 /nfs/dbraw/zinc/46/19/52/371461952.db2.gz ILQLQRYJVLXUBR-LSJOCFKGSA-N 0 3 241.281 2.793 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2cccc(F)c2F)C1 ZINC000624299667 371463206 /nfs/dbraw/zinc/46/32/06/371463206.db2.gz RJHPLADIMRMJJR-OPRDCNLKSA-N 0 3 241.281 2.793 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1C[C@H](OC)C1 ZINC000624297275 371463384 /nfs/dbraw/zinc/46/33/84/371463384.db2.gz URCYBCKASPNNIX-SRVKXCTJSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@H]1C[C@H](OC)C1 ZINC000624297363 371463643 /nfs/dbraw/zinc/46/36/43/371463643.db2.gz XZXRYWOQLVFMQD-JHJVBQTASA-N 0 3 249.354 2.832 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H]2CCCOc3ccccc32)C1 ZINC000624298234 371464410 /nfs/dbraw/zinc/46/44/10/371464410.db2.gz OFUBUMJGLMPXGX-YRGRVCCFSA-N 0 3 247.338 2.667 20 0 BFADHN CO[C@H]1C[C@@H](CN[C@H](C)c2ccc(C)o2)C1 ZINC000624308802 371471590 /nfs/dbraw/zinc/47/15/90/371471590.db2.gz YAJFXZABDMOEJW-UTUOFQBUSA-N 0 3 223.316 2.664 20 0 BFADHN C[C@H](NC[C@@H]1CCCC12CC2)c1nccn1C ZINC000624314866 371478837 /nfs/dbraw/zinc/47/88/37/371478837.db2.gz QQHCVVSMMOAXPC-RYUDHWBXSA-N 0 3 233.359 2.651 20 0 BFADHN C[C@H](NC[C@@H]1CCCC12CC2)c1ccncn1 ZINC000624315625 371479960 /nfs/dbraw/zinc/47/99/60/371479960.db2.gz ASBGXADEBFXSMW-RYUDHWBXSA-N 0 3 231.343 2.708 20 0 BFADHN Cc1cccc(CNCCOC(C)(C)C)c1 ZINC000162367183 371665823 /nfs/dbraw/zinc/66/58/23/371665823.db2.gz LYTBMJGYZPADOB-UHFFFAOYSA-N 0 3 221.344 2.900 20 0 BFADHN COCCN[C@@H]1CCc2c1cccc2Cl ZINC000166311387 326432171 /nfs/dbraw/zinc/43/21/71/326432171.db2.gz ZISCPILZXKQQMA-GFCCVEGCSA-N 0 3 225.719 2.563 20 0 BFADHN C[C@H](CNCc1ccncc1Cl)C1CC1 ZINC000132845567 371936282 /nfs/dbraw/zinc/93/62/82/371936282.db2.gz TZTDFJGRFRNHSA-SECBINFHSA-N 0 3 224.735 2.871 20 0 BFADHN Cc1ccc(CNCC2(O)CCCCCC2)cn1 ZINC000058000206 371945591 /nfs/dbraw/zinc/94/55/91/371945591.db2.gz NKNGELXIJQZDBW-UHFFFAOYSA-N 0 3 248.370 2.565 20 0 BFADHN CC1(CNCc2ccnn2CC2CCC2)CC1 ZINC000631084252 372078949 /nfs/dbraw/zinc/07/89/49/372078949.db2.gz UXCFRLNAEHLRAX-UHFFFAOYSA-N 0 3 233.359 2.573 20 0 BFADHN CSCCCCCNCc1nccs1 ZINC000229515983 372159064 /nfs/dbraw/zinc/15/90/64/372159064.db2.gz QBEXVUFOEMSEDI-UHFFFAOYSA-N 0 3 230.402 2.766 20 0 BFADHN COc1cncc(CN[C@@H]2C[C@H]2CC(C)C)c1C ZINC000631158002 372212140 /nfs/dbraw/zinc/21/21/40/372212140.db2.gz WVFRWQSFQGTNBX-TZMCWYRMSA-N 0 3 248.370 2.923 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccc(C)cc2F)C1 ZINC000631155946 372212864 /nfs/dbraw/zinc/21/28/64/372212864.db2.gz JMQDHOQGUGWIGX-BETUJISGSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ccsc1CNCC(C)(C)F ZINC000631191676 372225691 /nfs/dbraw/zinc/22/56/91/372225691.db2.gz SHPISAZGDDHRMH-UHFFFAOYSA-N 0 3 201.310 2.894 20 0 BFADHN CCC[C@H](CCO)NCc1cc(C)ccc1F ZINC000631195573 372228770 /nfs/dbraw/zinc/22/87/70/372228770.db2.gz ALELFIUVVGJOFM-CYBMUJFWSA-N 0 3 239.334 2.775 20 0 BFADHN CCC(C)(C)CCNCc1n[nH]cc1C ZINC000631206805 372235746 /nfs/dbraw/zinc/23/57/46/372235746.db2.gz NOJTWPXFUVFZQF-UHFFFAOYSA-N 0 3 209.337 2.634 20 0 BFADHN CCC(C)(C)CCNCc1cc(C)n(C)n1 ZINC000631216820 372240266 /nfs/dbraw/zinc/24/02/66/372240266.db2.gz BRRCRZOOVBLWGL-UHFFFAOYSA-N 0 3 223.364 2.644 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc(C(F)F)nc1 ZINC000631140887 372206446 /nfs/dbraw/zinc/20/64/46/372206446.db2.gz RCQWABGHFLTQTP-RKDXNWHRSA-N 0 3 244.285 2.532 20 0 BFADHN c1csc(CN[C@@]23C[C@@H]2CCCC3)n1 ZINC000631227627 372248826 /nfs/dbraw/zinc/24/88/26/372248826.db2.gz SARBHZZOSYHVHK-ONGXEEELSA-N 0 3 208.330 2.565 20 0 BFADHN Cc1ccc(CN[C@]23C[C@H]2CCCC3)nc1 ZINC000631228577 372250200 /nfs/dbraw/zinc/25/02/00/372250200.db2.gz ZSSQUPORWIKYQV-TZMCWYRMSA-N 0 3 216.328 2.812 20 0 BFADHN Cc1nc(CN[C@H](C)CC2CCC2)[nH]c1C ZINC000631250986 372258803 /nfs/dbraw/zinc/25/88/03/372258803.db2.gz RNSQFTLRAPWWJN-SECBINFHSA-N 0 3 221.348 2.695 20 0 BFADHN FC(F)(F)[C@@H]1C[C@H]1NCc1cccs1 ZINC000631263631 372269408 /nfs/dbraw/zinc/26/94/08/372269408.db2.gz SUVNVLMXECHBNP-HTQZYQBOSA-N 0 3 221.247 2.789 20 0 BFADHN CCC[C@H](CN[C@@H]1CCCc2ncccc21)OC ZINC000631635838 372474157 /nfs/dbraw/zinc/47/41/57/372474157.db2.gz ZPZLEQZZDVQEHP-IUODEOHRSA-N 0 3 248.370 2.864 20 0 BFADHN CCC[C@H](N[C@@H]1CO[C@@H](C)C1)c1cc(C)ccn1 ZINC000631643075 372478143 /nfs/dbraw/zinc/47/81/43/372478143.db2.gz UCOFWNMDDFBKCC-IHRRRGAJSA-N 0 3 248.370 2.998 20 0 BFADHN CCC[C@H](NCC1(CO)CC1)c1cc(C)ccn1 ZINC000631585696 372443254 /nfs/dbraw/zinc/44/32/54/372443254.db2.gz TYCBGVMIIPQMHR-ZDUSSCGKSA-N 0 3 248.370 2.593 20 0 BFADHN C/C=C\CN[C@H]1CCc2cc(OC)ccc21 ZINC000631651683 372482081 /nfs/dbraw/zinc/48/20/81/372482081.db2.gz VTIQTBMFUFYVTB-NQHOJNORSA-N 0 3 217.312 2.848 20 0 BFADHN COC1(CN[C@@H]2CCCc3ncccc32)CCC1 ZINC000631618005 372465301 /nfs/dbraw/zinc/46/53/01/372465301.db2.gz ZAYONZXEOGFWPI-CQSZACIVSA-N 0 3 246.354 2.618 20 0 BFADHN c1cn2c(n1)[C@@H](N[C@H]1CCCC13CC3)CCC2 ZINC000631662361 372488259 /nfs/dbraw/zinc/48/82/59/372488259.db2.gz FXXJQFWMJPVECQ-RYUDHWBXSA-N 0 3 231.343 2.640 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CCCC12CC2 ZINC000631665971 372491243 /nfs/dbraw/zinc/49/12/43/372491243.db2.gz VELIFLBAMORXRV-NWDGAFQWSA-N 0 3 231.343 2.768 20 0 BFADHN CC[C@H](N[C@H]1CCCC12CC2)c1nccn1C ZINC000631666351 372492607 /nfs/dbraw/zinc/49/26/07/372492607.db2.gz XLNGLRDWCWTASE-RYUDHWBXSA-N 0 3 233.359 2.794 20 0 BFADHN CCC(C)(C)CCN[C@H](C)c1nccn1C ZINC000631685197 372507640 /nfs/dbraw/zinc/50/76/40/372507640.db2.gz YJWPLOJZGLJFLZ-LLVKDONJSA-N 0 3 223.364 2.897 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@@H](C)O[C@H]2C)c(C)n1 ZINC000631703899 372512757 /nfs/dbraw/zinc/51/27/57/372512757.db2.gz PTJDATUFBKYXLK-GVUJHPQVSA-N 0 3 248.370 2.915 20 0 BFADHN CO[C@H]1C[C@H]2C[C@H](NCc3ccc(C)o3)C[C@H]2C1 ZINC000631729328 372533528 /nfs/dbraw/zinc/53/35/28/372533528.db2.gz CGGKLGTWIBKPJC-AKVJVIMFSA-N 0 3 249.354 2.881 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cc(C(=O)OC)co1 ZINC000171949536 372837593 /nfs/dbraw/zinc/83/75/93/372837593.db2.gz JMFQUWVIMOZBLY-SNVBAGLBSA-N 0 3 239.315 2.544 20 0 BFADHN C(C1CCCCC1)N1CCN(C2CCC2)CC1 ZINC000172198520 372904478 /nfs/dbraw/zinc/90/44/78/372904478.db2.gz GGZRUZPXBNJVNO-UHFFFAOYSA-N 0 3 236.403 2.737 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H]2CCCN(C)C2)o1 ZINC000040671805 373589023 /nfs/dbraw/zinc/58/90/23/373589023.db2.gz JSKJXDGLOANQDI-YRGRVCCFSA-N 0 3 248.370 2.587 20 0 BFADHN CC(C)CCC[C@H](C)NC(=O)[C@H](N)CC(C)C ZINC000040858506 373841983 /nfs/dbraw/zinc/84/19/83/373841983.db2.gz VZSWECMKELKGKG-QWHCGFSZSA-N 0 3 242.407 2.691 20 0 BFADHN C[C@@H](NCCCOC(F)F)c1ccco1 ZINC000194043564 206000307 /nfs/dbraw/zinc/00/03/07/206000307.db2.gz CZXXCUFVTYRJLA-MRVPVSSYSA-N 0 3 219.231 2.560 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnc(C2CC2)nc1 ZINC000459308862 206008698 /nfs/dbraw/zinc/00/86/98/206008698.db2.gz URSRHZDFUNYBDM-LLVKDONJSA-N 0 3 233.359 2.974 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]2C2CCC2)nc1 ZINC000424192609 206034311 /nfs/dbraw/zinc/03/43/11/206034311.db2.gz JHWMJSOUHZIJID-UONOGXRCSA-N 0 3 216.328 2.668 20 0 BFADHN CC[C@@H](C)[C@H](C)N(Cc1n[nH]c(C)n1)C1CC1 ZINC000428229715 206055310 /nfs/dbraw/zinc/05/53/10/206055310.db2.gz FTGQLJLYJDNKGH-ZJUUUORDSA-N 0 3 236.363 2.512 20 0 BFADHN CCCN(CC)C(=O)Nc1cc(C)cc(CN)c1 ZINC000424431618 206041086 /nfs/dbraw/zinc/04/10/86/206041086.db2.gz FZIXXPBZMSSYHH-UHFFFAOYSA-N 0 3 249.358 2.718 20 0 BFADHN CC/C=C/CNC(=O)Nc1cc(C)cc(CN)c1 ZINC000424421253 206041110 /nfs/dbraw/zinc/04/11/10/206041110.db2.gz XZTQDIDWXJPDSZ-SNAWJCMRSA-N 0 3 247.342 2.541 20 0 BFADHN Cc1ccc2ncc(CN(C)[C@@H]3CC3(C)C)n2c1 ZINC000426567910 206050567 /nfs/dbraw/zinc/05/05/67/206050567.db2.gz XZPLWGBGDDFGSI-CYBMUJFWSA-N 0 3 243.354 2.873 20 0 BFADHN CN(Cc1ccc2c(c1)ncn2C)[C@H]1CC1(C)C ZINC000426565779 206051062 /nfs/dbraw/zinc/05/10/62/206051062.db2.gz CQFJPROKSJFQMJ-AWEZNQCLSA-N 0 3 243.354 2.804 20 0 BFADHN CCN(C)c1ccc(CN(C)[C@@H]2CC2(C)C)cn1 ZINC000426566032 206051227 /nfs/dbraw/zinc/05/12/27/206051227.db2.gz DLQSUEKCQOGXQU-CYBMUJFWSA-N 0 3 247.386 2.768 20 0 BFADHN CN(Cc1cc(O)cc(F)c1)[C@@H]1CC1(C)C ZINC000426558492 206051741 /nfs/dbraw/zinc/05/17/41/206051741.db2.gz HDSQBWMWGOYBSO-GFCCVEGCSA-N 0 3 223.291 2.762 20 0 BFADHN COCC(C)(C)NCc1ccc(C)c(OC)c1 ZINC000194285993 206060567 /nfs/dbraw/zinc/06/05/67/206060567.db2.gz UAWBIFRYWMLINF-UHFFFAOYSA-N 0 3 237.343 2.518 20 0 BFADHN C[C@H](Cc1ccccc1)CN1CC[C@@H](F)C1 ZINC000449391861 206096010 /nfs/dbraw/zinc/09/60/10/206096010.db2.gz IQJULBGFLFZPRO-TZMCWYRMSA-N 0 3 221.319 2.909 20 0 BFADHN CC[C@@H](CO)N[C@H](C)c1ccccc1SC ZINC000194831564 206110931 /nfs/dbraw/zinc/11/09/31/206110931.db2.gz HZYKLZINGJZRQK-MNOVXSKESA-N 0 3 239.384 2.830 20 0 BFADHN CSc1ccccc1[C@@H](C)NCC[C@@H](C)O ZINC000194891934 206112672 /nfs/dbraw/zinc/11/26/72/206112672.db2.gz HOGJNLOCFCGLPP-GHMZBOCLSA-N 0 3 239.384 2.830 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1cncc(C)c1 ZINC000459702823 206138622 /nfs/dbraw/zinc/13/86/22/206138622.db2.gz FWVFWLLBIFIIOL-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN O[C@@H]1CN(Cc2ccc(C3CC3)cc2)CC12CC2 ZINC000459494863 206130542 /nfs/dbraw/zinc/13/05/42/206130542.db2.gz CBUONGTTWXHCNP-OAHLLOKOSA-N 0 3 243.350 2.521 20 0 BFADHN CC[C@@H](C)N1CCc2c(cccc2C(=O)OC)C1 ZINC000459542989 206133839 /nfs/dbraw/zinc/13/38/39/206133839.db2.gz ICGUOQRGVTWXSC-LLVKDONJSA-N 0 3 247.338 2.630 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000191654504 259576815 /nfs/dbraw/zinc/57/68/15/259576815.db2.gz FENDZZGXOZDVOW-SRVKXCTJSA-N 0 3 239.363 2.538 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H]2CCCOC2)o1 ZINC000178431305 134310643 /nfs/dbraw/zinc/31/06/43/134310643.db2.gz FZROVYFYTVCONB-RYUDHWBXSA-N 0 3 237.343 2.919 20 0 BFADHN OC1(CCN[C@@H]2CCCc3occc32)CCC1 ZINC000284340918 183016682 /nfs/dbraw/zinc/01/66/82/183016682.db2.gz LNLSYYZLUOEDLG-GFCCVEGCSA-N 0 3 235.327 2.552 20 0 BFADHN OC1(CCNCc2coc3ccccc23)CCC1 ZINC000341335237 183017603 /nfs/dbraw/zinc/01/76/03/183017603.db2.gz ODYBCGTXFYHBTE-UHFFFAOYSA-N 0 3 245.322 2.828 20 0 BFADHN OCCCCCCN1Cc2ccccc2C1 ZINC000226838074 183044205 /nfs/dbraw/zinc/04/42/05/183044205.db2.gz DEWXBHDIXDMMJQ-UHFFFAOYSA-N 0 3 219.328 2.555 20 0 BFADHN OCC[C@@H](NCCCF)c1ccc(Cl)cc1 ZINC000287044710 183089102 /nfs/dbraw/zinc/08/91/02/183089102.db2.gz TXSTWUMARPITPA-GFCCVEGCSA-N 0 3 245.725 2.713 20 0 BFADHN OCC[C@@H]1CCCN1Cc1sccc1Cl ZINC000308880386 183092064 /nfs/dbraw/zinc/09/20/64/183092064.db2.gz ORWDAPSDNPXYQC-VIFPVBQESA-N 0 3 245.775 2.748 20 0 BFADHN CCCC[C@H](COC)NCc1cnccc1C ZINC000280941056 169004299 /nfs/dbraw/zinc/00/42/99/169004299.db2.gz QVMSHEFYVZOABV-CQSZACIVSA-N 0 3 236.359 2.685 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1ccc(C)c(F)c1 ZINC000037619264 169008064 /nfs/dbraw/zinc/00/80/64/169008064.db2.gz DHRMNFQLRZFPGY-LBPRGKRZSA-N 0 3 238.306 2.590 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@@H](C)c1ccccc1C ZINC000040854799 169008253 /nfs/dbraw/zinc/00/82/53/169008253.db2.gz XIKUGSNWLUOSEC-JSGCOSHPSA-N 0 3 248.370 2.690 20 0 BFADHN CCCC[C@H](NCCO)c1ccc(F)cc1 ZINC000020596876 169011707 /nfs/dbraw/zinc/01/17/07/169011707.db2.gz TXHMQVXNMSVLIS-ZDUSSCGKSA-N 0 3 225.307 2.639 20 0 BFADHN CCCC[C@H]1CCC[C@@H]1NCc1nnc(C)[nH]1 ZINC000358042887 169013824 /nfs/dbraw/zinc/01/38/24/169013824.db2.gz GEHOLMNJSVMBGB-RYUDHWBXSA-N 0 3 236.363 2.562 20 0 BFADHN OC[C@@H](CNCc1ccco1)C1CCCCC1 ZINC000292940398 183105480 /nfs/dbraw/zinc/10/54/80/183105480.db2.gz HWGSZFFUGNZKJW-CYBMUJFWSA-N 0 3 237.343 2.558 20 0 BFADHN OC[C@@H](CNCc1ccco1)CC1CCCC1 ZINC000341480223 183105818 /nfs/dbraw/zinc/10/58/18/183105818.db2.gz HXPOAHIGNMYYJB-CYBMUJFWSA-N 0 3 237.343 2.558 20 0 BFADHN OC[C@@H](NCc1ccc(Cl)o1)C1CCCC1 ZINC000309555112 183109236 /nfs/dbraw/zinc/10/92/36/183109236.db2.gz HGQNYODSPJQCBO-LLVKDONJSA-N 0 3 243.734 2.574 20 0 BFADHN CCCCc1ccc(NC(=O)[C@H](C)NC)cc1 ZINC000052395629 169022414 /nfs/dbraw/zinc/02/24/14/169022414.db2.gz WAZOYYZRIBWVAM-NSHDSACASA-N 0 3 234.343 2.576 20 0 BFADHN OC[C@H](N[C@@H]1CCC12CCC2)c1ccsc1 ZINC000336671848 183141539 /nfs/dbraw/zinc/14/15/39/183141539.db2.gz ILYOJXWXXKTBCW-NWDGAFQWSA-N 0 3 237.368 2.704 20 0 BFADHN OCc1ccc(CN2CCC[C@@H]3CCC[C@@H]32)o1 ZINC000092485660 183163384 /nfs/dbraw/zinc/16/33/84/183163384.db2.gz VRLXUXWVUJQEJP-FZMZJTMJSA-N 0 3 235.327 2.536 20 0 BFADHN O[C@@H](CN1CCC2(CC2)CC1)c1ccc(F)cc1 ZINC000366592994 183176596 /nfs/dbraw/zinc/17/65/96/183176596.db2.gz ONBCNBQIWSQQTN-AWEZNQCLSA-N 0 3 249.329 2.735 20 0 BFADHN CCCN(C)C[C@@H](O)c1ccc(F)cc1Cl ZINC000186880941 169077413 /nfs/dbraw/zinc/07/74/13/169077413.db2.gz ZAXHYXLDHDVIAN-GFCCVEGCSA-N 0 3 245.725 2.854 20 0 BFADHN O[C@@H]1CCCC[C@H]1CN[C@@H]1CCCc2occc21 ZINC000228393404 183190423 /nfs/dbraw/zinc/19/04/23/183190423.db2.gz SIOLOAGCXBYVHA-IACUBPJLSA-N 0 3 249.354 2.798 20 0 BFADHN CCCN(C)CC(=O)N(C(C)C)C1CCCC1 ZINC000123524789 169063828 /nfs/dbraw/zinc/06/38/28/169063828.db2.gz PVGNVDDGQRAKDE-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN O[C@@H]1CCCN(Cc2ccc(C3CCC3)cc2)C1 ZINC000278487574 183193051 /nfs/dbraw/zinc/19/30/51/183193051.db2.gz IVDFVFWDNJKYDH-MRXNPFEDSA-N 0 3 245.366 2.911 20 0 BFADHN CCCN(C)Cc1cn(C(C)C)nc1C ZINC000281811826 169081559 /nfs/dbraw/zinc/08/15/59/169081559.db2.gz ZACSAKJFGXOEQB-UHFFFAOYSA-N 0 3 209.337 2.614 20 0 BFADHN CCCN(C)Cc1ccnc(Br)c1 ZINC000293170766 169081774 /nfs/dbraw/zinc/08/17/74/169081774.db2.gz RZTSOYLJSAGUNL-UHFFFAOYSA-N 0 3 243.148 2.686 20 0 BFADHN CCCN(CC)C(=O)c1cccc(CN(C)C)c1 ZINC000355817529 169118879 /nfs/dbraw/zinc/11/88/79/169118879.db2.gz BZFZIGDRBPOYFP-UHFFFAOYSA-N 0 3 248.370 2.620 20 0 BFADHN CCCN(CC)CC(=O)Nc1c(C)cccc1C ZINC000001997212 169121317 /nfs/dbraw/zinc/12/13/17/169121317.db2.gz TYXWZNNCZODEQM-UHFFFAOYSA-N 0 3 248.370 2.974 20 0 BFADHN CCCN(CC)CC[S@@](=O)c1ccccc1 ZINC000355432497 169123649 /nfs/dbraw/zinc/12/36/49/169123649.db2.gz DTPJUUDAYXCLCT-MRXNPFEDSA-N 0 3 239.384 2.526 20 0 BFADHN O[C@H]1CCCN(Cc2cc3ccccc3s2)C1 ZINC000271028905 183235516 /nfs/dbraw/zinc/23/55/16/183235516.db2.gz XVDJLOBCHICKBP-LBPRGKRZSA-N 0 3 247.363 2.858 20 0 BFADHN O[C@H]1CCCN(Cc2cccc(Cl)c2)CC1 ZINC000292978664 183235766 /nfs/dbraw/zinc/23/57/66/183235766.db2.gz BEIXHPOFMLRQMH-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN CCCN(CC)Cc1cc(OC)cc(C)n1 ZINC000270232496 169128818 /nfs/dbraw/zinc/12/88/18/169128818.db2.gz GUPAIXANVUXBNF-UHFFFAOYSA-N 0 3 222.332 2.631 20 0 BFADHN CCCN(CC)C[C@H](O)c1c(F)cccc1F ZINC000299405138 169128829 /nfs/dbraw/zinc/12/88/29/169128829.db2.gz XEUICTKLWRFQAZ-LBPRGKRZSA-N 0 3 243.297 2.730 20 0 BFADHN CCCN(CC)[C@H](CC(C)C)C(=O)OCC ZINC000360009237 169132567 /nfs/dbraw/zinc/13/25/67/169132567.db2.gz BHHVZABXMCSCBX-GFCCVEGCSA-N 0 3 229.364 2.696 20 0 BFADHN CCCN(CCC(C)=O)Cc1ccccc1 ZINC000121019818 169139889 /nfs/dbraw/zinc/13/98/89/169139889.db2.gz DIPBLRXGQUDWAX-UHFFFAOYSA-N 0 3 219.328 2.878 20 0 BFADHN Oc1ccc2c(c1)OCCN(C1CCCC1)C2 ZINC000285573729 183280695 /nfs/dbraw/zinc/28/06/95/183280695.db2.gz SQSHOFVKERBADS-UHFFFAOYSA-N 0 3 233.311 2.529 20 0 BFADHN Oc1ccc2c(c1)[C@@H](NC[C@@H]1CCCO1)CCC2 ZINC000236597386 183281017 /nfs/dbraw/zinc/28/10/17/183281017.db2.gz PGOQGBJMZWGCFH-ZFWWWQNUSA-N 0 3 247.338 2.538 20 0 BFADHN Oc1ccc2c(c1)[C@H](N[C@H]1CCCOC1)CCC2 ZINC000236591202 183282227 /nfs/dbraw/zinc/28/22/27/183282227.db2.gz QBHUOPVUQYFYCK-SWLSCSKDSA-N 0 3 247.338 2.538 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CC[C@H](F)C2)c1 ZINC000335617440 183259579 /nfs/dbraw/zinc/25/95/79/183259579.db2.gz JONKBGZGHFMDQL-ONGXEEELSA-N 0 3 227.254 2.512 20 0 BFADHN CCCN(CCC)Cc1ccnc(OC)c1 ZINC000339866771 169148499 /nfs/dbraw/zinc/14/84/99/169148499.db2.gz QDTDHUKTATUIPF-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CCCN(Cc1ccc(F)cc1)[C@@H]1CCOC1 ZINC000271243012 169175450 /nfs/dbraw/zinc/17/54/50/169175450.db2.gz FOVOOCJIRBFAAA-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN CCCN(Cc1cccc(OC)n1)CC1CC1 ZINC000171859101 169177498 /nfs/dbraw/zinc/17/74/98/169177498.db2.gz XKQCFCVEVHZSRS-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN Oc1cccc2c1CC[C@H]2NC[C@@H]1CCCCO1 ZINC000042815481 183297462 /nfs/dbraw/zinc/29/74/62/183297462.db2.gz NYPARXOGTDMPSE-SMDDNHRTSA-N 0 3 247.338 2.538 20 0 BFADHN CCCN(Cc1ccccc1)CC(OC)OC ZINC000136719094 169177563 /nfs/dbraw/zinc/17/75/63/169177563.db2.gz SEJVENMUDINQKA-UHFFFAOYSA-N 0 3 237.343 2.518 20 0 BFADHN CCCN(CCO)Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000271021908 169166248 /nfs/dbraw/zinc/16/62/48/169166248.db2.gz CHLKZYRWXCQMLU-YPMHNXCESA-N 0 3 237.343 2.607 20 0 BFADHN CCCN(Cc1cncc(F)c1)CC1CC1 ZINC000274373590 169180073 /nfs/dbraw/zinc/18/00/73/169180073.db2.gz QSRVYALZJPGEOQ-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN CCCN(Cc1ncc(C)o1)CC(C)C ZINC000336704898 169181318 /nfs/dbraw/zinc/18/13/18/169181318.db2.gz QWEZKBNIGJVGPD-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN CCCN1CCC[C@@H](Oc2ccccc2OC)C1 ZINC000353283510 169194134 /nfs/dbraw/zinc/19/41/34/169194134.db2.gz QQWSKDBCJNJIQK-CYBMUJFWSA-N 0 3 249.354 2.948 20 0 BFADHN c1nc(-c2ccncc2)sc1CN1CCCC1 ZINC000336614689 183332203 /nfs/dbraw/zinc/33/22/03/183332203.db2.gz DNZAVMSBGYONDT-UHFFFAOYSA-N 0 3 245.351 2.801 20 0 BFADHN CCCN1CCC[C@H]1CN[C@@H](C)c1ccco1 ZINC000353977542 169199384 /nfs/dbraw/zinc/19/93/84/169199384.db2.gz ZXJRSAYPWWHVLT-STQMWFEESA-N 0 3 236.359 2.805 20 0 BFADHN CCCN1CCC[C@H]1CNCc1ccc(C)o1 ZINC000353129973 169199833 /nfs/dbraw/zinc/19/98/33/169199833.db2.gz VMFAQCCOJOPURS-ZDUSSCGKSA-N 0 3 236.359 2.552 20 0 BFADHN c1[nH]nc2c1cccc2CN1CC(C2CCC2)C1 ZINC000336396461 183340209 /nfs/dbraw/zinc/34/02/09/183340209.db2.gz CRULDSSHYLWTEW-UHFFFAOYSA-N 0 3 241.338 2.795 20 0 BFADHN c1cc(CN2CCC(c3cn[nH]c3)CC2)cs1 ZINC000374310569 183340572 /nfs/dbraw/zinc/34/05/72/183340572.db2.gz PYAHVIWTRQUGSL-UHFFFAOYSA-N 0 3 247.367 2.851 20 0 BFADHN c1cc(CN2CCC[C@@H]2[C@@H]2CCCO2)cs1 ZINC000285182054 183342195 /nfs/dbraw/zinc/34/21/95/183342195.db2.gz QEEPWUXTQGCPCO-OLZOCXBDSA-N 0 3 237.368 2.892 20 0 BFADHN c1cc(CN2CCC[C@@]3(CCOC3)C2)cs1 ZINC000336223188 183342429 /nfs/dbraw/zinc/34/24/29/183342429.db2.gz JBWLGAUAHZTDBK-CYBMUJFWSA-N 0 3 237.368 2.751 20 0 BFADHN c1cc2c(c(CNCC3(C4CC4)CC3)c1)OCO2 ZINC000130722587 183349814 /nfs/dbraw/zinc/34/98/14/183349814.db2.gz VQHAUGFUPIRUMV-UHFFFAOYSA-N 0 3 245.322 2.695 20 0 BFADHN c1cc(CN[C@@H]2CC[C@H]2C2CCC2)co1 ZINC000308818934 183351937 /nfs/dbraw/zinc/35/19/37/183351937.db2.gz BJMGCMVMZJLAJS-QWHCGFSZSA-N 0 3 205.301 2.948 20 0 BFADHN c1cc(CN[C@@H]2CC[C@H]3CCCC[C@@H]3C2)n[nH]1 ZINC000041015400 183352712 /nfs/dbraw/zinc/35/27/12/183352712.db2.gz RAXGOHFDKSVRHB-JHJVBQTASA-N 0 3 233.359 2.858 20 0 BFADHN c1cc2nccc(NCCN3CCCC3)c2s1 ZINC000271587750 183357005 /nfs/dbraw/zinc/35/70/05/183357005.db2.gz FTNSGXVXGDIQEU-UHFFFAOYSA-N 0 3 247.367 2.804 20 0 BFADHN c1cc(CCCN2C[C@H]3CC[C@@H](C2)O3)cs1 ZINC000360976574 183336365 /nfs/dbraw/zinc/33/63/65/183336365.db2.gz WZZDOLPVGZENHI-BETUJISGSA-N 0 3 237.368 2.544 20 0 BFADHN c1cc([C@H]2CCCN2CCOC2CCC2)ccn1 ZINC000286676550 183363584 /nfs/dbraw/zinc/36/35/84/183363584.db2.gz ABJUYEMZQIGDKY-OAHLLOKOSA-N 0 3 246.354 2.788 20 0 BFADHN c1cc2c(nc1CN[C@H]1CCSC1)CCCC2 ZINC000278466001 183371417 /nfs/dbraw/zinc/37/14/17/183371417.db2.gz NBTNSWGLFLUYNZ-ZDUSSCGKSA-N 0 3 248.395 2.556 20 0 BFADHN c1cc2c(s1)CN(C[C@H]1CCCCO1)CC2 ZINC000132927548 183373182 /nfs/dbraw/zinc/37/31/82/183373182.db2.gz DXONJOZQIUVZOA-GFCCVEGCSA-N 0 3 237.368 2.675 20 0 BFADHN c1[nH]nc2cc(CN3C[C@H]4CCCC[C@H]43)ccc12 ZINC000367960036 183374131 /nfs/dbraw/zinc/37/41/31/183374131.db2.gz XEXLRQSSVWNWEX-UKRRQHHQSA-N 0 3 241.338 2.937 20 0 BFADHN c1[nH]nc2cc(CN3CCCCC3)ccc12 ZINC000132161793 183375662 /nfs/dbraw/zinc/37/56/62/183375662.db2.gz FKFYKFUXVAPUSB-UHFFFAOYSA-N 0 3 215.300 2.549 20 0 BFADHN CCCN[C@H](COC)c1ccc(F)c(F)c1 ZINC000055237445 169289439 /nfs/dbraw/zinc/28/94/39/169289439.db2.gz PCHGWBAPSUYVOJ-GFCCVEGCSA-N 0 3 229.270 2.652 20 0 BFADHN c1cc(CN2CCC(c3ccccn3)CC2)c[nH]1 ZINC000378347329 183390860 /nfs/dbraw/zinc/39/08/60/183390860.db2.gz CJUIDZAKHPABNJ-UHFFFAOYSA-N 0 3 241.338 2.789 20 0 BFADHN CCCNCc1cc(Cl)cc2c1OCC2 ZINC000122546976 169266050 /nfs/dbraw/zinc/26/60/50/169266050.db2.gz NFQHCEYDYGKEKH-UHFFFAOYSA-N 0 3 225.719 2.775 20 0 BFADHN CCCNCc1ccccc1OCC1CC1 ZINC000034825618 169267773 /nfs/dbraw/zinc/26/77/73/169267773.db2.gz XEBRVEGYNFAEAB-UHFFFAOYSA-N 0 3 219.328 2.975 20 0 BFADHN CCCNCc1ccccc1OC(F)F ZINC000019426661 169268076 /nfs/dbraw/zinc/26/80/76/169268076.db2.gz QUOXJTCILIQOOC-UHFFFAOYSA-N 0 3 215.243 2.788 20 0 BFADHN c1ccc(CN(C[C@H]2CCCO2)C2CC2)cc1 ZINC000124662652 183396507 /nfs/dbraw/zinc/39/65/07/183396507.db2.gz YPXKSRYRTCACDT-OAHLLOKOSA-N 0 3 231.339 2.830 20 0 BFADHN CCCNCc1nc2c(s1)C[C@H](C)CC2 ZINC000053294815 169269725 /nfs/dbraw/zinc/26/97/25/169269725.db2.gz JBHDQXDWIQFIPD-SECBINFHSA-N 0 3 224.373 2.768 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1ccc(CC)cc1 ZINC000261900187 169274932 /nfs/dbraw/zinc/27/49/32/169274932.db2.gz WGCRZAXNKZHPIW-NSHDSACASA-N 0 3 234.343 2.576 20 0 BFADHN CCCN[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000035584311 169280932 /nfs/dbraw/zinc/28/09/32/169280932.db2.gz SMWUBSHURPYLCY-AWEZNQCLSA-N 0 3 247.317 2.648 20 0 BFADHN c1c[nH]c(CN[C@@H]2CCC[C@@H]2c2ccccc2)n1 ZINC000111412857 183431635 /nfs/dbraw/zinc/43/16/35/183431635.db2.gz ZVQDIDJFXARGQF-ZIAGYGMSSA-N 0 3 241.338 2.836 20 0 BFADHN CCCOc1cccc(CN(C)[C@H]2CCOC2)c1 ZINC000132141612 169324803 /nfs/dbraw/zinc/32/48/03/169324803.db2.gz URFKGMHALMACMJ-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CCCOc1cccc(CN[C@H](C)COC)c1 ZINC000037996724 169324856 /nfs/dbraw/zinc/32/48/56/169324856.db2.gz HISRHYZJOOGKOM-GFCCVEGCSA-N 0 3 237.343 2.600 20 0 BFADHN CCCOc1cccc(CN[C@@H]2CCCOC2)c1 ZINC000109545941 169325188 /nfs/dbraw/zinc/32/51/88/169325188.db2.gz IUDKUULYVWGYKS-CQSZACIVSA-N 0 3 249.354 2.744 20 0 BFADHN CCCOc1ncccc1CN[C@@H](C)C1CC1 ZINC000177253774 169329200 /nfs/dbraw/zinc/32/92/00/169329200.db2.gz AFXDTXOOTDVSEY-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cccc(OC)n1 ZINC000353307027 169364640 /nfs/dbraw/zinc/36/46/40/169364640.db2.gz XHTXWSQBMCQRMO-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN CCC[C@@H](C)NCc1cn2ccsc2n1 ZINC000132301732 169370906 /nfs/dbraw/zinc/37/09/06/169370906.db2.gz TXACRICGVHZEQW-SECBINFHSA-N 0 3 223.345 2.674 20 0 BFADHN CCC[C@@H](C)NC(=O)[C@@H](C)N1CCCCCC1 ZINC000125688300 169368481 /nfs/dbraw/zinc/36/84/81/169368481.db2.gz MFGVCODBEMTIAU-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN CCC[C@@H](C)CN(C)[C@@H](C)C(=O)NC(C)(C)C ZINC000362527986 169357633 /nfs/dbraw/zinc/35/76/33/169357633.db2.gz IAHFSWSQVZPOIY-NEPJUHHUSA-N 0 3 242.407 2.658 20 0 BFADHN CCC[C@@H](C)CN1CCC[C@@H]1c1ncc[nH]1 ZINC000375355835 169358392 /nfs/dbraw/zinc/35/83/92/169358392.db2.gz XTMZSTISQHKCSP-VXGBXAGGSA-N 0 3 221.348 2.983 20 0 BFADHN CCC[C@@H](C)CN[C@@H](COC)c1ccco1 ZINC000133361012 169359748 /nfs/dbraw/zinc/35/97/48/169359748.db2.gz LJZIEGGTQAACDX-NEPJUHHUSA-N 0 3 225.332 2.993 20 0 BFADHN CCC[C@@H](C)CNCc1csc(COC)n1 ZINC000289912441 169360010 /nfs/dbraw/zinc/36/00/10/169360010.db2.gz UTTUXAWJNHHRQL-SNVBAGLBSA-N 0 3 242.388 2.815 20 0 BFADHN CCC[C@@H](C)[C@@H](CC)NCc1ncnn1CC ZINC000359055696 169372585 /nfs/dbraw/zinc/37/25/85/169372585.db2.gz NMLGAVTVEXJJKF-VXGBXAGGSA-N 0 3 238.379 2.602 20 0 BFADHN CCC[C@@H](CC)NCc1ccc(C(=O)OC)o1 ZINC000050402443 169379316 /nfs/dbraw/zinc/37/93/16/169379316.db2.gz QYHOIVYUGUXQCU-SNVBAGLBSA-N 0 3 239.315 2.735 20 0 BFADHN CCC[C@@H](CC)N[C@H](C)c1cc(C)n(C)n1 ZINC000310037720 169380133 /nfs/dbraw/zinc/38/01/33/169380133.db2.gz VKNYHSUTGBCGJN-VXGBXAGGSA-N 0 3 223.364 2.958 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1cccnc1)OC ZINC000293578060 169385946 /nfs/dbraw/zinc/38/59/46/169385946.db2.gz FEOAWZOAOMRVHV-YPMHNXCESA-N 0 3 222.332 2.547 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1ccccn1)OC ZINC000293583127 169386009 /nfs/dbraw/zinc/38/60/09/169386009.db2.gz FOWPFCRUENTEMT-NEPJUHHUSA-N 0 3 222.332 2.547 20 0 BFADHN CCC[C@@H](N)C(=O)N(C)C1CCC(C)(C)CC1 ZINC000094858714 169386846 /nfs/dbraw/zinc/38/68/46/169386846.db2.gz ZZVPGYKJUMQQDN-GFCCVEGCSA-N 0 3 240.391 2.541 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@@H](C)c1ccc(CC)cc1 ZINC000037016590 169390013 /nfs/dbraw/zinc/39/00/13/169390013.db2.gz GMXREPZBJPKNOF-SMDDNHRTSA-N 0 3 248.370 2.554 20 0 BFADHN CCC[C@@H](N)C(=O)N1CCCC[C@H]1CC(C)C ZINC000236904369 169388618 /nfs/dbraw/zinc/38/86/18/169388618.db2.gz FDBMHZWCLISZTB-QWHCGFSZSA-N 0 3 240.391 2.541 20 0 BFADHN CCCCN(CC)[C@@H]1CCCN(C(C)C)C1=O ZINC000179308248 134318976 /nfs/dbraw/zinc/31/89/76/134318976.db2.gz XDRWRPGLTYHSHW-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1nc(C)c(CN(C)[C@H]2CCSC2)s1 ZINC000179263832 134319890 /nfs/dbraw/zinc/31/98/90/134319890.db2.gz PHGIQRRXWRQBGJ-JTQLQIEISA-N 0 3 242.413 2.697 20 0 BFADHN CCC[C@@H](NCC1(C)OCCO1)c1ccccc1 ZINC000179565898 169399870 /nfs/dbraw/zinc/39/98/70/169399870.db2.gz HZTLNSDHULVKMJ-CQSZACIVSA-N 0 3 249.354 2.880 20 0 BFADHN CCC[C@@H](NCCCO)c1ccc(F)cc1F ZINC000271806241 169399882 /nfs/dbraw/zinc/39/98/82/169399882.db2.gz QMOSZCCMIHZPHI-CYBMUJFWSA-N 0 3 243.297 2.778 20 0 BFADHN CCC[C@@H](NCC(=O)N(C)CC)c1ccccc1 ZINC000181786045 169399898 /nfs/dbraw/zinc/39/98/98/169399898.db2.gz AXXQBLXBRFZSMJ-CQSZACIVSA-N 0 3 248.370 2.596 20 0 BFADHN C[C@]1(NCc2cccc(Cl)c2)CCCOC1 ZINC000221369428 134323394 /nfs/dbraw/zinc/32/33/94/134323394.db2.gz XYQHMQMFOJEWMX-ZDUSSCGKSA-N 0 3 239.746 2.999 20 0 BFADHN CC[C@H](C)CN(CC)Cc1ccnn1CC ZINC000180202803 134327950 /nfs/dbraw/zinc/32/79/50/134327950.db2.gz ODVIFROMEBOPCO-LBPRGKRZSA-N 0 3 223.364 2.771 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000282049772 169408507 /nfs/dbraw/zinc/40/85/07/169408507.db2.gz GJFJXVGBGHBFEO-CMPLNLGQSA-N 0 3 225.332 2.708 20 0 BFADHN c1csc(CCNCc2nccs2)c1 ZINC000049819817 183515687 /nfs/dbraw/zinc/51/56/87/183515687.db2.gz HUALGEHXQGTGPG-UHFFFAOYSA-N 0 3 224.354 2.537 20 0 BFADHN c1nc(CCN2CCCC3(CC3)C2)cs1 ZINC000295716475 183527446 /nfs/dbraw/zinc/52/74/46/183527446.db2.gz KPCCGZKXKHHGRJ-UHFFFAOYSA-N 0 3 222.357 2.562 20 0 BFADHN CCC[C@H](N)C(=O)N(C)CCC1CCCCC1 ZINC000237035340 169500035 /nfs/dbraw/zinc/50/00/35/169500035.db2.gz LVWJZLKVEAEQFD-ZDUSSCGKSA-N 0 3 240.391 2.543 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](O)C(F)F)cc1 ZINC000224442620 134347176 /nfs/dbraw/zinc/34/71/76/134347176.db2.gz QPHVMSJXSFWOLI-BXKDBHETSA-N 0 3 243.297 2.526 20 0 BFADHN Cc1ccc(C)c([C@H](C)NC[C@H](O)C(F)F)c1 ZINC000224448546 134347526 /nfs/dbraw/zinc/34/75/26/134347526.db2.gz IKWGEJNHWNCMTB-JQWIXIFHSA-N 0 3 243.297 2.580 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H](O)C(F)F)cc1C ZINC000224453063 134347668 /nfs/dbraw/zinc/34/76/68/134347668.db2.gz YAVREDXZQZSTLA-CMPLNLGQSA-N 0 3 243.297 2.580 20 0 BFADHN CC[C@@H]1CN(CCCC(C)(C)C)CCO1 ZINC000337131441 171077780 /nfs/dbraw/zinc/07/77/80/171077780.db2.gz KNVSOTZICBRSTB-GFCCVEGCSA-N 0 3 213.365 2.924 20 0 BFADHN CCN(C(=O)c1cccc(CN(C)C)c1)C(C)C ZINC000355816018 169658485 /nfs/dbraw/zinc/65/84/85/169658485.db2.gz DTMQTECUVSWUSA-UHFFFAOYSA-N 0 3 248.370 2.619 20 0 BFADHN Cn1nccc1CN1CCC[C@H]2CCCC[C@H]21 ZINC000127639048 383837284 /nfs/dbraw/zinc/83/72/84/383837284.db2.gz LRHHUTZQEOZQLA-TZMCWYRMSA-N 0 3 233.359 2.575 20 0 BFADHN COC[C@H](N[C@@H](C)c1ccc(C)o1)C1CC1 ZINC000087478517 383844911 /nfs/dbraw/zinc/84/49/11/383844911.db2.gz OEGKFPBOGTZLAH-JQWIXIFHSA-N 0 3 223.316 2.664 20 0 BFADHN CC[C@@H](C)CN(C)Cc1ccc(C(=O)OC)o1 ZINC000111254345 383845750 /nfs/dbraw/zinc/84/57/50/383845750.db2.gz IHJIMNGEBVLVJW-SNVBAGLBSA-N 0 3 239.315 2.544 20 0 BFADHN CC[C@@H](C)CN(C)CC(=O)Nc1ccccc1C ZINC000111254898 383847295 /nfs/dbraw/zinc/84/72/95/383847295.db2.gz OIHPUEBPOCLCQD-GFCCVEGCSA-N 0 3 248.370 2.911 20 0 BFADHN CC[C@@H](CO)N[C@@H](C)c1ccc(Cl)s1 ZINC000035286313 383848462 /nfs/dbraw/zinc/84/84/62/383848462.db2.gz UXMGTHVNTHRAJM-YUMQZZPRSA-N 0 3 233.764 2.823 20 0 BFADHN C[C@@H](NCc1cccc(F)c1F)[C@H]1CCCO1 ZINC000035276390 383849352 /nfs/dbraw/zinc/84/93/52/383849352.db2.gz JKSRSEDHJHDAJI-BXKDBHETSA-N 0 3 241.281 2.622 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccco2)C12CCC2 ZINC000087708435 383859103 /nfs/dbraw/zinc/85/91/03/383859103.db2.gz QTKPNMDYYBHQQV-OLZOCXBDSA-N 0 3 235.327 2.717 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2ccncc2)C12CCC2 ZINC000087709105 383859906 /nfs/dbraw/zinc/85/99/06/383859906.db2.gz SCRCRSARQWKGPE-ZIAGYGMSSA-N 0 3 246.354 2.519 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCc3occc32)[C@@H](C)O1 ZINC000295848210 383860321 /nfs/dbraw/zinc/86/03/21/383860321.db2.gz BTDHCBOZPOISRE-WFFHOREQSA-N 0 3 235.327 2.813 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCc3occc32)[C@H](C)O1 ZINC000295848185 383860841 /nfs/dbraw/zinc/86/08/41/383860841.db2.gz BTDHCBOZPOISRE-DNIRFERGSA-N 0 3 235.327 2.813 20 0 BFADHN c1cc(CN[C@H]2CCC[C@@H]2c2ccccc2)n[nH]1 ZINC000111413029 383862512 /nfs/dbraw/zinc/86/25/12/383862512.db2.gz NMKCBOVALPYSIT-CABCVRRESA-N 0 3 241.338 2.836 20 0 BFADHN Cc1ccc(CNC2C(C)(C)C2(C)C)nc1 ZINC000088135550 383880690 /nfs/dbraw/zinc/88/06/90/383880690.db2.gz QJDDAJNWJFFVGY-UHFFFAOYSA-N 0 3 218.344 2.914 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCOC2)cc1C ZINC000087783070 383863958 /nfs/dbraw/zinc/86/39/58/383863958.db2.gz LPFJYWHINMAVDF-OCCSQVGLSA-N 0 3 219.328 2.743 20 0 BFADHN C[C@H](N[C@H]1COCC1(C)C)c1ccccc1 ZINC000313089086 383864699 /nfs/dbraw/zinc/86/46/99/383864699.db2.gz RTTXKMLCQWNCLY-AAEUAGOBSA-N 0 3 219.328 2.762 20 0 BFADHN Cc1ccc(C[C@@H](C)NCc2ncc[nH]2)c(C)c1 ZINC000066594451 383873184 /nfs/dbraw/zinc/87/31/84/383873184.db2.gz CICYZGOFHWPWBP-CYBMUJFWSA-N 0 3 243.354 2.747 20 0 BFADHN C[C@H](CCCO)NCc1ccc(F)cc1Cl ZINC000087359924 383831218 /nfs/dbraw/zinc/83/12/18/383831218.db2.gz DDTQAXSDYDGMFX-SECBINFHSA-N 0 3 245.725 2.730 20 0 BFADHN CCOCCNCc1ccc(C(F)(F)F)cc1 ZINC000035151511 383834712 /nfs/dbraw/zinc/83/47/12/383834712.db2.gz QAPADFHPPJPIQG-UHFFFAOYSA-N 0 3 247.260 2.832 20 0 BFADHN CC[C@H](O)[C@H](CC)N[C@H]1CCCc2occc21 ZINC000313119975 383907334 /nfs/dbraw/zinc/90/73/34/383907334.db2.gz BCHYQUCGLRPMGA-AVGNSLFASA-N 0 3 237.343 2.796 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1ccc(Cl)nc1 ZINC000290265351 383912698 /nfs/dbraw/zinc/91/26/98/383912698.db2.gz VQOQAIJUCAUTMQ-KXUCPTDWSA-N 0 3 242.750 2.809 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1ccc(Cl)nc1 ZINC000290265348 383913060 /nfs/dbraw/zinc/91/30/60/383913060.db2.gz VQOQAIJUCAUTMQ-BBBLOLIVSA-N 0 3 242.750 2.809 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@H]1C)c1cccc(F)c1F ZINC000090963759 383920559 /nfs/dbraw/zinc/92/05/59/383920559.db2.gz ABJLCFVJAGJCNT-HOTUBEGUSA-N 0 3 241.281 2.793 20 0 BFADHN C[C@@H](Cc1ccsc1)N1CC2(CC2)C1 ZINC000560140026 383927176 /nfs/dbraw/zinc/92/71/76/383927176.db2.gz JABZSDGCESSISU-JTQLQIEISA-N 0 3 207.342 2.775 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H](NCc2cnccn2)C1 ZINC000518482178 383935483 /nfs/dbraw/zinc/93/54/83/383935483.db2.gz BKOXBOOATWYBSM-CHWSQXEVSA-N 0 3 233.359 2.781 20 0 BFADHN Cn1ncc2c1CCC[C@@H]2NCc1cccs1 ZINC000037024079 383931980 /nfs/dbraw/zinc/93/19/80/383931980.db2.gz SKZVUOORMUSFGN-LBPRGKRZSA-N 0 3 247.367 2.649 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cccc(Cl)c1 ZINC000131900457 383885843 /nfs/dbraw/zinc/88/58/43/383885843.db2.gz KLNDUPQTSJZSHG-VHSXEESVSA-N 0 3 227.735 2.853 20 0 BFADHN CCOCCN[C@@H](CC)c1ccc(F)cc1 ZINC000036229112 383889854 /nfs/dbraw/zinc/88/98/54/383889854.db2.gz DYAZYPHRJMEICL-ZDUSSCGKSA-N 0 3 225.307 2.903 20 0 BFADHN CCC[C@H](C)C(=O)Nc1cccc(CNC)c1 ZINC000036282344 383894740 /nfs/dbraw/zinc/89/47/40/383894740.db2.gz MQSCVOGEUYTKLH-NSHDSACASA-N 0 3 234.343 2.781 20 0 BFADHN C[C@H](CN(C)Cc1cnn(C)c1)c1ccccc1 ZINC000066853356 383896239 /nfs/dbraw/zinc/89/62/39/383896239.db2.gz UMFFRUNLFKLDHD-CYBMUJFWSA-N 0 3 243.354 2.656 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1CC(=O)c1cc(C)[nH]c1C ZINC000348194647 383933767 /nfs/dbraw/zinc/93/37/67/383933767.db2.gz WRGGVMWZBBZDCW-ZWNOBZJWSA-N 0 3 248.370 2.935 20 0 BFADHN COC(C)(C)CN(C)Cc1cccc(C)c1F ZINC000560099776 383897301 /nfs/dbraw/zinc/89/73/01/383897301.db2.gz LTWMLMIRHOBWCH-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN C[C@@H](C1CCCCC1)N(C)Cc1cnccn1 ZINC000569325766 383898941 /nfs/dbraw/zinc/89/89/41/383898941.db2.gz SLBLRZQZQJHXJW-LBPRGKRZSA-N 0 3 233.359 2.877 20 0 BFADHN CC[C@@H](O)[C@H](CC)NCc1cc(F)cc(F)c1 ZINC000313166475 383979250 /nfs/dbraw/zinc/97/92/50/383979250.db2.gz TULRGQKDVYSSRW-QWHCGFSZSA-N 0 3 243.297 2.604 20 0 BFADHN COCC1(CN(C)Cc2ccoc2C)CCC1 ZINC000291137253 383979985 /nfs/dbraw/zinc/97/99/85/383979985.db2.gz XHZCIYSIEPGNQE-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN C[C@H](NC[C@H]1CCOC1)c1ccc(F)cc1F ZINC000037508061 383983626 /nfs/dbraw/zinc/98/36/26/383983626.db2.gz YHUCVMJDZZQRHF-VHSXEESVSA-N 0 3 241.281 2.652 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1ccc(C)o1 ZINC000070623963 383945297 /nfs/dbraw/zinc/94/52/97/383945297.db2.gz ZZWYIKHZBUTMKV-QWRGUYRKSA-N 0 3 211.305 2.664 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](C)Cn2ccnc2)o1 ZINC000037158005 383948200 /nfs/dbraw/zinc/94/82/00/383948200.db2.gz JEIGIONUIOIFMV-JQWIXIFHSA-N 0 3 233.315 2.524 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](C)Cn2cccn2)o1 ZINC000037155595 383949236 /nfs/dbraw/zinc/94/92/36/383949236.db2.gz HVOWNEJDUHFHDV-PWSUYJOCSA-N 0 3 233.315 2.524 20 0 BFADHN CC(C)C[C@@H](CN(C)C)N[C@H](C)c1ccco1 ZINC000037167119 383951834 /nfs/dbraw/zinc/95/18/34/383951834.db2.gz HFWWFYKCPKWNPR-OLZOCXBDSA-N 0 3 238.375 2.907 20 0 BFADHN c1ccc2c(c1)SCC[C@@H]2N[C@@H]1CCCOC1 ZINC000112793172 383952135 /nfs/dbraw/zinc/95/21/35/383952135.db2.gz GDJXXGLBYAGDKG-YPMHNXCESA-N 0 3 249.379 2.992 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(C3CC3)cc2F)CO1 ZINC000647212503 383954763 /nfs/dbraw/zinc/95/47/63/383954763.db2.gz YVCMVKNIPBLPEC-YGRLFVJLSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H](NCCc1cccnc1)c1ccco1 ZINC000037717738 384000829 /nfs/dbraw/zinc/00/08/29/384000829.db2.gz ZQAQTNYCEMADKL-LLVKDONJSA-N 0 3 216.284 2.568 20 0 BFADHN C[C@H](NCc1cc(F)ccc1F)[C@@H]1CCCO1 ZINC000037233341 383962102 /nfs/dbraw/zinc/96/21/02/383962102.db2.gz FVLYWXHSCCEBCV-ZANVPECISA-N 0 3 241.281 2.622 20 0 BFADHN c1c(CNCC2CCCC2)nc2ccccn12 ZINC000037258521 383964092 /nfs/dbraw/zinc/96/40/92/383964092.db2.gz QFJWEOBMACKPEG-UHFFFAOYSA-N 0 3 229.327 2.614 20 0 BFADHN CCOCCN[C@@H](c1ccc(F)cc1)C1CC1 ZINC000037269343 383969261 /nfs/dbraw/zinc/96/92/61/383969261.db2.gz IMBHPAHKCMCRNL-CQSZACIVSA-N 0 3 237.318 2.903 20 0 BFADHN CC(C)N(C)CC(=O)N[C@@H](C)CCC(C)(C)C ZINC000119908218 384001647 /nfs/dbraw/zinc/00/16/47/384001647.db2.gz RYDXZYMDLQQCPA-LBPRGKRZSA-N 0 3 242.407 2.658 20 0 BFADHN CCN(CCOc1ccccc1C(C)=O)C(C)C ZINC000037322967 383971961 /nfs/dbraw/zinc/97/19/61/383971961.db2.gz YAYMBPUKEREJLQ-UHFFFAOYSA-N 0 3 249.354 2.998 20 0 BFADHN Cc1noc(CCN[C@H](C)c2ccc(C)cc2)n1 ZINC000089518133 383973548 /nfs/dbraw/zinc/97/35/48/383973548.db2.gz FPFSTORUSOXEDC-LLVKDONJSA-N 0 3 245.326 2.580 20 0 BFADHN CC(C)Cn1nccc1CNC1(C2(C)CC2)CC1 ZINC000647216150 384008539 /nfs/dbraw/zinc/00/85/39/384008539.db2.gz WXDHRFXVZPUDNR-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN CN(Cc1ccc(F)c(F)c1)[C@@H]1CCSC1 ZINC000089936456 384011894 /nfs/dbraw/zinc/01/18/94/384011894.db2.gz QNZZWKNRZZVWED-SNVBAGLBSA-N 0 3 243.322 2.902 20 0 BFADHN CN(Cc1ccc(F)c(F)c1)[C@H]1CCSC1 ZINC000089936457 384013659 /nfs/dbraw/zinc/01/36/59/384013659.db2.gz QNZZWKNRZZVWED-JTQLQIEISA-N 0 3 243.322 2.902 20 0 BFADHN Cc1ccc([C@@H](C)NCCc2ccncc2)o1 ZINC000037980917 384048976 /nfs/dbraw/zinc/04/89/76/384048976.db2.gz XRRZUBNLDOBBCF-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN CCC[C@H](CNCc1csc(C)c1)OC ZINC000293771760 384036841 /nfs/dbraw/zinc/03/68/41/384036841.db2.gz VVDUPUSFWJHFNE-GFCCVEGCSA-N 0 3 227.373 2.961 20 0 BFADHN C[C@@H](O)CCN(C)Cc1ccc(Cl)s1 ZINC000075781232 384042212 /nfs/dbraw/zinc/04/22/12/384042212.db2.gz LSALHTZXSYCGPH-MRVPVSSYSA-N 0 3 233.764 2.604 20 0 BFADHN C[C@H](O)CCN(C)Cc1ccc(Cl)cc1 ZINC000075779711 384042382 /nfs/dbraw/zinc/04/23/82/384042382.db2.gz OIJLPFONKJLGAE-JTQLQIEISA-N 0 3 227.735 2.543 20 0 BFADHN CC[C@@H]1CCN1Cc1nc2ccccc2nc1C ZINC000292485858 384085001 /nfs/dbraw/zinc/08/50/01/384085001.db2.gz SMFMCNXEGCUPCV-GFCCVEGCSA-N 0 3 241.338 2.923 20 0 BFADHN C[C@]1(CO)CCCN1C/C=C\c1ccc(F)cc1 ZINC000530464203 384087046 /nfs/dbraw/zinc/08/70/46/384087046.db2.gz JQYGQEIUMKTMPR-NSRYLSIASA-N 0 3 249.329 2.686 20 0 BFADHN CC[C@@H](NC[C@@H](C)C(C)C)c1ccn(C)n1 ZINC000309474505 384090470 /nfs/dbraw/zinc/09/04/70/384090470.db2.gz RKNCSAWNGUTAFA-VXGBXAGGSA-N 0 3 223.364 2.753 20 0 BFADHN Cc1noc(C)c1CN1CCC2(CC2)CC1 ZINC000363459956 384060179 /nfs/dbraw/zinc/06/01/79/384060179.db2.gz UQQRFXDDKTWSAD-UHFFFAOYSA-N 0 3 220.316 2.667 20 0 BFADHN COc1cc(C)ccc1CN[C@H]1CCSC1 ZINC000076684108 384060964 /nfs/dbraw/zinc/06/09/64/384060964.db2.gz AUPSREAZJIEEMP-LBPRGKRZSA-N 0 3 237.368 2.599 20 0 BFADHN Cc1ccc(CNCCOc2cccc(F)c2)o1 ZINC000069832940 384065842 /nfs/dbraw/zinc/06/58/42/384065842.db2.gz RDNWGHXKEKWWJM-UHFFFAOYSA-N 0 3 249.285 2.896 20 0 BFADHN C[C@H](CN[C@@H](C)c1cc(F)ccc1F)N(C)C ZINC000038088274 384068068 /nfs/dbraw/zinc/06/80/68/384068068.db2.gz XXGKVGKXXJOHRF-ZJUUUORDSA-N 0 3 242.313 2.566 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ccccc1Cl)N(C)C ZINC000038088800 384068377 /nfs/dbraw/zinc/06/83/77/384068377.db2.gz OMPHRPSJESGSCE-QWRGUYRKSA-N 0 3 240.778 2.941 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCCC[C@@H]2O)o1 ZINC000038097776 384069917 /nfs/dbraw/zinc/06/99/17/384069917.db2.gz OYBLGNNMXAHINS-SRVKXCTJSA-N 0 3 223.316 2.542 20 0 BFADHN CCC[C@@H](C)N1CC[S@@](=O)C(CC)(CC)C1 ZINC000187727435 384071236 /nfs/dbraw/zinc/07/12/36/384071236.db2.gz FXKJQLGHOLDBAH-MLGOLLRUSA-N 0 3 245.432 2.798 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CCCC12CC2 ZINC000569473425 384099177 /nfs/dbraw/zinc/09/91/77/384099177.db2.gz ZCVCPLSZMUCKOJ-RYUDHWBXSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(F)cc2F)CCO1 ZINC000070361008 384101475 /nfs/dbraw/zinc/10/14/75/384101475.db2.gz LDNLEKYKAPKROZ-BXKDBHETSA-N 0 3 241.281 2.622 20 0 BFADHN CSC(C)(C)CN[C@@H](C)c1nccs1 ZINC000091731506 384106621 /nfs/dbraw/zinc/10/66/21/384106621.db2.gz ARIZJJMHISTMMK-QMMMGPOBSA-N 0 3 230.402 2.935 20 0 BFADHN C[C@H](Cc1cccs1)N[C@H](C)c1cnccn1 ZINC000070478394 384113719 /nfs/dbraw/zinc/11/37/19/384113719.db2.gz JWXJNMPAGOXEMN-GHMZBOCLSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@@H](NCc1ccn(C)c1)c1cccc(O)c1 ZINC000091008405 384092646 /nfs/dbraw/zinc/09/26/46/384092646.db2.gz CMSXWMGDDHSSCR-LLVKDONJSA-N 0 3 230.311 2.582 20 0 BFADHN C[C@H](NCC1(C)COC1)c1ccccc1F ZINC000070559425 384120304 /nfs/dbraw/zinc/12/03/04/384120304.db2.gz SRCLQNKFJRAPOD-JTQLQIEISA-N 0 3 223.291 2.513 20 0 BFADHN CC[C@@H](COC)N[C@H]1CCCc2occc21 ZINC000070623793 384124040 /nfs/dbraw/zinc/12/40/40/384124040.db2.gz KAEDMEWPMAZSKN-JQWIXIFHSA-N 0 3 223.316 2.672 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccc(F)cn1)C1CC1 ZINC000092195611 384124538 /nfs/dbraw/zinc/12/45/38/384124538.db2.gz FMQGQNZGKLSGHL-BDAKNGLRSA-N 0 3 208.280 2.670 20 0 BFADHN C[C@@H](NCC(C)(C)C)c1ccc(F)cn1 ZINC000092196208 384124595 /nfs/dbraw/zinc/12/45/95/384124595.db2.gz ZHMRKSBXEJEEEL-SECBINFHSA-N 0 3 210.296 2.917 20 0 BFADHN COC[C@@H](C)N[C@H]1CCc2cc(Cl)ccc21 ZINC000091437020 384126724 /nfs/dbraw/zinc/12/67/24/384126724.db2.gz KFXWWICOOFMOMX-RNCFNFMXSA-N 0 3 239.746 2.952 20 0 BFADHN Cc1ccc(CN(C)CCC2OCCCO2)cc1 ZINC000091465726 384127689 /nfs/dbraw/zinc/12/76/89/384127689.db2.gz FMJNYYIAAOJUSY-UHFFFAOYSA-N 0 3 249.354 2.580 20 0 BFADHN CC[C@@H](COC)NCc1ccc(Cl)c(F)c1 ZINC000070658003 384129707 /nfs/dbraw/zinc/12/97/07/384129707.db2.gz BDPQZRBFINRLJT-JTQLQIEISA-N 0 3 245.725 2.994 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1sc(C)nc1C ZINC000070656680 384130037 /nfs/dbraw/zinc/13/00/37/384130037.db2.gz CODSWDYIAOLMFS-KOLCDFICSA-N 0 3 242.388 2.836 20 0 BFADHN CC[C@@H](COC)NCc1ccc(F)cc1Cl ZINC000070658459 384130726 /nfs/dbraw/zinc/13/07/26/384130726.db2.gz DGNAQXMXJPDSEK-NSHDSACASA-N 0 3 245.725 2.994 20 0 BFADHN CC1(C)OCC[C@@H]1NCc1ccc(F)cc1F ZINC000293962358 384147623 /nfs/dbraw/zinc/14/76/23/384147623.db2.gz ZYVPRMWNFWSFRI-LBPRGKRZSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1ccsc1 ZINC000071011655 384149022 /nfs/dbraw/zinc/14/90/22/384149022.db2.gz KWKDASKAJDNMLD-ONGXEEELSA-N 0 3 211.330 2.578 20 0 BFADHN Fc1ccc2c(c1)CCN(CC1CC1)C2 ZINC000091891368 384151883 /nfs/dbraw/zinc/15/18/83/384151883.db2.gz BJNZLMZTJVCAFX-UHFFFAOYSA-N 0 3 205.276 2.594 20 0 BFADHN Cc1ccc2ncc(CN3CC[C@H](C)[C@@H]3C)n2c1 ZINC000091860581 384151896 /nfs/dbraw/zinc/15/18/96/384151896.db2.gz MCSIPAUZOVDQSY-STQMWFEESA-N 0 3 243.354 2.873 20 0 BFADHN Cc1ccc(F)c(CNCCCn2ccnc2)c1 ZINC000116912012 384158099 /nfs/dbraw/zinc/15/80/99/384158099.db2.gz SZKIESBYVVORDB-UHFFFAOYSA-N 0 3 247.317 2.511 20 0 BFADHN C[C@@H](N(C)Cc1cncs1)C1(C)CC1 ZINC000091900355 384153820 /nfs/dbraw/zinc/15/38/20/384153820.db2.gz UDSGNQKFHGMGGL-SECBINFHSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@H]1CCCN(Cc2cncs2)C1 ZINC000091919252 384155111 /nfs/dbraw/zinc/15/51/11/384155111.db2.gz NWBPDNMSYADHMU-JTQLQIEISA-N 0 3 210.346 2.765 20 0 BFADHN CC(C)C(CN1CCOC[C@@H]1C)C(C)C ZINC000449387109 384139029 /nfs/dbraw/zinc/13/90/29/384139029.db2.gz VZDBYWVIKONUFZ-LBPRGKRZSA-N 0 3 213.365 2.635 20 0 BFADHN CSC1(CN2CCOC3(CCC3)C2)CCC1 ZINC000336561864 384139419 /nfs/dbraw/zinc/13/94/19/384139419.db2.gz IFBRAIRXANSQHB-UHFFFAOYSA-N 0 3 241.400 2.527 20 0 BFADHN C[C@H](NCCCC(C)(C)CO)c1nccs1 ZINC000091727771 384140948 /nfs/dbraw/zinc/14/09/48/384140948.db2.gz RRDQREFXYJNHLT-JTQLQIEISA-N 0 3 242.388 2.592 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN1CCOC[C@@H]1C ZINC000449387772 384141162 /nfs/dbraw/zinc/14/11/62/384141162.db2.gz YAQKJACJCRWTKS-RWMBFGLXSA-N 0 3 211.349 2.533 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccc(C)s2)C1 ZINC000091772399 384142894 /nfs/dbraw/zinc/14/28/94/384142894.db2.gz PCOBACUUIRMZQQ-LLVKDONJSA-N 0 3 225.357 2.667 20 0 BFADHN CO[C@H]1CCCN(Cc2sccc2C)C1 ZINC000091772677 384144253 /nfs/dbraw/zinc/14/42/53/384144253.db2.gz SSPXPBKUUGJHTM-NSHDSACASA-N 0 3 225.357 2.667 20 0 BFADHN C[C@H](N[C@H]1CCOC1)c1cccc(Cl)c1 ZINC000070964435 384144295 /nfs/dbraw/zinc/14/42/95/384144295.db2.gz AACJNYDZHBLCEL-CABZTGNLSA-N 0 3 225.719 2.780 20 0 BFADHN c1ccc2c(c1)SCC[C@@H]2N[C@@H]1CCOC1 ZINC000070967024 384145438 /nfs/dbraw/zinc/14/54/38/384145438.db2.gz SAGPXEVQCDONFX-PWSUYJOCSA-N 0 3 235.352 2.602 20 0 BFADHN CC(C)(CNCc1ncc[nH]1)Cc1ccccc1 ZINC000117392165 384172174 /nfs/dbraw/zinc/17/21/74/384172174.db2.gz OFZLFEYTCRCMKJ-UHFFFAOYSA-N 0 3 243.354 2.768 20 0 BFADHN CC(C)[C@@H](CO)N[C@H](C)c1cc(F)ccc1F ZINC000092393973 384175741 /nfs/dbraw/zinc/17/57/41/384175741.db2.gz IOVKSXGNWATUGP-NOZJJQNGSA-N 0 3 243.297 2.632 20 0 BFADHN C[C@@H](NCCc1ccc(O)cc1)c1ccccn1 ZINC000040735062 384163526 /nfs/dbraw/zinc/16/35/26/384163526.db2.gz HLADOQFQWKXBFX-GFCCVEGCSA-N 0 3 242.322 2.681 20 0 BFADHN C[C@@H](NCCn1ccnc1)c1ccccc1Cl ZINC000041064024 384176330 /nfs/dbraw/zinc/17/63/30/384176330.db2.gz YTDBXYQSASQWKV-LLVKDONJSA-N 0 3 249.745 2.887 20 0 BFADHN CCC[C@@](C)(N)C(=O)N[C@@H](C)c1ccccc1C ZINC000040854807 384168084 /nfs/dbraw/zinc/16/80/84/384168084.db2.gz YATIHBWHLDGXDH-SWLSCSKDSA-N 0 3 248.370 2.690 20 0 BFADHN C[C@H](NCc1ccn(C)n1)C1CCCCCC1 ZINC000211674335 384168124 /nfs/dbraw/zinc/16/81/24/384168124.db2.gz DXKRZIKPOYJCFY-LBPRGKRZSA-N 0 3 235.375 2.869 20 0 BFADHN CC1(C)CCC[C@H](N[C@@H]2CCn3ccnc32)C1 ZINC000335953854 384169424 /nfs/dbraw/zinc/16/94/24/384169424.db2.gz BOGDKQLSRKQKDI-NWDGAFQWSA-N 0 3 233.359 2.886 20 0 BFADHN c1ncc(CN2CCC[C@@H]3CCC[C@@H]32)s1 ZINC000092485461 384181089 /nfs/dbraw/zinc/18/10/89/384181089.db2.gz ODADNQGXMKXCOX-JQWIXIFHSA-N 0 3 222.357 2.908 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@H](C)C2)c(F)c1 ZINC000566336772 384204049 /nfs/dbraw/zinc/20/40/49/384204049.db2.gz JLCANKBPCSEXDO-PHIMTYICSA-N 0 3 237.318 2.922 20 0 BFADHN CN(CCc1cscn1)Cc1cccc(O)c1 ZINC000516848253 384190435 /nfs/dbraw/zinc/19/04/35/384190435.db2.gz LNGWRWMZDGURGL-UHFFFAOYSA-N 0 3 248.351 2.523 20 0 BFADHN CC[C@H](C)[C@@H](CNC/C=C/c1ccncc1)OC ZINC000449400210 384190747 /nfs/dbraw/zinc/19/07/47/384190747.db2.gz ARRFQGCXXFXXES-XPKDBEDXSA-N 0 3 248.370 2.746 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CCCO1)c1ccsc1 ZINC000050339687 384193383 /nfs/dbraw/zinc/19/33/83/384193383.db2.gz TUSWFTQATANGNW-NHCYSSNCSA-N 0 3 225.357 2.966 20 0 BFADHN CSCCCN[C@H](C)c1cncc(F)c1 ZINC000132547740 384194235 /nfs/dbraw/zinc/19/42/35/384194235.db2.gz HGMBMNLRKVDMAN-SECBINFHSA-N 0 3 228.336 2.624 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@@H]2C2CCC2)n1 ZINC000092780845 384194322 /nfs/dbraw/zinc/19/43/22/384194322.db2.gz AJMSEAUPHZFSSS-CQSZACIVSA-N 0 3 246.354 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@@H]2C2CCC2)n1 ZINC000092780845 384194327 /nfs/dbraw/zinc/19/43/27/384194327.db2.gz AJMSEAUPHZFSSS-CQSZACIVSA-N 0 3 246.354 2.860 20 0 BFADHN CCn1ccnc1CNCC1C(C)(C)C1(C)C ZINC000092805173 384195021 /nfs/dbraw/zinc/19/50/21/384195021.db2.gz JYGQCKLNUNDGKE-UHFFFAOYSA-N 0 3 235.375 2.675 20 0 BFADHN CC[C@H](NCc1ccsc1Cl)[C@H](C)O ZINC000312622832 384196539 /nfs/dbraw/zinc/19/65/39/384196539.db2.gz LAQQYXMJARWUHT-CBAPKCEASA-N 0 3 233.764 2.651 20 0 BFADHN CN(CCC(C)(C)O)Cc1ccc(Cl)s1 ZINC000081645504 384196563 /nfs/dbraw/zinc/19/65/63/384196563.db2.gz NKUQQPHBRQMATH-UHFFFAOYSA-N 0 3 247.791 2.994 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1csc(C)c1 ZINC000294173049 384232001 /nfs/dbraw/zinc/23/20/01/384232001.db2.gz JJVWPELLLBODLN-SCZZXKLOSA-N 0 3 213.346 2.742 20 0 BFADHN CC[C@@H](COC)NC1(c2ccccc2C)CC1 ZINC000647316126 384210839 /nfs/dbraw/zinc/21/08/39/384210839.db2.gz QQEDUAQENKQFGF-ZDUSSCGKSA-N 0 3 233.355 2.999 20 0 BFADHN CO[C@H](CC(C)C)CN1CC[C@H](C)[C@@H](F)C1 ZINC000642700241 384212720 /nfs/dbraw/zinc/21/27/20/384212720.db2.gz QNDLNDTZRYMPOS-XQQFMLRXSA-N 0 3 231.355 2.727 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1ccc(F)cc1 ZINC000132141753 384216098 /nfs/dbraw/zinc/21/60/98/384216098.db2.gz QFKLXHRFRJABFF-HBNTYKKESA-N 0 3 225.307 2.900 20 0 BFADHN CCCCN(CCOC)Cc1ncccc1C ZINC000093173169 384216789 /nfs/dbraw/zinc/21/67/89/384216789.db2.gz CIGFIWJMMMYTKK-UHFFFAOYSA-N 0 3 236.359 2.639 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](C)[C@@H]2CCOC2)o1 ZINC000132166745 384219162 /nfs/dbraw/zinc/21/91/62/384219162.db2.gz ORNLBVYRFVWQOC-SDDRHHMPSA-N 0 3 223.316 2.664 20 0 BFADHN CC[C@H](NCc1cn2ccsc2n1)C(C)C ZINC000132303433 384224034 /nfs/dbraw/zinc/22/40/34/384224034.db2.gz WHPHAMODSZDGAM-NSHDSACASA-N 0 3 237.372 2.920 20 0 BFADHN Cc1ccc([C@@H](C)NCCn2cccn2)cc1 ZINC000042538681 384227342 /nfs/dbraw/zinc/22/73/42/384227342.db2.gz URAHVJIIOBLEST-CYBMUJFWSA-N 0 3 229.327 2.542 20 0 BFADHN COc1ccc2c(c1)CN(C[C@@H]1C[C@@H]1C)CCO2 ZINC000449527752 384228745 /nfs/dbraw/zinc/22/87/45/384228745.db2.gz KSTIMRBIXCVYPA-RYUDHWBXSA-N 0 3 247.338 2.546 20 0 BFADHN COC[C@@H]1CCCN(Cc2ccsc2)C1 ZINC000093338107 384228636 /nfs/dbraw/zinc/22/86/36/384228636.db2.gz CHWIYDJEADBSPK-LLVKDONJSA-N 0 3 225.357 2.607 20 0 BFADHN C[C@H](O)CCN(C)Cc1ccc(F)c(Cl)c1 ZINC000093481299 384242901 /nfs/dbraw/zinc/24/29/01/384242901.db2.gz AFQLGBZNSXTBLQ-VIFPVBQESA-N 0 3 245.725 2.682 20 0 BFADHN CC(C)CCN[C@H]1C[C@@H](C)n2ccnc21 ZINC000294042802 384245140 /nfs/dbraw/zinc/24/51/40/384245140.db2.gz ITDGQZFXPILRQB-MNOVXSKESA-N 0 3 207.321 2.525 20 0 BFADHN CC[C@@H](NC[C@H](C)CC(F)F)c1nccn1C ZINC000647318747 384246686 /nfs/dbraw/zinc/24/66/86/384246686.db2.gz YXRQCGJCTQTXDY-NXEZZACHSA-N 0 3 245.317 2.752 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CCO[C@@H](C)C2)C1 ZINC000560603392 384253104 /nfs/dbraw/zinc/25/31/04/384253104.db2.gz HOKKUCNJIPYMGZ-MJBXVCDLSA-N 0 3 225.376 2.924 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1ccsc1 ZINC000133609116 384254497 /nfs/dbraw/zinc/25/44/97/384254497.db2.gz WGFOOKOOUMUTHV-MWLCHTKSSA-N 0 3 213.346 2.560 20 0 BFADHN CC[C@@H](Cc1ccccc1)N(C)Cc1c[nH]cn1 ZINC000093660897 384257927 /nfs/dbraw/zinc/25/79/27/384257927.db2.gz QYCCGGSLCHFHNB-HNNXBMFYSA-N 0 3 243.354 2.863 20 0 BFADHN CC[C@@H](Cc1ccccc1)N(C)Cc1cnc[nH]1 ZINC000093660897 384257932 /nfs/dbraw/zinc/25/79/32/384257932.db2.gz QYCCGGSLCHFHNB-HNNXBMFYSA-N 0 3 243.354 2.863 20 0 BFADHN Cc1c(CN[C@H]2CCc3ccccc32)cnn1C ZINC000093649303 384258201 /nfs/dbraw/zinc/25/82/01/384258201.db2.gz BSYHLXGXIKMTNS-HNNXBMFYSA-N 0 3 241.338 2.506 20 0 BFADHN Cc1csc(CN[C@H](C)CC2CC2)n1 ZINC000082725482 384260380 /nfs/dbraw/zinc/26/03/80/384260380.db2.gz CXPXWRBVHYYLCM-MRVPVSSYSA-N 0 3 210.346 2.730 20 0 BFADHN C[C@@H]1[C@@H](c2ccccc2)CCN1Cc1cc[nH]n1 ZINC000093689817 384260655 /nfs/dbraw/zinc/26/06/55/384260655.db2.gz OOLRGNWSCVIXSB-DOMZBBRYSA-N 0 3 241.338 2.788 20 0 BFADHN CSC[C@H]1CCCN1Cc1ccco1 ZINC000093760604 384264564 /nfs/dbraw/zinc/26/45/64/384264564.db2.gz VWFRXTXNMWEHDI-SNVBAGLBSA-N 0 3 211.330 2.607 20 0 BFADHN CCC[C@@H]1CCCN(Cc2ccc(CO)o2)C1 ZINC000093704752 384265443 /nfs/dbraw/zinc/26/54/43/384265443.db2.gz RTDCGPWDPJJZRI-GFCCVEGCSA-N 0 3 237.343 2.784 20 0 BFADHN CCN(C)CCN[C@H](CC(C)C)c1ccccn1 ZINC000158758222 384266834 /nfs/dbraw/zinc/26/68/34/384266834.db2.gz VTBRFSQZFCFIES-OAHLLOKOSA-N 0 3 249.402 2.710 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@@H](C)CC(F)F ZINC000647320145 384269220 /nfs/dbraw/zinc/26/92/20/384269220.db2.gz XWVFTENGVSRBKN-VHSXEESVSA-N 0 3 245.317 2.845 20 0 BFADHN CCOC[C@@H]1CCN(Cc2ccc(CC)o2)C1 ZINC000093838979 384272134 /nfs/dbraw/zinc/27/21/34/384272134.db2.gz ZNXJVAZAWTZLRU-GFCCVEGCSA-N 0 3 237.343 2.700 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc(F)c(Cl)c1 ZINC000133142478 384272882 /nfs/dbraw/zinc/27/28/82/384272882.db2.gz DRKDAPCXCWEFJK-IUCAKERBSA-N 0 3 245.725 2.992 20 0 BFADHN COC(=O)c1ccc(CN(C)C2CCC2)s1 ZINC000119434400 384274243 /nfs/dbraw/zinc/27/42/43/384274243.db2.gz IIKBZAOTRBHGAS-UHFFFAOYSA-N 0 3 239.340 2.519 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1ccc(CO)o1 ZINC000093844945 384276206 /nfs/dbraw/zinc/27/62/06/384276206.db2.gz XBQYEBOAWDCKPO-LLVKDONJSA-N 0 3 225.332 2.640 20 0 BFADHN CC(C)(C)OCCN1CCc2ccsc2C1 ZINC000132659123 384241697 /nfs/dbraw/zinc/24/16/97/384241697.db2.gz ICDISSFSBRQMBW-UHFFFAOYSA-N 0 3 239.384 2.921 20 0 BFADHN CN(CC1=Cc2ccccc2OC1)C1CCC1 ZINC000093475815 384242290 /nfs/dbraw/zinc/24/22/90/384242290.db2.gz WPTADOZCMOHZHR-UHFFFAOYSA-N 0 3 229.323 2.947 20 0 BFADHN CSCCCN(C)[C@@H](C)c1cccnc1 ZINC000119801443 384304966 /nfs/dbraw/zinc/30/49/66/384304966.db2.gz BEZHPGGREPXLTA-NSHDSACASA-N 0 3 224.373 2.828 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2nc3ccccc3n2C)C1 ZINC000533432295 384307316 /nfs/dbraw/zinc/30/73/16/384307316.db2.gz YESNHNZXDGXOFP-RYUDHWBXSA-N 0 3 243.354 2.804 20 0 BFADHN CCOCCCN[C@H](C)c1nc(C)cs1 ZINC000083551154 384310374 /nfs/dbraw/zinc/31/03/74/384310374.db2.gz XJUAVBVWEVCSCW-SNVBAGLBSA-N 0 3 228.361 2.529 20 0 BFADHN C[C@H](O)C[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC000159227625 384311259 /nfs/dbraw/zinc/31/12/59/384311259.db2.gz PDJZGZROYSRNSM-FZMZJTMJSA-N 0 3 237.318 2.561 20 0 BFADHN Fc1ccc2c(c1)CN(CC1CCOCC1)CC2 ZINC000133851544 384315991 /nfs/dbraw/zinc/31/59/91/384315991.db2.gz HLDNTGMRSGIGID-UHFFFAOYSA-N 0 3 249.329 2.610 20 0 BFADHN CCSCCN1CCC[C@@H]1c1ccc[nH]1 ZINC000159331306 384322752 /nfs/dbraw/zinc/32/27/52/384322752.db2.gz QLBXGPRHLALUPP-GFCCVEGCSA-N 0 3 224.373 2.905 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1cc(F)cc(F)c1 ZINC000094827714 384317396 /nfs/dbraw/zinc/31/73/96/384317396.db2.gz JRGCQERPAALSMK-RNCFNFMXSA-N 0 3 243.297 2.776 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccccn1)C(C)(C)C ZINC000159274219 384317712 /nfs/dbraw/zinc/31/77/12/384317712.db2.gz UZNBASKXWSGXQP-WCQYABFASA-N 0 3 236.359 2.793 20 0 BFADHN C[C@@H](CCCO)N[C@@H](C)c1ccc(F)cc1F ZINC000094942608 384319951 /nfs/dbraw/zinc/31/99/51/384319951.db2.gz TZNDCHLMZZINNT-UWVGGRQHSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@H]1C)c1ccccc1F ZINC000133944636 384321071 /nfs/dbraw/zinc/32/10/71/384321071.db2.gz ZOHIUVLKJDPNIE-OUJBWJOFSA-N 0 3 223.291 2.654 20 0 BFADHN Cc1cc(CNC[C@H]2CC3CCC2CC3)nn1C ZINC000647224505 384279001 /nfs/dbraw/zinc/27/90/01/384279001.db2.gz LCWBLZVDIXSHQX-JXQTWKCFSA-N 0 3 247.386 2.644 20 0 BFADHN C[C@H](CCCO)NCc1cc(Cl)ccc1F ZINC000119541066 384284808 /nfs/dbraw/zinc/28/48/08/384284808.db2.gz DXNYNKHDFJBXAF-SECBINFHSA-N 0 3 245.725 2.730 20 0 BFADHN CCCC1(CNCc2ccnn2CC)CC1 ZINC000119573752 384287894 /nfs/dbraw/zinc/28/78/94/384287894.db2.gz WCQXJWZXBPBIHA-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CC2CCC1CC2 ZINC000647225119 384288764 /nfs/dbraw/zinc/28/87/64/384288764.db2.gz NRGXKXMTDXJDOL-BPCQOVAHSA-N 0 3 233.359 2.634 20 0 BFADHN C[C@@H](NC[C@@H](O)C1CCCCC1)c1ccccn1 ZINC000158977736 384292113 /nfs/dbraw/zinc/29/21/13/384292113.db2.gz VGWXHHKYWYCUOR-IUODEOHRSA-N 0 3 248.370 2.673 20 0 BFADHN COC[C@@H](C)NCc1ccc(Cl)cc1F ZINC000083358412 384292350 /nfs/dbraw/zinc/29/23/50/384292350.db2.gz PFNPRHMGNBAIJQ-MRVPVSSYSA-N 0 3 231.698 2.604 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1cccc(Cl)c1F ZINC000158986651 384296022 /nfs/dbraw/zinc/29/60/22/384296022.db2.gz VRYJVZXJTIMGPS-KWQFWETISA-N 0 3 243.709 2.746 20 0 BFADHN CCC[C@@H](NC[C@H](O)C(C)C)c1ccccn1 ZINC000179472979 384296158 /nfs/dbraw/zinc/29/61/58/384296158.db2.gz LROATXQNLXEEEP-OCCSQVGLSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@@H](CCO)CN[C@@H](C)c1ccccc1F ZINC000094948739 384321914 /nfs/dbraw/zinc/32/19/14/384321914.db2.gz HDZOCTBLNOWTGS-RYUDHWBXSA-N 0 3 239.334 2.885 20 0 BFADHN CCc1ccc(CNCCOC(C)C)cc1 ZINC000133596204 384300834 /nfs/dbraw/zinc/30/08/34/384300834.db2.gz AIINXKZCQLEDPW-UHFFFAOYSA-N 0 3 221.344 2.764 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cnn3ccccc23)C1 ZINC000179513097 384301013 /nfs/dbraw/zinc/30/10/13/384301013.db2.gz BMHVLOOREKLXJS-STQMWFEESA-N 0 3 243.354 2.812 20 0 BFADHN CC(C)n1cc(CN2C[C@H](C)C[C@H](C)C2)cn1 ZINC000179513011 384301117 /nfs/dbraw/zinc/30/11/17/384301117.db2.gz JCBSDSAXLCYLOB-BETUJISGSA-N 0 3 235.375 2.942 20 0 BFADHN CCN(CCCSC)Cc1ccccn1 ZINC000119765987 384303692 /nfs/dbraw/zinc/30/36/92/384303692.db2.gz PKGQTANDKMMTNS-UHFFFAOYSA-N 0 3 224.373 2.657 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC[C@H](C)C1 ZINC000335571637 384335245 /nfs/dbraw/zinc/33/52/45/384335245.db2.gz QUWOHPNVJILPFT-WCBMZHEXSA-N 0 3 225.361 2.515 20 0 BFADHN CCn1cc(CN(C)C2CCC(C)CC2)cn1 ZINC000179718219 384335335 /nfs/dbraw/zinc/33/53/35/384335335.db2.gz NEQKIPZTKVYKIV-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@H](N[C@@H](C)c1ccccc1)c1cnn(C)c1 ZINC000044494225 384337168 /nfs/dbraw/zinc/33/71/68/384337168.db2.gz YAWXOXNDOTYEAN-RYUDHWBXSA-N 0 3 229.327 2.832 20 0 BFADHN CC[C@H](NC[C@@](C)(CC)OC)c1nccs1 ZINC000319666365 384337578 /nfs/dbraw/zinc/33/75/78/384337578.db2.gz OYMMENCCKBPNJC-CMPLNLGQSA-N 0 3 242.388 2.999 20 0 BFADHN Cc1ccc(CN[C@]2(C)CCOC2)cc1C ZINC000120234363 384340438 /nfs/dbraw/zinc/34/04/38/384340438.db2.gz LNNHIEHURFBQPF-CQSZACIVSA-N 0 3 219.328 2.572 20 0 BFADHN Fc1ccc(Cl)cc1CNC[C@H]1CCOC1 ZINC000159978304 384375069 /nfs/dbraw/zinc/37/50/69/384375069.db2.gz PKWXLDAMTWYLOV-SECBINFHSA-N 0 3 243.709 2.605 20 0 BFADHN CC(C)N(C)Cc1cc(Cl)cn1C ZINC000120293039 384343824 /nfs/dbraw/zinc/34/38/24/384343824.db2.gz DRKOAWNIIZELAP-UHFFFAOYSA-N 0 3 200.713 2.519 20 0 BFADHN CC(C)CCCN1CC[C@H](OC(F)F)C1 ZINC000558865402 384344840 /nfs/dbraw/zinc/34/48/40/384344840.db2.gz KPBIUESIZVGJAR-JTQLQIEISA-N 0 3 221.291 2.736 20 0 BFADHN c1ccc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)nc1 ZINC000449535336 384345638 /nfs/dbraw/zinc/34/56/38/384345638.db2.gz HLQKZWWJJFGJRX-GJZGRUSLSA-N 0 3 228.339 2.702 20 0 BFADHN Clc1cc2c(c(CNCC3CC3)c1)OCC2 ZINC000159742889 384351557 /nfs/dbraw/zinc/35/15/57/384351557.db2.gz GXSXJUOYEOWORI-UHFFFAOYSA-N 0 3 237.730 2.775 20 0 BFADHN CSc1ccc(CN[C@H]2CCO[C@@H]2C)cc1 ZINC000120465536 384354708 /nfs/dbraw/zinc/35/47/08/384354708.db2.gz VMVRRJAKWMYKOZ-MFKMUULPSA-N 0 3 237.368 2.676 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CO[C@H](C)C2)cc1F ZINC000401970854 384355809 /nfs/dbraw/zinc/35/58/09/384355809.db2.gz MAOPXBWZHBMNNR-NTZNESFSSA-N 0 3 237.318 2.962 20 0 BFADHN Clc1cc2c(c(CNC3CCC3)c1)OCC2 ZINC000159743545 384356020 /nfs/dbraw/zinc/35/60/20/384356020.db2.gz VCIDZMUFSAILAE-UHFFFAOYSA-N 0 3 237.730 2.917 20 0 BFADHN Fc1cccc(CN[C@@H]2CCSC2)c1F ZINC000230705350 384358478 /nfs/dbraw/zinc/35/84/78/384358478.db2.gz DXLQYPGENJDLBW-SECBINFHSA-N 0 3 229.295 2.560 20 0 BFADHN Fc1ccc(Cl)cc1CNC[C@@H]1CCOC1 ZINC000159978172 384374289 /nfs/dbraw/zinc/37/42/89/384374289.db2.gz PKWXLDAMTWYLOV-VIFPVBQESA-N 0 3 243.709 2.605 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCOC(C)(C)C1 ZINC000159890443 384367719 /nfs/dbraw/zinc/36/77/19/384367719.db2.gz WJACSBLZAMLVDP-LLVKDONJSA-N 0 3 223.316 2.635 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccc(C)cc2)C1 ZINC000076347023 384368174 /nfs/dbraw/zinc/36/81/74/384368174.db2.gz QJSGORXOLYENHJ-CQSZACIVSA-N 0 3 219.328 2.606 20 0 BFADHN CCOc1cccc([C@H](C)N[C@@H]2CCO[C@@H]2C)c1 ZINC000120678269 384372155 /nfs/dbraw/zinc/37/21/55/384372155.db2.gz BJUOEESJOVDXMC-YWPYICTPSA-N 0 3 249.354 2.913 20 0 BFADHN CC1(C)C[C@@H]1NCc1cnn(-c2ccccc2)c1 ZINC000044370923 384326365 /nfs/dbraw/zinc/32/63/65/384326365.db2.gz KSHKDRHEFFVXQX-AWEZNQCLSA-N 0 3 241.338 2.760 20 0 BFADHN COC[C@@H](C)CNCc1c(F)cccc1Cl ZINC000044478621 384331899 /nfs/dbraw/zinc/33/18/99/384331899.db2.gz SWMCNQVFDKFCLJ-VIFPVBQESA-N 0 3 245.725 2.851 20 0 BFADHN C[C@@]1(NCc2cc3ccccc3o2)CCOC1 ZINC000120136467 384332108 /nfs/dbraw/zinc/33/21/08/384332108.db2.gz MKFAFRNYZNGHTO-CQSZACIVSA-N 0 3 231.295 2.701 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCCC[C@@H]1C ZINC000335571491 384333751 /nfs/dbraw/zinc/33/37/51/384333751.db2.gz GDTYWCZRFYDNMA-WCBMZHEXSA-N 0 3 225.361 2.515 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCCC[C@H]1C ZINC000335571489 384334276 /nfs/dbraw/zinc/33/42/76/384334276.db2.gz GDTYWCZRFYDNMA-PSASIEDQSA-N 0 3 225.361 2.515 20 0 BFADHN C[C@H]1CN(C)C[C@H]1Nc1cc(F)cc(Cl)c1 ZINC000309778923 384391939 /nfs/dbraw/zinc/39/19/39/384391939.db2.gz MLIDONDHGSAEQR-QPUJVOFHSA-N 0 3 242.725 2.841 20 0 BFADHN Fc1cccc(F)c1CCN1CCCCC1 ZINC000402001669 384392644 /nfs/dbraw/zinc/39/26/44/384392644.db2.gz GCVLXKWNUURHIG-UHFFFAOYSA-N 0 3 225.282 2.993 20 0 BFADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1ccccn1 ZINC000160214306 384394083 /nfs/dbraw/zinc/39/40/83/384394083.db2.gz VHOLFZTXMZMFQP-NEPJUHHUSA-N 0 3 234.343 2.690 20 0 BFADHN CSCCCN[C@H](C)c1ccc(C)o1 ZINC000035015522 384394166 /nfs/dbraw/zinc/39/41/66/384394166.db2.gz JQKLRZALXZNDMY-SNVBAGLBSA-N 0 3 213.346 2.992 20 0 BFADHN CC(C)[C@@H]1CCCCN1Cc1ccon1 ZINC000077317018 384401152 /nfs/dbraw/zinc/40/11/52/384401152.db2.gz UJNIQPAXQVFDBZ-LBPRGKRZSA-N 0 3 208.305 2.685 20 0 BFADHN c1nc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)cs1 ZINC000403710806 384402705 /nfs/dbraw/zinc/40/27/05/384402705.db2.gz FTNGHAKBHYPVOG-MXWKQRLJSA-N 0 3 222.357 2.669 20 0 BFADHN Cc1ccoc1CNC[C@H](C)c1nccs1 ZINC000134987621 384404453 /nfs/dbraw/zinc/40/44/53/384404453.db2.gz OPQNJRVNZVEKKG-JTQLQIEISA-N 0 3 236.340 2.938 20 0 BFADHN CC[C@@H](CO)NCc1c(C)oc2ccccc21 ZINC000121246516 384406164 /nfs/dbraw/zinc/40/61/64/384406164.db2.gz DCHQJTCXGAWLAB-NSHDSACASA-N 0 3 233.311 2.602 20 0 BFADHN COc1cc2c(c(CN3CCCC3)c1)O[C@@H](C)C2 ZINC000170790499 384408919 /nfs/dbraw/zinc/40/89/19/384408919.db2.gz WJZFKHYKZNKGIW-NSHDSACASA-N 0 3 247.338 2.614 20 0 BFADHN C[C@H](NCCc1ccco1)c1ccco1 ZINC000035661698 384414623 /nfs/dbraw/zinc/41/46/23/384414623.db2.gz YIEBWKRMBLQRAP-JTQLQIEISA-N 0 3 205.257 2.766 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1cccc(O)c1 ZINC000313474861 384415562 /nfs/dbraw/zinc/41/55/62/384415562.db2.gz XTGBPHKCJGGYIB-AWEZNQCLSA-N 0 3 249.354 2.783 20 0 BFADHN C[C@@H](NCCc1ccco1)c1ccco1 ZINC000035661699 384415905 /nfs/dbraw/zinc/41/59/05/384415905.db2.gz YIEBWKRMBLQRAP-SNVBAGLBSA-N 0 3 205.257 2.766 20 0 BFADHN Cc1ccoc1CNC[C@@H](CC(C)C)N(C)C ZINC000135144104 384417844 /nfs/dbraw/zinc/41/78/44/384417844.db2.gz HEGHECKIHRTPFW-CYBMUJFWSA-N 0 3 238.375 2.654 20 0 BFADHN COCc1nc(CN[C@@H]2CC[C@@H](C)C2)cs1 ZINC000275842701 384420293 /nfs/dbraw/zinc/42/02/93/384420293.db2.gz QHDZJGJWYYGRMI-NXEZZACHSA-N 0 3 240.372 2.568 20 0 BFADHN C[C@H](CNCc1ccc(CO)o1)c1ccccc1 ZINC000077897764 384421237 /nfs/dbraw/zinc/42/12/37/384421237.db2.gz DWRJSUZZIHOTOF-GFCCVEGCSA-N 0 3 245.322 2.665 20 0 BFADHN COc1cccc(CN2CC(C3CC3)C2)c1 ZINC000643346008 384422014 /nfs/dbraw/zinc/42/20/14/384422014.db2.gz XBAPDYCOCDLPFV-UHFFFAOYSA-N 0 3 217.312 2.537 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1ccc(Cl)cc1 ZINC000096033491 384423541 /nfs/dbraw/zinc/42/35/41/384423541.db2.gz MMYHVTIIUGNPHS-BXKDBHETSA-N 0 3 225.719 2.607 20 0 BFADHN Cc1ncc(CNCC[C@H]2CCCCO2)s1 ZINC000134672232 384379048 /nfs/dbraw/zinc/37/90/48/384379048.db2.gz YMHKZXGGYGQMPS-LLVKDONJSA-N 0 3 240.372 2.500 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@@H]1CCCOc2ccccc21 ZINC000120774775 384379235 /nfs/dbraw/zinc/37/92/35/384379235.db2.gz ZJSBOBNDHPPIQH-IACUBPJLSA-N 0 3 247.338 2.667 20 0 BFADHN Cc1ccc(CN2CC(C3CC3)C2)cc1 ZINC000643345360 384379931 /nfs/dbraw/zinc/37/99/31/384379931.db2.gz SFNLWXKCNFTOLA-UHFFFAOYSA-N 0 3 201.313 2.837 20 0 BFADHN C[C@H](NCC[C@@H]1CCCCO1)c1cncs1 ZINC000134730618 384385081 /nfs/dbraw/zinc/38/50/81/384385081.db2.gz DPVUPOFOADDXKW-QWRGUYRKSA-N 0 3 240.372 2.753 20 0 BFADHN CC[C@@H](NCc1cnc(C(C)C)s1)[C@@H](C)O ZINC000449536522 384386613 /nfs/dbraw/zinc/38/66/13/384386613.db2.gz FFMWKWFXAJYHJM-MWLCHTKSSA-N 0 3 242.388 2.516 20 0 BFADHN Cc1ccncc1CNCCOc1ccccc1 ZINC000135456960 384445356 /nfs/dbraw/zinc/44/53/56/384445356.db2.gz RHGBJLHHRXFUOB-UHFFFAOYSA-N 0 3 242.322 2.559 20 0 BFADHN CC(C)[C@@H](O)CN[C@H](C)c1ccc(F)c(F)c1 ZINC000135465541 384447349 /nfs/dbraw/zinc/44/73/49/384447349.db2.gz BZVQQWURGPKPRS-RNCFNFMXSA-N 0 3 243.297 2.632 20 0 BFADHN CCc1ccc(CN(C)[C@H](C)C2CC2)nc1 ZINC000561017661 384447977 /nfs/dbraw/zinc/44/79/77/384447977.db2.gz BCUQESDDWUOQDL-LLVKDONJSA-N 0 3 218.344 2.874 20 0 BFADHN CSC[C@H]1CCCN1Cc1cnc(C)s1 ZINC000135481926 384448511 /nfs/dbraw/zinc/44/85/11/384448511.db2.gz JWFJFEGWWARXMX-SNVBAGLBSA-N 0 3 242.413 2.779 20 0 BFADHN CSC[C@@H]1CCCN1Cc1cnc(C)s1 ZINC000135481949 384449211 /nfs/dbraw/zinc/44/92/11/384449211.db2.gz JWFJFEGWWARXMX-JTQLQIEISA-N 0 3 242.413 2.779 20 0 BFADHN Fc1cc(OCCN2CCCC2)ccc1Cl ZINC000147796842 384454961 /nfs/dbraw/zinc/45/49/61/384454961.db2.gz OIDHKAIORIUPQS-UHFFFAOYSA-N 0 3 243.709 2.954 20 0 BFADHN COc1c(C)cnc(CN(C)CCC2CC2)c1C ZINC000135293685 384431373 /nfs/dbraw/zinc/43/13/73/384431373.db2.gz ZZYNZJGBECOPKI-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN CC1(C)CCCC[C@H]1NCc1cnns1 ZINC000308949526 384434751 /nfs/dbraw/zinc/43/47/51/384434751.db2.gz XGHAFZDVWFPCKE-SNVBAGLBSA-N 0 3 225.361 2.597 20 0 BFADHN COC[C@@H](C)N(C)C/C=C\c1ccccc1 ZINC000255161533 384434750 /nfs/dbraw/zinc/43/47/50/384434750.db2.gz RSXUAPJXICHZFN-PGJNLMOESA-N 0 3 219.328 2.667 20 0 BFADHN CCOc1ccccc1CNCCOCC1CC1 ZINC000224524892 384438248 /nfs/dbraw/zinc/43/82/48/384438248.db2.gz NPMGYJCFEYMCNH-UHFFFAOYSA-N 0 3 249.354 2.602 20 0 BFADHN COc1cc(C)nc(CN[C@]2(C)CC=CCC2)c1 ZINC000398314435 384440763 /nfs/dbraw/zinc/44/07/63/384440763.db2.gz CGIGCOZEFYKAIU-OAHLLOKOSA-N 0 3 246.354 2.987 20 0 BFADHN CN(CCC1CCCCC1)Cc1ccc(N)nc1 ZINC000647515049 384443046 /nfs/dbraw/zinc/44/30/46/384443046.db2.gz NICORZJYPOYZSR-UHFFFAOYSA-N 0 3 247.386 2.896 20 0 BFADHN CCOC[C@@H](C)NC1(c2ccccc2OC)CC1 ZINC000647329099 384443267 /nfs/dbraw/zinc/44/32/67/384443267.db2.gz GNPNHIRHNOWVLJ-GFCCVEGCSA-N 0 3 249.354 2.699 20 0 BFADHN CC[C@@H](N)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC000036871231 384460195 /nfs/dbraw/zinc/46/01/95/384460195.db2.gz VSUHBJZUZFTJKI-SECBINFHSA-N 0 3 247.125 2.669 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCC2(O)CCCC2)o1 ZINC000096478900 384461515 /nfs/dbraw/zinc/46/15/15/384461515.db2.gz NMLYOTGQVAHBSL-WCQYABFASA-N 0 3 249.354 2.798 20 0 BFADHN CC[C@@H](C)N1CCc2cc(F)ccc2C1 ZINC000181161857 384461727 /nfs/dbraw/zinc/46/17/27/384461727.db2.gz GYQMLPVNOZLCQZ-SNVBAGLBSA-N 0 3 207.292 2.982 20 0 BFADHN CC(C)=CCN1CC[C@@H](c2ccncc2)C1 ZINC000568178815 384462279 /nfs/dbraw/zinc/46/22/79/384462279.db2.gz YIOVXTWNMQMAQV-CQSZACIVSA-N 0 3 216.328 2.837 20 0 BFADHN CC[C@]1(C)CCCN(Cc2ccc(N)nc2)C1 ZINC000647516368 384464685 /nfs/dbraw/zinc/46/46/85/384464685.db2.gz JERNABILFNPAHN-CQSZACIVSA-N 0 3 233.359 2.506 20 0 BFADHN C[C@H]1CC[C@H](CNCc2ccccc2Cl)O1 ZINC000148365462 384465118 /nfs/dbraw/zinc/46/51/18/384465118.db2.gz BHNSNAGIVDDALF-CMPLNLGQSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@H](O)CCNCc1ccc(Cl)cc1Cl ZINC000078782077 384465959 /nfs/dbraw/zinc/46/59/59/384465959.db2.gz GKANBINABSDASQ-QMMMGPOBSA-N 0 3 248.153 2.854 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc(F)cc(Cl)c2)CO1 ZINC000335662489 384469390 /nfs/dbraw/zinc/46/93/90/384469390.db2.gz FIDMIQAXVYPHBU-PELKAZGASA-N 0 3 243.709 2.746 20 0 BFADHN CC(C)CCN1CCC[C@H]1C(=O)OC(C)(C)C ZINC000148939205 384471917 /nfs/dbraw/zinc/47/19/17/384471917.db2.gz WFEPXQVIGUUDFI-LBPRGKRZSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@H]1CC[C@H](CNCc2cccc(Cl)c2)O1 ZINC000148683114 384472425 /nfs/dbraw/zinc/47/24/25/384472425.db2.gz FRFOPUSRBYCDDU-GXFFZTMASA-N 0 3 239.746 2.997 20 0 BFADHN Cc1cccc(CN[C@@H]2CO[C@@H](C)C2)c1C ZINC000335663533 384473696 /nfs/dbraw/zinc/47/36/96/384473696.db2.gz NFEVPQBVGFIHDP-FZMZJTMJSA-N 0 3 219.328 2.570 20 0 BFADHN CC1(C)CCC[C@H](NCc2cnccn2)C1 ZINC000149547940 384482072 /nfs/dbraw/zinc/48/20/72/384482072.db2.gz XIDAGEKOYCNOPM-NSHDSACASA-N 0 3 219.332 2.535 20 0 BFADHN CC(C)=CCCNCc1nc(C)cs1 ZINC000276592537 384484190 /nfs/dbraw/zinc/48/41/90/384484190.db2.gz DXDHXYUVCNCOOG-UHFFFAOYSA-N 0 3 210.346 2.897 20 0 BFADHN C[C@H](F)CCN1CCC[C@H]1c1ccccn1 ZINC000556811477 384497192 /nfs/dbraw/zinc/49/71/92/384497192.db2.gz LQFLJJZLKJCNLA-AAEUAGOBSA-N 0 3 222.307 2.967 20 0 BFADHN CSCCN(C)Cc1ccc(F)c(F)c1 ZINC000097119917 384498892 /nfs/dbraw/zinc/49/88/92/384498892.db2.gz MXVUKNFXCBXCKC-UHFFFAOYSA-N 0 3 231.311 2.760 20 0 BFADHN C[C@@H](N[C@H]1/C=C/CCCCC1)c1cnn(C)c1 ZINC000252509202 384501807 /nfs/dbraw/zinc/50/18/07/384501807.db2.gz YFXXUAKCYHIXEP-AGMJWKPSSA-N 0 3 233.359 2.960 20 0 BFADHN C[C@@H]1CC[C@@]2(CCN(Cc3ccc(N)nc3)C2)C1 ZINC000647518511 384505237 /nfs/dbraw/zinc/50/52/37/384505237.db2.gz OFVOUYDTLKVXKU-IUODEOHRSA-N 0 3 245.370 2.506 20 0 BFADHN CCCCN[C@H](C)c1cn(-c2ccccc2)nn1 ZINC000150837844 384509188 /nfs/dbraw/zinc/50/91/88/384509188.db2.gz SKKQJBDUMKYOFZ-GFCCVEGCSA-N 0 3 244.342 2.718 20 0 BFADHN CC[C@H](COC)Nc1cccc(CN(C)C)c1 ZINC000079709324 384510393 /nfs/dbraw/zinc/51/03/93/384510393.db2.gz NDUAYKSQAWBRIQ-CYBMUJFWSA-N 0 3 236.359 2.585 20 0 BFADHN CC[C@@H](COC)NCc1cc(F)c(F)c(F)c1 ZINC000079735176 384511008 /nfs/dbraw/zinc/51/10/08/384511008.db2.gz IQSUKULOOWGISP-VIFPVBQESA-N 0 3 247.260 2.619 20 0 BFADHN CC[C@@H](Cc1ccccc1)N[C@H](C)CF ZINC000290252909 384487108 /nfs/dbraw/zinc/48/71/08/384487108.db2.gz VVYBDUBQWVOXGF-YPMHNXCESA-N 0 3 209.308 2.955 20 0 BFADHN COc1cc(C)ccc1CN[C@]1(C)CCO[C@@H]1C ZINC000449238957 384487497 /nfs/dbraw/zinc/48/74/97/384487497.db2.gz HVWIPYOEUIWMIW-IUODEOHRSA-N 0 3 249.354 2.661 20 0 BFADHN CC[C@@H](C)N(Cc1ccccc1)C(=O)[C@@H](N)CC ZINC000037800268 384534582 /nfs/dbraw/zinc/53/45/82/384534582.db2.gz GIFFPRNDCJTOEU-OCCSQVGLSA-N 0 3 248.370 2.551 20 0 BFADHN FC(F)(F)OCCNC/C=C/c1ccccc1 ZINC000353180999 384514235 /nfs/dbraw/zinc/51/42/35/384514235.db2.gz IZOGQQIKTZJNBI-QPJJXVBHSA-N 0 3 245.244 2.826 20 0 BFADHN CC(C)[C@H]1CN(Cc2cncn2C)[C@H]1C(C)C ZINC000449550845 384514601 /nfs/dbraw/zinc/51/46/01/384514601.db2.gz DZKVXZHWQQRWMP-KGLIPLIRSA-N 0 3 235.375 2.533 20 0 BFADHN C[C@H](CCO)CN[C@H](C)c1cc(F)ccc1F ZINC000097439107 384520612 /nfs/dbraw/zinc/52/06/12/384520612.db2.gz VNKRRMFIPIYOFS-NXEZZACHSA-N 0 3 243.297 2.634 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](C)CCCO)o1 ZINC000122909875 384520954 /nfs/dbraw/zinc/52/09/54/384520954.db2.gz YFOJRGQTGPVGOG-GHMZBOCLSA-N 0 3 225.332 2.654 20 0 BFADHN C[C@@H](N[C@H]1CC=CCC1)c1cscn1 ZINC000161725133 384521021 /nfs/dbraw/zinc/52/10/21/384521021.db2.gz YBMUCULTRARQBU-ZJUUUORDSA-N 0 3 208.330 2.902 20 0 BFADHN C[C@@H](N[C@@H]1CC=CCC1)c1cscn1 ZINC000161725305 384521034 /nfs/dbraw/zinc/52/10/34/384521034.db2.gz YBMUCULTRARQBU-NXEZZACHSA-N 0 3 208.330 2.902 20 0 BFADHN CCc1cccc(NC(=O)[C@@H](N)[C@H](C)CC)c1 ZINC000019507455 384525261 /nfs/dbraw/zinc/52/52/61/384525261.db2.gz PFLQMZJEUKMIEH-MFKMUULPSA-N 0 3 234.343 2.561 20 0 BFADHN CC(C)n1ccnc1CN1CCC[C@@H]1C1CC1 ZINC000363387142 384526706 /nfs/dbraw/zinc/52/67/06/384526706.db2.gz ZWLGZJDWIXPQDT-CYBMUJFWSA-N 0 3 233.359 2.838 20 0 BFADHN Cc1nccn1CCN[C@@H](C)c1cccs1 ZINC000049330126 384529597 /nfs/dbraw/zinc/52/95/97/384529597.db2.gz LGJZOAOPSYWHMB-JTQLQIEISA-N 0 3 235.356 2.604 20 0 BFADHN C[C@@H](NCC(C)(C)O)c1ccc(F)cc1Cl ZINC000080122032 384531414 /nfs/dbraw/zinc/53/14/14/384531414.db2.gz YDAAYQZWFUSOGB-MRVPVSSYSA-N 0 3 245.725 2.901 20 0 BFADHN CC(C)[C@H]1C[C@@H](NCc2ccoc2)CS1 ZINC000276835594 384532941 /nfs/dbraw/zinc/53/29/41/384532941.db2.gz BSCYLXJMYWPAHX-VXGBXAGGSA-N 0 3 225.357 2.899 20 0 BFADHN C[C@H]1C[C@H](NC2(c3ccc(F)cc3)CC2)CO1 ZINC000647180755 384542013 /nfs/dbraw/zinc/54/20/13/384542013.db2.gz STOBECFFRMHWSN-GWCFXTLKSA-N 0 3 235.302 2.582 20 0 BFADHN C[C@@H](CN(C)Cc1ccccn1)c1nccs1 ZINC000183933584 384542284 /nfs/dbraw/zinc/54/22/84/384542284.db2.gz SJSAVKLLJLQGTP-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN CCOc1ncccc1CN[C@H](C)C1(C)CC1 ZINC000151212425 384545581 /nfs/dbraw/zinc/54/55/81/384545581.db2.gz GJWZFWQZOBPALO-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN c1ccc([C@@H]2COCCN2CC2CCC2)cc1 ZINC000362429572 384548742 /nfs/dbraw/zinc/54/87/42/384548742.db2.gz JIMPJCIPIPTINX-HNNXBMFYSA-N 0 3 231.339 2.860 20 0 BFADHN c1nn2ccccc2c1CN[C@H]1CC12CCCC2 ZINC000335878052 384549225 /nfs/dbraw/zinc/54/92/25/384549225.db2.gz NCKUKUBESREBJE-AWEZNQCLSA-N 0 3 241.338 2.757 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCc1ccccc1 ZINC000449561180 384537888 /nfs/dbraw/zinc/53/78/88/384537888.db2.gz BFBQSSVBJDLBTJ-CYBMUJFWSA-N 0 3 243.354 2.796 20 0 BFADHN CCOCCN[C@@H](C)c1sc(CC)nc1C ZINC000161886438 384537899 /nfs/dbraw/zinc/53/78/99/384537899.db2.gz NHZOKHRWSRKDBZ-VIFPVBQESA-N 0 3 242.388 2.701 20 0 BFADHN COC[C@H](N[C@@H]1CC[C@H]1C(C)C)c1ccco1 ZINC000556870061 384569240 /nfs/dbraw/zinc/56/92/40/384569240.db2.gz BXEIHDINIRSIJG-XQQFMLRXSA-N 0 3 237.343 2.991 20 0 BFADHN C[C@H](NCCO)c1ccc(Cl)cc1Cl ZINC000020050092 384571728 /nfs/dbraw/zinc/57/17/28/384571728.db2.gz CBASFATUFAAWID-ZETCQYMHSA-N 0 3 234.126 2.636 20 0 BFADHN CSCCCNCc1ccccc1F ZINC000020091182 384574670 /nfs/dbraw/zinc/57/46/70/384574670.db2.gz HRAAXLZAOKQDBA-UHFFFAOYSA-N 0 3 213.321 2.668 20 0 BFADHN c1nc(CN[C@H]2CCc3ccccc3C2)cs1 ZINC000049899740 384574779 /nfs/dbraw/zinc/57/47/79/384574779.db2.gz HTZFSIMCXMBMAA-ZDUSSCGKSA-N 0 3 244.363 2.790 20 0 BFADHN C[C@@H](NCCOc1ccccc1)c1ccncc1 ZINC000049855272 384575783 /nfs/dbraw/zinc/57/57/83/384575783.db2.gz NOGIMXYULYTSAV-CYBMUJFWSA-N 0 3 242.322 2.811 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CC[C@@H](C)C[C@H]1C ZINC000309746813 384577689 /nfs/dbraw/zinc/57/76/89/384577689.db2.gz FVBZYDKVJKTVFY-CKYFFXLPSA-N 0 3 221.348 2.632 20 0 BFADHN CC[C@H](C)C[C@@H](CO)N[C@@H](C)c1cccnc1 ZINC000647337282 384579849 /nfs/dbraw/zinc/57/98/49/384579849.db2.gz NEBMHPAJEVKCMX-OBJOEFQTSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)[C@@H](CO)NCc1cc2ccccc2o1 ZINC000080789250 384581483 /nfs/dbraw/zinc/58/14/83/384581483.db2.gz TURGZYNZLUYHIN-CYBMUJFWSA-N 0 3 233.311 2.539 20 0 BFADHN CC[C@H](C)C[C@H](CO)N[C@H](C)c1ccccn1 ZINC000647337928 384582205 /nfs/dbraw/zinc/58/22/05/384582205.db2.gz XHJWVESJLXERPA-YNEHKIRRSA-N 0 3 236.359 2.529 20 0 BFADHN FCC1CCN(C/C=C\c2ccncc2)CC1 ZINC000449450691 384582907 /nfs/dbraw/zinc/58/29/07/384582907.db2.gz BQLFGUJTRDURRU-UPHRSURJSA-N 0 3 234.318 2.776 20 0 BFADHN CC[C@H](CSC)NCc1ccc(C)cn1 ZINC000162162851 384584070 /nfs/dbraw/zinc/58/40/70/384584070.db2.gz PZNCGBRHIJBJSS-LLVKDONJSA-N 0 3 224.373 2.621 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)N(C)c1cc(C)cc(C)c1 ZINC000049988772 384584123 /nfs/dbraw/zinc/58/41/23/384584123.db2.gz CZBVZEDLXRWBJF-OCCSQVGLSA-N 0 3 248.370 2.640 20 0 BFADHN Cc1cnn(CCN[C@H](C)c2ccc(C)cc2)c1 ZINC000123834732 384585536 /nfs/dbraw/zinc/58/55/36/384585536.db2.gz QRSZGZNJWISDRM-CQSZACIVSA-N 0 3 243.354 2.851 20 0 BFADHN C[C@H](NCCCO)c1ccc(F)c(Cl)c1 ZINC000020188462 384588131 /nfs/dbraw/zinc/58/81/31/384588131.db2.gz NPTYFFKGAGGNKV-QMMMGPOBSA-N 0 3 231.698 2.512 20 0 BFADHN c1cc2c(c(CN3CC[C@H]4CCC[C@@H]43)c1)OCO2 ZINC000428452100 384566348 /nfs/dbraw/zinc/56/63/48/384566348.db2.gz JFGNJHIEVJDLGR-YPMHNXCESA-N 0 3 245.322 2.790 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CO[C@H](C)C2)c(C)n1 ZINC000647350710 384632516 /nfs/dbraw/zinc/63/25/16/384632516.db2.gz QEDAPGOPPFPKSR-KGYLQXTDSA-N 0 3 234.343 2.526 20 0 BFADHN Fc1ccc(CNC2CCSCC2)c(F)c1 ZINC000050198609 384605274 /nfs/dbraw/zinc/60/52/74/384605274.db2.gz VRELQNMQKRHWIX-UHFFFAOYSA-N 0 3 243.322 2.950 20 0 BFADHN CC[C@@H](C)N[C@@H](CCO)c1ccccc1F ZINC000647345015 384605272 /nfs/dbraw/zinc/60/52/72/384605272.db2.gz CKYHAVDJOOUNTI-MFKMUULPSA-N 0 3 225.307 2.637 20 0 BFADHN CC(C)[C@H](C)N[C@@H](CCO)c1ccccc1F ZINC000647346902 384606820 /nfs/dbraw/zinc/60/68/20/384606820.db2.gz PKSIOXPWGWCZGP-FZMZJTMJSA-N 0 3 239.334 2.883 20 0 BFADHN CC1CC(N[C@@H](CCO)c2ccccc2F)C1 ZINC000647345543 384607003 /nfs/dbraw/zinc/60/70/03/384607003.db2.gz KRZWMRGJKCWVKW-MGULZYLOSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)CCc1ccco1 ZINC000020253327 384607074 /nfs/dbraw/zinc/60/70/74/384607074.db2.gz BNVVRPFVRKEVAS-SNVBAGLBSA-N 0 3 248.326 2.995 20 0 BFADHN Cn1cccc1CN[C@H]1CCc2cc(F)ccc21 ZINC000172628305 384610675 /nfs/dbraw/zinc/61/06/75/384610675.db2.gz FEKCYPCXLIDZSR-HNNXBMFYSA-N 0 3 244.313 2.941 20 0 BFADHN CN(C)Cc1cccc(NC[C@H]2CCC=CO2)c1 ZINC000050268303 384611201 /nfs/dbraw/zinc/61/12/01/384611201.db2.gz FRIQBFXWJROLFK-OAHLLOKOSA-N 0 3 246.354 2.853 20 0 BFADHN CCC(O)(CC)CN[C@H]1CCCc2occc21 ZINC000124057502 384612208 /nfs/dbraw/zinc/61/22/08/384612208.db2.gz GFXLKTQHPVZVNO-LBPRGKRZSA-N 0 3 237.343 2.798 20 0 BFADHN C[C@@H](Cn1ccnc1)N[C@@H](C)c1ccsc1 ZINC000050343353 384618017 /nfs/dbraw/zinc/61/80/17/384618017.db2.gz FRGDSFHMOPLYRL-QWRGUYRKSA-N 0 3 235.356 2.684 20 0 BFADHN C[C@@H](N[C@@H](C)Cn1ccnc1)c1ccsc1 ZINC000050343359 384618671 /nfs/dbraw/zinc/61/86/71/384618671.db2.gz FRGDSFHMOPLYRL-WDEREUQCSA-N 0 3 235.356 2.684 20 0 BFADHN CC[C@@H](C)N1CCc2cc(OC)c(OC)cc2C1 ZINC000172691871 384619332 /nfs/dbraw/zinc/61/93/32/384619332.db2.gz ZGJCXNUSZWFHFE-LLVKDONJSA-N 0 3 249.354 2.860 20 0 BFADHN CCOC[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC000081521340 384620824 /nfs/dbraw/zinc/62/08/24/384620824.db2.gz GZCIOZTUEAHNPJ-CYBMUJFWSA-N 0 3 237.318 2.684 20 0 BFADHN COC[C@@H](NC[C@H]1CC=CCC1)c1ccco1 ZINC000124200750 384622881 /nfs/dbraw/zinc/62/28/81/384622881.db2.gz YLBZBKILTRQRKZ-QWHCGFSZSA-N 0 3 235.327 2.913 20 0 BFADHN C[C@@H](CF)NC/C=C\c1ccc(F)c(F)c1 ZINC000309800487 384623039 /nfs/dbraw/zinc/62/30/39/384623039.db2.gz WIISWVQVYMZWQS-XADBCAIWSA-N 0 3 229.245 2.926 20 0 BFADHN CCC[C@H](CC)NC(=O)C[C@H](N)c1ccccc1 ZINC000050405287 384626484 /nfs/dbraw/zinc/62/64/84/384626484.db2.gz SEQVERYHLVCRMW-KBPBESRZSA-N 0 3 248.370 2.771 20 0 BFADHN CC(C)(O)CCNCc1ccc(Cl)s1 ZINC000086236071 384628273 /nfs/dbraw/zinc/62/82/73/384628273.db2.gz NCKQCVWPTDFLRV-UHFFFAOYSA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2snnc2C)C1 ZINC000309811644 384629194 /nfs/dbraw/zinc/62/91/94/384629194.db2.gz ZGIJJHHJNZEKFO-QWRGUYRKSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1cnn(CCN[C@H](C)c2ccc(C)s2)c1 ZINC000123843525 384592128 /nfs/dbraw/zinc/59/21/28/384592128.db2.gz LLCPFSOCVBQFIG-GFCCVEGCSA-N 0 3 249.383 2.912 20 0 BFADHN Cc1nnsc1CNC1C[C@@H](C)C[C@H](C)C1 ZINC000309760042 384592409 /nfs/dbraw/zinc/59/24/09/384592409.db2.gz MIRBTDHOTHGMEQ-IUCAKERBSA-N 0 3 239.388 2.761 20 0 BFADHN CC[C@H](O)CCNCc1cc(Cl)cs1 ZINC000183872226 384592527 /nfs/dbraw/zinc/59/25/27/384592527.db2.gz OFLUZJPLCATDID-VIFPVBQESA-N 0 3 233.764 2.652 20 0 BFADHN C[C@@H](O)C[C@@H]1CCCCN1Cc1cccc(O)c1 ZINC000123873999 384592542 /nfs/dbraw/zinc/59/25/42/384592542.db2.gz BNAIXRPBEACKCS-OCCSQVGLSA-N 0 3 249.354 2.518 20 0 BFADHN CCC(O)(CC)CN[C@@H](C)c1cncc(C)c1 ZINC000184329097 384595850 /nfs/dbraw/zinc/59/58/50/384595850.db2.gz WQSBLWHIVVEDNQ-LBPRGKRZSA-N 0 3 236.359 2.592 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CCCO1)c1cccc(O)c1 ZINC000020207158 384598341 /nfs/dbraw/zinc/59/83/41/384598341.db2.gz LHNQVCQGXFVRHU-MJVIPROJSA-N 0 3 235.327 2.610 20 0 BFADHN COCC1(N[C@H](C)[C@@H](OC)c2ccccc2)CC1 ZINC000518045302 384632244 /nfs/dbraw/zinc/63/22/44/384632244.db2.gz RAMTWDBNPJCKMN-TZMCWYRMSA-N 0 3 249.354 2.531 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cccnc1)C(C)(C)C ZINC000173210748 384663035 /nfs/dbraw/zinc/66/30/35/384663035.db2.gz QRGDOTJQWCASIM-AAEUAGOBSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](C)N[C@H](C)c1cc2n(n1)CCCC2 ZINC000647280383 384662797 /nfs/dbraw/zinc/66/27/97/384662797.db2.gz JVMGCJYTACKMHP-WDEREUQCSA-N 0 3 221.348 2.669 20 0 BFADHN CCOC[C@H](C)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000044688484 384663407 /nfs/dbraw/zinc/66/34/07/384663407.db2.gz CNCBWCLLJHHIOK-GMXVVIOVSA-N 0 3 237.343 2.918 20 0 BFADHN c1c[nH]c(CN[C@H](Cc2ccccc2)C2CC2)n1 ZINC000124685690 384667347 /nfs/dbraw/zinc/66/73/47/384667347.db2.gz AGQSGBYNVGLABV-CQSZACIVSA-N 0 3 241.338 2.521 20 0 BFADHN CC[C@H](CSC)NCc1scnc1C ZINC000162167376 384667444 /nfs/dbraw/zinc/66/74/44/384667444.db2.gz NPNWWOUVVNQAJJ-SECBINFHSA-N 0 3 230.402 2.683 20 0 BFADHN CCCCN(CC)C(=O)[C@H]1CCCCN1CC ZINC000104201311 384670711 /nfs/dbraw/zinc/67/07/11/384670711.db2.gz ABDUGKTVVNBRLL-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@@H](CCO)CNCc1cc(Cl)ccc1F ZINC000162708557 384672417 /nfs/dbraw/zinc/67/24/17/384672417.db2.gz RMRKBRBWGQNQSH-VIFPVBQESA-N 0 3 245.725 2.587 20 0 BFADHN CC[C@H](O)CCNCc1ccc(Cl)c(F)c1 ZINC000124333841 384634132 /nfs/dbraw/zinc/63/41/32/384634132.db2.gz QHCVSWPWDWMVEL-JTQLQIEISA-N 0 3 245.725 2.730 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@@H]3OCCC[C@H]23)c1F ZINC000449273762 384638899 /nfs/dbraw/zinc/63/88/99/384638899.db2.gz ZTXUCKRPVVORFT-MCIONIFRSA-N 0 3 249.329 2.791 20 0 BFADHN OCC1(NCc2cc(Cl)cs2)CCCC1 ZINC000086332757 384639790 /nfs/dbraw/zinc/63/97/90/384639790.db2.gz SDLVYHCIVIVYFF-UHFFFAOYSA-N 0 3 245.775 2.796 20 0 BFADHN CC[C@H](F)CN(C)[C@@H](C)CCSC ZINC000308329133 384640157 /nfs/dbraw/zinc/64/01/57/384640157.db2.gz HSVQFJMHKCBMJC-UWVGGRQHSA-N 0 3 207.358 2.808 20 0 BFADHN C[C@@H](F)CCN(C)CCC(F)(F)F ZINC000336655034 384650747 /nfs/dbraw/zinc/65/07/47/384650747.db2.gz OEPCFLFFSOTSMW-SSDOTTSWSA-N 0 3 201.207 2.619 20 0 BFADHN C[C@@H](NC1CCCC1)c1cc2n(n1)CCCC2 ZINC000647280027 384652599 /nfs/dbraw/zinc/65/25/99/384652599.db2.gz OLMKZEIUBFIDGZ-LLVKDONJSA-N 0 3 233.359 2.813 20 0 BFADHN CSCCCNCc1ccc(F)cc1F ZINC000051016687 384653850 /nfs/dbraw/zinc/65/38/50/384653850.db2.gz IAGBCTUWUIRKDP-UHFFFAOYSA-N 0 3 231.311 2.808 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)COC)s1 ZINC000041062232 384656943 /nfs/dbraw/zinc/65/69/43/384656943.db2.gz CDYOCGMNIZSSMS-UWVGGRQHSA-N 0 3 227.373 2.996 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc3ccccc3n2)CO1 ZINC000402308001 384658415 /nfs/dbraw/zinc/65/84/15/384658415.db2.gz KNCUMOLPXMCIPX-SMDDNHRTSA-N 0 3 242.322 2.502 20 0 BFADHN CO[C@]1(C)C[C@@H](N[C@H](C)c2cccnc2)C1(C)C ZINC000173212421 384662594 /nfs/dbraw/zinc/66/25/94/384662594.db2.gz BCDSXWKOLGQWOP-UXIGCNINSA-N 0 3 248.370 2.936 20 0 BFADHN O=c1[nH]c2ccccc2cc1CN[C@@H]1CC12CC2 ZINC000335285431 384723119 /nfs/dbraw/zinc/72/31/19/384723119.db2.gz VXOIMHALXQBOOD-CYBMUJFWSA-N 0 3 240.306 2.583 20 0 BFADHN Cc1cnc([C@@H](C)NCC2CCC2)s1 ZINC000125997169 384723500 /nfs/dbraw/zinc/72/35/00/384723500.db2.gz LAPHBNOBXHLPLX-SECBINFHSA-N 0 3 210.346 2.902 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)[NH2+]CC(C)C)[n-]1 ZINC000309686893 384728107 /nfs/dbraw/zinc/72/81/07/384728107.db2.gz HOZRHUQZYGAHHU-UWVGGRQHSA-N 0 3 224.352 2.625 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)NCC(C)C)[nH]1 ZINC000309686893 384728112 /nfs/dbraw/zinc/72/81/12/384728112.db2.gz HOZRHUQZYGAHHU-UWVGGRQHSA-N 0 3 224.352 2.625 20 0 BFADHN CCc1ccccc1CNCc1c[nH]nc1C ZINC000052202927 384724923 /nfs/dbraw/zinc/72/49/23/384724923.db2.gz GIZODPKURDJZER-UHFFFAOYSA-N 0 3 229.327 2.570 20 0 BFADHN CCSCCNCc1ccnc(SC)c1 ZINC000336751224 384725931 /nfs/dbraw/zinc/72/59/31/384725931.db2.gz FPYIJCYITHOBRT-UHFFFAOYSA-N 0 3 242.413 2.646 20 0 BFADHN CCCCN(CCO)Cc1ccc(Cl)cc1 ZINC000049800135 384679197 /nfs/dbraw/zinc/67/91/97/384679197.db2.gz GEQLJUMFQVNUQL-UHFFFAOYSA-N 0 3 241.762 2.934 20 0 BFADHN CC(=O)CN(Cc1ccccc1)[C@H](C)C1CC1 ZINC000306814904 384680625 /nfs/dbraw/zinc/68/06/25/384680625.db2.gz AWNGFKTUOGRCCT-CYBMUJFWSA-N 0 3 231.339 2.876 20 0 BFADHN COc1ccc(CN(C)CCC2CC2)c(OC)c1 ZINC000173491309 384685658 /nfs/dbraw/zinc/68/56/58/384685658.db2.gz IHSAZWNRLWDOQA-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN Cc1c[nH]nc1CN[C@H]1CCC[C@@H](C)CC1 ZINC000335917857 384687848 /nfs/dbraw/zinc/68/78/48/384687848.db2.gz RYJGHRRNTCOYBM-PWSUYJOCSA-N 0 3 221.348 2.777 20 0 BFADHN CC(C)C[C@@H]1OCCC[C@@H]1NCc1ccncc1 ZINC000185195380 384690521 /nfs/dbraw/zinc/69/05/21/384690521.db2.gz KVIPTTVMEAKPSN-GJZGRUSLSA-N 0 3 248.370 2.765 20 0 BFADHN Cc1cccc2c1ncc(C)c2NCCCO ZINC000185247333 384695119 /nfs/dbraw/zinc/69/51/19/384695119.db2.gz LNIZRZRWYHRMQA-UHFFFAOYSA-N 0 3 230.311 2.646 20 0 BFADHN CC[C@H](C)CN1CC[S@@](=O)C(CC)(CC)C1 ZINC000185234594 384695669 /nfs/dbraw/zinc/69/56/69/384695669.db2.gz JTWIPZMWNNBYNG-BLLLJJGKSA-N 0 3 245.432 2.656 20 0 BFADHN Cc1cn[nH]c1CN[C@H](C)c1ccccc1 ZINC000289558839 384699908 /nfs/dbraw/zinc/69/99/08/384699908.db2.gz QVEDULLJIWXLBB-LLVKDONJSA-N 0 3 215.300 2.569 20 0 BFADHN CCCc1cccc(CN[C@H](C)COC)c1 ZINC000309666538 384704666 /nfs/dbraw/zinc/70/46/66/384704666.db2.gz UJPGMSHLZUJWCF-GFCCVEGCSA-N 0 3 221.344 2.764 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1ccc2c(c1)OCCO2 ZINC000335277095 384705891 /nfs/dbraw/zinc/70/58/91/384705891.db2.gz HTEIAXPRARPXTJ-HZMBPMFUSA-N 0 3 245.322 2.661 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(Cl)s2)CO1 ZINC000335424657 384707119 /nfs/dbraw/zinc/70/71/19/384707119.db2.gz GFVLJWHGFRQGPO-YUMQZZPRSA-N 0 3 231.748 2.669 20 0 BFADHN C[C@H](CNCc1ccc(Cl)cc1F)N(C)C ZINC000162957759 384708196 /nfs/dbraw/zinc/70/81/96/384708196.db2.gz WXXBOVLMQPCKMF-SECBINFHSA-N 0 3 244.741 2.519 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1ccc(Cl)cn1 ZINC000335276644 384708400 /nfs/dbraw/zinc/70/84/00/384708400.db2.gz HEGZEDRTPJKYPH-KCJUWKMLSA-N 0 3 222.719 2.938 20 0 BFADHN Cc1csc(CN[C@H]2CC=CCC2)n1 ZINC000082730649 384708545 /nfs/dbraw/zinc/70/85/45/384708545.db2.gz CYBQLPMOFQUPOJ-JTQLQIEISA-N 0 3 208.330 2.650 20 0 BFADHN Fc1cc(F)cc(CN[C@@H]2CC23CC3)c1 ZINC000335280759 384710480 /nfs/dbraw/zinc/71/04/80/384710480.db2.gz SSDFGILWEKMWDX-LLVKDONJSA-N 0 3 209.239 2.607 20 0 BFADHN Fc1cccc2c1CC[C@H]2N[C@@H]1CC12CC2 ZINC000335280844 384711519 /nfs/dbraw/zinc/71/15/19/384711519.db2.gz UOQFGTMWRCVSLI-CHWSQXEVSA-N 0 3 217.287 2.955 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1ccc2c(c1)OCO2 ZINC000335279023 384712276 /nfs/dbraw/zinc/71/22/76/384712276.db2.gz OJAWTUZWRBUVAV-TVQRCGJNSA-N 0 3 231.295 2.618 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@H]2CC23CC3)s1 ZINC000335280775 384712327 /nfs/dbraw/zinc/71/23/27/384712327.db2.gz SSGAGGIONUJSGP-WPRPVWTQSA-N 0 3 222.357 2.963 20 0 BFADHN CCN(C)CCNCc1ccc(Cl)cc1F ZINC000162963428 384713048 /nfs/dbraw/zinc/71/30/48/384713048.db2.gz OWJODBMANSMMAB-UHFFFAOYSA-N 0 3 244.741 2.520 20 0 BFADHN Cc1nc(CNCC2(C3CC3)CC2)cs1 ZINC000125834117 384713784 /nfs/dbraw/zinc/71/37/84/384713784.db2.gz AZYFIGOLYUQBCO-UHFFFAOYSA-N 0 3 222.357 2.731 20 0 BFADHN c1cc2c(o1)CCC[C@H]2N[C@@H]1CC12CC2 ZINC000335281772 384714005 /nfs/dbraw/zinc/71/40/05/384714005.db2.gz XNIOBSGAUSTOPX-ZYHUDNBSSA-N 0 3 203.285 2.799 20 0 BFADHN CC(C)COC[C@H](NC(C)C)c1ccco1 ZINC000125385712 384715304 /nfs/dbraw/zinc/71/53/04/384715304.db2.gz BTKXCTHXBJFIBC-LBPRGKRZSA-N 0 3 225.332 2.991 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1cc(Cl)ccc1F ZINC000162992370 384716660 /nfs/dbraw/zinc/71/66/60/384716660.db2.gz QWGXUYSIACNZCN-MRVPVSSYSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@H](NC[C@@](C)(O)c1ccccc1)c1ccco1 ZINC000052097737 384717797 /nfs/dbraw/zinc/71/77/97/384717797.db2.gz QEJGLJGSFDHWTR-SWLSCSKDSA-N 0 3 245.322 2.838 20 0 BFADHN C[C@@H](NC[C@@](C)(O)c1ccccc1)c1ccco1 ZINC000052097738 384718923 /nfs/dbraw/zinc/71/89/23/384718923.db2.gz QEJGLJGSFDHWTR-IUODEOHRSA-N 0 3 245.322 2.838 20 0 BFADHN COc1ncncc1CNCC1(C)CCCCC1 ZINC000647191580 384722846 /nfs/dbraw/zinc/72/28/46/384722846.db2.gz UBMKHICHXNCBNJ-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN CC(C)[C@@H]1CN(C[C@@H]2CCCCO2)CCS1 ZINC000174335988 384778055 /nfs/dbraw/zinc/77/80/55/384778055.db2.gz QZGYJAHIGGSMGV-STQMWFEESA-N 0 3 243.416 2.629 20 0 BFADHN C[C@@H](NCCC(C)(F)F)c1cncs1 ZINC000294355938 384778490 /nfs/dbraw/zinc/77/84/90/384778490.db2.gz ZPLIGFALJYRFGK-SSDOTTSWSA-N 0 3 220.288 2.839 20 0 BFADHN C[C@H](NCC1(C)COC1)c1cc(F)ccc1F ZINC000083522877 384778618 /nfs/dbraw/zinc/77/86/18/384778618.db2.gz VKPZVTIEETXVQL-VIFPVBQESA-N 0 3 241.281 2.652 20 0 BFADHN COC(=O)c1csc([C@H](C)NC2CCC2)c1 ZINC000309944589 384728647 /nfs/dbraw/zinc/72/86/47/384728647.db2.gz CUOLGPPJAALZKP-QMMMGPOBSA-N 0 3 239.340 2.738 20 0 BFADHN CCCn1c2ccccc2nc1CN[C@H]1C[C@H]1C ZINC000052275107 384728760 /nfs/dbraw/zinc/72/87/60/384728760.db2.gz LTOBYQBKIVTQKW-YPMHNXCESA-N 0 3 243.354 2.944 20 0 BFADHN C[C@H](O)CCN[C@@H](C)c1ccccc1Cl ZINC000082993124 384734292 /nfs/dbraw/zinc/73/42/92/384734292.db2.gz GDXOJKNKDADUND-UWVGGRQHSA-N 0 3 227.735 2.762 20 0 BFADHN Cc1ccncc1NC(=O)C(C)C(F)(F)F ZINC000080039415 384735492 /nfs/dbraw/zinc/73/54/92/384735492.db2.gz UPMBASONDWBOQM-ZETCQYMHSA-N 0 3 232.205 2.527 20 0 BFADHN CO[C@@H](C)CCNCc1ccc(F)cc1Cl ZINC000309950464 384736755 /nfs/dbraw/zinc/73/67/55/384736755.db2.gz FVTXDGNUCCKTLA-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN Cc1cnc([C@H](C)NCCC2CC2)s1 ZINC000126113937 384737964 /nfs/dbraw/zinc/73/79/64/384737964.db2.gz BKRLOQRNQCCQAQ-VIFPVBQESA-N 0 3 210.346 2.902 20 0 BFADHN Cc1ccc(F)c(CNC[C@H]2CC[C@@H](C)O2)c1 ZINC000398054802 384739337 /nfs/dbraw/zinc/73/93/37/384739337.db2.gz YFIILGJMYAAENF-DGCLKSJQSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H](NCC(C)(C)C)c1cc2n(n1)CCCC2 ZINC000647284909 384739256 /nfs/dbraw/zinc/73/92/56/384739256.db2.gz RQWCJEGSCGPLTA-NSHDSACASA-N 0 3 235.375 2.916 20 0 BFADHN Cc1ccc(F)c(CNC[C@@H]2CC[C@@H](C)O2)c1 ZINC000398054805 384739893 /nfs/dbraw/zinc/73/98/93/384739893.db2.gz YFIILGJMYAAENF-YPMHNXCESA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H]1C[C@@H](NCc2sccc2Cl)CO1 ZINC000335442849 384740913 /nfs/dbraw/zinc/74/09/13/384740913.db2.gz ZNUKUBIFAYVXIV-HTQZYQBOSA-N 0 3 231.748 2.669 20 0 BFADHN CC/C=C/CNCc1cccc(OCCOC)c1 ZINC000449462175 384743152 /nfs/dbraw/zinc/74/31/52/384743152.db2.gz USESWJHLNIYGFR-SNAWJCMRSA-N 0 3 249.354 2.768 20 0 BFADHN C[C@@H]1Oc2cc(F)ccc2[C@H]1NCC1CC1 ZINC000309969539 384747305 /nfs/dbraw/zinc/74/73/05/384747305.db2.gz OSPSSMYYBLWQNG-SDBXPKJASA-N 0 3 221.275 2.647 20 0 BFADHN CC/C=C\CNCc1ccnn1-c1ccccc1 ZINC000449463360 384752834 /nfs/dbraw/zinc/75/28/34/384752834.db2.gz WQTVVFGMXQZXFH-CLTKARDFSA-N 0 3 241.338 2.928 20 0 BFADHN CO[C@H](CNCc1csc(C)c1)C(C)C ZINC000294320180 384753063 /nfs/dbraw/zinc/75/30/63/384753063.db2.gz WPYXQYSSBCDUDN-GFCCVEGCSA-N 0 3 227.373 2.817 20 0 BFADHN Cc1ccsc1CN(C)CCCF ZINC000308491446 384753275 /nfs/dbraw/zinc/75/32/75/384753275.db2.gz RJKCHZVKSKWISU-UHFFFAOYSA-N 0 3 201.310 2.848 20 0 BFADHN C[C@@H](NC[C@H](O)C1CCCCC1)c1ccco1 ZINC000163148393 384753809 /nfs/dbraw/zinc/75/38/09/384753809.db2.gz DXTAGJMEOMKYQF-YPMHNXCESA-N 0 3 237.343 2.871 20 0 BFADHN CC(C)[C@H]1CCC[C@H](NCc2ncc[nH]2)C1 ZINC000108879700 384758537 /nfs/dbraw/zinc/75/85/37/384758537.db2.gz APBMDJPQWIYGNG-RYUDHWBXSA-N 0 3 221.348 2.714 20 0 BFADHN CCOC[C@H](C)NCc1cc(F)c(F)c(F)c1 ZINC000062708615 384758462 /nfs/dbraw/zinc/75/84/62/384758462.db2.gz YXKAZZDCZRVDKH-QMMMGPOBSA-N 0 3 247.260 2.619 20 0 BFADHN Cc1ccc(CNC[C@](C)(O)c2ccccc2)o1 ZINC000053037316 384760996 /nfs/dbraw/zinc/76/09/96/384760996.db2.gz TXVUISPLTCTZFV-HNNXBMFYSA-N 0 3 245.322 2.585 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@H]2CCC[C@H](C)C2)n1 ZINC000103341587 384761763 /nfs/dbraw/zinc/76/17/63/384761763.db2.gz NMUDUUUMTVDLIR-JQWIXIFHSA-N 0 3 234.343 2.764 20 0 BFADHN COC[C@H](C)N[C@H](C)c1cc(F)ccc1OC ZINC000053173941 384769219 /nfs/dbraw/zinc/76/92/19/384769219.db2.gz UTBXCOGRRNYHFA-VHSXEESVSA-N 0 3 241.306 2.520 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)on1 ZINC000403573804 384837305 /nfs/dbraw/zinc/83/73/05/384837305.db2.gz YGTMRGAMDYLBDE-UTUOFQBUSA-N 0 3 220.316 2.509 20 0 BFADHN Cc1cccc(CNC[C@@H]2CC[C@@H](C)O2)c1F ZINC000398155648 384818569 /nfs/dbraw/zinc/81/85/69/384818569.db2.gz IKCOJFSMXRZRGY-YPMHNXCESA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cccc(CNC[C@@H]2CC[C@H](C)O2)c1F ZINC000398155642 384819694 /nfs/dbraw/zinc/81/96/94/384819694.db2.gz IKCOJFSMXRZRGY-AAEUAGOBSA-N 0 3 237.318 2.791 20 0 BFADHN CCN(C)CCN[C@@H](C)c1ccccc1Cl ZINC000054109721 384822572 /nfs/dbraw/zinc/82/25/72/384822572.db2.gz NBGRLHMPURFUQX-NSHDSACASA-N 0 3 240.778 2.942 20 0 BFADHN C[C@H](CCCO)N[C@@H](C)c1ccc(Cl)nc1 ZINC000126880436 384837536 /nfs/dbraw/zinc/83/75/36/384837536.db2.gz WNCQRHIOCDGMEH-ZJUUUORDSA-N 0 3 242.750 2.547 20 0 BFADHN CCOc1cccc(CN2CC[C@H]3CCC[C@@H]32)n1 ZINC000426460831 384823426 /nfs/dbraw/zinc/82/34/26/384823426.db2.gz OLHALBKVZBBGTB-OCCSQVGLSA-N 0 3 246.354 2.855 20 0 BFADHN CC1(C2(NCc3cncc(F)c3)CC2)CC1 ZINC000398167398 384824506 /nfs/dbraw/zinc/82/45/06/384824506.db2.gz FYDXAWKOGPBPSH-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN CCSc1cccc(CN(CC)CCO)c1 ZINC000186156031 384826673 /nfs/dbraw/zinc/82/66/73/384826673.db2.gz PPHKCNCTHMQZIE-UHFFFAOYSA-N 0 3 239.384 2.613 20 0 BFADHN CCc1ccc(CN2CCC(C)(O)CC2)cc1 ZINC000126792939 384831464 /nfs/dbraw/zinc/83/14/64/384831464.db2.gz UOLISAAJBLWMPC-UHFFFAOYSA-N 0 3 233.355 2.596 20 0 BFADHN C[C@@H](O)C[C@@H](C)NCc1ccsc1Cl ZINC000308591554 384833449 /nfs/dbraw/zinc/83/34/49/384833449.db2.gz KPONEPHUKYFEIA-HTQZYQBOSA-N 0 3 233.764 2.651 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2ccc(Cl)o2)O1 ZINC000398190044 384838046 /nfs/dbraw/zinc/83/80/46/384838046.db2.gz WJYMHTDLYARFLM-IUCAKERBSA-N 0 3 229.707 2.590 20 0 BFADHN CC(C)n1ccc(CN2CCC3(CC3)CC2)n1 ZINC000363481456 384788188 /nfs/dbraw/zinc/78/81/88/384788188.db2.gz SDXYXUQWRHUITJ-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN Fc1ccc(/C=C\CN2CCCC2)cc1 ZINC000255544340 384790994 /nfs/dbraw/zinc/79/09/94/384790994.db2.gz CFODJYHEVSOEJG-ARJAWSKDSA-N 0 3 205.276 2.935 20 0 BFADHN Cc1csc([C@H](C)NCCCCF)n1 ZINC000308538453 384791152 /nfs/dbraw/zinc/79/11/52/384791152.db2.gz MZXMYVCRIAKTGE-VIFPVBQESA-N 0 3 216.325 2.852 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000309746846 384791154 /nfs/dbraw/zinc/79/11/54/384791154.db2.gz JIZODRXBSPUHIY-FXPVBKGRSA-N 0 3 239.388 2.761 20 0 BFADHN CC(C)CCOCCN1CCSC(C)(C)C1 ZINC000083690614 384792955 /nfs/dbraw/zinc/79/29/55/384792955.db2.gz CUZJVOPUIDNDCK-UHFFFAOYSA-N 0 3 245.432 2.877 20 0 BFADHN CC[C@@H](C)CN[C@H](C)C(=O)Nc1ccccc1 ZINC000054471766 384838305 /nfs/dbraw/zinc/83/83/05/384838305.db2.gz KFDMYDYKXAUKRJ-VXGBXAGGSA-N 0 3 234.343 2.649 20 0 BFADHN COC[C@H](N[C@H](C)c1ccccc1F)C1CC1 ZINC000126373718 384795259 /nfs/dbraw/zinc/79/52/59/384795259.db2.gz RNQWCAVGJTWQQI-YGRLFVJLSA-N 0 3 237.318 2.901 20 0 BFADHN CC[C@H](N[C@H]1CCOC1)c1ccc(OC)cc1 ZINC000104066341 384796067 /nfs/dbraw/zinc/79/60/67/384796067.db2.gz YJWONFQCQRFXKU-JSGCOSHPSA-N 0 3 235.327 2.525 20 0 BFADHN CO[C@@]1(C)C[C@H](N(C)Cc2cccnc2)C1(C)C ZINC000174477883 384796743 /nfs/dbraw/zinc/79/67/43/384796743.db2.gz GUACYBOOHPQWFL-ZFWWWQNUSA-N 0 3 248.370 2.717 20 0 BFADHN C[C@@H](CNCc1ccco1)Oc1ccccc1 ZINC000053566821 384797477 /nfs/dbraw/zinc/79/74/77/384797477.db2.gz LBBMXTPASBKGEU-LBPRGKRZSA-N 0 3 231.295 2.837 20 0 BFADHN CC[C@H](N[C@@H]1CO[C@H](C)C1)c1c(C)noc1C ZINC000647196589 384798932 /nfs/dbraw/zinc/79/89/32/384798932.db2.gz GMZUNZXZRRBXAM-ZHAHWJHGSA-N 0 3 238.331 2.510 20 0 BFADHN C[C@@H]1CC[C@H](CNC/C=C\c2ccccc2)O1 ZINC000398092515 384799548 /nfs/dbraw/zinc/79/95/48/384799548.db2.gz YLSSOLLRYCPAOJ-VZFGUZNESA-N 0 3 231.339 2.857 20 0 BFADHN CC[C@H](NCCCCF)c1nccs1 ZINC000308560479 384805877 /nfs/dbraw/zinc/80/58/77/384805877.db2.gz WYVUHDQWMJWDOV-VIFPVBQESA-N 0 3 216.325 2.934 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C2(C3(C)CC3)CC2)n1 ZINC000398123973 384812883 /nfs/dbraw/zinc/81/28/83/384812883.db2.gz FQMDAWYIJBRJGS-UHFFFAOYSA-N 0 3 232.327 2.518 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@H](C)[C@H]2C)o1 ZINC000398122094 384812921 /nfs/dbraw/zinc/81/29/21/384812921.db2.gz XHUBFYKKUBZXCH-OPQQBVKSSA-N 0 3 222.332 2.897 20 0 BFADHN CO[C@@H](C)CCNCc1ccsc1Cl ZINC000308623729 384871738 /nfs/dbraw/zinc/87/17/38/384871738.db2.gz VEDUKXWEABSVET-QMMMGPOBSA-N 0 3 233.764 2.916 20 0 BFADHN CO[C@H](C)CCNCc1ccsc1Cl ZINC000308623727 384872520 /nfs/dbraw/zinc/87/25/20/384872520.db2.gz VEDUKXWEABSVET-MRVPVSSYSA-N 0 3 233.764 2.916 20 0 BFADHN Cc1cc(C)cc(CN(C)CC2(CO)CC2)c1 ZINC000186766103 384876932 /nfs/dbraw/zinc/87/69/32/384876932.db2.gz GXYBBQIJPHKWKK-UHFFFAOYSA-N 0 3 233.355 2.508 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCSC2)nc1C ZINC000314251385 384879559 /nfs/dbraw/zinc/87/95/59/384879559.db2.gz YJNKASRZMWIZIG-LBPRGKRZSA-N 0 3 236.384 2.541 20 0 BFADHN CCS[C@@H]1CCC[C@@H]1NC[C@@H](F)CC ZINC000308629809 384880127 /nfs/dbraw/zinc/88/01/27/384880127.db2.gz YMXNWWGCVMYIAV-GARJFASQSA-N 0 3 219.369 2.998 20 0 BFADHN Clc1cccc(CNCCOCC2CC2)c1 ZINC000163940422 384883826 /nfs/dbraw/zinc/88/38/26/384883826.db2.gz OIKXIXXNTDWZGN-UHFFFAOYSA-N 0 3 239.746 2.856 20 0 BFADHN CCOc1ccccc1CNC[C@@H]1CC[C@H](C)O1 ZINC000398192629 384839038 /nfs/dbraw/zinc/83/90/38/384839038.db2.gz BFFGEXABKFIFGG-JSGCOSHPSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1nc(CCN2CCCCCC2)cs1 ZINC000163696040 384844658 /nfs/dbraw/zinc/84/46/58/384844658.db2.gz PWTPFMMOBZKEEK-UHFFFAOYSA-N 0 3 224.373 2.870 20 0 BFADHN C[C@H](N[C@H]1CC=CCC1)c1ccncc1F ZINC000335742017 384845204 /nfs/dbraw/zinc/84/52/04/384845204.db2.gz QYZDBMQXSORYTI-QWRGUYRKSA-N 0 3 220.291 2.980 20 0 BFADHN Oc1cccc(SCCN2CCCCC2)c1 ZINC000126967617 384847546 /nfs/dbraw/zinc/84/75/46/384847546.db2.gz HPPVHJQBRSEJLS-UHFFFAOYSA-N 0 3 237.368 2.970 20 0 BFADHN CCc1ccccc1CNCc1c(C)n[nH]c1C ZINC000084200475 384847723 /nfs/dbraw/zinc/84/77/23/384847723.db2.gz HRORLNGPRAMLER-UHFFFAOYSA-N 0 3 243.354 2.879 20 0 BFADHN C[C@H](NCc1cnc[nH]1)c1ccc(Cl)cc1 ZINC000054763475 384850313 /nfs/dbraw/zinc/85/03/13/384850313.db2.gz TXBJHEADMUEMHJ-VIFPVBQESA-N 0 3 235.718 2.914 20 0 BFADHN CC(C)[C@@H](NCc1c[nH]cn1)c1ccccc1 ZINC000054764379 384852319 /nfs/dbraw/zinc/85/23/19/384852319.db2.gz DOWIGMNYTUFSCR-CQSZACIVSA-N 0 3 229.327 2.897 20 0 BFADHN CC(C)[C@@H](NCc1cnc[nH]1)c1ccccc1 ZINC000054764379 384852327 /nfs/dbraw/zinc/85/23/27/384852327.db2.gz DOWIGMNYTUFSCR-CQSZACIVSA-N 0 3 229.327 2.897 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnn(C)c1C(F)(F)F ZINC000177052583 384857640 /nfs/dbraw/zinc/85/76/40/384857640.db2.gz YRAXZFREWJUJBX-MRVPVSSYSA-N 0 3 249.280 2.669 20 0 BFADHN C[C@H](N[C@H]1CC[C@H](F)C1)c1cncs1 ZINC000306294303 384862284 /nfs/dbraw/zinc/86/22/84/384862284.db2.gz VWMJWCAECZLXEO-CIUDSAMLSA-N 0 3 214.309 2.684 20 0 BFADHN COC(C)(C)C[C@H](C)N[C@@H](C)c1cscn1 ZINC000127875051 384957476 /nfs/dbraw/zinc/95/74/76/384957476.db2.gz CLJFZICRRPQMIJ-UWVGGRQHSA-N 0 3 242.388 2.997 20 0 BFADHN F[C@@H]1CCN(C[C@@H]2CCCC(F)(F)C2)C1 ZINC000336263020 384892749 /nfs/dbraw/zinc/89/27/49/384892749.db2.gz NAWKJVQUQZRKFR-NXEZZACHSA-N 0 3 221.266 2.856 20 0 BFADHN CCn1nc(C)c(CNC2CC(C)(C)C2)c1C ZINC000308010092 384893696 /nfs/dbraw/zinc/89/36/96/384893696.db2.gz GCYGLNKONBOGSS-UHFFFAOYSA-N 0 3 235.375 2.798 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H]1O)c1cc(F)ccc1F ZINC000181066510 384897284 /nfs/dbraw/zinc/89/72/84/384897284.db2.gz OWBBTDQBGOQQOY-JCOFBHIZSA-N 0 3 241.281 2.529 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H]1O)c1cc(F)cc(F)c1 ZINC000181068681 384897471 /nfs/dbraw/zinc/89/74/71/384897471.db2.gz DTUMHKRCQRFXCK-JCOFBHIZSA-N 0 3 241.281 2.529 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccsc1)C1CC1 ZINC000293737839 384903746 /nfs/dbraw/zinc/90/37/46/384903746.db2.gz OZMZSBOKURMREW-SKDRFNHKSA-N 0 3 225.357 2.824 20 0 BFADHN CC1(CNCc2ccsc2Cl)COC1 ZINC000308661054 384904525 /nfs/dbraw/zinc/90/45/25/384904525.db2.gz PXIWDDBRJJEYCB-UHFFFAOYSA-N 0 3 231.748 2.528 20 0 BFADHN CC[C@@H](C)C(=O)Nc1ccccc1CN(C)C ZINC000557292609 384905958 /nfs/dbraw/zinc/90/59/58/384905958.db2.gz SGCBFCQLCOBSOP-LLVKDONJSA-N 0 3 234.343 2.733 20 0 BFADHN CO[C@@H](CN[C@H]1CCc2cc(F)ccc21)C1CC1 ZINC000293737895 384906169 /nfs/dbraw/zinc/90/61/69/384906169.db2.gz OZXXJGUJNKNMRQ-GJZGRUSLSA-N 0 3 249.329 2.828 20 0 BFADHN CC[C@H](F)CN1CCC2(CCC(O)CC2)CC1 ZINC000336268925 384908285 /nfs/dbraw/zinc/90/82/85/384908285.db2.gz XUKZLIRAVFWYDZ-LBPRGKRZSA-N 0 3 243.366 2.752 20 0 BFADHN C[C@H](N[C@H]1CCC[C@H]1O)c1ccc(Cl)s1 ZINC000249766367 384908977 /nfs/dbraw/zinc/90/89/77/384908977.db2.gz IVRZXYJNXGSORD-XHNCKOQMSA-N 0 3 245.775 2.966 20 0 BFADHN COCCN[C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000152128585 384958871 /nfs/dbraw/zinc/95/88/71/384958871.db2.gz RQYDANVAASOMKA-CYBMUJFWSA-N 0 3 247.338 2.669 20 0 BFADHN C[C@@H](N[C@H](C)c1cccs1)c1cn[nH]c1 ZINC000222504220 384912257 /nfs/dbraw/zinc/91/22/57/384912257.db2.gz NJBWEEALCJYFAA-RKDXNWHRSA-N 0 3 221.329 2.883 20 0 BFADHN COCc1ccc(CN[C@@H]2CCC[C@H]2C)o1 ZINC000127523568 384913657 /nfs/dbraw/zinc/91/36/57/384913657.db2.gz CFBSARKGNBUZSS-ZWNOBZJWSA-N 0 3 223.316 2.704 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H](C)c1ccsc1 ZINC000251248963 384915598 /nfs/dbraw/zinc/91/55/98/384915598.db2.gz IKUVBFJGEGRQSE-YUSALJHKSA-N 0 3 225.357 2.966 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@@H]2CCCO2)cc1F ZINC000106409865 384915994 /nfs/dbraw/zinc/91/59/94/384915994.db2.gz QYGZNRGVJYFWEX-FZMZJTMJSA-N 0 3 237.318 2.791 20 0 BFADHN CCc1ccc(NC(=O)[C@H](C(C)C)N(C)C)cc1 ZINC000106408083 384918474 /nfs/dbraw/zinc/91/84/74/384918474.db2.gz OUTXOOMUQFBEPP-AWEZNQCLSA-N 0 3 248.370 2.774 20 0 BFADHN CCC[C@@H]1CCCC[C@@H]1NCc1nccn1C ZINC000516882863 384923269 /nfs/dbraw/zinc/92/32/69/384923269.db2.gz PBWPRNNKXYGNIL-OLZOCXBDSA-N 0 3 235.375 2.869 20 0 BFADHN COc1cc(C)ccc1CN1CCC1(C)C ZINC000648374296 384925647 /nfs/dbraw/zinc/92/56/47/384925647.db2.gz LERLIKZAYHCXRT-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN CCCC(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC000187248351 384926371 /nfs/dbraw/zinc/92/63/71/384926371.db2.gz UZJLXWLGNAAGIV-WFASDCNBSA-N 0 3 248.370 2.594 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1ccc(C(F)F)cc1 ZINC000149370299 384928519 /nfs/dbraw/zinc/92/85/19/384928519.db2.gz UZEGSPJFOGZACX-JOYOIKCWSA-N 0 3 241.281 2.891 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CC23CC3)c(C)n1 ZINC000643907915 384932990 /nfs/dbraw/zinc/93/29/90/384932990.db2.gz NRVRDIRTTGYULM-WCQYABFASA-N 0 3 216.328 2.902 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CC23CC3)c(C)n1 ZINC000643907914 384935478 /nfs/dbraw/zinc/93/54/78/384935478.db2.gz NRVRDIRTTGYULM-DGCLKSJQSA-N 0 3 216.328 2.902 20 0 BFADHN COc1ccnc(CN(C)CC(C)(C)C)c1 ZINC000106860606 384936082 /nfs/dbraw/zinc/93/60/82/384936082.db2.gz MUYLWCMGHFVDFK-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN CCOCCN[C@@H](C)c1cc(C)cc(C)c1 ZINC000149634048 384937010 /nfs/dbraw/zinc/93/70/10/384937010.db2.gz RACLWFLSNHIQES-ZDUSSCGKSA-N 0 3 221.344 2.991 20 0 BFADHN CN(Cc1ccc(Cl)cc1)[C@H]1CCOC1 ZINC000106886639 384939389 /nfs/dbraw/zinc/93/93/89/384939389.db2.gz INHLZJFEXLQYPU-LBPRGKRZSA-N 0 3 225.719 2.561 20 0 BFADHN CS[C@H](CNCc1cc(C)no1)C(C)(C)C ZINC000601113894 384940477 /nfs/dbraw/zinc/94/04/77/384940477.db2.gz SJKUTOLHGOKTDY-LLVKDONJSA-N 0 3 242.388 2.850 20 0 BFADHN CN(Cc1ccc(Cl)cc1)[C@@H]1CCOC1 ZINC000106886636 384940810 /nfs/dbraw/zinc/94/08/10/384940810.db2.gz INHLZJFEXLQYPU-GFCCVEGCSA-N 0 3 225.719 2.561 20 0 BFADHN CS[C@@H](CNCc1cc(C)no1)C(C)(C)C ZINC000601113895 384941610 /nfs/dbraw/zinc/94/16/10/384941610.db2.gz SJKUTOLHGOKTDY-NSHDSACASA-N 0 3 242.388 2.850 20 0 BFADHN Cc1ccnc(CN2C[C@H](C)[C@H]3CCCC[C@@H]32)n1 ZINC000360209527 384944360 /nfs/dbraw/zinc/94/43/60/384944360.db2.gz QLLDNLWWVKDSNR-YUTCNCBUSA-N 0 3 245.370 2.796 20 0 BFADHN Cc1ccsc1CN[C@@H](C)c1cnn(C)c1 ZINC000085445016 384944786 /nfs/dbraw/zinc/94/47/86/384944786.db2.gz LBPKYNXVTVBLDD-JTQLQIEISA-N 0 3 235.356 2.641 20 0 BFADHN C[C@@H](NCCN(C)C)c1cnc2ccsc2c1 ZINC000085432891 384945325 /nfs/dbraw/zinc/94/53/25/384945325.db2.gz GRPVRWRUCCPKIM-SNVBAGLBSA-N 0 3 249.383 2.509 20 0 BFADHN C[C@@H](NC1CC(C(C)(C)C)C1)c1ncc[nH]1 ZINC000340452099 384968819 /nfs/dbraw/zinc/96/88/19/384968819.db2.gz SNVLJAFTHPPPNQ-KPPDAEKUSA-N 0 3 221.348 2.885 20 0 BFADHN CCC[C@H](N[C@@H]1C[C@H](O)C1(C)C)c1cccnc1 ZINC000187671671 384971286 /nfs/dbraw/zinc/97/12/86/384971286.db2.gz XGAZMWSMHPJEOC-MJBXVCDLSA-N 0 3 248.370 2.672 20 0 BFADHN CCC[C@H](N[C@H]1C[C@H](O)C1(C)C)c1cccnc1 ZINC000187671706 384972253 /nfs/dbraw/zinc/97/22/53/384972253.db2.gz XGAZMWSMHPJEOC-IHRRRGAJSA-N 0 3 248.370 2.672 20 0 BFADHN CCSCCNCc1cscc1C ZINC000129032073 384973309 /nfs/dbraw/zinc/97/33/09/384973309.db2.gz HQDZSWZCJASIRN-UHFFFAOYSA-N 0 3 215.387 2.899 20 0 BFADHN CCSCC[C@H](C)N[C@H](C)c1nccn1C ZINC000162295042 384976802 /nfs/dbraw/zinc/97/68/02/384976802.db2.gz WVOCTRZQYIHQIS-WDEREUQCSA-N 0 3 241.404 2.602 20 0 BFADHN CC[C@@H](C)N1CCN([C@@H](C)c2ccccn2)CC1 ZINC000187725548 384976692 /nfs/dbraw/zinc/97/66/92/384976692.db2.gz WDEQCIFUUNGPQX-KGLIPLIRSA-N 0 3 247.386 2.559 20 0 BFADHN Cc1cc(CN[C@H]2CCC(F)(F)C2)sn1 ZINC000404487831 385021840 /nfs/dbraw/zinc/02/18/40/385021840.db2.gz MWYWSBIKDLPRML-QMMMGPOBSA-N 0 3 232.299 2.729 20 0 BFADHN Cn1ccnc1[C@H](NC[C@H]1CC=CCC1)C1CC1 ZINC000187762041 384980549 /nfs/dbraw/zinc/98/05/49/384980549.db2.gz FVIJTQULQXNPQO-GXTWGEPZSA-N 0 3 245.370 2.817 20 0 BFADHN CC(C)C[C@@H](N[C@@H]1CCOC1)c1ccccn1 ZINC000643911068 384984609 /nfs/dbraw/zinc/98/46/09/384984609.db2.gz RHNVPTBIIXFYSL-TZMCWYRMSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@@]1(CNCc2ccc(F)cc2F)CCCO1 ZINC000094798157 384986081 /nfs/dbraw/zinc/98/60/81/384986081.db2.gz FAYJQQRJRWBTOY-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN Cc1cc(CNCC[C@@H]2CCCCO2)sn1 ZINC000404425461 384991619 /nfs/dbraw/zinc/99/16/19/384991619.db2.gz YNZMSHJICLLHBO-NSHDSACASA-N 0 3 240.372 2.500 20 0 BFADHN CCCCCN(CCO)Cc1ccc(C)o1 ZINC000128102415 384993822 /nfs/dbraw/zinc/99/38/22/384993822.db2.gz RVRMMHICPUMNKD-UHFFFAOYSA-N 0 3 225.332 2.573 20 0 BFADHN CC(C)N(C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000086164460 384995016 /nfs/dbraw/zinc/99/50/16/384995016.db2.gz DWYPFQOOVKIKHZ-UHFFFAOYSA-N 0 3 249.358 2.620 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCOC2)c2ccccc21 ZINC000129422156 384995586 /nfs/dbraw/zinc/99/55/86/384995586.db2.gz ROSNCXYHSJBGKC-GYSYKLTISA-N 0 3 217.312 2.613 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC2(F)F)sn1 ZINC000404436148 384996368 /nfs/dbraw/zinc/99/63/68/384996368.db2.gz RKOISFFIMRRMFX-VIFPVBQESA-N 0 3 246.326 2.977 20 0 BFADHN Cc1nc(C)c([C@H](C)NCCC(C)(C)O)s1 ZINC000086235462 385000626 /nfs/dbraw/zinc/00/06/26/385000626.db2.gz AKOHHTTUQASCLJ-QMMMGPOBSA-N 0 3 242.388 2.572 20 0 BFADHN C[C@H](NCCC(C)(C)O)c1ccccc1F ZINC000086235619 385002892 /nfs/dbraw/zinc/00/28/92/385002892.db2.gz ISVQXQFFRHSWDY-JTQLQIEISA-N 0 3 225.307 2.637 20 0 BFADHN c1cc(CNCCCc2ccccc2)sn1 ZINC000404443344 385007358 /nfs/dbraw/zinc/00/73/58/385007358.db2.gz BGQUUWNRFXUBMQ-UHFFFAOYSA-N 0 3 232.352 2.866 20 0 BFADHN Cc1cc(CNC[C@H]2CCCCS2)sn1 ZINC000404446103 385007523 /nfs/dbraw/zinc/00/75/23/385007523.db2.gz CMFGRPIBOUCTIB-SNVBAGLBSA-N 0 3 242.413 2.827 20 0 BFADHN Cc1cc(CN[C@@H]2CC=CCC2)sn1 ZINC000404475868 385009539 /nfs/dbraw/zinc/00/95/39/385009539.db2.gz WTUHBJQJESRIGU-SNVBAGLBSA-N 0 3 208.330 2.650 20 0 BFADHN FC(F)(F)CCCNCc1ccns1 ZINC000404470006 385014775 /nfs/dbraw/zinc/01/47/75/385014775.db2.gz WWKXZJQNRLPDFF-UHFFFAOYSA-N 0 3 224.251 2.575 20 0 BFADHN CC[C@H](NC[C@](C)(O)CC)c1nc(C)cs1 ZINC000119080929 384962280 /nfs/dbraw/zinc/96/22/80/384962280.db2.gz NHJWIOQSODRDBE-CMPLNLGQSA-N 0 3 242.388 2.653 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@@H]3CCCC[C@H]32)nc1 ZINC000360221394 384962401 /nfs/dbraw/zinc/96/24/01/384962401.db2.gz MOXXMMQNEYEADG-MELADBBJSA-N 0 3 245.370 2.796 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NC1CCCCC1 ZINC000309540251 385048479 /nfs/dbraw/zinc/04/84/79/385048479.db2.gz DPIPCIWQDJYMEN-GFCCVEGCSA-N 0 3 219.332 2.709 20 0 BFADHN CC[C@@H](C)N1CC=C(C(F)(F)F)CC1 ZINC000335702035 385055693 /nfs/dbraw/zinc/05/56/93/385055693.db2.gz ITVQNMLWZCUWMT-MRVPVSSYSA-N 0 3 207.239 2.979 20 0 BFADHN C[C@H](NCC(C)(C)O)c1cc2ccccc2o1 ZINC000087010014 385063143 /nfs/dbraw/zinc/06/31/43/385063143.db2.gz WDGJOYMPCTXCCR-JTQLQIEISA-N 0 3 233.311 2.854 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1ccccc1OC ZINC000087013336 385064484 /nfs/dbraw/zinc/06/44/84/385064484.db2.gz IMTSCVWJCDOOOB-FZMZJTMJSA-N 0 3 237.343 2.507 20 0 BFADHN CCN(CCCO)Cc1cccc(Cl)c1 ZINC000051906661 385066043 /nfs/dbraw/zinc/06/60/43/385066043.db2.gz HDVDGOQXQURYOJ-UHFFFAOYSA-N 0 3 227.735 2.544 20 0 BFADHN C[C@H](CC1CC1)N[C@H](C)c1nnc2ccccn21 ZINC000128717007 385067804 /nfs/dbraw/zinc/06/78/04/385067804.db2.gz KVOSCZQZXDDQPO-GHMZBOCLSA-N 0 3 244.342 2.569 20 0 BFADHN CO[C@H](C)CN[C@@H](CC(C)C)c1ccncc1 ZINC000647301043 385070284 /nfs/dbraw/zinc/07/02/84/385070284.db2.gz PGXJPJSZMWVBGN-OCCSQVGLSA-N 0 3 236.359 2.793 20 0 BFADHN COc1cc(C)c(CN2CCC23CCC3)c(C)n1 ZINC000359223189 385075157 /nfs/dbraw/zinc/07/51/57/385075157.db2.gz HQPFGRBNDDESBD-UHFFFAOYSA-N 0 3 246.354 2.835 20 0 BFADHN CC[C@@H](N[C@@H](CC)CO)c1cc(F)ccc1F ZINC000188677015 385077486 /nfs/dbraw/zinc/07/74/86/385077486.db2.gz PVEVVOBTHORTBL-GXFFZTMASA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@H](CO)N[C@@H]1CCCOc2cc(C)ccc21 ZINC000188677477 385080296 /nfs/dbraw/zinc/08/02/96/385080296.db2.gz BMCQIZDKSPPESZ-GXTWGEPZSA-N 0 3 249.354 2.569 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1cnccc1C ZINC000131278323 385081862 /nfs/dbraw/zinc/08/18/62/385081862.db2.gz UVHGYPATEIPHLI-QWRGUYRKSA-N 0 3 224.373 2.792 20 0 BFADHN CC[C@@H](N[C@@H](CC)COC)c1nc(C)cs1 ZINC000151017987 385082332 /nfs/dbraw/zinc/08/23/32/385082332.db2.gz LTPJGRVTCFFCME-WDEREUQCSA-N 0 3 242.388 2.917 20 0 BFADHN C[C@H](NCC1(C2CC2)CC1)c1cscn1 ZINC000131317402 385082816 /nfs/dbraw/zinc/08/28/16/385082816.db2.gz RACPGZFZLSKFRQ-VIFPVBQESA-N 0 3 222.357 2.984 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCCC1(C)C ZINC000339575931 385025741 /nfs/dbraw/zinc/02/57/41/385025741.db2.gz VBXXULGZSVRGSZ-NSHDSACASA-N 0 3 221.348 2.634 20 0 BFADHN Cc1cc(CNCCCC(F)(F)F)sn1 ZINC000404502849 385025912 /nfs/dbraw/zinc/02/59/12/385025912.db2.gz ZIBJEGHCPYXQHG-UHFFFAOYSA-N 0 3 238.278 2.884 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCC[C@H](C)C1 ZINC000037252917 385026245 /nfs/dbraw/zinc/02/62/45/385026245.db2.gz VHYHWVBPWAIUJC-CABZTGNLSA-N 0 3 222.332 2.960 20 0 BFADHN CCCNc1ccc(CN[C@@H]2CO[C@H](C)C2)cc1 ZINC000647206288 385029922 /nfs/dbraw/zinc/02/99/22/385029922.db2.gz NVRGWUGJGWBVSL-DOMZBBRYSA-N 0 3 248.370 2.776 20 0 BFADHN Cc1ccc(CN2CCC([C@H]3CCCO3)CC2)o1 ZINC000188292009 385035017 /nfs/dbraw/zinc/03/50/17/385035017.db2.gz TTYSKRAVJLQHMM-OAHLLOKOSA-N 0 3 249.354 2.979 20 0 BFADHN CCN(CCCO)Cc1ccc(SC)cc1 ZINC000128489685 385039505 /nfs/dbraw/zinc/03/95/05/385039505.db2.gz RHHBNYZOYYVOKG-UHFFFAOYSA-N 0 3 239.384 2.613 20 0 BFADHN Cc1ccc(CN[C@@H]2COCC2(C)C)s1 ZINC000312988913 385045309 /nfs/dbraw/zinc/04/53/09/385045309.db2.gz VXQAMMMKHXILKE-LLVKDONJSA-N 0 3 225.357 2.571 20 0 BFADHN CCC1([NH2+]Cc2nc(C)ccc2[O-])CCC1 ZINC000129063816 385107862 /nfs/dbraw/zinc/10/78/62/385107862.db2.gz TXUOPNNAINIGIJ-UHFFFAOYSA-N 0 3 220.316 2.518 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1ccc(F)c(C)c1 ZINC000061119416 385108692 /nfs/dbraw/zinc/10/86/92/385108692.db2.gz AEEFRFORDCZIKK-CYBMUJFWSA-N 0 3 238.306 2.590 20 0 BFADHN c1nc(C2CC2)c(CN[C@@H]2CC23CC3)s1 ZINC000424182327 385109831 /nfs/dbraw/zinc/10/98/31/385109831.db2.gz GTAONTUPSIQJRR-SNVBAGLBSA-N 0 3 220.341 2.663 20 0 BFADHN Clc1cc2c(c(CN[C@@H]3CC34CC4)c1)OCC2 ZINC000424181334 385109992 /nfs/dbraw/zinc/10/99/92/385109992.db2.gz BZJGBYVOVBVABM-GFCCVEGCSA-N 0 3 249.741 2.917 20 0 BFADHN Cn1cc(CN[C@@H]2CCCc3sccc32)cn1 ZINC000061328852 385111583 /nfs/dbraw/zinc/11/15/83/385111583.db2.gz XFPNKNGLBUVQKR-GFCCVEGCSA-N 0 3 247.367 2.649 20 0 BFADHN C[C@H](NCCc1ccccn1)c1cncc(F)c1 ZINC000151433426 385111764 /nfs/dbraw/zinc/11/17/64/385111764.db2.gz HFOQGHSJKMPOMB-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN C[C@@H](N[C@@H]1CCSC1)c1ccsc1 ZINC000061360625 385112178 /nfs/dbraw/zinc/11/21/78/385112178.db2.gz AAKIVBBECVTEDW-PSASIEDQSA-N 0 3 213.371 2.904 20 0 BFADHN c1cn(CCN[C@@H]2CCCc3sccc32)cn1 ZINC000061328849 385113778 /nfs/dbraw/zinc/11/37/78/385113778.db2.gz SZMXLKQVWVBPQT-GFCCVEGCSA-N 0 3 247.367 2.612 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCSC2)o1 ZINC000308798015 385114204 /nfs/dbraw/zinc/11/42/04/385114204.db2.gz IPVBOIZAERJFKP-LLVKDONJSA-N 0 3 225.357 2.821 20 0 BFADHN COc1ccc(C)cc1CN(C)C1CCOCC1 ZINC000530866598 385117304 /nfs/dbraw/zinc/11/73/04/385117304.db2.gz MPANFNCAGDMSCB-UHFFFAOYSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1oc2ccccc2c1CNC1(CO)CCC1 ZINC000165392664 385119065 /nfs/dbraw/zinc/11/90/65/385119065.db2.gz KVVGOZALLDBJOO-UHFFFAOYSA-N 0 3 245.322 2.746 20 0 BFADHN COC[C@@H](N[C@@H](C)C1CC1)c1ccc(C)o1 ZINC000165402503 385120214 /nfs/dbraw/zinc/12/02/14/385120214.db2.gz WYQMJDDLPAUKIK-CMPLNLGQSA-N 0 3 223.316 2.664 20 0 BFADHN CCOC1(C)CCN(Cc2cc(C)ccn2)CC1 ZINC000644703911 385120808 /nfs/dbraw/zinc/12/08/08/385120808.db2.gz DHBVUXNNCRWAQX-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1nocc1CN1CCCCC(C)(C)C1 ZINC000336340798 385121401 /nfs/dbraw/zinc/12/14/01/385121401.db2.gz HJKNWOHRMDAEFC-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000189101652 385123518 /nfs/dbraw/zinc/12/35/18/385123518.db2.gz LIWMCNIPQLCBLH-XZUYRWCXSA-N 0 3 237.343 2.916 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1CCCSC1 ZINC000129233144 385123474 /nfs/dbraw/zinc/12/34/74/385123474.db2.gz MBSSNXYGESABJA-RYUDHWBXSA-N 0 3 236.384 2.936 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cc(F)c(F)c(F)c1 ZINC000189125193 385126084 /nfs/dbraw/zinc/12/60/84/385126084.db2.gz IJNGFBXSGXJVFS-YUMQZZPRSA-N 0 3 247.260 2.617 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cc(F)c(F)c(F)c1 ZINC000189125168 385127642 /nfs/dbraw/zinc/12/76/42/385127642.db2.gz IJNGFBXSGXJVFS-JGVFFNPUSA-N 0 3 247.260 2.617 20 0 BFADHN Cc1nc(C)c(CN2CCC(C3CC3)CC2)o1 ZINC000644720562 385139415 /nfs/dbraw/zinc/13/94/15/385139415.db2.gz MGENUQFLECJAKI-UHFFFAOYSA-N 0 3 234.343 2.913 20 0 BFADHN CO[C@H]1CN(Cc2ccccc2F)CC[C@@H]1C ZINC000189280942 385139425 /nfs/dbraw/zinc/13/94/25/385139425.db2.gz PBUQBYDKNATGQQ-FZMZJTMJSA-N 0 3 237.318 2.683 20 0 BFADHN CC(C)[C@@H]1CCN(CCOCC(F)(F)F)C1 ZINC000409444488 385086227 /nfs/dbraw/zinc/08/62/27/385086227.db2.gz UJJBIVNAESXFAD-SNVBAGLBSA-N 0 3 239.281 2.543 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@@H](C)N(C3CC3)C2)o1 ZINC000151202600 385093796 /nfs/dbraw/zinc/09/37/96/385093796.db2.gz JRYXSPQOHPACOH-NEPJUHHUSA-N 0 3 248.370 2.557 20 0 BFADHN CC[C@H]([NH2+]Cc1nnc(C)[n-]1)C1CCCCC1 ZINC000644681904 385096398 /nfs/dbraw/zinc/09/63/98/385096398.db2.gz VJTZWCMGAZLFLB-LBPRGKRZSA-N 0 3 236.363 2.562 20 0 BFADHN C[C@@H](NCCc1cnccn1)c1cccc(F)c1 ZINC000340935897 385096473 /nfs/dbraw/zinc/09/64/73/385096473.db2.gz GFHWGFZWJGHYNX-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN CC[C@@H](CN[C@@H](CC)c1ccc(F)cn1)OC ZINC000310517188 385101100 /nfs/dbraw/zinc/10/11/00/385101100.db2.gz VRQUTTYHPZDPLL-RYUDHWBXSA-N 0 3 240.322 2.686 20 0 BFADHN CCC[C@H](CC)N[C@H](C)c1cc(C)n(C)n1 ZINC000310037717 385101882 /nfs/dbraw/zinc/10/18/82/385101882.db2.gz VKNYHSUTGBCGJN-NEPJUHHUSA-N 0 3 223.364 2.958 20 0 BFADHN c1[nH]c(-c2ccccc2)nc1CN[C@@H]1CC12CC2 ZINC000424188148 385106307 /nfs/dbraw/zinc/10/63/07/385106307.db2.gz WZWSLFJRCARRBF-CYBMUJFWSA-N 0 3 239.322 2.719 20 0 BFADHN c1nc(-c2ccccc2)[nH]c1CN[C@@H]1CC12CC2 ZINC000424188148 385106312 /nfs/dbraw/zinc/10/63/12/385106312.db2.gz WZWSLFJRCARRBF-CYBMUJFWSA-N 0 3 239.322 2.719 20 0 BFADHN Cc1ccc2c(c1)OCCC[C@H]2N[C@H](C)CCO ZINC000151930160 385141380 /nfs/dbraw/zinc/14/13/80/385141380.db2.gz RWHJUEGWRXVUEH-TZMCWYRMSA-N 0 3 249.354 2.569 20 0 BFADHN C[C@@H](N[C@@H](C)CCO)c1cc(F)c(F)c(F)c1 ZINC000151944890 385141409 /nfs/dbraw/zinc/14/14/09/385141409.db2.gz NTDJHXTYISSSNR-JGVFFNPUSA-N 0 3 247.260 2.525 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CC=CCC2)ncn1 ZINC000428353559 385181418 /nfs/dbraw/zinc/18/14/18/385181418.db2.gz VMBKVYXCQLRCIV-ZDUSSCGKSA-N 0 3 231.343 2.573 20 0 BFADHN C[C@@H](NCCOCC(F)F)c1ccc(F)cc1 ZINC000189816526 385188953 /nfs/dbraw/zinc/18/89/53/385188953.db2.gz NIQXESWGKXXVEB-SECBINFHSA-N 0 3 247.260 2.758 20 0 BFADHN CCC1(N[C@@H](C)c2cc3n(n2)CCCC3)CC1 ZINC000647305665 385190134 /nfs/dbraw/zinc/19/01/34/385190134.db2.gz HJWCYEYOCNRKHR-NSHDSACASA-N 0 3 233.359 2.813 20 0 BFADHN C[C@](O)(CCNCc1ccco1)c1ccccc1 ZINC000189847350 385190281 /nfs/dbraw/zinc/19/02/81/385190281.db2.gz WJZQGINZSNEAQF-HNNXBMFYSA-N 0 3 245.322 2.667 20 0 BFADHN COC[C@@H]1CCCN(Cc2ccco2)CC1 ZINC000449776569 385202045 /nfs/dbraw/zinc/20/20/45/385202045.db2.gz OKMAWVXXZLFGEC-GFCCVEGCSA-N 0 3 223.316 2.528 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H](C)c1cc2n(n1)CCCC2 ZINC000647306114 385194799 /nfs/dbraw/zinc/19/47/99/385194799.db2.gz YWMSCASHXDDANV-XQQFMLRXSA-N 0 3 247.386 2.916 20 0 BFADHN CC[C@@H](C)NCc1csc(C2CC2)n1 ZINC000113312358 385144027 /nfs/dbraw/zinc/14/40/27/385144027.db2.gz MPKWSWXBNZRUBF-MRVPVSSYSA-N 0 3 210.346 2.909 20 0 BFADHN C[C@@H]1C[C@H](CCNCc2ccco2)CCO1 ZINC000273001260 385150558 /nfs/dbraw/zinc/15/05/58/385150558.db2.gz AARMTYANHKMJGI-VXGBXAGGSA-N 0 3 223.316 2.574 20 0 BFADHN COc1ncccc1CN[C@H]1CCC(C)(C)C1 ZINC000129455973 385152237 /nfs/dbraw/zinc/15/22/37/385152237.db2.gz AXARYHAJAOMJOX-LBPRGKRZSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1nc(CN2CC[C@@H]3CCC[C@@H]32)cs1 ZINC000336359517 385157188 /nfs/dbraw/zinc/15/71/88/385157188.db2.gz IJCCGLWQBWCOAW-JQWIXIFHSA-N 0 3 222.357 2.826 20 0 BFADHN Cc1sccc1CNCCOC(C)C ZINC000336688189 385160654 /nfs/dbraw/zinc/16/06/54/385160654.db2.gz NNGKNWWWOHJWQF-UHFFFAOYSA-N 0 3 213.346 2.571 20 0 BFADHN Fc1cncc([C@@H](N[C@@H]2CC23CC3)C2CC2)c1 ZINC000424319361 385161295 /nfs/dbraw/zinc/16/12/95/385161295.db2.gz IAPQMRRIOLBOIO-OLZOCXBDSA-N 0 3 232.302 2.814 20 0 BFADHN COC[C@H]1CCCN(Cc2ccccc2F)C1 ZINC000062161076 385163010 /nfs/dbraw/zinc/16/30/10/385163010.db2.gz AIXJMZKFWBZMDL-LBPRGKRZSA-N 0 3 237.318 2.684 20 0 BFADHN COc1ccc([C@@H](C)N[C@@H]2CC23CC3)cc1OC ZINC000424323775 385165328 /nfs/dbraw/zinc/16/53/28/385165328.db2.gz MIOFDGCOKUAQOV-QMTHXVAHSA-N 0 3 247.338 2.907 20 0 BFADHN Cc1c([C@@H](C)N[C@@H]2CC23CC3)cnn1C(C)C ZINC000424329418 385165877 /nfs/dbraw/zinc/16/58/77/385165877.db2.gz RTMMQGHENPJPLM-ZWNOBZJWSA-N 0 3 233.359 2.976 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H]1CC12CC2 ZINC000424326772 385166542 /nfs/dbraw/zinc/16/65/42/385166542.db2.gz OZBXOTWQMZRARY-ZYHUDNBSSA-N 0 3 216.328 2.847 20 0 BFADHN COc1cccc([C@H](C)N[C@H]2CC23CC3)c1 ZINC000424325036 385166779 /nfs/dbraw/zinc/16/67/79/385166779.db2.gz NFSZYEINBIDMJR-GWCFXTLKSA-N 0 3 217.312 2.898 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@H]1CC12CC2 ZINC000424326770 385167153 /nfs/dbraw/zinc/16/71/53/385167153.db2.gz OZBXOTWQMZRARY-PWSUYJOCSA-N 0 3 216.328 2.847 20 0 BFADHN Cc1c([C@H](C)N[C@@H]2CC23CC3)cnn1C(C)C ZINC000424329415 385167292 /nfs/dbraw/zinc/16/72/92/385167292.db2.gz RTMMQGHENPJPLM-GXFFZTMASA-N 0 3 233.359 2.976 20 0 BFADHN Cc1ccc2nc(CN3CCC[C@@H]3C)cn2c1 ZINC000177028905 385171498 /nfs/dbraw/zinc/17/14/98/385171498.db2.gz FQUWKSIPJCHFOY-LBPRGKRZSA-N 0 3 229.327 2.627 20 0 BFADHN C[C@@H](F)CCN[C@H](C)c1cc2n(n1)CCCC2 ZINC000647305209 385173024 /nfs/dbraw/zinc/17/30/24/385173024.db2.gz WRKJGHBKNHGTSL-GHMZBOCLSA-N 0 3 239.338 2.618 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@H]1CCCOC1 ZINC000109543268 385174664 /nfs/dbraw/zinc/17/46/64/385174664.db2.gz BSEZCJJIVFYZDW-STQMWFEESA-N 0 3 249.354 2.915 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1cc(F)ccc1F ZINC000109543430 385174941 /nfs/dbraw/zinc/17/49/41/385174941.db2.gz JPWLZIBHQRDKAZ-KOLCDFICSA-N 0 3 241.281 2.794 20 0 BFADHN CN(CCC1CCOCC1)Cc1ccsc1 ZINC000129692456 385178571 /nfs/dbraw/zinc/17/85/71/385178571.db2.gz ZSAWNRDAFLMFLP-UHFFFAOYSA-N 0 3 239.384 2.997 20 0 BFADHN CC[C@@H](CSC)NCc1nc(C)cs1 ZINC000162190050 385179907 /nfs/dbraw/zinc/17/99/07/385179907.db2.gz GUSJZVOXOHHYSB-VIFPVBQESA-N 0 3 230.402 2.683 20 0 BFADHN CC(C)CCOCCNCc1cccs1 ZINC000044387371 385206282 /nfs/dbraw/zinc/20/62/82/385206282.db2.gz HVWRZEMCYVCZDF-UHFFFAOYSA-N 0 3 227.373 2.900 20 0 BFADHN COC[C@@H](C)CNCc1ccccc1Cl ZINC000044478591 385207867 /nfs/dbraw/zinc/20/78/67/385207867.db2.gz OYVIYQACLOHUBN-JTQLQIEISA-N 0 3 227.735 2.712 20 0 BFADHN FC(F)c1ccc(CNC[C@@H]2CCCO2)cc1 ZINC000150064994 385211635 /nfs/dbraw/zinc/21/16/35/385211635.db2.gz CHTXTXXQMIIKBB-LBPRGKRZSA-N 0 3 241.281 2.893 20 0 BFADHN CSCCCN1CCc2c(F)cccc2C1 ZINC000152806001 385215250 /nfs/dbraw/zinc/21/52/50/385215250.db2.gz MPCIIVNQKXXTPX-UHFFFAOYSA-N 0 3 239.359 2.937 20 0 BFADHN CCCCCC(=O)Nc1cccc(CN)c1 ZINC000020481035 385216210 /nfs/dbraw/zinc/21/62/10/385216210.db2.gz KBVXZCJRFMHKIE-UHFFFAOYSA-N 0 3 220.316 2.664 20 0 BFADHN COC[C@H](NCc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000178246120 385216566 /nfs/dbraw/zinc/21/65/66/385216566.db2.gz WICHRHPGYIPFCB-SWHYSGLUSA-N 0 3 249.354 2.918 20 0 BFADHN CCOC[C@H](C)NCc1cccc(Cl)c1 ZINC000044689522 385218309 /nfs/dbraw/zinc/21/83/09/385218309.db2.gz YOKBDRFBMBEXAK-JTQLQIEISA-N 0 3 227.735 2.855 20 0 BFADHN CC(C)CC1(CN[C@@H](C)c2cnccn2)CC1 ZINC000090234558 385218357 /nfs/dbraw/zinc/21/83/57/385218357.db2.gz FUALJPIRFRCYKG-LBPRGKRZSA-N 0 3 233.359 2.954 20 0 BFADHN CN(C)CCSc1ncc(C(C)(C)C)o1 ZINC000130077696 385219653 /nfs/dbraw/zinc/21/96/53/385219653.db2.gz LWSVSAMJCHSBAL-UHFFFAOYSA-N 0 3 228.361 2.626 20 0 BFADHN CC(C)N(Cc1c[nH]cn1)C1CC(C)(C)C1 ZINC000449780048 385223176 /nfs/dbraw/zinc/22/31/76/385223176.db2.gz FINXTTCQAHRWQJ-UHFFFAOYSA-N 0 3 221.348 2.809 20 0 BFADHN CC(C)N(Cc1cnc[nH]1)C1CC(C)(C)C1 ZINC000449780048 385223179 /nfs/dbraw/zinc/22/31/79/385223179.db2.gz FINXTTCQAHRWQJ-UHFFFAOYSA-N 0 3 221.348 2.809 20 0 BFADHN Cc1cc(C)cc([C@H](C)N[C@H]2CCOC2)c1 ZINC000166127167 385223591 /nfs/dbraw/zinc/22/35/91/385223591.db2.gz BDMQACMCYZGFLU-JSGCOSHPSA-N 0 3 219.328 2.743 20 0 BFADHN Nc1c(F)cccc1CN1CC[C@@H](C2CC2)C1 ZINC000400041672 385223778 /nfs/dbraw/zinc/22/37/78/385223778.db2.gz PJXBZRJWHLEAJU-LLVKDONJSA-N 0 3 234.318 2.640 20 0 BFADHN CCc1ccc(CN[C@@H](CC)[C@@H]2CCCO2)o1 ZINC000119791628 385224474 /nfs/dbraw/zinc/22/44/74/385224474.db2.gz KIEQQOBGEGYIMV-KBPBESRZSA-N 0 3 237.343 2.889 20 0 BFADHN Clc1ccc(CN[C@@H]2CCCOCC2)o1 ZINC000308869775 385225483 /nfs/dbraw/zinc/22/54/83/385225483.db2.gz YKSCVJBRKOJSQM-SECBINFHSA-N 0 3 229.707 2.592 20 0 BFADHN COC(=O)c1ccc([C@@H](C)N(C)CC(C)C)o1 ZINC000110310798 385227393 /nfs/dbraw/zinc/22/73/93/385227393.db2.gz AMXWRSOZHFUXHF-SNVBAGLBSA-N 0 3 239.315 2.715 20 0 BFADHN CCC1(NCc2nccs2)CCCC1 ZINC000294155593 385228000 /nfs/dbraw/zinc/22/80/00/385228000.db2.gz AMCBLDITVFJHCM-UHFFFAOYSA-N 0 3 210.346 2.956 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H]2CCCO2)o1 ZINC000153161676 385238421 /nfs/dbraw/zinc/23/84/21/385238421.db2.gz IDQJAPKFGCTQRL-JQWIXIFHSA-N 0 3 223.316 2.672 20 0 BFADHN C[C@H](CC[S@](C)=O)N[C@H](C)c1cccs1 ZINC000252730240 385239172 /nfs/dbraw/zinc/23/91/72/385239172.db2.gz KLIWNMFVJCLDLI-FCHSOHFDSA-N 0 3 245.413 2.556 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1ccc(OC)cc1F ZINC000037196216 385247209 /nfs/dbraw/zinc/24/72/09/385247209.db2.gz BKPRFSHZFIHAJI-NXEZZACHSA-N 0 3 241.306 2.520 20 0 BFADHN CC(C)C[C@H](N[C@H]1C[C@@](C)(O)C1)c1ccncc1 ZINC000647309296 385247484 /nfs/dbraw/zinc/24/74/84/385247484.db2.gz IOBQNEFTEYRMGG-SOUVJXGZSA-N 0 3 248.370 2.672 20 0 BFADHN C[C@H](N[C@@H]1CC1(C)C)c1cccc(O)c1 ZINC000045116057 385249346 /nfs/dbraw/zinc/24/93/46/385249346.db2.gz MGLOJAGUPJEMNG-JOYOIKCWSA-N 0 3 205.301 2.841 20 0 BFADHN CC(C)C1(CNCc2cn3ccccc3n2)CC1 ZINC000227832360 385250356 /nfs/dbraw/zinc/25/03/56/385250356.db2.gz BIQDKPKMYYCLBP-UHFFFAOYSA-N 0 3 243.354 2.860 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc(C)c(F)c1 ZINC000166525012 385250969 /nfs/dbraw/zinc/25/09/69/385250969.db2.gz KITGGDFCJPEWDN-MNOVXSKESA-N 0 3 225.307 2.647 20 0 BFADHN C[C@@H](N[C@H](C)C1CCOCC1)c1nccs1 ZINC000153437088 385255187 /nfs/dbraw/zinc/25/51/87/385255187.db2.gz GZJDKOFTTJHSOA-NXEZZACHSA-N 0 3 240.372 2.609 20 0 BFADHN CC(C)n1nccc1CNCCc1ccsc1 ZINC000279817091 385205838 /nfs/dbraw/zinc/20/58/38/385205838.db2.gz GBUGXUIECZREIQ-UHFFFAOYSA-N 0 3 249.383 2.858 20 0 BFADHN Cc1csc(CN2CC[C@@H](C)[C@@H]2C)n1 ZINC000131101386 385308294 /nfs/dbraw/zinc/30/82/94/385308294.db2.gz MXKTYSNZYZWCRY-SCZZXKLOSA-N 0 3 210.346 2.682 20 0 BFADHN CC/C=C/CNCc1cc(OC)cc(OC)c1 ZINC000294920953 385309508 /nfs/dbraw/zinc/30/95/08/385309508.db2.gz AXGVRPIIEGFGKA-AATRIKPKSA-N 0 3 235.327 2.760 20 0 BFADHN COC[C@@H](C)CNCc1cccc(Cl)c1F ZINC000131124854 385310140 /nfs/dbraw/zinc/31/01/40/385310140.db2.gz UMLUXKVOESEEFZ-VIFPVBQESA-N 0 3 245.725 2.851 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H](C)N(C)C2CC2)o1 ZINC000131133582 385310572 /nfs/dbraw/zinc/31/05/72/385310572.db2.gz OIJGKUNXSYTMCA-CMPLNLGQSA-N 0 3 236.359 2.721 20 0 BFADHN C[C@@H](NCc1cccc(Cl)c1F)[C@H](C)CO ZINC000131138739 385310771 /nfs/dbraw/zinc/31/07/71/385310771.db2.gz YOVDOCNJCRBQDL-RKDXNWHRSA-N 0 3 245.725 2.586 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2CCCOC2)o1 ZINC000167418884 385314543 /nfs/dbraw/zinc/31/45/43/385314543.db2.gz MVUCMBVCGVNLLD-WDEREUQCSA-N 0 3 223.316 2.672 20 0 BFADHN COC[C@H](C)CN[C@H](C)c1cccnc1Cl ZINC000178669909 385316454 /nfs/dbraw/zinc/31/64/54/385316454.db2.gz HISZUASPRXXVRL-NXEZZACHSA-N 0 3 242.750 2.668 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@@]2(CC[C@H](C)C2)C1 ZINC000336401594 385260283 /nfs/dbraw/zinc/26/02/83/385260283.db2.gz VVKSXNLFBAVHCQ-SMDDNHRTSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1cnccc1CN(C)CCC(C)C ZINC000648357397 385322115 /nfs/dbraw/zinc/32/21/15/385322115.db2.gz RQQSPRKIWOZZPM-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN CCOCCNCc1ccc(OCC2CC2)cc1 ZINC000190784039 385261655 /nfs/dbraw/zinc/26/16/55/385261655.db2.gz AOWBHIGAUAMFHT-UHFFFAOYSA-N 0 3 249.354 2.602 20 0 BFADHN COC[C@@H](Cc1ccccc1)NCc1ccoc1 ZINC000177580570 385263392 /nfs/dbraw/zinc/26/33/92/385263392.db2.gz MPFZRBRUDKZYBG-OAHLLOKOSA-N 0 3 245.322 2.627 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@H]2COCC2(C)C)o1 ZINC000313048906 385264811 /nfs/dbraw/zinc/26/48/11/385264811.db2.gz ZAOWZWWLVQYMNM-QKCSRTOESA-N 0 3 249.354 2.918 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1ccc(OC)c(F)c1 ZINC000019905143 385266210 /nfs/dbraw/zinc/26/62/10/385266210.db2.gz MPIHAYYSWSMRGZ-NXEZZACHSA-N 0 3 241.306 2.520 20 0 BFADHN CCOc1ccccc1CN[C@H]1C[C@H]1C ZINC000052268816 385267150 /nfs/dbraw/zinc/26/71/50/385267150.db2.gz BCKFYBWTFQBGMB-PWSUYJOCSA-N 0 3 205.301 2.583 20 0 BFADHN CC1(C)CCCN(Cc2ccncc2)C1 ZINC000130608969 385268952 /nfs/dbraw/zinc/26/89/52/385268952.db2.gz BNPSGWXNSRBBTE-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN C[C@@H](N[C@@H](C)c1nnc2ccccn21)C1CCC1 ZINC000178451924 385273038 /nfs/dbraw/zinc/27/30/38/385273038.db2.gz CJVGFZWSOBTTGT-MNOVXSKESA-N 0 3 244.342 2.569 20 0 BFADHN c1c(CNCC2(C3CC3)CC2)nc2ccccn12 ZINC000130677710 385276092 /nfs/dbraw/zinc/27/60/92/385276092.db2.gz OJDVRUCEGQRFRY-UHFFFAOYSA-N 0 3 241.338 2.614 20 0 BFADHN C[C@@H](O)CN(C)Cc1cc(Cl)cc(Cl)c1 ZINC000130724823 385279495 /nfs/dbraw/zinc/27/94/95/385279495.db2.gz ORELUSIRLGSSHW-MRVPVSSYSA-N 0 3 248.153 2.806 20 0 BFADHN CSc1ccc(CNC[C@H]2CCCO2)s1 ZINC000308926302 385286506 /nfs/dbraw/zinc/28/65/06/385286506.db2.gz IHNLUDXJPKPWJH-SECBINFHSA-N 0 3 243.397 2.739 20 0 BFADHN FCCCN1CCC[C@H]1c1ccncc1 ZINC000153886792 385288137 /nfs/dbraw/zinc/28/81/37/385288137.db2.gz RBTVPKUGBVNTDU-LBPRGKRZSA-N 0 3 208.280 2.578 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cc(F)ccc1C ZINC000289717402 385289009 /nfs/dbraw/zinc/28/90/09/385289009.db2.gz FUQBLNSKPNGXDV-MNOVXSKESA-N 0 3 225.307 2.647 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H](CO)c1ccsc1 ZINC000308928723 385290269 /nfs/dbraw/zinc/29/02/69/385290269.db2.gz DSEFTGWWOFPHRF-PWSUYJOCSA-N 0 3 227.373 2.806 20 0 BFADHN CC[C@@H](NC[C@@H](C)OC)c1ccccc1F ZINC000191204578 385292046 /nfs/dbraw/zinc/29/20/46/385292046.db2.gz LUFMJOGGRUYOEI-ZWNOBZJWSA-N 0 3 225.307 2.901 20 0 BFADHN CO[C@H](C)CN[C@H]1CCSc2ccccc21 ZINC000191201576 385292194 /nfs/dbraw/zinc/29/21/94/385292194.db2.gz ZXXHUVHODGUFLP-PWSUYJOCSA-N 0 3 237.368 2.848 20 0 BFADHN CO[C@H](CNCc1ccc(F)c(C)c1)C(C)C ZINC000344743398 385292413 /nfs/dbraw/zinc/29/24/13/385292413.db2.gz RTTAYCFKILQTCU-CQSZACIVSA-N 0 3 239.334 2.895 20 0 BFADHN CO[C@@H](C)CNCc1cc2ccccc2o1 ZINC000191203235 385293249 /nfs/dbraw/zinc/29/32/49/385293249.db2.gz QGDIEHRGUCUTIX-JTQLQIEISA-N 0 3 219.284 2.557 20 0 BFADHN C[C@H](NC[C@H]1C[C@H]1C)c1ccncc1F ZINC000308931158 385300732 /nfs/dbraw/zinc/30/07/32/385300732.db2.gz FIVLPGGIRHUIMY-KXUCPTDWSA-N 0 3 208.280 2.527 20 0 BFADHN Cc1ccc(O)c(CN(C)Cc2ccccc2)n1 ZINC000154466865 385330026 /nfs/dbraw/zinc/33/00/26/385330026.db2.gz WIQLIFYXGCDCLS-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN CC(C)c1cccc2c1CCN(CCCO)C2 ZINC000191893405 385331191 /nfs/dbraw/zinc/33/11/91/385331191.db2.gz OYEMCPWMGHILDC-UHFFFAOYSA-N 0 3 233.355 2.551 20 0 BFADHN Cc1nnsc1CN[C@H](C)CCC(C)C ZINC000308987043 385333871 /nfs/dbraw/zinc/33/38/71/385333871.db2.gz MGSCQGAFIZZCJX-SECBINFHSA-N 0 3 227.377 2.761 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](O)C(C)C)o1 ZINC000167785017 385335551 /nfs/dbraw/zinc/33/55/51/385335551.db2.gz VSOQBGRGIBHPSM-JQWIXIFHSA-N 0 3 225.332 2.510 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cc(Cl)cs1 ZINC000193242958 385388881 /nfs/dbraw/zinc/38/88/81/385388881.db2.gz KKYPMOWGYUOCTP-YUMQZZPRSA-N 0 3 233.764 2.915 20 0 BFADHN CC[C@](C)(O)CN[C@@H]1CCCOc2ccccc21 ZINC000154560210 385337851 /nfs/dbraw/zinc/33/78/51/385337851.db2.gz DXMIPHRCIABXED-HIFRSBDPSA-N 0 3 249.354 2.651 20 0 BFADHN CC(C)(C)C[NH2+]Cc1ccc([O-])c(F)c1 ZINC000120495041 385343030 /nfs/dbraw/zinc/34/30/30/385343030.db2.gz NOPOOIUEOZNYDM-UHFFFAOYSA-N 0 3 211.280 2.667 20 0 BFADHN CC(C)C[C@H](CCO)CN[C@H](C)c1ccco1 ZINC000154669032 385347174 /nfs/dbraw/zinc/34/71/74/385347174.db2.gz XJOOPUWQPYFFJJ-OLZOCXBDSA-N 0 3 239.359 2.975 20 0 BFADHN CCCCN(C)C(=O)[C@H](C)[C@@H](N)c1ccccc1 ZINC000131624965 385349201 /nfs/dbraw/zinc/34/92/01/385349201.db2.gz UJWWXDNKNFXWSO-TZMCWYRMSA-N 0 3 248.370 2.581 20 0 BFADHN COc1ccc(CN(C)CC2CC2)c(OC)c1 ZINC000178296094 385350326 /nfs/dbraw/zinc/35/03/26/385350326.db2.gz GWQGZSZRJCGARR-UHFFFAOYSA-N 0 3 235.327 2.546 20 0 BFADHN CC1(C)C[C@H](N[C@@H]2CCc3c2cccc3O)CO1 ZINC000335358077 385351657 /nfs/dbraw/zinc/35/16/57/385351657.db2.gz IQFSCWBPFFHMEI-GXFFZTMASA-N 0 3 247.338 2.537 20 0 BFADHN CCN(C(=O)[C@@H](C)[C@H](N)c1ccccc1)C(C)C ZINC000131646505 385352111 /nfs/dbraw/zinc/35/21/11/385352111.db2.gz QDPPPLKGBGYIOT-JSGCOSHPSA-N 0 3 248.370 2.579 20 0 BFADHN C[C@H](NCc1cnns1)C1CCCCC1 ZINC000309006644 385356477 /nfs/dbraw/zinc/35/64/77/385356477.db2.gz UVXXSFOGXYJWGA-VIFPVBQESA-N 0 3 225.361 2.597 20 0 BFADHN CC[C@@H](COC)NCc1cc(F)cc(Cl)c1 ZINC000168261622 385357234 /nfs/dbraw/zinc/35/72/34/385357234.db2.gz BGNQMJPJURJNTN-LBPRGKRZSA-N 0 3 245.725 2.994 20 0 BFADHN CC[C@H](CNCc1ccc(F)cc1Cl)OC ZINC000289744461 385358141 /nfs/dbraw/zinc/35/81/41/385358141.db2.gz HUEHNRZCPCKPKP-LLVKDONJSA-N 0 3 245.725 2.994 20 0 BFADHN CC(C)n1cc([C@@H](C)NC2CCC2)cn1 ZINC000309000253 385361215 /nfs/dbraw/zinc/36/12/15/385361215.db2.gz NWBSUENQURBLTA-SNVBAGLBSA-N 0 3 207.321 2.667 20 0 BFADHN Cc1nc(C(C)C)sc1[C@H](C)NC[C@@H](C)O ZINC000131828688 385362484 /nfs/dbraw/zinc/36/24/84/385362484.db2.gz SPEPFONMWKCUFS-BDAKNGLRSA-N 0 3 242.388 2.606 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cccc(Cl)c1F ZINC000168427867 385368855 /nfs/dbraw/zinc/36/88/55/385368855.db2.gz QKLUJBIDZCXMHP-BDAKNGLRSA-N 0 3 245.725 2.992 20 0 BFADHN CCOc1ccccc1[C@H](CC)NC[C@H](C)O ZINC000192779986 385370129 /nfs/dbraw/zinc/37/01/29/385370129.db2.gz AZQHIHYCAVJTBI-AAEUAGOBSA-N 0 3 237.343 2.507 20 0 BFADHN CCOc1ccccc1[C@@H](CC)NC[C@@H](C)O ZINC000192779973 385371093 /nfs/dbraw/zinc/37/10/93/385371093.db2.gz AZQHIHYCAVJTBI-DGCLKSJQSA-N 0 3 237.343 2.507 20 0 BFADHN CC1(C)CCC(CNCc2cocn2)CC1 ZINC000179419468 385375223 /nfs/dbraw/zinc/37/52/23/385375223.db2.gz BBRZWBMOGCLYRD-UHFFFAOYSA-N 0 3 222.332 2.981 20 0 BFADHN C/C=C\C[C@H](CO)NCc1ccc(Cl)s1 ZINC000309031087 385378554 /nfs/dbraw/zinc/37/85/54/385378554.db2.gz DUIUWIMUZSNVJS-PKRMOACSSA-N 0 3 245.775 2.818 20 0 BFADHN Cc1ccc(CN[C@H]2CCC2(C)C)nc1 ZINC000309029692 385378568 /nfs/dbraw/zinc/37/85/68/385378568.db2.gz APOLGSVYOODQAR-LBPRGKRZSA-N 0 3 204.317 2.668 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1ccc(Cl)s1 ZINC000309031088 385378600 /nfs/dbraw/zinc/37/86/00/385378600.db2.gz DUIUWIMUZSNVJS-XADBCAIWSA-N 0 3 245.775 2.818 20 0 BFADHN CCCc1ccccc1NC(=O)[C@H]1NCC1(C)C ZINC000644016954 385378703 /nfs/dbraw/zinc/37/87/03/385378703.db2.gz STZGCEGCHXKZQJ-CYBMUJFWSA-N 0 3 246.354 2.576 20 0 BFADHN CCOCCNC1(c2cccc(Cl)c2)CC1 ZINC000179668176 385378928 /nfs/dbraw/zinc/37/89/28/385378928.db2.gz UQLZOWCHJWGFIZ-UHFFFAOYSA-N 0 3 239.746 2.955 20 0 BFADHN COCCNC1(c2cccc(Cl)c2)CC1 ZINC000179669258 385379029 /nfs/dbraw/zinc/37/90/29/385379029.db2.gz FYLNSLMJOXMTBR-UHFFFAOYSA-N 0 3 225.719 2.565 20 0 BFADHN C[C@@H](N[C@@H](C)CN(C)C)c1cc(F)cc(F)c1 ZINC000168685417 385380307 /nfs/dbraw/zinc/38/03/07/385380307.db2.gz IDKBNRPBNMIJEW-VHSXEESVSA-N 0 3 242.313 2.566 20 0 BFADHN CC/C=C/CNCc1ccc(-n2ccnc2)cc1 ZINC000295016962 385380490 /nfs/dbraw/zinc/38/04/90/385380490.db2.gz KDPAVVPSGHAJRP-ONEGZZNKSA-N 0 3 241.338 2.928 20 0 BFADHN COC[C@H](N[C@@H]1CCc2ccc(F)cc21)C1CC1 ZINC000178554832 385390734 /nfs/dbraw/zinc/39/07/34/385390734.db2.gz FESMNZMLDPIEGV-CABCVRRESA-N 0 3 249.329 2.828 20 0 BFADHN CO[C@](C)(CN[C@H](C)c1ccccn1)C1CC1 ZINC000291278097 385380772 /nfs/dbraw/zinc/38/07/72/385380772.db2.gz RBCWFMQGELRHTD-BXUZGUMPSA-N 0 3 234.343 2.547 20 0 BFADHN CCOC[C@H](C)NCc1ccc(SC)s1 ZINC000309033274 385381170 /nfs/dbraw/zinc/38/11/70/385381170.db2.gz GKHQPZHLXLXEEY-VIFPVBQESA-N 0 3 245.413 2.985 20 0 BFADHN C[C@@H]1[C@H](O)CCCN1Cc1cccc(Cl)c1 ZINC000295019041 385382116 /nfs/dbraw/zinc/38/21/16/385382116.db2.gz NAKPDFDOKZYGIL-ZWNOBZJWSA-N 0 3 239.746 2.685 20 0 BFADHN C[C@@H](NCCO[C@@H]1CCCCO1)c1ccccc1 ZINC000193082677 385382715 /nfs/dbraw/zinc/38/27/15/385382715.db2.gz XZKHGCRPYVPNEM-UKRRQHHQSA-N 0 3 249.354 2.880 20 0 BFADHN C[C@H](NCCO[C@@H]1CCCCO1)c1ccccc1 ZINC000193082661 385383402 /nfs/dbraw/zinc/38/34/02/385383402.db2.gz XZKHGCRPYVPNEM-DZGCQCFKSA-N 0 3 249.354 2.880 20 0 BFADHN CCNC1(c2ccc(F)cc2F)CCC1 ZINC000179984096 385383606 /nfs/dbraw/zinc/38/36/06/385383606.db2.gz QDLVXYXJRINYER-UHFFFAOYSA-N 0 3 211.255 2.954 20 0 BFADHN Cc1ccc(CC[C@@H](C)NCCF)cc1 ZINC000280953777 385384959 /nfs/dbraw/zinc/38/49/59/385384959.db2.gz HCTBEZJLDGCDHZ-GFCCVEGCSA-N 0 3 209.308 2.875 20 0 BFADHN CCc1ccc(CN[C@H]2CSC[C@H]2C)o1 ZINC000309054081 385385091 /nfs/dbraw/zinc/38/50/91/385385091.db2.gz SQSCXAKCUIYCBM-SKDRFNHKSA-N 0 3 225.357 2.683 20 0 BFADHN Cc1ccc(NC(=O)CN(C)C2CCCC2)cc1 ZINC000193118508 385385770 /nfs/dbraw/zinc/38/57/70/385385770.db2.gz RGLWTMQNMNDMIV-UHFFFAOYSA-N 0 3 246.354 2.808 20 0 BFADHN CCC1CC(NCc2ncccc2C)C1 ZINC000308971974 385327154 /nfs/dbraw/zinc/32/71/54/385327154.db2.gz ADRJYRLEHBCQDX-UHFFFAOYSA-N 0 3 204.317 2.668 20 0 BFADHN CCC[C@@](C)(O)CN[C@@H](C)c1ccc(C)o1 ZINC000167588380 385327498 /nfs/dbraw/zinc/32/74/98/385327498.db2.gz IFOLIVZIXDZDEH-WCQYABFASA-N 0 3 225.332 2.790 20 0 BFADHN CCC[C@](C)(O)CN[C@H](C)c1ccc(C)o1 ZINC000167588485 385327600 /nfs/dbraw/zinc/32/76/00/385327600.db2.gz IFOLIVZIXDZDEH-YPMHNXCESA-N 0 3 225.332 2.790 20 0 BFADHN COCCN(Cc1ccncc1C)C1CCCC1 ZINC000648359728 385327804 /nfs/dbraw/zinc/32/78/04/385327804.db2.gz ABFDKVYQVAHXRW-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN COC[C@@H](N[C@H]1CCc2ccc(F)cc21)C1CC1 ZINC000178554871 385391569 /nfs/dbraw/zinc/39/15/69/385391569.db2.gz FESMNZMLDPIEGV-LSDHHAIUSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1ccc([C@H](C)NCc2ccnc(N)c2)cc1 ZINC000193476825 385398360 /nfs/dbraw/zinc/39/83/60/385398360.db2.gz BNZNLSDEIPVBFS-LBPRGKRZSA-N 0 3 241.338 2.823 20 0 BFADHN CC[C@H](CNCc1ccc(SC)cc1)OC ZINC000289416558 385400870 /nfs/dbraw/zinc/40/08/70/385400870.db2.gz AUCVTSRRJWDNBI-GFCCVEGCSA-N 0 3 239.384 2.923 20 0 BFADHN C=Cn1cc(CN([C@@H](C)C(C)C)C2CC2)cn1 ZINC000193587809 385402049 /nfs/dbraw/zinc/40/20/49/385402049.db2.gz AIRQJSMMQSAXHU-LBPRGKRZSA-N 0 3 233.359 2.993 20 0 BFADHN CN(Cc1ccc(CO)o1)CC1CCCCC1 ZINC000169058661 385402631 /nfs/dbraw/zinc/40/26/31/385402631.db2.gz PBYHFZCINCAXIA-UHFFFAOYSA-N 0 3 237.343 2.784 20 0 BFADHN c1cncc(CN[C@H]2CC23CCCC3)c1 ZINC000309072741 385403821 /nfs/dbraw/zinc/40/38/21/385403821.db2.gz GMJUJOAFTYEGJG-LBPRGKRZSA-N 0 3 202.301 2.504 20 0 BFADHN C[C@H](NCCF)[C@H]1C[C@@H]1c1ccccc1 ZINC000309094952 385405479 /nfs/dbraw/zinc/40/54/79/385405479.db2.gz CKEJXOKDFXAPBP-CYZMBNFOSA-N 0 3 207.292 2.738 20 0 BFADHN c1coc(CN(CC2CC2)C[C@@H]2CCCO2)c1 ZINC000155689386 385406224 /nfs/dbraw/zinc/40/62/24/385406224.db2.gz VHKQVGURFSCNSK-AWEZNQCLSA-N 0 3 235.327 2.671 20 0 BFADHN C[C@@H](NCCC(C)(C)C)c1nccn1C ZINC000162233533 385406344 /nfs/dbraw/zinc/40/63/44/385406344.db2.gz XXGOLLNPFJXYEE-SNVBAGLBSA-N 0 3 209.337 2.507 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]2CO)cc1Cl ZINC000193668045 385406949 /nfs/dbraw/zinc/40/69/49/385406949.db2.gz ZSMWYJWOIHKGEH-GFCCVEGCSA-N 0 3 239.746 2.605 20 0 BFADHN CC[C@H](N[C@@H]1CCOC1)c1ccccc1OC ZINC000109430110 385408682 /nfs/dbraw/zinc/40/86/82/385408682.db2.gz ZJQPEACGGMQQOF-YPMHNXCESA-N 0 3 235.327 2.525 20 0 BFADHN C[C@@H](NCCN1CCC1)c1csc(Cl)c1 ZINC000309105130 385423815 /nfs/dbraw/zinc/42/38/15/385423815.db2.gz HPBVLODVZPETJU-SECBINFHSA-N 0 3 244.791 2.758 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccc(C)o1)C(C)(C)O ZINC000295105998 385439027 /nfs/dbraw/zinc/43/90/27/385439027.db2.gz WQEXNOXLHVJTBC-JQWIXIFHSA-N 0 3 225.332 2.788 20 0 BFADHN CCOc1ccccc1CN1CCCO[C@@H](C)C1 ZINC000170774500 385444361 /nfs/dbraw/zinc/44/43/61/385444361.db2.gz LWAVAOANQUTJEP-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN CO[C@@H]1CCCN(CCCOc2ccccc2)C1 ZINC000170820175 385449889 /nfs/dbraw/zinc/44/98/89/385449889.db2.gz FOILAKBTSCEUNY-OAHLLOKOSA-N 0 3 249.354 2.566 20 0 BFADHN CO[C@H]1CCCN([C@@H](C)c2cccc(F)c2)C1 ZINC000170817598 385450119 /nfs/dbraw/zinc/45/01/19/385450119.db2.gz AYWMEXQSTYAYGJ-FZMZJTMJSA-N 0 3 237.318 2.998 20 0 BFADHN CCCc1cccc(CN2CCN(C)C[C@H]2C)c1 ZINC000360875313 385450770 /nfs/dbraw/zinc/45/07/70/385450770.db2.gz XFSIWZXRFMRYQK-CQSZACIVSA-N 0 3 246.398 2.775 20 0 BFADHN CC[C@H](NCCCF)c1c(C)noc1C ZINC000182497361 385452832 /nfs/dbraw/zinc/45/28/32/385452832.db2.gz FSSVQAVTFPDALM-JTQLQIEISA-N 0 3 214.284 2.692 20 0 BFADHN CCCc1cccc(CN2CC[C@H](OC)C2)c1 ZINC000360882482 385454920 /nfs/dbraw/zinc/45/49/20/385454920.db2.gz HOBCOOFHKAUTNS-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN C=Cn1cc(CN[C@H](C)CCSCC)cn1 ZINC000194356016 385431542 /nfs/dbraw/zinc/43/15/42/385431542.db2.gz GRLSNHNMTNTFBO-LLVKDONJSA-N 0 3 239.388 2.605 20 0 BFADHN C[C@H](NCC1=CCCCC1)c1cnn(C)c1 ZINC000295090887 385431816 /nfs/dbraw/zinc/43/18/16/385431816.db2.gz ZEQWAOSZXCQBMH-NSHDSACASA-N 0 3 219.332 2.571 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@H](C)CC[C@@H]2C)n1 ZINC000156054484 385432248 /nfs/dbraw/zinc/43/22/48/385432248.db2.gz CAJGHKOROIRFPM-PWSUYJOCSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@H](C)CC[C@@H]2C)n1 ZINC000156054484 385432250 /nfs/dbraw/zinc/43/22/50/385432250.db2.gz CAJGHKOROIRFPM-PWSUYJOCSA-N 0 3 234.343 2.716 20 0 BFADHN CN(Cc1cc(Cl)cs1)C[C@H]1CCOC1 ZINC000194425620 385432894 /nfs/dbraw/zinc/43/28/94/385432894.db2.gz LDSRRXVWEMSEHX-SECBINFHSA-N 0 3 245.775 2.870 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1ccccc1N(C)C ZINC000179410953 385465118 /nfs/dbraw/zinc/46/51/18/385465118.db2.gz COCOTUAAZHJFSI-CHWSQXEVSA-N 0 3 248.370 2.582 20 0 BFADHN CCN(CC(=O)Nc1ccc(C)cc1)C(C)C ZINC000171057325 385465833 /nfs/dbraw/zinc/46/58/33/385465833.db2.gz XANRMZYASUFQTJ-UHFFFAOYSA-N 0 3 234.343 2.664 20 0 BFADHN CCN(CC)Cc1c[nH]c(-c2ccccc2)n1 ZINC000179494658 385468597 /nfs/dbraw/zinc/46/85/97/385468597.db2.gz CHVHLJMSBQFOLJ-UHFFFAOYSA-N 0 3 229.327 2.919 20 0 BFADHN CCN(CC)Cc1cnc(-c2ccccc2)[nH]1 ZINC000179494658 385468601 /nfs/dbraw/zinc/46/86/01/385468601.db2.gz CHVHLJMSBQFOLJ-UHFFFAOYSA-N 0 3 229.327 2.919 20 0 BFADHN CC1(C)CN(C/C=C\c2ccc(F)cc2)C[C@@H]1O ZINC000448375328 385470383 /nfs/dbraw/zinc/47/03/83/385470383.db2.gz MSHWMQSTUMMRCD-NQHOJNORSA-N 0 3 249.329 2.542 20 0 BFADHN CC1(C)CN(C/C=C/c2ccc(F)cc2)C[C@H]1O ZINC000448375329 385471514 /nfs/dbraw/zinc/47/15/14/385471514.db2.gz MSHWMQSTUMMRCD-RDFMZFSFSA-N 0 3 249.329 2.542 20 0 BFADHN CC[C@H](CNCc1cc(Cl)cs1)OC ZINC000289803746 385472145 /nfs/dbraw/zinc/47/21/45/385472145.db2.gz MJFKODIHQGPGSE-SECBINFHSA-N 0 3 233.764 2.916 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCO[C@H](C2CC2)C1 ZINC000156907527 385472552 /nfs/dbraw/zinc/47/25/52/385472552.db2.gz MWICRJDNYOSIRH-OLZOCXBDSA-N 0 3 235.327 2.635 20 0 BFADHN CCc1ccc(CN[C@H]2CCO[C@H](C3CC3)C2)o1 ZINC000156911505 385474508 /nfs/dbraw/zinc/47/45/08/385474508.db2.gz QUAFNEKYCFJQMX-WFASDCNBSA-N 0 3 249.354 2.889 20 0 BFADHN Cc1ccc(NC(=O)[C@H](N)CCC(C)C)cc1 ZINC000257643515 385483376 /nfs/dbraw/zinc/48/33/76/385483376.db2.gz ACSPYDNXXMMVRP-CYBMUJFWSA-N 0 3 234.343 2.697 20 0 BFADHN c1csc([C@H]2CCCN2Cc2cnc[nH]2)c1 ZINC000179691971 385483880 /nfs/dbraw/zinc/48/38/80/385483880.db2.gz MOZIFNSHYORQNS-LLVKDONJSA-N 0 3 233.340 2.808 20 0 BFADHN CCC[C@H](CC)NCc1nnc(CC)s1 ZINC000309217628 385486060 /nfs/dbraw/zinc/48/60/60/385486060.db2.gz UQLWUUZTHIQAOE-VIFPVBQESA-N 0 3 227.377 2.769 20 0 BFADHN Cc1ccccc1NC(=O)[C@H](N)CCC(C)C ZINC000257657625 385486734 /nfs/dbraw/zinc/48/67/34/385486734.db2.gz DEPBLSKKBFAIPT-GFCCVEGCSA-N 0 3 234.343 2.697 20 0 BFADHN CCC(CC)[C@@H](O)CN[C@@H](C)c1ccncc1 ZINC000156647950 385461837 /nfs/dbraw/zinc/46/18/37/385461837.db2.gz AAYXDQGXQMGGAO-FZMZJTMJSA-N 0 3 236.359 2.529 20 0 BFADHN CCC(CC)[C@H](O)CN[C@H](C)c1ccncc1 ZINC000156648064 385461869 /nfs/dbraw/zinc/46/18/69/385461869.db2.gz AAYXDQGXQMGGAO-BXUZGUMPSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cccc2nccn21 ZINC000179753721 385490469 /nfs/dbraw/zinc/49/04/69/385490469.db2.gz IQNFPFAZMAENLK-GFCCVEGCSA-N 0 3 231.343 2.812 20 0 BFADHN CN(Cc1cnn2ccccc12)C1CCCC1 ZINC000179798690 385492313 /nfs/dbraw/zinc/49/23/13/385492313.db2.gz YYHXRTGHJZIBSQ-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN CC[C@H](O)CCNCc1ccc(SC)s1 ZINC000309242553 385496613 /nfs/dbraw/zinc/49/66/13/385496613.db2.gz YNFCWPDVTUZZCM-VIFPVBQESA-N 0 3 245.413 2.721 20 0 BFADHN CCC(CC)[C@@H](O)CNCc1csc(C)c1 ZINC000309244302 385499680 /nfs/dbraw/zinc/49/96/80/385499680.db2.gz XVZSPNKYXRKPPO-ZDUSSCGKSA-N 0 3 241.400 2.943 20 0 BFADHN CC(=O)CCN(C)[C@@H](C)c1ccc(F)cc1 ZINC000157380479 385500640 /nfs/dbraw/zinc/50/06/40/385500640.db2.gz BAJRUNGLZKBCGO-NSHDSACASA-N 0 3 223.291 2.798 20 0 BFADHN CCOCCN1CCc2ccccc2[C@@H]1C ZINC000171629928 385501485 /nfs/dbraw/zinc/50/14/85/385501485.db2.gz RHDZYVBOAHDGSI-LBPRGKRZSA-N 0 3 219.328 2.642 20 0 BFADHN Cc1noc(C)c1CNC[C@H]1CCC(F)(F)C1 ZINC000302212326 385505032 /nfs/dbraw/zinc/50/50/32/385505032.db2.gz JCJZLGVEBSXFKJ-JTQLQIEISA-N 0 3 244.285 2.816 20 0 BFADHN C[C@@]1(O)CCN(Cc2cc3ccccc3s2)C1 ZINC000180187271 385510966 /nfs/dbraw/zinc/51/09/66/385510966.db2.gz ZYFCZNFLFHWZSU-CQSZACIVSA-N 0 3 247.363 2.858 20 0 BFADHN CCCc1csc(CN[C@@H](C)CCOC)n1 ZINC000336777095 385513919 /nfs/dbraw/zinc/51/39/19/385513919.db2.gz HODBIGAOESJBGB-JTQLQIEISA-N 0 3 242.388 2.610 20 0 BFADHN CC(=O)Nc1ccccc1CN1CCC[C@@H](C)C1 ZINC000157710724 385518223 /nfs/dbraw/zinc/51/82/23/385518223.db2.gz JAOLIUAQIYJOLM-GFCCVEGCSA-N 0 3 246.354 2.877 20 0 BFADHN COCc1ccc(CN2CCC[C@H](C)C2)o1 ZINC000157670492 385515641 /nfs/dbraw/zinc/51/56/41/385515641.db2.gz HRRRRPYAWFPWQD-NSHDSACASA-N 0 3 223.316 2.658 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1cccc(F)c1F ZINC000183270138 385516227 /nfs/dbraw/zinc/51/62/27/385516227.db2.gz CFHDHEYOCAJZSA-NXEZZACHSA-N 0 3 243.297 2.776 20 0 BFADHN CC(C)[C@H](NCc1ccc(Cl)o1)C(C)(C)O ZINC000309322261 385532054 /nfs/dbraw/zinc/53/20/54/385532054.db2.gz FKWLVFDUMZKNOB-NSHDSACASA-N 0 3 245.750 2.818 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cccc2c1OCO2 ZINC000158045038 385545381 /nfs/dbraw/zinc/54/53/81/385545381.db2.gz BTFOUHARWYBKGA-SNVBAGLBSA-N 0 3 233.311 2.646 20 0 BFADHN CC(C)n1ccnc1CN[C@H]1CCC[C@@H]1C ZINC000309315893 385527972 /nfs/dbraw/zinc/52/79/72/385527972.db2.gz CZNSVZVUYHSHNR-RYUDHWBXSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@@H](N[C@@H]1CCO[C@H](C2CC2)C1)c1ccccn1 ZINC000157847858 385528131 /nfs/dbraw/zinc/52/81/31/385528131.db2.gz YSNSCKWUMNSVLH-KYOSRNDESA-N 0 3 246.354 2.690 20 0 BFADHN CC(C)[C@H](C)NCc1noc2c1CCCC2 ZINC000309374332 385555404 /nfs/dbraw/zinc/55/54/04/385555404.db2.gz QYYKYPXRVGSBCS-JTQLQIEISA-N 0 3 222.332 2.688 20 0 BFADHN Cc1c[nH]nc1CNCC1(CC(C)C)CC1 ZINC000309392503 385558592 /nfs/dbraw/zinc/55/85/92/385558592.db2.gz USAPHTNABOSGJK-UHFFFAOYSA-N 0 3 221.348 2.634 20 0 BFADHN C[C@@H](NC[C@@H](O)C1CCCCC1)c1cccnc1 ZINC000181410422 385576741 /nfs/dbraw/zinc/57/67/41/385576741.db2.gz NEICVSNMGTYZAO-IUODEOHRSA-N 0 3 248.370 2.673 20 0 BFADHN Cc1csc([C@H](C)NCC[C@@H]2CCOC2)n1 ZINC000309393778 385560867 /nfs/dbraw/zinc/56/08/67/385560867.db2.gz GGQXALZGOPNWGG-WDEREUQCSA-N 0 3 240.372 2.529 20 0 BFADHN COC(=O)CCNC1(c2cccc(C)c2)CCC1 ZINC000592145790 385568156 /nfs/dbraw/zinc/56/81/56/385568156.db2.gz BCOXYBRZOYVPME-UHFFFAOYSA-N 0 3 247.338 2.527 20 0 BFADHN c1cc(CN[C@@H]2CCN(c3ccccc3)C2)co1 ZINC000592459442 385572295 /nfs/dbraw/zinc/57/22/95/385572295.db2.gz KJNLLNVDXARIND-CQSZACIVSA-N 0 3 242.322 2.648 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](CO)CC(F)F)s1 ZINC000309462014 385593258 /nfs/dbraw/zinc/59/32/58/385593258.db2.gz PBGUFVHCJPMKQS-IUCAKERBSA-N 0 3 249.326 2.723 20 0 BFADHN C[C@@H](NCc1ccsc1)c1cc[nH]c(=O)c1 ZINC000336676921 385606203 /nfs/dbraw/zinc/60/62/03/385606203.db2.gz BHYHHTJZWGWSOR-SECBINFHSA-N 0 3 234.324 2.700 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCC[C@H]1OC(F)F ZINC000337320138 385595145 /nfs/dbraw/zinc/59/51/45/385595145.db2.gz YZHKTBDLSVCTMG-NXEZZACHSA-N 0 3 245.269 2.838 20 0 BFADHN CC[C@@H](C)N1CCc2cccc(F)c2C1 ZINC000337319158 385595283 /nfs/dbraw/zinc/59/52/83/385595283.db2.gz NRXIVGUGOZPQAI-SNVBAGLBSA-N 0 3 207.292 2.982 20 0 BFADHN CC[C@H](CNCc1cccc(Cl)c1F)OC ZINC000336650602 385606470 /nfs/dbraw/zinc/60/64/70/385606470.db2.gz DRTVBIZIBFENIE-SNVBAGLBSA-N 0 3 245.725 2.994 20 0 BFADHN CCc1cc(OC)ccc1CNC[C@H]1CCCO1 ZINC000337329457 385599054 /nfs/dbraw/zinc/59/90/54/385599054.db2.gz FSPPSIREAMPKMK-OAHLLOKOSA-N 0 3 249.354 2.526 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1ccccn1 ZINC000309472634 385600492 /nfs/dbraw/zinc/60/04/92/385600492.db2.gz RBNOHMJISQAZNA-SECBINFHSA-N 0 3 232.249 2.902 20 0 BFADHN CC[C@H](NCCC(C)(C)C)c1ccn(C)n1 ZINC000336735811 385600890 /nfs/dbraw/zinc/60/08/90/385600890.db2.gz MDABUWNIHFNJQL-NSHDSACASA-N 0 3 223.364 2.897 20 0 BFADHN CCc1ccc(CN(C)[C@@H]2CCN(C3CC3)C2)o1 ZINC000353331160 385602233 /nfs/dbraw/zinc/60/22/33/385602233.db2.gz SUMIJXQHVJXSQN-CYBMUJFWSA-N 0 3 248.370 2.511 20 0 BFADHN C(=C\c1ccncc1)\CN[C@@H]1C=CCCC1 ZINC000336650133 385606841 /nfs/dbraw/zinc/60/68/41/385606841.db2.gz DNFVSOLSLGERQN-ZRUQZJFASA-N 0 3 214.312 2.793 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1sc(C)nc1C ZINC000082589339 385586467 /nfs/dbraw/zinc/58/64/67/385586467.db2.gz NYSYXLPOMFJSCA-DTWKUNHWSA-N 0 3 242.388 2.836 20 0 BFADHN COC[C@@H](CC(C)C)NCc1occc1C ZINC000309478097 385606047 /nfs/dbraw/zinc/60/60/47/385606047.db2.gz SGPYKNKDHIPHJK-GFCCVEGCSA-N 0 3 225.332 2.739 20 0 BFADHN Cc1cnc([C@@H](C)NCC[C@H](C)F)s1 ZINC000336649128 385592370 /nfs/dbraw/zinc/59/23/70/385592370.db2.gz HJYALHPXBXEXDT-IONNQARKSA-N 0 3 216.325 2.850 20 0 BFADHN FC(F)O[C@H]1CCC[C@@H]1NCc1ccco1 ZINC000337308470 385592735 /nfs/dbraw/zinc/59/27/35/385592735.db2.gz SURLRBGPKFZMHH-UWVGGRQHSA-N 0 3 231.242 2.530 20 0 BFADHN CS[C@H]1CCC[C@H](NCc2conc2C)C1 ZINC000293470210 385614648 /nfs/dbraw/zinc/61/46/48/385614648.db2.gz FAABCQYZJLVDKQ-RYUDHWBXSA-N 0 3 240.372 2.747 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](CO)CC2CCC2)o1 ZINC000280879877 385614784 /nfs/dbraw/zinc/61/47/84/385614784.db2.gz DIYPLNGTYODAMI-AAEUAGOBSA-N 0 3 237.343 2.790 20 0 BFADHN Fc1cccc(CNCCc2cscn2)c1 ZINC000082626175 385616425 /nfs/dbraw/zinc/61/64/25/385616425.db2.gz PZIMNBRMEQVSLT-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN CCC[C@H](C)[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000337355012 385616570 /nfs/dbraw/zinc/61/65/70/385616570.db2.gz BSTHPHWEKLBWHH-WCQYABFASA-N 0 3 244.342 2.672 20 0 BFADHN CCC[C@H](C)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000337355012 385616576 /nfs/dbraw/zinc/61/65/76/385616576.db2.gz BSTHPHWEKLBWHH-WCQYABFASA-N 0 3 244.342 2.672 20 0 BFADHN CC[C@H](NCCF)c1ccc2c(c1)CCO2 ZINC000280938442 385619358 /nfs/dbraw/zinc/61/93/58/385619358.db2.gz FYZZIAKNPAIDFH-LBPRGKRZSA-N 0 3 223.291 2.632 20 0 BFADHN CCOc1ccc(CNC2(C(C)C)CC2)nc1 ZINC000645199928 385621350 /nfs/dbraw/zinc/62/13/50/385621350.db2.gz GTAVDOVBXQDZTJ-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@@H]1[C@@H](Nc2ccc(Cl)cn2)CCCN1C ZINC000336679455 385623427 /nfs/dbraw/zinc/62/34/27/385623427.db2.gz AKBPYNIICUKGQR-KOLCDFICSA-N 0 3 239.750 2.630 20 0 BFADHN CCSCCN[C@H]1c2ccccc2O[C@H]1C ZINC000290158661 385626483 /nfs/dbraw/zinc/62/64/83/385626483.db2.gz LQAWVTPGCLFWFQ-GXFFZTMASA-N 0 3 237.368 2.851 20 0 BFADHN CO[C@@H](C)CN(Cc1ccc(F)cc1)C1CC1 ZINC000181999150 385627282 /nfs/dbraw/zinc/62/72/82/385627282.db2.gz XYPRSWHURJUDCF-NSHDSACASA-N 0 3 237.318 2.825 20 0 BFADHN Cc1ccncc1CN1CCC[C@@H](C)C1 ZINC000269725288 385628275 /nfs/dbraw/zinc/62/82/75/385628275.db2.gz UTRHUQCBYZCTAU-LLVKDONJSA-N 0 3 204.317 2.622 20 0 BFADHN CO[C@H](C)CN1CCC[C@@H]1c1cccc(F)c1 ZINC000182076564 385632774 /nfs/dbraw/zinc/63/27/74/385632774.db2.gz HOTZHEGCIULERM-BXUZGUMPSA-N 0 3 237.318 2.998 20 0 BFADHN CSC[C@H](C)N[C@@H](C)c1cncc(C)c1 ZINC000184362092 385634202 /nfs/dbraw/zinc/63/42/02/385634202.db2.gz VNLQWMGVMYUNBG-QWRGUYRKSA-N 0 3 224.373 2.792 20 0 BFADHN Cc1ccc(CNCC(C)(C)OCC2CC2)nc1 ZINC000414513165 385635168 /nfs/dbraw/zinc/63/51/68/385635168.db2.gz FIXAAGFNQOLRNZ-UHFFFAOYSA-N 0 3 248.370 2.685 20 0 BFADHN CC[C@H]1CN(Cc2occc2C)CCCO1 ZINC000281115687 385635252 /nfs/dbraw/zinc/63/52/52/385635252.db2.gz BRUFHTKKNGRPTB-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN CO[C@@H](C)CN[C@H](CC(C)C)c1ccccn1 ZINC000181883368 385612742 /nfs/dbraw/zinc/61/27/42/385612742.db2.gz OXASJIFIDVHZAR-GXTWGEPZSA-N 0 3 236.359 2.793 20 0 BFADHN FC1(F)CCC[C@H](NCc2cccnc2)C1 ZINC000309486246 385613651 /nfs/dbraw/zinc/61/36/51/385613651.db2.gz GMZZAUROQHPODW-NSHDSACASA-N 0 3 226.270 2.749 20 0 BFADHN CC(C)[C@H](CC(F)(F)F)NCCF ZINC000308144635 385640239 /nfs/dbraw/zinc/64/02/39/385640239.db2.gz KXLQJZHBENYVBM-ZETCQYMHSA-N 0 3 201.207 2.523 20 0 BFADHN C[C@@H](NCc1cnccn1)C1CCCCC1 ZINC000054766786 385640992 /nfs/dbraw/zinc/64/09/92/385640992.db2.gz PSINUMHGTSYQPC-LLVKDONJSA-N 0 3 219.332 2.535 20 0 BFADHN Cc1ccc2c(c1)OCCC[C@H]2N[C@@H]1CCOC1 ZINC000182231884 385647235 /nfs/dbraw/zinc/64/72/35/385647235.db2.gz GYRJVRMKNZQKHV-TZMCWYRMSA-N 0 3 247.338 2.587 20 0 BFADHN CC[C@H]1CN(Cc2cccc(OC)c2)CCCO1 ZINC000281237400 385647610 /nfs/dbraw/zinc/64/76/10/385647610.db2.gz LNFOQHGYEOTDJN-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@H](NCc1ncnn1C(C)(C)C)C(C)C ZINC000337422416 385652774 /nfs/dbraw/zinc/65/27/74/385652774.db2.gz XCAKOVXDEYXACI-NSHDSACASA-N 0 3 238.379 2.557 20 0 BFADHN CC(C)CCCN[C@H]1CCCc2c[nH]nc21 ZINC000309523899 385655892 /nfs/dbraw/zinc/65/58/92/385655892.db2.gz CEJRPGJQBQBQHR-LBPRGKRZSA-N 0 3 221.348 2.813 20 0 BFADHN CC(C)CCCN[C@@H]1CCCc2c[nH]nc21 ZINC000309523892 385657286 /nfs/dbraw/zinc/65/72/86/385657286.db2.gz CEJRPGJQBQBQHR-GFCCVEGCSA-N 0 3 221.348 2.813 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@H]2CC2(C)C)cn1 ZINC000336734125 385659339 /nfs/dbraw/zinc/65/93/39/385659339.db2.gz HEDBVXAVBUVFEG-PWSUYJOCSA-N 0 3 221.348 2.913 20 0 BFADHN Cc1noc(C)c1C(C)(C)NCC[C@@H](C)F ZINC000309526097 385661284 /nfs/dbraw/zinc/66/12/84/385661284.db2.gz COUPBJVSFDGYGO-MRVPVSSYSA-N 0 3 228.311 2.864 20 0 BFADHN C[C@H](NCC12CCC(CC1)C2)c1ncc[nH]1 ZINC000309524262 385661944 /nfs/dbraw/zinc/66/19/44/385661944.db2.gz CKWDLXADRRVDBL-ZBOXLXRLSA-N 0 3 219.332 2.641 20 0 BFADHN C[C@H](NC1CC(C)(C)C1)c1nccs1 ZINC000307152820 385662661 /nfs/dbraw/zinc/66/26/61/385662661.db2.gz ZDBZKKAMMOFOBX-QMMMGPOBSA-N 0 3 210.346 2.982 20 0 BFADHN Cc1ccoc1CNCCC1(F)CCC1 ZINC000336734610 385663043 /nfs/dbraw/zinc/66/30/43/385663043.db2.gz NJVZQVVLZAKTCZ-UHFFFAOYSA-N 0 3 211.280 2.960 20 0 BFADHN CCN[C@H](C)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000643201938 385672596 /nfs/dbraw/zinc/67/25/96/385672596.db2.gz UZSMAEPRMRIXML-LLVKDONJSA-N 0 3 248.370 2.921 20 0 BFADHN Fc1ccc(CN[C@@H]2CC[C@H](F)C2)c(F)c1 ZINC000309537074 385675372 /nfs/dbraw/zinc/67/53/72/385675372.db2.gz XFTNNCJXFIYFQD-GXSJLCMTSA-N 0 3 229.245 2.945 20 0 BFADHN CC[C@@H](NCc1ccc(Cl)o1)[C@@H](O)C(C)C ZINC000414527326 385678181 /nfs/dbraw/zinc/67/81/81/385678181.db2.gz MRADBZRYCUWGLL-PWSUYJOCSA-N 0 3 245.750 2.818 20 0 BFADHN COC[C@@H](NCC[C@H](C)F)c1ccccc1 ZINC000309547864 385681406 /nfs/dbraw/zinc/68/14/06/385681406.db2.gz HIIATSNUGINCEU-WCQYABFASA-N 0 3 225.307 2.712 20 0 BFADHN C[C@H]1CN(Cc2cccc(N(C)C)c2)[C@H]1C ZINC000645218987 385682617 /nfs/dbraw/zinc/68/26/17/385682617.db2.gz BKUOKSVQMMNOTM-RYUDHWBXSA-N 0 3 218.344 2.593 20 0 BFADHN COc1cc(C)nc(CN2CCC[C@H](C)C2)c1 ZINC000270191580 385683201 /nfs/dbraw/zinc/68/32/01/385683201.db2.gz BBDMRJDJAPRLOU-NSHDSACASA-N 0 3 234.343 2.631 20 0 BFADHN Fc1ccc(CNC[C@@H]2CC=CCC2)nc1 ZINC000309554214 385685722 /nfs/dbraw/zinc/68/57/22/385685722.db2.gz GZXOOBCRGXCTGE-LLVKDONJSA-N 0 3 220.291 2.667 20 0 BFADHN C[C@@H](N[C@H](C)C1CCSCC1)c1ccon1 ZINC000309554941 385686649 /nfs/dbraw/zinc/68/66/49/385686649.db2.gz JKDHAYNNYHRTNR-NXEZZACHSA-N 0 3 240.372 2.857 20 0 BFADHN CCN(Cc1c(C)cnn1C)C1CC(C)(C)C1 ZINC000414532638 385686871 /nfs/dbraw/zinc/68/68/71/385686871.db2.gz HRSKZSZDXGLMOP-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN FCCN[C@@H]1CCC[C@H](c2cccnc2)C1 ZINC000309554134 385686927 /nfs/dbraw/zinc/68/69/27/385686927.db2.gz GYTBUYZZLWRHDN-WCQYABFASA-N 0 3 222.307 2.667 20 0 BFADHN CC[C@H](NC[C@@H]1CC=CCC1)c1nccn1C ZINC000182630156 385689900 /nfs/dbraw/zinc/68/99/00/385689900.db2.gz VXRYSUXKLGUURB-OLZOCXBDSA-N 0 3 233.359 2.817 20 0 BFADHN COCC[C@@H](c1ccccc1)N1CC[C@@H](F)C1 ZINC000451197012 385691145 /nfs/dbraw/zinc/69/11/45/385691145.db2.gz NWGRZAVNZCYGTC-KGLIPLIRSA-N 0 3 237.318 2.808 20 0 BFADHN C[C@]12C[C@H]1CCC[C@H]2NCc1ccc(F)cn1 ZINC000337499890 385692125 /nfs/dbraw/zinc/69/21/25/385692125.db2.gz ZZAWWNMDWOQJEV-HONMWMINSA-N 0 3 234.318 2.889 20 0 BFADHN COCC[C@@H](c1ccccc1)N1CC[C@H](F)C1 ZINC000451197011 385692242 /nfs/dbraw/zinc/69/22/42/385692242.db2.gz NWGRZAVNZCYGTC-KBPBESRZSA-N 0 3 237.318 2.808 20 0 BFADHN CCc1ccc(CN(CC)CCOC)cc1 ZINC000337499302 385693224 /nfs/dbraw/zinc/69/32/24/385693224.db2.gz IRTICQWZBQDCLD-UHFFFAOYSA-N 0 3 221.344 2.717 20 0 BFADHN Cc1occc1CN[C@H]1CCCSC1 ZINC000307512037 385696245 /nfs/dbraw/zinc/69/62/45/385696245.db2.gz PICFAOULICAENS-NSHDSACASA-N 0 3 211.330 2.573 20 0 BFADHN Fc1ccc(CNCC2CCCCC2)nc1 ZINC000336686021 385698407 /nfs/dbraw/zinc/69/84/07/385698407.db2.gz IUZDOFRREGXDFA-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN CC[C@H](COC)N[C@H]1CCc2c1cccc2F ZINC000211897241 385699274 /nfs/dbraw/zinc/69/92/74/385699274.db2.gz XJJRRIPATDQCQG-YGRLFVJLSA-N 0 3 237.318 2.828 20 0 BFADHN CC(C)n1cc(CN[C@H]2CCCC2(C)C)nn1 ZINC000336686384 385699757 /nfs/dbraw/zinc/69/97/57/385699757.db2.gz DSMLKEUBDCHBLW-LBPRGKRZSA-N 0 3 236.363 2.527 20 0 BFADHN Cc1ccsc1[C@H](C)N[C@@H](CO)CC(F)F ZINC000309571076 385700413 /nfs/dbraw/zinc/70/04/13/385700413.db2.gz NQWJHOMMNIAODR-DTWKUNHWSA-N 0 3 249.326 2.723 20 0 BFADHN CCN(Cc1c[nH]nc1C)C1CC(C)(C)C1 ZINC000414538117 385701515 /nfs/dbraw/zinc/70/15/15/385701515.db2.gz QDZCXMRXNKLILK-UHFFFAOYSA-N 0 3 221.348 2.729 20 0 BFADHN Cn1ccnc1CN[C@H]1CCC[C@@H]1C(C)(C)C ZINC000414539160 385706408 /nfs/dbraw/zinc/70/64/08/385706408.db2.gz RRWNNLGPOKOVGG-RYUDHWBXSA-N 0 3 235.375 2.725 20 0 BFADHN CN(CCCO)Cc1ccc(-c2ccccc2)o1 ZINC000281865169 385709239 /nfs/dbraw/zinc/70/92/39/385709239.db2.gz VUWWSJZBANYXBI-UHFFFAOYSA-N 0 3 245.322 2.761 20 0 BFADHN CC(C)CCN(C)CC(=O)N(C(C)C)C(C)C ZINC000052245923 385710339 /nfs/dbraw/zinc/71/03/39/385710339.db2.gz SLCYYLPABTZWQR-UHFFFAOYSA-N 0 3 242.407 2.610 20 0 BFADHN C[C@H](NCc1ccn(C)c1)c1ccc(Cl)nc1 ZINC000270520812 385715564 /nfs/dbraw/zinc/71/55/64/385715564.db2.gz RNODIKBZYBESDL-JTQLQIEISA-N 0 3 249.745 2.924 20 0 BFADHN CCc1ccc(CNC[C@@H](O)C(CC)CC)o1 ZINC000230196444 385716554 /nfs/dbraw/zinc/71/65/54/385716554.db2.gz DAZYQPWOMCYPEO-CQSZACIVSA-N 0 3 239.359 2.729 20 0 BFADHN CC[C@@H](NC[C@H](C)OC)c1ccccc1OC ZINC000182425874 385669585 /nfs/dbraw/zinc/66/95/85/385669585.db2.gz UUNRFOVRFBODJT-WCQYABFASA-N 0 3 237.343 2.771 20 0 BFADHN C[C@@H](NCc1ccc([C@H]2C[C@H]2C)o1)[C@@H]1CCOC1 ZINC000253196619 385669607 /nfs/dbraw/zinc/66/96/07/385669607.db2.gz TVBPDOADAVNVNG-BYNQJWBRSA-N 0 3 249.354 2.918 20 0 BFADHN CC(C)n1cc(CN[C@@H]2CCC(C)(C)C2)nn1 ZINC000336689804 385718733 /nfs/dbraw/zinc/71/87/33/385718733.db2.gz GMXUPYHHHXAAKH-LLVKDONJSA-N 0 3 236.363 2.527 20 0 BFADHN C[C@H](NC[C@H]1CCSC1)c1ccncc1F ZINC000309599645 385727583 /nfs/dbraw/zinc/72/75/83/385727583.db2.gz XHMACWFMOIAIJA-VHSXEESVSA-N 0 3 240.347 2.624 20 0 BFADHN CO[C@@H](C)CNCc1ccccc1C(F)(F)F ZINC000183017261 385729871 /nfs/dbraw/zinc/72/98/71/385729871.db2.gz YHYLMYIMXDAYOG-VIFPVBQESA-N 0 3 247.260 2.830 20 0 BFADHN CCOCCNCc1cccc(SCC)c1 ZINC000185470814 385731785 /nfs/dbraw/zinc/73/17/85/385731785.db2.gz ZBIXSEVQXZQMFS-UHFFFAOYSA-N 0 3 239.384 2.925 20 0 BFADHN CCN(CC(C)(C)O)[C@H](C)c1ccccc1F ZINC000270751227 385738123 /nfs/dbraw/zinc/73/81/23/385738123.db2.gz UEQGYYJHTGJWJU-LLVKDONJSA-N 0 3 239.334 2.980 20 0 BFADHN Cn1ncc(Cl)c1CN[C@@H]1CCC(C)(C)C1 ZINC000309604455 385738332 /nfs/dbraw/zinc/73/83/32/385738332.db2.gz XLIDLMCTGBJMDO-SECBINFHSA-N 0 3 241.766 2.742 20 0 BFADHN CSc1ccccc1[C@@H](C)NCc1cnc[nH]1 ZINC000282185940 385738920 /nfs/dbraw/zinc/73/89/20/385738920.db2.gz WFGLLJOHDYDQRA-SNVBAGLBSA-N 0 3 247.367 2.982 20 0 BFADHN C/C=C/C[C@H](CO)NCc1ccccc1Cl ZINC000185825929 385741555 /nfs/dbraw/zinc/74/15/55/385741555.db2.gz QLYJFZQCKYPORG-QAVQXKDTSA-N 0 3 239.746 2.757 20 0 BFADHN Cc1ccoc1CN(C)C[C@@H](O)c1ccccc1 ZINC000292443550 385741750 /nfs/dbraw/zinc/74/17/50/385741750.db2.gz XFUZRPJWYGSYQZ-CQSZACIVSA-N 0 3 245.322 2.753 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCSC2)o1 ZINC000230705342 385751447 /nfs/dbraw/zinc/75/14/47/385751447.db2.gz CFRUVWOREZZNRH-FOGDFJRCSA-N 0 3 237.368 2.998 20 0 BFADHN COCc1ccc(CNC[C@H]2CC2(C)C)o1 ZINC000336698960 385751965 /nfs/dbraw/zinc/75/19/65/385751965.db2.gz MYQIJPWAYMLUKU-SNVBAGLBSA-N 0 3 223.316 2.562 20 0 BFADHN CCSCCCN[C@H](CC)c1ccn(C)n1 ZINC000309629676 385752187 /nfs/dbraw/zinc/75/21/87/385752187.db2.gz HIUBDVYMJSBHOT-LLVKDONJSA-N 0 3 241.404 2.604 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1sccc1OC ZINC000186173174 385753134 /nfs/dbraw/zinc/75/31/34/385753134.db2.gz YYZYEXSTCNNENK-VHSXEESVSA-N 0 3 243.372 2.832 20 0 BFADHN C[C@@H]1C[C@@H]1CN(Cc1ccco1)C[C@@H]1CCCO1 ZINC000488345382 385755379 /nfs/dbraw/zinc/75/53/79/385755379.db2.gz HZZKXNJJXDPERE-NFAWXSAZSA-N 0 3 249.354 2.917 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccccc2F)[C@H]1C ZINC000336699861 385757707 /nfs/dbraw/zinc/75/77/07/385757707.db2.gz PABQJFGGZLKQKL-GBIKHYSHSA-N 0 3 207.292 2.960 20 0 BFADHN CSC1(CNCc2ccoc2C)CC1 ZINC000336655404 385758569 /nfs/dbraw/zinc/75/85/69/385758569.db2.gz NQKAMGXQRLABRX-UHFFFAOYSA-N 0 3 211.330 2.573 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000282424844 385762714 /nfs/dbraw/zinc/76/27/14/385762714.db2.gz YUXSLQAQXBAIJE-XHVZSJERSA-N 0 3 239.359 2.954 20 0 BFADHN CN(Cc1cccs1)CC1=CCCOC1 ZINC000270993941 385767585 /nfs/dbraw/zinc/76/75/85/385767585.db2.gz JAEVIDVYZNPHDL-UHFFFAOYSA-N 0 3 223.341 2.527 20 0 BFADHN FC(F)(F)C1(CNCc2cncs2)CC1 ZINC000336737471 385769051 /nfs/dbraw/zinc/76/90/51/385769051.db2.gz QCWRUOVYIUYQKR-UHFFFAOYSA-N 0 3 236.262 2.575 20 0 BFADHN CC[C@@H](CNCc1cc(C)ccc1F)OC ZINC000289878740 385773495 /nfs/dbraw/zinc/77/34/95/385773495.db2.gz RUCCCMAWQZYOEK-LBPRGKRZSA-N 0 3 225.307 2.649 20 0 BFADHN CCCC[N@H+](Cc1nc(C)ccc1[O-])C1CC1 ZINC000271058420 385777737 /nfs/dbraw/zinc/77/77/37/385777737.db2.gz VJSSHSDZJHUHAD-UHFFFAOYSA-N 0 3 234.343 2.860 20 0 BFADHN CCCC[N@@H+](Cc1nc(C)ccc1[O-])C1CC1 ZINC000271058420 385777741 /nfs/dbraw/zinc/77/77/41/385777741.db2.gz VJSSHSDZJHUHAD-UHFFFAOYSA-N 0 3 234.343 2.860 20 0 BFADHN CCN(CCOC)Cc1ccc(F)cc1C ZINC000271055276 385778201 /nfs/dbraw/zinc/77/82/01/385778201.db2.gz SLGYNMZXKMPZNH-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN CCOc1cccc(CN(CC)CCOC)c1 ZINC000271046895 385778672 /nfs/dbraw/zinc/77/86/72/385778672.db2.gz MHYQHMFGPRQITR-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN CC(C)[C@@H](O)C1(CNCc2ccsc2)CC1 ZINC000309674429 385780001 /nfs/dbraw/zinc/78/00/01/385780001.db2.gz LDNGITQQHJBGHA-GFCCVEGCSA-N 0 3 239.384 2.635 20 0 BFADHN CC(C)[C@@H]1N(Cc2ccc(CO)o2)CC1(C)C ZINC000293991530 385781895 /nfs/dbraw/zinc/78/18/95/385781895.db2.gz SDCTYQBWRJAINU-ZDUSSCGKSA-N 0 3 237.343 2.638 20 0 BFADHN Cc1ccc(CN(C)CC2CCC(=O)CC2)o1 ZINC000258999186 385781968 /nfs/dbraw/zinc/78/19/68/385781968.db2.gz WHRHCQBUWABSIK-UHFFFAOYSA-N 0 3 235.327 2.779 20 0 BFADHN COC[C@@H](C)NCc1ccc2occc2c1 ZINC000309675696 385781999 /nfs/dbraw/zinc/78/19/99/385781999.db2.gz XPZMDEJIRLESQG-SNVBAGLBSA-N 0 3 219.284 2.557 20 0 BFADHN COC[C@H](NCC1CC1)c1ccc(F)cc1 ZINC000309678174 385782250 /nfs/dbraw/zinc/78/22/50/385782250.db2.gz YOBFPRRWPSNIRO-ZDUSSCGKSA-N 0 3 223.291 2.513 20 0 BFADHN CCc1cccc(Cl)c1CNCC[C@@H](C)O ZINC000309665896 385782535 /nfs/dbraw/zinc/78/25/35/385782535.db2.gz UCXLZADFDIRMRG-SNVBAGLBSA-N 0 3 241.762 2.763 20 0 BFADHN C1=CC[C@H](CNCc2nnc(C3CC3)s2)CC1 ZINC000414560034 385783595 /nfs/dbraw/zinc/78/35/95/385783595.db2.gz VZVZAXGSAWWXRY-JTQLQIEISA-N 0 3 249.383 2.861 20 0 BFADHN CN(CCCO)Cc1cc2ccccc2s1 ZINC000281967724 385719185 /nfs/dbraw/zinc/71/91/85/385719185.db2.gz VLQNPIBJMXYTFD-UHFFFAOYSA-N 0 3 235.352 2.716 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1ccc(F)cc1 ZINC000336735767 385719616 /nfs/dbraw/zinc/71/96/16/385719616.db2.gz OMYFSKMOHJDBJB-RYUDHWBXSA-N 0 3 225.332 2.809 20 0 BFADHN CC(C)(C)CCN[C@H](CCO)c1ccco1 ZINC000185069659 385719839 /nfs/dbraw/zinc/71/98/39/385719839.db2.gz AUEPRDSNALNVOM-LLVKDONJSA-N 0 3 225.332 2.729 20 0 BFADHN C[C@@H]1C[C@@H]1NCc1ccc(F)cc1Cl ZINC000052288177 385720555 /nfs/dbraw/zinc/72/05/55/385720555.db2.gz AMTOJZKVHWVQJY-HQJQHLMTSA-N 0 3 213.683 2.977 20 0 BFADHN C[C@H](O)CN1CCCC[C@H]1c1ccc(F)cc1 ZINC000282016086 385723808 /nfs/dbraw/zinc/72/38/08/385723808.db2.gz OVXSMCFGQISGEI-FZMZJTMJSA-N 0 3 237.318 2.734 20 0 BFADHN COC[C@@H]1CCN(Cc2cc(C)ccc2F)C1 ZINC000271201193 385802474 /nfs/dbraw/zinc/80/24/74/385802474.db2.gz UHLNLBJOCNAMIM-GFCCVEGCSA-N 0 3 237.318 2.602 20 0 BFADHN Cc1ccc(F)cc1CNCC1=CCOCC1 ZINC000397742696 385804147 /nfs/dbraw/zinc/80/41/47/385804147.db2.gz WYNPKJBCQCHBIN-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN C[C@@H](N[C@@H](C)c1cncs1)[C@@H]1CCCCO1 ZINC000336737579 385804867 /nfs/dbraw/zinc/80/48/67/385804867.db2.gz JPIRYULLZOMCCW-VWYCJHECSA-N 0 3 240.372 2.751 20 0 BFADHN CCN(CCCO)Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000271208914 385806405 /nfs/dbraw/zinc/80/64/05/385806405.db2.gz SZIYSJFYUUNVHA-WCQYABFASA-N 0 3 237.343 2.607 20 0 BFADHN Cc1ccoc1CN[C@@H](CO)CC1CCCC1 ZINC000337840370 385806914 /nfs/dbraw/zinc/80/69/14/385806914.db2.gz ZBXBPYFTJMCXTE-CYBMUJFWSA-N 0 3 237.343 2.619 20 0 BFADHN CC(C)N(CCOCC1CC1)Cc1cccnc1 ZINC000162376593 385813211 /nfs/dbraw/zinc/81/32/11/385813211.db2.gz LJHVKEVHYFIKSV-UHFFFAOYSA-N 0 3 248.370 2.719 20 0 BFADHN COc1ncccc1CN[C@@H]1CC=C(C)CC1 ZINC000294148488 385813568 /nfs/dbraw/zinc/81/35/68/385813568.db2.gz HVPMXHFOROQDRQ-CYBMUJFWSA-N 0 3 232.327 2.679 20 0 BFADHN Cc1cnc(CNC(C2CC2)C2CC2)s1 ZINC000122886004 385822220 /nfs/dbraw/zinc/82/22/20/385822220.db2.gz FNWMPQCIZPYLHL-UHFFFAOYSA-N 0 3 222.357 2.730 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@H]2CCCN2C)o1 ZINC000261589735 385823606 /nfs/dbraw/zinc/82/36/06/385823606.db2.gz WLGVYDYECMHXEL-BZPMIXESSA-N 0 3 248.370 2.587 20 0 BFADHN Cc1nc(C)c(CN[C@@H](C)CC2CCC2)o1 ZINC000311796024 385823619 /nfs/dbraw/zinc/82/36/19/385823619.db2.gz SABVEFKUJVCQCJ-VIFPVBQESA-N 0 3 222.332 2.960 20 0 BFADHN Cc1ccncc1[C@@H](C)NC[C@@H]1CCCCO1 ZINC000271396406 385824227 /nfs/dbraw/zinc/82/42/27/385824227.db2.gz KVQOSZHFEPSURR-OLZOCXBDSA-N 0 3 234.343 2.610 20 0 BFADHN CC[C@@H](CN(C)Cc1ccccc1OC)OC ZINC000412977838 385824683 /nfs/dbraw/zinc/82/46/83/385824683.db2.gz NPVASOJASONWDZ-ZDUSSCGKSA-N 0 3 237.343 2.552 20 0 BFADHN CC1(C)CCCN(CCOCC(F)(F)F)C1 ZINC000112702959 385857718 /nfs/dbraw/zinc/85/77/18/385857718.db2.gz QXZICLKTWQZPLN-UHFFFAOYSA-N 0 3 239.281 2.687 20 0 BFADHN CC(C)[C@@H](O)CN(C)Cc1cccc(Cl)c1 ZINC000283654477 385826882 /nfs/dbraw/zinc/82/68/82/385826882.db2.gz BJZVJAABUPUMHX-ZDUSSCGKSA-N 0 3 241.762 2.789 20 0 BFADHN CC[C@@H](O)CNCc1cc2cc(C)ccc2o1 ZINC000643369436 385827949 /nfs/dbraw/zinc/82/79/49/385827949.db2.gz IBUZOSSHVCKMPJ-GFCCVEGCSA-N 0 3 233.311 2.602 20 0 BFADHN C[C@H](N[C@@H]1CCCc2cn[nH]c21)c1ccccn1 ZINC000271455897 385830954 /nfs/dbraw/zinc/83/09/54/385830954.db2.gz FMJREJKVDBLYDW-GXFFZTMASA-N 0 3 242.326 2.533 20 0 BFADHN CCc1ccc([C@H](C)NCCC[C@@H](C)CO)o1 ZINC000271479928 385832564 /nfs/dbraw/zinc/83/25/64/385832564.db2.gz JPRXNNDNPLHOHI-NEPJUHHUSA-N 0 3 239.359 2.901 20 0 BFADHN CCc1ccc([C@@H](C)NCCC[C@H](C)CO)o1 ZINC000271479930 385832608 /nfs/dbraw/zinc/83/26/08/385832608.db2.gz JPRXNNDNPLHOHI-NWDGAFQWSA-N 0 3 239.359 2.901 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cscn1 ZINC000271503503 385834014 /nfs/dbraw/zinc/83/40/14/385834014.db2.gz JIVBYTPHCAIKTA-ONGXEEELSA-N 0 3 210.346 2.906 20 0 BFADHN Cc1ccc2nc(CNCCC3(C)CC3)cn2c1 ZINC000283922050 385841921 /nfs/dbraw/zinc/84/19/21/385841921.db2.gz LFTGACXSJCHEQR-UHFFFAOYSA-N 0 3 243.354 2.923 20 0 BFADHN CN(Cc1cccc2nccn21)CC(C)(C)C ZINC000271709297 385845380 /nfs/dbraw/zinc/84/53/80/385845380.db2.gz GIGWWQUONYZCTQ-UHFFFAOYSA-N 0 3 231.343 2.812 20 0 BFADHN CCC[C@@H](O)CN[C@@H]1CCCc2c(O)cccc21 ZINC000284031429 385846684 /nfs/dbraw/zinc/84/66/84/385846684.db2.gz JISRUTAYOKTWTN-BXUZGUMPSA-N 0 3 249.354 2.520 20 0 BFADHN COCC[C@H](NCc1ccccn1)C(C)(C)C ZINC000337939913 385851813 /nfs/dbraw/zinc/85/18/13/385851813.db2.gz AGRFNKSQFKDMTL-ZDUSSCGKSA-N 0 3 236.359 2.622 20 0 BFADHN CN(C)c1ncc(CN2CCCC2(C)C)s1 ZINC000271150403 385793488 /nfs/dbraw/zinc/79/34/88/385793488.db2.gz FJTWKAZQPYDFQH-UHFFFAOYSA-N 0 3 239.388 2.584 20 0 BFADHN COCc1ccc(CN2CC=C(C)CC2)cc1 ZINC000271179965 385795938 /nfs/dbraw/zinc/79/59/38/385795938.db2.gz KWBIDPWUKLYJSQ-UHFFFAOYSA-N 0 3 231.339 2.985 20 0 BFADHN COc1c(O)cccc1CN(C)[C@@H](C)C1CC1 ZINC000272237629 385886345 /nfs/dbraw/zinc/88/63/45/385886345.db2.gz FXNPMKQQTIEMJG-JTQLQIEISA-N 0 3 235.327 2.631 20 0 BFADHN COc1c(O)cccc1CN1CCCC[C@@H]1C ZINC000272280988 385889419 /nfs/dbraw/zinc/88/94/19/385889419.db2.gz MUFXGYOHVFFLNH-NSHDSACASA-N 0 3 235.327 2.775 20 0 BFADHN COC[C@@H](C)N1CCC=C(c2ccccc2)C1 ZINC000284878566 385889757 /nfs/dbraw/zinc/88/97/57/385889757.db2.gz VQWRVEIDJSMBEL-CYBMUJFWSA-N 0 3 231.339 2.811 20 0 BFADHN Cc1ccc(CNC2CC(OC(C)(C)C)C2)cn1 ZINC000272331322 385893950 /nfs/dbraw/zinc/89/39/50/385893950.db2.gz WNKJEBWUCOZXJZ-UHFFFAOYSA-N 0 3 248.370 2.826 20 0 BFADHN COc1c(O)cccc1CN1CCC(C)CC1 ZINC000272325831 385894013 /nfs/dbraw/zinc/89/40/13/385894013.db2.gz VIHWVPMBRDDAGZ-UHFFFAOYSA-N 0 3 235.327 2.633 20 0 BFADHN COc1c(O)cccc1CN1C[C@@H](C)C[C@H](C)C1 ZINC000272318322 385894020 /nfs/dbraw/zinc/89/40/20/385894020.db2.gz TVCVPJOZIXYIKN-RYUDHWBXSA-N 0 3 249.354 2.879 20 0 BFADHN C[C@H](NC1(Cc2ccccc2)CC1)c1cn[nH]c1 ZINC000285087221 385896546 /nfs/dbraw/zinc/89/65/46/385896546.db2.gz JVWQPACHRMTINQ-LBPRGKRZSA-N 0 3 241.338 2.836 20 0 BFADHN C[C@@H](NCCN(C)C)c1ccccc1Cl ZINC000022174095 385896521 /nfs/dbraw/zinc/89/65/21/385896521.db2.gz DVFPYKLIOWICKI-SNVBAGLBSA-N 0 3 226.751 2.552 20 0 BFADHN C[C@H](CCO)N[C@@H](C)c1ccccc1Cl ZINC000122272181 385899505 /nfs/dbraw/zinc/89/95/05/385899505.db2.gz VAUYGXILLWKZQA-ZJUUUORDSA-N 0 3 227.735 2.762 20 0 BFADHN CO[C@H](CNCc1ccco1)Cc1ccccc1 ZINC000294816794 385900077 /nfs/dbraw/zinc/90/00/77/385900077.db2.gz OKSARSUBHOJCBV-HNNXBMFYSA-N 0 3 245.322 2.627 20 0 BFADHN CCC1(NCc2cn3cc(C)ccc3n2)CC1 ZINC000294815844 385900088 /nfs/dbraw/zinc/90/00/88/385900088.db2.gz OJBYOKUKJWLBQN-UHFFFAOYSA-N 0 3 229.327 2.675 20 0 BFADHN c1csc([C@@H](NCc2cncnc2)C2CC2)c1 ZINC000340198977 385903578 /nfs/dbraw/zinc/90/35/78/385903578.db2.gz QIRTWHUZCNOLDN-ZDUSSCGKSA-N 0 3 245.351 2.779 20 0 BFADHN CCC[C@@H](O)CN(C)[C@H](C)c1cccc(O)c1 ZINC000294845897 385903951 /nfs/dbraw/zinc/90/39/51/385903951.db2.gz BOVPXGYKOLQDKS-BXUZGUMPSA-N 0 3 237.343 2.546 20 0 BFADHN CC[C@H](C(=O)NC1(CC)CCCCC1)N(C)C ZINC000413843032 385906324 /nfs/dbraw/zinc/90/63/24/385906324.db2.gz ITNZIIOOMFWQIY-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CCCC1(CNCc2ncc(Cl)n2C)CC1 ZINC000232994938 385906581 /nfs/dbraw/zinc/90/65/81/385906581.db2.gz YEDDDCRULDYMLJ-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN Fc1cc(CNCC2CCCC2)c(F)cn1 ZINC000294875653 385907337 /nfs/dbraw/zinc/90/73/37/385907337.db2.gz XBPGCQLGTHIVPH-UHFFFAOYSA-N 0 3 226.270 2.640 20 0 BFADHN CCC1(NCc2ccc(F)nc2C)CC1 ZINC000294882675 385909966 /nfs/dbraw/zinc/90/99/66/385909966.db2.gz VQDOMYWYZBBHNZ-UHFFFAOYSA-N 0 3 208.280 2.561 20 0 BFADHN Cc1ccoc1CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000285301678 385912784 /nfs/dbraw/zinc/91/27/84/385912784.db2.gz VXBNIOFMLKMSDG-OLZOCXBDSA-N 0 3 235.327 2.731 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@H](C)C[C@@H](C)C2)n1 ZINC000355118609 385913363 /nfs/dbraw/zinc/91/33/63/385913363.db2.gz VQDHUVJTMMRCRC-NWDGAFQWSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@H](C)C[C@@H](C)C2)n1 ZINC000355118609 385913367 /nfs/dbraw/zinc/91/33/67/385913367.db2.gz VQDHUVJTMMRCRC-NWDGAFQWSA-N 0 3 248.370 2.964 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@H](OC)C1CC1 ZINC000340485042 385913549 /nfs/dbraw/zinc/91/35/49/385913549.db2.gz AKMSBDYCVHFSQC-RISCZKNCSA-N 0 3 248.370 2.720 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H](C)C[C@@H](C)C2)n1 ZINC000355118611 385913540 /nfs/dbraw/zinc/91/35/40/385913540.db2.gz VQDHUVJTMMRCRC-VXGBXAGGSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H](C)C[C@@H](C)C2)n1 ZINC000355118611 385913544 /nfs/dbraw/zinc/91/35/44/385913544.db2.gz VQDHUVJTMMRCRC-VXGBXAGGSA-N 0 3 248.370 2.964 20 0 BFADHN CS[C@H]1CCN(Cc2csc(C)c2)C1 ZINC000294912435 385914611 /nfs/dbraw/zinc/91/46/11/385914611.db2.gz AGRGRKQCVYMCGE-NSHDSACASA-N 0 3 227.398 2.994 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]1Cc1ccccc1)c1cn[nH]c1 ZINC000285323419 385915381 /nfs/dbraw/zinc/91/53/81/385915381.db2.gz TXKNZAUDJCBLMQ-CORIIIEPSA-N 0 3 241.338 2.692 20 0 BFADHN CCc1ccc([C@@H](COC)NCCCCF)o1 ZINC000285477238 385930033 /nfs/dbraw/zinc/93/00/33/385930033.db2.gz CKGCTOKFNSHXAS-GFCCVEGCSA-N 0 3 243.322 2.869 20 0 BFADHN Cn1ncc(Cl)c1CNC[C@@H]1CC=CCC1 ZINC000189087800 385924023 /nfs/dbraw/zinc/92/40/23/385924023.db2.gz GYYLXVLCENOSNR-SNVBAGLBSA-N 0 3 239.750 2.519 20 0 BFADHN Cc1ccc(CN(CC2CCC2)[C@@H](C)CO)o1 ZINC000284280186 385859943 /nfs/dbraw/zinc/85/99/43/385859943.db2.gz SZQKCOGJWXGAMF-NSHDSACASA-N 0 3 237.343 2.571 20 0 BFADHN Cn1cc(CNC(C)(C)c2cccs2)cn1 ZINC000336720085 385861914 /nfs/dbraw/zinc/86/19/14/385861914.db2.gz YVGCYWFNPUPQDQ-UHFFFAOYSA-N 0 3 235.356 2.507 20 0 BFADHN Cc1ccn2cc(CN[C@@H]3CCC3(C)C)nc2c1 ZINC000284366345 385864047 /nfs/dbraw/zinc/86/40/47/385864047.db2.gz NZUFYJBLBMTBBJ-CYBMUJFWSA-N 0 3 243.354 2.921 20 0 BFADHN COc1ccc(CN2C[C@@H](C)CC[C@@H]2C)nc1 ZINC000271970269 385864417 /nfs/dbraw/zinc/86/44/17/385864417.db2.gz MULCWQRHIHQQOD-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN CC1(C)CC[C@H]1N[C@H](CO)c1ccc(F)cc1 ZINC000284417101 385868300 /nfs/dbraw/zinc/86/83/00/385868300.db2.gz QNERMHIFTKAXCV-CHWSQXEVSA-N 0 3 237.318 2.637 20 0 BFADHN CO[C@@H]1CCN([C@H]2CCCc3ccc(F)cc32)C1 ZINC000337986405 385868317 /nfs/dbraw/zinc/86/83/17/385868317.db2.gz RPKZBETYFVSBBR-HIFRSBDPSA-N 0 3 249.329 2.924 20 0 BFADHN Fc1ccc(CN[C@H]2CC[C@@H]2C2CCC2)nc1 ZINC000337987336 385868652 /nfs/dbraw/zinc/86/86/52/385868652.db2.gz KMSPLYJYNIRVIV-KGLIPLIRSA-N 0 3 234.318 2.889 20 0 BFADHN C[C@@H](NCCN1CCC1)c1ccccc1Cl ZINC000336726057 385872333 /nfs/dbraw/zinc/87/23/33/385872333.db2.gz BMGCIWISZVUGGV-LLVKDONJSA-N 0 3 238.762 2.696 20 0 BFADHN C[C@H](N[C@H](CO)CC1CCCC1)c1ccncc1 ZINC000338007174 385878074 /nfs/dbraw/zinc/87/80/74/385878074.db2.gz KJNKNJXVLHNHAY-WFASDCNBSA-N 0 3 248.370 2.673 20 0 BFADHN C=Cn1cc(CN[C@@H]2CCc3ccccc32)cn1 ZINC000232574786 385879277 /nfs/dbraw/zinc/87/92/77/385879277.db2.gz QGIGMWPGBVFAHG-OAHLLOKOSA-N 0 3 239.322 2.761 20 0 BFADHN CCc1ccc(CNC[C@@H]2CCSC2)o1 ZINC000228558725 385882197 /nfs/dbraw/zinc/88/21/97/385882197.db2.gz SETSQUYMLXDOGK-JTQLQIEISA-N 0 3 225.357 2.685 20 0 BFADHN C[C@H]1[C@@H](O)CCCN1Cc1cc2ccccc2o1 ZINC000294683465 385882640 /nfs/dbraw/zinc/88/26/40/385882640.db2.gz ACZSQCGVCDDQDN-FZMZJTMJSA-N 0 3 245.322 2.778 20 0 BFADHN CO[C@H](C)[C@@H](C)NC1(c2ccccc2F)CC1 ZINC000272183717 385883028 /nfs/dbraw/zinc/88/30/28/385883028.db2.gz RCIGHPAIHLHUSK-GHMZBOCLSA-N 0 3 237.318 2.828 20 0 BFADHN CO[C@@H](CN(Cc1cccnc1)C(C)C)C1CC1 ZINC000425417472 385994759 /nfs/dbraw/zinc/99/47/59/385994759.db2.gz HXTUVBGGWCTMAR-HNNXBMFYSA-N 0 3 248.370 2.717 20 0 BFADHN CC(=O)c1cccc(CN2C[C@@H](C)[C@H](C)C2)c1 ZINC000295141238 385979102 /nfs/dbraw/zinc/97/91/02/385979102.db2.gz ZOVVSPLZRHLYHB-VXGBXAGGSA-N 0 3 231.339 2.977 20 0 BFADHN CO[C@H](CN1CCc2c(F)cccc2C1)C1CC1 ZINC000425416982 385994877 /nfs/dbraw/zinc/99/48/77/385994877.db2.gz HGNGVHIWDMHSKM-OAHLLOKOSA-N 0 3 249.329 2.609 20 0 BFADHN Cc1ccoc1CN(C)CC1CCC(=O)CC1 ZINC000295181977 385984741 /nfs/dbraw/zinc/98/47/41/385984741.db2.gz IVMQCIUBGYYFGJ-UHFFFAOYSA-N 0 3 235.327 2.779 20 0 BFADHN CO[C@H](CN1CCC[C@H]1c1cccnc1)C1CC1 ZINC000425414448 385984776 /nfs/dbraw/zinc/98/47/76/385984776.db2.gz CWPDFMQCXJLGEZ-LSDHHAIUSA-N 0 3 246.354 2.644 20 0 BFADHN COc1cnccc1[C@H](C)N[C@H](C)[C@@H]1C[C@@H]1C ZINC000414136474 385984673 /nfs/dbraw/zinc/98/46/73/385984673.db2.gz OVDBAJJROQSLNG-MEWQQHAOSA-N 0 3 234.343 2.785 20 0 BFADHN C[C@@H](NCCCC(C)(F)F)c1ccn(C)n1 ZINC000414138315 385987181 /nfs/dbraw/zinc/98/71/81/385987181.db2.gz KFULQNZQSJBORZ-SECBINFHSA-N 0 3 231.290 2.506 20 0 BFADHN C[C@@H](NCCC1(C)CC1)c1cc2n(n1)CCC2 ZINC000414137629 385987415 /nfs/dbraw/zinc/98/74/15/385987415.db2.gz IPFPQMGNNRFESC-LLVKDONJSA-N 0 3 233.359 2.670 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cc(C)ccn1)C(C)C ZINC000286019572 385989499 /nfs/dbraw/zinc/98/94/99/385989499.db2.gz JPJQGEWXHCSNLI-GXTWGEPZSA-N 0 3 236.359 2.712 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H](C)c1cc2n(n1)CCC2 ZINC000414141101 385989614 /nfs/dbraw/zinc/98/96/14/385989614.db2.gz HLAJSVAQOCMUPI-UTUOFQBUSA-N 0 3 233.359 2.526 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@@H]1CCO[C@H]1C ZINC000414144794 385991244 /nfs/dbraw/zinc/99/12/44/385991244.db2.gz AHYAKYJCRDITKC-MBNYWOFBSA-N 0 3 249.354 2.832 20 0 BFADHN C[C@@H](NCC1C(C)(C)C1(C)C)c1ccn(C)n1 ZINC000414145127 385991429 /nfs/dbraw/zinc/99/14/29/385991429.db2.gz MFJXLAWXVHAFMX-SNVBAGLBSA-N 0 3 235.375 2.753 20 0 BFADHN CC[C@H](NCc1cnccc1OC)[C@@H]1C[C@H]1C ZINC000414143476 385992046 /nfs/dbraw/zinc/99/20/46/385992046.db2.gz NMFUVYUPZDQCPS-RTXFEEFZSA-N 0 3 234.343 2.614 20 0 BFADHN CCCCCN[C@@H](C)c1cc2n(n1)CCC2 ZINC000414049108 385931158 /nfs/dbraw/zinc/93/11/58/385931158.db2.gz FQDMVCJURPFZLV-NSHDSACASA-N 0 3 221.348 2.670 20 0 BFADHN CC[C@H](NCc1ccsc1C)[C@H](O)C(F)F ZINC000294968637 385931597 /nfs/dbraw/zinc/93/15/97/385931597.db2.gz FOHPVAWBGQRJPX-UWVGGRQHSA-N 0 3 249.326 2.551 20 0 BFADHN CC(C)CCCN[C@H](C)c1cc2n(n1)CCC2 ZINC000414052885 385934855 /nfs/dbraw/zinc/93/48/55/385934855.db2.gz ADWMLXNTTICBQT-GFCCVEGCSA-N 0 3 235.375 2.916 20 0 BFADHN CO[C@@H](C)CN1CCC[C@@H]1c1ccc(F)cc1 ZINC000272802995 385937520 /nfs/dbraw/zinc/93/75/20/385937520.db2.gz LMTUWYNCJZYABX-SMDDNHRTSA-N 0 3 237.318 2.998 20 0 BFADHN COCc1cccc(CN[C@@H]2C[C@H]2C(F)F)c1 ZINC000342184600 385941901 /nfs/dbraw/zinc/94/19/01/385941901.db2.gz CRDTUYGTCNRNSG-VXGBXAGGSA-N 0 3 241.281 2.576 20 0 BFADHN CO[C@@H](CN(C)[C@H](C)c1ccco1)C1CC1 ZINC000425392387 385942035 /nfs/dbraw/zinc/94/20/35/385942035.db2.gz ATBLJDYXCRUMET-MFKMUULPSA-N 0 3 223.316 2.697 20 0 BFADHN CC(C)n1cc(CNC2CCC3(CC3)CC2)nn1 ZINC000342192419 385942477 /nfs/dbraw/zinc/94/24/77/385942477.db2.gz SYUZTVFBXGXUKY-UHFFFAOYSA-N 0 3 248.374 2.671 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1ccn(C)n1 ZINC000414066477 385943003 /nfs/dbraw/zinc/94/30/03/385943003.db2.gz IARMUIPUASEBGG-HBNTYKKESA-N 0 3 209.337 2.505 20 0 BFADHN Fc1ccc(C2CC2)cc1CN[C@H]1CCOC1 ZINC000295024177 385944825 /nfs/dbraw/zinc/94/48/25/385944825.db2.gz CNKWTNVDRJDECM-ZDUSSCGKSA-N 0 3 235.302 2.582 20 0 BFADHN CC(C)[C@@H](C)CN[C@@H](C)c1cc2n(n1)CCC2 ZINC000414067798 385944960 /nfs/dbraw/zinc/94/49/60/385944960.db2.gz AQHUPPREXKMJAF-RYUDHWBXSA-N 0 3 235.375 2.772 20 0 BFADHN CO[C@@H](C)CN(C)[C@H](C)c1cccc(F)c1 ZINC000272865243 385945197 /nfs/dbraw/zinc/94/51/97/385945197.db2.gz YLCROKTYKDPFBH-WDEREUQCSA-N 0 3 225.307 2.853 20 0 BFADHN CC[C@H](NCc1cn2ccccc2n1)[C@H]1C[C@H]1C ZINC000414071720 385945342 /nfs/dbraw/zinc/94/53/42/385945342.db2.gz UGGAWJJJDBNHTI-XBFCOCLRSA-N 0 3 243.354 2.859 20 0 BFADHN CC/C=C\CCN1CCOC(C)(C)[C@@H]1C ZINC000342196152 385945817 /nfs/dbraw/zinc/94/58/17/385945817.db2.gz DTWUVPSUFQSQHU-DGMVEKRQSA-N 0 3 211.349 2.842 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CCO[C@@H](C)C2)c1 ZINC000219890974 385946453 /nfs/dbraw/zinc/94/64/53/385946453.db2.gz JWLJFKIDMVBIHR-AAEUAGOBSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H](NC1[C@@H](C)CCC[C@@H]1C)c1ccn(C)n1 ZINC000414074210 385946720 /nfs/dbraw/zinc/94/67/20/385946720.db2.gz NTXCGPKKHBCNAW-SRVKXCTJSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ccccc1CCN[C@@H](C)c1ccn(C)n1 ZINC000414075817 385946878 /nfs/dbraw/zinc/94/68/78/385946878.db2.gz XXJZHMDGIXCYRE-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN C[C@H](NCC1CCCC1)c1cc2n(n1)CCC2 ZINC000414082824 385951857 /nfs/dbraw/zinc/95/18/57/385951857.db2.gz WLEVVEAYFYXINE-NSHDSACASA-N 0 3 233.359 2.670 20 0 BFADHN CC[C@H](NCc1csc(C)c1)[C@@H](O)C(F)F ZINC000295044930 385952897 /nfs/dbraw/zinc/95/28/97/385952897.db2.gz MZVSXXUFHFEWHG-VHSXEESVSA-N 0 3 249.326 2.551 20 0 BFADHN CC(C)C1(CN[C@H](C)c2cc3n(n2)CCC3)CC1 ZINC000414091015 385957145 /nfs/dbraw/zinc/95/71/45/385957145.db2.gz LBIHGVOEZJLVLF-GFCCVEGCSA-N 0 3 247.386 2.916 20 0 BFADHN CC[C@@H](CSC)N[C@H](C)c1cc(C)n(C)n1 ZINC000282701157 385957462 /nfs/dbraw/zinc/95/74/62/385957462.db2.gz KWVHHOWNARUGMW-MNOVXSKESA-N 0 3 241.404 2.521 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cc(F)cc(Cl)c1 ZINC000289895498 385959790 /nfs/dbraw/zinc/95/97/90/385959790.db2.gz SXZXCWVQCLLJNP-BDAKNGLRSA-N 0 3 245.725 2.992 20 0 BFADHN FC1(CCNCc2cnn3ccccc23)CCC1 ZINC000342216371 385960107 /nfs/dbraw/zinc/96/01/07/385960107.db2.gz QMDULKKMBPHKOV-UHFFFAOYSA-N 0 3 247.317 2.706 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C=C[C@H](CO)C2)c(C)c1 ZINC000345048141 385961815 /nfs/dbraw/zinc/96/18/15/385961815.db2.gz AZKGMQGJGYZHKQ-KKUMJFAQSA-N 0 3 245.366 2.891 20 0 BFADHN Cc1cccc([C@H](C)N[C@@H]2C=C[C@@H](CO)C2)c1 ZINC000345048929 385962762 /nfs/dbraw/zinc/96/27/62/385962762.db2.gz FSEAWSGEQSPTFM-GZBFAFLISA-N 0 3 231.339 2.583 20 0 BFADHN FC(F)[C@H]1C[C@H]1NCc1cccc2cc[nH]c21 ZINC000342222361 385963747 /nfs/dbraw/zinc/96/37/47/385963747.db2.gz LMLPVKPRSQLJJN-WDEREUQCSA-N 0 3 236.265 2.911 20 0 BFADHN CC(=O)c1cccc(CN2CCC[C@H]2C)c1 ZINC000295088569 385964750 /nfs/dbraw/zinc/96/47/50/385964750.db2.gz RXMYHXJYWXOMNW-LLVKDONJSA-N 0 3 217.312 2.874 20 0 BFADHN CC(C)CC1(CN[C@H](C)c2ccn(C)n2)CC1 ZINC000414101343 385964885 /nfs/dbraw/zinc/96/48/85/385964885.db2.gz WMGCPDXLEOPRQS-GFCCVEGCSA-N 0 3 235.375 2.897 20 0 BFADHN CC[C@H](N[C@@H]1C=C[C@@H](CO)C1)c1ccc(C)cc1 ZINC000345054331 385965868 /nfs/dbraw/zinc/96/58/68/385965868.db2.gz DXYPXVFLRRIOEH-BMFZPTHFSA-N 0 3 245.366 2.973 20 0 BFADHN CO[C@@H]1CCN(Cc2ccncc2C)CC1(C)C ZINC000295099661 385966241 /nfs/dbraw/zinc/96/62/41/385966241.db2.gz URXAJMVKUATNKN-CQSZACIVSA-N 0 3 248.370 2.637 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1ccc(OC)cn1 ZINC000338315803 385966231 /nfs/dbraw/zinc/96/62/31/385966231.db2.gz LEVYFDDGFLBZGG-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@]1(NCc2cccc(F)c2)CCO[C@@H]1C1CC1 ZINC000273079427 385966279 /nfs/dbraw/zinc/96/62/79/385966279.db2.gz QFKZHYZGMKMYCP-CABCVRRESA-N 0 3 249.329 2.873 20 0 BFADHN CC[C@H](F)CN1CCC[C@@]2(CCCOC2)C1 ZINC000335013513 385968321 /nfs/dbraw/zinc/96/83/21/385968321.db2.gz YQXBFMITWVSEOW-QWHCGFSZSA-N 0 3 229.339 2.627 20 0 BFADHN CC[C@H](F)CN1CCC[C@]2(CCCOC2)C1 ZINC000335013514 385969700 /nfs/dbraw/zinc/96/97/00/385969700.db2.gz YQXBFMITWVSEOW-STQMWFEESA-N 0 3 229.339 2.627 20 0 BFADHN C[C@@H](C1CCCCC1)N(C)Cc1ccnn1C ZINC000338339806 385970031 /nfs/dbraw/zinc/97/00/31/385970031.db2.gz GKMWOLOXWFUUBW-LBPRGKRZSA-N 0 3 235.375 2.821 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@H](C)[C@H]2C[C@@H]2C)[n-]1 ZINC000414107316 385970335 /nfs/dbraw/zinc/97/03/35/385970335.db2.gz RYBDVVJDUYZABG-VLEAKVRGSA-N 0 3 236.363 2.623 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@H](C)[C@H]2C[C@@H]2C)[nH]1 ZINC000414107316 385970343 /nfs/dbraw/zinc/97/03/43/385970343.db2.gz RYBDVVJDUYZABG-VLEAKVRGSA-N 0 3 236.363 2.623 20 0 BFADHN CC(C)C[C@H](NC[C@H]1C[C@@H](O)C1)c1ccccn1 ZINC000643251899 385971997 /nfs/dbraw/zinc/97/19/97/385971997.db2.gz SLLXLZHUMRTXDF-GUTXKFCHSA-N 0 3 248.370 2.529 20 0 BFADHN CCc1noc(C)c1CN[C@H](C)[C@H]1C[C@@H]1C ZINC000414149475 385997379 /nfs/dbraw/zinc/99/73/79/385997379.db2.gz URLTXTBBGMQTER-NGZCFLSTSA-N 0 3 222.332 2.680 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H]1C)c1ccn(C)n1 ZINC000414156669 385998275 /nfs/dbraw/zinc/99/82/75/385998275.db2.gz VFDYIJUPCBHAIJ-SRVKXCTJSA-N 0 3 221.348 2.507 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H](C)c2ncccc2CC)C1 ZINC000414153155 386002246 /nfs/dbraw/zinc/00/22/46/386002246.db2.gz YPXKQTKNKUHWPT-BNOWGMLFSA-N 0 3 248.370 2.862 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C=C[C@@H](CO)C2)cc1 ZINC000345066327 386002519 /nfs/dbraw/zinc/00/25/19/386002519.db2.gz WOPZZJKCOZKTAN-GUTXKFCHSA-N 0 3 231.339 2.583 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]1C)c1cc2n(n1)CCC2 ZINC000414153029 386002894 /nfs/dbraw/zinc/00/28/94/386002894.db2.gz QTSLGCWYBYIFOP-AGIUHOORSA-N 0 3 247.386 2.916 20 0 BFADHN CCCc1ncc(CN[C@H](C)[C@H]2C[C@H]2C)o1 ZINC000414153936 386004248 /nfs/dbraw/zinc/00/42/48/386004248.db2.gz SQEGDDDCJUGQEI-FOGDFJRCSA-N 0 3 222.332 2.761 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@H](C)c1ccn(C)n1 ZINC000414155599 386004885 /nfs/dbraw/zinc/00/48/85/386004885.db2.gz VJQQKYNXGSHJGS-JHJVBQTASA-N 0 3 235.375 2.897 20 0 BFADHN CC(C)(C)[C@H]1CCN(Cc2ccco2)C[C@@H]1O ZINC000273454416 386005423 /nfs/dbraw/zinc/00/54/23/386005423.db2.gz ZOZFUEZEHFDSJD-STQMWFEESA-N 0 3 237.343 2.509 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@H](C)c1ccn(C)n1 ZINC000414156421 386006990 /nfs/dbraw/zinc/00/69/90/386006990.db2.gz WMAIWUMTDWXUJS-KWCYVHTRSA-N 0 3 235.375 2.895 20 0 BFADHN COCC[C@H](N[C@H](C)[C@@H]1C[C@H]1C)c1ccco1 ZINC000414116541 386008943 /nfs/dbraw/zinc/00/89/43/386008943.db2.gz XDNZAGMLMPJDCZ-LPWJVIDDSA-N 0 3 237.343 2.991 20 0 BFADHN Cc1cnc([C@H](C)NCC[C@H]2CCOC2)s1 ZINC000336721986 386011156 /nfs/dbraw/zinc/01/11/56/386011156.db2.gz AOEWWBGEAPXCRU-QWRGUYRKSA-N 0 3 240.372 2.529 20 0 BFADHN CO[C@H](CNCc1ccncc1C)C(C)(C)C ZINC000295339331 386014151 /nfs/dbraw/zinc/01/41/51/386014151.db2.gz CUKGTMNYTRZOQY-CYBMUJFWSA-N 0 3 236.359 2.541 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1cc(C)ccn1 ZINC000286258698 386014537 /nfs/dbraw/zinc/01/45/37/386014537.db2.gz USQQFDOJJOBOOC-KCQAQPDRSA-N 0 3 248.370 2.998 20 0 BFADHN COC(CN[C@H](C)c1cccc(C2CC2)c1)OC ZINC000295342299 386014957 /nfs/dbraw/zinc/01/49/57/386014957.db2.gz IARHOJIPYZOSAB-LLVKDONJSA-N 0 3 249.354 2.834 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2CSC[C@@H]2C)c1 ZINC000295581406 386056333 /nfs/dbraw/zinc/05/63/33/386056333.db2.gz DPHCBCFKYQKZCC-GXSJLCMTSA-N 0 3 222.357 2.862 20 0 BFADHN CCS[C@@H]1CCC[C@@H]1NCc1ccccn1 ZINC000233992822 386016234 /nfs/dbraw/zinc/01/62/34/386016234.db2.gz UHULLZWDBVRLLI-QWHCGFSZSA-N 0 3 236.384 2.845 20 0 BFADHN CC[C@H](NCc1ncccc1N(C)C)[C@H]1C[C@@H]1C ZINC000414171959 386017681 /nfs/dbraw/zinc/01/76/81/386017681.db2.gz XSEJNOGDWKYKEK-AVGNSLFASA-N 0 3 247.386 2.672 20 0 BFADHN CCS[C@H]1CCC[C@@H]1NCc1ccccn1 ZINC000286276137 386018249 /nfs/dbraw/zinc/01/82/49/386018249.db2.gz UHULLZWDBVRLLI-STQMWFEESA-N 0 3 236.384 2.845 20 0 BFADHN CC[C@H](N[C@H](C)c1ccn(C)n1)[C@H]1CC1(C)C ZINC000414172093 386018451 /nfs/dbraw/zinc/01/84/51/386018451.db2.gz DYBBTLVBVQLUPH-UTUOFQBUSA-N 0 3 235.375 2.895 20 0 BFADHN CCC[C@@](C)(O)CN[C@@H](C)c1cc(C)ccn1 ZINC000286305863 386021008 /nfs/dbraw/zinc/02/10/08/386021008.db2.gz WYNBYZBWOUMTAS-GXTWGEPZSA-N 0 3 236.359 2.592 20 0 BFADHN CC[C@H](O)CCN[C@H]1CCCc2ccc(O)cc21 ZINC000234039499 386021460 /nfs/dbraw/zinc/02/14/60/386021460.db2.gz DLRXMRPVKSZYAL-WFASDCNBSA-N 0 3 249.354 2.520 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@H]1CC(C)C)c1nccn1C ZINC000414184975 386024333 /nfs/dbraw/zinc/02/43/33/386024333.db2.gz PAQAVXHVDHFTKU-JHJVBQTASA-N 0 3 235.375 2.895 20 0 BFADHN CCOc1ccccc1CNC[C@@H]1CCC=CO1 ZINC000189560639 386024782 /nfs/dbraw/zinc/02/47/82/386024782.db2.gz GSZVGPAUHKAENF-AWEZNQCLSA-N 0 3 247.338 2.868 20 0 BFADHN CC(C)C1(CNCc2cncs2)CC1 ZINC000124366129 386027184 /nfs/dbraw/zinc/02/71/84/386027184.db2.gz ATBPDDWWRXLVGM-UHFFFAOYSA-N 0 3 210.346 2.669 20 0 BFADHN CCC[C@@H](O)CN(Cc1ccco1)C(C)C ZINC000295425567 386028282 /nfs/dbraw/zinc/02/82/82/386028282.db2.gz FIQGLTHUYUBCLP-GFCCVEGCSA-N 0 3 225.332 2.651 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@H]2CCCC[C@H]2O)c1 ZINC000286351215 386028528 /nfs/dbraw/zinc/02/85/28/386028528.db2.gz YTVPACABOYSUEK-GZBFAFLISA-N 0 3 248.370 2.592 20 0 BFADHN C[C@@H](NC1(C2CCC2)CCC1)c1nccn1C ZINC000414186916 386028527 /nfs/dbraw/zinc/02/85/27/386028527.db2.gz PTIDJZGFJUZXFP-LLVKDONJSA-N 0 3 233.359 2.794 20 0 BFADHN COCC[C@@H](C)CN[C@H](C)c1ccc(F)cn1 ZINC000414188145 386028566 /nfs/dbraw/zinc/02/85/66/386028566.db2.gz DQPSHGZWXIGNRQ-GHMZBOCLSA-N 0 3 240.322 2.544 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H]1C1CC1)c1ccn(C)n1 ZINC000414189319 386029361 /nfs/dbraw/zinc/02/93/61/386029361.db2.gz JLWWITMEPCDDAG-IACUBPJLSA-N 0 3 247.386 2.897 20 0 BFADHN C[C@H](N[C@H]1CCc2c1cccc2F)[C@@H]1CCCO1 ZINC000221990476 386030273 /nfs/dbraw/zinc/03/02/73/386030273.db2.gz RUQTZRXFDGLGOR-LKTVYLICSA-N 0 3 249.329 2.970 20 0 BFADHN CCC[C@H]1CCCN(Cc2n[nH]cc2C)C1 ZINC000356125372 386033250 /nfs/dbraw/zinc/03/32/50/386033250.db2.gz KRVIWOWTSNHJMF-LBPRGKRZSA-N 0 3 221.348 2.730 20 0 BFADHN CC[C@H](N[C@H](C)c1ccn(C)n1)[C@H]1C[C@@H]1C ZINC000414198248 386034279 /nfs/dbraw/zinc/03/42/79/386034279.db2.gz WUMYCBQREHCOHH-USZNOCQGSA-N 0 3 221.348 2.505 20 0 BFADHN CCn1ccc(CN[C@@H](C)CC(C)(C)C)n1 ZINC000414202538 386040283 /nfs/dbraw/zinc/04/02/83/386040283.db2.gz DAMUUCLDUHDARI-NSHDSACASA-N 0 3 223.364 2.817 20 0 BFADHN C[C@H](NCCC1CC(F)(F)C1)c1ccn(C)n1 ZINC000414157268 386042437 /nfs/dbraw/zinc/04/24/37/386042437.db2.gz XMBHNUQIXWPGNX-VIFPVBQESA-N 0 3 243.301 2.506 20 0 BFADHN Clc1sccc1CNCC1CCOCC1 ZINC000336728076 386044087 /nfs/dbraw/zinc/04/40/87/386044087.db2.gz HXZNSQRKQMEIFG-UHFFFAOYSA-N 0 3 245.775 2.918 20 0 BFADHN CO[C@H](CN1Cc2ccccc2[C@H]1C)C1CC1 ZINC000425423664 386044793 /nfs/dbraw/zinc/04/47/93/386044793.db2.gz OFJFYHMWROXWNF-IAQYHMDHSA-N 0 3 231.339 2.988 20 0 BFADHN C[C@H](N[C@@H]1CCc2ccccc21)c1cn[nH]c1 ZINC000222461436 386047338 /nfs/dbraw/zinc/04/73/38/386047338.db2.gz IJWVKWDSRQMZBE-IINYFYTJSA-N 0 3 227.311 2.748 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@@]2(C)CCCO2)nc1C ZINC000414167935 386050585 /nfs/dbraw/zinc/05/05/85/386050585.db2.gz PYNPPFWCUKRGCM-UKRRQHHQSA-N 0 3 248.370 2.746 20 0 BFADHN CCc1ncc(CN[C@H](C)CCC(C)C)cn1 ZINC000414239906 386053599 /nfs/dbraw/zinc/05/35/99/386053599.db2.gz JFIZIPDBRVMGKI-GFCCVEGCSA-N 0 3 235.375 2.953 20 0 BFADHN CC[C@H](NCc1ncccc1OC)[C@@H]1C[C@H]1C ZINC000414148598 385996365 /nfs/dbraw/zinc/99/63/65/385996365.db2.gz NIPGRQLNPAKPEQ-UTUOFQBUSA-N 0 3 234.343 2.614 20 0 BFADHN CC[C@H](N[C@H](CCO)c1ccco1)[C@H]1C[C@@H]1C ZINC000414149308 385996700 /nfs/dbraw/zinc/99/67/00/385996700.db2.gz XLQBLMIRIMTVJL-ZDEQEGDKSA-N 0 3 237.343 2.727 20 0 BFADHN CO[C@H](CN[C@@H](c1cccnc1)C1CC1)C1CC1 ZINC000425451735 386101197 /nfs/dbraw/zinc/10/11/97/386101197.db2.gz BXJKUYSVZSFAOR-HUUCEWRRSA-N 0 3 246.354 2.547 20 0 BFADHN CN(Cc1cn(C)nc1C(F)F)CC(C)(C)C ZINC000274435898 386101731 /nfs/dbraw/zinc/10/17/31/386101731.db2.gz ALUWNLMLGLFZTM-UHFFFAOYSA-N 0 3 245.317 2.836 20 0 BFADHN COC1([C@H](C)N[C@H](C)c2cccnc2)CCC1 ZINC000414297514 386105442 /nfs/dbraw/zinc/10/54/42/386105442.db2.gz GGDRRGFCTMXWHO-NEPJUHHUSA-N 0 3 234.343 2.690 20 0 BFADHN COc1cc(C)cc(CN[C@@H]2C[C@@H](C)O[C@H]2C)c1 ZINC000295913541 386105696 /nfs/dbraw/zinc/10/56/96/386105696.db2.gz ZRJQSZBZYFVDGX-TYNCELHUSA-N 0 3 249.354 2.659 20 0 BFADHN Cc1cc(Cl)cc(CNc2nccn2C)c1 ZINC000414297382 386105704 /nfs/dbraw/zinc/10/57/04/386105704.db2.gz HJYWQICZVZGFOT-UHFFFAOYSA-N 0 3 235.718 2.994 20 0 BFADHN C[C@H]1COCCN(c2ccnc3ccccc32)C1 ZINC000334455938 386108679 /nfs/dbraw/zinc/10/86/79/386108679.db2.gz XHJOMJWOIWIOEE-GFCCVEGCSA-N 0 3 242.322 2.708 20 0 BFADHN Cc1ccc([C@H](C)NCc2c[nH]nc2C)s1 ZINC000038011100 386111863 /nfs/dbraw/zinc/11/18/63/386111863.db2.gz ZBQOOCRPLZQGES-JTQLQIEISA-N 0 3 235.356 2.939 20 0 BFADHN COc1ccncc1CN([C@@H](C)C1CC1)C1CC1 ZINC000287289626 386112590 /nfs/dbraw/zinc/11/25/90/386112590.db2.gz MCFIIOGXDSZUOD-NSHDSACASA-N 0 3 246.354 2.853 20 0 BFADHN COC1([C@H](C)N[C@H](C)c2ccncc2)CCC1 ZINC000414310342 386112873 /nfs/dbraw/zinc/11/28/73/386112873.db2.gz SVPPWGGHKBIFFX-NEPJUHHUSA-N 0 3 234.343 2.690 20 0 BFADHN CCCCN(C)Cc1cn(C)nc1C(F)F ZINC000274593893 386124089 /nfs/dbraw/zinc/12/40/89/386124089.db2.gz PSMDAGOEOYVGFF-UHFFFAOYSA-N 0 3 231.290 2.590 20 0 BFADHN COC1([C@@H](C)N[C@H](C)c2ccco2)CCC1 ZINC000414314158 386116046 /nfs/dbraw/zinc/11/60/46/386116046.db2.gz KYQFNYFULMTUDS-GHMZBOCLSA-N 0 3 223.316 2.888 20 0 BFADHN CCn1ccc(CN[C@@H]2CC[C@H](C)C[C@H]2C)n1 ZINC000414206620 386059785 /nfs/dbraw/zinc/05/97/85/386059785.db2.gz JBYWKRMKULKKDJ-OUCADQQQSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccc(CN[C@@H](C)CCC2CC2)n1 ZINC000414207533 386062028 /nfs/dbraw/zinc/06/20/28/386062028.db2.gz KHBOHAMLILWKFU-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H](C)c1cncc(C)c1 ZINC000414208025 386063278 /nfs/dbraw/zinc/06/32/78/386063278.db2.gz KVRGCRRNXTYEMJ-BNOWGMLFSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1cnc(CN[C@H](C)Cc2ccncc2)s1 ZINC000345165931 386063765 /nfs/dbraw/zinc/06/37/65/386063765.db2.gz ZUFHKDOPHVWOOD-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN CN(Cc1ccccc1)Cc1cccc(N)c1 ZINC000019387285 386063740 /nfs/dbraw/zinc/06/37/40/386063740.db2.gz ZFJMUGYLMVMGPG-UHFFFAOYSA-N 0 3 226.323 2.901 20 0 BFADHN CCCn1nc(C)c(CNC2CC=CC2)c1C ZINC000643291023 386064315 /nfs/dbraw/zinc/06/43/15/386064315.db2.gz UYMLEWJEMTWQMF-UHFFFAOYSA-N 0 3 233.359 2.718 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1C[C@H](C)O[C@@H]1C ZINC000295642021 386065473 /nfs/dbraw/zinc/06/54/73/386065473.db2.gz IDRWPAFLKCCIEV-OUCADQQQSA-N 0 3 249.354 2.659 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2nccnc2OC)C1 ZINC000295636606 386065661 /nfs/dbraw/zinc/06/56/61/386065661.db2.gz HXIFQMDTFJPMFT-NWDGAFQWSA-N 0 3 249.358 2.544 20 0 BFADHN Fc1cc(CNCC2(C3CC3)CC2)c(F)cn1 ZINC000295655382 386066188 /nfs/dbraw/zinc/06/61/88/386066188.db2.gz JAJCNGKMEWLXIR-UHFFFAOYSA-N 0 3 238.281 2.640 20 0 BFADHN CCn1ccc(CN[C@H](C2CC2)C2CCC2)n1 ZINC000414210728 386066131 /nfs/dbraw/zinc/06/61/31/386066131.db2.gz MZEYCRSDWCQBPD-AWEZNQCLSA-N 0 3 233.359 2.571 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)no1 ZINC000414212944 386067086 /nfs/dbraw/zinc/06/70/86/386067086.db2.gz QLVSERHKXMIMEX-WISYIIOYSA-N 0 3 220.316 2.822 20 0 BFADHN CC[C@@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccn(C)n1 ZINC000414213911 386067944 /nfs/dbraw/zinc/06/79/44/386067944.db2.gz SAZXHMZWSWSOOP-YVECIDJPSA-N 0 3 233.359 2.649 20 0 BFADHN CCn1ccc(CN[C@H]2CC[C@@H]2C2CCC2)n1 ZINC000414212765 386068515 /nfs/dbraw/zinc/06/85/15/386068515.db2.gz SNIWRHKULIIRAV-KGLIPLIRSA-N 0 3 233.359 2.571 20 0 BFADHN CCn1ccc(CN[C@@H]2CCCC(C)(C)C2)n1 ZINC000414214015 386069953 /nfs/dbraw/zinc/06/99/53/386069953.db2.gz VIWGIBILJTUDPV-GFCCVEGCSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H](C)c1cnccc1C ZINC000414215627 386071508 /nfs/dbraw/zinc/07/15/08/386071508.db2.gz URZKNAUACFZDAI-BNOWGMLFSA-N 0 3 234.343 2.608 20 0 BFADHN CC(C)N1CCN([C@H](C)c2ccsc2)CC1 ZINC000274136038 386074789 /nfs/dbraw/zinc/07/47/89/386074789.db2.gz FZLRVZZWXRCEFD-GFCCVEGCSA-N 0 3 238.400 2.835 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1CS[C@@H](C)C1 ZINC000414226951 386075806 /nfs/dbraw/zinc/07/58/06/386075806.db2.gz KFDBUWLMZIXQMM-SDDRHHMPSA-N 0 3 236.384 2.935 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1C[C@H]1C)c1nccs1 ZINC000414229402 386078181 /nfs/dbraw/zinc/07/81/81/386078181.db2.gz ZZQRDIAHXGTMBU-XFWSIPNHSA-N 0 3 210.346 2.838 20 0 BFADHN CO[C@H](CN1Cc2ccc(C)cc2C1)C1CC1 ZINC000425447496 386078722 /nfs/dbraw/zinc/07/87/22/386078722.db2.gz RZTVJBIUYIYGPQ-OAHLLOKOSA-N 0 3 231.339 2.736 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NC[C@@H]1CCSC1)CO2 ZINC000373300488 386083107 /nfs/dbraw/zinc/08/31/07/386083107.db2.gz BLTDKQPLVVVZOX-AAEUAGOBSA-N 0 3 249.379 2.771 20 0 BFADHN CCc1ccc([C@H](C)NCC2(CCO)CC2)o1 ZINC000188052509 386083851 /nfs/dbraw/zinc/08/38/51/386083851.db2.gz ZGLNULGDFLCLHC-NSHDSACASA-N 0 3 237.343 2.655 20 0 BFADHN C[C@@H](NCCCCCO)c1ccc(F)cc1F ZINC000223598388 386089749 /nfs/dbraw/zinc/08/97/49/386089749.db2.gz FPYATMAUIWVSGB-SNVBAGLBSA-N 0 3 243.297 2.778 20 0 BFADHN Cc1occc1CNCCN(C)c1ccccc1 ZINC000414276626 386092193 /nfs/dbraw/zinc/09/21/93/386092193.db2.gz YDSLOXOQKRUEEZ-UHFFFAOYSA-N 0 3 244.338 2.814 20 0 BFADHN CC1(C)CN(CCCO)[C@H]1c1cccs1 ZINC000287107459 386095369 /nfs/dbraw/zinc/09/53/69/386095369.db2.gz YDOHMTASPMNSHH-NSHDSACASA-N 0 3 225.357 2.513 20 0 BFADHN Cc1ccc(CCN2CCN(C)[C@H](C)[C@@H]2C)cc1 ZINC000334448052 386095385 /nfs/dbraw/zinc/09/53/85/386095385.db2.gz QSLXQDAFTLTSMS-CABCVRRESA-N 0 3 246.398 2.562 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1c1ccco1)c1cncs1 ZINC000414328927 386123243 /nfs/dbraw/zinc/12/32/43/386123243.db2.gz CZWVQMNCZAGAEW-OPRDCNLKSA-N 0 3 234.324 2.943 20 0 BFADHN Cc1cccc(CN2CCC[C@H]2[C@@H]2CCCO2)n1 ZINC000334457940 386098264 /nfs/dbraw/zinc/09/82/64/386098264.db2.gz QGCLPCUQFMQAOL-GJZGRUSLSA-N 0 3 246.354 2.533 20 0 BFADHN C/C=C/C[C@H](CO)NCc1ccc(C)c(F)c1 ZINC000274413172 386099349 /nfs/dbraw/zinc/09/93/49/386099349.db2.gz FDYSJRZJIUPAPH-ITDFMYJTSA-N 0 3 237.318 2.551 20 0 BFADHN CC[C@H]1CCCN1Cc1cn2ccccc2n1 ZINC000334458643 386100032 /nfs/dbraw/zinc/10/00/32/386100032.db2.gz RMPUNHCZDCYZTG-ZDUSSCGKSA-N 0 3 229.327 2.709 20 0 BFADHN CC(C)(C)OC1CC(N[C@H]2CCC[C@@H]2F)C1 ZINC000340530358 386144758 /nfs/dbraw/zinc/14/47/58/386144758.db2.gz MURAKAGJBQBLFH-QQFIATSDSA-N 0 3 229.339 2.813 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1ccc(C)nn1 ZINC000414357466 386145039 /nfs/dbraw/zinc/14/50/39/386145039.db2.gz JRAQBXYRWBSETM-CMPLNLGQSA-N 0 3 221.348 2.699 20 0 BFADHN CS[C@H]1CCN(CCOc2ccccc2)C1 ZINC000296163543 386145258 /nfs/dbraw/zinc/14/52/58/386145258.db2.gz HLYWGYOHCXVKKS-ZDUSSCGKSA-N 0 3 237.368 2.503 20 0 BFADHN CS[C@H]1CCN([C@H](C)c2ccccn2)C1 ZINC000296180352 386145980 /nfs/dbraw/zinc/14/59/80/386145980.db2.gz IJDUBRJOXWLLPT-MNOVXSKESA-N 0 3 222.357 2.580 20 0 BFADHN Cc1occc1CNC[C@@](C)(O)CCC(C)C ZINC000414359948 386147510 /nfs/dbraw/zinc/14/75/10/386147510.db2.gz PUQZOSDGGICCHJ-AWEZNQCLSA-N 0 3 239.359 2.865 20 0 BFADHN Clc1ccc2c(n1)CCC[C@@H]2NC1CC1 ZINC000296196502 386147950 /nfs/dbraw/zinc/14/79/50/386147950.db2.gz YUWVNOHTPZANHK-JTQLQIEISA-N 0 3 222.719 2.864 20 0 BFADHN COc1ccncc1CN1CCC[C@@H](C)[C@H]1C ZINC000287777445 386148102 /nfs/dbraw/zinc/14/81/02/386148102.db2.gz NFPALRFPEGQFLX-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN CO[C@@H]1CCN(Cc2cncc(C)c2)CC1(C)C ZINC000296191171 386149593 /nfs/dbraw/zinc/14/95/93/386149593.db2.gz VPQVNVHPFUUDDK-CQSZACIVSA-N 0 3 248.370 2.637 20 0 BFADHN CC1(C)CC[C@H]1NCc1cc(F)ncc1F ZINC000296213299 386152515 /nfs/dbraw/zinc/15/25/15/386152515.db2.gz ZUJDTYOUMCCRQY-SNVBAGLBSA-N 0 3 226.270 2.638 20 0 BFADHN CCC[C@@H](O)CN(C)[C@H](C)c1ccc(F)cc1 ZINC000296639703 386190044 /nfs/dbraw/zinc/19/00/44/386190044.db2.gz WJRUDBDTRRTNID-BXUZGUMPSA-N 0 3 239.334 2.980 20 0 BFADHN CC/C=C/CCN1CCO[C@@](C)(CC)C1 ZINC000190098882 386155088 /nfs/dbraw/zinc/15/50/88/386155088.db2.gz ORTRAENSFVBALF-YBJDMEARSA-N 0 3 211.349 2.844 20 0 BFADHN Cc1ccc(CN([C@H](C)C2CC2)C2CC2)nn1 ZINC000414366403 386155108 /nfs/dbraw/zinc/15/51/08/386155108.db2.gz BOIQOKQHKVVVCE-LLVKDONJSA-N 0 3 231.343 2.548 20 0 BFADHN Cc1ccc(C)c(CN2CC3(C2)CCOCC3)c1 ZINC000335159933 386156079 /nfs/dbraw/zinc/15/60/79/386156079.db2.gz ZKLFWPKOYGBPMV-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN CCCCN(Cc1cnc(CC)nc1)C1CC1 ZINC000414366845 386160249 /nfs/dbraw/zinc/16/02/49/386160249.db2.gz CKVRFKHJFZXVFC-UHFFFAOYSA-N 0 3 233.359 2.804 20 0 BFADHN CC1(C)C[C@H]1CNCc1cc(C(F)(F)F)n[nH]1 ZINC000440811359 386172177 /nfs/dbraw/zinc/17/21/77/386172177.db2.gz GVPQPVPUTWAHMI-ZETCQYMHSA-N 0 3 247.264 2.564 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1ccc(OC)cn1 ZINC000275047580 386175328 /nfs/dbraw/zinc/17/53/28/386175328.db2.gz ZHTVUCYIJVQIEW-FZMZJTMJSA-N 0 3 234.343 2.711 20 0 BFADHN CC(C)CCCN(C)Cc1ccncc1 ZINC000275106926 386180849 /nfs/dbraw/zinc/18/08/49/386180849.db2.gz GLMDPXJTVHIBEJ-UHFFFAOYSA-N 0 3 206.333 2.950 20 0 BFADHN Cc1sccc1CN1CCOC[C@@H](C)C1 ZINC000335327332 386181239 /nfs/dbraw/zinc/18/12/39/386181239.db2.gz CMNODWAAQBBETC-JTQLQIEISA-N 0 3 225.357 2.525 20 0 BFADHN C[C@@H](CC(C)(C)C)N[C@H](C(N)=O)c1ccccc1 ZINC000296550655 386183029 /nfs/dbraw/zinc/18/30/29/386183029.db2.gz UMXSIDMRKJDOID-AAEUAGOBSA-N 0 3 248.370 2.627 20 0 BFADHN CN(CCc1ccccc1)Cc1cncc(F)c1 ZINC000275155006 386184856 /nfs/dbraw/zinc/18/48/56/386184856.db2.gz UNSQWXCIONUZPZ-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN Cc1cncc([C@H](C)N[C@H](C)CC(C)(C)O)c1 ZINC000296577958 386184984 /nfs/dbraw/zinc/18/49/84/386184984.db2.gz UBPYKWLMRQXWTF-NEPJUHHUSA-N 0 3 236.359 2.590 20 0 BFADHN CCC1(N[C@H](C)c2ccc(F)cn2)CC1 ZINC000296556201 386186086 /nfs/dbraw/zinc/18/60/86/386186086.db2.gz RXDDZZBFLONNQQ-SECBINFHSA-N 0 3 208.280 2.814 20 0 BFADHN CCC[C@H](C)NCc1cn2cc(C)ccc2n1 ZINC000263897167 386187309 /nfs/dbraw/zinc/18/73/09/386187309.db2.gz WRKJCRSABDRBIG-LBPRGKRZSA-N 0 3 231.343 2.921 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](C)OC)o1 ZINC000188126582 386188100 /nfs/dbraw/zinc/18/81/00/386188100.db2.gz WAPSHFURSWYTKM-NXEZZACHSA-N 0 3 211.305 2.528 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H]1F)c1cccc(CO)c1 ZINC000340524887 386124860 /nfs/dbraw/zinc/12/48/60/386124860.db2.gz UEGRMDXAMZOMER-LEWSCRJBSA-N 0 3 237.318 2.720 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1c1ccco1)c1ccncc1 ZINC000414333715 386127821 /nfs/dbraw/zinc/12/78/21/386127821.db2.gz HPGFKALMDVCKEP-RAIGVLPGSA-N 0 3 228.295 2.881 20 0 BFADHN CCC[C@@H](O)CN(CC)Cc1cccs1 ZINC000296616610 386189084 /nfs/dbraw/zinc/18/90/84/386189084.db2.gz ULWYKIDYVIVMPK-LLVKDONJSA-N 0 3 227.373 2.731 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H]2N[C@H]1CCCOC1 ZINC000129975343 386133002 /nfs/dbraw/zinc/13/30/02/386133002.db2.gz CSFLBRVXSGPZPN-JSGCOSHPSA-N 0 3 235.302 2.582 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCC[C@H](CO)C2)c1 ZINC000414347192 386136325 /nfs/dbraw/zinc/13/63/25/386136325.db2.gz VRMATDONFGHPHJ-MELADBBJSA-N 0 3 248.370 2.592 20 0 BFADHN CC1(C)CC[C@H](N[C@H](CCO)c2ccco2)C1 ZINC000274659296 386136815 /nfs/dbraw/zinc/13/68/15/386136815.db2.gz MXMRSPSVFNHXOV-NWDGAFQWSA-N 0 3 237.343 2.871 20 0 BFADHN COc1ncccc1CN1CC2(CCC2)C[C@H]1C ZINC000365507280 386137710 /nfs/dbraw/zinc/13/77/10/386137710.db2.gz HMJLSXBCFZEFMX-GFCCVEGCSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1cc(CNC2(C)CCC(C)CC2)nn1C ZINC000414348377 386138513 /nfs/dbraw/zinc/13/85/13/386138513.db2.gz OFPSTQHWGPTXAP-UHFFFAOYSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1occc1CN(C[C@H]1CCOC1)C1CC1 ZINC000414375392 386189403 /nfs/dbraw/zinc/18/94/03/386189403.db2.gz YGIGLUUNHGDRFY-GFCCVEGCSA-N 0 3 235.327 2.589 20 0 BFADHN Fc1ccc(CNC[C@@H]2CCSC2)c(F)c1 ZINC000224412389 386139791 /nfs/dbraw/zinc/13/97/91/386139791.db2.gz JYLHZQIRAUWLDO-VIFPVBQESA-N 0 3 243.322 2.808 20 0 BFADHN CC(C)(C)c1noc(C2CCN(C3CC3)CC2)n1 ZINC000335149292 386141052 /nfs/dbraw/zinc/14/10/52/386141052.db2.gz LBPORYJMNUCFCM-UHFFFAOYSA-N 0 3 249.358 2.709 20 0 BFADHN Cc1c(CN(C)[C@@H]2CCC[C@@H](C)C2)cnn1C ZINC000334470275 386141219 /nfs/dbraw/zinc/14/12/19/386141219.db2.gz FMZJRKYIBHLTGJ-BXUZGUMPSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H]2C2CCCCC2)nn1 ZINC000414356500 386142274 /nfs/dbraw/zinc/14/22/74/386142274.db2.gz DXYDAUTYEVSGMS-HUUCEWRRSA-N 0 3 245.370 2.843 20 0 BFADHN Cc1ccc(CN[C@H](C)c2ccnn2C)s1 ZINC000235187571 386142492 /nfs/dbraw/zinc/14/24/92/386142492.db2.gz HIOVKZNRWHVAAG-SNVBAGLBSA-N 0 3 235.356 2.641 20 0 BFADHN CCC[C@H]1C[C@H]1[NH2+]Cc1cc([O-])cc(F)c1 ZINC000225362287 386189559 /nfs/dbraw/zinc/18/95/59/386189559.db2.gz VSNKTAFAIOOEPZ-GXFFZTMASA-N 0 3 223.291 2.810 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1N[C@@H]1CCCSC1 ZINC000288472088 386203965 /nfs/dbraw/zinc/20/39/65/386203965.db2.gz FWSIGMYRSHHYCE-WDMOLILDSA-N 0 3 249.379 2.994 20 0 BFADHN CSC[C@H](C)NCc1ccc(F)cc1F ZINC000124998075 386204772 /nfs/dbraw/zinc/20/47/72/386204772.db2.gz GKKUPSHRNFSYII-QMMMGPOBSA-N 0 3 231.311 2.806 20 0 BFADHN COc1nc(C)cc(C)c1CN1CCC(C)(C)C1 ZINC000347051300 386205210 /nfs/dbraw/zinc/20/52/10/386205210.db2.gz JZFVUNFDDYEMSP-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN COC[C@]1(C)CCN([C@H](C)c2ccccn2)C1 ZINC000296885779 386208870 /nfs/dbraw/zinc/20/88/70/386208870.db2.gz VFZCPLFUGIVJTI-TZMCWYRMSA-N 0 3 234.343 2.501 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN(C)CC(C)(C)O)o1 ZINC000264143092 386208949 /nfs/dbraw/zinc/20/89/49/386208949.db2.gz YXJZTPFMBKFLBN-CMPLNLGQSA-N 0 3 237.343 2.606 20 0 BFADHN CC[C@H]1CCCN(CCc2cscn2)C1 ZINC000340284782 386210171 /nfs/dbraw/zinc/21/01/71/386210171.db2.gz JYESKGXAACIEPE-NSHDSACASA-N 0 3 224.373 2.808 20 0 BFADHN CC(C)=CC(=O)Nc1ccccc1CCN(C)C ZINC000275578443 386222144 /nfs/dbraw/zinc/22/21/44/386222144.db2.gz GFDYYMIUVPEKEF-UHFFFAOYSA-N 0 3 246.354 2.695 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1N[C@@H]1CCO[C@H](C)C1 ZINC000288664352 386224727 /nfs/dbraw/zinc/22/47/27/386224727.db2.gz WAFUZDIRHKZWHL-ZAZJYDDPSA-N 0 3 247.338 2.666 20 0 BFADHN CCCCCC(=O)NCCN1CCCCCC1 ZINC000339056974 386226641 /nfs/dbraw/zinc/22/66/41/386226641.db2.gz RYWVKTMITKVVFJ-UHFFFAOYSA-N 0 3 240.391 2.559 20 0 BFADHN CCc1ncc(CN(CC)[C@H](C)C(C)C)cn1 ZINC000414383028 386228714 /nfs/dbraw/zinc/22/87/14/386228714.db2.gz QFFLPXABBOHIOZ-GFCCVEGCSA-N 0 3 235.375 2.905 20 0 BFADHN CCc1ccc(CN2CC[C@@H](C3CC3)C2)nc1 ZINC000339059092 386230050 /nfs/dbraw/zinc/23/00/50/386230050.db2.gz FKXIMHRKNYPJJM-CQSZACIVSA-N 0 3 230.355 2.876 20 0 BFADHN COc1ccncc1CN(C)[C@H]1CC[C@@H](C)C1 ZINC000347115564 386231690 /nfs/dbraw/zinc/23/16/90/386231690.db2.gz AOOGRFLMDCFBTG-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@H](NCCOC(F)(F)F)c1ccncc1 ZINC000453210808 386234386 /nfs/dbraw/zinc/23/43/86/386234386.db2.gz MJVHOZVIHBSTDM-JTQLQIEISA-N 0 3 248.248 2.659 20 0 BFADHN CSC1(CN(C)Cc2cccn2C)CCC1 ZINC000340563937 386235450 /nfs/dbraw/zinc/23/54/50/386235450.db2.gz UHTIHEMVWGXOJA-UHFFFAOYSA-N 0 3 238.400 2.743 20 0 BFADHN COc1ccc(CNCCc2ccco2)c(F)c1 ZINC000228596504 386235637 /nfs/dbraw/zinc/23/56/37/386235637.db2.gz SGUFNXBLBXVQPY-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN CCC1(CNCc2ccc(C)nn2)CCCC1 ZINC000414383197 386237074 /nfs/dbraw/zinc/23/70/74/386237074.db2.gz SWDXCBUELQEZHE-UHFFFAOYSA-N 0 3 233.359 2.845 20 0 BFADHN CCN(C(=O)[C@@H](N)C(C)C)c1cc(C)cc(C)c1 ZINC000297275016 386239286 /nfs/dbraw/zinc/23/92/86/386239286.db2.gz BAEQHESZQLDLNN-AWEZNQCLSA-N 0 3 248.370 2.640 20 0 BFADHN CCC[C@@](C)(O)CNCc1ccc(F)cc1F ZINC000226251080 386242313 /nfs/dbraw/zinc/24/23/13/386242313.db2.gz FFMCVEPIPRCZAJ-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN CCC[C@](C)(O)CNCc1cccc(F)c1F ZINC000226252168 386242430 /nfs/dbraw/zinc/24/24/30/386242430.db2.gz DRDVRRDNDXWGJO-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN CCC[C@@](C)(O)CNCc1ccc(F)c(F)c1 ZINC000226252566 386243118 /nfs/dbraw/zinc/24/31/18/386243118.db2.gz RESQKYXEBFGING-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN CC1(C)CCCN(CCc2cscn2)C1 ZINC000297399913 386250564 /nfs/dbraw/zinc/25/05/64/386250564.db2.gz FQZGNUPMVDFELN-UHFFFAOYSA-N 0 3 224.373 2.808 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1ccccc1OC ZINC000132786554 386253311 /nfs/dbraw/zinc/25/33/11/386253311.db2.gz INKFBKOWXXPQHP-NEPJUHHUSA-N 0 3 237.343 2.507 20 0 BFADHN CO[C@H](C)CN1Cc2ccccc2OC(C)(C)C1 ZINC000289042488 386254374 /nfs/dbraw/zinc/25/43/74/386254374.db2.gz PSZRFWZKQXEJGI-GFCCVEGCSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1ccc(CN(CCO)[C@H]2CC[C@@H](C)C2)o1 ZINC000339142653 386261215 /nfs/dbraw/zinc/26/12/15/386261215.db2.gz BHWQUUWQGCLSFK-YPMHNXCESA-N 0 3 237.343 2.571 20 0 BFADHN CCN(C)Cc1cnn(-c2ccccc2C)c1 ZINC000648000764 386192964 /nfs/dbraw/zinc/19/29/64/386192964.db2.gz BDHFFSANKWEXOM-UHFFFAOYSA-N 0 3 229.327 2.632 20 0 BFADHN CCCCCN(CCO)Cc1ccoc1C ZINC000414375749 386193622 /nfs/dbraw/zinc/19/36/22/386193622.db2.gz ZQBKOOOGTQCCOL-UHFFFAOYSA-N 0 3 225.332 2.573 20 0 BFADHN CSC[C@@H]1CCCN1CCc1cscn1 ZINC000296712603 386193785 /nfs/dbraw/zinc/19/37/85/386193785.db2.gz GOMBWJDDEUBUHU-NSHDSACASA-N 0 3 242.413 2.513 20 0 BFADHN CCc1ncc(CN(C)CC(C)(C)C)cn1 ZINC000414376056 386197203 /nfs/dbraw/zinc/19/72/03/386197203.db2.gz YZGYCXILWDSATP-UHFFFAOYSA-N 0 3 221.348 2.517 20 0 BFADHN CC(C)C[C@@H]1CCCN(Cc2cccnc2N)C1 ZINC000296736591 386197666 /nfs/dbraw/zinc/19/76/66/386197666.db2.gz JFWJBQYLNJBUPG-ZDUSSCGKSA-N 0 3 247.386 2.922 20 0 BFADHN CCN(CCCO)Cc1cc(F)cc(Cl)c1 ZINC000275308049 386198730 /nfs/dbraw/zinc/19/87/30/386198730.db2.gz QYYXLWBZLDFMOH-UHFFFAOYSA-N 0 3 245.725 2.683 20 0 BFADHN COC[C@@]1(C)CCN([C@@H](C)c2cccnc2)C1 ZINC000296756225 386199439 /nfs/dbraw/zinc/19/94/39/386199439.db2.gz PBCKXMJMZJHFFJ-JSGCOSHPSA-N 0 3 234.343 2.501 20 0 BFADHN CCC(O)(CC)CCNCc1ccccc1F ZINC000276201997 386284953 /nfs/dbraw/zinc/28/49/53/386284953.db2.gz IQWUWPCYZBGRPO-UHFFFAOYSA-N 0 3 239.334 2.857 20 0 BFADHN Cc1ccoc1CN(CC1CCC1)[C@@H](C)CO ZINC000289328493 386285097 /nfs/dbraw/zinc/28/50/97/386285097.db2.gz GLAFKVYXFKHFIU-LBPRGKRZSA-N 0 3 237.343 2.571 20 0 BFADHN C[C@@H](NCCOCC1CC1)c1ccccc1F ZINC000226370085 386285226 /nfs/dbraw/zinc/28/52/26/386285226.db2.gz XMLPTYUMLASVJD-LLVKDONJSA-N 0 3 237.318 2.903 20 0 BFADHN CCOCCN1CC[C@@H](C(F)(F)F)C1(C)C ZINC000336664341 386287559 /nfs/dbraw/zinc/28/75/59/386287559.db2.gz DXJIDMHLTPTILY-SECBINFHSA-N 0 3 239.281 2.686 20 0 BFADHN CC(C)C(=O)CCN1CCc2ccccc2C1 ZINC000289351422 386287905 /nfs/dbraw/zinc/28/79/05/386287905.db2.gz YBDCNVKMEGDDCI-UHFFFAOYSA-N 0 3 231.339 2.660 20 0 BFADHN C[C@@H](O)CCN[C@H](C)c1nccc2ccccc21 ZINC000289528540 386324415 /nfs/dbraw/zinc/32/44/15/386324415.db2.gz BCXDQICLJSHJBC-VXGBXAGGSA-N 0 3 244.338 2.656 20 0 BFADHN CO[C@H](C)CN[C@@H]1CCc2c1c(F)ccc2F ZINC000276252460 386289614 /nfs/dbraw/zinc/28/96/14/386289614.db2.gz WKYHBPUXNWRULA-PRHODGIISA-N 0 3 241.281 2.577 20 0 BFADHN Cc1noc(C)c1CN1C[C@H](C)C[C@H](C)C1 ZINC000069874662 386289932 /nfs/dbraw/zinc/28/99/32/386289932.db2.gz ZACDONZVMJNHCC-AOOOYVTPSA-N 0 3 222.332 2.769 20 0 BFADHN C=Cn1cc(CN2CC(C)(C)C[C@H]2C)cn1 ZINC000335263111 386292148 /nfs/dbraw/zinc/29/21/48/386292148.db2.gz SRHUFIVOUQTHFG-LLVKDONJSA-N 0 3 219.332 2.604 20 0 BFADHN Cc1occc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC000414399303 386295000 /nfs/dbraw/zinc/29/50/00/386295000.db2.gz SCNSCGSXPUKNDM-TXEJJXNPSA-N 0 3 205.301 2.676 20 0 BFADHN CNCc1nc(CC(C)(C)C2CC2)cs1 ZINC000416403077 386295965 /nfs/dbraw/zinc/29/59/65/386295965.db2.gz QQIAJBCLPBILPG-UHFFFAOYSA-N 0 3 224.373 2.841 20 0 BFADHN C[C@H](N)c1nc(CC[C@@H]2CCCCO2)cs1 ZINC000416404562 386296039 /nfs/dbraw/zinc/29/60/39/386296039.db2.gz WXNIRMOWILRHLW-ONGXEEELSA-N 0 3 240.372 2.665 20 0 BFADHN C[C@H](N)c1nc(CC[C@H]2CCCCO2)cs1 ZINC000416404558 386296147 /nfs/dbraw/zinc/29/61/47/386296147.db2.gz WXNIRMOWILRHLW-GXSJLCMTSA-N 0 3 240.372 2.665 20 0 BFADHN CCCCN(CCCO)Cc1ccc(C)o1 ZINC000289390730 386296379 /nfs/dbraw/zinc/29/63/79/386296379.db2.gz DWRLGNVMUWHABE-UHFFFAOYSA-N 0 3 225.332 2.573 20 0 BFADHN CC(C)CO[C@@H]1CCN(CCSC(C)C)C1 ZINC000336668098 386297706 /nfs/dbraw/zinc/29/77/06/386297706.db2.gz QAWAAVCZXMQBPI-CYBMUJFWSA-N 0 3 245.432 2.875 20 0 BFADHN C[C@]1(CO)CCC[C@@H]1NCc1ccc(Cl)o1 ZINC000164789551 386298098 /nfs/dbraw/zinc/29/80/98/386298098.db2.gz ICONJSGBZQMZKA-CMPLNLGQSA-N 0 3 243.734 2.574 20 0 BFADHN CCCCN[C@@H](CO)c1cccc(Cl)c1F ZINC000336730956 386299574 /nfs/dbraw/zinc/29/95/74/386299574.db2.gz IOMNPSXRBBMCCS-NSHDSACASA-N 0 3 245.725 2.902 20 0 BFADHN Fc1ccc(CN2CCCCCCC2)nc1 ZINC000276330547 386301877 /nfs/dbraw/zinc/30/18/77/386301877.db2.gz GRDLYRTYQGVISV-UHFFFAOYSA-N 0 3 222.307 2.987 20 0 BFADHN CC(C)CC[C@@H](C)NCc1cn2cccnc2n1 ZINC000298169642 386305518 /nfs/dbraw/zinc/30/55/18/386305518.db2.gz YXIRPZRQRKZXTC-GFCCVEGCSA-N 0 3 246.358 2.644 20 0 BFADHN CC(C)c1cccc(N(C)C(=O)[C@@H](N)C(C)C)c1 ZINC000236715498 386306291 /nfs/dbraw/zinc/30/62/91/386306291.db2.gz CMNLJFYZRGWMBF-AWEZNQCLSA-N 0 3 248.370 2.756 20 0 BFADHN CCOC[C@@H]1CCCN([C@H](C)c2cccnc2)C1 ZINC000265104339 386307938 /nfs/dbraw/zinc/30/79/38/386307938.db2.gz YORJERFHFNSYJL-ZIAGYGMSSA-N 0 3 248.370 2.891 20 0 BFADHN c1cncc(CN(CCC2CC2)C2CC2)c1 ZINC000336668583 386310518 /nfs/dbraw/zinc/31/05/18/386310518.db2.gz PGHJZFZPDFOCOI-UHFFFAOYSA-N 0 3 216.328 2.846 20 0 BFADHN NC(=O)[C@H]1CCCN1C[C@@H]1CCCC(F)(F)C1 ZINC000334482420 386310847 /nfs/dbraw/zinc/31/08/47/386310847.db2.gz WTQPUVDUPRTTOL-NXEZZACHSA-N 0 3 246.301 2.812 20 0 BFADHN FC(F)OCCCNCc1ccc(Cl)o1 ZINC000336731229 386311084 /nfs/dbraw/zinc/31/10/84/386311084.db2.gz KPCFXBJDAISQSJ-UHFFFAOYSA-N 0 3 239.649 2.652 20 0 BFADHN CCc1cccc(CN[C@H](C)Cn2cccn2)c1 ZINC000276377388 386311333 /nfs/dbraw/zinc/31/13/33/386311333.db2.gz PPDUATLWZJGFNF-CYBMUJFWSA-N 0 3 243.354 2.624 20 0 BFADHN CN(Cc1ccc(F)cn1)C1CCCC1 ZINC000276402326 386316125 /nfs/dbraw/zinc/31/61/25/386316125.db2.gz UPZQQEKBZCFHHZ-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN CN(Cc1ccc(F)cn1)CC1CCCC1 ZINC000276411815 386316879 /nfs/dbraw/zinc/31/68/79/386316879.db2.gz VTICRAJRYWIABS-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN Cc1c[nH]nc1CN[C@H](C)[C@H](C)c1ccccc1 ZINC000289493865 386317621 /nfs/dbraw/zinc/31/76/21/386317621.db2.gz LGNONHAKQJMAJN-QWHCGFSZSA-N 0 3 243.354 3.000 20 0 BFADHN COc1ccncc1CN1CCC[C@H](C2CC2)C1 ZINC000289522979 386323413 /nfs/dbraw/zinc/32/34/13/386323413.db2.gz VRBQVVZHMZEWSQ-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN CCOCCN1Cc2ccccc2OC(C)(C)C1 ZINC000289099227 386262805 /nfs/dbraw/zinc/26/28/05/386262805.db2.gz VFCSPHYFXSBOSW-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN CN(C/C=C\c1ccccc1)C[C@@H]1CCC[C@@H]1O ZINC000339133512 386264715 /nfs/dbraw/zinc/26/47/15/386264715.db2.gz DEVVLYWLFJJNIN-OCLYVHKGSA-N 0 3 245.366 2.793 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cnc([C@H](C)O)s1 ZINC000289140026 386265536 /nfs/dbraw/zinc/26/55/36/386265536.db2.gz PINDSJPKDKHPTE-ZJUUUORDSA-N 0 3 242.388 2.674 20 0 BFADHN CC[C@H]1CN(Cc2ccoc2C)CCS1 ZINC000414393473 386272229 /nfs/dbraw/zinc/27/22/29/386272229.db2.gz IEZSLVCYKVSUAP-LBPRGKRZSA-N 0 3 225.357 2.915 20 0 BFADHN COc1ccncc1CN1CCC[C@@H](C(C)C)C1 ZINC000347185227 386273575 /nfs/dbraw/zinc/27/35/75/386273575.db2.gz MTDZKJBZEAGCHB-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1ccc(CN(C(C)C)C2CCC2)nn1 ZINC000414394342 386274291 /nfs/dbraw/zinc/27/42/91/386274291.db2.gz IBZIGYLIRSOBOO-UHFFFAOYSA-N 0 3 219.332 2.548 20 0 BFADHN C[C@@H](CO)N(C)Cc1ccc(C(C)(C)C)s1 ZINC000289531800 386324056 /nfs/dbraw/zinc/32/40/56/386324056.db2.gz WDKLGTSBUCOMCY-JTQLQIEISA-N 0 3 241.400 2.858 20 0 BFADHN COC[C@H]1CCCN([C@@H](C)c2cccnc2)C1 ZINC000264877535 386277522 /nfs/dbraw/zinc/27/75/22/386277522.db2.gz CBQSOBNJCFUROV-STQMWFEESA-N 0 3 234.343 2.501 20 0 BFADHN C[C@@H]1C[C@@H](c2cccnc2)N(C[C@@H]2CCCO2)C1 ZINC000347250018 386278314 /nfs/dbraw/zinc/27/83/14/386278314.db2.gz ORAVUHFYTXEPKE-SNPRPXQTSA-N 0 3 246.354 2.644 20 0 BFADHN Cc1ccoc1CN(C)CC[C@H]1CCCO1 ZINC000276152595 386278621 /nfs/dbraw/zinc/27/86/21/386278621.db2.gz PJOGNQXDAODCFB-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN C[C@@H]1C[C@H](c2cccnc2)N(C[C@H]2CCCO2)C1 ZINC000347250017 386278984 /nfs/dbraw/zinc/27/89/84/386278984.db2.gz ORAVUHFYTXEPKE-BPLDGKMQSA-N 0 3 246.354 2.644 20 0 BFADHN COC(C)(C)CCN1CCc2sccc2C1 ZINC000336661999 386279102 /nfs/dbraw/zinc/27/91/02/386279102.db2.gz BSABOXADROSXCS-UHFFFAOYSA-N 0 3 239.384 2.921 20 0 BFADHN CC(C)(C)C1=CCN(C[C@H]2CCCO2)CC1 ZINC000336661664 386279172 /nfs/dbraw/zinc/27/91/72/386279172.db2.gz IARJRIYEQWUWLZ-CYBMUJFWSA-N 0 3 223.360 2.844 20 0 BFADHN COC[C@@H]1CCCN([C@H](C)c2cccnc2)C1 ZINC000264877529 386279329 /nfs/dbraw/zinc/27/93/29/386279329.db2.gz CBQSOBNJCFUROV-CHWSQXEVSA-N 0 3 234.343 2.501 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@@H](C)C2)s1 ZINC000164837519 386342680 /nfs/dbraw/zinc/34/26/80/386342680.db2.gz LRZSKJHQZJSCTJ-PSASIEDQSA-N 0 3 210.346 2.730 20 0 BFADHN CCN(CCO)[C@H](C)c1ccc(F)c(Cl)c1 ZINC000265484234 386343819 /nfs/dbraw/zinc/34/38/19/386343819.db2.gz LOVKUGDINVOPAD-SECBINFHSA-N 0 3 245.725 2.854 20 0 BFADHN Cc1cn[nH]c1CN[C@H]1CCc2cc(F)ccc21 ZINC000289697762 386344526 /nfs/dbraw/zinc/34/45/26/386344526.db2.gz BQERIYTXQSMCMN-ZDUSSCGKSA-N 0 3 245.301 2.634 20 0 BFADHN CC[C@H](CNCc1ccc(C)c(OC)c1)OC ZINC000289717612 386345978 /nfs/dbraw/zinc/34/59/78/386345978.db2.gz FXBCFAQFTKNYTK-CYBMUJFWSA-N 0 3 237.343 2.518 20 0 BFADHN CC[C@H]1CN(CCSC(C)C)C[C@@H](C)O1 ZINC000336699908 386386404 /nfs/dbraw/zinc/38/64/04/386386404.db2.gz PBPIFCXEULIMIP-NEPJUHHUSA-N 0 3 231.405 2.627 20 0 BFADHN CC[C@@H](CNCc1ccc2occc2c1)OC ZINC000289781784 386354531 /nfs/dbraw/zinc/35/45/31/386354531.db2.gz KOYVMWSCFUEOAY-ZDUSSCGKSA-N 0 3 233.311 2.947 20 0 BFADHN CC[C@@H](NCC(C)(C)O)c1ccc(F)cc1F ZINC000265632560 386355674 /nfs/dbraw/zinc/35/56/74/386355674.db2.gz FFPVZJAIETUONI-GFCCVEGCSA-N 0 3 243.297 2.776 20 0 BFADHN CO[C@](C)(CNCc1cnc(C)cc1C)C1CC1 ZINC000639238897 386357601 /nfs/dbraw/zinc/35/76/01/386357601.db2.gz DVGQBRWNMVGMPS-OAHLLOKOSA-N 0 3 248.370 2.603 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1ccc(-c2cc[nH]n2)o1 ZINC000335271861 386360903 /nfs/dbraw/zinc/36/09/03/386360903.db2.gz FUVKZQPRLYNGTK-AOOOYVTPSA-N 0 3 231.299 2.652 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1ccc(-c2cc[nH]n2)o1 ZINC000335271865 386361081 /nfs/dbraw/zinc/36/10/81/386361081.db2.gz FUVKZQPRLYNGTK-UWVGGRQHSA-N 0 3 231.299 2.652 20 0 BFADHN COC[C@@H]1CCN(c2ccnc3ccccc32)C1 ZINC000265762985 386368238 /nfs/dbraw/zinc/36/82/38/386368238.db2.gz BLVZBOWYXDTTOG-GFCCVEGCSA-N 0 3 242.322 2.708 20 0 BFADHN c1ccc(C[C@H]2CCN2CCOCC2CC2)cc1 ZINC000336694215 386368884 /nfs/dbraw/zinc/36/88/84/386368884.db2.gz DMESHRLSOCGNOL-MRXNPFEDSA-N 0 3 245.366 2.730 20 0 BFADHN C[C@@H](CCC1CC1)NCc1ncccc1N(C)C ZINC000289888366 386368962 /nfs/dbraw/zinc/36/89/62/386368962.db2.gz SKOFQKVAYYVSNC-LBPRGKRZSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@@]1(CNCc2sccc2Cl)CCOC1 ZINC000336774797 386369578 /nfs/dbraw/zinc/36/95/78/386369578.db2.gz ZTLAFHZTGNCTEY-NSHDSACASA-N 0 3 245.775 2.918 20 0 BFADHN CCOc1ccccc1CN1CC[C@H](COC)C1 ZINC000265815567 386372059 /nfs/dbraw/zinc/37/20/59/386372059.db2.gz QWTFRNFULSGDRC-ZDUSSCGKSA-N 0 3 249.354 2.554 20 0 BFADHN CN(C)c1cccnc1CNC[C@H]1CC=CCC1 ZINC000289940469 386374446 /nfs/dbraw/zinc/37/44/46/386374446.db2.gz WSVMSWQZBYKCTJ-ZDUSSCGKSA-N 0 3 245.370 2.594 20 0 BFADHN C[C@H](NCc1cccc(N)c1)c1cccnc1 ZINC000647970411 386375271 /nfs/dbraw/zinc/37/52/71/386375271.db2.gz PKFZITPGNABLNK-NSHDSACASA-N 0 3 227.311 2.515 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@H]1C1CCC1 ZINC000453303189 386376258 /nfs/dbraw/zinc/37/62/58/386376258.db2.gz AHWUXGSZSWLKLC-QWHCGFSZSA-N 0 3 233.359 2.571 20 0 BFADHN Cc1cccc(CN2CC[C@@](C)(F)C2)c1 ZINC000347389519 386376367 /nfs/dbraw/zinc/37/63/67/386376367.db2.gz RZDCGJLNQGUMAQ-CYBMUJFWSA-N 0 3 207.292 2.929 20 0 BFADHN CCC[C@@H](NCC1=CCOCC1)c1ccccn1 ZINC000453301072 386379139 /nfs/dbraw/zinc/37/91/39/386379139.db2.gz XXKWTYPRHBSOIU-CQSZACIVSA-N 0 3 246.354 2.859 20 0 BFADHN CO[C@H](C)CCNCc1ncc(C(C)C)s1 ZINC000336775152 386381715 /nfs/dbraw/zinc/38/17/15/386381715.db2.gz YEYYPLNSPVDIPN-SNVBAGLBSA-N 0 3 242.388 2.781 20 0 BFADHN CCc1nocc1CN[C@@H](C)[C@@H](C)CC ZINC000647970475 386381633 /nfs/dbraw/zinc/38/16/33/386381633.db2.gz RJLUWWZXFKTRFV-UWVGGRQHSA-N 0 3 210.321 2.761 20 0 BFADHN COCC[C@H](C)N[C@@H]1c2ccccc2O[C@@H]1C ZINC000290007914 386383630 /nfs/dbraw/zinc/38/36/30/386383630.db2.gz VSLBHWWGXOVDNP-WDMOLILDSA-N 0 3 235.327 2.523 20 0 BFADHN COCC[C@H](C)N[C@H]1c2ccccc2O[C@H]1C ZINC000290007911 386383790 /nfs/dbraw/zinc/38/37/90/386383790.db2.gz VSLBHWWGXOVDNP-COPLHBTASA-N 0 3 235.327 2.523 20 0 BFADHN COc1ncccc1CCN[C@@H](C)c1ccco1 ZINC000290022561 386384769 /nfs/dbraw/zinc/38/47/69/386384769.db2.gz CIXZVKCPKNNQKI-NSHDSACASA-N 0 3 246.310 2.577 20 0 BFADHN CC(C)CCNC(=O)[C@@H](C)N(C)CC(C)(C)C ZINC000339255992 386328865 /nfs/dbraw/zinc/32/88/65/386328865.db2.gz CDSXUFZIQWHOLJ-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1oc2ccccc2c1CN(C)[C@H](C)CO ZINC000289574095 386330269 /nfs/dbraw/zinc/33/02/69/386330269.db2.gz ZYRZEMIQVWAWMU-SNVBAGLBSA-N 0 3 233.311 2.554 20 0 BFADHN CN(Cc1cccc2c1OCCO2)CC(C)(C)C ZINC000339257479 386331348 /nfs/dbraw/zinc/33/13/48/386331348.db2.gz SMXZIWJWHIRCFX-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN CN(CCCc1ccncc1)Cc1ccco1 ZINC000347336699 386333971 /nfs/dbraw/zinc/33/39/71/386333971.db2.gz FXBQKICBZSMSQI-UHFFFAOYSA-N 0 3 230.311 2.739 20 0 BFADHN CCc1ncc(CN(C)[C@@H]2CC[C@H](C)C2)cn1 ZINC000414432960 386436955 /nfs/dbraw/zinc/43/69/55/386436955.db2.gz JUGKDGLTDICRFK-WCQYABFASA-N 0 3 233.359 2.659 20 0 BFADHN CC(C)[C@H](O)CNCc1cc2ccccc2o1 ZINC000134697651 386440367 /nfs/dbraw/zinc/44/03/67/386440367.db2.gz ZOCUEJGFDSOMGR-CYBMUJFWSA-N 0 3 233.311 2.539 20 0 BFADHN Cc1nn(C)cc1CNC1CC(C(C)(C)C)C1 ZINC000339466516 386441252 /nfs/dbraw/zinc/44/12/52/386441252.db2.gz LXZRZSJNVPEUQT-UHFFFAOYSA-N 0 3 235.375 2.643 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cncs1 ZINC000290486707 386443767 /nfs/dbraw/zinc/44/37/67/386443767.db2.gz OHUWLQKYLMYUJD-ZJUUUORDSA-N 0 3 210.346 2.906 20 0 BFADHN Cc1cc(CN[C@@H](C)C2CCCCC2)nn1C ZINC000347569560 386452757 /nfs/dbraw/zinc/45/27/57/386452757.db2.gz UCHFAJXKCDHSJZ-LBPRGKRZSA-N 0 3 235.375 2.787 20 0 BFADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1cncs1 ZINC000336754257 386445394 /nfs/dbraw/zinc/44/53/94/386445394.db2.gz WWDHBBGRNVCRMC-UWVGGRQHSA-N 0 3 240.372 2.751 20 0 BFADHN C[C@@H]1C[C@H](Nc2ccncc2Cl)CCN1C ZINC000094267087 386447236 /nfs/dbraw/zinc/44/72/36/386447236.db2.gz CVMYRFYAVBZSJI-NXEZZACHSA-N 0 3 239.750 2.630 20 0 BFADHN CCN(C[C@@H](O)c1cccc(Cl)c1)C1CC1 ZINC000266520132 386447335 /nfs/dbraw/zinc/44/73/35/386447335.db2.gz ZNTJZDYLIRYIRA-CYBMUJFWSA-N 0 3 239.746 2.858 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N(C)CCOC ZINC000299403419 386449458 /nfs/dbraw/zinc/44/94/58/386449458.db2.gz WMTGTHRHDJRGFX-CYBMUJFWSA-N 0 3 225.307 2.855 20 0 BFADHN C[C@@H](F)CCNCc1ccnn1-c1ccccc1 ZINC000339488641 386450776 /nfs/dbraw/zinc/45/07/76/386450776.db2.gz DUGXFWORQFCDLD-GFCCVEGCSA-N 0 3 247.317 2.710 20 0 BFADHN C[C@@H](F)CCNCc1nc(-c2ccccc2)c[nH]1 ZINC000339489681 386450917 /nfs/dbraw/zinc/45/09/17/386450917.db2.gz SHHVUWWAWSNDOF-LLVKDONJSA-N 0 3 247.317 2.914 20 0 BFADHN Cc1ccc(CN(C)CC[C@@H]2CCCCO2)o1 ZINC000347400398 386388154 /nfs/dbraw/zinc/38/81/54/386388154.db2.gz OTBYPBHQXUJEFE-ZDUSSCGKSA-N 0 3 237.343 2.979 20 0 BFADHN CCC1(CNCc2nc3ccccc3n2C)CC1 ZINC000226784677 386390626 /nfs/dbraw/zinc/39/06/26/386390626.db2.gz UKSQFWJMCDQIFJ-UHFFFAOYSA-N 0 3 243.354 2.853 20 0 BFADHN C[C@@H](NCCC(C)(C)O)c1ccc(Cl)nc1 ZINC000274760829 386396475 /nfs/dbraw/zinc/39/64/75/386396475.db2.gz DPNDJQBDVFKZAV-SECBINFHSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](F)CCNCc1cc2ccccc2[nH]c1=O ZINC000339499476 386451310 /nfs/dbraw/zinc/45/13/10/386451310.db2.gz ZHUOOGCSJWUNFC-JTQLQIEISA-N 0 3 248.301 2.778 20 0 BFADHN CCC[C@]1(CO)CCN(Cc2ccsc2)C1 ZINC000290176808 386400696 /nfs/dbraw/zinc/40/06/96/386400696.db2.gz NKCIZGGNAHACBW-ZDUSSCGKSA-N 0 3 239.384 2.733 20 0 BFADHN CC(C)N(Cc1ccco1)C[C@@H](O)C(C)(C)C ZINC000290156965 386401227 /nfs/dbraw/zinc/40/12/27/386401227.db2.gz CZZMPNVGMLXNRR-CYBMUJFWSA-N 0 3 239.359 2.897 20 0 BFADHN C[C@@H](O)[C@H]1CCN(Cc2ccc3occc3c2)C1 ZINC000290191817 386404378 /nfs/dbraw/zinc/40/43/78/386404378.db2.gz CFDNASLCNVTPHY-RISCZKNCSA-N 0 3 245.322 2.636 20 0 BFADHN c1coc(CN2CCC[C@H](C3CC3)C2)c1 ZINC000290266963 386412568 /nfs/dbraw/zinc/41/25/68/386412568.db2.gz XIIHWWIKEPVYIM-LBPRGKRZSA-N 0 3 205.301 2.902 20 0 BFADHN CC1(C)SC[C@H]1NCc1cccs1 ZINC000282623827 386412898 /nfs/dbraw/zinc/41/28/98/386412898.db2.gz FSNAXQWEHKQPGT-SECBINFHSA-N 0 3 213.371 2.732 20 0 BFADHN Cc1ccoc1CNC[C@@H](O)c1ccc(C)cc1 ZINC000266223297 386412894 /nfs/dbraw/zinc/41/28/94/386412894.db2.gz RTQDTLJJSZELKG-CQSZACIVSA-N 0 3 245.322 2.720 20 0 BFADHN C[C@H](NCc1cscn1)C1CCSCC1 ZINC000187122443 386413719 /nfs/dbraw/zinc/41/37/19/386413719.db2.gz KXZBZKWEAAHKSQ-VIFPVBQESA-N 0 3 242.413 2.764 20 0 BFADHN CCC[C@H](N)C(=O)N(CC(C)C)C(CC)CC ZINC000093310658 386414079 /nfs/dbraw/zinc/41/40/79/386414079.db2.gz BMDIENADZVUKBT-ZDUSSCGKSA-N 0 3 242.407 2.787 20 0 BFADHN CC1(C)SC[C@@H]1NCc1ccccc1 ZINC000282948779 386416580 /nfs/dbraw/zinc/41/65/80/386416580.db2.gz XQKHIDAPPQRVQZ-NSHDSACASA-N 0 3 207.342 2.670 20 0 BFADHN C[C@H](O)CCCN1C[C@H](C)[C@H]1c1ccccc1 ZINC000336709211 386418540 /nfs/dbraw/zinc/41/85/40/386418540.db2.gz MKMHWVRQZXNREY-YDHLFZDLSA-N 0 3 233.355 2.840 20 0 BFADHN CC[C@H](CSC)N(C)Cc1cccn1C ZINC000290318777 386420215 /nfs/dbraw/zinc/42/02/15/386420215.db2.gz CTYBFURPTFUMLH-LLVKDONJSA-N 0 3 226.389 2.599 20 0 BFADHN C/C(Cl)=C\CN1CCC(c2ncc[nH]2)CC1 ZINC000528392945 386420729 /nfs/dbraw/zinc/42/07/29/386420729.db2.gz FKISYZIKJOEPBW-WTDSWWLTSA-N 0 3 239.750 2.732 20 0 BFADHN c1cncc([C@@H]2CCCN2CCOCC2CC2)c1 ZINC000336709656 386423310 /nfs/dbraw/zinc/42/33/10/386423310.db2.gz MGSVKIBNBLMLQF-HNNXBMFYSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@@H]1C[C@H](NCC2(C)OCCO2)c2ccccc21 ZINC000290358894 386423596 /nfs/dbraw/zinc/42/35/96/386423596.db2.gz QQTHHQAXGQKTAN-RISCZKNCSA-N 0 3 247.338 2.588 20 0 BFADHN COc1ccc(CN(C)CCC(=O)C(C)C)cc1 ZINC000290383032 386426537 /nfs/dbraw/zinc/42/65/37/386426537.db2.gz RWVPCBMJNGCFFF-UHFFFAOYSA-N 0 3 249.354 2.742 20 0 BFADHN CCOc1ccc(CN(CC)CCOC)cc1 ZINC000299216543 386427138 /nfs/dbraw/zinc/42/71/38/386427138.db2.gz WYLGQTJMNXOCJH-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN Cc1c[nH]nc1CN(C)C[C@H](C)c1ccccc1 ZINC000290404638 386428778 /nfs/dbraw/zinc/42/87/78/386428778.db2.gz KQXRHGSQFTVWHD-ZDUSSCGKSA-N 0 3 243.354 2.954 20 0 BFADHN CC(C)Cn1cc(CNC2(C(C)C)CC2)cn1 ZINC000339501552 386452257 /nfs/dbraw/zinc/45/22/57/386452257.db2.gz IRZKLWRWOUYRAV-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cc(C)n(C)n1 ZINC000336712743 386430777 /nfs/dbraw/zinc/43/07/77/386430777.db2.gz OSWANOVQAUOEQE-CQSZACIVSA-N 0 3 235.375 2.883 20 0 BFADHN Cc1cn[nH]c1CN(C)[C@@H](C)c1cccs1 ZINC000290426305 386433447 /nfs/dbraw/zinc/43/34/47/386433447.db2.gz MPZNNALHXCQLSW-JTQLQIEISA-N 0 3 235.356 2.973 20 0 BFADHN Cc1cn[nH]c1CN(C)[C@H](C)c1cccs1 ZINC000290426308 386433947 /nfs/dbraw/zinc/43/39/47/386433947.db2.gz MPZNNALHXCQLSW-SNVBAGLBSA-N 0 3 235.356 2.973 20 0 BFADHN CCCCC[C@H]1CCCN1Cc1ccnn1C ZINC000347476196 386434809 /nfs/dbraw/zinc/43/48/09/386434809.db2.gz JCQSEJAGYTXGBW-ZDUSSCGKSA-N 0 3 235.375 2.965 20 0 BFADHN CSCCNCc1coc2ccccc12 ZINC000087780799 386467492 /nfs/dbraw/zinc/46/74/92/386467492.db2.gz QKAIIYXLPHBVRO-UHFFFAOYSA-N 0 3 221.325 2.885 20 0 BFADHN FC(F)(F)CCN1CCC(c2ncc[nH]2)CC1 ZINC000266806094 386469653 /nfs/dbraw/zinc/46/96/53/386469653.db2.gz ZWLYOTGQEYFDMP-UHFFFAOYSA-N 0 3 247.264 2.542 20 0 BFADHN CC(C)[C@@H](N[C@H](C)c1ccco1)C(C)(C)O ZINC000336735948 386470030 /nfs/dbraw/zinc/47/00/30/386470030.db2.gz IKOJIXDYNXVAJW-ZYHUDNBSSA-N 0 3 225.332 2.726 20 0 BFADHN Cc1ccc(F)c(CN[C@@H](C)Cn2cccn2)c1 ZINC000227283453 386474143 /nfs/dbraw/zinc/47/41/43/386474143.db2.gz YYAOJBJUFZALCR-LBPRGKRZSA-N 0 3 247.317 2.509 20 0 BFADHN Cc1ccc(CN(CC2CC2)C2CCC2)nn1 ZINC000414443003 386483341 /nfs/dbraw/zinc/48/33/41/386483341.db2.gz HRXFGHCMACWPML-UHFFFAOYSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1cnn([C@H]2CCN(Cc3ccsc3)C2)c1 ZINC000290929831 386488598 /nfs/dbraw/zinc/48/85/98/386488598.db2.gz OVYRXRPSBUHMCY-ZDUSSCGKSA-N 0 3 247.367 2.700 20 0 BFADHN Cn1ccc(CN[C@H]2CCc3c2cccc3F)c1 ZINC000267043673 386490009 /nfs/dbraw/zinc/49/00/09/386490009.db2.gz DACXQQZRAPKZAQ-HNNXBMFYSA-N 0 3 244.313 2.941 20 0 BFADHN C[C@@H](N[C@H]1C[C@@](C)(O)C1)c1cc(F)cc(F)c1 ZINC000417357306 386492521 /nfs/dbraw/zinc/49/25/21/386492521.db2.gz RDNJSEBSLIMBHL-WQHBLYJGSA-N 0 3 241.281 2.529 20 0 BFADHN C[C@H](N[C@H]1C[C@@](C)(O)C1)c1ccc(F)cc1F ZINC000417355555 386493259 /nfs/dbraw/zinc/49/32/59/386493259.db2.gz OCVWJUQIPLZZLU-GMOODISLSA-N 0 3 241.281 2.529 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC[C@@H](C)[C@@H]2C)nn1 ZINC000414446108 386494626 /nfs/dbraw/zinc/49/46/26/386494626.db2.gz NULVOOGMALSNAW-XBFCOCLRSA-N 0 3 247.386 2.947 20 0 BFADHN C=Cn1cc(CN(C)[C@H](C)CCC)cn1 ZINC000278139942 386495112 /nfs/dbraw/zinc/49/51/12/386495112.db2.gz ARCQKQCONIACRQ-LLVKDONJSA-N 0 3 207.321 2.604 20 0 BFADHN CCN(CC)Cc1ccc(C(F)(F)F)cn1 ZINC000278174468 386497627 /nfs/dbraw/zinc/49/76/27/386497627.db2.gz QJJIYQFTJIEXLP-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN Cc1cc(C)cc(CNCc2ccn(C)c2)c1 ZINC000267118118 386498564 /nfs/dbraw/zinc/49/85/64/386498564.db2.gz SRZDAJYTVGKAOE-UHFFFAOYSA-N 0 3 228.339 2.932 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)[C@H](C)C2)cc1 ZINC000339632444 386500150 /nfs/dbraw/zinc/50/01/50/386500150.db2.gz YWFMBNYVOYRLQS-ZIAGYGMSSA-N 0 3 232.371 2.519 20 0 BFADHN C[C@@H](NCCF)[C@@H]1C[C@H]1c1cccs1 ZINC000417332127 386500929 /nfs/dbraw/zinc/50/09/29/386500929.db2.gz NJVCVQYDMGHRKU-KXUCPTDWSA-N 0 3 213.321 2.799 20 0 BFADHN CCOCCN[C@H]1CCCc2sccc21 ZINC000061325880 386504800 /nfs/dbraw/zinc/50/48/00/386504800.db2.gz UZMFXZOJTFVTJS-NSHDSACASA-N 0 3 225.357 2.752 20 0 BFADHN CCN(CCO)[C@H](C)c1cccc(Cl)c1 ZINC000267165071 386505026 /nfs/dbraw/zinc/50/50/26/386505026.db2.gz RUIUNIJRBAYAHK-SNVBAGLBSA-N 0 3 227.735 2.715 20 0 BFADHN CO[C@]1(C)CCCN(CCC(F)(F)F)CC1 ZINC000366451314 386506993 /nfs/dbraw/zinc/50/69/93/386506993.db2.gz BOVFZLPWKQZHTJ-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)co1 ZINC000647971397 386507762 /nfs/dbraw/zinc/50/77/62/386507762.db2.gz FFRFHPHIKSSPLF-OUJBWJOFSA-N 0 3 222.332 2.897 20 0 BFADHN CO[C@@](C)(CN[C@@H](C)c1cc(C)ccn1)C1CC1 ZINC000291070607 386508939 /nfs/dbraw/zinc/50/89/39/386508939.db2.gz BBSUNDWQBFGNOU-WFASDCNBSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2ccncc2F)C1 ZINC000335446167 386511286 /nfs/dbraw/zinc/51/12/86/386511286.db2.gz ZEYRFMZTBLRGOB-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN COC(=O)c1coc([C@H](C)NCC(C)(C)C)c1 ZINC000278343407 386513524 /nfs/dbraw/zinc/51/35/24/386513524.db2.gz XYKGQYGYNUZOHK-VIFPVBQESA-N 0 3 239.315 2.763 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@H](CC3CC3)C2)cn1 ZINC000339672150 386514690 /nfs/dbraw/zinc/51/46/90/386514690.db2.gz GJAWYYUDYAUYNY-GXTWGEPZSA-N 0 3 245.370 2.968 20 0 BFADHN COc1ncccc1CN1CC[C@@H](CC2CC2)C1 ZINC000339671937 386515497 /nfs/dbraw/zinc/51/54/97/386515497.db2.gz JVAYPRGWXHOAPN-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1nc(F)ccc1CN(C(C)C)C1CC1 ZINC000278378485 386516545 /nfs/dbraw/zinc/51/65/45/386516545.db2.gz CMOREVSHNJNXCT-UHFFFAOYSA-N 0 3 222.307 2.902 20 0 BFADHN FCC1(NC2Cc3ccccc3C2)CCC1 ZINC000335449556 386519241 /nfs/dbraw/zinc/51/92/41/386519241.db2.gz NWRBQSYGJFZRIB-UHFFFAOYSA-N 0 3 219.303 2.636 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1ccc(Cl)s1 ZINC000134018128 386520052 /nfs/dbraw/zinc/52/00/52/386520052.db2.gz VEYDHVPRBOFNJY-IONNQARKSA-N 0 3 231.748 2.669 20 0 BFADHN CC(C)CN(C)Cc1ccnn1CC1CCC1 ZINC000637892519 386520158 /nfs/dbraw/zinc/52/01/58/386520158.db2.gz BRTUSOFXCJMCTR-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN CCc1nocc1CN[C@@H]1CCCC1(C)C ZINC000647972375 386520611 /nfs/dbraw/zinc/52/06/11/386520611.db2.gz ZXNYACHBHBKVKO-GFCCVEGCSA-N 0 3 222.332 2.905 20 0 BFADHN CCCc1cc(NCCCO)c2ccccc2n1 ZINC000122851524 386522590 /nfs/dbraw/zinc/52/25/90/386522590.db2.gz MLDHXBCQXAVUDD-UHFFFAOYSA-N 0 3 244.338 2.982 20 0 BFADHN CCc1cccc(CNCCOCC(F)F)c1 ZINC000519644732 386522890 /nfs/dbraw/zinc/52/28/90/386522890.db2.gz CHSUKOOHCGTLJX-UHFFFAOYSA-N 0 3 243.297 2.620 20 0 BFADHN CCCc1cc(N(C)CCO)c2ccccc2n1 ZINC000122834439 386523250 /nfs/dbraw/zinc/52/32/50/386523250.db2.gz AJELIDKDDSWXHJ-UHFFFAOYSA-N 0 3 244.338 2.616 20 0 BFADHN C[C@H](O)CCCNCc1ccc(Cl)c(F)c1 ZINC000227963787 386525352 /nfs/dbraw/zinc/52/53/52/386525352.db2.gz YYQGINVBGOQNDW-VIFPVBQESA-N 0 3 245.725 2.730 20 0 BFADHN C[C@@H](O)CCCNCc1ccc(F)c(Cl)c1 ZINC000227959007 386526937 /nfs/dbraw/zinc/52/69/37/386526937.db2.gz ABNIYPLQNQCWAX-SECBINFHSA-N 0 3 245.725 2.730 20 0 BFADHN C[C@H](O)CCCNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000227962017 386526994 /nfs/dbraw/zinc/52/69/94/386526994.db2.gz QRWJWZRXBMKYRC-GVXVVHGQSA-N 0 3 237.343 2.654 20 0 BFADHN CO[C@](C)(CN[C@@H](C)c1cncc(C)c1)C1CC1 ZINC000291234609 386527219 /nfs/dbraw/zinc/52/72/19/386527219.db2.gz OHCNRRFQLMSNMK-SWLSCSKDSA-N 0 3 248.370 2.856 20 0 BFADHN Cc1ccc([C@@H]2C[C@@H](C)CCN2CCCO)o1 ZINC000266564600 386453409 /nfs/dbraw/zinc/45/34/09/386453409.db2.gz NYINMEVHRFLODI-AAEUAGOBSA-N 0 3 237.343 2.743 20 0 BFADHN COc1cc(C)nc(CN2CC[C@H](C)[C@H](C)C2)c1 ZINC000347563432 386453410 /nfs/dbraw/zinc/45/34/10/386453410.db2.gz IYGSZBOBAYHEAR-NWDGAFQWSA-N 0 3 248.370 2.877 20 0 BFADHN C[C@@H](NCc1ccc2c(n1)CCCC2)C1CC1 ZINC000277641086 386455647 /nfs/dbraw/zinc/45/56/47/386455647.db2.gz DJRXDRYKKNNGTK-LLVKDONJSA-N 0 3 230.355 2.849 20 0 BFADHN Cc1ccoc1CNC[C@H](CCO)CC(C)C ZINC000266641413 386458159 /nfs/dbraw/zinc/45/81/59/386458159.db2.gz UHPOVGVGXOYHTB-CYBMUJFWSA-N 0 3 239.359 2.722 20 0 BFADHN CCSCC[C@@H](C)N(C)Cc1cc(C)no1 ZINC000266648177 386458423 /nfs/dbraw/zinc/45/84/23/386458423.db2.gz XEOVBYMWJYEMNC-LLVKDONJSA-N 0 3 242.388 2.947 20 0 BFADHN CCc1ncc(CN2CCC[C@H](C3CC3)C2)cn1 ZINC000414438140 386460091 /nfs/dbraw/zinc/46/00/91/386460091.db2.gz DBJVBPNXGKTXFX-AWEZNQCLSA-N 0 3 245.370 2.661 20 0 BFADHN CC[C@H](CSC)N(C)Cc1cccc(O)c1 ZINC000290610929 386460219 /nfs/dbraw/zinc/46/02/19/386460219.db2.gz WMVBXBAWJFGEKQ-GFCCVEGCSA-N 0 3 239.384 2.966 20 0 BFADHN Cc1cc(CNC(C2CCC2)C2CCC2)nn1C ZINC000414438971 386463949 /nfs/dbraw/zinc/46/39/49/386463949.db2.gz JRTOLRHTBOJPJM-UHFFFAOYSA-N 0 3 247.386 2.787 20 0 BFADHN CCN(CCOC)Cc1cc2ccccc2o1 ZINC000267717619 386575458 /nfs/dbraw/zinc/57/54/58/386575458.db2.gz XZYGFPHCWOASSN-UHFFFAOYSA-N 0 3 233.311 2.901 20 0 BFADHN CCC[C@H](C)N(C)Cc1ccc(OC)nc1 ZINC000267924079 386598138 /nfs/dbraw/zinc/59/81/38/386598138.db2.gz JVLHIFQMQQHFJR-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN Cc1cc(CN2CCC[C@H]2CC(C)C)n(C)n1 ZINC000417700122 386577160 /nfs/dbraw/zinc/57/71/60/386577160.db2.gz GJPHVZKYYLGWCG-ZDUSSCGKSA-N 0 3 235.375 2.739 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@H](C)c1cc(C)oc1C ZINC000278755652 386578090 /nfs/dbraw/zinc/57/80/90/386578090.db2.gz OCIUASGOMWKDFL-QJSMKMSWSA-N 0 3 237.343 2.874 20 0 BFADHN Cc1cc(CN[C@H](C)CC(C)(C)C)nn1C ZINC000414457282 386579201 /nfs/dbraw/zinc/57/92/01/386579201.db2.gz IJTDERKEAUKUEL-SNVBAGLBSA-N 0 3 223.364 2.643 20 0 BFADHN C[C@H](NC1CC1)c1ccc(Br)nc1 ZINC000289851417 386579620 /nfs/dbraw/zinc/57/96/20/386579620.db2.gz RCZLEGQPSODHJN-ZETCQYMHSA-N 0 3 241.132 2.657 20 0 BFADHN C[C@@H](NC[C@@H]1CCSC1)c1ccc(F)cn1 ZINC000228555280 386583097 /nfs/dbraw/zinc/58/30/97/386583097.db2.gz YIIINZQEVQGRHK-ZJUUUORDSA-N 0 3 240.347 2.624 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1ccc(C)nn1 ZINC000414460595 386594763 /nfs/dbraw/zinc/59/47/63/386594763.db2.gz CPXAAFXRCNIXBS-STQMWFEESA-N 0 3 233.359 2.701 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1ccc(C)nn1 ZINC000414460593 386595241 /nfs/dbraw/zinc/59/52/41/386595241.db2.gz CPXAAFXRCNIXBS-OLZOCXBDSA-N 0 3 233.359 2.701 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)nn1C ZINC000339712489 386530697 /nfs/dbraw/zinc/53/06/97/386530697.db2.gz RYCUJTKKFCKFER-KGLIPLIRSA-N 0 3 247.386 2.739 20 0 BFADHN CCO[C@H]1CCN(Cc2ccnc(C)c2)C[C@@H]1C ZINC000621300039 386535020 /nfs/dbraw/zinc/53/50/20/386535020.db2.gz ZQCSESGEQVJVIF-WFASDCNBSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@@H](O)CCCN[C@H](C)c1cc(F)ccc1F ZINC000228036214 386535621 /nfs/dbraw/zinc/53/56/21/386535621.db2.gz GBTGLXBCUDRYLS-NXEZZACHSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@H](O)CCCN[C@@H](C)c1cc(F)ccc1F ZINC000228036204 386535682 /nfs/dbraw/zinc/53/56/82/386535682.db2.gz GBTGLXBCUDRYLS-UWVGGRQHSA-N 0 3 243.297 2.776 20 0 BFADHN CCC[C@H](NC[C@@H](O)C1CCC1)c1cccnc1 ZINC000453387202 386540750 /nfs/dbraw/zinc/54/07/50/386540750.db2.gz PMGPAHXZRYUVLG-LSDHHAIUSA-N 0 3 248.370 2.673 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H](C)c1ccccn1 ZINC000336733450 386541994 /nfs/dbraw/zinc/54/19/94/386541994.db2.gz MKQFCLPHRUADSY-MVWJERBFSA-N 0 3 222.357 2.626 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc(SC)s1 ZINC000336755742 386547269 /nfs/dbraw/zinc/54/72/69/386547269.db2.gz IGEZNVMNCBJWQW-RKDXNWHRSA-N 0 3 245.413 2.983 20 0 BFADHN CCn1cc(CN(C)CC23CCC(CC2)C3)cn1 ZINC000519744239 386549174 /nfs/dbraw/zinc/54/91/74/386549174.db2.gz MCLOPHOAZBPSOI-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1ncc(CNCCCc2ccccc2)cn1 ZINC000336955071 386560641 /nfs/dbraw/zinc/56/06/41/386560641.db2.gz USKRNSQAVAWFSR-UHFFFAOYSA-N 0 3 241.338 2.507 20 0 BFADHN Cc1cnc(CNC[C@@H]2CC[C@H](C)C2)s1 ZINC000336757192 386597628 /nfs/dbraw/zinc/59/76/28/386597628.db2.gz JWFAPVXFIFFGAC-GXSJLCMTSA-N 0 3 224.373 2.977 20 0 BFADHN CCCCN(C)Cc1cncn1C(C)C ZINC000425325015 386561740 /nfs/dbraw/zinc/56/17/40/386561740.db2.gz NOIPYNRJECDFJA-UHFFFAOYSA-N 0 3 209.337 2.696 20 0 BFADHN C[C@H](O)CN(C)[C@@H](C)c1cccc(Cl)c1 ZINC000062016058 386561683 /nfs/dbraw/zinc/56/16/83/386561683.db2.gz UUOBLMSJTAKKEV-UWVGGRQHSA-N 0 3 227.735 2.714 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1cccc2[nH]ccc21 ZINC000442724149 386565888 /nfs/dbraw/zinc/56/58/88/386565888.db2.gz QVKKJQFHQMVUQU-LLVKDONJSA-N 0 3 245.326 2.624 20 0 BFADHN CC[C@@H](C)CN1CCC[C@@H]1c1cc[nH]n1 ZINC000278685873 386567572 /nfs/dbraw/zinc/56/75/72/386567572.db2.gz RYUYFRJUZJVTGI-ZYHUDNBSSA-N 0 3 207.321 2.593 20 0 BFADHN COC(=O)c1coc([C@@H](C)NCC2CCC2)c1 ZINC000278686072 386567989 /nfs/dbraw/zinc/56/79/89/386567989.db2.gz KCHGXIASZRYJKX-SECBINFHSA-N 0 3 237.299 2.517 20 0 BFADHN CCc1ncc(CN2CC[C@H](CC3CC3)C2)cn1 ZINC000414455524 386572622 /nfs/dbraw/zinc/57/26/22/386572622.db2.gz HPXBTRRXNBXIOS-CYBMUJFWSA-N 0 3 245.370 2.661 20 0 BFADHN CC[C@@H](COc1cc(C)nc(C)c1C)OC ZINC000520252858 386620141 /nfs/dbraw/zinc/62/01/41/386620141.db2.gz IVUBETYOSHGVLD-LBPRGKRZSA-N 0 3 223.316 2.811 20 0 BFADHN CCc1ncc(CN[C@@H]2C[C@@]2(CC)C(C)C)cn1 ZINC000414465410 386621686 /nfs/dbraw/zinc/62/16/86/386621686.db2.gz XIMHEBMXIZZKBC-HIFRSBDPSA-N 0 3 247.386 2.953 20 0 BFADHN CCc1ncc(CN[C@H]2C[C@@]2(CC)C(C)C)cn1 ZINC000414465412 386622439 /nfs/dbraw/zinc/62/24/39/386622439.db2.gz XIMHEBMXIZZKBC-ZFWWWQNUSA-N 0 3 247.386 2.953 20 0 BFADHN CC(C)c1nnc([C@@H](C)N[C@@H]2CCC23CCC3)[nH]1 ZINC000328815302 386622496 /nfs/dbraw/zinc/62/24/96/386622496.db2.gz NOVJTTRWFOYZHL-GHMZBOCLSA-N 0 3 248.374 2.911 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+][C@@H]2CCC23CCC3)[n-]1 ZINC000328815302 386622499 /nfs/dbraw/zinc/62/24/99/386622499.db2.gz NOVJTTRWFOYZHL-GHMZBOCLSA-N 0 3 248.374 2.911 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CSC[C@@H]2C)c1 ZINC000336781953 386622842 /nfs/dbraw/zinc/62/28/42/386622842.db2.gz WELTYUSEYHJVCE-GXFFZTMASA-N 0 3 239.359 2.975 20 0 BFADHN Cc1cc(CN([C@@H](C)C2CC2)C2CC2)ncn1 ZINC000292137262 386623636 /nfs/dbraw/zinc/62/36/36/386623636.db2.gz HPMJNOYXMKVMFO-NSHDSACASA-N 0 3 231.343 2.548 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CSC[C@H]2C)c1 ZINC000336781954 386624723 /nfs/dbraw/zinc/62/47/23/386624723.db2.gz WELTYUSEYHJVCE-MFKMUULPSA-N 0 3 239.359 2.975 20 0 BFADHN C[C@@H]1CN(Cc2cnns2)[C@@H]2CCCC[C@@H]21 ZINC000450711935 386625871 /nfs/dbraw/zinc/62/58/71/386625871.db2.gz FFVZPCKOMLXEPM-YUSALJHKSA-N 0 3 237.372 2.549 20 0 BFADHN C(CN1CCOC[C@@H]1CC1CCC1)C1CC1 ZINC000339779666 386629006 /nfs/dbraw/zinc/62/90/06/386629006.db2.gz WYFNKNAWHSAPCJ-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN Cc1oncc1CN1CC[C@H](C2CCCC2)C1 ZINC000335502206 386629491 /nfs/dbraw/zinc/62/94/91/386629491.db2.gz JCXQDETUSNOLSX-ZDUSSCGKSA-N 0 3 234.343 2.995 20 0 BFADHN CC(C)C[C@@H](C)CN1C[C@H]2CC[C@@H](C1)O2 ZINC000337167335 386630317 /nfs/dbraw/zinc/63/03/17/386630317.db2.gz CJOSRDCHGJEUBN-UPJWGTAASA-N 0 3 211.349 2.532 20 0 BFADHN CC(C)C[C@@H](C)CN1CCOC[C@@H]1C1CC1 ZINC000337170421 386632490 /nfs/dbraw/zinc/63/24/90/386632490.db2.gz CTXCAIWBYKYORW-TZMCWYRMSA-N 0 3 225.376 2.779 20 0 BFADHN Cc1nc([C@@H](C)N2CC[C@@H](C3CCCC3)C2)n[nH]1 ZINC000335503588 386634284 /nfs/dbraw/zinc/63/42/84/386634284.db2.gz OJEIGGOVEMVDDC-ZWNOBZJWSA-N 0 3 248.374 2.686 20 0 BFADHN CCC1(NCc2ccc(C)nn2)CCCC1 ZINC000414467657 386636454 /nfs/dbraw/zinc/63/64/54/386636454.db2.gz IVUAIYFNZRZLLM-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN C[C@@H](NCCCCCF)c1ccccn1 ZINC000284030376 386636733 /nfs/dbraw/zinc/63/67/33/386636733.db2.gz IJNWRDRCLKHNGV-LLVKDONJSA-N 0 3 210.296 2.872 20 0 BFADHN Cc1cc(CNC23CCC(CC2)CC3)nn1C ZINC000414468085 386637495 /nfs/dbraw/zinc/63/74/95/386637495.db2.gz JJBPHVXFQYONMA-UHFFFAOYSA-N 0 3 233.359 2.541 20 0 BFADHN CCOC(=O)c1ccc(CN(C)[C@H](C)CC)o1 ZINC000179325118 386637549 /nfs/dbraw/zinc/63/75/49/386637549.db2.gz HYNHWXJVUNYEMY-SNVBAGLBSA-N 0 3 239.315 2.687 20 0 BFADHN CCC[C@H](CC)NCc1cn(C(C)C)nn1 ZINC000336646718 386638434 /nfs/dbraw/zinc/63/84/34/386638434.db2.gz DZHMEQCNIAQQOY-NSHDSACASA-N 0 3 224.352 2.527 20 0 BFADHN C[C@H](NC/C=C/c1ccc(F)cc1)c1cn[nH]c1 ZINC000339792207 386638369 /nfs/dbraw/zinc/63/83/69/386638369.db2.gz NIJWCLNZIYPGOQ-VUDBWIFFSA-N 0 3 245.301 2.913 20 0 BFADHN CC(C)C(CN1CCO[C@H](CF)C1)C(C)C ZINC000414468912 386638555 /nfs/dbraw/zinc/63/85/55/386638555.db2.gz HKLNCFCMGSNYID-GFCCVEGCSA-N 0 3 231.355 2.585 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnc2cc(C)ccn12 ZINC000179323666 386639255 /nfs/dbraw/zinc/63/92/55/386639255.db2.gz RKMUGRQIAIEXOU-GFCCVEGCSA-N 0 3 231.343 2.873 20 0 BFADHN COC(=O)C(C)(C)N(C)C[C@H](C)CC(C)C ZINC000337157956 386639803 /nfs/dbraw/zinc/63/98/03/386639803.db2.gz QIMAXGWEUQQWQQ-LLVKDONJSA-N 0 3 229.364 2.552 20 0 BFADHN CC(C)C[C@H](C)CN1CCOCC12CCC2 ZINC000337190301 386641490 /nfs/dbraw/zinc/64/14/90/386641490.db2.gz SLGIPUGDSFKGFK-ZDUSSCGKSA-N 0 3 225.376 2.924 20 0 BFADHN Cc1ccoc1CN(C)C[C@@H](O)CCC(C)C ZINC000292274698 386644861 /nfs/dbraw/zinc/64/48/61/386644861.db2.gz LBVKTXTXHKIOOD-ZDUSSCGKSA-N 0 3 239.359 2.817 20 0 BFADHN CO[C@H](C)CCN[C@H](C)c1csc(C)n1 ZINC000269390805 386645786 /nfs/dbraw/zinc/64/57/86/386645786.db2.gz CNHYOUUGWJHXMN-RKDXNWHRSA-N 0 3 228.361 2.527 20 0 BFADHN CC(C)n1nccc1CN(C)CCC1CC1 ZINC000337194076 386645757 /nfs/dbraw/zinc/64/57/57/386645757.db2.gz VYPSKNWYWIAVOJ-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CCC1(C)CCN(Cc2cn(C)nc2C)CC1 ZINC000353143586 386646666 /nfs/dbraw/zinc/64/66/66/386646666.db2.gz UHELIFGFSSZJBZ-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1cc(CN2C[C@@H](C(C)C)[C@@H]2C(C)C)nn1C ZINC000414472058 386651336 /nfs/dbraw/zinc/65/13/36/386651336.db2.gz RZGRSHYPNZBODJ-GJZGRUSLSA-N 0 3 249.402 2.841 20 0 BFADHN COCC(C)(C)CN(C)Cc1ccccc1F ZINC000426066254 386651606 /nfs/dbraw/zinc/65/16/06/386651606.db2.gz IGPFZKORDMXQRK-UHFFFAOYSA-N 0 3 239.334 2.930 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@H](C)c1ccc(Cl)cn1 ZINC000336781593 386603807 /nfs/dbraw/zinc/60/38/07/386603807.db2.gz VBZNFBPFPVLINX-KXUCPTDWSA-N 0 3 242.750 2.545 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@@H]1CCCC[C@@H]1O)C2 ZINC000520234864 386610200 /nfs/dbraw/zinc/61/02/00/386610200.db2.gz HCLBVBJCPWBSAH-HOCLYGCPSA-N 0 3 245.366 2.862 20 0 BFADHN Cc1csc(CN(C)[C@H](C)C(C)C)n1 ZINC000268022683 386610649 /nfs/dbraw/zinc/61/06/49/386610649.db2.gz JTIAAMMATRWXDJ-SNVBAGLBSA-N 0 3 212.362 2.928 20 0 BFADHN C[C@H]1C[C@H]1CN1C[C@@H](C)NCc2ccccc21 ZINC000415095652 386614283 /nfs/dbraw/zinc/61/42/83/386614283.db2.gz CCBUKYQCOPENOJ-SCRDCRAPSA-N 0 3 230.355 2.641 20 0 BFADHN CO[C@H](CN1CC[C@@H]1c1cccc(F)c1)C1CC1 ZINC000644924833 386615037 /nfs/dbraw/zinc/61/50/37/386615037.db2.gz MIERSMCSGYZDPC-HUUCEWRRSA-N 0 3 249.329 2.998 20 0 BFADHN CO[C@H](CN1CC[C@H]1c1cccc(F)c1)C1CC1 ZINC000644924834 386615163 /nfs/dbraw/zinc/61/51/63/386615163.db2.gz MIERSMCSGYZDPC-LSDHHAIUSA-N 0 3 249.329 2.998 20 0 BFADHN CO[C@@H](CN1CC[C@@H]1c1cccc(F)c1)C1CC1 ZINC000644924829 386615205 /nfs/dbraw/zinc/61/52/05/386615205.db2.gz MIERSMCSGYZDPC-CABCVRRESA-N 0 3 249.329 2.998 20 0 BFADHN C[C@@H](NCCCCF)c1nccs1 ZINC000336645633 386615800 /nfs/dbraw/zinc/61/58/00/386615800.db2.gz XZCFOVDBKOTVGA-MRVPVSSYSA-N 0 3 202.298 2.543 20 0 BFADHN c1nc2ccccn2c1CN1CCCC12CCC2 ZINC000335520868 386659681 /nfs/dbraw/zinc/65/96/81/386659681.db2.gz QTSIOGVTCIKHDP-UHFFFAOYSA-N 0 3 241.338 2.853 20 0 BFADHN Cc1nnc([C@@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)s1 ZINC000391806118 386661063 /nfs/dbraw/zinc/66/10/63/386661063.db2.gz GMJHCODRRKHMOE-CZULRBLNSA-N 0 3 237.372 2.686 20 0 BFADHN CC(C)C[C@@H](C)CN(C)Cc1ccnn1C ZINC000337219153 386661610 /nfs/dbraw/zinc/66/16/10/386661610.db2.gz BFNWSNFSYBWUAG-GFCCVEGCSA-N 0 3 223.364 2.534 20 0 BFADHN CCCN(Cc1ccc(C)o1)[C@@H]1CCN(C)C1 ZINC000648057212 386665161 /nfs/dbraw/zinc/66/51/61/386665161.db2.gz KUYCARLBRMMNNW-CYBMUJFWSA-N 0 3 236.359 2.504 20 0 BFADHN COC[C@H]1CN(CCCC(C)(C)C)C[C@H](C)O1 ZINC000337206345 386668127 /nfs/dbraw/zinc/66/81/27/386668127.db2.gz MHKIMMAUINYBBM-QWHCGFSZSA-N 0 3 243.391 2.548 20 0 BFADHN COC[C@@H]1CN(CCCC(C)(C)C)C[C@H](C)O1 ZINC000337206346 386668234 /nfs/dbraw/zinc/66/82/34/386668234.db2.gz MHKIMMAUINYBBM-STQMWFEESA-N 0 3 243.391 2.548 20 0 BFADHN CCCCCN(CC(N)=O)C[C@H](C)CC(C)C ZINC000337208524 386669734 /nfs/dbraw/zinc/66/97/34/386669734.db2.gz QVGRJVNMTREAIY-CYBMUJFWSA-N 0 3 242.407 2.646 20 0 BFADHN CC[C@H]1CCN1Cc1sc(C)nc1C ZINC000292436430 386670203 /nfs/dbraw/zinc/67/02/03/386670203.db2.gz OBGWFGGOWGRKLB-JTQLQIEISA-N 0 3 210.346 2.744 20 0 BFADHN C[C@H]1C[C@@H]1CN1CC(C)(CC(F)F)C1 ZINC000648059098 386675371 /nfs/dbraw/zinc/67/53/71/386675371.db2.gz WNXXTHFCTHRJDF-DTWKUNHWSA-N 0 3 203.276 2.620 20 0 BFADHN Cc1c[nH]nc1CNCCSc1ccccc1 ZINC000352833217 386677832 /nfs/dbraw/zinc/67/78/32/386677832.db2.gz HGZOOSSBHBZJRS-UHFFFAOYSA-N 0 3 247.367 2.600 20 0 BFADHN Cc1ccc(CN(C)C[C@H](O)C(C)C)s1 ZINC000292497909 386679882 /nfs/dbraw/zinc/67/98/82/386679882.db2.gz BXZHEPDAIIGZOD-LBPRGKRZSA-N 0 3 227.373 2.505 20 0 BFADHN CSC[C@@H]1CCCN(Cc2ccccn2)C1 ZINC000292490702 386680075 /nfs/dbraw/zinc/68/00/75/386680075.db2.gz SYEAWNXNGFPASB-GFCCVEGCSA-N 0 3 236.384 2.657 20 0 BFADHN C[C@@H]1CCN(Cc2cn[nH]n2)CC12CCCCC2 ZINC000450763703 386680888 /nfs/dbraw/zinc/68/08/88/386680888.db2.gz BTHCDROSEQWZDU-GFCCVEGCSA-N 0 3 248.374 2.597 20 0 BFADHN C[C@@H]1CCN(Cc2c[nH]nn2)CC12CCCCC2 ZINC000450763703 386680897 /nfs/dbraw/zinc/68/08/97/386680897.db2.gz BTHCDROSEQWZDU-GFCCVEGCSA-N 0 3 248.374 2.597 20 0 BFADHN CCCn1cc(CNCCC=C(C)C)cn1 ZINC000336783216 386657772 /nfs/dbraw/zinc/65/77/72/386657772.db2.gz ZSXRTJPPPXQBRX-UHFFFAOYSA-N 0 3 221.348 2.739 20 0 BFADHN CCc1ccc(CNCCCn2ccnc2C)o1 ZINC000268512984 386658062 /nfs/dbraw/zinc/65/80/62/386658062.db2.gz RVPKSAMWZVKJRX-UHFFFAOYSA-N 0 3 247.342 2.527 20 0 BFADHN CO[C@@H]1C[C@@H](N(C)Cc2ccoc2C)C12CCC2 ZINC000414473334 386658402 /nfs/dbraw/zinc/65/84/02/386658402.db2.gz VAMDJMFPKSQDAV-ZIAGYGMSSA-N 0 3 249.354 2.977 20 0 BFADHN Cc1cnc(CN[C@H]2CC=CCC2)s1 ZINC000295860887 386710449 /nfs/dbraw/zinc/71/04/49/386710449.db2.gz WUUBSRDNSCMYNZ-JTQLQIEISA-N 0 3 208.330 2.650 20 0 BFADHN CCc1nn(C)cc1CN(C)CCC(C)(C)C ZINC000648059799 386684873 /nfs/dbraw/zinc/68/48/73/386684873.db2.gz LCCBYNVFQVHVQD-UHFFFAOYSA-N 0 3 237.391 2.851 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cncs1)CC(C)C ZINC000417381563 386687066 /nfs/dbraw/zinc/68/70/66/386687066.db2.gz VNGZQSLIZJJIIU-GHMZBOCLSA-N 0 3 242.388 2.855 20 0 BFADHN CCc1ccc(CNC[C@H](C)CCOC)o1 ZINC000414480879 386687553 /nfs/dbraw/zinc/68/75/53/386687553.db2.gz KNZHDYGGNVZKLT-LLVKDONJSA-N 0 3 225.332 2.604 20 0 BFADHN CC[C@@H](CCO)NCc1ccsc1Cl ZINC000336648631 386688581 /nfs/dbraw/zinc/68/85/81/386688581.db2.gz GWJNFMUUJZJBMI-VIFPVBQESA-N 0 3 233.764 2.652 20 0 BFADHN CCn1cncc1CN1CC[C@@H](C)C[C@H](C)C1 ZINC000417779812 386711777 /nfs/dbraw/zinc/71/17/77/386711777.db2.gz HHFCDTJQGFVCEF-OLZOCXBDSA-N 0 3 235.375 2.771 20 0 BFADHN CCOc1ccc(CN[C@H](C)[C@H](C)OC)cc1 ZINC000268795586 386693577 /nfs/dbraw/zinc/69/35/77/386693577.db2.gz IDJDKORAAMWXNL-NEPJUHHUSA-N 0 3 237.343 2.598 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCC[C@@H]1C1CC1 ZINC000414485608 386695362 /nfs/dbraw/zinc/69/53/62/386695362.db2.gz PQBCNSDGUXVROF-GXTWGEPZSA-N 0 3 234.343 2.899 20 0 BFADHN Cc1ccc(C)c(CN2CCN(C)[C@H](C)[C@@H]2C)c1 ZINC000357036018 386695601 /nfs/dbraw/zinc/69/56/01/386695601.db2.gz JUPJJXVECZANPS-CABCVRRESA-N 0 3 246.398 2.828 20 0 BFADHN Cc1nocc1CNC[C@H]1CCC[C@@H]1C1CC1 ZINC000414485617 386695670 /nfs/dbraw/zinc/69/56/70/386695670.db2.gz PQBCNSDGUXVROF-TZMCWYRMSA-N 0 3 234.343 2.899 20 0 BFADHN Clc1ccc(CNCCC2CCOCC2)o1 ZINC000336648362 386696038 /nfs/dbraw/zinc/69/60/38/386696038.db2.gz GCXNARUWPXPGJT-UHFFFAOYSA-N 0 3 243.734 2.839 20 0 BFADHN CC(C)CC1(CN[C@H](C)c2ccncn2)CC1 ZINC000391917990 386696592 /nfs/dbraw/zinc/69/65/92/386696592.db2.gz AMDOUWJCHIZOPM-GFCCVEGCSA-N 0 3 233.359 2.954 20 0 BFADHN CCOc1cccc([C@@H](C)N[C@@H]2CCOC2)c1 ZINC000268812586 386697567 /nfs/dbraw/zinc/69/75/67/386697567.db2.gz BFXYCYOQRLKING-DGCLKSJQSA-N 0 3 235.327 2.525 20 0 BFADHN FC(F)O[C@@H]1CCCC[C@H]1NCc1ccco1 ZINC000337282868 386698105 /nfs/dbraw/zinc/69/81/05/386698105.db2.gz IQHYOZNYFBUUEQ-GHMZBOCLSA-N 0 3 245.269 2.920 20 0 BFADHN CCn1cncc1CNC/C=C/c1ccccc1 ZINC000417946711 386698669 /nfs/dbraw/zinc/69/86/69/386698669.db2.gz ZGMKWUCCRJJZQI-RMKNXTFCSA-N 0 3 241.338 2.706 20 0 BFADHN CCc1nnc(CN[C@@H](CC)C2CCC2)s1 ZINC000391946243 386700286 /nfs/dbraw/zinc/70/02/86/386700286.db2.gz WQZTYVXHSFVTBD-JTQLQIEISA-N 0 3 239.388 2.769 20 0 BFADHN CCc1ccc(CN[C@H]2CCCc3cn[nH]c32)o1 ZINC000268835414 386702471 /nfs/dbraw/zinc/70/24/71/386702471.db2.gz LOXPJVXDWAJYES-ZDUSSCGKSA-N 0 3 245.326 2.732 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)[C@H]2CCOC2)o1 ZINC000268847336 386704816 /nfs/dbraw/zinc/70/48/16/386704816.db2.gz AWISBCVPFLDYRI-SRVKXCTJSA-N 0 3 237.343 2.918 20 0 BFADHN CC[C@@H](NCC[C@H](C)O)c1ccc(F)cc1F ZINC000268845198 386705009 /nfs/dbraw/zinc/70/50/09/386705009.db2.gz BESRVWHPIISHLP-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN CCn1ccc(CNC[C@@H](C)CC(C)C)n1 ZINC000414487221 386706704 /nfs/dbraw/zinc/70/67/04/386706704.db2.gz UZCKGNNEWIYLTF-LBPRGKRZSA-N 0 3 223.364 2.675 20 0 BFADHN CO[C@H](C)CN(C)CCc1ccccc1Cl ZINC000353218315 386708303 /nfs/dbraw/zinc/70/83/03/386708303.db2.gz JGTDGRMIUKVWAH-LLVKDONJSA-N 0 3 241.762 2.849 20 0 BFADHN C[C@H](NCc1ccon1)[C@@H](C)c1ccccc1 ZINC000268888575 386712474 /nfs/dbraw/zinc/71/24/74/386712474.db2.gz CPLHKAMWJWGFOG-NEPJUHHUSA-N 0 3 230.311 2.956 20 0 BFADHN Cc1cc(CNCC2(CC(C)C)CC2)n(C)n1 ZINC000391964894 386708496 /nfs/dbraw/zinc/70/84/96/386708496.db2.gz QWEPGPUREPBZDG-UHFFFAOYSA-N 0 3 235.375 2.644 20 0 BFADHN CN(CCOCC1CC1)[C@H]1C[C@H]1c1ccccc1 ZINC000268975529 386731721 /nfs/dbraw/zinc/73/17/21/386731721.db2.gz ORQCJTCEHHSCLG-HOTGVXAUSA-N 0 3 245.366 2.901 20 0 BFADHN CC(C)N(CCO)Cc1cc2ccccc2o1 ZINC000269014276 386737295 /nfs/dbraw/zinc/73/72/95/386737295.db2.gz WEYPVOPXHUTKHO-UHFFFAOYSA-N 0 3 233.311 2.636 20 0 BFADHN CC(C)[C@@H](O)CN([C@@H](C)c1ccco1)C1CC1 ZINC000292870150 386738634 /nfs/dbraw/zinc/73/86/34/386738634.db2.gz HMRUFOQLNOGSIN-AAEUAGOBSA-N 0 3 237.343 2.822 20 0 BFADHN CSC1(CN(C)Cc2occc2C)CC1 ZINC000292872676 386738975 /nfs/dbraw/zinc/73/89/75/386738975.db2.gz WOSNAAVGAFPKGJ-UHFFFAOYSA-N 0 3 225.357 2.915 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@@H](C)c1cccc(F)c1 ZINC000268893942 386716324 /nfs/dbraw/zinc/71/63/24/386716324.db2.gz ORJURIKKSNVQDP-COPLHBTASA-N 0 3 239.334 2.883 20 0 BFADHN Cc1ccc(CN2CCN(C)C[C@H]2C(C)C)cc1 ZINC000357208706 386740145 /nfs/dbraw/zinc/74/01/45/386740145.db2.gz WGIWFOSFNVHWQI-INIZCTEOSA-N 0 3 246.398 2.767 20 0 BFADHN CC(C)=CCC[C@H](C)N[C@@H](C)c1nncn1C ZINC000268905939 386717812 /nfs/dbraw/zinc/71/78/12/386717812.db2.gz NCVKPTVQJNAODM-RYUDHWBXSA-N 0 3 236.363 2.601 20 0 BFADHN CN(Cc1ccoc1)C[C@@H](O)C1CCCCC1 ZINC000352847939 386718712 /nfs/dbraw/zinc/71/87/12/386718712.db2.gz AVCDAGKMNUXHGP-CQSZACIVSA-N 0 3 237.343 2.653 20 0 BFADHN C[C@@H](O)CCN[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000268917655 386719398 /nfs/dbraw/zinc/71/93/98/386719398.db2.gz VLOXOMHAZMTOPB-HTQZYQBOSA-N 0 3 247.260 2.525 20 0 BFADHN C[C@H](O)CCN[C@@H]1CC(C)(C)Cc2occc21 ZINC000268921963 386719656 /nfs/dbraw/zinc/71/96/56/386719656.db2.gz WYLOHPSCSDIGDO-CMPLNLGQSA-N 0 3 237.343 2.654 20 0 BFADHN c1nc(CN2CCC[C@H]3CCCC[C@H]32)co1 ZINC000191944109 386719963 /nfs/dbraw/zinc/71/99/63/386719963.db2.gz JLMYQACNBRECRE-DGCLKSJQSA-N 0 3 220.316 2.829 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CCCC[C@H]2O)o1 ZINC000268925608 386721259 /nfs/dbraw/zinc/72/12/59/386721259.db2.gz XXFAXQRFNAHDHZ-WCFLWFBJSA-N 0 3 237.343 2.796 20 0 BFADHN CC[C@H](NCc1cscn1)[C@H]1C[C@H]1C ZINC000321169608 386723226 /nfs/dbraw/zinc/72/32/26/386723226.db2.gz YGKCOSOALCIQHA-MIMYLULJSA-N 0 3 210.346 2.667 20 0 BFADHN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1cocn1 ZINC000191942900 386723400 /nfs/dbraw/zinc/72/34/00/386723400.db2.gz PQZPTQLUADHYAK-MDZLAQPJSA-N 0 3 220.316 2.828 20 0 BFADHN CC[C@H](O)CNCc1ccc(Cl)c(C)c1 ZINC000336760594 386740264 /nfs/dbraw/zinc/74/02/64/386740264.db2.gz LVMQSHVGJIWNOQ-NSHDSACASA-N 0 3 227.735 2.509 20 0 BFADHN COCCN(CC1(F)CC1)[C@H]1CC[C@@H](C)C1 ZINC000526988043 386725516 /nfs/dbraw/zinc/72/55/16/386725516.db2.gz OJIGIPPRGLMZCH-NEPJUHHUSA-N 0 3 229.339 2.626 20 0 BFADHN CCc1nn(C)c(CC)c1CN1[C@@H](C)C[C@@H]1C ZINC000526989601 386727107 /nfs/dbraw/zinc/72/71/07/386727107.db2.gz ULCKAHVXICVNFH-QWRGUYRKSA-N 0 3 235.375 2.528 20 0 BFADHN CC(C)[C@@H](NCc1ccco1)C1(CO)CCC1 ZINC000292895430 386746382 /nfs/dbraw/zinc/74/63/82/386746382.db2.gz ZKSCOBIBYQRHRZ-CYBMUJFWSA-N 0 3 237.343 2.556 20 0 BFADHN CC(C)[C@H](NCc1ccco1)C1(CO)CCC1 ZINC000292895451 386746708 /nfs/dbraw/zinc/74/67/08/386746708.db2.gz ZKSCOBIBYQRHRZ-ZDUSSCGKSA-N 0 3 237.343 2.556 20 0 BFADHN Cc1cc(CN2CCC[C@]23CCOC3)ccc1F ZINC000367040599 386748536 /nfs/dbraw/zinc/74/85/36/386748536.db2.gz KZKOYMRRMRKKGI-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN C[C@H]1OCC[C@@H]1N[C@H]1CCCc2ccc(F)cc21 ZINC000279904457 386755000 /nfs/dbraw/zinc/75/50/00/386755000.db2.gz WFADQPWHXGGJSO-ONERCXAPSA-N 0 3 249.329 2.970 20 0 BFADHN Fc1ccc2c(c1)[C@H](N[C@H]1CCOC1)CCC2 ZINC000279922833 386755237 /nfs/dbraw/zinc/75/52/37/386755237.db2.gz XMAPTKSPURQRHU-GXTWGEPZSA-N 0 3 235.302 2.582 20 0 BFADHN CCC1CCC(CNCc2cocn2)CC1 ZINC000192307911 386755748 /nfs/dbraw/zinc/75/57/48/386755748.db2.gz GBHRBLYBRVIMJP-UHFFFAOYSA-N 0 3 222.332 2.981 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1ccc(C)nc1)C1CC1 ZINC000414501082 386758403 /nfs/dbraw/zinc/75/84/03/386758403.db2.gz MVIQNIDLDWSNRD-WFASDCNBSA-N 0 3 248.370 2.683 20 0 BFADHN CCc1nocc1CNC[C@H]1Cc2ccccc21 ZINC000645152191 386770035 /nfs/dbraw/zinc/77/00/35/386770035.db2.gz WEYGOKBQDDLXPY-GFCCVEGCSA-N 0 3 242.322 2.667 20 0 BFADHN CC1(C)C[C@H](N[C@@H]2CCc3cc(F)ccc32)CO1 ZINC000396512783 386768723 /nfs/dbraw/zinc/76/87/23/386768723.db2.gz CEXJMNMFYQTFEU-GXTWGEPZSA-N 0 3 249.329 2.970 20 0 BFADHN CO[C@H](CNCc1ccc2occc2c1)C1CC1 ZINC000293213733 386790179 /nfs/dbraw/zinc/79/01/79/386790179.db2.gz YJNGFWZWYWLQMR-OAHLLOKOSA-N 0 3 245.322 2.947 20 0 BFADHN CCOc1ccccc1CN[C@@H]1COC(C)(C)C1 ZINC000396545479 386771083 /nfs/dbraw/zinc/77/10/83/386771083.db2.gz PWCJWUMOGMAILJ-ZDUSSCGKSA-N 0 3 249.354 2.742 20 0 BFADHN CO[C@H](C)CNCc1ccc(Cl)c(F)c1 ZINC000191201951 386774145 /nfs/dbraw/zinc/77/41/45/386774145.db2.gz RAPVHCAHYNHUGR-MRVPVSSYSA-N 0 3 231.698 2.604 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@@H](C)[C@H]2C)sn1 ZINC000639273649 386775605 /nfs/dbraw/zinc/77/56/05/386775605.db2.gz XRQZCBJIWCHGTE-JMJZKYOTSA-N 0 3 224.373 2.928 20 0 BFADHN Cc1ccc(CN[C@H]2COC(C)(C)C2)c(C)c1 ZINC000396532794 386775663 /nfs/dbraw/zinc/77/56/63/386775663.db2.gz JWSFLDVOVYJDAO-CQSZACIVSA-N 0 3 233.355 2.961 20 0 BFADHN Cc1ccc(CNCCC2CCCCC2)nn1 ZINC000396588272 386777036 /nfs/dbraw/zinc/77/70/36/386777036.db2.gz VUJAMVNMQRCLGP-UHFFFAOYSA-N 0 3 233.359 2.845 20 0 BFADHN CC1(C)C[C@H](N[C@@H](c2cccnc2)C2CC2)CO1 ZINC000417977885 386778087 /nfs/dbraw/zinc/77/80/87/386778087.db2.gz XXTDLOYSMRAAKV-UONOGXRCSA-N 0 3 246.354 2.690 20 0 BFADHN CCCCN(C)CCNC(=O)CC[C@@H](C)CC ZINC000352864080 386778235 /nfs/dbraw/zinc/77/82/35/386778235.db2.gz UCBNJWULDKOZGK-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN CCSCCCN[C@@H](CC)c1nccn1C ZINC000282913636 386779658 /nfs/dbraw/zinc/77/96/58/386779658.db2.gz XMGXCBLXJVALCU-NSHDSACASA-N 0 3 241.404 2.604 20 0 BFADHN CC[C@@H](C)CNCc1nccn1CC(F)(F)F ZINC000357420071 386780108 /nfs/dbraw/zinc/78/01/08/386780108.db2.gz NLBQIZAOQJRTKQ-SECBINFHSA-N 0 3 249.280 2.581 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1cncc(F)c1 ZINC000280131581 386780962 /nfs/dbraw/zinc/78/09/62/386780962.db2.gz AZSSXXWIAFILDP-LBPRGKRZSA-N 0 3 242.363 2.794 20 0 BFADHN Cc1ccc2ncc(CN[C@@H]3CC3(C)C)n2c1 ZINC000269362392 386781961 /nfs/dbraw/zinc/78/19/61/386781961.db2.gz PKEOJXMDBKBJSX-GFCCVEGCSA-N 0 3 229.327 2.531 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1ccc(C)nn1 ZINC000396598764 386783918 /nfs/dbraw/zinc/78/39/18/386783918.db2.gz ZWXJBNLFLNYQAO-GXTWGEPZSA-N 0 3 233.359 2.843 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cncc(F)c1 ZINC000280166105 386784747 /nfs/dbraw/zinc/78/47/47/386784747.db2.gz FPUCOJSPHWCRTH-MFKMUULPSA-N 0 3 222.307 2.984 20 0 BFADHN Cc1cncc([C@@H](C)N[C@@H]2CSC2(C)C)c1 ZINC000282988753 386786136 /nfs/dbraw/zinc/78/61/36/386786136.db2.gz FILUEDJZQJOTNA-ZYHUDNBSSA-N 0 3 236.384 2.935 20 0 BFADHN Cc1n[nH]cc1CN1CC(C)(C)CC(C)(C)C1 ZINC000344883353 386788737 /nfs/dbraw/zinc/78/87/37/386788737.db2.gz IEVDBIPLXSGURA-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN c1c2cccnc2oc1CN1CCCCC1 ZINC000334773317 386824725 /nfs/dbraw/zinc/82/47/25/386824725.db2.gz QEUTWZSIJVEHJJ-UHFFFAOYSA-N 0 3 216.284 2.814 20 0 BFADHN COC[C@@H]1CCN1Cc1cc(C)ccc1C ZINC000293464329 386821403 /nfs/dbraw/zinc/82/14/03/386821403.db2.gz DLYDOCKAWKHNIN-AWEZNQCLSA-N 0 3 219.328 2.524 20 0 BFADHN COC[C@H]1CCN1Cc1cc(C)ccc1C ZINC000293464332 386822906 /nfs/dbraw/zinc/82/29/06/386822906.db2.gz DLYDOCKAWKHNIN-CQSZACIVSA-N 0 3 219.328 2.524 20 0 BFADHN Cc1cccc([C@@H]2CCN(Cc3c[nH]cn3)C2)c1 ZINC000280257677 386797842 /nfs/dbraw/zinc/79/78/42/386797842.db2.gz PCQXXRJNTYLWPZ-CQSZACIVSA-N 0 3 241.338 2.708 20 0 BFADHN Cc1cccc([C@@H]2CCN(Cc3cnc[nH]3)C2)c1 ZINC000280257677 386797849 /nfs/dbraw/zinc/79/78/49/386797849.db2.gz PCQXXRJNTYLWPZ-CQSZACIVSA-N 0 3 241.338 2.708 20 0 BFADHN CSC[C@](C)(O)CN[C@H](C)c1ccsc1 ZINC000167983631 386798139 /nfs/dbraw/zinc/79/81/39/386798139.db2.gz XTJPDEVTVQGPRM-MWLCHTKSSA-N 0 3 245.413 2.513 20 0 BFADHN CCCCCN[C@H](CO)c1ccsc1 ZINC000336669283 386798480 /nfs/dbraw/zinc/79/84/80/386798480.db2.gz XPWOBYAVBOWSCH-LLVKDONJSA-N 0 3 213.346 2.561 20 0 BFADHN CC[C@H](N[C@H]1CSC[C@@H]1C)c1nccs1 ZINC000336669219 386798880 /nfs/dbraw/zinc/79/88/80/386798880.db2.gz FLOJIODBCIVIRG-GUBZILKMSA-N 0 3 242.413 2.935 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1cc(C)nn1C ZINC000417995209 386802145 /nfs/dbraw/zinc/80/21/45/386802145.db2.gz RSIHFBMPSVVSOY-SECBINFHSA-N 0 3 249.280 2.549 20 0 BFADHN Cc1nc(CN[C@@H](c2ccccc2)C(C)C)n[nH]1 ZINC000292302420 386802893 /nfs/dbraw/zinc/80/28/93/386802893.db2.gz ZWYXCSAZLGULGF-CQSZACIVSA-N 0 3 244.342 2.600 20 0 BFADHN C[C@@H]1CN(CC2=CCCCC2)C[C@@H](C2CC2)O1 ZINC000450886561 386807325 /nfs/dbraw/zinc/80/73/25/386807325.db2.gz FTKFHXHUQJPNDB-DOMZBBRYSA-N 0 3 235.371 2.986 20 0 BFADHN CC(C)=CCN(C)[C@H](CC(N)=O)c1ccccc1 ZINC000280377128 386808583 /nfs/dbraw/zinc/80/85/83/386808583.db2.gz KWHLISRMERPWGT-CQSZACIVSA-N 0 3 246.354 2.501 20 0 BFADHN CCOCCNCc1sccc1Cl ZINC000193009673 386808708 /nfs/dbraw/zinc/80/87/08/386808708.db2.gz WYMZBWATSMGSTL-UHFFFAOYSA-N 0 3 219.737 2.528 20 0 BFADHN CO[C@H](CN1C[C@H](C)[C@@H]1C)c1ccccc1 ZINC000293369315 386810833 /nfs/dbraw/zinc/81/08/33/386810833.db2.gz JBUAMTUAZQIFHP-SGMGOOAPSA-N 0 3 219.328 2.714 20 0 BFADHN CO[C@H]1CC[C@H](NCc2cc(F)ccc2F)C1 ZINC000190920700 386814760 /nfs/dbraw/zinc/81/47/60/386814760.db2.gz HGVWBOJCTNRXFN-RYUDHWBXSA-N 0 3 241.281 2.622 20 0 BFADHN COC[C@@H](C)CNCc1sccc1Cl ZINC000193050444 386825096 /nfs/dbraw/zinc/82/50/96/386825096.db2.gz UDXWYVXSLXGOQZ-QMMMGPOBSA-N 0 3 233.764 2.774 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@H]3CCC[C@@H]3C2)n1C ZINC000341038761 386825285 /nfs/dbraw/zinc/82/52/85/386825285.db2.gz CPEYPHUBSURLDR-MGPQQGTHSA-N 0 3 247.386 2.787 20 0 BFADHN Cc1cnc(CNC[C@H]2CCCC[C@@H]2C)n1C ZINC000341040388 386826348 /nfs/dbraw/zinc/82/63/48/386826348.db2.gz FOJTWJLQGDROAV-WCQYABFASA-N 0 3 235.375 2.644 20 0 BFADHN CCC(C)(C)N(C)Cc1cccc(OC)n1 ZINC000527318850 386826592 /nfs/dbraw/zinc/82/65/92/386826592.db2.gz KRCLVQRDPUNFNT-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2occc2C)C1 ZINC000334776861 386828711 /nfs/dbraw/zinc/82/87/11/386828711.db2.gz LHWXZFLAKGPQCP-ZDUSSCGKSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)n1C ZINC000341056606 386831640 /nfs/dbraw/zinc/83/16/40/386831640.db2.gz ZQWUBJZLHLFZDG-NQBHXWOUSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC[C@@H](C)[C@@H](C)C1 ZINC000336673381 386831973 /nfs/dbraw/zinc/83/19/73/386831973.db2.gz OSFDWFSLEVGIGS-WCABBAIRSA-N 0 3 239.388 2.761 20 0 BFADHN CC(C)=CCN[C@@H](c1cccnc1)C(C)(C)CO ZINC000293558112 386836071 /nfs/dbraw/zinc/83/60/71/386836071.db2.gz FUFRUHFSZDYCHI-AWEZNQCLSA-N 0 3 248.370 2.697 20 0 BFADHN CC(C)Cn1nccc1CN1CCC[C@@H]1C1CC1 ZINC000648006677 386837711 /nfs/dbraw/zinc/83/77/11/386837711.db2.gz SYQXUNFXJWJIBN-OAHLLOKOSA-N 0 3 247.386 2.914 20 0 BFADHN C[C@H]1CN(Cc2ccncc2Cl)C[C@H]1C ZINC000334781884 386838240 /nfs/dbraw/zinc/83/82/40/386838240.db2.gz MKCQXAHUBMNNMB-AOOOYVTPSA-N 0 3 224.735 2.823 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)n1C ZINC000341107462 386839212 /nfs/dbraw/zinc/83/92/12/386839212.db2.gz BUKCESGWRNILPH-WCFLWFBJSA-N 0 3 235.375 2.643 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1snnc1C ZINC000336674239 386845757 /nfs/dbraw/zinc/84/57/57/386845757.db2.gz OZEXPIRRCIBEIM-QWRGUYRKSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCC[C@H](C(N)=O)C1 ZINC000330675979 386853754 /nfs/dbraw/zinc/85/37/54/386853754.db2.gz TWAGNAYRGBDCSX-WDEREUQCSA-N 0 3 236.315 2.772 20 0 BFADHN COC[C@H]1CCN1Cc1c(C)oc2ccccc21 ZINC000293626093 386851790 /nfs/dbraw/zinc/85/17/90/386851790.db2.gz LBXYCHMFGNLSFJ-GFCCVEGCSA-N 0 3 245.322 2.962 20 0 BFADHN CC(C)=CCN[C@H](c1nc[nH]n1)C1CCCCC1 ZINC000293634876 386852469 /nfs/dbraw/zinc/85/24/69/386852469.db2.gz KMDXFGHQQWHQLR-ZDUSSCGKSA-N 0 3 248.374 2.982 20 0 BFADHN CC(C)=CC[NH2+][C@H](c1nnc[n-]1)C1CCCCC1 ZINC000293634876 386852473 /nfs/dbraw/zinc/85/24/73/386852473.db2.gz KMDXFGHQQWHQLR-ZDUSSCGKSA-N 0 3 248.374 2.982 20 0 BFADHN CC(C)=CCN[C@H](c1nnc[nH]1)C1CCCCC1 ZINC000293634876 386852474 /nfs/dbraw/zinc/85/24/74/386852474.db2.gz KMDXFGHQQWHQLR-ZDUSSCGKSA-N 0 3 248.374 2.982 20 0 BFADHN Cc1cnn([C@@H]2CCN(Cc3ccoc3C)C2)c1 ZINC000334843056 386891126 /nfs/dbraw/zinc/89/11/26/386891126.db2.gz AIQHBNKMSXDADT-CQSZACIVSA-N 0 3 245.326 2.540 20 0 BFADHN CC/C=C/CCN1CCOC[C@@]1(C)CC ZINC000341306378 386891665 /nfs/dbraw/zinc/89/16/65/386891665.db2.gz WBNDBEQBVMLDMH-KTRBRXNASA-N 0 3 211.349 2.844 20 0 BFADHN CCc1noc(C)c1CN1CC[C@@H](C)[C@H]1C ZINC000334789602 386854065 /nfs/dbraw/zinc/85/40/65/386854065.db2.gz ZRIWUMYDKHNCJO-NXEZZACHSA-N 0 3 222.332 2.776 20 0 BFADHN CC(C)n1ccc(C[C@@H](C)NCc2ccco2)n1 ZINC000341209177 386855312 /nfs/dbraw/zinc/85/53/12/386855312.db2.gz QBXBBRKYHKLLNA-GFCCVEGCSA-N 0 3 247.342 2.778 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1ccc(Cl)cn1 ZINC000425345030 386857171 /nfs/dbraw/zinc/85/71/71/386857171.db2.gz JOZFHGATYVJSBL-QWRGUYRKSA-N 0 3 242.775 2.719 20 0 BFADHN C[C@H](NC1CCCCCC1)c1ccn(C)n1 ZINC000334793201 386859731 /nfs/dbraw/zinc/85/97/31/386859731.db2.gz UVCMVBHFZAPDIC-NSHDSACASA-N 0 3 221.348 2.794 20 0 BFADHN CC[C@H]1CCCN1Cc1cnn(CC(C)C)c1 ZINC000341192196 386861736 /nfs/dbraw/zinc/86/17/36/386861736.db2.gz RVJXMFAHGQMGMY-AWEZNQCLSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@@H](NC[C@@]1(O)CCCC1(C)C)c1ccccn1 ZINC000293707001 386862665 /nfs/dbraw/zinc/86/26/65/386862665.db2.gz SBXPULYYECTFFH-DOMZBBRYSA-N 0 3 248.370 2.673 20 0 BFADHN Cc1ccc(CN2CCC[C@H]3CCC[C@@H]32)nn1 ZINC000334828205 386864436 /nfs/dbraw/zinc/86/44/36/386864436.db2.gz YNYROVVDHUGKKF-OCCSQVGLSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1nocc1CN1CCC[C@H](C)CC1 ZINC000335745997 386867308 /nfs/dbraw/zinc/86/73/08/386867308.db2.gz KNBFCHCSZIJICZ-JTQLQIEISA-N 0 3 208.305 2.605 20 0 BFADHN C[C@H]1CC2(CCC2)CN1Cc1ccccn1 ZINC000335746954 386869493 /nfs/dbraw/zinc/86/94/93/386869493.db2.gz LZZUQOXYCWVXEE-LBPRGKRZSA-N 0 3 216.328 2.846 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@H]1C[C@]1(F)c1ccccc1 ZINC000425351006 386871250 /nfs/dbraw/zinc/87/12/50/386871250.db2.gz IEAQCTDDVUCBTR-RFHZTLPTSA-N 0 3 219.303 2.869 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@H](C(C)(C)C)C1 ZINC000335747533 386871637 /nfs/dbraw/zinc/87/16/37/386871637.db2.gz JOSSVTHTUQOATA-RYUDHWBXSA-N 0 3 233.359 2.906 20 0 BFADHN CCC[C@H](N[C@H](C)C[C@@H](C)O)c1ccccn1 ZINC000341271247 386871840 /nfs/dbraw/zinc/87/18/40/386871840.db2.gz GUSHKBHLVBFTQO-BZPMIXESSA-N 0 3 236.359 2.672 20 0 BFADHN Cc1sccc1CN1CCC(C)(CO)CC1 ZINC000293751730 386872226 /nfs/dbraw/zinc/87/22/26/386872226.db2.gz YCKCLSXRCYRQMT-UHFFFAOYSA-N 0 3 239.384 2.651 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@@H](C)[C@H](C)C2)n1C ZINC000341275862 386874237 /nfs/dbraw/zinc/87/42/37/386874237.db2.gz FODJFDITDOQHHJ-NQBHXWOUSA-N 0 3 235.375 2.643 20 0 BFADHN CCc1cnc(CN2C[C@H]3CCC[C@@H]3C2)s1 ZINC000335750118 386874950 /nfs/dbraw/zinc/87/49/50/386874950.db2.gz JUCRJKDSQAVVTC-GHMZBOCLSA-N 0 3 236.384 2.937 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cc(C)c(C)o1)C1CC1 ZINC000293870223 386894676 /nfs/dbraw/zinc/89/46/76/386894676.db2.gz ZPSCEKJKBZUEMM-YGRLFVJLSA-N 0 3 237.343 2.972 20 0 BFADHN CCc1cnc(CN2C[C@@H]3CCC[C@H]3C2)s1 ZINC000335750120 386875151 /nfs/dbraw/zinc/87/51/51/386875151.db2.gz JUCRJKDSQAVVTC-QWRGUYRKSA-N 0 3 236.384 2.937 20 0 BFADHN Cc1occc1CN1CCN(C2CC2)C[C@@H](C)C1 ZINC000334835620 386876068 /nfs/dbraw/zinc/87/60/68/386876068.db2.gz NYDDZVGOAPSXEX-LBPRGKRZSA-N 0 3 248.370 2.504 20 0 BFADHN Cc1ccc(CN2CC[C@@]3(CC[C@H](C)C3)C2)nn1 ZINC000334835729 386876302 /nfs/dbraw/zinc/87/63/02/386876302.db2.gz IFCORXPEUYJQQC-SWLSCSKDSA-N 0 3 245.370 2.797 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](F)C1)c1ccc(F)cn1 ZINC000336739244 386877660 /nfs/dbraw/zinc/87/76/60/386877660.db2.gz LBTZNHYHRFFDMV-NGZCFLSTSA-N 0 3 226.270 2.762 20 0 BFADHN CSc1ccccc1CN(CCO)C(C)C ZINC000358041900 386883870 /nfs/dbraw/zinc/88/38/70/386883870.db2.gz YANDQZZLJBEVEK-UHFFFAOYSA-N 0 3 239.384 2.611 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cnc2ccccc2c1 ZINC000358059832 386885186 /nfs/dbraw/zinc/88/51/86/386885186.db2.gz JKYIJFBYUVKEIN-NWDGAFQWSA-N 0 3 244.338 2.748 20 0 BFADHN C[C@H]1C[C@@H](O)CN1Cc1cc2cc(F)ccc2o1 ZINC000334841015 386885685 /nfs/dbraw/zinc/88/56/85/386885685.db2.gz WNFYSVLAUBUBJB-JOYOIKCWSA-N 0 3 249.285 2.527 20 0 BFADHN CN(C[C@H]1CCC2(CCCC2)O1)C1CC1 ZINC000335759210 386888021 /nfs/dbraw/zinc/88/80/21/386888021.db2.gz CUKDQZNEWSPJMB-GFCCVEGCSA-N 0 3 209.333 2.572 20 0 BFADHN CN(C[C@@H]1CCC2(CCCC2)O1)C1CC1 ZINC000335759211 386888402 /nfs/dbraw/zinc/88/84/02/386888402.db2.gz CUKDQZNEWSPJMB-LBPRGKRZSA-N 0 3 209.333 2.572 20 0 BFADHN CC/C=C/CCN1CCOCC12CCCC2 ZINC000341300277 386889251 /nfs/dbraw/zinc/88/92/51/386889251.db2.gz PNEGMZHFECTHMB-ONEGZZNKSA-N 0 3 223.360 2.988 20 0 BFADHN CCOC(=O)CN(CC(CC)CC)C(C)C ZINC000148939473 386889670 /nfs/dbraw/zinc/88/96/70/386889670.db2.gz IEKIZTMRJHWUHK-UHFFFAOYSA-N 0 3 229.364 2.696 20 0 BFADHN C/C(=C/c1ccccc1)CNCc1c[nH]nc1C ZINC000340443724 386890584 /nfs/dbraw/zinc/89/05/84/386890584.db2.gz XRQMOOHOQJWFSU-WQLSENKSSA-N 0 3 241.338 2.911 20 0 BFADHN CCC[C@@H]1CCCCN1C(=O)CN(C)CCC ZINC000349590960 386890904 /nfs/dbraw/zinc/89/09/04/386890904.db2.gz TXPHFICWIOHRSD-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CC1=C(c2ccco2)CN(C[C@H]2CCCO2)CC1 ZINC000335769698 386913986 /nfs/dbraw/zinc/91/39/86/386913986.db2.gz CRZSBLKEEQTMCJ-CYBMUJFWSA-N 0 3 247.338 2.938 20 0 BFADHN C[C@H](CCN1CC2(C1)CCOC2)c1ccccc1 ZINC000293893985 386898566 /nfs/dbraw/zinc/89/85/66/386898566.db2.gz DWFHQCLQSYUIMP-CQSZACIVSA-N 0 3 245.366 2.903 20 0 BFADHN CCc1c(C)nc2ccccc2c1NC[C@@H](C)O ZINC000302078384 386901876 /nfs/dbraw/zinc/90/18/76/386901876.db2.gz ZCQSAPYBDKDRGO-SNVBAGLBSA-N 0 3 244.338 2.898 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc3c(n2)CCC3)CS1 ZINC000334852080 386902081 /nfs/dbraw/zinc/90/20/81/386902081.db2.gz FPCPNKZCHNHVCC-MFKMUULPSA-N 0 3 248.395 2.554 20 0 BFADHN Cc1ccc2nccc(NC[C@@H](O)C3CC3)c2c1 ZINC000302106862 386904069 /nfs/dbraw/zinc/90/40/69/386904069.db2.gz AASQMNLWICDTLG-OAHLLOKOSA-N 0 3 242.322 2.726 20 0 BFADHN Cc1ccc(CNC23CCC(CC2)CC3)nn1 ZINC000334854153 386905003 /nfs/dbraw/zinc/90/50/03/386905003.db2.gz OVFUUBLHJTXCSZ-UHFFFAOYSA-N 0 3 231.343 2.597 20 0 BFADHN C[C@H]1C[C@@H](NCc2cc3cccnc3o2)CS1 ZINC000334855668 386906738 /nfs/dbraw/zinc/90/67/38/386906738.db2.gz ZLKAHZKFFOLPNL-GXSJLCMTSA-N 0 3 248.351 2.811 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2ccncc2Cl)C1 ZINC000335766046 386908017 /nfs/dbraw/zinc/90/80/17/386908017.db2.gz IUTSYEONMBKSTB-ZJUUUORDSA-N 0 3 224.735 2.965 20 0 BFADHN CC[C@]1(C)CCN(Cc2cnoc2C)C1 ZINC000335766258 386908763 /nfs/dbraw/zinc/90/87/63/386908763.db2.gz DGQYCPLYVJMYEZ-GFCCVEGCSA-N 0 3 208.305 2.605 20 0 BFADHN CC(C)C1CC(N[C@H](C)c2cnn(C)c2)C1 ZINC000303870352 386909519 /nfs/dbraw/zinc/90/95/19/386909519.db2.gz LASTXSFOLRWUIV-XSRFYTQQSA-N 0 3 221.348 2.505 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)[C@@H]1CC[C@@H](C)C1 ZINC000334864891 386922099 /nfs/dbraw/zinc/92/20/99/386922099.db2.gz PRIOCLDHOLXRLD-BXKDBHETSA-N 0 3 221.348 2.647 20 0 BFADHN Cn1ncc2c1CCCN(C[C@@H]1CC=CCC1)C2 ZINC000334866429 386924497 /nfs/dbraw/zinc/92/44/97/386924497.db2.gz WAZGSFRILPQYNC-CYBMUJFWSA-N 0 3 245.370 2.525 20 0 BFADHN CC[C@H]1CCCCCN1Cc1cncnc1OC ZINC000648009782 386925282 /nfs/dbraw/zinc/92/52/82/386925282.db2.gz XFFVVYXNWYGTNC-ZDUSSCGKSA-N 0 3 249.358 2.640 20 0 BFADHN CCc1ncc(CN2CCCCC[C@@H]2C)cn1 ZINC000334869741 386928084 /nfs/dbraw/zinc/92/80/84/386928084.db2.gz ZMNGVLIKNJGPDI-LBPRGKRZSA-N 0 3 233.359 2.804 20 0 BFADHN CCC[C@@H](NCc1ccnc(OC)n1)C1CCC1 ZINC000395111750 386929652 /nfs/dbraw/zinc/92/96/52/386929652.db2.gz CLBHREUJRVRNAT-CYBMUJFWSA-N 0 3 249.358 2.544 20 0 BFADHN COc1ccncc1CN[C@@H](C)CC1CCC1 ZINC000645467360 386931042 /nfs/dbraw/zinc/93/10/42/386931042.db2.gz YIRRXRNMCZRASZ-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN COC[C@H](C)N[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000358302841 386931433 /nfs/dbraw/zinc/93/14/33/386931433.db2.gz SXNJIBDFCLWRPU-JGVFFNPUSA-N 0 3 247.260 2.789 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCC[C@H](C)C2)cn1 ZINC000334872414 386932487 /nfs/dbraw/zinc/93/24/87/386932487.db2.gz XMALVNXCEDUHLW-FZMZJTMJSA-N 0 3 233.359 2.796 20 0 BFADHN CCC[C@H]1CCCCN1Cc1ccnc(C)n1 ZINC000352896230 386938233 /nfs/dbraw/zinc/93/82/33/386938233.db2.gz ZPXDJAUURRHVHL-AWEZNQCLSA-N 0 3 233.359 2.940 20 0 BFADHN Cc1cc(O)ccc1NC(=O)C(C)C(F)(F)F ZINC000334877447 386938319 /nfs/dbraw/zinc/93/83/19/386938319.db2.gz QCOZITCVJVQHPK-ZETCQYMHSA-N 0 3 247.216 2.838 20 0 BFADHN CC[C@@H](NCc1ccnc(OC)n1)C1CCCC1 ZINC000395142468 386944083 /nfs/dbraw/zinc/94/40/83/386944083.db2.gz ZGUMUJBLWBFEJL-CYBMUJFWSA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@H](COC)N[C@H](c1cccnc1)C1CC1 ZINC000358380125 386945337 /nfs/dbraw/zinc/94/53/37/386945337.db2.gz XBCXETAJGURNMU-KGLIPLIRSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@H](N[C@H]1CC2CCC1CC2)c1ccn(C)n1 ZINC000334823687 386953749 /nfs/dbraw/zinc/95/37/49/386953749.db2.gz KAABAZHQYGKODZ-BBCYWQGDSA-N 0 3 233.359 2.649 20 0 BFADHN COc1nccc(CN[C@@H]2CCCCC2(C)C)n1 ZINC000395165176 386954687 /nfs/dbraw/zinc/95/46/87/386954687.db2.gz WGCSMNBXSUWKOE-GFCCVEGCSA-N 0 3 249.358 2.544 20 0 BFADHN Cc1nc(CNC23CCC(CC2)C3)cs1 ZINC000368265569 386955289 /nfs/dbraw/zinc/95/52/89/386955289.db2.gz WLYVJQRJNOZNMO-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN CCc1ncc(CN2CCC[C@@H]3CCC[C@@H]32)cn1 ZINC000334826321 386958757 /nfs/dbraw/zinc/95/87/57/386958757.db2.gz PQDOZLMYLRUIFN-KBPBESRZSA-N 0 3 245.370 2.804 20 0 BFADHN CCc1ccc(NC(=O)[C@H]2C[C@H](C)CCN2)cc1 ZINC000126013811 386965995 /nfs/dbraw/zinc/96/59/95/386965995.db2.gz VDBHGKGOLJMSGE-BXUZGUMPSA-N 0 3 246.354 2.576 20 0 BFADHN Cc1cc(C)cc(NC(=O)[C@H]2C[C@@H](C)CCN2)c1 ZINC000126011505 386966396 /nfs/dbraw/zinc/96/63/96/386966396.db2.gz FABPUYILNVBALQ-IINYFYTJSA-N 0 3 246.354 2.630 20 0 BFADHN Cc1ccc(NC(=O)[C@@H]2C[C@H](C)CCN2)cc1C ZINC000126032740 386968584 /nfs/dbraw/zinc/96/85/84/386968584.db2.gz RNMORLSAJVTZIF-YGRLFVJLSA-N 0 3 246.354 2.630 20 0 BFADHN Cc1sccc1CN1C[C@H](C)N(C)C[C@H]1C ZINC000352962210 386977113 /nfs/dbraw/zinc/97/71/13/386977113.db2.gz KSCCHPRDKIEGHV-WDEREUQCSA-N 0 3 238.400 2.581 20 0 BFADHN CN(Cc1ccc(C2CCC2)cc1)C1(CO)CC1 ZINC000353024109 386980655 /nfs/dbraw/zinc/98/06/55/386980655.db2.gz OLSCZDMVEQBQJC-UHFFFAOYSA-N 0 3 245.366 2.911 20 0 BFADHN CC(C)[C@H](NCCO)c1cc2ccccc2o1 ZINC000341875888 386981661 /nfs/dbraw/zinc/98/16/61/386981661.db2.gz LAMVMXRVJWJUHH-AWEZNQCLSA-N 0 3 233.311 2.712 20 0 BFADHN FC(F)OC[C@H]1CCN(Cc2ccccc2)C1 ZINC000353029223 386982378 /nfs/dbraw/zinc/98/23/78/386982378.db2.gz PUCXAAUVMQRELP-LBPRGKRZSA-N 0 3 241.281 2.748 20 0 BFADHN CCCC1(CNCc2n[nH]cc2C)CCC1 ZINC000336745262 386986982 /nfs/dbraw/zinc/98/69/82/386986982.db2.gz VNEKLXDWCVTNPP-UHFFFAOYSA-N 0 3 221.348 2.778 20 0 BFADHN CC[C@@H](C)[C@H](C)[NH2+]Cc1nnc(C(C)(C)C)[n-]1 ZINC000331195142 386988311 /nfs/dbraw/zinc/98/83/11/386988311.db2.gz NEJWFQORSORBQP-ZJUUUORDSA-N 0 3 238.379 2.626 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1nnc(C(C)(C)C)[nH]1 ZINC000331195142 386988316 /nfs/dbraw/zinc/98/83/16/386988316.db2.gz NEJWFQORSORBQP-ZJUUUORDSA-N 0 3 238.379 2.626 20 0 BFADHN CC[C@]1(C)COCCN1CCCCCF ZINC000341916300 386992097 /nfs/dbraw/zinc/99/20/97/386992097.db2.gz VXWHVIARCJTCKQ-GFCCVEGCSA-N 0 3 217.328 2.627 20 0 BFADHN CC(C)(C)CCN[C@H](CO)c1cccc(F)c1 ZINC000341919256 386992192 /nfs/dbraw/zinc/99/21/92/386992192.db2.gz HUPYUZKLKHLOPC-CYBMUJFWSA-N 0 3 239.334 2.885 20 0 BFADHN FC(F)COCCN1CCC2(CCC2)CC1 ZINC000368619571 386993818 /nfs/dbraw/zinc/99/38/18/386993818.db2.gz QAOXZYRLCWVHAT-UHFFFAOYSA-N 0 3 233.302 2.534 20 0 BFADHN C/C(Cl)=C/CN1CC[C@H](C)C[C@@H]1[C@@H](C)O ZINC000528476560 387008572 /nfs/dbraw/zinc/00/85/72/387008572.db2.gz UZZXIIUNLHIRHH-QGHQNNDQSA-N 0 3 231.767 2.610 20 0 BFADHN C[C@@H]1N(CCCCCF)CCOC1(C)C ZINC000341895743 386995525 /nfs/dbraw/zinc/99/55/25/386995525.db2.gz WMMSVQBMCFTGFD-NSHDSACASA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@H]1CN(CCCCCF)C[C@H](C)O1 ZINC000341894887 386995560 /nfs/dbraw/zinc/99/55/60/386995560.db2.gz KFLVBWVAACVTGS-RYUDHWBXSA-N 0 3 217.328 2.626 20 0 BFADHN CCC[C@H]1CCCC[C@@H]1NCc1cnccn1 ZINC000514586313 387001028 /nfs/dbraw/zinc/00/10/28/387001028.db2.gz VFDPHWVVQQACQG-JSGCOSHPSA-N 0 3 233.359 2.925 20 0 BFADHN C[C@H](c1cccnc1)N1CCC[C@]2(CCOC2)C1 ZINC000334820869 386949476 /nfs/dbraw/zinc/94/94/76/386949476.db2.gz NKSHLIUUBUWFMC-HIFRSBDPSA-N 0 3 246.354 2.645 20 0 BFADHN CCC(CC)N(CC(=O)N[C@H](C)CC)C1CC1 ZINC000519194763 387030638 /nfs/dbraw/zinc/03/06/38/387030638.db2.gz NIUUNFWLESBMAM-LLVKDONJSA-N 0 3 240.391 2.554 20 0 BFADHN C[C@@]1(O)C[C@H](N[C@@H](c2ccc(F)cc2)C2CC2)C1 ZINC000417404597 387034553 /nfs/dbraw/zinc/03/45/53/387034553.db2.gz OVDRAPNMQPGQJC-KFWWJZLASA-N 0 3 249.329 2.780 20 0 BFADHN CCC[C@H](C(=O)OCC)N1C[C@H](C)C[C@H](C)C1 ZINC000096593423 387041054 /nfs/dbraw/zinc/04/10/54/387041054.db2.gz NWIATJPHXNFHLM-FRRDWIJNSA-N 0 3 241.375 2.696 20 0 BFADHN CCn1cncc1CN1CC[C@]2(CC[C@H](C)C2)C1 ZINC000334962873 387042390 /nfs/dbraw/zinc/04/23/90/387042390.db2.gz XZGCVOXQCQZRTJ-ZFWWWQNUSA-N 0 3 247.386 2.915 20 0 BFADHN COC[C@@H](C)NCc1cc2c(cc(C)cc2C)[nH]1 ZINC000358986082 387042444 /nfs/dbraw/zinc/04/24/44/387042444.db2.gz LJFQNOVIKQLKGQ-GFCCVEGCSA-N 0 3 246.354 2.909 20 0 BFADHN Cc1cc(CN2CC[C@@]3(CC[C@@H](C)C3)C2)n(C)n1 ZINC000334962866 387042978 /nfs/dbraw/zinc/04/29/78/387042978.db2.gz XVVNMSFBEXQAJM-IUODEOHRSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@@H](NC1CCCCCC1)c1nccn1C ZINC000070007374 387043359 /nfs/dbraw/zinc/04/33/59/387043359.db2.gz KDYWELGZMSLBGF-LLVKDONJSA-N 0 3 221.348 2.794 20 0 BFADHN C[C@@H](NCC1(C(F)F)CC1)c1cncc(F)c1 ZINC000359002460 387043766 /nfs/dbraw/zinc/04/37/66/387043766.db2.gz OYWREMBNLUXKBL-MRVPVSSYSA-N 0 3 244.260 2.917 20 0 BFADHN CC(C)c1cc(CN[C@@H]2CSC[C@H]2C)on1 ZINC000648452354 387045216 /nfs/dbraw/zinc/04/52/16/387045216.db2.gz YOTMYIVKUKFRHL-BXKDBHETSA-N 0 3 240.372 2.639 20 0 BFADHN CCS[C@@H]1CCC[C@@H]1NCc1cnoc1C ZINC000336764203 387049374 /nfs/dbraw/zinc/04/93/74/387049374.db2.gz MYZQOKQFSGLTJH-NWDGAFQWSA-N 0 3 240.372 2.747 20 0 BFADHN CC[C@H](NC[C@H]1CC[C@@H](C)C1)c1nccn1C ZINC000359053066 387050765 /nfs/dbraw/zinc/05/07/65/387050765.db2.gz ZIDASFONEGRPHA-AGIUHOORSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H](CO)CC1CCC1 ZINC000359053029 387051122 /nfs/dbraw/zinc/05/11/22/387051122.db2.gz ZGDMMGAREHKFTE-OCCSQVGLSA-N 0 3 248.370 2.592 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1nccn1CC ZINC000519309674 387054782 /nfs/dbraw/zinc/05/47/82/387054782.db2.gz MMXGBPFBQAMKFQ-CHWSQXEVSA-N 0 3 235.375 2.819 20 0 BFADHN CCC[C@@H](C(=O)OCC)N(C)C[C@@H](C)CC ZINC000096867344 387056126 /nfs/dbraw/zinc/05/61/26/387056126.db2.gz PEAIHQZMZCBZKG-RYUDHWBXSA-N 0 3 229.364 2.696 20 0 BFADHN C[C@@H](O)C[C@@H](C)NCc1cc2ccccc2o1 ZINC000228069762 387063160 /nfs/dbraw/zinc/06/31/60/387063160.db2.gz OPXLZOPXDDDLAJ-GHMZBOCLSA-N 0 3 233.311 2.682 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@@H](C)c1cc(F)ccc1F ZINC000228067671 387063922 /nfs/dbraw/zinc/06/39/22/387063922.db2.gz BTJACJLGUJYHIJ-UTLUCORTSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H](NC1CCC(O)CC1)c1ccccc1F ZINC000019918698 387064006 /nfs/dbraw/zinc/06/40/06/387064006.db2.gz ACYHMEDVSCAQDH-VOMCLLRMSA-N 0 3 237.318 2.780 20 0 BFADHN CC(C)C[C@H](N[C@@H]1CO[C@@H](C)C1)c1ccccn1 ZINC000514603913 387009946 /nfs/dbraw/zinc/00/99/46/387009946.db2.gz ZGAWEXSVVHMRBZ-YDHLFZDLSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@@]1(CNCc2ccco2)CCO[C@H]1C1CC1 ZINC000368768874 387010236 /nfs/dbraw/zinc/01/02/36/387010236.db2.gz YXMXZVKKYZWOBL-KBPBESRZSA-N 0 3 235.327 2.574 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@@](C)(N)CCC ZINC000226076013 387010960 /nfs/dbraw/zinc/01/09/60/387010960.db2.gz CMEVWUIHDCONFK-JSGCOSHPSA-N 0 3 242.407 2.979 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1C[C@@H](O)C2(CC2)C1 ZINC000368792107 387011801 /nfs/dbraw/zinc/01/18/01/387011801.db2.gz USUSNTRVTGYLNM-ZIAGYGMSSA-N 0 3 249.329 2.734 20 0 BFADHN CCC[C@@]1(NCc2ccc(CC)nc2)CCOC1 ZINC000353036965 387012356 /nfs/dbraw/zinc/01/23/56/387012356.db2.gz UOTNKJKSLLCAFL-OAHLLOKOSA-N 0 3 248.370 2.693 20 0 BFADHN CC(=O)CNC(C)(C)CCc1ccccc1 ZINC000639470860 387015001 /nfs/dbraw/zinc/01/50/01/387015001.db2.gz JIESCJCWDVFFBS-UHFFFAOYSA-N 0 3 219.328 2.576 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C3(CCC3)C2)n(C)n1 ZINC000334942004 387018148 /nfs/dbraw/zinc/01/81/48/387018148.db2.gz BOZTXKQSTDHSAK-LBPRGKRZSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1nocc1CN(C)C1CCC(C)CC1 ZINC000334941970 387018183 /nfs/dbraw/zinc/01/81/83/387018183.db2.gz TWQHIHVUJDPOEA-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@H](N[C@@H](C)c1nccn1C)[C@@H]1CC1(C)C ZINC000417400307 387021049 /nfs/dbraw/zinc/02/10/49/387021049.db2.gz JSBWJIISNWRRRP-SRVKXCTJSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@H](N[C@@H](C)c1nccn1C)[C@H]1CC1(C)C ZINC000417400308 387021132 /nfs/dbraw/zinc/02/11/32/387021132.db2.gz JSBWJIISNWRRRP-TUAOUCFPSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@H]1CCCN(Cc2ccc(C)nn2)CC1 ZINC000334880545 387024045 /nfs/dbraw/zinc/02/40/45/387024045.db2.gz SRYOPBAAIMNGPR-ZDUSSCGKSA-N 0 3 233.359 2.797 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1ccc(C)c(C)n1 ZINC000425366765 387024658 /nfs/dbraw/zinc/02/46/58/387024658.db2.gz GDMCBTSAUUPXKT-OLZOCXBDSA-N 0 3 236.384 2.682 20 0 BFADHN C[C@H](CSc1ccc(Cl)cn1)N(C)C ZINC000358860566 387026219 /nfs/dbraw/zinc/02/62/19/387026219.db2.gz DWXSGBHARJMNKI-MRVPVSSYSA-N 0 3 230.764 2.777 20 0 BFADHN C[C@@H](CSc1ccc(Cl)cn1)N(C)C ZINC000358860567 387026262 /nfs/dbraw/zinc/02/62/62/387026262.db2.gz DWXSGBHARJMNKI-QMMMGPOBSA-N 0 3 230.764 2.777 20 0 BFADHN Cc1noc(C)c1CNCC1(C(F)(F)F)CC1 ZINC000353045845 387027633 /nfs/dbraw/zinc/02/76/33/387027633.db2.gz ITDGVNGDGAAOHH-UHFFFAOYSA-N 0 3 248.248 2.724 20 0 BFADHN C[C@@H]1CN(Cc2cccc(C3CC3)c2)CC[C@@H]1O ZINC000334981275 387068784 /nfs/dbraw/zinc/06/87/84/387068784.db2.gz FDBDARHWHFCKLU-WBMJQRKESA-N 0 3 245.366 2.767 20 0 BFADHN Cc1occc1CNCCOc1ccccc1 ZINC000321272406 387069544 /nfs/dbraw/zinc/06/95/44/387069544.db2.gz RWXCZPWUHIKJKH-UHFFFAOYSA-N 0 3 231.295 2.757 20 0 BFADHN CCN(CC)Cc1c(C)cc(OC)nc1C ZINC000359219232 387070711 /nfs/dbraw/zinc/07/07/11/387070711.db2.gz DPZBYDLQWVKMSV-UHFFFAOYSA-N 0 3 222.332 2.549 20 0 BFADHN C[C@H](CC1CCCCC1)NCc1cc[nH]n1 ZINC000193274352 387072646 /nfs/dbraw/zinc/07/26/46/387072646.db2.gz FTECLTJZQHVHLJ-LLVKDONJSA-N 0 3 221.348 2.858 20 0 BFADHN c1cc(CNC2CC3(C2)CCCCC3)n[nH]1 ZINC000334985765 387073923 /nfs/dbraw/zinc/07/39/23/387073923.db2.gz OLNTZMDYLGDBOF-UHFFFAOYSA-N 0 3 219.332 2.612 20 0 BFADHN C[N@@H+](Cc1cc([O-])cc(F)c1)CC1CCC1 ZINC000186152021 387074300 /nfs/dbraw/zinc/07/43/00/387074300.db2.gz DZQHYKQBMFRQFO-UHFFFAOYSA-N 0 3 223.291 2.763 20 0 BFADHN C[N@H+](Cc1cc([O-])cc(F)c1)CC1CCC1 ZINC000186152021 387074302 /nfs/dbraw/zinc/07/43/02/387074302.db2.gz DZQHYKQBMFRQFO-UHFFFAOYSA-N 0 3 223.291 2.763 20 0 BFADHN C[C@H]1CN(C[C@@H]2CCC(C)(C)O2)CCC1(F)F ZINC000334987130 387075310 /nfs/dbraw/zinc/07/53/10/387075310.db2.gz HYVOWSXQGZOPAU-QWRGUYRKSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC(C)(C)O2)CCC1(F)F ZINC000334987128 387075526 /nfs/dbraw/zinc/07/55/26/387075526.db2.gz HYVOWSXQGZOPAU-MNOVXSKESA-N 0 3 247.329 2.921 20 0 BFADHN C[C@H](O)C[C@H](C)Nc1ccnc2ccccc21 ZINC000228131873 387078211 /nfs/dbraw/zinc/07/82/11/387078211.db2.gz SPTIMVZDJQGNJG-QWRGUYRKSA-N 0 3 230.311 2.806 20 0 BFADHN C[C@@H](c1ccccc1)N1CCOCC2(CC2)C1 ZINC000334989703 387079466 /nfs/dbraw/zinc/07/94/66/387079466.db2.gz ROMLTYQGXTYELJ-ZDUSSCGKSA-N 0 3 231.339 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@H](C)[C@H]2C)n1 ZINC000228159783 387079553 /nfs/dbraw/zinc/07/95/53/387079553.db2.gz HFHFANMFOGCAOD-CMPLNLGQSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@H](C)[C@H]2C)n1 ZINC000228159783 387079556 /nfs/dbraw/zinc/07/95/56/387079556.db2.gz HFHFANMFOGCAOD-CMPLNLGQSA-N 0 3 234.343 2.716 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1N[C@@H]1COC(C)(C)C1 ZINC000418063145 387080534 /nfs/dbraw/zinc/08/05/34/387080534.db2.gz HSRDSZCUUYDFKX-COPLHBTASA-N 0 3 247.338 2.666 20 0 BFADHN COCCCCN1Cc2ccccc2C2(CC2)C1 ZINC000186202908 387080839 /nfs/dbraw/zinc/08/08/39/387080839.db2.gz HJTFODZNBFSESL-UHFFFAOYSA-N 0 3 245.366 2.960 20 0 BFADHN C[C@@H](NC[C@@H]1CC=CCC1)c1ccon1 ZINC000336765766 387082254 /nfs/dbraw/zinc/08/22/54/387082254.db2.gz RMPQTEQZIJVBEK-GHMZBOCLSA-N 0 3 206.289 2.682 20 0 BFADHN CC(C)[C@@H]1CCCC[C@H]1NCc1ncc[nH]1 ZINC000066593867 387085523 /nfs/dbraw/zinc/08/55/23/387085523.db2.gz KIQLVJGJLPUDRD-NWDGAFQWSA-N 0 3 221.348 2.714 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cncn1CC ZINC000418075825 387087194 /nfs/dbraw/zinc/08/71/94/387087194.db2.gz FPMPVCGJHKOPJB-GFCCVEGCSA-N 0 3 223.364 2.914 20 0 BFADHN CC[C@H](C)[C@H](O)CNCc1cc(Cl)cs1 ZINC000193100849 387088385 /nfs/dbraw/zinc/08/83/85/387088385.db2.gz JGPUKTLAOKQIPG-GZMMTYOYSA-N 0 3 247.791 2.898 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ccncc1CC ZINC000359342549 387089417 /nfs/dbraw/zinc/08/94/17/387089417.db2.gz ODQFTZXGWGDYDS-NWDGAFQWSA-N 0 3 236.359 2.720 20 0 BFADHN CC1(C)C[C@@H](N[C@@H]2C[C@H]2c2ccccc2F)CO1 ZINC000418088105 387096437 /nfs/dbraw/zinc/09/64/37/387096437.db2.gz JJEOQCQPHAEADX-SCDSUCTJSA-N 0 3 249.329 2.839 20 0 BFADHN CCc1cnc(CNC[C@H]2CC23CC3)s1 ZINC000418093708 387099522 /nfs/dbraw/zinc/09/95/22/387099522.db2.gz JFIWVAVACUESTA-SECBINFHSA-N 0 3 222.357 2.595 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2)CC(C)(C)O1 ZINC000068477121 387105414 /nfs/dbraw/zinc/10/54/14/387105414.db2.gz LYKMHHXMVCMOIU-CYBMUJFWSA-N 0 3 233.355 2.728 20 0 BFADHN CO[C@H](CNCc1ccsc1)CC(C)C ZINC000418097791 387108607 /nfs/dbraw/zinc/10/86/07/387108607.db2.gz LOPNGQFYJQZVKB-LBPRGKRZSA-N 0 3 227.373 2.899 20 0 BFADHN CC(C)C[C@@H]1CCCN(Cc2cncn2C)C1 ZINC000119214557 387108979 /nfs/dbraw/zinc/10/89/79/387108979.db2.gz HWCXOIFRFOEMSG-ZDUSSCGKSA-N 0 3 235.375 2.678 20 0 BFADHN CO[C@@H](CNCc1ccsc1)CC(C)C ZINC000418097790 387109181 /nfs/dbraw/zinc/10/91/81/387109181.db2.gz LOPNGQFYJQZVKB-GFCCVEGCSA-N 0 3 227.373 2.899 20 0 BFADHN Cn1c2ccccc2nc1C(C)(C)NCCCF ZINC000186579067 387109495 /nfs/dbraw/zinc/10/94/95/387109495.db2.gz VONXCXCFTZXCFJ-UHFFFAOYSA-N 0 3 249.333 2.758 20 0 BFADHN CCCN(C)[C@H](C)C(=O)Nc1ccc(C)cc1C ZINC000069092005 387111893 /nfs/dbraw/zinc/11/18/93/387111893.db2.gz KPCJAXAMGMPHSN-CYBMUJFWSA-N 0 3 248.370 2.972 20 0 BFADHN CCOC[C@H](NCc1cccnc1C)C(C)C ZINC000188861715 387112425 /nfs/dbraw/zinc/11/24/25/387112425.db2.gz WGLONFNCRQVYQM-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1ccc(F)c(CNC[C@]2(C)CCCO2)c1 ZINC000228780716 387114232 /nfs/dbraw/zinc/11/42/32/387114232.db2.gz FUNKJKUVVSBBKM-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN CCC1(C)CN(C[C@H](O)C2CCCCC2)C1 ZINC000359514290 387115733 /nfs/dbraw/zinc/11/57/33/387115733.db2.gz XJNPMQQHLNLUAM-ZDUSSCGKSA-N 0 3 225.376 2.660 20 0 BFADHN CSCCN1C[C@H](C)C[C@H]1c1cccnc1 ZINC000370446762 387117258 /nfs/dbraw/zinc/11/72/58/387117258.db2.gz HBOLASREBQSLDW-YPMHNXCESA-N 0 3 236.384 2.828 20 0 BFADHN CO[C@@H](CNCc1ccc(C)cn1)CC(C)C ZINC000418096573 387117614 /nfs/dbraw/zinc/11/76/14/387117614.db2.gz KCMUOAZKGVQFCK-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN CCCn1cc(CN2CCC[C@@H](CC)C2)cn1 ZINC000119312616 387118730 /nfs/dbraw/zinc/11/87/30/387118730.db2.gz DLXLUQWKIMDOMH-CYBMUJFWSA-N 0 3 235.375 2.915 20 0 BFADHN C[C@H](O)C[C@H](C)N[C@@H](C)c1cccc(F)c1F ZINC000228809557 387120382 /nfs/dbraw/zinc/12/03/82/387120382.db2.gz NKADWXFZZFCWHU-GUBZILKMSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H]1CCCC[C@]1(C)NCc1nnc(C2CC2)[nH]1 ZINC000459616023 387122542 /nfs/dbraw/zinc/12/25/42/387122542.db2.gz PXXXLVQYCKIRMQ-YGRLFVJLSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@H](N)C(=O)N1C[C@@H](C(C)(C)C)c2ccccc21 ZINC000335029952 387125450 /nfs/dbraw/zinc/12/54/50/387125450.db2.gz LSFYFADLHNBXPK-CMPLNLGQSA-N 0 3 246.354 2.510 20 0 BFADHN Cc1cnccc1CN1CC[C@@H]1C(C)C ZINC000336226593 387067628 /nfs/dbraw/zinc/06/76/28/387067628.db2.gz XYMIWYUBZQQFAL-CYBMUJFWSA-N 0 3 204.317 2.620 20 0 BFADHN CCn1nccc1CNCCCC1CCCC1 ZINC000229186650 387143869 /nfs/dbraw/zinc/14/38/69/387143869.db2.gz MKIYRYZTPKKVMJ-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN Cc1ccc(C(C)(C)NCc2cc[nH]c2)cn1 ZINC000353151105 387144888 /nfs/dbraw/zinc/14/48/88/387144888.db2.gz JTRNIIKCQCWOEE-UHFFFAOYSA-N 0 3 229.327 2.743 20 0 BFADHN Cc1ccncc1CN(C)CC[C@H](C)F ZINC000352393731 387145211 /nfs/dbraw/zinc/14/52/11/387145211.db2.gz BFMUJOHUDVSVQE-NSHDSACASA-N 0 3 210.296 2.570 20 0 BFADHN C[C@@H](F)CCN1CC[C@H](n2cc(Cl)cn2)C1 ZINC000352398515 387145632 /nfs/dbraw/zinc/14/56/32/387145632.db2.gz PCLPLFBRHMENEF-KOLCDFICSA-N 0 3 245.729 2.531 20 0 BFADHN Cc1ccncc1CN(C)CC[C@@H](C)F ZINC000352393730 387145866 /nfs/dbraw/zinc/14/58/66/387145866.db2.gz BFMUJOHUDVSVQE-LLVKDONJSA-N 0 3 210.296 2.570 20 0 BFADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1cnn(C)c1 ZINC000336320138 387147784 /nfs/dbraw/zinc/14/77/84/387147784.db2.gz AEUILDFOOXSAPC-GXSJLCMTSA-N 0 3 243.301 2.649 20 0 BFADHN FCCCCNCc1ccn(-c2ccccc2)n1 ZINC000336792861 387152142 /nfs/dbraw/zinc/15/21/42/387152142.db2.gz UMFWQYQTTGLLDA-UHFFFAOYSA-N 0 3 247.317 2.712 20 0 BFADHN COC[C@@H](C)NCc1ccc(OCC2CC2)cc1 ZINC000359706150 387152557 /nfs/dbraw/zinc/15/25/57/387152557.db2.gz LJCWCTQMVCGPJF-GFCCVEGCSA-N 0 3 249.354 2.600 20 0 BFADHN CO[C@H](C)CN(C)CCO[C@@H]1CCCC[C@@H]1C ZINC000353202815 387156008 /nfs/dbraw/zinc/15/60/08/387156008.db2.gz KPDXPXCUXZHEIN-BFHYXJOUSA-N 0 3 243.391 2.548 20 0 BFADHN CO[C@H](C)CN(C)C/C=C\c1ccccc1 ZINC000353201573 387156362 /nfs/dbraw/zinc/15/63/62/387156362.db2.gz MVZYDGDPKFZRHV-PGJNLMOESA-N 0 3 219.328 2.667 20 0 BFADHN CCc1ccc(CN(C)C[C@H](C)OC)cc1 ZINC000353202170 387156381 /nfs/dbraw/zinc/15/63/81/387156381.db2.gz JFONTQPHCDOYAQ-LBPRGKRZSA-N 0 3 221.344 2.716 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cccc(O)c1 ZINC000336330946 387156582 /nfs/dbraw/zinc/15/65/82/387156582.db2.gz BVMJSROGEHUQHN-CYBMUJFWSA-N 0 3 205.301 2.623 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc2c(c1)CCC2 ZINC000353216812 387159525 /nfs/dbraw/zinc/15/95/25/387159525.db2.gz GHANEYNMYJZPDG-GFCCVEGCSA-N 0 3 233.355 2.642 20 0 BFADHN Cc1ccc(CN2CCC[C@H]2[C@@H]2CCCO2)nc1 ZINC000336335912 387161818 /nfs/dbraw/zinc/16/18/18/387161818.db2.gz TZJYGULSFVISQL-GJZGRUSLSA-N 0 3 246.354 2.533 20 0 BFADHN CC(C)n1cc(CN2C[C@@H](C)[C@H](C)[C@@H]2C)cn1 ZINC000418133685 387162427 /nfs/dbraw/zinc/16/24/27/387162427.db2.gz NNTVEKUDXNFIIU-AGIUHOORSA-N 0 3 235.375 2.940 20 0 BFADHN C[C@@H]1CN(Cc2cscn2)[C@@H](C)[C@H]1C ZINC000418141487 387164226 /nfs/dbraw/zinc/16/42/26/387164226.db2.gz YBDAYTOBAFXNML-UTLUCORTSA-N 0 3 210.346 2.619 20 0 BFADHN CCN(CC1CCC1)[C@@H](CC(C)C)C(=O)OC ZINC000352406629 387165266 /nfs/dbraw/zinc/16/52/66/387165266.db2.gz NAVARMKVRMRCMM-ZDUSSCGKSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H](N[C@@H]1CCC1(C)C)c1ccccn1 ZINC000284227418 387168194 /nfs/dbraw/zinc/16/81/94/387168194.db2.gz HNRUEASAPXKSBN-CMPLNLGQSA-N 0 3 204.317 2.921 20 0 BFADHN C[C@@H]1CN(Cc2cncs2)[C@@H](C)[C@H]1C ZINC000418136469 387171464 /nfs/dbraw/zinc/17/14/64/387171464.db2.gz RWJWFDZPHVKMTE-UTLUCORTSA-N 0 3 210.346 2.619 20 0 BFADHN CCCc1cccc(CNCCn2cccn2)c1 ZINC000187155854 387173106 /nfs/dbraw/zinc/17/31/06/387173106.db2.gz HUYYAWZAFZJAPA-UHFFFAOYSA-N 0 3 243.354 2.625 20 0 BFADHN CC(C)c1nc(C2CCN(CC3CC3)CC2)no1 ZINC000371212836 387176930 /nfs/dbraw/zinc/17/69/30/387176930.db2.gz NZOZTKDEPYMRCH-UHFFFAOYSA-N 0 3 249.358 2.782 20 0 BFADHN COc1ncc([C@H](C)NC2CC2)cc1Cl ZINC000284431089 387193682 /nfs/dbraw/zinc/19/36/82/387193682.db2.gz RKGCDQGMCNAKBV-ZETCQYMHSA-N 0 3 226.707 2.557 20 0 BFADHN CN(C)CCSCc1cccc(F)c1F ZINC000120198461 387181275 /nfs/dbraw/zinc/18/12/75/387181275.db2.gz LTZODTLBWTVLNJ-UHFFFAOYSA-N 0 3 231.311 2.760 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H]1CCOc2c(C)cccc21 ZINC000353358993 387186614 /nfs/dbraw/zinc/18/66/14/387186614.db2.gz ZDPWUPLTRCEMRD-SCRDCRAPSA-N 0 3 249.354 2.832 20 0 BFADHN Cc1ccc([C@H](C)NCCC2(O)CCC2)o1 ZINC000284348131 387186996 /nfs/dbraw/zinc/18/69/96/387186996.db2.gz MCEXZBZMTNDEDY-NSHDSACASA-N 0 3 223.316 2.544 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H]1CCOc2c(C)cccc21 ZINC000353358991 387188069 /nfs/dbraw/zinc/18/80/69/387188069.db2.gz ZDPWUPLTRCEMRD-BZPMIXESSA-N 0 3 249.354 2.832 20 0 BFADHN Cc1ccc(CNCCC2=CCCC2)nc1 ZINC000120139805 387189645 /nfs/dbraw/zinc/18/96/45/387189645.db2.gz UYLZZYMQCYQERQ-UHFFFAOYSA-N 0 3 216.328 2.980 20 0 BFADHN CC(C)[C@@H](O)CN(C)[C@@H](C)c1cccs1 ZINC000284408133 387189930 /nfs/dbraw/zinc/18/99/30/387189930.db2.gz WWGLPSNUEHQQBB-QWRGUYRKSA-N 0 3 227.373 2.758 20 0 BFADHN Cc1cnc(CN2CCCC[C@@H]2C(C)C)cn1 ZINC000336372762 387203907 /nfs/dbraw/zinc/20/39/07/387203907.db2.gz BCIUIUOIQDLNEL-CQSZACIVSA-N 0 3 233.359 2.796 20 0 BFADHN C[C@@H](CN1CCCCCC1)NCc1ccco1 ZINC000187565476 387204471 /nfs/dbraw/zinc/20/44/71/387204471.db2.gz DRFYDCWDLJJRKT-ZDUSSCGKSA-N 0 3 236.359 2.634 20 0 BFADHN C[C@H](CN1CCCCCC1)NCc1ccco1 ZINC000187565495 387205853 /nfs/dbraw/zinc/20/58/53/387205853.db2.gz DRFYDCWDLJJRKT-CYBMUJFWSA-N 0 3 236.359 2.634 20 0 BFADHN COc1c(O)cccc1CN1[C@H](C)CC[C@@H]1C ZINC000336377788 387206917 /nfs/dbraw/zinc/20/69/17/387206917.db2.gz FTFRAGVMTDCURH-PHIMTYICSA-N 0 3 235.327 2.774 20 0 BFADHN CC(C)Cc1ccc(CN(C)C2CC(O)C2)cc1 ZINC000353468523 387207445 /nfs/dbraw/zinc/20/74/45/387207445.db2.gz OODYCOUUIJQKNC-UHFFFAOYSA-N 0 3 247.382 2.840 20 0 BFADHN COc1c(O)cccc1CN1[C@H](C)CC[C@H]1C ZINC000336377787 387208454 /nfs/dbraw/zinc/20/84/54/387208454.db2.gz FTFRAGVMTDCURH-GHMZBOCLSA-N 0 3 235.327 2.774 20 0 BFADHN CCC[C@H](C)C(=O)N[C@@H](CC(C)C)CN(C)C ZINC000077729607 387208783 /nfs/dbraw/zinc/20/87/83/387208783.db2.gz CIISIZHZGKHATC-STQMWFEESA-N 0 3 242.407 2.515 20 0 BFADHN C[C@@H](F)CCN1CCc2ccsc2C1 ZINC000336379176 387210270 /nfs/dbraw/zinc/21/02/70/387210270.db2.gz MLMSWEKJTFUGBQ-SECBINFHSA-N 0 3 213.321 2.854 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCC[C@H]2CCOC2)o1 ZINC000300418987 387210476 /nfs/dbraw/zinc/21/04/76/387210476.db2.gz KELHJXCTXNSWKV-DYEKYZERSA-N 0 3 249.354 2.919 20 0 BFADHN Cc1sccc1CN1CCC([C@@H](C)O)CC1 ZINC000336380527 387212378 /nfs/dbraw/zinc/21/23/78/387212378.db2.gz UCCBNGLDHHUDCE-SNVBAGLBSA-N 0 3 239.384 2.649 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CCCO2)c(C)s1 ZINC000187804996 387213845 /nfs/dbraw/zinc/21/38/45/387213845.db2.gz COIYZCPUYHLPSB-ZDUSSCGKSA-N 0 3 239.384 2.976 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C)C3(CCC3)C2)cn1 ZINC000336384316 387214415 /nfs/dbraw/zinc/21/44/15/387214415.db2.gz JSOABDLSELJDSE-GFCCVEGCSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@H](C)[C@H](C)C2)n1 ZINC000093480803 387219674 /nfs/dbraw/zinc/21/96/74/387219674.db2.gz HFAPVWHYWPSXIC-WDEREUQCSA-N 0 3 234.343 2.574 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@H](C)[C@H](C)C2)n1 ZINC000093480803 387219681 /nfs/dbraw/zinc/21/96/81/387219681.db2.gz HFAPVWHYWPSXIC-WDEREUQCSA-N 0 3 234.343 2.574 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1snnc1C ZINC000572044612 387220008 /nfs/dbraw/zinc/22/00/08/387220008.db2.gz KPZQZGCXQQGRJH-SECBINFHSA-N 0 3 227.377 2.715 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCCC[C@H]2CO)c1 ZINC000353515284 387221541 /nfs/dbraw/zinc/22/15/41/387221541.db2.gz DJZNVQZSROEISU-MELADBBJSA-N 0 3 248.370 2.592 20 0 BFADHN CCC[C@@H](NC[C@@H](CC)OC)c1ccccn1 ZINC000353557675 387230417 /nfs/dbraw/zinc/23/04/17/387230417.db2.gz HSVFOKVDLWZHCM-CHWSQXEVSA-N 0 3 236.359 2.937 20 0 BFADHN CCC[C@H](NC[C@@H](CC)OC)c1ccccn1 ZINC000353557677 387231307 /nfs/dbraw/zinc/23/13/07/387231307.db2.gz HSVFOKVDLWZHCM-OLZOCXBDSA-N 0 3 236.359 2.937 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)[C@H](C)OC)o1 ZINC000290047016 387233110 /nfs/dbraw/zinc/23/31/10/387233110.db2.gz DVGOQZIYMAQSMH-VWYCJHECSA-N 0 3 225.332 2.916 20 0 BFADHN C[C@@H]1OCC[C@@]1(C)NCc1ccc(F)cc1F ZINC000230151719 387233821 /nfs/dbraw/zinc/23/38/21/387233821.db2.gz JWZNSZYHJGHKMT-TVQRCGJNSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1ccc(C(C)(C)NCCOCC2CC2)cn1 ZINC000353582964 387235795 /nfs/dbraw/zinc/23/57/95/387235795.db2.gz VSSDWAKZGVGYGR-UHFFFAOYSA-N 0 3 248.370 2.641 20 0 BFADHN CCOc1ccc(CN[C@@]2(C)CCO[C@H]2C)cc1 ZINC000230156144 387236220 /nfs/dbraw/zinc/23/62/20/387236220.db2.gz GHXQHMQJEPRXMH-WFASDCNBSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@H]1OCC[C@]1(C)NCc1cccc(Cl)c1 ZINC000230154537 387236512 /nfs/dbraw/zinc/23/65/12/387236512.db2.gz FKCCWJFWUZKDPH-MFKMUULPSA-N 0 3 239.746 2.997 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@@H](OC)C2(C)C)o1 ZINC000230198407 387241707 /nfs/dbraw/zinc/24/17/07/387241707.db2.gz NNWKINGLMYHKQH-CHWSQXEVSA-N 0 3 237.343 2.745 20 0 BFADHN CO[C@H](C)CN[C@H]1CCCOc2ccc(C)cc21 ZINC000188130603 387254020 /nfs/dbraw/zinc/25/40/20/387254020.db2.gz OLQKUXMEAYBHHL-OCCSQVGLSA-N 0 3 249.354 2.833 20 0 BFADHN CCCCCN1CCCN(C(=O)CCC)CC1 ZINC000371722712 387242500 /nfs/dbraw/zinc/24/25/00/387242500.db2.gz UVSRGXLGWYQUTI-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN Cc1cc(C)n(CCCNCc2ccoc2C)n1 ZINC000321475810 387242661 /nfs/dbraw/zinc/24/26/61/387242661.db2.gz DQHLRJBUMAKZOM-UHFFFAOYSA-N 0 3 247.342 2.581 20 0 BFADHN Cl/C=C/CN1CCCC2(CCOCC2)C1 ZINC000371755463 387247574 /nfs/dbraw/zinc/24/75/74/387247574.db2.gz NFSGKSIIGZYLGD-QHHAFSJGSA-N 0 3 229.751 2.632 20 0 BFADHN CC1(C)Cc2cccc(CN3CCCC3)c2O1 ZINC000161568137 387249132 /nfs/dbraw/zinc/24/91/32/387249132.db2.gz DTUGINUCMPXBRJ-UHFFFAOYSA-N 0 3 231.339 2.996 20 0 BFADHN C[C@H](NCc1cccc2cc[nH]c21)c1cn[nH]c1 ZINC000229881542 387200994 /nfs/dbraw/zinc/20/09/94/387200994.db2.gz MEOLAWWLUKESAC-JTQLQIEISA-N 0 3 240.310 2.742 20 0 BFADHN c1ccc(C[C@H]2CCN2Cc2cccnc2)cc1 ZINC000353998969 387309713 /nfs/dbraw/zinc/30/97/13/387309713.db2.gz ZSASPSDJCHMFSY-MRXNPFEDSA-N 0 3 238.334 2.899 20 0 BFADHN Cc1c(CN2CC[C@@H](C)C(C)(C)C2)cnn1C ZINC000354003729 387309890 /nfs/dbraw/zinc/30/98/90/387309890.db2.gz VCIHCBMLLREEIQ-LLVKDONJSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1ccnc([C@@H](C)NC2CCSCC2)c1 ZINC000286060122 387311761 /nfs/dbraw/zinc/31/17/61/387311761.db2.gz LIBRMJBMXQFVRK-LLVKDONJSA-N 0 3 236.384 2.936 20 0 BFADHN Cc1ncc(CN[C@@H](C)c2ccccc2)n1C ZINC000572304450 387312559 /nfs/dbraw/zinc/31/25/59/387312559.db2.gz QPAGTXCVWQHKND-NSHDSACASA-N 0 3 229.327 2.579 20 0 BFADHN Cc1ccnc([C@@H](C)NC2CC(C)C2)c1 ZINC000286140163 387317025 /nfs/dbraw/zinc/31/70/25/387317025.db2.gz OJZFGRCEKGOGPC-MOENNCHZSA-N 0 3 204.317 2.839 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1ccsc1 ZINC000252942460 387258689 /nfs/dbraw/zinc/25/86/89/387258689.db2.gz JUXFTSAMSVFZBL-OPRDCNLKSA-N 0 3 213.346 2.822 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCCN(C)[C@H]2C)c1 ZINC000353685411 387258963 /nfs/dbraw/zinc/25/89/63/387258963.db2.gz VMJDCWDPQCSPQI-IHRRRGAJSA-N 0 3 247.386 2.523 20 0 BFADHN CO[C@H]1CCN(Cc2cc3cc(F)ccc3o2)C1 ZINC000336434137 387260426 /nfs/dbraw/zinc/26/04/26/387260426.db2.gz ZKZSLCBNQFQTHK-LBPRGKRZSA-N 0 3 249.285 2.793 20 0 BFADHN C[C@@H](N[C@@H](C)C1CC1)c1c(F)cncc1F ZINC000285372878 387263744 /nfs/dbraw/zinc/26/37/44/387263744.db2.gz CDCIJCKMEWSYOE-JGVFFNPUSA-N 0 3 226.270 2.809 20 0 BFADHN Cc1occc1CNCCCOCC(C)C ZINC000321499844 387264668 /nfs/dbraw/zinc/26/46/68/387264668.db2.gz LMRBQEONDWXLKC-UHFFFAOYSA-N 0 3 225.332 2.740 20 0 BFADHN Cc1ccnc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)n1 ZINC000360339835 387265275 /nfs/dbraw/zinc/26/52/75/387265275.db2.gz VRDJNBOQMCUQSJ-NQBHXWOUSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)[C@@H](O)C2)cc1C ZINC000230266737 387265891 /nfs/dbraw/zinc/26/58/91/387265891.db2.gz BNVBHPAISSCXPQ-WFASDCNBSA-N 0 3 233.355 2.506 20 0 BFADHN CCOc1cccc(CN2C[C@@H](C)C[C@H]2C)n1 ZINC000353746294 387267391 /nfs/dbraw/zinc/26/73/91/387267391.db2.gz JUAIUSFKRVRWRG-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN CCC[C@]1(NCc2occc2C)CCOC1 ZINC000285486749 387267513 /nfs/dbraw/zinc/26/75/13/387267513.db2.gz LTYVDQASXHPIEX-ZDUSSCGKSA-N 0 3 223.316 2.637 20 0 BFADHN CSCCCNC(C)(C)c1c(C)noc1C ZINC000285503256 387271301 /nfs/dbraw/zinc/27/13/01/387271301.db2.gz CUVNLWOHODITOM-UHFFFAOYSA-N 0 3 242.388 2.869 20 0 BFADHN CC[C@H](NCc1cccc(F)c1F)C(C)(C)O ZINC000292905035 387273982 /nfs/dbraw/zinc/27/39/82/387273982.db2.gz DYVJKCWIVUFRIX-NSHDSACASA-N 0 3 243.297 2.604 20 0 BFADHN CO[C@H](C)CN(Cc1ccsc1)C(C)C ZINC000353790743 387274022 /nfs/dbraw/zinc/27/40/22/387274022.db2.gz DZDCEZBONXZXLZ-LLVKDONJSA-N 0 3 227.373 2.993 20 0 BFADHN CCCCN[C@@H](C)c1c(F)cncc1F ZINC000285573979 387274462 /nfs/dbraw/zinc/27/44/62/387274462.db2.gz HVRDYUBPARQXKA-QMMMGPOBSA-N 0 3 214.259 2.811 20 0 BFADHN Cc1cnn(C)c1CN[C@@H]1CCc2ccccc21 ZINC000285617948 387275803 /nfs/dbraw/zinc/27/58/03/387275803.db2.gz ZEWRAKHXEOMHQX-CQSZACIVSA-N 0 3 241.338 2.506 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1ccc(F)c(Cl)c1 ZINC000120831678 387276421 /nfs/dbraw/zinc/27/64/21/387276421.db2.gz OHRBLYXDNKRZBX-UFBFGSQYSA-N 0 3 243.709 2.746 20 0 BFADHN CO[C@H](C)CN(Cc1ccc(C)cn1)C(C)C ZINC000353796155 387277592 /nfs/dbraw/zinc/27/75/92/387277592.db2.gz OANFCHFJMWBZND-CYBMUJFWSA-N 0 3 236.359 2.635 20 0 BFADHN CCC(CC)[C@H](O)CN[C@@H](C)c1ccccn1 ZINC000231634600 387280070 /nfs/dbraw/zinc/28/00/70/387280070.db2.gz YGWKJODOJZDWRV-SMDDNHRTSA-N 0 3 236.359 2.529 20 0 BFADHN Cn1ccnc1[C@@H](N[C@H]1CCC12CCC2)C1CC1 ZINC000353811709 387280724 /nfs/dbraw/zinc/28/07/24/387280724.db2.gz FNLBBWJDKLAAAJ-STQMWFEESA-N 0 3 245.370 2.794 20 0 BFADHN CCOc1cccc(CN2CC[C@@H](C)[C@@H](C)C2)n1 ZINC000353839635 387282023 /nfs/dbraw/zinc/28/20/23/387282023.db2.gz AKTURYLJDCEQBK-OLZOCXBDSA-N 0 3 248.370 2.958 20 0 BFADHN CCOC[C@@H](N[C@@H](C)c1ccncc1)C(C)C ZINC000189361560 387282873 /nfs/dbraw/zinc/28/28/73/387282873.db2.gz FAPRPFRIUQRCOW-GXTWGEPZSA-N 0 3 236.359 2.793 20 0 BFADHN CCOC[C@@H](N[C@@H](C)c1cccnc1)C(C)C ZINC000189351193 387283067 /nfs/dbraw/zinc/28/30/67/387283067.db2.gz NBOLTSIQZLPWFS-GXTWGEPZSA-N 0 3 236.359 2.793 20 0 BFADHN COc1ncccc1CNC1(C2CCC2)CCC1 ZINC000459754110 387283173 /nfs/dbraw/zinc/28/31/73/387283173.db2.gz LLAMBNTZOOOBGL-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN CCOC[C@@H](N[C@H](C)c1cccnc1)C(C)C ZINC000189351226 387283433 /nfs/dbraw/zinc/28/34/33/387283433.db2.gz NBOLTSIQZLPWFS-TZMCWYRMSA-N 0 3 236.359 2.793 20 0 BFADHN CC(C)(O)CCN1CC[C@H]1c1ccccc1 ZINC000360439153 387283898 /nfs/dbraw/zinc/28/38/98/387283898.db2.gz WJGOPSROCWDPEB-ZDUSSCGKSA-N 0 3 219.328 2.594 20 0 BFADHN CCC[C@H](NC[C@H](CO)C1CC1)c1ccccn1 ZINC000353847498 387285998 /nfs/dbraw/zinc/28/59/98/387285998.db2.gz DTVPVJGYMNROQI-KGLIPLIRSA-N 0 3 248.370 2.531 20 0 BFADHN C[C@H](CCCO)NCc1sccc1Cl ZINC000193146332 387286681 /nfs/dbraw/zinc/28/66/81/387286681.db2.gz GNEFSPGNSUYHHZ-MRVPVSSYSA-N 0 3 233.764 2.652 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCC[C@@H](CO)C2)o1 ZINC000302604256 387286749 /nfs/dbraw/zinc/28/67/49/387286749.db2.gz LSOLOCLDTCSNTD-XQQFMLRXSA-N 0 3 237.343 2.790 20 0 BFADHN CSCCN(C)C/C=C\c1ccccc1 ZINC000353865722 387287736 /nfs/dbraw/zinc/28/77/36/387287736.db2.gz LVFPJZYSUPJMIP-TWGQIWQCSA-N 0 3 221.369 2.995 20 0 BFADHN CCC1CC(NCc2cnn3ccccc23)C1 ZINC000353867883 387288444 /nfs/dbraw/zinc/28/84/44/387288444.db2.gz OSXOCNXVGFTUIY-UHFFFAOYSA-N 0 3 229.327 2.613 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1nc(C)c(C)[nH]1 ZINC000572258228 387289104 /nfs/dbraw/zinc/28/91/04/387289104.db2.gz LYSHXIXSGYCHFN-RYUDHWBXSA-N 0 3 221.348 2.695 20 0 BFADHN CC[C@H]1COCCN1CCC1CCCC1 ZINC000121064456 387291496 /nfs/dbraw/zinc/29/14/96/387291496.db2.gz WPRLVQZZAKFFHO-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN CO[C@@H]1CCN(Cc2cccc(OC(C)C)c2)C1 ZINC000353884826 387291772 /nfs/dbraw/zinc/29/17/72/387291772.db2.gz YCJGGMFUVKQASP-OAHLLOKOSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1cc(CNC2(CF)CCC2)cc(C)c1O ZINC000514790839 387292027 /nfs/dbraw/zinc/29/20/27/387292027.db2.gz SOOKGPCSPUHREY-UHFFFAOYSA-N 0 3 237.318 2.991 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]2C2CCC2)o1 ZINC000336469217 387296355 /nfs/dbraw/zinc/29/63/55/387296355.db2.gz JAANILHFXNMYSH-GFCCVEGCSA-N 0 3 220.316 2.748 20 0 BFADHN Cc1nnc([C@H](C)N[C@H](C)[C@H]2C[C@H]2C)s1 ZINC000321741804 387299567 /nfs/dbraw/zinc/29/95/67/387299567.db2.gz ZKSIQWKSNIRRRS-ODXREFDESA-N 0 3 225.361 2.542 20 0 BFADHN Cc1cccc(CN2CCC23CCOCC3)c1F ZINC000648560529 387300086 /nfs/dbraw/zinc/30/00/86/387300086.db2.gz RGWSYXXAZNNYFU-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN CCCCCNCc1cc(C(=O)OCC)co1 ZINC000353944874 387301934 /nfs/dbraw/zinc/30/19/34/387301934.db2.gz MGOGHXIXIFDXRE-UHFFFAOYSA-N 0 3 239.315 2.736 20 0 BFADHN C[C@@H](NCCCc1ccccc1)c1ccn(C)n1 ZINC000459801938 387303267 /nfs/dbraw/zinc/30/32/67/387303267.db2.gz YEBBGJJVCGKVNQ-CYBMUJFWSA-N 0 3 243.354 2.704 20 0 BFADHN CCc1ccc(CN2CC[C@@H](O)C(C)(C)C2)cc1 ZINC000258952730 387303674 /nfs/dbraw/zinc/30/36/74/387303674.db2.gz ZATDUMNBAZYPEW-OAHLLOKOSA-N 0 3 247.382 2.842 20 0 BFADHN Cc1cc(CCN[C@@H](C)c2ccc(C)o2)on1 ZINC000353979333 387304381 /nfs/dbraw/zinc/30/43/81/387304381.db2.gz QKQXBGKMPHOYRS-NSHDSACASA-N 0 3 234.299 2.778 20 0 BFADHN Cc1n[nH]cc1CN1CC[C@@H]1Cc1ccccc1 ZINC000353971609 387304756 /nfs/dbraw/zinc/30/47/56/387304756.db2.gz LEJPKTVJZINWTE-OAHLLOKOSA-N 0 3 241.338 2.535 20 0 BFADHN CCc1ccccc1CN1CCOCC[C@H]1C ZINC000372463269 387305161 /nfs/dbraw/zinc/30/51/61/387305161.db2.gz LBAZAXKAWBWKPV-CYBMUJFWSA-N 0 3 233.355 2.860 20 0 BFADHN CCCCOC1CCN(Cc2ccncc2)CC1 ZINC000353997871 387308743 /nfs/dbraw/zinc/30/87/43/387308743.db2.gz OKPSLSLALKGOGJ-UHFFFAOYSA-N 0 3 248.370 2.863 20 0 BFADHN Cc1csc(CN[C@H](C)[C@H]2C[C@@H]2C)n1 ZINC000321875002 387308934 /nfs/dbraw/zinc/30/89/34/387308934.db2.gz IBLSZVMIHGVJRN-SFGNSQDASA-N 0 3 210.346 2.586 20 0 BFADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1cncs1 ZINC000306560059 387354272 /nfs/dbraw/zinc/35/42/72/387354272.db2.gz AXBSHYUCYCMTJF-IWSPIJDZSA-N 0 3 228.386 2.688 20 0 BFADHN CCC[C@@H](N[C@H]1CNCCC1(F)F)C(C)(C)C ZINC000423481392 387364333 /nfs/dbraw/zinc/36/43/33/387364333.db2.gz OILBXDTWSHTIAY-MNOVXSKESA-N 0 3 248.361 2.788 20 0 BFADHN Cc1cncc(CN2CCC23CCC3)c1 ZINC000336549683 387370016 /nfs/dbraw/zinc/37/00/16/387370016.db2.gz BYVHWATWEDQHDH-UHFFFAOYSA-N 0 3 202.301 2.518 20 0 BFADHN Cc1cnc(CN2CCCCC[C@@H]2C)cn1 ZINC000336550392 387371172 /nfs/dbraw/zinc/37/11/72/387371172.db2.gz ZBFDEHWGBWMXJQ-LBPRGKRZSA-N 0 3 219.332 2.550 20 0 BFADHN CO[C@H](CNCc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000293006500 387371607 /nfs/dbraw/zinc/37/16/07/387371607.db2.gz NNDJJQKGUSOCNH-WDBKCZKBSA-N 0 3 249.354 2.918 20 0 BFADHN CO[C@H](CNCc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000293006495 387371643 /nfs/dbraw/zinc/37/16/43/387371643.db2.gz NNDJJQKGUSOCNH-PSOPSSQASA-N 0 3 249.354 2.918 20 0 BFADHN Cn1cccc1CN1CCCC2(CCC2)C1 ZINC000373497835 387376370 /nfs/dbraw/zinc/37/63/70/387376370.db2.gz VWRRFEZARQIFTI-UHFFFAOYSA-N 0 3 218.344 2.791 20 0 BFADHN CCCC[C@H](NCc1cnccn1)C1CCC1 ZINC000515430468 387377599 /nfs/dbraw/zinc/37/75/99/387377599.db2.gz MMNODHKHIBPICQ-AWEZNQCLSA-N 0 3 233.359 2.925 20 0 BFADHN Cc1ccc(CN(C)CCC2CCOCC2)cn1 ZINC000360982576 387381154 /nfs/dbraw/zinc/38/11/54/387381154.db2.gz INKZKNPHHWLTRL-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1cc(N)cc(CN[C@H](C)c2ccncc2)c1 ZINC000423477472 387323685 /nfs/dbraw/zinc/32/36/85/387323685.db2.gz LONDERPXMUWJJZ-GFCCVEGCSA-N 0 3 241.338 2.823 20 0 BFADHN CO[C@@H](C)CN(Cc1ccc(C)nc1)C(C)C ZINC000360984471 387382628 /nfs/dbraw/zinc/38/26/28/387382628.db2.gz DLMCKZVKPSXVQF-ZDUSSCGKSA-N 0 3 236.359 2.635 20 0 BFADHN Cc1ccncc1CN[C@H](C)Cc1ccoc1 ZINC000354478227 387383191 /nfs/dbraw/zinc/38/31/91/387383191.db2.gz NUVBHJUVQWPSBS-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN CC[C@H](CN[C@@H](C)c1ccc(OC)cc1)OC ZINC000290076119 387337266 /nfs/dbraw/zinc/33/72/66/387337266.db2.gz GLRLBTNTHNGPLY-WCQYABFASA-N 0 3 237.343 2.771 20 0 BFADHN CN(Cc1cn2c(n1)CCCC2)CC1CCCC1 ZINC000372990501 387337761 /nfs/dbraw/zinc/33/77/61/387337761.db2.gz OLMNGIHHHRTLJT-UHFFFAOYSA-N 0 3 247.386 2.841 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1cccc2cccnc21 ZINC000515121530 387338830 /nfs/dbraw/zinc/33/88/30/387338830.db2.gz LJNSWFXNXFIZAZ-UONOGXRCSA-N 0 3 242.322 2.502 20 0 BFADHN CCc1cccc(F)c1CNC[C@@H]1CCOC1 ZINC000354168064 387340766 /nfs/dbraw/zinc/34/07/66/387340766.db2.gz ZAGICTSJYFHIEF-NSHDSACASA-N 0 3 237.318 2.514 20 0 BFADHN Cc1ccc2c(c1)[C@@H](N[C@H](C)CCO)CCCO2 ZINC000121330619 387342932 /nfs/dbraw/zinc/34/29/32/387342932.db2.gz WEZYMTPXMMVTEK-OCCSQVGLSA-N 0 3 249.354 2.569 20 0 BFADHN COC[C@H](C)N[C@H]1CCc2c1c(F)ccc2F ZINC000354204735 387345879 /nfs/dbraw/zinc/34/58/79/387345879.db2.gz DUCNIUYZVWDPJL-UFBFGSQYSA-N 0 3 241.281 2.577 20 0 BFADHN CC[C@H]1CCCN1Cc1cnc2ccccn12 ZINC000336520482 387347158 /nfs/dbraw/zinc/34/71/58/387347158.db2.gz USRRKVKCYRWOFS-LBPRGKRZSA-N 0 3 229.327 2.709 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)[C@H]2C)on1 ZINC000266023057 387350600 /nfs/dbraw/zinc/35/06/00/387350600.db2.gz XJQCKBSLDRCGGK-MWLCHTKSSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H](NC[C@@H]1CCCSC1)c1cscn1 ZINC000287002910 387351871 /nfs/dbraw/zinc/35/18/71/387351871.db2.gz KEIBKCWMQBHTFW-UWVGGRQHSA-N 0 3 242.413 2.937 20 0 BFADHN Cc1occc1CN(C)C[C@H]1CCO[C@H](C)C1 ZINC000352715861 387461252 /nfs/dbraw/zinc/46/12/52/387461252.db2.gz GQNOJZKUQIGQHD-YPMHNXCESA-N 0 3 237.343 2.835 20 0 BFADHN CCC(CC)c1noc([C@@H]2CN(C)C[C@H]2C)n1 ZINC000361250750 387424186 /nfs/dbraw/zinc/42/41/86/387424186.db2.gz KEQMMLPRCZPYPL-MWLCHTKSSA-N 0 3 237.347 2.638 20 0 BFADHN CSC1(CN[C@H](C)c2cc(C)ccn2)CC1 ZINC000287389694 387424374 /nfs/dbraw/zinc/42/43/74/387424374.db2.gz IRCSPOXZAVUACX-LLVKDONJSA-N 0 3 236.384 2.936 20 0 BFADHN CCN(C/C=C\c1ccc(F)cc1)[C@@H]1CCOC1 ZINC000361250876 387425352 /nfs/dbraw/zinc/42/53/52/387425352.db2.gz LZCMOKGHSWWVEL-ABCZVMIZSA-N 0 3 249.329 2.950 20 0 BFADHN CCC[C@H](NCc1n[nH]c(C)n1)c1ccccc1 ZINC000293023629 387425712 /nfs/dbraw/zinc/42/57/12/387425712.db2.gz COQRXLLENDGTRM-ZDUSSCGKSA-N 0 3 244.342 2.744 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1ccn(CC)n1 ZINC000515801161 387428004 /nfs/dbraw/zinc/42/80/04/387428004.db2.gz SOHGMKJNWYXMNO-DGCLKSJQSA-N 0 3 221.348 2.571 20 0 BFADHN CCC[C@@H](N)C(=O)N1CCC[C@@H]1C(C)(C)CC ZINC000423810882 387430865 /nfs/dbraw/zinc/43/08/65/387430865.db2.gz NBRJPPZZBMAHAF-VXGBXAGGSA-N 0 3 240.391 2.541 20 0 BFADHN CCC[C@H](N)C(=O)N1CCC[C@@H]1C(C)(C)CC ZINC000423810880 387432446 /nfs/dbraw/zinc/43/24/46/387432446.db2.gz NBRJPPZZBMAHAF-NWDGAFQWSA-N 0 3 240.391 2.541 20 0 BFADHN c1cc(CN2CC[C@@H](Oc3ccccc3)C2)c[nH]1 ZINC000374259745 387434377 /nfs/dbraw/zinc/43/43/77/387434377.db2.gz RPFCWNPFSMGAMS-OAHLLOKOSA-N 0 3 242.322 2.668 20 0 BFADHN C[C@@H]1[C@H](NCc2ncc[nH]2)C[C@@H]1c1ccccc1 ZINC000361305238 387435772 /nfs/dbraw/zinc/43/57/72/387435772.db2.gz WWANGJGOZIDQCP-FPMFFAJLSA-N 0 3 241.338 2.692 20 0 BFADHN c1coc(CN2CC[C@@H](Oc3ccccc3)C2)c1 ZINC000374260865 387436174 /nfs/dbraw/zinc/43/61/74/387436174.db2.gz YOJSHQZHLFDBSU-OAHLLOKOSA-N 0 3 243.306 2.933 20 0 BFADHN CCN(CCC[C@H](C)O)Cc1cccc(F)c1 ZINC000354869563 387440232 /nfs/dbraw/zinc/44/02/32/387440232.db2.gz XLTUYLCHQQMRHS-LBPRGKRZSA-N 0 3 239.334 2.809 20 0 BFADHN CC[C@H]1CCCN1Cc1cccc(O)c1OC ZINC000352695373 387440795 /nfs/dbraw/zinc/44/07/95/387440795.db2.gz YZQYMGHXTPYDKM-LBPRGKRZSA-N 0 3 235.327 2.775 20 0 BFADHN COC1(C[C@H](C)NCc2cccc(C)n2)CCC1 ZINC000515960020 387443510 /nfs/dbraw/zinc/44/35/10/387443510.db2.gz ZTDYPYUQKDDUJX-ZDUSSCGKSA-N 0 3 248.370 2.827 20 0 BFADHN CCCC[C@@H](N)C(=O)N1CCCCC(C)(C)C1 ZINC000423880208 387443844 /nfs/dbraw/zinc/44/38/44/387443844.db2.gz MDPIUERODOGYBW-GFCCVEGCSA-N 0 3 240.391 2.543 20 0 BFADHN CC(C)C1(NCc2nccn2C(F)F)CC1 ZINC000293029854 387445348 /nfs/dbraw/zinc/44/53/48/387445348.db2.gz PRJHDUXFLLEUIM-UHFFFAOYSA-N 0 3 229.274 2.556 20 0 BFADHN Cc1ccc(CN2CCCO[C@@H](C(C)C)C2)nc1 ZINC000336612656 387454693 /nfs/dbraw/zinc/45/46/93/387454693.db2.gz IFPJEWPYFNFHAL-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1cnn(C)c1 ZINC000354989185 387459245 /nfs/dbraw/zinc/45/92/45/387459245.db2.gz WJJOCZHPUKXDKX-PSASIEDQSA-N 0 3 249.280 2.802 20 0 BFADHN C[C@H](CC1CCOCC1)CN1CCC[C@H]1CF ZINC000354518742 387386923 /nfs/dbraw/zinc/38/69/23/387386923.db2.gz BNDOMVKTDXULSJ-OCCSQVGLSA-N 0 3 243.366 2.873 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H](C)CC[C@@H]2C)ncn1 ZINC000648489816 387389614 /nfs/dbraw/zinc/38/96/14/387389614.db2.gz UGPPBFJSMLEHPT-COPLHBTASA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H](C)CC[C@H]2C)ncn1 ZINC000648489817 387390162 /nfs/dbraw/zinc/39/01/62/387390162.db2.gz UGPPBFJSMLEHPT-JTNHKYCSSA-N 0 3 233.359 2.699 20 0 BFADHN CC(=O)Nc1cccc(CN2[C@H](C)C[C@@H]2C)c1 ZINC000336565545 387392251 /nfs/dbraw/zinc/39/22/51/387392251.db2.gz STSRFAYBGQLTMR-PHIMTYICSA-N 0 3 232.327 2.628 20 0 BFADHN CC1(CN2CCc3cccc(F)c3C2)CC1 ZINC000515545660 387395207 /nfs/dbraw/zinc/39/52/07/387395207.db2.gz TVRKFTAXCZTBOT-UHFFFAOYSA-N 0 3 219.303 2.984 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H]2CCN(C3CC3)C2)o1 ZINC000361066159 387397072 /nfs/dbraw/zinc/39/70/72/387397072.db2.gz BRDTYEARSIFGOG-NEPJUHHUSA-N 0 3 248.370 2.729 20 0 BFADHN Cc1cnc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)n1C ZINC000354585092 387399322 /nfs/dbraw/zinc/39/93/22/387399322.db2.gz WZEGRZLFLNNQTM-STQMWFEESA-N 0 3 247.386 2.739 20 0 BFADHN Cc1c[nH]nc1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000336578540 387401005 /nfs/dbraw/zinc/40/10/05/387401005.db2.gz PGWXXMBKQXLQBG-PWSUYJOCSA-N 0 3 221.348 2.729 20 0 BFADHN CC1(C)COCCN1C[C@H]1C[C@H]1c1ccccc1 ZINC000352653744 387404121 /nfs/dbraw/zinc/40/41/21/387404121.db2.gz DYSOVEJZBWNRCJ-CABCVRRESA-N 0 3 245.366 2.901 20 0 BFADHN CCCNc1ccccc1NC(=O)[C@H](N)CCC ZINC000423740338 387404464 /nfs/dbraw/zinc/40/44/64/387404464.db2.gz WVSYGIOGUDWMHS-LLVKDONJSA-N 0 3 249.358 2.574 20 0 BFADHN Cc1ccsc1CN1CCN(C)[C@@H](C)[C@H]1C ZINC000361158018 387409112 /nfs/dbraw/zinc/40/91/12/387409112.db2.gz YTOHBLUNEZWLIJ-NWDGAFQWSA-N 0 3 238.400 2.581 20 0 BFADHN CCC[C@H](N)C(=O)N[C@H](C)CC1CCCCC1 ZINC000423764966 387415878 /nfs/dbraw/zinc/41/58/78/387415878.db2.gz SZCUIHMOBGTJSL-YPMHNXCESA-N 0 3 240.391 2.589 20 0 BFADHN CCCCN(C)C(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000122032864 387461089 /nfs/dbraw/zinc/46/10/89/387461089.db2.gz GQPPBLKITCPPQK-FRRDWIJNSA-N 0 3 240.391 2.506 20 0 BFADHN COc1c(O)cccc1CN1CC[C@@H]2CCC[C@@H]21 ZINC000336598651 387421954 /nfs/dbraw/zinc/42/19/54/387421954.db2.gz YFUPAQNAJODRAQ-AAEUAGOBSA-N 0 3 247.338 2.775 20 0 BFADHN CCN(Cc1c(C)cccc1C)[C@@H]1CCOC1 ZINC000361237811 387422411 /nfs/dbraw/zinc/42/24/11/387422411.db2.gz QKOORCMBMJHTKZ-CQSZACIVSA-N 0 3 233.355 2.914 20 0 BFADHN CCOc1ccc(CN(CC)[C@@H]2CCOC2)cc1 ZINC000361237282 387422716 /nfs/dbraw/zinc/42/27/16/387422716.db2.gz NYRKSOHSBLFPKJ-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CCCCC[C@@H]1CCCN1Cc1ccn(C)n1 ZINC000355207637 387496565 /nfs/dbraw/zinc/49/65/65/387496565.db2.gz FVAGEQAHENWRRF-CQSZACIVSA-N 0 3 235.375 2.965 20 0 BFADHN CC(C)=CCN1CC[C@](O)(c2ccc(F)cc2)C1 ZINC000374876947 387496800 /nfs/dbraw/zinc/49/68/00/387496800.db2.gz NQNWLDOEUFYVEW-OAHLLOKOSA-N 0 3 249.329 2.685 20 0 BFADHN CCC1(NCc2nc3c(s2)CCC3)CC1 ZINC000648684226 387497104 /nfs/dbraw/zinc/49/71/04/387497104.db2.gz OXEBQNKEKCSVCM-UHFFFAOYSA-N 0 3 222.357 2.664 20 0 BFADHN Cc1cn[nH]c1CNCC=Cc1ccccc1 ZINC000352806569 387499650 /nfs/dbraw/zinc/49/96/50/387499650.db2.gz ZHNYOAIKGCYQNN-VMPITWQZSA-N 0 3 227.311 2.521 20 0 BFADHN COC1(CN2Cc3ccccc3C2)CCCC1 ZINC000361576601 387499690 /nfs/dbraw/zinc/49/96/90/387499690.db2.gz HTEURRICRWDJLA-UHFFFAOYSA-N 0 3 231.339 2.961 20 0 BFADHN C[C@@H](NCC1(C)CCCC1)c1ccncn1 ZINC000395897413 387500225 /nfs/dbraw/zinc/50/02/25/387500225.db2.gz MZSWALVYYNDCRM-LLVKDONJSA-N 0 3 219.332 2.708 20 0 BFADHN CO[C@]1(C)CCCN(C/C=C\c2ccncc2)C1 ZINC000355218924 387500454 /nfs/dbraw/zinc/50/04/54/387500454.db2.gz VHVXAWQNPWHXCB-UYWPIULCSA-N 0 3 246.354 2.596 20 0 BFADHN CCCCC[C@@H]1CCCN1Cc1nccn1C ZINC000355219551 387500700 /nfs/dbraw/zinc/50/07/00/387500700.db2.gz KFGFKBAUDKKUBB-CYBMUJFWSA-N 0 3 235.375 2.965 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2COC(C)(C)C2)c1 ZINC000396846976 387501732 /nfs/dbraw/zinc/50/17/32/387501732.db2.gz CNHNZQXZTQSNCB-AAEUAGOBSA-N 0 3 249.354 2.913 20 0 BFADHN CCc1cccc(CN(CC)[C@@H]2CCOC2)c1 ZINC000361592442 387504717 /nfs/dbraw/zinc/50/47/17/387504717.db2.gz BPGBSHFINRQINE-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1ccc2c(n1)CCC2 ZINC000648077040 387506016 /nfs/dbraw/zinc/50/60/16/387506016.db2.gz XGPMNFLYIXWZKA-ZWNOBZJWSA-N 0 3 248.395 2.554 20 0 BFADHN Cc1ccc2c(c1)CN(CCCn1ccnc1)C2 ZINC000361594768 387508726 /nfs/dbraw/zinc/50/87/26/387508726.db2.gz QCGWNZZDUKSZEI-UHFFFAOYSA-N 0 3 241.338 2.597 20 0 BFADHN CCN(CCC1(O)CCC1)Cc1cccs1 ZINC000396907972 387511246 /nfs/dbraw/zinc/51/12/46/387511246.db2.gz HGFNJRODTPEUPP-UHFFFAOYSA-N 0 3 239.384 2.875 20 0 BFADHN CC[C@H](NCc1cnccc1OC)C1CCC1 ZINC000324717860 387512476 /nfs/dbraw/zinc/51/24/76/387512476.db2.gz FVDIWKSVVPUQHF-ZDUSSCGKSA-N 0 3 234.343 2.759 20 0 BFADHN COC(=O)[C@@H](C)N(CC1CCCC1)C1CCC1 ZINC000361659200 387518226 /nfs/dbraw/zinc/51/82/26/387518226.db2.gz ZFTUTTFABBVTKV-LLVKDONJSA-N 0 3 239.359 2.593 20 0 BFADHN Cc1ccc(C[NH2+]Cc2ncccc2[O-])cc1C ZINC000355324722 387520034 /nfs/dbraw/zinc/52/00/34/387520034.db2.gz YDLHYMPKIXNMFN-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN Clc1ccc(CN[C@@H]2C[C@H]3C[C@H]3C2)o1 ZINC000306992558 387527314 /nfs/dbraw/zinc/52/73/14/387527314.db2.gz WTLPHTHWEDXIEO-AYMMMOKOSA-N 0 3 211.692 2.821 20 0 BFADHN CCSCC[C@H](C)N[C@@H](C)c1ccncn1 ZINC000396054735 387528128 /nfs/dbraw/zinc/52/81/28/387528128.db2.gz VXEBBNCYVVILQX-QWRGUYRKSA-N 0 3 239.388 2.659 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)CC1(O)CCC1 ZINC000355375094 387528319 /nfs/dbraw/zinc/52/83/19/387528319.db2.gz ZGYXROLAERGQRO-RQOWECAXSA-N 0 3 249.329 2.686 20 0 BFADHN CO[C@@H](C)CNCc1cc2cc(F)ccc2o1 ZINC000443004902 387528367 /nfs/dbraw/zinc/52/83/67/387528367.db2.gz UEDAYAJLTADNEY-VIFPVBQESA-N 0 3 237.274 2.696 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCCCC[C@H]2C)n1 ZINC000211452771 387535648 /nfs/dbraw/zinc/53/56/48/387535648.db2.gz DXKFAMXZWXNUBK-GFCCVEGCSA-N 0 3 234.343 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCCCC[C@H]2C)n1 ZINC000211452771 387535653 /nfs/dbraw/zinc/53/56/53/387535653.db2.gz DXKFAMXZWXNUBK-GFCCVEGCSA-N 0 3 234.343 2.860 20 0 BFADHN COCC(C)(C)CN1CCn2cccc2[C@H]1C ZINC000426062214 387536064 /nfs/dbraw/zinc/53/60/64/387536064.db2.gz WUIUPZISTNGXSM-GFCCVEGCSA-N 0 3 236.359 2.537 20 0 BFADHN Cc1ccc(CN2CCOCC3(CCCC3)C2)o1 ZINC000375397065 387537176 /nfs/dbraw/zinc/53/71/76/387537176.db2.gz ZLYLEDUUSNXXNS-UHFFFAOYSA-N 0 3 249.354 2.981 20 0 BFADHN CCn1cncc1CN[C@H]1C[C@H]1C1CCCCC1 ZINC000417971402 387537905 /nfs/dbraw/zinc/53/79/05/387537905.db2.gz RSMIKNDDACBYKZ-GJZGRUSLSA-N 0 3 247.386 2.961 20 0 BFADHN FC1(CN2CCS[C@H]3CCCC[C@@H]32)CC1 ZINC000527219498 387539903 /nfs/dbraw/zinc/53/99/03/387539903.db2.gz NRHLRKFUTNUCFJ-QWRGUYRKSA-N 0 3 229.364 2.849 20 0 BFADHN FC(F)C1CCN(CCc2cccnc2)CC1 ZINC000361831358 387540381 /nfs/dbraw/zinc/54/03/81/387540381.db2.gz VSOZSDMHCYHCNB-UHFFFAOYSA-N 0 3 240.297 2.601 20 0 BFADHN c1ccc2[nH]c(CN[C@@H]3CCC[C@@H]4C[C@@H]43)nc2c1 ZINC000310820064 387540713 /nfs/dbraw/zinc/54/07/13/387540713.db2.gz CWBSRURKUYSJFH-GRYCIOLGSA-N 0 3 241.338 2.841 20 0 BFADHN C[C@@]1(NCc2sccc2Cl)CCOC1 ZINC000278495589 387540774 /nfs/dbraw/zinc/54/07/74/387540774.db2.gz MTJQEXYPXPRYPW-SNVBAGLBSA-N 0 3 231.748 2.670 20 0 BFADHN CC[C@@H](C(=O)N(C)C1CCCC1)N(CC)CC ZINC000361458615 387465088 /nfs/dbraw/zinc/46/50/88/387465088.db2.gz HRTKAFGNPMTVIY-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1cncnc1 ZINC000516118201 387466882 /nfs/dbraw/zinc/46/68/82/387466882.db2.gz USSUYTKQOOQPMX-ZIAGYGMSSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCC(C)(C)C2)nn1C ZINC000361467182 387467535 /nfs/dbraw/zinc/46/75/35/387467535.db2.gz BJGGAAAMSYPEPQ-ZDUSSCGKSA-N 0 3 235.375 2.739 20 0 BFADHN COC(=O)c1cccc(CN(C)CCC(C)C)c1 ZINC000052245601 387467712 /nfs/dbraw/zinc/46/77/12/387467712.db2.gz GRRIEJJARVOROI-UHFFFAOYSA-N 0 3 249.354 2.951 20 0 BFADHN CC[C@H](C)CN[C@@H](C)c1cc(OC)ncc1F ZINC000421895643 387469961 /nfs/dbraw/zinc/46/99/61/387469961.db2.gz YTXSCSCZWDTJQK-UWVGGRQHSA-N 0 3 240.322 2.926 20 0 BFADHN CCc1ccc(CNC[C@@H](C)C(F)(F)F)cn1 ZINC000352742416 387470113 /nfs/dbraw/zinc/47/01/13/387470113.db2.gz OEOMJOBJSYUELG-SECBINFHSA-N 0 3 246.276 2.932 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@H](OC)C2(C)C)cn1 ZINC000352750637 387472169 /nfs/dbraw/zinc/47/21/69/387472169.db2.gz QWQCTVLMNPBZCB-KBPBESRZSA-N 0 3 248.370 2.547 20 0 BFADHN CC(C)N1CC[C@@H](Oc2cccc(F)c2)C1 ZINC000374625391 387474090 /nfs/dbraw/zinc/47/40/90/387474090.db2.gz SWRXPEFRXPENKT-CYBMUJFWSA-N 0 3 223.291 2.687 20 0 BFADHN CCN(CCC1CC1)Cc1c(C)nn(C)c1C ZINC000355068214 387475475 /nfs/dbraw/zinc/47/54/75/387475475.db2.gz VXGSLTVQHZQVGD-UHFFFAOYSA-N 0 3 235.375 2.659 20 0 BFADHN FCCCN1CC[C@@H]1Cc1ccccc1 ZINC000361519264 387479287 /nfs/dbraw/zinc/47/92/87/387479287.db2.gz NKORURRTYLLDNO-CYBMUJFWSA-N 0 3 207.292 2.663 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@H]1C[C@@H]1C)CCO2 ZINC000516215305 387481564 /nfs/dbraw/zinc/48/15/64/387481564.db2.gz OSICOYWCPOYXIM-QWHCGFSZSA-N 0 3 231.339 2.845 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@@H]1C[C@@H]1C)CCO2 ZINC000516215306 387481753 /nfs/dbraw/zinc/48/17/53/387481753.db2.gz OSICOYWCPOYXIM-STQMWFEESA-N 0 3 231.339 2.845 20 0 BFADHN CC[C@H]1CCCN(Cc2conc2C)CC1 ZINC000336630767 387481878 /nfs/dbraw/zinc/48/18/78/387481878.db2.gz SZGXCNPUQCCBNS-LBPRGKRZSA-N 0 3 222.332 2.995 20 0 BFADHN CCc1cccc(F)c1CN(C)CCCCO ZINC000355126467 387483233 /nfs/dbraw/zinc/48/32/33/387483233.db2.gz RTRZHGLDYPLYIU-UHFFFAOYSA-N 0 3 239.334 2.592 20 0 BFADHN Cc1cnc(CN(CC2CC2)CC2CCC2)o1 ZINC000361550700 387483548 /nfs/dbraw/zinc/48/35/48/387483548.db2.gz GROUJUYYBWERNX-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1c([C@H](C)N[C@@H](C)Cc2ccoc2)cnn1C ZINC000352791411 387487026 /nfs/dbraw/zinc/48/70/26/387487026.db2.gz ZSIMJVNQUHEEPV-QWRGUYRKSA-N 0 3 247.342 2.603 20 0 BFADHN c1cnc(CNCC2(C3CCC3)CCC2)cn1 ZINC000516254600 387487641 /nfs/dbraw/zinc/48/76/41/387487641.db2.gz QBLQQVKRPXGVMQ-UHFFFAOYSA-N 0 3 231.343 2.537 20 0 BFADHN Cc1noc(C)c1CNC[C@H]1CCCSC1 ZINC000304532645 387490100 /nfs/dbraw/zinc/49/01/00/387490100.db2.gz QXCVAUBOSUQZBE-LLVKDONJSA-N 0 3 240.372 2.524 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CCC[C@H]3C[C@H]32)o1 ZINC000304567446 387492216 /nfs/dbraw/zinc/49/22/16/387492216.db2.gz MCNFWCSUVPGLPB-NNYUYHANSA-N 0 3 220.316 2.822 20 0 BFADHN Cc1ccc(CN[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)c(C)n1 ZINC000528758684 387588836 /nfs/dbraw/zinc/58/88/36/387588836.db2.gz LAKXUNOYRASOIB-QJZXMWHDSA-N 0 3 248.370 2.600 20 0 BFADHN Cc1nocc1CNC1CCCCCC1 ZINC000294269386 387590532 /nfs/dbraw/zinc/59/05/32/387590532.db2.gz VLJZWTCGOVPTAI-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN COC1(C)CN(C[C@H]2C(C)=CCC[C@@H]2C)C1 ZINC000362314279 387593626 /nfs/dbraw/zinc/59/36/26/387593626.db2.gz YEFVZYUBTOXXIY-STQMWFEESA-N 0 3 223.360 2.700 20 0 BFADHN COc1ccccc1[C@H](CO)N[C@H](C)C1CCC1 ZINC000527518229 387594067 /nfs/dbraw/zinc/59/40/67/387594067.db2.gz XXNTZOKKJPSICN-RISCZKNCSA-N 0 3 249.354 2.507 20 0 BFADHN COc1ccccc1[C@@H](CO)N[C@@H](C)C1CCC1 ZINC000527518230 387594494 /nfs/dbraw/zinc/59/44/94/387594494.db2.gz XXNTZOKKJPSICN-SMDDNHRTSA-N 0 3 249.354 2.507 20 0 BFADHN C[C@H](NCC12CCC(CC1)C2)c1cnccn1 ZINC000362309123 387595462 /nfs/dbraw/zinc/59/54/62/387595462.db2.gz JBARSIGQOVJSDQ-DCBWTQNWSA-N 0 3 231.343 2.708 20 0 BFADHN CO[C@H](CN1CCC(F)(F)C[C@@H]1C)C1CC1 ZINC000528765144 387595997 /nfs/dbraw/zinc/59/59/97/387595997.db2.gz APDXWSDUCHODCS-GXSJLCMTSA-N 0 3 233.302 2.531 20 0 BFADHN COc1cc(C)c(CN2[C@H](C)C[C@H]2C)c(C)n1 ZINC000527529989 387600519 /nfs/dbraw/zinc/60/05/19/387600519.db2.gz GUEQRACYULANAC-GHMZBOCLSA-N 0 3 234.343 2.690 20 0 BFADHN CO[C@@H](C)CN(Cc1ccnc(C)c1)C(C)C ZINC000527535271 387628991 /nfs/dbraw/zinc/62/89/91/387628991.db2.gz RARNVCVIDRUFSW-ZDUSSCGKSA-N 0 3 236.359 2.635 20 0 BFADHN C[C@]1(CN[C@H]2CCCc3occc32)CCCO1 ZINC000124082354 387604711 /nfs/dbraw/zinc/60/47/11/387604711.db2.gz FGDNSJKIKHZQGJ-GXTWGEPZSA-N 0 3 235.327 2.816 20 0 BFADHN CO[C@H]1CCCN(Cc2cccc(F)c2)CC1 ZINC000376071533 387605231 /nfs/dbraw/zinc/60/52/31/387605231.db2.gz XTKHTZLRXMWCJG-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN CCCn1nc(C)c(CN(C)CC2CC2)c1C ZINC000122885417 387609218 /nfs/dbraw/zinc/60/92/18/387609218.db2.gz RJMMBTRHLLDLJQ-UHFFFAOYSA-N 0 3 235.375 2.752 20 0 BFADHN Cc1cc(CN2CCC=C(C)C2)ccn1 ZINC000527539158 387609603 /nfs/dbraw/zinc/60/96/03/387609603.db2.gz KRTQTXZUFXOKOK-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN Cc1cnc([C@H](C)NCCc2cccnc2)s1 ZINC000124273686 387609855 /nfs/dbraw/zinc/60/98/55/387609855.db2.gz XXHCNJGHLDVSCX-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@@H]1CC(C)C ZINC000356053533 387610454 /nfs/dbraw/zinc/61/04/54/387610454.db2.gz AFMABCPPKAAXTK-GFCCVEGCSA-N 0 3 221.348 2.729 20 0 BFADHN Cc1ccn2c(CN3CCC[C@H]3C)cnc2c1 ZINC000124329890 387613070 /nfs/dbraw/zinc/61/30/70/387613070.db2.gz PJRZOABFZWHNSR-GFCCVEGCSA-N 0 3 229.327 2.627 20 0 BFADHN C1=C[C@H](N2CCC[C@H]3COCC[C@H]32)CCC1 ZINC000362447550 387613309 /nfs/dbraw/zinc/61/33/09/387613309.db2.gz CFYVRWQLJSOVSW-MELADBBJSA-N 0 3 221.344 2.596 20 0 BFADHN CCN(CCCO)Cc1ccc(SC)s1 ZINC000356133330 387620367 /nfs/dbraw/zinc/62/03/67/387620367.db2.gz WNWWRHIXWRTCIG-UHFFFAOYSA-N 0 3 245.413 2.674 20 0 BFADHN Cc1cc(CN(C)CCC2CCOCC2)ccn1 ZINC000527531968 387620472 /nfs/dbraw/zinc/62/04/72/387620472.db2.gz AAEHIWWGGJAYDT-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1ccsc1CN[C@H](C)c1cnccc1N ZINC000422706217 387620875 /nfs/dbraw/zinc/62/08/75/387620875.db2.gz TVWQKJZOJBZUEU-SNVBAGLBSA-N 0 3 247.367 2.715 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1CCOC1CCC1 ZINC000528766089 387621422 /nfs/dbraw/zinc/62/14/22/387621422.db2.gz CMLWUXGRUXWLRZ-SNVBAGLBSA-N 0 3 233.302 2.675 20 0 BFADHN CCCCN(C)C(=O)[C@@H](C)N1CCCCCC1 ZINC000114232347 387621560 /nfs/dbraw/zinc/62/15/60/387621560.db2.gz ICJRATDLPFFWBL-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H]1O)c1ccccc1Cl ZINC000042237100 387623465 /nfs/dbraw/zinc/62/34/65/387623465.db2.gz JZNPTADGDVRKEG-BIMULSAOSA-N 0 3 239.746 2.904 20 0 BFADHN CCN(Cc1ccnc(C)c1)C1CCC1 ZINC000527532549 387624022 /nfs/dbraw/zinc/62/40/22/387624022.db2.gz FXRWMIXYRHMBEJ-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN Cc1ccc(CN2CCCOC(C)(C)C2)c(C)n1 ZINC000376331923 387624088 /nfs/dbraw/zinc/62/40/88/387624088.db2.gz AGJVFMCAKPKCBE-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@H](C)C(C)(C)C2)n1 ZINC000355508305 387544880 /nfs/dbraw/zinc/54/48/80/387544880.db2.gz KIZKCVIAKJRMOA-NSHDSACASA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@H](C)C(C)(C)C2)n1 ZINC000355508305 387544885 /nfs/dbraw/zinc/54/48/85/387544885.db2.gz KIZKCVIAKJRMOA-NSHDSACASA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc2c(c1)CCN(CCCF)C2 ZINC000361847440 387544866 /nfs/dbraw/zinc/54/48/66/387544866.db2.gz CRIRCKQPXGDRNR-UHFFFAOYSA-N 0 3 207.292 2.713 20 0 BFADHN Cc1ccncc1CN(C)C[C@@H]1CCSC1 ZINC000355578617 387550688 /nfs/dbraw/zinc/55/06/88/387550688.db2.gz CVCGOHVWPHZIBP-LBPRGKRZSA-N 0 3 236.384 2.575 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@@H]1COc2ccccc21 ZINC000361924325 387552796 /nfs/dbraw/zinc/55/27/96/387552796.db2.gz MPLDMNDRZFLTNU-BXUZGUMPSA-N 0 3 243.306 2.934 20 0 BFADHN Cc1ccncc1CN(C)C[C@H]1CCO[C@@H](C)C1 ZINC000355582600 387553787 /nfs/dbraw/zinc/55/37/87/387553787.db2.gz KTENQMRQSTZIGW-KBPBESRZSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@H](C)[C@H](C)[C@H]2C)n1 ZINC000399573863 387555778 /nfs/dbraw/zinc/55/57/78/387555778.db2.gz PNMYEOSZGROKHA-ZMLRMANQSA-N 0 3 234.343 2.572 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@H](C)[C@H](C)[C@H]2C)n1 ZINC000399573863 387555784 /nfs/dbraw/zinc/55/57/84/387555784.db2.gz PNMYEOSZGROKHA-ZMLRMANQSA-N 0 3 234.343 2.572 20 0 BFADHN CCN(Cc1ccc(OC)nc1)CC1CCC1 ZINC000355608129 387556878 /nfs/dbraw/zinc/55/68/78/387556878.db2.gz PHXLGNRHIXDPJT-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@@H](NC[C@@H]1CCCO1)c1ccccc1OC ZINC000037215132 387560680 /nfs/dbraw/zinc/56/06/80/387560680.db2.gz HSZAAVBXBDSPSB-GXTWGEPZSA-N 0 3 249.354 2.915 20 0 BFADHN CC(C)CCN1CCCC[C@H]1c1cc[nH]n1 ZINC000375637347 387561333 /nfs/dbraw/zinc/56/13/33/387561333.db2.gz UEYQIJPVRFKECU-ZDUSSCGKSA-N 0 3 221.348 2.983 20 0 BFADHN Cc1ccc(CN(CCO)C[C@H]2CC=CCC2)o1 ZINC000355653624 387561500 /nfs/dbraw/zinc/56/15/00/387561500.db2.gz QTHXIIQZGXUZLF-AWEZNQCLSA-N 0 3 249.354 2.739 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCC[C@@H](C)O)CCCO2 ZINC000305142362 387562907 /nfs/dbraw/zinc/56/29/07/387562907.db2.gz QQFWCXGHHSTDSS-OCCSQVGLSA-N 0 3 249.354 2.569 20 0 BFADHN CCc1ccc([C@@H](C)NCC[C@@H](O)C(C)C)o1 ZINC000305140634 387563376 /nfs/dbraw/zinc/56/33/76/387563376.db2.gz MGNSTGQDTFKHCY-DGCLKSJQSA-N 0 3 239.359 2.900 20 0 BFADHN C[C@H](CC1CCCC1)N(C)[C@H](C)C[S@](C)=O ZINC000362070835 387566902 /nfs/dbraw/zinc/56/69/02/387566902.db2.gz XBPOMWVUYKQNGB-HSMVNMDESA-N 0 3 245.432 2.654 20 0 BFADHN CC[C@@H](N)C(=O)N(CCC(C)C)CCC(C)C ZINC000037804697 387567329 /nfs/dbraw/zinc/56/73/29/387567329.db2.gz DAMXFHMNLQGZHW-CYBMUJFWSA-N 0 3 242.407 2.645 20 0 BFADHN C[C@H](N[C@@H]1CCCCC[C@@H]1O)c1ccco1 ZINC000037890669 387568776 /nfs/dbraw/zinc/56/87/76/387568776.db2.gz FIJWACXFYIOLID-TUAOUCFPSA-N 0 3 223.316 2.624 20 0 BFADHN CC(C)[C@@H](O)CCN[C@H](C)c1cccc(F)c1 ZINC000305167271 387569711 /nfs/dbraw/zinc/56/97/11/387569711.db2.gz SSYCWGIXGOTAKP-RISCZKNCSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2cccnc2)nc1 ZINC000399804106 387573640 /nfs/dbraw/zinc/57/36/40/387573640.db2.gz CJPPXXHHINHCTB-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN CN(Cc1ccc2c[nH]nc2c1)[C@H]1CC1(C)C ZINC000426543484 387576397 /nfs/dbraw/zinc/57/63/97/387576397.db2.gz JECPSRZJAKPQPM-ZDUSSCGKSA-N 0 3 229.327 2.793 20 0 BFADHN Cc1cnc(CNC2Cc3ccccc3C2)s1 ZINC000122976132 387577878 /nfs/dbraw/zinc/57/78/78/387577878.db2.gz BOSOPIDMJQADPR-UHFFFAOYSA-N 0 3 244.363 2.709 20 0 BFADHN C(CN1CCO[C@H](C2CC2)C1)C1CCCC1 ZINC000362567457 387629967 /nfs/dbraw/zinc/62/99/67/387629967.db2.gz IARKUVNRTQEWKG-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN CCOC[C@H](C)N[C@H]1CCc2c1cccc2F ZINC000211888138 387633867 /nfs/dbraw/zinc/63/38/67/387633867.db2.gz AYFHGDUJDJTNSP-HZMBPMFUSA-N 0 3 237.318 2.828 20 0 BFADHN Cc1cc(CN2CCC23CCOCC3)ccc1F ZINC000376464774 387635897 /nfs/dbraw/zinc/63/58/97/387635897.db2.gz SJPQFJWABLQPCN-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN C[C@@H](NCc1ccco1)[C@@H]1C[C@H]1C1CC1 ZINC000527628887 387641196 /nfs/dbraw/zinc/64/11/96/387641196.db2.gz GOCPRIIRBRCQPD-ICCXJUOJSA-N 0 3 205.301 2.804 20 0 BFADHN Cc1ccc(SCCN(C)C)c(C)c1 ZINC000123063065 387643119 /nfs/dbraw/zinc/64/31/19/387643119.db2.gz LGMLEEJOOMOLPL-UHFFFAOYSA-N 0 3 209.358 2.957 20 0 BFADHN c1c[nH]c(CN[C@@H]2CC[C@H](c3ccccc3)C2)n1 ZINC000362640482 387643846 /nfs/dbraw/zinc/64/38/46/387643846.db2.gz OLSHXZJKZRQHSE-UONOGXRCSA-N 0 3 241.338 2.836 20 0 BFADHN CNCc1ccccc1NC(=O)[C@@]12C[C@@H]1CCC2 ZINC000422738517 387646669 /nfs/dbraw/zinc/64/66/69/387646669.db2.gz JNAMNTXTHHLPLB-WFASDCNBSA-N 0 3 244.338 2.535 20 0 BFADHN C[C@@H](NCc1nccs1)[C@@H]1C[C@H]1C1CC1 ZINC000527638970 387648751 /nfs/dbraw/zinc/64/87/51/387648751.db2.gz AWQVGNPUNFLTTN-MIMYLULJSA-N 0 3 222.357 2.667 20 0 BFADHN Cc1c[nH]nc1CN1CCCCC(C)(C)C1 ZINC000356398909 387653097 /nfs/dbraw/zinc/65/30/97/387653097.db2.gz RSLQWMGVBGWIJH-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN CCOc1cccc(CN2CCOC[C@H](C)C2)c1 ZINC000376580930 387653349 /nfs/dbraw/zinc/65/33/49/387653349.db2.gz MGIRXDPHFIPRFP-CYBMUJFWSA-N 0 3 249.354 2.554 20 0 BFADHN CC[C@H]1CCCCCN1C(=O)CN(C)C(C)C ZINC000125425203 387655604 /nfs/dbraw/zinc/65/56/04/387655604.db2.gz WYZORIMLEQPHHM-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1cnc([C@@H](C)NC[C@H]2CCCOC2)s1 ZINC000125431386 387656962 /nfs/dbraw/zinc/65/69/62/387656962.db2.gz CGIQVLDVOSNAHL-GHMZBOCLSA-N 0 3 240.372 2.529 20 0 BFADHN CSC1(CN2CCO[C@@H](C(C)C)C2)CCC1 ZINC000356422145 387657088 /nfs/dbraw/zinc/65/70/88/387657088.db2.gz UXDDOVGIXRSBSO-GFCCVEGCSA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@]1(C)CN(CC2(SC)CCC2)CCO1 ZINC000356437254 387659586 /nfs/dbraw/zinc/65/95/86/387659586.db2.gz ZANVQCKPXZGKHJ-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN COc1cc(C)nc(CNCC(C)(C)C)c1 ZINC000125960797 387669178 /nfs/dbraw/zinc/66/91/78/387669178.db2.gz JXUXCFCJVHZNHC-UHFFFAOYSA-N 0 3 222.332 2.534 20 0 BFADHN CN(Cc1ccc(F)cc1)C[C@@H](O)C(C)(C)C ZINC000044180254 387672803 /nfs/dbraw/zinc/67/28/03/387672803.db2.gz ZTYAMHZKSVTRRQ-CYBMUJFWSA-N 0 3 239.334 2.665 20 0 BFADHN COc1ncccc1CN1CCC[C@@H]1C(C)C ZINC000362927803 387673425 /nfs/dbraw/zinc/67/34/25/387673425.db2.gz LNRITZYJBFHKIW-CYBMUJFWSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H]1CC(F)(F)CCN1CCOCC1CC1 ZINC000528771780 387676755 /nfs/dbraw/zinc/67/67/55/387676755.db2.gz ZWDHTKZNFBPPBS-JTQLQIEISA-N 0 3 233.302 2.533 20 0 BFADHN CCCCN(C)CCNC(=O)[C@@H](C)[C@@H](C)CC ZINC000356591288 387680215 /nfs/dbraw/zinc/68/02/15/387680215.db2.gz IUTKGVWLHPTXQP-STQMWFEESA-N 0 3 242.407 2.517 20 0 BFADHN Fc1ccccc1CNCC[C@@H]1CCCOC1 ZINC000527737581 387684531 /nfs/dbraw/zinc/68/45/31/387684531.db2.gz SHWWQAGVLYVCTR-LBPRGKRZSA-N 0 3 237.318 2.732 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc(C(F)F)nc1 ZINC000631118970 387685116 /nfs/dbraw/zinc/68/51/16/387685116.db2.gz RCQWABGHFLTQTP-DTWKUNHWSA-N 0 3 244.285 2.532 20 0 BFADHN Cn1cc(CN[C@H]2CC2(C)C)c(C(C)(C)C)n1 ZINC000126529533 387686368 /nfs/dbraw/zinc/68/63/68/387686368.db2.gz WMEDMDDOQJKEOX-NSHDSACASA-N 0 3 235.375 2.606 20 0 BFADHN Cc1cc(CNC[C@H](C)C(F)(F)F)ccn1 ZINC000527695691 387687386 /nfs/dbraw/zinc/68/73/86/387687386.db2.gz QPDRRPWIAGNZIU-QMMMGPOBSA-N 0 3 232.249 2.678 20 0 BFADHN CCOC[C@@H](C)NCc1ccc(CC)cc1 ZINC000044688266 387688267 /nfs/dbraw/zinc/68/82/67/387688267.db2.gz ZTPJOKUUKSYERT-GFCCVEGCSA-N 0 3 221.344 2.764 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1ccc(OC)cc1 ZINC000044688837 387690020 /nfs/dbraw/zinc/69/00/20/387690020.db2.gz PIKKGBBHSLYGIO-NEPJUHHUSA-N 0 3 237.343 2.771 20 0 BFADHN CCOC[C@@H](C)NCc1ccc(Cl)c(F)c1 ZINC000044692105 387691268 /nfs/dbraw/zinc/69/12/68/387691268.db2.gz IRJDSWJKYDXGTH-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN CC[C@H](CCO)NCc1c(F)cc(C)cc1F ZINC000424143414 387749500 /nfs/dbraw/zinc/74/95/00/387749500.db2.gz JMCCKAMMJGQPDU-SNVBAGLBSA-N 0 3 243.297 2.524 20 0 BFADHN Cc1ccc2nc(CNC3CC(C)(C)C3)cn2c1 ZINC000356949317 387751642 /nfs/dbraw/zinc/75/16/42/387751642.db2.gz MMADSKKHPGJOLV-UHFFFAOYSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1csc(CN[C@@H](C)[C@@H]2CC23CC3)n1 ZINC000527844662 387753231 /nfs/dbraw/zinc/75/32/31/387753231.db2.gz HLZYOGWMIPOXGW-UWVGGRQHSA-N 0 3 222.357 2.730 20 0 BFADHN Cc1cc(CN[C@@]23C[C@@H]2COC3(C)C)ccc1F ZINC000527761872 387698500 /nfs/dbraw/zinc/69/85/00/387698500.db2.gz CKSGZWZITIDYGQ-DOMZBBRYSA-N 0 3 249.329 2.791 20 0 BFADHN C[C@]1(NCc2cscn2)CC=CCC1 ZINC000306625378 387700936 /nfs/dbraw/zinc/70/09/36/387700936.db2.gz YDUPUFWSLIEZIG-NSHDSACASA-N 0 3 208.330 2.732 20 0 BFADHN CCOc1cccc(CN2C[C@@H]3CCC[C@H]3C2)n1 ZINC000126918547 387701405 /nfs/dbraw/zinc/70/14/05/387701405.db2.gz HECZZYFVGHMHEE-STQMWFEESA-N 0 3 246.354 2.712 20 0 BFADHN COC[C@H](C)N[C@@H](c1ccccn1)C1CCC1 ZINC000527770541 387705420 /nfs/dbraw/zinc/70/54/20/387705420.db2.gz FSXZATCPHNABKX-SMDDNHRTSA-N 0 3 234.343 2.547 20 0 BFADHN OC[C@@H]1CC[C@H](NCc2cscc2Cl)C1 ZINC000648700087 387706224 /nfs/dbraw/zinc/70/62/24/387706224.db2.gz QBRJEELGSWCLGK-SCZZXKLOSA-N 0 3 245.775 2.652 20 0 BFADHN Cc1ncc(CNCC[C@@H]2CC=CCC2)cn1 ZINC000527785564 387711521 /nfs/dbraw/zinc/71/15/21/387711521.db2.gz AOVGYIMXLFQYEL-CYBMUJFWSA-N 0 3 231.343 2.621 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1ccc(C)c(F)c1 ZINC000527783621 387712063 /nfs/dbraw/zinc/71/20/63/387712063.db2.gz NJCJEQKKWFUOFK-KGLIPLIRSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cnc(CN2C[C@@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)o1 ZINC000452055254 387716137 /nfs/dbraw/zinc/71/61/37/387716137.db2.gz TZVBUWFJWPCZAE-QVHKTLOISA-N 0 3 246.354 2.851 20 0 BFADHN Cc1nc(CNCC[C@H]2CC=CCC2)[nH]c1C ZINC000527791264 387716617 /nfs/dbraw/zinc/71/66/17/387716617.db2.gz SHTOUSZYQQDAAA-ZDUSSCGKSA-N 0 3 233.359 2.863 20 0 BFADHN Fc1ccc(CN2CCC[C@H](C3CC3)C2)cn1 ZINC000377886119 387755371 /nfs/dbraw/zinc/75/53/71/387755371.db2.gz YLQTUQHSXPGBAY-ZDUSSCGKSA-N 0 3 234.318 2.843 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@]2(C)OC(C)C)cn1 ZINC000527801608 387721153 /nfs/dbraw/zinc/72/11/53/387721153.db2.gz KSHJOKKLEPPVLG-CABCVRRESA-N 0 3 248.370 2.690 20 0 BFADHN CCC[C@@H](C)CN[C@H]1Cc2ccccc2NC1=O ZINC000527799241 387721903 /nfs/dbraw/zinc/72/19/03/387721903.db2.gz KJMDRHVZDOGLBJ-RISCZKNCSA-N 0 3 246.354 2.576 20 0 BFADHN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1NCc1ccsc1 ZINC000527802744 387726418 /nfs/dbraw/zinc/72/64/18/387726418.db2.gz QXICQIOJUWSELY-UXCLJVHYSA-N 0 3 225.357 2.650 20 0 BFADHN C[C@@H](CC1CC1)NCc1noc2c1CCCC2 ZINC000377885169 387755729 /nfs/dbraw/zinc/75/57/29/387755729.db2.gz RHRAVZLODABHOL-JTQLQIEISA-N 0 3 234.343 2.832 20 0 BFADHN CCc1ccc(CN[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)cn1 ZINC000527807408 387732663 /nfs/dbraw/zinc/73/26/63/387732663.db2.gz ZMHLZIVEPZGQLK-YXMPFFBPSA-N 0 3 248.370 2.546 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCCO2)cc1C ZINC000128078309 387734260 /nfs/dbraw/zinc/73/42/60/387734260.db2.gz NTWLVUMRXXULKY-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CCCO2)ccc1F ZINC000128040346 387735075 /nfs/dbraw/zinc/73/50/75/387735075.db2.gz DWQBOZDTEFVFAP-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cc(C)cc(CN[C@@H]2C[C@H](O)C2(C)C)c1 ZINC000128145655 387739990 /nfs/dbraw/zinc/73/99/90/387739990.db2.gz AQGBRJZDJDGZJX-KGLIPLIRSA-N 0 3 233.355 2.552 20 0 BFADHN CC(C)c1cccc([C@H](C)N[C@@H](C)CC(N)=O)c1 ZINC000527868499 387740692 /nfs/dbraw/zinc/74/06/92/387740692.db2.gz SYKFTTUQRSBHGV-RYUDHWBXSA-N 0 3 248.370 2.725 20 0 BFADHN Cc1ccoc1CN(C)CCc1cccc(C)n1 ZINC000645921814 387761768 /nfs/dbraw/zinc/76/17/68/387761768.db2.gz SYCGUYYTKVCORC-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN COC(=O)C(C)(C)N(C)C[C@H]1CCC[C@H](C)C1 ZINC000356998110 387761881 /nfs/dbraw/zinc/76/18/81/387761881.db2.gz AFYPMOGZHLYUNO-RYUDHWBXSA-N 0 3 241.375 2.696 20 0 BFADHN CCc1ncc(CN[C@H](C)[C@H]2CC23CC3)o1 ZINC000527907402 387764298 /nfs/dbraw/zinc/76/42/98/387764298.db2.gz XNCGXAUGVHPRQS-MWLCHTKSSA-N 0 3 220.316 2.515 20 0 BFADHN C[C@@]1(O)CCCN(C/C=C/c2ccc(F)cc2)C1 ZINC000129524074 387772365 /nfs/dbraw/zinc/77/23/65/387772365.db2.gz IKSSZVIQTTVENE-TXTHVTMNSA-N 0 3 249.329 2.686 20 0 BFADHN COC[C@H](NC1CC1)c1ccc(Cl)cc1 ZINC000193937428 387812123 /nfs/dbraw/zinc/81/21/23/387812123.db2.gz NFASEIUJMCJSTB-LBPRGKRZSA-N 0 3 225.719 2.780 20 0 BFADHN C(=C\c1ccccc1)\CCN1C[C@H]2CC[C@@H](C1)O2 ZINC000450453995 387775088 /nfs/dbraw/zinc/77/50/88/387775088.db2.gz QUHGUKHEJAHXNA-KQLXTKLJSA-N 0 3 243.350 2.953 20 0 BFADHN CCn1ccnc1[C@H](C)NCC1CC=CC1 ZINC000527948715 387783244 /nfs/dbraw/zinc/78/32/44/387783244.db2.gz MNOXKIXFUFXBSY-NSHDSACASA-N 0 3 219.332 2.520 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCCC2CC(O)C2)o1 ZINC000432349901 387784423 /nfs/dbraw/zinc/78/44/23/387784423.db2.gz YLQOWVWXVVRIPR-DLOFLVKXSA-N 0 3 249.354 2.654 20 0 BFADHN Cc1ccc([C@@H](C)NCC(=O)N(C)C(C)C)cc1 ZINC000049556879 387784921 /nfs/dbraw/zinc/78/49/21/387784921.db2.gz IPPVEUCWHASGHX-CYBMUJFWSA-N 0 3 248.370 2.512 20 0 BFADHN C[C@H]1OCCN(CCC2=CCCCC2)[C@H]1C ZINC000450494417 387788648 /nfs/dbraw/zinc/78/86/48/387788648.db2.gz LPOMTWOVEYNLJX-QWHCGFSZSA-N 0 3 223.360 2.986 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H]1CCc2ccccc21 ZINC000527974690 387812826 /nfs/dbraw/zinc/81/28/26/387812826.db2.gz NZZBQYXTBNIJIZ-KFWWJZLASA-N 0 3 231.339 2.831 20 0 BFADHN c1coc(CN2CC[C@H](Cc3ccncc3)C2)c1 ZINC000378634535 387790631 /nfs/dbraw/zinc/79/06/31/387790631.db2.gz RIDUNJQHUGOONT-CQSZACIVSA-N 0 3 242.322 2.739 20 0 BFADHN CCc1ccc(CN2CCOC[C@H](C)C2)cc1 ZINC000359978935 387790996 /nfs/dbraw/zinc/79/09/96/387790996.db2.gz PXYLYDSFSBIZOT-CYBMUJFWSA-N 0 3 233.355 2.717 20 0 BFADHN CN(CCc1ccccn1)CC1=CCCC1 ZINC000645841388 387791447 /nfs/dbraw/zinc/79/14/47/387791447.db2.gz KZCJERVLZAGUID-UHFFFAOYSA-N 0 3 216.328 2.666 20 0 BFADHN CCC[C@H](NCC12CC(C1)CO2)c1cccnc1 ZINC000527981087 387798775 /nfs/dbraw/zinc/79/87/75/387798775.db2.gz ODLDAKHQOCWIQM-BLZCZZARSA-N 0 3 246.354 2.691 20 0 BFADHN CSC1(CNCc2nc(C)cs2)CCC1 ZINC000193652625 387799226 /nfs/dbraw/zinc/79/92/26/387799226.db2.gz IZBCEIJPTUZMHQ-UHFFFAOYSA-N 0 3 242.413 2.827 20 0 BFADHN C[C@H](NCC[C@H]1CC=CCC1)c1ccn(C)n1 ZINC000527981892 387799393 /nfs/dbraw/zinc/79/93/93/387799393.db2.gz SHHWLLQLEOKCMV-STQMWFEESA-N 0 3 233.359 2.817 20 0 BFADHN CSC1(CNCc2cccnc2Cl)CC1 ZINC000193654933 387800234 /nfs/dbraw/zinc/80/02/34/387800234.db2.gz AORBBUNHFXJNSA-UHFFFAOYSA-N 0 3 242.775 2.720 20 0 BFADHN Cc1ccc([C@H](C)NCC23CC(C2)CO3)c(C)n1 ZINC000527983830 387801408 /nfs/dbraw/zinc/80/14/08/387801408.db2.gz QPWQRFNGLBMZKT-ZOODHJKOSA-N 0 3 246.354 2.528 20 0 BFADHN COC[C@@H](CC(C)C)NCc1cccnc1C ZINC000527984694 387801762 /nfs/dbraw/zinc/80/17/62/387801762.db2.gz HBLMQTWGUUGBGM-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN COc1ccccc1CN[C@H]1C=CCCC1 ZINC000151139363 387802847 /nfs/dbraw/zinc/80/28/47/387802847.db2.gz QMUQAJOVCUQVMP-ZDUSSCGKSA-N 0 3 217.312 2.894 20 0 BFADHN CSC1(CN[C@@H](C)c2ccccn2)CC1 ZINC000193829709 387804754 /nfs/dbraw/zinc/80/47/54/387804754.db2.gz JQYRLYBPGUCPAP-JTQLQIEISA-N 0 3 222.357 2.628 20 0 BFADHN CCCC[C@@H](C)N[C@H]1Cc2ccccc2NC1=O ZINC000527992335 387805283 /nfs/dbraw/zinc/80/52/83/387805283.db2.gz CUJPUFGCACQNRE-RISCZKNCSA-N 0 3 246.354 2.718 20 0 BFADHN CCCC[C@H](C)N[C@@H]1Cc2ccccc2NC1=O ZINC000527992338 387805714 /nfs/dbraw/zinc/80/57/14/387805714.db2.gz CUJPUFGCACQNRE-SMDDNHRTSA-N 0 3 246.354 2.718 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C)c1cncs1 ZINC000527997898 387806389 /nfs/dbraw/zinc/80/63/89/387806389.db2.gz AAMGIXMUSGYMPB-LIJGXYGRSA-N 0 3 240.372 2.606 20 0 BFADHN COc1ccc(CN[C@H]2C=CCCC2)c(OC)c1 ZINC000151179101 387806794 /nfs/dbraw/zinc/80/67/94/387806794.db2.gz KQDGBGVPGNAGNU-ZDUSSCGKSA-N 0 3 247.338 2.902 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1ccc(F)c(F)c1 ZINC000564039870 387807356 /nfs/dbraw/zinc/80/73/56/387807356.db2.gz MYBAHIDNOOMICQ-OXHMUOHRSA-N 0 3 241.281 2.793 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C)c1ccoc1 ZINC000527999197 387807587 /nfs/dbraw/zinc/80/75/87/387807587.db2.gz CRVJQQPQIXAERL-XPCJQDJLSA-N 0 3 223.316 2.742 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@H](C)O[C@H]1C)c1ccncc1 ZINC000528001843 387808439 /nfs/dbraw/zinc/80/84/39/387808439.db2.gz KGCMVZLDPYKMNO-JNLQPACOSA-N 0 3 234.343 2.544 20 0 BFADHN C[C@H](NCC1(CCO)CC1)c1ccccc1F ZINC000157514517 387810646 /nfs/dbraw/zinc/81/06/46/387810646.db2.gz DEQQCAQRCZFVIE-NSHDSACASA-N 0 3 237.318 2.639 20 0 BFADHN CC[C@@H](COC)N[C@@H](c1ccccn1)C1CCC1 ZINC000527968097 387811326 /nfs/dbraw/zinc/81/13/26/387811326.db2.gz OEDJLPWPLPXJKR-DZGCQCFKSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@@H](COC)N[C@H](c1ccccn1)C1CCC1 ZINC000527968103 387811446 /nfs/dbraw/zinc/81/14/46/387811446.db2.gz OEDJLPWPLPXJKR-ZFWWWQNUSA-N 0 3 248.370 2.937 20 0 BFADHN CCCCCN(C(=O)[C@@H]1CCCN1C)C(C)C ZINC000356974964 387756927 /nfs/dbraw/zinc/75/69/27/387756927.db2.gz CAMRQAMXRANPCA-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1cnc([C@@H](C)N2C[C@H](C)[C@H](C)C2)o1 ZINC000356979106 387758906 /nfs/dbraw/zinc/75/89/06/387758906.db2.gz IEIIUUSPUOZYEG-WCABBAIRSA-N 0 3 208.305 2.632 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@H](C)[C@H]1C)c1ccccn1 ZINC000528005884 387820068 /nfs/dbraw/zinc/82/00/68/387820068.db2.gz VHASKOUNVAZVSS-MOWSAHLDSA-N 0 3 234.343 2.544 20 0 BFADHN C[C@@H](NC[C@H]1CCN1C1CCCC1)c1ccoc1 ZINC000528009244 387822052 /nfs/dbraw/zinc/82/20/52/387822052.db2.gz HOHZUSZGTVABDL-IUODEOHRSA-N 0 3 248.370 2.947 20 0 BFADHN Cc1occc1CNCCOCc1ccccc1 ZINC000320978747 387824799 /nfs/dbraw/zinc/82/47/99/387824799.db2.gz GZLFBSIAMLFRRP-UHFFFAOYSA-N 0 3 245.322 2.894 20 0 BFADHN C[C@@H](NCC1COC1)c1csc(Cl)c1 ZINC000528026954 387832656 /nfs/dbraw/zinc/83/26/56/387832656.db2.gz RTNACMFWKHWILJ-SSDOTTSWSA-N 0 3 231.748 2.699 20 0 BFADHN Cc1occc1CN[C@@H](C)Cc1ccoc1 ZINC000648431671 387838021 /nfs/dbraw/zinc/83/80/21/387838021.db2.gz YUXCYISUWCDHLR-JTQLQIEISA-N 0 3 219.284 2.902 20 0 BFADHN Cc1ccc(CCN2CCO[C@H](C)[C@@H]2C)cc1 ZINC000139207699 387838962 /nfs/dbraw/zinc/83/89/62/387838962.db2.gz MTYYYVACIZBNJP-UONOGXRCSA-N 0 3 233.355 2.647 20 0 BFADHN Cc1cnc(CN[C@@H](C)C2CCCCC2)cn1 ZINC000061320584 387842845 /nfs/dbraw/zinc/84/28/45/387842845.db2.gz WDOPJARKARKQQB-LBPRGKRZSA-N 0 3 233.359 2.843 20 0 BFADHN CC[C@H](C)CN(C)Cc1cc(C(F)(F)F)n[nH]1 ZINC000442804493 387844147 /nfs/dbraw/zinc/84/41/47/387844147.db2.gz WXXDRBDIBRDFAA-QMMMGPOBSA-N 0 3 249.280 2.906 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CC1(C)CCC1 ZINC000432943728 387846263 /nfs/dbraw/zinc/84/62/63/387846263.db2.gz NLSBDVQGBQTASX-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN COc1c(O)cccc1CN[C@@H]1CC12CCCC2 ZINC000433031016 387853557 /nfs/dbraw/zinc/85/35/57/387853557.db2.gz HSNBEDDHXCVMKO-CYBMUJFWSA-N 0 3 247.338 2.823 20 0 BFADHN CC/C=C\CCN1CCO[C@@](C)(CC)C1 ZINC000442885816 387857513 /nfs/dbraw/zinc/85/75/13/387857513.db2.gz ORTRAENSFVBALF-FWWRYZNZSA-N 0 3 211.349 2.844 20 0 BFADHN COCc1ccc(CNC[C@@H]2C[C@H]2C2CCC2)o1 ZINC000424168261 387857611 /nfs/dbraw/zinc/85/76/11/387857611.db2.gz IFBULZJPQKEXMQ-WFASDCNBSA-N 0 3 249.354 2.952 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc3ccccc3[nH]2)[C@@H](C)O1 ZINC000648438104 387867786 /nfs/dbraw/zinc/86/77/86/387867786.db2.gz NOVAKBYPCQOZEY-HFAKWTLXSA-N 0 3 244.338 2.823 20 0 BFADHN c1ccc(NC[C@H]2CCN2C2CCCC2)nc1 ZINC000528209542 387870613 /nfs/dbraw/zinc/87/06/13/387870613.db2.gz NHBUWFCPXYBHQL-CYBMUJFWSA-N 0 3 231.343 2.510 20 0 BFADHN CCC[C@H]1CN(CC2(C)CCC2)CCO1 ZINC000432773769 387813738 /nfs/dbraw/zinc/81/37/38/387813738.db2.gz JUBOCYOKKBTZLQ-LBPRGKRZSA-N 0 3 211.349 2.678 20 0 BFADHN CC(C)N(Cc1n[nH]c(C(C)(C)C)n1)C(C)C ZINC000432783492 387817555 /nfs/dbraw/zinc/81/75/55/387817555.db2.gz ZZVDHMHUKBMJPQ-UHFFFAOYSA-N 0 3 238.379 2.721 20 0 BFADHN Cc1nc([C@H]2CCCCN2CC2(C)CCC2)n[nH]1 ZINC000432776737 387818029 /nfs/dbraw/zinc/81/80/29/387818029.db2.gz QNIGSAHNGUEJHW-GFCCVEGCSA-N 0 3 248.374 2.830 20 0 BFADHN Cc1nc([C@@H]2CCCCN2CC2(C)CCC2)n[nH]1 ZINC000432776739 387818062 /nfs/dbraw/zinc/81/80/62/387818062.db2.gz QNIGSAHNGUEJHW-LBPRGKRZSA-N 0 3 248.374 2.830 20 0 BFADHN COC1(CCNCc2nc(C)cs2)CCC1 ZINC000293058613 387890696 /nfs/dbraw/zinc/89/06/96/387890696.db2.gz UOKUJJIYTCXCHU-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN Cc1cccc(NC[C@@H]2CCN2C2CCCC2)n1 ZINC000528212357 387881117 /nfs/dbraw/zinc/88/11/17/387881117.db2.gz WQJIAALVVNSSLT-AWEZNQCLSA-N 0 3 245.370 2.819 20 0 BFADHN Cc1ccsc1[C@@H](CO)NCC1=CCCC1 ZINC000645861885 387881892 /nfs/dbraw/zinc/88/18/92/387881892.db2.gz ZKXJIMQKBNZOOA-GFCCVEGCSA-N 0 3 237.368 2.790 20 0 BFADHN CCC[C@](C)(O)CN[C@H]1CCCc2occc21 ZINC000219267196 387902374 /nfs/dbraw/zinc/90/23/74/387902374.db2.gz HEFGHDHZFZUKAQ-JSGCOSHPSA-N 0 3 237.343 2.798 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CCCO2)c(C)s1 ZINC000195027862 387903300 /nfs/dbraw/zinc/90/33/00/387903300.db2.gz COIYZCPUYHLPSB-CYBMUJFWSA-N 0 3 239.384 2.976 20 0 BFADHN C[C@H]1CCCCN1Cc1cc(CO)ccc1F ZINC000528344388 387904123 /nfs/dbraw/zinc/90/41/23/387904123.db2.gz CNGXOXQEQXBXEH-NSHDSACASA-N 0 3 237.318 2.692 20 0 BFADHN Cc1occc1CN(C)CCC[C@@H]1CCOC1 ZINC000645781006 387906862 /nfs/dbraw/zinc/90/68/62/387906862.db2.gz OIOQYRQVXGJKJC-CYBMUJFWSA-N 0 3 237.343 2.837 20 0 BFADHN Cc1occc1CN(C)CCc1ccncc1C ZINC000645780881 387907151 /nfs/dbraw/zinc/90/71/51/387907151.db2.gz CVNNZZHDCUBJPY-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN CCN(C[C@@H](OC)c1ccc(F)cc1)C1CC1 ZINC000528354214 387908564 /nfs/dbraw/zinc/90/85/64/387908564.db2.gz WJUDTEFGXPLZHL-CQSZACIVSA-N 0 3 237.318 2.998 20 0 BFADHN CC(C)CCCN1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000528789284 387911498 /nfs/dbraw/zinc/91/14/98/387911498.db2.gz QBBHEBJQRHKMNU-ZDUSSCGKSA-N 0 3 249.358 2.755 20 0 BFADHN Cc1ccc2nc(CN3C[C@@H](C)C[C@H]3C)cn2c1 ZINC000532972637 387915693 /nfs/dbraw/zinc/91/56/93/387915693.db2.gz SZFDPUBWAZIELQ-QWHCGFSZSA-N 0 3 243.354 2.873 20 0 BFADHN CCn1cc(CN2CCCCC(C)(C)C2)cn1 ZINC000438366908 387929472 /nfs/dbraw/zinc/92/94/72/387929472.db2.gz SKUQVCQIAKNLRA-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCn1nccc1CN1CCCCC(C)(C)C1 ZINC000438359543 387929671 /nfs/dbraw/zinc/92/96/71/387929671.db2.gz SUMQBBCQQNJLPY-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1ccsc1CNCc1cccc(CO)c1 ZINC000222116779 387932605 /nfs/dbraw/zinc/93/26/05/387932605.db2.gz FYIDZVHEYPWITR-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1CCn1cc(Cl)cn1 ZINC000459372898 387935077 /nfs/dbraw/zinc/93/50/77/387935077.db2.gz ZYBWKEGIQMKRGF-CMPLNLGQSA-N 0 3 241.766 2.657 20 0 BFADHN Cc1n[nH]cc1CN[C@H](CC(F)(F)F)C(C)C ZINC000438477758 387935694 /nfs/dbraw/zinc/93/56/94/387935694.db2.gz MGARUWWKOOEYBL-SNVBAGLBSA-N 0 3 249.280 2.785 20 0 BFADHN COc1cc(CN2CCC[C@H](C(C)C)C2)ccn1 ZINC000444748264 387920486 /nfs/dbraw/zinc/92/04/86/387920486.db2.gz OOXZFKVZYIPNNW-AWEZNQCLSA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@](C)(O)CN(C)Cc1cc(C)ccc1F ZINC000444742238 387920693 /nfs/dbraw/zinc/92/06/93/387920693.db2.gz WJHWXQTWFFZIPF-AWEZNQCLSA-N 0 3 239.334 2.727 20 0 BFADHN CCc1ccc(NC(=O)CN(CC)C(C)C)cc1 ZINC000195527302 387922304 /nfs/dbraw/zinc/92/23/04/387922304.db2.gz RVMOIOPRLZRQAC-UHFFFAOYSA-N 0 3 248.370 2.918 20 0 BFADHN C[C@@H]1COCCN1C[C@@H]1CCC[C@H](C)C1 ZINC000444768880 387924948 /nfs/dbraw/zinc/92/49/48/387924948.db2.gz VZCXZHPFXUPLIW-YNEHKIRRSA-N 0 3 211.349 2.533 20 0 BFADHN CCCC[C@H](CC)CN1C[C@@H](F)C[C@H]1CO ZINC000444766134 387925060 /nfs/dbraw/zinc/92/50/60/387925060.db2.gz MFAQPLLAXRLJCK-AVGNSLFASA-N 0 3 231.355 2.608 20 0 BFADHN C[C@H](CC1CC1)NCc1cn2ccccc2n1 ZINC000078455339 387927000 /nfs/dbraw/zinc/92/70/00/387927000.db2.gz RFWRKPIDTLTMHX-LLVKDONJSA-N 0 3 229.327 2.613 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3C[C@H]4C[C@H]4C3)cn2c1 ZINC000424198688 387927484 /nfs/dbraw/zinc/92/74/84/387927484.db2.gz DJBBXVNBPHUFSV-CLLJXQQHSA-N 0 3 241.338 2.531 20 0 BFADHN CCc1cc(CN[C@H]2CC[C@@H]2C(C)C)on1 ZINC000309636831 387953344 /nfs/dbraw/zinc/95/33/44/387953344.db2.gz JQTJBXZQHCALPL-OLZOCXBDSA-N 0 3 222.332 2.761 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2C[C@H]2C2CCC2)nn1C ZINC000424292590 387954395 /nfs/dbraw/zinc/95/43/95/387954395.db2.gz MZBSXAYGCCLICB-UBHSHLNASA-N 0 3 247.386 2.815 20 0 BFADHN COc1c(O)cccc1CN[C@@H]1CC[C@H](C)C1 ZINC000438543509 387943337 /nfs/dbraw/zinc/94/33/37/387943337.db2.gz BJTGEWNSVZYDMY-CMPLNLGQSA-N 0 3 235.327 2.679 20 0 BFADHN COCCN[C@@H](C)c1ccc(OC)cc1Cl ZINC000424256202 387944457 /nfs/dbraw/zinc/94/44/57/387944457.db2.gz IUKUECVKESKZGS-VIFPVBQESA-N 0 3 243.734 2.646 20 0 BFADHN CC[C@@](C)(CN[C@H]1COCc2ccccc21)OC ZINC000322625897 387945441 /nfs/dbraw/zinc/94/54/41/387945441.db2.gz PFNRJKKSRXVUAZ-GJZGRUSLSA-N 0 3 249.354 2.663 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@H](C)c1cnccc1N ZINC000423171120 387958058 /nfs/dbraw/zinc/95/80/58/387958058.db2.gz ZJSPZISPLHBEOS-BYCMXARLSA-N 0 3 247.386 2.969 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H](C)c1cnccc1N ZINC000423172921 387959727 /nfs/dbraw/zinc/95/97/27/387959727.db2.gz VZEIWLJXUOBGDK-JTNHKYCSSA-N 0 3 233.359 2.579 20 0 BFADHN CCn1nccc1CN1CCC[C@H]2CCC[C@H]21 ZINC000245561226 387959893 /nfs/dbraw/zinc/95/98/93/387959893.db2.gz NQWWXKWTOHCYND-TZMCWYRMSA-N 0 3 233.359 2.668 20 0 BFADHN CSC1(CN(C)Cc2cccc(O)c2)CC1 ZINC000514691459 387961717 /nfs/dbraw/zinc/96/17/17/387961717.db2.gz IOTNNZNOVSLGDP-UHFFFAOYSA-N 0 3 237.368 2.720 20 0 BFADHN C[C@H]1CCCN1CCc1c(F)cccc1F ZINC000645811111 387961786 /nfs/dbraw/zinc/96/17/86/387961786.db2.gz YDQWNPDPMLVGSW-JTQLQIEISA-N 0 3 225.282 2.992 20 0 BFADHN F[C@]1(c2ccccc2)C[C@@H]1N[C@@H]1CCCOCC1 ZINC000424326174 387965114 /nfs/dbraw/zinc/96/51/14/387965114.db2.gz OLEDNSYBBHEUAO-ILXRZTDVSA-N 0 3 249.329 2.782 20 0 BFADHN CC[C@H]1c2ccsc2CCN1CC[C@@H](C)O ZINC000438974883 387967600 /nfs/dbraw/zinc/96/76/00/387967600.db2.gz PQKSAERLHKEGFH-PWSUYJOCSA-N 0 3 239.384 2.828 20 0 BFADHN CC[C@H]1c2ccsc2CCN1CC[C@H](C)O ZINC000438974882 387968850 /nfs/dbraw/zinc/96/88/50/387968850.db2.gz PQKSAERLHKEGFH-JQWIXIFHSA-N 0 3 239.384 2.828 20 0 BFADHN Cc1ccccc1CN1C[C@H](C)OC(C)(C)C1 ZINC000065424751 387971495 /nfs/dbraw/zinc/97/14/95/387971495.db2.gz CPXBTAOEBURYEF-ZDUSSCGKSA-N 0 3 233.355 2.994 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@H]1O)c1cccc(C2CC2)c1 ZINC000424337077 387980951 /nfs/dbraw/zinc/98/09/51/387980951.db2.gz YTEYRVXTBSJHEF-VCTAVGKDSA-N 0 3 231.339 2.738 20 0 BFADHN CCCCOCCN[C@@H](c1cccnc1)C1CC1 ZINC000445818632 387972774 /nfs/dbraw/zinc/97/27/74/387972774.db2.gz LRXQECBBSKRGQD-OAHLLOKOSA-N 0 3 248.370 2.939 20 0 BFADHN CC(C)n1cc(CN2CC[C@H](C)[C@H](C)C2)cn1 ZINC000245690651 387973039 /nfs/dbraw/zinc/97/30/39/387973039.db2.gz BGZMMANOCBVCHY-QWHCGFSZSA-N 0 3 235.375 2.942 20 0 BFADHN CC[C@](C)(CNCc1nc(C)c(C)s1)OC ZINC000322701325 387973183 /nfs/dbraw/zinc/97/31/83/387973183.db2.gz NJWVKNXLJMCYON-GFCCVEGCSA-N 0 3 242.388 2.665 20 0 BFADHN C[C@H](O)CCN(Cc1cccc(F)c1)C1CC1 ZINC000439005310 387973255 /nfs/dbraw/zinc/97/32/55/387973255.db2.gz VTBVHRJHKDSTHU-NSHDSACASA-N 0 3 237.318 2.561 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@H]2C2CCC2)c2nccn21 ZINC000424327578 387975765 /nfs/dbraw/zinc/97/57/65/387975765.db2.gz MXMPOIAAAXKNDN-MGAJPHDKSA-N 0 3 231.343 2.667 20 0 BFADHN Cc1cc(CN[C@@H](C2CC2)C2CCC2)no1 ZINC000453060743 387976318 /nfs/dbraw/zinc/97/63/18/387976318.db2.gz AWGBOMMERNBDFQ-CYBMUJFWSA-N 0 3 220.316 2.651 20 0 BFADHN CCO[C@H](CCN[C@H](C)c1cn[nH]c1)C(C)C ZINC000453127837 387991302 /nfs/dbraw/zinc/99/13/02/387991302.db2.gz WIBOQCRRBAKREF-DGCLKSJQSA-N 0 3 239.363 2.512 20 0 BFADHN C[C@@H]1CN(CCCc2ccncc2)[C@@H](C)[C@H](C)O1 ZINC000446072101 387995911 /nfs/dbraw/zinc/99/59/11/387995911.db2.gz SOVBOJGEQSAFBK-RDBSUJKOSA-N 0 3 248.370 2.512 20 0 BFADHN C[C@@H]1CN(CCCc2ccncc2)[C@H](C)[C@@H](C)O1 ZINC000446072100 387995523 /nfs/dbraw/zinc/99/55/23/387995523.db2.gz SOVBOJGEQSAFBK-MGPQQGTHSA-N 0 3 248.370 2.512 20 0 BFADHN CCn1ccnc1[C@H](C)NCC1(CC)CC1 ZINC000453154328 387997904 /nfs/dbraw/zinc/99/79/04/387997904.db2.gz RSMZFXMJHKMSND-NSHDSACASA-N 0 3 221.348 2.744 20 0 BFADHN CC[C@](C)(CO)NCc1cc2ccccc2o1 ZINC000080886651 388004768 /nfs/dbraw/zinc/00/47/68/388004768.db2.gz WOZFJDKTYYKEMW-CQSZACIVSA-N 0 3 233.311 2.683 20 0 BFADHN CN(CCOC(C)(C)C)Cc1ccccc1 ZINC000065949308 388011135 /nfs/dbraw/zinc/01/11/35/388011135.db2.gz PWHVLKVYPBCSNY-UHFFFAOYSA-N 0 3 221.344 2.934 20 0 BFADHN Cc1cccn2c(CN3CC[C@H](C)[C@H]3C)cnc12 ZINC000246079993 388007277 /nfs/dbraw/zinc/00/72/77/388007277.db2.gz HUSAZXBYPINWTH-WCQYABFASA-N 0 3 243.354 2.873 20 0 BFADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1cn[nH]c1 ZINC000453198111 388008658 /nfs/dbraw/zinc/00/86/58/388008658.db2.gz OFMHYXRYMGXQKN-APPZFPTMSA-N 0 3 235.253 2.791 20 0 BFADHN C[C@H](c1ccco1)N(C[C@H]1CCCO1)C1CC1 ZINC000246114707 388010389 /nfs/dbraw/zinc/01/03/89/388010389.db2.gz SWTNPRVDGKSWEW-DGCLKSJQSA-N 0 3 235.327 2.984 20 0 BFADHN COC[C@@H](NC[C@H]1CCC=CO1)c1ccccc1 ZINC000194439430 388025160 /nfs/dbraw/zinc/02/51/60/388025160.db2.gz QCXDHKUUNCLSOU-HUUCEWRRSA-N 0 3 247.338 2.656 20 0 BFADHN C[C@@H]1CCC[C@H](CN[C@H]2CCn3ccnc32)[C@@H]1C ZINC000453221658 388014147 /nfs/dbraw/zinc/01/41/47/388014147.db2.gz PVBTXVNMBTXWPB-SYQHCUMBSA-N 0 3 247.386 2.990 20 0 BFADHN c1cc2c(cc1CN1CCCCCC1)OCCO2 ZINC000064430980 388014398 /nfs/dbraw/zinc/01/43/98/388014398.db2.gz LWTUPUBKZXEPTR-UHFFFAOYSA-N 0 3 247.338 2.834 20 0 BFADHN CO[C@@H]1CCCN([C@H](C)c2ccccc2F)C1 ZINC000246170607 388015043 /nfs/dbraw/zinc/01/50/43/388015043.db2.gz XSKLODRJFIOAEA-VXGBXAGGSA-N 0 3 237.318 2.998 20 0 BFADHN CC[C@@H](NCCCC(C)(F)F)c1ccn(C)n1 ZINC000453234353 388019528 /nfs/dbraw/zinc/01/95/28/388019528.db2.gz KRTJFTLLAUXWPW-SNVBAGLBSA-N 0 3 245.317 2.896 20 0 BFADHN C[C@@H](NC[C@H](N)c1ccccc1)c1ccco1 ZINC000423236511 388020060 /nfs/dbraw/zinc/02/00/60/388020060.db2.gz HJUQGJAPJBPHKK-YPMHNXCESA-N 0 3 230.311 2.630 20 0 BFADHN OCCN(C/C=C\c1ccc(F)cc1)C1CCC1 ZINC000439777343 388020867 /nfs/dbraw/zinc/02/08/67/388020867.db2.gz YLRCPSCIXHKAOM-IHWYPQMZSA-N 0 3 249.329 2.686 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@@H]1CCn2ccnc21 ZINC000453280177 388032773 /nfs/dbraw/zinc/03/27/73/388032773.db2.gz FZOGPVPVWFLQGU-JHJVBQTASA-N 0 3 233.359 2.744 20 0 BFADHN c1csc([C@@H](NCc2c[nH]cn2)C2CC2)c1 ZINC000082394048 388032939 /nfs/dbraw/zinc/03/29/39/388032939.db2.gz GFSQOKFNPOELKN-LBPRGKRZSA-N 0 3 233.340 2.712 20 0 BFADHN c1csc([C@@H](NCc2cnc[nH]2)C2CC2)c1 ZINC000082394048 388032943 /nfs/dbraw/zinc/03/29/43/388032943.db2.gz GFSQOKFNPOELKN-LBPRGKRZSA-N 0 3 233.340 2.712 20 0 BFADHN CCCOc1ccc(OCCN(C)C2CC2)cc1 ZINC000046601753 388033929 /nfs/dbraw/zinc/03/39/29/388033929.db2.gz RWYWPLJPFJQGLH-UHFFFAOYSA-N 0 3 249.354 2.948 20 0 BFADHN Cc1ccc(CN(C)C2CC(O)C2)cc1Cl ZINC000446765264 388037258 /nfs/dbraw/zinc/03/72/58/388037258.db2.gz NRCHHYWNWODKGZ-UHFFFAOYSA-N 0 3 239.746 2.604 20 0 BFADHN CC(C)[C@H](NCC1=CCOCC1)c1cccnc1 ZINC000453300047 388038206 /nfs/dbraw/zinc/03/82/06/388038206.db2.gz WXZOWRCIYULEBA-HNNXBMFYSA-N 0 3 246.354 2.715 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H](C)c1cc(C)on1 ZINC000453310503 388038556 /nfs/dbraw/zinc/03/85/56/388038556.db2.gz RIXULASUSPSSJS-MXWKQRLJSA-N 0 3 208.305 2.680 20 0 BFADHN C[C@@H](O)[C@@H]1CCN(Cc2sccc2Cl)C1 ZINC000194476261 388039365 /nfs/dbraw/zinc/03/93/65/388039365.db2.gz SOXVEASATZBIOP-RKDXNWHRSA-N 0 3 245.775 2.604 20 0 BFADHN CC(C)c1noc([C@H](C)SCCN(C)C)n1 ZINC000446574320 388028176 /nfs/dbraw/zinc/02/81/76/388028176.db2.gz SKQJLHIDHUIRHK-VIFPVBQESA-N 0 3 243.376 2.549 20 0 BFADHN CC[C@H]1CN(CC2(SC)CC2)C[C@H](CC)O1 ZINC000421391485 388029029 /nfs/dbraw/zinc/02/90/29/388029029.db2.gz PBQKHJVQKYPALV-RYUDHWBXSA-N 0 3 243.416 2.771 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H](N)c2ccccc2)o1 ZINC000423250094 388031260 /nfs/dbraw/zinc/03/12/60/388031260.db2.gz UPCVNTPOPLMBRP-GXTWGEPZSA-N 0 3 244.338 2.939 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H]2COCC2(C)C)o1 ZINC000453341600 388052643 /nfs/dbraw/zinc/05/26/43/388052643.db2.gz CLVJUVKBSGGAJZ-MFKMUULPSA-N 0 3 237.343 2.918 20 0 BFADHN Cc1ccc([C@H](N[C@H]2C[C@@H](O)C2)C2CCCC2)o1 ZINC000453348613 388053769 /nfs/dbraw/zinc/05/37/69/388053769.db2.gz CZGJXXAILGEAAS-VNHYZAJKSA-N 0 3 249.354 2.932 20 0 BFADHN Cc1ccc([C@@H](N[C@H]2C[C@@H](O)C2)C2CCCC2)o1 ZINC000453348610 388054136 /nfs/dbraw/zinc/05/41/36/388054136.db2.gz CZGJXXAILGEAAS-GUTXKFCHSA-N 0 3 249.354 2.932 20 0 BFADHN CCCc1ccc([C@H](C)N[C@H]2C[C@@H](O)C2)cc1 ZINC000453352854 388057508 /nfs/dbraw/zinc/05/75/08/388057508.db2.gz FZZGOXZGSXIGSU-TUKIKUTGSA-N 0 3 233.355 2.813 20 0 BFADHN CC[C@H](F)CN[C@@H](CCCO)c1ccccc1 ZINC000440604969 388062014 /nfs/dbraw/zinc/06/20/14/388062014.db2.gz VQARNVVRLLQGDG-KBPBESRZSA-N 0 3 239.334 2.838 20 0 BFADHN FC(F)(F)c1cc(CN[C@@H]2C=CCCC2)[nH]n1 ZINC000440618002 388062110 /nfs/dbraw/zinc/06/21/10/388062110.db2.gz VHXXUSKUASBYTL-MRVPVSSYSA-N 0 3 245.248 2.627 20 0 BFADHN C[C@@H](NC[C@@H]1C[C@H]1C1CC1)c1ccncc1F ZINC000453375196 388063129 /nfs/dbraw/zinc/06/31/29/388063129.db2.gz HXELMAYBPZIGSK-CDMKHQONSA-N 0 3 234.318 2.917 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cc(C(F)(F)F)n[nH]2)C1 ZINC000440633422 388063712 /nfs/dbraw/zinc/06/37/12/388063712.db2.gz FFCKQFVRFLOMSP-SFYZADRCSA-N 0 3 247.264 2.707 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC23CCC3)o1 ZINC000453378637 388064379 /nfs/dbraw/zinc/06/43/79/388064379.db2.gz KNGQOWKSRVRELH-LLVKDONJSA-N 0 3 220.316 2.659 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1cnccc1C)C1CC1 ZINC000453377408 388064817 /nfs/dbraw/zinc/06/48/17/388064817.db2.gz QCKHHFJCGYKZJC-ZOWXZIJZSA-N 0 3 248.370 2.854 20 0 BFADHN Cc1ccc(NC(=O)[C@H]2CCCCCN2)cc1C ZINC000083896446 388065102 /nfs/dbraw/zinc/06/51/02/388065102.db2.gz UQXSPTWAOKWEOE-CQSZACIVSA-N 0 3 246.354 2.774 20 0 BFADHN CCCc1ncc(CN[C@@H](CC)C2CC2)o1 ZINC000453383844 388065484 /nfs/dbraw/zinc/06/54/84/388065484.db2.gz MMAYUBBXZCCQFP-LBPRGKRZSA-N 0 3 222.332 2.905 20 0 BFADHN Cc1cc(C)c(CN[C@H](C)[C@]2(C)CCCO2)cn1 ZINC000453383634 388065949 /nfs/dbraw/zinc/06/59/49/388065949.db2.gz ZENSMSAPEZYZCI-HIFRSBDPSA-N 0 3 248.370 2.746 20 0 BFADHN CC[C@@H](N[C@@H](CC)C(=O)NC)c1cccc(C)c1 ZINC000453426261 388081582 /nfs/dbraw/zinc/08/15/82/388081582.db2.gz YYOMNGTZSNCBGW-KGLIPLIRSA-N 0 3 248.370 2.560 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@@H](C)c1cnccn1 ZINC000453438941 388081913 /nfs/dbraw/zinc/08/19/13/388081913.db2.gz MGXFHSPMKPQWIM-IACUBPJLSA-N 0 3 233.359 2.952 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2nccn2CC)C1 ZINC000085064356 388082815 /nfs/dbraw/zinc/08/28/15/388082815.db2.gz APQZDQZHTVASRP-STQMWFEESA-N 0 3 235.375 2.961 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)[C@@H](C)C1)c1nccn1C ZINC000085040447 388083141 /nfs/dbraw/zinc/08/31/41/388083141.db2.gz XADXIQRPNFTBHZ-MROQNXINSA-N 0 3 235.375 2.895 20 0 BFADHN FC1(F)CC(CCNCc2ccco2)C1 ZINC000453582756 388086486 /nfs/dbraw/zinc/08/64/86/388086486.db2.gz BTUMVRKECJTJFD-UHFFFAOYSA-N 0 3 215.243 2.805 20 0 BFADHN Cc1cnc(CNCC2(C3CCC3)CCC2)n1C ZINC000453584269 388087653 /nfs/dbraw/zinc/08/76/53/388087653.db2.gz LQRQCSYUDFQEHT-UHFFFAOYSA-N 0 3 247.386 2.789 20 0 BFADHN C=Cn1cc(CN2CC[C@](C)(CC)C2)cn1 ZINC000447722184 388088007 /nfs/dbraw/zinc/08/80/07/388088007.db2.gz INOVGVDGSWSUNN-ZDUSSCGKSA-N 0 3 219.332 2.606 20 0 BFADHN CC(C)(NCCOCC1CC1)c1cccs1 ZINC000336660065 388088147 /nfs/dbraw/zinc/08/81/47/388088147.db2.gz JIJPFZNIDBKBGE-UHFFFAOYSA-N 0 3 239.384 2.999 20 0 BFADHN CCC1CN(Cc2ccc(-c3cc[nH]n3)o2)C1 ZINC000453602323 388089933 /nfs/dbraw/zinc/08/99/33/388089933.db2.gz JDFPCBGMTOFGRD-UHFFFAOYSA-N 0 3 231.299 2.512 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1c(C)n[nH]c1C ZINC000453604811 388090802 /nfs/dbraw/zinc/09/08/02/388090802.db2.gz CBKAHYILNJZAFC-KGLIPLIRSA-N 0 3 235.375 2.941 20 0 BFADHN CCC1CN(Cc2ccccc2N(C)C)C1 ZINC000453606812 388090932 /nfs/dbraw/zinc/09/09/32/388090932.db2.gz RJUOJCSCCPSLHT-UHFFFAOYSA-N 0 3 218.344 2.594 20 0 BFADHN Clc1cccc2c1[C@H](NCC1CC1)CO2 ZINC000336660110 388091139 /nfs/dbraw/zinc/09/11/39/388091139.db2.gz BSYUXYFWEOZOHZ-SNVBAGLBSA-N 0 3 223.703 2.773 20 0 BFADHN CS[C@@H](CNCc1n[nH]cc1C)C(C)(C)C ZINC000336660552 388092397 /nfs/dbraw/zinc/09/23/97/388092397.db2.gz CHYOXSDKKHFDTP-NSHDSACASA-N 0 3 241.404 2.585 20 0 BFADHN C=Cn1cc(CN[C@@H]2CCCC(F)(F)C2)cn1 ZINC000447810713 388093744 /nfs/dbraw/zinc/09/37/44/388093744.db2.gz ALCZMOUZQDFHBI-LLVKDONJSA-N 0 3 241.285 2.651 20 0 BFADHN Cc1cccc(NC[C@@H]2N(C)CCCC2(C)C)n1 ZINC000447812919 388094148 /nfs/dbraw/zinc/09/41/48/388094148.db2.gz TYEGVYUNRBACBR-ZDUSSCGKSA-N 0 3 247.386 2.922 20 0 BFADHN CC(C)CCN1CCO[C@H](C(C)(C)C)C1 ZINC000447825964 388094717 /nfs/dbraw/zinc/09/47/17/388094717.db2.gz GXDDYDMOQFRAFV-LBPRGKRZSA-N 0 3 213.365 2.779 20 0 BFADHN CC[C@H](C)CN1CCO[C@H](C(C)(C)C)C1 ZINC000447835035 388096301 /nfs/dbraw/zinc/09/63/01/388096301.db2.gz SLJFXOBNSRXARY-RYUDHWBXSA-N 0 3 213.365 2.779 20 0 BFADHN CC(C)NCc1ncoc1-c1ccccc1 ZINC000085941315 388097501 /nfs/dbraw/zinc/09/75/01/388097501.db2.gz HUDOOHUCJCCBQY-UHFFFAOYSA-N 0 3 216.284 2.840 20 0 BFADHN C[C@H]1CCC[C@H](N(C)Cc2cnc(N)s2)C1 ZINC000086765945 388108069 /nfs/dbraw/zinc/10/80/69/388108069.db2.gz QRXLIZTVBNMNFP-UWVGGRQHSA-N 0 3 239.388 2.736 20 0 BFADHN COCCCNC1(c2ccccc2Cl)CC1 ZINC000645976806 388113457 /nfs/dbraw/zinc/11/34/57/388113457.db2.gz WHCFLQKUIPQHRL-UHFFFAOYSA-N 0 3 239.746 2.955 20 0 BFADHN CC[C@H](F)CN(CCOC)[C@H]1CC[C@H](C)C1 ZINC000440498666 388045466 /nfs/dbraw/zinc/04/54/66/388045466.db2.gz KPDMPRDHELWMBX-AVGNSLFASA-N 0 3 231.355 2.872 20 0 BFADHN CC[C@H](F)CN1CCC([C@H]2CCCO2)CC1 ZINC000440494861 388045574 /nfs/dbraw/zinc/04/55/74/388045574.db2.gz JSWRSYSLTVQULG-QWHCGFSZSA-N 0 3 229.339 2.626 20 0 BFADHN CC[C@@H](F)CN(C)CC1(O)CCCCCC1 ZINC000440512725 388048601 /nfs/dbraw/zinc/04/86/01/388048601.db2.gz KSOZWBLMPQGPRH-GFCCVEGCSA-N 0 3 231.355 2.752 20 0 BFADHN CC[C@@H](CSC)N[C@H](C)c1ccccn1 ZINC000162384225 388159852 /nfs/dbraw/zinc/15/98/52/388159852.db2.gz CGJUIUQGYDFWQS-MNOVXSKESA-N 0 3 224.373 2.874 20 0 BFADHN Cc1cc(CN2C[C@@H](C)N(C)C[C@@H]2C)cs1 ZINC000449250167 388162374 /nfs/dbraw/zinc/16/23/74/388162374.db2.gz ODFPOSFVBVHYBP-MNOVXSKESA-N 0 3 238.400 2.581 20 0 BFADHN C[C@@H](Cc1ccoc1)N[C@@H](CCO)c1ccco1 ZINC000449250254 388162997 /nfs/dbraw/zinc/16/29/97/388162997.db2.gz NLYIPNGNCDUOSZ-AAEUAGOBSA-N 0 3 249.310 2.517 20 0 BFADHN CSCCCCCNCc1ccncc1F ZINC000449259467 388164095 /nfs/dbraw/zinc/16/40/95/388164095.db2.gz UEOFFABXBUNRAR-UHFFFAOYSA-N 0 3 242.363 2.844 20 0 BFADHN Cc1ccc(CN[C@H]2CCC[C@@H]2O)c(Cl)c1 ZINC000323080626 388168725 /nfs/dbraw/zinc/16/87/25/388168725.db2.gz AUEQTYSFVKCURY-STQMWFEESA-N 0 3 239.746 2.651 20 0 BFADHN C[C@H]1C[C@H]1CN[C@@H]1CCOc2c(F)cccc21 ZINC000488568914 388188081 /nfs/dbraw/zinc/18/80/81/388188081.db2.gz BTPIJBYSZJKXHY-OUJBWJOFSA-N 0 3 235.302 2.895 20 0 BFADHN CCCC[C@H](CCC)CNC(=O)C1(N)CCC1 ZINC000449305357 388170514 /nfs/dbraw/zinc/17/05/14/388170514.db2.gz SGFQYNYZJBSYHW-LBPRGKRZSA-N 0 3 240.391 2.591 20 0 BFADHN Cc1cc(CN2CCC[C@]23CCOC3)cs1 ZINC000449311885 388171957 /nfs/dbraw/zinc/17/19/57/388171957.db2.gz OFEUNWTXALBWRL-CYBMUJFWSA-N 0 3 237.368 2.811 20 0 BFADHN CCN1CCN(CCc2cccc(C)c2)[C@H](C)C1 ZINC000449321518 388172385 /nfs/dbraw/zinc/17/23/85/388172385.db2.gz MAGZVCADXZGVLP-OAHLLOKOSA-N 0 3 246.398 2.564 20 0 BFADHN CC[C@H]1CCN1Cc1ccc(C)cc1OC ZINC000449339938 388175430 /nfs/dbraw/zinc/17/54/30/388175430.db2.gz LUPGCBINLDBBIH-ZDUSSCGKSA-N 0 3 219.328 2.988 20 0 BFADHN CC[C@H]1CC[C@H](NCc2nccnc2OC)CC1 ZINC000449338490 388176329 /nfs/dbraw/zinc/17/63/29/388176329.db2.gz GUUBPDUECKGFEL-HAQNSBGRSA-N 0 3 249.358 2.544 20 0 BFADHN F[C@H]1CCN(C[C@@H]2CCOc3ccccc32)C1 ZINC000648721145 388178971 /nfs/dbraw/zinc/17/89/71/388178971.db2.gz QZMPZFUOYJSAQQ-RYUDHWBXSA-N 0 3 235.302 2.597 20 0 BFADHN COC[C@H](NC[C@H]1C[C@@H]1C)c1ccc(F)cc1 ZINC000488449131 388180032 /nfs/dbraw/zinc/18/00/32/388180032.db2.gz NCSFRIAFJSXQTC-SUHUHFCYSA-N 0 3 237.318 2.759 20 0 BFADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+]C2CCCC2)[n-]1 ZINC000488501163 388183657 /nfs/dbraw/zinc/18/36/57/388183657.db2.gz FPZVPMQGZSAWHE-VHSXEESVSA-N 0 3 236.363 2.911 20 0 BFADHN CC[C@H](C)c1nnc([C@@H](C)NC2CCCC2)[nH]1 ZINC000488501163 388183659 /nfs/dbraw/zinc/18/36/59/388183659.db2.gz FPZVPMQGZSAWHE-VHSXEESVSA-N 0 3 236.363 2.911 20 0 BFADHN COc1cccc(CNC2CC(C)(F)C2)c1 ZINC000449369777 388184147 /nfs/dbraw/zinc/18/41/47/388184147.db2.gz FXLYLJKZLXNRPE-UHFFFAOYSA-N 0 3 223.291 2.675 20 0 BFADHN CCN(CC)CC(=O)NC1(CC)CCCCC1 ZINC000456207350 388184624 /nfs/dbraw/zinc/18/46/24/388184624.db2.gz ACFZPSWAQIFRRH-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN COc1cccc2c1CCN(C[C@@H]1C[C@@H]1C)C2 ZINC000488537039 388184691 /nfs/dbraw/zinc/18/46/91/388184691.db2.gz PJZXWBCIFYEHIP-AAEUAGOBSA-N 0 3 231.339 2.709 20 0 BFADHN COc1cccc2c1CCN(C[C@H]1C[C@H]1C)C2 ZINC000488537040 388184947 /nfs/dbraw/zinc/18/49/47/388184947.db2.gz PJZXWBCIFYEHIP-DGCLKSJQSA-N 0 3 231.339 2.709 20 0 BFADHN CC(C)(C)c1n[nH]cc1CNC1CC(C)(F)C1 ZINC000449371313 388185476 /nfs/dbraw/zinc/18/54/76/388185476.db2.gz SVXGZPMBFZDLTK-UHFFFAOYSA-N 0 3 239.338 2.687 20 0 BFADHN CC[C@@H](F)CN1CC[C@H](c2cccnc2)C1 ZINC000645983471 388116524 /nfs/dbraw/zinc/11/65/24/388116524.db2.gz XYPOBYSLWFVLDS-QWHCGFSZSA-N 0 3 222.307 2.619 20 0 BFADHN CCC[C@H]1CCCN([C@H](CC)C(=O)OCC)C1 ZINC000466463818 388119000 /nfs/dbraw/zinc/11/90/00/388119000.db2.gz HXPJPPVQDIIYPD-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN CC[C@H](CSC)NCc1occc1C ZINC000162173904 388121172 /nfs/dbraw/zinc/12/11/72/388121172.db2.gz CUFDHJLCZBXIEZ-SNVBAGLBSA-N 0 3 213.346 2.819 20 0 BFADHN Fc1cccc(C2(NC[C@@H]3CCCO3)CCC2)c1 ZINC000646004789 388123189 /nfs/dbraw/zinc/12/31/89/388123189.db2.gz PPPGRKLSQSHKON-AWEZNQCLSA-N 0 3 249.329 2.974 20 0 BFADHN CC(C)n1ncnc1CN[C@@H](C1CC1)C1CCC1 ZINC000324782801 388125030 /nfs/dbraw/zinc/12/50/30/388125030.db2.gz IQVPWFXEKWBPRH-CQSZACIVSA-N 0 3 248.374 2.527 20 0 BFADHN CO[C@@H](C)CN(Cc1ccc(C)nc1)CC1CC1 ZINC000646020298 388125336 /nfs/dbraw/zinc/12/53/36/388125336.db2.gz TWVBGMPAYUUCRZ-ZDUSSCGKSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cc(CN(C)CCC(C)(C)C)on1 ZINC000646027704 388127014 /nfs/dbraw/zinc/12/70/14/388127014.db2.gz XYPMISXAVHVUHT-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN CC(C)CNC(=O)[C@H](C)N(C)CCC(C)(C)C ZINC000646027250 388127107 /nfs/dbraw/zinc/12/71/07/388127107.db2.gz ABKIOOZLNKEDNZ-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN CCN(CC)Cc1cc(F)cc(OC)c1 ZINC000449001676 388127537 /nfs/dbraw/zinc/12/75/37/388127537.db2.gz FDDGAZIOERJANF-UHFFFAOYSA-N 0 3 211.280 2.676 20 0 BFADHN COc1cc(F)cc(CN(C)C(C)C)c1 ZINC000449002001 388127648 /nfs/dbraw/zinc/12/76/48/388127648.db2.gz IGIWXPTWPQOPPN-UHFFFAOYSA-N 0 3 211.280 2.675 20 0 BFADHN Cc1ncc(CN(C)CCC(C)(C)C)cn1 ZINC000646028363 388127812 /nfs/dbraw/zinc/12/78/12/388127812.db2.gz HLKINFVXIDSSTK-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN Cc1cc(CN(C[C@H](C)O)C(C)C)cs1 ZINC000449023032 388131377 /nfs/dbraw/zinc/13/13/77/388131377.db2.gz MTOQXDDVVYQLPI-JTQLQIEISA-N 0 3 227.373 2.648 20 0 BFADHN Cc1cccc(CN(C[C@@H](C)O)C(C)C)c1F ZINC000449023949 388131919 /nfs/dbraw/zinc/13/19/19/388131919.db2.gz WPRIIDPMXSQAHH-GFCCVEGCSA-N 0 3 239.334 2.725 20 0 BFADHN Cc1cccc(CN(C[C@H](C)O)C(C)C)c1F ZINC000449023950 388132009 /nfs/dbraw/zinc/13/20/09/388132009.db2.gz WPRIIDPMXSQAHH-LBPRGKRZSA-N 0 3 239.334 2.725 20 0 BFADHN COc1cc(F)cc(CN(C)C2CCC2)c1 ZINC000449029502 388133221 /nfs/dbraw/zinc/13/32/21/388133221.db2.gz OPPSVFNBAHHBJO-UHFFFAOYSA-N 0 3 223.291 2.819 20 0 BFADHN OCC[C@@H](NCCC1CC1)c1ccccc1F ZINC000646068371 388136314 /nfs/dbraw/zinc/13/63/14/388136314.db2.gz QKODGJSUGWXVOV-CQSZACIVSA-N 0 3 237.318 2.639 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccc(Cl)o2)CCO1 ZINC000449058407 388136750 /nfs/dbraw/zinc/13/67/50/388136750.db2.gz IXDNDNYPSOWYOR-UWVGGRQHSA-N 0 3 243.734 2.980 20 0 BFADHN CCc1ccc(NC(=O)CN(C)C(C)(C)C)cc1 ZINC000646087627 388139350 /nfs/dbraw/zinc/13/93/50/388139350.db2.gz KGHSQJBKMHYEFP-UHFFFAOYSA-N 0 3 248.370 2.918 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ccncc1F ZINC000449099534 388141848 /nfs/dbraw/zinc/14/18/48/388141848.db2.gz UBTZHQLOEMZNQZ-UWVGGRQHSA-N 0 3 210.296 2.745 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ccncc1F ZINC000449099537 388142076 /nfs/dbraw/zinc/14/20/76/388142076.db2.gz UBTZHQLOEMZNQZ-ZJUUUORDSA-N 0 3 210.296 2.745 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)Cc1ccoc1 ZINC000449099738 388142253 /nfs/dbraw/zinc/14/22/53/388142253.db2.gz UOWJMUDSDLAHQW-SECBINFHSA-N 0 3 234.299 2.605 20 0 BFADHN CCCCOCCNCc1cc2cccnc2o1 ZINC000449103495 388143126 /nfs/dbraw/zinc/14/31/26/388143126.db2.gz BIRWYPIYTRYJOE-UHFFFAOYSA-N 0 3 248.326 2.734 20 0 BFADHN COCC(C)(C)NCc1ccc(C)cc1OC ZINC000449114433 388144454 /nfs/dbraw/zinc/14/44/54/388144454.db2.gz CMGKQRKUQRPUPQ-UHFFFAOYSA-N 0 3 237.343 2.518 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1ccccc1F ZINC000164065184 388144670 /nfs/dbraw/zinc/14/46/70/388144670.db2.gz KKVUABKJSQDHML-UWVGGRQHSA-N 0 3 211.280 2.511 20 0 BFADHN OCCCN1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC000646116908 388145743 /nfs/dbraw/zinc/14/57/43/388145743.db2.gz JLMZRZYRNMMPQE-OAHLLOKOSA-N 0 3 243.350 2.762 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1ccc(C)c(F)c1 ZINC000164074662 388147752 /nfs/dbraw/zinc/14/77/52/388147752.db2.gz KNTLEPOAKWJDEA-GHMZBOCLSA-N 0 3 225.307 2.820 20 0 BFADHN CC[C@H](NCCn1cccn1)c1csc(C)c1 ZINC000646126822 388148094 /nfs/dbraw/zinc/14/80/94/388148094.db2.gz DXJXEOHSXDHNSG-ZDUSSCGKSA-N 0 3 249.383 2.994 20 0 BFADHN c1cc2cccc(CNCCOCC3CC3)c2o1 ZINC000449146180 388148638 /nfs/dbraw/zinc/14/86/38/388148638.db2.gz MXMVYKVFMRCBIN-UHFFFAOYSA-N 0 3 245.322 2.949 20 0 BFADHN C[C@H](Cc1ccoc1)NCCC(F)(F)F ZINC000449169182 388151680 /nfs/dbraw/zinc/15/16/80/388151680.db2.gz CRVFOBPYXFEBPJ-MRVPVSSYSA-N 0 3 221.222 2.753 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1ccc(C)c(CC)c1 ZINC000449171593 388152318 /nfs/dbraw/zinc/15/23/18/388152318.db2.gz LCOZZVBCWFUNEW-LBPRGKRZSA-N 0 3 248.370 2.884 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1c(C)nnn1CC ZINC000449176680 388153359 /nfs/dbraw/zinc/15/33/59/388153359.db2.gz RAYZZGNZBXPVRS-QWRGUYRKSA-N 0 3 238.379 2.521 20 0 BFADHN Cn1ccnc1CN1CCCC[C@H]1C1CCC1 ZINC000646138078 388153910 /nfs/dbraw/zinc/15/39/10/388153910.db2.gz ALSDDCUNCKPGHO-ZDUSSCGKSA-N 0 3 233.359 2.575 20 0 BFADHN Cn1nccc1CN1CCCC[C@@H]1C1CCC1 ZINC000646139206 388154266 /nfs/dbraw/zinc/15/42/66/388154266.db2.gz JNDVSZHMLPOJQV-CQSZACIVSA-N 0 3 233.359 2.575 20 0 BFADHN c1nc(CN2CCCC[C@H]2C2CCC2)c[nH]1 ZINC000646139892 388154850 /nfs/dbraw/zinc/15/48/50/388154850.db2.gz GJWHNAHNVMPGEV-ZDUSSCGKSA-N 0 3 219.332 2.564 20 0 BFADHN CCOc1cccc(CNC2(C3(C)CC3)CC2)n1 ZINC000449663490 388250659 /nfs/dbraw/zinc/25/06/59/388250659.db2.gz AOGYWZZIOYTVFV-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN CS[C@H](CO)[C@@H](C)N[C@@H](C)c1cccs1 ZINC000168006638 388256113 /nfs/dbraw/zinc/25/61/13/388256113.db2.gz SWMRGCNJDMEGIP-IQJOONFLSA-N 0 3 245.413 2.511 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@@H](C)c1ccsc1 ZINC000167622487 388256130 /nfs/dbraw/zinc/25/61/30/388256130.db2.gz IHYSOEMPQSAJTQ-JBLDHEPKSA-N 0 3 227.373 2.806 20 0 BFADHN CS[C@H](CO)[C@@H](C)N[C@H](C)c1ccsc1 ZINC000168017511 388256727 /nfs/dbraw/zinc/25/67/27/388256727.db2.gz WLMAXEHQESEESU-FXPVBKGRSA-N 0 3 245.413 2.511 20 0 BFADHN C[C@@H]1CN(C)C[C@H]1Nc1cc(F)cc(Cl)c1 ZINC000309778922 256527176 /nfs/dbraw/zinc/52/71/76/256527176.db2.gz MLIDONDHGSAEQR-PRHODGIISA-N 0 3 242.725 2.841 20 0 BFADHN Cc1ccc2c(c1)nc(CNC[C@H]1C[C@H]1C)n2C ZINC000488578972 388189468 /nfs/dbraw/zinc/18/94/68/388189468.db2.gz IRZUAJCESBSIIR-VXGBXAGGSA-N 0 3 243.354 2.627 20 0 BFADHN CC1CC(NCc2cccc(C(F)(F)F)n2)C1 ZINC000449402591 388195714 /nfs/dbraw/zinc/19/57/14/388195714.db2.gz RUDXQIVXNRLSBX-UHFFFAOYSA-N 0 3 244.260 2.989 20 0 BFADHN COCCN(C)[C@H](C)c1cccc(C)c1 ZINC000488829589 388197049 /nfs/dbraw/zinc/19/70/49/388197049.db2.gz DCOJCBIIRJPUKW-GFCCVEGCSA-N 0 3 207.317 2.634 20 0 BFADHN C[C@@H](CC(C)(C)C)[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000449408235 388197386 /nfs/dbraw/zinc/19/73/86/388197386.db2.gz MKIZVLBEWFVONV-VIFPVBQESA-N 0 3 236.363 2.596 20 0 BFADHN C[C@@H](CC(C)(C)C)NCc1nnc(C2CC2)[nH]1 ZINC000449408235 388197388 /nfs/dbraw/zinc/19/73/88/388197388.db2.gz MKIZVLBEWFVONV-VIFPVBQESA-N 0 3 236.363 2.596 20 0 BFADHN COc1cc(CN2CC[C@@H]2C2CC2)cc(OC)c1 ZINC000449429637 388203752 /nfs/dbraw/zinc/20/37/52/388203752.db2.gz XPWWZILNYYDQRW-OAHLLOKOSA-N 0 3 247.338 2.688 20 0 BFADHN CCN(C[C@H](C)c1ccccc1)C1COC1 ZINC000449429131 388204057 /nfs/dbraw/zinc/20/40/57/388204057.db2.gz WVAMBLMNQMHTOJ-LBPRGKRZSA-N 0 3 219.328 2.511 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1cocn1 ZINC000449433638 388205648 /nfs/dbraw/zinc/20/56/48/388205648.db2.gz NCFALURUMLLQTK-MNOVXSKESA-N 0 3 208.305 2.591 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1cocn1 ZINC000449433639 388205672 /nfs/dbraw/zinc/20/56/72/388205672.db2.gz NCFALURUMLLQTK-QWRGUYRKSA-N 0 3 208.305 2.591 20 0 BFADHN CS[C@H]1CCN(C/C=C\c2ccncc2)C1 ZINC000449446200 388207608 /nfs/dbraw/zinc/20/76/08/388207608.db2.gz OROZESOWTJNOQQ-ZRMMWKCHSA-N 0 3 234.368 2.532 20 0 BFADHN Cc1ccc(CNC2(CF)CCOCC2)cc1 ZINC000449446303 388207678 /nfs/dbraw/zinc/20/76/78/388207678.db2.gz QGQUZDXDUOTCED-UHFFFAOYSA-N 0 3 237.318 2.603 20 0 BFADHN CC/C=C\CNCc1c(C)cc(OC)nc1C ZINC000449450184 388209084 /nfs/dbraw/zinc/20/90/84/388209084.db2.gz AXVYXYBPKGGNCR-SREVYHEPSA-N 0 3 234.343 2.763 20 0 BFADHN CC/C=C\CN[C@H](COC)Cc1ccccc1 ZINC000449454389 388210512 /nfs/dbraw/zinc/21/05/12/388210512.db2.gz JRKTZKNSHUBBRV-UVCVVPOWSA-N 0 3 233.355 2.800 20 0 BFADHN CC/C=C\CNCc1ccc(N2CCCC2)nc1 ZINC000449462186 388211311 /nfs/dbraw/zinc/21/13/11/388211311.db2.gz UTGIGFRJZLPAJO-ARJAWSKDSA-N 0 3 245.370 2.738 20 0 BFADHN CN(CCOc1ccccc1)[C@@H]1CC1(C)C ZINC000489405218 388212187 /nfs/dbraw/zinc/21/21/87/388212187.db2.gz NVCGYUZAQVJVIV-CYBMUJFWSA-N 0 3 219.328 2.796 20 0 BFADHN Cc1nc(CCN(C)[C@@H]2CC2(C)C)cs1 ZINC000489423506 388212310 /nfs/dbraw/zinc/21/23/10/388212310.db2.gz JVIGIGIULOPDQP-LLVKDONJSA-N 0 3 224.373 2.724 20 0 BFADHN CN(Cc1ccc2c(c1)OCCO2)[C@@H]1CC1(C)C ZINC000489431772 388214011 /nfs/dbraw/zinc/21/40/11/388214011.db2.gz RYXMFUDFVKLXEM-CQSZACIVSA-N 0 3 247.338 2.688 20 0 BFADHN Cc1c[nH]nc1CNCC(C)(C)C1CCC1 ZINC000449484396 388216388 /nfs/dbraw/zinc/21/63/88/388216388.db2.gz GZYHZCMDJDPVST-UHFFFAOYSA-N 0 3 221.348 2.634 20 0 BFADHN CCO[C@H]1CCN(Cc2ncccc2C)C[C@H]1C ZINC000449495501 388218793 /nfs/dbraw/zinc/21/87/93/388218793.db2.gz HUIKCWIFFHIPQE-HIFRSBDPSA-N 0 3 248.370 2.637 20 0 BFADHN CN(Cc1cnc2ccccn12)[C@@H]1CC1(C)C ZINC000489500621 388219183 /nfs/dbraw/zinc/21/91/83/388219183.db2.gz SNVXMSJQTBAFDX-GFCCVEGCSA-N 0 3 229.327 2.565 20 0 BFADHN CCO[C@H]1CCN(Cc2ccsc2)C[C@@H]1C ZINC000449503259 388220026 /nfs/dbraw/zinc/22/00/26/388220026.db2.gz XMVOTNDLPKGRNA-AAEUAGOBSA-N 0 3 239.384 2.995 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccc(C)cn2)C[C@@H]1C ZINC000449506105 388220632 /nfs/dbraw/zinc/22/06/32/388220632.db2.gz ZXUYTWSJODFUSL-DZGCQCFKSA-N 0 3 248.370 2.637 20 0 BFADHN COc1cccc(CN2CC[C@@H](OC)[C@@H](C)C2)c1 ZINC000449512301 388221361 /nfs/dbraw/zinc/22/13/61/388221361.db2.gz ZLAZYPHVLYPHRV-SWLSCSKDSA-N 0 3 249.354 2.552 20 0 BFADHN COCC1(N[C@@H](C)[C@@H](OC)c2ccccc2)CC1 ZINC000518045299 256558290 /nfs/dbraw/zinc/55/82/90/256558290.db2.gz RAMTWDBNPJCKMN-GXTWGEPZSA-N 0 3 249.354 2.531 20 0 BFADHN COC[C@H](CC(C)C)NCc1cnccc1C ZINC000449522999 388223502 /nfs/dbraw/zinc/22/35/02/388223502.db2.gz KJFDBRBANFEWGP-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1nocc1CN1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000449530769 388225056 /nfs/dbraw/zinc/22/50/56/388225056.db2.gz AOWLUDCOVVKXTA-KBPBESRZSA-N 0 3 232.327 2.603 20 0 BFADHN C[C@@H](N[C@H]1COc2ccccc2C1)c1ccco1 ZINC000164330422 388225074 /nfs/dbraw/zinc/22/50/74/388225074.db2.gz YODIOHPCZPRBAH-DGCLKSJQSA-N 0 3 243.306 2.934 20 0 BFADHN CC[C@H](N[C@H]1CO[C@@H](C)C1)c1cccc(OC)c1 ZINC000518184032 256561549 /nfs/dbraw/zinc/56/15/49/256561549.db2.gz VSULAAUMBMNQHO-LNSITVRQSA-N 0 3 249.354 2.913 20 0 BFADHN CCc1cccc(F)c1CN[C@H](CC)[C@H](C)O ZINC000449543474 388227685 /nfs/dbraw/zinc/22/76/85/388227685.db2.gz OKTFHVLCBLJHGE-IINYFYTJSA-N 0 3 239.334 2.637 20 0 BFADHN CCOC(=O)[C@H](CC)N(C)CC1CCCCC1 ZINC000084120659 256564020 /nfs/dbraw/zinc/56/40/20/256564020.db2.gz WBBYDJSFHCLGEZ-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN CCn1ccnc1[C@H](C)NCCC(C)(C)C ZINC000449561556 388232831 /nfs/dbraw/zinc/23/28/31/388232831.db2.gz CXJIETNUUFQWQN-NSHDSACASA-N 0 3 223.364 2.990 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H](C)C1CCC1 ZINC000449561191 388232937 /nfs/dbraw/zinc/23/29/37/388232937.db2.gz BKOLHIPMTIOUBQ-QWRGUYRKSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@@H]1CN(CC)CCN1Cc1occc1C ZINC000449566406 388234891 /nfs/dbraw/zinc/23/48/91/388234891.db2.gz OMUMKDYSACGBDV-CYBMUJFWSA-N 0 3 236.359 2.504 20 0 BFADHN Cc1cnc([C@H](C)NC[C@H]2C[C@@H]2C)s1 ZINC000336662080 388260134 /nfs/dbraw/zinc/26/01/34/388260134.db2.gz SMIXLQLROHJDBA-UJNFCWOMSA-N 0 3 210.346 2.758 20 0 BFADHN CC[C@@H](N[C@H]1CCOC1)c1ccc(F)cc1 ZINC000087416053 256572460 /nfs/dbraw/zinc/57/24/60/256572460.db2.gz QFJGOXKKFLTDCA-QWHCGFSZSA-N 0 3 223.291 2.655 20 0 BFADHN COCc1nc(CN[C@@H]2CCC[C@@H]2C)cs1 ZINC000164519795 388239845 /nfs/dbraw/zinc/23/98/45/388239845.db2.gz RBGNAPAUIQBGTP-GXSJLCMTSA-N 0 3 240.372 2.568 20 0 BFADHN CCOCCNCc1ccc2c(c1)CCCC2 ZINC000490591795 388241160 /nfs/dbraw/zinc/24/11/60/388241160.db2.gz IHOHXNAOXRLNIB-UHFFFAOYSA-N 0 3 233.355 2.692 20 0 BFADHN CCc1ncc(CNC[C@@H]2CC=CCC2)o1 ZINC000449608088 388241683 /nfs/dbraw/zinc/24/16/83/388241683.db2.gz DZNQCICQASNNNL-LLVKDONJSA-N 0 3 220.316 2.683 20 0 BFADHN CCc1ncc(CN[C@H](C)Cc2ccoc2)o1 ZINC000449609111 388242101 /nfs/dbraw/zinc/24/21/01/388242101.db2.gz MCKPDEZJIMABSW-SNVBAGLBSA-N 0 3 234.299 2.551 20 0 BFADHN CCCc1ncc(CN[C@@H](C)CCC)o1 ZINC000449612432 388243369 /nfs/dbraw/zinc/24/33/69/388243369.db2.gz MEDWVWPQAJVYAN-JTQLQIEISA-N 0 3 210.321 2.905 20 0 BFADHN CCCc1ncc(CN[C@@H]2C[C@H](C)[C@@H]2C)o1 ZINC000449614254 388243755 /nfs/dbraw/zinc/24/37/55/388243755.db2.gz VCYGGWYXGKMJTN-JBLDHEPKSA-N 0 3 222.332 2.761 20 0 BFADHN CCCc1ncc(CN[C@@H]2C[C@H](C)[C@H]2C)o1 ZINC000449614253 388243764 /nfs/dbraw/zinc/24/37/64/388243764.db2.gz VCYGGWYXGKMJTN-HOSYDEDBSA-N 0 3 222.332 2.761 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC)[C@H](C)C2)nc1 ZINC000451578731 388282752 /nfs/dbraw/zinc/28/27/52/388282752.db2.gz XLFNKDUBEFTZEV-IUODEOHRSA-N 0 3 248.370 2.501 20 0 BFADHN CN(CCC(F)(F)F)[C@H](CO)CC(C)(C)C ZINC000451788915 388296665 /nfs/dbraw/zinc/29/66/65/388296665.db2.gz ZZRQNUDXJJUMKT-VIFPVBQESA-N 0 3 241.297 2.668 20 0 BFADHN CCCCCN1CCN([C@H](C)CC)CC1 ZINC000451825956 388298999 /nfs/dbraw/zinc/29/89/99/388298999.db2.gz RIMCQZXBACHISI-CYBMUJFWSA-N 0 3 212.381 2.593 20 0 BFADHN CC/C=C\CCN(C)Cc1ccccn1 ZINC000451368608 388265994 /nfs/dbraw/zinc/26/59/94/388265994.db2.gz PFXFTRVYPCHBIW-PLNGDYQASA-N 0 3 204.317 2.870 20 0 BFADHN CN(Cc1ccccn1)C[C@@H]1CCC(F)(F)C1 ZINC000451372591 388267050 /nfs/dbraw/zinc/26/70/50/388267050.db2.gz XRKNPWDVDXSLFN-LLVKDONJSA-N 0 3 240.297 2.949 20 0 BFADHN CCC[C@H](NCc1cnns1)C(C)(C)C ZINC000451417531 388270703 /nfs/dbraw/zinc/27/07/03/388270703.db2.gz BWXSSDPCZPKPKJ-JTQLQIEISA-N 0 3 227.377 2.843 20 0 BFADHN CCC[C@H](NCc1ncccn1)C(C)(C)C ZINC000451442421 388273897 /nfs/dbraw/zinc/27/38/97/388273897.db2.gz VYBBMFXAEYQMKM-NSHDSACASA-N 0 3 221.348 2.781 20 0 BFADHN CCC[C@@H](NCc1ccon1)C(C)(C)C ZINC000451443541 388273911 /nfs/dbraw/zinc/27/39/11/388273911.db2.gz ZIQMWKMIXYRORL-LLVKDONJSA-N 0 3 210.321 2.979 20 0 BFADHN CC[C@H](F)CN[C@H]1C[C@H](OC(C)C)C1(C)C ZINC000451494831 388278100 /nfs/dbraw/zinc/27/81/00/388278100.db2.gz JGAOJNCBSWKGTQ-SRVKXCTJSA-N 0 3 231.355 2.916 20 0 BFADHN CCCCOCCCNCc1ncc(C)s1 ZINC000294764860 388404198 /nfs/dbraw/zinc/40/41/98/388404198.db2.gz LZKCODYLJJDJEK-UHFFFAOYSA-N 0 3 242.388 2.748 20 0 BFADHN CC(C)CCCN[C@H](C)c1nnc2ccccn21 ZINC000275875964 388346041 /nfs/dbraw/zinc/34/60/41/388346041.db2.gz ZOSSPQIWIGDUFI-GFCCVEGCSA-N 0 3 246.358 2.816 20 0 BFADHN CCSCCN[C@@H]1CCc2c1cccc2O ZINC000275724413 388346060 /nfs/dbraw/zinc/34/60/60/388346060.db2.gz MPJVSQUPXWBWEJ-GFCCVEGCSA-N 0 3 237.368 2.722 20 0 BFADHN COC[C@@H](NCc1ccco1)C1CCCC1 ZINC000275794207 388346174 /nfs/dbraw/zinc/34/61/74/388346174.db2.gz YTTKCGKYJPIFEA-CYBMUJFWSA-N 0 3 223.316 2.574 20 0 BFADHN CC(C)[C@H](N[C@@H](C)c1cncs1)C(C)(C)O ZINC000276608602 388349828 /nfs/dbraw/zinc/34/98/28/388349828.db2.gz CAUWLAIGXPJXQF-ONGXEEELSA-N 0 3 242.388 2.589 20 0 BFADHN COC1(CNCc2cccc(F)c2)CCCC1 ZINC000277106233 388352402 /nfs/dbraw/zinc/35/24/02/388352402.db2.gz TUVULBPPRYYQFB-UHFFFAOYSA-N 0 3 237.318 2.875 20 0 BFADHN CC[C@](C)(O)CNCc1ccc(C)cc1Cl ZINC000234106339 388353459 /nfs/dbraw/zinc/35/34/59/388353459.db2.gz PBNMQUFJLCQMBO-ZDUSSCGKSA-N 0 3 241.762 2.899 20 0 BFADHN CCCCN[C@@H](C)c1cc(C(=O)OC)co1 ZINC000278308338 388355058 /nfs/dbraw/zinc/35/50/58/388355058.db2.gz SRBVCDNIEDECRN-VIFPVBQESA-N 0 3 225.288 2.517 20 0 BFADHN CCC[C@H](CNCc1ccc(CC)o1)OC ZINC000293660588 388357340 /nfs/dbraw/zinc/35/73/40/388357340.db2.gz LJQNRNIQLDLEGF-GFCCVEGCSA-N 0 3 225.332 2.747 20 0 BFADHN CC[C@@H](C)[C@@H](O)CNCc1ccsc1C ZINC000293684561 388358022 /nfs/dbraw/zinc/35/80/22/388358022.db2.gz VWCUBFGOFDZZFG-SKDRFNHKSA-N 0 3 227.373 2.553 20 0 BFADHN CCC[C@H](CNCc1ccccc1F)OC ZINC000293674983 388358114 /nfs/dbraw/zinc/35/81/14/388358114.db2.gz MRWUAWGKNXROHV-GFCCVEGCSA-N 0 3 225.307 2.730 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1conc1C ZINC000293690931 388358882 /nfs/dbraw/zinc/35/88/82/388358882.db2.gz WHJCFYKRDOUSOQ-NXEZZACHSA-N 0 3 210.321 2.897 20 0 BFADHN COCC1(NCc2cc(Cl)cs2)CC1 ZINC000308629459 388363325 /nfs/dbraw/zinc/36/33/25/388363325.db2.gz YHWCTOJRPACQFL-UHFFFAOYSA-N 0 3 231.748 2.670 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CNCc1cnccn1 ZINC000572724946 388371217 /nfs/dbraw/zinc/37/12/17/388371217.db2.gz PFRNOFJZBUOXEO-OLZOCXBDSA-N 0 3 233.359 2.783 20 0 BFADHN CC1(C)CN(CC2Cc3ccccc3C2)CCO1 ZINC000572773201 388374660 /nfs/dbraw/zinc/37/46/60/388374660.db2.gz GNCVKMDBDLTENE-UHFFFAOYSA-N 0 3 245.366 2.512 20 0 BFADHN CC[C@H](CN[C@H](C)c1sc(C)nc1C)OC ZINC000290217970 388375901 /nfs/dbraw/zinc/37/59/01/388375901.db2.gz QNTWZAKXWLSLGK-LDYMZIIASA-N 0 3 242.388 2.836 20 0 BFADHN CCCc1nc(C)c(CNCC(C)C)o1 ZINC000291680367 388376818 /nfs/dbraw/zinc/37/68/18/388376818.db2.gz HPNBPMKTSRYCCH-UHFFFAOYSA-N 0 3 210.321 2.681 20 0 BFADHN CCC[C@H](C)NC(=O)[C@@H](C)N(C)CCC(C)C ZINC000193569089 388377402 /nfs/dbraw/zinc/37/74/02/388377402.db2.gz XENRAUQFTKPRSA-QWHCGFSZSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1nc2ccccn2c1CN(C)[C@H](C)C1CC1 ZINC000507451604 388384559 /nfs/dbraw/zinc/38/45/59/388384559.db2.gz QHBHHCNSTXDUSN-GFCCVEGCSA-N 0 3 243.354 2.873 20 0 BFADHN C[C@@H]1CC[C@@H](CC(=O)Nc2cccc(CN)c2)C1 ZINC000646578249 388387241 /nfs/dbraw/zinc/38/72/41/388387241.db2.gz UNDWFAHPBCEHNQ-VXGBXAGGSA-N 0 3 246.354 2.910 20 0 BFADHN CCC1(C(=O)Nc2cccc(CN)c2)CCC1 ZINC000646578141 388387513 /nfs/dbraw/zinc/38/75/13/388387513.db2.gz MIVHFAYXAMATEO-UHFFFAOYSA-N 0 3 232.327 2.664 20 0 BFADHN CC[C@@H](C)[C@@H](N)C(=O)NCC(C)(C)CC(C)C ZINC000236963257 388387996 /nfs/dbraw/zinc/38/79/96/388387996.db2.gz RKTVYXDSYCAHIS-VXGBXAGGSA-N 0 3 242.407 2.548 20 0 BFADHN C[C@@H]1CCCC[C@@H]1C(=O)Nc1cccc(CN)c1 ZINC000646578912 388388280 /nfs/dbraw/zinc/38/82/80/388388280.db2.gz JFXJSOPQHPLZTL-RISCZKNCSA-N 0 3 246.354 2.910 20 0 BFADHN Cc1sccc1CNC[C@H]1CCCCO1 ZINC000293626016 388390393 /nfs/dbraw/zinc/39/03/93/388390393.db2.gz QRJKEJTWKVGQIK-GFCCVEGCSA-N 0 3 225.357 2.715 20 0 BFADHN Cc1nocc1CN[C@H]1CCC[C@@H](C)C1 ZINC000294024885 388394288 /nfs/dbraw/zinc/39/42/88/388394288.db2.gz HQYGDZNQCDIMMW-SKDRFNHKSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1nocc1CN[C@@H](C)CCc1ccco1 ZINC000294245730 388398007 /nfs/dbraw/zinc/39/80/07/388398007.db2.gz UIBMATVKWXXNPW-JTQLQIEISA-N 0 3 234.299 2.687 20 0 BFADHN COC[C@H](NCc1csc(C)c1)C1CC1 ZINC000294305833 388398740 /nfs/dbraw/zinc/39/87/40/388398740.db2.gz VKVUQOHKZNKOHP-LBPRGKRZSA-N 0 3 225.357 2.571 20 0 BFADHN Cc1ccc(CNC[C@H]2CCO[C@@H]2C(C)C)nc1 ZINC000134390792 388398756 /nfs/dbraw/zinc/39/87/56/388398756.db2.gz BVXMHQZOXQJSAY-UKRRQHHQSA-N 0 3 248.370 2.541 20 0 BFADHN C[C@H](NC[C@@H](O)C1CCC1)c1ccc(F)cc1 ZINC000315812802 388402858 /nfs/dbraw/zinc/40/28/58/388402858.db2.gz XUZMBDJKACYYAI-IINYFYTJSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@@H](N[C@@H](c1cccc(C)c1)C(C)C)C(N)=O ZINC000528769828 388402997 /nfs/dbraw/zinc/40/29/97/388402997.db2.gz VVVBTPMLUZTQAQ-ZIAGYGMSSA-N 0 3 248.370 2.546 20 0 BFADHN Cc1nc(CN2CC[C@H](C)[C@H]2C)sc1C ZINC000246218785 388440204 /nfs/dbraw/zinc/44/02/04/388440204.db2.gz LEHAQMBKLRLOPY-WCBMZHEXSA-N 0 3 224.373 2.990 20 0 BFADHN CCc1ncc(CNC[C@](C)(CC)OC)s1 ZINC000322641046 388441711 /nfs/dbraw/zinc/44/17/11/388441711.db2.gz UHNVUDRZVIGCBG-LBPRGKRZSA-N 0 3 242.388 2.610 20 0 BFADHN CC[C@@](C)(CNCc1ccccc1OC)OC ZINC000322634843 388442219 /nfs/dbraw/zinc/44/22/19/388442219.db2.gz AQEYFPCDNLZYIM-AWEZNQCLSA-N 0 3 237.343 2.600 20 0 BFADHN Cc1cc(CN)cc(NC(=O)C[C@H]2C=CCC2)c1 ZINC000322705984 388443772 /nfs/dbraw/zinc/44/37/72/388443772.db2.gz NBWMRHOCUICACI-LBPRGKRZSA-N 0 3 244.338 2.749 20 0 BFADHN Cc1ccc(CNC(C)(C)CN2CCCC2)o1 ZINC000308615358 388444884 /nfs/dbraw/zinc/44/48/84/388444884.db2.gz QLTVGBIYJWAVNJ-UHFFFAOYSA-N 0 3 236.359 2.552 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@]2(C)CC=CCC2)n1 ZINC000335242053 388449218 /nfs/dbraw/zinc/44/92/18/388449218.db2.gz UMWMLYVYQUICNA-AWEZNQCLSA-N 0 3 232.327 2.684 20 0 BFADHN C[C@@H]1C[C@@H](NCc2coc3ccccc23)CO1 ZINC000335433850 388450766 /nfs/dbraw/zinc/45/07/66/388450766.db2.gz GPBHRZPHCAIRMH-ZYHUDNBSSA-N 0 3 231.295 2.700 20 0 BFADHN COCC1(NCc2ccc3cc[nH]c3c2)CCC1 ZINC000378668869 388480885 /nfs/dbraw/zinc/48/08/85/388480885.db2.gz CAIVYMOXPUGHHX-UHFFFAOYSA-N 0 3 244.338 2.827 20 0 BFADHN Cc1ccncc1CN(C)CC1(C)CC1 ZINC000526007744 388454716 /nfs/dbraw/zinc/45/47/16/388454716.db2.gz MKQCISZEGTZRPV-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN C[C@@H](N[C@@H]1CC2CCC1CC2)c1ccncn1 ZINC000335916834 388455640 /nfs/dbraw/zinc/45/56/40/388455640.db2.gz KJSBMMXTLIUKQN-MLCFOIATSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@@H](O)CCCN(Cc1cccs1)C1CC1 ZINC000336662986 388460430 /nfs/dbraw/zinc/46/04/30/388460430.db2.gz OWWHRTVSLXLZPR-LLVKDONJSA-N 0 3 239.384 2.874 20 0 BFADHN CC[C@H](NCC1(CC)CC1)c1ccn(C)n1 ZINC000336673911 388461622 /nfs/dbraw/zinc/46/16/22/388461622.db2.gz BAXUPIHNUYFXMW-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1cn(C(C)C)nn1 ZINC000336688694 388463233 /nfs/dbraw/zinc/46/32/33/388463233.db2.gz GGPUCJDPNDQFAU-VXGBXAGGSA-N 0 3 238.379 2.773 20 0 BFADHN Cc1nocc1CNC[C@@H]1CC[C@@H](C)C1 ZINC000339385465 388482016 /nfs/dbraw/zinc/48/20/16/388482016.db2.gz PQGRQXOPBIUNCZ-MWLCHTKSSA-N 0 3 208.305 2.509 20 0 BFADHN Cc1nnsc1CNC[C@@H]1CCC[C@@H](C)C1 ZINC000336691542 388463943 /nfs/dbraw/zinc/46/39/43/388463943.db2.gz WWTVMZATAWOPRG-MWLCHTKSSA-N 0 3 239.388 2.762 20 0 BFADHN CC(C)n1cc(CN[C@H]2CCC[C@H](C)C2)nn1 ZINC000336718717 388467341 /nfs/dbraw/zinc/46/73/41/388467341.db2.gz VCDZDLJUQMKHHO-RYUDHWBXSA-N 0 3 236.363 2.527 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2CSC[C@H]2C)n1 ZINC000336719188 388468036 /nfs/dbraw/zinc/46/80/36/388468036.db2.gz YYTBJEWSEWBHJO-FKTZTGRPSA-N 0 3 242.413 2.854 20 0 BFADHN COc1cccnc1CN[C@@H](C)CC1CCC1 ZINC000648847359 388468219 /nfs/dbraw/zinc/46/82/19/388468219.db2.gz RGUVIJKMNKRIHP-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN C[C@H](NCC1CCC1)c1ccc(F)cn1 ZINC000133866976 388472578 /nfs/dbraw/zinc/47/25/78/388472578.db2.gz WWEMAAKVIVPMFZ-VIFPVBQESA-N 0 3 208.280 2.671 20 0 BFADHN CC[C@H](F)CN[C@@H]1CCCC[C@H]1OC(F)F ZINC000337436322 388472678 /nfs/dbraw/zinc/47/26/78/388472678.db2.gz WVZKUWHXMXVXAS-IVZWLZJFSA-N 0 3 239.281 2.875 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]2C[C@H]21)c1nccs1 ZINC000337651725 388474333 /nfs/dbraw/zinc/47/43/33/388474333.db2.gz LNAHDTFCXSRSTK-UKKRHICBSA-N 0 3 222.357 2.982 20 0 BFADHN CC(C)(C)C[C@@H]1CCN(Cc2cn[nH]c2)C1 ZINC000648867068 388475041 /nfs/dbraw/zinc/47/50/41/388475041.db2.gz XFPSDRQHRFJSJH-NSHDSACASA-N 0 3 221.348 2.668 20 0 BFADHN CC(C)[C@H]1N(Cc2cn[nH]c2)CC12CCCC2 ZINC000648873553 388477303 /nfs/dbraw/zinc/47/73/03/388477303.db2.gz BFCKNXUKZAZGFD-CYBMUJFWSA-N 0 3 233.359 2.810 20 0 BFADHN C[C@@H]1[C@H](O)CCCN1Cc1ccc2c(c1)CCC2 ZINC000295017754 388407515 /nfs/dbraw/zinc/40/75/15/388407515.db2.gz MWCRNWYESUAPLV-MLGOLLRUSA-N 0 3 245.366 2.521 20 0 BFADHN CC(C)(CNCc1ccccn1)C1CCC1 ZINC000295129015 388408836 /nfs/dbraw/zinc/40/88/36/388408836.db2.gz YERLJQWGUBXWKQ-UHFFFAOYSA-N 0 3 218.344 2.998 20 0 BFADHN COC[C@@H](N[C@H](C)c1cccc(O)c1)C(C)C ZINC000086697304 388409308 /nfs/dbraw/zinc/40/93/08/388409308.db2.gz SCBBPHAADILIHO-BXUZGUMPSA-N 0 3 237.343 2.714 20 0 BFADHN O[C@H](CNCc1coc2ccccc12)C1CCC1 ZINC000316313337 388409485 /nfs/dbraw/zinc/40/94/85/388409485.db2.gz KEERBMUULOULFV-CQSZACIVSA-N 0 3 245.322 2.683 20 0 BFADHN CCOC(=O)CN1CCCCC[C@@H]1CC(C)C ZINC000524894443 388413128 /nfs/dbraw/zinc/41/31/28/388413128.db2.gz KYFZJLLHIKTBDM-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H]1C[C@H](C)CN1CCOc1ccccc1F ZINC000524892939 388413217 /nfs/dbraw/zinc/41/32/17/388413217.db2.gz TWNNTTOSPMVUIX-NWDGAFQWSA-N 0 3 237.318 2.935 20 0 BFADHN COC[C@@H](NCc1cccc(C)c1F)C1CC1 ZINC000295838302 388414425 /nfs/dbraw/zinc/41/44/25/388414425.db2.gz VTFPYZCRANCQLU-CYBMUJFWSA-N 0 3 237.318 2.649 20 0 BFADHN O[C@@H](CNCc1cccc(Cl)c1)C1CCC1 ZINC000316486701 388414912 /nfs/dbraw/zinc/41/49/12/388414912.db2.gz VCYLSGFGEVVKGC-ZDUSSCGKSA-N 0 3 239.746 2.591 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2nccs2)C1 ZINC000040444059 388415818 /nfs/dbraw/zinc/41/58/18/388415818.db2.gz JYHCECGXDUPDOM-VHSXEESVSA-N 0 3 210.346 2.811 20 0 BFADHN COCc1ccc(CNCCCC(C)(F)F)o1 ZINC000296106505 388417268 /nfs/dbraw/zinc/41/72/68/388417268.db2.gz SCKAEDBKFHFLHV-UHFFFAOYSA-N 0 3 247.285 2.951 20 0 BFADHN Cc1csc(CNCCCC(C)(F)F)n1 ZINC000296109234 388417397 /nfs/dbraw/zinc/41/73/97/388417397.db2.gz SHSLGHJAPYWZGO-UHFFFAOYSA-N 0 3 234.315 2.977 20 0 BFADHN CCSCC[C@H](C)NCc1conc1C ZINC000293717671 388420042 /nfs/dbraw/zinc/42/00/42/388420042.db2.gz YGURAPRLFSILFB-VIFPVBQESA-N 0 3 228.361 2.604 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(F)c(F)c2)[C@@H](C)O1 ZINC000296301693 388421040 /nfs/dbraw/zinc/42/10/40/388421040.db2.gz GDIYXXXBZRFYPU-KKFJDGPESA-N 0 3 241.281 2.620 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@H](O)C2CCC2)o1 ZINC000316868130 388422401 /nfs/dbraw/zinc/42/24/01/388422401.db2.gz ZNIMDWGCEOHHET-SWHYSGLUSA-N 0 3 249.354 2.654 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@H](C)O[C@@H]2C)cc1 ZINC000296426554 388424296 /nfs/dbraw/zinc/42/42/96/388424296.db2.gz MYJKOELPFAOFLD-YWPYICTPSA-N 0 3 249.354 2.741 20 0 BFADHN C[C@@H]1C[C@H](NCCCC(C)(F)F)c2nccn21 ZINC000296634950 388425191 /nfs/dbraw/zinc/42/51/91/388425191.db2.gz BQCWBYJHUCYWKR-ZJUUUORDSA-N 0 3 243.301 2.914 20 0 BFADHN CCOC(=O)[C@@H](CC)N1CC[C@@H](CC(C)C)C1 ZINC000307803514 388426658 /nfs/dbraw/zinc/42/66/58/388426658.db2.gz CPLQJUQAUWVRBQ-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN CCC[C@H](NCc1cnc(C)cn1)C1CCC1 ZINC000322259208 388429185 /nfs/dbraw/zinc/42/91/85/388429185.db2.gz GNDDDWPFAFEIEW-AWEZNQCLSA-N 0 3 233.359 2.843 20 0 BFADHN CC[C@@H]1C[C@@H](CN2CCC[C@H](F)C2)CCO1 ZINC000573212762 388432990 /nfs/dbraw/zinc/43/29/90/388432990.db2.gz HPFKVEYBUABNJY-RWMBFGLXSA-N 0 3 229.339 2.626 20 0 BFADHN C[C@H]1c2c(F)cccc2CCN1C[C@H]1C[C@@H](O)C1 ZINC000573233712 388433987 /nfs/dbraw/zinc/43/39/87/388433987.db2.gz FYNZCBYEKOTQHF-GMXVVIOVSA-N 0 3 249.329 2.516 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1ccoc1 ZINC000166967718 388435093 /nfs/dbraw/zinc/43/50/93/388435093.db2.gz YPCZKEXVFVRCRG-JTQLQIEISA-N 0 3 211.305 2.745 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2cccnc2)C12CCC2 ZINC000308368339 388436536 /nfs/dbraw/zinc/43/65/36/388436536.db2.gz NABRFQVAUAOMCT-FPMFFAJLSA-N 0 3 246.354 2.690 20 0 BFADHN CC[C@@](C)(CNCc1cc(F)ccc1F)OC ZINC000322509528 388437237 /nfs/dbraw/zinc/43/72/37/388437237.db2.gz IMNRXFQZQOIDQL-ZDUSSCGKSA-N 0 3 243.297 2.870 20 0 BFADHN CCC[C@H](NCc1nccn1CC)C1CCC1 ZINC000322526580 388438414 /nfs/dbraw/zinc/43/84/14/388438414.db2.gz KYLJRQUYKMJZSQ-ZDUSSCGKSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1ccc(F)cn1)OC ZINC000322566489 388438683 /nfs/dbraw/zinc/43/86/83/388438683.db2.gz YFSRXMICZGCAGT-MFKMUULPSA-N 0 3 240.322 2.686 20 0 BFADHN C/C(Cl)=C/CN(C(C)C)[C@@H]1CCOC1 ZINC000528506424 388537188 /nfs/dbraw/zinc/53/71/88/388537188.db2.gz CYRVSZMGKZGRER-NEOSZVFXSA-N 0 3 217.740 2.628 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1ccc(OC)cc1 ZINC000517118629 388538401 /nfs/dbraw/zinc/53/84/01/388538401.db2.gz BMERITBYAQAQCB-LEWSCRJBSA-N 0 3 235.327 2.523 20 0 BFADHN C/C(Cl)=C\CN1CCCO[C@H](C(C)C)C1 ZINC000528513675 388538991 /nfs/dbraw/zinc/53/89/91/388538991.db2.gz DUJCKVAIXZRGIA-JVOXIWMLSA-N 0 3 231.767 2.876 20 0 BFADHN C/C(Cl)=C/CN1CC[C@H](O)CC(C)(C)C1 ZINC000528517819 388540724 /nfs/dbraw/zinc/54/07/24/388540724.db2.gz IOUXVPXHNUFJRJ-FGUAACIASA-N 0 3 231.767 2.612 20 0 BFADHN CO[C@]1(C)C[C@H](NC/C=C(/C)Cl)C1(C)C ZINC000528523960 388542258 /nfs/dbraw/zinc/54/22/58/388542258.db2.gz WGRAOHMZWPQLJN-XKLRGLHXSA-N 0 3 231.767 2.922 20 0 BFADHN CC[C@H]1CN(CC)CCN1C/C=C(/C)Cl ZINC000528522029 388542340 /nfs/dbraw/zinc/54/23/40/388542340.db2.gz VCKBXWWDMWZQLK-DSDFTUOUSA-N 0 3 230.783 2.545 20 0 BFADHN CSCc1cnc(CN[C@@H]2CC[C@H]2C)s1 ZINC000339400843 388482051 /nfs/dbraw/zinc/48/20/51/388482051.db2.gz STXZRJIMRLEDJD-PSASIEDQSA-N 0 3 242.413 2.894 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1ccc(Cl)o1 ZINC000378689060 388482246 /nfs/dbraw/zinc/48/22/46/388482246.db2.gz HDVNLMINRRGLIH-MRVPVSSYSA-N 0 3 231.723 2.836 20 0 BFADHN Cc1cc(Cl)cc(CN[C@]2(C)CCOC2)c1 ZINC000339326410 388482809 /nfs/dbraw/zinc/48/28/09/388482809.db2.gz GPHKGTHSRFYONE-CYBMUJFWSA-N 0 3 239.746 2.917 20 0 BFADHN CCCCCN(CC(=O)OC)[C@H](C)CCC ZINC000514009948 388484550 /nfs/dbraw/zinc/48/45/50/388484550.db2.gz QACAKEMROXWZJK-GFCCVEGCSA-N 0 3 229.364 2.840 20 0 BFADHN COC(C)(C)CNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000135233541 388486031 /nfs/dbraw/zinc/48/60/31/388486031.db2.gz VZIOLLASKYXTLY-ZYHUDNBSSA-N 0 3 237.343 2.918 20 0 BFADHN COc1ncccc1CN[C@H](C)[C@@H]1CC1(C)C ZINC000397670509 388488579 /nfs/dbraw/zinc/48/85/79/388488579.db2.gz HTIXBDQEVFEYPN-PWSUYJOCSA-N 0 3 234.343 2.614 20 0 BFADHN COc1ccc([C@H](C)NCC2=CCOCC2)cc1 ZINC000397690377 388490753 /nfs/dbraw/zinc/49/07/53/388490753.db2.gz AFJWLFAXUCWTPR-LBPRGKRZSA-N 0 3 247.338 2.693 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1ccc(F)cn1 ZINC000397732835 388495733 /nfs/dbraw/zinc/49/57/33/388495733.db2.gz GLYAOFZWBDZUQY-GUDRVLHUSA-N 0 3 220.291 2.670 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cn(C(C)C)nn1 ZINC000342167697 388496909 /nfs/dbraw/zinc/49/69/09/388496909.db2.gz OWCBBPCFABSDDB-AAEUAGOBSA-N 0 3 236.363 2.527 20 0 BFADHN CCc1cnc(CN[C@@H]2C[C@H]3C[C@H]3C2)s1 ZINC000397759150 388497253 /nfs/dbraw/zinc/49/72/53/388497253.db2.gz UNGKRMNZPSXLEJ-ILWJIGKKSA-N 0 3 222.357 2.594 20 0 BFADHN C/C(Cl)=C\CN1CC2(CCC2)[C@@H]2COC[C@@H]21 ZINC000528521702 388499717 /nfs/dbraw/zinc/49/97/17/388499717.db2.gz QEBUPOMEVOEEOK-BKAIQDJRSA-N 0 3 241.762 2.630 20 0 BFADHN Cc1cccc(CN[C@H]2CSC[C@H]2C)c1F ZINC000397808347 388501021 /nfs/dbraw/zinc/50/10/21/388501021.db2.gz XVZHYEZJLGXWPZ-PWSUYJOCSA-N 0 3 239.359 2.975 20 0 BFADHN C[C@@H]1CSC[C@H]1N[C@@H]1COCc2ccccc21 ZINC000397826167 388502774 /nfs/dbraw/zinc/50/27/74/388502774.db2.gz LKZIFENJBJTKJO-LERXQTSPSA-N 0 3 249.379 2.599 20 0 BFADHN CC[C@@H](NCc1ccon1)[C@@H]1CC1(C)C ZINC000397841992 388505281 /nfs/dbraw/zinc/50/52/81/388505281.db2.gz UMITUNJOFHTHAU-WDEREUQCSA-N 0 3 208.305 2.589 20 0 BFADHN CO[C@@H](CNCc1cc(C)ccc1F)C(C)C ZINC000344710558 388508414 /nfs/dbraw/zinc/50/84/14/388508414.db2.gz FVOOAVZTHGHWHK-AWEZNQCLSA-N 0 3 239.334 2.895 20 0 BFADHN CC[C@H]1CCCC[C@H]1N(C)Cc1cncn1C ZINC000548075128 388508693 /nfs/dbraw/zinc/50/86/93/388508693.db2.gz MVOSHVWCPUIGAO-GXTWGEPZSA-N 0 3 235.375 2.821 20 0 BFADHN CCN(C)Cc1ccc(Cl)c(OC)c1 ZINC000649280219 388509353 /nfs/dbraw/zinc/50/93/53/388509353.db2.gz KYLZFIPUGPNPPJ-UHFFFAOYSA-N 0 3 213.708 2.800 20 0 BFADHN COc1cc(F)cc(CNCCc2ccco2)c1 ZINC000312282450 388511429 /nfs/dbraw/zinc/51/14/29/388511429.db2.gz YDPSLIQMSCRMNV-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN Cc1ncc(CN[C@H](C)Cc2ccc(F)cc2)o1 ZINC000397926585 388511785 /nfs/dbraw/zinc/51/17/85/388511785.db2.gz RNLFNJMAORGRDH-SNVBAGLBSA-N 0 3 248.301 2.843 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCC2(C)C)c2nccn21 ZINC000345357599 388514147 /nfs/dbraw/zinc/51/41/47/388514147.db2.gz PDGCAAJFPHVOBY-VWYCJHECSA-N 0 3 219.332 2.667 20 0 BFADHN Cc1cc(F)ccc1CNC[C@H]1CC[C@@H](C)O1 ZINC000398051055 388515427 /nfs/dbraw/zinc/51/54/27/388515427.db2.gz WFNXIVMSOFHGIX-BXUZGUMPSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ncc(CNCC2CCC(C)CC2)o1 ZINC000398051945 388515573 /nfs/dbraw/zinc/51/55/73/388515573.db2.gz GAYPUKBVIANABZ-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1nocc1CN[C@H](C)CC(C)(C)C ZINC000398031648 388516124 /nfs/dbraw/zinc/51/61/24/388516124.db2.gz PZYQIMAAAUFYMU-SECBINFHSA-N 0 3 210.321 2.897 20 0 BFADHN CCCn1cc(CNC2(C3(C)CC3)CC2)cn1 ZINC000398141987 388517072 /nfs/dbraw/zinc/51/70/72/388517072.db2.gz RHVLCTNAPIMNTE-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@@H](C)c1ccn(C)n1 ZINC000516852495 388520868 /nfs/dbraw/zinc/52/08/68/388520868.db2.gz PNZDVEYFPXCFGK-MNOVXSKESA-N 0 3 249.383 2.763 20 0 BFADHN C[C@@H](Cc1ccsc1)N[C@@H](C)c1ccn(C)n1 ZINC000516852496 388521319 /nfs/dbraw/zinc/52/13/19/388521319.db2.gz PNZDVEYFPXCFGK-QWRGUYRKSA-N 0 3 249.383 2.763 20 0 BFADHN Cc1ncc([C@H](C)NCC[C@H](C)F)s1 ZINC000378855484 388521682 /nfs/dbraw/zinc/52/16/82/388521682.db2.gz JVTPGEGLAKYVMS-YUMQZZPRSA-N 0 3 216.325 2.850 20 0 BFADHN C/C(Cl)=C\CN1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000528420172 388522017 /nfs/dbraw/zinc/52/20/17/388522017.db2.gz IZGDIAPAUKSQME-CLFQDTNISA-N 0 3 243.778 2.878 20 0 BFADHN C[C@@H](NCc1ccc(Cl)o1)[C@]1(C)CCCO1 ZINC000378857594 388522432 /nfs/dbraw/zinc/52/24/32/388522432.db2.gz LRINVJJCCSDZCU-SKDRFNHKSA-N 0 3 243.734 2.980 20 0 BFADHN C[C@H](F)CCNCc1nc2c(s1)CCCC2 ZINC000378848673 388522530 /nfs/dbraw/zinc/52/25/30/388522530.db2.gz CCLFVPQFCNMVJX-VIFPVBQESA-N 0 3 242.363 2.860 20 0 BFADHN CC[C@@]1(NCc2ccsc2C)CCOC1 ZINC000378851790 388522886 /nfs/dbraw/zinc/52/28/86/388522886.db2.gz GGJZFVSWNZGRSN-GFCCVEGCSA-N 0 3 225.357 2.715 20 0 BFADHN C/C(Cl)=C/CN1CC[C@H](C(C)(C)C)[C@H](O)C1 ZINC000528437461 388526822 /nfs/dbraw/zinc/52/68/22/388526822.db2.gz HJVFNTTXQWIFRQ-JUYDSFNJSA-N 0 3 245.794 2.858 20 0 BFADHN COc1cc([C@@H](C)NCCc2ccco2)ccn1 ZINC000564346525 388528220 /nfs/dbraw/zinc/52/82/20/388528220.db2.gz FNJGGQXOUJJVRW-LLVKDONJSA-N 0 3 246.310 2.577 20 0 BFADHN C/C(Cl)=C/CN1CCCC[C@@H]1C[C@H](C)O ZINC000528483913 388529590 /nfs/dbraw/zinc/52/95/90/388529590.db2.gz IHSOQRIOPOZXDA-RPGNDLBOSA-N 0 3 231.767 2.754 20 0 BFADHN CO[C@H](CNCc1cc(F)ccc1C)C(C)C ZINC000350339809 388530443 /nfs/dbraw/zinc/53/04/43/388530443.db2.gz ALOQZWMEMDUSLQ-CQSZACIVSA-N 0 3 239.334 2.895 20 0 BFADHN CO[C@@]1(C)CCCN(C/C=C(\C)Cl)C1 ZINC000528481734 388533215 /nfs/dbraw/zinc/53/32/15/388533215.db2.gz ANCVGMBNXXLRJI-UAWPZABVSA-N 0 3 217.740 2.630 20 0 BFADHN COc1ccccc1CN1CC[C@@H](OC(C)C)C1 ZINC000517029859 388533331 /nfs/dbraw/zinc/53/33/31/388533331.db2.gz DQIWLSMVNGSHPU-CQSZACIVSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1cccc(CN2C3CCC2CC3)n1 ZINC000517056915 388536131 /nfs/dbraw/zinc/53/61/31/388536131.db2.gz HZHDOSPIQFEFCV-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN Fc1ccccc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000517042517 388536213 /nfs/dbraw/zinc/53/62/13/388536213.db2.gz XHCYJTMTPRORBS-PTEHBNRSSA-N 0 3 205.276 2.714 20 0 BFADHN C/C(Cl)=C\CN(C(C)C)[C@H]1CCOC1 ZINC000528506419 388536978 /nfs/dbraw/zinc/53/69/78/388536978.db2.gz CYRVSZMGKZGRER-INJWIWPUSA-N 0 3 217.740 2.628 20 0 BFADHN C/C=C/C[C@H](CO)NCc1cc2ccccc2o1 ZINC000185826211 388592114 /nfs/dbraw/zinc/59/21/14/388592114.db2.gz PUYYUDOLMNRMAE-YWVDXFKGSA-N 0 3 245.322 2.850 20 0 BFADHN COC1(CN[C@H](C)c2cncc(C)c2)CCC1 ZINC000184484207 256846652 /nfs/dbraw/zinc/84/66/52/256846652.db2.gz RWRPBJITQOYAGG-GFCCVEGCSA-N 0 3 234.343 2.610 20 0 BFADHN Cc1cc(F)ccc1CN1C[C@@H](C)[C@@H]1C ZINC000549924128 388595981 /nfs/dbraw/zinc/59/59/81/388595981.db2.gz SVVWXOPFLVGVCH-MNOVXSKESA-N 0 3 207.292 2.974 20 0 BFADHN Cc1ncccc1CN1CCS[C@H](C)[C@H]1C ZINC000245741772 388602674 /nfs/dbraw/zinc/60/26/74/388602674.db2.gz MAMHCBUDNWVBMI-VXGBXAGGSA-N 0 3 236.384 2.716 20 0 BFADHN CC(C)n1ccc(CN[C@H](C)[C@@H]2C[C@H]2C)n1 ZINC000521578908 388617960 /nfs/dbraw/zinc/61/79/60/388617960.db2.gz RXHFBJQNNKPYLM-NQBHXWOUSA-N 0 3 221.348 2.598 20 0 BFADHN Cc1ccc(CN2CCC[C@H]2C)c(C)n1 ZINC000187102329 388606455 /nfs/dbraw/zinc/60/64/55/388606455.db2.gz XEHFVKAGKDIERY-LLVKDONJSA-N 0 3 204.317 2.683 20 0 BFADHN CC[C@H](C)CN(CC)[C@H](C)C(=O)N(CC)CC ZINC000245896679 388609472 /nfs/dbraw/zinc/60/94/72/388609472.db2.gz SPKCTLGMMMDWHB-QWHCGFSZSA-N 0 3 242.407 2.611 20 0 BFADHN CN1CC[C@H](CSc2cccs2)C1 ZINC000187680745 388610638 /nfs/dbraw/zinc/61/06/38/388610638.db2.gz GARBOXBTMZBMGK-VIFPVBQESA-N 0 3 213.371 2.792 20 0 BFADHN CCCC[C@@H](C)N1CCC[C@@H]1C(=O)OCC ZINC000136894040 388551739 /nfs/dbraw/zinc/55/17/39/388551739.db2.gz QTVVCTDKFCYNIZ-VXGBXAGGSA-N 0 3 227.348 2.593 20 0 BFADHN C[C@@H](O)CCN1CCc2cccc(F)c2[C@@H]1C ZINC000517503750 388552468 /nfs/dbraw/zinc/55/24/68/388552468.db2.gz VKTNJLPILUFTNN-MNOVXSKESA-N 0 3 237.318 2.516 20 0 BFADHN CC[C@@H](CN[C@@H](c1ccccn1)C1CCC1)OC ZINC000528653008 388555239 /nfs/dbraw/zinc/55/52/39/388555239.db2.gz LBANVYZOMXLWLU-DZGCQCFKSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@H](CN[C@@H](c1ccccn1)C1CCC1)OC ZINC000528653013 388555251 /nfs/dbraw/zinc/55/52/51/388555251.db2.gz LBANVYZOMXLWLU-UKRRQHHQSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@@H](CN[C@H](c1ccccn1)C1CCC1)OC ZINC000528653017 388555914 /nfs/dbraw/zinc/55/59/14/388555914.db2.gz LBANVYZOMXLWLU-ZFWWWQNUSA-N 0 3 248.370 2.937 20 0 BFADHN CCOC[C@@H]1CN(CCC(C)(C)CC)CCO1 ZINC000528716001 388561076 /nfs/dbraw/zinc/56/10/76/388561076.db2.gz BUQJBAJIVMBGAU-ZDUSSCGKSA-N 0 3 243.391 2.550 20 0 BFADHN CCc1ccc(CNC[C@H](OC)[C@@H](C)CC)o1 ZINC000362977103 388562924 /nfs/dbraw/zinc/56/29/24/388562924.db2.gz ORUVRAWMCWUGKI-FZMZJTMJSA-N 0 3 239.359 2.993 20 0 BFADHN CCCCCN1CCc2nc(C3CC3)ncc2C1 ZINC000528732805 388563334 /nfs/dbraw/zinc/56/33/34/388563334.db2.gz DWUJZQDZSYCAKR-UHFFFAOYSA-N 0 3 245.370 2.902 20 0 BFADHN C[C@H](CN(C)CC1OCCO1)C1CCCCC1 ZINC000528772874 388571646 /nfs/dbraw/zinc/57/16/46/388571646.db2.gz KAWSUGVTNFZDLC-GFCCVEGCSA-N 0 3 241.375 2.508 20 0 BFADHN COC1(CN[C@@H](C)c2cncc(C)c2)CCC1 ZINC000184484226 388577937 /nfs/dbraw/zinc/57/79/37/388577937.db2.gz RWRPBJITQOYAGG-LBPRGKRZSA-N 0 3 234.343 2.610 20 0 BFADHN CN1CCC(Nc2cccc3cc[nH]c32)CC1 ZINC000076676575 388582274 /nfs/dbraw/zinc/58/22/74/388582274.db2.gz GLIFSSUOJFJGAY-UHFFFAOYSA-N 0 3 229.327 2.674 20 0 BFADHN CCOCCN(C)[C@@H](C)Cc1ccsc1 ZINC000081598633 388585848 /nfs/dbraw/zinc/58/58/48/388585848.db2.gz TULCGOUKAQYAOX-NSHDSACASA-N 0 3 227.373 2.647 20 0 BFADHN CCc1nc(CNCCCCSC)cs1 ZINC000124937413 388666749 /nfs/dbraw/zinc/66/67/49/388666749.db2.gz WQGHTMNXYRMAIH-UHFFFAOYSA-N 0 3 244.429 2.938 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2cccc(C)n2)C1(C)C ZINC000085398228 388668370 /nfs/dbraw/zinc/66/83/70/388668370.db2.gz WBZHZDLRMWKTGK-ZIAGYGMSSA-N 0 3 248.370 2.683 20 0 BFADHN C[C@H]1CCN(Cc2cnc3ccccn23)[C@H]1C ZINC000247740540 388669210 /nfs/dbraw/zinc/66/92/10/388669210.db2.gz VXFHBMFPJUNGNZ-RYUDHWBXSA-N 0 3 229.327 2.565 20 0 BFADHN C[C@@H]1CCN(C[C@@H](O)c2ccccc2F)[C@H]1C ZINC000248368073 388676072 /nfs/dbraw/zinc/67/60/72/388676072.db2.gz UTXKWIWESGXTPH-UHIISALHSA-N 0 3 237.318 2.589 20 0 BFADHN CCCCN1CCN(C)C[C@H]1c1ccccc1 ZINC000248410494 388679103 /nfs/dbraw/zinc/67/91/03/388679103.db2.gz XYYXXZVWQHLPRI-HNNXBMFYSA-N 0 3 232.371 2.775 20 0 BFADHN CCOc1ccccc1CN(C)C1CC1 ZINC000192649076 388681527 /nfs/dbraw/zinc/68/15/27/388681527.db2.gz VLXQEYWTNDXLNX-UHFFFAOYSA-N 0 3 205.301 2.680 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H]2CCC[C@@H]2F)C12CCC2 ZINC000551309312 388625797 /nfs/dbraw/zinc/62/57/97/388625797.db2.gz RPQWNJOBFCNPDB-RNJOBUHISA-N 0 3 241.350 2.814 20 0 BFADHN C[C@@H](CN[C@@H](C)CF)c1ccc(F)cc1 ZINC000288434243 388626057 /nfs/dbraw/zinc/62/60/57/388626057.db2.gz LECWJNSNVJPHEG-UWVGGRQHSA-N 0 3 213.271 2.877 20 0 BFADHN CC(C)C[C@@H](C)NCc1cnc([C@H](C)O)s1 ZINC000290200656 388628557 /nfs/dbraw/zinc/62/85/57/388628557.db2.gz CXIQYDICPGGVCV-ZJUUUORDSA-N 0 3 242.388 2.721 20 0 BFADHN Cc1sccc1CN1CCC2(CCO2)CC1 ZINC000552234771 388684421 /nfs/dbraw/zinc/68/44/21/388684421.db2.gz GBHGMIFOJIMPTO-UHFFFAOYSA-N 0 3 237.368 2.811 20 0 BFADHN Cc1ccc2nc(CNC(C)(C)C3CC3)cn2c1 ZINC000551535923 388632411 /nfs/dbraw/zinc/63/24/11/388632411.db2.gz CKZXSBVAIHEBEM-UHFFFAOYSA-N 0 3 243.354 2.921 20 0 BFADHN CCOC(=O)CN(CC1CCC1)C1CCCC1 ZINC000083250874 388635500 /nfs/dbraw/zinc/63/55/00/388635500.db2.gz SPDZCLBLQCMRQE-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN C[C@H]1CC(F)(F)CCN1Cc1cccc(N)c1 ZINC000530231524 388636669 /nfs/dbraw/zinc/63/66/69/388636669.db2.gz JAQMCIIITSSNOE-JTQLQIEISA-N 0 3 240.297 2.888 20 0 BFADHN Cc1nnc([C@H](C)N2CC[C@@H](C)C[C@@H](C)C2)o1 ZINC000246596781 388645285 /nfs/dbraw/zinc/64/52/85/388645285.db2.gz GYBDOVMJOUKSLT-MXWKQRLJSA-N 0 3 237.347 2.807 20 0 BFADHN CC[C@H](C)NCc1nc2ccccc2n1CC ZINC000037995389 388650625 /nfs/dbraw/zinc/65/06/25/388650625.db2.gz WXOBKVVQNYYEJR-NSHDSACASA-N 0 3 231.343 2.944 20 0 BFADHN C[C@@H](NC[C@H]1CCSC1)c1ccco1 ZINC000224316763 388663482 /nfs/dbraw/zinc/66/34/82/388663482.db2.gz RHOWCCQBKLRUMW-NXEZZACHSA-N 0 3 211.330 2.683 20 0 BFADHN C[C@@H](O)CCN(C)[C@H](C)c1ccc(F)cc1 ZINC000247460245 388664352 /nfs/dbraw/zinc/66/43/52/388664352.db2.gz IHYRSAUAGOEPIG-GHMZBOCLSA-N 0 3 225.307 2.589 20 0 BFADHN CCN(CC(=O)N[C@@H](C)C(C)C)[C@@H](C)C(C)C ZINC000067661058 388737693 /nfs/dbraw/zinc/73/76/93/388737693.db2.gz WZVBYPWJEAIIHY-STQMWFEESA-N 0 3 242.407 2.514 20 0 BFADHN Cc1nc(CCN[C@@H](C)c2ccoc2)c(C)o1 ZINC000538986083 388743375 /nfs/dbraw/zinc/74/33/75/388743375.db2.gz NRAGHPDIGRAUKS-VIFPVBQESA-N 0 3 234.299 2.778 20 0 BFADHN c1ccc(CCCN2CCOC[C@H]2C2CC2)cc1 ZINC000090007684 388744246 /nfs/dbraw/zinc/74/42/46/388744246.db2.gz DHOSSHUAOUCZSG-INIZCTEOSA-N 0 3 245.366 2.730 20 0 BFADHN c1ccc(CCCN2CCOC[C@@H]2C2CC2)cc1 ZINC000090007683 388744587 /nfs/dbraw/zinc/74/45/87/388744587.db2.gz DHOSSHUAOUCZSG-MRXNPFEDSA-N 0 3 245.366 2.730 20 0 BFADHN CCOC(=O)[C@@H](CC)N1CCC[C@H](CC)CC1 ZINC000251530461 388748027 /nfs/dbraw/zinc/74/80/27/388748027.db2.gz AILCGDNFHVYKDR-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN CCN(C)Cc1c[nH]nc1-c1cccs1 ZINC000126271213 388692538 /nfs/dbraw/zinc/69/25/38/388692538.db2.gz VVRXBKQIACAXGP-UHFFFAOYSA-N 0 3 221.329 2.590 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCC[C@@H](C)C2)on1 ZINC000248782242 388693581 /nfs/dbraw/zinc/69/35/81/388693581.db2.gz XKNZHCDZNAEWTC-PWSUYJOCSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1cc(CN2CCSCC2)c(C)s1 ZINC000195027312 388693867 /nfs/dbraw/zinc/69/38/67/388693867.db2.gz WKFNRPZGVMSGBS-UHFFFAOYSA-N 0 3 227.398 2.914 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@@H](CCC)CCCC ZINC000226066581 388699546 /nfs/dbraw/zinc/69/95/46/388699546.db2.gz NHZVZWXLBMSSOR-STQMWFEESA-N 0 3 242.407 2.979 20 0 BFADHN CCN[C@H](C)c1cncc(Br)c1 ZINC000087281944 388702230 /nfs/dbraw/zinc/70/22/30/388702230.db2.gz QMCMMSUHERWYKQ-SSDOTTSWSA-N 0 3 229.121 2.515 20 0 BFADHN COc1ncccc1CN1CC[C@@H](CC(C)C)C1 ZINC000193366609 388702751 /nfs/dbraw/zinc/70/27/51/388702751.db2.gz NNACCIZVNVHLIR-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1ccc(C[C@H](C)NCc2cncnc2)s1 ZINC000080228443 388707713 /nfs/dbraw/zinc/70/77/13/388707713.db2.gz HSDQYIDQRNMJKM-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN FCCCN[C@@H]1CCCOc2ccccc21 ZINC000126966598 388708889 /nfs/dbraw/zinc/70/88/89/388708889.db2.gz UZXOMZZYCLBUDZ-GFCCVEGCSA-N 0 3 223.291 2.850 20 0 BFADHN C[C@@H]1CCN(C/C=C/c2ccc(F)cc2)[C@H]1CO ZINC000249137976 388710599 /nfs/dbraw/zinc/71/05/99/388710599.db2.gz XFWBYFVBGKPKDH-RDYIKARRSA-N 0 3 249.329 2.542 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cccc3c2OCO3)C1 ZINC000538738586 388715890 /nfs/dbraw/zinc/71/58/90/388715890.db2.gz GDASYWWHXYGWMK-QWRGUYRKSA-N 0 3 233.311 2.646 20 0 BFADHN CCC[C@@H](C)N1CCN(CC(F)F)[C@@H](CC)C1 ZINC000249390384 388718923 /nfs/dbraw/zinc/71/89/23/388718923.db2.gz REWQQGRIJHOCCW-NEPJUHHUSA-N 0 3 248.361 2.836 20 0 BFADHN CCCC[C@H](COC)NCc1cccc(C)n1 ZINC000127280241 388720207 /nfs/dbraw/zinc/72/02/07/388720207.db2.gz FIFQVIUTQTWRAC-CQSZACIVSA-N 0 3 236.359 2.685 20 0 BFADHN Cc1cccc(CN[C@@H](C)C(C)(C)C)n1 ZINC000127302157 388720604 /nfs/dbraw/zinc/72/06/04/388720604.db2.gz SRHXSAOQSVCECV-NSHDSACASA-N 0 3 206.333 2.914 20 0 BFADHN CCN(Cc1cn2c(cccc2C)n1)C(C)C ZINC000194097077 388722896 /nfs/dbraw/zinc/72/28/96/388722896.db2.gz WNGBYDGPPSXVKZ-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN CCN(CC(=O)N1[C@@H](C)CCC[C@@H]1C)C(C)C ZINC000194088174 388723113 /nfs/dbraw/zinc/72/31/13/388723113.db2.gz MOBOGSAUKBGGON-STQMWFEESA-N 0 3 240.391 2.506 20 0 BFADHN Cc1nnc([C@H](C)N2CC[C@H](C)C[C@H](C)C2)o1 ZINC000127703353 388730306 /nfs/dbraw/zinc/73/03/06/388730306.db2.gz GYBDOVMJOUKSLT-DCAQKATOSA-N 0 3 237.347 2.807 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2CO)c(C)s1 ZINC000194381709 388730566 /nfs/dbraw/zinc/73/05/66/388730566.db2.gz MEGOZSBFXJIMOX-ZDUSSCGKSA-N 0 3 239.384 2.712 20 0 BFADHN COCCN[C@H]1C[C@H](C)c2c1cccc2C ZINC000127816455 388731476 /nfs/dbraw/zinc/73/14/76/388731476.db2.gz FUVZDXYLDLSWCO-AAEUAGOBSA-N 0 3 219.328 2.779 20 0 BFADHN Cc1nc(CN2C[C@@H]3[C@H](C2)C3(C)C)sc1C ZINC000088601921 388732463 /nfs/dbraw/zinc/73/24/63/388732463.db2.gz JKEKLLARISVFQG-PHIMTYICSA-N 0 3 236.384 2.848 20 0 BFADHN CC1(C)CCCC[C@@H]1NCc1ccno1 ZINC000229594759 388796225 /nfs/dbraw/zinc/79/62/25/388796225.db2.gz AKYUKLVREPHLAD-NSHDSACASA-N 0 3 208.305 2.733 20 0 BFADHN Clc1cc(NCCN2CCCCC2)ccn1 ZINC000229716810 388799660 /nfs/dbraw/zinc/79/96/60/388799660.db2.gz NHZSQZMSCNXCKY-UHFFFAOYSA-N 0 3 239.750 2.633 20 0 BFADHN CC[C@H](O)CNCc1ccc(Cl)cc1Cl ZINC000229968755 388808193 /nfs/dbraw/zinc/80/81/93/388808193.db2.gz PXCBTRIPCIDRNP-JTQLQIEISA-N 0 3 248.153 2.854 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2ccon2)[C@H]1C ZINC000252185705 388762458 /nfs/dbraw/zinc/76/24/58/388762458.db2.gz QTVIQXXVASGCQR-JFGNBEQYSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ccn(C)n1)c1ccccc1 ZINC000020351331 388764056 /nfs/dbraw/zinc/76/40/56/388764056.db2.gz QDSQXLWBIXZSAS-STQMWFEESA-N 0 3 243.354 2.874 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccc(O)cc2)C[C@H]1C ZINC000648741495 388772921 /nfs/dbraw/zinc/77/29/21/388772921.db2.gz ZJSUKZQTECKRJN-IUODEOHRSA-N 0 3 249.354 2.639 20 0 BFADHN CCCOc1ccc(CN2CC[C@H](OC)C2)cc1 ZINC000539827030 388781605 /nfs/dbraw/zinc/78/16/05/388781605.db2.gz SCCWSXZKSWCIRL-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN CCC[C@@H](C)CN(CC)C[C@@H](O)C(F)(F)F ZINC000250143442 388784831 /nfs/dbraw/zinc/78/48/31/388784831.db2.gz ZLYRCDFWVCWOLH-NXEZZACHSA-N 0 3 241.297 2.668 20 0 BFADHN c1ccc2c(c1)nccc2NCC1=CCCOC1 ZINC000288539728 388786506 /nfs/dbraw/zinc/78/65/06/388786506.db2.gz OUPKNDBNNAUKES-UHFFFAOYSA-N 0 3 240.306 2.993 20 0 BFADHN C[C@@H](NCc1cc[nH]n1)[C@@H]1C[C@H]1c1ccccc1 ZINC000555232521 388843862 /nfs/dbraw/zinc/84/38/62/388843862.db2.gz GWGMCMNBMBHODZ-UGFHNGPFSA-N 0 3 241.338 2.692 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCCC[C@H]2C)no1 ZINC000253356234 388814802 /nfs/dbraw/zinc/81/48/02/388814802.db2.gz FBYGQHQVKRTDEU-MFKMUULPSA-N 0 3 222.332 2.994 20 0 BFADHN CCOc1ccccc1[C@H](C)NC1(COC)CC1 ZINC000540749615 388817160 /nfs/dbraw/zinc/81/71/60/388817160.db2.gz JDNQATJBWVDQSL-LBPRGKRZSA-N 0 3 249.354 2.915 20 0 BFADHN CCc1ccc(CNC[C@H]2CC2(C)C)o1 ZINC000230196585 388818993 /nfs/dbraw/zinc/81/89/93/388818993.db2.gz UCKTVYZGDBWHPQ-SNVBAGLBSA-N 0 3 207.317 2.978 20 0 BFADHN CC[C@@H](C)N1CCc2ccc(F)cc2C1 ZINC000146704661 388820593 /nfs/dbraw/zinc/82/05/93/388820593.db2.gz ZCXOMUPFXOJMBB-SNVBAGLBSA-N 0 3 207.292 2.982 20 0 BFADHN CCN(Cc1ccc(F)cc1)C[C@H]1CCCO1 ZINC000069071004 388822734 /nfs/dbraw/zinc/82/27/34/388822734.db2.gz YMWHWYGYCDVYOW-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN C/C(=C/c1ccccc1)CN1C[C@H](O)C[C@@H]1C ZINC000554569529 388822900 /nfs/dbraw/zinc/82/29/00/388822900.db2.gz SFEVYWOYZBWCLW-UGQYRENISA-N 0 3 231.339 2.545 20 0 BFADHN COCCN[C@@H](C)c1cc2c(s1)CCCC2 ZINC000129565863 388852827 /nfs/dbraw/zinc/85/28/27/388852827.db2.gz NWAQETOBEJLGIZ-JTQLQIEISA-N 0 3 239.384 2.924 20 0 BFADHN CCC[C@H]1CCCC[C@@H]1[NH2+]Cc1nnc(C)[n-]1 ZINC000555644993 388857019 /nfs/dbraw/zinc/85/70/19/388857019.db2.gz JHCBCFDQVWHSQW-RYUDHWBXSA-N 0 3 236.363 2.562 20 0 BFADHN CC1=CC[C@H](N[C@@H]2C[C@H](C)n3ccnc32)CC1 ZINC000648776386 388862038 /nfs/dbraw/zinc/86/20/38/388862038.db2.gz BYFQDKRGLQAUGC-RWMBFGLXSA-N 0 3 231.343 2.977 20 0 BFADHN CC[C@@H](NCC(C1CC1)C1CC1)c1ccn(C)n1 ZINC000541732136 388866327 /nfs/dbraw/zinc/86/63/27/388866327.db2.gz GNWDUENUEDHIDQ-CQSZACIVSA-N 0 3 247.386 2.897 20 0 BFADHN CC[C@@H](NCc1cc(C)on1)C1CCC1 ZINC000322297762 388849060 /nfs/dbraw/zinc/84/90/60/388849060.db2.gz TWDXQAUHMVHPFU-GFCCVEGCSA-N 0 3 208.305 2.651 20 0 BFADHN FC(F)O[C@@H]1CCC[C@H]1NCc1ccsc1 ZINC000337284747 388881302 /nfs/dbraw/zinc/88/13/02/388881302.db2.gz JRFMOXUTJVSXDG-NXEZZACHSA-N 0 3 247.310 2.998 20 0 BFADHN OCCN(CCC(F)(F)F)C1CCCCC1 ZINC000070205518 388877429 /nfs/dbraw/zinc/87/74/29/388877429.db2.gz IEVJAJSIRDSRGI-UHFFFAOYSA-N 0 3 239.281 2.566 20 0 BFADHN COc1cc(C)c(CN2CCC2(C)C)c(C)n1 ZINC000541925375 388878088 /nfs/dbraw/zinc/87/80/88/388878088.db2.gz CSKZKSAIFOJXFR-UHFFFAOYSA-N 0 3 234.343 2.691 20 0 BFADHN C[C@H](NCc1noc2ccccc21)[C@@H]1C[C@H]1C ZINC000565218837 388927190 /nfs/dbraw/zinc/92/71/90/388927190.db2.gz RWFXYDRDWFIZOA-JFGNBEQYSA-N 0 3 230.311 2.962 20 0 BFADHN CCCn1nc(C)c(CN[C@@H]2[C@@H]3CCC[C@@H]32)c1C ZINC000565671555 388961851 /nfs/dbraw/zinc/96/18/51/388961851.db2.gz OPCXHNQIEGDXLJ-AGGWBTHJSA-N 0 3 247.386 2.798 20 0 BFADHN CC(C)N(Cc1ccncc1F)CC1CC1 ZINC000565344415 388938742 /nfs/dbraw/zinc/93/87/42/388938742.db2.gz YDIBCWFXPRAKJY-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@H](F)CN1CC[C@@H](c2ccncc2)C1 ZINC000565429534 388944234 /nfs/dbraw/zinc/94/42/34/388944234.db2.gz BBDFUVZNFUGKPV-OLZOCXBDSA-N 0 3 222.307 2.619 20 0 BFADHN CC[C@@H](F)CN1CC[C@@H](c2ccncc2)C1 ZINC000565429533 388944368 /nfs/dbraw/zinc/94/43/68/388944368.db2.gz BBDFUVZNFUGKPV-CHWSQXEVSA-N 0 3 222.307 2.619 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(C2CC2)cc1 ZINC000565526180 388951610 /nfs/dbraw/zinc/95/16/10/388951610.db2.gz ULAGYHFOVFNRLZ-CABCVRRESA-N 0 3 231.339 2.831 20 0 BFADHN CCOc1ccccc1CNCC[C@@H](C)OC ZINC000264551028 388992575 /nfs/dbraw/zinc/99/25/75/388992575.db2.gz ZQXUGUQOZOTBLO-GFCCVEGCSA-N 0 3 237.343 2.600 20 0 BFADHN CO[C@@H](CNCc1cccc(F)c1)C(C)C ZINC000266623683 388996857 /nfs/dbraw/zinc/99/68/57/388996857.db2.gz MRXHNVKPWQOIIF-ZDUSSCGKSA-N 0 3 225.307 2.586 20 0 BFADHN CO[C@@H](CNCc1ccsc1)C(C)C ZINC000266635729 388997263 /nfs/dbraw/zinc/99/72/63/388997263.db2.gz RMXFORFTKFLRRL-NSHDSACASA-N 0 3 213.346 2.509 20 0 BFADHN COC[C@H](C)CN1CC(C)(C)[C@@H]1c1cccnc1 ZINC000565825461 388971542 /nfs/dbraw/zinc/97/15/42/388971542.db2.gz LDVMSWPQUHGYOF-OCCSQVGLSA-N 0 3 248.370 2.747 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccnc1)[C@H]1CCCCO1 ZINC000271913189 389028334 /nfs/dbraw/zinc/02/83/34/389028334.db2.gz BNOUBPQKBNDPJK-OUCADQQQSA-N 0 3 234.343 2.690 20 0 BFADHN CO[C@@H](C)c1nc(CN[C@@H](C)C(C)C)cs1 ZINC000269037440 389007072 /nfs/dbraw/zinc/00/70/72/389007072.db2.gz FTPFJAJRQFANAH-UWVGGRQHSA-N 0 3 242.388 2.985 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](C)CCCCO)o1 ZINC000272311690 389031894 /nfs/dbraw/zinc/03/18/94/389031894.db2.gz RRJIMFYKASRNOZ-ZYHUDNBSSA-N 0 3 225.332 2.790 20 0 BFADHN CCc1ccc(CNC[C@H]2CCO[C@@H](C)C2)o1 ZINC000271260298 389019092 /nfs/dbraw/zinc/01/90/92/389019092.db2.gz AKCDALZXQWQIHL-RYUDHWBXSA-N 0 3 237.343 2.747 20 0 BFADHN C[C@@H](NC[C@@H]1CCO[C@H](C)C1)c1cscn1 ZINC000271511099 389021506 /nfs/dbraw/zinc/02/15/06/389021506.db2.gz IANBZIOIFLNMNZ-GMTAPVOTSA-N 0 3 240.372 2.609 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccc(F)cn1)C(C)C ZINC000271582892 389021731 /nfs/dbraw/zinc/02/17/31/389021731.db2.gz REPLVKKCSQFBRQ-GXFFZTMASA-N 0 3 240.322 2.542 20 0 BFADHN C[C@@H](NCCF)C(C)(C)c1ccccc1 ZINC000271804230 389026778 /nfs/dbraw/zinc/02/67/78/389026778.db2.gz MWZSEVGYYVXPSI-LLVKDONJSA-N 0 3 209.308 2.912 20 0 BFADHN C[C@H](NC1CCOCC1)c1ccc(Cl)cn1 ZINC000274877124 389049991 /nfs/dbraw/zinc/04/99/91/389049991.db2.gz GVDRLMAMFFRBPL-VIFPVBQESA-N 0 3 240.734 2.565 20 0 BFADHN C[C@@H](N[C@@H]1CCSC1)c1ccc(Cl)cn1 ZINC000274819214 389050296 /nfs/dbraw/zinc/05/02/96/389050296.db2.gz BIDKVPMJTZXUBM-PSASIEDQSA-N 0 3 242.775 2.891 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc(Cl)cc1F ZINC000275311626 389054400 /nfs/dbraw/zinc/05/44/00/389054400.db2.gz JMEPQLHFBZUWPW-BDAKNGLRSA-N 0 3 245.725 2.992 20 0 BFADHN C[C@H](CCCCO)NCc1cc(F)ccc1F ZINC000272497769 389033379 /nfs/dbraw/zinc/03/33/79/389033379.db2.gz VEVHHAHBMKDMRF-SNVBAGLBSA-N 0 3 243.297 2.606 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1ccc(F)c(C)c1 ZINC000272967636 389036859 /nfs/dbraw/zinc/03/68/59/389036859.db2.gz ONWNNPNKBVMGMT-GHMZBOCLSA-N 0 3 225.307 2.820 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1CC[C@H](C(C)C)C1 ZINC000509386845 533236985 /nfs/dbraw/zinc/23/69/85/533236985.db2.gz KSCQSSILSFUIBF-STQMWFEESA-N 0 3 241.375 2.552 20 0 BFADHN COc1ncccc1CN1C[C@@H](C)CC(C)(C)C1 ZINC000488267792 533257933 /nfs/dbraw/zinc/25/79/33/533257933.db2.gz VKALCEXDKKJZJW-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccc2c(c1)CN(C[C@H]1C[C@H]1C)CC2 ZINC000488294951 533261296 /nfs/dbraw/zinc/26/12/96/533261296.db2.gz MPLWAOSCCYZJTJ-DGCLKSJQSA-N 0 3 231.339 2.709 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2ccc(Cl)cc2)C1 ZINC000510117521 533290908 /nfs/dbraw/zinc/29/09/08/533290908.db2.gz GHAQSIVZTUVJEM-BETUJISGSA-N 0 3 239.746 2.949 20 0 BFADHN COc1ccc(C)cc1CN(C)[C@H]1C[C@@H](OC)C1 ZINC000510122024 533384528 /nfs/dbraw/zinc/38/45/28/533384528.db2.gz RLSAVFIAVMUJJY-OKILXGFUSA-N 0 3 249.354 2.613 20 0 BFADHN CC(C)n1ccc(CN[C@H](C)CC2CC2)n1 ZINC000082866448 322825580 /nfs/dbraw/zinc/82/55/80/322825580.db2.gz KXJALPXYAWVYNY-LLVKDONJSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@@H]1CCCC[C@]1(C)NCc1cocn1 ZINC000308715622 533635390 /nfs/dbraw/zinc/63/53/90/533635390.db2.gz PMFZFQYASABAFO-PWSUYJOCSA-N 0 3 208.305 2.733 20 0 BFADHN CC(C)OCCN[C@H]1CCc2ccccc21 ZINC000042237801 322836996 /nfs/dbraw/zinc/83/69/96/322836996.db2.gz BHQJGRHNXZZQBJ-AWEZNQCLSA-N 0 3 219.328 2.689 20 0 BFADHN c1cc(CNC2CC3(C2)CCCCC3)on1 ZINC000335407357 133857743 /nfs/dbraw/zinc/85/77/43/133857743.db2.gz NDCOOFQXTFIIEJ-UHFFFAOYSA-N 0 3 220.316 2.877 20 0 BFADHN Fc1ccc2c(c1)CCN(CC[C@@H]1CCOC1)C2 ZINC000335822743 133928979 /nfs/dbraw/zinc/92/89/79/133928979.db2.gz DPFOYILNRHCMCO-GFCCVEGCSA-N 0 3 249.329 2.610 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)[C@H]2C)c(C)n1 ZINC000335931121 134010873 /nfs/dbraw/zinc/01/08/73/134010873.db2.gz CBQYGHHCRGXDDC-BXKDBHETSA-N 0 3 204.317 2.539 20 0 BFADHN Cc1ccc(C)c(CN2C[C@@H](C)N(C)C[C@H]2C)c1 ZINC000351945793 134015246 /nfs/dbraw/zinc/01/52/46/134015246.db2.gz UOCQGDBFHAMJFF-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN CCc1ccc(CN2C[C@@H](C)N(C)C[C@@H]2C)cc1 ZINC000351951447 134016434 /nfs/dbraw/zinc/01/64/34/134016434.db2.gz KSCFRYFBCOJVLV-KGLIPLIRSA-N 0 3 246.398 2.773 20 0 BFADHN C[C@H]1CC[C@H](N(C)Cc2cncc(F)c2)C1 ZINC000335958938 134023893 /nfs/dbraw/zinc/02/38/93/134023893.db2.gz HFMFXOUNPXTUNC-GWCFXTLKSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1C[C@H](C(C)(C)C)CCN1CC(N)=O ZINC000335958640 134023962 /nfs/dbraw/zinc/02/39/62/134023962.db2.gz SCXVYKVPWNDHRN-NXEZZACHSA-N 0 3 212.337 2.668 20 0 BFADHN Fc1cncc(CN2CC[C@@H]3CCC[C@@H]32)c1 ZINC000335960286 134026416 /nfs/dbraw/zinc/02/64/16/134026416.db2.gz YRGNXHZOZMBKHP-AAEUAGOBSA-N 0 3 220.291 2.595 20 0 BFADHN CC(C)COCCN1CCC(F)(F)[C@@H](C)C1 ZINC000351997834 134033136 /nfs/dbraw/zinc/03/31/36/134033136.db2.gz SVDDWUVGJKAJOD-NSHDSACASA-N 0 3 235.318 2.636 20 0 BFADHN Cc1ncsc1CN(C)CC[C@@H](C)F ZINC000352012914 134036011 /nfs/dbraw/zinc/03/60/11/134036011.db2.gz GYYFFSPOMQBZNO-MRVPVSSYSA-N 0 3 216.325 2.631 20 0 BFADHN CN1CC[C@H](c2nc(CC3CCCCC3)no2)C1 ZINC000335991025 134040354 /nfs/dbraw/zinc/04/03/54/134040354.db2.gz FHJZYLHNFNVMCM-LBPRGKRZSA-N 0 3 249.358 2.612 20 0 BFADHN Cc1oncc1CN1CCC[C@H](C2CC2)C1 ZINC000335993470 134041364 /nfs/dbraw/zinc/04/13/64/134041364.db2.gz JFUIBFDYNBYABM-LBPRGKRZSA-N 0 3 220.316 2.605 20 0 BFADHN CC[C@H](C)N1CCC2(C1)Oc1ccccc1O2 ZINC000335993832 134041747 /nfs/dbraw/zinc/04/17/47/134041747.db2.gz QXPXZLNSNTVMIR-NSHDSACASA-N 0 3 233.311 2.658 20 0 BFADHN Cc1nc2n(n1)CCC[C@H]2N[C@H]1CCC(C)(C)C1 ZINC000335996271 134042175 /nfs/dbraw/zinc/04/21/75/134042175.db2.gz MMUUKMRVELAQNL-NWDGAFQWSA-N 0 3 248.374 2.590 20 0 BFADHN CSC1(CN2CCO[C@@H]3CCC[C@@H]32)CCC1 ZINC000336011035 134051072 /nfs/dbraw/zinc/05/10/72/134051072.db2.gz YORAJSFVHDGLMC-NWDGAFQWSA-N 0 3 241.400 2.525 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@H]1C1CCCC1 ZINC000336012506 134051478 /nfs/dbraw/zinc/05/14/78/134051478.db2.gz OVLUFFIIWXFWOO-AWEZNQCLSA-N 0 3 233.359 2.873 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@@H]1C1CCCC1 ZINC000336012507 134051497 /nfs/dbraw/zinc/05/14/97/134051497.db2.gz OVLUFFIIWXFWOO-CQSZACIVSA-N 0 3 233.359 2.873 20 0 BFADHN CC(C)c1nc(C2CCN(C(C)C)CC2)no1 ZINC000336023718 134054459 /nfs/dbraw/zinc/05/44/59/134054459.db2.gz DMFOUBIHYZEQDD-UHFFFAOYSA-N 0 3 237.347 2.781 20 0 BFADHN Cc1ncc2c(n1)CCN(CCC1CCCC1)C2 ZINC000336024369 134056704 /nfs/dbraw/zinc/05/67/04/134056704.db2.gz DHCMKSWDAVGXCH-UHFFFAOYSA-N 0 3 245.370 2.723 20 0 BFADHN C[C@H](c1ccccc1F)N1CCOC[C@H](C)C1 ZINC000336039228 134060784 /nfs/dbraw/zinc/06/07/84/134060784.db2.gz BFXMPJJOTGVNRX-VXGBXAGGSA-N 0 3 237.318 2.855 20 0 BFADHN C[C@H](c1ccc2c(c1)CCC2)N1CCC(O)CC1 ZINC000336097879 134081017 /nfs/dbraw/zinc/08/10/17/134081017.db2.gz UKYXEAJZXCFNFP-GFCCVEGCSA-N 0 3 245.366 2.693 20 0 BFADHN Cc1ccc(CN2CCS[C@@H](C)CC2)nc1 ZINC000336105185 134084405 /nfs/dbraw/zinc/08/44/05/134084405.db2.gz DDDSWMYZWOLGSD-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN C(=C/c1ccncc1)\CN1CCCC2(CC2)C1 ZINC000336105416 134084790 /nfs/dbraw/zinc/08/47/90/134084790.db2.gz FZERHMHDOGFWJR-HNQUOIGGSA-N 0 3 228.339 2.971 20 0 BFADHN CC[C@@]1(O)CCN([C@H]2CCc3ccc(F)cc32)C1 ZINC000336109193 134085977 /nfs/dbraw/zinc/08/59/77/134085977.db2.gz SMQLTOYFXKIVMP-LSDHHAIUSA-N 0 3 249.329 2.660 20 0 BFADHN Cc1cnc(CN2CCC[C@H]3CCCC[C@@H]32)cn1 ZINC000336132110 134094572 /nfs/dbraw/zinc/09/45/72/134094572.db2.gz RFKMLMFOLYOZTG-HIFRSBDPSA-N 0 3 245.370 2.940 20 0 BFADHN CC1(CN2CCCC[C@@]2(C)C(N)=O)CCC1 ZINC000336137379 134096237 /nfs/dbraw/zinc/09/62/37/134096237.db2.gz ODZXPTUTJKQKIG-ZDUSSCGKSA-N 0 3 224.348 2.956 20 0 BFADHN Cc1ccc(C)c(CN(C)[C@@H]2CCCOC2)c1 ZINC000352200847 134100231 /nfs/dbraw/zinc/10/02/31/134100231.db2.gz BZDGHHHLJYLRGU-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C3(CCC3)C2)on1 ZINC000336141472 134100684 /nfs/dbraw/zinc/10/06/84/134100684.db2.gz TZHVVGJTGHDROP-LLVKDONJSA-N 0 3 234.343 2.995 20 0 BFADHN CCN(C[C@H](C)O)[C@H](C)c1ccccc1F ZINC000352209511 134107425 /nfs/dbraw/zinc/10/74/25/134107425.db2.gz BVKJXKZCXXUZQP-WDEREUQCSA-N 0 3 225.307 2.589 20 0 BFADHN CCN(C[C@@H](C)O)[C@H](C)c1ccc(F)c(F)c1 ZINC000352213499 134108459 /nfs/dbraw/zinc/10/84/59/134108459.db2.gz VJALVKHOCVTYTJ-NXEZZACHSA-N 0 3 243.297 2.729 20 0 BFADHN c1cc([C@H]2CCCCN2CC[C@@H]2CCCO2)n[nH]1 ZINC000352213117 134108524 /nfs/dbraw/zinc/10/85/24/134108524.db2.gz SZQBWYCRHUJWSN-GXTWGEPZSA-N 0 3 249.358 2.506 20 0 BFADHN c1cc([C@@H]2CCCCN2CC[C@@H]2CCCO2)n[nH]1 ZINC000352213118 134108527 /nfs/dbraw/zinc/10/85/27/134108527.db2.gz SZQBWYCRHUJWSN-JSGCOSHPSA-N 0 3 249.358 2.506 20 0 BFADHN Cc1nn(C)cc1CN1CCCC2(CCCC2)C1 ZINC000336161171 134110993 /nfs/dbraw/zinc/11/09/93/134110993.db2.gz BXVRXTPXSYSKBO-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN NC(=O)[C@H]1CCCCN1C[C@@H]1CCC(F)(F)C1 ZINC000336196495 134126473 /nfs/dbraw/zinc/12/64/73/134126473.db2.gz OSSBQCSRWSWFSV-NXEZZACHSA-N 0 3 246.301 2.812 20 0 BFADHN C[C@H]1CCCC[C@@H]1NCc1ccncc1F ZINC000336207968 134132879 /nfs/dbraw/zinc/13/28/79/134132879.db2.gz JPXMDTYWRRCWIO-GWCFXTLKSA-N 0 3 222.307 2.889 20 0 BFADHN Cc1ccc(CN2CCC(CF)CC2)o1 ZINC000336208886 134133218 /nfs/dbraw/zinc/13/32/18/134133218.db2.gz YLWQKKJYFRLKJT-UHFFFAOYSA-N 0 3 211.280 2.770 20 0 BFADHN CS[C@H]1CCN(Cc2cc3cccnc3o2)C1 ZINC000336210165 134133774 /nfs/dbraw/zinc/13/37/74/134133774.db2.gz OKNWXEKPORAEHN-LBPRGKRZSA-N 0 3 248.351 2.765 20 0 BFADHN Cc1cnccc1CN1C[C@@H](C(N)=O)CC[C@H]1C ZINC000336211283 134134758 /nfs/dbraw/zinc/13/47/58/134134758.db2.gz HVQJFGPYKJLPCO-YPMHNXCESA-N 0 3 247.342 2.526 20 0 BFADHN Cc1cccn2c(CN3CC[C@@H]3C3CC3)cnc12 ZINC000336216161 134136454 /nfs/dbraw/zinc/13/64/54/134136454.db2.gz FODKEOYVLBMTGR-CQSZACIVSA-N 0 3 241.338 2.627 20 0 BFADHN Cc1cccc2ncc(CN3CC[C@H]3C3CC3)n21 ZINC000336216086 134136863 /nfs/dbraw/zinc/13/68/63/134136863.db2.gz APZUUICJODJDJS-AWEZNQCLSA-N 0 3 241.338 2.627 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H]2C2CC2)s1 ZINC000336216363 134137133 /nfs/dbraw/zinc/13/71/33/134137133.db2.gz VBZPYCXHAWEALX-LLVKDONJSA-N 0 3 222.357 2.744 20 0 BFADHN Cn1ccnc1[C@H](NCC1CCCC1)C1CC1 ZINC000353042615 134159576 /nfs/dbraw/zinc/15/95/76/134159576.db2.gz AXAWELKLOZWGRO-CYBMUJFWSA-N 0 3 233.359 2.651 20 0 BFADHN C[C@H](CN(C)C)N[C@H](C)c1cc(F)cc(F)c1 ZINC000168685520 134242017 /nfs/dbraw/zinc/24/20/17/134242017.db2.gz IDKBNRPBNMIJEW-NXEZZACHSA-N 0 3 242.313 2.566 20 0 BFADHN COc1cccc(CN2CCC[C@@H](C)[C@H]2C)n1 ZINC000172856107 134269696 /nfs/dbraw/zinc/26/96/96/134269696.db2.gz DXJAAQNSLKXTAP-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cccc(CN2CCC[C@H](C)[C@H]2C)n1 ZINC000172856110 134269972 /nfs/dbraw/zinc/26/99/72/134269972.db2.gz DXJAAQNSLKXTAP-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H](C(=O)OC)N1CCC2(C1)CCCCC2 ZINC000172997457 134271810 /nfs/dbraw/zinc/27/18/10/134271810.db2.gz DPNWQPKLFYZRSV-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN Cn1cncc1CN1CCC2(CCCCC2)CC1 ZINC000177039453 134294211 /nfs/dbraw/zinc/29/42/11/134294211.db2.gz FMCWHDXOPXCMMX-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN CC[C@@H](C)[C@H](C)N(Cc1cncn1C)C1CC1 ZINC000177051283 134294222 /nfs/dbraw/zinc/29/42/22/134294222.db2.gz TXDBWQSRZRBOGS-NEPJUHHUSA-N 0 3 235.375 2.819 20 0 BFADHN Cc1nc(CN2C[C@@H]3CCCC[C@@H]3C2)oc1C ZINC000177531047 134299033 /nfs/dbraw/zinc/29/90/33/134299033.db2.gz WWXWCOFLPCHVHX-BETUJISGSA-N 0 3 234.343 2.913 20 0 BFADHN COc1cccc(CN2C[C@H]3CCCC[C@@H]3C2)n1 ZINC000177570867 134300506 /nfs/dbraw/zinc/30/05/06/134300506.db2.gz HBWLHDVHJNYRKN-CHWSQXEVSA-N 0 3 246.354 2.712 20 0 BFADHN C[C@H](N[C@@H]1COc2ccccc2C1)c1ccoc1 ZINC000178112360 134306346 /nfs/dbraw/zinc/30/63/46/134306346.db2.gz HMNOPNLYYRSVFU-FZMZJTMJSA-N 0 3 243.306 2.934 20 0 BFADHN COCCN[C@@H](C)c1ccc2ccccc2n1 ZINC000178120529 134306668 /nfs/dbraw/zinc/30/66/68/134306668.db2.gz WRNGHQNIJPUQOL-NSHDSACASA-N 0 3 230.311 2.532 20 0 BFADHN Cc1nccc(CN[C@@H]2CC[C@H](C)[C@@H](C)C2)n1 ZINC000220659665 134311597 /nfs/dbraw/zinc/31/15/97/134311597.db2.gz KCVGBTWFUMSBQO-GMXVVIOVSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1nccc(CN[C@@H]2CC[C@@H](C)[C@@H](C)C2)n1 ZINC000220659527 134311816 /nfs/dbraw/zinc/31/18/16/134311816.db2.gz KCVGBTWFUMSBQO-NTZNESFSSA-N 0 3 233.359 2.699 20 0 BFADHN CSCCN1CCC[C@@H]1c1cccc(C)n1 ZINC000178689328 134312871 /nfs/dbraw/zinc/31/28/71/134312871.db2.gz QNXJORQDGPEXRQ-CYBMUJFWSA-N 0 3 236.384 2.890 20 0 BFADHN COc1ccc(C)cc1CN[C@]1(C)CCCOC1 ZINC000221352647 134320893 /nfs/dbraw/zinc/32/08/93/134320893.db2.gz WZLFIFATJBOVEX-OAHLLOKOSA-N 0 3 249.354 2.662 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCO[C@@H]2C2CC2)o1 ZINC000222616901 134333522 /nfs/dbraw/zinc/33/35/22/134333522.db2.gz BLNQDXRBUHIYED-VHRBIJSZSA-N 0 3 235.327 2.806 20 0 BFADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)C1)c1cnccn1 ZINC000222666871 134333563 /nfs/dbraw/zinc/33/35/63/134333563.db2.gz FNTQRXTYNFBUSV-JHJVBQTASA-N 0 3 233.359 2.954 20 0 BFADHN CCOCCN(C)C/C=C/c1ccccc1OC ZINC000181487070 134337239 /nfs/dbraw/zinc/33/72/39/134337239.db2.gz QGCSSEYYCQRKBG-VQHVLOKHSA-N 0 3 249.354 2.677 20 0 BFADHN CCCC[C@@H](COC)NCc1cncc(C)c1 ZINC000181836919 134339842 /nfs/dbraw/zinc/33/98/42/134339842.db2.gz ZSLGWNCRSHQPRG-AWEZNQCLSA-N 0 3 236.359 2.685 20 0 BFADHN CO[C@@H](C)CN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000182016046 134341697 /nfs/dbraw/zinc/34/16/97/134341697.db2.gz COWCRTXTTYMAMW-UWVGGRQHSA-N 0 3 243.297 2.993 20 0 BFADHN COc1cccc(CNCC2(C)CC2)c1OC ZINC000224175210 134342020 /nfs/dbraw/zinc/34/20/20/134342020.db2.gz HSZSJRUGHZOGDI-UHFFFAOYSA-N 0 3 235.327 2.594 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](O)C(F)F)c(C)s1 ZINC000224442803 134347374 /nfs/dbraw/zinc/34/73/74/134347374.db2.gz VIDLAEDLMHFSQT-GMSGAONNSA-N 0 3 249.326 2.642 20 0 BFADHN CO[C@@H](C)CNCc1cccc(C(F)(F)F)c1 ZINC000183014061 134350158 /nfs/dbraw/zinc/35/01/58/134350158.db2.gz GBTZADHJKNWIJN-VIFPVBQESA-N 0 3 247.260 2.830 20 0 BFADHN Cc1ccc(CN2CCC([C@@H](C)O)CC2)cc1 ZINC000225789974 134357259 /nfs/dbraw/zinc/35/72/59/134357259.db2.gz OYNKRJNXACUBDL-CYBMUJFWSA-N 0 3 233.355 2.588 20 0 BFADHN Cc1ccc(CN2CCC([C@H](C)O)CC2)cc1 ZINC000225789994 134357274 /nfs/dbraw/zinc/35/72/74/134357274.db2.gz OYNKRJNXACUBDL-ZDUSSCGKSA-N 0 3 233.355 2.588 20 0 BFADHN CC1CC(N[C@H]2COCc3ccccc32)C1 ZINC000226009705 134358327 /nfs/dbraw/zinc/35/83/27/134358327.db2.gz ZYGNCJDAAQGOQT-OVGLSYRBSA-N 0 3 217.312 2.646 20 0 BFADHN CCC1(CNCc2cc(OC)ccc2OC)CC1 ZINC000226784507 134368877 /nfs/dbraw/zinc/36/88/77/134368877.db2.gz UESSKMNCQWXJJO-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN Cc1cccc2c1ncc(C)c2NC[C@@H](C)CO ZINC000185279469 134375902 /nfs/dbraw/zinc/37/59/02/134375902.db2.gz FGWHNYMTLSTDEZ-SNVBAGLBSA-N 0 3 244.338 2.892 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2ccc(Cl)cc2)O1 ZINC000148450329 134446627 /nfs/dbraw/zinc/44/66/27/134446627.db2.gz WFDHJTMWPWKSQM-MFKMUULPSA-N 0 3 239.746 2.997 20 0 BFADHN CC[C@@H](CO)N[C@@H]1CCCOc2ccc(C)cc21 ZINC000188674402 134533092 /nfs/dbraw/zinc/53/30/92/134533092.db2.gz OAFVJIKTOMAAAM-GXTWGEPZSA-N 0 3 249.354 2.569 20 0 BFADHN Cc1ccncc1CN[C@H](C)CC(F)(F)F ZINC000235455646 134592811 /nfs/dbraw/zinc/59/28/11/134592811.db2.gz XFLJYJXDMOSGQG-SECBINFHSA-N 0 3 232.249 2.821 20 0 BFADHN CCN(Cc1cccnc1OC)CC(C)C ZINC000193306750 134594247 /nfs/dbraw/zinc/59/42/47/134594247.db2.gz UOKCCQDPRSTCRQ-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN COc1ncccc1CN1CCC2(CCCC2)C1 ZINC000193364415 134595719 /nfs/dbraw/zinc/59/57/19/134595719.db2.gz IFIAHIQXCNDFEK-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CC[C@H](C)CN[C@@H](C)c1ccc(F)cn1 ZINC000134016550 134698794 /nfs/dbraw/zinc/69/87/94/134698794.db2.gz TWCKBFSTZSPKND-UWVGGRQHSA-N 0 3 210.296 2.917 20 0 BFADHN C[C@@H](NCCC(F)(F)F)c1ccncc1 ZINC000059313331 134789845 /nfs/dbraw/zinc/78/98/45/134789845.db2.gz AXUWMTXVVDIQFR-MRVPVSSYSA-N 0 3 218.222 2.685 20 0 BFADHN CCn1nccc1CN1C[C@H](C)CCC[C@H]1C ZINC000245738194 134864222 /nfs/dbraw/zinc/86/42/22/134864222.db2.gz LENPJBZNFXZKTM-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN CC[C@@H]1CC[C@H](NCc2nccs2)C1 ZINC000070643591 135002066 /nfs/dbraw/zinc/00/20/66/135002066.db2.gz MTBPUCQHTOARRL-ZJUUUORDSA-N 0 3 210.346 2.811 20 0 BFADHN COc1ccccc1[C@@H]1CCCN1C[C@H](C)OC ZINC000248405615 135005918 /nfs/dbraw/zinc/00/59/18/135005918.db2.gz ATEYCRAVKSZHHR-JSGCOSHPSA-N 0 3 249.354 2.867 20 0 BFADHN COc1ccc([C@@H](C)N(C)C[C@@H](C)OC)cc1 ZINC000248412406 135006267 /nfs/dbraw/zinc/00/62/67/135006267.db2.gz FIDFDXJOZUUDCE-VXGBXAGGSA-N 0 3 237.343 2.723 20 0 BFADHN CCc1nnc(CN2C[C@H](C)[C@@H]3CCCC[C@H]32)o1 ZINC000248433051 135008968 /nfs/dbraw/zinc/00/89/68/135008968.db2.gz QNWRGFDHSBSPJB-SDDRHHMPSA-N 0 3 249.358 2.643 20 0 BFADHN CO[C@H](C)CN1CCCC[C@H]1c1cccn1C ZINC000248431867 135009121 /nfs/dbraw/zinc/00/91/21/135009121.db2.gz QFFPXOVYAQHAOJ-OCCSQVGLSA-N 0 3 236.359 2.587 20 0 BFADHN CC[C@H](N[C@H]1CCO[C@H](C)C1)c1nccs1 ZINC000070717503 135009338 /nfs/dbraw/zinc/00/93/38/135009338.db2.gz VFLDWCMUNUCTIM-VWYCJHECSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1csc([C@H](C)NC[C@H]2CCCOC2)n1 ZINC000070732719 135010752 /nfs/dbraw/zinc/01/07/52/135010752.db2.gz MYBLDPHGGIMXIX-WDEREUQCSA-N 0 3 240.372 2.529 20 0 BFADHN COCC[C@H](C)N[C@@H](C)c1nc(C)cs1 ZINC000070732737 135010982 /nfs/dbraw/zinc/01/09/82/135010982.db2.gz MFFVHHZXLFBUEP-WPRPVWTQSA-N 0 3 228.361 2.527 20 0 BFADHN C[C@H](O)CN(Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000248658999 135018361 /nfs/dbraw/zinc/01/83/61/135018361.db2.gz URIWUPUVFIBYQX-MJVIPROJSA-N 0 3 249.354 2.748 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN1CCO[C@@H](C)C1 ZINC000249058054 135031974 /nfs/dbraw/zinc/03/19/74/135031974.db2.gz HGYIHUBEKNDNKF-MELADBBJSA-N 0 3 223.360 2.700 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CC[C@@H](C)O2)cc1 ZINC000249057990 135032146 /nfs/dbraw/zinc/03/21/46/135032146.db2.gz JDDHPXVKQSXPFO-HIFRSBDPSA-N 0 3 233.355 2.994 20 0 BFADHN C[C@H](CCc1ccccc1)N1CCO[C@@H](C)C1 ZINC000249768167 135045743 /nfs/dbraw/zinc/04/57/43/135045743.db2.gz QWIHLBGRBUGUCM-KGLIPLIRSA-N 0 3 233.355 2.728 20 0 BFADHN Cc1noc(CN2CCC[C@H]2C2CCCCC2)n1 ZINC000076749317 135048689 /nfs/dbraw/zinc/04/86/89/135048689.db2.gz SYLFHWCWTWPYTA-ZDUSSCGKSA-N 0 3 249.358 2.923 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@@H]3OCCC[C@H]23)o1 ZINC000250104532 135049852 /nfs/dbraw/zinc/04/98/52/135049852.db2.gz RVEOGWQLKQQDJJ-SFTQSGBHSA-N 0 3 235.327 2.806 20 0 BFADHN CCOC(=O)[C@H](CC)N(CC)C[C@H](C)CC ZINC000086437963 135084066 /nfs/dbraw/zinc/08/40/66/135084066.db2.gz IWHQFVRGGKWBGG-NEPJUHHUSA-N 0 3 229.364 2.696 20 0 BFADHN C[C@@H](CCN[C@@H](C)c1ccsc1)[S@](C)=O ZINC000252731950 135104837 /nfs/dbraw/zinc/10/48/37/135104837.db2.gz SITAKAGSTIOBFO-YHAQOWFVSA-N 0 3 245.413 2.556 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@H](C)c1ccccc1F ZINC000252746710 135106511 /nfs/dbraw/zinc/10/65/11/135106511.db2.gz BWCLXELSXAWGHV-OUAUKWLOSA-N 0 3 225.307 2.636 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@]2(C)CCCO2)o1 ZINC000087234037 135114187 /nfs/dbraw/zinc/11/41/87/135114187.db2.gz XUOUAZQHQUQOQA-WCQYABFASA-N 0 3 223.316 2.808 20 0 BFADHN COc1ccc(OC)c(CN2CC[C@H](C)C2)c1 ZINC000263707668 135128074 /nfs/dbraw/zinc/12/80/74/135128074.db2.gz MOIQUOXGRRWSDV-NSHDSACASA-N 0 3 235.327 2.546 20 0 BFADHN COC[C@H](C)N(C)C/C=C\c1ccccc1OC ZINC000255227989 135128550 /nfs/dbraw/zinc/12/85/50/135128550.db2.gz NPHRXVYKWMEXGK-JWJUJFCLSA-N 0 3 249.354 2.675 20 0 BFADHN C[C@@]1(O)CCCN(C/C=C\c2ccc(F)cc2)C1 ZINC000255885646 135130978 /nfs/dbraw/zinc/13/09/78/135130978.db2.gz IKSSZVIQTTVENE-NSRYLSIASA-N 0 3 249.329 2.686 20 0 BFADHN Cc1cc(NCC[C@H](C)O)c2ccccc2n1 ZINC000078771899 135150089 /nfs/dbraw/zinc/15/00/89/135150089.db2.gz FEEMADBJMRXVRZ-NSHDSACASA-N 0 3 230.311 2.726 20 0 BFADHN Cn1ccc(CN[C@H]2CCc3ccc(F)cc32)c1 ZINC000267029431 135150952 /nfs/dbraw/zinc/15/09/52/135150952.db2.gz AQVYGCPMQHRGBX-HNNXBMFYSA-N 0 3 244.313 2.941 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1CCCCS1 ZINC000087683408 135164696 /nfs/dbraw/zinc/16/46/96/135164696.db2.gz KUKLNOYVSKXEBK-NSHDSACASA-N 0 3 240.372 2.667 20 0 BFADHN COC[C@H](NCc1sc(C)nc1C)C(C)C ZINC000084148997 135187404 /nfs/dbraw/zinc/18/74/04/135187404.db2.gz GWSKVRFPKJBIIL-NSHDSACASA-N 0 3 242.388 2.521 20 0 BFADHN Cc1ncsc1CNCCc1ccsc1 ZINC000084149328 135187571 /nfs/dbraw/zinc/18/75/71/135187571.db2.gz IHDRGCAJQDQXDE-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN CC[C@@H](O)CN[C@H](CC)c1ccccc1OC ZINC000163913392 135208416 /nfs/dbraw/zinc/20/84/16/135208416.db2.gz LUGCOSDLOOFFJM-DGCLKSJQSA-N 0 3 237.343 2.507 20 0 BFADHN CC[C@H](C)[C@@](C)(O)CNCc1ccsc1 ZINC000163997157 135210373 /nfs/dbraw/zinc/21/03/73/135210373.db2.gz VXDRQXHMOBQATK-JQWIXIFHSA-N 0 3 227.373 2.635 20 0 BFADHN Cc1cc(CNCC2CCC(C)CC2)on1 ZINC000084339400 135210648 /nfs/dbraw/zinc/21/06/48/135210648.db2.gz NULQIDLAXSGQNI-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](O)[C@@H](C)CC)o1 ZINC000164006991 135212065 /nfs/dbraw/zinc/21/20/65/135212065.db2.gz KJLRBPWNFUVVQL-GMXVVIOVSA-N 0 3 239.359 2.900 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](O)[C@@H](C)CC)o1 ZINC000164006774 135212561 /nfs/dbraw/zinc/21/25/61/135212561.db2.gz KJLRBPWNFUVVQL-GVXVVHGQSA-N 0 3 239.359 2.900 20 0 BFADHN CCC[C@](C)(CO)NCc1ccc(Cl)o1 ZINC000164163371 135215234 /nfs/dbraw/zinc/21/52/34/135215234.db2.gz UERKZGAJAYFXPM-LLVKDONJSA-N 0 3 231.723 2.574 20 0 BFADHN COCc1nc(CNCCC(C)(C)C)cs1 ZINC000164419719 135253156 /nfs/dbraw/zinc/25/31/56/135253156.db2.gz RSXVIXHEBFULSD-UHFFFAOYSA-N 0 3 242.388 2.815 20 0 BFADHN CC[C@H](NCc1cn(C(C)C)nn1)C(C)(C)C ZINC000342229922 135253778 /nfs/dbraw/zinc/25/37/78/135253778.db2.gz LTOLEYJSTPQFAA-LBPRGKRZSA-N 0 3 238.379 2.773 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1CC[C@H](F)C1 ZINC000342306957 135258137 /nfs/dbraw/zinc/25/81/37/135258137.db2.gz WGMNVHURIVCRDO-STQMWFEESA-N 0 3 237.318 2.984 20 0 BFADHN C[C@H](c1cnccn1)N(C)C[C@H]1CC=CCC1 ZINC000342634091 135298876 /nfs/dbraw/zinc/29/88/76/135298876.db2.gz OEUIZLQKIZJWPR-OLZOCXBDSA-N 0 3 231.343 2.826 20 0 BFADHN CC/C=C\CCN[C@@H](CO)c1cccc(F)c1 ZINC000342642540 135300250 /nfs/dbraw/zinc/30/02/50/135300250.db2.gz QRTILYACUASDLX-NQHOJNORSA-N 0 3 237.318 2.805 20 0 BFADHN CCC1CC([NH2+][C@H](C)c2nnc(C(C)C)[n-]2)C1 ZINC000342696457 135305955 /nfs/dbraw/zinc/30/59/55/135305955.db2.gz JAMMFNVQMSTYQO-KPPDAEKUSA-N 0 3 236.363 2.767 20 0 BFADHN CCC1CC(NCc2cn3c(cccc3C)n2)C1 ZINC000342697978 135306752 /nfs/dbraw/zinc/30/67/52/135306752.db2.gz LTYZSHOIICEAFE-UHFFFAOYSA-N 0 3 243.354 2.921 20 0 BFADHN CCC(C)(C)CCN(C)[C@H](C)C[S@@](C)=O ZINC000342663663 135308283 /nfs/dbraw/zinc/30/82/83/135308283.db2.gz QYBZTDJSEODBHH-IAQYHMDHSA-N 0 3 233.421 2.512 20 0 BFADHN COC[C@H]1CCCCN(Cc2ccc(C)cn2)C1 ZINC000342748739 135311357 /nfs/dbraw/zinc/31/13/57/135311357.db2.gz KNSZLZPRVNQZSX-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN CCCN(CC)Cc1cn(C)nc1C(C)(C)C ZINC000342723263 135315121 /nfs/dbraw/zinc/31/51/21/135315121.db2.gz OOJUSCHOKZFNPH-UHFFFAOYSA-N 0 3 237.391 2.950 20 0 BFADHN CCC[C@](C)(N)C(=O)N1CCC[C@](C)(CC)C1 ZINC000262720974 135315187 /nfs/dbraw/zinc/31/51/87/135315187.db2.gz ZUCYKXMIRQWFBY-KBPBESRZSA-N 0 3 240.391 2.543 20 0 BFADHN CCC[C@](C)(N)C(=O)N1CCC[C@@](C)(CC)C1 ZINC000262720975 135315915 /nfs/dbraw/zinc/31/59/15/135315915.db2.gz ZUCYKXMIRQWFBY-KGLIPLIRSA-N 0 3 240.391 2.543 20 0 BFADHN C[C@H](CCC(C)(C)C)N(C)[C@H](C)C[S@](C)=O ZINC000342781869 135317528 /nfs/dbraw/zinc/31/75/28/135317528.db2.gz QYOSOUBVBCNIJX-HSMVNMDESA-N 0 3 247.448 2.900 20 0 BFADHN CCN(Cc1ncc(C)n1C)[C@H](C)C(C)C ZINC000342779565 135317788 /nfs/dbraw/zinc/31/77/88/135317788.db2.gz LWJPGUOTGRSGKL-GFCCVEGCSA-N 0 3 223.364 2.595 20 0 BFADHN C[C@H](CCC(C)(C)C)N(C)[C@H](C)C[S@@](C)=O ZINC000342781877 135317941 /nfs/dbraw/zinc/31/79/41/135317941.db2.gz QYOSOUBVBCNIJX-XHBSWPGZSA-N 0 3 247.448 2.900 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1ncc(C)n1C ZINC000342790435 135318548 /nfs/dbraw/zinc/31/85/48/135318548.db2.gz ZVGRLCWODSFBNB-CYBMUJFWSA-N 0 3 235.375 2.883 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCCC2(C)C)nn1C ZINC000334171056 135319599 /nfs/dbraw/zinc/31/95/99/135319599.db2.gz AAZBKXNJZLTGKY-DGCLKSJQSA-N 0 3 235.375 2.958 20 0 BFADHN CCCN(Cc1ncc(C)n1C)CC(C)C ZINC000342801873 135321585 /nfs/dbraw/zinc/32/15/85/135321585.db2.gz ZSRDJKXDMVTEBU-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN Cc1cc(C)nc(N[C@@H](C)C2CCOCC2)c1 ZINC000334226631 135330707 /nfs/dbraw/zinc/33/07/07/135330707.db2.gz ZYOKMPKJJXIKPN-LBPRGKRZSA-N 0 3 234.343 2.925 20 0 BFADHN CC(C)(C)OC(=O)CN1CCC[C@H]1C1CCC1 ZINC000343003956 135343730 /nfs/dbraw/zinc/34/37/30/135343730.db2.gz FIDVAKBDSLNCAI-LBPRGKRZSA-N 0 3 239.359 2.593 20 0 BFADHN CC(C)N1CCN([C@@H]2/C=C/CCCCC2)CC1 ZINC000334301097 135347016 /nfs/dbraw/zinc/34/70/16/135347016.db2.gz WNVWCRAYRGMJBT-PMGBHYCQSA-N 0 3 236.403 2.901 20 0 BFADHN C[C@H](c1ccccn1)N(C)C[C@@H]1CCCC[C@H]1O ZINC000272486245 135366171 /nfs/dbraw/zinc/36/61/71/135366171.db2.gz HCZKXHBLKDCURW-VNHYZAJKSA-N 0 3 248.370 2.626 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cscn1 ZINC000334351298 135368778 /nfs/dbraw/zinc/36/87/78/135368778.db2.gz GJCMELJXTFDYHF-GXSJLCMTSA-N 0 3 210.346 2.764 20 0 BFADHN Cc1csc([C@@H](C)NCCc2ccncc2)n1 ZINC000080311554 135369075 /nfs/dbraw/zinc/36/90/75/135369075.db2.gz IECIOZDWWZLWHX-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C)[C@H](O)C2)cc1C ZINC000299987929 135383973 /nfs/dbraw/zinc/38/39/73/135383973.db2.gz BNVBHPAISSCXPQ-IUODEOHRSA-N 0 3 233.355 2.506 20 0 BFADHN CC(C)(C)CCNCc1cccc2c1OCCO2 ZINC000077326267 135392124 /nfs/dbraw/zinc/39/21/24/135392124.db2.gz VCCVFTOVDOZEDW-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN CC[C@@H](COC)NCc1cc(C)ccc1OC ZINC000079733431 135397191 /nfs/dbraw/zinc/39/71/91/135397191.db2.gz JLBYRRJKQYBZEL-ZDUSSCGKSA-N 0 3 237.343 2.518 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1ccccc1Cl ZINC000164562116 135397812 /nfs/dbraw/zinc/39/78/12/135397812.db2.gz CYKZZVRYYPHHKV-UWVGGRQHSA-N 0 3 227.735 2.589 20 0 BFADHN C[C@@H](N[C@H]1COC(C)(C)C1)c1cccc(O)c1 ZINC000334924674 135404987 /nfs/dbraw/zinc/40/49/87/135404987.db2.gz NVAHASKFRZYSIJ-ZYHUDNBSSA-N 0 3 235.327 2.610 20 0 BFADHN Cc1ncc(CNC2[C@@H](C)CCC[C@@H]2C)o1 ZINC000335276286 135410804 /nfs/dbraw/zinc/41/08/04/135410804.db2.gz DQQSDMMJRAPSHI-UWVGGRQHSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@@H](C)C2)cc1C ZINC000335664304 135421506 /nfs/dbraw/zinc/42/15/06/135421506.db2.gz QJWMXQBMZJVAOV-GXTWGEPZSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1ccc(F)c(CN[C@H](CCO)C(C)C)c1 ZINC000230690244 135551057 /nfs/dbraw/zinc/55/10/57/135551057.db2.gz ZEIJAALIDBWYMF-CQSZACIVSA-N 0 3 239.334 2.631 20 0 BFADHN CCn1nc(C)c(CN2CCC(C)(C)C2)c1C ZINC000093339849 135595257 /nfs/dbraw/zinc/59/52/57/135595257.db2.gz NJVQZSBYWNBPLP-UHFFFAOYSA-N 0 3 235.375 2.752 20 0 BFADHN COc1cncc(CN2CC[C@H](C(C)(C)C)C2)c1 ZINC000343876413 135706759 /nfs/dbraw/zinc/70/67/59/135706759.db2.gz YWWVOIRUPSAPOE-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN CCC1CCC([NH2+]Cc2cnc(C)[n-]c2=O)CC1 ZINC000344331410 135762728 /nfs/dbraw/zinc/76/27/28/135762728.db2.gz XGSOOWZORQIBTD-UHFFFAOYSA-N 0 3 249.358 2.549 20 0 BFADHN C[C@H](NC1(c2ccc(F)cc2)CC1)[C@H]1CCCO1 ZINC000346785551 135973533 /nfs/dbraw/zinc/97/35/33/135973533.db2.gz CIVQKMYTIBFWNB-SMDDNHRTSA-N 0 3 249.329 2.972 20 0 BFADHN Cc1cnn(C)c1CN([C@@H](C)C(C)C)C1CC1 ZINC000347644522 136000020 /nfs/dbraw/zinc/00/00/20/136000020.db2.gz VOGJDUGCTKUDCP-LBPRGKRZSA-N 0 3 235.375 2.737 20 0 BFADHN CCN(Cc1c(C)cnn1C)C1CCCCC1 ZINC000347654555 136001574 /nfs/dbraw/zinc/00/15/74/136001574.db2.gz PNJBLALSSXNDCG-UHFFFAOYSA-N 0 3 235.375 2.883 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@@H](CC(C)C)C1 ZINC000347784653 136009566 /nfs/dbraw/zinc/00/95/66/136009566.db2.gz PBVZFMGUXTUIMP-ZDUSSCGKSA-N 0 3 235.375 2.597 20 0 BFADHN CCc1ccc(CN(C)CCC2CC2)cn1 ZINC000347911947 136015901 /nfs/dbraw/zinc/01/59/01/136015901.db2.gz LGXZOKHZYNJENY-UHFFFAOYSA-N 0 3 218.344 2.876 20 0 BFADHN Cc1nc([C@@H]2CCCN(C3CCCC3)C2)no1 ZINC000367014249 136016329 /nfs/dbraw/zinc/01/63/29/136016329.db2.gz URDLDGKYHWKIMX-LLVKDONJSA-N 0 3 235.331 2.500 20 0 BFADHN Cc1ccncc1CCCN1CC[C@@](C)(F)C1 ZINC000347914639 136018285 /nfs/dbraw/zinc/01/82/85/136018285.db2.gz HMGAAPUBQHOSFK-CQSZACIVSA-N 0 3 236.334 2.757 20 0 BFADHN COc1c(O)cccc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000335315971 136024740 /nfs/dbraw/zinc/02/47/40/136024740.db2.gz MRANUQFHLFMWOY-TXEJJXNPSA-N 0 3 247.338 2.633 20 0 BFADHN C[C@H]1CN(Cc2ccc(Cl)cn2)C[C@H]1C ZINC000335309045 136028126 /nfs/dbraw/zinc/02/81/26/136028126.db2.gz HKGJVDJQJAHLMJ-AOOOYVTPSA-N 0 3 224.735 2.823 20 0 BFADHN Cc1ccncc1[C@H](C)NCCOC(F)(F)F ZINC000348042046 136028989 /nfs/dbraw/zinc/02/89/89/136028989.db2.gz GAGKYEYABSEUEQ-VIFPVBQESA-N 0 3 248.248 2.577 20 0 BFADHN CC[C@H]1CCCCCN1Cc1cnc(C)nc1 ZINC000335319187 136033427 /nfs/dbraw/zinc/03/34/27/136033427.db2.gz AJDATRXBGUTBIK-AWEZNQCLSA-N 0 3 233.359 2.940 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1ccc(OC)cc1OC ZINC000348103072 136036619 /nfs/dbraw/zinc/03/66/19/136036619.db2.gz LPOCUNOPRGOTRF-RISCZKNCSA-N 0 3 249.354 2.982 20 0 BFADHN C/C(=C/c1ccccc1)CN(C)[C@@H]1CCOC1 ZINC000348152673 136040412 /nfs/dbraw/zinc/04/04/12/136040412.db2.gz QQTVGBYKBSLLCD-VSKPTYQZSA-N 0 3 231.339 2.811 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1C[C@H]1C(F)F ZINC000348164239 136041496 /nfs/dbraw/zinc/04/14/96/136041496.db2.gz WVUYANAYKUTWEE-IQJOONFLSA-N 0 3 226.270 2.694 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1C[C@@H]1C(F)F ZINC000348164237 136041532 /nfs/dbraw/zinc/04/15/32/136041532.db2.gz WVUYANAYKUTWEE-ATZCPNFKSA-N 0 3 226.270 2.694 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@@H]2C(F)F)c1 ZINC000348187268 136041569 /nfs/dbraw/zinc/04/15/69/136041569.db2.gz PQLQJGZGZKTANN-WDEREUQCSA-N 0 3 211.255 2.738 20 0 BFADHN C[C@H]1C[C@H](C)N1C/C=C/c1ccncc1 ZINC000335337396 136044608 /nfs/dbraw/zinc/04/46/08/136044608.db2.gz XDLMXTOEPKUYGF-QSKOYVBTSA-N 0 3 202.301 2.578 20 0 BFADHN C[C@@H]1C[C@@H](C)N1C/C=C/c1ccncc1 ZINC000335337395 136044620 /nfs/dbraw/zinc/04/46/20/136044620.db2.gz XDLMXTOEPKUYGF-BLDJZWNYSA-N 0 3 202.301 2.578 20 0 BFADHN Fc1cccc(CN[C@H]2C[C@H]2C(F)F)c1 ZINC000348215212 136046295 /nfs/dbraw/zinc/04/62/95/136046295.db2.gz FBCDUZQJTNIVEO-ZJUUUORDSA-N 0 3 215.218 2.569 20 0 BFADHN FC(F)[C@@H]1C[C@H]1N[C@@H]1CCCc2occc21 ZINC000348223856 136047771 /nfs/dbraw/zinc/04/77/71/136047771.db2.gz MNAGBQBCITXGBF-OPRDCNLKSA-N 0 3 227.254 2.900 20 0 BFADHN Fc1ccc(CN[C@@H]2C[C@H]2C(F)F)cc1 ZINC000348225234 136048030 /nfs/dbraw/zinc/04/80/30/136048030.db2.gz SXDQXQMWBWNYIA-NXEZZACHSA-N 0 3 215.218 2.569 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H]2C(F)F)ccc1F ZINC000348192740 136049802 /nfs/dbraw/zinc/04/98/02/136049802.db2.gz YKIIJSFNXMNVEV-GXSJLCMTSA-N 0 3 229.245 2.877 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]2C(F)F)cc1 ZINC000348193067 136050051 /nfs/dbraw/zinc/05/00/51/136050051.db2.gz ZQRJWGPNTZONNC-GHMZBOCLSA-N 0 3 211.255 2.738 20 0 BFADHN Cc1cnc(CN2C[C@H](C)CC(C)(C)C2)cn1 ZINC000335326081 136051881 /nfs/dbraw/zinc/05/18/81/136051881.db2.gz VEFLUCDKUQWHSR-LLVKDONJSA-N 0 3 233.359 2.653 20 0 BFADHN COc1cccc(CN2CCC[C@@](C)(OC)C2)c1 ZINC000348237537 136061247 /nfs/dbraw/zinc/06/12/47/136061247.db2.gz NHTIVDWVYKSAIH-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN CCc1ccc(CN2CCCO[C@H](CC)C2)cn1 ZINC000348288939 136062538 /nfs/dbraw/zinc/06/25/38/136062538.db2.gz HJZJVJFEMXCREG-OAHLLOKOSA-N 0 3 248.370 2.645 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@H]2C[C@H]2C(F)F)cn1 ZINC000348300279 136063241 /nfs/dbraw/zinc/06/32/41/136063241.db2.gz ITJRAOSOBNISNJ-GDPRMGEGSA-N 0 3 243.301 2.768 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1C[C@H]1C(F)F ZINC000348300874 136063588 /nfs/dbraw/zinc/06/35/88/136063588.db2.gz IBKZUYMFFZVWPK-GDPRMGEGSA-N 0 3 241.281 2.999 20 0 BFADHN Cc1nccc(CN2CCC[C@@H]3CCCC[C@H]32)n1 ZINC000335347031 136066910 /nfs/dbraw/zinc/06/69/10/136066910.db2.gz XJVHUNNEVASIJA-DZGCQCFKSA-N 0 3 245.370 2.940 20 0 BFADHN CCN(Cc1cccc(C)c1)[C@H]1CCOC1 ZINC000348363551 136072528 /nfs/dbraw/zinc/07/25/28/136072528.db2.gz RVNJHWCBKRUSQU-AWEZNQCLSA-N 0 3 219.328 2.606 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](CO)c1cccc(F)c1 ZINC000348375551 136073817 /nfs/dbraw/zinc/07/38/17/136073817.db2.gz KTXGODWEOVFDOH-DDTOSNHZSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CC[C@@H](F)C2)c(C)n1 ZINC000348410218 136077326 /nfs/dbraw/zinc/07/73/26/136077326.db2.gz NUQVFKUILOKJFE-MVWJERBFSA-N 0 3 237.322 2.635 20 0 BFADHN CC[C@@H]1CC[C@H]1[NH2+][C@@H](C)c1nnc(C(C)C)[n-]1 ZINC000348416988 136077922 /nfs/dbraw/zinc/07/79/22/136077922.db2.gz RNJNDPRGJBBHPM-HBNTYKKESA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](C)c1nnc(C(C)C)[nH]1 ZINC000348416988 136077923 /nfs/dbraw/zinc/07/79/23/136077923.db2.gz RNJNDPRGJBBHPM-HBNTYKKESA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1cn2cccc(C)c2n1 ZINC000348386116 136079281 /nfs/dbraw/zinc/07/92/81/136079281.db2.gz JKYZZPZFXFNQBN-JSGCOSHPSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1cnc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)o1 ZINC000335367931 136082001 /nfs/dbraw/zinc/08/20/01/136082001.db2.gz CEURAOZXECMVBA-RYUDHWBXSA-N 0 3 234.343 2.994 20 0 BFADHN Cc1cnc(CCN2CCC=C(C)C2)c(C)c1 ZINC000335366984 136082049 /nfs/dbraw/zinc/08/20/49/136082049.db2.gz QIQVHFVVBYQZFC-UHFFFAOYSA-N 0 3 230.355 2.893 20 0 BFADHN Cc1csc(CN[C@@H]2CCC[C@@H](F)C2)n1 ZINC000335370881 136083318 /nfs/dbraw/zinc/08/33/18/136083318.db2.gz AUETUCGZAMSKRK-NXEZZACHSA-N 0 3 228.336 2.822 20 0 BFADHN Cc1nc(CN[C@H]2CCC[C@H](F)C2)cs1 ZINC000335372425 136084119 /nfs/dbraw/zinc/08/41/19/136084119.db2.gz KWPBZCODSBFPJU-UWVGGRQHSA-N 0 3 228.336 2.822 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCC[C@@H](F)C1 ZINC000335373386 136084487 /nfs/dbraw/zinc/08/44/87/136084487.db2.gz SAWVETQBQJZTON-MNOVXSKESA-N 0 3 226.295 2.662 20 0 BFADHN Cc1ccc(CN[C@H]2CCC[C@H](F)C2)nc1 ZINC000335374716 136085309 /nfs/dbraw/zinc/08/53/09/136085309.db2.gz ZNCUEKIPHISRGU-RYUDHWBXSA-N 0 3 222.307 2.760 20 0 BFADHN Cc1nc2ccccn2c1CNC1CC(C)(F)C1 ZINC000335377061 136085784 /nfs/dbraw/zinc/08/57/84/136085784.db2.gz ZYXMQWAGKMOZEQ-UHFFFAOYSA-N 0 3 247.317 2.623 20 0 BFADHN Fc1cncc(CN[C@H]2CCC[C@@H](F)C2)c1 ZINC000335384434 136088632 /nfs/dbraw/zinc/08/86/32/136088632.db2.gz FWCPDYVVKQIWEZ-PWSUYJOCSA-N 0 3 226.270 2.591 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CCC[C@H](F)C2)c1 ZINC000335384888 136088698 /nfs/dbraw/zinc/08/86/98/136088698.db2.gz HGHNHTBNAKJXGH-JQWIXIFHSA-N 0 3 241.281 2.902 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc3ccc(F)cc3[nH]2)CO1 ZINC000335390825 136090749 /nfs/dbraw/zinc/09/07/49/136090749.db2.gz BDGQBEBRHHLEST-NOZJJQNGSA-N 0 3 248.301 2.574 20 0 BFADHN Cc1ccc2cc(CN3CC(C)C3)ccc2n1 ZINC000335400543 136094187 /nfs/dbraw/zinc/09/41/87/136094187.db2.gz PIXQHOQHVQWSDR-UHFFFAOYSA-N 0 3 226.323 2.995 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2ccnc(C)n2)C1 ZINC000335590093 136103037 /nfs/dbraw/zinc/10/30/37/136103037.db2.gz CWICUXNAWSHSNC-WCQYABFASA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ncc(CNC2CC3(CCC3)C2)s1 ZINC000335599997 136114410 /nfs/dbraw/zinc/11/44/10/136114410.db2.gz KXBHMLMJGXLVDS-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCCC[C@@H]1c1cc[nH]n1 ZINC000348709324 136117055 /nfs/dbraw/zinc/11/70/55/136117055.db2.gz MGDXCMMTCXEMLR-NQBHXWOUSA-N 0 3 219.332 2.593 20 0 BFADHN CC[C@@H](Cc1ccc(C)cc1)NCCF ZINC000281088734 397751736 /nfs/dbraw/zinc/75/17/36/397751736.db2.gz PUPIMNJGVGDXQJ-ZDUSSCGKSA-N 0 3 209.308 2.875 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1(C)C)c1ccc(F)cc1 ZINC000164051581 397791431 /nfs/dbraw/zinc/79/14/31/397791431.db2.gz ZTECHTVIVKPRRH-TVYUQYBPSA-N 0 3 237.318 2.636 20 0 BFADHN CCOCc1cc(CN(C)CC)ccc1OC ZINC000179948483 397854582 /nfs/dbraw/zinc/85/45/82/397854582.db2.gz BQOGRGUAAMEFCQ-UHFFFAOYSA-N 0 3 237.343 2.683 20 0 BFADHN CC[C@H](O)CN(CC)Cc1cccc(Cl)c1 ZINC000106382969 397855823 /nfs/dbraw/zinc/85/58/23/397855823.db2.gz MSCCJTKLQFZMQS-ZDUSSCGKSA-N 0 3 241.762 2.933 20 0 BFADHN CCN(CC)C[C@@H](O)c1ccc(F)cc1Cl ZINC000184907448 397870018 /nfs/dbraw/zinc/87/00/18/397870018.db2.gz QGXFXJRZJCRLMH-GFCCVEGCSA-N 0 3 245.725 2.854 20 0 BFADHN Cc1coc(SCCN2CCC(C)CC2)n1 ZINC000188445747 397883511 /nfs/dbraw/zinc/88/35/11/397883511.db2.gz BKYCEHWURWOWKA-UHFFFAOYSA-N 0 3 240.372 2.807 20 0 BFADHN CC[C@H]1CCCN(Cc2n[nH]cc2C)CC1 ZINC000356115528 397928653 /nfs/dbraw/zinc/92/86/53/397928653.db2.gz SSOORZRHNSDBFD-LBPRGKRZSA-N 0 3 221.348 2.730 20 0 BFADHN COc1cccc2c1CN(CCC1CC1)CC2 ZINC000650108551 397928939 /nfs/dbraw/zinc/92/89/39/397928939.db2.gz FMLPEWKUVAHSGW-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN C[C@@H]1CCC[C@H](C)N(Cc2cc3n(n2)CCC3)C1 ZINC000649539702 397931425 /nfs/dbraw/zinc/93/14/25/397931425.db2.gz DEOAYAMWOQWPTN-OLZOCXBDSA-N 0 3 247.386 2.840 20 0 BFADHN CC[C@H](F)CN[C@H](C)[C@H]1COc2ccccc21 ZINC000440529908 397932455 /nfs/dbraw/zinc/93/24/55/397932455.db2.gz XGJKXANBCPVNFP-NTZNESFSSA-N 0 3 237.318 2.889 20 0 BFADHN COc1cccc2c1CN(CCCCF)CC2 ZINC000650109695 397932468 /nfs/dbraw/zinc/93/24/68/397932468.db2.gz DQFGFBFLKPGFIK-UHFFFAOYSA-N 0 3 237.318 2.803 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1cnccn1 ZINC000650132531 397938858 /nfs/dbraw/zinc/93/88/58/397938858.db2.gz UQPXGKOKMIMZKY-SDDRHHMPSA-N 0 3 233.359 2.952 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)[C@H](C)C2)nn1C ZINC000414441335 397939624 /nfs/dbraw/zinc/93/96/24/397939624.db2.gz ZYOXMDBGCARSRA-NQBHXWOUSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)[C@@H](C)C2)nn1C ZINC000414441333 397939960 /nfs/dbraw/zinc/93/99/60/397939960.db2.gz ZYOXMDBGCARSRA-GMXVVIOVSA-N 0 3 235.375 2.643 20 0 BFADHN C[C@@H](COCC1CC1)N[C@@H](C)c1cccnc1 ZINC000650135578 397940666 /nfs/dbraw/zinc/94/06/66/397940666.db2.gz WALUKODFTBTTNP-RYUDHWBXSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@H](COCC1CC1)N[C@@H](C)c1cccnc1 ZINC000650135576 397941056 /nfs/dbraw/zinc/94/10/56/397941056.db2.gz WALUKODFTBTTNP-NEPJUHHUSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)C[C@@H](C)N1CCO[C@@H](C2CC2)C1 ZINC000445542401 397943975 /nfs/dbraw/zinc/94/39/75/397943975.db2.gz XGMOYWDPXLLVSM-DGCLKSJQSA-N 0 3 211.349 2.532 20 0 BFADHN CC(C)[C@@H](C)C[NH2+]Cc1cc([O-])cc(F)c1 ZINC000159604257 397948447 /nfs/dbraw/zinc/94/84/47/397948447.db2.gz QMPXFAAPIGFMPB-JTQLQIEISA-N 0 3 225.307 2.913 20 0 BFADHN Cc1ccsc1CCN[C@H](C)c1cnccn1 ZINC000359314382 397951242 /nfs/dbraw/zinc/95/12/42/397951242.db2.gz DDGWMUGDLSOOQP-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1n[nH]cc1CN1C[C@H](C(C)C)[C@@H]1C(C)C ZINC000449556594 397956444 /nfs/dbraw/zinc/95/64/44/397956444.db2.gz SJONUAZHCMFAMD-KGLIPLIRSA-N 0 3 235.375 2.831 20 0 BFADHN C[C@@H]1CCCC[C@@H]1NCc1cc2n(n1)CCCC2 ZINC000649583745 397967974 /nfs/dbraw/zinc/96/79/74/397967974.db2.gz YAGIYRAGAJYEOC-DOMZBBRYSA-N 0 3 247.386 2.888 20 0 BFADHN C[C@@H]1CCCC[C@H]1NCc1cc2n(n1)CCCC2 ZINC000649583746 397968071 /nfs/dbraw/zinc/96/80/71/397968071.db2.gz YAGIYRAGAJYEOC-IUODEOHRSA-N 0 3 247.386 2.888 20 0 BFADHN CSC[C@H](C)NCc1cc(F)cc(F)c1 ZINC000162793816 397958353 /nfs/dbraw/zinc/95/83/53/397958353.db2.gz YPXIJMJAJMMXSX-QMMMGPOBSA-N 0 3 231.311 2.806 20 0 BFADHN CCc1ccc(CNCCc2ccncc2C)o1 ZINC000270013232 397959481 /nfs/dbraw/zinc/95/94/81/397959481.db2.gz UZIFGPWVGNSLAN-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccc(C)nc2C)CC1 ZINC000364338711 397894864 /nfs/dbraw/zinc/89/48/64/397894864.db2.gz BNJMOWSEUOYQPX-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN c1c(CN(C2CC2)C2CCCC2)nn2c1CCC2 ZINC000649522993 397915524 /nfs/dbraw/zinc/91/55/24/397915524.db2.gz CAJLFRKWLDJPMX-UHFFFAOYSA-N 0 3 245.370 2.736 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)[C@H]2CC[C@@H](C)C2)n1 ZINC000433045781 397916608 /nfs/dbraw/zinc/91/66/08/397916608.db2.gz RUGHRSIKCJSPQL-PWSUYJOCSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)[C@H]2CC[C@@H](C)C2)n1 ZINC000433045781 397916613 /nfs/dbraw/zinc/91/66/13/397916613.db2.gz RUGHRSIKCJSPQL-PWSUYJOCSA-N 0 3 234.343 2.716 20 0 BFADHN CC[C@H](NCc1ccon1)C1CCCC1 ZINC000387583426 397919019 /nfs/dbraw/zinc/91/90/19/397919019.db2.gz QMQIEWPTNRZGQC-LBPRGKRZSA-N 0 3 208.305 2.733 20 0 BFADHN CC[C@@H](NCc1ccon1)C1CCCC1 ZINC000387583424 397919091 /nfs/dbraw/zinc/91/90/91/397919091.db2.gz QMQIEWPTNRZGQC-GFCCVEGCSA-N 0 3 208.305 2.733 20 0 BFADHN Cc1nc(C)c(CNCC2CCCC2)o1 ZINC000382201314 397921417 /nfs/dbraw/zinc/92/14/17/397921417.db2.gz GDSFRKGNZBWKKJ-UHFFFAOYSA-N 0 3 208.305 2.571 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cc2n(n1)CCCC2 ZINC000649573023 397964586 /nfs/dbraw/zinc/96/45/86/397964586.db2.gz QDSIHSSWHQFRAX-OCCSQVGLSA-N 0 3 247.386 2.982 20 0 BFADHN CC(C)(C)[C@@H]1CCCN1Cc1ccc(CO)o1 ZINC000271159704 397964640 /nfs/dbraw/zinc/96/46/40/397964640.db2.gz PJFHWLYLBDKBSC-ZDUSSCGKSA-N 0 3 237.343 2.782 20 0 BFADHN C[C@@H](NCCCOc1ccccc1)c1cn[nH]c1 ZINC000274032904 398001290 /nfs/dbraw/zinc/00/12/90/398001290.db2.gz MOUAEPFNIFIZRB-GFCCVEGCSA-N 0 3 245.326 2.529 20 0 BFADHN Cc1nnsc1CNC[C@H]1CCCC1(C)C ZINC000388817599 398001354 /nfs/dbraw/zinc/00/13/54/398001354.db2.gz ATVMLRFIOSCSNU-SNVBAGLBSA-N 0 3 239.388 2.762 20 0 BFADHN c1coc(CN2CCC([C@H]3CCOC3)CC2)c1 ZINC000372930064 398008451 /nfs/dbraw/zinc/00/84/51/398008451.db2.gz QWDHGNSQULFCTR-ZDUSSCGKSA-N 0 3 235.327 2.528 20 0 BFADHN COC(=O)c1ccc(CN2CCCC23CCC3)o1 ZINC000373104626 398012739 /nfs/dbraw/zinc/01/27/39/398012739.db2.gz QDLKNZKYRMOHMQ-UHFFFAOYSA-N 0 3 249.310 2.585 20 0 BFADHN CCCCOCCN1CCC[C@H](OC(C)C)C1 ZINC000649699180 398047950 /nfs/dbraw/zinc/04/79/50/398047950.db2.gz KNGSBOBKDYNWDF-AWEZNQCLSA-N 0 3 243.391 2.693 20 0 BFADHN c1cncc(CN2CCC23CCCC3)c1 ZINC000649656172 398024408 /nfs/dbraw/zinc/02/44/08/398024408.db2.gz BUYWBUMTHGZJBQ-UHFFFAOYSA-N 0 3 202.301 2.600 20 0 BFADHN Cc1ccnc(CN2CCC23CCCC3)c1 ZINC000649657601 398025881 /nfs/dbraw/zinc/02/58/81/398025881.db2.gz GPUDOMZMNYPTKJ-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN c1cc(CN2CCC23CCCC3)ccn1 ZINC000649656733 398027212 /nfs/dbraw/zinc/02/72/12/398027212.db2.gz ZVZWMHCHJPXVSN-UHFFFAOYSA-N 0 3 202.301 2.600 20 0 BFADHN CCOc1ccc(CNC2CC(F)(F)C2)cc1 ZINC000277367018 398028976 /nfs/dbraw/zinc/02/89/76/398028976.db2.gz BIRHQJCBYKXMTP-UHFFFAOYSA-N 0 3 241.281 2.973 20 0 BFADHN Cc1cccc(CN2CCC(C3(O)CC3)CC2)c1 ZINC000649675179 398032619 /nfs/dbraw/zinc/03/26/19/398032619.db2.gz PVKNHQPGPCTORT-UHFFFAOYSA-N 0 3 245.366 2.732 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1cc2ccc(F)cc2[nH]1 ZINC000580398206 398035143 /nfs/dbraw/zinc/03/51/43/398035143.db2.gz LSTBCWLQAKLRML-KGLIPLIRSA-N 0 3 248.301 2.574 20 0 BFADHN Cc1csc(CN[C@@H]2C[C@H](C)[C@@H]2C)n1 ZINC000307103753 398040995 /nfs/dbraw/zinc/04/09/95/398040995.db2.gz WSZWGYUYVJFDSN-UJNFCWOMSA-N 0 3 210.346 2.586 20 0 BFADHN CC(C)(C)[C@@H]1CCN(Cc2cc3n(n2)CCC3)C1 ZINC000649582627 397970830 /nfs/dbraw/zinc/97/08/30/397970830.db2.gz LRPSMNFWAGVLKR-GFCCVEGCSA-N 0 3 247.386 2.697 20 0 BFADHN CCO[C@@H]1CCN([C@@H](C)c2cccnc2)C[C@@H]1C ZINC000451546429 397974926 /nfs/dbraw/zinc/97/49/26/397974926.db2.gz SBNWIDQEDORKCK-KCQAQPDRSA-N 0 3 248.370 2.890 20 0 BFADHN CCO[C@@H]1CCN([C@H](C)c2cccnc2)C[C@@H]1C ZINC000451546427 397974965 /nfs/dbraw/zinc/97/49/65/397974965.db2.gz SBNWIDQEDORKCK-GZBFAFLISA-N 0 3 248.370 2.890 20 0 BFADHN c1coc([C@@H]2CCN(Cc3ccncc3)C2)c1 ZINC000369618574 397983833 /nfs/dbraw/zinc/98/38/33/397983833.db2.gz IMZQFDHGVMBUSP-CYBMUJFWSA-N 0 3 228.295 2.664 20 0 BFADHN CN(Cc1cc2n(n1)CCC2)[C@H]1CCC(C)(C)C1 ZINC000649596377 397984530 /nfs/dbraw/zinc/98/45/30/397984530.db2.gz UBNMCJDZLGBOFH-AWEZNQCLSA-N 0 3 247.386 2.840 20 0 BFADHN Cc1nnsc1CN[C@H]1CC[C@H](C)CC1 ZINC000389618714 397986250 /nfs/dbraw/zinc/98/62/50/397986250.db2.gz HRNATBSBZPAUNG-CZMCAQCFSA-N 0 3 225.361 2.515 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cnc(OC)s1 ZINC000388585495 397986262 /nfs/dbraw/zinc/98/62/62/397986262.db2.gz HSAMHWBGJQESBF-MWLCHTKSSA-N 0 3 240.372 2.820 20 0 BFADHN COC(=O)C1(N(C)CCC2CCC2)CCCC1 ZINC000595384211 397988845 /nfs/dbraw/zinc/98/88/45/397988845.db2.gz UCQCSVDBKVDSEO-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN CCC[C@@H](NCc1cn(C)cn1)C(C)(C)C ZINC000649767754 398092376 /nfs/dbraw/zinc/09/23/76/398092376.db2.gz ADZCPEXFWIBCGQ-GFCCVEGCSA-N 0 3 223.364 2.725 20 0 BFADHN CC[C@H]1CN(CC=C(C)C)[C@@H](CC)CO1 ZINC000649719745 398062266 /nfs/dbraw/zinc/06/22/66/398062266.db2.gz WOWLBMLMTJRSGJ-STQMWFEESA-N 0 3 211.349 2.842 20 0 BFADHN CC[C@H]1CN(CCCCF)[C@@H](CC)CO1 ZINC000649719883 398062368 /nfs/dbraw/zinc/06/23/68/398062368.db2.gz YYYNEEAJKLKXJP-RYUDHWBXSA-N 0 3 217.328 2.626 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000649717253 398062609 /nfs/dbraw/zinc/06/26/09/398062609.db2.gz YGCDYXNLEWWAKA-GFQSEFKGSA-N 0 3 234.343 2.607 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000649717252 398063024 /nfs/dbraw/zinc/06/30/24/398063024.db2.gz YGCDYXNLEWWAKA-CIQGVGRVSA-N 0 3 234.343 2.607 20 0 BFADHN CCN(CC)CCN[C@H](C)c1cc(C)c(C)o1 ZINC000282253506 398067007 /nfs/dbraw/zinc/06/70/07/398067007.db2.gz QOEAYFSNRIZMAF-GFCCVEGCSA-N 0 3 238.375 2.889 20 0 BFADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1ccccn1 ZINC000088169782 398075113 /nfs/dbraw/zinc/07/51/13/398075113.db2.gz ISAWXBIVOISDLV-GMTAPVOTSA-N 0 3 222.357 2.626 20 0 BFADHN CC[C@@H]1CN(CCCc2ccncc2)[C@H](C)CO1 ZINC000649735231 398076510 /nfs/dbraw/zinc/07/65/10/398076510.db2.gz HWRFZBACSOMDIS-UKRRQHHQSA-N 0 3 248.370 2.514 20 0 BFADHN Cc1cncc(CN2CCC[C@H]2[C@H]2CCCO2)c1 ZINC000284951209 398078190 /nfs/dbraw/zinc/07/81/90/398078190.db2.gz WZKXOHZEZRCCFH-LSDHHAIUSA-N 0 3 246.354 2.533 20 0 BFADHN CC[C@@H]1CN(CC2(C)CCC2)[C@@H](C)CO1 ZINC000649736697 398078710 /nfs/dbraw/zinc/07/87/10/398078710.db2.gz HGSVSXZTJMINAN-NWDGAFQWSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1c[nH]nc1CN(C)C1CCC(F)(F)CC1 ZINC000291555643 398094631 /nfs/dbraw/zinc/09/46/31/398094631.db2.gz MOWMLVBPASDDCM-UHFFFAOYSA-N 0 3 243.301 2.728 20 0 BFADHN CCN[C@H](C)C(=O)N(CC)c1cc(C)cc(C)c1 ZINC000637942239 398050891 /nfs/dbraw/zinc/05/08/91/398050891.db2.gz JPSXWHNGRYWTDI-CYBMUJFWSA-N 0 3 248.370 2.654 20 0 BFADHN CCCCOCCN1CC(C)(C)OC[C@@H]1CC ZINC000649715709 398056535 /nfs/dbraw/zinc/05/65/35/398056535.db2.gz KEMKKUUJVKMISA-ZDUSSCGKSA-N 0 3 243.391 2.693 20 0 BFADHN CCc1ccc(CNC[C@H](O)c2ccccc2)o1 ZINC000051722243 398057032 /nfs/dbraw/zinc/05/70/32/398057032.db2.gz SZRILXWCMMNLAL-HNNXBMFYSA-N 0 3 245.322 2.665 20 0 BFADHN CCc1ccc(CNCCc2ccc(O)cc2)o1 ZINC000051724725 398058390 /nfs/dbraw/zinc/05/83/90/398058390.db2.gz UJYLIPARICAVJO-UHFFFAOYSA-N 0 3 245.322 2.880 20 0 BFADHN FC1(F)CC12CCN(C[C@H]1CCCCO1)CC2 ZINC000649776843 398098281 /nfs/dbraw/zinc/09/82/81/398098281.db2.gz ZCPMJUYMSNHONJ-LLVKDONJSA-N 0 3 245.313 2.677 20 0 BFADHN c1coc(CNCCC[C@@H]2CCCCO2)c1 ZINC000068991701 398099181 /nfs/dbraw/zinc/09/91/81/398099181.db2.gz YZIIMRRKXPNFHM-LBPRGKRZSA-N 0 3 223.316 2.719 20 0 BFADHN C[C@@H](N[C@@H]1C=CCCC1)c1ccccn1 ZINC000090393070 398099450 /nfs/dbraw/zinc/09/94/50/398099450.db2.gz XFXZPDOTXBNAPU-VXGBXAGGSA-N 0 3 202.301 2.841 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](C)O[C@H]1C)c1cccc(O)c1 ZINC000384655078 398101407 /nfs/dbraw/zinc/10/14/07/398101407.db2.gz UZYLPMKFSFHVHE-PUHVVEEASA-N 0 3 235.327 2.609 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](C)O[C@@H]1C)c1cccc(O)c1 ZINC000384655070 398101478 /nfs/dbraw/zinc/10/14/78/398101478.db2.gz UZYLPMKFSFHVHE-BIAAXOCRSA-N 0 3 235.327 2.609 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc2c(c1)OCCO2 ZINC000384793016 398108936 /nfs/dbraw/zinc/10/89/36/398108936.db2.gz VXRHHUZFUOWHSP-BYCRGOAPSA-N 0 3 233.311 2.685 20 0 BFADHN CCCC1(CNCc2cc(C)no2)CC1 ZINC000309058259 398107522 /nfs/dbraw/zinc/10/75/22/398107522.db2.gz UNTQZTVCNMWIRT-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CCCn1cc(CN2C[C@@H]3CCC[C@H]3C2)cn1 ZINC000126917554 398097190 /nfs/dbraw/zinc/09/71/90/398097190.db2.gz ZAAUFIDKHPIDKG-KBPBESRZSA-N 0 3 233.359 2.525 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC3(CC3(F)F)CC2)O1 ZINC000649773849 398097556 /nfs/dbraw/zinc/09/75/56/398097556.db2.gz ILURGLHLYVFUGQ-MNOVXSKESA-N 0 3 245.313 2.675 20 0 BFADHN Cc1cncc(CN2CCCO[C@H](C(C)C)C2)c1 ZINC000293234398 398115543 /nfs/dbraw/zinc/11/55/43/398115543.db2.gz SKJAAMVZNCZHQM-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN Fc1cnccc1CNC1(C2CCC2)CC1 ZINC000649811475 398116050 /nfs/dbraw/zinc/11/60/50/398116050.db2.gz TWFROACMHVWZTF-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN Cc1cc(CNCC(C)(C)C(C)C)on1 ZINC000389594481 398116091 /nfs/dbraw/zinc/11/60/91/398116091.db2.gz OKDANPKNGHRABV-UHFFFAOYSA-N 0 3 210.321 2.755 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1cc(C)no1 ZINC000389684390 398121571 /nfs/dbraw/zinc/12/15/71/398121571.db2.gz GAUHLIRZHXVQGJ-UWVGGRQHSA-N 0 3 210.321 2.897 20 0 BFADHN Fc1ccc2oc(CN[C@H]3CCCOC3)cc2c1 ZINC000237725727 398112597 /nfs/dbraw/zinc/11/25/97/398112597.db2.gz OYSYFKKFEMINCX-LBPRGKRZSA-N 0 3 249.285 2.841 20 0 BFADHN CCCCCN1C[C@@H](C)OCC12CCC2 ZINC000649821764 398123605 /nfs/dbraw/zinc/12/36/05/398123605.db2.gz PUIWETLRDHLDLA-GFCCVEGCSA-N 0 3 211.349 2.820 20 0 BFADHN Cc1ncc(CN[C@H](C)C2CCCC2)o1 ZINC000397916957 398124638 /nfs/dbraw/zinc/12/46/38/398124638.db2.gz KYHIPHRBKSTWCM-SECBINFHSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@@](C)(O)CN1CC(C)(C)[C@H]1c1ccncc1 ZINC000644855248 398124872 /nfs/dbraw/zinc/12/48/72/398124872.db2.gz ZJBBDPUIIKBYSS-UKRRQHHQSA-N 0 3 248.370 2.626 20 0 BFADHN Cc1cccc([C@H](NCc2cn[nH]n2)C(C)C)c1 ZINC000528770278 398125922 /nfs/dbraw/zinc/12/59/22/398125922.db2.gz QCTCFHVXDQSMIE-CQSZACIVSA-N 0 3 244.342 2.600 20 0 BFADHN Cc1cccc([C@H](NCc2c[nH]nn2)C(C)C)c1 ZINC000528770278 398125924 /nfs/dbraw/zinc/12/59/24/398125924.db2.gz QCTCFHVXDQSMIE-CQSZACIVSA-N 0 3 244.342 2.600 20 0 BFADHN Cc1ccc([C@H](C)NCc2cncn2C)cc1C ZINC000263840348 398126148 /nfs/dbraw/zinc/12/61/48/398126148.db2.gz GNJGJWBGVQHIHN-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cccc([C@@H](NCc2cn[nH]n2)C(C)C)c1 ZINC000528770275 398126168 /nfs/dbraw/zinc/12/61/68/398126168.db2.gz QCTCFHVXDQSMIE-AWEZNQCLSA-N 0 3 244.342 2.600 20 0 BFADHN Cc1cccc([C@@H](NCc2c[nH]nn2)C(C)C)c1 ZINC000528770275 398126175 /nfs/dbraw/zinc/12/61/75/398126175.db2.gz QCTCFHVXDQSMIE-AWEZNQCLSA-N 0 3 244.342 2.600 20 0 BFADHN Cc1cccc([C@H](NCC2OCCO2)C(C)C)c1 ZINC000528770636 398126421 /nfs/dbraw/zinc/12/64/21/398126421.db2.gz RESMLOOUQLEHAF-OAHLLOKOSA-N 0 3 249.354 2.655 20 0 BFADHN C[C@H]1CN([C@H]2C=CCCC2)C2(CCC2)CO1 ZINC000649824558 398127036 /nfs/dbraw/zinc/12/70/36/398127036.db2.gz GCMLIVMLZUNFAG-STQMWFEESA-N 0 3 221.344 2.739 20 0 BFADHN C[C@H](F)CCN1CCN(C)C2(CCCCC2)C1 ZINC000571937298 398127303 /nfs/dbraw/zinc/12/73/03/398127303.db2.gz RGLGATJIMLCGEF-ZDUSSCGKSA-N 0 3 242.382 2.685 20 0 BFADHN Cc1cccc(CN[C@H](C)[C@H]2C[C@@H]2C)n1 ZINC000321019470 398132605 /nfs/dbraw/zinc/13/26/05/398132605.db2.gz BEAFMWRGBZBXGS-NDMJEZRESA-N 0 3 204.317 2.524 20 0 BFADHN C[C@@H]1CN(Cc2ccon2)CCc2ccccc21 ZINC000649834093 398133477 /nfs/dbraw/zinc/13/34/77/398133477.db2.gz CFXPNKMNEKSFAE-GFCCVEGCSA-N 0 3 242.322 2.836 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@H]2CCSC2)c1 ZINC000286342949 398133860 /nfs/dbraw/zinc/13/38/60/398133860.db2.gz YXYCZGHBAQREBY-MNOVXSKESA-N 0 3 222.357 2.546 20 0 BFADHN CC(C)CN[C@@H]1COc2ccc(F)cc21 ZINC000336759720 167011649 /nfs/dbraw/zinc/01/16/49/167011649.db2.gz LNJKRJTUPBZCKS-LLVKDONJSA-N 0 3 209.264 2.505 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ccc(CO)o2)C[C@@H]1C ZINC000085039834 322866255 /nfs/dbraw/zinc/86/62/55/322866255.db2.gz LDQUTMDDUMVZBV-GRYCIOLGSA-N 0 3 237.343 2.686 20 0 BFADHN C[C@H](NCCOCC(F)(F)F)c1ccoc1 ZINC000087290260 322876323 /nfs/dbraw/zinc/87/63/23/322876323.db2.gz WAMQFVSZHOBCEA-QMMMGPOBSA-N 0 3 237.221 2.509 20 0 BFADHN CCCCOCCN[C@@H](C)c1ccoc1 ZINC000087289966 322876800 /nfs/dbraw/zinc/87/68/00/322876800.db2.gz YWDUSKSTANRVEX-NSHDSACASA-N 0 3 211.305 2.747 20 0 BFADHN C[C@H](NCC1(O)CCCCC1)c1ccoc1 ZINC000087290409 322878700 /nfs/dbraw/zinc/87/87/00/322878700.db2.gz CCOQTZBTAMVXBP-NSHDSACASA-N 0 3 223.316 2.625 20 0 BFADHN c1c(CNC2CCCCC2)nn2c1CCCC2 ZINC000655703296 487557415 /nfs/dbraw/zinc/55/74/15/487557415.db2.gz DTXRYYDFBLANFX-UHFFFAOYSA-N 0 3 233.359 2.642 20 0 BFADHN Cc1nc(C)c(CN2CC(C)=C[C@@H](C)C2)o1 ZINC000685580625 487558298 /nfs/dbraw/zinc/55/82/98/487558298.db2.gz FVVFSXZFGPEYRB-SECBINFHSA-N 0 3 220.316 2.689 20 0 BFADHN Cc1cc(CN(C)C[C@@H](O)C2CC2)c(C)s1 ZINC000674314158 487559139 /nfs/dbraw/zinc/55/91/39/487559139.db2.gz ZUIMEWDPQDMWLI-CYBMUJFWSA-N 0 3 239.384 2.568 20 0 BFADHN C[C@H](NCc1nc2ccccc2n1C)C1CCC1 ZINC000119643724 487558775 /nfs/dbraw/zinc/55/87/75/487558775.db2.gz ZMHICTCRPQRGIG-NSHDSACASA-N 0 3 243.354 2.852 20 0 BFADHN C[C@@H]1CSCCN1CCCCCF ZINC000308302072 176351749 /nfs/dbraw/zinc/35/17/49/176351749.db2.gz MIYIUUOAARTMAR-SNVBAGLBSA-N 0 3 205.342 2.564 20 0 BFADHN CCC[C@@H](NCc1[nH]ncc1C)c1ccccn1 ZINC000685615200 487564421 /nfs/dbraw/zinc/56/44/21/487564421.db2.gz XMSUAKWNMYUTSR-GFCCVEGCSA-N 0 3 244.342 2.744 20 0 BFADHN Cc1ccoc1CN1CCC([C@@H]2CCOC2)CC1 ZINC000680332956 487566126 /nfs/dbraw/zinc/56/61/26/487566126.db2.gz FQWXZRARNGOTTJ-CQSZACIVSA-N 0 3 249.354 2.837 20 0 BFADHN CCCN(CCC)Cc1ccnn1CCC ZINC000667472430 487566803 /nfs/dbraw/zinc/56/68/03/487566803.db2.gz HRMSHRBGZOJBRG-UHFFFAOYSA-N 0 3 223.364 2.915 20 0 BFADHN CCc1ccc(CN2CC(C3CCOCC3)C2)o1 ZINC000669715402 487567204 /nfs/dbraw/zinc/56/72/04/487567204.db2.gz JQIPOMHXETVLLG-UHFFFAOYSA-N 0 3 249.354 2.700 20 0 BFADHN CCc1nn(C)cc1CN1C[C@@H](C)CC(C)(C)C1 ZINC000680334485 487567231 /nfs/dbraw/zinc/56/72/31/487567231.db2.gz WPTAKZRWYKSYNV-LBPRGKRZSA-N 0 3 249.402 2.851 20 0 BFADHN Cc1ccc([C@@H](C)NCC2(CO)CCC2)c(C)n1 ZINC000680354305 487573187 /nfs/dbraw/zinc/57/31/87/487573187.db2.gz BGXYUQNWNNHIGM-GFCCVEGCSA-N 0 3 248.370 2.512 20 0 BFADHN CC(C)OCCCCN[C@@H](C)c1cscn1 ZINC000134826674 167206878 /nfs/dbraw/zinc/20/68/78/167206878.db2.gz NUFHAZKUSUONRE-NSHDSACASA-N 0 3 242.388 2.999 20 0 BFADHN C[C@@H](O)[C@@H](NCc1ccccc1)c1ccccc1 ZINC000169528428 487576766 /nfs/dbraw/zinc/57/67/66/487576766.db2.gz QXHBVBACWVEXFG-CZUORRHYSA-N 0 3 241.334 2.898 20 0 BFADHN CC(C)OCCCN(C)[C@H](C)c1ccccn1 ZINC000177268295 167214081 /nfs/dbraw/zinc/21/40/81/167214081.db2.gz QKLQKRCZJWDBKL-CYBMUJFWSA-N 0 3 236.359 2.890 20 0 BFADHN C[C@H](N[C@@H]1CCS[C@H]1C)c1ccccn1 ZINC000220628051 490068011 /nfs/dbraw/zinc/06/80/11/490068011.db2.gz UKSFOXQIPXXMMY-JBLDHEPKSA-N 0 3 222.357 2.626 20 0 BFADHN CC(C)OCCCNC(C)(C)c1nccs1 ZINC000173416498 167220526 /nfs/dbraw/zinc/22/05/26/167220526.db2.gz NRRWJUYMLHSAOS-UHFFFAOYSA-N 0 3 242.388 2.783 20 0 BFADHN CC[C@@H](NCc1ncccc1F)C(C)C ZINC000090721769 322907147 /nfs/dbraw/zinc/90/71/47/322907147.db2.gz HIXPNYKKEVPDBW-LLVKDONJSA-N 0 3 210.296 2.745 20 0 BFADHN CC(C)n1cncc1CN(C)C[C@H]1CC1(C)C ZINC000668814531 487582457 /nfs/dbraw/zinc/58/24/57/487582457.db2.gz MWGNZSKELFGXBI-GFCCVEGCSA-N 0 3 235.375 2.942 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cccnc1)C1CCCC1 ZINC000658313743 487583976 /nfs/dbraw/zinc/58/39/76/487583976.db2.gz FLKOTARSOMEVLH-WFASDCNBSA-N 0 3 248.370 2.937 20 0 BFADHN CCn1nc(C)c(CN(C)C[C@H]2CC2(C)C)c1C ZINC000668815871 487585543 /nfs/dbraw/zinc/58/55/43/487585543.db2.gz XXMOTIVCYFJMKV-CYBMUJFWSA-N 0 3 249.402 2.998 20 0 BFADHN CN(Cc1cc2ccccc2[nH]1)C[C@H]1CCCO1 ZINC000674505404 487589540 /nfs/dbraw/zinc/58/95/40/487589540.db2.gz FZINCZKPLNQMRC-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN COCCCN(C)Cc1cc2ccccc2[nH]1 ZINC000674505588 487589653 /nfs/dbraw/zinc/58/96/53/487589653.db2.gz OKCDRBBVYKIMGD-UHFFFAOYSA-N 0 3 232.327 2.636 20 0 BFADHN COC[C@H](C)NCc1cccc(C2CC2)c1 ZINC000087011742 487597659 /nfs/dbraw/zinc/59/76/59/487597659.db2.gz LCPLMKDMJAGNRU-NSHDSACASA-N 0 3 219.328 2.689 20 0 BFADHN Cc1ccc([C@@H](C)NCCOC(C)C)c(C)n1 ZINC000680470852 487601640 /nfs/dbraw/zinc/60/16/40/487601640.db2.gz ZMJMFXGBTRHAJL-GFCCVEGCSA-N 0 3 236.359 2.774 20 0 BFADHN CCC(CC)N(Cc1cncnc1)C1CC1 ZINC000535081310 487604629 /nfs/dbraw/zinc/60/46/29/487604629.db2.gz RDCFBDJRCVYRTK-UHFFFAOYSA-N 0 3 219.332 2.630 20 0 BFADHN CC(C)SCCN(C)[C@H](C)c1cnn(C)c1 ZINC000338289672 167322991 /nfs/dbraw/zinc/32/29/91/167322991.db2.gz JOUKSVIQBDYNPK-LLVKDONJSA-N 0 3 241.404 2.555 20 0 BFADHN CC(C)SCCN1CCS[C@H](C)[C@H]1C ZINC000336680732 167326992 /nfs/dbraw/zinc/32/69/92/167326992.db2.gz GSVXQKGAJCINBW-GHMZBOCLSA-N 0 3 233.446 2.954 20 0 BFADHN Cn1ccc(CN2CCC3(CC3(F)F)CC2)c1 ZINC000651953560 409575178 /nfs/dbraw/zinc/57/51/78/409575178.db2.gz LZRQVNIUHQCFBW-UHFFFAOYSA-N 0 3 240.297 2.646 20 0 BFADHN Cc1ncccc1CN(C)C[C@H]1CC1(C)C ZINC000662343157 409655452 /nfs/dbraw/zinc/65/54/52/409655452.db2.gz IDGXFHGJDIHRMM-CYBMUJFWSA-N 0 3 218.344 2.868 20 0 BFADHN CCS[C@@H]1CCC[C@@H]1NCc1cncs1 ZINC000233993554 409668703 /nfs/dbraw/zinc/66/87/03/409668703.db2.gz QZOYOWPGRDNPJX-WDEREUQCSA-N 0 3 242.413 2.907 20 0 BFADHN CC12CC(C1)CN2CCc1cccc(F)c1F ZINC000662385186 409687006 /nfs/dbraw/zinc/68/70/06/409687006.db2.gz MNWNYMIDRMXURQ-UHFFFAOYSA-N 0 3 237.293 2.992 20 0 BFADHN CC(C)SCCN[C@H](CO)c1ccsc1 ZINC000336758941 167331863 /nfs/dbraw/zinc/33/18/63/167331863.db2.gz KZQHJIYFUCIGKL-LLVKDONJSA-N 0 3 245.413 2.513 20 0 BFADHN CC[C@@H](CN[C@H](C)c1ccn(C)n1)CC(F)F ZINC000660780681 409739643 /nfs/dbraw/zinc/73/96/43/409739643.db2.gz ONXROSNNFVOYHA-NXEZZACHSA-N 0 3 245.317 2.752 20 0 BFADHN CCc1nc([C@H](C)N2CC(C)(C)C[C@@H]2C)n[nH]1 ZINC000660806285 409773340 /nfs/dbraw/zinc/77/33/40/409773340.db2.gz RVZJILFUIPIVKQ-UWVGGRQHSA-N 0 3 236.363 2.549 20 0 BFADHN CCc1ncc(CN[C@H]2CCS[C@@H]2C)s1 ZINC000308909273 409937500 /nfs/dbraw/zinc/93/75/00/409937500.db2.gz FNHFPYFOFNDPIX-SCZZXKLOSA-N 0 3 242.413 2.689 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1ccc(F)cn1 ZINC000090725940 410008839 /nfs/dbraw/zinc/00/88/39/410008839.db2.gz GEMHJXOXJGXBKC-BDAKNGLRSA-N 0 3 228.336 2.623 20 0 BFADHN Cc1ccc(CN[C@@H]2CCOC(C)(C)C2)o1 ZINC000069890919 410012798 /nfs/dbraw/zinc/01/27/98/410012798.db2.gz VCKLJMIOZWIMEN-LLVKDONJSA-N 0 3 223.316 2.635 20 0 BFADHN COc1ccc(CN(C)[C@@H](C)C(C)(C)C)cn1 ZINC000674751790 487611876 /nfs/dbraw/zinc/61/18/76/487611876.db2.gz TYTXHRHRDNRFGC-NSHDSACASA-N 0 3 236.359 2.957 20 0 BFADHN C[C@@H](CN(C)Cc1cn[nH]c1)c1ccccc1 ZINC000674753539 487612966 /nfs/dbraw/zinc/61/29/66/487612966.db2.gz WSZDEDZMBGXRKC-LBPRGKRZSA-N 0 3 229.327 2.645 20 0 BFADHN CSc1ccc(CNCCOCCF)s1 ZINC000663341476 410147239 /nfs/dbraw/zinc/14/72/39/410147239.db2.gz PKEZKMBZSBCLGW-UHFFFAOYSA-N 0 3 249.376 2.546 20 0 BFADHN C[C@H](COCC1CC1)NCc1ccsc1 ZINC000651981559 410120512 /nfs/dbraw/zinc/12/05/12/410120512.db2.gz RKHAKBMRMQZAIM-SNVBAGLBSA-N 0 3 225.357 2.653 20 0 BFADHN C[C@@H](COCC1CC1)NCc1ccsc1 ZINC000651981558 410120747 /nfs/dbraw/zinc/12/07/47/410120747.db2.gz RKHAKBMRMQZAIM-JTQLQIEISA-N 0 3 225.357 2.653 20 0 BFADHN C[C@@H](COCC1CC1)NCc1cccc(F)c1 ZINC000651981713 410121642 /nfs/dbraw/zinc/12/16/42/410121642.db2.gz ZBIPWAUBONAFKR-NSHDSACASA-N 0 3 237.318 2.730 20 0 BFADHN Cc1sccc1CN[C@@H](C)COCC1CC1 ZINC000651983910 410134227 /nfs/dbraw/zinc/13/42/27/410134227.db2.gz NTWTWSAYGRIPJZ-JTQLQIEISA-N 0 3 239.384 2.961 20 0 BFADHN CC(C)=CCCn1cc2c(n1)[C@H](N)CCC2 ZINC000663337418 410138308 /nfs/dbraw/zinc/13/83/08/410138308.db2.gz XDHFBXLLHLVRKN-GFCCVEGCSA-N 0 3 219.332 2.576 20 0 BFADHN CC[C@H](c1ccccc1)N(CC)C(=O)[C@H](C)NC ZINC000655426271 410241965 /nfs/dbraw/zinc/24/19/65/410241965.db2.gz GBRMLVYVEZUBCC-GXTWGEPZSA-N 0 3 248.370 2.594 20 0 BFADHN CC[C@@H](C)N(CC)CC(=O)N1CCCC[C@@H]1C ZINC000661106704 410251843 /nfs/dbraw/zinc/25/18/43/410251843.db2.gz NOOFQRNZMOHKCF-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H](C)N(CC)CC(=O)N1CCCC[C@H]1C ZINC000661106703 410252097 /nfs/dbraw/zinc/25/20/97/410252097.db2.gz NOOFQRNZMOHKCF-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN c1cc(CN[C@H]2CNc3ccccc3C2)cs1 ZINC000650716607 410351364 /nfs/dbraw/zinc/35/13/64/410351364.db2.gz DIJIXCLDAFIGPG-CYBMUJFWSA-N 0 3 244.363 2.875 20 0 BFADHN CC[C@@H](CN1CCC(c2ccco2)CC1)OC ZINC000661161092 410312957 /nfs/dbraw/zinc/31/29/57/410312957.db2.gz KOMCCCNDKJHKBL-ZDUSSCGKSA-N 0 3 237.343 2.884 20 0 BFADHN C[C@@H](N[C@@H]1CNc2ccccc2C1)c1ccoc1 ZINC000650750702 410392109 /nfs/dbraw/zinc/39/21/09/410392109.db2.gz JWMPIIPFWCBYEI-RISCZKNCSA-N 0 3 242.322 2.967 20 0 BFADHN OCCCCN1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661445688 410662608 /nfs/dbraw/zinc/66/26/08/410662608.db2.gz JXEZOAAYJJZGEO-CQSZACIVSA-N 0 3 249.354 2.969 20 0 BFADHN CO[C@H](CN1CC[C@H]1c1ccc(F)cc1)C1CC1 ZINC000661505468 410715900 /nfs/dbraw/zinc/71/59/00/410715900.db2.gz AAXHILFFWFWPPZ-LSDHHAIUSA-N 0 3 249.329 2.998 20 0 BFADHN Fc1ccc([C@@H]2CCN2C[C@H]2CCOC2)cc1 ZINC000661507780 410716910 /nfs/dbraw/zinc/71/69/10/410716910.db2.gz BNQYRGSIZFVMDQ-RISCZKNCSA-N 0 3 235.302 2.609 20 0 BFADHN CCC(C)(C)OCCNCc1ccc(O)cc1 ZINC000308545456 410720487 /nfs/dbraw/zinc/72/04/87/410720487.db2.gz OBTYTHXYNDGKMV-UHFFFAOYSA-N 0 3 237.343 2.687 20 0 BFADHN CCOCCCN1CC[C@@H]1c1ccc(F)cc1 ZINC000661514570 410722506 /nfs/dbraw/zinc/72/25/06/410722506.db2.gz YVDSLLZTKBHKCX-CQSZACIVSA-N 0 3 237.318 2.999 20 0 BFADHN Cc1nc(C)c(CN2CC(C)(C)C[C@H]2C)o1 ZINC000665221914 410724461 /nfs/dbraw/zinc/72/44/61/410724461.db2.gz RPZGETDIGKSLGN-SECBINFHSA-N 0 3 222.332 2.912 20 0 BFADHN C[C@@H](c1ccncc1)N1CCOCC2(CCC2)C1 ZINC000680582496 487618499 /nfs/dbraw/zinc/61/84/99/487618499.db2.gz AOXBUIZCRLCYDC-ZDUSSCGKSA-N 0 3 246.354 2.645 20 0 BFADHN Cc1cc([C@@H](C)NC2CSC2)ccc1F ZINC000393734275 410758027 /nfs/dbraw/zinc/75/80/27/410758027.db2.gz ARCGAILDVYWAQX-SECBINFHSA-N 0 3 225.332 2.900 20 0 BFADHN C[C@@H](NCc1ccon1)[C@@H]1CC2CCC1CC2 ZINC000394247097 410781440 /nfs/dbraw/zinc/78/14/40/410781440.db2.gz XLCQUZIFWLVOFD-NPZBDFSRSA-N 0 3 234.343 2.979 20 0 BFADHN CC(C)CC[C@@H](N[C@H]1C[C@@H](O)C1)c1ccoc1 ZINC000295961129 166895860 /nfs/dbraw/zinc/89/58/60/166895860.db2.gz NBAHGYLCTQJNDH-HZSPNIEDSA-N 0 3 237.343 2.870 20 0 BFADHN CC(C)CC[C@H](C)NC(=O)C1(N)CCCCC1 ZINC000036135609 166900566 /nfs/dbraw/zinc/90/05/66/166900566.db2.gz RCDLYBKWVMTHJL-LBPRGKRZSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1cnc(CNC[C@@H]2Cc3ccccc32)s1 ZINC000394739354 410819722 /nfs/dbraw/zinc/81/97/22/410819722.db2.gz WRQPQKDXLHYAIO-LBPRGKRZSA-N 0 3 244.363 2.881 20 0 BFADHN CC(C)CN[C@H](C)c1c(F)cncc1F ZINC000285427353 167013487 /nfs/dbraw/zinc/01/34/87/167013487.db2.gz HEDYMUDLQLPNFC-MRVPVSSYSA-N 0 3 214.259 2.666 20 0 BFADHN COc1ccc([C@H](C)N(C)Cc2cnc[nH]2)cc1 ZINC000179937524 410910045 /nfs/dbraw/zinc/91/00/45/410910045.db2.gz NLTUICGSZAHFTQ-NSHDSACASA-N 0 3 245.326 2.611 20 0 BFADHN CC(C)OC1CC(N[C@@H](C)c2cccnc2)C1 ZINC000185059381 167176331 /nfs/dbraw/zinc/17/63/31/167176331.db2.gz KEZCKKNXMWFTFA-XGNXJENSSA-N 0 3 234.343 2.688 20 0 BFADHN CC(C)OCCCN[C@H](C)c1ccc(F)cn1 ZINC000092195518 167223436 /nfs/dbraw/zinc/22/34/36/167223436.db2.gz ZVRBMOADKIRJFG-LLVKDONJSA-N 0 3 240.322 2.686 20 0 BFADHN CC(C)OCCCN[C@@H](C)c1nccs1 ZINC000083142561 167224336 /nfs/dbraw/zinc/22/43/36/167224336.db2.gz GRLKREXJXVHGBC-JTQLQIEISA-N 0 3 228.361 2.609 20 0 BFADHN CC(C)OCCNC/C=C\c1ccccc1 ZINC000309078376 167242473 /nfs/dbraw/zinc/24/24/73/167242473.db2.gz BIIWTKYKMKCJQX-TWGQIWQCSA-N 0 3 219.328 2.714 20 0 BFADHN CC(C)OCCN[C@@H](C)c1ccc2c(c1)COC2 ZINC000273368056 167244319 /nfs/dbraw/zinc/24/43/19/167244319.db2.gz AFMGUQZALABELH-LBPRGKRZSA-N 0 3 249.354 2.792 20 0 BFADHN CC(C)OCCN[C@@H]1CCCc2occc21 ZINC000158944082 167244983 /nfs/dbraw/zinc/24/49/83/167244983.db2.gz UUQBVIBAAAHXGU-GFCCVEGCSA-N 0 3 223.316 2.672 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H]1c2ccccc2O[C@H]1C ZINC000342437186 167259972 /nfs/dbraw/zinc/25/99/72/167259972.db2.gz NYMZVOMMYGWEKG-TYNCELHUSA-N 0 3 249.354 2.912 20 0 BFADHN CC(C)OC[C@H](C)NCc1cccc(F)c1 ZINC000309322765 167265225 /nfs/dbraw/zinc/26/52/25/167265225.db2.gz FNEIHQLGFHCVJR-NSHDSACASA-N 0 3 225.307 2.729 20 0 BFADHN CC(C)OC[C@H](C)NCc1ccc2c(c1)COC2 ZINC000337279372 167266235 /nfs/dbraw/zinc/26/62/35/167266235.db2.gz GODMKULIACUJFP-LBPRGKRZSA-N 0 3 249.354 2.620 20 0 BFADHN CC(C)Oc1ccc([C@@H](C)N[C@H](C)CO)cc1 ZINC000035602801 167298497 /nfs/dbraw/zinc/29/84/97/167298497.db2.gz FXNMQCLNLKCGKH-VXGBXAGGSA-N 0 3 237.343 2.505 20 0 BFADHN CC(C)Oc1cccc([C@H](C)N[C@@H]2CCOC2)c1 ZINC000104060879 167308342 /nfs/dbraw/zinc/30/83/42/167308342.db2.gz DKRSTGLGDYNPJP-GXTWGEPZSA-N 0 3 249.354 2.913 20 0 BFADHN CC(C)Oc1cccc([C@@H](C)NC[C@H](C)O)c1 ZINC000192779500 167309088 /nfs/dbraw/zinc/30/90/88/167309088.db2.gz ITJLZEWFXKCQNX-NWDGAFQWSA-N 0 3 237.343 2.505 20 0 BFADHN CC(C)SCCN(C)CCc1ccccn1 ZINC000283260162 167321153 /nfs/dbraw/zinc/32/11/53/167321153.db2.gz VZJMMLSOFRMPOA-UHFFFAOYSA-N 0 3 238.400 2.698 20 0 BFADHN CC(C)[C@@H](C)C(=O)Nc1ccc2c(c1)CNCC2 ZINC000070125608 167353749 /nfs/dbraw/zinc/35/37/49/167353749.db2.gz XVJNADZKIBIJOW-LLVKDONJSA-N 0 3 246.354 2.563 20 0 BFADHN CC(C)[C@@H](C)N(C)C(=O)Nc1cccc(CN)c1 ZINC000036788225 167363648 /nfs/dbraw/zinc/36/36/48/167363648.db2.gz YGFMEFGOXMEJPX-LLVKDONJSA-N 0 3 249.358 2.654 20 0 BFADHN CC(C)[C@@H](C)N(C)CC(=O)N(C)c1ccccc1 ZINC000298415557 167366636 /nfs/dbraw/zinc/36/66/36/167366636.db2.gz KHASNTXHJLGLQT-CYBMUJFWSA-N 0 3 248.370 2.626 20 0 BFADHN CC(C)[C@@H](C)NCc1nc2ccccc2n1C ZINC000066970566 167384138 /nfs/dbraw/zinc/38/41/38/167384138.db2.gz VQLIBSWYCOBDSS-LLVKDONJSA-N 0 3 231.343 2.707 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NCC1=CCCCC1 ZINC000651373004 410958088 /nfs/dbraw/zinc/95/80/88/410958088.db2.gz CNGJINSMVZZTLD-SNVBAGLBSA-N 0 3 219.332 2.869 20 0 BFADHN CC(C)[C@@H](O)CNCc1ccc(Cl)s1 ZINC000123936948 167455664 /nfs/dbraw/zinc/45/56/64/167455664.db2.gz MRZBAEUGNBCMTM-VIFPVBQESA-N 0 3 233.764 2.508 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCOC2(C)C)c(C)n1 ZINC000651397315 410977559 /nfs/dbraw/zinc/97/75/59/410977559.db2.gz GUFBEUMRZZHRJP-TZMCWYRMSA-N 0 3 248.370 2.917 20 0 BFADHN CC(C)[C@H]1C[C@@H](NCc2ccco2)CCO1 ZINC000069855708 167498209 /nfs/dbraw/zinc/49/82/09/167498209.db2.gz DWOKAAOECXAZEI-WCQYABFASA-N 0 3 223.316 2.573 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2COCC2(C)C)c(C)n1 ZINC000651463739 411047824 /nfs/dbraw/zinc/04/78/24/411047824.db2.gz XIMKNFSGTRDFBI-TZMCWYRMSA-N 0 3 248.370 2.774 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2COCC2(C)C)s1 ZINC000651463746 411047836 /nfs/dbraw/zinc/04/78/36/411047836.db2.gz ZTCJHPRNLJCBIE-KWQFWETISA-N 0 3 240.372 2.527 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2COCC2(C)C)c(C)n1 ZINC000651463736 411048772 /nfs/dbraw/zinc/04/87/72/411048772.db2.gz XIMKNFSGTRDFBI-GXTWGEPZSA-N 0 3 248.370 2.774 20 0 BFADHN CCC(C)(C)OCCN[C@H](C)c1c[nH]nc1C ZINC000651498979 411073824 /nfs/dbraw/zinc/07/38/24/411073824.db2.gz SYWKSNKIKITQKK-SNVBAGLBSA-N 0 3 239.363 2.574 20 0 BFADHN C[C@H](N[C@@H](CO)CCF)c1ccc(Cl)cc1 ZINC000652024780 411080976 /nfs/dbraw/zinc/08/09/76/411080976.db2.gz LSBSPMLLVRSTGM-JOYOIKCWSA-N 0 3 245.725 2.711 20 0 BFADHN c1c2cnccc2oc1CN1C2CCC1CC2 ZINC000651611036 411129855 /nfs/dbraw/zinc/12/98/55/411129855.db2.gz FQKBIPOBZBQIOY-UHFFFAOYSA-N 0 3 228.295 2.955 20 0 BFADHN CN(C/C=C\c1ccccc1)CC1=CCCOC1 ZINC000661656925 411133244 /nfs/dbraw/zinc/13/32/44/411133244.db2.gz LAQMSLFHZJQUSX-UITAMQMPSA-N 0 3 243.350 2.978 20 0 BFADHN COC[C@H](CC(C)(C)C)NCc1ccco1 ZINC000651667072 411133505 /nfs/dbraw/zinc/13/35/05/411133505.db2.gz XCZWBQHUVGECJQ-NSHDSACASA-N 0 3 225.332 2.820 20 0 BFADHN CSC1(CN2CC(C)(CC(F)F)C2)CC1 ZINC000592522428 411133726 /nfs/dbraw/zinc/13/37/26/411133726.db2.gz CBZNQYPMEZYYKF-UHFFFAOYSA-N 0 3 235.343 2.859 20 0 BFADHN Cc1nn(-c2cccc(C)c2)cc1CNC1CC1 ZINC000651670646 411134152 /nfs/dbraw/zinc/13/41/52/411134152.db2.gz QGFGSPPYFNXNMC-UHFFFAOYSA-N 0 3 241.338 2.741 20 0 BFADHN CC(C)c1nc(CN[C@H]2C[C@H]2C)c2ccccn21 ZINC000651705306 411137143 /nfs/dbraw/zinc/13/71/43/411137143.db2.gz ONSGOHBWDOCCRF-NEPJUHHUSA-N 0 3 243.354 2.956 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2ccc(CO)o2)C1 ZINC000302555161 411138212 /nfs/dbraw/zinc/13/82/12/411138212.db2.gz KVUUCJPOPJGGDW-NWDGAFQWSA-N 0 3 237.343 2.782 20 0 BFADHN CC(C)c1nc(CNCCCF)c2ccccn21 ZINC000651735557 411139729 /nfs/dbraw/zinc/13/97/29/411139729.db2.gz UIARXVPMFORPBA-UHFFFAOYSA-N 0 3 249.333 2.907 20 0 BFADHN C[C@@H](NCc1cccc2c1CCC2)c1cn[nH]c1 ZINC000651746476 411140977 /nfs/dbraw/zinc/14/09/77/411140977.db2.gz RLMATKNUJDWDKC-LLVKDONJSA-N 0 3 241.338 2.749 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1cc2cnccc2o1 ZINC000657907079 411163543 /nfs/dbraw/zinc/16/35/43/411163543.db2.gz GARPJWIJAIHZOW-ZYHUDNBSSA-N 0 3 248.326 2.639 20 0 BFADHN CC(C)c1cnc(NC2CCN(C)CC2)s1 ZINC000335707417 167650533 /nfs/dbraw/zinc/65/05/33/167650533.db2.gz BYYYXHJUNIJMKF-UHFFFAOYSA-N 0 3 239.388 2.773 20 0 BFADHN CC[C@@]1(C)CCC[C@@H]1NCc1ccno1 ZINC000651760824 411142400 /nfs/dbraw/zinc/14/24/00/411142400.db2.gz UGVFBWCPJNEXGF-RYUDHWBXSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1cc2cnccc2o1 ZINC000651789880 411144153 /nfs/dbraw/zinc/14/41/53/411144153.db2.gz CBEJOJXBAUPSTA-AOOOYVTPSA-N 0 3 216.284 2.811 20 0 BFADHN c1cn2c(cccc2CN2CCC23CCCC3)n1 ZINC000651873380 411148256 /nfs/dbraw/zinc/14/82/56/411148256.db2.gz DMPFYIMXXOLXID-UHFFFAOYSA-N 0 3 241.338 2.853 20 0 BFADHN Fc1ccc(CN[C@H]2CCCC23CC3)nc1 ZINC000657911474 411164887 /nfs/dbraw/zinc/16/48/87/411164887.db2.gz ZYJZANMILLYTAY-LBPRGKRZSA-N 0 3 220.291 2.643 20 0 BFADHN CC(C)O[C@H]1CCCN(Cc2ccco2)C1 ZINC000651897224 411149350 /nfs/dbraw/zinc/14/93/50/411149350.db2.gz SHESOFMEORPQHK-ZDUSSCGKSA-N 0 3 223.316 2.669 20 0 BFADHN Cc1cc(CN2C[C@H](C)CC[C@@H]2C)nc(C)n1 ZINC000659969883 411151424 /nfs/dbraw/zinc/15/14/24/411151424.db2.gz FWXVLOXFKIHHBI-PWSUYJOCSA-N 0 3 233.359 2.714 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cc(C)nc(C)n1 ZINC000659974656 411151950 /nfs/dbraw/zinc/15/19/50/411151950.db2.gz ONPMJPHQRKOPQA-QMTHXVAHSA-N 0 3 233.359 2.714 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cc(C)nc(C)n1 ZINC000659984802 411155143 /nfs/dbraw/zinc/15/51/43/411155143.db2.gz VFNKOCNRCKQNLS-LLVKDONJSA-N 0 3 235.375 2.961 20 0 BFADHN Cc1cnc(CN[C@@H]2CCCSCC2)s1 ZINC000657893249 411156748 /nfs/dbraw/zinc/15/67/48/411156748.db2.gz QTJHFTJBWBAGDE-SNVBAGLBSA-N 0 3 242.413 2.827 20 0 BFADHN FCC[C@@H]1CCCN(Cc2ccncc2)C1 ZINC000661691776 411157413 /nfs/dbraw/zinc/15/74/13/411157413.db2.gz AXFKYMOYWFMYJQ-LBPRGKRZSA-N 0 3 222.307 2.653 20 0 BFADHN C[C@@H](NC[C@H]1CCCS1)c1cncs1 ZINC000157210487 411164557 /nfs/dbraw/zinc/16/45/57/411164557.db2.gz VPTJWCYBQDZRHJ-RKDXNWHRSA-N 0 3 228.386 2.689 20 0 BFADHN Cc1cc(CN2CCN(C)C(C)(C)C2)cs1 ZINC000653660650 411159412 /nfs/dbraw/zinc/15/94/12/411159412.db2.gz KTCPDBQYVUGSHJ-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN CCc1cccc(F)c1CN(C)[C@H](C)CCO ZINC000652049277 411094951 /nfs/dbraw/zinc/09/49/51/411094951.db2.gz DBKWIHQLHUIVKP-LLVKDONJSA-N 0 3 239.334 2.591 20 0 BFADHN c1cc(CNC2CC3(CCC3)C2)nc2c1CCC2 ZINC000652050444 411097490 /nfs/dbraw/zinc/09/74/90/411097490.db2.gz DFONULZYTOOVIS-UHFFFAOYSA-N 0 3 242.366 2.993 20 0 BFADHN O[C@@H]1CCCN(Cc2ccccc2C2CC2)C1 ZINC000652060049 411102393 /nfs/dbraw/zinc/10/23/93/411102393.db2.gz VLHPDRCBODZMEH-CQSZACIVSA-N 0 3 231.339 2.521 20 0 BFADHN Cc1ccoc1CN1CC[C@]2(CC2(F)F)C1 ZINC000652063660 411103183 /nfs/dbraw/zinc/10/31/83/411103183.db2.gz RGYGHNNEQQGNDJ-NSHDSACASA-N 0 3 227.254 2.819 20 0 BFADHN C[C@@H]1OCCN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)[C@H]1C ZINC000660011703 411173944 /nfs/dbraw/zinc/17/39/44/411173944.db2.gz QAIHICBRLMVXLI-QNSTZXKLSA-N 0 3 223.360 2.532 20 0 BFADHN C[C@@H](N[C@@H]1CCN(C(C)(C)C)C1)c1ccco1 ZINC000658091362 411242722 /nfs/dbraw/zinc/24/27/22/411242722.db2.gz LYLCGMORCUSJCG-VXGBXAGGSA-N 0 3 236.359 2.803 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1cn(C)cn1 ZINC000660015163 411175893 /nfs/dbraw/zinc/17/58/93/411175893.db2.gz ZDOAAGQKGREYOE-OCCSQVGLSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@@H](N[C@@H](C)C(C)(C)O)c1cc2cnccc2o1 ZINC000657946036 411176850 /nfs/dbraw/zinc/17/68/50/411176850.db2.gz OVKVJLCURODXKD-ZJUUUORDSA-N 0 3 248.326 2.638 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1ccncn1 ZINC000652240813 411178778 /nfs/dbraw/zinc/17/87/78/411178778.db2.gz MLKVFBCTMSUYQR-NTZNESFSSA-N 0 3 233.359 2.952 20 0 BFADHN CC[C@@H](C)[C@H](C)N(Cc1cn(C)cn1)C1CC1 ZINC000659880867 411181085 /nfs/dbraw/zinc/18/10/85/411181085.db2.gz IZHOWDDYUORWNM-NEPJUHHUSA-N 0 3 235.375 2.819 20 0 BFADHN COc1cc(CN2CCC[C@H]2C(C)(C)C)on1 ZINC000659881090 411181889 /nfs/dbraw/zinc/18/18/89/411181889.db2.gz YRSODGGSDCPLCN-NSHDSACASA-N 0 3 238.331 2.694 20 0 BFADHN CCCCCC(=O)N(C)[C@H]1CCN(C)[C@H](C)C1 ZINC000123692146 411182638 /nfs/dbraw/zinc/18/26/38/411182638.db2.gz UCALHJDSLSSLGH-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@@H](N[C@@H](C)COCC1CC1)c1cccc(O)c1 ZINC000652287260 411187572 /nfs/dbraw/zinc/18/75/72/411187572.db2.gz SBPJKRXXVHOKJT-NWDGAFQWSA-N 0 3 249.354 2.858 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H](C)COCC1CC1 ZINC000652286912 411188128 /nfs/dbraw/zinc/18/81/28/411188128.db2.gz IYTLVGXVAYPBNK-STQMWFEESA-N 0 3 248.370 2.856 20 0 BFADHN C[C@H](COCC1CC1)N[C@H](C)c1cccc(O)c1 ZINC000652287262 411188500 /nfs/dbraw/zinc/18/85/00/411188500.db2.gz SBPJKRXXVHOKJT-VXGBXAGGSA-N 0 3 249.354 2.858 20 0 BFADHN C[C@@H](CCC1CC1)N[C@@H]1CCCn2ccnc21 ZINC000655758022 411189252 /nfs/dbraw/zinc/18/92/52/411189252.db2.gz ZZFBXYMBRONCGX-WCQYABFASA-N 0 3 233.359 2.886 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cncn1CC ZINC000417714081 411189788 /nfs/dbraw/zinc/18/97/88/411189788.db2.gz GZAWWYRTNVRXOR-LLVKDONJSA-N 0 3 209.337 2.523 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1cc2cnccc2o1 ZINC000657986317 411189934 /nfs/dbraw/zinc/18/99/34/411189934.db2.gz VKDRHHMXZCMYOW-VHSXEESVSA-N 0 3 234.299 2.513 20 0 BFADHN CCC1CCC(N[C@@H]2C[C@@H](C)n3ncnc32)CC1 ZINC000658098207 411244172 /nfs/dbraw/zinc/24/41/72/411244172.db2.gz MRTFVJPCGPBBNT-IKWCTNDRSA-N 0 3 248.374 2.842 20 0 BFADHN c1cn2c(n1)[C@@H](N[C@@H]1CC13CCCC3)CCC2 ZINC000655760046 411191089 /nfs/dbraw/zinc/19/10/89/411191089.db2.gz VOMYHCCQSMKONE-NWDGAFQWSA-N 0 3 231.343 2.640 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ccoc2)CS1 ZINC000655697611 411244489 /nfs/dbraw/zinc/24/44/89/411244489.db2.gz SBSULMGCTISQAZ-MWLCHTKSSA-N 0 3 211.330 2.653 20 0 BFADHN C[C@@H](O)CCCN[C@@H](C)c1cc2cnccc2o1 ZINC000657996710 411192681 /nfs/dbraw/zinc/19/26/81/411192681.db2.gz FGTXHQUKYNAZHZ-MNOVXSKESA-N 0 3 248.326 2.639 20 0 BFADHN CCC[C@@H](N[C@@H](CO)CCF)c1ccsc1 ZINC000652302415 411192817 /nfs/dbraw/zinc/19/28/17/411192817.db2.gz XPSZRJPYFAEZJH-VXGBXAGGSA-N 0 3 245.363 2.899 20 0 BFADHN CCC[C@H](N[C@H](CO)CCF)c1ccsc1 ZINC000652302414 411193128 /nfs/dbraw/zinc/19/31/28/411193128.db2.gz XPSZRJPYFAEZJH-RYUDHWBXSA-N 0 3 245.363 2.899 20 0 BFADHN Cc1cccc([C@H](C)N[C@H](CO)CCF)c1C ZINC000652304124 411195163 /nfs/dbraw/zinc/19/51/63/411195163.db2.gz GKXNDZVVOQKZLV-STQMWFEESA-N 0 3 239.334 2.675 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H]2CCCO2)c(C)n1 ZINC000658096771 411244962 /nfs/dbraw/zinc/24/49/62/411244962.db2.gz SQAWWTCXUWOLJG-AAEUAGOBSA-N 0 3 234.343 2.528 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1cc(F)cc(F)c1 ZINC000402160616 411199034 /nfs/dbraw/zinc/19/90/34/411199034.db2.gz QOMHBQBUAYJZSX-JRKPZEMJSA-N 0 3 241.281 2.793 20 0 BFADHN Cc1cc([C@H](C)NC2CC3(CCC3)C2)nn1C ZINC000652328112 411201131 /nfs/dbraw/zinc/20/11/31/411201131.db2.gz VLJAWPGVXUSKFR-NSHDSACASA-N 0 3 233.359 2.712 20 0 BFADHN CC[C@H](NCc1ccc(C)cn1)[C@@H]1CCCCO1 ZINC000653874070 411204065 /nfs/dbraw/zinc/20/40/65/411204065.db2.gz ANDULTZRRGEHGW-GJZGRUSLSA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@@H](NCc1cccnc1C)[C@H]1CCCCO1 ZINC000653873708 411204083 /nfs/dbraw/zinc/20/40/83/411204083.db2.gz VPWRUAJKYOVGAZ-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN Cc1csc(CN[C@@H]2COC3(CCC3)C2)c1 ZINC000658027458 411204482 /nfs/dbraw/zinc/20/44/82/411204482.db2.gz MQQAGBGKFNZWCD-NSHDSACASA-N 0 3 237.368 2.858 20 0 BFADHN CC[C@H](NCc1cnccc1C)[C@H]1CCCCO1 ZINC000653875440 411205119 /nfs/dbraw/zinc/20/51/19/411205119.db2.gz VYJIVYUCBLSDRM-LSDHHAIUSA-N 0 3 248.370 2.827 20 0 BFADHN CCC(C)(C)N1CCN(c2cc(C)ccn2)CC1 ZINC000656215134 411211685 /nfs/dbraw/zinc/21/16/85/411211685.db2.gz UYNRPXKZSYPJMZ-UHFFFAOYSA-N 0 3 247.386 2.701 20 0 BFADHN C[C@H]1C[C@@H](N[C@H]2CCCCC[C@H]2C)c2ncnn21 ZINC000658103930 411246078 /nfs/dbraw/zinc/24/60/78/411246078.db2.gz ZOUKAARIZNZMTK-MROQNXINSA-N 0 3 248.374 2.842 20 0 BFADHN C[C@H](CC1CCCC1)N[C@@H]1C[C@@H](C)n2ncnc21 ZINC000658102938 411246203 /nfs/dbraw/zinc/24/62/03/411246203.db2.gz SEULQVWHURPHNL-NQBHXWOUSA-N 0 3 248.374 2.842 20 0 BFADHN Cc1cc(CN(C[C@@H](C)O)C2CC2)c(C)s1 ZINC000653899061 411214544 /nfs/dbraw/zinc/21/45/44/411214544.db2.gz WMBRIBFRHXKJRF-SECBINFHSA-N 0 3 239.384 2.710 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@@H]1N[C@H]1C[C@@H](C)n2ncnc21 ZINC000658101838 411246317 /nfs/dbraw/zinc/24/63/17/411246317.db2.gz MWHFDUJFQUXLHW-WYUUTHIRSA-N 0 3 248.374 2.698 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H](C)n2ncnc21)[C@@H]1CC1(C)C ZINC000658102801 411246700 /nfs/dbraw/zinc/24/67/00/411246700.db2.gz QOHMVMMXSBPSQV-FIQHERPVSA-N 0 3 248.374 2.698 20 0 BFADHN CCc1nocc1CN(C(C)C)C1CCC1 ZINC000660079949 411221256 /nfs/dbraw/zinc/22/12/56/411221256.db2.gz VDEONPIUJQDXHF-UHFFFAOYSA-N 0 3 222.332 3.000 20 0 BFADHN CCC[C@H](C)CN1CC2(CC2(F)F)C1 ZINC000656266141 411221812 /nfs/dbraw/zinc/22/18/12/411221812.db2.gz QPWSGPIVDQWJNB-VIFPVBQESA-N 0 3 203.276 2.764 20 0 BFADHN Cc1[nH]ncc1CN1Cc2cc(F)c(F)cc2C1 ZINC000656274489 411223506 /nfs/dbraw/zinc/22/35/06/411223506.db2.gz BGCMXEOZHNQRPL-UHFFFAOYSA-N 0 3 249.264 2.512 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ccco2)CS1 ZINC000655683558 411225272 /nfs/dbraw/zinc/22/52/72/411225272.db2.gz HBLRDCSGRGHPMQ-ZJUUUORDSA-N 0 3 211.330 2.653 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NC[C@@H](C)c1ccccn1 ZINC000658061353 411226988 /nfs/dbraw/zinc/22/69/88/411226988.db2.gz AVNYJDXFVYZMDV-GHMZBOCLSA-N 0 3 244.342 2.567 20 0 BFADHN C[C@H](O)CN(C/C=C\c1ccc(F)cc1)C1CC1 ZINC000656277524 411228199 /nfs/dbraw/zinc/22/81/99/411228199.db2.gz CCMAUJLXAMCMEY-DLGQBQFBSA-N 0 3 249.329 2.684 20 0 BFADHN C[C@H](CN[C@@H](C)c1ccccn1)c1ccccn1 ZINC000658063691 411229676 /nfs/dbraw/zinc/22/96/76/411229676.db2.gz LAQMXZUFOOFYBV-OLZOCXBDSA-N 0 3 241.338 2.931 20 0 BFADHN CC[C@@H](COCC1CC1)N[C@@H](C)c1ccncc1 ZINC000658090308 411240780 /nfs/dbraw/zinc/24/07/80/411240780.db2.gz IKBZSBZFTSGTLX-WFASDCNBSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@H](COCC1CC1)N[C@H](C)c1ccccn1 ZINC000658089702 411241063 /nfs/dbraw/zinc/24/10/63/411241063.db2.gz AJDKVUBBVIWASS-TZMCWYRMSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@@]1(C)CCN(CCO[C@@H]2CC2(F)F)C1 ZINC000660000605 411165497 /nfs/dbraw/zinc/16/54/97/411165497.db2.gz YRYCUXLIWPWNIO-MNOVXSKESA-N 0 3 233.302 2.533 20 0 BFADHN C[C@@H]1CCc2c(F)cccc2[C@H]1NC/C=C/CO ZINC000658137907 411262122 /nfs/dbraw/zinc/26/21/22/411262122.db2.gz JWSHSQUGABXJRN-HKHQAYBLSA-N 0 3 249.329 2.587 20 0 BFADHN Cc1cccc2c1[C@H](C)C[C@H]2NC/C=C/CO ZINC000658139400 411263091 /nfs/dbraw/zinc/26/30/91/411263091.db2.gz PGDSGFYNDAUROE-YTRGCGJWSA-N 0 3 231.339 2.681 20 0 BFADHN CC(C)[C@@H](N[C@@H]1CCCn2ccnc21)C1CC1 ZINC000658301691 411327054 /nfs/dbraw/zinc/32/70/54/411327054.db2.gz LEFBQSIFKBHYIW-CHWSQXEVSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H](NC/C=C\CO)c1cccc(C2CC2)c1 ZINC000658139829 411264911 /nfs/dbraw/zinc/26/49/11/411264911.db2.gz SYCLXOLYBSWXQZ-DLGQBQFBSA-N 0 3 231.339 2.763 20 0 BFADHN Cc1ccc(C)c2c1CCC[C@@H]2NC/C=C\CO ZINC000658140983 411265120 /nfs/dbraw/zinc/26/51/20/411265120.db2.gz UFPKAKFTGSFEDX-JZIMQPMSSA-N 0 3 245.366 2.819 20 0 BFADHN Cc1ccc(CN(C)Cc2ccc(N)nc2)cc1 ZINC000050812410 411266321 /nfs/dbraw/zinc/26/63/21/411266321.db2.gz YAEQUOZDSRJJIW-UHFFFAOYSA-N 0 3 241.338 2.604 20 0 BFADHN CCN(Cc1ccccc1)Cc1ccc(N)nc1 ZINC000050812530 411267089 /nfs/dbraw/zinc/26/70/89/411267089.db2.gz DWADREGRZWKMPL-UHFFFAOYSA-N 0 3 241.338 2.686 20 0 BFADHN CCC[C@@H](CC)N[C@H]1CCCn2ccnc21 ZINC000655725722 411327438 /nfs/dbraw/zinc/32/74/38/411327438.db2.gz XULZWZAHIJLSDM-NEPJUHHUSA-N 0 3 221.348 2.886 20 0 BFADHN C[C@H]1CN(CCC(C)(C)C2CC2)CCO1 ZINC000653476566 411327543 /nfs/dbraw/zinc/32/75/43/411327543.db2.gz KRZCKIMSSADGIP-NSHDSACASA-N 0 3 211.349 2.533 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1ncc[nH]1 ZINC000070646354 411271468 /nfs/dbraw/zinc/27/14/68/411271468.db2.gz PITHOYSFBNYOGO-GHMZBOCLSA-N 0 3 209.337 2.714 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H](C)C1(CO)CC1 ZINC000658159145 411272114 /nfs/dbraw/zinc/27/21/14/411272114.db2.gz HIMUSFGGYWFUFK-VXGBXAGGSA-N 0 3 249.354 2.507 20 0 BFADHN CC(C)CC1(N[C@H](C)c2cnn(C)c2)CC1 ZINC000658320974 411333108 /nfs/dbraw/zinc/33/31/08/411333108.db2.gz KWKKIYLCAKCSDG-LLVKDONJSA-N 0 3 221.348 2.649 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H](C)C1(CO)CC1 ZINC000658159142 411272719 /nfs/dbraw/zinc/27/27/19/411272719.db2.gz HIMUSFGGYWFUFK-NEPJUHHUSA-N 0 3 249.354 2.507 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H](C)O1)c1ccncc1 ZINC000656686232 411327775 /nfs/dbraw/zinc/32/77/75/411327775.db2.gz FXUGEUDNYQBRCD-MBNYWOFBSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ccccc1-n1cc([C@H](N)C(C)(C)C)nn1 ZINC000656452285 411273428 /nfs/dbraw/zinc/27/34/28/411273428.db2.gz LURSAFLDARJQJF-ZDUSSCGKSA-N 0 3 244.342 2.622 20 0 BFADHN CC(C)c1cccc(CN2C[C@@H](C)[C@H](O)C2)c1 ZINC000660166536 411274283 /nfs/dbraw/zinc/27/42/83/411274283.db2.gz SXWZYBHGCLWLRF-IUODEOHRSA-N 0 3 233.355 2.623 20 0 BFADHN C[C@H](NCCO[C@@H]1CC1(F)F)c1cccs1 ZINC000658164259 411277363 /nfs/dbraw/zinc/27/73/63/411277363.db2.gz CSQACFHQXOFUFB-WCBMZHEXSA-N 0 3 247.310 2.823 20 0 BFADHN COC[C@@H](NC1CC(C)C1)c1cccc(OC)c1 ZINC000658166914 411278346 /nfs/dbraw/zinc/27/83/46/411278346.db2.gz WEDIXUPQLJDOBS-ABIUDSBCSA-N 0 3 249.354 2.771 20 0 BFADHN CCOC(=O)[C@@H](CC)N(C)CC1CCCCC1 ZINC000084120661 411278399 /nfs/dbraw/zinc/27/83/99/411278399.db2.gz WBBYDJSFHCLGEZ-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1ccc([C@H](C)NCCO[C@@H]2CC2(F)F)o1 ZINC000658167047 411278529 /nfs/dbraw/zinc/27/85/29/411278529.db2.gz RQSHVSVWBCZHLU-GXSJLCMTSA-N 0 3 245.269 2.663 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H](C)O1)c1ccncc1 ZINC000656686231 411328305 /nfs/dbraw/zinc/32/83/05/411328305.db2.gz FXUGEUDNYQBRCD-DYEKYZERSA-N 0 3 234.343 2.690 20 0 BFADHN CC(C)CC[C@H]1CCCN1Cc1cnccn1 ZINC000516410599 411279955 /nfs/dbraw/zinc/27/99/55/411279955.db2.gz GLEJTWOZEYRPMT-CQSZACIVSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@@]1(C)CC1(C)C ZINC000658304417 411328400 /nfs/dbraw/zinc/32/84/00/411328400.db2.gz PETSABUHMRRCLE-GWCFXTLKSA-N 0 3 219.332 2.624 20 0 BFADHN CC(C)OC1CC(N2CC[C@H](C(F)F)C2)C1 ZINC000656482858 411282211 /nfs/dbraw/zinc/28/22/11/411282211.db2.gz RRGJXOOBPQUOGV-WHXUTIOJSA-N 0 3 233.302 2.529 20 0 BFADHN Cc1cc([C@@H](C)N[C@@]2(C)CC2(C)C)nn1C ZINC000658304554 411328549 /nfs/dbraw/zinc/32/85/49/411328549.db2.gz PRUDMOQMJVYFBN-MFKMUULPSA-N 0 3 221.348 2.568 20 0 BFADHN CCCC[C@H](C)[C@@H](C)NCc1cn(CC)nn1 ZINC000658177290 411282921 /nfs/dbraw/zinc/28/29/21/411282921.db2.gz TVNCUDXMJSBGQL-NWDGAFQWSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NCCCOC(C)(C)C ZINC000658183522 411284671 /nfs/dbraw/zinc/28/46/71/411284671.db2.gz DTVMIVPYMHGAKS-SNVBAGLBSA-N 0 3 239.363 2.574 20 0 BFADHN c1c(CNC[C@H]2CC=CCC2)nn2c1CCCC2 ZINC000655719839 411284830 /nfs/dbraw/zinc/28/48/30/411284830.db2.gz YNCVMCLRASJKNE-ZDUSSCGKSA-N 0 3 245.370 2.665 20 0 BFADHN CC[C@H](C)CN1CC(CC(F)(F)F)C1 ZINC000656688748 411328826 /nfs/dbraw/zinc/32/88/26/411328826.db2.gz RGCOHUKUBQXVMO-QMMMGPOBSA-N 0 3 209.255 2.917 20 0 BFADHN Cc1cc([C@@H](C)NCCC(C)(C)F)nn1C ZINC000658190924 411286610 /nfs/dbraw/zinc/28/66/10/411286610.db2.gz IAPHHSKLVNPRDX-SNVBAGLBSA-N 0 3 227.327 2.517 20 0 BFADHN COc1cc([C@@H](C)NCCC(C)(C)F)ccn1 ZINC000658191499 411287071 /nfs/dbraw/zinc/28/70/71/411287071.db2.gz VJRKDRXDBLSENN-SNVBAGLBSA-N 0 3 240.322 2.879 20 0 BFADHN CCC[C@@H](NC1COCCOC1)c1ccccc1 ZINC000310864412 411288131 /nfs/dbraw/zinc/28/81/31/411288131.db2.gz DIWTZAFTJYUVDM-OAHLLOKOSA-N 0 3 249.354 2.533 20 0 BFADHN Cn1ccnc1[C@@H](NCCC(C)(C)F)C1CC1 ZINC000658193083 411288155 /nfs/dbraw/zinc/28/81/55/411288155.db2.gz SZCDXIYQASLINW-NSHDSACASA-N 0 3 239.338 2.599 20 0 BFADHN C[C@@H](NCCC(C)(C)F)c1ccccn1 ZINC000658193709 411288159 /nfs/dbraw/zinc/28/81/59/411288159.db2.gz XRAVOOVUSHFZCK-SNVBAGLBSA-N 0 3 210.296 2.870 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN[C@@H]2CCCC[C@@H]2O)o1 ZINC000051991193 411288207 /nfs/dbraw/zinc/28/82/07/411288207.db2.gz ZZTOBKKQTSOJCO-DEQVHRJGSA-N 0 3 249.354 2.796 20 0 BFADHN C[C@H]1C[C@@H]1CN1CC(CC(F)(F)F)C1 ZINC000656689025 411329063 /nfs/dbraw/zinc/32/90/63/411329063.db2.gz ZEFKWJBKZHQMDM-IONNQARKSA-N 0 3 207.239 2.527 20 0 BFADHN C[C@H](NCCC(C)(C)F)c1nccs1 ZINC000658193660 411288218 /nfs/dbraw/zinc/28/82/18/411288218.db2.gz WXLMRBXAJQRFOV-QMMMGPOBSA-N 0 3 216.325 2.932 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H]2CCCC[C@@H]2O)o1 ZINC000051991195 411288261 /nfs/dbraw/zinc/28/82/61/411288261.db2.gz ZZTOBKKQTSOJCO-ZRJCITRHSA-N 0 3 249.354 2.796 20 0 BFADHN CC1(CN2CC(CC(F)(F)F)C2)CC1 ZINC000656687992 411329072 /nfs/dbraw/zinc/32/90/72/411329072.db2.gz BQJWGCNJFYFEOW-UHFFFAOYSA-N 0 3 207.239 2.671 20 0 BFADHN Cn1ccnc1[C@H](NCCC(C)(C)F)C1CC1 ZINC000658193081 411288601 /nfs/dbraw/zinc/28/86/01/411288601.db2.gz SZCDXIYQASLINW-LLVKDONJSA-N 0 3 239.338 2.599 20 0 BFADHN CC(C)n1cc([C@@H](C)NCCc2ccoc2)cn1 ZINC000658197428 411290081 /nfs/dbraw/zinc/29/00/81/411290081.db2.gz GKSGUTCGTBLQTR-GFCCVEGCSA-N 0 3 247.342 2.950 20 0 BFADHN C[C@H](NCCc1ccoc1)c1ccoc1 ZINC000658201810 411291421 /nfs/dbraw/zinc/29/14/21/411291421.db2.gz UKUNKJXIGVKACI-JTQLQIEISA-N 0 3 205.257 2.766 20 0 BFADHN Cc1cc(CN(CC(C)C)C(C)C)nc(C)n1 ZINC000659841271 411329471 /nfs/dbraw/zinc/32/94/71/411329471.db2.gz BCOURABZXCUGFD-UHFFFAOYSA-N 0 3 235.375 2.960 20 0 BFADHN CC[C@H](N[C@@]1(C)CC1(C)C)c1ccn(C)n1 ZINC000658306247 411329558 /nfs/dbraw/zinc/32/95/58/411329558.db2.gz WIJUMLBRXSFWCW-GWCFXTLKSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@H](N[C@]1(C)CC1(C)C)c1cncs1 ZINC000658306201 411329626 /nfs/dbraw/zinc/32/96/26/411329626.db2.gz DEQYCPGAYGOIEN-GZMMTYOYSA-N 0 3 210.346 2.982 20 0 BFADHN CC[C@H](NC[C@@H]1CCC[C@H]2C[C@H]21)c1nccn1C ZINC000658206745 411293367 /nfs/dbraw/zinc/29/33/67/411293367.db2.gz PWWHXTGRTPCRHT-FQUUOJAGSA-N 0 3 247.386 2.897 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@@H]1CCCn2ccnc21 ZINC000655722424 411295076 /nfs/dbraw/zinc/29/50/76/411295076.db2.gz AOXIRJAKHJZOJU-FRRDWIJNSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1cncs1 ZINC000658216767 411296667 /nfs/dbraw/zinc/29/66/67/411296667.db2.gz CPKXADAYVDNVEL-VXNVDRBHSA-N 0 3 232.299 2.839 20 0 BFADHN C[C@@H]1CN(CC[C@H]2CCc3ccccc32)CCO1 ZINC000659810960 411298435 /nfs/dbraw/zinc/29/84/35/411298435.db2.gz FUELGOIPRIWHQS-UKRRQHHQSA-N 0 3 245.366 2.827 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCOC(C)(C)C1 ZINC000659811787 411298863 /nfs/dbraw/zinc/29/88/63/411298863.db2.gz IQIYPHJHIMHDCF-JTQLQIEISA-N 0 3 235.318 2.779 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H](C)O1)c1ccccn1 ZINC000658219534 411298927 /nfs/dbraw/zinc/29/89/27/411298927.db2.gz NFCQFEPWOCDIRE-FRRDWIJNSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)O1)c1ccccn1 ZINC000658219536 411298998 /nfs/dbraw/zinc/29/89/98/411298998.db2.gz NFCQFEPWOCDIRE-UPJWGTAASA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@H]1CCC[C@@H](C)O1 ZINC000658220591 411300178 /nfs/dbraw/zinc/30/01/78/411300178.db2.gz QKMGHVVFDOFFJT-HZSPNIEDSA-N 0 3 248.370 2.998 20 0 BFADHN CC1(C)CCCC[C@@H]1CN1CCOCC1 ZINC000659814941 411301673 /nfs/dbraw/zinc/30/16/73/411301673.db2.gz XUBWLSNVZTUFNP-GFCCVEGCSA-N 0 3 211.349 2.535 20 0 BFADHN COC1(CN2CC(C(F)F)C2)CCCCC1 ZINC000656691312 411330427 /nfs/dbraw/zinc/33/04/27/411330427.db2.gz XXAAUEXOLAXRFZ-UHFFFAOYSA-N 0 3 233.302 2.533 20 0 BFADHN C[C@H](NCC[C@@]1(C)CC1(F)F)c1ccncn1 ZINC000658222837 411302455 /nfs/dbraw/zinc/30/24/55/411302455.db2.gz RUNUOWKUBPXJFU-ONGXEEELSA-N 0 3 241.285 2.563 20 0 BFADHN COc1ccnc(CN[C@H](C)CC2CC2)c1F ZINC000658228791 411304846 /nfs/dbraw/zinc/30/48/46/411304846.db2.gz WCCDPCAZJLCIBU-SECBINFHSA-N 0 3 238.306 2.508 20 0 BFADHN CC[C@H](N[C@H](C)c1cc2n(n1)CCC2)C1CC1 ZINC000658236403 411306214 /nfs/dbraw/zinc/30/62/14/411306214.db2.gz VKAGDYGMBIMRDO-MFKMUULPSA-N 0 3 233.359 2.669 20 0 BFADHN N[C@H]1CCCc2cn(CCCC3CCC3)nc21 ZINC000656601022 411306401 /nfs/dbraw/zinc/30/64/01/411306401.db2.gz NZHISJGFHHLNDO-ZDUSSCGKSA-N 0 3 233.359 2.800 20 0 BFADHN CN(Cc1cccc(F)c1)[C@@H]1COC(C)(C)C1 ZINC000659825450 411307838 /nfs/dbraw/zinc/30/78/38/411307838.db2.gz CBGFUDLEMWTQJX-ZDUSSCGKSA-N 0 3 237.318 2.825 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cc(C2CC2)no1 ZINC000659826482 411309455 /nfs/dbraw/zinc/30/94/55/411309455.db2.gz LEBRPJDACDZWCI-VIFPVBQESA-N 0 3 220.316 2.782 20 0 BFADHN CCOc1cc(CN2CC(C3CC3)C2)ccc1O ZINC000656630309 411311895 /nfs/dbraw/zinc/31/18/95/411311895.db2.gz VWOARWKTXQRYPU-UHFFFAOYSA-N 0 3 247.338 2.633 20 0 BFADHN Cc1cc(CN(C)C2CCCCC2)nc(C)n1 ZINC000659829283 411312479 /nfs/dbraw/zinc/31/24/79/411312479.db2.gz OWBINEDTHKTFRT-UHFFFAOYSA-N 0 3 233.359 2.858 20 0 BFADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1ccncc1 ZINC000656691857 411331942 /nfs/dbraw/zinc/33/19/42/411331942.db2.gz YIXFFTGTQNWCIG-GXSJLCMTSA-N 0 3 226.270 2.778 20 0 BFADHN Cn1cccc1CN1CC(Cc2ccccc2)C1 ZINC000656651827 411316503 /nfs/dbraw/zinc/31/65/03/411316503.db2.gz JPIWWBCURCVHDV-UHFFFAOYSA-N 0 3 240.350 2.700 20 0 BFADHN CC[C@H](CC(F)F)CN1CCO[C@@H](CC)C1 ZINC000659837387 411316774 /nfs/dbraw/zinc/31/67/74/411316774.db2.gz DNBZRRSCSCSYPP-MNOVXSKESA-N 0 3 235.318 2.779 20 0 BFADHN C[C@H](NCC1=CCCC1)c1ccc(F)cn1 ZINC000658291784 411325112 /nfs/dbraw/zinc/32/51/12/411325112.db2.gz UFPYQDMKHCVOCY-JTQLQIEISA-N 0 3 220.291 2.982 20 0 BFADHN COc1cccc(OC)c1CN[C@H](C)C1CCC1 ZINC000658120828 411250184 /nfs/dbraw/zinc/25/01/84/411250184.db2.gz JZFPVTFMTIQLLW-LLVKDONJSA-N 0 3 249.354 2.982 20 0 BFADHN CC[C@@H](C)CCN[C@@H](C)c1nccn1C ZINC000658119747 411250430 /nfs/dbraw/zinc/25/04/30/411250430.db2.gz GYMBGDAAZVSJDW-MNOVXSKESA-N 0 3 209.337 2.507 20 0 BFADHN Cc1occc1CN[C@@H]1CC[C@H](C)SC1 ZINC000655700395 411250439 /nfs/dbraw/zinc/25/04/39/411250439.db2.gz GJGVPJIUISXGMO-JOYOIKCWSA-N 0 3 225.357 2.962 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1c(OC)cccc1OC ZINC000658118481 411250472 /nfs/dbraw/zinc/25/04/72/411250472.db2.gz GDSIZPDEQDUJAV-AAEUAGOBSA-N 0 3 249.354 2.982 20 0 BFADHN Cc1ccoc1CN[C@H]1CC[C@@H](C)SC1 ZINC000655769376 411251232 /nfs/dbraw/zinc/25/12/32/411251232.db2.gz HBCDDBHAMYRBNH-MNOVXSKESA-N 0 3 225.357 2.962 20 0 BFADHN CC[C@@H](C)CCN[C@H](C)c1cc(C)n(C)n1 ZINC000658122886 411251553 /nfs/dbraw/zinc/25/15/53/411251553.db2.gz WHKKXDRLCNGPCM-ZYHUDNBSSA-N 0 3 223.364 2.815 20 0 BFADHN CC(C)[C@H](N[C@@H]1CCCn2ccnc21)C1CC1 ZINC000658301692 411326538 /nfs/dbraw/zinc/32/65/38/411326538.db2.gz LEFBQSIFKBHYIW-OLZOCXBDSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H]1CC(CN[C@@H]2CCn3ccnc32)C[C@H](C)C1 ZINC000658128398 411254240 /nfs/dbraw/zinc/25/42/40/411254240.db2.gz UTZPDDRZTVVONU-YRGRVCCFSA-N 0 3 247.386 2.990 20 0 BFADHN c1c(CNCC2CCCCC2)nn2c1CCCC2 ZINC000655703628 411254448 /nfs/dbraw/zinc/25/44/48/411254448.db2.gz JHGCZHMPFZGZRM-UHFFFAOYSA-N 0 3 247.386 2.889 20 0 BFADHN Cc1nn(-c2ccccc2F)cc1CNC1CC1 ZINC000655703741 411254493 /nfs/dbraw/zinc/25/44/93/411254493.db2.gz LBMGDGIRKPSEAA-UHFFFAOYSA-N 0 3 245.301 2.572 20 0 BFADHN Cc1cc(C)c([C@H](C)NC/C=C\CO)cc1C ZINC000658132784 411256393 /nfs/dbraw/zinc/25/63/93/411256393.db2.gz NAKDYJDZFPDYGR-GXMNPTEKSA-N 0 3 233.355 2.811 20 0 BFADHN CC[C@H](NC/C=C\CO)c1ccc(Cl)cc1 ZINC000658132822 411256920 /nfs/dbraw/zinc/25/69/20/411256920.db2.gz NQZCWRGBSALBKL-SCOBNMCVSA-N 0 3 239.746 2.929 20 0 BFADHN CC[C@@H](NC/C=C/CO)c1ccc(C)cc1 ZINC000658132585 411256939 /nfs/dbraw/zinc/25/69/39/411256939.db2.gz IVPZLIXIMXKZBZ-ISZGNANSSA-N 0 3 219.328 2.584 20 0 BFADHN C[C@@H](N[C@@]1(C)CC1(C)C)c1cc2n(n1)CCC2 ZINC000658301737 411326761 /nfs/dbraw/zinc/32/67/61/411326761.db2.gz JQTAXONCXZFBTD-YGRLFVJLSA-N 0 3 233.359 2.669 20 0 BFADHN CC1(C)C[C@@H](NC/C=C\CO)c2ccccc21 ZINC000658133499 411257478 /nfs/dbraw/zinc/25/74/78/411257478.db2.gz MVGNBKZOWUGZTK-GEXIGZQTSA-N 0 3 231.339 2.547 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2cc3n(n2)CCCC3)C1 ZINC000655708067 411258229 /nfs/dbraw/zinc/25/82/29/411258229.db2.gz IXMJFFKCNKZPTO-OLZOCXBDSA-N 0 3 247.386 2.888 20 0 BFADHN Cc1cc([C@H](C)NC/C=C/CO)cc(C)c1F ZINC000658133157 411258658 /nfs/dbraw/zinc/25/86/58/411258658.db2.gz KMGRIRSCLWSKAQ-ITKZLYELSA-N 0 3 237.318 2.642 20 0 BFADHN Cc1cccc([C@@H](C)NC/C=C\CO)c1C ZINC000658134111 411259608 /nfs/dbraw/zinc/25/96/08/411259608.db2.gz PMWRODGMCAWLHA-DSYXLKISSA-N 0 3 219.328 2.503 20 0 BFADHN CC[C@H](NC/C=C/CO)c1ccc(Cl)s1 ZINC000658134084 411259847 /nfs/dbraw/zinc/25/98/47/411259847.db2.gz PETARYCCBDSESF-NWALNABHSA-N 0 3 245.775 2.991 20 0 BFADHN OCCCN(Cc1ccc(C2CC2)cc1)C1CC1 ZINC000656441415 411260916 /nfs/dbraw/zinc/26/09/16/411260916.db2.gz KQGCQNLYXXMQER-UHFFFAOYSA-N 0 3 245.366 2.911 20 0 BFADHN Cc1ccc([C@H](N[C@H]2C[C@H](O)C2)C2CCCC2)o1 ZINC000658348904 411350245 /nfs/dbraw/zinc/35/02/45/411350245.db2.gz CZGJXXAILGEAAS-UMVBOHGHSA-N 0 3 249.354 2.932 20 0 BFADHN CC[C@H](N[C@H]1C[C@H](O)C1)c1ccc(Cl)s1 ZINC000658348910 411350411 /nfs/dbraw/zinc/35/04/11/411350411.db2.gz DCRMLLSXSLAWDI-CIUDSAMLSA-N 0 3 245.775 2.966 20 0 BFADHN CCCc1ccc([C@@H](C)N[C@H]2C[C@H](O)C2)cc1 ZINC000658350540 411352213 /nfs/dbraw/zinc/35/22/13/411352213.db2.gz FZZGOXZGSXIGSU-KCPJHIHWSA-N 0 3 233.355 2.813 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@H](O)C2)cc(C)c1F ZINC000658351258 411353195 /nfs/dbraw/zinc/35/31/95/411353195.db2.gz VNMSZWSAMQRMKB-DRZSPHRISA-N 0 3 237.318 2.616 20 0 BFADHN Cc1c2ccccc2oc1[C@H](C)N[C@H]1C[C@H](O)C1 ZINC000658351515 411353941 /nfs/dbraw/zinc/35/39/41/411353941.db2.gz NBRFHRUFAULGIO-SRVKXCTJSA-N 0 3 245.322 2.915 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1CCC(C)(C)C1CC1 ZINC000653484070 411373027 /nfs/dbraw/zinc/37/30/27/411373027.db2.gz PEFKFNFVUFNVKS-NEPJUHHUSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](O)C1)c1ccc(Cl)s1 ZINC000658352801 411356380 /nfs/dbraw/zinc/35/63/80/411356380.db2.gz YUHUVOSSPBNJHZ-BWZBUEFSSA-N 0 3 231.748 2.575 20 0 BFADHN COc1ccnc(CNC2(CC(C)C)CC2)c1 ZINC000662068517 411356597 /nfs/dbraw/zinc/35/65/97/411356597.db2.gz FADJFBHRKXRMET-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@H]1CN(CCC(C)(C)C2CC2)[C@@H](C)CO1 ZINC000653484072 411373117 /nfs/dbraw/zinc/37/31/17/411373117.db2.gz PEFKFNFVUFNVKS-RYUDHWBXSA-N 0 3 225.376 2.922 20 0 BFADHN COc1cc(CNC(C)(C)CC(C)(C)C)on1 ZINC000659874046 411359018 /nfs/dbraw/zinc/35/90/18/411359018.db2.gz VIYAKKYAHWFNQG-UHFFFAOYSA-N 0 3 240.347 2.988 20 0 BFADHN CCCC[C@@H](C)N[C@H](C)c1nccn1C ZINC000070008241 411365953 /nfs/dbraw/zinc/36/59/53/411365953.db2.gz IIHSTLDDURIBPM-GHMZBOCLSA-N 0 3 209.337 2.649 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NC1(CC(C)C)CC1 ZINC000658321108 411333804 /nfs/dbraw/zinc/33/38/04/411333804.db2.gz LRDXXKSDZWYGFD-LLVKDONJSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1nccnc1[C@H](C)NCCCc1ccco1 ZINC000658325344 411334229 /nfs/dbraw/zinc/33/42/29/411334229.db2.gz LXNYLSDKRGOZEK-NSHDSACASA-N 0 3 245.326 2.661 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccc2c(c1)COC2 ZINC000658330966 411338161 /nfs/dbraw/zinc/33/81/61/411338161.db2.gz HCAVDLRYRCPJLC-VQISRLSMSA-N 0 3 247.338 2.545 20 0 BFADHN CC[C@H](N[C@@H]1C[C@]1(C)OC)c1cccc(OC)c1 ZINC000658330620 411338278 /nfs/dbraw/zinc/33/82/78/411338278.db2.gz FMNYUPBSYUYHIF-ZNMIVQPWSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@H](NC[C@H]1CCOC1(C)C)c1ccccn1 ZINC000658331828 411339137 /nfs/dbraw/zinc/33/91/37/411339137.db2.gz PXLXHXFONQMOHC-NWDGAFQWSA-N 0 3 234.343 2.547 20 0 BFADHN CCCNC(=O)CN(CCC)CCC=C(C)C ZINC000659847869 411341214 /nfs/dbraw/zinc/34/12/14/411341214.db2.gz ATJWRWDBSQIRBK-UHFFFAOYSA-N 0 3 240.391 2.581 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1sccc1C ZINC000658332472 411341509 /nfs/dbraw/zinc/34/15/09/411341509.db2.gz QUBMUZKMEANOEJ-UMNHJUIQSA-N 0 3 225.357 2.885 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1cccc(C)c1 ZINC000658334500 411342327 /nfs/dbraw/zinc/34/23/27/411342327.db2.gz KSWUCAOXFBDMLA-YUTCNCBUSA-N 0 3 219.328 2.823 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403358982 411342600 /nfs/dbraw/zinc/34/26/00/411342600.db2.gz HDBVAKQRHURASV-UMSGYPCISA-N 0 3 231.343 2.624 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccc(F)cc1 ZINC000658334475 411342683 /nfs/dbraw/zinc/34/26/83/411342683.db2.gz KDUMUYXTZUKKHU-BIMULSAOSA-N 0 3 223.291 2.654 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1NCc1ccc(C2CC2)cc1 ZINC000128131616 168000616 /nfs/dbraw/zinc/00/06/16/168000616.db2.gz ACFRARQVQVWMLB-GJZGRUSLSA-N 0 3 245.366 2.813 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1NCc1cc2ccccc2o1 ZINC000129522737 168000631 /nfs/dbraw/zinc/00/06/31/168000631.db2.gz SLTALTJECRFMCP-KBPBESRZSA-N 0 3 245.322 2.682 20 0 BFADHN CC1(C)[C@H](NCc2cc3ccccc3o2)C[C@@H]1O ZINC000129522516 168001286 /nfs/dbraw/zinc/00/12/86/168001286.db2.gz SLTALTJECRFMCP-KGLIPLIRSA-N 0 3 245.322 2.682 20 0 BFADHN CC1(C)[C@H](N[C@@H]2CCc3ccc(F)cc32)C[C@@H]1O ZINC000183899091 168002622 /nfs/dbraw/zinc/00/26/22/168002622.db2.gz RVORWIAIOQNXJZ-MCIONIFRSA-N 0 3 249.329 2.562 20 0 BFADHN CC1(C)[C@H](NCc2ccco2)[C@H]2CCCO[C@H]21 ZINC000227075844 168006167 /nfs/dbraw/zinc/00/61/67/168006167.db2.gz PWHPROVNOGOOMC-JHJVBQTASA-N 0 3 235.327 2.573 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NCc1ccccc1Cl ZINC000163307810 168009173 /nfs/dbraw/zinc/00/91/73/168009173.db2.gz SHXBTCLEJYSBBY-VXGBXAGGSA-N 0 3 239.746 2.589 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NCc1cccc(C2CC2)c1 ZINC000128080300 168009242 /nfs/dbraw/zinc/00/92/42/168009242.db2.gz IYPZVJDGWVBZPF-HUUCEWRRSA-N 0 3 245.366 2.813 20 0 BFADHN C[C@H](N[C@H]1C[C@H](C)CC[C@@H]1C)c1nccn1C ZINC000658340204 411347275 /nfs/dbraw/zinc/34/72/75/411347275.db2.gz ZJYPYOBZQBPRTD-VOAKCMCISA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1)c1cccc(C2CC2)c1 ZINC000658348440 411347913 /nfs/dbraw/zinc/34/79/13/411347913.db2.gz QAIFMRHWXZSDAK-LKTVYLICSA-N 0 3 231.339 2.738 20 0 BFADHN CC1(CNCc2ccc(C3CC3)cc2F)COC1 ZINC000291628621 168044533 /nfs/dbraw/zinc/04/45/33/168044533.db2.gz AHOBPTRYZZVHAJ-UHFFFAOYSA-N 0 3 249.329 2.829 20 0 BFADHN CC1(CO)CCN(C/C=C/c2ccccc2)CC1 ZINC000186948318 168049652 /nfs/dbraw/zinc/04/96/52/168049652.db2.gz TUQBMSYAGRNYTF-VMPITWQZSA-N 0 3 245.366 2.794 20 0 BFADHN CC1(NCc2cccc(F)c2F)CCOCC1 ZINC000159153182 168063738 /nfs/dbraw/zinc/06/37/38/168063738.db2.gz ATOFMTBODHBBCI-UHFFFAOYSA-N 0 3 241.281 2.624 20 0 BFADHN CC1(O)CCN([C@H]2CCc3ccc(F)cc32)CC1 ZINC000177293708 168066355 /nfs/dbraw/zinc/06/63/55/168066355.db2.gz YNEGPGJJJVJNTN-AWEZNQCLSA-N 0 3 249.329 2.660 20 0 BFADHN CC1=C(C)CN(CCC(=O)OC(C)(C)C)CC1 ZINC000361620228 168083016 /nfs/dbraw/zinc/08/30/16/168083016.db2.gz PORRIJRXVGWMJK-UHFFFAOYSA-N 0 3 239.359 2.760 20 0 BFADHN CC1=C(C)CN(C[C@@H](O)c2ccccc2)CC1 ZINC000280781468 168083695 /nfs/dbraw/zinc/08/36/95/168083695.db2.gz QCICPYMHUINKAI-OAHLLOKOSA-N 0 3 231.339 2.762 20 0 BFADHN CCN1CCC2(C=Cc3ccccc3O2)CC1 ZINC000662214089 411378821 /nfs/dbraw/zinc/37/88/21/411378821.db2.gz KFWCHIOAHMWCEE-UHFFFAOYSA-N 0 3 229.323 2.947 20 0 BFADHN Cc1ccncc1CN1CCC=C(C)C1 ZINC000280797302 168100245 /nfs/dbraw/zinc/10/02/45/168100245.db2.gz KCYGIHHQTVBFOE-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN CC1=CCN(C/C=C/CN2CC=C(C)CC2)CC1 ZINC000270322833 168110700 /nfs/dbraw/zinc/11/07/00/168110700.db2.gz IYONPKLCAYTOFR-ONEGZZNKSA-N 0 3 246.398 2.847 20 0 BFADHN CC1=CCN(C/C=C\CN2CC=C(C)CC2)CC1 ZINC000340198212 168110740 /nfs/dbraw/zinc/11/07/40/168110740.db2.gz IYONPKLCAYTOFR-ARJAWSKDSA-N 0 3 246.398 2.847 20 0 BFADHN Cc1ccc([C@H](C)NCCN2CC=C(C)CC2)o1 ZINC000358220190 168111707 /nfs/dbraw/zinc/11/17/07/168111707.db2.gz DVPGIWJWIBIJLX-AWEZNQCLSA-N 0 3 248.370 2.891 20 0 BFADHN CC1=CCN(CCNCc2cccc(F)c2)CC1 ZINC000358119513 168113632 /nfs/dbraw/zinc/11/36/32/168113632.db2.gz OLIONSKDJSPYQQ-UHFFFAOYSA-N 0 3 248.345 2.567 20 0 BFADHN CC1=CC[C@@H](NCc2nnc(C(C)C)[nH]2)CC1 ZINC000294925611 168119438 /nfs/dbraw/zinc/11/94/38/168119438.db2.gz ZAZSSJSXFOJTFM-LLVKDONJSA-N 0 3 234.347 2.517 20 0 BFADHN CC1=CC[C@@H]([NH2+]Cc2nnc(C(C)C)[n-]2)CC1 ZINC000294925611 168119441 /nfs/dbraw/zinc/11/94/41/168119441.db2.gz ZAZSSJSXFOJTFM-LLVKDONJSA-N 0 3 234.347 2.517 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CC=C(C)CC1 ZINC000284293511 168120557 /nfs/dbraw/zinc/12/05/57/168120557.db2.gz YEYZDWMSYCXFID-LBPRGKRZSA-N 0 3 220.316 2.880 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CN2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000356124576 168122947 /nfs/dbraw/zinc/12/29/47/168122947.db2.gz JMIJKWPWOYCYSY-NGFQHRJXSA-N 0 3 235.371 2.842 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CN2CCOCC23CC3)C1 ZINC000356340867 168123780 /nfs/dbraw/zinc/12/37/80/168123780.db2.gz PFOJMJJEUGJORV-TZMCWYRMSA-N 0 3 235.371 2.844 20 0 BFADHN Cc1ncsc1CN1CC(C)=C[C@@H](C)C1 ZINC000336007340 168123937 /nfs/dbraw/zinc/12/39/37/168123937.db2.gz YSVXHAWLDWPAFV-SECBINFHSA-N 0 3 222.357 2.850 20 0 BFADHN C[C@H](CN[C@@H]1CCCn2ccnc21)CC(F)F ZINC000655779950 411381017 /nfs/dbraw/zinc/38/10/17/411381017.db2.gz UUPZLIBLKZLVNC-VHSXEESVSA-N 0 3 243.301 2.599 20 0 BFADHN Cc1cccc(CN2CC(C)=C[C@H](C)C2)n1 ZINC000336600199 168128515 /nfs/dbraw/zinc/12/85/15/168128515.db2.gz BFLUXQMLPDLGJF-NSHDSACASA-N 0 3 216.328 2.788 20 0 BFADHN CC1CCC(C)(CNCc2cc[nH]n2)CC1 ZINC000336749069 168150189 /nfs/dbraw/zinc/15/01/89/168150189.db2.gz TZCKBFZTAZTOMG-UHFFFAOYSA-N 0 3 221.348 2.716 20 0 BFADHN CC1CCC(CN2CCO[C@@H](C)[C@@H]2C)CC1 ZINC000353899553 168153288 /nfs/dbraw/zinc/15/32/88/168153288.db2.gz LPQYFFJCIFSJCM-QPPOZKHWSA-N 0 3 225.376 2.922 20 0 BFADHN CC1CCC(CN2CCO[C@H](C)[C@@H]2C)CC1 ZINC000353899554 168153921 /nfs/dbraw/zinc/15/39/21/168153921.db2.gz LPQYFFJCIFSJCM-YIFLHPOLSA-N 0 3 225.376 2.922 20 0 BFADHN CC1CCC(NCc2ncccc2N(C)C)CC1 ZINC000289732371 168164177 /nfs/dbraw/zinc/16/41/77/168164177.db2.gz GWEOVQNNXGMNLU-UHFFFAOYSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@@H](NC1CCC(C)CC1)c1ccon1 ZINC000308841038 168165819 /nfs/dbraw/zinc/16/58/19/168165819.db2.gz KBTWVGHBFNNYAD-HSOILSAZSA-N 0 3 208.305 2.904 20 0 BFADHN CC1CCC(c2noc([C@@H]3CCN(C)C3)n2)CC1 ZINC000336499109 168169943 /nfs/dbraw/zinc/16/99/43/168169943.db2.gz RQRQNQYYUKIDDE-HTAVTVPLSA-N 0 3 249.358 2.782 20 0 BFADHN CC1CCN(C[C@@H](O)c2ccccc2F)CC1 ZINC000033466101 168182781 /nfs/dbraw/zinc/18/27/81/168182781.db2.gz CLMMEIQLWSABRU-CQSZACIVSA-N 0 3 237.318 2.591 20 0 BFADHN CC1CN(C/C=C/c2ccc(F)cc2)C1 ZINC000338959932 168190184 /nfs/dbraw/zinc/19/01/84/168190184.db2.gz YZTLNPHQXOIHMV-NSCUHMNNSA-N 0 3 205.276 2.791 20 0 BFADHN CCC(=O)CCCN(C)Cc1ccccc1 ZINC000203984287 168198498 /nfs/dbraw/zinc/19/84/98/168198498.db2.gz DRFNKJDFUUNGIX-UHFFFAOYSA-N 0 3 219.328 2.878 20 0 BFADHN CCC(=O)CCN1CCCC[C@@H]1c1cccn1C ZINC000190261964 168201766 /nfs/dbraw/zinc/20/17/66/168201766.db2.gz JVVLUUZHTHTOFK-OAHLLOKOSA-N 0 3 248.370 2.921 20 0 BFADHN CCC(=O)CCN1CC[C@@H](c2ccc(F)cc2)C1 ZINC000276883977 168203236 /nfs/dbraw/zinc/20/32/36/168203236.db2.gz MSWVHWKAMNXILE-CYBMUJFWSA-N 0 3 249.329 2.984 20 0 BFADHN CCC(=O)NC[C@H](c1ccccc1)N(CC)CC ZINC000040615098 168232106 /nfs/dbraw/zinc/23/21/06/168232106.db2.gz HJLZWSNGIHPWBE-CQSZACIVSA-N 0 3 248.370 2.596 20 0 BFADHN COc1cc(CN2CCCC[C@H]2C(C)C)on1 ZINC000659935800 411385858 /nfs/dbraw/zinc/38/58/58/411385858.db2.gz NIGGJRYUPSKULD-LBPRGKRZSA-N 0 3 238.331 2.694 20 0 BFADHN CCC(C)(C)C(=O)Nc1cccc(CNC)c1 ZINC000054403820 168269739 /nfs/dbraw/zinc/26/97/39/168269739.db2.gz FRXSBWFWCSVETF-UHFFFAOYSA-N 0 3 234.343 2.781 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H]2CCCc3cccnc32)C1 ZINC000656864846 411386709 /nfs/dbraw/zinc/38/67/09/411386709.db2.gz LCDUNBMXYVYYGS-HZSPNIEDSA-N 0 3 246.354 2.616 20 0 BFADHN CCC(C)(C)CNCc1c(Cl)cnn1C ZINC000192352099 168281434 /nfs/dbraw/zinc/28/14/34/168281434.db2.gz AZLGXHGLJYJTSR-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN CCC(C)(C)NC(=O)C[C@@H]1NCc2ccccc21 ZINC000659277353 411387373 /nfs/dbraw/zinc/38/73/73/411387373.db2.gz UCIDLKUBLHMWJP-ZDUSSCGKSA-N 0 3 246.354 2.526 20 0 BFADHN CCC(C)(C)N(C)Cc1cn2ccccc2n1 ZINC000265259689 168285504 /nfs/dbraw/zinc/28/55/04/168285504.db2.gz VAGGEVFGJSYQNS-UHFFFAOYSA-N 0 3 231.343 2.955 20 0 BFADHN CCC(C)(C)NCc1cn2ccccc2n1 ZINC000020036086 168298964 /nfs/dbraw/zinc/29/89/64/168298964.db2.gz OERLYGLFZWGRNZ-UHFFFAOYSA-N 0 3 217.316 2.613 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H]2CCCc3occc32)CO1 ZINC000657306933 411458647 /nfs/dbraw/zinc/45/86/47/411458647.db2.gz CRGNHVPZCJRJLN-NQBHXWOUSA-N 0 3 235.327 2.814 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccc(C)s2)CO1 ZINC000657313889 411460202 /nfs/dbraw/zinc/46/02/02/411460202.db2.gz WOJCDGSKCUSYFK-GHMZBOCLSA-N 0 3 225.357 2.714 20 0 BFADHN c1ccc([C@@H]2CC[C@@H](CN3CCOCC3)C2)cc1 ZINC000659813918 411461009 /nfs/dbraw/zinc/46/10/09/411461009.db2.gz LFFSYHSBLSVRCW-GDBMZVCRSA-N 0 3 245.366 2.903 20 0 BFADHN CC[C@H]1C[C@H](Nc2cccc(CN(C)C)c2)CO1 ZINC000657322204 411461474 /nfs/dbraw/zinc/46/14/74/411461474.db2.gz JBWAUIKCSJAUAZ-GJZGRUSLSA-N 0 3 248.370 2.728 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccc(C)cc2C)CO1 ZINC000657315386 411461722 /nfs/dbraw/zinc/46/17/22/411461722.db2.gz SNXSLXLAUOQAMV-GJZGRUSLSA-N 0 3 233.355 2.961 20 0 BFADHN CC[C@H](NCc1ccoc1C)[C@@H]1CCCO1 ZINC000414371025 411412222 /nfs/dbraw/zinc/41/22/22/411412222.db2.gz XSIWOEXFKOLNCS-STQMWFEESA-N 0 3 223.316 2.635 20 0 BFADHN Cc1occc1CN1CCC(OCC2CC2)CC1 ZINC000414414535 411417016 /nfs/dbraw/zinc/41/70/16/411417016.db2.gz TVCCFXZLEAXWJS-UHFFFAOYSA-N 0 3 249.354 2.979 20 0 BFADHN CCc1ncc(CN2[C@H](C)CC[C@@H]2CC)cn1 ZINC000414441189 411418237 /nfs/dbraw/zinc/41/82/37/411418237.db2.gz JDZNFDLMJHJCFN-YPMHNXCESA-N 0 3 233.359 2.802 20 0 BFADHN CCc1ncc(CN2CCC[C@H](C(C)C)C2)cn1 ZINC000414441299 411418532 /nfs/dbraw/zinc/41/85/32/411418532.db2.gz WEKNXHVARZKUKJ-AWEZNQCLSA-N 0 3 247.386 2.907 20 0 BFADHN C[C@H](CN[C@@H](C)c1ccn(C)n1)C(C)(C)C ZINC000657227259 411420991 /nfs/dbraw/zinc/42/09/91/411420991.db2.gz FRNQIHLTNIQQPB-MNOVXSKESA-N 0 3 223.364 2.753 20 0 BFADHN CC[C@H](NCc1cn2cc(C)ccc2n1)C1CC1 ZINC000268867102 411423517 /nfs/dbraw/zinc/42/35/17/411423517.db2.gz KUFLQUBAMOMCLA-AWEZNQCLSA-N 0 3 243.354 2.921 20 0 BFADHN CC[C@@H](CC(F)F)CN(C)Cc1cnn(C)c1 ZINC000659816773 411462643 /nfs/dbraw/zinc/46/26/43/411462643.db2.gz DUJLRVHTWXHFSE-JTQLQIEISA-N 0 3 245.317 2.533 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1cnn2ccccc12 ZINC000414521210 411425706 /nfs/dbraw/zinc/42/57/06/411425706.db2.gz DXHYFXBYCCAJRE-LBPRGKRZSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@H](N[C@@H]1CCCc2cn[nH]c21)c1ccco1 ZINC000271447661 411430519 /nfs/dbraw/zinc/43/05/19/411430519.db2.gz DADASVWLYMIRHN-GXSJLCMTSA-N 0 3 231.299 2.731 20 0 BFADHN CCCCN(CC)Cc1cc(C)nc(C)n1 ZINC000659817012 411462922 /nfs/dbraw/zinc/46/29/22/411462922.db2.gz WUKAIZFTKGBOLQ-UHFFFAOYSA-N 0 3 221.348 2.715 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1nccs1 ZINC000271589934 411431471 /nfs/dbraw/zinc/43/14/71/411431471.db2.gz SXHOPKYBMHJWRB-ZJUUUORDSA-N 0 3 210.346 2.906 20 0 BFADHN CCCCOCCN[C@@H](C)c1cnccc1C ZINC000271593511 411431786 /nfs/dbraw/zinc/43/17/86/411431786.db2.gz UCGSOLSMDUGRNR-ZDUSSCGKSA-N 0 3 236.359 2.857 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2cccc(OC)c2)CO1 ZINC000657318189 411463081 /nfs/dbraw/zinc/46/30/81/411463081.db2.gz MLTSUNQLBYBYHH-FPMFFAJLSA-N 0 3 249.354 2.913 20 0 BFADHN CO[C@H](C)CN1CC[C@H](c2cccc(F)c2)C1 ZINC000272796763 411435250 /nfs/dbraw/zinc/43/52/50/411435250.db2.gz KGISFVFDSFASAO-YPMHNXCESA-N 0 3 237.318 2.650 20 0 BFADHN Fc1ccc([C@H](N[C@@H]2CCOC2)C2CC2)cc1 ZINC000131177021 411437051 /nfs/dbraw/zinc/43/70/51/411437051.db2.gz PFCHOTNMJHNTQP-ZIAGYGMSSA-N 0 3 235.302 2.655 20 0 BFADHN CCCC1(CN[C@@H]2CCCn3ccnc32)CC1 ZINC000655733902 411442377 /nfs/dbraw/zinc/44/23/77/411442377.db2.gz UVBAUAFXWLEJOB-GFCCVEGCSA-N 0 3 233.359 2.888 20 0 BFADHN COc1cc(CN(C)[C@@H](C)C(C)C)sn1 ZINC000659824679 411463627 /nfs/dbraw/zinc/46/36/27/411463627.db2.gz GSDLAQQRHSNBAO-VIFPVBQESA-N 0 3 228.361 2.628 20 0 BFADHN C[C@@H]1CCCC[C@H]1NCc1cn(C2CCC2)nn1 ZINC000657285670 411443999 /nfs/dbraw/zinc/44/39/99/411443999.db2.gz MNKBQBOGZRLWSB-BXUZGUMPSA-N 0 3 248.374 2.671 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)CCc2ccccc2)n1 ZINC000657286147 411444068 /nfs/dbraw/zinc/44/40/68/411444068.db2.gz SEWSYMMVSIYMGQ-GFCCVEGCSA-N 0 3 243.354 2.829 20 0 BFADHN c1c(CNC2CCCCCC2)nn2c1CCC2 ZINC000657286862 411445312 /nfs/dbraw/zinc/44/53/12/411445312.db2.gz UQFJGKSMFHKJSF-UHFFFAOYSA-N 0 3 233.359 2.642 20 0 BFADHN CCC1(NCc2cc3n(n2)CCCC3)CCC1 ZINC000655743870 411447198 /nfs/dbraw/zinc/44/71/98/411447198.db2.gz KUWWRAZZASVZCU-UHFFFAOYSA-N 0 3 233.359 2.642 20 0 BFADHN CC[C@H]1C[C@H](NCCOc2cccc(C)c2)CO1 ZINC000657291681 411453420 /nfs/dbraw/zinc/45/34/20/411453420.db2.gz BDNXBYQWFZZSHL-KBPBESRZSA-N 0 3 249.354 2.531 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2cn(C3CCC3)nn2)C1 ZINC000657300286 411454075 /nfs/dbraw/zinc/45/40/75/411454075.db2.gz KZLDAJQDNMHYTM-NWDGAFQWSA-N 0 3 248.374 2.671 20 0 BFADHN c1ccc2c(CCN3CCCC3)nsc2c1 ZINC000659826006 411464307 /nfs/dbraw/zinc/46/43/07/411464307.db2.gz BYARCHIKFQSIBP-UHFFFAOYSA-N 0 3 232.352 2.935 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccc(F)c(C)c2)CO1 ZINC000657295708 411454545 /nfs/dbraw/zinc/45/45/45/411454545.db2.gz VSDHGHZJDBLYOQ-CHWSQXEVSA-N 0 3 237.318 2.791 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H]2CCc3ccccc32)CO1 ZINC000657297083 411454711 /nfs/dbraw/zinc/45/47/11/411454711.db2.gz LEJKDKVFMBVDFT-UMVBOHGHSA-N 0 3 231.339 2.831 20 0 BFADHN CC(C)n1ccnc1CNC[C@H](C)C1CC1 ZINC000657330162 411467075 /nfs/dbraw/zinc/46/70/75/411467075.db2.gz RLVDVMQKJFIVEY-NSHDSACASA-N 0 3 221.348 2.600 20 0 BFADHN CC[C@@H]1C[C@H](NCc2sccc2C)CO1 ZINC000657331481 411468163 /nfs/dbraw/zinc/46/81/63/411468163.db2.gz DODKPBDMHGVEJG-WDEREUQCSA-N 0 3 225.357 2.714 20 0 BFADHN CCOc1ccccc1CNCC1(COC)CC1 ZINC000655838170 411532679 /nfs/dbraw/zinc/53/26/79/411532679.db2.gz JHMWOOCPHGQJRD-UHFFFAOYSA-N 0 3 249.354 2.602 20 0 BFADHN CO[C@H](C)CCNCc1ccc(F)c(F)c1F ZINC000657343378 411469749 /nfs/dbraw/zinc/46/97/49/411469749.db2.gz ZRMXRCVFOIPDKX-MRVPVSSYSA-N 0 3 247.260 2.619 20 0 BFADHN COCCN(CCC1CCC1)Cc1ccco1 ZINC000659837452 411470590 /nfs/dbraw/zinc/47/05/90/411470590.db2.gz GUBOBJGXPKFASS-UHFFFAOYSA-N 0 3 237.343 2.918 20 0 BFADHN CC1(NCc2cc3n(n2)CCC3)CCCCC1 ZINC000657344769 411471127 /nfs/dbraw/zinc/47/11/27/411471127.db2.gz CNNAOTAZHABACA-UHFFFAOYSA-N 0 3 233.359 2.642 20 0 BFADHN CCC(C)(C)NCc1cc(C(F)(F)F)nn1C ZINC000657339370 411472498 /nfs/dbraw/zinc/47/24/98/411472498.db2.gz RUVOGFWOMAEYGL-UHFFFAOYSA-N 0 3 249.280 2.717 20 0 BFADHN Cc1cc(CN([C@H](C)C2CC2)C2CC2)nc(C)n1 ZINC000659842842 411473562 /nfs/dbraw/zinc/47/35/62/411473562.db2.gz VTTUDYBDIREGSK-LLVKDONJSA-N 0 3 245.370 2.856 20 0 BFADHN CC[C@H]1C[C@@H](N[C@@H](C)c2ccccc2)CO1 ZINC000657354380 411473853 /nfs/dbraw/zinc/47/38/53/411473853.db2.gz PVRXENAYTLZKCF-YUTCNCBUSA-N 0 3 219.328 2.905 20 0 BFADHN CCCCN(Cc1cc(C)nc(C)n1)C1CC1 ZINC000659842238 411473920 /nfs/dbraw/zinc/47/39/20/411473920.db2.gz YDMIMYZWCDTUQU-UHFFFAOYSA-N 0 3 233.359 2.858 20 0 BFADHN CC[C@H]1C[C@@H](N[C@H](C)c2ccccc2)CO1 ZINC000657354377 411474019 /nfs/dbraw/zinc/47/40/19/411474019.db2.gz PVRXENAYTLZKCF-BNOWGMLFSA-N 0 3 219.328 2.905 20 0 BFADHN C(CC1CCC1)CN1CCN(CC2CC2)CC1 ZINC000659845890 411474162 /nfs/dbraw/zinc/47/41/62/411474162.db2.gz CHFUSRTZWXIOLI-UHFFFAOYSA-N 0 3 236.403 2.594 20 0 BFADHN CCC[C@H]1[C@H](C)CCCN1Cc1cn(C)cn1 ZINC000660085188 411535339 /nfs/dbraw/zinc/53/53/39/411535339.db2.gz QENXKSBMNBOYCZ-OCCSQVGLSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@@H](NCc1cn(C2CCC2)nn1)C1CCCC1 ZINC000657361131 411476663 /nfs/dbraw/zinc/47/66/63/411476663.db2.gz GDLDGWYVYZYWKC-LLVKDONJSA-N 0 3 248.374 2.671 20 0 BFADHN C[C@H](Cc1ccccn1)N[C@H](C)c1ccccn1 ZINC000655838047 411533083 /nfs/dbraw/zinc/53/30/83/411533083.db2.gz ZHMWBKFDMRQXQV-CHWSQXEVSA-N 0 3 241.338 2.758 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3cccc(F)c3)CC2)[C@@H](C)O1 ZINC000295441887 411477904 /nfs/dbraw/zinc/47/79/04/411477904.db2.gz OWNGIKUXYYXAJY-GYSYKLTISA-N 0 3 249.329 2.970 20 0 BFADHN CCN(CCOC)Cc1cccc(SC)c1 ZINC000659854813 411478277 /nfs/dbraw/zinc/47/82/77/411478277.db2.gz WWTSDIGUWCAZFU-UHFFFAOYSA-N 0 3 239.384 2.877 20 0 BFADHN CC1CCC(C)(NCc2cc3n(n2)CCC3)CC1 ZINC000657355851 411478498 /nfs/dbraw/zinc/47/84/98/411478498.db2.gz BJZPFVXVBDSHDX-UHFFFAOYSA-N 0 3 247.386 2.888 20 0 BFADHN CO[C@@H](CN(C)Cc1ccoc1)C1CCCC1 ZINC000659854150 411478535 /nfs/dbraw/zinc/47/85/35/411478535.db2.gz XQQDSFKSNZWZCN-AWEZNQCLSA-N 0 3 237.343 2.917 20 0 BFADHN Cc1cc(CN(C)CC2CCCC2)nc(C)n1 ZINC000659860881 411481244 /nfs/dbraw/zinc/48/12/44/411481244.db2.gz HVOMPLBHARGESF-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2c(C)noc2C)CO1 ZINC000657366140 411481332 /nfs/dbraw/zinc/48/13/32/411481332.db2.gz HINREDOZGBKICJ-KPXOXKRLSA-N 0 3 238.331 2.510 20 0 BFADHN Cc1nc(CN2CCC[C@H]2CC(C)C)co1 ZINC000659863011 411481535 /nfs/dbraw/zinc/48/15/35/411481535.db2.gz FNBFMXBHPDZSQY-ZDUSSCGKSA-N 0 3 222.332 2.994 20 0 BFADHN CCC1(C)CCN(CCO[C@H]2CC2(F)F)CC1 ZINC000659864957 411483048 /nfs/dbraw/zinc/48/30/48/411483048.db2.gz BCXKEJHRLIKSJB-NSHDSACASA-N 0 3 247.329 2.923 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2nc(C)c[nH]2)C1 ZINC000657372549 411484969 /nfs/dbraw/zinc/48/49/69/411484969.db2.gz HKXZDHWFHIQISX-NWDGAFQWSA-N 0 3 221.348 2.777 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CC[C@@H]3CCC[C@@H]3C2)n1 ZINC000657377053 411485961 /nfs/dbraw/zinc/48/59/61/411485961.db2.gz QXUCOOAYRQKXFG-YNEHKIRRSA-N 0 3 233.359 2.777 20 0 BFADHN CCC(CC)(CC)NCc1nc(C)c[nH]1 ZINC000657376672 411486064 /nfs/dbraw/zinc/48/60/64/411486064.db2.gz TVZRSGAKLKJLMP-UHFFFAOYSA-N 0 3 209.337 2.777 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1cc2n(n1)CCC2 ZINC000657377900 411486984 /nfs/dbraw/zinc/48/69/84/411486984.db2.gz RKVQULICWIYGLE-NEPJUHHUSA-N 0 3 235.375 2.744 20 0 BFADHN CC[C@@H](NCc1nc(C)c[nH]1)[C@H]1CC1(C)C ZINC000657494057 411533608 /nfs/dbraw/zinc/53/36/08/411533608.db2.gz KQLOMQFNDVMAIT-GHMZBOCLSA-N 0 3 221.348 2.632 20 0 BFADHN Cc1cc(CN(CC2CC2)CC2CC2)nc(C)n1 ZINC000660074981 411533613 /nfs/dbraw/zinc/53/36/13/411533613.db2.gz TWCIPEGMMXRGNB-UHFFFAOYSA-N 0 3 245.370 2.715 20 0 BFADHN CC[C@@H](N[C@@H]1CCC[C@H]1O)c1ccccc1OC ZINC000453420535 411491777 /nfs/dbraw/zinc/49/17/77/411491777.db2.gz XTRLHRMWYXWREX-MGPQQGTHSA-N 0 3 249.354 2.649 20 0 BFADHN CCc1cccc2cc(CNC[C@H](O)CC)oc21 ZINC000657493665 411533820 /nfs/dbraw/zinc/53/38/20/411533820.db2.gz HIYGWSPHNCPZTL-CYBMUJFWSA-N 0 3 247.338 2.856 20 0 BFADHN CO[C@@H]1CCC[C@H]1CN[C@H](C)c1cc(C)ccn1 ZINC000353632953 411493582 /nfs/dbraw/zinc/49/35/82/411493582.db2.gz QUHRLFJPADYHBW-VNHYZAJKSA-N 0 3 248.370 2.856 20 0 BFADHN CO[C@@H]1CCC[C@H]1CN[C@@H](C)c1cc(C)ccn1 ZINC000353632945 411494129 /nfs/dbraw/zinc/49/41/29/411494129.db2.gz QUHRLFJPADYHBW-KCQAQPDRSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1CCO[C@H]1CC1(F)F ZINC000659911493 411495609 /nfs/dbraw/zinc/49/56/09/411495609.db2.gz QUVGRUSZEAYJMP-AXFHLTTASA-N 0 3 233.302 2.674 20 0 BFADHN C[C@]1(NCc2cn(C3CCC3)nn2)CC=CCC1 ZINC000657490525 411533993 /nfs/dbraw/zinc/53/39/93/411533993.db2.gz MKTQBJBQMSNTKR-AWEZNQCLSA-N 0 3 246.358 2.592 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2C[C@H]3C[C@H]3C2)C[C@H](C)O1 ZINC000659920015 411498298 /nfs/dbraw/zinc/49/82/98/411498298.db2.gz TZFIDRWQFIQFOV-PDWCTOEPSA-N 0 3 223.360 2.532 20 0 BFADHN CCOc1ccc(CN[C@@]2(C)CCOC2)c(C)c1 ZINC000657417196 411500630 /nfs/dbraw/zinc/50/06/30/411500630.db2.gz KDTCYBTVGQIYFW-HNNXBMFYSA-N 0 3 249.354 2.662 20 0 BFADHN CC[C@@H](C)CNCc1c(C(F)(F)F)cnn1C ZINC000657495005 411534224 /nfs/dbraw/zinc/53/42/24/411534224.db2.gz UECXVLTVIQZQGI-MRVPVSSYSA-N 0 3 249.280 2.575 20 0 BFADHN CC1(C)C[C@@H](N2CCC[C@@H]2c2ccco2)CO1 ZINC000659933983 411503375 /nfs/dbraw/zinc/50/33/75/411503375.db2.gz LWQSNFOINKQZIY-VXGBXAGGSA-N 0 3 235.327 2.984 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2cnccc2C)CO1 ZINC000657424647 411504815 /nfs/dbraw/zinc/50/48/15/411504815.db2.gz DKHKNAWRPQLGCY-RWMBFGLXSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cc3n(n2)CCC3)C[C@H]1C ZINC000657434221 411506333 /nfs/dbraw/zinc/50/63/33/411506333.db2.gz ARAJSHFQYFGRPR-JHJVBQTASA-N 0 3 247.386 2.744 20 0 BFADHN c1cc(CN[C@@H]2C[C@H]2C2CCC2)n(CC2CC2)n1 ZINC000657494675 411534590 /nfs/dbraw/zinc/53/45/90/411534590.db2.gz DZOXVFNCVZQSJI-LSDHHAIUSA-N 0 3 245.370 2.571 20 0 BFADHN C[C@H](CCC1CC1)NCc1cn(C2CCC2)nn1 ZINC000657437200 411509426 /nfs/dbraw/zinc/50/94/26/411509426.db2.gz HEGWHTQALMRZQJ-LLVKDONJSA-N 0 3 248.374 2.671 20 0 BFADHN CC[C@@H]1C[C@H](NCc2cscc2C)CO1 ZINC000657451235 411511508 /nfs/dbraw/zinc/51/15/08/411511508.db2.gz LKOYMIRHLPWACG-NWDGAFQWSA-N 0 3 225.357 2.714 20 0 BFADHN CN(CCc1cccs1)[C@@H]1COC(C)(C)C1 ZINC000659958765 411511885 /nfs/dbraw/zinc/51/18/85/411511885.db2.gz GUYKANNBIWLQNH-NSHDSACASA-N 0 3 239.384 2.790 20 0 BFADHN CCc1nocc1CN1C[C@@H](C)CC[C@H]1C ZINC000659971257 411513730 /nfs/dbraw/zinc/51/37/30/411513730.db2.gz OCMGPLFHSXYUCB-WDEREUQCSA-N 0 3 222.332 2.857 20 0 BFADHN CC(C)=CCCN1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000660443649 411514819 /nfs/dbraw/zinc/51/48/19/411514819.db2.gz JXXHITQJXWKMPG-GXTWGEPZSA-N 0 3 223.360 2.842 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1coc(C)n1 ZINC000659975158 411515584 /nfs/dbraw/zinc/51/55/84/411515584.db2.gz VGBJUYWWWZMLBP-BXKDBHETSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1nc(C)oc1C ZINC000659975702 411516165 /nfs/dbraw/zinc/51/61/65/411516165.db2.gz ZKWDJLLDHASJTL-SKDRFNHKSA-N 0 3 222.332 2.912 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1cn(C2CCC2)nn1 ZINC000657455877 411516509 /nfs/dbraw/zinc/51/65/09/411516509.db2.gz NBNBCWGQRWHZAT-RYUDHWBXSA-N 0 3 248.374 2.529 20 0 BFADHN CCc1cccc2cc(CNCCCCO)oc21 ZINC000657451370 411517448 /nfs/dbraw/zinc/51/74/48/411517448.db2.gz QUGLTFGWNFSFOL-UHFFFAOYSA-N 0 3 247.338 2.857 20 0 BFADHN CC(C)(C)c1cccc(CN2CC[C@@](C)(O)C2)c1 ZINC000659985543 411519763 /nfs/dbraw/zinc/51/97/63/411519763.db2.gz LXVYQQIZSQWLRR-MRXNPFEDSA-N 0 3 247.382 2.941 20 0 BFADHN Cc1occc1CN[C@H](C)Cc1ccccn1 ZINC000655844002 411535002 /nfs/dbraw/zinc/53/50/02/411535002.db2.gz XYXGWPUKQCATTC-LLVKDONJSA-N 0 3 230.311 2.704 20 0 BFADHN CC1(C)C(CNCc2cc3n(n2)CCC3)C1(C)C ZINC000657458194 411522411 /nfs/dbraw/zinc/52/24/11/411522411.db2.gz XKFSGRBYRCWICQ-UHFFFAOYSA-N 0 3 247.386 2.601 20 0 BFADHN c1coc(CN[C@@H](C2CC2)[C@H]2CCCCO2)c1 ZINC000655809792 411522791 /nfs/dbraw/zinc/52/27/91/411522791.db2.gz CNONOUXRMRQQGP-KGLIPLIRSA-N 0 3 235.327 2.717 20 0 BFADHN COCC1(CN[C@H](C)c2cc(C)c(C)o2)CC1 ZINC000655815783 411524903 /nfs/dbraw/zinc/52/49/03/411524903.db2.gz IFDQKQYIRZELNV-LLVKDONJSA-N 0 3 237.343 2.974 20 0 BFADHN c1c(CNCC2CCCCCC2)nn2c1CCC2 ZINC000657481880 411527066 /nfs/dbraw/zinc/52/70/66/411527066.db2.gz ZJAUMMCBEHHLAY-UHFFFAOYSA-N 0 3 247.386 2.889 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)CC(C)(C)C)n1 ZINC000657488032 411530616 /nfs/dbraw/zinc/53/06/16/411530616.db2.gz NSICZNVGJBOCPM-SECBINFHSA-N 0 3 209.337 2.632 20 0 BFADHN Cc1c[nH]c(CN[C@@H](C)CC(C)(C)C)n1 ZINC000657488033 411531278 /nfs/dbraw/zinc/53/12/78/411531278.db2.gz NSICZNVGJBOCPM-VIFPVBQESA-N 0 3 209.337 2.632 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)Cc2ccsc2)n1 ZINC000657324140 411464997 /nfs/dbraw/zinc/46/49/97/411464997.db2.gz UUWBBUPXGZFNJE-SECBINFHSA-N 0 3 235.356 2.500 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)Cc2ccccc2F)n1 ZINC000657326249 411465826 /nfs/dbraw/zinc/46/58/26/411465826.db2.gz LAACBPBYEIYNJT-SNVBAGLBSA-N 0 3 247.317 2.578 20 0 BFADHN CCN1CC[C@@H](Oc2cccc(Cl)c2)C1 ZINC000120104162 170014176 /nfs/dbraw/zinc/01/41/76/170014176.db2.gz QAEZRCRHUNYESU-GFCCVEGCSA-N 0 3 225.719 2.813 20 0 BFADHN CCN1CC[C@@H](N[C@H](C)c2ccccc2F)C1 ZINC000336888281 170014649 /nfs/dbraw/zinc/01/46/49/170014649.db2.gz FBLUXWQMAUMQJP-VXGBXAGGSA-N 0 3 236.334 2.571 20 0 BFADHN Cc1ccc(CN[C@H]2CCCN3CCCC[C@H]23)o1 ZINC000655855917 411539153 /nfs/dbraw/zinc/53/91/53/411539153.db2.gz IYDLNOGPAYPBJS-LSDHHAIUSA-N 0 3 248.370 2.695 20 0 BFADHN CCN1C[C@H](C)N(Cc2ccccc2)C[C@H]1C ZINC000306305007 170033611 /nfs/dbraw/zinc/03/36/11/170033611.db2.gz RNALYXYCHYFGGG-KGLIPLIRSA-N 0 3 232.371 2.601 20 0 BFADHN CCN1Cc2ccccc2OC(C)(C)C1 ZINC000289138552 170040791 /nfs/dbraw/zinc/04/07/91/170040791.db2.gz YHPNSQIWDANUHJ-UHFFFAOYSA-N 0 3 205.301 2.680 20 0 BFADHN CCN1Cc2ccc(OC)cc2C2(CC2)C1 ZINC000289607273 170040830 /nfs/dbraw/zinc/04/08/30/170040830.db2.gz QRECABJLCQAMJE-UHFFFAOYSA-N 0 3 217.312 2.562 20 0 BFADHN Cc1ccc2cc(CN[C@@H]3CO[C@@H](C)C3)[nH]c2c1 ZINC000657500211 411537360 /nfs/dbraw/zinc/53/73/60/411537360.db2.gz LRJIBCMPDIROSC-FZMZJTMJSA-N 0 3 244.338 2.743 20 0 BFADHN CC(C)=CCCN1CCc2ccccc2[C@H]1CO ZINC000660101059 411537486 /nfs/dbraw/zinc/53/74/86/411537486.db2.gz DFKAIESWTGSJCA-MRXNPFEDSA-N 0 3 245.366 2.934 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cccc(O)c1)C1CCC1 ZINC000660191117 411552574 /nfs/dbraw/zinc/55/25/74/411552574.db2.gz IRAVVCWDCFUCKF-XHDPSFHLSA-N 0 3 249.354 2.858 20 0 BFADHN CCNCc1c(F)cccc1N1CCCC1 ZINC000163617613 170124772 /nfs/dbraw/zinc/12/47/72/170124772.db2.gz ARRMNMVYLLDAIJ-UHFFFAOYSA-N 0 3 222.307 2.535 20 0 BFADHN CC(C)(C)c1ccc(CNC/C=C/CO)cc1 ZINC000657592170 411556384 /nfs/dbraw/zinc/55/63/84/411556384.db2.gz RKQKEAIGBGIZCM-SNAWJCMRSA-N 0 3 233.355 2.622 20 0 BFADHN CCNCc1cnc(-c2cccs2)s1 ZINC000040996620 170129685 /nfs/dbraw/zinc/12/96/85/170129685.db2.gz UVZRVWOKTIRKBJ-UHFFFAOYSA-N 0 3 224.354 2.981 20 0 BFADHN CCNCc1nc(-c2ccccc2)cs1 ZINC000045206525 170130087 /nfs/dbraw/zinc/13/00/87/170130087.db2.gz LSKKYMSOYXDPGD-UHFFFAOYSA-N 0 3 218.325 2.920 20 0 BFADHN CCNCc1cnc(C2CCCC2)s1 ZINC000040996596 170130516 /nfs/dbraw/zinc/13/05/16/170130516.db2.gz MIVGZKFONLANGA-UHFFFAOYSA-N 0 3 210.346 2.910 20 0 BFADHN CCNCc1nc(C2CCCC2)cs1 ZINC000054077735 170131329 /nfs/dbraw/zinc/13/13/29/170131329.db2.gz AVVDXVWUINJXJH-UHFFFAOYSA-N 0 3 210.346 2.910 20 0 BFADHN CCN[C@@H](CO)c1cccc(Cl)c1Cl ZINC000038120282 170145264 /nfs/dbraw/zinc/14/52/64/170145264.db2.gz GGANPMMRICCWOK-VIFPVBQESA-N 0 3 234.126 2.636 20 0 BFADHN CCCCOc1ccc(CNC/C=C/CO)cc1 ZINC000657591365 411555679 /nfs/dbraw/zinc/55/56/79/411555679.db2.gz GWVWEILALBTOPR-SNAWJCMRSA-N 0 3 249.354 2.504 20 0 BFADHN C[C@H]1CC(CNCc2cocn2)C[C@H](C)C1 ZINC000657590263 411555701 /nfs/dbraw/zinc/55/57/01/411555701.db2.gz YOJRITDIUNNLPH-GHMZBOCLSA-N 0 3 222.332 2.837 20 0 BFADHN CCN[C@H](CC(=O)OC(C)C)c1ccccc1 ZINC000181830825 170160812 /nfs/dbraw/zinc/16/08/12/170160812.db2.gz BMQWMBHGKQUMAZ-CYBMUJFWSA-N 0 3 235.327 2.679 20 0 BFADHN CCN[C@H]1CCCOc2ccc(OC)cc21 ZINC000035652785 170166458 /nfs/dbraw/zinc/16/64/58/170166458.db2.gz CZTOKCGFWPPHDQ-LBPRGKRZSA-N 0 3 221.300 2.518 20 0 BFADHN Fc1ccccc1CN[C@]12C[C@H]1CCC2 ZINC000657548663 411546352 /nfs/dbraw/zinc/54/63/52/411546352.db2.gz ATZKLXLRNZDSBS-DGCLKSJQSA-N 0 3 205.276 2.858 20 0 BFADHN COc1ccc(OC)c(CN[C@@]23C[C@@H]2CCC3)c1 ZINC000657548988 411546661 /nfs/dbraw/zinc/54/66/61/411546661.db2.gz WFSRAAYITNYKGV-WFASDCNBSA-N 0 3 247.338 2.736 20 0 BFADHN c1cc(-c2ccc(CN[C@@]34C[C@@H]3CCC4)o2)n[nH]1 ZINC000657552563 411548224 /nfs/dbraw/zinc/54/82/24/411548224.db2.gz QBQMGHIHMTUHFO-HZMBPMFUSA-N 0 3 243.310 2.702 20 0 BFADHN c1c2cccnc2oc1CN[C@@]12C[C@@H]1CCC2 ZINC000657552956 411548456 /nfs/dbraw/zinc/54/84/56/411548456.db2.gz QVXBQXKREQEKPN-FZMZJTMJSA-N 0 3 228.295 2.860 20 0 BFADHN c1cc(-c2ccc(CN[C@]34C[C@H]3CCC4)o2)n[nH]1 ZINC000657552568 411548623 /nfs/dbraw/zinc/54/86/23/411548623.db2.gz QBQMGHIHMTUHFO-QMTHXVAHSA-N 0 3 243.310 2.702 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H](CO)C2CCC2)o1 ZINC000657557608 411550101 /nfs/dbraw/zinc/55/01/01/411550101.db2.gz WXEAZPODEVQJPB-DDTOSNHZSA-N 0 3 249.354 2.654 20 0 BFADHN CC[C@H]1C[C@@H](NCc2cc(C)cc(C)c2)CO1 ZINC000657626492 411566023 /nfs/dbraw/zinc/56/60/23/411566023.db2.gz XNEHRGVRPAKEML-CABCVRRESA-N 0 3 233.355 2.961 20 0 BFADHN COc1cc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)on1 ZINC000660304623 411566221 /nfs/dbraw/zinc/56/62/21/411566221.db2.gz FZXLQISGBZNIAX-JFGNBEQYSA-N 0 3 238.331 2.598 20 0 BFADHN CCOC(=O)CCN[C@H](C)c1c(C)cccc1C ZINC000355030039 170209765 /nfs/dbraw/zinc/20/97/65/170209765.db2.gz CLUIFFDGXKINQX-CYBMUJFWSA-N 0 3 249.354 2.907 20 0 BFADHN CCOC(=O)CCN[C@H](C)c1cc(C)oc1C ZINC000044730354 170210281 /nfs/dbraw/zinc/21/02/81/170210281.db2.gz PMKDRKMFRWMDPT-SNVBAGLBSA-N 0 3 239.315 2.500 20 0 BFADHN CCOC(=O)CCN[C@H](C)c1ccccc1C ZINC000044288378 170210450 /nfs/dbraw/zinc/21/04/50/170210450.db2.gz USJFCIJXBRMUJD-GFCCVEGCSA-N 0 3 235.327 2.599 20 0 BFADHN Cc1ccsc1CN[C@H]1COC2(CCC2)C1 ZINC000657629761 411566724 /nfs/dbraw/zinc/56/67/24/411566724.db2.gz IDVYYXKPCQTKPQ-LLVKDONJSA-N 0 3 237.368 2.858 20 0 BFADHN CCOC(=O)CN1CCC[C@H]1C1CCCCC1 ZINC000339004667 170220752 /nfs/dbraw/zinc/22/07/52/170220752.db2.gz BWCNHEAXOQGLGS-ZDUSSCGKSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)co1 ZINC000660238952 411557673 /nfs/dbraw/zinc/55/76/73/411557673.db2.gz OGITWUAOVAJWKF-BPNCWPANSA-N 0 3 234.343 2.994 20 0 BFADHN CCc1nocc1CNCC[C@H](C)CC ZINC000657587224 411557858 /nfs/dbraw/zinc/55/78/58/411557858.db2.gz SJXKHZIDDBTMPI-SNVBAGLBSA-N 0 3 210.321 2.763 20 0 BFADHN Cc1ccncc1[C@@H](C)NCc1cccc(N)c1 ZINC000660241358 411558293 /nfs/dbraw/zinc/55/82/93/411558293.db2.gz FZDKNAUMFMSXGV-GFCCVEGCSA-N 0 3 241.338 2.823 20 0 BFADHN Cc1cc(Cl)cc(C)c1CNC/C=C/CO ZINC000657593353 411559355 /nfs/dbraw/zinc/55/93/55/411559355.db2.gz GJSQNSOKDPXFNJ-ONEGZZNKSA-N 0 3 239.746 2.595 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CC=CCC2)nc(C)n1 ZINC000660248700 411559670 /nfs/dbraw/zinc/55/96/70/411559670.db2.gz SKWZOHVPNZYTIY-AWEZNQCLSA-N 0 3 245.370 2.882 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1C[C@H](C)CC(C)C ZINC000304184362 170257715 /nfs/dbraw/zinc/25/77/15/170257715.db2.gz REGMKMFYFAJBNY-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN c1ccc2nc(CNC3(C4CC4)CC3)cnc2c1 ZINC000660253847 411560216 /nfs/dbraw/zinc/56/02/16/411560216.db2.gz MSIDJRRQFWTFJZ-UHFFFAOYSA-N 0 3 239.322 2.662 20 0 BFADHN COC[C@@H](NCC1CC=CC1)c1ccco1 ZINC000660251517 411560392 /nfs/dbraw/zinc/56/03/92/411560392.db2.gz OWHYOFPUTNECTD-GFCCVEGCSA-N 0 3 221.300 2.523 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1CCC[C@H](C)C1 ZINC000359990061 170270531 /nfs/dbraw/zinc/27/05/31/170270531.db2.gz CBBSRHFBVRMHCC-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1CC[C@@H](C)[C@@H]1C ZINC000360027214 170270746 /nfs/dbraw/zinc/27/07/46/170270746.db2.gz GETGUVGIEWUMOA-AGIUHOORSA-N 0 3 241.375 2.695 20 0 BFADHN CCc1nocc1CN[C@H](C)C1CCCC1 ZINC000660267459 411561925 /nfs/dbraw/zinc/56/19/25/411561925.db2.gz NXJCYBQQQNILBO-SNVBAGLBSA-N 0 3 222.332 2.905 20 0 BFADHN Cc1ncsc1CNCCCOC(C)(C)C ZINC000657637635 411567856 /nfs/dbraw/zinc/56/78/56/411567856.db2.gz LAXXXBTWLKLOKH-UHFFFAOYSA-N 0 3 242.388 2.746 20 0 BFADHN CC(C)[C@@H](N[C@H]1CCOC1)c1ccccc1F ZINC000657616469 411564486 /nfs/dbraw/zinc/56/44/86/411564486.db2.gz YKZJTAILUXDZQC-SMDDNHRTSA-N 0 3 237.318 2.901 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1Cc2ccccc2[C@@H]1C ZINC000657616887 411564660 /nfs/dbraw/zinc/56/46/60/411564660.db2.gz LSGWBJAQKMYDED-SMDDNHRTSA-N 0 3 241.338 2.536 20 0 BFADHN COc1cccc(CNC[C@@H]2CCC[C@@H]3C[C@@H]32)n1 ZINC000657654962 411571020 /nfs/dbraw/zinc/57/10/20/411571020.db2.gz ASRGBKNEAXRLBB-DYEKYZERSA-N 0 3 246.354 2.616 20 0 BFADHN CCOC(=O)c1coc(CN(C)[C@@H](C)CC)c1 ZINC000353447913 170305045 /nfs/dbraw/zinc/30/50/45/170305045.db2.gz LOHSWOZYYAZUDQ-JTQLQIEISA-N 0 3 239.315 2.687 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1ccccn1 ZINC000166963963 170313899 /nfs/dbraw/zinc/31/38/99/170313899.db2.gz JHPKCEPCTFMOFV-LLVKDONJSA-N 0 3 222.332 2.547 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C(C)C)C2)nc(C)n1 ZINC000660369871 411572972 /nfs/dbraw/zinc/57/29/72/411572972.db2.gz CVMWYMWZTMAGLO-CQSZACIVSA-N 0 3 247.386 2.961 20 0 BFADHN COc1cc(CN(C)[C@H]2CCCC[C@@H]2C)on1 ZINC000660368048 411573023 /nfs/dbraw/zinc/57/30/23/411573023.db2.gz OWGHLFHOESHWRK-JQWIXIFHSA-N 0 3 238.331 2.694 20 0 BFADHN CCOC1(C)CCN(CCCC(=O)CC)CC1 ZINC000336717779 170318134 /nfs/dbraw/zinc/31/81/34/170318134.db2.gz QSURCQPMHIFLDZ-UHFFFAOYSA-N 0 3 241.375 2.637 20 0 BFADHN c1ccc(CNCCCC2CCC2)nc1 ZINC000657667183 411573137 /nfs/dbraw/zinc/57/31/37/411573137.db2.gz UWCUGASKHSFSGW-UHFFFAOYSA-N 0 3 204.317 2.752 20 0 BFADHN Cc1nc(CN[C@@H]2CCCC2(C)C)c(C)o1 ZINC000660368119 411573236 /nfs/dbraw/zinc/57/32/36/411573236.db2.gz QTIWNAKYZCSOIH-GFCCVEGCSA-N 0 3 222.332 2.960 20 0 BFADHN CCN(Cc1cn(C)cn1)[C@H]1CCCC[C@@H]1C ZINC000660368577 411573566 /nfs/dbraw/zinc/57/35/66/411573566.db2.gz TYUYZZFZWXTOMM-JSGCOSHPSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@@H]1CN(CCC2CCC2)[C@H](C)[C@@H](C)O1 ZINC000660373464 411574550 /nfs/dbraw/zinc/57/45/50/411574550.db2.gz AJUZWTIDLVOMGA-IJLUTSLNSA-N 0 3 211.349 2.674 20 0 BFADHN C[C@@]1(CNCc2cccc(O)c2)CC1(F)F ZINC000657670289 411574589 /nfs/dbraw/zinc/57/45/89/411574589.db2.gz SNVMFPNFVINAAL-NSHDSACASA-N 0 3 227.254 2.527 20 0 BFADHN Cc1nc(CNCC[C@@]2(C)CC2(F)F)cs1 ZINC000657671822 411575157 /nfs/dbraw/zinc/57/51/57/411575157.db2.gz SUFGXZLSPWYLKX-JTQLQIEISA-N 0 3 246.326 2.977 20 0 BFADHN C[C@@]1(CCNCc2cscn2)CC1(F)F ZINC000657671344 411575229 /nfs/dbraw/zinc/57/52/29/411575229.db2.gz NUJAFXPZFKIGFF-SECBINFHSA-N 0 3 232.299 2.668 20 0 BFADHN Cc1noc(C)c1CNCC[C@]1(C)CC1(F)F ZINC000657672008 411575447 /nfs/dbraw/zinc/57/54/47/411575447.db2.gz WICVLAHDBVKVEX-LLVKDONJSA-N 0 3 244.285 2.816 20 0 BFADHN CCOCC(C)(C)CNCc1ccc(C)o1 ZINC000288459921 170351603 /nfs/dbraw/zinc/35/16/03/170351603.db2.gz DQHWYBXJQBCBJU-UHFFFAOYSA-N 0 3 225.332 2.740 20 0 BFADHN CCOCC(C)(C)CN[C@H](C)c1cncs1 ZINC000290275893 170352485 /nfs/dbraw/zinc/35/24/85/170352485.db2.gz LXDBTOWREBSSGT-SNVBAGLBSA-N 0 3 242.388 2.856 20 0 BFADHN CCOCC(C)(C)NCc1ccc(CC)cn1 ZINC000345134982 170354760 /nfs/dbraw/zinc/35/47/60/170354760.db2.gz YRRVTIGVHIUJNH-UHFFFAOYSA-N 0 3 236.359 2.549 20 0 BFADHN CCOCC(C)(C)NCc1cc(F)cc(F)c1 ZINC000292938211 170355151 /nfs/dbraw/zinc/35/51/51/170355151.db2.gz BYEZSXRDCXWXTF-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CCOCCCCN[C@H](C)c1cncs1 ZINC000165104343 170369048 /nfs/dbraw/zinc/36/90/48/170369048.db2.gz SGMJBZQNVUKXFS-SNVBAGLBSA-N 0 3 228.361 2.610 20 0 BFADHN CCOCCCCN[C@@H](C)c1ccccn1 ZINC000127523347 170369945 /nfs/dbraw/zinc/36/99/45/170369945.db2.gz BZBOZINFPYZOIQ-LBPRGKRZSA-N 0 3 222.332 2.549 20 0 BFADHN CCOCCCN1CC=C(C(F)(F)F)CC1 ZINC000336689517 170372433 /nfs/dbraw/zinc/37/24/33/170372433.db2.gz ZILYPDNXOQJFRF-UHFFFAOYSA-N 0 3 237.265 2.607 20 0 BFADHN CCOCCCN1CCC[C@@H]1c1ccncc1 ZINC000119868430 170372761 /nfs/dbraw/zinc/37/27/61/170372761.db2.gz KGLBVQKFPNITRH-CQSZACIVSA-N 0 3 234.343 2.645 20 0 BFADHN CCOCCCN1CCOc2ccc(C)cc2C1 ZINC000352826127 170373559 /nfs/dbraw/zinc/37/35/59/170373559.db2.gz AFIXMFFSTRYBNE-UHFFFAOYSA-N 0 3 249.354 2.616 20 0 BFADHN CCOCCCN1CC[C@H]1c1ccccc1 ZINC000336659522 170374252 /nfs/dbraw/zinc/37/42/52/170374252.db2.gz ABCTZGROOKJFRH-AWEZNQCLSA-N 0 3 219.328 2.860 20 0 BFADHN CCOCCCNCc1cc(F)c(F)cc1F ZINC000083376583 170380700 /nfs/dbraw/zinc/38/07/00/170380700.db2.gz NYRGMCOFISPVEM-UHFFFAOYSA-N 0 3 247.260 2.620 20 0 BFADHN CCOCCCNCc1cc(C)ccc1F ZINC000116911909 170380798 /nfs/dbraw/zinc/38/07/98/170380798.db2.gz DNVVMLNFOAXJTC-UHFFFAOYSA-N 0 3 225.307 2.650 20 0 BFADHN CCOCCCNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000019962873 170381423 /nfs/dbraw/zinc/38/14/23/170381423.db2.gz WSLJBLPZEZSSLE-YPMHNXCESA-N 0 3 237.343 2.919 20 0 BFADHN CCOCCCN[C@@H](C)c1cccnc1Cl ZINC000131520764 170381441 /nfs/dbraw/zinc/38/14/41/170381441.db2.gz IZMNCDOOGSFSQW-JTQLQIEISA-N 0 3 242.750 2.812 20 0 BFADHN CCOCCCN[C@H](C)c1ncc(C)s1 ZINC000126109671 170382972 /nfs/dbraw/zinc/38/29/72/170382972.db2.gz WABWAPBCDBNKTF-SNVBAGLBSA-N 0 3 228.361 2.529 20 0 BFADHN CCOCCCN[C@H](C)c1ccccc1F ZINC000019962928 170383325 /nfs/dbraw/zinc/38/33/25/170383325.db2.gz XRZQMXLBTGBEEX-LLVKDONJSA-N 0 3 225.307 2.903 20 0 BFADHN CCOCCN(C)CCO[C@@H]1CCCC[C@H]1C ZINC000357557281 170388067 /nfs/dbraw/zinc/38/80/67/170388067.db2.gz XOMZNEFVVZUPFO-ZIAGYGMSSA-N 0 3 243.391 2.550 20 0 BFADHN CCOCCN(C)C/C=C\c1ccccc1OC ZINC000354805987 170388996 /nfs/dbraw/zinc/38/89/96/170388996.db2.gz QGCSSEYYCQRKBG-CLFYSBASSA-N 0 3 249.354 2.677 20 0 BFADHN CCOCCN(C)Cc1cc2ccccc2o1 ZINC000175178063 170389420 /nfs/dbraw/zinc/38/94/20/170389420.db2.gz LKEZSUVOGKPTLC-UHFFFAOYSA-N 0 3 233.311 2.901 20 0 BFADHN CCOCCN1CCC[C@H](Oc2ccccc2)C1 ZINC000369317370 170399897 /nfs/dbraw/zinc/39/98/97/170399897.db2.gz WTKDMCDEHSJIBA-HNNXBMFYSA-N 0 3 249.354 2.566 20 0 BFADHN c1c(CN[C@H]2CCC[C@@H]3C[C@@H]32)onc1C1CC1 ZINC000660783471 411631359 /nfs/dbraw/zinc/63/13/59/411631359.db2.gz QDIYBSXKZRORCX-WXHSDQCUSA-N 0 3 232.327 2.830 20 0 BFADHN Cc1c[nH]nc1CNCC(C)(C)CC(F)(F)F ZINC000663357961 411635674 /nfs/dbraw/zinc/63/56/74/411635674.db2.gz KDRLBUYJBFIWDL-UHFFFAOYSA-N 0 3 249.280 2.786 20 0 BFADHN CC(C)=CCCN1CCO[C@H](C2CCC2)C1 ZINC000660798854 411637587 /nfs/dbraw/zinc/63/75/87/411637587.db2.gz QRSFQJUXEDBPSX-AWEZNQCLSA-N 0 3 223.360 2.844 20 0 BFADHN CCN(Cc1occc1C)[C@@H]1COC(C)(C)C1 ZINC000660808786 411643072 /nfs/dbraw/zinc/64/30/72/411643072.db2.gz RFVMVKHOZQOWHO-LBPRGKRZSA-N 0 3 237.343 2.977 20 0 BFADHN CCc1nc([C@@H](C)N2CC[C@H](C3CCC3)C2)n[nH]1 ZINC000660813358 411644359 /nfs/dbraw/zinc/64/43/59/411644359.db2.gz IJSUXACLADCLOU-PWSUYJOCSA-N 0 3 248.374 2.550 20 0 BFADHN Cc1nc(CN2CC[C@@H](C3CCC3)C2)c(C)o1 ZINC000660815000 411645218 /nfs/dbraw/zinc/64/52/18/411645218.db2.gz ZVXUHBHRPWKHFS-CYBMUJFWSA-N 0 3 234.343 2.913 20 0 BFADHN C[C@H]1CCC[C@H](CNCc2cccc(F)c2)O1 ZINC000657662909 411576012 /nfs/dbraw/zinc/57/60/12/411576012.db2.gz FRNULBPPGITHBJ-SMDDNHRTSA-N 0 3 237.318 2.873 20 0 BFADHN C[C@]1(CCNCc2nccs2)CC1(F)F ZINC000657672080 411576016 /nfs/dbraw/zinc/57/60/16/411576016.db2.gz YHHSSMIWEAKNRT-VIFPVBQESA-N 0 3 232.299 2.668 20 0 BFADHN COc1ccnc(CNC2CCCCC2)c1F ZINC000657672473 411576133 /nfs/dbraw/zinc/57/61/33/411576133.db2.gz DNLACJHATGVEAE-UHFFFAOYSA-N 0 3 238.306 2.652 20 0 BFADHN C[C@H]1CCC[C@@H](CNCc2ccsc2)O1 ZINC000657665142 411577019 /nfs/dbraw/zinc/57/70/19/411577019.db2.gz NWQCGAFWAWDABQ-JQWIXIFHSA-N 0 3 225.357 2.795 20 0 BFADHN CCc1ncc(CNC[C@@]2(C)CC2(F)F)s1 ZINC000657664299 411577060 /nfs/dbraw/zinc/57/70/60/411577060.db2.gz IXNAOJVVBZJRCO-SNVBAGLBSA-N 0 3 246.326 2.840 20 0 BFADHN COCc1ccc(CNC[C@]2(C)CC2(F)F)o1 ZINC000657666615 411577805 /nfs/dbraw/zinc/57/78/05/411577805.db2.gz VHJGLZPOMPLYPL-NSHDSACASA-N 0 3 245.269 2.561 20 0 BFADHN Cc1nc(CNCCCC2CCC2)[nH]c1C ZINC000657665631 411578167 /nfs/dbraw/zinc/57/81/67/411578167.db2.gz FLJUFIXBZNPGPL-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CCn1ccnc1CN[C@](C)(CC)C1CC1 ZINC000657688459 411578804 /nfs/dbraw/zinc/57/88/04/411578804.db2.gz LMSIOTAVQNOJFJ-CYBMUJFWSA-N 0 3 221.348 2.571 20 0 BFADHN CCOC[C@@H](C)N[C@@H]1CCc2c1cccc2F ZINC000211887912 170432983 /nfs/dbraw/zinc/43/29/83/170432983.db2.gz AYFHGDUJDJTNSP-QMTHXVAHSA-N 0 3 237.318 2.828 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3ccc(O)cc32)[C@@H](C)O1 ZINC000657694047 411580132 /nfs/dbraw/zinc/58/01/32/411580132.db2.gz RBCHLNFXFXJALR-IDMWTJEOSA-N 0 3 247.338 2.535 20 0 BFADHN CC[C@@H](NCc1coc(C)n1)[C@H]1CC1(C)C ZINC000660824712 411645709 /nfs/dbraw/zinc/64/57/09/411645709.db2.gz PWFKHCZSBARLJQ-VXGBXAGGSA-N 0 3 222.332 2.897 20 0 BFADHN c1c2cnccc2oc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000657734952 411587623 /nfs/dbraw/zinc/58/76/23/411587623.db2.gz XLJVSALRXYQPKU-DABQJJPHSA-N 0 3 228.295 2.716 20 0 BFADHN Cc1ncccc1CNC[C@H]1C(C)(C)C1(F)F ZINC000657736292 411587641 /nfs/dbraw/zinc/58/76/41/411587641.db2.gz BHCCPAVTQSCFRR-NSHDSACASA-N 0 3 240.297 2.771 20 0 BFADHN c1c2cnccc2oc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000657734953 411587691 /nfs/dbraw/zinc/58/76/91/411587691.db2.gz XLJVSALRXYQPKU-IMRBUKKESA-N 0 3 228.295 2.716 20 0 BFADHN CC1(C)[C@H](CNCc2ccco2)C1(F)F ZINC000657735714 411587744 /nfs/dbraw/zinc/58/77/44/411587744.db2.gz BTCRAGHGICGOLT-VIFPVBQESA-N 0 3 215.243 2.661 20 0 BFADHN CC(NCc1nccs1)(C1CC1)C1CC1 ZINC000657754673 411590256 /nfs/dbraw/zinc/59/02/56/411590256.db2.gz BXQQOGCUOWXXDC-UHFFFAOYSA-N 0 3 222.357 2.811 20 0 BFADHN COc1ccc(CN[C@]2(C)CC2(C)C)cc1O ZINC000657760812 411590764 /nfs/dbraw/zinc/59/07/64/411590764.db2.gz TYXAZTVJDMLQRF-CQSZACIVSA-N 0 3 235.327 2.679 20 0 BFADHN COc1cccc(CN[C@]2(C)CC2(C)C)c1OC ZINC000657760818 411590868 /nfs/dbraw/zinc/59/08/68/411590868.db2.gz UCIZXTXFLKCODJ-OAHLLOKOSA-N 0 3 249.354 2.982 20 0 BFADHN COc1ccc(OC)c(CN[C@]2(C)CC2(C)C)c1 ZINC000657760030 411591028 /nfs/dbraw/zinc/59/10/28/411591028.db2.gz AFKDXJVXZBZPAF-OAHLLOKOSA-N 0 3 249.354 2.982 20 0 BFADHN Cn1c2ccccc2nc1CN[C@]1(C)CC1(C)C ZINC000657760842 411591065 /nfs/dbraw/zinc/59/10/65/411591065.db2.gz AGGQETMWROPJHE-OAHLLOKOSA-N 0 3 243.354 2.852 20 0 BFADHN CCCn1nccc1CN[C@]1(C)CC1(C)C ZINC000657761868 411591326 /nfs/dbraw/zinc/59/13/26/411591326.db2.gz UBZQFZPTXSTOIO-CYBMUJFWSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1ccc2nc(CN[C@]3(C)CC3(C)C)cn2c1 ZINC000657761938 411591521 /nfs/dbraw/zinc/59/15/21/411591521.db2.gz VQLDTOLLCWVPOB-OAHLLOKOSA-N 0 3 243.354 2.921 20 0 BFADHN CC(C)(C)n1cc(CN[C@]2(C)CC2(C)C)cn1 ZINC000657761511 411591729 /nfs/dbraw/zinc/59/17/29/411591729.db2.gz MMDQLRFZLREMQV-CQSZACIVSA-N 0 3 235.375 2.916 20 0 BFADHN Cc1cccc2ncc(CN[C@]3(C)CC3(C)C)n21 ZINC000657761425 411591762 /nfs/dbraw/zinc/59/17/62/411591762.db2.gz KJQIAPREOMNYSX-OAHLLOKOSA-N 0 3 243.354 2.921 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1nc2c(s1)CCC2 ZINC000657762132 411591779 /nfs/dbraw/zinc/59/17/79/411591779.db2.gz WFPVRTUVCLONPC-CYBMUJFWSA-N 0 3 236.384 2.910 20 0 BFADHN C1=C(CNCc2cccc3c2OCCO3)CCC1 ZINC000657748674 411592027 /nfs/dbraw/zinc/59/20/27/411592027.db2.gz LHMYYQGVVRAPPF-UHFFFAOYSA-N 0 3 245.322 2.658 20 0 BFADHN CN(C)c1ccccc1CNCC1=CCCC1 ZINC000657749812 411592159 /nfs/dbraw/zinc/59/21/59/411592159.db2.gz NUYTYXBOHMYATD-UHFFFAOYSA-N 0 3 230.355 2.953 20 0 BFADHN Cc1ncccc1CNCC1=CCCC1 ZINC000657749760 411592168 /nfs/dbraw/zinc/59/21/68/411592168.db2.gz MBBDIBICPCTZFW-UHFFFAOYSA-N 0 3 202.301 2.590 20 0 BFADHN C1=C(CNCc2n[nH]c3ccccc32)CCC1 ZINC000657749362 411592234 /nfs/dbraw/zinc/59/22/34/411592234.db2.gz AMCBZVRPWFOVDL-UHFFFAOYSA-N 0 3 227.311 2.763 20 0 BFADHN C1=C(CNCc2[nH]nc3ccccc32)CCC1 ZINC000657749362 411592236 /nfs/dbraw/zinc/59/22/36/411592236.db2.gz AMCBZVRPWFOVDL-UHFFFAOYSA-N 0 3 227.311 2.763 20 0 BFADHN COCc1ccc(CNCC2=CCCC2)o1 ZINC000657749959 411592753 /nfs/dbraw/zinc/59/27/53/411592753.db2.gz VNCUXQWSOKAVLP-UHFFFAOYSA-N 0 3 221.300 2.626 20 0 BFADHN CO[C@H](CNCc1occc1C)C1CCCC1 ZINC000657768022 411593372 /nfs/dbraw/zinc/59/33/72/411593372.db2.gz SXVSBASRIBVSPP-CQSZACIVSA-N 0 3 237.343 2.883 20 0 BFADHN CC(C)n1nccc1CNCCCc1ccco1 ZINC000657782666 411594286 /nfs/dbraw/zinc/59/42/86/411594286.db2.gz MECXXMFCVJRPSC-UHFFFAOYSA-N 0 3 247.342 2.779 20 0 BFADHN COc1cncc(CNC2(CC(C)C)CC2)c1 ZINC000657775994 411594569 /nfs/dbraw/zinc/59/45/69/411594569.db2.gz WNEPNHXOUATXOV-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1ccoc1CNCCCc1ccco1 ZINC000657783830 411594907 /nfs/dbraw/zinc/59/49/07/411594907.db2.gz XNPSNKYLCXDJNL-UHFFFAOYSA-N 0 3 219.284 2.903 20 0 BFADHN CO[C@@H](CNCc1ccoc1)C1CCCCC1 ZINC000657783434 411595238 /nfs/dbraw/zinc/59/52/38/411595238.db2.gz YIZILBASBKZKAZ-AWEZNQCLSA-N 0 3 237.343 2.965 20 0 BFADHN CC1(C)OCC[C@@H]1CNCc1ccc(Cl)o1 ZINC000657786282 411595406 /nfs/dbraw/zinc/59/54/06/411595406.db2.gz NXVOKGHQGHKQOR-SECBINFHSA-N 0 3 243.734 2.838 20 0 BFADHN Fc1ccc2c(c1)C[C@@H]1[C@H](NCC3(F)CC3)[C@H]21 ZINC000657790298 411596418 /nfs/dbraw/zinc/59/64/18/411596418.db2.gz WJJLDDARVPLPSQ-XQQFMLRXSA-N 0 3 235.277 2.556 20 0 BFADHN C[C@H]1CN(Cc2cccc(F)c2N)CC[C@@H]1C ZINC000130233629 411596538 /nfs/dbraw/zinc/59/65/38/411596538.db2.gz GOTHHAPNWSAKAV-QWRGUYRKSA-N 0 3 236.334 2.886 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc2cnccc2c1 ZINC000657789757 411596719 /nfs/dbraw/zinc/59/67/19/411596719.db2.gz IROAOMUTSNORSK-CABCVRRESA-N 0 3 242.322 2.502 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCN(CC)C[C@@H]1C ZINC000660539678 411597186 /nfs/dbraw/zinc/59/71/86/411597186.db2.gz VQVNWBNVGRQYLW-RYUDHWBXSA-N 0 3 248.361 2.694 20 0 BFADHN CC(C)n1cncc1CNCCCc1ccco1 ZINC000657781656 411597330 /nfs/dbraw/zinc/59/73/30/411597330.db2.gz FVTIVYCFNWKFHC-UHFFFAOYSA-N 0 3 247.342 2.779 20 0 BFADHN C[C@H](N[C@@H]1CC(C)(C)C[C@H]1C)c1nccn1C ZINC000657792423 411597867 /nfs/dbraw/zinc/59/78/67/411597867.db2.gz AYUWJHMBCMXVKE-GRYCIOLGSA-N 0 3 235.375 2.895 20 0 BFADHN COCCN(CCC1CCC1)Cc1ccccn1 ZINC000660551655 411598542 /nfs/dbraw/zinc/59/85/42/411598542.db2.gz KHAZARRBGHUCII-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN FC1(F)C[C@@H]1OCCN1CCC[C@@H](C2CC2)C1 ZINC000660560621 411600043 /nfs/dbraw/zinc/60/00/43/411600043.db2.gz MHZAJEQJXAAHRE-NEPJUHHUSA-N 0 3 245.313 2.533 20 0 BFADHN Cc1nc(CN2CCC[C@@H](C3CC3)C2)c(C)o1 ZINC000660561067 411600637 /nfs/dbraw/zinc/60/06/37/411600637.db2.gz PVRRFRASADZLOS-CYBMUJFWSA-N 0 3 234.343 2.913 20 0 BFADHN C[C@@H]1CN([C@H]2COC(C)(C)C2)CCC1(F)F ZINC000660565676 411601379 /nfs/dbraw/zinc/60/13/79/411601379.db2.gz GXSMJZIQQNPOAW-NXEZZACHSA-N 0 3 233.302 2.531 20 0 BFADHN c1cc2n(n1)CCC[C@H]2NCc1ccccc1 ZINC000657815964 411604658 /nfs/dbraw/zinc/60/46/58/411604658.db2.gz GRFVFZAQMIYRTQ-CYBMUJFWSA-N 0 3 227.311 2.508 20 0 BFADHN c1cc2n(n1)CCC[C@@H]2NCc1ccccc1 ZINC000657815966 411604917 /nfs/dbraw/zinc/60/49/17/411604917.db2.gz GRFVFZAQMIYRTQ-ZDUSSCGKSA-N 0 3 227.311 2.508 20 0 BFADHN c1cc2n(n1)CCC[C@@H]2NCCc1ccsc1 ZINC000657827888 411608300 /nfs/dbraw/zinc/60/83/00/411608300.db2.gz OPYSURJJBUBWIN-LBPRGKRZSA-N 0 3 247.367 2.612 20 0 BFADHN CC(C)OCCN1CCC[C@@H](c2ccccn2)C1 ZINC000660625017 411609079 /nfs/dbraw/zinc/60/90/79/411609079.db2.gz CKKJUYKZNYBQDM-CQSZACIVSA-N 0 3 248.370 2.686 20 0 BFADHN Cc1cccc(CNC2CC(CF)(CF)C2)n1 ZINC000657841576 411609870 /nfs/dbraw/zinc/60/98/70/411609870.db2.gz GDBHVDWMYJWIKC-UHFFFAOYSA-N 0 3 240.297 2.567 20 0 BFADHN Cc1cccc(CN[C@H]2CCCC23CC3)n1 ZINC000657842374 411610394 /nfs/dbraw/zinc/61/03/94/411610394.db2.gz KKUSVLQWIOXCGE-ZDUSSCGKSA-N 0 3 216.328 2.812 20 0 BFADHN c1csc(CN[C@@H]2CCCSCC2)n1 ZINC000657844780 411610765 /nfs/dbraw/zinc/61/07/65/411610765.db2.gz YKRAWHSLQSAGIW-SECBINFHSA-N 0 3 228.386 2.518 20 0 BFADHN Cc1cc(CN[C@@H](C)C(C)(C)C(F)F)on1 ZINC000657870559 411613890 /nfs/dbraw/zinc/61/38/90/411613890.db2.gz FISXOHQLDDFMRN-QMMMGPOBSA-N 0 3 232.274 2.752 20 0 BFADHN C[C@@H](NCC1(C)COC1)c1cc2cnccc2o1 ZINC000657876364 411614860 /nfs/dbraw/zinc/61/48/60/411614860.db2.gz ASHKZTUYFLDTAF-SNVBAGLBSA-N 0 3 246.310 2.515 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1cc2cnccc2o1 ZINC000657878387 411615698 /nfs/dbraw/zinc/61/56/98/411615698.db2.gz JTLLNUQVLUOMIG-CMPLNLGQSA-N 0 3 248.326 2.639 20 0 BFADHN CCC1(NCc2coc(C)n2)CCCC1 ZINC000660670138 411616309 /nfs/dbraw/zinc/61/63/09/411616309.db2.gz FKYRHXQFMQOWCV-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN CN(Cc1ccccn1)C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000660679540 411616730 /nfs/dbraw/zinc/61/67/30/411616730.db2.gz MXWSRVTVRUMXGD-CLLJXQQHSA-N 0 3 216.328 2.560 20 0 BFADHN Cc1nc(CN2[C@H](C)CCC2(C)C)co1 ZINC000660754563 411621027 /nfs/dbraw/zinc/62/10/27/411621027.db2.gz JBBVXMNHKFTAKB-SECBINFHSA-N 0 3 208.305 2.746 20 0 BFADHN COc1cc(CN2[C@@H](C)CCC2(C)C)sn1 ZINC000660755122 411621316 /nfs/dbraw/zinc/62/13/16/411621316.db2.gz NCQWHUGVNOGTSK-VIFPVBQESA-N 0 3 240.372 2.915 20 0 BFADHN CC[C@@H](CC(F)F)CN(C)Cc1c[nH]cn1 ZINC000661092714 411705219 /nfs/dbraw/zinc/70/52/19/411705219.db2.gz JUGQTXBAHNMEKZ-VIFPVBQESA-N 0 3 231.290 2.523 20 0 BFADHN CC[C@@H](CC(F)F)CN(C)Cc1cnc[nH]1 ZINC000661092714 411705221 /nfs/dbraw/zinc/70/52/21/411705221.db2.gz JUGQTXBAHNMEKZ-VIFPVBQESA-N 0 3 231.290 2.523 20 0 BFADHN CC[C@H](C)N(CC)Cc1cc(OC)ns1 ZINC000661105535 411710272 /nfs/dbraw/zinc/71/02/72/411710272.db2.gz YOIZDGQYORWHEN-VIFPVBQESA-N 0 3 228.361 2.772 20 0 BFADHN C[C@@H](NCCOCCF)c1cc(F)cc(F)c1 ZINC000663462811 411664634 /nfs/dbraw/zinc/66/46/34/411664634.db2.gz HXYPVGZFCLPDCX-SECBINFHSA-N 0 3 247.260 2.602 20 0 BFADHN Cc1ccc([C@@H](C)NCCOCCF)s1 ZINC000663464511 411664872 /nfs/dbraw/zinc/66/48/72/411664872.db2.gz RHPLQYHIRRQTGN-SNVBAGLBSA-N 0 3 231.336 2.693 20 0 BFADHN OCC[C@H](NCC1CC=CC1)c1ccccc1F ZINC000660900951 411665766 /nfs/dbraw/zinc/66/57/66/411665766.db2.gz CSLVJVJUCHJAGO-HNNXBMFYSA-N 0 3 249.329 2.805 20 0 BFADHN CCc1nocc1CNC1(C2CCC2)CC1 ZINC000660921029 411673640 /nfs/dbraw/zinc/67/36/40/411673640.db2.gz UPVBLZADMGDWFX-UHFFFAOYSA-N 0 3 220.316 2.659 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@H](C)O[C@H]2C)cc1 ZINC000296426546 170546682 /nfs/dbraw/zinc/54/66/82/170546682.db2.gz MYJKOELPFAOFLD-SLEUVZQESA-N 0 3 249.354 2.741 20 0 BFADHN CCc1nocc1CN1C[C@@H]2CCCC[C@@H]21 ZINC000660934164 411684801 /nfs/dbraw/zinc/68/48/01/411684801.db2.gz MBPRRCJBVMAEJY-GWCFXTLKSA-N 0 3 220.316 2.611 20 0 BFADHN CCOc1ccc([C@H](C)N[C@@H]2CCO[C@@H]2C)cc1 ZINC000119953812 170561977 /nfs/dbraw/zinc/56/19/77/170561977.db2.gz ZULRPLKTNUVSRT-YWPYICTPSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1cccc([C@@H](C)N(C)Cc2cnc[nH]2)c1 ZINC000661085097 411696801 /nfs/dbraw/zinc/69/68/01/411696801.db2.gz JRBFVKOGYCXIQQ-GFCCVEGCSA-N 0 3 229.327 2.911 20 0 BFADHN Cc1ccccc1CCCN(C)Cc1cnc[nH]1 ZINC000661085541 411697514 /nfs/dbraw/zinc/69/75/14/411697514.db2.gz OKROAYDOTNHMDD-UHFFFAOYSA-N 0 3 243.354 2.783 20 0 BFADHN CN(Cc1cnc[nH]1)[C@H]1C=CCCCCC1 ZINC000661091188 411702176 /nfs/dbraw/zinc/70/21/76/411702176.db2.gz WMUHVAAGQXXAPB-ZDUSSCGKSA-N 0 3 219.332 2.730 20 0 BFADHN CN(CC/C=C/c1ccccc1)Cc1cnc[nH]1 ZINC000661091549 411702254 /nfs/dbraw/zinc/70/22/54/411702254.db2.gz ZMFUACDDATVYNS-WEVVVXLNSA-N 0 3 241.338 2.945 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CC[C@@H]1C ZINC000309231017 170593223 /nfs/dbraw/zinc/59/32/23/170593223.db2.gz TTZSVISQBFHSMI-WCQYABFASA-N 0 3 219.328 2.973 20 0 BFADHN C[C@@H](NCCCc1ccncc1)c1cscn1 ZINC000661419157 411771709 /nfs/dbraw/zinc/77/17/09/411771709.db2.gz NJGOFVBETKFBPA-LLVKDONJSA-N 0 3 247.367 2.822 20 0 BFADHN CCSCCN1CCC[C@H]1c1ccc[nH]1 ZINC000159331430 170632992 /nfs/dbraw/zinc/63/29/92/170632992.db2.gz QLBXGPRHLALUPP-LBPRGKRZSA-N 0 3 224.373 2.905 20 0 BFADHN CC(C)=CCN1CC2(CCC2)OC[C@@H]1C ZINC000661461332 411780504 /nfs/dbraw/zinc/78/05/04/411780504.db2.gz CESFZCHMZQSRAE-LBPRGKRZSA-N 0 3 209.333 2.596 20 0 BFADHN COC[C@H](NCCC1CC1)c1cccc(OC)c1 ZINC000661473699 411785241 /nfs/dbraw/zinc/78/52/41/411785241.db2.gz QXWSAQMHYRHDLL-HNNXBMFYSA-N 0 3 249.354 2.772 20 0 BFADHN CCCCN[C@H](COC)c1cccc(OC)c1 ZINC000661476247 411785807 /nfs/dbraw/zinc/78/58/07/411785807.db2.gz BAXPUYCHPVOQFW-CQSZACIVSA-N 0 3 237.343 2.772 20 0 BFADHN COC[C@@H](NCCC1CC1)c1cccc(OC)c1 ZINC000661473700 411785976 /nfs/dbraw/zinc/78/59/76/411785976.db2.gz QXWSAQMHYRHDLL-OAHLLOKOSA-N 0 3 249.354 2.772 20 0 BFADHN C[C@@]1(C2CC2)CN(CC2=CCCC2)CCO1 ZINC000661173525 411727870 /nfs/dbraw/zinc/72/78/70/411727870.db2.gz ZBAUCESIYUXHCT-AWEZNQCLSA-N 0 3 221.344 2.598 20 0 BFADHN CC(C)CCCN1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000661540140 411800087 /nfs/dbraw/zinc/80/00/87/411800087.db2.gz YGUGLMODMRDQCU-VXGBXAGGSA-N 0 3 211.349 2.580 20 0 BFADHN Cc1cc(CN2C[C@@H](C)C(O)[C@H](C)C2)cs1 ZINC000661621768 411819655 /nfs/dbraw/zinc/81/96/55/411819655.db2.gz OUOGZKSHDVRMCB-NXEZZACHSA-N 0 3 239.384 2.505 20 0 BFADHN CCC1(CC)CCCN(Cc2cn[nH]c2)C1 ZINC000661674075 411834550 /nfs/dbraw/zinc/83/45/50/411834550.db2.gz ZXFHXUFVEZBIRY-UHFFFAOYSA-N 0 3 221.348 2.812 20 0 BFADHN Cc1noc(C)c1CN1CCC[C@@H](CCF)C1 ZINC000661687373 411837734 /nfs/dbraw/zinc/83/77/34/411837734.db2.gz BLBYHTJXOPHHCM-LBPRGKRZSA-N 0 3 240.322 2.863 20 0 BFADHN Cc1cc(CN2CCC[C@@H](CCF)C2)on1 ZINC000661690017 411838908 /nfs/dbraw/zinc/83/89/08/411838908.db2.gz MZQONVRDQDENTB-NSHDSACASA-N 0 3 226.295 2.555 20 0 BFADHN CCn1ccc(CN(C)CCc2cncs2)c1 ZINC000664091946 411850699 /nfs/dbraw/zinc/85/06/99/411850699.db2.gz FCEXGXAANYFHGY-UHFFFAOYSA-N 0 3 249.383 2.639 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C)O[C@@H](C)C2)c(C)n1 ZINC000661853274 411902192 /nfs/dbraw/zinc/90/21/92/411902192.db2.gz RFPBBMUZHNSYAY-OLZOCXBDSA-N 0 3 248.370 2.698 20 0 BFADHN C[C@@H]1CCN(Cc2ccsc2)C[C@H](C)O1 ZINC000661853739 411902417 /nfs/dbraw/zinc/90/24/17/411902417.db2.gz WNQBBBWYZASSKX-MNOVXSKESA-N 0 3 225.357 2.747 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(F)cc2)C[C@H](C)O1 ZINC000661855377 411905143 /nfs/dbraw/zinc/90/51/43/411905143.db2.gz CQWBWXFTFPKMHP-NEPJUHHUSA-N 0 3 237.318 2.825 20 0 BFADHN COc1cccc(CN2CC[C@H]3C[C@H]3C2)c1 ZINC000661971733 411927589 /nfs/dbraw/zinc/92/75/89/411927589.db2.gz OCKYMJQQZXUFJK-STQMWFEESA-N 0 3 217.312 2.537 20 0 BFADHN COc1c(C)cnc(CN2CC[C@@H]3C[C@@H]3C2)c1C ZINC000661971923 411928015 /nfs/dbraw/zinc/92/80/15/411928015.db2.gz JYOXURMRAQCLKY-CHWSQXEVSA-N 0 3 246.354 2.549 20 0 BFADHN CC[C@@H](CNCc1ccc(C)c(F)c1)OC ZINC000289962329 170829356 /nfs/dbraw/zinc/82/93/56/170829356.db2.gz YGWJMRBSSLKMQX-LBPRGKRZSA-N 0 3 225.307 2.649 20 0 BFADHN Cc1ccnc(NC[C@H]2CCN2C(C)(C)C)c1 ZINC000664142338 411869276 /nfs/dbraw/zinc/86/92/76/411869276.db2.gz IRSUUKFPITWKFL-GFCCVEGCSA-N 0 3 233.359 2.675 20 0 BFADHN CC(C)(C)N1CC[C@@H]1CNc1ccc(F)cn1 ZINC000664142021 411869511 /nfs/dbraw/zinc/86/95/11/411869511.db2.gz FVSLKIWGCXCFIC-LLVKDONJSA-N 0 3 237.322 2.505 20 0 BFADHN CC[C@@H](CSC)NCc1cc(F)ncc1F ZINC000295365837 170852719 /nfs/dbraw/zinc/85/27/19/170852719.db2.gz JLLZOOCCZAHVLW-VIFPVBQESA-N 0 3 246.326 2.591 20 0 BFADHN Cc1cc(CN(CCC2CC2)CC2CC2)on1 ZINC000662177672 412019825 /nfs/dbraw/zinc/01/98/25/412019825.db2.gz LDALQNSMUWDJDP-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN C[C@H]1CCC[C@H](CN2CCc3nccnc3C2)C1 ZINC000662121622 411992229 /nfs/dbraw/zinc/99/22/29/411992229.db2.gz QAMYWPIMNNAHEB-STQMWFEESA-N 0 3 245.370 2.661 20 0 BFADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1nccs1 ZINC000662130930 411996534 /nfs/dbraw/zinc/99/65/34/411996534.db2.gz ZTKFSNUUOZPAIE-FGWVZKOKSA-N 0 3 222.357 2.906 20 0 BFADHN CC[C@@H](N[C@@H]1C=C[C@H](CO)C1)c1ccccc1F ZINC000183582493 170909668 /nfs/dbraw/zinc/90/96/68/170909668.db2.gz HIOZGWJYXMCWAO-YWPYICTPSA-N 0 3 249.329 2.803 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1CC(=O)NC(C)(C)C ZINC000662157219 412010384 /nfs/dbraw/zinc/01/03/84/412010384.db2.gz JHCXIXQBAITOQE-NWDGAFQWSA-N 0 3 240.391 2.554 20 0 BFADHN CCc1cc(N2CC3CC2(C)C3)ccn1 ZINC000664695657 412011636 /nfs/dbraw/zinc/01/16/36/412011636.db2.gz NFQIIYAWPCRLMZ-UHFFFAOYSA-N 0 3 202.301 2.633 20 0 BFADHN CCC[C@H](C)N[C@@H](CO)c1ccc(F)cc1F ZINC000662163360 412012704 /nfs/dbraw/zinc/01/27/04/412012704.db2.gz OSFAUGBSKKIEIS-ZANVPECISA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1ccno1 ZINC000662158751 412013418 /nfs/dbraw/zinc/01/34/18/412013418.db2.gz XAJQAFQDCZYYPC-WDEREUQCSA-N 0 3 208.305 2.828 20 0 BFADHN CC[C@@H](O)CN[C@@H](C)c1ccccc1Cl ZINC000049508753 170935308 /nfs/dbraw/zinc/93/53/08/170935308.db2.gz BUKOOIGWJXQGRQ-VHSXEESVSA-N 0 3 227.735 2.762 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@H]2COC[C@H]2C1 ZINC000662034706 411952098 /nfs/dbraw/zinc/95/20/98/411952098.db2.gz DNRKGKDVFONXTB-FRRDWIJNSA-N 0 3 249.329 2.855 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@H]2COC[C@@H]2C1 ZINC000662034705 411952560 /nfs/dbraw/zinc/95/25/60/411952560.db2.gz DNRKGKDVFONXTB-AGIUHOORSA-N 0 3 249.329 2.855 20 0 BFADHN Cc1nccc(CN(CCC2CC2)CC2CC2)n1 ZINC000662177385 412018946 /nfs/dbraw/zinc/01/89/46/412018946.db2.gz GYHQTRJFNISZMI-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN c1n[nH]nc1CN1CCC[C@H](C2CCCCC2)C1 ZINC000662201881 412025262 /nfs/dbraw/zinc/02/52/62/412025262.db2.gz QBMLECZLQRMGRC-ZDUSSCGKSA-N 0 3 248.374 2.597 20 0 BFADHN c1[nH]nnc1CN1CCC[C@H](C2CCCCC2)C1 ZINC000662201881 412025264 /nfs/dbraw/zinc/02/52/64/412025264.db2.gz QBMLECZLQRMGRC-ZDUSSCGKSA-N 0 3 248.374 2.597 20 0 BFADHN CC1(C)CN(CCCCCO)[C@H]1c1cccnc1 ZINC000664824858 412026971 /nfs/dbraw/zinc/02/69/71/412026971.db2.gz DYIJTFFARSUSQN-AWEZNQCLSA-N 0 3 248.370 2.627 20 0 BFADHN COCC[C@H](C)N1CC(C)(C)[C@@H]1c1cccnc1 ZINC000664826585 412027923 /nfs/dbraw/zinc/02/79/23/412027923.db2.gz UHPQHPXIYKZBIC-JSGCOSHPSA-N 0 3 248.370 2.890 20 0 BFADHN COCC[C@@H](C)N1CC(C)(C)[C@@H]1c1ccncc1 ZINC000664834171 412028524 /nfs/dbraw/zinc/02/85/24/412028524.db2.gz PWDYIUJWXWZWQX-OCCSQVGLSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@H]1CCC[C@H](CN2CCCc3n[nH]cc3C2)C1 ZINC000664862147 412033704 /nfs/dbraw/zinc/03/37/04/412033704.db2.gz LKGOTPSRFACUBE-STQMWFEESA-N 0 3 247.386 2.984 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1ncc(Cl)n1C ZINC000268865218 171000850 /nfs/dbraw/zinc/00/08/50/171000850.db2.gz SPNVPBODUSELET-NXEZZACHSA-N 0 3 241.766 2.742 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1nccn1C(F)F ZINC000268373049 171001634 /nfs/dbraw/zinc/00/16/34/171001634.db2.gz WLDNDAZZZIVTEQ-NXEZZACHSA-N 0 3 243.301 2.947 20 0 BFADHN CC[C@@H]1CCN(Cc2cn3c(cccc3C)n2)C1 ZINC000125671158 171012119 /nfs/dbraw/zinc/01/21/19/171012119.db2.gz FITHBTUXEWXNMO-CYBMUJFWSA-N 0 3 243.354 2.875 20 0 BFADHN CC[C@@H]1CCN(Cc2cnn3ccccc23)C1 ZINC000180570056 171013703 /nfs/dbraw/zinc/01/37/03/171013703.db2.gz UQGWGXFJNWTKSI-GFCCVEGCSA-N 0 3 229.327 2.566 20 0 BFADHN CC[C@@H]1CCN1C[C@@H](O)c1ccc(C)cc1 ZINC000292126454 171022125 /nfs/dbraw/zinc/02/21/25/171022125.db2.gz AXYAUVXIVNWXAW-ZIAGYGMSSA-N 0 3 219.328 2.513 20 0 BFADHN CC[C@@H]1CCN1Cc1sc(C)nc1C ZINC000292436432 171025082 /nfs/dbraw/zinc/02/50/82/171025082.db2.gz OBGWFGGOWGRKLB-SNVBAGLBSA-N 0 3 210.346 2.744 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc(OC)cc1 ZINC000292372988 171025936 /nfs/dbraw/zinc/02/59/36/171025936.db2.gz IYZIWWWJGWWRIN-GFCCVEGCSA-N 0 3 205.301 2.680 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1CC(=O)Nc1ccccc1 ZINC000271488386 171036844 /nfs/dbraw/zinc/03/68/44/171036844.db2.gz HRHSUNWZFJZDNH-TZMCWYRMSA-N 0 3 246.354 2.888 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1ccnc(OC)c1 ZINC000357549130 171037927 /nfs/dbraw/zinc/03/79/27/171037927.db2.gz DIEXSNULWAKHEJ-DGCLKSJQSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cc(C)on1 ZINC000271517031 171039129 /nfs/dbraw/zinc/03/91/29/171039129.db2.gz KSDLKRBHGXNIND-BXKDBHETSA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](C)c1ccccn1 ZINC000336729527 171043672 /nfs/dbraw/zinc/04/36/72/171043672.db2.gz JCPGHCWGUIQPJY-LOWVWBTDSA-N 0 3 204.317 2.921 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](C)c1ccccn1 ZINC000336729515 171060225 /nfs/dbraw/zinc/06/02/25/171060225.db2.gz JCPGHCWGUIQPJY-DMDPSCGWSA-N 0 3 204.317 2.921 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](COC)c1ccco1 ZINC000310011562 171061045 /nfs/dbraw/zinc/06/10/45/171061045.db2.gz ISZYMEWTKRIOOO-UTUOFQBUSA-N 0 3 223.316 2.745 20 0 BFADHN CC[C@@H]1CN(CCc2ccccc2)C[C@H](C)O1 ZINC000111273416 171084229 /nfs/dbraw/zinc/08/42/29/171084229.db2.gz KDSGNZCGYRINCS-DZGCQCFKSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCC[C@@H](C)C2)CCO1 ZINC000356968092 171085693 /nfs/dbraw/zinc/08/56/93/171085693.db2.gz BDYFKKJKFFVASY-HZSPNIEDSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCC[C@H](C)C2)CCO1 ZINC000356968094 171086285 /nfs/dbraw/zinc/08/62/85/171086285.db2.gz BDYFKKJKFFVASY-MELADBBJSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@@H]1CN([C@@H](C)c2ccc(F)cc2)C[C@@H]1O ZINC000341023698 171093391 /nfs/dbraw/zinc/09/33/91/171093391.db2.gz JTWFMJMIHKJBBU-WDMOLILDSA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@@H]1CN([C@H](C)c2ccccn2)CCCO1 ZINC000281308411 171096592 /nfs/dbraw/zinc/09/65/92/171096592.db2.gz HWRIPMFTLPDKJO-CHWSQXEVSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@H]1CN([C@H](C)c2ccccc2F)C[C@H]1O ZINC000271005891 171097030 /nfs/dbraw/zinc/09/70/30/171097030.db2.gz ZGEGVDALJVWHMW-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CCSC(C)C ZINC000338254592 171112200 /nfs/dbraw/zinc/11/22/00/171112200.db2.gz XHPHDYBVEDQZTC-VXGBXAGGSA-N 0 3 231.405 2.627 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CCCCCF ZINC000341834400 171112927 /nfs/dbraw/zinc/11/29/27/171112927.db2.gz JTIGNXDXPYXNSI-VXGBXAGGSA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cnccc1OC ZINC000287842631 171161085 /nfs/dbraw/zinc/16/10/85/171161085.db2.gz QRQOEQJBFONAOT-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@](C)(CCO)NCc1cc(F)ccc1F ZINC000134471014 171165730 /nfs/dbraw/zinc/16/57/30/171165730.db2.gz KCDIBSZZLYSVOI-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN CC[C@@](C)(CN)c1nc(-c2ccc(C)cc2)no1 ZINC000211485741 171166819 /nfs/dbraw/zinc/16/68/19/171166819.db2.gz HMJZSUMFIZCQPO-AWEZNQCLSA-N 0 3 245.326 2.671 20 0 BFADHN CC[C@@](C)(CO)NCc1cc(F)cc(Cl)c1 ZINC000168261934 171170137 /nfs/dbraw/zinc/17/01/37/171170137.db2.gz AHZBFZGZASENOU-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@H](NCC(=O)Nc1cccc(C)c1)C1CC1 ZINC000324473288 487621812 /nfs/dbraw/zinc/62/18/12/487621812.db2.gz NOZLVETXZUJIAP-AWEZNQCLSA-N 0 3 246.354 2.712 20 0 BFADHN CC[C@@](C)(CO)NCc1ccc(F)cc1Cl ZINC000070710375 171171295 /nfs/dbraw/zinc/17/12/95/171171295.db2.gz VCGLKKLNYVIAQO-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@](C)(NCC1CC1)c1nccs1 ZINC000237062341 171174466 /nfs/dbraw/zinc/17/44/66/171174466.db2.gz STYMHYNOOXJGMM-LLVKDONJSA-N 0 3 210.346 2.768 20 0 BFADHN CC[C@@](C)(O)CNCc1ccc(Cl)cc1F ZINC000162967100 171184157 /nfs/dbraw/zinc/18/41/57/171184157.db2.gz MFBWLQJIRHCMRH-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1ccc(Cl)nc1 ZINC000126847578 171185729 /nfs/dbraw/zinc/18/57/29/171185729.db2.gz MEDUZJFCDRNUCF-BXKDBHETSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@@](C)(O)CN[C@H]1CCCOc2ccccc21 ZINC000154560531 171186640 /nfs/dbraw/zinc/18/66/40/171186640.db2.gz DXMIPHRCIABXED-DZGCQCFKSA-N 0 3 249.354 2.651 20 0 BFADHN CC[C@@]1(C)CN(CCCCCF)CCO1 ZINC000342116705 171202441 /nfs/dbraw/zinc/20/24/41/171202441.db2.gz AQMIZCCWCPCIQR-LBPRGKRZSA-N 0 3 217.328 2.627 20 0 BFADHN CC[C@@]1(O)CCN(Cc2ccc3occc3c2)C1 ZINC000336373591 171214203 /nfs/dbraw/zinc/21/42/03/171214203.db2.gz GSSQBRRWEKNDHD-OAHLLOKOSA-N 0 3 245.322 2.780 20 0 BFADHN CC[C@H](C(=O)N1[C@H](C)CC[C@H]1C)N(CC)CC ZINC000359888761 171226487 /nfs/dbraw/zinc/22/64/87/171226487.db2.gz GJQBOIPQOORGIQ-JHJVBQTASA-N 0 3 240.391 2.506 20 0 BFADHN CC[C@H](C)CN(C)C(=O)[C@H](CC)N(CC)CC ZINC000340670542 171270802 /nfs/dbraw/zinc/27/08/02/171270802.db2.gz ZYCHZINXOOAPHM-STQMWFEESA-N 0 3 242.407 2.611 20 0 BFADHN CC[C@H](C)CN(C)Cc1cn(C)nc1C(F)F ZINC000274482720 171274365 /nfs/dbraw/zinc/27/43/65/171274365.db2.gz MXDVYCYTVYUWKY-VIFPVBQESA-N 0 3 245.317 2.836 20 0 BFADHN CC[C@H](C)CN(C)Cc1cncc(OC)c1 ZINC000290213501 171274612 /nfs/dbraw/zinc/27/46/12/171274612.db2.gz HLWHZCGHEYZHLJ-NSHDSACASA-N 0 3 222.332 2.568 20 0 BFADHN CC[C@H](C)CN(C)Cc1ccc(OC)cn1 ZINC000271888077 171274791 /nfs/dbraw/zinc/27/47/91/171274791.db2.gz DKMRPBNYOHUBDX-NSHDSACASA-N 0 3 222.332 2.568 20 0 BFADHN CC[C@H](C)CN[C@H](C)c1ccncc1F ZINC000309166482 171286479 /nfs/dbraw/zinc/28/64/79/171286479.db2.gz JEOBXUCLJRXVNM-VHSXEESVSA-N 0 3 210.296 2.917 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N[C@@H](C)c1ncnn1C ZINC000353304895 171296980 /nfs/dbraw/zinc/29/69/80/171296980.db2.gz LSSYZZYJGBYXRQ-SDDRHHMPSA-N 0 3 238.379 2.681 20 0 BFADHN CC[C@H](C)N(C)Cc1cc(OC)cc(C)n1 ZINC000339815534 171308848 /nfs/dbraw/zinc/30/88/48/171308848.db2.gz CSWWZDZONDVNNL-NSHDSACASA-N 0 3 222.332 2.629 20 0 BFADHN CC[C@H](C)N1CCN(c2cccs2)CC1 ZINC000181133987 171318359 /nfs/dbraw/zinc/31/83/59/171318359.db2.gz KQSOIJUZWYXCEU-NSHDSACASA-N 0 3 224.373 2.669 20 0 BFADHN CC[C@H](C)N1CCN([C@H]2C=CCCC2)CC1 ZINC000336305643 171318720 /nfs/dbraw/zinc/31/87/20/171318720.db2.gz ZKOHOHJAUFYROD-KBPBESRZSA-N 0 3 222.376 2.511 20 0 BFADHN CC[C@H](C)N1CCc2ccc(C(=O)OC)cc2C1 ZINC000273105621 171320569 /nfs/dbraw/zinc/32/05/69/171320569.db2.gz QLWRNLXKHPTMKR-NSHDSACASA-N 0 3 247.338 2.630 20 0 BFADHN CC[C@H](C)NC(=O)CCN[C@@H](C)c1ccccc1 ZINC000038013728 171322896 /nfs/dbraw/zinc/32/28/96/171322896.db2.gz MKXUYQJQNZKBRY-STQMWFEESA-N 0 3 248.370 2.642 20 0 BFADHN CC[C@@H](NCC(=O)N[C@@H](C)CC)c1ccccc1 ZINC000051628249 171326437 /nfs/dbraw/zinc/32/64/37/171326437.db2.gz SYMNQQVZBJEMPI-GXTWGEPZSA-N 0 3 248.370 2.642 20 0 BFADHN CC[C@H](C)NC(=O)CN[C@@H](C)c1ccc(C)cc1 ZINC000049556795 171326797 /nfs/dbraw/zinc/32/67/97/171326797.db2.gz PAVIOGVDQXJWBP-STQMWFEESA-N 0 3 248.370 2.560 20 0 BFADHN CC[C@H](C)NCC(=O)c1ccc(C)cc1 ZINC000041611617 171339359 /nfs/dbraw/zinc/33/93/59/171339359.db2.gz BMOZFUYDZMCWME-NSHDSACASA-N 0 3 205.301 2.566 20 0 BFADHN CC[C@H](C)NCc1ccc(Br)cn1 ZINC000061862833 171342954 /nfs/dbraw/zinc/34/29/54/171342954.db2.gz KZTBXIDDZUDZEV-QMMMGPOBSA-N 0 3 243.148 2.732 20 0 BFADHN CC[C@H](C)NCc1nn(CC)c2ccccc12 ZINC000089173900 171343658 /nfs/dbraw/zinc/34/36/58/171343658.db2.gz RSAUPFGJBBKRSK-NSHDSACASA-N 0 3 231.343 2.944 20 0 BFADHN CC[C@H](C)N[C@@H](CCOC)c1ccco1 ZINC000272534560 171346109 /nfs/dbraw/zinc/34/61/09/171346109.db2.gz JQWFYPZDAWWWLO-QWRGUYRKSA-N 0 3 211.305 2.745 20 0 BFADHN CC[C@H](C)[C@@H](C)NCC(=O)Nc1cccc(C)c1 ZINC000042556235 171365020 /nfs/dbraw/zinc/36/50/20/171365020.db2.gz LCLDPIKLNRUXAV-QWHCGFSZSA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@@H](C)c1cccnc1)OC ZINC000294318077 171368475 /nfs/dbraw/zinc/36/84/75/171368475.db2.gz WKRLVUXDQAXWMR-SGMGOOAPSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)N[C@H](C)c1ccccc1C ZINC000122113352 171371040 /nfs/dbraw/zinc/37/10/40/171371040.db2.gz WDVRWUAUJILCPA-ZKYQVNSYSA-N 0 3 248.370 2.546 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)N[C@@H](C)c1ccc(C)cc1 ZINC000037764942 171371087 /nfs/dbraw/zinc/37/10/87/171371087.db2.gz OLJFGWIDIULQMV-SGMGOOAPSA-N 0 3 248.370 2.546 20 0 BFADHN CC[C@H](C)[C@H](C)NCC(=O)Nc1cccc(C)c1 ZINC000042556241 171388890 /nfs/dbraw/zinc/38/88/90/171388890.db2.gz LCLDPIKLNRUXAV-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1csc(COC)n1 ZINC000164448763 171389307 /nfs/dbraw/zinc/38/93/07/171389307.db2.gz YAQASZKAOSRYOZ-UWVGGRQHSA-N 0 3 242.388 2.814 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ccc(COC)o1 ZINC000126108284 171389501 /nfs/dbraw/zinc/38/95/01/171389501.db2.gz AGJZLMOBDFYPCD-QWRGUYRKSA-N 0 3 225.332 2.950 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ncccc1N(C)C ZINC000353638594 171389848 /nfs/dbraw/zinc/38/98/48/171389848.db2.gz BBTAMACWZLEWNC-RYUDHWBXSA-N 0 3 235.375 2.672 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](CCO)c1ccco1 ZINC000186512851 171390594 /nfs/dbraw/zinc/39/05/94/171390594.db2.gz IJJCEQQYDGMUDE-SRVKXCTJSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@H](C)[C@H](CN[C@@H](C)c1cccnc1)OC ZINC000294318063 171392805 /nfs/dbraw/zinc/39/28/05/171392805.db2.gz WKRLVUXDQAXWMR-OBJOEFQTSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](C)[C@H](CN[C@@H](C)c1ccco1)OC ZINC000294146347 171393665 /nfs/dbraw/zinc/39/36/65/171393665.db2.gz GZWDZPDBFABQQQ-GVXVVHGQSA-N 0 3 225.332 2.991 20 0 BFADHN CC[C@@H](c1ccccc1)N1CC[C@@H](F)[C@H](N)C1 ZINC000662323815 412101396 /nfs/dbraw/zinc/10/13/96/412101396.db2.gz VNPHPKLNZMCMIF-MCIONIFRSA-N 0 3 236.334 2.509 20 0 BFADHN COCC1(NCc2ccncc2)CCCCC1 ZINC000662338210 412108315 /nfs/dbraw/zinc/10/83/15/412108315.db2.gz HTAZRFGTVRYZJD-UHFFFAOYSA-N 0 3 234.343 2.521 20 0 BFADHN CC(C)[C@@H](O)CN[C@]1(c2ccccc2)CC1(C)C ZINC000662341808 412109559 /nfs/dbraw/zinc/10/95/59/412109559.db2.gz XOPRUESZJQHECF-HOCLYGCPSA-N 0 3 247.382 2.918 20 0 BFADHN CN(Cc1ccc(N(C)C)nc1)C[C@H]1CC1(C)C ZINC000662343853 412111404 /nfs/dbraw/zinc/11/14/04/412111404.db2.gz NAIATJLTONNKAB-CYBMUJFWSA-N 0 3 247.386 2.626 20 0 BFADHN COc1cc(CN(C)C[C@@H]2CC2(C)C)ccn1 ZINC000662343919 412111569 /nfs/dbraw/zinc/11/15/69/412111569.db2.gz DEVTXJQFMJOQSG-LBPRGKRZSA-N 0 3 234.343 2.568 20 0 BFADHN CN(Cc1ccc(N(C)C)nc1)C[C@@H]1CC1(C)C ZINC000662343855 412111710 /nfs/dbraw/zinc/11/17/10/412111710.db2.gz NAIATJLTONNKAB-ZDUSSCGKSA-N 0 3 247.386 2.626 20 0 BFADHN CN(Cc1cncs1)C[C@@H]1CC1(C)C ZINC000662345073 412112878 /nfs/dbraw/zinc/11/28/78/412112878.db2.gz VSXLFPADJCPBOI-VIFPVBQESA-N 0 3 210.346 2.621 20 0 BFADHN CC[C@H](NC)C(=O)Nc1ccc(C(C)C)cc1 ZINC000662349400 412120060 /nfs/dbraw/zinc/12/00/60/412120060.db2.gz YQJPCWHNRISIDE-ZDUSSCGKSA-N 0 3 234.343 2.747 20 0 BFADHN CCCCN(CC)C(=O)c1cccc2c1CNC2 ZINC000662355609 412121911 /nfs/dbraw/zinc/12/19/11/412121911.db2.gz DMWXJANUTLAZMJ-UHFFFAOYSA-N 0 3 246.354 2.552 20 0 BFADHN C[C@@H]1CN(CC2CC=CC2)C[C@@](C)(C(F)F)O1 ZINC000662359416 412123648 /nfs/dbraw/zinc/12/36/48/412123648.db2.gz ZEWLFDFNGRQYHZ-MFKMUULPSA-N 0 3 245.313 2.697 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1cccc(Cl)c1C ZINC000662366995 412125495 /nfs/dbraw/zinc/12/54/95/412125495.db2.gz BBUUWSNIOFFDJI-SNVBAGLBSA-N 0 3 240.734 2.585 20 0 BFADHN CC[C@H](CO)N[C@H]1CC(C)(C)Cc2occc21 ZINC000268717209 171454020 /nfs/dbraw/zinc/45/40/20/171454020.db2.gz NCWFXQADSXUUKN-PWSUYJOCSA-N 0 3 237.343 2.654 20 0 BFADHN COc1ccc(C)cc1CN1CC2CC1(C)C2 ZINC000662380306 412131054 /nfs/dbraw/zinc/13/10/54/412131054.db2.gz GWHIRFMBUHZKNU-UHFFFAOYSA-N 0 3 231.339 2.988 20 0 BFADHN CCCc1ccccc1NC(=O)[C@@H](CC)NC ZINC000662464689 412183537 /nfs/dbraw/zinc/18/35/37/412183537.db2.gz BPUDJLVNBFVZDC-GFCCVEGCSA-N 0 3 234.343 2.576 20 0 BFADHN CC[C@H](NC)C(=O)N(CC)c1cccc(C)c1C ZINC000662499529 412196676 /nfs/dbraw/zinc/19/66/76/412196676.db2.gz UPAXXJGKRURMLK-ZDUSSCGKSA-N 0 3 248.370 2.654 20 0 BFADHN CC[C@@H](NC)C(=O)N1CC[C@@H](C)c2ccccc21 ZINC000662504541 412197839 /nfs/dbraw/zinc/19/78/39/412197839.db2.gz JIOHAGXSBREFDT-DGCLKSJQSA-N 0 3 246.354 2.525 20 0 BFADHN CC[C@H](NCCCOC)c1nc(C)cs1 ZINC000184341424 171520846 /nfs/dbraw/zinc/52/08/46/171520846.db2.gz IYSBWBURZJNTSY-JTQLQIEISA-N 0 3 228.361 2.529 20 0 BFADHN CCC[C@H](CC)NC(=O)c1cccc2c1CNC2 ZINC000662421172 412161116 /nfs/dbraw/zinc/16/11/16/412161116.db2.gz DLSUKEJRQAHNJN-LBPRGKRZSA-N 0 3 246.354 2.598 20 0 BFADHN CC[C@H](N[C@H]1C[C@@H](O)C1)c1cc(F)ccc1F ZINC000295803004 171543132 /nfs/dbraw/zinc/54/31/32/171543132.db2.gz AKTUAVMFVNGAFL-CWSCBRNRSA-N 0 3 241.281 2.529 20 0 BFADHN Cc1cccc(NC(=O)C2(NC3CC3)CCC2)c1 ZINC000662432254 412170167 /nfs/dbraw/zinc/17/01/67/412170167.db2.gz OPUVHVWAUHNYGO-UHFFFAOYSA-N 0 3 244.338 2.608 20 0 BFADHN CC[C@H](N)C(=O)Nc1ccc2c(c1)C=CCCC2 ZINC000662692795 412272896 /nfs/dbraw/zinc/27/28/96/412272896.db2.gz QSOFSJRDFKGINF-AWEZNQCLSA-N 0 3 244.338 2.712 20 0 BFADHN CCN(CCC(F)(F)F)CCC1(O)CCC1 ZINC000662694134 412273263 /nfs/dbraw/zinc/27/32/63/412273263.db2.gz BPTVJORHKUAGHB-UHFFFAOYSA-N 0 3 239.281 2.566 20 0 BFADHN Cc1cscc1CNCc1ccc(CO)cc1 ZINC000133111089 412411053 /nfs/dbraw/zinc/41/10/53/412411053.db2.gz SDRREVWZWUXPRN-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN Cc1ccccc1[C@H](C)NCCOCCF ZINC000663309594 412414382 /nfs/dbraw/zinc/41/43/82/412414382.db2.gz BEOQIWFENXFCBX-LBPRGKRZSA-N 0 3 225.307 2.632 20 0 BFADHN CCc1ccc(CN[C@H](C)Cn2cccn2)cc1 ZINC000035271920 171812082 /nfs/dbraw/zinc/81/20/82/171812082.db2.gz DAYMBHOCXUHOLR-CYBMUJFWSA-N 0 3 243.354 2.624 20 0 BFADHN CCc1ccc([C@@H](C)NC2CCOCC2)o1 ZINC000119068060 171830488 /nfs/dbraw/zinc/83/04/88/171830488.db2.gz JAUHQMPLIYKVFI-SNVBAGLBSA-N 0 3 223.316 2.672 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](CO)C(C)C)o1 ZINC000122635446 171831992 /nfs/dbraw/zinc/83/19/92/171831992.db2.gz IEBCXSGNTPOVLB-ZYHUDNBSSA-N 0 3 225.332 2.510 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2C[C@@H](C)[C@H]2C)o1 ZINC000336778714 171955344 /nfs/dbraw/zinc/95/53/44/171955344.db2.gz MCCOSGUVYIDSJI-FXPVBKGRSA-N 0 3 222.332 2.680 20 0 BFADHN CCc1nc(CNCC2(CC)CC2)cs1 ZINC000165460936 171985229 /nfs/dbraw/zinc/98/52/29/171985229.db2.gz JXEMIIMILNPXIU-UHFFFAOYSA-N 0 3 224.373 2.985 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+]C2CCC3(CC3)CC2)[n-]1 ZINC000330196207 172002740 /nfs/dbraw/zinc/00/27/40/172002740.db2.gz PWMBSBCNMSACEL-SNVBAGLBSA-N 0 3 248.374 2.741 20 0 BFADHN CCc1nnc([C@@H](C)NC2CCC3(CC3)CC2)[nH]1 ZINC000330196207 172002743 /nfs/dbraw/zinc/00/27/43/172002743.db2.gz PWMBSBCNMSACEL-SNVBAGLBSA-N 0 3 248.374 2.741 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@@H](C)C2CCCC2)[n-]1 ZINC000358252246 172002970 /nfs/dbraw/zinc/00/29/70/172002970.db2.gz SRMSTYGKOXVFSC-VHSXEESVSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@@H](C)N[C@@H](C)C2CCCC2)[nH]1 ZINC000358252246 172002973 /nfs/dbraw/zinc/00/29/73/172002973.db2.gz SRMSTYGKOXVFSC-VHSXEESVSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nc([C@@H]2CCCCN2)sc1C ZINC000053251883 172003805 /nfs/dbraw/zinc/00/38/05/172003805.db2.gz MPCJWAYMDWRUTQ-JTQLQIEISA-N 0 3 210.346 2.829 20 0 BFADHN CCc1ncc(CN2C[C@H](C)C[C@H]2C)s1 ZINC000353748334 172017512 /nfs/dbraw/zinc/01/75/12/172017512.db2.gz HYKQZASVIPEAHT-NXEZZACHSA-N 0 3 224.373 2.936 20 0 BFADHN CCc1ncc(CN2C[C@H](C)C[C@@H]2C)s1 ZINC000353748337 172017547 /nfs/dbraw/zinc/01/75/47/172017547.db2.gz HYKQZASVIPEAHT-ZJUUUORDSA-N 0 3 224.373 2.936 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC2(C)C)s1 ZINC000309032008 172018654 /nfs/dbraw/zinc/01/86/54/172018654.db2.gz CHXDGITXSPCLOV-SNVBAGLBSA-N 0 3 224.373 2.984 20 0 BFADHN CCc1ncc(CN[C@@H](CC)CSC)s1 ZINC000162179092 172019347 /nfs/dbraw/zinc/01/93/47/172019347.db2.gz ZWIRQHDDCDHQLY-VIFPVBQESA-N 0 3 244.429 2.937 20 0 BFADHN CCc1ncc(CNCC=C(C)C)s1 ZINC000234123367 172019552 /nfs/dbraw/zinc/01/95/52/172019552.db2.gz CTOVWMXBLGWWEQ-UHFFFAOYSA-N 0 3 210.346 2.761 20 0 BFADHN CCc1ncc(CNCCCOC(C)C)s1 ZINC000037923962 172019640 /nfs/dbraw/zinc/01/96/40/172019640.db2.gz XKIAIGMAFHWNAB-UHFFFAOYSA-N 0 3 242.388 2.610 20 0 BFADHN CCc1ncc(CN[C@H]2CSC[C@H]2C)s1 ZINC000309037610 172020480 /nfs/dbraw/zinc/02/04/80/172020480.db2.gz JCVQMKFBDFQEDT-SCZZXKLOSA-N 0 3 242.413 2.547 20 0 BFADHN CCc1nn(C)cc1CNC1CC(C(C)(C)C)C1 ZINC000339462625 172040841 /nfs/dbraw/zinc/04/08/41/172040841.db2.gz AOSMJSYUGKGBRW-UHFFFAOYSA-N 0 3 249.402 2.897 20 0 BFADHN CCc1nnc(CNCC(CC)CC)s1 ZINC000309223437 172051903 /nfs/dbraw/zinc/05/19/03/172051903.db2.gz VPJBGLYNIAJZKX-UHFFFAOYSA-N 0 3 227.377 2.626 20 0 BFADHN CCc1noc(C)c1CN1CCC2(CCC2)C1 ZINC000123481741 172074700 /nfs/dbraw/zinc/07/47/00/172074700.db2.gz OSVQQASFUQZVEA-UHFFFAOYSA-N 0 3 234.343 2.921 20 0 BFADHN CCc1noc(C)c1CN(C)[C@@H](C)CC ZINC000336650855 172075423 /nfs/dbraw/zinc/07/54/23/172075423.db2.gz UBQFKPXZMBWRCH-VIFPVBQESA-N 0 3 210.321 2.776 20 0 BFADHN CCc1noc(C)c1CN[C@@H](C)C1(C)CC1 ZINC000340440548 172079847 /nfs/dbraw/zinc/07/98/47/172079847.db2.gz DIIRZIXYPJTYDN-JTQLQIEISA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CC[C@@H]1CC ZINC000340440927 172080669 /nfs/dbraw/zinc/08/06/69/172080669.db2.gz UZQIBDQUSMIMGR-GWCFXTLKSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CCCSC1 ZINC000293056525 172081370 /nfs/dbraw/zinc/08/13/70/172081370.db2.gz UKOGCGBIOUPGSI-JTQLQIEISA-N 0 3 240.372 2.531 20 0 BFADHN CCc1nocc1CNCC1(CC)CCC1 ZINC000339294834 172097187 /nfs/dbraw/zinc/09/71/87/172097187.db2.gz XXGSSZGFVHWLNY-UHFFFAOYSA-N 0 3 222.332 2.907 20 0 BFADHN CCc1nocc1CNCCC1(F)CCC1 ZINC000339401185 172097414 /nfs/dbraw/zinc/09/74/14/172097414.db2.gz UPUBGJPSQSXVLP-UHFFFAOYSA-N 0 3 226.295 2.609 20 0 BFADHN CCc1nocc1CNCC(CC)(CC)OC ZINC000339411633 172098504 /nfs/dbraw/zinc/09/85/04/172098504.db2.gz ZPOMTRVSGURFHO-UHFFFAOYSA-N 0 3 240.347 2.532 20 0 BFADHN CCc1nocc1CNCC(C)(C)CC ZINC000339371627 172098513 /nfs/dbraw/zinc/09/85/13/172098513.db2.gz JAYJJWFIFSCHOI-UHFFFAOYSA-N 0 3 210.321 2.763 20 0 BFADHN CCc1nocc1CNCCC1=CCCC1 ZINC000339318246 172099414 /nfs/dbraw/zinc/09/94/14/172099414.db2.gz AXYFCYFUIVKOHN-UHFFFAOYSA-N 0 3 220.316 2.827 20 0 BFADHN CCc1nocc1CNCCCC(F)(F)F ZINC000339289744 172100516 /nfs/dbraw/zinc/10/05/16/172100516.db2.gz HDYMOQQIWNNHLK-UHFFFAOYSA-N 0 3 236.237 2.669 20 0 BFADHN CCc1nocc1CNCCC=C(C)C ZINC000339372252 172100628 /nfs/dbraw/zinc/10/06/28/172100628.db2.gz WVNSCSFMRPBQEI-UHFFFAOYSA-N 0 3 208.305 2.683 20 0 BFADHN CCc1nocc1CN[C@H]1CCC[C@H](C)C1 ZINC000339201958 172101205 /nfs/dbraw/zinc/10/12/05/172101205.db2.gz PDCZHYMBWLPIBZ-JQWIXIFHSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nocc1CN[C@@H]1CCC[C@H](C)C1 ZINC000339201953 172101306 /nfs/dbraw/zinc/10/13/06/172101306.db2.gz PDCZHYMBWLPIBZ-CMPLNLGQSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nocc1CN[C@H]1CC[C@H](C)C1 ZINC000339365035 172102333 /nfs/dbraw/zinc/10/23/33/172102333.db2.gz YNXVVYCPHBNMIQ-ONGXEEELSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1oc(CN[C@@H](C)CC)cc1C(=O)OC ZINC000338945888 172112705 /nfs/dbraw/zinc/11/27/05/172112705.db2.gz XRBKDPNQZYJWJU-VIFPVBQESA-N 0 3 239.315 2.517 20 0 BFADHN CCc1oc(CNC(C)(C)C)cc1C(=O)OC ZINC000338934022 172112953 /nfs/dbraw/zinc/11/29/53/172112953.db2.gz FDOICWKPVPOOGX-UHFFFAOYSA-N 0 3 239.315 2.517 20 0 BFADHN CCc1oc2ccccc2c1CNCC[C@@H](C)O ZINC000078781750 172112963 /nfs/dbraw/zinc/11/29/63/172112963.db2.gz KPUWIHCKXVZVGQ-LLVKDONJSA-N 0 3 247.338 2.856 20 0 BFADHN CCc1oc2ccccc2c1CNC[C@@H](O)CC ZINC000124522358 172113040 /nfs/dbraw/zinc/11/30/40/172113040.db2.gz XUYGLUVHSLTOGX-NSHDSACASA-N 0 3 247.338 2.856 20 0 BFADHN CCn1cc(CN2CCC(C)(CC)CC2)cn1 ZINC000353144562 172161761 /nfs/dbraw/zinc/16/17/61/172161761.db2.gz VEQJIAHKMWNEPE-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCn1cc(CN(C)[C@H]2CC[C@H](C)C2)cn1 ZINC000338995183 172161805 /nfs/dbraw/zinc/16/18/05/172161805.db2.gz BNRBLNFISJUXBK-AAEUAGOBSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1cc(CN2CCC(C)(C3CC3)CC2)cn1 ZINC000356288035 172163672 /nfs/dbraw/zinc/16/36/72/172163672.db2.gz VUOITDVJYVSRRS-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1cc(CN2CCC[C@H]3CCC[C@@H]32)cn1 ZINC000092485470 172165951 /nfs/dbraw/zinc/16/59/51/172165951.db2.gz KTHDUKHMKUVHHZ-KGLIPLIRSA-N 0 3 233.359 2.668 20 0 BFADHN CCn1cc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)cn1 ZINC000355075402 172167734 /nfs/dbraw/zinc/16/77/34/172167734.db2.gz JTNVJPSEIAGWEI-AGIUHOORSA-N 0 3 235.375 2.769 20 0 BFADHN CCn1cc(CN[C@@H](C)c2ccccc2)cn1 ZINC000050539332 172173936 /nfs/dbraw/zinc/17/39/36/172173936.db2.gz RPKBOPPTSMHCEL-LBPRGKRZSA-N 0 3 229.327 2.754 20 0 BFADHN CCn1cc([C@@H](C)N[C@@H]2C=CCCC2)cn1 ZINC000271424483 172194297 /nfs/dbraw/zinc/19/42/97/172194297.db2.gz AZJPSBXJWGOQRT-DGCLKSJQSA-N 0 3 219.332 2.662 20 0 BFADHN CCn1cc([C@H](C)N[C@@H]2C=CCCC2)cn1 ZINC000271424485 172197379 /nfs/dbraw/zinc/19/73/79/172197379.db2.gz AZJPSBXJWGOQRT-WCQYABFASA-N 0 3 219.332 2.662 20 0 BFADHN CCn1cc([C@H](C)NCc2ccccc2)cn1 ZINC000044494292 172197417 /nfs/dbraw/zinc/19/74/17/172197417.db2.gz RAZPZQZQEYPRKV-LBPRGKRZSA-N 0 3 229.327 2.754 20 0 BFADHN CCn1cccc1CN(C)CCc1ccncc1 ZINC000267462383 172203755 /nfs/dbraw/zinc/20/37/55/172203755.db2.gz UBKDWAOUPXSLPP-UHFFFAOYSA-N 0 3 243.354 2.578 20 0 BFADHN CCn1ccnc1CN1C[C@H](C)CC1(C)C ZINC000336555072 172222609 /nfs/dbraw/zinc/22/26/09/172222609.db2.gz WDFSXBOYURUAMZ-LLVKDONJSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1ccnc1CN[C@H]1CCC[C@H](C2CC2)C1 ZINC000344631175 172223390 /nfs/dbraw/zinc/22/33/90/172223390.db2.gz OURGJDAUQCDYCA-KBPBESRZSA-N 0 3 247.386 2.961 20 0 BFADHN CCn1ccnc1CNC1[C@H](C)CCC[C@H]1C ZINC000343788143 172224268 /nfs/dbraw/zinc/22/42/68/172224268.db2.gz UTIDASDEBYXLHW-VXGBXAGGSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCc1cccs1 ZINC000295989644 172227932 /nfs/dbraw/zinc/22/79/32/172227932.db2.gz NSBVNLYRAXENKP-LLVKDONJSA-N 0 3 249.383 2.858 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCCC(F)(F)F ZINC000296237633 172228127 /nfs/dbraw/zinc/22/81/27/172228127.db2.gz APRMHQSJKOTLED-SECBINFHSA-N 0 3 249.280 2.896 20 0 BFADHN CCn1ccnc1[C@H](C)NCCC(C)C ZINC000295178310 172229679 /nfs/dbraw/zinc/22/96/79/172229679.db2.gz HDYBPQCQWWSANT-NSHDSACASA-N 0 3 209.337 2.600 20 0 BFADHN CCn1ccnc1[C@H](C)NCC(C)(C)SC ZINC000297622436 172229795 /nfs/dbraw/zinc/22/97/95/172229795.db2.gz IZAWKOLTTZMLMW-JTQLQIEISA-N 0 3 241.404 2.695 20 0 BFADHN CCn1nc(C)c(CN2[C@@H](C)C[C@@H]2C)c1C ZINC000335753263 172245558 /nfs/dbraw/zinc/24/55/58/172245558.db2.gz CLQGPNOLZCMXGU-UWVGGRQHSA-N 0 3 221.348 2.503 20 0 BFADHN CCn1nc(C)c(CN2CC[C@@H](C)[C@@H](C)C2)c1C ZINC000353876893 172245909 /nfs/dbraw/zinc/24/59/09/172245909.db2.gz OATPLJVUHCCIBG-NEPJUHHUSA-N 0 3 249.402 2.998 20 0 BFADHN CCn1nc(CNCC[C@H](C)F)c2ccccc21 ZINC000339498197 172249952 /nfs/dbraw/zinc/24/99/52/172249952.db2.gz OEIVRFXTAOCXCA-NSHDSACASA-N 0 3 249.333 2.894 20 0 BFADHN CCn1nc(CN[C@@H]2CC[C@H]2C)c2ccccc21 ZINC000336786807 172250670 /nfs/dbraw/zinc/25/06/70/172250670.db2.gz COGIFRQQFBQYFW-DGCLKSJQSA-N 0 3 243.354 2.944 20 0 BFADHN CCn1nccc1CN1CC[C@@H](C)C2(CCC2)C1 ZINC000354904374 172267436 /nfs/dbraw/zinc/26/74/36/172267436.db2.gz JDIHDJKAMXHIGK-CYBMUJFWSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1nccc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000119923022 172267713 /nfs/dbraw/zinc/26/77/13/172267713.db2.gz NQWWXKWTOHCYND-JSGCOSHPSA-N 0 3 233.359 2.668 20 0 BFADHN CCn1nccc1CN1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000359314773 172268178 /nfs/dbraw/zinc/26/81/78/172268178.db2.gz XIGXYTAZMNGAHD-HIFRSBDPSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1nccc1CN1C[C@@H](C)CCC[C@@H]1C ZINC000128193121 172269309 /nfs/dbraw/zinc/26/93/09/172269309.db2.gz LENPJBZNFXZKTM-STQMWFEESA-N 0 3 235.375 2.914 20 0 BFADHN CCn1nccc1CN1C[C@H](C)CCC[C@@H]1C ZINC000128192937 172269432 /nfs/dbraw/zinc/26/94/32/172269432.db2.gz LENPJBZNFXZKTM-OLZOCXBDSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1nccc1CN1CC[C@H](C(C)(C)C)C1 ZINC000346356684 172269559 /nfs/dbraw/zinc/26/95/59/172269559.db2.gz DNMHJWDPWUIWDG-LBPRGKRZSA-N 0 3 235.375 2.771 20 0 BFADHN CCn1nccc1CNC1CC(C(C)(C)C)C1 ZINC000339472793 172269631 /nfs/dbraw/zinc/26/96/31/172269631.db2.gz ZAMAKKRJVZAIIE-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ncnc1CNCC(CC)(CC)CC ZINC000355613992 172281595 /nfs/dbraw/zinc/28/15/95/172281595.db2.gz WKASXHUNJFOTHE-UHFFFAOYSA-N 0 3 238.379 2.604 20 0 BFADHN CN(C)Cc1ccc(NC(=O)C2(C)CCC2)cc1 ZINC000267336744 172501243 /nfs/dbraw/zinc/50/12/43/172501243.db2.gz GYIMEIYWBBOEIW-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CN(Cc1ccc(Cl)s1)[C@@H]1CCC[C@H]1O ZINC000226280803 172850825 /nfs/dbraw/zinc/85/08/25/172850825.db2.gz KFBBELYQMDXEJW-NXEZZACHSA-N 0 3 245.775 2.747 20 0 BFADHN CN1CCCN(Cc2cc3ccccc3o2)CC1 ZINC000269366523 173017915 /nfs/dbraw/zinc/01/79/15/173017915.db2.gz QYDMDKSKRAJUKN-UHFFFAOYSA-N 0 3 244.338 2.570 20 0 BFADHN CN1CCN(CCC2CC2)C2(CCCCC2)C1 ZINC000334148351 173080357 /nfs/dbraw/zinc/08/03/57/173080357.db2.gz AOIMEGHBFROCEE-UHFFFAOYSA-N 0 3 236.403 2.737 20 0 BFADHN CN1CCN(Cc2csc3ccccc23)CC1 ZINC000032851129 173091509 /nfs/dbraw/zinc/09/15/09/173091509.db2.gz XTXXOMYVEBVZNY-UHFFFAOYSA-N 0 3 246.379 2.649 20 0 BFADHN CCc1nn(C)cc1CN(CC)CCC1CC1 ZINC000680649728 487627667 /nfs/dbraw/zinc/62/76/67/487627667.db2.gz ANSCYOMYVDRJOT-UHFFFAOYSA-N 0 3 235.375 2.605 20 0 BFADHN COC(=O)c1ccccc1CCN1CCCCC1 ZINC000186587862 173898302 /nfs/dbraw/zinc/89/83/02/173898302.db2.gz FGLPADNIXBJGOD-UHFFFAOYSA-N 0 3 247.338 2.502 20 0 BFADHN COC(CN[C@@H](c1ccccc1)C(C)C)OC ZINC000037566853 173963044 /nfs/dbraw/zinc/96/30/44/173963044.db2.gz MUKZRCFOCHANKB-CQSZACIVSA-N 0 3 237.343 2.592 20 0 BFADHN COC1(C)CCN(Cc2ccc(F)cc2)CC1 ZINC000271758660 173977278 /nfs/dbraw/zinc/97/72/78/173977278.db2.gz OKWOQBDKAZLINT-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN COC1(CN[C@@H](C)c2cccnc2)CCCC1 ZINC000278664238 174003391 /nfs/dbraw/zinc/00/33/91/174003391.db2.gz QRBNTORVUKBSMT-LBPRGKRZSA-N 0 3 234.343 2.691 20 0 BFADHN COC1(CN[C@@H](C)c2cnccc2C)CCC1 ZINC000356847104 174004133 /nfs/dbraw/zinc/00/41/33/174004133.db2.gz NXJSUJPWZIKDJE-LBPRGKRZSA-N 0 3 234.343 2.610 20 0 BFADHN COC1CCC(N[C@@H](C)c2cc(C)on2)CC1 ZINC000337567226 174022224 /nfs/dbraw/zinc/02/22/24/174022224.db2.gz YXRYEMFIAPYCNS-UNXYVOJBSA-N 0 3 238.331 2.591 20 0 BFADHN COC1CCN([C@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000336278325 174032353 /nfs/dbraw/zinc/03/23/53/174032353.db2.gz BJUDUGHHQBVJQH-GJZGRUSLSA-N 0 3 249.329 2.792 20 0 BFADHN COC1CCN([C@H]2CCc3ccc(F)cc32)CC1 ZINC000267173133 174032597 /nfs/dbraw/zinc/03/25/97/174032597.db2.gz VRIUWZKTTFTFPT-HNNXBMFYSA-N 0 3 249.329 2.924 20 0 BFADHN COCC(C)(C)CCCNCc1conc1C ZINC000339400280 174068175 /nfs/dbraw/zinc/06/81/75/174068175.db2.gz NLFBOOQWWLDKFN-UHFFFAOYSA-N 0 3 240.347 2.525 20 0 BFADHN COCC(C)(C)CN[C@@H](C)c1ccc(F)cn1 ZINC000293785415 174074505 /nfs/dbraw/zinc/07/45/05/174074505.db2.gz UEEWILDWCFWPPR-JTQLQIEISA-N 0 3 240.322 2.544 20 0 BFADHN COCC1(C)CCN(Cc2ccc(C)o2)CC1 ZINC000354780109 174092133 /nfs/dbraw/zinc/09/21/33/174092133.db2.gz DRPUNQHFHXUQME-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN COCC1(C)CCN(Cc2ccsc2)CC1 ZINC000354751665 174092975 /nfs/dbraw/zinc/09/29/75/174092975.db2.gz QBSRWQOWAWOXBF-UHFFFAOYSA-N 0 3 239.384 2.997 20 0 BFADHN COCC1(C)CCN(Cc2ccc(C)nc2)CC1 ZINC000360975609 174093404 /nfs/dbraw/zinc/09/34/04/174093404.db2.gz UUFJRLNGMXYZKW-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN COCC1(CN[C@H](C)c2cc(C)ccn2)CCC1 ZINC000287692534 174104861 /nfs/dbraw/zinc/10/48/61/174104861.db2.gz WUBHKRWNFDREAS-CYBMUJFWSA-N 0 3 248.370 2.857 20 0 BFADHN COCC1(NCc2ccc(C)c(OC)c2)CCC1 ZINC000284156374 174109872 /nfs/dbraw/zinc/10/98/72/174109872.db2.gz WBKUZVDIJQANHD-UHFFFAOYSA-N 0 3 249.354 2.662 20 0 BFADHN COCC1CCN(Cc2cccc(OC)c2)CC1 ZINC000112412208 174121088 /nfs/dbraw/zinc/12/10/88/174121088.db2.gz SOZUFEJGIOGVLM-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN COCCC(C)(C)CNCc1ccc(C)o1 ZINC000135004333 174143377 /nfs/dbraw/zinc/14/33/77/174143377.db2.gz DMJCINJRKUEFFV-UHFFFAOYSA-N 0 3 225.332 2.740 20 0 BFADHN COCCC(C)(C)CN[C@H](C)c1ccco1 ZINC000135014601 174144455 /nfs/dbraw/zinc/14/44/55/174144455.db2.gz FXGFVZBQSKHYKA-LLVKDONJSA-N 0 3 225.332 2.993 20 0 BFADHN COCCC1(CN[C@H](C)c2cncs2)CC1 ZINC000131020997 174156327 /nfs/dbraw/zinc/15/63/27/174156327.db2.gz PLLLTPYBROVXMG-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN COCCCCCN(Cc1ccccn1)C1CC1 ZINC000180864470 174177492 /nfs/dbraw/zinc/17/74/92/174177492.db2.gz PPLQINQMCBZMME-UHFFFAOYSA-N 0 3 248.370 2.863 20 0 BFADHN COCCCCCN1CCCc2occc2C1 ZINC000288915369 174177558 /nfs/dbraw/zinc/17/75/58/174177558.db2.gz UNXDEDRHLPQBPU-UHFFFAOYSA-N 0 3 237.343 2.845 20 0 BFADHN COCCCCCN[C@@H](C)c1csc(C)n1 ZINC000227590089 174182665 /nfs/dbraw/zinc/18/26/65/174182665.db2.gz MQWIQMACMNZBAW-JTQLQIEISA-N 0 3 242.388 2.919 20 0 BFADHN COCCCCN(C)Cc1cc(F)cc(F)c1 ZINC000352421543 174187176 /nfs/dbraw/zinc/18/71/76/174187176.db2.gz LYBRLLUMQAXCFL-UHFFFAOYSA-N 0 3 243.297 2.823 20 0 BFADHN COCCCCN(C)[C@H](C)c1cccnc1 ZINC000119796486 174187778 /nfs/dbraw/zinc/18/77/78/174187778.db2.gz XIFARKFGYXEXFV-GFCCVEGCSA-N 0 3 222.332 2.501 20 0 BFADHN COCCCCN(C)Cc1cccs1 ZINC000265484495 174188124 /nfs/dbraw/zinc/18/81/24/174188124.db2.gz UHLAESQYFXLOMS-UHFFFAOYSA-N 0 3 213.346 2.607 20 0 BFADHN COCCCCN[C@H](C)c1ncc(C)s1 ZINC000126690161 174203188 /nfs/dbraw/zinc/20/31/88/174203188.db2.gz VBHFLMUHMYZSMN-SNVBAGLBSA-N 0 3 228.361 2.529 20 0 BFADHN COCCCN(C)C/C=C/c1ccccc1OC ZINC000092537224 174216793 /nfs/dbraw/zinc/21/67/93/174216793.db2.gz XOXAIRFFCCIKPF-RMKNXTFCSA-N 0 3 249.354 2.677 20 0 BFADHN COCCCN(C)Cc1csc(C)c1 ZINC000294874978 174218607 /nfs/dbraw/zinc/21/86/07/174218607.db2.gz QJKJHXQQDAUHNO-UHFFFAOYSA-N 0 3 213.346 2.525 20 0 BFADHN COCCCN(C)c1ccnc2ccccc21 ZINC000264698271 174221209 /nfs/dbraw/zinc/22/12/09/174221209.db2.gz PQPMNTKIKKDCNL-UHFFFAOYSA-N 0 3 230.311 2.708 20 0 BFADHN COCCCN1CC[C@](F)(c2ccccc2)C1 ZINC000374540167 174232451 /nfs/dbraw/zinc/23/24/51/174232451.db2.gz WFLJGMIEAPCQHF-CQSZACIVSA-N 0 3 237.318 2.594 20 0 BFADHN COCCCNC1(c2nccs2)CCCC1 ZINC000177168408 174246461 /nfs/dbraw/zinc/24/64/61/174246461.db2.gz FSNXGLPRGPLWRE-UHFFFAOYSA-N 0 3 240.372 2.539 20 0 BFADHN COCCCNCc1sccc1Cl ZINC000192977021 174248589 /nfs/dbraw/zinc/24/85/89/174248589.db2.gz GRCMYERFPNRNTL-UHFFFAOYSA-N 0 3 219.737 2.528 20 0 BFADHN COCCCN[C@@H](C)c1c(F)cccc1F ZINC000020142345 174249002 /nfs/dbraw/zinc/24/90/02/174249002.db2.gz TZLZXXYFBFQZRJ-VIFPVBQESA-N 0 3 229.270 2.652 20 0 BFADHN COCCCN[C@H](C)c1cc(F)ccc1F ZINC000020142641 174250871 /nfs/dbraw/zinc/25/08/71/174250871.db2.gz MPLYRXQEZCTZCO-SECBINFHSA-N 0 3 229.270 2.652 20 0 BFADHN COCCC[C@H](C)N[C@H]1COc2ccc(C)cc21 ZINC000367556356 174264460 /nfs/dbraw/zinc/26/44/60/174264460.db2.gz HUQBXHOOUAZNHT-JSGCOSHPSA-N 0 3 249.354 2.833 20 0 BFADHN COCCN(C)[C@@H](C)c1ccccc1C ZINC000298851393 174282730 /nfs/dbraw/zinc/28/27/30/174282730.db2.gz MHDGHKRLEWFKDX-LBPRGKRZSA-N 0 3 207.317 2.634 20 0 BFADHN COCCN1CCC[C@H]1/C=C/c1ccccc1 ZINC000378601792 174307644 /nfs/dbraw/zinc/30/76/44/174307644.db2.gz UYIMHJDWESYGIH-FEAKQIBJSA-N 0 3 231.339 2.811 20 0 BFADHN COCCN1CC[C@H](C(F)(F)F)C(C)(C)C1 ZINC000361936755 174313496 /nfs/dbraw/zinc/31/34/96/174313496.db2.gz LNCIUULHNTZHEM-VIFPVBQESA-N 0 3 239.281 2.543 20 0 BFADHN COCCNCc1ccc(-c2ccc(C)cc2)o1 ZINC000127815964 174335378 /nfs/dbraw/zinc/33/53/78/174335378.db2.gz LLLXGXIDTSAVRS-UHFFFAOYSA-N 0 3 245.322 2.991 20 0 BFADHN COCCNCc1cccc(OC2CCCC2)c1 ZINC000032104774 174336009 /nfs/dbraw/zinc/33/60/09/174336009.db2.gz JIHUDZDOUOIHDS-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN COCCN[C@@H](C)c1nccc2ccccc21 ZINC000353414112 174338019 /nfs/dbraw/zinc/33/80/19/174338019.db2.gz RPTQKXVMOCQJIL-NSHDSACASA-N 0 3 230.311 2.532 20 0 BFADHN COCCN[C@@H](c1cc(F)ccc1F)C(C)C ZINC000358952306 174338451 /nfs/dbraw/zinc/33/84/51/174338451.db2.gz YWFLXUWTWKPARS-CYBMUJFWSA-N 0 3 243.297 2.898 20 0 BFADHN COCCN[C@@H]1CCSc2c(F)cccc21 ZINC000037186386 174339072 /nfs/dbraw/zinc/33/90/72/174339072.db2.gz QRROACJUJHRUSI-LLVKDONJSA-N 0 3 241.331 2.599 20 0 BFADHN COCCN[C@H](C)c1ccccc1OC(F)F ZINC000019881518 174340228 /nfs/dbraw/zinc/34/02/28/174340228.db2.gz WPVUULURSDAGID-SECBINFHSA-N 0 3 245.269 2.585 20 0 BFADHN COCCOc1ccccc1CN[C@H]1CC1(C)C ZINC000267654143 174369986 /nfs/dbraw/zinc/36/99/86/174369986.db2.gz YIPXDLKAJWQGOK-AWEZNQCLSA-N 0 3 249.354 2.600 20 0 BFADHN COCCOc1ccccc1CNCC=C(C)C ZINC000191625862 174370016 /nfs/dbraw/zinc/37/00/16/174370016.db2.gz UICYBENAXKGTGC-UHFFFAOYSA-N 0 3 249.354 2.768 20 0 BFADHN COCC[C@@H](C)NCc1cc(F)c(F)c(F)c1 ZINC000102781156 174384210 /nfs/dbraw/zinc/38/42/10/174384210.db2.gz SHJMVEJFVIQDOC-MRVPVSSYSA-N 0 3 247.260 2.619 20 0 BFADHN COCC[C@@H](C)N[C@H](C)c1ccc(Cl)cn1 ZINC000336762137 174384987 /nfs/dbraw/zinc/38/49/87/174384987.db2.gz LDRQXJFLATXBNT-NXEZZACHSA-N 0 3 242.750 2.811 20 0 BFADHN COCC[C@@H](NCC[C@@H](C)F)c1ccco1 ZINC000336767928 174393809 /nfs/dbraw/zinc/39/38/09/174393809.db2.gz QEOJTOAVEJFGSU-GHMZBOCLSA-N 0 3 229.295 2.695 20 0 BFADHN COCC[C@@H](NCC1CCC1)c1ccco1 ZINC000273330542 174394272 /nfs/dbraw/zinc/39/42/72/174394272.db2.gz ZCKHMRPSZCURRV-GFCCVEGCSA-N 0 3 223.316 2.747 20 0 BFADHN COCC[C@@H]1CCC[C@@H]1N[C@@H](C)c1ccon1 ZINC000337464974 174396325 /nfs/dbraw/zinc/39/63/25/174396325.db2.gz LRUGRFJSUFALJV-GVXVVHGQSA-N 0 3 238.331 2.530 20 0 BFADHN COC[C@@H](NCc1ccsc1Cl)C1CC1 ZINC000308972759 174485480 /nfs/dbraw/zinc/48/54/80/174485480.db2.gz AGPRUQGDSDOHSA-SNVBAGLBSA-N 0 3 245.775 2.916 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cnc2ccccc2c1 ZINC000358059823 174713620 /nfs/dbraw/zinc/71/36/20/174713620.db2.gz JKYIJFBYUVKEIN-NEPJUHHUSA-N 0 3 244.338 2.748 20 0 BFADHN CO[C@@H]1CCC[C@@H]1CN[C@@H](C)c1ccccn1 ZINC000341888635 174749551 /nfs/dbraw/zinc/74/95/51/174749551.db2.gz LKFXKASHPWUCIB-OUCADQQQSA-N 0 3 234.343 2.547 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1cc(F)cc(F)c1 ZINC000191203864 174834645 /nfs/dbraw/zinc/83/46/45/174834645.db2.gz WHVGGYHPYPONGY-BDAKNGLRSA-N 0 3 229.270 2.650 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1ccc2ccccc2n1 ZINC000188126703 174834828 /nfs/dbraw/zinc/83/48/28/174834828.db2.gz JCYQXTMOUVVITH-NEPJUHHUSA-N 0 3 244.338 2.920 20 0 BFADHN CO[C@H](C)CN[C@@H]1CCc2ccc(Cl)cc21 ZINC000336775246 174835714 /nfs/dbraw/zinc/83/57/14/174835714.db2.gz YLBBZSFZCQSBQJ-NOZJJQNGSA-N 0 3 239.746 2.952 20 0 BFADHN Cc1cccc2[nH]c(CN(C)[C@H](C)CCO)cc21 ZINC000668291672 487629198 /nfs/dbraw/zinc/62/91/98/487629198.db2.gz SETODDLIGQPACO-GFCCVEGCSA-N 0 3 246.354 2.679 20 0 BFADHN COc1cc([C@@H](C)NCC(C)(C)C)c(F)cn1 ZINC000287778370 175012932 /nfs/dbraw/zinc/01/29/32/175012932.db2.gz RYFFJARBQRGZFU-SECBINFHSA-N 0 3 240.322 2.926 20 0 BFADHN COc1cc([C@@H](C)NCC[C@@H](C)F)c(F)cn1 ZINC000340466596 175013796 /nfs/dbraw/zinc/01/37/96/175013796.db2.gz DOOBVJJWJKCFLK-RKDXNWHRSA-N 0 3 244.285 2.628 20 0 BFADHN COc1cc([C@H](C)NC[C@@H]2C[C@@H]2C)c(F)cn1 ZINC000287572337 175015859 /nfs/dbraw/zinc/01/58/59/175015859.db2.gz GWGYLQTZLXGONC-GUBZILKMSA-N 0 3 238.306 2.536 20 0 BFADHN COc1cc2c(cc1F)CC[C@H]2NC1CC1 ZINC000281335401 175022815 /nfs/dbraw/zinc/02/28/15/175022815.db2.gz UFELKFZKQOEVPB-GFCCVEGCSA-N 0 3 221.275 2.574 20 0 BFADHN COc1ccc(C)cc1NC1CCN(C)CC1 ZINC000019914285 175078498 /nfs/dbraw/zinc/07/84/98/175078498.db2.gz JSHPTTNNUPLLRT-UHFFFAOYSA-N 0 3 234.343 2.510 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@H]1CCCOC1 ZINC000109543501 175079013 /nfs/dbraw/zinc/07/90/13/175079013.db2.gz FVZWOCIPGMCRJZ-OLZOCXBDSA-N 0 3 249.354 2.833 20 0 BFADHN COc1ccc(C)cc1[C@H](C)NCC1(O)CCC1 ZINC000124439817 175081328 /nfs/dbraw/zinc/08/13/28/175081328.db2.gz LWEULEABATYTGK-LBPRGKRZSA-N 0 3 249.354 2.569 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@@H]1CCCOC1 ZINC000109543499 175081690 /nfs/dbraw/zinc/08/16/90/175081690.db2.gz FVZWOCIPGMCRJZ-QWHCGFSZSA-N 0 3 249.354 2.833 20 0 BFADHN COc1ccc(C2(NCCSC)CC2)cc1 ZINC000336693717 175084543 /nfs/dbraw/zinc/08/45/43/175084543.db2.gz LIZBBSAFWPBIJI-UHFFFAOYSA-N 0 3 237.368 2.637 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2CC[C@H](C)O2)cc1 ZINC000188535450 175095096 /nfs/dbraw/zinc/09/50/96/175095096.db2.gz BINGJCDJAQAMQT-WFASDCNBSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccc(CN2CCCCC[C@H]2C)nc1 ZINC000338283776 175098890 /nfs/dbraw/zinc/09/88/90/175098890.db2.gz SLNWRZDEKLQPNT-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN COc1ccc(CN2CCSC[C@H]2C)cc1 ZINC000179664892 175102078 /nfs/dbraw/zinc/10/20/78/175102078.db2.gz UOALBXXNOUZZSA-LLVKDONJSA-N 0 3 237.368 2.633 20 0 BFADHN COc1ccc(CNC2(C(C)C)CC2)c(OC)c1 ZINC000293180033 175106002 /nfs/dbraw/zinc/10/60/02/175106002.db2.gz WPLXRGFFZPUJHO-UHFFFAOYSA-N 0 3 249.354 2.982 20 0 BFADHN COc1ccc(CN[C@@H](C)c2cccnc2)cc1 ZINC000019909060 175109041 /nfs/dbraw/zinc/10/90/41/175109041.db2.gz LPNPSDHQORVONH-LBPRGKRZSA-N 0 3 242.322 2.941 20 0 BFADHN COc1ccc(CN[C@H](C)c2cccnc2)cc1 ZINC000019909061 175111059 /nfs/dbraw/zinc/11/10/59/175111059.db2.gz LPNPSDHQORVONH-GFCCVEGCSA-N 0 3 242.322 2.941 20 0 BFADHN COc1ccc(CN[C@H]2CCC23CCC3)cc1O ZINC000282255863 175112073 /nfs/dbraw/zinc/11/20/73/175112073.db2.gz QQYCZHLIFWKEFI-AWEZNQCLSA-N 0 3 247.338 2.823 20 0 BFADHN COc1ccc(F)cc1CNCCCCF ZINC000309262065 175129921 /nfs/dbraw/zinc/12/99/21/175129921.db2.gz PIBCMCWOLHGXIU-UHFFFAOYSA-N 0 3 229.270 2.674 20 0 BFADHN COc1ccc(F)cc1CNC(C)(C)C ZINC000057496545 175130090 /nfs/dbraw/zinc/13/00/90/175130090.db2.gz MEFHJMFLWPXJKX-UHFFFAOYSA-N 0 3 211.280 2.722 20 0 BFADHN COc1ccc(F)cc1CNCC1(C)CC1 ZINC000309297795 175130394 /nfs/dbraw/zinc/13/03/94/175130394.db2.gz XKHXEELZGKTVPI-UHFFFAOYSA-N 0 3 223.291 2.724 20 0 BFADHN COc1ccc(F)cc1[C@@H](C)NC[C@@H](C)OC ZINC000182426941 175132358 /nfs/dbraw/zinc/13/23/58/175132358.db2.gz OSFCJAVZYUKAPF-NXEZZACHSA-N 0 3 241.306 2.520 20 0 BFADHN COc1ccc(OC)c(CNCC(C)(C)C)c1 ZINC000040492633 175165342 /nfs/dbraw/zinc/16/53/42/175165342.db2.gz PUJTUUDXJOYLSK-UHFFFAOYSA-N 0 3 237.343 2.840 20 0 BFADHN COc1ccc([C@@H](C)CN2CCCOCC2)cc1 ZINC000371423480 175175941 /nfs/dbraw/zinc/17/59/41/175175941.db2.gz CTLWNQWMXFDKEG-ZDUSSCGKSA-N 0 3 249.354 2.521 20 0 BFADHN COc1ccc([C@@H](CO)N(C)CC=C(C)C)cc1 ZINC000264903426 175179089 /nfs/dbraw/zinc/17/90/89/175179089.db2.gz IKDZTYOCLMPWGV-OAHLLOKOSA-N 0 3 249.354 2.627 20 0 BFADHN COc1ccc([C@H](C)NCCOC2CCC2)cc1 ZINC000272274371 175188203 /nfs/dbraw/zinc/18/82/03/175188203.db2.gz NVGNOGFBKUHTJL-LBPRGKRZSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2CCO[C@H]2C)cc1 ZINC000120686444 175189732 /nfs/dbraw/zinc/18/97/32/175189732.db2.gz QHXBXLLBMZCERY-COPLHBTASA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccc2c(c1)CCC[C@@H]2NCCF ZINC000281204440 175207959 /nfs/dbraw/zinc/20/79/59/175207959.db2.gz YJVXJXVAYPIAIX-ZDUSSCGKSA-N 0 3 223.291 2.632 20 0 BFADHN COc1ccc2oc(CNC[C@H](C)OC)cc2c1 ZINC000191146976 175231373 /nfs/dbraw/zinc/23/13/73/175231373.db2.gz CVOKBKYUXWVUFP-JTQLQIEISA-N 0 3 249.310 2.566 20 0 BFADHN COc1cccc(C2(N[C@H](C)[C@@H](C)OC)CC2)c1 ZINC000272202032 175247913 /nfs/dbraw/zinc/24/79/13/175247913.db2.gz JCRWARUTSJUXDH-VXGBXAGGSA-N 0 3 249.354 2.697 20 0 BFADHN COc1cccc(CN(C)[C@H]2CCSC2)c1 ZINC000119930502 175255861 /nfs/dbraw/zinc/25/58/61/175255861.db2.gz NYNZHDSYAHQHGK-LBPRGKRZSA-N 0 3 237.368 2.633 20 0 BFADHN COc1cccc(CN(C)C[C@H]2CC[C@H](C)O2)c1 ZINC000276480313 175255884 /nfs/dbraw/zinc/25/58/84/175255884.db2.gz OOMNYCNNZDESSI-SWLSCSKDSA-N 0 3 249.354 2.695 20 0 BFADHN COc1cccc(CN2CCCC[C@@H](C)C2)n1 ZINC000360612724 175257224 /nfs/dbraw/zinc/25/72/24/175257224.db2.gz LGNZOEFRDVYIQM-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cccc(CN2CCC(C3CC3)CC2)n1 ZINC000368335883 175257264 /nfs/dbraw/zinc/25/72/64/175257264.db2.gz JEBACGFUERGHJD-UHFFFAOYSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cccc(CN2CCC(C)(C)CC2)n1 ZINC000341192339 175257423 /nfs/dbraw/zinc/25/74/23/175257423.db2.gz NKAJLBZAPRXMDH-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cccc(CN2CCC[C@H]3CCC[C@@H]32)n1 ZINC000173806114 175258226 /nfs/dbraw/zinc/25/82/26/175258226.db2.gz HTOBRZCFAGXASK-OCCSQVGLSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cccc(CN2CCC[C@H](C3CC3)C2)n1 ZINC000289421588 175258841 /nfs/dbraw/zinc/25/88/41/175258841.db2.gz MTZAXYYNOQJENC-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cccc(CN2CCC[C@@H]2C(C)C)n1 ZINC000264826723 175258980 /nfs/dbraw/zinc/25/89/80/175258980.db2.gz OWAWSXSUXMDSER-CYBMUJFWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cccc(CN2CC[C@@H](C)C(C)(C)C2)n1 ZINC000354001290 175260530 /nfs/dbraw/zinc/26/05/30/175260530.db2.gz HHRUNHREXVAOKZ-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN COc1cccc(CN2C[C@H](C)[C@H]2C)c1 ZINC000293433027 175261373 /nfs/dbraw/zinc/26/13/73/175261373.db2.gz NZUNDYDFLQTHBO-WDEREUQCSA-N 0 3 205.301 2.535 20 0 BFADHN COc1cccc(CNC[C@@H](C)C(F)(F)F)c1 ZINC000359133523 175264470 /nfs/dbraw/zinc/26/44/70/175264470.db2.gz XAEYRFICLYWILL-SECBINFHSA-N 0 3 247.260 2.983 20 0 BFADHN COc1cccc(CN[C@@H](C)COC(C)C)c1 ZINC000337334896 175265365 /nfs/dbraw/zinc/26/53/65/175265365.db2.gz KZNVEXBLICCDSX-LBPRGKRZSA-N 0 3 237.343 2.598 20 0 BFADHN COc1cccc(CN[C@H]2CSC2(C)C)c1 ZINC000282611229 175268272 /nfs/dbraw/zinc/26/82/72/175268272.db2.gz DVHJROMBOXNRCN-LBPRGKRZSA-N 0 3 237.368 2.679 20 0 BFADHN COc1cccc(OC)c1CN[C@H]1CC[C@H]1C ZINC000353140460 175281446 /nfs/dbraw/zinc/28/14/46/175281446.db2.gz PMLCFYJHULUCDK-PWSUYJOCSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc(OC)c1CN[C@H]1CC[C@@H](C)C1 ZINC000352735082 175281631 /nfs/dbraw/zinc/28/16/31/175281631.db2.gz ANQLPGIXZZWBFG-NEPJUHHUSA-N 0 3 249.354 2.982 20 0 BFADHN COc1cccc([C@@H](C)NCc2ccn(C)c2)c1 ZINC000091008517 175286415 /nfs/dbraw/zinc/28/64/15/175286415.db2.gz OROHOLNHOLPDJM-GFCCVEGCSA-N 0 3 244.338 2.885 20 0 BFADHN COc1cccc([C@@H](C)NCc2[nH]ncc2C)c1 ZINC000289744638 175287459 /nfs/dbraw/zinc/28/74/59/175287459.db2.gz FBHKAGUCWSYLGU-LLVKDONJSA-N 0 3 245.326 2.578 20 0 BFADHN COc1cccc([C@@H](C)N[C@H]2CCCOC2)c1 ZINC000103954850 175287621 /nfs/dbraw/zinc/28/76/21/175287621.db2.gz VSSJRCZBQDQLFM-YPMHNXCESA-N 0 3 235.327 2.525 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2CCCOC2)c1 ZINC000103954838 175293478 /nfs/dbraw/zinc/29/34/78/175293478.db2.gz VSSJRCZBQDQLFM-WCQYABFASA-N 0 3 235.327 2.525 20 0 BFADHN COc1ccccc1C(C)(C)NCCCF ZINC000137083635 175319583 /nfs/dbraw/zinc/31/95/83/175319583.db2.gz YBHNVTJEYVYHNT-UHFFFAOYSA-N 0 3 225.307 2.880 20 0 BFADHN COc1ccccc1CCNCc1ccc(C)o1 ZINC000040731314 175323175 /nfs/dbraw/zinc/32/31/75/175323175.db2.gz XNOUJKZXKFZUCI-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN COc1ccccc1CN(C)CC[C@@H]1CCCO1 ZINC000191659944 175324385 /nfs/dbraw/zinc/32/43/85/175324385.db2.gz YLDLDNNRJMSMBQ-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccccc1CN1CCC[C@@H](OC)CC1 ZINC000378638934 175328158 /nfs/dbraw/zinc/32/81/58/175328158.db2.gz SDYAZXLNJMPHHK-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccccc1CN[C@H]1CC[C@@H]1SC ZINC000336709178 175331741 /nfs/dbraw/zinc/33/17/41/175331741.db2.gz RBSXEWNUCMDKDI-AAEUAGOBSA-N 0 3 237.368 2.679 20 0 BFADHN COc1ccccc1[C@@H](C)NCC(C)(C)OC ZINC000122224014 175344313 /nfs/dbraw/zinc/34/43/13/175344313.db2.gz MBCQTFAIQLFDAI-LLVKDONJSA-N 0 3 237.343 2.771 20 0 BFADHN COc1ccccc1[C@@H](C)NCCSC ZINC000070184488 175344879 /nfs/dbraw/zinc/34/48/79/175344879.db2.gz KXWCRONIYDQGQT-SNVBAGLBSA-N 0 3 225.357 2.709 20 0 BFADHN COc1ccccc1[C@H](C)NCCOC(C)C ZINC000128217628 175350698 /nfs/dbraw/zinc/35/06/98/175350698.db2.gz JJPWFUWJKRUNEY-LBPRGKRZSA-N 0 3 237.343 2.771 20 0 BFADHN COc1ccccc1[C@H](C)NCC[C@H]1CCCO1 ZINC000038095410 175350793 /nfs/dbraw/zinc/35/07/93/175350793.db2.gz JZPOYIJJVSYVDQ-QWHCGFSZSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@@H]1CC[C@H](C)O1 ZINC000127658943 175352084 /nfs/dbraw/zinc/35/20/84/175352084.db2.gz HLZVEYXLYGJEEB-AVGNSLFASA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1CCO[C@@H]1C ZINC000135296240 175352376 /nfs/dbraw/zinc/35/23/76/175352376.db2.gz GFFIHQIVLKHHEV-DMDPSCGWSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1C[C@@H](O)C1(C)C ZINC000164057956 175352470 /nfs/dbraw/zinc/35/24/70/175352470.db2.gz GAVGTFJJRCIXKF-LEWSCRJBSA-N 0 3 249.354 2.505 20 0 BFADHN COc1ccnc(CN2CC[C@H](C)C(C)(C)C2)c1 ZINC000354024696 175360496 /nfs/dbraw/zinc/36/04/96/175360496.db2.gz SMFFOWLLUUUSOS-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccncc1CN1CC[C@H](CC2CC2)C1 ZINC000339673760 175369582 /nfs/dbraw/zinc/36/95/82/175369582.db2.gz MANLWJDGEJNZFY-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ccncc1CN[C@@H]1CC2CCC1CC2 ZINC000311083220 175371214 /nfs/dbraw/zinc/37/12/14/175371214.db2.gz OYPWCOPETBOENV-ORHYLEIMSA-N 0 3 246.354 2.759 20 0 BFADHN COc1ccncc1CN[C@@H]1CCC[C@H]1C1CC1 ZINC000340402049 175371623 /nfs/dbraw/zinc/37/16/23/175371623.db2.gz BEGBMZSVMOUKME-UONOGXRCSA-N 0 3 246.354 2.759 20 0 BFADHN COc1ccncc1CN[C@@H](C)C(C)(C)C ZINC000287603042 175372173 /nfs/dbraw/zinc/37/21/73/175372173.db2.gz DHTNIJLTWBBGLT-JTQLQIEISA-N 0 3 222.332 2.614 20 0 BFADHN COc1ccncc1CN[C@H](C)[C@H]1CC1(C)C ZINC000340403508 175373501 /nfs/dbraw/zinc/37/35/01/175373501.db2.gz MWJXGLUNFAUARI-ZYHUDNBSSA-N 0 3 234.343 2.614 20 0 BFADHN COc1ccsc1CN1CCC12CCC2 ZINC000336527734 175376637 /nfs/dbraw/zinc/37/66/37/175376637.db2.gz VCGZMDBIQCMSNV-UHFFFAOYSA-N 0 3 223.341 2.885 20 0 BFADHN COc1ccsc1CN[C@@H]1CCCSC1 ZINC000166725963 175377798 /nfs/dbraw/zinc/37/77/98/175377798.db2.gz VWKHVNGDKJRVNA-SECBINFHSA-N 0 3 243.397 2.742 20 0 BFADHN COc1ccsc1CN1CCC[C@@H]1C ZINC000336325631 175378011 /nfs/dbraw/zinc/37/80/11/175378011.db2.gz LREYAUXUGWZZFA-VIFPVBQESA-N 0 3 211.330 2.741 20 0 BFADHN COc1ccsc1CNC[C@@H](OC)C(C)C ZINC000336772777 175378799 /nfs/dbraw/zinc/37/87/99/175378799.db2.gz VSJDTFONEGQFKA-LLVKDONJSA-N 0 3 243.372 2.517 20 0 BFADHN COc1ccsc1CNCC1(C(F)F)CC1 ZINC000309874870 175378862 /nfs/dbraw/zinc/37/88/62/175378862.db2.gz SDTBWBRSALXCAH-UHFFFAOYSA-N 0 3 247.310 2.892 20 0 BFADHN COc1ccsc1[C@H](C)N[C@@H](C)CCCO ZINC000186192483 175379384 /nfs/dbraw/zinc/37/93/84/175379384.db2.gz JUKLFDHXVTVGNI-UWVGGRQHSA-N 0 3 243.372 2.568 20 0 BFADHN COc1ccsc1[C@H](C)N[C@H]1CCSC1 ZINC000274578739 175380506 /nfs/dbraw/zinc/38/05/06/175380506.db2.gz KKXSBGGOFBLQQC-IUCAKERBSA-N 0 3 243.397 2.913 20 0 BFADHN COc1cncc(CN(C(C)C)C(C)C)c1 ZINC000289660525 175384450 /nfs/dbraw/zinc/38/44/50/175384450.db2.gz CTMGOQVBRHZNJN-UHFFFAOYSA-N 0 3 222.332 2.709 20 0 BFADHN COc1cncc(CN2CCC[C@@H](C)[C@H]2C)c1 ZINC000290179169 175385127 /nfs/dbraw/zinc/38/51/27/175385127.db2.gz FEMPZMKWGBTGTE-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN2CC[C@@H](C)C[C@@H]2C)c1 ZINC000290269193 175385789 /nfs/dbraw/zinc/38/57/89/175385789.db2.gz LHFAOVCRJUQZCX-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN2CC[C@H](C)C[C@@H]2C)c1 ZINC000289404603 175386002 /nfs/dbraw/zinc/38/60/02/175386002.db2.gz LHFAOVCRJUQZCX-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN COc1nc(C)cc(C)c1CN1CC[C@@H](C)C1 ZINC000287398610 175390094 /nfs/dbraw/zinc/39/00/94/175390094.db2.gz RRUGCILJGFVHMY-SNVBAGLBSA-N 0 3 234.343 2.549 20 0 BFADHN C[C@@H](NC1CCCCC1)c1nnc2ccccn21 ZINC000035044269 175860867 /nfs/dbraw/zinc/86/08/67/175860867.db2.gz BVWWJFKNTGFBNX-LLVKDONJSA-N 0 3 244.342 2.713 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccsc1)c1cccnc1 ZINC000092751371 175887156 /nfs/dbraw/zinc/88/71/56/175887156.db2.gz CIUGUSOSEZWJHK-ZWNOBZJWSA-N 0 3 248.351 2.527 20 0 BFADHN C[C@@H](NCc1ccn(C)n1)C1C(C)(C)C1(C)C ZINC000358233024 175903424 /nfs/dbraw/zinc/90/34/24/175903424.db2.gz COKGPGXHIGESPM-SNVBAGLBSA-N 0 3 235.375 2.580 20 0 BFADHN CC[C@@H](O)CNCc1ccc(Cl)cc1C ZINC000233900403 487632519 /nfs/dbraw/zinc/63/25/19/487632519.db2.gz QPPWLDPWMWRHBZ-GFCCVEGCSA-N 0 3 227.735 2.509 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCC(C)(O)CC1 ZINC000177302728 176017874 /nfs/dbraw/zinc/01/78/74/176017874.db2.gz WHBIXFBWTLXNFI-NSHDSACASA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H](c1cccc(F)c1)N(C)CC1(CO)CC1 ZINC000190038940 176018099 /nfs/dbraw/zinc/01/80/99/176018099.db2.gz KBBVTWXBCBFSEW-NSHDSACASA-N 0 3 237.318 2.591 20 0 BFADHN C[C@@H](c1cccc(Cl)c1)N1CC[C@@H](CO)C1 ZINC000224475026 176018478 /nfs/dbraw/zinc/01/84/78/176018478.db2.gz QESCUFHEWUGLRS-WDEREUQCSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@@H](c1cccc(F)c1)N(C)CC(C)(C)CO ZINC000295329046 176018491 /nfs/dbraw/zinc/01/84/91/176018491.db2.gz XAEPJWOELDJCFP-NSHDSACASA-N 0 3 239.334 2.837 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)C[C@@H]1CCOC1 ZINC000052074493 176024984 /nfs/dbraw/zinc/02/49/84/176024984.db2.gz PSBMMEKGLGLKMN-RYUDHWBXSA-N 0 3 237.318 2.855 20 0 BFADHN C[C@@H](c1ccccc1Cl)N1CC[C@@H](CO)C1 ZINC000224475268 176025401 /nfs/dbraw/zinc/02/54/01/176025401.db2.gz KZVSBWRHXANZGI-WDEREUQCSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC(CCCO)CC1 ZINC000272987075 176027848 /nfs/dbraw/zinc/02/78/48/176027848.db2.gz RDHUKSZFQTWKPV-ZDUSSCGKSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@@H](c1ccco1)N(CCn1ccnc1)C1CC1 ZINC000162846924 176032693 /nfs/dbraw/zinc/03/26/93/176032693.db2.gz QTPQNDBLPAANDP-LBPRGKRZSA-N 0 3 245.326 2.702 20 0 BFADHN C[C@@H](O)CCN(C)[C@@H](C)c1cccs1 ZINC000125404693 176032995 /nfs/dbraw/zinc/03/29/95/176032995.db2.gz RIGVPOSDOHVKME-ZJUUUORDSA-N 0 3 213.346 2.512 20 0 BFADHN C[C@H]1CC(NCc2cocn2)C[C@@H](C)C1 ZINC000178745660 176055094 /nfs/dbraw/zinc/05/50/94/176055094.db2.gz YFZOCKUGRODFCI-ZACCUICWSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CC([NH2+]Cc2nnc(C3CC3)[n-]2)C[C@@H](C)C1 ZINC000331463983 176055115 /nfs/dbraw/zinc/05/51/15/176055115.db2.gz HEDYFPJGCIQDIH-UWVGGRQHSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC(NCc2nnc(C3CC3)[nH]2)C[C@@H](C)C1 ZINC000331463983 176055117 /nfs/dbraw/zinc/05/51/17/176055117.db2.gz HEDYFPJGCIQDIH-UWVGGRQHSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC(O)C[C@@H](C)N1Cc1ccccc1F ZINC000191196443 176055136 /nfs/dbraw/zinc/05/51/36/176055136.db2.gz JDQGBSUUYUDGMT-GHMZBOCLSA-N 0 3 237.318 2.559 20 0 BFADHN C[C@@H]1CC(NCc2cocn2)C[C@@H](C)C1 ZINC000178745668 176055452 /nfs/dbraw/zinc/05/54/52/176055452.db2.gz YFZOCKUGRODFCI-UWVGGRQHSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CCCCN(Cc2cncc(F)c2)C1 ZINC000275153964 176065362 /nfs/dbraw/zinc/06/53/62/176065362.db2.gz UGWAOBCRRRPAIR-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CCNCc1cc[nH]n1 ZINC000336742829 176075673 /nfs/dbraw/zinc/07/56/73/176075673.db2.gz OPLIUWJFSKBTGL-VXGBXAGGSA-N 0 3 221.348 2.716 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CCOCC12CC2 ZINC000338995673 176076321 /nfs/dbraw/zinc/07/63/21/176076321.db2.gz FBSAZMJCQFAQQO-CHWSQXEVSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN[C@@H]1CCn2ccnc21 ZINC000345359155 176085865 /nfs/dbraw/zinc/08/58/65/176085865.db2.gz XFBZCDRJWXHQAB-FRRDWIJNSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H]1CCCC[C@H]1NCc1nccs1 ZINC000040444054 176088311 /nfs/dbraw/zinc/08/83/11/176088311.db2.gz DMKBZJLXUDLGDN-NXEZZACHSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@@H]1CCCN1CCC(=O)c1ccc(F)cc1 ZINC000037911628 176109060 /nfs/dbraw/zinc/10/90/60/176109060.db2.gz ILQGDAMUOLWHLK-LLVKDONJSA-N 0 3 235.302 2.883 20 0 BFADHN C[C@@H]1CCCN1Cc1cnc(C(C)(C)C)nc1 ZINC000284289235 176110124 /nfs/dbraw/zinc/11/01/24/176110124.db2.gz HZWQBTNVVJAWFK-LLVKDONJSA-N 0 3 233.359 2.758 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2nccn2C)[C@@H]1C ZINC000360772244 176119273 /nfs/dbraw/zinc/11/92/73/176119273.db2.gz LMFNYCXLBWFVCU-UPJWGTAASA-N 0 3 235.375 2.582 20 0 BFADHN C[C@@H]1CCC[C@@H](N(C)Cc2ccno2)C1 ZINC000264684743 176121065 /nfs/dbraw/zinc/12/10/65/176121065.db2.gz DWNDJIVCKUOEFW-GHMZBOCLSA-N 0 3 208.305 2.685 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCO[C@H](C)[C@H]2C)C1 ZINC000356983387 176137670 /nfs/dbraw/zinc/13/76/70/176137670.db2.gz MNZQCMQNHNWDPI-SYQHCUMBSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2ncc(Cl)n2C)C1 ZINC000037255051 176143942 /nfs/dbraw/zinc/14/39/42/176143942.db2.gz ZYFDJXYUDNWXCP-ZJUUUORDSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2ccno2)[C@@H]1C ZINC000219202302 176144122 /nfs/dbraw/zinc/14/41/22/176144122.db2.gz QQDWKNLKSGRPTM-FOGDFJRCSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2ncccc2N(C)C)C1 ZINC000353256055 176144141 /nfs/dbraw/zinc/14/41/41/176144141.db2.gz WUHNSJZVBGWTOR-OLZOCXBDSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@@H]1CCN(CCc2cscn2)CCS1 ZINC000296153308 176169377 /nfs/dbraw/zinc/16/93/77/176169377.db2.gz YCOQEYLPWGYHRH-SNVBAGLBSA-N 0 3 242.413 2.513 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(F)cn2)C[C@@H]1C ZINC000276292102 176174513 /nfs/dbraw/zinc/17/45/13/176174513.db2.gz VVPFBLGFDQPAIP-MNOVXSKESA-N 0 3 222.307 2.699 20 0 BFADHN C[C@@H]1CCN(Cc2ccccc2Cl)[C@H]1CO ZINC000248895198 176176420 /nfs/dbraw/zinc/17/64/20/176176420.db2.gz GKCDJUCQZFITPO-MFKMUULPSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@@H]1CCN(Cc2nc3ccccc3n2C)[C@@H]1C ZINC000177887317 176177271 /nfs/dbraw/zinc/17/72/71/176177271.db2.gz SUAYHXWKVULJTJ-VXGBXAGGSA-N 0 3 243.354 2.804 20 0 BFADHN C[C@@H]1CCN(Cc2cnc(C(C)(C)C)nc2)C1 ZINC000284457477 176177448 /nfs/dbraw/zinc/17/74/48/176177448.db2.gz UUPQKLNMOVPQEE-LLVKDONJSA-N 0 3 233.359 2.616 20 0 BFADHN C[C@@H]1CCN(Cc2cnc3ccccn23)C[C@@H]1C ZINC000123012660 176177454 /nfs/dbraw/zinc/17/74/54/176177454.db2.gz YKRSUKPLDKKRGD-OLZOCXBDSA-N 0 3 243.354 2.812 20 0 BFADHN C[C@@H]1CCN(Cc2cncs2)C[C@@H]1C ZINC000093480797 176177641 /nfs/dbraw/zinc/17/76/41/176177641.db2.gz YNDIOLICCMGBTD-ZJUUUORDSA-N 0 3 210.346 2.621 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@@H](C)[C@H](O)C1 ZINC000357576552 176179720 /nfs/dbraw/zinc/17/97/20/176179720.db2.gz ZMDZZCSQOHDYFJ-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H]1CCOCCN1C/C=C/c1ccccc1 ZINC000362234596 176188017 /nfs/dbraw/zinc/18/80/17/176188017.db2.gz IERGENXDWXJAJM-LHRNQBEKSA-N 0 3 231.339 2.811 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2cn3ccccc3n2)C1 ZINC000174469442 176197767 /nfs/dbraw/zinc/19/77/67/176197767.db2.gz AZSDCSBYLGKQLJ-CHWSQXEVSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cccc2c1OCCO2 ZINC000123416169 176199997 /nfs/dbraw/zinc/19/99/97/176199997.db2.gz JJHGMRQVJGGSSL-VXGBXAGGSA-N 0 3 247.338 2.831 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cn2ccccc2n1 ZINC000123128667 176200267 /nfs/dbraw/zinc/20/02/67/176200267.db2.gz FTCJSVMKFPUWJW-VXGBXAGGSA-N 0 3 229.327 2.707 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@@H]1CC[C@@H](C)O1 ZINC000248875377 176202240 /nfs/dbraw/zinc/20/22/40/176202240.db2.gz FEDLEBBPRAKTLC-BZPMIXESSA-N 0 3 234.343 2.642 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC[C@@H]2c2cccnc2)O1 ZINC000336784776 176203844 /nfs/dbraw/zinc/20/38/44/176203844.db2.gz XEWOULUMFPVRQH-VHDGCEQUSA-N 0 3 246.354 2.786 20 0 BFADHN C[C@@H]1CC[C@@H](CNC2(c3cccc(F)c3)CC2)O1 ZINC000191055809 176205989 /nfs/dbraw/zinc/20/59/89/176205989.db2.gz FKWRZEXGGYZJOM-RISCZKNCSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@@H](c2cccnc2)C2CC2)O1 ZINC000355281038 176206276 /nfs/dbraw/zinc/20/62/76/176206276.db2.gz WKTCTCNPKZPAJL-BYCMXARLSA-N 0 3 246.354 2.690 20 0 BFADHN C[C@@H]1CC[C@@H]([NH2+]Cc2nnc(C3CC3)[n-]2)C[C@H]1C ZINC000331522043 176213389 /nfs/dbraw/zinc/21/33/89/176213389.db2.gz OASNJJZGHGWNHB-CKYFFXLPSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2nnc(C3CC3)[nH]2)C[C@H]1C ZINC000331522043 176213390 /nfs/dbraw/zinc/21/33/90/176213390.db2.gz OASNJJZGHGWNHB-CKYFFXLPSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1nccn1-c1ccccc1 ZINC000353136485 176217941 /nfs/dbraw/zinc/21/79/41/176217941.db2.gz KZCMLUZXDSSEHI-OCCSQVGLSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@@H]1CC[C@H](CN2CC[C@@H]2Cc2ccccc2)O1 ZINC000336784215 176225640 /nfs/dbraw/zinc/22/56/40/176225640.db2.gz MFXUAIMWSCCNDW-FVQBIDKESA-N 0 3 245.366 2.871 20 0 BFADHN C[C@@H]1CC[C@H]([NH2+]Cc2nnc(C3CC3)[n-]2)C[C@H]1C ZINC000331522046 176233974 /nfs/dbraw/zinc/23/39/74/176233974.db2.gz OASNJJZGHGWNHB-FOGDFJRCSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@H](NCc2nnc(C3CC3)[nH]2)C[C@H]1C ZINC000331522046 176233975 /nfs/dbraw/zinc/23/39/75/176233975.db2.gz OASNJJZGHGWNHB-FOGDFJRCSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1cn2cc(Cl)ccc2n1 ZINC000334305588 176236945 /nfs/dbraw/zinc/23/69/45/176236945.db2.gz GOZDLRNJXGKJLX-BXKDBHETSA-N 0 3 249.745 2.876 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1ccc(Br)o1 ZINC000307217564 176237028 /nfs/dbraw/zinc/23/70/28/176237028.db2.gz GAHVYLJPMQJTGL-VXNVDRBHSA-N 0 3 244.132 2.930 20 0 BFADHN C[C@@H]1CN(C)CCN1Cc1cc2ccccc2o1 ZINC000353592762 176266839 /nfs/dbraw/zinc/26/68/39/176266839.db2.gz KDIADNJZYGVCNA-GFCCVEGCSA-N 0 3 244.338 2.569 20 0 BFADHN C[C@@H]1CN(CCCF)Cc2ccccc2O1 ZINC000292489289 176289744 /nfs/dbraw/zinc/28/97/44/176289744.db2.gz SUQAOCUWCBNUSA-LLVKDONJSA-N 0 3 223.291 2.629 20 0 BFADHN C[C@@H]1CN(CCSC(C)(C)C)CCCO1 ZINC000370507576 176291845 /nfs/dbraw/zinc/29/18/45/176291845.db2.gz KKKLXADVXUGUEO-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN C[C@@H]1CN(Cc2cccc3[nH]ccc32)[C@H](C)CO1 ZINC000092018381 176305765 /nfs/dbraw/zinc/30/57/65/176305765.db2.gz HCVDPEUWIXNUTE-VXGBXAGGSA-N 0 3 244.338 2.777 20 0 BFADHN C[C@H](C(=O)Nc1ccccc1)N1C[C@@H](C)[C@H](C)C1 ZINC000362450272 176316949 /nfs/dbraw/zinc/31/69/49/176316949.db2.gz QXNDUGGWJPHFFM-JHJVBQTASA-N 0 3 246.354 2.601 20 0 BFADHN C[C@@H]1COCCN1C[C@H]1CCc2ccccc2C1 ZINC000359596264 176337731 /nfs/dbraw/zinc/33/77/31/176337731.db2.gz MTCSCPFUYJAGCM-KGLIPLIRSA-N 0 3 245.366 2.512 20 0 BFADHN C[C@@H]1COCC[C@H]1CNCc1ccc(Cl)o1 ZINC000309788033 176343604 /nfs/dbraw/zinc/34/36/04/176343604.db2.gz ONMHKWFLYPYYPJ-ZJUUUORDSA-N 0 3 243.734 2.695 20 0 BFADHN C[C@@H]1COCC[C@H]1NCc1ccccc1Cl ZINC000191754652 176345140 /nfs/dbraw/zinc/34/51/40/176345140.db2.gz HQWXVPHKEFKYJX-ZWNOBZJWSA-N 0 3 239.746 2.855 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1ccc(Cl)o1 ZINC000306276283 176353571 /nfs/dbraw/zinc/35/35/71/176353571.db2.gz DQGXPGZGQHCCCP-APPZFPTMSA-N 0 3 231.748 2.774 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cncs2)C1 ZINC000157994044 176362327 /nfs/dbraw/zinc/36/23/27/176362327.db2.gz SZKUOLSPBSGFLY-NXEZZACHSA-N 0 3 210.346 2.621 20 0 BFADHN C[C@@H]1C[C@@H](NCc2c(F)cccc2F)CCO1 ZINC000219921256 176377913 /nfs/dbraw/zinc/37/79/13/176377913.db2.gz LFWCFOKIHWRFLU-ZJUUUORDSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCC2(C)C)c2nccn21 ZINC000345357596 176378845 /nfs/dbraw/zinc/37/88/45/176378845.db2.gz PDGCAAJFPHVOBY-GMTAPVOTSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1NC[C@H]1CCC=CO1 ZINC000290238138 176487538 /nfs/dbraw/zinc/48/75/38/176487538.db2.gz UJBKIZCWTIEZGX-YWPYICTPSA-N 0 3 245.322 2.791 20 0 BFADHN CC[C@H]1CCCN1C(=O)Nc1cccc(CN)c1 ZINC000037915368 487635142 /nfs/dbraw/zinc/63/51/42/487635142.db2.gz MCKSYSNYENRCMG-ZDUSSCGKSA-N 0 3 247.342 2.552 20 0 BFADHN C[C@H](NCC(C)(C)O)c1ccc(F)cc1Cl ZINC000080122025 176835090 /nfs/dbraw/zinc/83/50/90/176835090.db2.gz YDAAYQZWFUSOGB-QMMMGPOBSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1)c1ccc(F)c(Cl)c1 ZINC000295900818 176906834 /nfs/dbraw/zinc/90/68/34/176906834.db2.gz ILRQQGXRARMZIW-UJNFCWOMSA-N 0 3 243.709 2.653 20 0 BFADHN C[C@H](N[C@H]1CC=CCC1)c1nccs1 ZINC000084066775 176918799 /nfs/dbraw/zinc/91/87/99/176918799.db2.gz WHSFYSVXFBAOSI-UWVGGRQHSA-N 0 3 208.330 2.902 20 0 BFADHN C[C@H](N[C@H]1CCO[C@H]1C1CC1)c1cccc(O)c1 ZINC000300651745 176925693 /nfs/dbraw/zinc/92/56/93/176925693.db2.gz RTRBUVCCABRDMP-LKTVYLICSA-N 0 3 247.338 2.610 20 0 BFADHN C[C@H](O)C[C@H](C)CNCc1ccsc1Cl ZINC000336761169 177000272 /nfs/dbraw/zinc/00/02/72/177000272.db2.gz MYTBAQXITUIGEY-IUCAKERBSA-N 0 3 247.791 2.898 20 0 BFADHN C[C@H](O)[C@@H]1CCN(C/C=C/c2ccc(F)cc2)C1 ZINC000272568341 177009652 /nfs/dbraw/zinc/00/96/52/177009652.db2.gz VJDGFILGPDPDQQ-ZJRBINPNSA-N 0 3 249.329 2.542 20 0 BFADHN C[C@H](c1ccccc1)N1CCN(CC2CC2)CC1 ZINC000338996479 177038403 /nfs/dbraw/zinc/03/84/03/177038403.db2.gz AUNUFCZHLVJQHZ-CQSZACIVSA-N 0 3 244.382 2.775 20 0 BFADHN C[C@H](c1ccccc1F)N1CCC(C)(O)CC1 ZINC000177308350 177041453 /nfs/dbraw/zinc/04/14/53/177041453.db2.gz GIBIFFCRYUEVOO-LLVKDONJSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H](c1ccccn1)N1CCC(CCCO)CC1 ZINC000272987073 177044300 /nfs/dbraw/zinc/04/43/00/177044300.db2.gz RDHUKSZFQTWKPV-CYBMUJFWSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@H]2OCCC[C@H]2C1 ZINC000372042522 177046097 /nfs/dbraw/zinc/04/60/97/177046097.db2.gz NEZVHHYVJQGSRD-VHDGCEQUSA-N 0 3 246.354 2.644 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@@]2(C1)CCCOC2 ZINC000341968081 177046282 /nfs/dbraw/zinc/04/62/82/177046282.db2.gz AEZFKVKYSQMRFJ-UKRRQHHQSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@H]2OCCC[C@@H]2C1 ZINC000372042518 177046508 /nfs/dbraw/zinc/04/65/08/177046508.db2.gz NEZVHHYVJQGSRD-BPLDGKMQSA-N 0 3 246.354 2.644 20 0 BFADHN C[C@H](c1cccs1)N(C)CCCCO ZINC000049728088 177048709 /nfs/dbraw/zinc/04/87/09/177048709.db2.gz OSZPQXBQRPUCMH-SNVBAGLBSA-N 0 3 213.346 2.513 20 0 BFADHN C[C@H](c1cnccn1)N(C)CCc1cccs1 ZINC000184584926 177051321 /nfs/dbraw/zinc/05/13/21/177051321.db2.gz IOWGHBYYICYTDV-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN C[C@H](c1cnccn1)N(C)CC1CCCC1 ZINC000184486687 177051327 /nfs/dbraw/zinc/05/13/27/177051327.db2.gz ZYAIXBKHANMMNT-LLVKDONJSA-N 0 3 219.332 2.660 20 0 BFADHN C[C@H](c1cnccn1)N1CCC[C@H](C2CC2)C1 ZINC000289543851 177051585 /nfs/dbraw/zinc/05/15/85/177051585.db2.gz WXHABAWEOQGRCR-YPMHNXCESA-N 0 3 231.343 2.660 20 0 BFADHN C[C@H](c1nc2ccccc2o1)N1CCCC1 ZINC000180937693 177054441 /nfs/dbraw/zinc/05/44/41/177054441.db2.gz NTPVPKPWPCUFIU-SNVBAGLBSA-N 0 3 216.284 2.985 20 0 BFADHN CCOc1ccc(CN2CCC[C@H]2CC)nc1 ZINC000674862895 487636750 /nfs/dbraw/zinc/63/67/50/487636750.db2.gz WDYNMMPNLFIXNJ-CYBMUJFWSA-N 0 3 234.343 2.855 20 0 BFADHN C[C@H]1CC(N[C@@H]2CCn3ccnc32)C[C@H](C)C1 ZINC000345353179 177065204 /nfs/dbraw/zinc/06/52/04/177065204.db2.gz PYONRWSACDQJIH-NQBHXWOUSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H]1CCCC[C@H]1NCc1nccs1 ZINC000040444055 177088709 /nfs/dbraw/zinc/08/87/09/177088709.db2.gz DMKBZJLXUDLGDN-VHSXEESVSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@H]1CCCN(Cc2ccc(F)cn2)CC1 ZINC000276393285 177101982 /nfs/dbraw/zinc/10/19/82/177101982.db2.gz SBPVQPXBLLWDKR-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H]1CCC[C@H](C)N(CC(=O)OC(C)(C)C)C1 ZINC000357718287 177114850 /nfs/dbraw/zinc/11/48/50/177114850.db2.gz VLINEGQSDIMSNU-NEPJUHHUSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1CCNCc1ccco1 ZINC000341224483 177117357 /nfs/dbraw/zinc/11/73/57/177117357.db2.gz BRNHPSJLFLNJDZ-BETUJISGSA-N 0 3 236.359 2.632 20 0 BFADHN C[C@H]1CCC[C@@H](CN2CCOC(C)(C)C2)C1 ZINC000356973109 177119622 /nfs/dbraw/zinc/11/96/22/177119622.db2.gz IDLPYCXDTAKNSE-QWHCGFSZSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2cscn2)C1 ZINC000041043322 177123121 /nfs/dbraw/zinc/12/31/21/177123121.db2.gz OZSGBUFFHXTOPD-VHSXEESVSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1cn2ccsc2n1 ZINC000088693842 177126671 /nfs/dbraw/zinc/12/66/71/177126671.db2.gz BFJGDFUFUDBZNL-ONGXEEELSA-N 0 3 235.356 2.674 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1nnc(-c2ccco2)o1 ZINC000279176155 177126718 /nfs/dbraw/zinc/12/67/18/177126718.db2.gz CHJZKFHLUJKLAE-UWVGGRQHSA-N 0 3 247.298 2.608 20 0 BFADHN C[C@H]1CCC[C@H](C)N1Cc1ccc(F)cn1 ZINC000276356091 177132424 /nfs/dbraw/zinc/13/24/24/177132424.db2.gz LEBZWONLSOHJMO-QWRGUYRKSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@H]1CCC[C@H](CO)N1Cc1cccc(F)c1 ZINC000269735644 177134194 /nfs/dbraw/zinc/13/41/94/177134194.db2.gz ZITQTDGFWHBTPI-SMDDNHRTSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@H]1CCN(CCCO)[C@@H]1c1cccc(F)c1 ZINC000266937551 177160540 /nfs/dbraw/zinc/16/05/40/177160540.db2.gz OWADQVBKHNPSBM-FZMZJTMJSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@H]1CCN(Cc2cn(C)nc2C(C)(C)C)C1 ZINC000334299597 177167110 /nfs/dbraw/zinc/16/71/10/177167110.db2.gz RFZLXSCWOICYNZ-NSHDSACASA-N 0 3 235.375 2.559 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1Cc1ccncc1 ZINC000130767523 177167466 /nfs/dbraw/zinc/16/74/66/177167466.db2.gz IXHPLUFXIWURFJ-NWDGAFQWSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1nc2ccccc2n1C ZINC000177887331 177169040 /nfs/dbraw/zinc/16/90/40/177169040.db2.gz SUAYHXWKVULJTJ-NWDGAFQWSA-N 0 3 243.354 2.804 20 0 BFADHN C[C@@H](NC[C@@H]1CC[C@H](C)O1)c1cccc(O)c1 ZINC000191427148 177190283 /nfs/dbraw/zinc/19/02/83/177190283.db2.gz KOUDVUKSRCUYNW-WDMOLILDSA-N 0 3 235.327 2.610 20 0 BFADHN C[C@H]1CC[C@@H](N(C)Cc2ccccn2)C1 ZINC000275745448 177191892 /nfs/dbraw/zinc/19/18/92/177191892.db2.gz WCDODWGLGUKHBW-WCQYABFASA-N 0 3 204.317 2.702 20 0 BFADHN C[C@H]1CC[C@H](C)N1CC(=O)N(C)c1ccccc1 ZINC000120130358 177199439 /nfs/dbraw/zinc/19/94/39/177199439.db2.gz BWLNEECQGSYPSG-STQMWFEESA-N 0 3 246.354 2.522 20 0 BFADHN C[C@H]1CC[C@H](CN2CCc3ccsc3C2)O1 ZINC000276455193 177201803 /nfs/dbraw/zinc/20/18/03/177201803.db2.gz VFTJUNQOHAMBEI-CMPLNLGQSA-N 0 3 237.368 2.674 20 0 BFADHN C[C@H]1CCc2nc(CNC3CCC3)sc2C1 ZINC000336751873 177210344 /nfs/dbraw/zinc/21/03/44/177210344.db2.gz COXAYTUWVXSEOA-VIFPVBQESA-N 0 3 236.384 2.910 20 0 BFADHN C[C@@H]1CN(CCCC(C)(C)C)C[C@H](C)O1 ZINC000337121977 177247699 /nfs/dbraw/zinc/24/76/99/177247699.db2.gz AJONRNMWCLRWDH-TXEJJXNPSA-N 0 3 213.365 2.922 20 0 BFADHN C[C@H]1CN(CC2CCC(=O)CC2)CCC1(F)F ZINC000336254317 177245550 /nfs/dbraw/zinc/24/55/50/177245550.db2.gz VFBGRBKQFJTPKU-JTQLQIEISA-N 0 3 245.313 2.723 20 0 BFADHN C[C@H]1CN(CCCCCF)C[C@@H](C2CC2)O1 ZINC000375362401 177248278 /nfs/dbraw/zinc/24/82/78/177248278.db2.gz PMSZDQUPIJRQKS-AAEUAGOBSA-N 0 3 229.339 2.626 20 0 BFADHN C[C@H]1CN(CCCOc2ccccc2)CCCO1 ZINC000170771818 177248971 /nfs/dbraw/zinc/24/89/71/177248971.db2.gz OUEVUJDGZWNKOU-AWEZNQCLSA-N 0 3 249.354 2.566 20 0 BFADHN C[C@H]1CN(CCOc2ccc(F)cc2)[C@H]1C ZINC000339578340 177253275 /nfs/dbraw/zinc/25/32/75/177253275.db2.gz BEJJOXXUMWRPSW-QWRGUYRKSA-N 0 3 223.291 2.545 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCC(F)(F)C2)C[C@H](C)O1 ZINC000338252124 177257802 /nfs/dbraw/zinc/25/78/02/177257802.db2.gz GEZDXKPSBJDLFN-GRYCIOLGSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1CCC[C@H](CN2C[C@H](C)O[C@@H](C)C2)C1 ZINC000348925249 177259799 /nfs/dbraw/zinc/25/97/99/177259799.db2.gz BTPRBKMCWPNEIM-RFGFWPKPSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCCO[C@@H](C)C1 ZINC000170764309 177274139 /nfs/dbraw/zinc/27/41/39/177274139.db2.gz VMSSAQBMTJDFMR-RYUDHWBXSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@H]1COCC[C@H]1NCc1ccccc1Cl ZINC000191754666 177296933 /nfs/dbraw/zinc/29/69/33/177296933.db2.gz HQWXVPHKEFKYJX-GXFFZTMASA-N 0 3 239.746 2.855 20 0 BFADHN C[C@H]1CSCCN(Cc2ccsc2)C1 ZINC000190847141 177303820 /nfs/dbraw/zinc/30/38/20/177303820.db2.gz WSBXJUVESRVRMJ-SNVBAGLBSA-N 0 3 227.398 2.933 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1cc(F)ccc1F ZINC000285392950 177306214 /nfs/dbraw/zinc/30/62/14/177306214.db2.gz AMRZEKNYSSHXOP-UFBFGSQYSA-N 0 3 243.322 2.806 20 0 BFADHN C[C@H]1C[C@@H](CNCc2ccc(Cl)o2)CCO1 ZINC000309805253 177324831 /nfs/dbraw/zinc/32/48/31/177324831.db2.gz RZZAEOJCWORBTE-UWVGGRQHSA-N 0 3 243.734 2.838 20 0 BFADHN C[C@H]1C[C@@H](CO)CCN1C/C=C/c1ccccc1 ZINC000288807988 177325766 /nfs/dbraw/zinc/32/57/66/177325766.db2.gz LHEUDHQHWUVTOZ-WKIIYXSPSA-N 0 3 245.366 2.793 20 0 BFADHN C[C@H]1C[C@@H](NC2(c3ccccc3F)CC2)CCO1 ZINC000271927077 177331307 /nfs/dbraw/zinc/33/13/07/177331307.db2.gz CLZLEWYJMYUDSD-RYUDHWBXSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccccc2Cl)CCO1 ZINC000069857313 177332606 /nfs/dbraw/zinc/33/26/06/177332606.db2.gz GMCFWQJGODDPNA-JQWIXIFHSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@H]1C[C@@H](O)CCN1Cc1cc2ccccc2o1 ZINC000292466857 177336174 /nfs/dbraw/zinc/33/61/74/177336174.db2.gz QYZLIBPNWPYSFB-AAEUAGOBSA-N 0 3 245.322 2.778 20 0 BFADHN C[C@H]1C[C@@H]1NCc1cc(F)c(F)c(F)c1 ZINC000062708811 177349717 /nfs/dbraw/zinc/34/97/17/177349717.db2.gz RBIIDNKJWAFMSL-WKEGUHRASA-N 0 3 215.218 2.602 20 0 BFADHN C[C@@H](c1cnccn1)N1C[C@@H](C)C[C@H](C)C1 ZINC000184421484 177358805 /nfs/dbraw/zinc/35/88/05/177358805.db2.gz ZLSGTRPPYPZMRL-SRVKXCTJSA-N 0 3 219.332 2.516 20 0 BFADHN C[C@H]1C[C@H](NCC(C)(C)C)c2nccn21 ZINC000293869473 177369669 /nfs/dbraw/zinc/36/96/69/177369669.db2.gz DWRPSZSPNPURRC-UWVGGRQHSA-N 0 3 207.321 2.525 20 0 BFADHN C[C@H]1C[C@H](NCc2ccn(C)n2)CC(C)(C)C1 ZINC000112344535 177370195 /nfs/dbraw/zinc/37/01/95/177370195.db2.gz QWXYVESMQOPYSQ-AAEUAGOBSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H](O)CN[C@H]1C[C@H](C)Sc2sccc21 ZINC000122025111 177370782 /nfs/dbraw/zinc/37/07/82/177370782.db2.gz MGFZKEJZAJEXRK-WEDXCCLWSA-N 0 3 243.397 2.644 20 0 BFADHN C[C@H]1C[C@H](N[C@@H]2C=C[C@H](CO)C2)c2ccccc21 ZINC000289223013 177371186 /nfs/dbraw/zinc/37/11/86/177371186.db2.gz LKBWLRXMGONOND-JFILPPLUSA-N 0 3 243.350 2.762 20 0 BFADHN COCC[C@H](C)N1CC[C@@H]1c1cccc(F)c1 ZINC000674866905 487638190 /nfs/dbraw/zinc/63/81/90/487638190.db2.gz XWFSWZNXNHYDBC-SMDDNHRTSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@H]1C[C@H]1NCc1ccccc1OC(F)F ZINC000052271689 177385676 /nfs/dbraw/zinc/38/56/76/177385676.db2.gz KVOXEELGCKDQLN-WCBMZHEXSA-N 0 3 227.254 2.786 20 0 BFADHN C[C@H]1OCCN(CCCC(C)(C)C)[C@@H]1C ZINC000337152063 177398568 /nfs/dbraw/zinc/39/85/68/177398568.db2.gz KNAGTKKIBQLTKT-VXGBXAGGSA-N 0 3 213.365 2.922 20 0 BFADHN COCC[C@H](C)N1CC[C@H]1c1cccc(F)c1 ZINC000674866903 487638470 /nfs/dbraw/zinc/63/84/70/487638470.db2.gz XWFSWZNXNHYDBC-FZMZJTMJSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H]1C[C@H](N2CCc3cc(F)ccc3C2)CCO1 ZINC000335492980 487639847 /nfs/dbraw/zinc/63/98/47/487639847.db2.gz ASYWKTBAWJIVGX-IAQYHMDHSA-N 0 3 249.329 2.751 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CCC[C@H]2C)nn1C ZINC000487371438 225303028 /nfs/dbraw/zinc/30/30/28/225303028.db2.gz VWZJHKHKRWNTFH-NWDGAFQWSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cncc(CNC/C=C\c2ccncc2)c1 ZINC000487548564 225313564 /nfs/dbraw/zinc/31/35/64/225313564.db2.gz DPGRLRJOMUHPKX-IHWYPQMZSA-N 0 3 239.322 2.588 20 0 BFADHN C[C@H](N[C@@H](CCO)c1ccco1)[C@H]1CC1(C)C ZINC000487575855 225315990 /nfs/dbraw/zinc/31/59/90/225315990.db2.gz RHRZLQBWBUBNOW-TUAOUCFPSA-N 0 3 237.343 2.727 20 0 BFADHN Cc1ncsc1CN(C)C[C@H]1C[C@@H]1C ZINC000488291858 225360772 /nfs/dbraw/zinc/36/07/72/225360772.db2.gz DDZSMOFBGYAZLQ-WCBMZHEXSA-N 0 3 210.346 2.539 20 0 BFADHN COCCN(Cc1ccc(C)o1)C[C@@H]1C[C@@H]1C ZINC000488293295 225363252 /nfs/dbraw/zinc/36/32/52/225363252.db2.gz FNHDFVDDYJCYJY-AAEUAGOBSA-N 0 3 237.343 2.692 20 0 BFADHN CCn1nccc1CN1CCC[C@@H]1C(C)C ZINC000507123233 226365476 /nfs/dbraw/zinc/36/54/76/226365476.db2.gz XTRLLUHAJICATQ-CYBMUJFWSA-N 0 3 221.348 2.523 20 0 BFADHN CCc1nocc1CN(CC(C)C)C1CC1 ZINC000507355853 226368747 /nfs/dbraw/zinc/36/87/47/226368747.db2.gz GFPVTFZJXQGAMY-UHFFFAOYSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1nocc1CN1CCC[C@@H](CC)C1 ZINC000507553014 226370084 /nfs/dbraw/zinc/37/00/84/226370084.db2.gz OWJCSZNSFPZBOX-LLVKDONJSA-N 0 3 222.332 2.859 20 0 BFADHN FCCN[C@@H]1CCc2cccc(Cl)c2C1 ZINC000666134852 487655110 /nfs/dbraw/zinc/65/51/10/487655110.db2.gz SQPNBACUERJDNA-SNVBAGLBSA-N 0 3 227.710 2.756 20 0 BFADHN COc1ccnc(CN2C3CCCC2CCC3)c1 ZINC000676486629 487655111 /nfs/dbraw/zinc/65/51/11/487655111.db2.gz AQZMSQFGAPFTJD-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN FCCN[C@H]1CCc2cccc(Cl)c2C1 ZINC000666134851 487655140 /nfs/dbraw/zinc/65/51/40/487655140.db2.gz SQPNBACUERJDNA-JTQLQIEISA-N 0 3 227.710 2.756 20 0 BFADHN CCn1cc([C@H](C)N[C@@H](C)[C@@H]2CC2(F)F)cn1 ZINC000666228068 487655923 /nfs/dbraw/zinc/65/59/23/487655923.db2.gz LUELLQRGMNHPQU-QXEWZRGKSA-N 0 3 243.301 2.597 20 0 BFADHN CCN(Cc1ccc2[nH]ccc2c1)[C@H]1CCOC1 ZINC000671627647 487655965 /nfs/dbraw/zinc/65/59/65/487655965.db2.gz FNLROSDKVIRXHJ-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1cc(CN2CCC[C@H]2CC(C)C)nn1C ZINC000487416461 229179318 /nfs/dbraw/zinc/17/93/18/229179318.db2.gz XGCZCGBOUQPTIW-AWEZNQCLSA-N 0 3 235.375 2.739 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2ccccn2)C12CCC2 ZINC000308383646 229232402 /nfs/dbraw/zinc/23/24/02/229232402.db2.gz YSLZBGJHARJELP-FPMFFAJLSA-N 0 3 246.354 2.690 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2ccccn2)C12CCC2 ZINC000308383650 229232696 /nfs/dbraw/zinc/23/26/96/229232696.db2.gz YSLZBGJHARJELP-MRVWCRGKSA-N 0 3 246.354 2.690 20 0 BFADHN Cc1ccc(F)c(CNC(C)(C)CN(C)C)c1 ZINC000308607102 229235924 /nfs/dbraw/zinc/23/59/24/229235924.db2.gz HBUZVQWNNVPLJB-UHFFFAOYSA-N 0 3 238.350 2.564 20 0 BFADHN C[C@H]1CCCC[C@@]1(C)NCc1cocn1 ZINC000308715618 229244389 /nfs/dbraw/zinc/24/43/89/229244389.db2.gz PMFZFQYASABAFO-CMPLNLGQSA-N 0 3 208.305 2.733 20 0 BFADHN CC(C)(CNCc1ccccn1)[C@H]1CCCCO1 ZINC000675155666 487676084 /nfs/dbraw/zinc/67/60/84/487676084.db2.gz BYRUWEQUZFKFCC-CQSZACIVSA-N 0 3 248.370 2.767 20 0 BFADHN CCOc1ccc(CN2CCC3(CCC3)C2)nc1 ZINC000675184844 487678145 /nfs/dbraw/zinc/67/81/45/487678145.db2.gz VDGCIVKGVCZQSB-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN COc1cccc(CNC(C)(C)C)c1F ZINC000162997558 487677981 /nfs/dbraw/zinc/67/79/81/487677981.db2.gz ZIWBFLFYRRTOHO-UHFFFAOYSA-N 0 3 211.280 2.722 20 0 BFADHN CO[C@@H](CNC/C=C/c1ccncc1)CC(C)C ZINC000418103745 487683832 /nfs/dbraw/zinc/68/38/32/487683832.db2.gz WGSAUXYFXYXAIU-MBVDDHJVSA-N 0 3 248.370 2.746 20 0 BFADHN CC(C)CO[C@@H]1CCN([C@H](C)c2ccncc2)C1 ZINC000675250057 487684294 /nfs/dbraw/zinc/68/42/94/487684294.db2.gz DWEMGEDUNHLJME-UKRRQHHQSA-N 0 3 248.370 2.890 20 0 BFADHN CCOC1CC(CN(CC)Cc2ccoc2)C1 ZINC000671680005 487686479 /nfs/dbraw/zinc/68/64/79/487686479.db2.gz NGCVSRLQOPGMJX-UHFFFAOYSA-N 0 3 237.343 2.917 20 0 BFADHN CCc1nocc1CN1CCC2(CC2)CC1 ZINC000660144886 487687161 /nfs/dbraw/zinc/68/71/61/487687161.db2.gz MHCFCMYGDNFJME-UHFFFAOYSA-N 0 3 220.316 2.613 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cnc3n2CCC3)C1 ZINC000667645207 487689589 /nfs/dbraw/zinc/68/95/89/487689589.db2.gz IJKHDADFFCHZSM-HNNXBMFYSA-N 0 3 247.386 2.841 20 0 BFADHN CC[C@@]1(C)COCCN1CCC1CCC1 ZINC000660147698 487692994 /nfs/dbraw/zinc/69/29/94/487692994.db2.gz DPTPWDCTCPDSJY-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN c1coc(C2=CCCN([C@H]3CCCOC3)C2)c1 ZINC000671698414 487694372 /nfs/dbraw/zinc/69/43/72/487694372.db2.gz SKCZKYKXTMLHAJ-ZDUSSCGKSA-N 0 3 233.311 2.548 20 0 BFADHN CCCn1nccc1CN1CC[C@@H](C)[C@@H](C)C1 ZINC000667649621 487695775 /nfs/dbraw/zinc/69/57/75/487695775.db2.gz ZCGJVROTTNDVBD-OLZOCXBDSA-N 0 3 235.375 2.771 20 0 BFADHN c1cc(CN[C@@H]2CCC[C@H](C3CC3)C2)no1 ZINC000088052776 487696693 /nfs/dbraw/zinc/69/66/93/487696693.db2.gz KEHBAYPSANWHOG-NWDGAFQWSA-N 0 3 220.316 2.733 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2ccon2)CC1 ZINC000088052624 487696959 /nfs/dbraw/zinc/69/69/59/487696959.db2.gz QHGOVJBTJOYYJZ-GHMZBOCLSA-N 0 3 208.305 2.733 20 0 BFADHN COC[C@@H](N[C@H]1CCC12CCC2)c1ccco1 ZINC000669700450 487697045 /nfs/dbraw/zinc/69/70/45/487697045.db2.gz IDNKFJJUHOWXQL-YPMHNXCESA-N 0 3 235.327 2.889 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1ccccc1N(C)C ZINC000525996719 262730025 /nfs/dbraw/zinc/73/00/25/262730025.db2.gz BBSFIVTXTBRZSK-VXGBXAGGSA-N 0 3 218.344 2.735 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc(F)cc(F)c2)[C@H](C)O1 ZINC000395164916 262766562 /nfs/dbraw/zinc/76/65/62/262766562.db2.gz OWKTVIALVIYVEK-VYUIOLGVSA-N 0 3 241.281 2.620 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)nn1 ZINC000396595996 262806760 /nfs/dbraw/zinc/80/67/60/262806760.db2.gz YSDZPUBPCXAHMB-MPKXVKKWSA-N 0 3 233.359 2.699 20 0 BFADHN COc1ccc([C@@H](C)N[C@@H]2COC(C)(C)C2)cc1 ZINC000396847424 262824092 /nfs/dbraw/zinc/82/40/92/262824092.db2.gz CYBIVOQDELAMJI-YPMHNXCESA-N 0 3 249.354 2.913 20 0 BFADHN CCOc1cccc(CN[C@@H]2COC(C)(C)C2)c1 ZINC000396936931 262828551 /nfs/dbraw/zinc/82/85/51/262828551.db2.gz ROAABNMGQQHNKV-ZDUSSCGKSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H](NC[C@@H](C)CC(F)(F)F)c1nccn1C ZINC000396953983 262828983 /nfs/dbraw/zinc/82/89/83/262828983.db2.gz PJSYCXAUGZNJDR-DTWKUNHWSA-N 0 3 249.280 2.659 20 0 BFADHN FC1(F)C[C@@H]1CNCc1ccc2cc[nH]c2c1 ZINC000527720440 262875708 /nfs/dbraw/zinc/87/57/08/262875708.db2.gz LNPQOHOLPJBEOA-LLVKDONJSA-N 0 3 236.265 2.913 20 0 BFADHN CC(C)c1cccc([C@@H](C)N[C@@H](C)CC(N)=O)c1 ZINC000527868496 262886391 /nfs/dbraw/zinc/88/63/91/262886391.db2.gz SYKFTTUQRSBHGV-NWDGAFQWSA-N 0 3 248.370 2.725 20 0 BFADHN COCCN[C@@H]1CCc2cc(F)c(Cl)cc21 ZINC000527841231 262888547 /nfs/dbraw/zinc/88/85/47/262888547.db2.gz BDMQBNWRAFJUHI-GFCCVEGCSA-N 0 3 243.709 2.702 20 0 BFADHN Cc1cc(CNCC2=CCOCC2)ccc1F ZINC000397728879 262930946 /nfs/dbraw/zinc/93/09/46/262930946.db2.gz PBTULDIOPBZVFL-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN c1ccc2c(c1)nccc2N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000397769776 262932783 /nfs/dbraw/zinc/93/27/83/262932783.db2.gz PECWDHXFFBBAOH-ZSBIGDGJSA-N 0 3 224.307 2.867 20 0 BFADHN C[C@@H]1CCN(Cc2cc(CO)ccc2F)[C@H]1C ZINC000528396793 262944364 /nfs/dbraw/zinc/94/43/64/262944364.db2.gz PZGBDMXKUXOELZ-MNOVXSKESA-N 0 3 237.318 2.548 20 0 BFADHN C[C@H](NC[C@@H]1C[C@@H]1C)c1ncccc1F ZINC000528829984 262976783 /nfs/dbraw/zinc/97/67/83/262976783.db2.gz ZGKBGRSRMBQQGD-GUBZILKMSA-N 0 3 208.280 2.527 20 0 BFADHN CC[C@H]1CCCN(Cc2cnc3n2CCC3)CC1 ZINC000667655672 487700700 /nfs/dbraw/zinc/70/07/00/487700700.db2.gz NACYMIBLJWGMPF-ZDUSSCGKSA-N 0 3 247.386 2.841 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@H](C)C1CC1 ZINC000651205883 487701423 /nfs/dbraw/zinc/70/14/23/487701423.db2.gz QJWOCQVEQJELON-WDEREUQCSA-N 0 3 221.348 2.600 20 0 BFADHN CC/C=C\CCN(C)Cc1ccc(OC)nc1 ZINC000681025846 487701440 /nfs/dbraw/zinc/70/14/40/487701440.db2.gz TUZANRSSZNJUGJ-WAYWQWQTSA-N 0 3 234.343 2.878 20 0 BFADHN CC[C@@H](CNCc1ccon1)c1ccccc1 ZINC000678937378 487702476 /nfs/dbraw/zinc/70/24/76/487702476.db2.gz ZWSIVOKLNXBONG-LBPRGKRZSA-N 0 3 230.311 2.958 20 0 BFADHN Cc1nc(C)c(CN2CCCC[C@H]2C)o1 ZINC000683897300 487709906 /nfs/dbraw/zinc/70/99/06/487709906.db2.gz XQUFRRRQVSKACU-SECBINFHSA-N 0 3 208.305 2.666 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1ncccc1C(F)(F)F ZINC000671730854 487712459 /nfs/dbraw/zinc/71/24/59/487712459.db2.gz QTVYXZDCUHAEHW-WPRPVWTQSA-N 0 3 244.260 2.989 20 0 BFADHN C[C@H](CC1CCCCC1)NCc1ccn(C)n1 ZINC000582038345 487718713 /nfs/dbraw/zinc/71/87/13/487718713.db2.gz NGQKPORBERPBHE-GFCCVEGCSA-N 0 3 235.375 2.869 20 0 BFADHN CC[C@H](N[C@@H](C)C(C)(F)F)C1CCOCC1 ZINC000651395783 487723929 /nfs/dbraw/zinc/72/39/29/487723929.db2.gz FCNMYPPYYCKVCX-ONGXEEELSA-N 0 3 235.318 2.825 20 0 BFADHN CN(CCc1cccc(Cl)c1)Cc1cnc[nH]1 ZINC000668421711 487725201 /nfs/dbraw/zinc/72/52/01/487725201.db2.gz BZVKDTBTMNGRBA-UHFFFAOYSA-N 0 3 249.745 2.738 20 0 BFADHN CCNCc1cc(F)ccc1OC(F)F ZINC000233574950 487726015 /nfs/dbraw/zinc/72/60/15/487726015.db2.gz GHOMIHSGOMDMHL-UHFFFAOYSA-N 0 3 219.206 2.537 20 0 BFADHN C[C@@H]1COCCCN1C/C=C\c1ccccc1 ZINC000676715377 487728914 /nfs/dbraw/zinc/72/89/14/487728914.db2.gz VRQSKCFDGUOJLU-VYLZPFMQSA-N 0 3 231.339 2.811 20 0 BFADHN Cc1ccncc1[C@@H](C)NC1CC(OC(C)C)C1 ZINC000681141037 487736709 /nfs/dbraw/zinc/73/67/09/487736709.db2.gz IAPQNRKJFVVHRB-IYXRBSQSSA-N 0 3 248.370 2.997 20 0 BFADHN CC(C)CC[C@@H](C)NCc1ncnn1C(C)C ZINC000088592110 487738237 /nfs/dbraw/zinc/73/82/37/487738237.db2.gz ZMPDGZCOSCUGRB-GFCCVEGCSA-N 0 3 238.379 2.773 20 0 BFADHN CCc1ccc(CN[C@H](C)C[C@@H]2CCOC2)o1 ZINC000675477758 487739177 /nfs/dbraw/zinc/73/91/77/487739177.db2.gz KWMLSBMISWVBBY-NEPJUHHUSA-N 0 3 237.343 2.747 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H](C)c1ccc(C)nc1C ZINC000666177882 487745127 /nfs/dbraw/zinc/74/51/27/487745127.db2.gz FNTFSBPIKVQTGJ-YUELXQCFSA-N 0 3 248.370 2.917 20 0 BFADHN CCC[C@H]1CCCC[C@H]1NCc1cnns1 ZINC000649451008 487748586 /nfs/dbraw/zinc/74/85/86/487748586.db2.gz MPFHHBBEUGEABR-CMPLNLGQSA-N 0 3 239.388 2.987 20 0 BFADHN Fc1cccc([C@@H]2CCN2CC2CC2)c1 ZINC000675639210 487757548 /nfs/dbraw/zinc/75/75/48/487757548.db2.gz FCQTULGZZGCNRL-ZDUSSCGKSA-N 0 3 205.276 2.983 20 0 BFADHN COCCN(Cc1ccccc1F)CC1CC1 ZINC000675639797 487758738 /nfs/dbraw/zinc/75/87/38/487758738.db2.gz GRUKKDWSVLTTFO-UHFFFAOYSA-N 0 3 237.318 2.684 20 0 BFADHN CCC(CC)N(CC)Cc1cc2n(n1)CCC2 ZINC000649612607 487771958 /nfs/dbraw/zinc/77/19/58/487771958.db2.gz LZCZIYWGCUPNDS-UHFFFAOYSA-N 0 3 235.375 2.840 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H]2CCCN(C)C2)o1 ZINC000675765312 487775511 /nfs/dbraw/zinc/77/55/11/487775511.db2.gz BSPLKQCMOLMMDD-VXGBXAGGSA-N 0 3 236.359 2.587 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CCCN(C)C2)o1 ZINC000675765311 487775972 /nfs/dbraw/zinc/77/59/72/487775972.db2.gz BSPLKQCMOLMMDD-RYUDHWBXSA-N 0 3 236.359 2.587 20 0 BFADHN CCC(CC)COc1ccc2c(c1)OCCNC2 ZINC000385318815 487780806 /nfs/dbraw/zinc/78/08/06/487780806.db2.gz IJGUNNLALQMRIK-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN CC[C@@H](Cc1cccs1)NCc1nccn1C ZINC000675811895 487781391 /nfs/dbraw/zinc/78/13/91/487781391.db2.gz BMZRZSGLKWYLMP-NSHDSACASA-N 0 3 249.383 2.593 20 0 BFADHN CCC[C@H](N[C@@H](C)[C@H](C)OC)c1ccccn1 ZINC000675817137 487781996 /nfs/dbraw/zinc/78/19/96/487781996.db2.gz RZWMIXMZGYKOCR-OBJOEFQTSA-N 0 3 236.359 2.936 20 0 BFADHN Cc1ncc(CN2C[C@H](C)CC(C)(C)C2)n1C ZINC000667969563 487785862 /nfs/dbraw/zinc/78/58/62/487785862.db2.gz NGWPNPMTBNFKOR-LLVKDONJSA-N 0 3 235.375 2.597 20 0 BFADHN FCCCN1CCCO[C@H](c2ccccc2)C1 ZINC000675888056 487788025 /nfs/dbraw/zinc/78/80/25/487788025.db2.gz QESBDLXPQHLWGU-AWEZNQCLSA-N 0 3 237.318 2.810 20 0 BFADHN Cc1cc(CNC[C@H]2C(C)=CCC[C@H]2C)ncn1 ZINC000681375551 487788440 /nfs/dbraw/zinc/78/84/40/487788440.db2.gz UHLHPBVWTVFQJP-DOMZBBRYSA-N 0 3 245.370 2.867 20 0 BFADHN Cc1cc(CN[C@@H]2CCCc3sccc32)n[nH]1 ZINC000675893697 487788986 /nfs/dbraw/zinc/78/89/86/487788986.db2.gz QQOUHKSROWBHAP-GFCCVEGCSA-N 0 3 247.367 2.947 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@@H]2C2CC2)nc1C ZINC000459683248 487788563 /nfs/dbraw/zinc/78/85/63/487788563.db2.gz ZODKYHGNGSVXDD-HUUCEWRRSA-N 0 3 230.355 2.977 20 0 BFADHN C[C@@H](NCc1ncc(Cl)n1C)C1CCCC1 ZINC000069804968 487789771 /nfs/dbraw/zinc/78/97/71/487789771.db2.gz ITARUVPLJBPLAE-SECBINFHSA-N 0 3 241.766 2.742 20 0 BFADHN CCCN1CC[C@H](N[C@@H]2CCCc3occc32)C1 ZINC000385679424 487795029 /nfs/dbraw/zinc/79/50/29/487795029.db2.gz CJYHSAVOWXWMPG-GXTWGEPZSA-N 0 3 248.370 2.731 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C(F)F)C2)c(C)n1 ZINC000681407459 487794768 /nfs/dbraw/zinc/79/47/68/487794768.db2.gz YXYJJPGYTAFEOZ-GFCCVEGCSA-N 0 3 240.297 2.785 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CC[C@H]2CCC[C@@H]21 ZINC000668012649 487796262 /nfs/dbraw/zinc/79/62/62/487796262.db2.gz HDRWPJIIZVIRAQ-OCCSQVGLSA-N 0 3 245.370 2.672 20 0 BFADHN C[C@H]1CCCCC[C@@H]1NCc1nnc(C2CC2)[nH]1 ZINC000681413009 487796373 /nfs/dbraw/zinc/79/63/73/487796373.db2.gz ZFDAZGYRWSBURS-JQWIXIFHSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@@H]1CCCCC[C@H]1NCc1nnc(C2CC2)[nH]1 ZINC000681413011 487796768 /nfs/dbraw/zinc/79/67/68/487796768.db2.gz ZFDAZGYRWSBURS-ZYHUDNBSSA-N 0 3 248.374 2.741 20 0 BFADHN COCCC1CN(Cc2ccsc2C)C1 ZINC000668472217 487797910 /nfs/dbraw/zinc/79/79/10/487797910.db2.gz WDUSEFDERHYPAH-UHFFFAOYSA-N 0 3 225.357 2.525 20 0 BFADHN COCCC1CN(Cc2sccc2C)C1 ZINC000668472222 487798109 /nfs/dbraw/zinc/79/81/09/487798109.db2.gz GAGLJRWPNWFSGQ-UHFFFAOYSA-N 0 3 225.357 2.525 20 0 BFADHN COCCC1CN(Cc2cc(C)sc2C)C1 ZINC000668473348 487798901 /nfs/dbraw/zinc/79/89/01/487798901.db2.gz RJSIKDXNAGDCCB-UHFFFAOYSA-N 0 3 239.384 2.833 20 0 BFADHN Cc1cc(CN(C2CC2)C2CCCC2)nn1C ZINC000676038013 487801736 /nfs/dbraw/zinc/80/17/36/487801736.db2.gz MRZOTBFGKZSPJV-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN c1ccc2c(c1)CN([C@@H]1CCCOC1)CC21CC1 ZINC000681477933 487802637 /nfs/dbraw/zinc/80/26/37/487802637.db2.gz ORKHHKLQNRMHNC-CQSZACIVSA-N 0 3 243.350 2.713 20 0 BFADHN c1ccc2c(c1)CN([C@H]1CCCOC1)CC21CC1 ZINC000681477931 487802263 /nfs/dbraw/zinc/80/22/63/487802263.db2.gz ORKHHKLQNRMHNC-AWEZNQCLSA-N 0 3 243.350 2.713 20 0 BFADHN Fc1cccc([C@H]2CCN2C[C@@H]2CCCOC2)c1 ZINC000676081948 487811298 /nfs/dbraw/zinc/81/12/98/487811298.db2.gz AMDBTUYHWHACJQ-SWLSCSKDSA-N 0 3 249.329 2.999 20 0 BFADHN CC1(C)COC[C@@H]1N[C@@H]1CCc2c1cccc2F ZINC000313020540 322942771 /nfs/dbraw/zinc/94/27/71/322942771.db2.gz DZUAPVHXLALKAC-KGLIPLIRSA-N 0 3 249.329 2.828 20 0 BFADHN CC1(C)COC[C@H]1N[C@@H]1CCc2c1cccc2F ZINC000313020549 322942790 /nfs/dbraw/zinc/94/27/90/322942790.db2.gz DZUAPVHXLALKAC-ZIAGYGMSSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]2c2ccco2)cn1 ZINC000414599544 487816203 /nfs/dbraw/zinc/81/62/03/487816203.db2.gz ZRRLZAHYGSSRLF-CHWSQXEVSA-N 0 3 228.295 2.629 20 0 BFADHN COC[C@H]1CCCN1Cc1cccc(Cl)c1 ZINC000674465637 487823887 /nfs/dbraw/zinc/82/38/87/487823887.db2.gz CPOPXQGYFMKYKA-CYBMUJFWSA-N 0 3 239.746 2.951 20 0 BFADHN COC(C)(C)CCN1CCC(F)(F)CC1 ZINC000796539979 586106334 /nfs/dbraw/zinc/10/63/34/586106334.db2.gz ZGRMMGCBTRBKCR-UHFFFAOYSA-N 0 3 221.291 2.533 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1cc2cnccc2o1 ZINC000651805409 487829223 /nfs/dbraw/zinc/82/92/23/487829223.db2.gz QQYUACWKODHKNC-BXKDBHETSA-N 0 3 248.351 2.669 20 0 BFADHN FCCCCNCc1nc(Cl)cs1 ZINC000877541956 590352143 /nfs/dbraw/zinc/35/21/43/590352143.db2.gz MVMAPWVOVMIQCN-UHFFFAOYSA-N 0 3 222.716 2.636 20 0 BFADHN Clc1csc(CNCC2CCC2)n1 ZINC000876984697 590264556 /nfs/dbraw/zinc/26/45/56/590264556.db2.gz LWEDENKQFMRVOU-UHFFFAOYSA-N 0 3 216.737 2.686 20 0 BFADHN CCCN(C)C[C@H]1CN(CC)c2ccccc2O1 ZINC000078450058 487850828 /nfs/dbraw/zinc/85/08/28/487850828.db2.gz BTKMHSWCEOBMTQ-ZDUSSCGKSA-N 0 3 248.370 2.616 20 0 BFADHN C[C@H](CN(C)Cc1cnn(C)c1)C(C)(C)C ZINC000670261728 487855633 /nfs/dbraw/zinc/85/56/33/487855633.db2.gz FKGIWLAFTYIIJL-LLVKDONJSA-N 0 3 223.364 2.534 20 0 BFADHN c1cn2cc(CN[C@H]3CCC[C@H]4C[C@H]43)nc2s1 ZINC000558698669 322953964 /nfs/dbraw/zinc/95/39/64/322953964.db2.gz XMSLKKWDIVTSQN-WCQGTBRESA-N 0 3 247.367 2.674 20 0 BFADHN C[C@H](CN1CCN(CC(F)F)CC1)C(C)(C)C ZINC000670262931 487860914 /nfs/dbraw/zinc/86/09/14/487860914.db2.gz JSXVSYYXQRIELJ-LLVKDONJSA-N 0 3 248.361 2.551 20 0 BFADHN CC(C)C1CN(Cc2ccc3c(c2)OCCO3)C1 ZINC000684407783 487869507 /nfs/dbraw/zinc/86/95/07/487869507.db2.gz IPCSVEKPXTWCLT-UHFFFAOYSA-N 0 3 247.338 2.546 20 0 BFADHN FCCCCCN1CCC[C@@H](OC(F)F)C1 ZINC000671243041 487877634 /nfs/dbraw/zinc/87/76/34/487877634.db2.gz KHZRKGVXMBXYMT-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN CN(CC1(c2ccccc2)CC1)[C@@H]1CCCOC1 ZINC000682030691 487883474 /nfs/dbraw/zinc/88/34/74/487883474.db2.gz ONUAOTFQPBWFDI-OAHLLOKOSA-N 0 3 245.366 2.829 20 0 BFADHN Cc1c(CN(C)C[C@@H](C)C(C)(C)C)cnn1C ZINC000670270514 487886807 /nfs/dbraw/zinc/88/68/07/487886807.db2.gz NOOQKSHCLKPYRI-LLVKDONJSA-N 0 3 237.391 2.843 20 0 BFADHN CC(C)C[C@H](C)N1CCO[C@H](COC(C)C)C1 ZINC000682072146 487893829 /nfs/dbraw/zinc/89/38/29/487893829.db2.gz HPQFXZRYBGCMME-KBPBESRZSA-N 0 3 243.391 2.547 20 0 BFADHN Cc1ncc([C@H](C)N2C[C@@H]3[C@H](C2)C3(C)C)c(C)n1 ZINC000682072287 487894889 /nfs/dbraw/zinc/89/48/89/487894889.db2.gz LBDCPFVOGYADJL-LEWSCRJBSA-N 0 3 245.370 2.742 20 0 BFADHN Cc1ncc([C@@H](C)N2C[C@@H]3[C@H](C2)C3(C)C)c(C)n1 ZINC000682072285 487895088 /nfs/dbraw/zinc/89/50/88/487895088.db2.gz LBDCPFVOGYADJL-HONMWMINSA-N 0 3 245.370 2.742 20 0 BFADHN CC[C@@H]1CCN([C@H](C)c2cnc(C)nc2C)C1 ZINC000682073297 487895822 /nfs/dbraw/zinc/89/58/22/487895822.db2.gz AKLXNZLOFIGUDV-DGCLKSJQSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cccn2cc(CN3C[C@H](C)[C@@H](C)C3)nc12 ZINC000674492799 487896850 /nfs/dbraw/zinc/89/68/50/487896850.db2.gz ALZMPGBVSCXYSA-STQMWFEESA-N 0 3 243.354 2.731 20 0 BFADHN COc1ccc(C)cc1CN1CCCOC[C@@H]1C ZINC000676710310 487897852 /nfs/dbraw/zinc/89/78/52/487897852.db2.gz BUCWKRAONAYOCL-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@@H]1COCCCN1CCCOc1ccccc1 ZINC000676711256 487899256 /nfs/dbraw/zinc/89/92/56/487899256.db2.gz FLGDRMGWZXVWAL-CQSZACIVSA-N 0 3 249.354 2.566 20 0 BFADHN CCc1ccc(CN2CCCOC[C@H]2C)cc1 ZINC000676712594 487900130 /nfs/dbraw/zinc/90/01/30/487900130.db2.gz ATHAYNXCWSGRJO-CYBMUJFWSA-N 0 3 233.355 2.860 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H]1CSC[C@H]1C ZINC000304538198 487904226 /nfs/dbraw/zinc/90/42/26/487904226.db2.gz RVXDFCPMERZXPA-FYBVGQRMSA-N 0 3 240.372 2.694 20 0 BFADHN Cc1cccc([C@@H](C)N[C@@H](CO)CCF)c1C ZINC000652304121 487906000 /nfs/dbraw/zinc/90/60/00/487906000.db2.gz GKXNDZVVOQKZLV-CHWSQXEVSA-N 0 3 239.334 2.675 20 0 BFADHN CCOCCCNCc1ccc(F)c(F)c1F ZINC000083376584 487909536 /nfs/dbraw/zinc/90/95/36/487909536.db2.gz YRIZWTMGXNEKGD-UHFFFAOYSA-N 0 3 247.260 2.620 20 0 BFADHN COC[C@@H](NCc1ccoc1C)C1CCCC1 ZINC000682132105 487912118 /nfs/dbraw/zinc/91/21/18/487912118.db2.gz AATCVXYUFSRGOJ-CQSZACIVSA-N 0 3 237.343 2.883 20 0 BFADHN COC[C@@H](C)CN1CCOc2ccccc2[C@@H]1C ZINC000682153111 487916135 /nfs/dbraw/zinc/91/61/35/487916135.db2.gz XQXTZWGKXVBYOE-STQMWFEESA-N 0 3 249.354 2.725 20 0 BFADHN CCn1cncc1CN[C@@H](C)Cc1ccsc1 ZINC000090172764 487919737 /nfs/dbraw/zinc/91/97/37/487919737.db2.gz OWYINMFMELWCDN-NSHDSACASA-N 0 3 249.383 2.685 20 0 BFADHN CN(Cc1ccccc1C1CC1)CC1(CO)CC1 ZINC000682169582 487924484 /nfs/dbraw/zinc/92/44/84/487924484.db2.gz YXKLZBVEMZERMS-UHFFFAOYSA-N 0 3 245.366 2.768 20 0 BFADHN CCC(C)(C)CCN1CCc2c[nH]nc2C1 ZINC000676792423 487928516 /nfs/dbraw/zinc/92/85/16/487928516.db2.gz CHUCFNZMGUNKHZ-UHFFFAOYSA-N 0 3 221.348 2.594 20 0 BFADHN Cc1ccc(C)c(CN2CCc3cn[nH]c3C2)c1 ZINC000676794356 487931192 /nfs/dbraw/zinc/93/11/92/487931192.db2.gz VUWSVDRDGZUATL-UHFFFAOYSA-N 0 3 241.338 2.585 20 0 BFADHN CC1(C)C[C@@H](Nc2ccnc3ccccc32)CO1 ZINC000396486353 487936023 /nfs/dbraw/zinc/93/60/23/487936023.db2.gz BGTMOBVQJYTNAP-LLVKDONJSA-N 0 3 242.322 2.636 20 0 BFADHN CCNC(=O)CN(CCC(C)C)CCC(C)C ZINC000052872042 487942098 /nfs/dbraw/zinc/94/20/98/487942098.db2.gz GIFVUEADTZVHNC-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN CCCCNC(=O)CN(CC)C1CCCCC1 ZINC000052873595 487942459 /nfs/dbraw/zinc/94/24/59/487942459.db2.gz SAAKZMRQXFZUNV-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnc(OC)c(Cl)c1 ZINC000676893035 487943736 /nfs/dbraw/zinc/94/37/36/487943736.db2.gz AXFPJVSOITUBBB-SECBINFHSA-N 0 3 242.750 2.974 20 0 BFADHN CC/C=C/CNC/C=C\c1ccncc1 ZINC000449454842 487946016 /nfs/dbraw/zinc/94/60/16/487946016.db2.gz KIVKORAPKUFBKN-ICWBMWKASA-N 0 3 202.301 2.651 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1C[C@@H]2COC[C@]2(C)C1 ZINC000661704560 487948586 /nfs/dbraw/zinc/94/85/86/487948586.db2.gz XMIRTBHPIADTHB-KYOSRNDESA-N 0 3 249.329 2.855 20 0 BFADHN CC(C)(F)CCNCc1ccc2c(n1)CCC2 ZINC000668570135 487952073 /nfs/dbraw/zinc/95/20/73/487952073.db2.gz PHTCUTSAHGNDET-UHFFFAOYSA-N 0 3 236.334 2.798 20 0 BFADHN Cc1ccc(OCCNCc2cc[nH]c2)c(C)c1 ZINC000531018200 322973037 /nfs/dbraw/zinc/97/30/37/322973037.db2.gz MTMZHIJHCWJYSF-UHFFFAOYSA-N 0 3 244.338 2.800 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1nccn1C ZINC000403707186 322991950 /nfs/dbraw/zinc/99/19/50/322991950.db2.gz WDXRLYUTFRRKQT-LOWDOPEQSA-N 0 3 233.359 2.507 20 0 BFADHN C[C@@H](NC1CC(C)C1)c1cn2ccccc2n1 ZINC000566475932 323000492 /nfs/dbraw/zinc/00/04/92/323000492.db2.gz RCAJMZHIZDCPOQ-MOENNCHZSA-N 0 3 229.327 2.783 20 0 BFADHN OCc1ccc(CN[C@@H]2CC[C@@H]3CCC[C@@H]3C2)o1 ZINC000525181911 323001227 /nfs/dbraw/zinc/00/12/27/323001227.db2.gz FAXJKDHWCNDRKN-YNEHKIRRSA-N 0 3 249.354 2.830 20 0 BFADHN CCC(CC)(CC)CNC(=O)CN(C)C(C)C ZINC000150893009 323001929 /nfs/dbraw/zinc/00/19/29/323001929.db2.gz ZSCJBKSDDIFJHK-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN CC(C)=CCN(C)CCC(=O)OC(C)(C)C ZINC000150902231 323002235 /nfs/dbraw/zinc/00/22/35/323002235.db2.gz ZRXHMLGTGSLQRZ-UHFFFAOYSA-N 0 3 227.348 2.616 20 0 BFADHN COCCCN(Cc1ccncc1)CC1(C)CC1 ZINC000561980624 323009889 /nfs/dbraw/zinc/00/98/89/323009889.db2.gz UHUKIJIKTJGHOX-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN C[C@H]1C[C@H]1CN1CCC2(C1)Oc1ccccc1O2 ZINC000561986555 323010048 /nfs/dbraw/zinc/01/00/48/323010048.db2.gz KETBFSYEERGQLE-RYUDHWBXSA-N 0 3 245.322 2.516 20 0 BFADHN C[C@H]1OCCN(CC2Cc3ccccc3C2)[C@@H]1C ZINC000562200024 323013354 /nfs/dbraw/zinc/01/33/54/323013354.db2.gz BIEFIIANVXJTGV-CHWSQXEVSA-N 0 3 245.366 2.511 20 0 BFADHN CC/C=C/CCN(C)Cc1cnn(C)c1C ZINC000562500276 323022044 /nfs/dbraw/zinc/02/20/44/323022044.db2.gz CGJOVAPZBIUFRP-VOTSOKGWSA-N 0 3 221.348 2.517 20 0 BFADHN CC(C)[C@@H]1CN(Cc2ccn(C)n2)[C@H]1C(C)C ZINC000562661707 323026730 /nfs/dbraw/zinc/02/67/30/323026730.db2.gz JTYXPGVXNUXSMO-KBPBESRZSA-N 0 3 235.375 2.533 20 0 BFADHN C[C@@H](NC[C@H]1CC12CC2)c1cscn1 ZINC000562797798 323030737 /nfs/dbraw/zinc/03/07/37/323030737.db2.gz IYXSGYQEIUTONB-RKDXNWHRSA-N 0 3 208.330 2.594 20 0 BFADHN CC1(CN2CCc3ccc(O)cc3C2)CC1 ZINC000569648094 323035465 /nfs/dbraw/zinc/03/54/65/323035465.db2.gz FPMALDKMNPRCJC-UHFFFAOYSA-N 0 3 217.312 2.550 20 0 BFADHN CC(C)(C)CCN1CCO[C@@]2(CCSC2)C1 ZINC000569720590 323038949 /nfs/dbraw/zinc/03/89/49/323038949.db2.gz SBFDSIGEANAHAM-ZDUSSCGKSA-N 0 3 243.416 2.631 20 0 BFADHN CCc1ncc(CNC(C2CC2)C2CC2)o1 ZINC000570283771 323055057 /nfs/dbraw/zinc/05/50/57/323055057.db2.gz XDLZHCPIQDAVSM-UHFFFAOYSA-N 0 3 220.316 2.515 20 0 BFADHN C[C@@H](NCCCC1CC1)c1nccs1 ZINC000161708965 323067905 /nfs/dbraw/zinc/06/79/05/323067905.db2.gz HAWZVCZIFBWXDS-SECBINFHSA-N 0 3 210.346 2.984 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CCCC12CC2 ZINC000570741064 323069282 /nfs/dbraw/zinc/06/92/82/323069282.db2.gz UKNVRBVXMZHFRH-ZDUSSCGKSA-N 0 3 234.343 2.968 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H](c2cccnc2)C2CCC2)CO1 ZINC000570801154 323070751 /nfs/dbraw/zinc/07/07/51/323070751.db2.gz BZYUROOSXYGEHI-DFBGVHRSSA-N 0 3 246.354 2.690 20 0 BFADHN Cc1ccccc1CNCCOC(C)(C)C ZINC000162366767 323076553 /nfs/dbraw/zinc/07/65/53/323076553.db2.gz FHADWDZUKSXIOG-UHFFFAOYSA-N 0 3 221.344 2.900 20 0 BFADHN CSCCN(CCc1nccs1)C(C)C ZINC000179053620 323078521 /nfs/dbraw/zinc/07/85/21/323078521.db2.gz WAXXYKNTFKEUSV-UHFFFAOYSA-N 0 3 244.429 2.759 20 0 BFADHN COCC1(N[C@@H](C)c2sccc2C)CC1 ZINC000308974271 323081696 /nfs/dbraw/zinc/08/16/96/323081696.db2.gz APHUJLXABLLWQK-JTQLQIEISA-N 0 3 225.357 2.886 20 0 BFADHN CC(C)OCCN1CCC[C@H]1c1ccccn1 ZINC000531200890 323085081 /nfs/dbraw/zinc/08/50/81/323085081.db2.gz UQTVZLFLLSWXPJ-AWEZNQCLSA-N 0 3 234.343 2.644 20 0 BFADHN c1ccc([C@@H]2CCCN2C[C@H]2CCCCO2)nc1 ZINC000531201430 323085182 /nfs/dbraw/zinc/08/51/82/323085182.db2.gz OQHAXYJFRDIKCO-HIFRSBDPSA-N 0 3 246.354 2.788 20 0 BFADHN COCCN[C@H](C)c1ccc(Cl)c(F)c1 ZINC000212705906 323091251 /nfs/dbraw/zinc/09/12/51/323091251.db2.gz FRMQHCYENWSZMS-MRVPVSSYSA-N 0 3 231.698 2.776 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C\c2ccc(F)cc2)CO1 ZINC000563121592 323093619 /nfs/dbraw/zinc/09/36/19/323093619.db2.gz RAEULJZDIIWACA-USXUBVMZSA-N 0 3 235.302 2.606 20 0 BFADHN COc1ccsc1[C@H](C)N[C@@H]1CO[C@@H](C)C1 ZINC000563122937 323094045 /nfs/dbraw/zinc/09/40/45/323094045.db2.gz AXQROXVYTFGELZ-GUBZILKMSA-N 0 3 241.356 2.585 20 0 BFADHN C[C@H](NCc1nccn1C(F)F)[C@H]1CC1(C)C ZINC000397855409 323098396 /nfs/dbraw/zinc/09/83/96/323098396.db2.gz TUIYZIPDRLXJMW-DTWKUNHWSA-N 0 3 243.301 2.802 20 0 BFADHN Cc1nccnc1[C@H](C)NCCCC1CC1 ZINC000219190945 323098417 /nfs/dbraw/zinc/09/84/17/323098417.db2.gz YRCDIFBLBVYKBH-JTQLQIEISA-N 0 3 219.332 2.626 20 0 BFADHN C[C@@H](NCc1nccn1C(F)F)[C@@H]1CC1(C)C ZINC000397855406 323098433 /nfs/dbraw/zinc/09/84/33/323098433.db2.gz TUIYZIPDRLXJMW-BDAKNGLRSA-N 0 3 243.301 2.802 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccnc(C)c2)C1(C)C ZINC000391167474 323099484 /nfs/dbraw/zinc/09/94/84/323099484.db2.gz PMSIQKZUMPJBCC-KGLIPLIRSA-N 0 3 248.370 2.683 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCCC12CCC2 ZINC000394745427 323100046 /nfs/dbraw/zinc/10/00/46/323100046.db2.gz WETQZCRCTWLKPZ-GFCCVEGCSA-N 0 3 233.359 2.715 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CCCC23CCC3)[n-]1 ZINC000571016461 323103468 /nfs/dbraw/zinc/10/34/68/323103468.db2.gz SQUMATZCPVPWJM-NSHDSACASA-N 0 3 248.374 2.741 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CCCC23CCC3)[nH]1 ZINC000571016461 323103469 /nfs/dbraw/zinc/10/34/69/323103469.db2.gz SQUMATZCPVPWJM-NSHDSACASA-N 0 3 248.374 2.741 20 0 BFADHN COCc1ccc(CNCCc2ccc(C)o2)o1 ZINC000531701724 323111780 /nfs/dbraw/zinc/11/17/80/323111780.db2.gz DIGXMYNGXOTQAD-UHFFFAOYSA-N 0 3 249.310 2.660 20 0 BFADHN COCC1(NCc2ccc3ncccc3c2)CC1 ZINC000531698269 323111796 /nfs/dbraw/zinc/11/17/96/323111796.db2.gz JXVVWNKVONNTIC-UHFFFAOYSA-N 0 3 242.322 2.503 20 0 BFADHN Fc1cccc2c1CC[C@H]2NCc1cccnc1 ZINC000110058783 323112681 /nfs/dbraw/zinc/11/26/81/323112681.db2.gz XPRBGJUFZREPOQ-OAHLLOKOSA-N 0 3 242.297 2.998 20 0 BFADHN CSCCN1CCC[C@@H]1c1ccccn1 ZINC000534107483 323113695 /nfs/dbraw/zinc/11/36/95/323113695.db2.gz BRFJLGJXLPIZQR-GFCCVEGCSA-N 0 3 222.357 2.582 20 0 BFADHN Cc1c(CN(C2CC2)C2CCCC2)cnn1C ZINC000534334160 323117670 /nfs/dbraw/zinc/11/76/70/323117670.db2.gz ZWSHGWNPLZVBPY-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(F)c(Cl)c1 ZINC000309959907 323122536 /nfs/dbraw/zinc/12/25/36/323122536.db2.gz KLECCPLVVFELFK-NWDGAFQWSA-N 0 3 243.709 2.746 20 0 BFADHN c1ccc2c(CNC3CCSCC3)noc2c1 ZINC000563419341 323123916 /nfs/dbraw/zinc/12/39/16/323123916.db2.gz VDUXSQPBKAXWLM-UHFFFAOYSA-N 0 3 248.351 2.813 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1noc2ccccc21 ZINC000563428819 323124993 /nfs/dbraw/zinc/12/49/93/323124993.db2.gz IZJHGSCDNSBZTE-CABZTGNLSA-N 0 3 248.351 2.669 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CCC[C@H](F)C1 ZINC000563626401 323132295 /nfs/dbraw/zinc/13/22/95/323132295.db2.gz YTKZAOTVXIJFRJ-SDDRHHMPSA-N 0 3 239.338 2.834 20 0 BFADHN CCN(Cc1cnccn1)C1CCCCC1 ZINC000571166915 323137316 /nfs/dbraw/zinc/13/73/16/323137316.db2.gz XONAZFBJWJJHLX-UHFFFAOYSA-N 0 3 219.332 2.631 20 0 BFADHN c1csc(CN[C@H]2CCCC23CC3)n1 ZINC000571179116 323137318 /nfs/dbraw/zinc/13/73/18/323137318.db2.gz HFOFWSQWICSVFN-VIFPVBQESA-N 0 3 208.330 2.565 20 0 BFADHN CCc1ncc(CN(CC2CC2)C(C)C)cn1 ZINC000571384382 323143034 /nfs/dbraw/zinc/14/30/34/323143034.db2.gz KSVHYENWNMJDHA-UHFFFAOYSA-N 0 3 233.359 2.659 20 0 BFADHN COC1(CNCc2cccc(C)n2)CCCCC1 ZINC000319247750 323143170 /nfs/dbraw/zinc/14/31/70/323143170.db2.gz XSVQXXRQVUENRT-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CC(C)O[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC000571519028 323146713 /nfs/dbraw/zinc/14/67/13/323146713.db2.gz HLJNYZZJXDMXPO-CQSZACIVSA-N 0 3 237.318 2.825 20 0 BFADHN COc1cc(C)nc(CN2C[C@H](C)C[C@@H]2C)c1 ZINC000534544573 323153300 /nfs/dbraw/zinc/15/33/00/323153300.db2.gz FOORWBXPSDKQBO-PWSUYJOCSA-N 0 3 234.343 2.629 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1ccc(C)c(F)c1 ZINC000110976655 323155470 /nfs/dbraw/zinc/15/54/70/323155470.db2.gz OJSFOCYOXATAAS-ZIAGYGMSSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H]1CCN(Cc2cnn(C)c2)[C@@H]2CCCC[C@H]12 ZINC000531988674 323165048 /nfs/dbraw/zinc/16/50/48/323165048.db2.gz CBANYYLCHHMWKM-BPLDGKMQSA-N 0 3 247.386 2.821 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2ccncc2)o1 ZINC000535071646 323165327 /nfs/dbraw/zinc/16/53/27/323165327.db2.gz QXUFQJVSPPZODZ-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN CCNCc1ccsc1Br ZINC000307339034 323169548 /nfs/dbraw/zinc/16/95/48/323169548.db2.gz STKRTVYPPQYBCU-UHFFFAOYSA-N 0 3 220.135 2.620 20 0 BFADHN C[C@H]1CCC[C@H](SCCN(C)C)C1 ZINC000308293474 323173466 /nfs/dbraw/zinc/17/34/66/323173466.db2.gz ISNOISWCCLIARI-QWRGUYRKSA-N 0 3 201.379 2.860 20 0 BFADHN CC(C)n1cncc1CN1C[C@@H](C)[C@@H](C)[C@@H]1C ZINC000425371088 323173861 /nfs/dbraw/zinc/17/38/61/323173861.db2.gz PNDYXKVGMRKXBB-UPJWGTAASA-N 0 3 235.375 2.940 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1noc2ccccc21 ZINC000563730887 323176344 /nfs/dbraw/zinc/17/63/44/323176344.db2.gz KBMRFBOAXZPYQK-ZJUUUORDSA-N 0 3 216.284 2.573 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1noc2ccccc21 ZINC000563730873 323176363 /nfs/dbraw/zinc/17/63/63/323176363.db2.gz KBMRFBOAXZPYQK-NXEZZACHSA-N 0 3 216.284 2.573 20 0 BFADHN CC1(C)CC(CNCc2cscn2)C1 ZINC000308662676 323176457 /nfs/dbraw/zinc/17/64/57/323176457.db2.gz QXFKRILIHDMYNK-UHFFFAOYSA-N 0 3 210.346 2.669 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccoc1)C(C)C ZINC000308902456 323180904 /nfs/dbraw/zinc/18/09/04/323180904.db2.gz BQXOXGFGNBGSMX-CMPLNLGQSA-N 0 3 211.305 2.601 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cc(C)sc1C ZINC000308914419 323181466 /nfs/dbraw/zinc/18/14/66/323181466.db2.gz FMWICRPDVLCSNN-VHSXEESVSA-N 0 3 227.373 2.878 20 0 BFADHN CCn1cncc1CN1CCC(C)(CC)CC1 ZINC000417749429 323182537 /nfs/dbraw/zinc/18/25/37/323182537.db2.gz LZIBTMUKGZXXQT-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CC(F)(F)CCNCc1ccc2c(n1)CCC2 ZINC000518924375 323188303 /nfs/dbraw/zinc/18/83/03/323188303.db2.gz PIGFTPCDAJTHAX-UHFFFAOYSA-N 0 3 240.297 2.705 20 0 BFADHN CCc1cccc(CN[C@@H]2CO[C@@H](C)C2)c1 ZINC000563891315 323193028 /nfs/dbraw/zinc/19/30/28/323193028.db2.gz IKOSYFSBEWXNGJ-FZMZJTMJSA-N 0 3 219.328 2.516 20 0 BFADHN C[C@@H]1CN(Cc2ccc3[nH]cnc3c2)CCS1 ZINC000535834920 323193175 /nfs/dbraw/zinc/19/31/75/323193175.db2.gz COSIKGFMDCVLBF-SNVBAGLBSA-N 0 3 247.367 2.500 20 0 BFADHN C[C@@H]1CN(Cc2ccc3nc[nH]c3c2)CCS1 ZINC000535834920 323193176 /nfs/dbraw/zinc/19/31/76/323193176.db2.gz COSIKGFMDCVLBF-SNVBAGLBSA-N 0 3 247.367 2.500 20 0 BFADHN C[C@H]1CCC[C@H](CCNCc2cnccn2)C1 ZINC000070538102 323197266 /nfs/dbraw/zinc/19/72/66/323197266.db2.gz IDGXIHHGVXHHPZ-QWHCGFSZSA-N 0 3 233.359 2.783 20 0 BFADHN CCC(CC)CN1CCc2nc(C)ncc2C1 ZINC000536642758 323199435 /nfs/dbraw/zinc/19/94/35/323199435.db2.gz NWEMKNQGFQGTES-UHFFFAOYSA-N 0 3 233.359 2.579 20 0 BFADHN COc1cc(CN2C[C@H](C)C[C@H]2C)cc(OC)c1 ZINC000536682376 323200820 /nfs/dbraw/zinc/20/08/20/323200820.db2.gz KOWHBAPWVBPWBT-VXGBXAGGSA-N 0 3 249.354 2.934 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(SC)s1 ZINC000309042106 323205707 /nfs/dbraw/zinc/20/57/07/323205707.db2.gz LUJCOEFSYXSIIM-ZJUUUORDSA-N 0 3 243.397 2.737 20 0 BFADHN COCC1(NCc2cccc3cccnc32)CC1 ZINC000532210368 323209681 /nfs/dbraw/zinc/20/96/81/323209681.db2.gz ZFSHFKCXLORBCH-UHFFFAOYSA-N 0 3 242.322 2.503 20 0 BFADHN C[C@H](NC1CC(C)(C)C1)C(=O)Nc1ccccc1 ZINC000395228093 323222401 /nfs/dbraw/zinc/22/24/01/323222401.db2.gz SVUBNXHNGWHFEI-NSHDSACASA-N 0 3 246.354 2.792 20 0 BFADHN C[C@@H](NC[C@@H](C)c1ccccc1)c1ccncn1 ZINC000395911525 323262354 /nfs/dbraw/zinc/26/23/54/323262354.db2.gz PCOJRJTZFBYLNV-CHWSQXEVSA-N 0 3 241.338 2.931 20 0 BFADHN CCCC1(CN[C@@H](C)c2ccncn2)CC1 ZINC000395911509 323262597 /nfs/dbraw/zinc/26/25/97/323262597.db2.gz PBBMBNZOFWFTRN-NSHDSACASA-N 0 3 219.332 2.708 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ccncn1)c1ccccc1 ZINC000395911528 323262946 /nfs/dbraw/zinc/26/29/46/323262946.db2.gz PCOJRJTZFBYLNV-STQMWFEESA-N 0 3 241.338 2.931 20 0 BFADHN C[C@H](NCC(C)(C)C1CCC1)c1ccncn1 ZINC000395914848 323263506 /nfs/dbraw/zinc/26/35/06/323263506.db2.gz QVWZXFBNCXHVBC-NSHDSACASA-N 0 3 233.359 2.954 20 0 BFADHN CC(C)CC[C@@H](C)N[C@H](C)c1ccncn1 ZINC000395923362 323267427 /nfs/dbraw/zinc/26/74/27/323267427.db2.gz UTMNAVVXVLMMKG-VXGBXAGGSA-N 0 3 221.348 2.952 20 0 BFADHN CC(C)CC[C@H](C)N[C@H](C)c1ccncn1 ZINC000395923358 323267788 /nfs/dbraw/zinc/26/77/88/323267788.db2.gz UTMNAVVXVLMMKG-NWDGAFQWSA-N 0 3 221.348 2.952 20 0 BFADHN COCCCCCN1CCc2ccc(O)cc2C1 ZINC000532730714 323291548 /nfs/dbraw/zinc/29/15/48/323291548.db2.gz DBIBKQHMWOLIPW-UHFFFAOYSA-N 0 3 249.354 2.567 20 0 BFADHN CC1(C)CC(CNCc2cccc3c2OCO3)C1 ZINC000547716961 323311714 /nfs/dbraw/zinc/31/17/14/323311714.db2.gz GJPBALOGXYTQGX-UHFFFAOYSA-N 0 3 247.338 2.941 20 0 BFADHN Cc1csc(CNCC2CC(C)(C)C2)n1 ZINC000309163416 323321832 /nfs/dbraw/zinc/32/18/32/323321832.db2.gz HYROVLBMQDMGMO-UHFFFAOYSA-N 0 3 224.373 2.977 20 0 BFADHN CCC1(CNCc2cnccn2)CCCCC1 ZINC000563941306 323328608 /nfs/dbraw/zinc/32/86/08/323328608.db2.gz LSDUHFDAZWCXSM-UHFFFAOYSA-N 0 3 233.359 2.927 20 0 BFADHN COCc1ccc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)o1 ZINC000403252801 323338001 /nfs/dbraw/zinc/33/80/01/323338001.db2.gz VDTNNYNEAFVAEC-UPJWGTAASA-N 0 3 249.354 2.952 20 0 BFADHN Cc1cc(CN(CC2CC2)CC2CCC2)n(C)n1 ZINC000418050093 323347745 /nfs/dbraw/zinc/34/77/45/323347745.db2.gz VDPWWPUAJDINJG-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@H](NCCCC1CC1)c1cncs1 ZINC000161722051 323562239 /nfs/dbraw/zinc/56/22/39/323562239.db2.gz AQZBHFVQPDSYCE-VIFPVBQESA-N 0 3 210.346 2.984 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1cccc(OC(C)C)c1 ZINC000572447747 323632835 /nfs/dbraw/zinc/63/28/35/323632835.db2.gz CNPIWTNUWPJFSV-GJZGRUSLSA-N 0 3 249.354 2.741 20 0 BFADHN C[C@@H](NCC1CC1)c1ccncc1Cl ZINC000421820120 323632956 /nfs/dbraw/zinc/63/29/56/323632956.db2.gz KSHVHBUKCGQRKK-MRVPVSSYSA-N 0 3 210.708 2.796 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1ccc2cc[nH]c2c1 ZINC000527782280 323688687 /nfs/dbraw/zinc/68/86/87/323688687.db2.gz DHUQYHNKEBMADK-CABCVRRESA-N 0 3 244.338 2.825 20 0 BFADHN CCOc1ncccc1CN[C@H](C)[C@@H]1CC12CC2 ZINC000527854983 323709131 /nfs/dbraw/zinc/70/91/31/323709131.db2.gz ZRKMNKRBXOGQKJ-YPMHNXCESA-N 0 3 246.354 2.759 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)c1 ZINC000528008400 323747933 /nfs/dbraw/zinc/74/79/33/323747933.db2.gz TZYLKDCCKPQHGP-JYKNGBAOSA-N 0 3 248.370 2.853 20 0 BFADHN CCN(CC)C[C@@H](OC)c1ccc(F)cc1 ZINC000528344594 323798488 /nfs/dbraw/zinc/79/84/88/323798488.db2.gz KQWPTQMVRMGIHX-CYBMUJFWSA-N 0 3 225.307 2.855 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCO[C@@H]2C)cc1F ZINC000121634539 324018406 /nfs/dbraw/zinc/01/84/06/324018406.db2.gz BXKRNWFZMCZXCG-GYSYKLTISA-N 0 3 237.318 2.962 20 0 BFADHN CCOC[C@H](C)N[C@H]1CCc2c1cccc2OC ZINC000122361904 324045561 /nfs/dbraw/zinc/04/55/61/324045561.db2.gz DBQWBGBGAAPFES-FZMZJTMJSA-N 0 3 249.354 2.697 20 0 BFADHN CC[C@@]1(C)C[C@@H]1C(=O)Nc1ccccc1CNC ZINC000122658278 324052219 /nfs/dbraw/zinc/05/22/19/324052219.db2.gz KVSOGKSNSMIRGT-DOMZBBRYSA-N 0 3 246.354 2.781 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@@H](C)CCO ZINC000122905655 324061622 /nfs/dbraw/zinc/06/16/22/324061622.db2.gz AKSQEVMTABUOEK-RYUDHWBXSA-N 0 3 237.343 2.507 20 0 BFADHN COCC1(N[C@H]2CCc3cc(F)ccc32)CC1 ZINC000537448554 324072716 /nfs/dbraw/zinc/07/27/16/324072716.db2.gz QHVDMBZGYUUXGO-ZDUSSCGKSA-N 0 3 235.302 2.582 20 0 BFADHN Cc1cnc(CN[C@H](C(C)C)C2CC2)s1 ZINC000123025216 324076354 /nfs/dbraw/zinc/07/63/54/324076354.db2.gz RYPVHUFPPJVADZ-GFCCVEGCSA-N 0 3 224.373 2.976 20 0 BFADHN COc1ccc(C)cc1CN1CCC1(C)C ZINC000537634962 324091696 /nfs/dbraw/zinc/09/16/96/324091696.db2.gz KBYBKJBPOAUCGO-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN Cc1nc(CNCC(C2CC2)C2CC2)[nH]c1C ZINC000572498292 324134829 /nfs/dbraw/zinc/13/48/29/324134829.db2.gz OBEXLHYEEWLMJD-UHFFFAOYSA-N 0 3 233.359 2.552 20 0 BFADHN c1cc(-c2ccc(CN3CC[C@@H]3C3CC3)o2)n[nH]1 ZINC000449424227 324139920 /nfs/dbraw/zinc/13/99/20/324139920.db2.gz DEOHNHCPKCLCCC-CYBMUJFWSA-N 0 3 243.310 2.654 20 0 BFADHN CCN(C[C@@H](C)c1ccc(OC)cc1)C1COC1 ZINC000449424540 324139996 /nfs/dbraw/zinc/13/99/96/324139996.db2.gz JODFFPVIUIUWDJ-GFCCVEGCSA-N 0 3 249.354 2.519 20 0 BFADHN COC[C@H]1CCCN(C/C=C(\C)Cl)CC1 ZINC000528519995 324215960 /nfs/dbraw/zinc/21/59/60/324215960.db2.gz UVVPYSSVBDIFJL-JVOXIWMLSA-N 0 3 231.767 2.878 20 0 BFADHN C/C(Cl)=C\CN1CCC(n2ccnc2)CC1 ZINC000528392319 324219280 /nfs/dbraw/zinc/21/92/80/324219280.db2.gz BZGVWYAKJQXBKV-BIIKFXOESA-N 0 3 239.750 2.663 20 0 BFADHN C[C@@H]1COCCCN1Cc1ccc2cc[nH]c2c1 ZINC000529530708 324325415 /nfs/dbraw/zinc/32/54/15/324325415.db2.gz IUTYWFALVAGBRN-GFCCVEGCSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1cc(CN[C@H](C)C2CC(F)(F)C2)on1 ZINC000529538635 324331059 /nfs/dbraw/zinc/33/10/59/324331059.db2.gz HUBHCLNERLCIQH-MRVPVSSYSA-N 0 3 230.258 2.506 20 0 BFADHN Cc1ccc(CCNCc2ccc(F)cn2)cc1 ZINC000529547614 324335894 /nfs/dbraw/zinc/33/58/94/324335894.db2.gz HVBAWDLIUHGGRF-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2ccc(F)cn2)C1 ZINC000529560104 324342981 /nfs/dbraw/zinc/34/29/81/324342981.db2.gz YJAPIYLCKHMJLB-GHMZBOCLSA-N 0 3 222.307 2.747 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CC[C@@H]3C[C@@H]3C2)o1 ZINC000529612702 324364625 /nfs/dbraw/zinc/36/46/25/324364625.db2.gz CWCCEGZZNVSWEE-IJLUTSLNSA-N 0 3 220.316 2.570 20 0 BFADHN CC[C@@H](NCc1ccn(C)c1)c1ccncc1 ZINC000125897948 324663117 /nfs/dbraw/zinc/66/31/17/324663117.db2.gz MFFUSVLGOMUURF-CQSZACIVSA-N 0 3 229.327 2.661 20 0 BFADHN Cc1ncc(CN2C[C@@H](C)C[C@H](C)C2)s1 ZINC000128022364 324801307 /nfs/dbraw/zinc/80/13/07/324801307.db2.gz NGIZECGFDHZRFH-UWVGGRQHSA-N 0 3 224.373 2.929 20 0 BFADHN CCc1nn(C)c(CC)c1CNC1(C2CC2)CC1 ZINC000540611077 324908232 /nfs/dbraw/zinc/90/82/32/324908232.db2.gz AOZAVOKBMJIVRY-UHFFFAOYSA-N 0 3 247.386 2.577 20 0 BFADHN COCC1(N[C@@H]2CCSc3ccccc32)CC1 ZINC000540749846 324915932 /nfs/dbraw/zinc/91/59/32/324915932.db2.gz PVQOKVFJBXFFGB-GFCCVEGCSA-N 0 3 249.379 2.992 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCCC[C@H]2C)CCO1 ZINC000542466945 325028767 /nfs/dbraw/zinc/02/87/67/325028767.db2.gz PDKORMUJGUOUIP-HZSPNIEDSA-N 0 3 225.376 2.924 20 0 BFADHN Cc1ccc([C@H](NCCCO)C2CCCC2)o1 ZINC000542672383 325040866 /nfs/dbraw/zinc/04/08/66/325040866.db2.gz ZQGDRKYDLMCBEB-CQSZACIVSA-N 0 3 237.343 2.791 20 0 BFADHN Cc1cc(Cl)ccc1CN[C@H]1CCOC1 ZINC000130333404 325042418 /nfs/dbraw/zinc/04/24/18/325042418.db2.gz CPMXUFTYGGDICH-LBPRGKRZSA-N 0 3 225.719 2.527 20 0 BFADHN CCc1cnc(CN[C@@H](C)CC2CC2)s1 ZINC000130559321 325066479 /nfs/dbraw/zinc/06/64/79/325066479.db2.gz ODAATFRMVCTRKO-VIFPVBQESA-N 0 3 224.373 2.984 20 0 BFADHN CC[C@H](NCCc1ccc(C)o1)c1ccn(C)n1 ZINC000543601530 325096478 /nfs/dbraw/zinc/09/64/78/325096478.db2.gz LRNYAYYXZDXCKA-ZDUSSCGKSA-N 0 3 247.342 2.605 20 0 BFADHN CC(C)[C@@](C)(O)CN[C@@H](C)c1ccccc1F ZINC000130947553 325103929 /nfs/dbraw/zinc/10/39/29/325103929.db2.gz CVHYCLMRZDWKAB-FZMZJTMJSA-N 0 3 239.334 2.883 20 0 BFADHN CC(C)[C@](C)(O)CN[C@H](C)c1ccccc1F ZINC000130947776 325103936 /nfs/dbraw/zinc/10/39/36/325103936.db2.gz CVHYCLMRZDWKAB-BXUZGUMPSA-N 0 3 239.334 2.883 20 0 BFADHN CN1CCC(Nc2cccc3ccncc32)CC1 ZINC000131078041 325113313 /nfs/dbraw/zinc/11/33/13/325113313.db2.gz ITSIAHPXMLZQES-UHFFFAOYSA-N 0 3 241.338 2.741 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N(C)C[C@H](C)CC ZINC000543857950 325118767 /nfs/dbraw/zinc/11/87/67/325118767.db2.gz HHNIAOVOYUPBPD-OLZOCXBDSA-N 0 3 243.391 2.942 20 0 BFADHN CN(Cc1ccc(CO)o1)C[C@@H]1CC=CCC1 ZINC000544365943 325139079 /nfs/dbraw/zinc/13/90/79/325139079.db2.gz OFZVNOGJLMHPCQ-GFCCVEGCSA-N 0 3 235.327 2.560 20 0 BFADHN CCc1ccc(CN(C)CC2(O)CCC2)s1 ZINC000544926964 325167462 /nfs/dbraw/zinc/16/74/62/325167462.db2.gz UNPYNYBVOAAVTB-UHFFFAOYSA-N 0 3 239.384 2.657 20 0 BFADHN C[C@@H](NC[C@H](C)O)c1ccc(Cl)c(F)c1 ZINC000131765361 325182334 /nfs/dbraw/zinc/18/23/34/325182334.db2.gz YAMZZTDZTLIKRX-JGVFFNPUSA-N 0 3 231.698 2.511 20 0 BFADHN CCCCN(CC)[C@H](C)C(=O)NC1CCCC1 ZINC000131819791 325186405 /nfs/dbraw/zinc/18/64/05/325186405.db2.gz PNQFBZULYOIBRK-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@@H](O)CN[C@H](C)c1ccc(Cl)c(F)c1 ZINC000131853054 325187671 /nfs/dbraw/zinc/18/76/71/325187671.db2.gz YAMZZTDZTLIKRX-HTQZYQBOSA-N 0 3 231.698 2.511 20 0 BFADHN CC/C=C/CCN(C)Cc1cnn(CC)c1 ZINC000545229880 325196660 /nfs/dbraw/zinc/19/66/60/325196660.db2.gz CXDPJMPKQMWURZ-VOTSOKGWSA-N 0 3 221.348 2.691 20 0 BFADHN C[C@H](O)[C@@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC000545241581 325200258 /nfs/dbraw/zinc/20/02/58/325200258.db2.gz CWIGGPGBZRDYPQ-SJIIUEBRSA-N 0 3 245.366 2.793 20 0 BFADHN CCCCN(CC)[C@H](C)C(=O)NC(C)(C)CC ZINC000132662840 325234124 /nfs/dbraw/zinc/23/41/24/325234124.db2.gz AJXOSMQNRKGUOK-GFCCVEGCSA-N 0 3 242.407 2.802 20 0 BFADHN Cc1ccccc1CNC(C)(C)c1cnn(C)c1 ZINC000545996385 325255658 /nfs/dbraw/zinc/25/56/58/325255658.db2.gz LTUOVYVOALYLQF-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN CCC[C@@H](C)CNCc1ncc(C)s1 ZINC000133202803 325276991 /nfs/dbraw/zinc/27/69/91/325276991.db2.gz HINQWSZDPUJJPR-SECBINFHSA-N 0 3 212.362 2.977 20 0 BFADHN CCC[C@H](C)CC(=O)N(CCC)CCN(C)C ZINC000133397172 325293394 /nfs/dbraw/zinc/29/33/94/325293394.db2.gz YACRCNZXCXBGMW-ZDUSSCGKSA-N 0 3 242.407 2.613 20 0 BFADHN CC(C)CN(CC(=O)Nc1ccccc1)C(C)C ZINC000075683109 325306722 /nfs/dbraw/zinc/30/67/22/325306722.db2.gz VPGWDQVWJLCZKJ-UHFFFAOYSA-N 0 3 248.370 2.992 20 0 BFADHN c1nc(C2CC2)sc1CN1CCCC1 ZINC000133702550 325310535 /nfs/dbraw/zinc/31/05/35/325310535.db2.gz CLEKNTPEKMVQGO-UHFFFAOYSA-N 0 3 208.330 2.616 20 0 BFADHN c1cncc(CN2CCC[C@H]3CCC[C@@H]32)c1 ZINC000075768544 325311414 /nfs/dbraw/zinc/31/14/14/325311414.db2.gz OSNUXHPOSXFHNI-KGLIPLIRSA-N 0 3 216.328 2.846 20 0 BFADHN CCSCCN1CCS[C@H](CC)C1 ZINC000076072395 325329264 /nfs/dbraw/zinc/32/92/64/325329264.db2.gz MVYSMKFTVYQJLU-SNVBAGLBSA-N 0 3 219.419 2.567 20 0 BFADHN Cc1cccc2c1CC[C@@H]2N[C@@H]1C=C[C@H](CO)C1 ZINC000134643456 325369580 /nfs/dbraw/zinc/36/95/80/325369580.db2.gz IUVLIWOGQWFQJJ-ZENOOKHLSA-N 0 3 243.350 2.509 20 0 BFADHN C[C@@H](NCC[C@@H]1CCCCO1)c1ccoc1 ZINC000134732454 325374189 /nfs/dbraw/zinc/37/41/89/325374189.db2.gz BXMRUILHVYLJGW-YPMHNXCESA-N 0 3 223.316 2.889 20 0 BFADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1cc[nH]n1 ZINC000564326143 325376519 /nfs/dbraw/zinc/37/65/19/325376519.db2.gz REBZQEAMWIZASU-AAEUAGOBSA-N 0 3 221.348 2.810 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CCOc1ccccc1 ZINC000547768387 325391830 /nfs/dbraw/zinc/39/18/30/325391830.db2.gz DTABBAKXPLJBID-VXGBXAGGSA-N 0 3 205.301 2.548 20 0 BFADHN CCOc1ccc(OCCN2[C@H](C)C[C@H]2C)cc1 ZINC000547770585 325395408 /nfs/dbraw/zinc/39/54/08/325395408.db2.gz UFRYKGJWMOCVBP-CHWSQXEVSA-N 0 3 249.354 2.947 20 0 BFADHN C[C@H]1C[C@H](C)N1CCOc1ccc(F)cc1 ZINC000548098699 325430017 /nfs/dbraw/zinc/43/00/17/325430017.db2.gz YIKPQNZUFDXVPQ-QWRGUYRKSA-N 0 3 223.291 2.687 20 0 BFADHN COc1ccc(OCCN2[C@H](C)C[C@H]2C)cc1 ZINC000548754246 325478489 /nfs/dbraw/zinc/47/84/89/325478489.db2.gz KMNHMCDYPAYKHU-VXGBXAGGSA-N 0 3 235.327 2.557 20 0 BFADHN CC[C@H](N[C@H](C)c1ccoc1)[C@H]1CCCO1 ZINC000246468673 325565694 /nfs/dbraw/zinc/56/56/94/325565694.db2.gz QIOCKHUNKOOJQY-KGYLQXTDSA-N 0 3 223.316 2.888 20 0 BFADHN COC[C@@H](N[C@@H](C)c1ccco1)C(C)C ZINC000083398179 325567509 /nfs/dbraw/zinc/56/75/09/325567509.db2.gz JIYZTWDYZGKIEN-WDEREUQCSA-N 0 3 211.305 2.601 20 0 BFADHN CCc1ccc(CN[C@H](COC)C(C)C)o1 ZINC000083398262 325567526 /nfs/dbraw/zinc/56/75/26/325567526.db2.gz SWYNOGGUZCFVIP-CYBMUJFWSA-N 0 3 225.332 2.603 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H]1CCCc2occc21 ZINC000252698687 325690580 /nfs/dbraw/zinc/69/05/80/325690580.db2.gz OOYJUGPLHBMAEW-OUCADQQQSA-N 0 3 235.327 2.814 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CC[C@H](O)CC2)o1 ZINC000252731797 325693503 /nfs/dbraw/zinc/69/35/03/325693503.db2.gz RPCKBRBGBSKGQG-IJLUTSLNSA-N 0 3 223.316 2.542 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](CO)C(C)C)oc1C ZINC000554095820 325706471 /nfs/dbraw/zinc/70/64/71/325706471.db2.gz HQNMMEWCAIUUHU-DGCLKSJQSA-N 0 3 239.359 2.812 20 0 BFADHN Cc1cc(OCC[C@H]2CCCO2)c(C)c(C)n1 ZINC000564549746 325795578 /nfs/dbraw/zinc/79/55/78/325795578.db2.gz AICNBNVZWGNMAA-CYBMUJFWSA-N 0 3 235.327 2.955 20 0 BFADHN Cc1cccc(NCCNCc2ccsc2)n1 ZINC000152771335 325798820 /nfs/dbraw/zinc/79/88/20/325798820.db2.gz DWBLUUCWFUAXQL-UHFFFAOYSA-N 0 3 247.367 2.653 20 0 BFADHN CCC[C@@H](NC(=O)[C@H](N)CC)C1CCCCC1 ZINC000564992087 325842421 /nfs/dbraw/zinc/84/24/21/325842421.db2.gz WEWKFWZCQMHOHJ-CHWSQXEVSA-N 0 3 240.391 2.589 20 0 BFADHN Clc1cnccc1CN1C[C@H]2CCC[C@@H]2C1 ZINC000153015351 325863229 /nfs/dbraw/zinc/86/32/29/325863229.db2.gz ZTXXYGQFDMAMJC-GHMZBOCLSA-N 0 3 236.746 2.967 20 0 BFADHN CC(C)[C@@H](C(=O)NCCCC(C)(C)C)N(C)C ZINC000153273138 325935782 /nfs/dbraw/zinc/93/57/82/325935782.db2.gz UYJWDSYIKUTTAI-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2ccoc2)C12CCC2 ZINC000572574516 325991593 /nfs/dbraw/zinc/99/15/93/325991593.db2.gz ADQBMOFYSKLHAU-KGYLQXTDSA-N 0 3 235.327 2.888 20 0 BFADHN Cc1cccc(NC(=O)CN(C)C(C)(C)C)c1C ZINC000566184218 326008137 /nfs/dbraw/zinc/00/81/37/326008137.db2.gz IIAHSYWLZAWTHB-UHFFFAOYSA-N 0 3 248.370 2.972 20 0 BFADHN CCNCc1nc(-c2ccc(O)cc2)cs1 ZINC000566238402 326021229 /nfs/dbraw/zinc/02/12/29/326021229.db2.gz OVKALKOEBKAXCF-UHFFFAOYSA-N 0 3 234.324 2.625 20 0 BFADHN Cc1cc(OC[C@@H]2CCCOC2)c(C)c(C)n1 ZINC000566476912 326054584 /nfs/dbraw/zinc/05/45/84/326054584.db2.gz OYRDCRAJUJXDDI-CYBMUJFWSA-N 0 3 235.327 2.812 20 0 BFADHN Cc1csc(CN[C@@H]2CCCC23CC3)n1 ZINC000566498673 326059007 /nfs/dbraw/zinc/05/90/07/326059007.db2.gz GCDMSZMCPLKADJ-SNVBAGLBSA-N 0 3 222.357 2.874 20 0 BFADHN COc1ncccc1CN[C@H]1CCCC12CC2 ZINC000566500464 326059525 /nfs/dbraw/zinc/05/95/25/326059525.db2.gz NAMVDTPBIXKOBF-LBPRGKRZSA-N 0 3 232.327 2.513 20 0 BFADHN Cc1ncc([C@H](C)NC2CC(C)(C)C2)c(C)n1 ZINC000566503472 326060253 /nfs/dbraw/zinc/06/02/53/326060253.db2.gz LBQUBLOCIUSTAS-JTQLQIEISA-N 0 3 233.359 2.933 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@H]1CSC[C@@H]1C)CO2 ZINC000566777855 326081408 /nfs/dbraw/zinc/08/14/08/326081408.db2.gz CZEMJTUNOGWRQM-UHTWSYAYSA-N 0 3 249.379 2.770 20 0 BFADHN F[C@H]1CCCN(CC2Cc3ccccc3C2)C1 ZINC000566802146 326084541 /nfs/dbraw/zinc/08/45/41/326084541.db2.gz XSNDLSAIHIMDFC-HNNXBMFYSA-N 0 3 233.330 2.835 20 0 BFADHN COc1c(C)cc(CN(C)C2CC2)cc1C ZINC000153768225 326112501 /nfs/dbraw/zinc/11/25/01/326112501.db2.gz SHQCBOWYRLUWLW-UHFFFAOYSA-N 0 3 219.328 2.906 20 0 BFADHN CC[C@H](C)N(C)Cc1cnc2nc(C)cc(C)n12 ZINC000154563812 326150981 /nfs/dbraw/zinc/15/09/81/326150981.db2.gz OWQBJWBHTFFZLI-NSHDSACASA-N 0 3 246.358 2.576 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnc2nc(C)cc(C)n12 ZINC000154563700 326151114 /nfs/dbraw/zinc/15/11/14/326151114.db2.gz OWQBJWBHTFFZLI-LLVKDONJSA-N 0 3 246.358 2.576 20 0 BFADHN CN(C)Cc1ccccc1NC(=O)C1CC=CC1 ZINC000567284918 326155598 /nfs/dbraw/zinc/15/55/98/326155598.db2.gz JDZWDXVFEBTZOI-UHFFFAOYSA-N 0 3 244.338 2.653 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@H]2C2CC2)no1 ZINC000309878011 326173216 /nfs/dbraw/zinc/17/32/16/326173216.db2.gz UDXZSRSEUXNSAG-STQMWFEESA-N 0 3 220.316 2.651 20 0 BFADHN COC[C@H](C)CN[C@H]1CSc2ccccc21 ZINC000156095750 326218931 /nfs/dbraw/zinc/21/89/31/326218931.db2.gz IPNOOFALTBHIGA-PWSUYJOCSA-N 0 3 237.368 2.706 20 0 BFADHN CCc1nn(C)c(CC)c1CN(C)CC1CCC1 ZINC000156629627 326237566 /nfs/dbraw/zinc/23/75/66/326237566.db2.gz VIQUIZFITUZOGT-UHFFFAOYSA-N 0 3 249.402 2.777 20 0 BFADHN CCN(CC)Cc1cc(-c2ccccc2)n[nH]1 ZINC000156945261 326250265 /nfs/dbraw/zinc/25/02/65/326250265.db2.gz UTMONWRUHBKAGS-UHFFFAOYSA-N 0 3 229.327 2.919 20 0 BFADHN Cc1cccc(CCN(C)Cc2ccco2)n1 ZINC000567729853 326252092 /nfs/dbraw/zinc/25/20/92/326252092.db2.gz GXIDDXSMDDGMGJ-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN COc1ccc(CN(C)C(C)C)c(F)c1 ZINC000567741029 326253667 /nfs/dbraw/zinc/25/36/67/326253667.db2.gz HQLDNDNVBYJRLL-UHFFFAOYSA-N 0 3 211.280 2.675 20 0 BFADHN C[C@H](N[C@H]1CCCSC1)c1ccoc1 ZINC000157133780 326258274 /nfs/dbraw/zinc/25/82/74/326258274.db2.gz QWAVCWRBZJQQBB-ONGXEEELSA-N 0 3 211.330 2.826 20 0 BFADHN Cc1ccc(NC(=O)CN(C)C(C)(C)C)cc1 ZINC000567810760 326260846 /nfs/dbraw/zinc/26/08/46/326260846.db2.gz GCBZZRXRMLIWIW-UHFFFAOYSA-N 0 3 234.343 2.664 20 0 BFADHN C[C@@H]1CCCCN1Cc1cnc2ccccn12 ZINC000157347046 326269244 /nfs/dbraw/zinc/26/92/44/326269244.db2.gz GAJUUYDFCOZAPL-GFCCVEGCSA-N 0 3 229.327 2.709 20 0 BFADHN C[C@H](CCc1ccsc1)NCc1ccno1 ZINC000567888675 326277107 /nfs/dbraw/zinc/27/71/07/326277107.db2.gz NLSKCXOHOPUYCY-SNVBAGLBSA-N 0 3 236.340 2.847 20 0 BFADHN C[C@H](Cc1ccc(O)cc1)NC1(CF)CCC1 ZINC000567900175 326279632 /nfs/dbraw/zinc/27/96/32/326279632.db2.gz WEIXTLJOOYDSMO-LLVKDONJSA-N 0 3 237.318 2.805 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1nc(C)c(C)[nH]1 ZINC000567916238 326283400 /nfs/dbraw/zinc/28/34/00/326283400.db2.gz ODOSDAPOCCXQGB-VHSXEESVSA-N 0 3 223.364 2.941 20 0 BFADHN C[C@H]1CCCN(Cc2c[nH]nc2C(C)(C)C)C1 ZINC000157702161 326291360 /nfs/dbraw/zinc/29/13/60/326291360.db2.gz LFRGMOKLYVDCJB-NSHDSACASA-N 0 3 235.375 2.939 20 0 BFADHN CCCn1cc(CN2C[C@@H](C)C[C@H](C)C2)cn1 ZINC000158010528 326304055 /nfs/dbraw/zinc/30/40/55/326304055.db2.gz IJORMUNSHGPDSA-STQMWFEESA-N 0 3 235.375 2.771 20 0 BFADHN C[C@@H]1C[C@@H](NCc2noc3ccccc32)[C@@H]1C ZINC000568238563 326332239 /nfs/dbraw/zinc/33/22/39/326332239.db2.gz XNTQGYBVBMLVTN-CKYFFXLPSA-N 0 3 230.311 2.962 20 0 BFADHN CCC(CC)CNCc1nc(C)c(C)[nH]1 ZINC000568269280 326338022 /nfs/dbraw/zinc/33/80/22/326338022.db2.gz GAKBDDRQXAHGIP-UHFFFAOYSA-N 0 3 209.337 2.552 20 0 BFADHN C[C@H]1C[C@@H](NCc2ncccn2)CC(C)(C)C1 ZINC000568275756 326339539 /nfs/dbraw/zinc/33/95/39/326339539.db2.gz XQKVVPPXQCKCCM-NWDGAFQWSA-N 0 3 233.359 2.781 20 0 BFADHN CCC[C@@H](NC[C@@](C)(O)CC)c1ccccn1 ZINC000159048946 326348361 /nfs/dbraw/zinc/34/83/61/326348361.db2.gz URXLHSJODDADTF-OCCSQVGLSA-N 0 3 236.359 2.673 20 0 BFADHN Cc1nsc(C)c1CN1CC[C@@H](C2CC2)C1 ZINC000568408878 326355212 /nfs/dbraw/zinc/35/52/12/326355212.db2.gz DVQPEJSADDOGKT-GFCCVEGCSA-N 0 3 236.384 2.992 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1snnc1C ZINC000568516657 326362235 /nfs/dbraw/zinc/36/22/35/326362235.db2.gz IOBRJKBXPCBYNF-PSASIEDQSA-N 0 3 225.361 2.609 20 0 BFADHN CCN(Cc1ccno1)C1CC(C)(C)C1 ZINC000568602568 326367096 /nfs/dbraw/zinc/36/70/96/326367096.db2.gz YNZUYZDIGHQYQJ-UHFFFAOYSA-N 0 3 208.305 2.685 20 0 BFADHN CC/C=C\CN[C@@H](C)c1ccnc(OC)c1 ZINC000568664608 326371892 /nfs/dbraw/zinc/37/18/92/326371892.db2.gz WZQGBOIMQXPCFV-GZTOBOFZSA-N 0 3 220.316 2.707 20 0 BFADHN CCC1(NCc2ccc3c(n2)CCC3)CCC1 ZINC000568847895 326379037 /nfs/dbraw/zinc/37/90/37/326379037.db2.gz LIUGXFCEZBQXDE-UHFFFAOYSA-N 0 3 230.355 2.993 20 0 BFADHN Cc1cccc(CCN2CCC(CF)CC2)n1 ZINC000568876713 326380624 /nfs/dbraw/zinc/38/06/24/326380624.db2.gz DICFMBLJLSNGPY-UHFFFAOYSA-N 0 3 236.334 2.614 20 0 BFADHN CCC1(N[C@H](C)c2cn3ccccc3n2)CC1 ZINC000568880960 326381008 /nfs/dbraw/zinc/38/10/08/326381008.db2.gz OSWAERPOPQQMQR-LLVKDONJSA-N 0 3 229.327 2.928 20 0 BFADHN C1=C[C@H](N2CC[C@@H]3OCC[C@@H]3C2)CCCCC1 ZINC000568996887 326385658 /nfs/dbraw/zinc/38/56/58/326385658.db2.gz FXXPDZPYZSGCTB-ILXRZTDVSA-N 0 3 235.371 2.986 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H]1CCCc2c(O)cccc21 ZINC000569050365 326387927 /nfs/dbraw/zinc/38/79/27/326387927.db2.gz MQKDLEQPPBHQAS-GZBFAFLISA-N 0 3 247.338 2.537 20 0 BFADHN Cc1nc(CN[C@H]2CCCC[C@H]2C)[nH]c1C ZINC000569140126 326392378 /nfs/dbraw/zinc/39/23/78/326392378.db2.gz CXUATWROANVPPA-SKDRFNHKSA-N 0 3 221.348 2.695 20 0 BFADHN Cc1ncncc1[C@H](C)NCCc1cccs1 ZINC000572899544 326416767 /nfs/dbraw/zinc/41/67/67/326416767.db2.gz BTRGORBSKPEJJQ-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN C[C@@H](O)[C@H](C)NCc1ccc(C2CC2)cc1F ZINC000573111637 326430417 /nfs/dbraw/zinc/43/04/17/326430417.db2.gz KNUCQCLSAZEYNH-VHSXEESVSA-N 0 3 237.318 2.562 20 0 BFADHN c1ccc(C2CC2)c(CN[C@@H]2C[C@H]3CC[C@@H]2O3)c1 ZINC000158765201 487974502 /nfs/dbraw/zinc/97/45/02/487974502.db2.gz CZRACAZZHPNEFQ-BMFZPTHFSA-N 0 3 243.350 2.974 20 0 BFADHN Cc1cnccc1CN1CCC[C@@H](CCF)C1 ZINC000668591896 487977265 /nfs/dbraw/zinc/97/72/65/487977265.db2.gz JJSRSHHPHWJLQE-ZDUSSCGKSA-N 0 3 236.334 2.962 20 0 BFADHN CO[C@@H](CNCc1ccc(C)nc1)CC(C)C ZINC000418093091 487977159 /nfs/dbraw/zinc/97/71/59/487977159.db2.gz GGFUZXRCHXSYMM-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN CCOc1ccc(CNC2(C3CC3)CC2)nc1 ZINC000677150861 487984216 /nfs/dbraw/zinc/98/42/16/487984216.db2.gz VNIJOEKNWJHUMA-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN Cc1cccc(CN2C[C@@H]3COC[C@]3(C)C2)c1F ZINC000668597894 487985535 /nfs/dbraw/zinc/98/55/35/487985535.db2.gz VXDCUUWJOMVLIV-HIFRSBDPSA-N 0 3 249.329 2.602 20 0 BFADHN COc1ccc2c(c1)CCN(C[C@@H]1C[C@@H]1C)C2 ZINC000668604839 487998009 /nfs/dbraw/zinc/99/80/09/487998009.db2.gz USIFUNXQJSMFCP-FZMZJTMJSA-N 0 3 231.339 2.709 20 0 BFADHN CCCC[C@@H](CC)Cn1cc([C@H](N)CC)nn1 ZINC000229934962 487999200 /nfs/dbraw/zinc/99/92/00/487999200.db2.gz SJNWYHMHGZMUNR-VXGBXAGGSA-N 0 3 238.379 2.904 20 0 BFADHN Cc1ccncc1CNCC1=CCCC1 ZINC000401026896 488000218 /nfs/dbraw/zinc/00/02/18/488000218.db2.gz BNBANLFKEHSCBH-UHFFFAOYSA-N 0 3 202.301 2.590 20 0 BFADHN CC(C)[C@H](CNCc1ccco1)c1ccnn1C ZINC000682616344 488002281 /nfs/dbraw/zinc/00/22/81/488002281.db2.gz XSVPCVDJIQUSMO-ZDUSSCGKSA-N 0 3 247.342 2.543 20 0 BFADHN Cc1cc(CN2CCCCC[C@H]2C)nc(C)n1 ZINC000659924759 488002083 /nfs/dbraw/zinc/00/20/83/488002083.db2.gz DPWGVNWLQQBWIK-GFCCVEGCSA-N 0 3 233.359 2.858 20 0 BFADHN C[C@@H]1C[C@@H]1CN(C)Cc1cc(Cl)cn1C ZINC000488361667 533713553 /nfs/dbraw/zinc/71/35/53/533713553.db2.gz XFIBETPMPGZWDW-NXEZZACHSA-N 0 3 226.751 2.766 20 0 BFADHN COc1cccc2c1CN(C1CCCC1)CC2 ZINC000074450693 488007260 /nfs/dbraw/zinc/00/72/60/488007260.db2.gz MHFAZBVVQIHLGM-UHFFFAOYSA-N 0 3 231.339 2.996 20 0 BFADHN Oc1cccc(CNCC2=CCCC2)c1 ZINC000401105129 488008005 /nfs/dbraw/zinc/00/80/05/488008005.db2.gz IABBTCVWOXDFMW-UHFFFAOYSA-N 0 3 203.285 2.592 20 0 BFADHN c1ccc2c(c1)CCN(CCC[C@@H]1CCOC1)C2 ZINC000682672368 488008702 /nfs/dbraw/zinc/00/87/02/488008702.db2.gz YULKGVXAAGEXSV-CQSZACIVSA-N 0 3 245.366 2.861 20 0 BFADHN Cc1ccncc1CN[C@@H](C)[C@H]1CC1(F)F ZINC000666444956 488011615 /nfs/dbraw/zinc/01/16/15/488011615.db2.gz MPKZBXJVQNQUGM-GXSJLCMTSA-N 0 3 226.270 2.523 20 0 BFADHN COc1ccccc1CN[C@H](C)[C@H]1CC1(F)F ZINC000666445070 488011841 /nfs/dbraw/zinc/01/18/41/488011841.db2.gz ORDZWALSDFIJSZ-MWLCHTKSSA-N 0 3 241.281 2.829 20 0 BFADHN C[C@H](NCc1cccc(F)c1)[C@H]1CC1(F)F ZINC000666445096 488011379 /nfs/dbraw/zinc/01/13/79/488011379.db2.gz PCGBKTSBKSSKGI-GZMMTYOYSA-N 0 3 229.245 2.959 20 0 BFADHN CCc1ccc(CN[C@H](C)Cc2ccccn2)o1 ZINC000655842666 488011953 /nfs/dbraw/zinc/01/19/53/488011953.db2.gz DMEMOACHWNMEFK-GFCCVEGCSA-N 0 3 244.338 2.958 20 0 BFADHN Cc1ccncc1CN[C@H](C)Cc1ccccn1 ZINC000655842799 488012380 /nfs/dbraw/zinc/01/23/80/488012380.db2.gz NIKIXELQUJQDME-CYBMUJFWSA-N 0 3 241.338 2.506 20 0 BFADHN CCC1(C)CCN(Cc2cc(C)nn2C)CC1 ZINC000417754017 488013959 /nfs/dbraw/zinc/01/39/59/488013959.db2.gz VDXYRVCIEKYBDX-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN C[C@H]1COCCCN1Cc1ccc2cc[nH]c2c1 ZINC000529530709 488014036 /nfs/dbraw/zinc/01/40/36/488014036.db2.gz IUTYWFALVAGBRN-LBPRGKRZSA-N 0 3 244.338 2.779 20 0 BFADHN CCC[C@@H](N)c1cn(CCC(C)(C)C)nn1 ZINC000229962056 488015316 /nfs/dbraw/zinc/01/53/16/488015316.db2.gz FSYDFICYJCUUMA-SNVBAGLBSA-N 0 3 224.352 2.514 20 0 BFADHN CCCN(CC)Cc1c(C2CCC2)cnn1C ZINC000667463110 488015109 /nfs/dbraw/zinc/01/51/09/488015109.db2.gz CVPFVIRVUWCSOB-UHFFFAOYSA-N 0 3 235.375 2.920 20 0 BFADHN Cc1ncc(CN([C@@H](C)C(C)C)C2CC2)n1C ZINC000667470605 488015944 /nfs/dbraw/zinc/01/59/44/488015944.db2.gz DBNGGIWSYTWIID-NSHDSACASA-N 0 3 235.375 2.737 20 0 BFADHN CCC(C)(C)NCc1cn2cccc(F)c2n1 ZINC000677320574 488016366 /nfs/dbraw/zinc/01/63/66/488016366.db2.gz FZRWQSSOZWZZLK-UHFFFAOYSA-N 0 3 235.306 2.752 20 0 BFADHN CN(CCO)Cc1cccc(C2CCCC2)c1 ZINC000667471914 488016910 /nfs/dbraw/zinc/01/69/10/488016910.db2.gz PULBXYZSBLYGOQ-UHFFFAOYSA-N 0 3 233.355 2.768 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2c(C3CC3)cnn2C)C1 ZINC000667472287 488017065 /nfs/dbraw/zinc/01/70/65/488017065.db2.gz BLSZGKDWEWPWPH-RYUDHWBXSA-N 0 3 247.386 2.775 20 0 BFADHN CCN(Cc1cc(C)nn1C)[C@H](C)C(C)C ZINC000417756132 488017595 /nfs/dbraw/zinc/01/75/95/488017595.db2.gz RVJFWFNUZVLERG-GFCCVEGCSA-N 0 3 223.364 2.595 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2c(C3CC3)cnn2C)C1 ZINC000667472289 488017119 /nfs/dbraw/zinc/01/71/19/488017119.db2.gz BLSZGKDWEWPWPH-VXGBXAGGSA-N 0 3 247.386 2.775 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc3cccnc3c2)CO1 ZINC000647253354 488017742 /nfs/dbraw/zinc/01/77/42/488017742.db2.gz KXRVYTCHNJTXOZ-SMDDNHRTSA-N 0 3 242.322 2.502 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCOC2)c(Cl)c1 ZINC000235877183 488027828 /nfs/dbraw/zinc/02/78/28/488027828.db2.gz OTRODQJAULKKGW-NSHDSACASA-N 0 3 239.746 2.775 20 0 BFADHN FC1(CN2CCC([C@H]3CCCCO3)CC2)CC1 ZINC000668627890 488028768 /nfs/dbraw/zinc/02/87/68/488028768.db2.gz NJIDFXRFGPKWLY-CYBMUJFWSA-N 0 3 241.350 2.770 20 0 BFADHN O[C@H]1CCCC[C@@H]1CN1Cc2ccccc2C1 ZINC000053884319 488029240 /nfs/dbraw/zinc/02/92/40/488029240.db2.gz VOSKDBQROFTNHR-CABCVRRESA-N 0 3 231.339 2.553 20 0 BFADHN CCCCN(Cc1cnc2n1CCC2)C1CC1 ZINC000667500583 488030995 /nfs/dbraw/zinc/03/09/95/488030995.db2.gz UTCQOKNQYMXXNN-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN CCC[C@@H](C)N(C)Cc1ccnn1CC1CC1 ZINC000667506643 488032770 /nfs/dbraw/zinc/03/27/70/488032770.db2.gz KQTNGFPCUQVXJR-GFCCVEGCSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN(C)C[C@H](O)C2CC2)o1 ZINC000685460574 488037792 /nfs/dbraw/zinc/03/77/92/488037792.db2.gz BJAZHHVEKBBJBW-HONMWMINSA-N 0 3 249.354 2.606 20 0 BFADHN CC[C@H](C)CN(C)Cc1ccnn1CC1CC1 ZINC000667530410 488038414 /nfs/dbraw/zinc/03/84/14/488038414.db2.gz YJMDJTYHUXMPIS-LBPRGKRZSA-N 0 3 235.375 2.771 20 0 BFADHN CCn1ccc(CN(C)CCCOC(C)C)c1 ZINC000682778576 488039588 /nfs/dbraw/zinc/03/95/88/488039588.db2.gz LMERLIXTTFTEHW-UHFFFAOYSA-N 0 3 238.375 2.755 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCO[C@H](C)C2)nc1 ZINC000682787598 488040364 /nfs/dbraw/zinc/04/03/64/488040364.db2.gz JTFQTXLUIBUYKN-ZIAGYGMSSA-N 0 3 248.370 2.637 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CCCC(C)(C)C1 ZINC000667533348 488040438 /nfs/dbraw/zinc/04/04/38/488040438.db2.gz OMOUSWAFNBEUKV-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN COCc1csc(CN(C)CC2CC2)c1 ZINC000667534445 488040524 /nfs/dbraw/zinc/04/05/24/488040524.db2.gz YMWWRBNHOUSMIS-UHFFFAOYSA-N 0 3 225.357 2.736 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCO[C@H](C)C2)nc1 ZINC000682787593 488040649 /nfs/dbraw/zinc/04/06/49/488040649.db2.gz JTFQTXLUIBUYKN-KGLIPLIRSA-N 0 3 248.370 2.637 20 0 BFADHN Fc1ccc(OC(F)F)c(CNC2CC2)c1 ZINC000236157734 488043579 /nfs/dbraw/zinc/04/35/79/488043579.db2.gz VBGYMJIRGFHECC-UHFFFAOYSA-N 0 3 231.217 2.679 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCC[C@H]1CCOC1 ZINC000682806079 488043547 /nfs/dbraw/zinc/04/35/47/488043547.db2.gz WUQAZPJAQWQXOC-KBPBESRZSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@H]1CCN(Cc2c(C3CCC3)cnn2C)C1 ZINC000667553979 488045146 /nfs/dbraw/zinc/04/51/46/488045146.db2.gz HFDPEFCWXQNQHG-NSHDSACASA-N 0 3 233.359 2.529 20 0 BFADHN C[C@@H](N[C@H]1COC(C)(C)C1)c1ccsc1 ZINC000396878513 488046344 /nfs/dbraw/zinc/04/63/44/488046344.db2.gz NPTBQBFCCVSLCP-MWLCHTKSSA-N 0 3 225.357 2.966 20 0 BFADHN COCCCN(C)Cc1cc2ccc(C)cc2[nH]1 ZINC000667559660 488046978 /nfs/dbraw/zinc/04/69/78/488046978.db2.gz CNQGKPLYCWOVJN-UHFFFAOYSA-N 0 3 246.354 2.945 20 0 BFADHN Cc1ncc(CN2CCC(C(C)(C)C)CC2)n1C ZINC000667567210 488048813 /nfs/dbraw/zinc/04/88/13/488048813.db2.gz SGCUFUDLIMNXMB-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1ncc(CN2CCC[C@H]2C(C)(C)C)n1C ZINC000667590052 488053899 /nfs/dbraw/zinc/05/38/99/488053899.db2.gz JYFNYVJKNPEYRX-ZDUSSCGKSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1ncc(CN2CC[C@H](CC(C)C)C2)n1C ZINC000667621603 488062040 /nfs/dbraw/zinc/06/20/40/488062040.db2.gz PNLWZYFBZNIDEI-CYBMUJFWSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@@H](C)O[C@@H](C)C1 ZINC000661857623 488064477 /nfs/dbraw/zinc/06/44/77/488064477.db2.gz PQCGWPCLBCQFOX-FRRDWIJNSA-N 0 3 234.343 2.642 20 0 BFADHN C[C@@H](c1cccnc1)N1CC[C@@H](C)O[C@@H](C)C1 ZINC000661857622 488064539 /nfs/dbraw/zinc/06/45/39/488064539.db2.gz PQCGWPCLBCQFOX-AGIUHOORSA-N 0 3 234.343 2.642 20 0 BFADHN COCC1(CN2CCC[C@@](C)(F)C2)CCC1 ZINC000451112194 488074579 /nfs/dbraw/zinc/07/45/79/488074579.db2.gz HKOTWOBIKYWNOE-GFCCVEGCSA-N 0 3 229.339 2.627 20 0 BFADHN CC(C)N1CCN(C[C@@H](C)c2ccccc2)CC1 ZINC000075734576 488075401 /nfs/dbraw/zinc/07/54/01/488075401.db2.gz LCUPNVIFZUTEBH-OAHLLOKOSA-N 0 3 246.398 2.816 20 0 BFADHN Cc1cc(CNCCC2CCCCC2)ncn1 ZINC000682997063 488081740 /nfs/dbraw/zinc/08/17/40/488081740.db2.gz FXTAYKTUWXVIMQ-UHFFFAOYSA-N 0 3 233.359 2.845 20 0 BFADHN Cc1cc(CN(C)C)cc(NC(=O)[C@H]2C[C@@H]2C)c1 ZINC000677600015 488082633 /nfs/dbraw/zinc/08/26/33/488082633.db2.gz RQKDXCIMVOCSKY-FZMZJTMJSA-N 0 3 246.354 2.651 20 0 BFADHN CCn1ccc(CN(C)Cc2ccc(O)cc2)c1 ZINC000682999988 488083206 /nfs/dbraw/zinc/08/32/06/488083206.db2.gz PDHLANZOMORJAK-UHFFFAOYSA-N 0 3 244.338 2.846 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cnc(C)n2C)C1 ZINC000667673738 488083719 /nfs/dbraw/zinc/08/37/19/488083719.db2.gz LFPBSBHJFFJBLL-CYBMUJFWSA-N 0 3 235.375 2.741 20 0 BFADHN CC[C@@]1(C)CCN(Cc2c(C3CC3)cnn2C)C1 ZINC000667682250 488084140 /nfs/dbraw/zinc/08/41/40/488084140.db2.gz FEQSYVWVKVLCDC-HNNXBMFYSA-N 0 3 247.386 2.920 20 0 BFADHN Cc1ccnc(CN2CCC[C@@H](C)C2)c1 ZINC000683003169 488084715 /nfs/dbraw/zinc/08/47/15/488084715.db2.gz MOJNOKDZXJLCIJ-GFCCVEGCSA-N 0 3 204.317 2.622 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cnc2n1CCC2 ZINC000667688447 488087531 /nfs/dbraw/zinc/08/75/31/488087531.db2.gz SEVZFSMIWCQCFT-GFCCVEGCSA-N 0 3 235.375 2.697 20 0 BFADHN CCCn1nccc1CN1CC[C@@H](C)[C@H]1C ZINC000667693617 488089214 /nfs/dbraw/zinc/08/92/14/488089214.db2.gz QHXMDIXYPJIPDL-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN CCCn1nccc1CN1CC[C@H](C)[C@H]1C ZINC000667693615 488089353 /nfs/dbraw/zinc/08/93/53/488089353.db2.gz QHXMDIXYPJIPDL-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN CCN(Cc1cc(C)ccn1)CC1CC1 ZINC000683010123 488089537 /nfs/dbraw/zinc/08/95/37/488089537.db2.gz WQBKQTDZQIPDJY-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCCc1ccccn1 ZINC000092339379 488090917 /nfs/dbraw/zinc/09/09/17/488090917.db2.gz NVLFUEMYNZSXOM-JTQLQIEISA-N 0 3 245.326 2.580 20 0 BFADHN Cc1ccnc(CN2CCC3(CC3)CC2)c1 ZINC000683019909 488096292 /nfs/dbraw/zinc/09/62/92/488096292.db2.gz XGEGHOMVVLBCGL-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CC/C=C/CCN1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000348203974 488096714 /nfs/dbraw/zinc/09/67/14/488096714.db2.gz CZAGKNLFGHFGFJ-VSYHDTTKSA-N 0 3 223.360 2.842 20 0 BFADHN COCc1csc(CN2CCCCC2)c1 ZINC000667712652 488097586 /nfs/dbraw/zinc/09/75/86/488097586.db2.gz MDIUJTUMUSWZSH-UHFFFAOYSA-N 0 3 225.357 2.880 20 0 BFADHN Cc1ccncc1CN1CCC2(CC2)CC1 ZINC000677716475 488098529 /nfs/dbraw/zinc/09/85/29/488098529.db2.gz GVFDMJNCJNMEKL-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CCc1ccc(CN2CC[C@@H]3C[C@@H]3C2)o1 ZINC000668677639 488101944 /nfs/dbraw/zinc/10/19/44/488101944.db2.gz UOJHIWMMHIRCCQ-GHMZBOCLSA-N 0 3 205.301 2.684 20 0 BFADHN Cc1ccc(CN(C)CC2(C)COC2)cc1F ZINC000683046986 488104306 /nfs/dbraw/zinc/10/43/06/488104306.db2.gz YEFWLHPHNFXEPE-UHFFFAOYSA-N 0 3 237.318 2.602 20 0 BFADHN Cc1ncsc1CCN1CC[C@H](C(F)F)C1 ZINC000677730010 488108714 /nfs/dbraw/zinc/10/87/14/488108714.db2.gz IZHCZLWTQMVTAF-VIFPVBQESA-N 0 3 246.326 2.581 20 0 BFADHN C[C@H](c1ccccn1)N1CC[C@H](C(F)F)C1 ZINC000677731529 488109912 /nfs/dbraw/zinc/10/99/12/488109912.db2.gz SRRVYIGNTZAWGE-ZJUUUORDSA-N 0 3 226.270 2.730 20 0 BFADHN CC[C@H](C)CN1CC2(C1)CC(F)(F)C2 ZINC000677732441 488109413 /nfs/dbraw/zinc/10/94/13/488109413.db2.gz GFLSVOHGKSYODI-VIFPVBQESA-N 0 3 203.276 2.764 20 0 BFADHN CC[C@@H](C)CN1CC2(C1)CC(F)(F)C2 ZINC000677732439 488110375 /nfs/dbraw/zinc/11/03/75/488110375.db2.gz GFLSVOHGKSYODI-SECBINFHSA-N 0 3 203.276 2.764 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C2CC2)C1)c1ccccc1 ZINC000667763797 488111592 /nfs/dbraw/zinc/11/15/92/488111592.db2.gz JISJNTBIOFIFJE-GLQYFDAESA-N 0 3 231.339 2.905 20 0 BFADHN C[C@H]1C[C@@H]1CNC(C)(C)C(=O)Nc1ccccc1 ZINC000683109558 488111638 /nfs/dbraw/zinc/11/16/38/488111638.db2.gz KYPKHFLFVWKHSX-NWDGAFQWSA-N 0 3 246.354 2.649 20 0 BFADHN C[C@H](N[C@H]1CO[C@@H](C2CC2)C1)c1cccs1 ZINC000667764866 488112833 /nfs/dbraw/zinc/11/28/33/488112833.db2.gz KGMFSOIGQVSNPW-MVWJERBFSA-N 0 3 237.368 2.966 20 0 BFADHN FC(F)[C@H]1CCN(CCC(F)(F)F)C1 ZINC000677735548 488113767 /nfs/dbraw/zinc/11/37/67/488113767.db2.gz CSIWOGMADMJDNU-LURJTMIESA-N 0 3 217.181 2.526 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@H](C3CC3)C2)s1 ZINC000667790148 488121910 /nfs/dbraw/zinc/12/19/10/488121910.db2.gz JZEMEYKJXINGIC-YPMHNXCESA-N 0 3 237.368 2.714 20 0 BFADHN C[C@@H](O)C1(NCc2ccc(C(C)(C)C)cc2)CC1 ZINC000668696088 488125872 /nfs/dbraw/zinc/12/58/72/488125872.db2.gz MCCJMYMDXWVTBM-GFCCVEGCSA-N 0 3 247.382 2.987 20 0 BFADHN C[C@H](O)C1(NCc2ccc(C(C)(C)C)cc2)CC1 ZINC000668696089 488125958 /nfs/dbraw/zinc/12/59/58/488125958.db2.gz MCCJMYMDXWVTBM-LBPRGKRZSA-N 0 3 247.382 2.987 20 0 BFADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1cn[nH]c1 ZINC000677811421 488128743 /nfs/dbraw/zinc/12/87/43/488128743.db2.gz NLEOZWMRVPVCSZ-ABAIWWIYSA-N 0 3 241.338 2.823 20 0 BFADHN C/C(=C/c1ccccc1)CNC1([C@@H](C)O)CC1 ZINC000668697975 488128835 /nfs/dbraw/zinc/12/88/35/488128835.db2.gz QLHPCRNGGVNARY-KXXVWKPMSA-N 0 3 231.339 2.593 20 0 BFADHN COc1ccc(CN2C[C@@H]3CCCC[C@@H]3C2)nc1 ZINC000335750203 488131029 /nfs/dbraw/zinc/13/10/29/488131029.db2.gz VMZNVYSWKXJHJC-BETUJISGSA-N 0 3 246.354 2.712 20 0 BFADHN CCCc1cccc(CNC2([C@H](C)O)CC2)c1 ZINC000668700029 488132981 /nfs/dbraw/zinc/13/29/81/488132981.db2.gz ABSSQECWMBZDLV-LBPRGKRZSA-N 0 3 233.355 2.642 20 0 BFADHN CC(C)n1ccc(COc2ccccc2CN)n1 ZINC000085160606 488133671 /nfs/dbraw/zinc/13/36/71/488133671.db2.gz GFVGPUQVGVWYKB-UHFFFAOYSA-N 0 3 245.326 2.502 20 0 BFADHN Cc1ncccc1CNC1CC(OC(C)(C)C)C1 ZINC000680149447 487540632 /nfs/dbraw/zinc/54/06/32/487540632.db2.gz OFIXLWPZPAUGPZ-UHFFFAOYSA-N 0 3 248.370 2.826 20 0 BFADHN CCCn1nccc1CN1C[C@H](C)[C@H](C)[C@H]1C ZINC000668242893 487540824 /nfs/dbraw/zinc/54/08/24/487540824.db2.gz NMKHTHYZZHEJND-RWMBFGLXSA-N 0 3 235.375 2.769 20 0 BFADHN C[C@@H]1CCC[C@@H](N[C@H]2CCCn3ccnc32)C1 ZINC000655701728 487543297 /nfs/dbraw/zinc/54/32/97/487543297.db2.gz YTUQTEZRKKGNRI-UPJWGTAASA-N 0 3 233.359 2.886 20 0 BFADHN CCC[C@@H](C)N(C)Cc1oc(C)nc1C ZINC000685561166 487543681 /nfs/dbraw/zinc/54/36/81/487543681.db2.gz LMILFDCNHDAQQG-SECBINFHSA-N 0 3 210.321 2.912 20 0 BFADHN Cc1nc(C)c(CN2C[C@H](C)CC[C@@H]2C)o1 ZINC000685565564 487546612 /nfs/dbraw/zinc/54/66/12/487546612.db2.gz GFTYFHBNEYMWEQ-ZJUUUORDSA-N 0 3 222.332 2.912 20 0 BFADHN Cc1nc(C)c(CN2CCC(C)(C)C2)o1 ZINC000685565577 487547051 /nfs/dbraw/zinc/54/70/51/487547051.db2.gz IATKUVMKDQATAU-UHFFFAOYSA-N 0 3 208.305 2.523 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H](C(C)C)C2)o1 ZINC000685566779 487548614 /nfs/dbraw/zinc/54/86/14/487548614.db2.gz JFOMNQLFQSPBCL-GFCCVEGCSA-N 0 3 222.332 2.769 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H](C)[C@H](C)C2)o1 ZINC000685570341 487551291 /nfs/dbraw/zinc/55/12/91/487551291.db2.gz JYMSTKXMOWQMIY-NXEZZACHSA-N 0 3 222.332 2.769 20 0 BFADHN COC[C@H](NCc1ccc(C)c(F)c1)C1CC1 ZINC000119105478 487530552 /nfs/dbraw/zinc/53/05/52/487530552.db2.gz JWYWFQZWRXQPJJ-AWEZNQCLSA-N 0 3 237.318 2.649 20 0 BFADHN C[C@H]1c2ccccc2OCCN1[C@H]1CCCOC1 ZINC000674301794 487554412 /nfs/dbraw/zinc/55/44/12/487554412.db2.gz VNDIIGLXPLSFJU-STQMWFEESA-N 0 3 247.338 2.621 20 0 BFADHN C[C@@H]1c2ccccc2OCCN1[C@H]1CCCOC1 ZINC000674301791 487554947 /nfs/dbraw/zinc/55/49/47/487554947.db2.gz VNDIIGLXPLSFJU-OLZOCXBDSA-N 0 3 247.338 2.621 20 0 BFADHN C[C@@H]1CCN(Cc2ccnn2CC2CC2)[C@H](C)C1 ZINC000668250289 487555424 /nfs/dbraw/zinc/55/54/24/487555424.db2.gz PGNYJCKAXRHQJF-CHWSQXEVSA-N 0 3 247.386 2.914 20 0 BFADHN Cc1ccc([C@@H]2CCCN2[C@@H]2CCCOC2)o1 ZINC000674303103 487556143 /nfs/dbraw/zinc/55/61/43/487556143.db2.gz ULWSMRNUSDBBNI-OLZOCXBDSA-N 0 3 235.327 2.904 20 0 BFADHN CC[C@H](C(N)=O)N1CCC[C@@]2(CCSC2)C1 ZINC000334657408 487533002 /nfs/dbraw/zinc/53/30/02/487533002.db2.gz WCIWGDRJXAONRJ-ZYHUDNBSSA-N 0 3 242.388 2.519 20 0 BFADHN CCc1noc(CN2C[C@H](C)[C@@H]3CCCC[C@H]32)n1 ZINC000679861667 487478025 /nfs/dbraw/zinc/47/80/25/487478025.db2.gz BHHFQYWARLKIQT-SDDRHHMPSA-N 0 3 249.358 2.643 20 0 BFADHN Cc1ncc([C@H](C)N2CCC[C@@H](C)C2)c(C)n1 ZINC000681640111 487479314 /nfs/dbraw/zinc/47/93/14/487479314.db2.gz WTLGZCMNBPIXAX-PWSUYJOCSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2cnc(C)n2C)C1 ZINC000668227031 487487305 /nfs/dbraw/zinc/48/73/05/487487305.db2.gz ZUINWBXLKZIRBO-WCQYABFASA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@H]1CN([C@H](C)c2ccncc2)CCCO1 ZINC000685006897 487491178 /nfs/dbraw/zinc/49/11/78/487491178.db2.gz FNPGVNXSIUEVAY-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H](N[C@@H](C)C[C@H](C)O)c1cc2cnccc2o1 ZINC000657971760 487495304 /nfs/dbraw/zinc/49/53/04/487495304.db2.gz WPQHFHGBODJFEE-GARJFASQSA-N 0 3 248.326 2.638 20 0 BFADHN CC(C)(O)CN1CC(C)(C)[C@@H]1c1ccccc1 ZINC000674010383 487495433 /nfs/dbraw/zinc/49/54/33/487495433.db2.gz QRHRZWIROKMVII-ZDUSSCGKSA-N 0 3 233.355 2.840 20 0 BFADHN C[C@H](CC(F)(F)F)N[C@@H]1COC2(CCC2)C1 ZINC000657975944 487501454 /nfs/dbraw/zinc/50/14/54/487501454.db2.gz GKKFYKJLIRKRTD-BDAKNGLRSA-N 0 3 237.265 2.629 20 0 BFADHN Cc1cnn(C)c1CNCc1cc(C)cc(C)c1 ZINC000394067595 487504734 /nfs/dbraw/zinc/50/47/34/487504734.db2.gz NKEFKTAGUWXMMI-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN CC1(C)CCCN1C(=O)Nc1cccc(CN)c1 ZINC000063074290 487511439 /nfs/dbraw/zinc/51/14/39/487511439.db2.gz QEBFLLXQLVWDPK-UHFFFAOYSA-N 0 3 247.342 2.552 20 0 BFADHN Cc1noc(C)c1CNC[C@H](C)c1ccncc1 ZINC000674071005 487510396 /nfs/dbraw/zinc/51/03/96/487510396.db2.gz UHWAZGCCPOHIAV-JTQLQIEISA-N 0 3 245.326 2.580 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2C[C@H]3CC[C@@H]2O3)c1 ZINC000177342346 487515660 /nfs/dbraw/zinc/51/56/60/487515660.db2.gz KTYZKBQAUIFVJD-QOWREQOWSA-N 0 3 247.338 2.666 20 0 BFADHN FC(F)C1CN(C[C@@H]2C[C@@H]2c2ccccc2)C1 ZINC000669571621 487522365 /nfs/dbraw/zinc/52/23/65/487522365.db2.gz WCDLCJJYWNEYIJ-WCQYABFASA-N 0 3 237.293 2.987 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1ccc(O)cc1 ZINC000313464528 487525495 /nfs/dbraw/zinc/52/54/95/487525495.db2.gz BQSLJALMJAODHY-AWEZNQCLSA-N 0 3 249.354 2.783 20 0 BFADHN CC(C)CCN(C)Cc1cncc(F)c1 ZINC000681750439 487535281 /nfs/dbraw/zinc/53/52/81/487535281.db2.gz GMSOESBAXMTWFY-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CN(C)Cc1c[nH]cn1 ZINC000668422179 487727902 /nfs/dbraw/zinc/72/79/02/487727902.db2.gz SHSRYNOEXCMMTD-TZMCWYRMSA-N 0 3 233.359 2.834 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CN(C)Cc1cnc[nH]1 ZINC000668422179 487727907 /nfs/dbraw/zinc/72/79/07/487727907.db2.gz SHSRYNOEXCMMTD-TZMCWYRMSA-N 0 3 233.359 2.834 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H]1CCCc2ccc(O)cc21 ZINC000284929007 487732581 /nfs/dbraw/zinc/73/25/81/487732581.db2.gz DKYVPLKZBPJFAW-RWSFTLGLSA-N 0 3 249.354 2.783 20 0 BFADHN CCc1nc(CN(C2CC2)C2CCCCC2)n[nH]1 ZINC000681208524 487748167 /nfs/dbraw/zinc/74/81/67/487748167.db2.gz BCTLKABFZZBFPL-UHFFFAOYSA-N 0 3 248.374 2.664 20 0 BFADHN Cc1ccc([C@H](C)NCCC(C)(C)O)c(C)n1 ZINC000681401020 487792287 /nfs/dbraw/zinc/79/22/87/487792287.db2.gz RLBYKIXRRVQCPV-NSHDSACASA-N 0 3 236.359 2.510 20 0 BFADHN C[C@H](CN1CCOCC12CC2)C(C)(C)C ZINC000670273144 487895770 /nfs/dbraw/zinc/89/57/70/487895770.db2.gz QISKRXNXLOKWJB-LLVKDONJSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1ccc2oc(CN[C@@H]3CCOC3)cc2c1 ZINC000676906365 487945424 /nfs/dbraw/zinc/94/54/24/487945424.db2.gz UCUYMHASFDKELQ-GFCCVEGCSA-N 0 3 231.295 2.620 20 0 BFADHN Cc1noc([C@H](C)N2CC[C@@H](C)C[C@@H](C)C2)n1 ZINC000676904569 487945499 /nfs/dbraw/zinc/94/54/99/487945499.db2.gz JVELMHWNYVYDQQ-MXWKQRLJSA-N 0 3 237.347 2.807 20 0 BFADHN CC(C)OC1CCN(Cc2ccc(O)cc2)CC1 ZINC000682405471 487949633 /nfs/dbraw/zinc/94/96/33/487949633.db2.gz ROMVJUNIQXQEMY-UHFFFAOYSA-N 0 3 249.354 2.782 20 0 BFADHN Cc1cccc(CN2C[C@@H]3COC[C@@]3(C)C2)c1F ZINC000668597895 487985987 /nfs/dbraw/zinc/98/59/87/487985987.db2.gz VXDCUUWJOMVLIV-UKRRQHHQSA-N 0 3 249.329 2.602 20 0 BFADHN CCCc1cccc(CN2CC[C@@]3(O)C[C@H]3C2)c1 ZINC000668600568 487991287 /nfs/dbraw/zinc/99/12/87/487991287.db2.gz UHJNRFCTVOUAAX-JKSUJKDBSA-N 0 3 245.366 2.596 20 0 BFADHN CN(Cc1c(C2CC2)cnn1C)C1CCCC1 ZINC000667492151 488025994 /nfs/dbraw/zinc/02/59/94/488025994.db2.gz YDOWJDWJKBQIOR-UHFFFAOYSA-N 0 3 233.359 2.672 20 0 BFADHN COc1cc(F)cc(CN2CC[C@@H]3C[C@@H]3C2)c1 ZINC000668678349 488104264 /nfs/dbraw/zinc/10/42/64/488104264.db2.gz ITIQNLPOEKYHCH-VXGBXAGGSA-N 0 3 235.302 2.676 20 0 BFADHN Cc1nc(CNC[C@H](C)c2ccncc2)cs1 ZINC000674070981 488136011 /nfs/dbraw/zinc/13/60/11/488136011.db2.gz UAPNGIFAYFQFLH-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN C[C@@H](O)C1(NCc2cccc(C3CC3)c2)CC1 ZINC000668702246 488138654 /nfs/dbraw/zinc/13/86/54/488138654.db2.gz ZISQZDQUITYQNQ-LLVKDONJSA-N 0 3 231.339 2.567 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1ccc2cccnc2c1 ZINC000677853721 488141387 /nfs/dbraw/zinc/14/13/87/488141387.db2.gz GZJJCAZTOUEHMO-SMDDNHRTSA-N 0 3 242.322 2.502 20 0 BFADHN C(=C\c1ccccc1)\CN[C@@H]1CO[C@@H](C2CC2)C1 ZINC000667865883 488141790 /nfs/dbraw/zinc/14/17/90/488141790.db2.gz LWMSJGPPFQGOAZ-CGXDKWGCSA-N 0 3 243.350 2.857 20 0 BFADHN C[C@H](c1cccc(F)c1)N(C)C[C@@H](O)C1CC1 ZINC000677891715 488146947 /nfs/dbraw/zinc/14/69/47/488146947.db2.gz DUUJESARKVLPIK-QMTHXVAHSA-N 0 3 237.318 2.589 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@H](C)[C@@H]1C[C@H]1C ZINC000666087404 488147952 /nfs/dbraw/zinc/14/79/52/488147952.db2.gz PBFVVNDLFXPGBV-RAIGVLPGSA-N 0 3 248.370 2.841 20 0 BFADHN Cc1cc(CN2CC[C@H]3COC[C@@H]3C2)ccc1F ZINC000668707325 488148030 /nfs/dbraw/zinc/14/80/30/488148030.db2.gz ZFOMAYCZNQBHLT-KBPBESRZSA-N 0 3 249.329 2.602 20 0 BFADHN C[C@H](c1ccc(F)cc1)N(C)C[C@@H](O)C1CC1 ZINC000677892642 488150840 /nfs/dbraw/zinc/15/08/40/488150840.db2.gz PSZYGLKTLJWSIC-QMTHXVAHSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1cc(CN2[C@@H](C)CCC[C@H]2CO)cs1 ZINC000518995960 488158887 /nfs/dbraw/zinc/15/88/87/488158887.db2.gz PCYSVPCBUYYYMQ-GWCFXTLKSA-N 0 3 239.384 2.792 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCc3cccnc32)CS1 ZINC000397858981 488159377 /nfs/dbraw/zinc/15/93/77/488159377.db2.gz NOLNDNIYQPHYCF-WXHSDQCUSA-N 0 3 248.395 2.943 20 0 BFADHN CC[C@H](NCc1csc(C)n1)[C@@H]1C[C@@H]1C ZINC000321971615 488163410 /nfs/dbraw/zinc/16/34/10/488163410.db2.gz AZXNQZUZCAAEQZ-AXTRIDKLSA-N 0 3 224.373 2.976 20 0 BFADHN CC[C@H](N[C@H](C)c1cc(C)on1)C1CC1 ZINC000379974241 488163847 /nfs/dbraw/zinc/16/38/47/488163847.db2.gz CQYLQIBFJASTAP-KOLCDFICSA-N 0 3 208.305 2.822 20 0 BFADHN CC(C)c1cc(CN[C@H](C)[C@@H]2C[C@H]2C)on1 ZINC000666091104 488164550 /nfs/dbraw/zinc/16/45/50/488164550.db2.gz ZKRUWNAAZSPHPW-CKYFFXLPSA-N 0 3 222.332 2.932 20 0 BFADHN CCCCN1CCN(c2ccc(F)cc2)CC1 ZINC000060217764 488170595 /nfs/dbraw/zinc/17/05/95/488170595.db2.gz ORTPQJIZEMPJIL-UHFFFAOYSA-N 0 3 236.334 2.748 20 0 BFADHN Cc1ncc(CN(C)C[C@@H]2CC=CCC2)n1C ZINC000667970656 488171700 /nfs/dbraw/zinc/17/17/00/488171700.db2.gz PWAXEBWZVVVFQH-CYBMUJFWSA-N 0 3 233.359 2.517 20 0 BFADHN CCc1cccc2cc(CN(C)C[C@@H](C)O)oc21 ZINC000667977830 488174424 /nfs/dbraw/zinc/17/44/24/488174424.db2.gz KVRPKKDDRKYDQA-LLVKDONJSA-N 0 3 247.338 2.808 20 0 BFADHN CCCn1nccc1CN1CCCC[C@H](C)C1 ZINC000668001452 488180446 /nfs/dbraw/zinc/18/04/46/488180446.db2.gz QBUUUQRPSXPUCY-ZDUSSCGKSA-N 0 3 235.375 2.915 20 0 BFADHN COC[C@@H](C)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000381389652 488194400 /nfs/dbraw/zinc/19/44/00/488194400.db2.gz NRGIBOKMWUBSIA-FOGDFJRCSA-N 0 3 223.316 2.528 20 0 BFADHN COC[C@@H](C)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000381389650 488194473 /nfs/dbraw/zinc/19/44/73/488194473.db2.gz NRGIBOKMWUBSIA-CKYFFXLPSA-N 0 3 223.316 2.528 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@H](C)[C@H]2C)n1C ZINC000668047855 488195118 /nfs/dbraw/zinc/19/51/18/488195118.db2.gz NUKBMQJLBLTZMC-GRYCIOLGSA-N 0 3 235.375 2.595 20 0 BFADHN C[C@@H]1CC2(CCC2)CN1Cc1cnn2c1CCC2 ZINC000668064029 488197307 /nfs/dbraw/zinc/19/73/07/488197307.db2.gz CXNMSDHHDASHAD-GFCCVEGCSA-N 0 3 245.370 2.594 20 0 BFADHN C[C@@H](CN[C@@H](C)c1cscn1)c1ccncc1 ZINC000678116073 488198292 /nfs/dbraw/zinc/19/82/92/488198292.db2.gz MLEPWQROIKOZFZ-QWRGUYRKSA-N 0 3 247.367 2.992 20 0 BFADHN CCCCN(C)Cc1n[nH]c2ccccc21 ZINC000678120780 488199482 /nfs/dbraw/zinc/19/94/82/488199482.db2.gz NNMDRTVTBIAPMS-UHFFFAOYSA-N 0 3 217.316 2.795 20 0 BFADHN CCCCN(C)Cc1[nH]nc2ccccc21 ZINC000678120780 488199487 /nfs/dbraw/zinc/19/94/87/488199487.db2.gz NNMDRTVTBIAPMS-UHFFFAOYSA-N 0 3 217.316 2.795 20 0 BFADHN C/C=C(/C)c1nc(C2C[C@H]3CC[C@@H](C2)N3C)no1 ZINC000683581348 488200815 /nfs/dbraw/zinc/20/08/15/488200815.db2.gz DTWPIVWUINFPOU-TURIVCSCSA-N 0 3 247.342 2.833 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cn2cccc(F)c2n1 ZINC000678136677 488204320 /nfs/dbraw/zinc/20/43/20/488204320.db2.gz GDBZRWWMFJTVCG-NSHDSACASA-N 0 3 249.333 2.950 20 0 BFADHN CC[C@@H](C)N(C)Cc1cn2cccc(F)c2n1 ZINC000678140840 488205135 /nfs/dbraw/zinc/20/51/35/488205135.db2.gz OAFIADJABCXLIR-SNVBAGLBSA-N 0 3 235.306 2.704 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@@H](C(F)F)C1 ZINC000677730174 488206499 /nfs/dbraw/zinc/20/64/99/488206499.db2.gz AHQGAEPQDRQHDU-MWLCHTKSSA-N 0 3 226.270 2.730 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](CO)CC2CC2)c(C)o1 ZINC000683692434 488207858 /nfs/dbraw/zinc/20/78/58/488207858.db2.gz RHVLVSPJASRDFL-GXFFZTMASA-N 0 3 237.343 2.708 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H]1CCCOc2ccccc21 ZINC000683693560 488207873 /nfs/dbraw/zinc/20/78/73/488207873.db2.gz FFNWNKRKNQFQEV-UMVBOHGHSA-N 0 3 247.338 2.667 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H]1CCCc2ccccc21 ZINC000683694287 488208644 /nfs/dbraw/zinc/20/86/44/488208644.db2.gz RXKTUYUDMVKDNG-RRFJBIMHSA-N 0 3 231.339 2.831 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cnn2c1CCC2 ZINC000668181588 488215832 /nfs/dbraw/zinc/21/58/32/488215832.db2.gz NEDIAHBQXFKCCJ-GFCCVEGCSA-N 0 3 235.375 2.840 20 0 BFADHN CCCn1nccc1CN1CCC(C2CC2)CC1 ZINC000668186113 488216855 /nfs/dbraw/zinc/21/68/55/488216855.db2.gz BSBRFAZAFHIGAX-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc(N(C)C)nc2)[C@H]1C ZINC000678222344 488220825 /nfs/dbraw/zinc/22/08/25/488220825.db2.gz MNPXXFNDYBAIPQ-OLZOCXBDSA-N 0 3 247.386 2.768 20 0 BFADHN C[C@@H]1C[C@@H](CN2CC3(C2)CC(F)(F)C3)CCO1 ZINC000683785013 488221006 /nfs/dbraw/zinc/22/10/06/488221006.db2.gz BTSRQZPAYQOJAN-MNOVXSKESA-N 0 3 245.313 2.533 20 0 BFADHN C[C@H]1C[C@H](CN2CC3(C2)CC(F)(F)C3)CCO1 ZINC000683785015 488221251 /nfs/dbraw/zinc/22/12/51/488221251.db2.gz BTSRQZPAYQOJAN-WDEREUQCSA-N 0 3 245.313 2.533 20 0 BFADHN COc1ncccc1CN1C2CCCC1CCC2 ZINC000683783108 488221423 /nfs/dbraw/zinc/22/14/23/488221423.db2.gz PPRIYZNBBVPCQX-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN Cc1ccoc1CN1CCC[C@@H](n2ccnc2)C1 ZINC000678235303 488222573 /nfs/dbraw/zinc/22/25/73/488222573.db2.gz QLMMKHFWQUXCQW-CYBMUJFWSA-N 0 3 245.326 2.622 20 0 BFADHN CN(Cc1ccc2cnccc2c1)C1CC1 ZINC000683825814 488226674 /nfs/dbraw/zinc/22/66/74/488226674.db2.gz YPTIQZSDRHJHHM-UHFFFAOYSA-N 0 3 212.296 2.829 20 0 BFADHN Fc1ccccc1[C@@H]1CCN(Cc2cn[nH]c2)C1 ZINC000678259176 488227701 /nfs/dbraw/zinc/22/77/01/488227701.db2.gz FDVPTMCFVGXIFM-GFCCVEGCSA-N 0 3 245.301 2.538 20 0 BFADHN CCC(C)(CC)NCc1cc(OC)ns1 ZINC000399093412 488228096 /nfs/dbraw/zinc/22/80/96/488228096.db2.gz XSVUVVWASAJQOT-UHFFFAOYSA-N 0 3 228.361 2.820 20 0 BFADHN Cc1ccc(CN2CCCOC[C@@H]2C)s1 ZINC000678273087 488233776 /nfs/dbraw/zinc/23/37/76/488233776.db2.gz JJXOMIZPEKQXGH-JTQLQIEISA-N 0 3 225.357 2.667 20 0 BFADHN C[C@@H]1COCCCN1C/C=C/c1ccc(F)cc1 ZINC000678273711 488233797 /nfs/dbraw/zinc/23/37/97/488233797.db2.gz LMFGAWQFUCRHOV-CXLRFSCWSA-N 0 3 249.329 2.950 20 0 BFADHN Cc1ccc(CN2CCCOC[C@H]2C)c(C)c1 ZINC000678274176 488234539 /nfs/dbraw/zinc/23/45/39/488234539.db2.gz OEDDUESLCAVSHP-CQSZACIVSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1n[nH]c(C)c1CN1C2CCCC1CCC2 ZINC000678280380 488235799 /nfs/dbraw/zinc/23/57/99/488235799.db2.gz ZTIWPIZKYFKFMR-UHFFFAOYSA-N 0 3 233.359 2.934 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2nn(C)c3ccccc23)C1 ZINC000678276248 488235867 /nfs/dbraw/zinc/23/58/67/488235867.db2.gz CIFQZYQBKKOIHF-RYUDHWBXSA-N 0 3 243.354 2.804 20 0 BFADHN c1n[nH]cc1CN1CCC(C2CCCC2)CC1 ZINC000678283081 488236956 /nfs/dbraw/zinc/23/69/56/488236956.db2.gz YLSAEPRTZRBEBM-UHFFFAOYSA-N 0 3 233.359 2.812 20 0 BFADHN Cc1nc(C)c(CN(C)[C@H](C)C2CC2)o1 ZINC000683897187 488238944 /nfs/dbraw/zinc/23/89/44/488238944.db2.gz QYEASXOMIFXXNB-SECBINFHSA-N 0 3 208.305 2.522 20 0 BFADHN CC[C@@H]1CCCN(Cc2oc(C)nc2C)C1 ZINC000683896994 488239042 /nfs/dbraw/zinc/23/90/42/488239042.db2.gz HJRXWCALDFHJDW-GFCCVEGCSA-N 0 3 222.332 2.913 20 0 BFADHN C[C@H](N(C)Cc1ccc(N(C)C)nc1)C1(C)CC1 ZINC000678334875 488242052 /nfs/dbraw/zinc/24/20/52/488242052.db2.gz YCEYXWYTTAAMGR-LBPRGKRZSA-N 0 3 247.386 2.768 20 0 BFADHN CC[C@H]1CCN(Cc2nn(C)c3ccccc23)C1 ZINC000678337762 488242266 /nfs/dbraw/zinc/24/22/66/488242266.db2.gz IDEBDGZSDNJXMA-LBPRGKRZSA-N 0 3 243.354 2.805 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1ccc(C)nc1C ZINC000678426819 488254059 /nfs/dbraw/zinc/25/40/59/488254059.db2.gz JHVXBPRIKHLSJL-STQMWFEESA-N 0 3 236.359 2.774 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1ccc(C)nc1C ZINC000678426817 488254177 /nfs/dbraw/zinc/25/41/77/488254177.db2.gz JHVXBPRIKHLSJL-OLZOCXBDSA-N 0 3 236.359 2.774 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1ccc(C)nc1C ZINC000678428468 488255200 /nfs/dbraw/zinc/25/52/00/488255200.db2.gz SRXCRWNMXHEGRZ-AAEUAGOBSA-N 0 3 236.359 2.510 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@]2(C)CCCO2)c(C)n1 ZINC000678429118 488255824 /nfs/dbraw/zinc/25/58/24/488255824.db2.gz UVFMKSSULJOMIP-DOMZBBRYSA-N 0 3 248.370 2.918 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1ccc(C)nc1C ZINC000678429723 488255907 /nfs/dbraw/zinc/25/59/07/488255907.db2.gz XQBIPSSSQHBBLI-NSHDSACASA-N 0 3 236.359 2.774 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@]2(C)CCCO2)c(C)n1 ZINC000678429121 488256023 /nfs/dbraw/zinc/25/60/23/488256023.db2.gz UVFMKSSULJOMIP-IUODEOHRSA-N 0 3 248.370 2.918 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1nn(C)c2ccccc12 ZINC000672608446 488261934 /nfs/dbraw/zinc/26/19/34/488261934.db2.gz ZUKJWAXZDVGRGM-YPMHNXCESA-N 0 3 243.354 2.852 20 0 BFADHN CCCc1ccc(CN2C[C@H](O)C(C)(C)C2)cc1 ZINC000684108401 488267127 /nfs/dbraw/zinc/26/71/27/488267127.db2.gz ZUSNWPOHQHXKHJ-HNNXBMFYSA-N 0 3 247.382 2.842 20 0 BFADHN C[C@@H](CC1CCC1)N[C@H]1CCCc2c[nH]nc21 ZINC000678599251 488269929 /nfs/dbraw/zinc/26/99/29/488269929.db2.gz YTFTUQCXFCLHFS-GWCFXTLKSA-N 0 3 233.359 2.955 20 0 BFADHN COC[C@@H](N[C@H](C)c1ccc(C)nc1C)C1CC1 ZINC000678603852 488271029 /nfs/dbraw/zinc/27/10/29/488271029.db2.gz MTPARAFVIRPSPS-IUODEOHRSA-N 0 3 248.370 2.774 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2ccsc2)ncn1 ZINC000678605234 488271050 /nfs/dbraw/zinc/27/10/50/488271050.db2.gz OFTYAGKGAUBHDF-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN CO[C@]1(C)CCCN([C@@H](C)c2ccncc2)C1 ZINC000684208693 488276871 /nfs/dbraw/zinc/27/68/71/488276871.db2.gz KKGIPSXHGRJTQP-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1cccc(N(C)C)c1 ZINC000684209136 488277270 /nfs/dbraw/zinc/27/72/70/488277270.db2.gz ZFZPAYWVOSARJR-VXGBXAGGSA-N 0 3 218.344 2.735 20 0 BFADHN C[C@H](CN[C@H](c1nccn1C)C1CC1)C1CC1 ZINC000678806488 488285439 /nfs/dbraw/zinc/28/54/39/488285439.db2.gz CUAWJNHQCSHDNY-MFKMUULPSA-N 0 3 233.359 2.507 20 0 BFADHN CCc1nn(C)cc1CN1CCC(CC)(CC)C1 ZINC000678828789 488289012 /nfs/dbraw/zinc/28/90/12/488289012.db2.gz KIXYMVUGCBHGBZ-UHFFFAOYSA-N 0 3 249.402 2.995 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1nc2c(s1)CCC2 ZINC000678832396 488289373 /nfs/dbraw/zinc/28/93/73/488289373.db2.gz CJAWWXHIEVAVCX-VHSXEESVSA-N 0 3 236.384 2.862 20 0 BFADHN CCc1nn(C)cc1CN1CC[C@](C)(CC)C1 ZINC000678837004 488290346 /nfs/dbraw/zinc/29/03/46/488290346.db2.gz LUVQYEHUIWOFCW-AWEZNQCLSA-N 0 3 235.375 2.605 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1cn(C)cn1 ZINC000673603470 488296962 /nfs/dbraw/zinc/29/69/62/488296962.db2.gz ZSVPFFOPMRJVDU-DGCLKSJQSA-N 0 3 235.375 2.725 20 0 BFADHN CCc1nn(C)cc1CN(CC)CC1CCC1 ZINC000684428254 488311813 /nfs/dbraw/zinc/31/18/13/488311813.db2.gz DUIAHLSDHRDBNH-UHFFFAOYSA-N 0 3 235.375 2.605 20 0 BFADHN CC[C@@H](c1ccccc1)N(C)Cc1cnc[nH]1 ZINC000684617399 488332497 /nfs/dbraw/zinc/33/24/97/488332497.db2.gz SALCMGKDAZZXDJ-AWEZNQCLSA-N 0 3 229.327 2.993 20 0 BFADHN C[C@@H]1CCN(Cc2c[nH]cn2)[C@H]2CCCC[C@H]12 ZINC000684617693 488332738 /nfs/dbraw/zinc/33/27/38/488332738.db2.gz WBMPXOWRTTWLIB-BNOWGMLFSA-N 0 3 233.359 2.810 20 0 BFADHN C[C@@H]1CCN(Cc2cnc[nH]2)[C@H]2CCCC[C@H]12 ZINC000684617693 488332740 /nfs/dbraw/zinc/33/27/40/488332740.db2.gz WBMPXOWRTTWLIB-BNOWGMLFSA-N 0 3 233.359 2.810 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2ccc(N(C)C)nc2)C1 ZINC000684683966 488338886 /nfs/dbraw/zinc/33/88/86/488338886.db2.gz WYACAPITQRKKDK-GFCCVEGCSA-N 0 3 245.370 2.546 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@H]1CCCC(F)(F)C1 ZINC000679355853 488343829 /nfs/dbraw/zinc/34/38/29/488343829.db2.gz YJDPQIMLEQVLKY-SCZZXKLOSA-N 0 3 243.301 2.947 20 0 BFADHN CN(CCc1nccs1)Cc1ccc(O)cc1 ZINC000679393465 488350275 /nfs/dbraw/zinc/35/02/75/488350275.db2.gz XKTXXVNLMUDNKT-UHFFFAOYSA-N 0 3 248.351 2.523 20 0 BFADHN COC1(CN[C@H](C)c2ccc(C)nc2C)CCC1 ZINC000679575014 488358778 /nfs/dbraw/zinc/35/87/78/488358778.db2.gz JCIZWLVWTHXHQX-GFCCVEGCSA-N 0 3 248.370 2.918 20 0 BFADHN CN(Cc1ccc(Cl)s1)C[C@H](O)C1CC1 ZINC000079771020 490058481 /nfs/dbraw/zinc/05/84/81/490058481.db2.gz ZBMLYDZFJQQXIF-JTQLQIEISA-N 0 3 245.775 2.604 20 0 BFADHN COc1ncc(CNCC2CCCCC2)s1 ZINC000380674002 490090977 /nfs/dbraw/zinc/09/09/77/490090977.db2.gz CLNUMLRAQBSYDM-UHFFFAOYSA-N 0 3 240.372 2.822 20 0 BFADHN C[C@@H](CO)CN(C)Cc1ccc(Cl)c(F)c1 ZINC000121619903 490103296 /nfs/dbraw/zinc/10/32/96/490103296.db2.gz CSFRVDJRHQZPPZ-SECBINFHSA-N 0 3 245.725 2.539 20 0 BFADHN FC(F)(F)CCCCN1CC=CCC1 ZINC000679322471 490112639 /nfs/dbraw/zinc/11/26/39/490112639.db2.gz AFPBXBGDSWGXED-UHFFFAOYSA-N 0 3 207.239 2.981 20 0 BFADHN COc1ccc(C)cc1CN1CC=CCC1 ZINC000679322450 490112621 /nfs/dbraw/zinc/11/26/21/490112621.db2.gz JTWKYJRUSUCASR-UHFFFAOYSA-N 0 3 217.312 2.766 20 0 BFADHN CC(C)(CO)CNCc1coc2ccccc12 ZINC000121915890 490113007 /nfs/dbraw/zinc/11/30/07/490113007.db2.gz RNYWOHVDKOTVHQ-UHFFFAOYSA-N 0 3 233.311 2.541 20 0 BFADHN Cc1ccoc1CNCC1(CO)CCCCC1 ZINC000122144840 490127454 /nfs/dbraw/zinc/12/74/54/490127454.db2.gz YMGGAGOUGSBYJX-UHFFFAOYSA-N 0 3 237.343 2.620 20 0 BFADHN COc1cc(OC)c(CNCC(C)C)cc1F ZINC000651666640 490129616 /nfs/dbraw/zinc/12/96/16/490129616.db2.gz JYEFTJPEPOATOK-UHFFFAOYSA-N 0 3 241.306 2.589 20 0 BFADHN CC(C)=CCN1CCC(c2nccc(C)n2)CC1 ZINC000371836342 490140054 /nfs/dbraw/zinc/14/00/54/490140054.db2.gz YOBDVXTZPBGPAW-UHFFFAOYSA-N 0 3 245.370 2.931 20 0 BFADHN CCc1cnc(CN[C@H]2CCS[C@H]2C)s1 ZINC000220774637 490143577 /nfs/dbraw/zinc/14/35/77/490143577.db2.gz WQRXYTKRNZAUJB-WPRPVWTQSA-N 0 3 242.413 2.689 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NCc1ccc(C2CC2)cc1 ZINC000128132062 490189863 /nfs/dbraw/zinc/18/98/63/490189863.db2.gz ACFRARQVQVWMLB-LSDHHAIUSA-N 0 3 245.366 2.813 20 0 BFADHN C[C@@H]1CN([C@H]2CCCOC2)[C@@H]1c1ccccc1 ZINC000674303214 490206622 /nfs/dbraw/zinc/20/66/22/490206622.db2.gz UWVLGEIPOSPLEO-SNPRPXQTSA-N 0 3 231.339 2.858 20 0 BFADHN COc1ccc(CNCc2cccn2C)c(C)c1 ZINC000537322775 490241389 /nfs/dbraw/zinc/24/13/89/490241389.db2.gz IJAGTERHYZGAIO-UHFFFAOYSA-N 0 3 244.338 2.632 20 0 BFADHN Cc1ccc(C)c([C@H](C)N[C@H]2CCOC2)c1 ZINC000070966885 490251032 /nfs/dbraw/zinc/25/10/32/490251032.db2.gz JCNKBZFOHZRARG-STQMWFEESA-N 0 3 219.328 2.743 20 0 BFADHN c1cncc(CN[C@@H](C2CC2)[C@H]2CCCCO2)c1 ZINC000655812090 490259480 /nfs/dbraw/zinc/25/94/80/490259480.db2.gz ZCFTVXOYUIMRNN-CABCVRRESA-N 0 3 246.354 2.519 20 0 BFADHN CC(C)(C)O[C@H]1C[C@H](NCc2ccncc2)C1 ZINC000655844426 490261212 /nfs/dbraw/zinc/26/12/12/490261212.db2.gz NLGMGLGYQCNIQA-JOCQHMNTSA-N 0 3 234.343 2.517 20 0 BFADHN C[C@@H]1C[C@@H](NCC2CCCCCC2)c2ncnn21 ZINC000657571098 490285870 /nfs/dbraw/zinc/28/58/70/490285870.db2.gz VXYFRQBJLHRNHY-DGCLKSJQSA-N 0 3 248.374 2.844 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1cc2cnccc2o1 ZINC000657888141 490292477 /nfs/dbraw/zinc/29/24/77/490292477.db2.gz OFWLQMRQHNCOEV-JQWIXIFHSA-N 0 3 248.326 2.639 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1cnc(C2CC2)nc1 ZINC000335263794 490391472 /nfs/dbraw/zinc/39/14/72/490391472.db2.gz VZKYTEQURCSPEP-LLVKDONJSA-N 0 3 245.370 2.974 20 0 BFADHN CCc1ccc([C@@H](C)NCC2(O)CCCC2)o1 ZINC000305105815 490434034 /nfs/dbraw/zinc/43/40/34/490434034.db2.gz CYSLMLVAQYVAIZ-LLVKDONJSA-N 0 3 237.343 2.798 20 0 BFADHN Cc1nccc(CN(CCC(C)C)C2CC2)n1 ZINC000507160965 490445443 /nfs/dbraw/zinc/44/54/43/490445443.db2.gz OGPFFOHVXXNRNL-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN CN(Cc1cccc(Cl)c1)C[C@H](O)C1CC1 ZINC000079770182 490460373 /nfs/dbraw/zinc/46/03/73/490460373.db2.gz JFBXXNUIXAYRDW-ZDUSSCGKSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@@H](NCC(C)(C)CO)c1cc(F)ccc1F ZINC000126353985 490491802 /nfs/dbraw/zinc/49/18/02/490491802.db2.gz WCNDXDIWTNKVBL-SECBINFHSA-N 0 3 243.297 2.634 20 0 BFADHN C[C@@H](N[C@@H]1[C@@H]2CCC[C@@H]21)c1ccccn1 ZINC000668669568 490497839 /nfs/dbraw/zinc/49/78/39/490497839.db2.gz WOXFAWOJHKWONG-HNCHTBHHSA-N 0 3 202.301 2.531 20 0 BFADHN COC[C@@H](N[C@@H](C)c1c(C)noc1C)C(C)C ZINC000126543799 490504340 /nfs/dbraw/zinc/50/43/40/490504340.db2.gz JGYREHQDTBDHNE-JOYOIKCWSA-N 0 3 240.347 2.613 20 0 BFADHN C1=CC[C@@]2(CC1)CCCN(Cc1cncnc1)C2 ZINC000126767221 490515753 /nfs/dbraw/zinc/51/57/53/490515753.db2.gz MSQJZPZPDZQMTC-OAHLLOKOSA-N 0 3 243.354 2.799 20 0 BFADHN Cc1ncc([C@@H](C)NC[C@@H]2CCCCO2)s1 ZINC000126959163 490528930 /nfs/dbraw/zinc/52/89/30/490528930.db2.gz SKMHSFSQCNFXRU-KOLCDFICSA-N 0 3 240.372 2.671 20 0 BFADHN Cc1ccc(CNC[C@H]2Cc3ccccc32)nc1 ZINC000127022162 490533273 /nfs/dbraw/zinc/53/32/73/490533273.db2.gz OFPJTTZBCUMFCG-CQSZACIVSA-N 0 3 238.334 2.820 20 0 BFADHN Cc1ccc(CNC[C@@H]2Cc3ccccc32)nc1 ZINC000127021920 490533432 /nfs/dbraw/zinc/53/34/32/490533432.db2.gz OFPJTTZBCUMFCG-AWEZNQCLSA-N 0 3 238.334 2.820 20 0 BFADHN CC(C)(C)CN(CCO)Cc1cccs1 ZINC000128379621 490577149 /nfs/dbraw/zinc/57/71/49/490577149.db2.gz ZFJVJVXEHFBRFI-UHFFFAOYSA-N 0 3 227.373 2.589 20 0 BFADHN CC[C@H](F)CN1C[C@H](C)S[C@@H](C)C1 ZINC000307144435 490656849 /nfs/dbraw/zinc/65/68/49/490656849.db2.gz ADZFYELURMBWRL-GUBZILKMSA-N 0 3 205.342 2.560 20 0 BFADHN CN(Cc1cn[nH]c1)[C@@H]1CCCc2ccccc21 ZINC000085825479 491053580 /nfs/dbraw/zinc/05/35/80/491053580.db2.gz YBTWJQUJOXZSDK-OAHLLOKOSA-N 0 3 241.338 2.919 20 0 BFADHN c1cnc2c(c1)CCC[C@@H]2N[C@@H]1CCCSC1 ZINC000136350988 491056254 /nfs/dbraw/zinc/05/62/54/491056254.db2.gz ZKPVLCFALHPFJX-OLZOCXBDSA-N 0 3 248.395 2.944 20 0 BFADHN CCCCCNCc1cnnn1-c1ccccc1 ZINC000651666923 491073051 /nfs/dbraw/zinc/07/30/51/491073051.db2.gz RYVCDILDVPOGMG-UHFFFAOYSA-N 0 3 244.342 2.547 20 0 BFADHN C[C@]1(CNCc2nccs2)CCCS1 ZINC000087620652 491091132 /nfs/dbraw/zinc/09/11/32/491091132.db2.gz ZYIKJELMXAQOOL-SNVBAGLBSA-N 0 3 228.386 2.518 20 0 BFADHN C[C@H](N[C@@H](C)C(C)(C)O)c1ccc(F)cc1F ZINC000087817645 491092592 /nfs/dbraw/zinc/09/25/92/491092592.db2.gz KJYXOHMSGDFROG-IUCAKERBSA-N 0 3 243.297 2.775 20 0 BFADHN CC1(C)CCC[C@@H](NCc2ccon2)C1 ZINC000088052588 491094073 /nfs/dbraw/zinc/09/40/73/491094073.db2.gz OLFRMMJVVZGUQK-SNVBAGLBSA-N 0 3 208.305 2.733 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1ccncc1F ZINC000449191045 491123985 /nfs/dbraw/zinc/12/39/85/491123985.db2.gz ORJPCCHPIYZWFZ-GXFFZTMASA-N 0 3 222.307 2.889 20 0 BFADHN CCCCOCCN[C@H](C)c1cnc(C)s1 ZINC000090406286 491126047 /nfs/dbraw/zinc/12/60/47/491126047.db2.gz CMOGGDMGVMQOLI-SNVBAGLBSA-N 0 3 242.388 2.919 20 0 BFADHN CCC(O)(CC)CN[C@@H](C)c1cnc(C)s1 ZINC000090406393 491126061 /nfs/dbraw/zinc/12/60/61/491126061.db2.gz OOUGIVTWVQWPBN-VIFPVBQESA-N 0 3 242.388 2.653 20 0 BFADHN COCC[C@@H](C)N[C@H](C)c1cnc(C)s1 ZINC000090406803 491126123 /nfs/dbraw/zinc/12/61/23/491126123.db2.gz OEKZGWQHZOCDMC-RKDXNWHRSA-N 0 3 228.361 2.527 20 0 BFADHN C[C@@H](N[C@H]1CCc2ccccc2C1)c1ncc[nH]1 ZINC000139054026 491130278 /nfs/dbraw/zinc/13/02/78/491130278.db2.gz MVFAHOXNLFWVDD-RISCZKNCSA-N 0 3 241.338 2.618 20 0 BFADHN C[C@@H](N[C@@H](C)CCc1ccco1)c1ncc[nH]1 ZINC000139092217 491130363 /nfs/dbraw/zinc/13/03/63/491130363.db2.gz AIPSHZYLPMVIMZ-WDEREUQCSA-N 0 3 233.315 2.675 20 0 BFADHN CCC[C@@](C)(O)CN[C@@H]1CCCc2cccnc21 ZINC000230686026 491130580 /nfs/dbraw/zinc/13/05/80/491130580.db2.gz NVLHBSIVEQKFNW-UKRRQHHQSA-N 0 3 248.370 2.600 20 0 BFADHN c1cnc2c(c1)CCC[C@@H]2NCC[C@H]1CCCO1 ZINC000091661249 491131304 /nfs/dbraw/zinc/13/13/04/491131304.db2.gz CCWLEHHLZOKWNN-KGLIPLIRSA-N 0 3 246.354 2.618 20 0 BFADHN CCOC1CCN(Cc2ccc(C)o2)CC1 ZINC000091677107 491131491 /nfs/dbraw/zinc/13/14/91/491131491.db2.gz WVZAEMGPLFFDTD-UHFFFAOYSA-N 0 3 223.316 2.589 20 0 BFADHN CCOC1CCN(Cc2occc2C)CC1 ZINC000091678795 491131495 /nfs/dbraw/zinc/13/14/95/491131495.db2.gz ZFWMFMPAGSJHFW-UHFFFAOYSA-N 0 3 223.316 2.589 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2ccc(N(C)C)nc2)C1 ZINC000127783668 491132747 /nfs/dbraw/zinc/13/27/47/491132747.db2.gz CQLDSTFDICGFQN-STQMWFEESA-N 0 3 247.386 2.768 20 0 BFADHN CC(C)(O)CCNCc1cscc1Cl ZINC000308639134 491137483 /nfs/dbraw/zinc/13/74/83/491137483.db2.gz DHBRNJXLKWCRQC-UHFFFAOYSA-N 0 3 233.764 2.652 20 0 BFADHN Oc1cccc(CN[C@H]2CCC(F)(F)C2)c1 ZINC000309584072 491150755 /nfs/dbraw/zinc/15/07/55/491150755.db2.gz UQCVXDGZBXVNOK-JTQLQIEISA-N 0 3 227.254 2.670 20 0 BFADHN C/C=C\CN[C@H]1CCCc2c(O)cccc21 ZINC000309926938 491158288 /nfs/dbraw/zinc/15/82/88/491158288.db2.gz VULBSZKJJQYKCP-ZRMMWKCHSA-N 0 3 217.312 2.935 20 0 BFADHN CCn1cc([C@H](C)N[C@H]2CCC(F)(F)C2)cn1 ZINC000310234324 491160874 /nfs/dbraw/zinc/16/08/74/491160874.db2.gz HNKJGDMVNJZUQL-ONGXEEELSA-N 0 3 243.301 2.741 20 0 BFADHN CC(C)[C@H]1CCCC[C@@H]1NCc1cn(C)cn1 ZINC000310293754 491160975 /nfs/dbraw/zinc/16/09/75/491160975.db2.gz ADLRVXIOIJJFQE-KGLIPLIRSA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@@H](C)CN(C)[C@@H](CC(C)C)C(=O)OC ZINC000351768562 535493175 /nfs/dbraw/zinc/49/31/75/535493175.db2.gz XRQPWWXHWPIILZ-NEPJUHHUSA-N 0 3 229.364 2.552 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCOC[C@H]1Cc1ccccc1 ZINC000488315287 533721763 /nfs/dbraw/zinc/72/17/63/533721763.db2.gz PVCKUDSETSDGEL-FVQBIDKESA-N 0 3 245.366 2.586 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1ccnn1CC ZINC000180202789 535510223 /nfs/dbraw/zinc/51/02/23/535510223.db2.gz ODVIFROMEBOPCO-GFCCVEGCSA-N 0 3 223.364 2.771 20 0 BFADHN Cc1cc(F)ccc1CN(C)CC1(C)COC1 ZINC000488040935 533877870 /nfs/dbraw/zinc/87/78/70/533877870.db2.gz FXMMWOVARFJEPR-UHFFFAOYSA-N 0 3 237.318 2.602 20 0 BFADHN CCC[C@H](C)CN1CCO[C@@H]2CCC[C@@H]21 ZINC000342620527 535613273 /nfs/dbraw/zinc/61/32/73/535613273.db2.gz XUUSFNVHCCHKTF-RWMBFGLXSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H]1C[C@H]1CN(C)Cc1cc(Cl)cn1C ZINC000488362124 534019700 /nfs/dbraw/zinc/01/97/00/534019700.db2.gz XFIBETPMPGZWDW-ZJUUUORDSA-N 0 3 226.751 2.766 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCc2cccc(F)c2C1 ZINC000488328930 534022908 /nfs/dbraw/zinc/02/29/08/534022908.db2.gz ILSIYCVWQJUUGB-PWSUYJOCSA-N 0 3 219.303 2.840 20 0 BFADHN Cc1ccc(CNC/C=C/c2ccncc2)cn1 ZINC000486926285 534053933 /nfs/dbraw/zinc/05/39/33/534053933.db2.gz IIUXNOGZJSOHDI-NSCUHMNNSA-N 0 3 239.322 2.588 20 0 BFADHN CCC[C@H](NCCC1(O)CCC1)c1ccccn1 ZINC000342814338 535702736 /nfs/dbraw/zinc/70/27/36/535702736.db2.gz WBVFIHNPQANKBX-ZDUSSCGKSA-N 0 3 248.370 2.818 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C)[C@H]2C)o1 ZINC000891862589 582731341 /nfs/dbraw/zinc/73/13/41/582731341.db2.gz REDKFEGTAZMRGE-GHMZBOCLSA-N 0 3 223.316 2.909 20 0 BFADHN C[C@H]1OCC[C@H]1N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000449426933 518185088 /nfs/dbraw/zinc/18/50/88/518185088.db2.gz QXARAUJDIBPZOX-HBJVGIJOSA-N 0 3 247.338 2.666 20 0 BFADHN CCn1cc(CN2C[C@H](C)CC[C@@H]2C)c(C)n1 ZINC000892066171 582783462 /nfs/dbraw/zinc/78/34/62/582783462.db2.gz AZIDQGBEWDMGBL-NEPJUHHUSA-N 0 3 235.375 2.832 20 0 BFADHN O=C(Nc1ccccc1)C1(NC2CCCC2)CC1 ZINC000495252945 534379751 /nfs/dbraw/zinc/37/97/51/534379751.db2.gz VECRXPKOKFYZJB-UHFFFAOYSA-N 0 3 244.338 2.690 20 0 BFADHN CC(C)CCCCN1CCc2c[nH]nc2C1 ZINC000892092878 582789741 /nfs/dbraw/zinc/78/97/41/582789741.db2.gz ZQZDHUAXHZPARE-UHFFFAOYSA-N 0 3 221.348 2.594 20 0 BFADHN CC[C@@H]1CCN(Cc2cnc(F)cc2C)C1 ZINC000892224826 582809303 /nfs/dbraw/zinc/80/93/03/582809303.db2.gz ZVTJBCUGLKQCCG-LLVKDONJSA-N 0 3 222.307 2.761 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3C[C@H](C)[C@H]3C)cn2c1 ZINC000495004032 534503890 /nfs/dbraw/zinc/50/38/90/534503890.db2.gz FBFZCDSQFKMHJI-OUCADQQQSA-N 0 3 243.354 2.777 20 0 BFADHN CC(C)(C)C[C@@H](O)CNCc1ccc(Cl)o1 ZINC000164140111 534627914 /nfs/dbraw/zinc/62/79/14/534627914.db2.gz YNSUIHYZXSTLLS-SECBINFHSA-N 0 3 245.750 2.820 20 0 BFADHN Cc1ncc(CN(C)C[C@H]2C[C@H]2C)s1 ZINC000488298524 534733709 /nfs/dbraw/zinc/73/37/09/534733709.db2.gz RCWUXIJHQCCUJJ-PSASIEDQSA-N 0 3 210.346 2.539 20 0 BFADHN COc1ccc(CN2CC[C@H]2C(C)C)o1 ZINC000892403932 582877611 /nfs/dbraw/zinc/87/76/11/582877611.db2.gz ULNYSBDBVSNWPJ-NSHDSACASA-N 0 3 209.289 2.519 20 0 BFADHN CC(C)(C)c1ccc(CN2CC(CCO)C2)cc1 ZINC000459590270 526775460 /nfs/dbraw/zinc/77/54/60/526775460.db2.gz JXNRYZXCKSAGLI-UHFFFAOYSA-N 0 3 247.382 2.798 20 0 BFADHN COCCCN(C)C/C=C\c1ccccc1OC ZINC000255362762 536497921 /nfs/dbraw/zinc/49/79/21/536497921.db2.gz XOXAIRFFCCIKPF-TWGQIWQCSA-N 0 3 249.354 2.677 20 0 BFADHN C/C=C/C=C\C(=O)Nc1ccccc1CN(C)C ZINC000493027739 527613551 /nfs/dbraw/zinc/61/35/51/527613551.db2.gz SNWBVXZRSBTMJY-QTJNYWJXSA-N 0 3 244.338 2.819 20 0 BFADHN CC(C)CCCN1CC(C)(C)OC[C@@H]1C ZINC000488367585 527703356 /nfs/dbraw/zinc/70/33/56/527703356.db2.gz OAMPHGOYLMVPGM-LBPRGKRZSA-N 0 3 213.365 2.922 20 0 BFADHN CCC1CC(N[C@@H](C)c2nccs2)C1 ZINC000308628458 527823642 /nfs/dbraw/zinc/82/36/42/527823642.db2.gz XZAYLXOGJANGTP-IDKOKCKLSA-N 0 3 210.346 2.982 20 0 BFADHN CCN1C[C@H](C)[C@H](Nc2ccc(C)cc2F)C1 ZINC000482760265 527975435 /nfs/dbraw/zinc/97/54/35/527975435.db2.gz KGCUXVSYETWVBC-SMDDNHRTSA-N 0 3 236.334 2.886 20 0 BFADHN CCCCCN(C/C=C\c1ccncc1)CCO ZINC000495064310 528164714 /nfs/dbraw/zinc/16/47/14/528164714.db2.gz YGUWPFFYIZTWEW-WAYWQWQTSA-N 0 3 248.370 2.579 20 0 BFADHN CCC[C@H](NC[C@@H]1CCC[C@H]1O)c1ccccn1 ZINC000305722897 528222042 /nfs/dbraw/zinc/22/20/42/528222042.db2.gz KKVOHJIDOZZHEQ-KCQAQPDRSA-N 0 3 248.370 2.673 20 0 BFADHN CCCCN(C)C1(C(=O)OCC)CCCCC1 ZINC000478033902 528334942 /nfs/dbraw/zinc/33/49/42/528334942.db2.gz DWZMUGCMCIBEBW-UHFFFAOYSA-N 0 3 241.375 2.984 20 0 BFADHN CCCCN(C)Cc1c(C)nc2ccccn21 ZINC000505872560 528360110 /nfs/dbraw/zinc/36/01/10/528360110.db2.gz OPQABZIDNUWXTL-UHFFFAOYSA-N 0 3 231.343 2.875 20 0 BFADHN CCOC(=O)C(C)(C)N(C)C[C@H](C)CC(C)C ZINC000499541213 528556298 /nfs/dbraw/zinc/55/62/98/528556298.db2.gz APLRISWOQKALDU-GFCCVEGCSA-N 0 3 243.391 2.942 20 0 BFADHN CCCCOCCN1CCC(C)=C(C)C1 ZINC000483339316 528588599 /nfs/dbraw/zinc/58/85/99/528588599.db2.gz KCDXCMAKPGFBRU-UHFFFAOYSA-N 0 3 211.349 2.845 20 0 BFADHN CCC(CC)CN(CC(=O)OC)[C@@H](C)CC ZINC000501091367 528736928 /nfs/dbraw/zinc/73/69/28/528736928.db2.gz HEPSMLWKMAKUIQ-NSHDSACASA-N 0 3 229.364 2.696 20 0 BFADHN CCC(CC)CN(CC)[C@H](CC)C(=O)OC ZINC000488539795 528744659 /nfs/dbraw/zinc/74/46/59/528744659.db2.gz KLNOWEVICRCVDP-GFCCVEGCSA-N 0 3 229.364 2.696 20 0 BFADHN CCCC[C@@H](CC)CN1CCO[C@@H](C)C1 ZINC000510611971 528774533 /nfs/dbraw/zinc/77/45/33/528774533.db2.gz CTACHUHYKCNTGT-QWHCGFSZSA-N 0 3 213.365 2.924 20 0 BFADHN CCCOc1ncccc1CNC[C@H]1C[C@@H]1C ZINC000488414853 528792792 /nfs/dbraw/zinc/79/27/92/528792792.db2.gz RMGMGBKJWBYUBE-WCQYABFASA-N 0 3 234.343 2.616 20 0 BFADHN CC(C)[C@@H]1N(Cc2ccc(CO)o2)CC12CCC2 ZINC000292765239 528812142 /nfs/dbraw/zinc/81/21/42/528812142.db2.gz JURREXDSQWZAMY-AWEZNQCLSA-N 0 3 249.354 2.782 20 0 BFADHN CC(C)N(Cc1cc(Cl)cs1)C[C@@H](C)O ZINC000494965666 528936758 /nfs/dbraw/zinc/93/67/58/528936758.db2.gz YQRXTRLWZBSCHC-SECBINFHSA-N 0 3 247.791 2.993 20 0 BFADHN CCOC[C@H](C)NCc1csc(C)c1 ZINC000308547999 528978019 /nfs/dbraw/zinc/97/80/19/528978019.db2.gz QMDUATOITKTFGN-VIFPVBQESA-N 0 3 213.346 2.571 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CNCc1nccn1C ZINC000401446084 529277929 /nfs/dbraw/zinc/27/79/29/529277929.db2.gz NGHWCYOSBKBNCL-CHWSQXEVSA-N 0 3 235.375 2.726 20 0 BFADHN CC[C@H]1CN(C/C=C\c2ccncc2)CCS1 ZINC000495000725 529285822 /nfs/dbraw/zinc/28/58/22/529285822.db2.gz YEEJXORKGWYDMX-NQHOJNORSA-N 0 3 248.395 2.922 20 0 BFADHN CC1(C)[C@@H](O)CCN1Cc1ccccc1Cl ZINC000258675349 535029102 /nfs/dbraw/zinc/02/91/02/535029102.db2.gz FRXRKFBNSUWBJI-LBPRGKRZSA-N 0 3 239.746 2.685 20 0 BFADHN CC(C)N(Cc1cccc2c1OCO2)C1CC1 ZINC000179484692 535096711 /nfs/dbraw/zinc/09/67/11/535096711.db2.gz BDJDIJDGKHWBGT-UHFFFAOYSA-N 0 3 233.311 2.788 20 0 BFADHN CC(C)[C@H]1CCC[C@@H](NCc2nccn2C)C1 ZINC000221390467 535187351 /nfs/dbraw/zinc/18/73/51/535187351.db2.gz DYKZZBGOIGPZCH-QWHCGFSZSA-N 0 3 235.375 2.725 20 0 BFADHN CCCCN(C)CC[S@@](=O)c1ccccc1 ZINC000078512589 535225322 /nfs/dbraw/zinc/22/53/22/535225322.db2.gz PLSUCDGWTUQPDR-INIZCTEOSA-N 0 3 239.384 2.526 20 0 BFADHN CC(C)(NC[C@H]1CCSC1)c1nccs1 ZINC000226381601 535250838 /nfs/dbraw/zinc/25/08/38/535250838.db2.gz JCUXCKMRFLEWQT-SECBINFHSA-N 0 3 242.413 2.721 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1nccn1C ZINC000179520850 535409363 /nfs/dbraw/zinc/40/93/63/535409363.db2.gz BYQLVBBGRQLBHC-GFCCVEGCSA-N 0 3 221.348 2.575 20 0 BFADHN CCCc1ccc(CN(C)CC[C@@H](C)O)cc1 ZINC000173818267 535417033 /nfs/dbraw/zinc/41/70/33/535417033.db2.gz RPDUIHKWBWYPCF-CYBMUJFWSA-N 0 3 235.371 2.842 20 0 BFADHN CCOc1cccc(CNCCOCC2CC2)c1 ZINC000224534481 535459047 /nfs/dbraw/zinc/45/90/47/535459047.db2.gz FPJYCMFJZPWKOB-UHFFFAOYSA-N 0 3 249.354 2.602 20 0 BFADHN CCOCCN(CC)Cc1ccc(CC)nc1 ZINC000347848713 535585974 /nfs/dbraw/zinc/58/59/74/535585974.db2.gz MLNQAOUKVYHTHH-UHFFFAOYSA-N 0 3 236.359 2.502 20 0 BFADHN CC[C@@H](NCC(C)(C)OC)c1ccc(F)cn1 ZINC000166128778 535802832 /nfs/dbraw/zinc/80/28/32/535802832.db2.gz VRSYZXAIQIPDLK-LLVKDONJSA-N 0 3 240.322 2.686 20 0 BFADHN CC[C@@H](NCC1CCOCC1)c1nccs1 ZINC000080258660 535803202 /nfs/dbraw/zinc/80/32/02/535803202.db2.gz KOEKAABSEZPHPN-LLVKDONJSA-N 0 3 240.372 2.610 20 0 BFADHN CC[C@@H](NCCn1cccn1)c1cccc(F)c1 ZINC000166545419 535804284 /nfs/dbraw/zinc/80/42/84/535804284.db2.gz KEEGARAECDZEFM-CQSZACIVSA-N 0 3 247.317 2.763 20 0 BFADHN CC[C@@H](N[C@@H](C)COC)c1cccc(F)c1 ZINC000164071702 535807951 /nfs/dbraw/zinc/80/79/51/535807951.db2.gz DSNLQUVUPIEURS-GXFFZTMASA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@@H](O)CN1CC[C@@H](C)C[C@@H]1c1ccco1 ZINC000076184128 535813548 /nfs/dbraw/zinc/81/35/48/535813548.db2.gz KQFICROVTNLLKK-JHJVBQTASA-N 0 3 237.343 2.824 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CC1CC(F)(F)C1 ZINC000336197790 535851578 /nfs/dbraw/zinc/85/15/78/535851578.db2.gz SXMUUNOHNPSGMS-MWLCHTKSSA-N 0 3 233.302 2.531 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2nccs2)CCO1 ZINC000251726379 535901832 /nfs/dbraw/zinc/90/18/32/535901832.db2.gz SFGUQUNNZDRHFZ-GMTAPVOTSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@]1(C)CCN([C@H](C)c2cnc(C)cn2)C1 ZINC000336109816 535912673 /nfs/dbraw/zinc/91/26/73/535912673.db2.gz NMYLCNSYFMUPRK-TZMCWYRMSA-N 0 3 233.359 2.968 20 0 BFADHN CC[C@H](N[C@H](C)c1cncs1)[C@H]1CCCO1 ZINC000252731466 535943763 /nfs/dbraw/zinc/94/37/63/535943763.db2.gz QANIGJCKGMPODA-OUAUKWLOSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1cccc(OC)c1OC ZINC000348101612 536100842 /nfs/dbraw/zinc/10/08/42/536100842.db2.gz IIUPZHNCDAZYTC-WCQYABFASA-N 0 3 249.354 2.982 20 0 BFADHN CC[C@H](C)CN(C)[C@@H](CC(C)C)C(=O)OC ZINC000351768565 536122707 /nfs/dbraw/zinc/12/27/07/536122707.db2.gz XRQPWWXHWPIILZ-RYUDHWBXSA-N 0 3 229.364 2.552 20 0 BFADHN CCc1ccc(CN2CC[C@@H](C)[C@@H](OC)C2)cn1 ZINC000348211584 536179166 /nfs/dbraw/zinc/17/91/66/536179166.db2.gz FPXJIMIOIGGGFW-DOMZBBRYSA-N 0 3 248.370 2.501 20 0 BFADHN CN(c1ccnc2ccccc21)[C@H]1CCCOC1 ZINC000343266549 536300716 /nfs/dbraw/zinc/30/07/16/536300716.db2.gz SHXTZRPULLEMGV-LBPRGKRZSA-N 0 3 242.322 2.850 20 0 BFADHN COC1(C)CCN(Cc2cccs2)CC1 ZINC000334376809 536565263 /nfs/dbraw/zinc/56/52/63/536565263.db2.gz FISMBRBFCZMGDP-UHFFFAOYSA-N 0 3 225.357 2.749 20 0 BFADHN COCc1ccc(CN[C@@H]2C[C@@H]2C(F)F)cc1 ZINC000342228764 536780931 /nfs/dbraw/zinc/78/09/31/536780931.db2.gz ZPONYDIZOAZSHQ-NWDGAFQWSA-N 0 3 241.281 2.576 20 0 BFADHN COCc1ccc(CN[C@H]2C[C@H]2C(F)F)cc1 ZINC000342228761 536782641 /nfs/dbraw/zinc/78/26/41/536782641.db2.gz ZPONYDIZOAZSHQ-NEPJUHHUSA-N 0 3 241.281 2.576 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2cccc(F)c2)C1(C)C ZINC000227826078 536797672 /nfs/dbraw/zinc/79/76/72/536797672.db2.gz NFQMBJDWYSSLRG-CHWSQXEVSA-N 0 3 237.318 2.729 20 0 BFADHN CO[C@H]1C[C@@H](NCc2ccccc2F)C1(C)C ZINC000227832051 536802850 /nfs/dbraw/zinc/80/28/50/536802850.db2.gz FFCOTEKEFHXRBP-OLZOCXBDSA-N 0 3 237.318 2.729 20 0 BFADHN COc1ccccc1CNCC=C(C)C ZINC000219194415 537048037 /nfs/dbraw/zinc/04/80/37/537048037.db2.gz RQWKLVCZKIVZHW-UHFFFAOYSA-N 0 3 205.301 2.751 20 0 BFADHN COc1cnccc1[C@H](C)N[C@@H]1CCC1(C)C ZINC000342578439 537128307 /nfs/dbraw/zinc/12/83/07/537128307.db2.gz OQSXTBRDCAKVAS-GXFFZTMASA-N 0 3 234.343 2.929 20 0 BFADHN CO[C@@H](C)[C@@H](C)Nc1cc(C)cc(C)n1 ZINC000134351773 566327139 /nfs/dbraw/zinc/32/71/39/566327139.db2.gz DUWLQANQQHGZOU-MNOVXSKESA-N 0 3 208.305 2.534 20 0 BFADHN CCO[C@H]1CCCN(Cc2ccc(OC)cc2)C1 ZINC000155469886 566473429 /nfs/dbraw/zinc/47/34/29/566473429.db2.gz RNAPFLFHZVXQMF-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccc(CN[C@H]2CCC[C@@H]2C)o1 ZINC000892945542 583014089 /nfs/dbraw/zinc/01/40/89/583014089.db2.gz BBEIIIOSGLKTED-ONGXEEELSA-N 0 3 209.289 2.566 20 0 BFADHN COCCC[C@@H](C)N[C@H](C)c1c(C)noc1C ZINC000395417300 583057695 /nfs/dbraw/zinc/05/76/95/583057695.db2.gz QXPLQLUPFSOMIK-NXEZZACHSA-N 0 3 240.347 2.757 20 0 BFADHN CO[C@@H]1CCC[C@H](N[C@H](C)c2ccccn2)C1 ZINC000160859693 566325926 /nfs/dbraw/zinc/32/59/26/566325926.db2.gz GODFWMRGYOTNSI-FRRDWIJNSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H](CC1CCC1)N[C@@H](CO)c1ccsc1 ZINC000393804239 583107303 /nfs/dbraw/zinc/10/73/03/583107303.db2.gz PBZGPARXHSPCJM-GWCFXTLKSA-N 0 3 239.384 2.950 20 0 BFADHN CC(C)n1nccc1CN[C@H]1CCC(F)(F)C1 ZINC000394619784 583129029 /nfs/dbraw/zinc/12/90/29/583129029.db2.gz SPADNHBBUHAJMT-JTQLQIEISA-N 0 3 243.301 2.741 20 0 BFADHN C[C@H](CCC1CC1)NCc1nccs1 ZINC000184566533 583161815 /nfs/dbraw/zinc/16/18/15/583161815.db2.gz PMEXWTWQDHSYMC-SECBINFHSA-N 0 3 210.346 2.811 20 0 BFADHN CCC[C@H]1CCCN(Cc2ocnc2C)C1 ZINC000894063605 583292265 /nfs/dbraw/zinc/29/22/65/583292265.db2.gz DANHIALZHSYAHS-LBPRGKRZSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1c(Cl)cccc1CN1C[C@H](O)C[C@@H]1C ZINC000877467091 583315829 /nfs/dbraw/zinc/31/58/29/583315829.db2.gz ABBNBWLAILHRTI-JOYOIKCWSA-N 0 3 239.746 2.604 20 0 BFADHN CC(C)(CNCc1cncnc1)CC(F)(F)F ZINC000853096369 588719722 /nfs/dbraw/zinc/71/97/22/588719722.db2.gz YVSULUDHIPDWAA-UHFFFAOYSA-N 0 3 247.264 2.545 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)NCCOCCF ZINC000853238785 588728166 /nfs/dbraw/zinc/72/81/66/588728166.db2.gz QUZNAASJSYHWFU-NSHDSACASA-N 0 3 243.297 2.771 20 0 BFADHN CC(=O)C1CCN(CCc2ccccc2)CC1 ZINC000111690712 588767454 /nfs/dbraw/zinc/76/74/54/588767454.db2.gz LCNDXGILPBBGSH-UHFFFAOYSA-N 0 3 231.339 2.530 20 0 BFADHN CC(C)c1noc(C[C@H]2NCc3ccccc32)n1 ZINC000854133846 588776346 /nfs/dbraw/zinc/77/63/46/588776346.db2.gz CCKRHKBZZWVIMY-GFCCVEGCSA-N 0 3 243.310 2.580 20 0 BFADHN CN(C)Cc1ccc(F)c(-c2ncco2)c1 ZINC000854196577 588778705 /nfs/dbraw/zinc/77/87/05/588778705.db2.gz FNNKSTNANLHFKE-UHFFFAOYSA-N 0 3 220.247 2.542 20 0 BFADHN CCC(CC)CN(CC)CN1C[C@@H](C)CC1=O ZINC000113240738 588828021 /nfs/dbraw/zinc/82/80/21/588828021.db2.gz IBNICNAOLBXVNL-LBPRGKRZSA-N 0 3 240.391 2.570 20 0 BFADHN Cc1csc([C@@H](C)NC[C@@](C)(O)C(C)C)n1 ZINC000168062408 582524668 /nfs/dbraw/zinc/52/46/68/582524668.db2.gz YOOUTAFZFUSWSR-ZYHUDNBSSA-N 0 3 242.388 2.509 20 0 BFADHN Cn1cccc1CN1CCC[C@]2(CC2(F)F)C1 ZINC000846108212 582647467 /nfs/dbraw/zinc/64/74/67/582647467.db2.gz NDKNPANFRSAZIV-LBPRGKRZSA-N 0 3 240.297 2.646 20 0 BFADHN CCN(CC)C[C@@H]1C[C@@]1(C)Br ZINC000891438876 582685823 /nfs/dbraw/zinc/68/58/23/582685823.db2.gz VLSQKSCFQCTKBX-DTWKUNHWSA-N 0 3 220.154 2.502 20 0 BFADHN C[C@@H]1CCCN(Cc2cnn(CC3CCC3)c2)C1 ZINC000891447725 582687187 /nfs/dbraw/zinc/68/71/87/582687187.db2.gz VLUCJSHGRIORBS-CYBMUJFWSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1cc(CN(C)C2CCCCC2)c(C)n1 ZINC000891447596 582687417 /nfs/dbraw/zinc/68/74/17/582687417.db2.gz UBVPKPRAVYNLHW-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@H](C)C2)o1 ZINC000891449155 582688261 /nfs/dbraw/zinc/68/82/61/582688261.db2.gz MUHZADCANWCBMM-PHIMTYICSA-N 0 3 223.316 2.766 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cnn(C)c2C2CC2)C1 ZINC000891449244 582688303 /nfs/dbraw/zinc/68/83/03/582688303.db2.gz PQAKHMOMMJAGAO-TXEJJXNPSA-N 0 3 247.386 2.775 20 0 BFADHN C[C@H]1CCCCN1Cc1cnn(C2CCC2)c1 ZINC000891456650 582690419 /nfs/dbraw/zinc/69/04/19/582690419.db2.gz JGBPXHPGETZPRV-LBPRGKRZSA-N 0 3 233.359 2.983 20 0 BFADHN Cc1cc(F)ncc1CN1CCCC[C@@H]1C ZINC000891457511 582690995 /nfs/dbraw/zinc/69/09/95/582690995.db2.gz RMRMDNGRBSLCDG-NSHDSACASA-N 0 3 222.307 2.904 20 0 BFADHN CCCN(C)C[C@H]1C[C@]1(C)Br ZINC000891578669 582698605 /nfs/dbraw/zinc/69/86/05/582698605.db2.gz NQXZXXKOHXKSKP-BDAKNGLRSA-N 0 3 220.154 2.502 20 0 BFADHN Cc1cc(F)ncc1CN(C)[C@H](C)C1CC1 ZINC000891644391 582704461 /nfs/dbraw/zinc/70/44/61/582704461.db2.gz WKYGCCOPNWSKCK-SNVBAGLBSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1cc(N)nc(N[C@H](C)c2ccccc2C)n1 ZINC000891644192 582704485 /nfs/dbraw/zinc/70/44/85/582704485.db2.gz ADYAJAAWMTVGMO-LLVKDONJSA-N 0 3 242.326 2.849 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cn2ccnc2s1 ZINC000891642646 582705026 /nfs/dbraw/zinc/70/50/26/582705026.db2.gz ATYQMICHTQGCCB-VIFPVBQESA-N 0 3 235.356 2.626 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cnn(CC2CCC2)c1 ZINC000891643202 582705853 /nfs/dbraw/zinc/70/58/53/582705853.db2.gz IVSSLUGKDSXUSW-LBPRGKRZSA-N 0 3 247.386 2.914 20 0 BFADHN CC[C@@H]1CCCN(Cc2c3c(nn2C)CCC3)C1 ZINC000891705859 582711141 /nfs/dbraw/zinc/71/11/41/582711141.db2.gz JWXPPQOSASCVCA-GFCCVEGCSA-N 0 3 247.386 2.531 20 0 BFADHN CCc1[nH]nc(Cl)c1CN1CCC[C@@H]1CC ZINC000891778804 582719572 /nfs/dbraw/zinc/71/95/72/582719572.db2.gz DEOSTWAAOBJKKR-VIFPVBQESA-N 0 3 241.766 3.000 20 0 BFADHN CC[C@H]1CCCN1Cc1ccnn1C1CCC1 ZINC000891779999 582720668 /nfs/dbraw/zinc/72/06/68/582720668.db2.gz PXYYCDBGMAGKGE-LBPRGKRZSA-N 0 3 233.359 2.983 20 0 BFADHN COCCCN(C)Cc1cc(O)cc(Cl)c1 ZINC000891818273 582725821 /nfs/dbraw/zinc/72/58/21/582725821.db2.gz KGJOEFOOVLPPSF-UHFFFAOYSA-N 0 3 243.734 2.514 20 0 BFADHN CCc1ccc(CNc2nc(C)cc(N)n2)s1 ZINC000891833883 582728530 /nfs/dbraw/zinc/72/85/30/582728530.db2.gz VSTRXBAIFBENJR-UHFFFAOYSA-N 0 3 248.355 2.603 20 0 BFADHN C[C@@H]1CCCCCN1Cc1c2c(nn1C)CCC2 ZINC000892036450 582768853 /nfs/dbraw/zinc/76/88/53/582768853.db2.gz LDYPQAACIJAOML-GFCCVEGCSA-N 0 3 247.386 2.673 20 0 BFADHN Cc1cc(CN2CCC3(CCC3)C2)cnc1F ZINC000892056687 582777272 /nfs/dbraw/zinc/77/72/72/582777272.db2.gz VIFIIJYOPRZDND-UHFFFAOYSA-N 0 3 234.318 2.905 20 0 BFADHN c1cn2cc(CN3CCC4(CCC4)C3)sc2n1 ZINC000892055903 582778283 /nfs/dbraw/zinc/77/82/83/582778283.db2.gz NHJURFKCILTHHG-UHFFFAOYSA-N 0 3 247.367 2.772 20 0 BFADHN C[C@@H]1CCN(Cc2cn3ccnc3s2)C[C@@H]1C ZINC000892064920 582782385 /nfs/dbraw/zinc/78/23/85/582782385.db2.gz GVDAFUJQZSPSNO-MNOVXSKESA-N 0 3 249.383 2.874 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3ccnn3C3CCC3)C[C@@H]21 ZINC000892113731 582794297 /nfs/dbraw/zinc/79/42/97/582794297.db2.gz HGERKZKGOXLVEY-OKILXGFUSA-N 0 3 245.370 2.696 20 0 BFADHN c1cc(CN2CC[C@H](C3CC3)C2)n(C2CCC2)n1 ZINC000892144329 582799250 /nfs/dbraw/zinc/79/92/50/582799250.db2.gz NBEUMQMBNRTNRP-ZDUSSCGKSA-N 0 3 245.370 2.840 20 0 BFADHN CCOc1ccc(CN2CC[C@H](C3CC3)C2)o1 ZINC000892146590 582801310 /nfs/dbraw/zinc/80/13/10/582801310.db2.gz WWKAFOQZGLAPCJ-LBPRGKRZSA-N 0 3 235.327 2.910 20 0 BFADHN COc1ccc(CN(C)[C@H](C)C2(C)CC2)o1 ZINC000892216731 582808343 /nfs/dbraw/zinc/80/83/43/582808343.db2.gz BPHBQNOJAQEJBV-SNVBAGLBSA-N 0 3 223.316 2.909 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cnn(CC2CCC2)c1 ZINC000892215432 582808482 /nfs/dbraw/zinc/80/84/82/582808482.db2.gz XAKWMFYHSOQURH-QWHCGFSZSA-N 0 3 247.386 2.914 20 0 BFADHN Cc1cc(CN2CC[C@H](C)[C@H]2C)cnc1F ZINC000892215541 582808505 /nfs/dbraw/zinc/80/85/05/582808505.db2.gz XJINCODYASDUIJ-GXSJLCMTSA-N 0 3 222.307 2.759 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cnn(C2CCC2)c1 ZINC000892224053 582809650 /nfs/dbraw/zinc/80/96/50/582809650.db2.gz PKOHNYMQIRJZKY-VXGBXAGGSA-N 0 3 233.359 2.981 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1ncc[nH]1 ZINC000124834204 582809691 /nfs/dbraw/zinc/80/96/91/582809691.db2.gz RIUOQGPWCWLCQF-WYUUTHIRSA-N 0 3 221.348 2.885 20 0 BFADHN CC[C@H]1CCN(Cc2cn3ccnc3s2)C1 ZINC000892222822 582809733 /nfs/dbraw/zinc/80/97/33/582809733.db2.gz BBCUUCVUMVVFCK-JTQLQIEISA-N 0 3 235.356 2.628 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc(OC)o2)C1 ZINC000892223399 582809824 /nfs/dbraw/zinc/80/98/24/582809824.db2.gz IDMKPXSPLRNVBG-SNVBAGLBSA-N 0 3 209.289 2.520 20 0 BFADHN COc1ccc(CN2[C@H](C)CC[C@@H]2C)o1 ZINC000892223137 582809859 /nfs/dbraw/zinc/80/98/59/582809859.db2.gz FPEACPGYHCQTQX-AOOOYVTPSA-N 0 3 209.289 2.661 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cn2ccnc2s1 ZINC000892223539 582810244 /nfs/dbraw/zinc/81/02/44/582810244.db2.gz JHKZTXUWRGPKJG-UWVGGRQHSA-N 0 3 235.356 2.769 20 0 BFADHN CC[C@@H]1CCN(Cc2cccc3[nH]c(=O)oc32)C1 ZINC000892223894 582810263 /nfs/dbraw/zinc/81/02/63/582810263.db2.gz NGQVDAYPVDLAPQ-SNVBAGLBSA-N 0 3 246.310 2.765 20 0 BFADHN CN(CCC1CC1)Cc1cccc2[nH]c(=O)oc21 ZINC000892235315 582810999 /nfs/dbraw/zinc/81/09/99/582810999.db2.gz MKRZXSHSUKQQQQ-UHFFFAOYSA-N 0 3 246.310 2.765 20 0 BFADHN CN(CCC1CC1)Cc1ccnn1C1CCC1 ZINC000892237426 582811573 /nfs/dbraw/zinc/81/15/73/582811573.db2.gz YLFTURXEXNGEEF-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN CCN(CCc1ccccn1)C[C@H]1CCCCO1 ZINC000744200589 582872422 /nfs/dbraw/zinc/87/24/22/582872422.db2.gz LJIFEUZCGMXZGO-OAHLLOKOSA-N 0 3 248.370 2.515 20 0 BFADHN Cn1ncc(CNCc2ccccc2)c1C1CC1 ZINC000892433669 582887202 /nfs/dbraw/zinc/88/72/02/582887202.db2.gz VAZJCRMMPTWSOU-UHFFFAOYSA-N 0 3 241.338 2.587 20 0 BFADHN COc1ccc(CNCCc2ccsc2)o1 ZINC000892509481 582901880 /nfs/dbraw/zinc/90/18/80/582901880.db2.gz FMSYJGHFXCKRKS-UHFFFAOYSA-N 0 3 237.324 2.682 20 0 BFADHN CCOc1ccc(CN[C@@H](C)Cc2ccco2)o1 ZINC000892514290 582904191 /nfs/dbraw/zinc/90/41/91/582904191.db2.gz BGQOTGFOLUXXDZ-NSHDSACASA-N 0 3 249.310 2.992 20 0 BFADHN C[C@@H](N[C@@H](C)c1ncc[nH]1)C1CCC(F)CC1 ZINC000850557727 582929517 /nfs/dbraw/zinc/92/95/17/582929517.db2.gz XJEBESNJNTWBAF-QKEWWQLBSA-N 0 3 239.338 2.977 20 0 BFADHN Cc1cccc2nc(CN[C@@H]3CC[C@H]3C)cn21 ZINC000783239748 582951742 /nfs/dbraw/zinc/95/17/42/582951742.db2.gz MIEXTVUOALUAFZ-ZWNOBZJWSA-N 0 3 229.327 2.531 20 0 BFADHN CC(=O)OC[C@H](C)N[C@H]1CCc2cc(C)ccc21 ZINC000892681269 582952531 /nfs/dbraw/zinc/95/25/31/582952531.db2.gz YDQYELPYTDHZKL-NHYWBVRUSA-N 0 3 247.338 2.524 20 0 BFADHN CC(=O)OC[C@H](C)N[C@@H]1CCc2cc(C)ccc21 ZINC000892681270 582952751 /nfs/dbraw/zinc/95/27/51/582952751.db2.gz YDQYELPYTDHZKL-XHDPSFHLSA-N 0 3 247.338 2.524 20 0 BFADHN COCc1ccc(CN[C@H]2CCC(F)(F)C2)o1 ZINC000394558772 582994410 /nfs/dbraw/zinc/99/44/10/582994410.db2.gz GVCMMXBADZFCDR-VIFPVBQESA-N 0 3 245.269 2.703 20 0 BFADHN CCCCCCC(=O)N1C[C@@H](C)N[C@@H](CC)C1 ZINC000856409309 588872181 /nfs/dbraw/zinc/87/21/81/588872181.db2.gz MAOWCBJCESXJPR-OLZOCXBDSA-N 0 3 240.391 2.556 20 0 BFADHN CCCC[C@@H](COC)NCc1ccc(OC)o1 ZINC000893000283 583027055 /nfs/dbraw/zinc/02/70/55/583027055.db2.gz GYMTWPKXPIAPGZ-NSHDSACASA-N 0 3 241.331 2.583 20 0 BFADHN COc1nccc(CNCCCC2CC2)c1F ZINC000893001767 583027457 /nfs/dbraw/zinc/02/74/57/583027457.db2.gz NWPHRJWKYHKUGE-UHFFFAOYSA-N 0 3 238.306 2.509 20 0 BFADHN CCCSC[C@H](C)NCc1cnn(CC)c1 ZINC000893000666 583028439 /nfs/dbraw/zinc/02/84/39/583028439.db2.gz LFWCLWORWKEKKH-NSHDSACASA-N 0 3 241.404 2.524 20 0 BFADHN CCOc1ccc(CNCCCCSC)o1 ZINC000893001037 583028845 /nfs/dbraw/zinc/02/88/45/583028845.db2.gz YUDOIEVBDNAWOS-UHFFFAOYSA-N 0 3 243.372 2.911 20 0 BFADHN Cc1oc(C(C)(C)C)cc1CN[C@@H](C)CCO ZINC000893005066 583029248 /nfs/dbraw/zinc/02/92/48/583029248.db2.gz PKEGKGIRKORZSS-JTQLQIEISA-N 0 3 239.359 2.746 20 0 BFADHN COc1ccc(CN[C@@H]2CC3CCC2CC3)o1 ZINC000893111236 583051154 /nfs/dbraw/zinc/05/11/54/583051154.db2.gz DUHKXQUJXODAIX-GCZXYKMCSA-N 0 3 235.327 2.957 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1CC[C@H]1SC ZINC000893123312 583052836 /nfs/dbraw/zinc/05/28/36/583052836.db2.gz GMQVMLVALVXBLC-ZYHUDNBSSA-N 0 3 240.372 2.529 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CNC1CC=CC1 ZINC000893133089 583055134 /nfs/dbraw/zinc/05/51/34/583055134.db2.gz ZYPUZEYMHNNGEH-UHFFFAOYSA-N 0 3 233.359 2.889 20 0 BFADHN COCCC[C@H](C)N[C@H](C)c1c(C)noc1C ZINC000395417310 583057657 /nfs/dbraw/zinc/05/76/57/583057657.db2.gz QXPLQLUPFSOMIK-VHSXEESVSA-N 0 3 240.347 2.757 20 0 BFADHN COc1ccc(CNCCC2CCC2)o1 ZINC000893152194 583058351 /nfs/dbraw/zinc/05/83/51/583058351.db2.gz TYFVEDPDOBFBNZ-UHFFFAOYSA-N 0 3 209.289 2.568 20 0 BFADHN COc1ccc(CNCC2(C3CC3)CCC2)o1 ZINC000893185177 583065898 /nfs/dbraw/zinc/06/58/98/583065898.db2.gz WAESPBTWNCNGPY-UHFFFAOYSA-N 0 3 235.327 2.958 20 0 BFADHN CC(C)C(C)(C)NCc1cn(C)nc1Cl ZINC000856769699 588876907 /nfs/dbraw/zinc/87/69/07/588876907.db2.gz YPLNIUKTJLICKT-UHFFFAOYSA-N 0 3 229.755 2.598 20 0 BFADHN CCOc1ccc(CN[C@@H](C)C2CCC2)o1 ZINC000893256185 583079438 /nfs/dbraw/zinc/07/94/38/583079438.db2.gz RECGZWCMKPOBGY-JTQLQIEISA-N 0 3 223.316 2.957 20 0 BFADHN COC[C@@H](NCc1cc(C)ccc1OC)C1CC1 ZINC000397473342 583101049 /nfs/dbraw/zinc/10/10/49/583101049.db2.gz YFWBHSOQNLUHKJ-CQSZACIVSA-N 0 3 249.354 2.518 20 0 BFADHN CCOCCCNCc1nccc2ccccc21 ZINC000775826466 583105827 /nfs/dbraw/zinc/10/58/27/583105827.db2.gz ACBKHEPQXFUYMN-UHFFFAOYSA-N 0 3 244.338 2.751 20 0 BFADHN Cc1cnc(Cl)c(CNC(C)(C)C)c1 ZINC000893331146 583105879 /nfs/dbraw/zinc/10/58/79/583105879.db2.gz MPGGHGPLNHIIDO-UHFFFAOYSA-N 0 3 212.724 2.932 20 0 BFADHN C[C@@H](CC(C)(C)C)NCc1cn(C)nc1Cl ZINC000856789357 588877907 /nfs/dbraw/zinc/87/79/07/588877907.db2.gz COMQTDHUFAJCTE-VIFPVBQESA-N 0 3 243.782 2.988 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1cn(C)nc1Cl ZINC000856794087 588878125 /nfs/dbraw/zinc/87/81/25/588878125.db2.gz NKEVDKRHUXITAC-SECBINFHSA-N 0 3 243.782 2.988 20 0 BFADHN CC(C)[C@@H](NCc1cn(C)nc1Cl)C1CC1 ZINC000856796412 588878313 /nfs/dbraw/zinc/87/83/13/588878313.db2.gz UEBKQBWHTQXXGP-LLVKDONJSA-N 0 3 241.766 2.598 20 0 BFADHN CCOc1ccc(CNC2(C)CCC2)o1 ZINC000893384723 583133536 /nfs/dbraw/zinc/13/35/36/583133536.db2.gz XRTAAMBPLOWNHG-UHFFFAOYSA-N 0 3 209.289 2.711 20 0 BFADHN COc1ccc(CNC[C@@H]2CCCCS2)o1 ZINC000893513485 583155770 /nfs/dbraw/zinc/15/57/70/583155770.db2.gz SXPVACVPMUDSBT-NSHDSACASA-N 0 3 241.356 2.664 20 0 BFADHN C1CC1c1noc([C@@H]2C[C@H]3CCCC[C@@H]3N2)n1 ZINC000216112234 583169194 /nfs/dbraw/zinc/16/91/94/583169194.db2.gz NSVTWDSEMVGWJW-VWYCJHECSA-N 0 3 233.315 2.540 20 0 BFADHN CN(CCC(=O)c1ccc(F)cc1)C1CCC1 ZINC000165499537 583170704 /nfs/dbraw/zinc/17/07/04/583170704.db2.gz XGNALHDFDPXMJA-UHFFFAOYSA-N 0 3 235.302 2.883 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cn(C)nc1Cl ZINC000857023126 588882958 /nfs/dbraw/zinc/88/29/58/588882958.db2.gz YXBWJPBSIQCFCE-GXSJLCMTSA-N 0 3 241.766 2.694 20 0 BFADHN c1cn2cc(CN3CCCC4(CC4)C3)sc2n1 ZINC000893664053 583191780 /nfs/dbraw/zinc/19/17/80/583191780.db2.gz PGXPXPCWIKJHDU-UHFFFAOYSA-N 0 3 247.367 2.772 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cn(C)nc1Cl ZINC000857040463 588883508 /nfs/dbraw/zinc/88/35/08/588883508.db2.gz ZFNRDWKSYQTXDI-ONGXEEELSA-N 0 3 241.766 2.694 20 0 BFADHN Cc1cc(N)nc(N[C@@H](C)c2ccsc2)n1 ZINC000893709624 583208733 /nfs/dbraw/zinc/20/87/33/583208733.db2.gz DQPPXFWNSSHRAK-QMMMGPOBSA-N 0 3 234.328 2.602 20 0 BFADHN CCOC[C@H](C)NCc1cc(O)cc(Cl)c1 ZINC000893712338 583210413 /nfs/dbraw/zinc/21/04/13/583210413.db2.gz VAVDARZNQDVEQJ-VIFPVBQESA-N 0 3 243.734 2.560 20 0 BFADHN CC1(c2ccccc2)CN(C[C@@H]2CCCOC2)C1 ZINC000857058357 588884652 /nfs/dbraw/zinc/88/46/52/588884652.db2.gz MZZVFJGJVUCSEX-AWEZNQCLSA-N 0 3 245.366 2.687 20 0 BFADHN Cc1noc2ncc(CN3CCC[C@H](C)C3)cc12 ZINC000893870375 583235867 /nfs/dbraw/zinc/23/58/67/583235867.db2.gz ARUYTXHLXJZZBH-JTQLQIEISA-N 0 3 245.326 2.763 20 0 BFADHN O=c1oc2ccc(CN3CCCCCC3)cc2o1 ZINC000893871011 583236240 /nfs/dbraw/zinc/23/62/40/583236240.db2.gz JKNIDBKYJWOAMV-UHFFFAOYSA-N 0 3 247.294 2.762 20 0 BFADHN Cc1noc2ncc(CN3CCC(C)CC3)cc12 ZINC000893871245 583237177 /nfs/dbraw/zinc/23/71/77/583237177.db2.gz ITNOZCRDZPGMAE-UHFFFAOYSA-N 0 3 245.326 2.763 20 0 BFADHN Cc1ncoc1CN([C@@H](C)C(C)C)C1CC1 ZINC000893871357 583237512 /nfs/dbraw/zinc/23/75/12/583237512.db2.gz KZIBGGIVRMDKTD-NSHDSACASA-N 0 3 222.332 2.992 20 0 BFADHN Cc1noc2ncc(CN3CCCC[C@@H]3C)cc12 ZINC000893872815 583237866 /nfs/dbraw/zinc/23/78/66/583237866.db2.gz VIRORMGQCXQFFU-JTQLQIEISA-N 0 3 245.326 2.906 20 0 BFADHN c1cc(CN2CCSCC2)c2c(c1)CCCN2 ZINC000893921347 583247818 /nfs/dbraw/zinc/24/78/18/583247818.db2.gz KFIRMDIERJXGCP-UHFFFAOYSA-N 0 3 248.395 2.594 20 0 BFADHN Cc1n[nH]c(CN(C)[C@H](C)C(C)C)c1C ZINC000893931498 583251655 /nfs/dbraw/zinc/25/16/55/583251655.db2.gz OWUVOBQOZRHKAW-LLVKDONJSA-N 0 3 209.337 2.503 20 0 BFADHN CC[C@H]1CCCN(Cc2[nH]nc(C)c2C)C1 ZINC000893961281 583256495 /nfs/dbraw/zinc/25/64/95/583256495.db2.gz DOXVYULSNVKFQW-LBPRGKRZSA-N 0 3 221.348 2.649 20 0 BFADHN CCC[C@@H](C)N(C)Cc1[nH]nc(C)c1C ZINC000893945702 583257013 /nfs/dbraw/zinc/25/70/13/583257013.db2.gz LAPAQRNEMFIZKM-SECBINFHSA-N 0 3 209.337 2.647 20 0 BFADHN CCC[C@@H](C)N(C)Cc1n[nH]c(C)c1C ZINC000893945702 583257015 /nfs/dbraw/zinc/25/70/15/583257015.db2.gz LAPAQRNEMFIZKM-SECBINFHSA-N 0 3 209.337 2.647 20 0 BFADHN CO[C@@H]1CCCN(C/C=C\c2cccc(F)c2)C1 ZINC000893958476 583263024 /nfs/dbraw/zinc/26/30/24/583263024.db2.gz BAYIFKCORXHKOR-OMVNSRBRSA-N 0 3 249.329 2.950 20 0 BFADHN CCN(Cc1[nH]nc(C)c1C)[C@H](C)C(C)C ZINC000893977859 583266380 /nfs/dbraw/zinc/26/63/80/583266380.db2.gz KVRSHUBPUKANDA-GFCCVEGCSA-N 0 3 223.364 2.893 20 0 BFADHN Cc1coc(CN2CCC[C@H](n3ccnc3)C2)c1 ZINC000893994403 583267469 /nfs/dbraw/zinc/26/74/69/583267469.db2.gz LEKVDOSWYWQUJG-ZDUSSCGKSA-N 0 3 245.326 2.622 20 0 BFADHN Cc1ccnc(N)c1CN1CCC(C)(C)CC1 ZINC000893996254 583267534 /nfs/dbraw/zinc/26/75/34/583267534.db2.gz WYNSZPVGDSPFIN-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN Cc1n[nH]c(CN(C)C(C2CC2)C2CC2)c1C ZINC000893984024 583269203 /nfs/dbraw/zinc/26/92/03/583269203.db2.gz JMBGYUHCNJHYDJ-UHFFFAOYSA-N 0 3 233.359 2.647 20 0 BFADHN CN(C/C=C\c1cccc(F)c1)[C@@H]1CCOC1 ZINC000894001285 583271014 /nfs/dbraw/zinc/27/10/14/583271014.db2.gz HKMJEAULDBBAEI-PKXJPQMGSA-N 0 3 235.302 2.560 20 0 BFADHN Cc1coc(CN2CCC3(CCC3)C2)c1 ZINC000894031481 583277094 /nfs/dbraw/zinc/27/70/94/583277094.db2.gz XWQRKAODDPBXFE-UHFFFAOYSA-N 0 3 205.301 2.964 20 0 BFADHN Cc1coc(CN2CCSC(C)(C)C2)c1 ZINC000894035166 583279413 /nfs/dbraw/zinc/27/94/13/583279413.db2.gz VZVWPBZQJIVJMH-UHFFFAOYSA-N 0 3 225.357 2.915 20 0 BFADHN Cc1ncoc1CN1C[C@@H](C)CC[C@@H]1C ZINC000894035188 583279908 /nfs/dbraw/zinc/27/99/08/583279908.db2.gz WGPQMJPOTFSQNL-UWVGGRQHSA-N 0 3 208.305 2.603 20 0 BFADHN COc1ccc(CN[C@H]2CC23CCCC3)nc1 ZINC000894035396 583279993 /nfs/dbraw/zinc/27/99/93/583279993.db2.gz UQDIBQUBCCSECN-ZDUSSCGKSA-N 0 3 232.327 2.513 20 0 BFADHN COc1ccc(CN2CCS[C@@H](C)CC2)o1 ZINC000894027305 583282163 /nfs/dbraw/zinc/28/21/63/583282163.db2.gz CCDBUCOJKJEQGS-JTQLQIEISA-N 0 3 241.356 2.616 20 0 BFADHN Cc1n[nH]c(CN(C(C)C)C2CCC2)c1C ZINC000894043252 583286205 /nfs/dbraw/zinc/28/62/05/583286205.db2.gz FQKLKEKOGAXLHR-UHFFFAOYSA-N 0 3 221.348 2.789 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2ccc3oc(=O)oc3c2)C1 ZINC000894047017 583287340 /nfs/dbraw/zinc/28/73/40/583287340.db2.gz ZJVPHOJTAORPRV-ZJUUUORDSA-N 0 3 247.294 2.616 20 0 BFADHN Cc1coc(CN2CCC[C@@H]3COCC[C@@H]32)c1 ZINC000894087355 583298090 /nfs/dbraw/zinc/29/80/90/583298090.db2.gz BQPLYDHMXVTVMW-OCCSQVGLSA-N 0 3 235.327 2.589 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1ccc2oc(=O)oc2c1 ZINC000894136703 583314031 /nfs/dbraw/zinc/31/40/31/583314031.db2.gz BUGSJHRJEAYQSW-NSHDSACASA-N 0 3 247.294 2.616 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@@H]1C[C@@]1(C)Br ZINC000894138914 583316413 /nfs/dbraw/zinc/31/64/13/583316413.db2.gz JARQIVJMDUXAFC-QCLAVDOMSA-N 0 3 232.165 2.643 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1nccc2c1CCCC2 ZINC000894141636 583316697 /nfs/dbraw/zinc/31/66/97/583316697.db2.gz YCTPHRWBODWEIW-RYUDHWBXSA-N 0 3 230.355 2.943 20 0 BFADHN Cc1ncoc1CN[C@@H](C)Cc1cccs1 ZINC000894164683 583322515 /nfs/dbraw/zinc/32/25/15/583322515.db2.gz IZDFOASVFLGQGD-VIFPVBQESA-N 0 3 236.340 2.765 20 0 BFADHN Cc1cc(C)c(CCNCc2c[nH]cn2)c(C)c1 ZINC000894192845 583333968 /nfs/dbraw/zinc/33/39/68/583333968.db2.gz FJHDHXKKPBIXOT-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN Cc1ncoc1CN[C@H](C)Cc1ccsc1 ZINC000894198957 583336636 /nfs/dbraw/zinc/33/66/36/583336636.db2.gz HYASDDHWUQAVJH-SECBINFHSA-N 0 3 236.340 2.765 20 0 BFADHN Cc1ccccc1[C@H](O)CN1CC(CC2CC2)C1 ZINC000857211959 588894139 /nfs/dbraw/zinc/89/41/39/588894139.db2.gz FVTIGIUYXAENSW-MRXNPFEDSA-N 0 3 245.366 2.760 20 0 BFADHN C[C@H]1C[C@H](NCc2noc3ccccc32)CS1 ZINC000877620851 583339656 /nfs/dbraw/zinc/33/96/56/583339656.db2.gz KJIJUHQQTIPQHQ-UWVGGRQHSA-N 0 3 248.351 2.811 20 0 BFADHN Cc1cc(CNC2CSC2)cc2cccnc12 ZINC000877634955 583341074 /nfs/dbraw/zinc/34/10/74/583341074.db2.gz WFXBNZJGZXXCBJ-UHFFFAOYSA-N 0 3 244.363 2.748 20 0 BFADHN C[C@H]1C[C@H](N[C@@H]2CCCC[C@@H]2F)CS1 ZINC000877681699 583343283 /nfs/dbraw/zinc/34/32/83/583343283.db2.gz AAXKGIFVAORRHD-XWLWVQCSSA-N 0 3 217.353 2.751 20 0 BFADHN Cc1ncccc1CN1CC[C@@H]2CSC[C@H]2C1 ZINC000877737935 583344399 /nfs/dbraw/zinc/34/43/99/583344399.db2.gz IZJQPCPCQKBQAR-ZIAGYGMSSA-N 0 3 248.395 2.575 20 0 BFADHN CC1(C)CC[C@@H](CN2CC[C@H](C(F)F)C2)OC1 ZINC000878319809 583369566 /nfs/dbraw/zinc/36/95/66/583369566.db2.gz BCHFQHWZNVSIGU-QWRGUYRKSA-N 0 3 247.329 2.779 20 0 BFADHN CC1=CCCN(C[C@@H]2C[C@@]2(C)Br)C1 ZINC000894221332 583382033 /nfs/dbraw/zinc/38/20/33/583382033.db2.gz NKOBCAPSSYBUPN-WDEREUQCSA-N 0 3 244.176 2.812 20 0 BFADHN COc1ccc(CNC[C@@H]2CCC[C@H]2C)o1 ZINC000894241629 583387754 /nfs/dbraw/zinc/38/77/54/583387754.db2.gz YEQAPBSYMQMILD-MNOVXSKESA-N 0 3 223.316 2.814 20 0 BFADHN Cc1ncoc1CN[C@H]1C[C@H](C)C[C@H](C)C1 ZINC000894281268 583408604 /nfs/dbraw/zinc/40/86/04/583408604.db2.gz SRLDCNONONVCKP-IAZYJMLFSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ncoc1CN[C@@H]1CCCC1(C)C ZINC000894326965 583423401 /nfs/dbraw/zinc/42/34/01/583423401.db2.gz BLVIPXSDHLUKRN-LLVKDONJSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1ncoc1CNCC1(CC(C)C)CC1 ZINC000894331610 583425017 /nfs/dbraw/zinc/42/50/17/583425017.db2.gz HXACZSPOILRBBX-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1cc(C)nc(NCc2c(C)ccnc2N)c1 ZINC000894359541 583436449 /nfs/dbraw/zinc/43/64/49/583436449.db2.gz OAZQAJDYQZTODT-UHFFFAOYSA-N 0 3 242.326 2.596 20 0 BFADHN Cc1coc(CN(C)C[C@@H]2CCCC[C@H]2O)c1 ZINC000894420528 583455686 /nfs/dbraw/zinc/45/56/86/583455686.db2.gz OHXDLMKGVMBOCI-GXTWGEPZSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1ncoc1CN1CC[C@H](C)C2(CCC2)C1 ZINC000894427938 583456798 /nfs/dbraw/zinc/45/67/98/583456798.db2.gz XVFAWYYZMRRMIY-NSHDSACASA-N 0 3 234.343 2.995 20 0 BFADHN Cc1ncoc1CN1CC[C@@H](C)C2(CCC2)C1 ZINC000894427937 583457076 /nfs/dbraw/zinc/45/70/76/583457076.db2.gz XVFAWYYZMRRMIY-LLVKDONJSA-N 0 3 234.343 2.995 20 0 BFADHN C[C@H](O)[C@H]1CCN(C/C=C/c2cccc(F)c2)C1 ZINC000894430434 583457664 /nfs/dbraw/zinc/45/76/64/583457664.db2.gz FOPTZNLNKQGNCC-FLBZCCBISA-N 0 3 249.329 2.542 20 0 BFADHN c1csc(N[C@H]2CCCN3CCCC[C@@H]23)n1 ZINC000894438741 583459824 /nfs/dbraw/zinc/45/98/24/583459824.db2.gz UCDUAUIZNOJXHQ-QWRGUYRKSA-N 0 3 237.372 2.572 20 0 BFADHN Cn1cc(CN[C@H]2CCC2(C)C)c(C2CC2)n1 ZINC000894445536 583460542 /nfs/dbraw/zinc/46/05/42/583460542.db2.gz OUEAWKSCJDSAAG-LBPRGKRZSA-N 0 3 233.359 2.576 20 0 BFADHN Cc1ncoc1CN(C)C[C@@H]1CC=CCC1 ZINC000894445251 583460604 /nfs/dbraw/zinc/46/06/04/583460604.db2.gz PLNKXMAPRDHJAH-GFCCVEGCSA-N 0 3 220.316 2.771 20 0 BFADHN Cc1ncoc1CN1C[C@@H](C)CC(C)(C)C1 ZINC000894445161 583460965 /nfs/dbraw/zinc/46/09/65/583460965.db2.gz NQPVAIBNCCBHRC-JTQLQIEISA-N 0 3 222.332 2.851 20 0 BFADHN Cc1coc(CN2CCC([C@@H]3CCOC3)CC2)c1 ZINC000894444206 583461327 /nfs/dbraw/zinc/46/13/27/583461327.db2.gz FUKJJGPQCJXVLT-CQSZACIVSA-N 0 3 249.354 2.837 20 0 BFADHN OCC[C@@H]1CCCN1C/C=C\c1cccc(F)c1 ZINC000894499097 583472574 /nfs/dbraw/zinc/47/25/74/583472574.db2.gz DRAVQARZBJQBSZ-PRSOIBJXSA-N 0 3 249.329 2.686 20 0 BFADHN CCOC[C@H](C)NCc1nccc2ccccc21 ZINC000894498068 583472618 /nfs/dbraw/zinc/47/26/18/583472618.db2.gz SPPFBTDHEOUOQS-LBPRGKRZSA-N 0 3 244.338 2.749 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1nccn1C1CC1 ZINC000894500549 583474610 /nfs/dbraw/zinc/47/46/10/583474610.db2.gz VWCZZHVLDMIMQN-NEPJUHHUSA-N 0 3 233.359 2.981 20 0 BFADHN Cc1cc(F)ncc1CNCCC1(F)CCC1 ZINC000894505678 583474741 /nfs/dbraw/zinc/47/47/41/583474741.db2.gz GGPZNXSWRNYPBQ-UHFFFAOYSA-N 0 3 240.297 2.901 20 0 BFADHN COc1ccc(CNCCC2(F)CCC2)o1 ZINC000894506020 583476318 /nfs/dbraw/zinc/47/63/18/583476318.db2.gz JOPGHEQAMNVJII-UHFFFAOYSA-N 0 3 227.279 2.660 20 0 BFADHN CCOc1ccc(CNCC2CC(F)(F)C2)o1 ZINC000894580322 583488688 /nfs/dbraw/zinc/48/86/88/583488688.db2.gz DLIUBJFJNOHGQP-UHFFFAOYSA-N 0 3 245.269 2.813 20 0 BFADHN CC1(C)CN(C/C=C\c2cccc(F)c2)C[C@@H]1O ZINC000894595111 583492730 /nfs/dbraw/zinc/49/27/30/583492730.db2.gz GROXKEXFIXRMIE-SDQPKGBYSA-N 0 3 249.329 2.542 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NCc1cnc[nH]1)CCC2 ZINC000894613343 583494735 /nfs/dbraw/zinc/49/47/35/583494735.db2.gz AEIFDNVCGUMWMN-AWEZNQCLSA-N 0 3 245.301 2.716 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1nccc2c1CCCC2 ZINC000894628081 583496823 /nfs/dbraw/zinc/49/68/23/583496823.db2.gz MQDGQNWKNCEDNA-NWDGAFQWSA-N 0 3 230.355 2.801 20 0 BFADHN Cc1ccnc(N)c1CN(C)[C@@H]1CCC(C)(C)C1 ZINC000894630590 583497281 /nfs/dbraw/zinc/49/72/81/583497281.db2.gz YVEGGAZXUULRCE-GFCCVEGCSA-N 0 3 247.386 2.983 20 0 BFADHN Cc1ncoc1CNC[C@H]1CC[C@@H](C)C1 ZINC000894630024 583497554 /nfs/dbraw/zinc/49/75/54/583497554.db2.gz WRAWRHABAIKPIC-KOLCDFICSA-N 0 3 208.305 2.509 20 0 BFADHN Oc1cccc2sc(CNCCF)cc21 ZINC000894647995 583499875 /nfs/dbraw/zinc/49/98/75/583499875.db2.gz IGYDIHLGHHQJCQ-UHFFFAOYSA-N 0 3 225.288 2.666 20 0 BFADHN Cc1coc(CN2CC[C@H](c3ccccn3)C2)c1 ZINC000894654575 583501056 /nfs/dbraw/zinc/50/10/56/583501056.db2.gz XIKRFXDNPPZMAI-ZDUSSCGKSA-N 0 3 242.322 2.973 20 0 BFADHN COCCCC1(CN2CC[C@@H](F)C2)CCC1 ZINC000894670643 583502862 /nfs/dbraw/zinc/50/28/62/583502862.db2.gz JOLPCFAOGPMCPP-GFCCVEGCSA-N 0 3 229.339 2.627 20 0 BFADHN CC(C)CCCCNCc1nnc(C(C)C)[nH]1 ZINC000894677473 583504251 /nfs/dbraw/zinc/50/42/51/583504251.db2.gz UXGXMEOABRPRMC-UHFFFAOYSA-N 0 3 238.379 2.844 20 0 BFADHN CC(C)CCCC[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000894677473 583504253 /nfs/dbraw/zinc/50/42/53/583504253.db2.gz UXGXMEOABRPRMC-UHFFFAOYSA-N 0 3 238.379 2.844 20 0 BFADHN CCc1onc(C)c1CNCCC(C)(F)F ZINC000894658760 583504623 /nfs/dbraw/zinc/50/46/23/583504623.db2.gz KWURSTDBFMKSOJ-UHFFFAOYSA-N 0 3 232.274 2.680 20 0 BFADHN CCOc1ccc(CNC[C@@H]2C[C@H]2CC)o1 ZINC000894715030 583511058 /nfs/dbraw/zinc/51/10/58/583511058.db2.gz PGZKJEKYICBEQP-MNOVXSKESA-N 0 3 223.316 2.814 20 0 BFADHN COc1ccc(CN2C[C@@H]3CCCC[C@@H]3C2)o1 ZINC000894724219 583514706 /nfs/dbraw/zinc/51/47/06/583514706.db2.gz VOEVFSKVKJEKTM-TXEJJXNPSA-N 0 3 235.327 2.910 20 0 BFADHN Cn1ncc(CNC2(C3(C)CC3)CC2)c1C1CC1 ZINC000894761808 583518563 /nfs/dbraw/zinc/51/85/63/583518563.db2.gz XOHFWSMYRQEYDC-UHFFFAOYSA-N 0 3 245.370 2.720 20 0 BFADHN CN(C/C=C\c1cccc(F)c1)C[C@@H](O)C1CC1 ZINC000894777040 583518840 /nfs/dbraw/zinc/51/88/40/583518840.db2.gz KMRYROGTNPOLPK-UYWPIULCSA-N 0 3 249.329 2.542 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1[nH]nc(C)c1C ZINC000894781627 583520795 /nfs/dbraw/zinc/52/07/95/583520795.db2.gz GQPCLUGRUDXVON-NSHDSACASA-N 0 3 241.404 2.600 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1n[nH]c(C)c1C ZINC000894781627 583520797 /nfs/dbraw/zinc/52/07/97/583520797.db2.gz GQPCLUGRUDXVON-NSHDSACASA-N 0 3 241.404 2.600 20 0 BFADHN CCC[C@@]1(CO)CCN(Cc2cc(C)co2)C1 ZINC000894786601 583521821 /nfs/dbraw/zinc/52/18/21/583521821.db2.gz LABDGSQUKPOJCW-CQSZACIVSA-N 0 3 237.343 2.573 20 0 BFADHN CCOc1ccccc1CN1CC[C@@H](CF)C1 ZINC000880293016 583523740 /nfs/dbraw/zinc/52/37/40/583523740.db2.gz YFQDXXNOQOMRRE-LBPRGKRZSA-N 0 3 237.318 2.877 20 0 BFADHN Cc1cc(CN2CC[C@H](CF)C2)cs1 ZINC000880299831 583525973 /nfs/dbraw/zinc/52/59/73/583525973.db2.gz LZBOKJNVSVGJDD-SNVBAGLBSA-N 0 3 213.321 2.848 20 0 BFADHN CCN(C/C=C/c1cccc(F)c1)[C@H](C)CO ZINC000894832596 583528388 /nfs/dbraw/zinc/52/83/88/583528388.db2.gz WFXDLJGQACWQFL-HOSRBBHYSA-N 0 3 237.318 2.542 20 0 BFADHN Cc1ncoc1CN1CC2(CCC2)[C@H]1C(C)C ZINC000894831830 583528551 /nfs/dbraw/zinc/52/85/51/583528551.db2.gz BYSYIDTVPFMKTA-CYBMUJFWSA-N 0 3 234.343 2.994 20 0 BFADHN COc1ccc(CN(C)C2CC(C)(C)C2)o1 ZINC000894861116 583534227 /nfs/dbraw/zinc/53/42/27/583534227.db2.gz PUICZBLYPCNFPD-UHFFFAOYSA-N 0 3 223.316 2.909 20 0 BFADHN C[C@H]1CN(Cc2cc3cccnc3o2)[C@H]1C ZINC000894860473 583534286 /nfs/dbraw/zinc/53/42/86/583534286.db2.gz NNTKWGHFSHEJSQ-UWVGGRQHSA-N 0 3 216.284 2.668 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1cc2cccnc2o1 ZINC000894860474 583534630 /nfs/dbraw/zinc/53/46/30/583534630.db2.gz NNTKWGHFSHEJSQ-VHSXEESVSA-N 0 3 216.284 2.668 20 0 BFADHN CCOc1cc(CN2C[C@H](C)[C@@H]2C)ccc1O ZINC000894860684 583534733 /nfs/dbraw/zinc/53/47/33/583534733.db2.gz VEZUWACCTWPBLI-QWRGUYRKSA-N 0 3 235.327 2.631 20 0 BFADHN Cc1coc(CN2CCCO[C@@H](C(C)C)C2)c1 ZINC000894868320 583538991 /nfs/dbraw/zinc/53/89/91/583538991.db2.gz SOZOMOGUERMZFG-CQSZACIVSA-N 0 3 237.343 2.835 20 0 BFADHN c1nc(CNCC[C@@H]2CCc3ccccc32)c[nH]1 ZINC000894872023 583540363 /nfs/dbraw/zinc/54/03/63/583540363.db2.gz DOFOJVRTDQTIIE-ZDUSSCGKSA-N 0 3 241.338 2.619 20 0 BFADHN CCC1(NCc2ocnc2C)CCCC1 ZINC000894880775 583543608 /nfs/dbraw/zinc/54/36/08/583543608.db2.gz JLOSGUDCQXVLHX-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCO2)Cc2ccccc21 ZINC000726955197 583549897 /nfs/dbraw/zinc/54/98/97/583549897.db2.gz JZYVERFRCHTCBT-TZMCWYRMSA-N 0 3 231.339 2.785 20 0 BFADHN C[C@H]1CN(C[C@H]2CCCO2)Cc2ccccc21 ZINC000726955194 583549900 /nfs/dbraw/zinc/54/99/00/583549900.db2.gz JZYVERFRCHTCBT-GXTWGEPZSA-N 0 3 231.339 2.785 20 0 BFADHN CC[C@@H](C)N1CCN(Cc2cc(C)co2)CC1 ZINC000894918309 583555495 /nfs/dbraw/zinc/55/54/95/583555495.db2.gz VUWIOICLZJNDRJ-CYBMUJFWSA-N 0 3 236.359 2.504 20 0 BFADHN CCc1onc(C)c1CN[C@H](C)[C@@H]1CC1(F)F ZINC000894915168 583555523 /nfs/dbraw/zinc/55/55/23/583555523.db2.gz JYVBJWZXTJCADC-SCZZXKLOSA-N 0 3 244.285 2.679 20 0 BFADHN C[C@H](Cc1ccco1)N[C@@H]1CCCc2c[nH]nc21 ZINC000857846121 588911872 /nfs/dbraw/zinc/91/18/72/588911872.db2.gz HGFIYMZDOVNECP-ZWNOBZJWSA-N 0 3 245.326 2.601 20 0 BFADHN CCCCCCN[C@H]1CCCc2c[nH]nc21 ZINC000857845498 588911958 /nfs/dbraw/zinc/91/19/58/588911958.db2.gz KUKGIVJKLRBHHE-LBPRGKRZSA-N 0 3 221.348 2.957 20 0 BFADHN CCOc1ccc(CN(C)CCCCOC)o1 ZINC000894964419 583560113 /nfs/dbraw/zinc/56/01/13/583560113.db2.gz PMZUNRLWZQXPFB-UHFFFAOYSA-N 0 3 241.331 2.537 20 0 BFADHN Cc1ccnc(N)c1CN1CC(C2CCCC2)C1 ZINC000894976420 583562489 /nfs/dbraw/zinc/56/24/89/583562489.db2.gz JCXHSOHNEOIVMU-UHFFFAOYSA-N 0 3 245.370 2.594 20 0 BFADHN Cc1n[nH]c(CN(C)C2CC(C)(C)C2)c1C ZINC000894986962 583565485 /nfs/dbraw/zinc/56/54/85/583565485.db2.gz XFIUHVCWJFYERF-UHFFFAOYSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1[nH]nc(CN(C)C2CC(C)(C)C2)c1C ZINC000894986962 583565487 /nfs/dbraw/zinc/56/54/87/583565487.db2.gz XFIUHVCWJFYERF-UHFFFAOYSA-N 0 3 221.348 2.647 20 0 BFADHN c1cc(CCN[C@H]2CCCc3c[nH]nc32)cs1 ZINC000857846665 588912439 /nfs/dbraw/zinc/91/24/39/588912439.db2.gz OJPJTXBMFBMKDY-LBPRGKRZSA-N 0 3 247.367 2.681 20 0 BFADHN CCn1cc(CN2CC[C@@H](C)C[C@H]2C)c(C)n1 ZINC000895010116 583567328 /nfs/dbraw/zinc/56/73/28/583567328.db2.gz PAXOFUNZHMMTNF-VXGBXAGGSA-N 0 3 235.375 2.832 20 0 BFADHN Cc1coc(CN2CCC[C@H](CCO)CC2)c1 ZINC000895036715 583570467 /nfs/dbraw/zinc/57/04/67/583570467.db2.gz PPBCWKKRWZPJQZ-ZDUSSCGKSA-N 0 3 237.343 2.573 20 0 BFADHN Cc1n[nH]c(CN2CC[C@@H](C)C[C@H]2C)c1C ZINC000895057505 583575366 /nfs/dbraw/zinc/57/53/66/583575366.db2.gz JDHBIERVVXGBJQ-NXEZZACHSA-N 0 3 221.348 2.647 20 0 BFADHN CCC1(CN[C@@H]2CCCc3c[nH]nc32)CCC1 ZINC000857855923 588913056 /nfs/dbraw/zinc/91/30/56/588913056.db2.gz BBVPKPMIDGHSII-GFCCVEGCSA-N 0 3 233.359 2.957 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CC2CCC1CC2 ZINC000895076398 583580832 /nfs/dbraw/zinc/58/08/32/583580832.db2.gz OTZKEEKWSCLHMT-BPCQOVAHSA-N 0 3 234.343 2.899 20 0 BFADHN CSCCCCN[C@@H]1CCCc2c[nH]nc21 ZINC000857854757 588913709 /nfs/dbraw/zinc/91/37/09/588913709.db2.gz KLZZHNAWPGKJBP-LLVKDONJSA-N 0 3 239.388 2.520 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NCC1(C2CC2)CC1 ZINC000857858487 588914118 /nfs/dbraw/zinc/91/41/18/588914118.db2.gz NNVJKKREPUHZTP-GFCCVEGCSA-N 0 3 231.343 2.567 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NCCCC1CC1 ZINC000857860665 588914431 /nfs/dbraw/zinc/91/44/31/588914431.db2.gz VLNAABSHXNPAGJ-GFCCVEGCSA-N 0 3 219.332 2.567 20 0 BFADHN CC(C)C1(CN[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000857857125 588914447 /nfs/dbraw/zinc/91/44/47/588914447.db2.gz AJTRAOPREDHEQZ-GFCCVEGCSA-N 0 3 233.359 2.813 20 0 BFADHN Cc1cc(F)ncc1CN[C@@H]1CCS[C@H]1C ZINC000895121910 583596548 /nfs/dbraw/zinc/59/65/48/583596548.db2.gz BIAOPUKMMHZHNH-GXSJLCMTSA-N 0 3 240.347 2.513 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1CCS[C@H]1C ZINC000895126406 583597939 /nfs/dbraw/zinc/59/79/39/583597939.db2.gz WLSZNGHFBKMHMN-GXSJLCMTSA-N 0 3 240.372 2.529 20 0 BFADHN CC[C@@H](CSC)N[C@H]1CCCc2c[nH]nc21 ZINC000857860993 588915035 /nfs/dbraw/zinc/91/50/35/588915035.db2.gz OSZGUVLFRWTPNU-QWRGUYRKSA-N 0 3 239.388 2.518 20 0 BFADHN CCc1onc(C)c1CN[C@H]1CCS[C@@H]1C ZINC000895126407 583598308 /nfs/dbraw/zinc/59/83/08/583598308.db2.gz WLSZNGHFBKMHMN-KOLCDFICSA-N 0 3 240.372 2.529 20 0 BFADHN Cc1n[nH]c(CN2CCC23CCCC3)c1C ZINC000895133468 583601575 /nfs/dbraw/zinc/60/15/75/583601575.db2.gz JYADAGKIWQRGCR-UHFFFAOYSA-N 0 3 219.332 2.545 20 0 BFADHN Cc1[nH]nc(CN2CCC23CCCC3)c1C ZINC000895133468 583601577 /nfs/dbraw/zinc/60/15/77/583601577.db2.gz JYADAGKIWQRGCR-UHFFFAOYSA-N 0 3 219.332 2.545 20 0 BFADHN CCn1cc(CNC2(C3CCC3)CC2)c(C)n1 ZINC000895161076 583609493 /nfs/dbraw/zinc/60/94/93/583609493.db2.gz QIHISXJXDVOKSI-UHFFFAOYSA-N 0 3 233.359 2.634 20 0 BFADHN F[C@@H]1CCCC[C@H]1N[C@H]1CCCc2cn[nH]c21 ZINC000857869716 588916385 /nfs/dbraw/zinc/91/63/85/588916385.db2.gz REPPBZGYTMSSJT-UTUOFQBUSA-N 0 3 237.322 2.657 20 0 BFADHN Cn1cc(CNC2CC3(CCC3)C2)c(C2CC2)n1 ZINC000895184531 583619049 /nfs/dbraw/zinc/61/90/49/583619049.db2.gz DJDRFGOVBBWKEY-UHFFFAOYSA-N 0 3 245.370 2.720 20 0 BFADHN Cc1n[nH]c(CN2CCC[C@H](CCF)C2)c1C ZINC000895274751 583635064 /nfs/dbraw/zinc/63/50/64/583635064.db2.gz JXDHAQLHNAXKLP-GFCCVEGCSA-N 0 3 239.338 2.598 20 0 BFADHN Cc1[nH]nc(CN2CCC[C@H](CCF)C2)c1C ZINC000895274751 583635065 /nfs/dbraw/zinc/63/50/65/583635065.db2.gz JXDHAQLHNAXKLP-GFCCVEGCSA-N 0 3 239.338 2.598 20 0 BFADHN Cc1ncoc1CNCCCC1CCC1 ZINC000895273203 583635569 /nfs/dbraw/zinc/63/55/69/583635569.db2.gz JJNVYFVNFPMBLD-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CC1=NO[C@H](CN[C@@H](C)Cc2cccc(C)c2)C1 ZINC000895301415 583642092 /nfs/dbraw/zinc/64/20/92/583642092.db2.gz IELPDAUUKHNMLB-WFASDCNBSA-N 0 3 246.354 2.680 20 0 BFADHN CC1=NO[C@@H](CN[C@H](C)Cc2cccc(C)c2)C1 ZINC000895301413 583642885 /nfs/dbraw/zinc/64/28/85/583642885.db2.gz IELPDAUUKHNMLB-IUODEOHRSA-N 0 3 246.354 2.680 20 0 BFADHN CC1=NO[C@@H](CNC/C=C/c2ccc(F)cc2)C1 ZINC000895308941 583646527 /nfs/dbraw/zinc/64/65/27/583646527.db2.gz RRKMWTYFQYCLNZ-BAABZTOOSA-N 0 3 248.301 2.593 20 0 BFADHN CC1=NO[C@H](CNCc2c(C)cc(C)cc2C)C1 ZINC000895310837 583647210 /nfs/dbraw/zinc/64/72/10/583647210.db2.gz KCQJCSGTDMLFBG-AWEZNQCLSA-N 0 3 246.354 2.866 20 0 BFADHN CC1=NO[C@@H](CNCc2cc(C)ccc2C)C1 ZINC000895310428 583647291 /nfs/dbraw/zinc/64/72/91/583647291.db2.gz HBLPDGKSUYDDMS-CQSZACIVSA-N 0 3 232.327 2.558 20 0 BFADHN CCc1ccc(CNC[C@@H]2CC(C)=NO2)cc1 ZINC000895312614 583648898 /nfs/dbraw/zinc/64/88/98/583648898.db2.gz ZZWZZOGYNHACIA-AWEZNQCLSA-N 0 3 232.327 2.503 20 0 BFADHN C[C@H](COc1cccc(F)c1)NCc1ccoc1 ZINC000727467314 583655548 /nfs/dbraw/zinc/65/55/48/583655548.db2.gz ZZZZTPCCJAIPJJ-LLVKDONJSA-N 0 3 249.285 2.976 20 0 BFADHN C[C@H](CNCc1ccoc1)N(C)c1ccccc1 ZINC000727465521 583656092 /nfs/dbraw/zinc/65/60/92/583656092.db2.gz AFGGGYOWGZFSGR-CYBMUJFWSA-N 0 3 244.338 2.894 20 0 BFADHN C[C@@H](CNCc1ccoc1)N1CCCC[C@H]1C ZINC000727465860 583656271 /nfs/dbraw/zinc/65/62/71/583656271.db2.gz MUDIBYBNPTUROJ-OLZOCXBDSA-N 0 3 236.359 2.632 20 0 BFADHN COc1ccc(CNC[C@@H]2CCC[C@H]3C[C@H]32)o1 ZINC000895378283 583662194 /nfs/dbraw/zinc/66/21/94/583662194.db2.gz RKEPWXBJWLJPDZ-GMXVVIOVSA-N 0 3 235.327 2.814 20 0 BFADHN COc1ccc(CNCCCC2CCC2)o1 ZINC000895381166 583662350 /nfs/dbraw/zinc/66/23/50/583662350.db2.gz SETWAAMATMEUFT-UHFFFAOYSA-N 0 3 223.316 2.958 20 0 BFADHN Cc1[nH]nc(CN2CCCC(F)(F)CC2)c1C ZINC000895392033 583663866 /nfs/dbraw/zinc/66/38/66/583663866.db2.gz JXOITKFAEYTBPI-UHFFFAOYSA-N 0 3 243.301 2.648 20 0 BFADHN CC[C@@H](NCc1ccnc(OC)c1F)C1CC1 ZINC000895393576 583664646 /nfs/dbraw/zinc/66/46/46/583664646.db2.gz YVLMHNLGNQBPCA-LLVKDONJSA-N 0 3 238.306 2.508 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCCC12CC2 ZINC000895415044 583666980 /nfs/dbraw/zinc/66/69/80/583666980.db2.gz RVNVRKSKIBIUII-NSHDSACASA-N 0 3 220.316 2.653 20 0 BFADHN Cc1cc(F)ncc1CNCC1=CCCC1 ZINC000895434688 583672678 /nfs/dbraw/zinc/67/26/78/583672678.db2.gz BRRQEJJYAKAOGB-UHFFFAOYSA-N 0 3 220.291 2.729 20 0 BFADHN [O-]c1cc(Cl)cc(C[NH2+][C@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000895434332 583672750 /nfs/dbraw/zinc/67/27/50/583672750.db2.gz SKPHGGGQYZJELQ-ITGUQSILSA-N 0 3 237.730 2.934 20 0 BFADHN CC1(C)C[C@]1(C)NCc1cn2ccnc2s1 ZINC000895441682 583675477 /nfs/dbraw/zinc/67/54/77/583675477.db2.gz DJTFMTQGJKQHSB-LBPRGKRZSA-N 0 3 235.356 2.674 20 0 BFADHN c1coc(CCCNCc2nccn2C2CC2)c1 ZINC000895454262 583677934 /nfs/dbraw/zinc/67/79/34/583677934.db2.gz YMHPCOIDJZJUAQ-UHFFFAOYSA-N 0 3 245.326 2.533 20 0 BFADHN CCn1cc(CN(C)C[C@H]2CC2(C)C)c(C)n1 ZINC000895482832 583680652 /nfs/dbraw/zinc/68/06/52/583680652.db2.gz ZQWNFJCGZKNSEB-CYBMUJFWSA-N 0 3 235.375 2.689 20 0 BFADHN CC1(C)C[C@@]1(C)CNCc1ccc(Cl)nn1 ZINC000895535567 583686547 /nfs/dbraw/zinc/68/65/47/583686547.db2.gz WMJTUPGNJRLKMA-LBPRGKRZSA-N 0 3 239.750 2.656 20 0 BFADHN CCc1cc(CN[C@H]2C[C@@H]3CC[C@@H](C3)C2)on1 ZINC000883016840 583686792 /nfs/dbraw/zinc/68/67/92/583686792.db2.gz NSBPKLYXOBIWJG-PJXYFTJBSA-N 0 3 234.343 2.905 20 0 BFADHN Cc1occc1CN1CCC[C@@H](CF)C1 ZINC000895544722 583689021 /nfs/dbraw/zinc/68/90/21/583689021.db2.gz OUPFCTRJRADGBH-NSHDSACASA-N 0 3 211.280 2.770 20 0 BFADHN COCc1ccc(CN2CCC[C@@H](CF)C2)o1 ZINC000895545023 583689171 /nfs/dbraw/zinc/68/91/71/583689171.db2.gz RPGQLFMVRZXVME-NSHDSACASA-N 0 3 241.306 2.608 20 0 BFADHN Cc1nn(C(C)C)cc1CN1CC[C@@H](CF)C1 ZINC000895572586 583692000 /nfs/dbraw/zinc/69/20/00/583692000.db2.gz JIGJTLRADHPFTM-LBPRGKRZSA-N 0 3 239.338 2.564 20 0 BFADHN COc1ccccc1/C=C/CN1CC[C@H](CF)C1 ZINC000895572765 583692188 /nfs/dbraw/zinc/69/21/88/583692188.db2.gz GDLXSMBZJWPRFW-DIECRNLCSA-N 0 3 249.329 3.000 20 0 BFADHN CCc1onc(C)c1CNCC1CC=CC1 ZINC000895565486 583694093 /nfs/dbraw/zinc/69/40/93/583694093.db2.gz UPSWAJRBMYJJDF-UHFFFAOYSA-N 0 3 220.316 2.601 20 0 BFADHN C/C=C\CNCc1ccc(CC(C)C)nc1 ZINC000895630626 583698390 /nfs/dbraw/zinc/69/83/90/583698390.db2.gz JYXAKJURVVIXLF-PLNGDYQASA-N 0 3 218.344 2.946 20 0 BFADHN c1coc(CN2CCC3(CCCCO3)CC2)c1 ZINC000895659115 583701906 /nfs/dbraw/zinc/70/19/06/583701906.db2.gz SLUSZMCXKDZQIQ-UHFFFAOYSA-N 0 3 235.327 2.815 20 0 BFADHN CSc1ccc(CNCCCCCCO)o1 ZINC000883083109 583705178 /nfs/dbraw/zinc/70/51/78/583705178.db2.gz AATQFADBLCQYIB-UHFFFAOYSA-N 0 3 243.372 2.644 20 0 BFADHN COc1ccc(CN[C@@H]2CCCC23CC3)nc1 ZINC000895691283 583707229 /nfs/dbraw/zinc/70/72/29/583707229.db2.gz DTPRCUREGSFBGO-CYBMUJFWSA-N 0 3 232.327 2.513 20 0 BFADHN CCCSC[C@H](C)NCC(C)(C)F ZINC000895692514 583708128 /nfs/dbraw/zinc/70/81/28/583708128.db2.gz XJDRXIUQFQHBRZ-VIFPVBQESA-N 0 3 207.358 2.856 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@H]1C)c1ncco1 ZINC000895764756 583723341 /nfs/dbraw/zinc/72/33/41/583723341.db2.gz IUPVVVKVVPZAHP-VWYCJHECSA-N 0 3 208.305 2.904 20 0 BFADHN COC(OC)[C@H](C)N[C@H](C)CSC(C)(C)C ZINC000895794927 583730307 /nfs/dbraw/zinc/73/03/07/583730307.db2.gz SHFLOZHUARKTSY-ZJUUUORDSA-N 0 3 249.420 2.504 20 0 BFADHN Cc1coc(CN2CC[C@@H]([C@@H]3CCCO3)C2)c1 ZINC000895842616 583734397 /nfs/dbraw/zinc/73/43/97/583734397.db2.gz IEGIZUBVQDEKJW-OCCSQVGLSA-N 0 3 235.327 2.589 20 0 BFADHN CCc1ccc(CN2CC[C@H]([C@@H]3CCCO3)C2)o1 ZINC000895848853 583736448 /nfs/dbraw/zinc/73/64/48/583736448.db2.gz USOJSGGDIMIEFB-WFASDCNBSA-N 0 3 249.354 2.843 20 0 BFADHN CN(CCCF)Cc1cc2cnccc2o1 ZINC000895895888 583743789 /nfs/dbraw/zinc/74/37/89/583743789.db2.gz HRQNZJGWLFKNKQ-UHFFFAOYSA-N 0 3 222.263 2.619 20 0 BFADHN CCc1ccc(CN2C[C@H](OC)CC[C@@H]2C)o1 ZINC000895926555 583748025 /nfs/dbraw/zinc/74/80/25/583748025.db2.gz HYDRXTKQSULVNK-WCQYABFASA-N 0 3 237.343 2.841 20 0 BFADHN COc1ccc(CNCCC[C@@H]2C=CCC2)nc1 ZINC000895930474 583748741 /nfs/dbraw/zinc/74/87/41/583748741.db2.gz LRPGBWXIHDWOLS-CYBMUJFWSA-N 0 3 246.354 2.926 20 0 BFADHN C/C(=C/c1ccccc1)CN1C[C@@H](O)CC[C@H]1C ZINC000895926909 583748908 /nfs/dbraw/zinc/74/89/08/583748908.db2.gz HGLSBSGQLODDRP-LPIOIXHQSA-N 0 3 245.366 2.935 20 0 BFADHN CO[C@H]1CC[C@H](C)N(Cc2ccc(C)o2)C1 ZINC000895943408 583750629 /nfs/dbraw/zinc/75/06/29/583750629.db2.gz BFHGALNTDOYGER-JQWIXIFHSA-N 0 3 223.316 2.587 20 0 BFADHN COCC1CN(C/C(C)=C\c2ccccc2)C1 ZINC000895971558 583756144 /nfs/dbraw/zinc/75/61/44/583756144.db2.gz SWSOUGLCXFBOJN-JYRVWZFOSA-N 0 3 231.339 2.668 20 0 BFADHN CCCc1cccc(CN2CC(COC)C2)c1 ZINC000895971899 583756464 /nfs/dbraw/zinc/75/64/64/583756464.db2.gz WPKGTLRURBXDKE-UHFFFAOYSA-N 0 3 233.355 2.717 20 0 BFADHN C=C/C=C\CCNCc1ccc(OC)o1 ZINC000895996302 583759488 /nfs/dbraw/zinc/75/94/88/583759488.db2.gz UMFAPEVTVCMJJW-PLNGDYQASA-N 0 3 207.273 2.510 20 0 BFADHN COc1ccc(CN2CCC(F)CC2)c(C)c1 ZINC000895999230 583760194 /nfs/dbraw/zinc/76/01/94/583760194.db2.gz HSNMBMHJCPABEV-UHFFFAOYSA-N 0 3 237.318 2.938 20 0 BFADHN COc1ccc(CNC2(C3CC3)CCC2)o1 ZINC000896071327 583767733 /nfs/dbraw/zinc/76/77/33/583767733.db2.gz KUXFVXUNBOAOOF-UHFFFAOYSA-N 0 3 221.300 2.711 20 0 BFADHN C[C@H]1Cc2cc(CN(C)CCCF)ccc2O1 ZINC000896069204 583768119 /nfs/dbraw/zinc/76/81/19/583768119.db2.gz BSANCNSCBDLUBC-NSHDSACASA-N 0 3 237.318 2.801 20 0 BFADHN C[C@@H]1Cc2cc(CN(C)CCCF)ccc2O1 ZINC000896069203 583768207 /nfs/dbraw/zinc/76/82/07/583768207.db2.gz BSANCNSCBDLUBC-LLVKDONJSA-N 0 3 237.318 2.801 20 0 BFADHN CN(C)c1ccnc(CNCC2(C)CCCC2)c1 ZINC000896297349 583789250 /nfs/dbraw/zinc/78/92/50/583789250.db2.gz AOFYAXTVMSZDDX-UHFFFAOYSA-N 0 3 247.386 2.818 20 0 BFADHN Cc1ccc(O[C@@H]2CCN(CC3(C)CC3)C2)nc1 ZINC000858012050 588930472 /nfs/dbraw/zinc/93/04/72/588930472.db2.gz BRBHDNJNBHNCPZ-CYBMUJFWSA-N 0 3 246.354 2.643 20 0 BFADHN C[C@H]1CCN(Cc2cccc3c2CCC3)CCO1 ZINC000896576767 583813332 /nfs/dbraw/zinc/81/33/32/583813332.db2.gz FIVWNDUKFJSUBV-ZDUSSCGKSA-N 0 3 245.366 2.786 20 0 BFADHN Cc1ccsc1CN1CCO[C@H](C)CC1 ZINC000896583844 583815234 /nfs/dbraw/zinc/81/52/34/583815234.db2.gz IIWVLKUVHWWFHM-LLVKDONJSA-N 0 3 225.357 2.667 20 0 BFADHN CCc1ccc(CN2CCO[C@H](C)CC2)s1 ZINC000896584322 583815426 /nfs/dbraw/zinc/81/54/26/583815426.db2.gz NEGWKAZCCADDBI-LLVKDONJSA-N 0 3 239.384 2.921 20 0 BFADHN Cc1cccc(CN2CCO[C@@H](C)CC2)c1C ZINC000896584630 583815619 /nfs/dbraw/zinc/81/56/19/583815619.db2.gz PJSLTYWNMHVCDZ-ZDUSSCGKSA-N 0 3 233.355 2.914 20 0 BFADHN C[C@@H]1CCN(C/C=C\c2ccc(F)cc2)CCO1 ZINC000896583946 583815677 /nfs/dbraw/zinc/81/56/77/583815677.db2.gz JYHVMFGFAHNQKM-XQJDBVBESA-N 0 3 249.329 2.950 20 0 BFADHN CCOc1ccc(CN[C@H](C)C(C)(C)OC)o1 ZINC000896608492 583817241 /nfs/dbraw/zinc/81/72/41/583817241.db2.gz PKPKQSIABHCOKY-SNVBAGLBSA-N 0 3 241.331 2.581 20 0 BFADHN C[C@@H]1CSC[C@@H]1N[C@@H](c1ccccn1)C1CC1 ZINC000896608941 583817340 /nfs/dbraw/zinc/81/73/40/583817340.db2.gz KCKAYFRHTWLIHC-DDTOSNHZSA-N 0 3 248.395 2.874 20 0 BFADHN C[C@@H](N[C@@H](c1ncccn1)C1CC1)C1CCC1 ZINC000896600242 583817509 /nfs/dbraw/zinc/81/75/09/583817509.db2.gz VCCADYOTNKEIAU-ZWNOBZJWSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@H](COCC1CCCCC1)NC1CSC1 ZINC000896637664 583819566 /nfs/dbraw/zinc/81/95/66/583819566.db2.gz FXKRKFKINQOYHW-LLVKDONJSA-N 0 3 243.416 2.677 20 0 BFADHN CC(C)=CCCNCc1ccnc(F)c1 ZINC000858160344 588936169 /nfs/dbraw/zinc/93/61/69/588936169.db2.gz RPNZXPWZNRGQQQ-UHFFFAOYSA-N 0 3 208.280 2.667 20 0 BFADHN CC(C)OCC(C)(C)NCc1ccnc(F)c1 ZINC000858177913 588937099 /nfs/dbraw/zinc/93/70/99/588937099.db2.gz IAJRCZDANDOVIR-UHFFFAOYSA-N 0 3 240.322 2.514 20 0 BFADHN Cc1ccc([C@H](O)[C@H](C)NCc2ccoc2)cc1 ZINC000796486623 583856453 /nfs/dbraw/zinc/85/64/53/583856453.db2.gz PNOCKGNIKQSPEA-SWLSCSKDSA-N 0 3 245.322 2.800 20 0 BFADHN CCC(C)(C)OCCNCc1ccnc(F)c1 ZINC000858175283 588937369 /nfs/dbraw/zinc/93/73/69/588937369.db2.gz LHMUYELFTJHXTM-UHFFFAOYSA-N 0 3 240.322 2.516 20 0 BFADHN COc1ccc(CN[C@@H]2CCS[C@@H](C)C2)o1 ZINC000896951393 583863870 /nfs/dbraw/zinc/86/38/70/583863870.db2.gz IVTVRJUUDLNAHI-VHSXEESVSA-N 0 3 241.356 2.662 20 0 BFADHN C[C@H]1CSC[C@H]1N[C@@H]1CCCc2cccnc21 ZINC000897071148 583877085 /nfs/dbraw/zinc/87/70/85/583877085.db2.gz WRKBNHMGTPEYKN-CYZMBNFOSA-N 0 3 248.395 2.800 20 0 BFADHN COc1ccc(CNCC2CCC=CCC2)nc1 ZINC000897242882 583901404 /nfs/dbraw/zinc/90/14/04/583901404.db2.gz QHJZYTOJJIKONT-UHFFFAOYSA-N 0 3 246.354 2.926 20 0 BFADHN CC[C@@H]([NH2+]Cc1cncc([O-])c1)[C@H]1CC1(C)C ZINC000897305565 583912878 /nfs/dbraw/zinc/91/28/78/583912878.db2.gz XOHJFTKUQSOOMV-CHWSQXEVSA-N 0 3 234.343 2.702 20 0 BFADHN Cn1ccnc1CNC1(C)CCC(C)(C)CC1 ZINC000858276061 588941859 /nfs/dbraw/zinc/94/18/59/588941859.db2.gz IHCAZVNYLOBFEP-UHFFFAOYSA-N 0 3 235.375 2.869 20 0 BFADHN [O-]c1cncc(C[NH2+][C@@H]2CCC[C@@H](C3CC3)C2)c1 ZINC000897316151 583919020 /nfs/dbraw/zinc/91/90/20/583919020.db2.gz WNZGFOYQCODRCS-ZIAGYGMSSA-N 0 3 246.354 2.846 20 0 BFADHN C[C@H](C[NH2+]Cc1cncc([O-])c1)c1ccccc1 ZINC000897316157 583919080 /nfs/dbraw/zinc/91/90/80/583919080.db2.gz WWTGNVLMWCZFSO-GFCCVEGCSA-N 0 3 242.322 2.681 20 0 BFADHN CC(C)C[C@@H](C)C[NH2+]Cc1cncc([O-])c1 ZINC000897315995 583919260 /nfs/dbraw/zinc/91/92/60/583919260.db2.gz VQXAYDRFDSCKSJ-LLVKDONJSA-N 0 3 222.332 2.559 20 0 BFADHN C/C=C/CNCc1cnc(C(C)C)s1 ZINC000858291194 588942454 /nfs/dbraw/zinc/94/24/54/588942454.db2.gz QABXGYPKTOXNPB-SNAWJCMRSA-N 0 3 210.346 2.932 20 0 BFADHN CC1=C[C@@H](C)CN(C[C@@H](O)c2ccccc2F)C1 ZINC000797526709 583926639 /nfs/dbraw/zinc/92/66/39/583926639.db2.gz XIHPOMAQLRAOCI-IAQYHMDHSA-N 0 3 249.329 2.757 20 0 BFADHN C[C@@H]1CCC[C@@H](Nc2ccnc(CO)c2)[C@H]1C ZINC000858311882 588943861 /nfs/dbraw/zinc/94/38/61/588943861.db2.gz OEDFSUCAPIFZSA-UHIISALHSA-N 0 3 234.343 2.811 20 0 BFADHN CC[C@H](N[C@H](C)c1nccnc1C)[C@@H]1C[C@@H]1C ZINC000872130289 583947595 /nfs/dbraw/zinc/94/75/95/583947595.db2.gz GRMKXRPPEJJOFI-SQNXGDPESA-N 0 3 233.359 2.870 20 0 BFADHN CN(Cc1cccc(=O)[nH]1)C[C@@H]1CC=CCC1 ZINC000897391883 583948347 /nfs/dbraw/zinc/94/83/47/583948347.db2.gz DMLDJPZACXGSIG-GFCCVEGCSA-N 0 3 232.327 2.575 20 0 BFADHN Cc1ccccc1CCNc1ccnc(CO)c1 ZINC000858321304 588945012 /nfs/dbraw/zinc/94/50/12/588945012.db2.gz YLKYZUGDNBIZGS-UHFFFAOYSA-N 0 3 242.322 2.537 20 0 BFADHN C[C@@H]1C[C@H](C)N(C[C@@H](O)c2cccc(F)c2)C1 ZINC000740884259 583978552 /nfs/dbraw/zinc/97/85/52/583978552.db2.gz SRDJHPVYDAVSDY-UHIISALHSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1cc(CN[C@]23C[C@H]2COC3(C)C)c(C)o1 ZINC000858230468 588939905 /nfs/dbraw/zinc/93/99/05/588939905.db2.gz ZAHUDCSCGMHBQM-GXTWGEPZSA-N 0 3 235.327 2.554 20 0 BFADHN COc1cccc(CNCC(C)(C)C2CC2)n1 ZINC000897439038 583984001 /nfs/dbraw/zinc/98/40/01/583984001.db2.gz NCOLKCCQIFBDIE-UHFFFAOYSA-N 0 3 234.343 2.616 20 0 BFADHN OCc1cc(NCCC2CCCC2)ccn1 ZINC000858340253 588947082 /nfs/dbraw/zinc/94/70/82/588947082.db2.gz BTPVAVDSLOBJLT-UHFFFAOYSA-N 0 3 220.316 2.566 20 0 BFADHN COc1c(Cl)cccc1CNCC1CC1 ZINC000897483996 583992467 /nfs/dbraw/zinc/99/24/67/583992467.db2.gz YTDOKVFMXJONGM-UHFFFAOYSA-N 0 3 225.719 2.848 20 0 BFADHN CC[C@H]1CCCC[C@@H]1Nc1ccnc(CO)c1 ZINC000858346265 588947739 /nfs/dbraw/zinc/94/77/39/588947739.db2.gz HEALYAYHSZNBFC-FZMZJTMJSA-N 0 3 234.343 2.955 20 0 BFADHN Cc1ccsc1CNc1ccnc(CO)c1 ZINC000858364527 588948648 /nfs/dbraw/zinc/94/86/48/588948648.db2.gz GFOBCFQLWOQGAT-UHFFFAOYSA-N 0 3 234.324 2.556 20 0 BFADHN Cc1coc(CNCC[C@@H]2CCCC[C@@H]2O)c1 ZINC000897534306 584009344 /nfs/dbraw/zinc/00/93/44/584009344.db2.gz REUDNLHQDLOJTK-JSGCOSHPSA-N 0 3 237.343 2.619 20 0 BFADHN CN(CCC1CCCCC1)c1ccnc(CO)c1 ZINC000858384522 588950173 /nfs/dbraw/zinc/95/01/73/588950173.db2.gz QDZBWRBHDZDICV-UHFFFAOYSA-N 0 3 248.370 2.981 20 0 BFADHN CC[C@H](C)C[C@H](C)Nc1ccnc(CO)c1 ZINC000858425109 588953753 /nfs/dbraw/zinc/95/37/53/588953753.db2.gz FHHUGKWLOPFPDI-QWRGUYRKSA-N 0 3 222.332 2.811 20 0 BFADHN C[C@@H]1CCCN1CCNc1nc(Cl)cs1 ZINC000858428450 588953842 /nfs/dbraw/zinc/95/38/42/588953842.db2.gz UVMJSOXUZWRSOX-MRVPVSSYSA-N 0 3 245.779 2.693 20 0 BFADHN C[C@@H](Nc1ccnc(CO)c1)C1CCCC1 ZINC000858412014 588952366 /nfs/dbraw/zinc/95/23/66/588952366.db2.gz RVLZDPFKMQYVET-SNVBAGLBSA-N 0 3 220.316 2.565 20 0 BFADHN CC[C@@H](C)C[C@H](C)Nc1ccnc(CO)c1 ZINC000858425108 588953849 /nfs/dbraw/zinc/95/38/49/588953849.db2.gz FHHUGKWLOPFPDI-MNOVXSKESA-N 0 3 222.332 2.811 20 0 BFADHN C[C@@H]1CC[C@H](Nc2ccnc(CO)c2)[C@@H](C)C1 ZINC000858410715 588952508 /nfs/dbraw/zinc/95/25/08/588952508.db2.gz XPIZZRXLQNZJKA-SUNKGSAMSA-N 0 3 234.343 2.811 20 0 BFADHN CCCCC1(NCc2ccc(C)nn2)CC1 ZINC000897935584 584062265 /nfs/dbraw/zinc/06/22/65/584062265.db2.gz DVZWNIWKNCCMOJ-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN Cc1ccoc1CN[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897953456 584063843 /nfs/dbraw/zinc/06/38/43/584063843.db2.gz PJATVKKFBWWOKB-IJLUTSLNSA-N 0 3 205.301 2.866 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]3CCC[C@H]32)o1 ZINC000897953807 584064216 /nfs/dbraw/zinc/06/42/16/584064216.db2.gz TUGNQBNSXDQBBC-RAIGVLPGSA-N 0 3 205.301 2.866 20 0 BFADHN c1c(CN[C@@H]2C[C@@H]3CCC[C@@H]23)nc2ccccn12 ZINC000897954238 584064489 /nfs/dbraw/zinc/06/44/89/584064489.db2.gz YVVQQWODLZWHIE-IACUBPJLSA-N 0 3 241.338 2.613 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]3CCC[C@@H]32)nc1 ZINC000897954683 584064667 /nfs/dbraw/zinc/06/46/67/584064667.db2.gz JJOQZSFUUUGXHU-KWCYVHTRSA-N 0 3 216.328 2.668 20 0 BFADHN C(N[C@@H]1C[C@H]2CCC[C@@H]21)c1nc2c(s1)CCC2 ZINC000897955292 584065400 /nfs/dbraw/zinc/06/54/00/584065400.db2.gz SCKDUBITLZMGSP-JFGNBEQYSA-N 0 3 248.395 2.910 20 0 BFADHN c1c(CN[C@@H]2C[C@H]3CCC[C@@H]32)onc1C1CC1 ZINC000897958613 584066220 /nfs/dbraw/zinc/06/62/20/584066220.db2.gz LEMXHJNROSEBOF-SCDSUCTJSA-N 0 3 232.327 2.830 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2C[C@H]3CCC[C@@H]32)o1 ZINC000897959241 584066796 /nfs/dbraw/zinc/06/67/96/584066796.db2.gz QYFYWLWIAGCCTL-GRYCIOLGSA-N 0 3 220.316 2.570 20 0 BFADHN CCc1nocc1CN[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897958923 584066947 /nfs/dbraw/zinc/06/69/47/584066947.db2.gz PEKKGXYYFWAPOM-XHVZSJERSA-N 0 3 220.316 2.515 20 0 BFADHN CCC[C@]1(C)CCCN(c2ccnc(CO)c2)C1 ZINC000858429871 588954018 /nfs/dbraw/zinc/95/40/18/588954018.db2.gz MPYPVAWPDNQDDO-OAHLLOKOSA-N 0 3 248.370 2.981 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2cccnc2)sn1 ZINC000897966975 584069402 /nfs/dbraw/zinc/06/94/02/584069402.db2.gz INIJSDZVJQLMTL-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN Cc1ncc(CNCC[C@H]2CCC(F)(F)C2)o1 ZINC000898014295 584074074 /nfs/dbraw/zinc/07/40/74/584074074.db2.gz PTQXCJJTWQSJFP-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN FC1(F)CCC(CCNCc2cc[nH]n2)CC1 ZINC000898031398 584077767 /nfs/dbraw/zinc/07/77/67/584077767.db2.gz GOPCKOICVOZQSK-UHFFFAOYSA-N 0 3 243.301 2.715 20 0 BFADHN C[C@@H](CCc1ccsc1)NCc1cn(C)cn1 ZINC000898043014 584080501 /nfs/dbraw/zinc/08/05/01/584080501.db2.gz AQQASQCEXDLBID-NSHDSACASA-N 0 3 249.383 2.593 20 0 BFADHN Cc1cc(F)ncc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000898071312 584082649 /nfs/dbraw/zinc/08/26/49/584082649.db2.gz ITFZYOJNIZJIGM-TXEJJXNPSA-N 0 3 234.318 2.761 20 0 BFADHN CCCC[C@H](C)[C@@H](C)NCc1cnn(CC)n1 ZINC000858445052 588955334 /nfs/dbraw/zinc/95/53/34/588955334.db2.gz YWTVZBGVEXZZIH-NWDGAFQWSA-N 0 3 238.379 2.602 20 0 BFADHN c1cc(CC2CN(C[C@@H]3CCSC3)C2)co1 ZINC000898160872 584088397 /nfs/dbraw/zinc/08/83/97/584088397.db2.gz XNFBJPBTJXFJQB-LBPRGKRZSA-N 0 3 237.368 2.507 20 0 BFADHN OCc1cc(N[C@H]2CCCC(F)(F)C2)ccn1 ZINC000858450469 588955920 /nfs/dbraw/zinc/95/59/20/588955920.db2.gz HXFUSHCKHGASOS-JTQLQIEISA-N 0 3 242.269 2.564 20 0 BFADHN O[C@@H](CCNCc1cscc1Cl)C1CC1 ZINC000898203075 584090364 /nfs/dbraw/zinc/09/03/64/584090364.db2.gz QMVAGSWAOSVQPH-NSHDSACASA-N 0 3 245.775 2.652 20 0 BFADHN O[C@H](CCNCc1ccsc1Cl)C1CC1 ZINC000898204614 584091214 /nfs/dbraw/zinc/09/12/14/584091214.db2.gz XNJNFQBWZRPFCR-SNVBAGLBSA-N 0 3 245.775 2.652 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1c1ccnc(CO)c1 ZINC000858450980 588956052 /nfs/dbraw/zinc/95/60/52/588956052.db2.gz PNHBETFFZBADQC-WFASDCNBSA-N 0 3 248.370 2.979 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CN1CC[C@@H](O)[C@H](F)C1 ZINC000898187940 584093730 /nfs/dbraw/zinc/09/37/30/584093730.db2.gz JMOVOBAQGCJCCA-AAVRWANBSA-N 0 3 243.366 2.608 20 0 BFADHN Cc1occc1CNCCc1cccnc1C ZINC000898251603 584100880 /nfs/dbraw/zinc/10/08/80/584100880.db2.gz FRAHFOGYLVZBHX-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1ccoc1CNCCc1cccnc1C ZINC000898257141 584107104 /nfs/dbraw/zinc/10/71/04/584107104.db2.gz OGWWUABTLGVNJK-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cn1cccc1CN[C@@H](c1ccncc1)C1CC1 ZINC000898325917 584107816 /nfs/dbraw/zinc/10/78/16/584107816.db2.gz RJDNGPNBVZPXPQ-OAHLLOKOSA-N 0 3 241.338 2.661 20 0 BFADHN OCc1cc(NCCCc2cccs2)ccn1 ZINC000858464555 588957261 /nfs/dbraw/zinc/95/72/61/588957261.db2.gz MCCXXDDCDSJWEP-UHFFFAOYSA-N 0 3 248.351 2.680 20 0 BFADHN CCOc1ccc(CNC(C)(C)CSC)o1 ZINC000898304335 584108470 /nfs/dbraw/zinc/10/84/70/584108470.db2.gz CDZLDEVTWZJOCZ-UHFFFAOYSA-N 0 3 243.372 2.910 20 0 BFADHN CSCC(C)(C)NCc1cc(C)co1 ZINC000898305288 584109172 /nfs/dbraw/zinc/10/91/72/584109172.db2.gz WVKBEBURCSGYHR-UHFFFAOYSA-N 0 3 213.346 2.819 20 0 BFADHN C[C@]1(Br)C[C@@H]1CNC/C=C\Cl ZINC000898429303 584132199 /nfs/dbraw/zinc/13/21/99/584132199.db2.gz MHSYWHSBKYDQMY-ZCMHJKFASA-N 0 3 238.556 2.502 20 0 BFADHN CO[C@@H](C)CN[C@H]1CCCc2c(F)cccc21 ZINC000866345381 584118214 /nfs/dbraw/zinc/11/82/14/584118214.db2.gz ONCMHKIFEKRWGX-HZMBPMFUSA-N 0 3 237.318 2.828 20 0 BFADHN C[C@H]1CCC[C@H](Nc2ccnc(CO)c2)CC1 ZINC000858508433 588961442 /nfs/dbraw/zinc/96/14/42/588961442.db2.gz NOQXLNILZAEAKH-RYUDHWBXSA-N 0 3 234.343 2.955 20 0 BFADHN Cc1ncc(CNC2(C)C(C)(C)C2(C)C)n1C ZINC000898413349 584131496 /nfs/dbraw/zinc/13/14/96/584131496.db2.gz VVUBHMYBXHQHNJ-UHFFFAOYSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1nc(CNC2(C)C(C)(C)C2(C)C)[nH]c1C ZINC000898414959 584132324 /nfs/dbraw/zinc/13/23/24/584132324.db2.gz WZFXFVHRKCRGAZ-UHFFFAOYSA-N 0 3 235.375 2.941 20 0 BFADHN C[C@H]1Cc2cc(CNC/C=C/Cl)ccc2O1 ZINC000898427697 584132909 /nfs/dbraw/zinc/13/29/09/584132909.db2.gz KUWLMOVGTBTDNE-FWYAXHSGSA-N 0 3 237.730 2.852 20 0 BFADHN C[C@H]1CC[C@@]2(CCN(c3ccnc(CO)c3)C2)C1 ZINC000858478644 588959471 /nfs/dbraw/zinc/95/94/71/588959471.db2.gz WSSPHZSXBROQJL-SWLSCSKDSA-N 0 3 246.354 2.590 20 0 BFADHN Cc1ccc(CCNC/C=C/Cl)cc1 ZINC000898430029 584134434 /nfs/dbraw/zinc/13/44/34/584134434.db2.gz SRSODRNZFDXQIX-KRXBUXKQSA-N 0 3 209.720 2.880 20 0 BFADHN Cl/C=C\CNCc1cccc2c1CCOC2 ZINC000898429910 584134572 /nfs/dbraw/zinc/13/45/72/584134572.db2.gz YYROPWPYKXCILM-KXFIGUGUSA-N 0 3 237.730 2.601 20 0 BFADHN COc1cc(CNC/C=C\Cl)ccc1C ZINC000898434083 584135311 /nfs/dbraw/zinc/13/53/11/584135311.db2.gz SPJGHAMPCLZBJV-UTCJRWHESA-N 0 3 225.719 2.846 20 0 BFADHN OCc1cc(N[C@@H]2CC[C@@H]3CCC[C@@H]3C2)ccn1 ZINC000858425352 588953949 /nfs/dbraw/zinc/95/39/49/588953949.db2.gz GTUGDNPBIDHANR-YNEHKIRRSA-N 0 3 246.354 2.955 20 0 BFADHN CC(C)(CNc1ccnc(CO)c1)C(F)(F)F ZINC000858533941 588963322 /nfs/dbraw/zinc/96/33/22/588963322.db2.gz WJLHZICAXFZUQB-UHFFFAOYSA-N 0 3 248.248 2.574 20 0 BFADHN Cc1cccc(-c2ccc([C@@H](N)CO)cc2)c1C ZINC000899316507 584196662 /nfs/dbraw/zinc/19/66/62/584196662.db2.gz FWADYDPLYCFNRR-INIZCTEOSA-N 0 3 241.334 2.963 20 0 BFADHN Cc1csc(CNc2ccnc(CO)c2)c1 ZINC000858560084 588966344 /nfs/dbraw/zinc/96/63/44/588966344.db2.gz RNUKAEZYTDQGSE-UHFFFAOYSA-N 0 3 234.324 2.556 20 0 BFADHN OCc1cc(NC[C@H]2CCC[C@H]2C2CC2)ccn1 ZINC000858568168 588967104 /nfs/dbraw/zinc/96/71/04/588967104.db2.gz ONUQEFCAIWXBOE-DOMZBBRYSA-N 0 3 246.354 2.812 20 0 BFADHN COC[C@@H](CC(C)C)N[C@H](C)c1ccns1 ZINC000858576124 588967675 /nfs/dbraw/zinc/96/76/75/588967675.db2.gz HNILCLSDNSTSHL-GHMZBOCLSA-N 0 3 242.388 2.855 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](C)c1ccns1 ZINC000858575670 588967767 /nfs/dbraw/zinc/96/77/67/588967767.db2.gz CTKHKYFRNTVDDG-OPRDCNLKSA-N 0 3 210.346 2.982 20 0 BFADHN COC[C@H](CC(C)C)N[C@@H](C)c1ccns1 ZINC000858576126 588967886 /nfs/dbraw/zinc/96/78/86/588967886.db2.gz HNILCLSDNSTSHL-QWRGUYRKSA-N 0 3 242.388 2.855 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CCCOC1)c1ccns1 ZINC000858576191 588968481 /nfs/dbraw/zinc/96/84/81/588968481.db2.gz JBLDZFGYFXHVNL-DCAQKATOSA-N 0 3 240.372 2.609 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2ccnc(Cl)c2)C1 ZINC000858586090 588969393 /nfs/dbraw/zinc/96/93/93/588969393.db2.gz RWVAFCABNDQLPW-FBIMIBRVSA-N 0 3 240.734 2.563 20 0 BFADHN CCNCc1cc(-c2cccs2)no1 ZINC000901387414 584436951 /nfs/dbraw/zinc/43/69/51/584436951.db2.gz MASACMSETGMJHV-UHFFFAOYSA-N 0 3 208.286 2.513 20 0 BFADHN CO[C@@H](C)SCCN(C)Cc1ccccc1 ZINC000901547206 584464620 /nfs/dbraw/zinc/46/46/20/584464620.db2.gz JEMQYMIDNLTEID-GFCCVEGCSA-N 0 3 239.384 2.844 20 0 BFADHN Cc1cc(CSCCN2CCCCC2)no1 ZINC000901713862 584487762 /nfs/dbraw/zinc/48/77/62/584487762.db2.gz GXRGWSZOPWHKMP-UHFFFAOYSA-N 0 3 240.372 2.702 20 0 BFADHN CCn1cnc(CN[C@H](C)CCc2ccco2)c1 ZINC000902034841 584514608 /nfs/dbraw/zinc/51/46/08/584514608.db2.gz LPMIMYKFAMWLDC-GFCCVEGCSA-N 0 3 247.342 2.607 20 0 BFADHN CCn1cnc(CN[C@@H](C)Cc2cccs2)c1 ZINC000902049434 584517087 /nfs/dbraw/zinc/51/70/87/584517087.db2.gz MSQFCMFHHOGILK-NSHDSACASA-N 0 3 249.383 2.685 20 0 BFADHN Fc1cc(CN2CCCCCC2)ccn1 ZINC000859068770 588996813 /nfs/dbraw/zinc/99/68/13/588996813.db2.gz RHOKNEHQTUWAIF-UHFFFAOYSA-N 0 3 208.280 2.597 20 0 BFADHN CCn1cnc(CNC2[C@@H](C)CCC[C@@H]2C)c1 ZINC000902110538 584526753 /nfs/dbraw/zinc/52/67/53/584526753.db2.gz BUTSJVPRXQHZIY-RYUDHWBXSA-N 0 3 235.375 2.817 20 0 BFADHN CC(C)Cc1ccc(CNc2nccn2C)cn1 ZINC000902116353 584527865 /nfs/dbraw/zinc/52/78/65/584527865.db2.gz PBNXFAZNPYZAKQ-UHFFFAOYSA-N 0 3 244.342 2.626 20 0 BFADHN CCn1cnc(CN[C@@H]2CC[C@H](C)C[C@H]2C)c1 ZINC000902232670 584542684 /nfs/dbraw/zinc/54/26/84/584542684.db2.gz JMKFWGBDTQOBQC-OUCADQQQSA-N 0 3 235.375 2.817 20 0 BFADHN CNc1ccc(C)cc1CN[C@H](C)CSC ZINC000902256109 584544233 /nfs/dbraw/zinc/54/42/33/584544233.db2.gz RMNOLTSQHAWFHJ-LLVKDONJSA-N 0 3 238.400 2.878 20 0 BFADHN CC[C@@H](COC)NCc1cc(C)ccc1NC ZINC000902259332 584544670 /nfs/dbraw/zinc/54/46/70/584544670.db2.gz HMBKOEKJHHULBF-ZDUSSCGKSA-N 0 3 236.359 2.551 20 0 BFADHN CNc1ccc(C)cc1CN[C@@H]1CCSC1 ZINC000902278503 584551028 /nfs/dbraw/zinc/55/10/28/584551028.db2.gz DULQBLGNHYQQLX-GFCCVEGCSA-N 0 3 236.384 2.632 20 0 BFADHN CNc1ccc(C)cc1CNCc1ccn(C)c1 ZINC000902301673 584553752 /nfs/dbraw/zinc/55/37/52/584553752.db2.gz WJWXATBXYMVBRL-UHFFFAOYSA-N 0 3 243.354 2.665 20 0 BFADHN COc1ccccc1CN(C)CC1CC(OC)C1 ZINC000859062993 588995869 /nfs/dbraw/zinc/99/58/69/588995869.db2.gz MVTKPDBQRUFIBV-UHFFFAOYSA-N 0 3 249.354 2.552 20 0 BFADHN CNc1ccc(C)cc1CN[C@@]1(C)CCO[C@@H]1C ZINC000902477147 584603256 /nfs/dbraw/zinc/60/32/56/584603256.db2.gz PUIDDHOCIBEYBD-DOMZBBRYSA-N 0 3 248.370 2.694 20 0 BFADHN CNc1ccc(C)cc1CNCC1(OC)CCC1 ZINC000902464360 584613131 /nfs/dbraw/zinc/61/31/31/584613131.db2.gz BXILWYPGPSQZSF-UHFFFAOYSA-N 0 3 248.370 2.695 20 0 BFADHN C[C@H]1CCC[C@H](C)C1NCc1csc(N)n1 ZINC000902490467 584617184 /nfs/dbraw/zinc/61/71/84/584617184.db2.gz OIDDDDPAWRHRPN-IUCAKERBSA-N 0 3 239.388 2.640 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2csc(N)n2)[C@@H]1C ZINC000902499679 584619951 /nfs/dbraw/zinc/61/99/51/584619951.db2.gz QGALNPJZMDGMFU-FXPVBKGRSA-N 0 3 239.388 2.640 20 0 BFADHN CC1(C)CCC[C@@H](NCc2csc(N)n2)C1 ZINC000902498626 584620099 /nfs/dbraw/zinc/62/00/99/584620099.db2.gz GPJUUWLSNAISJB-SECBINFHSA-N 0 3 239.388 2.784 20 0 BFADHN CC1(C)CCCC[C@@H]1NCc1csc(N)n1 ZINC000902487176 584625968 /nfs/dbraw/zinc/62/59/68/584625968.db2.gz BZEMRGAZURIHSP-JTQLQIEISA-N 0 3 239.388 2.784 20 0 BFADHN CNc1ccccc1CNCCOCC1CCC1 ZINC000902487562 584626703 /nfs/dbraw/zinc/62/67/03/584626703.db2.gz KGCAMSFSDSICNG-UHFFFAOYSA-N 0 3 248.370 2.635 20 0 BFADHN CNc1ccccc1CN[C@H]1CCCC[C@@H]1OC ZINC000902536348 584627931 /nfs/dbraw/zinc/62/79/31/584627931.db2.gz IEWSHXMWIVSAGP-GJZGRUSLSA-N 0 3 248.370 2.776 20 0 BFADHN COC1CC(CN(C)[C@@H](C)c2ccco2)C1 ZINC000859096701 589001151 /nfs/dbraw/zinc/00/11/51/589001151.db2.gz RWKDEWYGFATMCZ-UNXYVOJBSA-N 0 3 223.316 2.697 20 0 BFADHN CCn1cnc(CN[C@@H](C2CC2)C2CCC2)c1 ZINC000902585128 584639053 /nfs/dbraw/zinc/63/90/53/584639053.db2.gz COASUWQCTFIPKN-CQSZACIVSA-N 0 3 233.359 2.571 20 0 BFADHN CNc1ccccc1CN[C@H]1COC2(CCC2)C1 ZINC000902617992 584644018 /nfs/dbraw/zinc/64/40/18/584644018.db2.gz XURVPDRMPUZNHB-CYBMUJFWSA-N 0 3 246.354 2.530 20 0 BFADHN CNc1ccc(C)cc1CNCC(C)(C)F ZINC000902626656 584646304 /nfs/dbraw/zinc/64/63/04/584646304.db2.gz JPKMCUVUQGEGHE-UHFFFAOYSA-N 0 3 224.323 2.874 20 0 BFADHN CN(Cc1ccnc(F)c1)CC1CCCC1 ZINC000859104917 589002504 /nfs/dbraw/zinc/00/25/04/589002504.db2.gz IGWHXBCEUHJGOL-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H](NC1CC(CCO)C1)c1ccccc1F ZINC000902695587 584656971 /nfs/dbraw/zinc/65/69/71/584656971.db2.gz WFVKFYXRCAOROF-VOMCLLRMSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](c2ccncc2)C2CC2)CO1 ZINC000902719664 584658293 /nfs/dbraw/zinc/65/82/93/584658293.db2.gz QIJDJANVLDGDIK-ZNMIVQPWSA-N 0 3 246.354 2.690 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](c2ccncc2)C2CC2)CO1 ZINC000902719662 584658409 /nfs/dbraw/zinc/65/84/09/584658409.db2.gz QIJDJANVLDGDIK-RBSFLKMASA-N 0 3 246.354 2.690 20 0 BFADHN C[C@@H](NCC[C@@H](O)C1CC1)c1ccccc1F ZINC000902704438 584659458 /nfs/dbraw/zinc/65/94/58/584659458.db2.gz YWKXBRVQMOLZFW-QMTHXVAHSA-N 0 3 237.318 2.637 20 0 BFADHN C[C@@H](NCC[C@H](O)C1CC1)c1ccccc1F ZINC000902704439 584659560 /nfs/dbraw/zinc/65/95/60/584659560.db2.gz YWKXBRVQMOLZFW-YGRLFVJLSA-N 0 3 237.318 2.637 20 0 BFADHN CC1(C)C(C)(C)C1(C)NCc1ccncc1N ZINC000902722077 584660488 /nfs/dbraw/zinc/66/04/88/584660488.db2.gz DKUAXKZXBMAELQ-UHFFFAOYSA-N 0 3 233.359 2.578 20 0 BFADHN Cc1cc(CNC2C[C@@H]3CCC[C@H]3C2)no1 ZINC000902765424 584667018 /nfs/dbraw/zinc/66/70/18/584667018.db2.gz POBMOJFTRDGLLV-QWRGUYRKSA-N 0 3 220.316 2.651 20 0 BFADHN CCN(Cc1ccoc1)CC1CC(OC)C1 ZINC000859114992 589003676 /nfs/dbraw/zinc/00/36/76/589003676.db2.gz RHMZXVXILDIFCA-UHFFFAOYSA-N 0 3 223.316 2.527 20 0 BFADHN CC[C@H]1CCCN1Cc1ccnc(F)c1 ZINC000859117512 589004665 /nfs/dbraw/zinc/00/46/65/589004665.db2.gz NAYAFQBXEAIWHD-NSHDSACASA-N 0 3 208.280 2.595 20 0 BFADHN Fc1cc(CN2CCC[C@H]2C2CC2)ccn1 ZINC000859118546 589005091 /nfs/dbraw/zinc/00/50/91/589005091.db2.gz CWJNTAPYZZADJQ-LBPRGKRZSA-N 0 3 220.291 2.595 20 0 BFADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2NCCF ZINC000902978619 584708083 /nfs/dbraw/zinc/70/80/83/584708083.db2.gz JCOBMAYMZLDAOV-IINYFYTJSA-N 0 3 237.318 2.878 20 0 BFADHN Cc1nnsc1CNC1C[C@@H]2CCC[C@H]2C1 ZINC000902999234 584714721 /nfs/dbraw/zinc/71/47/21/584714721.db2.gz NBBKBFWTNZYPLR-UWVGGRQHSA-N 0 3 237.372 2.515 20 0 BFADHN CCCn1cc([C@H](C)NC2(C(C)C)CC2)nn1 ZINC000903001640 584716466 /nfs/dbraw/zinc/71/64/66/584716466.db2.gz WJTAUPGKCJJECZ-NSHDSACASA-N 0 3 236.363 2.527 20 0 BFADHN CCn1ccnc1CNC1C[C@@H]2CCC[C@H]2C1 ZINC000903006443 584716709 /nfs/dbraw/zinc/71/67/09/584716709.db2.gz HVQIYDOUSGUAOC-RYUDHWBXSA-N 0 3 233.359 2.571 20 0 BFADHN CCn1ccnc1CNC1C[C@H]2CCC[C@@H]2C1 ZINC000903006444 584717071 /nfs/dbraw/zinc/71/70/71/584717071.db2.gz HVQIYDOUSGUAOC-VXGBXAGGSA-N 0 3 233.359 2.571 20 0 BFADHN CCc1nc(CN[C@@H]2C[C@H]3CCC[C@H]3C2)co1 ZINC000903011189 584717322 /nfs/dbraw/zinc/71/73/22/584717322.db2.gz CFGQVEWKVWHWCY-ZSBIGDGJSA-N 0 3 234.343 2.905 20 0 BFADHN CO[C@H]1CCC[C@H]1NC1(c2ccc(F)cc2)CC1 ZINC000903136922 584730503 /nfs/dbraw/zinc/73/05/03/584730503.db2.gz HIEABGWEXHSQIA-KGLIPLIRSA-N 0 3 249.329 2.972 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NCC[C@@H]1C[C@H]1C1CC1 ZINC000903162023 584731381 /nfs/dbraw/zinc/73/13/81/584731381.db2.gz TVOSCJJGZREYIM-KWCYVHTRSA-N 0 3 245.370 2.813 20 0 BFADHN C[C@H](NC[C@@]12C[C@@H]1CCCC2)c1ccn(C)n1 ZINC000903161426 584731530 /nfs/dbraw/zinc/73/15/30/584731530.db2.gz IDAYLGJVFAMBHX-SGMGOOAPSA-N 0 3 233.359 2.651 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](N[C@H]2CCn3ccnc32)[C@H]1C ZINC000903178653 584733259 /nfs/dbraw/zinc/73/32/59/584733259.db2.gz XZBLKCXGPKBAGF-QMVSFRDZSA-N 0 3 247.386 2.988 20 0 BFADHN c1cc2c(c(CNC3CCCC3)n1)CCCC2 ZINC000903185242 584733708 /nfs/dbraw/zinc/73/37/08/584733708.db2.gz RXXOSJPMEMDYMJ-UHFFFAOYSA-N 0 3 230.355 2.993 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1cnn(CC2CCC2)c1 ZINC000903192568 584734095 /nfs/dbraw/zinc/73/40/95/584734095.db2.gz OGSRSUZMHAHSIL-BXUZGUMPSA-N 0 3 245.370 2.886 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2ncccn2)[C@@H]1C ZINC000903204974 584734324 /nfs/dbraw/zinc/73/43/24/584734324.db2.gz ZVTCLBJBHFVSSP-CZXHOFHRSA-N 0 3 233.359 2.637 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1NCc1cc(N(C)C)ccn1 ZINC000903198845 584735001 /nfs/dbraw/zinc/73/50/01/584735001.db2.gz PYJKDHOUUARORD-GJZGRUSLSA-N 0 3 247.386 2.672 20 0 BFADHN C1=C[C@H](N[C@@H](c2ccccn2)C2CC2)CC1 ZINC000903201484 584735466 /nfs/dbraw/zinc/73/54/66/584735466.db2.gz XVNHKRFNVZOKNU-GXTWGEPZSA-N 0 3 214.312 2.841 20 0 BFADHN CCC[C@H](O)CCN[C@H](c1ccccn1)C1CC1 ZINC000903224857 584735833 /nfs/dbraw/zinc/73/58/33/584735833.db2.gz BVIQPZJEQSKUPY-ZFWWWQNUSA-N 0 3 248.370 2.673 20 0 BFADHN CCC[C@@H](O)CCN[C@@H](c1ccccn1)C1CC1 ZINC000903224856 584735925 /nfs/dbraw/zinc/73/59/25/584735925.db2.gz BVIQPZJEQSKUPY-UKRRQHHQSA-N 0 3 248.370 2.673 20 0 BFADHN COC[C@H]1C[C@@H](N[C@H](c2ccccn2)C2CC2)C1 ZINC000903218968 584737709 /nfs/dbraw/zinc/73/77/09/584737709.db2.gz GHSXEXBQOYAMAJ-LNSITVRQSA-N 0 3 246.354 2.547 20 0 BFADHN COc1cc([C@H](C)NCC(C)(C)C2CC2)on1 ZINC000903244475 584738571 /nfs/dbraw/zinc/73/85/71/584738571.db2.gz WCYVMTRKSZLEFX-VIFPVBQESA-N 0 3 238.331 2.770 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)c(C)n1 ZINC000903254032 584739338 /nfs/dbraw/zinc/73/93/38/584739338.db2.gz OZDKZPLOGFYLDP-DZLKGYQOSA-N 0 3 245.370 2.789 20 0 BFADHN C[C@H](N[C@H]1CCSC(C)(C)C1)c1ncc[nH]1 ZINC000903282843 584742861 /nfs/dbraw/zinc/74/28/61/584742861.db2.gz NLSPELUPXAEOID-UWVGGRQHSA-N 0 3 239.388 2.735 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCN(C)[C@H]2C)oc1C ZINC000903300086 584744239 /nfs/dbraw/zinc/74/42/39/584744239.db2.gz FWPZPQAHZFXVOV-GMXVVIOVSA-N 0 3 236.359 2.640 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)cs1 ZINC000903286674 584745262 /nfs/dbraw/zinc/74/52/62/584745262.db2.gz MELMZWLKWDGZTR-ICSBAORBSA-N 0 3 249.379 2.883 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000903320108 584746403 /nfs/dbraw/zinc/74/64/03/584746403.db2.gz ZNTULNQHTYUSGW-WUHRBBMRSA-N 0 3 233.359 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000903320106 584746704 /nfs/dbraw/zinc/74/67/04/584746704.db2.gz ZNTULNQHTYUSGW-UMSGYPCISA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CCC[C@@H]21)c1nccs1 ZINC000903314571 584749051 /nfs/dbraw/zinc/74/90/51/584749051.db2.gz APUPKIUNKYRFQH-ZRUFSTJUSA-N 0 3 222.357 2.982 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CCC[C@H]21)c1cncs1 ZINC000903316224 584749745 /nfs/dbraw/zinc/74/97/45/584749745.db2.gz IQNTUAMMFVJATJ-LNFKQOIKSA-N 0 3 222.357 2.982 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCC[C@@H]12)c1cc2n(n1)CCC2 ZINC000903316899 584750012 /nfs/dbraw/zinc/75/00/12/584750012.db2.gz KWQXZJQUWIAIRV-HTTKSJEASA-N 0 3 245.370 2.669 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H]2C[C@@H]3CCC[C@H]23)nn1 ZINC000903318256 584750527 /nfs/dbraw/zinc/75/05/27/584750527.db2.gz PRFRDBASLGQKID-ZDEQEGDKSA-N 0 3 248.374 2.527 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H]2C[C@@H]3CCC[C@@H]23)nn1 ZINC000903318255 584750545 /nfs/dbraw/zinc/75/05/45/584750545.db2.gz PRFRDBASLGQKID-WUHRBBMRSA-N 0 3 248.374 2.527 20 0 BFADHN c1ccc([C@H](N[C@@H]2CCSC2)C2CC2)nc1 ZINC000903337327 584751910 /nfs/dbraw/zinc/75/19/10/584751910.db2.gz CQTUNCQFAJOVLU-DGCLKSJQSA-N 0 3 234.368 2.628 20 0 BFADHN CC(C)c1ccc(N[C@@H]2CN(C)C[C@@H]2C)cn1 ZINC000903340545 584752373 /nfs/dbraw/zinc/75/23/73/584752373.db2.gz UZAPUMBAKHHRMA-SMDDNHRTSA-N 0 3 233.359 2.567 20 0 BFADHN C[C@@H](NC[C@H]1CCC=CCCC1)c1cn(C)nn1 ZINC000903347256 584752910 /nfs/dbraw/zinc/75/29/10/584752910.db2.gz ZESAZFDDMNUPAA-OLZOCXBDSA-N 0 3 248.374 2.602 20 0 BFADHN C[C@H](NC[C@H]1CCC=CCCC1)c1cn(C)nn1 ZINC000903347258 584752975 /nfs/dbraw/zinc/75/29/75/584752975.db2.gz ZESAZFDDMNUPAA-STQMWFEESA-N 0 3 248.374 2.602 20 0 BFADHN C[C@H](NC[C@H]1CCC=CCCC1)c1cnnn1C ZINC000903347040 584753236 /nfs/dbraw/zinc/75/32/36/584753236.db2.gz YXBBWYOWZMTKGI-STQMWFEESA-N 0 3 248.374 2.602 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](c1ncccn1)C1CC1 ZINC000903326577 584753354 /nfs/dbraw/zinc/75/33/54/584753354.db2.gz YMFDSGYVAJWPCD-WXHSDQCUSA-N 0 3 231.343 2.706 20 0 BFADHN CCC[C@@H](C)N[C@@H](c1ncccn1)C1CC1 ZINC000903330277 584753915 /nfs/dbraw/zinc/75/39/15/584753915.db2.gz GVMSKWMHXXGIOB-ZYHUDNBSSA-N 0 3 219.332 2.706 20 0 BFADHN COC(C)(C)[C@H](C)N[C@H](C)c1cncc(C)c1 ZINC000903332193 584754396 /nfs/dbraw/zinc/75/43/96/584754396.db2.gz QWGCCAFIJPUHAY-NEPJUHHUSA-N 0 3 236.359 2.854 20 0 BFADHN C[C@@H](CC1CC1)N[C@@H](c1ncccn1)C1CC1 ZINC000903332882 584754902 /nfs/dbraw/zinc/75/49/02/584754902.db2.gz PBJCJOOLQPIPKE-GXFFZTMASA-N 0 3 231.343 2.706 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2cn(C)cn2)[C@H]1C ZINC000903350987 584755380 /nfs/dbraw/zinc/75/53/80/584755380.db2.gz GTCXHOPIIUUCCU-OWTLIXCDSA-N 0 3 235.375 2.580 20 0 BFADHN CC(C)C(C(C)C)[C@H](C)NCc1cn(C)cn1 ZINC000903350826 584755479 /nfs/dbraw/zinc/75/54/79/584755479.db2.gz FMNCYGYSZYDONG-LBPRGKRZSA-N 0 3 237.391 2.826 20 0 BFADHN C[C@@H](NC[C@]12C[C@H]1COC21CCC1)c1ccco1 ZINC000903358279 584755876 /nfs/dbraw/zinc/75/58/76/584755876.db2.gz IBFQCVICPZNOKH-MBNYWOFBSA-N 0 3 247.338 2.889 20 0 BFADHN C[C@H](N[C@H]1COC[C@H]1C)c1cc2cnccc2o1 ZINC000903393035 584756231 /nfs/dbraw/zinc/75/62/31/584756231.db2.gz DXAPMCZJPCQPCF-SCVCMEIPSA-N 0 3 246.310 2.513 20 0 BFADHN C[C@H](N[C@@H]1COC[C@H]1C)c1cc2cnccc2o1 ZINC000903393033 584756286 /nfs/dbraw/zinc/75/62/86/584756286.db2.gz DXAPMCZJPCQPCF-JFGNBEQYSA-N 0 3 246.310 2.513 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@H]1COC[C@H]1C ZINC000903393890 584756487 /nfs/dbraw/zinc/75/64/87/584756487.db2.gz VIZREJSAIDNKLI-SUNKGSAMSA-N 0 3 237.318 2.820 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@@H](O)C2CC2)oc1C ZINC000903405164 584758449 /nfs/dbraw/zinc/75/84/49/584758449.db2.gz BEZFMIVNJIYPID-ZWNOBZJWSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1ccc([C@@H](C)NCC[C@@H](O)C2CC2)c(C)n1 ZINC000903408290 584759101 /nfs/dbraw/zinc/75/91/01/584759101.db2.gz RUEMYBHLUQTMRZ-IAQYHMDHSA-N 0 3 248.370 2.510 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H]1CC=CC[C@H]1C ZINC000903415207 584760281 /nfs/dbraw/zinc/76/02/81/584760281.db2.gz ZLKNJAIIHDGSGA-RAIGVLPGSA-N 0 3 231.343 2.790 20 0 BFADHN CCN(Cc1ccnc(F)c1)C1CCC1 ZINC000859144843 589009121 /nfs/dbraw/zinc/00/91/21/589009121.db2.gz BZOFVTMFQSEUGV-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN C[C@H]1CCN(Cc2ccc3cc[nH]c3c2)CCO1 ZINC000903569395 584783440 /nfs/dbraw/zinc/78/34/40/584783440.db2.gz BLMJJKKFMPXTKT-LBPRGKRZSA-N 0 3 244.338 2.779 20 0 BFADHN CC(C)CC[C@H](C)NCc1cc(N)ccn1 ZINC000903950322 584830657 /nfs/dbraw/zinc/83/06/57/584830657.db2.gz MAQIDJGTGUXNKO-NSHDSACASA-N 0 3 221.348 2.578 20 0 BFADHN FC(F)Oc1ccc(CN2CC[C@H]3C[C@H]32)cc1 ZINC000761086316 584909385 /nfs/dbraw/zinc/90/93/85/584909385.db2.gz DCERFKNFOUAULH-CMPLNLGQSA-N 0 3 239.265 2.882 20 0 BFADHN CCCCCCN(C)CC(=O)NC(C)(C)CC ZINC000731894384 584930917 /nfs/dbraw/zinc/93/09/17/584930917.db2.gz OLFNSSLIOAQIEL-UHFFFAOYSA-N 0 3 242.407 2.803 20 0 BFADHN CN(CCC(=O)C1CC1)[C@@H]1CCc2ccccc21 ZINC000767354913 584944781 /nfs/dbraw/zinc/94/47/81/584944781.db2.gz NGOSASZIIXGYQT-OAHLLOKOSA-N 0 3 243.350 2.975 20 0 BFADHN Cc1nonc1CN1CC[C@H](C)[C@@H]2CCCC[C@H]21 ZINC000734151737 584957849 /nfs/dbraw/zinc/95/78/49/584957849.db2.gz LKLPSWDRNSILJG-VHRBIJSZSA-N 0 3 249.358 2.779 20 0 BFADHN CCC(C)(CC)C(=O)OCCN1CCCCC1 ZINC000770416303 584978839 /nfs/dbraw/zinc/97/88/39/584978839.db2.gz AAUIFDHFEPFWJD-UHFFFAOYSA-N 0 3 241.375 2.842 20 0 BFADHN C[C@@H]1CN(Cc2cn(C)nc2C(C)(C)C)[C@H]1C ZINC000816648963 584981637 /nfs/dbraw/zinc/98/16/37/584981637.db2.gz RDNSQOPPJALGSW-MNOVXSKESA-N 0 3 235.375 2.558 20 0 BFADHN CCCCCCNC(=O)[C@@H](CC)N(CC)CC ZINC000735803331 584981694 /nfs/dbraw/zinc/98/16/94/584981694.db2.gz BXVCGFWUSUWLIS-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN c1ncc(CN2CCCCCCCC2)cn1 ZINC000824982876 585028983 /nfs/dbraw/zinc/02/89/83/585028983.db2.gz MFIVIXBUVWBNIM-UHFFFAOYSA-N 0 3 219.332 2.633 20 0 BFADHN CCC(CC)(CCO)CNCc1cc(C)co1 ZINC000886006630 585034202 /nfs/dbraw/zinc/03/42/02/585034202.db2.gz UEEXCXXEJFNQEN-UHFFFAOYSA-N 0 3 239.359 2.866 20 0 BFADHN CC[C@@H]1COCCN1CC[C@@H]1C[C@H]1C1CC1 ZINC000886012150 585034591 /nfs/dbraw/zinc/03/45/91/585034591.db2.gz AQWLRWCBFJALEY-MCIONIFRSA-N 0 3 223.360 2.533 20 0 BFADHN Cc1cc(CNCc2ccc(CO)cc2)cs1 ZINC000383496353 585037164 /nfs/dbraw/zinc/03/71/64/585037164.db2.gz XHFCZKMSBPAEKH-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1nonc1C ZINC000738313315 585042577 /nfs/dbraw/zinc/04/25/77/585042577.db2.gz GRNXZSLQVGSBPG-MFKMUULPSA-N 0 3 237.347 2.779 20 0 BFADHN C/C=C/C(=O)Nc1ccc(CN(C)C)c(C)c1 ZINC000838280723 585051895 /nfs/dbraw/zinc/05/18/95/585051895.db2.gz QEBLBUGNXFEQGM-AATRIKPKSA-N 0 3 232.327 2.571 20 0 BFADHN C/C=C(/C)COC(=O)c1cccc(CN(C)C)c1 ZINC000842846257 585063956 /nfs/dbraw/zinc/06/39/56/585063956.db2.gz NILLSAXRFYDJAH-XGICHPGQSA-N 0 3 247.338 2.871 20 0 BFADHN O[C@H](CN(Cc1cccc(F)c1)C1CC1)C1CC1 ZINC000838794081 585068718 /nfs/dbraw/zinc/06/87/18/585068718.db2.gz SKKRQSJLUMSBNS-OAHLLOKOSA-N 0 3 249.329 2.561 20 0 BFADHN CCCC[C@@H](CCC)NCc1cnn(CC)n1 ZINC000838974694 585083386 /nfs/dbraw/zinc/08/33/86/585083386.db2.gz LRPQJHDERJKHFU-GFCCVEGCSA-N 0 3 238.379 2.747 20 0 BFADHN Fc1cccc(CNC2CC3(CCC3)C2)n1 ZINC000838978950 585084014 /nfs/dbraw/zinc/08/40/14/585084014.db2.gz GKNWSTFWGLADDK-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN CCn1ncc(CNCC(CC)(CC)CC)n1 ZINC000838978921 585084119 /nfs/dbraw/zinc/08/41/19/585084119.db2.gz IRWQLUQBQUCZLH-UHFFFAOYSA-N 0 3 238.379 2.604 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1nonc1C ZINC000739926671 585086426 /nfs/dbraw/zinc/08/64/26/585086426.db2.gz JSFHWQQOZUCGCN-LLVKDONJSA-N 0 3 223.320 2.533 20 0 BFADHN CCOC[C@@H]1CCCCN1C/C=C/Cl ZINC000839180674 585096563 /nfs/dbraw/zinc/09/65/63/585096563.db2.gz WQQOARXUTDQHBI-ZRQQLDRUSA-N 0 3 217.740 2.630 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1cc(C)oc1C ZINC000839193356 585097892 /nfs/dbraw/zinc/09/78/92/585097892.db2.gz XLKPBRILAUUZFN-OLZOCXBDSA-N 0 3 223.316 2.554 20 0 BFADHN OCC[C@@H](CNCc1ccoc1)c1ccccc1 ZINC000740898956 585107979 /nfs/dbraw/zinc/10/79/79/585107979.db2.gz IUNVTGXFLQFBHS-HNNXBMFYSA-N 0 3 245.322 2.535 20 0 BFADHN CCCOC(=O)[C@@H](C)N1CCC[C@@H]1C1CCC1 ZINC000741782845 585118715 /nfs/dbraw/zinc/11/87/15/585118715.db2.gz NMBLZVFSUUETON-DGCLKSJQSA-N 0 3 239.359 2.593 20 0 BFADHN CCCCOC(=O)[C@H](C)N1CCC[C@H]1C1CC1 ZINC000741782935 585118817 /nfs/dbraw/zinc/11/88/17/585118817.db2.gz OSEPBQUSNYEFEV-AAEUAGOBSA-N 0 3 239.359 2.593 20 0 BFADHN Cl/C=C\CN1CCCC2(CCOCC2)C1 ZINC000741811256 585119915 /nfs/dbraw/zinc/11/99/15/585119915.db2.gz NFSGKSIIGZYLGD-KXFIGUGUSA-N 0 3 229.751 2.632 20 0 BFADHN CCCCCC[C@H](C)C(=O)NC[C@H](C)N(C)C ZINC000742044700 585121641 /nfs/dbraw/zinc/12/16/41/585121641.db2.gz PJHMBYVWQHUNHQ-STQMWFEESA-N 0 3 242.407 2.659 20 0 BFADHN Cc1cc(N[C@H]2C[C@H]2C2CCCCC2)nc(N)n1 ZINC000742414575 585126176 /nfs/dbraw/zinc/12/61/76/585126176.db2.gz WWCNINMAPVXFEJ-RYUDHWBXSA-N 0 3 246.358 2.748 20 0 BFADHN Cc1nc(C(C)C)ccc1CN1C[C@@H]2C[C@@H]2C1 ZINC000886348068 585134124 /nfs/dbraw/zinc/13/41/24/585134124.db2.gz FUCXEZZFTFKFHJ-OKILXGFUSA-N 0 3 230.355 2.965 20 0 BFADHN O=C1CC2(CCC2)N1CN(C1CC1)C1CCCC1 ZINC000743354149 585135630 /nfs/dbraw/zinc/13/56/30/585135630.db2.gz MWZHTBCAPDMQAV-UHFFFAOYSA-N 0 3 248.370 2.506 20 0 BFADHN CCC[C@@H]1CC(=O)N(CN(C)[C@@H](C)C(C)C)C1 ZINC000743353901 585135654 /nfs/dbraw/zinc/13/56/54/585135654.db2.gz JLKAPWKAWYNLSI-QWHCGFSZSA-N 0 3 240.391 2.569 20 0 BFADHN CC(C)[C@H](C)N(C)Cn1ccc(C(F)(F)F)n1 ZINC000744147142 585144618 /nfs/dbraw/zinc/14/46/18/585144618.db2.gz OGLDHUSOBDFAHG-VIFPVBQESA-N 0 3 249.280 2.836 20 0 BFADHN Cc1ncc(CN2CCC3(CCCC3)C2)cn1 ZINC000744421329 585150212 /nfs/dbraw/zinc/15/02/12/585150212.db2.gz ZWNQGTHGTDQZMX-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN CCCCOC(=O)[C@H](C)N1C[C@H](C)C[C@H]1CC ZINC000745990898 585162404 /nfs/dbraw/zinc/16/24/04/585162404.db2.gz KFYCOGVLOSAEQL-FRRDWIJNSA-N 0 3 241.375 2.839 20 0 BFADHN CC(C)N1CCC(C(=O)Oc2ccccc2)CC1 ZINC000746104768 585163076 /nfs/dbraw/zinc/16/30/76/585163076.db2.gz XBXFZGJNQISUPD-UHFFFAOYSA-N 0 3 247.338 2.712 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1C2CCC1CC2 ZINC000746653105 585171461 /nfs/dbraw/zinc/17/14/61/585171461.db2.gz BGJJEIZJMRGXBE-SSDMNJCBSA-N 0 3 249.329 2.794 20 0 BFADHN O[C@H](CNC/C=C\c1ccccc1)c1ccco1 ZINC000747098902 585174780 /nfs/dbraw/zinc/17/47/80/585174780.db2.gz GAMKSYFPNNQCGE-SMGNDMQFSA-N 0 3 243.306 2.616 20 0 BFADHN Fc1ccc(F)c(CCNCc2ccoc2)c1 ZINC000747100820 585175217 /nfs/dbraw/zinc/17/52/17/585175217.db2.gz VYCCHBMYYPQTEX-UHFFFAOYSA-N 0 3 237.249 2.890 20 0 BFADHN CCCCCCNC(=O)[C@@H]1CCCN1CCC ZINC000749565439 585203930 /nfs/dbraw/zinc/20/39/30/585203930.db2.gz QCQFEBCKEWFDIG-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)[C@@H](C)CC(C)C ZINC000749903106 585208148 /nfs/dbraw/zinc/20/81/48/585208148.db2.gz YORAGNMXWODDJK-NSHDSACASA-N 0 3 237.391 2.903 20 0 BFADHN c1cc(CNCCCNc2ccccc2)co1 ZINC000751507800 585231328 /nfs/dbraw/zinc/23/13/28/585231328.db2.gz TWCBJNQNQJPREX-UHFFFAOYSA-N 0 3 230.311 2.871 20 0 BFADHN CCCN(CC)Cc1cnc(OC)c(Cl)c1 ZINC000751964914 585239916 /nfs/dbraw/zinc/23/99/16/585239916.db2.gz IZCMFBNJMMGVCU-UHFFFAOYSA-N 0 3 242.750 2.976 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2CCCO2)c(C)c1 ZINC000752854353 585250830 /nfs/dbraw/zinc/25/08/30/585250830.db2.gz IFGSYTRYVGBDAB-HNNXBMFYSA-N 0 3 249.354 2.614 20 0 BFADHN CCC(=O)CCN1Cc2ccccc2[C@@H](C)C1 ZINC000753696212 585266305 /nfs/dbraw/zinc/26/63/05/585266305.db2.gz HUCRQKSOOAKENB-LBPRGKRZSA-N 0 3 231.339 2.975 20 0 BFADHN Cc1cc(F)ccc1CN(C)CCC(=O)C1CC1 ZINC000753785730 585268998 /nfs/dbraw/zinc/26/89/98/585268998.db2.gz MGWFRPOIFZRRRT-UHFFFAOYSA-N 0 3 249.329 2.935 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccnc(N(C)C)c2)C1 ZINC000754061654 585276810 /nfs/dbraw/zinc/27/68/10/585276810.db2.gz MHLHTJHUHHJRMA-OAHLLOKOSA-N 0 3 247.386 2.770 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H]2CC[C@@H]2C)[n-]1 ZINC000754100306 585278337 /nfs/dbraw/zinc/27/83/37/585278337.db2.gz CUGLVPRAOGTOKM-XWLWVQCSSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)N[C@@H]2CC[C@@H]2C)[nH]1 ZINC000754100306 585278338 /nfs/dbraw/zinc/27/83/38/585278338.db2.gz CUGLVPRAOGTOKM-XWLWVQCSSA-N 0 3 236.363 2.767 20 0 BFADHN C[C@H]1CN(Cc2cc3ccccc3s2)C[C@@H]1O ZINC000754097461 585278393 /nfs/dbraw/zinc/27/83/93/585278393.db2.gz NHCFMSMZCUAKQB-GWCFXTLKSA-N 0 3 247.363 2.714 20 0 BFADHN COCC(C)(C)CNCc1c(C)cccc1F ZINC000755199173 585296251 /nfs/dbraw/zinc/29/62/51/585296251.db2.gz KMYVZXJETZDEFY-UHFFFAOYSA-N 0 3 239.334 2.896 20 0 BFADHN Cc1ccc([C@@H](N[C@@H]2CCN(C)C2)C2CCC2)o1 ZINC000756536961 585320579 /nfs/dbraw/zinc/32/05/79/585320579.db2.gz XYMBSKFTCBJGEY-HIFRSBDPSA-N 0 3 248.370 2.723 20 0 BFADHN CC(=O)Oc1ccc(CN2CC=C(C)CC2)cc1 ZINC000756637701 585321929 /nfs/dbraw/zinc/32/19/29/585321929.db2.gz ZICZMMGBJATFRB-UHFFFAOYSA-N 0 3 245.322 2.764 20 0 BFADHN Cc1cc(C)cc([C@@H](O)CN2CC=C(C)CC2)c1 ZINC000756641760 585322033 /nfs/dbraw/zinc/32/20/33/585322033.db2.gz WMOXWBIEXSPOID-INIZCTEOSA-N 0 3 245.366 2.989 20 0 BFADHN FC(F)(F)[C@@H]1CCN(CCc2ccccn2)C1 ZINC000839535114 585329335 /nfs/dbraw/zinc/32/93/35/585329335.db2.gz WNIKUHFAPHSVOQ-SNVBAGLBSA-N 0 3 244.260 2.508 20 0 BFADHN Fc1ccc(CN2CC[C@@H](C3CCC3)C2)nc1 ZINC000839681160 585337110 /nfs/dbraw/zinc/33/71/10/585337110.db2.gz QEOJKLLAPPYGNW-GFCCVEGCSA-N 0 3 234.318 2.843 20 0 BFADHN FCCN1CC[C@H](Cc2ccc(F)cc2)C1 ZINC000759341491 585369091 /nfs/dbraw/zinc/36/90/91/585369091.db2.gz ACCQVEXMTGUDRI-GFCCVEGCSA-N 0 3 225.282 2.660 20 0 BFADHN CCO[C@H]1C[C@H](N(C)CCF)C1(CC)CC ZINC000759347460 585370713 /nfs/dbraw/zinc/37/07/13/585370713.db2.gz WKVOSRURYNPZNL-RYUDHWBXSA-N 0 3 231.355 2.872 20 0 BFADHN CCCCCC[C@H](O)CN1CC=CCC1 ZINC000759428403 585372795 /nfs/dbraw/zinc/37/27/95/585372795.db2.gz BVHYQPZPGQENKW-ZDUSSCGKSA-N 0 3 211.349 2.580 20 0 BFADHN C[C@H](NCc1n[nH]c2ccccc12)c1ccc[nH]1 ZINC000760446776 585392672 /nfs/dbraw/zinc/39/26/72/585392672.db2.gz VXSDJYIZOBAAGZ-JTQLQIEISA-N 0 3 240.310 2.742 20 0 BFADHN C[C@H](NCc1[nH]nc2ccccc21)c1ccc[nH]1 ZINC000760446776 585392673 /nfs/dbraw/zinc/39/26/73/585392673.db2.gz VXSDJYIZOBAAGZ-JTQLQIEISA-N 0 3 240.310 2.742 20 0 BFADHN CC(C)CC1CCN(CCn2cccn2)CC1 ZINC000760922562 585401571 /nfs/dbraw/zinc/40/15/71/585401571.db2.gz XIGBDMJXRLQTDT-UHFFFAOYSA-N 0 3 235.375 2.641 20 0 BFADHN CCOC(=O)CCN1CCC(CC(C)C)CC1 ZINC000760919405 585401623 /nfs/dbraw/zinc/40/16/23/585401623.db2.gz GFIVYTJEWDFEGR-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN Cc1cc(C)c(CN2CCN(C)[C@H](C)C2)c(C)c1 ZINC000761068166 585404795 /nfs/dbraw/zinc/40/47/95/585404795.db2.gz GXKIEPOYEXRIOO-OAHLLOKOSA-N 0 3 246.398 2.748 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCN(C)[C@@H](C)C1 ZINC000761077469 585406217 /nfs/dbraw/zinc/40/62/17/585406217.db2.gz SYVGBURXMGYRNE-NWDGAFQWSA-N 0 3 236.334 2.523 20 0 BFADHN Cc1ccccc1[C@H](C)N1CCN(C)[C@@H](C)C1 ZINC000761079849 585406493 /nfs/dbraw/zinc/40/64/93/585406493.db2.gz GBWLNAGSJRUOHE-KBPBESRZSA-N 0 3 232.371 2.692 20 0 BFADHN CSc1ccc(OCCN2CC[C@H]3C[C@H]32)cc1 ZINC000761087307 585407592 /nfs/dbraw/zinc/40/75/92/585407592.db2.gz FOKNYGOVTKHGHN-SMDDNHRTSA-N 0 3 249.379 2.882 20 0 BFADHN c1ccc(SCCN2CC[C@H]3C[C@H]32)cc1 ZINC000761088889 585407794 /nfs/dbraw/zinc/40/77/94/585407794.db2.gz QWSVWCHUXVAIIY-WCQYABFASA-N 0 3 219.353 2.873 20 0 BFADHN Cc1cc(CN2CC[C@@H]3C[C@@H]32)c(C)s1 ZINC000761098226 585408880 /nfs/dbraw/zinc/40/88/80/585408880.db2.gz WBNFGMKVAHGRED-PWSUYJOCSA-N 0 3 207.342 2.959 20 0 BFADHN CCOc1cccc(CN[C@H](C)C2(C)CC2)n1 ZINC000763413808 585447873 /nfs/dbraw/zinc/44/78/73/585447873.db2.gz ODSSITILLFXTBH-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1cc(CNCCOCC2CCC2)c(C)o1 ZINC000764978248 585478202 /nfs/dbraw/zinc/47/82/02/585478202.db2.gz UXFFMGKQEGTQBE-UHFFFAOYSA-N 0 3 237.343 2.803 20 0 BFADHN COc1ccc(CNCc2cccnc2)c(C)c1 ZINC000765269467 585483257 /nfs/dbraw/zinc/48/32/57/585483257.db2.gz HEXQBZLYSVYXPI-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN CN(Cc1ccn(C)n1)C1CCCCCCC1 ZINC000765493641 585488319 /nfs/dbraw/zinc/48/83/19/585488319.db2.gz XIOGPTFSEUTURQ-UHFFFAOYSA-N 0 3 235.375 2.965 20 0 BFADHN C[C@H]1CCCN(Cc2cccc3nsnc32)C1 ZINC000766009279 585495821 /nfs/dbraw/zinc/49/58/21/585495821.db2.gz SMSSHABOWLYONN-JTQLQIEISA-N 0 3 247.367 2.923 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cccc3nsnc32)C1 ZINC000766074434 585497361 /nfs/dbraw/zinc/49/73/61/585497361.db2.gz HLHZDTUHRDANNH-NXEZZACHSA-N 0 3 247.367 2.922 20 0 BFADHN CC(C)(NCCn1ccnc1)c1ccc(F)cc1 ZINC000766252284 585499967 /nfs/dbraw/zinc/49/99/67/585499967.db2.gz RJCQTIWRYOBUJV-UHFFFAOYSA-N 0 3 247.317 2.547 20 0 BFADHN C[C@H](NCCNc1ccccc1)c1ccccn1 ZINC000766736645 585507157 /nfs/dbraw/zinc/50/71/57/585507157.db2.gz ZGBXLIPPOHVCAN-ZDUSSCGKSA-N 0 3 241.338 2.844 20 0 BFADHN CCN(CCC(=O)C1CC1)Cc1cccs1 ZINC000767362564 585517900 /nfs/dbraw/zinc/51/79/00/585517900.db2.gz MGIMBXUCOJWEGX-UHFFFAOYSA-N 0 3 237.368 2.939 20 0 BFADHN Cc1ccc(CN(C)CCC(=O)C2CC2)s1 ZINC000767371244 585518132 /nfs/dbraw/zinc/51/81/32/585518132.db2.gz MSLJUIDOISWFMI-UHFFFAOYSA-N 0 3 237.368 2.858 20 0 BFADHN O=C(CCN1CC[C@@H]1Cc1ccccc1)C1CC1 ZINC000767413635 585519476 /nfs/dbraw/zinc/51/94/76/585519476.db2.gz CTSSUOYYMUJBOA-OAHLLOKOSA-N 0 3 243.350 2.673 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1c1cc(C)nc(N)n1 ZINC000767813344 585526181 /nfs/dbraw/zinc/52/61/81/585526181.db2.gz HHCUWDQFEGNPFM-CMPLNLGQSA-N 0 3 248.374 2.772 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1Cc1nonc1C ZINC000767907606 585528075 /nfs/dbraw/zinc/52/80/75/585528075.db2.gz PQCHUFSSRWCVFU-GWCFXTLKSA-N 0 3 237.347 2.779 20 0 BFADHN CCN(Cc1cn2cccc(F)c2n1)C(C)C ZINC000768236805 585534388 /nfs/dbraw/zinc/53/43/88/585534388.db2.gz GTNAZJBAJWZITQ-UHFFFAOYSA-N 0 3 235.306 2.704 20 0 BFADHN CCN(Cc1n[nH]c2ccccc21)C(C)C ZINC000768238506 585534503 /nfs/dbraw/zinc/53/45/03/585534503.db2.gz ZUDPUGVNJWVXAX-UHFFFAOYSA-N 0 3 217.316 2.793 20 0 BFADHN CCN(Cc1[nH]nc2ccccc21)C(C)C ZINC000768238506 585534504 /nfs/dbraw/zinc/53/45/04/585534504.db2.gz ZUDPUGVNJWVXAX-UHFFFAOYSA-N 0 3 217.316 2.793 20 0 BFADHN Cc1cncc([C@H](C)NCCOC2CCC2)c1 ZINC000768249379 585534676 /nfs/dbraw/zinc/53/46/76/585534676.db2.gz PTIHKBKHRQRLAJ-LBPRGKRZSA-N 0 3 234.343 2.610 20 0 BFADHN c1n[nH]cc1CN(CCc1ccccc1)C1CC1 ZINC000768253042 585534875 /nfs/dbraw/zinc/53/48/75/585534875.db2.gz WMYICBKFINIRNC-UHFFFAOYSA-N 0 3 241.338 2.617 20 0 BFADHN COCCN(Cc1ccoc1)C1CCCC1 ZINC000768582028 585540541 /nfs/dbraw/zinc/54/05/41/585540541.db2.gz OJQGHVKHOXETND-UHFFFAOYSA-N 0 3 223.316 2.671 20 0 BFADHN O=C(CCN1CC[C@@H](CC(F)(F)F)C1)C1CC1 ZINC000769846558 585564971 /nfs/dbraw/zinc/56/49/71/585564971.db2.gz OHSWFBPYRCBJBG-VIFPVBQESA-N 0 3 249.276 2.630 20 0 BFADHN COC[C@H]1CCCN1Cc1ccc(OC)c(C)c1 ZINC000770287680 585572459 /nfs/dbraw/zinc/57/24/59/585572459.db2.gz YUAUPDPUFQLTBX-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CC(=O)CCN(Cc1ccccc1C)C1CC1 ZINC000771806810 585600614 /nfs/dbraw/zinc/60/06/14/585600614.db2.gz PCEKQIOAHLZJKC-UHFFFAOYSA-N 0 3 231.339 2.939 20 0 BFADHN CCN(CC)CCOC(=O)C(C)(C)CC(C)C ZINC000772183423 585609884 /nfs/dbraw/zinc/60/98/84/585609884.db2.gz LRAWZDFVVSEGJO-UHFFFAOYSA-N 0 3 243.391 2.944 20 0 BFADHN CCCCOC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1CC ZINC000772345781 585612941 /nfs/dbraw/zinc/61/29/41/585612941.db2.gz NMMPJCTWKSOZSG-AGIUHOORSA-N 0 3 241.375 2.981 20 0 BFADHN CO[C@@H]1CCC[C@@H]1N[C@@H](C)c1cnccc1C ZINC000772449411 585615848 /nfs/dbraw/zinc/61/58/48/585615848.db2.gz YLEHMNRQPHLHSL-FPMFFAJLSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@@H](N[C@@H](CO)C1CC1)c1cc2ccccc2o1 ZINC000772989589 585628976 /nfs/dbraw/zinc/62/89/76/585628976.db2.gz WLUTWHXEUYRACZ-MFKMUULPSA-N 0 3 245.322 2.854 20 0 BFADHN C[C@@H](CCc1ccco1)NCc1cccc(F)n1 ZINC000773092131 585631264 /nfs/dbraw/zinc/63/12/64/585631264.db2.gz ZCWURLKIZOOPHM-NSHDSACASA-N 0 3 248.301 2.925 20 0 BFADHN Cc1cccc(F)c1CN[C@H]1CCO[C@H](C)C1 ZINC000774437034 585659097 /nfs/dbraw/zinc/65/90/97/585659097.db2.gz DFZQRLLXZMYYEY-NEPJUHHUSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1ccc2c(n1)CCCC2 ZINC000774436987 585659148 /nfs/dbraw/zinc/65/91/48/585659148.db2.gz GELFSOLVRVKRRL-BXUZGUMPSA-N 0 3 230.355 2.849 20 0 BFADHN CC[C@@H](C)C[NH2+]Cc1nnc(-c2ccccc2)[n-]1 ZINC000774815598 585666569 /nfs/dbraw/zinc/66/65/69/585666569.db2.gz STODKCQQWCZESE-LLVKDONJSA-N 0 3 244.342 2.607 20 0 BFADHN c1cncc([C@@H](NC[C@H]2CCC=CO2)C2CC2)c1 ZINC000775040015 585670719 /nfs/dbraw/zinc/67/07/19/585670719.db2.gz ORDHRQSFIYQWAW-CABCVRRESA-N 0 3 244.338 2.815 20 0 BFADHN COc1ccc(CNC[C@H]2CCC=CO2)c(C)c1 ZINC000775037964 585670886 /nfs/dbraw/zinc/67/08/86/585670886.db2.gz PYSHBQJVNLVJDN-OAHLLOKOSA-N 0 3 247.338 2.786 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1cc2ccccc2[nH]1 ZINC000775624079 585681659 /nfs/dbraw/zinc/68/16/59/585681659.db2.gz XKDSOMHDOWGGHB-QWRGUYRKSA-N 0 3 232.327 2.853 20 0 BFADHN CCCCC[C@H](CC)C(=O)NC[C@@H](C)N(C)C ZINC000775656616 585682405 /nfs/dbraw/zinc/68/24/05/585682405.db2.gz XOHSWEZDVRJDMM-OLZOCXBDSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1nonc1CN[C@@H]1CCC(C)(C)C[C@H]1C ZINC000775706838 585683443 /nfs/dbraw/zinc/68/34/43/585683443.db2.gz IWLRUOOFMXYNFP-MWLCHTKSSA-N 0 3 237.347 2.682 20 0 BFADHN COCC1=CCN(Cc2ccc(CF)cc2)CC1 ZINC000775829755 585686039 /nfs/dbraw/zinc/68/60/39/585686039.db2.gz OLNWNCWFLQAWMU-UHFFFAOYSA-N 0 3 249.329 2.935 20 0 BFADHN C[C@@H](C1CC1)N1CCN(c2ccccc2F)CC1 ZINC000777400690 585711199 /nfs/dbraw/zinc/71/11/99/585711199.db2.gz BOKOYQRGKKEWER-LBPRGKRZSA-N 0 3 248.345 2.746 20 0 BFADHN C[C@@H](C1CC1)N1CCN(Cc2ccccc2)CC1 ZINC000777402482 585711497 /nfs/dbraw/zinc/71/14/97/585711497.db2.gz YPINSBBZTJLIEO-AWEZNQCLSA-N 0 3 244.382 2.603 20 0 BFADHN C[C@H]1COCCCN1Cc1ccc(CF)cc1 ZINC000777708217 585718562 /nfs/dbraw/zinc/71/85/62/585718562.db2.gz HLPXPHSSWURJJD-LBPRGKRZSA-N 0 3 237.318 2.767 20 0 BFADHN C[C@H]1COCCN(Cc2ccc(CF)cc2)C1 ZINC000777711984 585718879 /nfs/dbraw/zinc/71/88/79/585718879.db2.gz GAAUGUBROWVEEK-GFCCVEGCSA-N 0 3 237.318 2.624 20 0 BFADHN C[C@@H]1COCCN(Cc2ccc(CF)cc2)C1 ZINC000777711986 585718919 /nfs/dbraw/zinc/71/89/19/585718919.db2.gz GAAUGUBROWVEEK-LBPRGKRZSA-N 0 3 237.318 2.624 20 0 BFADHN C[C@H](C1CC1)N1CCOc2ccccc2C1 ZINC000777912454 585723751 /nfs/dbraw/zinc/72/37/51/585723751.db2.gz UALYBJWANWZSJS-LLVKDONJSA-N 0 3 217.312 2.680 20 0 BFADHN C[C@H](NCc1ccc(CF)cc1)c1cn[nH]c1 ZINC000778140661 585726154 /nfs/dbraw/zinc/72/61/54/585726154.db2.gz GNBKSIZKFYFMEV-JTQLQIEISA-N 0 3 233.290 2.730 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC(C)(C)O2)c(C)o1 ZINC000778208863 585727428 /nfs/dbraw/zinc/72/74/28/585727428.db2.gz VYENPUQACNWBPL-ZDUSSCGKSA-N 0 3 237.343 2.944 20 0 BFADHN CCCc1ccc(CN2CCN(C)[C@@H](C)C2)cc1 ZINC000778222097 585727910 /nfs/dbraw/zinc/72/79/10/585727910.db2.gz NCZSGASYVBAXAF-AWEZNQCLSA-N 0 3 246.398 2.775 20 0 BFADHN C[C@@H]1CCN(CCCCCCCO)C[C@H]1F ZINC000778235744 585728766 /nfs/dbraw/zinc/72/87/66/585728766.db2.gz IVPIVXIWMSVQDT-CHWSQXEVSA-N 0 3 231.355 2.609 20 0 BFADHN CSc1cc(C)ccc1CN[C@H](C)CCO ZINC000779407295 585753868 /nfs/dbraw/zinc/75/38/68/585753868.db2.gz URJRUXMDZRPLKZ-LLVKDONJSA-N 0 3 239.384 2.577 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1ccnc(F)c1 ZINC000859257915 589025508 /nfs/dbraw/zinc/02/55/08/589025508.db2.gz HVFWSNYRKIMBFM-CMPLNLGQSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1C[C@@H]1CCc2ccccc21 ZINC000780297620 585777098 /nfs/dbraw/zinc/77/70/98/585777098.db2.gz DRIKTNWVXYHSAX-IPYPFGDCSA-N 0 3 245.366 2.826 20 0 BFADHN CCN(Cc1nonc1C)[C@H]1CCCC[C@H]1C ZINC000781924915 585805405 /nfs/dbraw/zinc/80/54/05/585805405.db2.gz RRNYHPLZGXIPJS-MFKMUULPSA-N 0 3 237.347 2.779 20 0 BFADHN COc1cc2c(cc1F)CN([C@@H](C)C1CC1)CC2 ZINC000782191316 585811620 /nfs/dbraw/zinc/81/16/20/585811620.db2.gz OEMZDEUZVIXFTF-JTQLQIEISA-N 0 3 249.329 2.991 20 0 BFADHN O=C(OCCN1CCCCC1)C1=CCCCC1 ZINC000782452261 585818474 /nfs/dbraw/zinc/81/84/74/585818474.db2.gz LPDRBNDQYUEXKW-UHFFFAOYSA-N 0 3 237.343 2.516 20 0 BFADHN C[C@@H](NCCCCCCCO)c1ccccn1 ZINC000782997518 585826955 /nfs/dbraw/zinc/82/69/55/585826955.db2.gz LZZIZGFQWJSKHI-CYBMUJFWSA-N 0 3 236.359 2.675 20 0 BFADHN C[C@H](NCCCCCCCO)c1ccccn1 ZINC000782997520 585826957 /nfs/dbraw/zinc/82/69/57/585826957.db2.gz LZZIZGFQWJSKHI-ZDUSSCGKSA-N 0 3 236.359 2.675 20 0 BFADHN Cc1cccc(C)c1NC(=O)CN[C@@H]1CC[C@@H]1C ZINC000783216207 585831070 /nfs/dbraw/zinc/83/10/70/585831070.db2.gz QOSNDKPPNDQXCR-GXFFZTMASA-N 0 3 246.354 2.630 20 0 BFADHN Cc1cncc([C@@H](C)NC[C@H]2CCC=CO2)c1 ZINC000783308395 585833700 /nfs/dbraw/zinc/83/37/00/585833700.db2.gz DGKFCQFSHFMELZ-TZMCWYRMSA-N 0 3 232.327 2.733 20 0 BFADHN CCOCOc1ccc(CN(C)CC)cc1 ZINC000783402634 585834535 /nfs/dbraw/zinc/83/45/35/585834535.db2.gz YWSGBDNWAROTAY-UHFFFAOYSA-N 0 3 223.316 2.511 20 0 BFADHN C[C@H](Cc1ccsc1)N1CCOC[C@H](C)C1 ZINC000783474030 585835557 /nfs/dbraw/zinc/83/55/57/585835557.db2.gz PVMIEWBMAMXEBT-VXGBXAGGSA-N 0 3 239.384 2.647 20 0 BFADHN C[C@@H](Cc1ccsc1)N1CCOC[C@@H](C)C1 ZINC000783474029 585835601 /nfs/dbraw/zinc/83/56/01/585835601.db2.gz PVMIEWBMAMXEBT-RYUDHWBXSA-N 0 3 239.384 2.647 20 0 BFADHN CCCCCCC(=O)NCC1(N(C)C)CCC1 ZINC000783751075 585841984 /nfs/dbraw/zinc/84/19/84/585841984.db2.gz CTGXXTOGTFKELQ-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN CCCOC(=O)[C@H](C)N(C)C1(C)CCCC1 ZINC000786214294 585888695 /nfs/dbraw/zinc/88/86/95/585888695.db2.gz MQRNNWBEXMAIRO-NSHDSACASA-N 0 3 227.348 2.593 20 0 BFADHN CC[C@H](C)OC(=O)[C@H]1CCCN1C1CCCC1 ZINC000786893878 585898284 /nfs/dbraw/zinc/89/82/84/585898284.db2.gz YODNGVPTBNSZQK-WCQYABFASA-N 0 3 239.359 2.735 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccc(F)nc1C ZINC000787128137 585902045 /nfs/dbraw/zinc/90/20/45/585902045.db2.gz KKIKXWCIGDEZMR-GFCCVEGCSA-N 0 3 222.307 2.904 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@H](C)C[C@@H]1C ZINC000787184717 585902092 /nfs/dbraw/zinc/90/20/92/585902092.db2.gz ZATLLOOOOXIGDS-ZJUUUORDSA-N 0 3 222.307 2.759 20 0 BFADHN CCc1cccc(CNC(C)(C)CC(=O)OC)c1 ZINC000787269278 585904395 /nfs/dbraw/zinc/90/43/95/585904395.db2.gz QHUROWMNMNTYAZ-UHFFFAOYSA-N 0 3 249.354 2.680 20 0 BFADHN CCCCCC[C@H](C)N[C@@H](C)c1ncnn1C ZINC000788986080 585932913 /nfs/dbraw/zinc/93/29/13/585932913.db2.gz ZFLCQVQIXUBDRK-RYUDHWBXSA-N 0 3 238.379 2.825 20 0 BFADHN COC1(CNCc2cc(C)oc2C)CCCC1 ZINC000790964209 585971201 /nfs/dbraw/zinc/97/12/01/585971201.db2.gz SSSCOMRXAYLJOU-UHFFFAOYSA-N 0 3 237.343 2.945 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1ccc2c(c1)COC2 ZINC000791578429 585984367 /nfs/dbraw/zinc/98/43/67/585984367.db2.gz UNAMGVMPBDEOMC-PHIMTYICSA-N 0 3 217.312 2.700 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1[C@H](C)C[C@H]1C ZINC000791573173 585984508 /nfs/dbraw/zinc/98/45/08/585984508.db2.gz HDLKUPCTDJONQE-JTNHKYCSSA-N 0 3 237.318 2.650 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@H](O)c1ccc(Cl)cc1 ZINC000791567635 585984564 /nfs/dbraw/zinc/98/45/64/585984564.db2.gz TUCBFPIDMIWLGX-CWSCBRNRSA-N 0 3 239.746 2.856 20 0 BFADHN Cc1ccccc1OCCN1[C@H](C)C[C@H]1C ZINC000791570162 585984590 /nfs/dbraw/zinc/98/45/90/585984590.db2.gz ZROKXVSGCKXCPX-CHWSQXEVSA-N 0 3 219.328 2.857 20 0 BFADHN C[C@@H]1[C@H](C(F)(F)F)CCN1CCC(=O)C1CC1 ZINC000791585140 585984859 /nfs/dbraw/zinc/98/48/59/585984859.db2.gz RIUSNHASAZQDOH-PSASIEDQSA-N 0 3 249.276 2.628 20 0 BFADHN COCCN(C/C=C/Cl)[C@@H]1CC[C@@H](C)C1 ZINC000792465792 586000914 /nfs/dbraw/zinc/00/09/14/586000914.db2.gz QDGHSLLEWISFEN-JKNNBXRXSA-N 0 3 231.767 2.876 20 0 BFADHN Fc1ccccc1OCCCNCC1(F)CC1 ZINC000792893805 586006288 /nfs/dbraw/zinc/00/62/88/586006288.db2.gz LEUSCOBPTMNYPR-UHFFFAOYSA-N 0 3 241.281 2.686 20 0 BFADHN Cc1cc([C@H](C)NCc2ccc(C)s2)n(C)n1 ZINC000793523438 586021516 /nfs/dbraw/zinc/02/15/16/586021516.db2.gz IERTVYCPMPHMDN-NSHDSACASA-N 0 3 249.383 2.949 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)Cc2ccco2)n(C)n1 ZINC000793527812 586021751 /nfs/dbraw/zinc/02/17/51/586021751.db2.gz WBIJKDYNRPPLDW-PWSUYJOCSA-N 0 3 247.342 2.603 20 0 BFADHN Cc1cc([C@H](C)NCc2sccc2C)n(C)n1 ZINC000793530949 586021921 /nfs/dbraw/zinc/02/19/21/586021921.db2.gz ZHSTYBXIVMMGGS-NSHDSACASA-N 0 3 249.383 2.949 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)CC(F)(F)F)n(C)n1 ZINC000793552810 586022778 /nfs/dbraw/zinc/02/27/78/586022778.db2.gz LLQMGKOAPJMALL-IUCAKERBSA-N 0 3 249.280 2.720 20 0 BFADHN Cc1cc(CN2CC[C@]3(C2)CCCOC3)c(C)o1 ZINC000793620168 586024224 /nfs/dbraw/zinc/02/42/24/586024224.db2.gz MILXMTZSFYBSKA-HNNXBMFYSA-N 0 3 249.354 2.899 20 0 BFADHN CC[C@@H]1CCN1C[C@H](O)c1ccc(F)cc1C ZINC000795651656 586089956 /nfs/dbraw/zinc/08/99/56/586089956.db2.gz ZGQAGARRCGJXPL-OCCSQVGLSA-N 0 3 237.318 2.652 20 0 BFADHN FCCCCN1CC[C@@H](C(F)(F)F)C1 ZINC000795974673 586095166 /nfs/dbraw/zinc/09/51/66/586095166.db2.gz GPXVSXMQTJVGNW-MRVPVSSYSA-N 0 3 213.218 2.620 20 0 BFADHN CC(C)(NCc1cnc[nH]1)c1ccc(F)cc1 ZINC000796448026 586103416 /nfs/dbraw/zinc/10/34/16/586103416.db2.gz OQHNBJQWAAKOAF-UHFFFAOYSA-N 0 3 233.290 2.574 20 0 BFADHN CC(C)N(Cc1c[nH]cn1)C1CCCCC1 ZINC000796448223 586103526 /nfs/dbraw/zinc/10/35/26/586103526.db2.gz RNPWEACXBYGGJR-UHFFFAOYSA-N 0 3 221.348 2.953 20 0 BFADHN c1ccc2ncc(CN3CC[C@H]4C[C@H]43)cc2c1 ZINC000799147739 586162290 /nfs/dbraw/zinc/16/22/90/586162290.db2.gz OGMYSMYYAXDOFJ-DZGCQCFKSA-N 0 3 224.307 2.829 20 0 BFADHN COc1cncc(CN2CCC3(CCCC3)C2)c1 ZINC000808733430 586268347 /nfs/dbraw/zinc/26/83/47/586268347.db2.gz VONPZYNYLZUNFQ-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CC(C)C(=O)CCN1CCCC(F)(F)CC1 ZINC000859540298 589043655 /nfs/dbraw/zinc/04/36/55/589043655.db2.gz FWCGUXXDUYWRNV-UHFFFAOYSA-N 0 3 233.302 2.723 20 0 BFADHN CN1CCCC[C@@H]1CCC(=O)OC1CCCC1 ZINC000803855314 586377441 /nfs/dbraw/zinc/37/74/41/586377441.db2.gz FTOBNPGCLSPFCE-GFCCVEGCSA-N 0 3 239.359 2.737 20 0 BFADHN CC(C)CCOC(=O)CC[C@H]1CCCCN1C ZINC000803856146 586377649 /nfs/dbraw/zinc/37/76/49/586377649.db2.gz OWZZNNJQHZWGSW-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN c1cc2nsnc2c(CN(C2CC2)C2CC2)c1 ZINC000859623776 589049775 /nfs/dbraw/zinc/04/97/75/589049775.db2.gz SOWMZSKZXIFARD-UHFFFAOYSA-N 0 3 245.351 2.818 20 0 BFADHN CC(C)CC(CC(C)C)NCc1cn(C)cn1 ZINC000808386475 586470557 /nfs/dbraw/zinc/47/05/57/586470557.db2.gz FCUXCVJPRMPVCF-UHFFFAOYSA-N 0 3 237.391 2.971 20 0 BFADHN C[C@H](CCNCc1cn(C)cn1)CC(C)(C)C ZINC000808408937 586471847 /nfs/dbraw/zinc/47/18/47/586471847.db2.gz PXKWSIGLXFXGRI-GFCCVEGCSA-N 0 3 237.391 2.972 20 0 BFADHN CCOc1ccc(CN[C@H]2CCC2(C)C)nc1 ZINC000808421432 586472190 /nfs/dbraw/zinc/47/21/90/586472190.db2.gz HCCDGVPHNBHGIW-ZDUSSCGKSA-N 0 3 234.343 2.759 20 0 BFADHN CC(C)C(=O)CCN1CC[C@H](c2ccco2)C1 ZINC000808725796 586484650 /nfs/dbraw/zinc/48/46/50/586484650.db2.gz FNVUXAMKHBNSGX-LBPRGKRZSA-N 0 3 235.327 2.684 20 0 BFADHN C[C@@H]1C[C@H](C)N1CC[C@H](O)c1ccccc1 ZINC000808754052 586487786 /nfs/dbraw/zinc/48/77/86/586487786.db2.gz HYFYIQQJPOTVRB-SCRDCRAPSA-N 0 3 219.328 2.593 20 0 BFADHN C[C@H](O)c1ncc(CN2[C@H](C)CC[C@@H]2C)s1 ZINC000809593270 586508716 /nfs/dbraw/zinc/50/87/16/586508716.db2.gz MFWVWVMKGXMHKB-AEJSXWLSSA-N 0 3 240.372 2.569 20 0 BFADHN C[C@H]1C[C@@H](c2ccccc2)N(Cc2cn[nH]c2)C1 ZINC000809716518 586514567 /nfs/dbraw/zinc/51/45/67/586514567.db2.gz WGGXSNBDASLXTM-WFASDCNBSA-N 0 3 241.338 2.993 20 0 BFADHN c1cc(CN2CCC[C@H](C[C@H]3CCOC3)C2)co1 ZINC000809758289 586518152 /nfs/dbraw/zinc/51/81/52/586518152.db2.gz IPYIBHKFZIPYKY-ZIAGYGMSSA-N 0 3 249.354 2.918 20 0 BFADHN Cc1cccc(CNCCO[C@H]2CCCCO2)c1 ZINC000813333367 586600337 /nfs/dbraw/zinc/60/03/37/586600337.db2.gz MARIOLYPNAPNCW-HNNXBMFYSA-N 0 3 249.354 2.628 20 0 BFADHN CC(C)[C@@H](NCc1ccoc1)C1(CO)CCC1 ZINC000814170021 586615661 /nfs/dbraw/zinc/61/56/61/586615661.db2.gz QDLXHOUTPBONAX-CYBMUJFWSA-N 0 3 237.343 2.556 20 0 BFADHN CSC[C@H]1CCCN(Cc2ccoc2)C1 ZINC000814178729 586616069 /nfs/dbraw/zinc/61/60/69/586616069.db2.gz BELLQQVSGUMDIF-LBPRGKRZSA-N 0 3 225.357 2.855 20 0 BFADHN Cc1cccc(F)c1CN[C@H]1CCOC1(C)C ZINC000815583758 586688458 /nfs/dbraw/zinc/68/84/58/586688458.db2.gz NTHLYZHUCFQCMG-ZDUSSCGKSA-N 0 3 237.318 2.791 20 0 BFADHN COCC1(N[C@H](C)c2ccc(F)cc2C)CC1 ZINC000815607595 586688799 /nfs/dbraw/zinc/68/87/99/586688799.db2.gz CQEIFVVNJCGVNP-LLVKDONJSA-N 0 3 237.318 2.964 20 0 BFADHN CCN(Cc1cnn(C)c1Cl)[C@H](C)C(C)C ZINC000816498104 586737132 /nfs/dbraw/zinc/73/71/32/586737132.db2.gz GOVWJZNLORXOCO-SNVBAGLBSA-N 0 3 243.782 2.940 20 0 BFADHN C[C@@H]1CN(Cc2ccc(-c3cc[nH]n3)o2)[C@H]1C ZINC000816646885 586749154 /nfs/dbraw/zinc/74/91/54/586749154.db2.gz KDZRXLYHXWQPNU-ZJUUUORDSA-N 0 3 231.299 2.510 20 0 BFADHN C[C@@H]1CN(Cc2ccc(N3CCCC3)nc2)[C@H]1C ZINC000816648705 586751552 /nfs/dbraw/zinc/75/15/52/586751552.db2.gz NVWJWLBTHRFDHV-OLZOCXBDSA-N 0 3 245.370 2.522 20 0 BFADHN C[C@@H]1CN(Cc2ccc(N3CCCC3)nc2)[C@@H]1C ZINC000816648704 586751564 /nfs/dbraw/zinc/75/15/64/586751564.db2.gz NVWJWLBTHRFDHV-CHWSQXEVSA-N 0 3 245.370 2.522 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@H]2C)c(C)c1OC ZINC000816649260 586751572 /nfs/dbraw/zinc/75/15/72/586751572.db2.gz WMTOEJMRXIBQHM-CMPLNLGQSA-N 0 3 249.354 2.852 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1cnc(-c2ccccc2)[nH]1 ZINC000816648558 586751636 /nfs/dbraw/zinc/75/16/36/586751636.db2.gz MDYASKNEKRYSDM-NWDGAFQWSA-N 0 3 241.338 2.917 20 0 BFADHN CCCCCCN(C)CC(=O)N1CC[C@@H]1CC ZINC000817063492 586780771 /nfs/dbraw/zinc/78/07/71/586780771.db2.gz WKJMEBYNKDEFNN-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@H]1CC[C@H](C)C1NCc1nn(C)cc1Cl ZINC000817276736 586792245 /nfs/dbraw/zinc/79/22/45/586792245.db2.gz NWKQBVKQYFXUMO-IUCAKERBSA-N 0 3 241.766 2.598 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCC=CO2)C[C@@H](CC)O1 ZINC000817412499 586802014 /nfs/dbraw/zinc/80/20/14/586802014.db2.gz LXCXEGLBRPRCLX-MGPQQGTHSA-N 0 3 239.359 2.569 20 0 BFADHN C[C@@H](CN(C)CC[S@@](C)=O)C1CCCCC1 ZINC000859865741 589068068 /nfs/dbraw/zinc/06/80/68/589068068.db2.gz BYYBITKDLMZHAB-BLLLJJGKSA-N 0 3 245.432 2.513 20 0 BFADHN COc1cccc2c1CN([C@@H]1CC[C@@H](OC)C1)C2 ZINC000859940081 589071958 /nfs/dbraw/zinc/07/19/58/589071958.db2.gz XYINFASIWUTMAL-CHWSQXEVSA-N 0 3 247.338 2.578 20 0 BFADHN Cc1cc(CN[C@H]2CC23CC3)cnc1Cl ZINC000818229915 586880377 /nfs/dbraw/zinc/88/03/77/586880377.db2.gz IAXXDGPMAKYFCX-JTQLQIEISA-N 0 3 222.719 2.686 20 0 BFADHN COc1cccc2c1CN([C@H]1CC[C@H](OC)C1)C2 ZINC000859940084 589072596 /nfs/dbraw/zinc/07/25/96/589072596.db2.gz XYINFASIWUTMAL-STQMWFEESA-N 0 3 247.338 2.578 20 0 BFADHN COc1cccc2c1CN(C[Si](C)(C)C)C2 ZINC000859938216 589072608 /nfs/dbraw/zinc/07/26/08/589072608.db2.gz UTKDSXPBMWTZCG-UHFFFAOYSA-N 0 3 235.403 2.888 20 0 BFADHN CNCc1ccccc1NC(=O)C[C@H](C)C1CC1 ZINC000818560215 586901561 /nfs/dbraw/zinc/90/15/61/586901561.db2.gz DPTKTIJJEWRHCD-NSHDSACASA-N 0 3 246.354 2.781 20 0 BFADHN CCC(=CC(=O)Nc1ccc2c(c1)CNC2)CC ZINC000818597711 586904872 /nfs/dbraw/zinc/90/48/72/586904872.db2.gz YOAIINNZJBLSQA-UHFFFAOYSA-N 0 3 244.338 2.975 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CNCc1ccoc1 ZINC000818976228 586919376 /nfs/dbraw/zinc/91/93/76/586919376.db2.gz XPKVEXXNXNKCEI-CQSZACIVSA-N 0 3 249.285 2.550 20 0 BFADHN C[C@@H](NC[C@H](O)CC1CCCC1)c1ccc[nH]1 ZINC000819194434 586937353 /nfs/dbraw/zinc/93/73/53/586937353.db2.gz RHJMKEFPSVTHIY-DGCLKSJQSA-N 0 3 236.359 2.607 20 0 BFADHN CC(C)=CCNC[C@H](O)c1ccc(F)cc1C ZINC000819262901 586944856 /nfs/dbraw/zinc/94/48/56/586944856.db2.gz ZBBFOTHLTGFPNT-AWEZNQCLSA-N 0 3 237.318 2.723 20 0 BFADHN O[C@H](CNCc1cc(C2CC2)ccc1F)C1CC1 ZINC000819340015 586953686 /nfs/dbraw/zinc/95/36/86/586953686.db2.gz VRPWCUKRTYVWHP-OAHLLOKOSA-N 0 3 249.329 2.564 20 0 BFADHN O[C@@H](CNCc1cc(C2CC2)ccc1F)C1CC1 ZINC000819340014 586953906 /nfs/dbraw/zinc/95/39/06/586953906.db2.gz VRPWCUKRTYVWHP-HNNXBMFYSA-N 0 3 249.329 2.564 20 0 BFADHN CCO[C@@H](CN[C@@H](C)c1ccns1)C1CC1 ZINC000860060127 589078061 /nfs/dbraw/zinc/07/80/61/589078061.db2.gz UFJFSDUUWSVAJZ-ONGXEEELSA-N 0 3 240.372 2.609 20 0 BFADHN Cc1cnc(NC[C@@H](c2ccccc2)N(C)C)o1 ZINC000820599732 587058315 /nfs/dbraw/zinc/05/83/15/587058315.db2.gz YYRPYHPGJSEULI-ZDUSSCGKSA-N 0 3 245.326 2.698 20 0 BFADHN COc1cc([C@H](C)NC2CCCCC2)on1 ZINC000820804885 587073571 /nfs/dbraw/zinc/07/35/71/587073571.db2.gz BMWOSNAMDIKZMJ-VIFPVBQESA-N 0 3 224.304 2.667 20 0 BFADHN COc1cc([C@@H](C)N[C@@H]2CCCC2(C)C)on1 ZINC000820812222 587073824 /nfs/dbraw/zinc/07/38/24/587073824.db2.gz GCGNROVRXXMINQ-MWLCHTKSSA-N 0 3 238.331 2.913 20 0 BFADHN Cc1ccc([C@H](C)N(C)C[C@@H]2CCC(=O)O2)cc1 ZINC000821354421 587111244 /nfs/dbraw/zinc/11/12/44/587111244.db2.gz FXPFKJNAYHXMJC-JSGCOSHPSA-N 0 3 247.338 2.693 20 0 BFADHN CO[C@H]1CC[C@@H](N(C)Cc2ccccc2F)C1 ZINC000821363584 587112085 /nfs/dbraw/zinc/11/20/85/587112085.db2.gz XPOOTALRHGHCIU-OLZOCXBDSA-N 0 3 237.318 2.825 20 0 BFADHN Cc1cccc(C(C)(C)NC[C@@H]2CCC(=O)O2)c1 ZINC000821401974 587117262 /nfs/dbraw/zinc/11/72/62/587117262.db2.gz JXFAFPGVBNXCJK-ZDUSSCGKSA-N 0 3 247.338 2.525 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cnc(C)cn2)C1 ZINC000860178953 589087323 /nfs/dbraw/zinc/08/73/23/589087323.db2.gz GUZLVDIQDXYWDP-NQHOJNORSA-N 0 3 245.370 2.963 20 0 BFADHN CO[C@H](CN[C@@H](c1ccccn1)C(C)C)C1CC1 ZINC000822817882 587187689 /nfs/dbraw/zinc/18/76/89/587187689.db2.gz BAYFAZBDMOQJHG-HUUCEWRRSA-N 0 3 248.370 2.793 20 0 BFADHN Fc1cnccc1CN1CCC2(CCCC2)C1 ZINC000823447194 587222531 /nfs/dbraw/zinc/22/25/31/587222531.db2.gz DGSNIPBHJVSRIA-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN Fc1c(CNCCC2CC2)ccnc1Cl ZINC000823956654 587246712 /nfs/dbraw/zinc/24/67/12/587246712.db2.gz WDUZZDARXOHJMR-UHFFFAOYSA-N 0 3 228.698 2.764 20 0 BFADHN Cc1cccc(F)c1CN[C@H]1CSC[C@H]1C ZINC000823949497 587246800 /nfs/dbraw/zinc/24/68/00/587246800.db2.gz JEAWVUVYCWXBMP-MFKMUULPSA-N 0 3 239.359 2.975 20 0 BFADHN CS[C@H]1CC[C@H](NCc2ccncc2F)C1 ZINC000823949646 587246918 /nfs/dbraw/zinc/24/69/18/587246918.db2.gz PDTHYYHJPHVKFO-QWRGUYRKSA-N 0 3 240.347 2.594 20 0 BFADHN CS[C@@H]1CC[C@@H](NCc2ccncc2F)C1 ZINC000823949644 587246998 /nfs/dbraw/zinc/24/69/98/587246998.db2.gz PDTHYYHJPHVKFO-GHMZBOCLSA-N 0 3 240.347 2.594 20 0 BFADHN CC1(CNCc2ccnc(Cl)c2F)CC1 ZINC000823966607 587247156 /nfs/dbraw/zinc/24/71/56/587247156.db2.gz WCBYSHACGXBBGW-UHFFFAOYSA-N 0 3 228.698 2.764 20 0 BFADHN Fc1c(Cl)nccc1CNC1CC=CC1 ZINC000824007157 587248327 /nfs/dbraw/zinc/24/83/27/587248327.db2.gz QAMFSPDZJOBAAQ-UHFFFAOYSA-N 0 3 226.682 2.682 20 0 BFADHN Fc1cnccc1CNCCC1CCC1 ZINC000824017688 587248698 /nfs/dbraw/zinc/24/86/98/587248698.db2.gz HPBIKZXRGGTTCD-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN Cc1cnccc1CN[C@H](C)c1ccc[nH]1 ZINC000824050933 587251949 /nfs/dbraw/zinc/25/19/49/587251949.db2.gz PBHOEPKQYAOJND-LLVKDONJSA-N 0 3 215.300 2.569 20 0 BFADHN Cc1cc(CN[C@@H](C)CC(C)(C)C)ncn1 ZINC000824051200 587251988 /nfs/dbraw/zinc/25/19/88/587251988.db2.gz TZYRJUVDBSMPOD-NSHDSACASA-N 0 3 221.348 2.699 20 0 BFADHN CC[C@@H](NCc1cccnc1F)C1CCC1 ZINC000824315342 587265729 /nfs/dbraw/zinc/26/57/29/587265729.db2.gz URPJRUQDXLHKJK-GFCCVEGCSA-N 0 3 222.307 2.889 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1ccnc(Cl)c1F ZINC000824376968 587268024 /nfs/dbraw/zinc/26/80/24/587268024.db2.gz QIYFZLHTKPYILW-VXNVDRBHSA-N 0 3 228.698 2.762 20 0 BFADHN C[C@H](CCC(F)(F)F)N[C@@H]1CCn2ccnc21 ZINC000824525830 587273128 /nfs/dbraw/zinc/27/31/28/587273128.db2.gz DRMKHHZACRMHBY-RKDXNWHRSA-N 0 3 247.264 2.649 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN[C@H]1CCn2ccnc21 ZINC000824525411 587273167 /nfs/dbraw/zinc/27/31/67/587273167.db2.gz ACUQGYDENRLDMC-IHRRRGAJSA-N 0 3 245.370 2.910 20 0 BFADHN CCCC[C@@H](CC)N[C@@H]1CCn2ccnc21 ZINC000824525993 587273268 /nfs/dbraw/zinc/27/32/68/587273268.db2.gz GOWSDUMJKDUTCW-VXGBXAGGSA-N 0 3 221.348 2.886 20 0 BFADHN CC(C)C[C@H](C)CN[C@H]1CCn2ccnc21 ZINC000824526350 587273441 /nfs/dbraw/zinc/27/34/41/587273441.db2.gz JRQRQMYJBQCMNO-RYUDHWBXSA-N 0 3 221.348 2.600 20 0 BFADHN CC(C)[C@@H]1CCC[C@H]1N[C@H]1CCn2ccnc21 ZINC000824526601 587273744 /nfs/dbraw/zinc/27/37/44/587273744.db2.gz NSZJULFVFVIWSI-XQQFMLRXSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](N[C@@H]2CCn3ccnc32)C1 ZINC000824533873 587274319 /nfs/dbraw/zinc/27/43/19/587274319.db2.gz RJBURMKIYDJLID-XQHKEYJVSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1cc(F)cnc1Cl ZINC000824543212 587274916 /nfs/dbraw/zinc/27/49/16/587274916.db2.gz GYKLMZZGYNGLRH-GMSGAONNSA-N 0 3 228.698 2.762 20 0 BFADHN CC1(CNCc2cc(F)cnc2Cl)CC1 ZINC000824542785 587275036 /nfs/dbraw/zinc/27/50/36/587275036.db2.gz BARGSNRDSXQEPG-UHFFFAOYSA-N 0 3 228.698 2.764 20 0 BFADHN CCC[C@H](CNCc1ccc(Cl)nc1)OC ZINC000824544319 587275484 /nfs/dbraw/zinc/27/54/84/587275484.db2.gz VEIQEKVLVXVJTI-LLVKDONJSA-N 0 3 242.750 2.640 20 0 BFADHN CCCn1nccc1CN(CC)C(C)C ZINC000824548813 587275506 /nfs/dbraw/zinc/27/55/06/587275506.db2.gz RIYMCWFYFACZLG-UHFFFAOYSA-N 0 3 209.337 2.523 20 0 BFADHN CCN(Cc1c(C2CC2)cnn1C)C(C)C ZINC000824548524 587275516 /nfs/dbraw/zinc/27/55/16/587275516.db2.gz OPIFNXYQUQMXLN-UHFFFAOYSA-N 0 3 221.348 2.528 20 0 BFADHN CCCCC[C@H](C)[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000824603386 587278778 /nfs/dbraw/zinc/27/87/78/587278778.db2.gz RCKBHMQIMQWSLG-JTQLQIEISA-N 0 3 236.363 2.741 20 0 BFADHN CCCCC[C@H](C)NCc1nnc(C2CC2)[nH]1 ZINC000824603386 587278779 /nfs/dbraw/zinc/27/87/79/587278779.db2.gz RCKBHMQIMQWSLG-JTQLQIEISA-N 0 3 236.363 2.741 20 0 BFADHN CS[C@@H]1CCN(Cc2cc(C)oc2C)C1 ZINC000824683403 587282891 /nfs/dbraw/zinc/28/28/91/587282891.db2.gz IBHIMZZLPNQTTD-GFCCVEGCSA-N 0 3 225.357 2.834 20 0 BFADHN CC[C@@](C)(CNCc1cc(C)oc1C)OC ZINC000824743843 587285429 /nfs/dbraw/zinc/28/54/29/587285429.db2.gz QBYFPQOHMLHFOJ-ZDUSSCGKSA-N 0 3 225.332 2.801 20 0 BFADHN CO[C@H]1CCN(Cc2ccoc2)CC1(C)C ZINC000824820192 587288682 /nfs/dbraw/zinc/28/86/82/587288682.db2.gz BDRMEIZMOMFSDH-LBPRGKRZSA-N 0 3 223.316 2.527 20 0 BFADHN CCc1nc(CN[C@H]2CCCC[C@H]2C)co1 ZINC000825057832 587301054 /nfs/dbraw/zinc/30/10/54/587301054.db2.gz QHKDMIARIPKOPP-PWSUYJOCSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nc(CN[C@H]2CCC[C@@H](C)C2)co1 ZINC000825058342 587301124 /nfs/dbraw/zinc/30/11/24/587301124.db2.gz WIBWNCOJBYACIB-MNOVXSKESA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nc(CN[C@@H]2CCC[C@H]3C[C@]32C)co1 ZINC000825062011 587301837 /nfs/dbraw/zinc/30/18/37/587301837.db2.gz NDQOQICNIUWSHF-ZKYQVNSYSA-N 0 3 234.343 2.905 20 0 BFADHN CCn1cc([C@H](C)NC2CC(C(F)F)C2)cn1 ZINC000825130200 587306027 /nfs/dbraw/zinc/30/60/27/587306027.db2.gz PEXZADQMOQJHEN-SILCLGDVSA-N 0 3 243.301 2.597 20 0 BFADHN COC[C@@H]1CCCCN1Cc1cc(C)oc1C ZINC000825294241 587313444 /nfs/dbraw/zinc/31/34/44/587313444.db2.gz LMBGEKKDNKIRBQ-AWEZNQCLSA-N 0 3 237.343 2.897 20 0 BFADHN CC1(C)C(NCc2ccnn2CC2CC2)C1(C)C ZINC000825927846 587346160 /nfs/dbraw/zinc/34/61/60/587346160.db2.gz HUXHPBFQWPLVDQ-UHFFFAOYSA-N 0 3 247.386 2.817 20 0 BFADHN Cc1ncc(CN2CCCCCCCC2)n1C ZINC000826074723 587350444 /nfs/dbraw/zinc/35/04/44/587350444.db2.gz HYGBQUZJFVGNIG-UHFFFAOYSA-N 0 3 235.375 2.885 20 0 BFADHN COc1c(C)cc(CN[C@H]2CO[C@H](C)C2)cc1C ZINC000842773594 587352952 /nfs/dbraw/zinc/35/29/52/587352952.db2.gz BNHGWOXPTSNXDR-TZMCWYRMSA-N 0 3 249.354 2.579 20 0 BFADHN COc1c(C)cc(CN[C@H]2CO[C@@H](C)C2)cc1C ZINC000842773591 587353001 /nfs/dbraw/zinc/35/30/01/587353001.db2.gz BNHGWOXPTSNXDR-GXTWGEPZSA-N 0 3 249.354 2.579 20 0 BFADHN CC[C@H](C)CCNCc1cc(F)ncc1F ZINC000826921975 587365676 /nfs/dbraw/zinc/36/56/76/587365676.db2.gz WVBRQGNRYAJIFJ-VIFPVBQESA-N 0 3 228.286 2.886 20 0 BFADHN CCSCCN[C@@H]1C[C@H](O)c2ccccc21 ZINC000827179519 587371975 /nfs/dbraw/zinc/37/19/75/587371975.db2.gz ZVPKSMZJZIVOLC-OLZOCXBDSA-N 0 3 237.368 2.508 20 0 BFADHN CC(C)[C@H](NCc1cc(F)ncc1F)C1CC1 ZINC000827575118 587389212 /nfs/dbraw/zinc/38/92/12/587389212.db2.gz MGJYQXIWAURLPQ-ZDUSSCGKSA-N 0 3 240.297 2.884 20 0 BFADHN c1cc(C2CCN(C[C@H]3CCC=CO3)CC2)no1 ZINC000827629288 587393494 /nfs/dbraw/zinc/39/34/94/587393494.db2.gz IIDDCEPVXAZPFJ-CYBMUJFWSA-N 0 3 248.326 2.547 20 0 BFADHN c1cc(C2CCN(C[C@@H]3CCC=CO3)CC2)no1 ZINC000827629289 587393579 /nfs/dbraw/zinc/39/35/79/587393579.db2.gz IIDDCEPVXAZPFJ-ZDUSSCGKSA-N 0 3 248.326 2.547 20 0 BFADHN Cc1ccc(CN(CCC2CC2)CC2CC2)nn1 ZINC000827722837 587399374 /nfs/dbraw/zinc/39/93/74/587399374.db2.gz XBDUVMWWUBWCKF-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN CC1(C)CC(NCc2cc(F)ncc2F)C1 ZINC000827779527 587402103 /nfs/dbraw/zinc/40/21/03/587402103.db2.gz GRPCOALXNFDQQY-UHFFFAOYSA-N 0 3 226.270 2.638 20 0 BFADHN CC1=CCN(CCC(=O)CC(C)C)CC1 ZINC000828099722 587419430 /nfs/dbraw/zinc/41/94/30/587419430.db2.gz UMHVVCBEVNPPGH-UHFFFAOYSA-N 0 3 209.333 2.644 20 0 BFADHN OCC[C@H](NCC1=CCCC1)c1cccs1 ZINC000828227952 587426028 /nfs/dbraw/zinc/42/60/28/587426028.db2.gz ZHYQTLKPEQGVNP-LBPRGKRZSA-N 0 3 237.368 2.872 20 0 BFADHN C[C@]1(F)CCCN(CCC[C@@H]2CCOC2)C1 ZINC000828581583 587451859 /nfs/dbraw/zinc/45/18/59/587451859.db2.gz PDIAXISAFDXLLX-OLZOCXBDSA-N 0 3 229.339 2.627 20 0 BFADHN CCCOC(=O)[C@@H](C)N1CC[C@@H]2CCCC[C@@H]21 ZINC000829029707 587480276 /nfs/dbraw/zinc/48/02/76/587480276.db2.gz YNRUMWVGYAKRAZ-AGIUHOORSA-N 0 3 239.359 2.593 20 0 BFADHN O[C@H](CNC1(c2ccccc2F)CCC1)C1CC1 ZINC000829891548 587527288 /nfs/dbraw/zinc/52/72/88/587527288.db2.gz DKHMOGHTMHAITI-CQSZACIVSA-N 0 3 249.329 2.565 20 0 BFADHN C[C@@H]1CN(C[C@@]2(C)CC2(Cl)Cl)[C@H]1C ZINC000830028273 587532405 /nfs/dbraw/zinc/53/24/05/587532405.db2.gz HCVDGBCMGSCWOW-HRDYMLBCSA-N 0 3 222.159 2.911 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CNCc1cn(C)cn1 ZINC000830473941 587553171 /nfs/dbraw/zinc/55/31/71/587553171.db2.gz JUEDXAILDCEBTM-CHWSQXEVSA-N 0 3 235.375 2.726 20 0 BFADHN CC[C@H]1CCCC[C@H]1CNCc1cn(C)cn1 ZINC000830473947 587553449 /nfs/dbraw/zinc/55/34/49/587553449.db2.gz JUEDXAILDCEBTM-STQMWFEESA-N 0 3 235.375 2.726 20 0 BFADHN CCO[C@@H]1C[C@H](NC/C=C\Cl)C12CCC2 ZINC000831307748 587597320 /nfs/dbraw/zinc/59/73/20/587597320.db2.gz DPFFCXVDVYJEEG-LEOBQNDHSA-N 0 3 229.751 2.676 20 0 BFADHN c1cc(CN2CCCCCCCC2)on1 ZINC000831926442 587636931 /nfs/dbraw/zinc/63/69/31/587636931.db2.gz MYTGIANAENRLDW-UHFFFAOYSA-N 0 3 208.305 2.831 20 0 BFADHN CCCNC(=O)[C@H](C)N1CCCCCCCC1 ZINC000831925995 587637087 /nfs/dbraw/zinc/63/70/87/587637087.db2.gz FGMALHYOIJPVRM-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1cc(CN2CCCCCCCC2)nn1C ZINC000831932902 587637188 /nfs/dbraw/zinc/63/71/88/587637188.db2.gz LTOWBOBDICAUPD-UHFFFAOYSA-N 0 3 235.375 2.885 20 0 BFADHN CO[C@@H]1C[C@H](N(C)C/C=C/Cl)C12CCC2 ZINC000832204497 587650137 /nfs/dbraw/zinc/65/01/37/587650137.db2.gz MZDMHBDENJEWER-NAJRYUOPSA-N 0 3 229.751 2.628 20 0 BFADHN CO[C@@H]1C[C@@H](N(C)C/C=C\Cl)C12CCC2 ZINC000832204495 587650192 /nfs/dbraw/zinc/65/01/92/587650192.db2.gz MZDMHBDENJEWER-HQPPDGJXSA-N 0 3 229.751 2.628 20 0 BFADHN CCCOC(=O)[C@H](C)N1[C@@H](C)CCC1(C)C ZINC000832564544 587666426 /nfs/dbraw/zinc/66/64/26/587666426.db2.gz HFMSKYSBQBISIL-QWRGUYRKSA-N 0 3 227.348 2.591 20 0 BFADHN CCc1noc(C)c1[C@@H](C)N[C@H](C)CC ZINC000834487577 587756500 /nfs/dbraw/zinc/75/65/00/587756500.db2.gz HQVGAALZYDWHED-RKDXNWHRSA-N 0 3 210.321 2.995 20 0 BFADHN CCc1cc(CN[C@H](CC)c2ccncc2)n[nH]1 ZINC000834585341 587760102 /nfs/dbraw/zinc/76/01/02/587760102.db2.gz NJGLBCQVCOKQFQ-CQSZACIVSA-N 0 3 244.342 2.608 20 0 BFADHN CC[C@H](N[C@@H](C)c1cccnc1Cl)[C@@H](C)O ZINC000834887670 587769473 /nfs/dbraw/zinc/76/94/73/587769473.db2.gz LAAZTGIWQFGURG-NGZCFLSTSA-N 0 3 242.750 2.545 20 0 BFADHN CCc1nc(CN[C@H](C(C)C)C2CC2)co1 ZINC000834901577 587769802 /nfs/dbraw/zinc/76/98/02/587769802.db2.gz WJINOLDTVUYSCN-CYBMUJFWSA-N 0 3 222.332 2.761 20 0 BFADHN CN(C)Cc1cccc(C(=O)O[C@H]2C=CCC2)c1 ZINC000835283684 587794510 /nfs/dbraw/zinc/79/45/10/587794510.db2.gz KYFREPNKHQUJJM-AWEZNQCLSA-N 0 3 245.322 2.624 20 0 BFADHN CCCC(=O)OC[C@H]1CCCCN1C(C)C ZINC000837336845 587867318 /nfs/dbraw/zinc/86/73/18/587867318.db2.gz OBCNRXXBFAWGTO-GFCCVEGCSA-N 0 3 227.348 2.593 20 0 BFADHN CC(C)N1CCCC[C@@H]1COC(=O)C1CCC1 ZINC000837402209 587870869 /nfs/dbraw/zinc/87/08/69/587870869.db2.gz OQLXKBWMPFGVRO-CYBMUJFWSA-N 0 3 239.359 2.593 20 0 BFADHN C/C=C(/C)C(=O)OCCN(C)Cc1ccccc1 ZINC000837566144 587882487 /nfs/dbraw/zinc/88/24/87/587882487.db2.gz SPVSOHSSMYIWJP-PQMHYQBVSA-N 0 3 247.338 2.628 20 0 BFADHN CC(C)N1CCCC[C@@H]1COC(=O)C(C)(C)F ZINC000837612530 587885148 /nfs/dbraw/zinc/88/51/48/587885148.db2.gz YROZGMQFMJZZSD-LLVKDONJSA-N 0 3 245.338 2.541 20 0 BFADHN CCCCCCNCc1cnc(CC)nc1 ZINC000840873091 587927191 /nfs/dbraw/zinc/92/71/91/587927191.db2.gz ORGCXDNFUSBBAN-UHFFFAOYSA-N 0 3 221.348 2.709 20 0 BFADHN Cc1ncc(CCNCc2ccoc2C)s1 ZINC000840908088 587928295 /nfs/dbraw/zinc/92/82/95/587928295.db2.gz SHXSGKOYVDGOOB-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN Cc1occc1CN[C@@H](C)C[C@H](O)c1ccco1 ZINC000840908371 587929787 /nfs/dbraw/zinc/92/97/87/587929787.db2.gz WABHMBQKTVSSHM-GWCFXTLKSA-N 0 3 249.310 2.783 20 0 BFADHN Cc1occc1CN[C@H](C)[C@H](O)c1ccccc1 ZINC000840946195 587931097 /nfs/dbraw/zinc/93/10/97/587931097.db2.gz IFJCSEJRMIJICV-ABAIWWIYSA-N 0 3 245.322 2.800 20 0 BFADHN Cc1cc(CN[C@@H]2CS[C@@H](C)C2)c(C)o1 ZINC000840957520 587931552 /nfs/dbraw/zinc/93/15/52/587931552.db2.gz CKTJKPMFKHDARM-CABZTGNLSA-N 0 3 225.357 2.880 20 0 BFADHN Cc1cc(CN[C@H]2CS[C@H](C)C2)c(C)o1 ZINC000840957518 587931741 /nfs/dbraw/zinc/93/17/41/587931741.db2.gz CKTJKPMFKHDARM-BXKDBHETSA-N 0 3 225.357 2.880 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](CO)C2)c(C)o1 ZINC000840976014 587933288 /nfs/dbraw/zinc/93/32/88/587933288.db2.gz BBGAFZYSMKBSRU-GXTWGEPZSA-N 0 3 237.343 2.537 20 0 BFADHN FC1(F)CCNC[C@@H]1NC1CCCCCCC1 ZINC000841078051 587944941 /nfs/dbraw/zinc/94/49/41/587944941.db2.gz DYXSIYMGWSBBFS-LBPRGKRZSA-N 0 3 246.345 2.686 20 0 BFADHN C[C@@H](Nc1ccc2c(c1)CNCCO2)C1CCC1 ZINC000841083207 587946043 /nfs/dbraw/zinc/94/60/43/587946043.db2.gz SNCWSBONIXAWHB-LLVKDONJSA-N 0 3 246.354 2.769 20 0 BFADHN CC1(CNc2ccc3c(c2)CNCCO3)CCC1 ZINC000841083627 587946048 /nfs/dbraw/zinc/94/60/48/587946048.db2.gz VXUCEWZAVFSRPW-UHFFFAOYSA-N 0 3 246.354 2.771 20 0 BFADHN CCCCCC[C@H](C)NCc1cnnn1CC ZINC000841854083 587978154 /nfs/dbraw/zinc/97/81/54/587978154.db2.gz JJGCHYBMJXSDAD-LBPRGKRZSA-N 0 3 238.379 2.747 20 0 BFADHN Cc1cc(CNC(C)(C)CC(F)(F)F)n(C)n1 ZINC000841875768 587980037 /nfs/dbraw/zinc/98/00/37/587980037.db2.gz FUUCLYJHTSTHTK-UHFFFAOYSA-N 0 3 249.280 2.549 20 0 BFADHN C[C@@H]1CN(Cc2cnn(C)c2Cl)[C@@H](C)[C@H]1C ZINC000841891548 587982185 /nfs/dbraw/zinc/98/21/85/587982185.db2.gz ZVRFMJVOOQYDGI-UTLUCORTSA-N 0 3 241.766 2.550 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H](O)c2ccco2)cc1 ZINC000104827323 588042317 /nfs/dbraw/zinc/04/23/17/588042317.db2.gz TUBUJHUUBTVABC-JSGCOSHPSA-N 0 3 245.322 2.972 20 0 BFADHN CCOc1cccc([C@H](C)N[C@H]2CO[C@@H](C)C2)c1 ZINC000842674054 588051404 /nfs/dbraw/zinc/05/14/04/588051404.db2.gz UICIOFCOPHJMIK-SGMGOOAPSA-N 0 3 249.354 2.913 20 0 BFADHN CC(C)[C@@H](N[C@H]1CO[C@H](C)C1)c1ccccn1 ZINC000842684019 588052012 /nfs/dbraw/zinc/05/20/12/588052012.db2.gz VNRMEGAZEVLNSU-YRGRVCCFSA-N 0 3 234.343 2.546 20 0 BFADHN Fc1cccc(CNC2CCC(F)(F)CC2)n1 ZINC000842693420 588052748 /nfs/dbraw/zinc/05/27/48/588052748.db2.gz JRZQDNVAHCFAFP-UHFFFAOYSA-N 0 3 244.260 2.888 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1ccc(Cl)nc1 ZINC000842701227 588053797 /nfs/dbraw/zinc/05/37/97/588053797.db2.gz UGGZCAIJZFWVTC-FXPVBKGRSA-N 0 3 240.734 2.563 20 0 BFADHN C[C@@H]1CC[C@H]1N[C@H](CCO)c1ccccc1F ZINC000842923810 588071983 /nfs/dbraw/zinc/07/19/83/588071983.db2.gz KNSGJPQSAQCHMN-LERXQTSPSA-N 0 3 237.318 2.637 20 0 BFADHN CCN(CC)CCOC(=O)[C@@H]1CCC[C@H](C)C1 ZINC000004886892 588085553 /nfs/dbraw/zinc/08/55/53/588085553.db2.gz AKCIKTVHXHWIMO-QWHCGFSZSA-N 0 3 241.375 2.698 20 0 BFADHN Fc1ccc(CN2CC[C@@H]3CCCC[C@@H]32)cn1 ZINC000843416350 588107592 /nfs/dbraw/zinc/10/75/92/588107592.db2.gz PXDSKJRDRPXWRE-STQMWFEESA-N 0 3 234.318 2.985 20 0 BFADHN Fc1cc(CN[C@@H]2CC[C@H]3C[C@H]3C2)c(F)cn1 ZINC000843435730 588109593 /nfs/dbraw/zinc/10/95/93/588109593.db2.gz XRTVFXYDXMIMSN-ATZCPNFKSA-N 0 3 238.281 2.638 20 0 BFADHN O[C@@H](CN1CCC12CCCC2)c1ccccc1F ZINC000844275587 588179528 /nfs/dbraw/zinc/17/95/28/588179528.db2.gz DITUMBNEHVCMMQ-AWEZNQCLSA-N 0 3 249.329 2.878 20 0 BFADHN CCN1CCC[C@](O)(c2ccc(Cl)cc2)C1 ZINC000844372766 588188423 /nfs/dbraw/zinc/18/84/23/588188423.db2.gz DYZPYCACFPPMTC-CYBMUJFWSA-N 0 3 239.746 2.643 20 0 BFADHN C[C@H](c1noc([C@H]2CC[C@H](C3CC3)N2)n1)C1CC1 ZINC000844913811 588219329 /nfs/dbraw/zinc/21/93/29/588219329.db2.gz VQAKRWPDRWIBCG-XXILOJSOSA-N 0 3 247.342 2.786 20 0 BFADHN CC(C)CCCC(=O)O[C@@H](C)CN1CCCC1 ZINC000845662451 588240024 /nfs/dbraw/zinc/24/00/24/588240024.db2.gz IYBGAJYZVHNHHO-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)CCC(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845738591 588243144 /nfs/dbraw/zinc/24/31/44/588243144.db2.gz ACYCCORVJXVMMC-GFCCVEGCSA-N 0 3 241.375 2.839 20 0 BFADHN CC1(C)CCN1Cc1cc2cnccc2o1 ZINC000845909081 588249923 /nfs/dbraw/zinc/24/99/23/588249923.db2.gz QECBINUWYFDKCZ-UHFFFAOYSA-N 0 3 216.284 2.812 20 0 BFADHN CCc1cccc(CNCCOC2CCC2)c1 ZINC000845943380 588251185 /nfs/dbraw/zinc/25/11/85/588251185.db2.gz DCUAXEHCLFFLPA-UHFFFAOYSA-N 0 3 233.355 2.908 20 0 BFADHN CC[C@]1(C)CCC[C@H]1N[C@H]1CCn2ccnc21 ZINC000845979313 588252671 /nfs/dbraw/zinc/25/26/71/588252671.db2.gz MHVGGZPGMRLRRH-OUCADQQQSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]2CC(C)(C)C)n(C)n1 ZINC000846025031 588253849 /nfs/dbraw/zinc/25/38/49/588253849.db2.gz ABSPUMNBHVAIFW-WCQYABFASA-N 0 3 235.375 2.643 20 0 BFADHN C[C@@]1(CCNCc2ccccn2)C[C@]1(F)Cl ZINC000846036657 588254377 /nfs/dbraw/zinc/25/43/77/588254377.db2.gz NCBNVLFNVBEZPQ-VXGBXAGGSA-N 0 3 242.725 2.876 20 0 BFADHN C[C@@H](Cc1ccsc1)N1C[C@H]2[C@@H](C1)C2(F)F ZINC000846109467 588256980 /nfs/dbraw/zinc/25/69/80/588256980.db2.gz CUXVQAVDSZWIGU-INTQDDNPSA-N 0 3 243.322 2.876 20 0 BFADHN FC1(F)C[C@@]12CCN(Cc1ccoc1)C2 ZINC000846115978 588257546 /nfs/dbraw/zinc/25/75/46/588257546.db2.gz CQPGUDJKHBZDEY-SNVBAGLBSA-N 0 3 213.227 2.511 20 0 BFADHN C[C@H](NCC[C@]1(C)C[C@@]1(F)Cl)c1ncc[nH]1 ZINC000846182725 588259525 /nfs/dbraw/zinc/25/95/25/588259525.db2.gz CJWWHGHYJSGJPM-GDPRMGEGSA-N 0 3 245.729 2.765 20 0 BFADHN C[C@H](NCC[C@]1(C)C[C@]1(F)Cl)c1ncc[nH]1 ZINC000846182728 588259594 /nfs/dbraw/zinc/25/95/94/588259594.db2.gz CJWWHGHYJSGJPM-JMJZKYOTSA-N 0 3 245.729 2.765 20 0 BFADHN Cc1cc([C@H](C)NC2CC3(CCC3)C2)n(C)n1 ZINC000846210739 588260184 /nfs/dbraw/zinc/26/01/84/588260184.db2.gz CVUUTNBJAXOKJI-NSHDSACASA-N 0 3 233.359 2.712 20 0 BFADHN c1csc(NC[C@H]2CCCCN2C2CC2)n1 ZINC000846754424 588285627 /nfs/dbraw/zinc/28/56/27/588285627.db2.gz NRHYTFTUSFMVLU-LLVKDONJSA-N 0 3 237.372 2.572 20 0 BFADHN CC(C)N(CC1(Br)CC1)C1CC1 ZINC000846774896 588287407 /nfs/dbraw/zinc/28/74/07/588287407.db2.gz RUYZWZALYGKGBZ-UHFFFAOYSA-N 0 3 232.165 2.787 20 0 BFADHN CCN(CC)Cc1cnc(Cl)c(F)c1 ZINC000846771032 588287418 /nfs/dbraw/zinc/28/74/18/588287418.db2.gz NZTDRILAWLSRAT-UHFFFAOYSA-N 0 3 216.687 2.716 20 0 BFADHN CN(C)Cc1ccc(-c2cscn2)cc1 ZINC000846779625 588287932 /nfs/dbraw/zinc/28/79/32/588287932.db2.gz OALXQMXUDSVUGK-UHFFFAOYSA-N 0 3 218.325 2.872 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccc3nonc3c2)C1 ZINC000846815487 588291007 /nfs/dbraw/zinc/29/10/07/588291007.db2.gz MLLOLNVMLLKVIL-AWEZNQCLSA-N 0 3 245.326 2.845 20 0 BFADHN CC[C@H](C)N(C)CC1(Br)CC1 ZINC000846822307 588291106 /nfs/dbraw/zinc/29/11/06/588291106.db2.gz MRXVONHFOJBMDJ-QMMMGPOBSA-N 0 3 220.154 2.644 20 0 BFADHN CC1=C(C)CN(CC2(Br)CC2)CC1 ZINC000846888831 588295644 /nfs/dbraw/zinc/29/56/44/588295644.db2.gz RFRGDAVPVGWDMD-UHFFFAOYSA-N 0 3 244.176 2.956 20 0 BFADHN CC(=O)C1CCN(Cc2ccc(CF)cc2)CC1 ZINC000846946127 588298063 /nfs/dbraw/zinc/29/80/63/588298063.db2.gz GXJAJRYZVCQAQR-UHFFFAOYSA-N 0 3 249.329 2.957 20 0 BFADHN CC/C=C\CCN1CCC(C(C)=O)CC1 ZINC000846947560 588298078 /nfs/dbraw/zinc/29/80/78/588298078.db2.gz ULNGJCPDMMXTKB-PLNGDYQASA-N 0 3 209.333 2.644 20 0 BFADHN CCCCCCN[C@@H]1CCCn2ccnc21 ZINC000848513489 588388537 /nfs/dbraw/zinc/38/85/37/588388537.db2.gz FFRYIYQMFDJZLS-GFCCVEGCSA-N 0 3 221.348 2.888 20 0 BFADHN CSC(C)(C)CN[C@@H](C)c1cncnc1C ZINC000848532052 588393763 /nfs/dbraw/zinc/39/37/63/588393763.db2.gz XQYPQAFKVJLBSU-VIFPVBQESA-N 0 3 239.388 2.577 20 0 BFADHN CSC(C)(C)CN[C@H](C)c1cncnc1C ZINC000848532051 588394112 /nfs/dbraw/zinc/39/41/12/588394112.db2.gz XQYPQAFKVJLBSU-SECBINFHSA-N 0 3 239.388 2.577 20 0 BFADHN C[C@H]1CC[C@@H](NCc2cccnc2F)CS1 ZINC000848549356 588395801 /nfs/dbraw/zinc/39/58/01/588395801.db2.gz OBDAOOIEHYSADX-GXSJLCMTSA-N 0 3 240.347 2.594 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@H](OC(C)(C)C)C2)cn1 ZINC000848568033 588398276 /nfs/dbraw/zinc/39/82/76/588398276.db2.gz WNKJEBWUCOZXJZ-HDJSIYSDSA-N 0 3 248.370 2.826 20 0 BFADHN CCN(Cc1cnc(Cl)n1C)C1CCCC1 ZINC000848881709 588416428 /nfs/dbraw/zinc/41/64/28/588416428.db2.gz RLDRQCZKILGFGF-UHFFFAOYSA-N 0 3 241.766 2.838 20 0 BFADHN CC(F)(F)CNC(=N)Nc1cc(F)ccc1F ZINC000849031379 588442975 /nfs/dbraw/zinc/44/29/75/588442975.db2.gz KCGAZFGKAMMMPV-UHFFFAOYSA-N 0 3 249.211 2.556 20 0 BFADHN N[C@@H]1CCCc2cn(CC=C(Cl)Cl)nc21 ZINC000849239920 588464383 /nfs/dbraw/zinc/46/43/83/588464383.db2.gz XUYJVQWPRJTVDB-MRVPVSSYSA-N 0 3 246.141 2.538 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2c(C)cccc2F)CO1 ZINC000850159205 588522310 /nfs/dbraw/zinc/52/23/10/588522310.db2.gz AMYUNSMMFYJOCF-VXGBXAGGSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H]1C[C@@H](NC2CCCCCCC2)c2ncnn21 ZINC000850351766 588537785 /nfs/dbraw/zinc/53/77/85/588537785.db2.gz HCPPOUAOHKLEHG-WCQYABFASA-N 0 3 248.374 2.986 20 0 BFADHN Clc1ccc(CN[C@@H]2C=CCC2)cn1 ZINC000850358629 588538713 /nfs/dbraw/zinc/53/87/13/588538713.db2.gz HVLBIMKCKUQTEI-SNVBAGLBSA-N 0 3 208.692 2.543 20 0 BFADHN C1=C[C@@H](NCc2scnc2C2CC2)CC1 ZINC000850358350 588539510 /nfs/dbraw/zinc/53/95/10/588539510.db2.gz BMVGTINXISTSQR-SNVBAGLBSA-N 0 3 220.341 2.829 20 0 BFADHN COc1ccsc1CN[C@@H]1C=CCC1 ZINC000850359057 588539704 /nfs/dbraw/zinc/53/97/04/588539704.db2.gz UTBLPVIEFIGDSR-SECBINFHSA-N 0 3 209.314 2.565 20 0 BFADHN Cc1nc(F)ccc1CNC[C@]1(C)CC1(F)F ZINC000850378911 588541860 /nfs/dbraw/zinc/54/18/60/588541860.db2.gz AYTVJHSFSNPECK-NSHDSACASA-N 0 3 244.260 2.664 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@@H](C)O2)c(C)o1 ZINC000850379409 588541962 /nfs/dbraw/zinc/54/19/62/588541962.db2.gz OADHRNBRSWQZIC-QMTHXVAHSA-N 0 3 237.343 2.944 20 0 BFADHN Clc1ncccc1CNCCc1ccoc1 ZINC000850377113 588542204 /nfs/dbraw/zinc/54/22/04/588542204.db2.gz XNCASMKPOYELBU-UHFFFAOYSA-N 0 3 236.702 2.660 20 0 BFADHN CC[C@@H]1COC[C@@H]1NCc1ccc(C)c(OC)c1 ZINC000850383169 588542448 /nfs/dbraw/zinc/54/24/48/588542448.db2.gz MUALVYYWCFLHMZ-KGLIPLIRSA-N 0 3 249.354 2.518 20 0 BFADHN CC[C@H]1COC[C@@H]1NCc1ccc(C)cc1OC ZINC000850383833 588542699 /nfs/dbraw/zinc/54/26/99/588542699.db2.gz VLTIYSNLSSTQEM-JSGCOSHPSA-N 0 3 249.354 2.518 20 0 BFADHN Fc1ccc2c(c1)OC[C@@H](NCc1ccoc1)C2 ZINC000850381122 588542848 /nfs/dbraw/zinc/54/28/48/588542848.db2.gz BNVGISMAQBXESA-ZDUSSCGKSA-N 0 3 247.269 2.512 20 0 BFADHN CN(CC1CC1)Cn1ccc(-c2ccccc2)n1 ZINC000109315009 588543061 /nfs/dbraw/zinc/54/30/61/588543061.db2.gz JAOVYWULABVOAL-UHFFFAOYSA-N 0 3 241.338 2.849 20 0 BFADHN Cn1ccnc1CNC1CC2(C1)CCCCC2 ZINC000850395871 588543796 /nfs/dbraw/zinc/54/37/96/588543796.db2.gz CUDJRJUCCCPXJY-UHFFFAOYSA-N 0 3 233.359 2.623 20 0 BFADHN Fc1ccc(CNCCCc2ccco2)cn1 ZINC000850407480 588544194 /nfs/dbraw/zinc/54/41/94/588544194.db2.gz JOIWPONYAIBVRE-UHFFFAOYSA-N 0 3 234.274 2.536 20 0 BFADHN c1coc(CCCNCc2ccoc2)c1 ZINC000850407273 588544224 /nfs/dbraw/zinc/54/42/24/588544224.db2.gz BOHFHSBHJNIZNY-UHFFFAOYSA-N 0 3 205.257 2.595 20 0 BFADHN CC(C)CC1(NCc2cnc(Cl)n2C)CC1 ZINC000850404629 588544236 /nfs/dbraw/zinc/54/42/36/588544236.db2.gz PZNRAUBSOTVYHT-UHFFFAOYSA-N 0 3 241.766 2.742 20 0 BFADHN Cc1nc(F)ccc1CN[C@@]1(C)CC1(C)C ZINC000850399353 588544250 /nfs/dbraw/zinc/54/42/50/588544250.db2.gz KATSROBQLRNJMX-ZDUSSCGKSA-N 0 3 222.307 2.807 20 0 BFADHN Cc1nc(F)ccc1CN[C@]1(C)CC1(C)C ZINC000850399352 588544465 /nfs/dbraw/zinc/54/44/65/588544465.db2.gz KATSROBQLRNJMX-CYBMUJFWSA-N 0 3 222.307 2.807 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1ccc2c(c1)CCCO2 ZINC000850462479 588548598 /nfs/dbraw/zinc/54/85/98/588548598.db2.gz CDSVUUVDRZOKEE-VXGBXAGGSA-N 0 3 249.354 2.697 20 0 BFADHN COC(C)(C)[C@H](C)NCc1cccnc1Cl ZINC000850499134 588552248 /nfs/dbraw/zinc/55/22/48/588552248.db2.gz JWCDJWFWHVJZEB-VIFPVBQESA-N 0 3 242.750 2.638 20 0 BFADHN COc1cc(C)c([C@H](C)NC/C=C/CO)cc1C ZINC000850508166 588553795 /nfs/dbraw/zinc/55/37/95/588553795.db2.gz KRLWTMRDTWVWQV-GFUIURDCSA-N 0 3 249.354 2.511 20 0 BFADHN CC[C@H](N[C@H]1COC[C@@H]1CC)c1ccncc1 ZINC000850539191 588558622 /nfs/dbraw/zinc/55/86/22/588558622.db2.gz BLSOCMDEHSGPOE-UBHSHLNASA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@@H]1COC[C@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000850540462 588558819 /nfs/dbraw/zinc/55/88/19/588558819.db2.gz NFQKDOGRSDWZDI-WKPIXPDZSA-N 0 3 249.329 2.828 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCC(F)CC1)c1ncc[nH]1 ZINC000850557713 588560142 /nfs/dbraw/zinc/56/01/42/588560142.db2.gz XJEBESNJNTWBAF-DMOGRIERSA-N 0 3 239.338 2.977 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccc(Cl)nc1 ZINC000850569887 588561256 /nfs/dbraw/zinc/56/12/56/588561256.db2.gz CNOBJPMFAFTFFQ-XRNSZHNASA-N 0 3 240.734 2.563 20 0 BFADHN CCCCC[C@H]1CCCCN1C(=O)CNCC ZINC000851647156 588612710 /nfs/dbraw/zinc/61/27/10/588612710.db2.gz FGCNAIJULGLIHQ-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN CCCCCC[C@@H](CC)CN(C)C(=O)CNC ZINC000851647244 588613043 /nfs/dbraw/zinc/61/30/43/588613043.db2.gz HWJWWMNGVLEAAT-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CCC[C@H](C)N(C)Cc1cccc(F)n1 ZINC000851725182 588617504 /nfs/dbraw/zinc/61/75/04/588617504.db2.gz VMEVTCXBXWOXLU-JTQLQIEISA-N 0 3 210.296 2.841 20 0 BFADHN CCN(Cc1ccoc1)[C@@H]1CC[C@@H](OC)C1 ZINC000851748056 588622052 /nfs/dbraw/zinc/62/20/52/588622052.db2.gz ZEILGKMCVHYZPN-CHWSQXEVSA-N 0 3 223.316 2.669 20 0 BFADHN CC(C)=CCCN1CCCC[C@H]1C1OCCO1 ZINC000851750074 588623408 /nfs/dbraw/zinc/62/34/08/588623408.db2.gz WHDHVDJMKMQFCS-ZDUSSCGKSA-N 0 3 239.359 2.570 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1Cc1cccc(F)n1 ZINC000851763803 588624410 /nfs/dbraw/zinc/62/44/10/588624410.db2.gz FMWNVLKDRKGICJ-GHMZBOCLSA-N 0 3 222.307 2.984 20 0 BFADHN Cn1cnc(CN2CCC3(CCCC3)CC2)c1 ZINC000851778800 588626894 /nfs/dbraw/zinc/62/68/94/588626894.db2.gz MZWYYNQSKKJQFI-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN Fc1cccc(CN2CCC[C@H]3CCC[C@H]32)n1 ZINC000851785108 588628366 /nfs/dbraw/zinc/62/83/66/588628366.db2.gz OUHWPKMEQPPEEP-DGCLKSJQSA-N 0 3 234.318 2.985 20 0 BFADHN CCc1nc([C@H](C)N2CCC3(CCCC3)C2)n[nH]1 ZINC000851790836 588629156 /nfs/dbraw/zinc/62/91/56/588629156.db2.gz PMWKGVWXCSZSBY-NSHDSACASA-N 0 3 248.374 2.694 20 0 BFADHN CC1(C)OC[C@H](CN2CC[C@H]2c2ccccc2)O1 ZINC000851800142 588630997 /nfs/dbraw/zinc/63/09/97/588630997.db2.gz IPUUTPZJFSSALK-KBPBESRZSA-N 0 3 247.338 2.585 20 0 BFADHN CC(C)(C)C1=CCN(CCOC(F)F)CC1 ZINC000851869618 588637025 /nfs/dbraw/zinc/63/70/25/588637025.db2.gz MJENSVPSTKYUSU-UHFFFAOYSA-N 0 3 233.302 2.904 20 0 BFADHN CO[C@@H]1CC[C@@H](N2CCc3c(F)cccc3C2)C1 ZINC000851869662 588637455 /nfs/dbraw/zinc/63/74/55/588637455.db2.gz NUYSYPZEQXRRTA-CHWSQXEVSA-N 0 3 249.329 2.751 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2CCC2CCC2)n1 ZINC000851885975 588640150 /nfs/dbraw/zinc/64/01/50/588640150.db2.gz ACHXQTPRPCNVRP-LBPRGKRZSA-N 0 3 219.332 2.737 20 0 BFADHN CC[C@@](C)(NC[C@H]1CCC(=O)O1)c1ccccc1 ZINC000851902377 588641867 /nfs/dbraw/zinc/64/18/67/588641867.db2.gz PTUUPUKNKCLBFM-UKRRQHHQSA-N 0 3 247.338 2.607 20 0 BFADHN CCCC[C@H](NCc1cnon1)C1CCC1 ZINC000851925097 588644193 /nfs/dbraw/zinc/64/41/93/588644193.db2.gz RWXQLGITVOOQMV-LBPRGKRZSA-N 0 3 223.320 2.518 20 0 BFADHN CC[C@H](NCC=C(Cl)Cl)[C@@H]1CCCO1 ZINC000851928697 588644793 /nfs/dbraw/zinc/64/47/93/588644793.db2.gz WGXCNRYUCWZJPX-IUCAKERBSA-N 0 3 238.158 2.853 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cccc(F)n1 ZINC000851950617 588646799 /nfs/dbraw/zinc/64/67/99/588646799.db2.gz QMHNJERUQZLXGK-PWSUYJOCSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccccn1)c1ccsc1 ZINC000852018468 588655725 /nfs/dbraw/zinc/65/57/25/588655725.db2.gz NENQQWALAYOIQG-ZWNOBZJWSA-N 0 3 248.351 2.527 20 0 BFADHN CO[C@H]1CC[C@H](N(C)Cc2occc2C)C1 ZINC000852077017 588660066 /nfs/dbraw/zinc/66/00/66/588660066.db2.gz NGUNNPHIMRRRDW-RYUDHWBXSA-N 0 3 223.316 2.587 20 0 BFADHN C[C@@H]1C[C@H](N2CCC[C@]3(CC3(F)F)C2)CCO1 ZINC000852213135 588672867 /nfs/dbraw/zinc/67/28/67/588672867.db2.gz GLZFWUICRSRVCU-UTUOFQBUSA-N 0 3 245.313 2.675 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1cnoc1C ZINC000852355683 588679634 /nfs/dbraw/zinc/67/96/34/588679634.db2.gz YCNNBGQLZWBJIZ-JTQLQIEISA-N 0 3 210.321 2.897 20 0 BFADHN CCC(CC)CCCN(C)CC[S@](C)=O ZINC000852670424 588695185 /nfs/dbraw/zinc/69/51/85/588695185.db2.gz XLCLIAIHEOGECK-HNNXBMFYSA-N 0 3 233.421 2.513 20 0 BFADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1ccc(F)cn1 ZINC000852700518 588696587 /nfs/dbraw/zinc/69/65/87/588696587.db2.gz OKGNDVUNNQIBGN-FTNCPSPGSA-N 0 3 234.318 2.984 20 0 BFADHN CN(CCc1cccs1)CC1=CCSC1 ZINC000852747244 588699407 /nfs/dbraw/zinc/69/94/07/588699407.db2.gz AOFJTFNXZIYZFV-UHFFFAOYSA-N 0 3 239.409 2.896 20 0 BFADHN CC1(C)C[C@@]1(NC[C@H](O)C1CC1)c1ccccc1 ZINC000852773367 588701384 /nfs/dbraw/zinc/70/13/84/588701384.db2.gz XDSQDTHUQCTSPN-GOEBONIOSA-N 0 3 245.366 2.672 20 0 BFADHN COc1ccc(CN(C)C[C@H]2CC2(C)C)nc1 ZINC000852772870 588701571 /nfs/dbraw/zinc/70/15/71/588701571.db2.gz RPFRSLYXDSAEFH-LLVKDONJSA-N 0 3 234.343 2.568 20 0 BFADHN CN(Cc1cccc(F)n1)C[C@H]1CC1(C)C ZINC000852772467 588701669 /nfs/dbraw/zinc/70/16/69/588701669.db2.gz NRTSYXCOUDLHAZ-SNVBAGLBSA-N 0 3 222.307 2.699 20 0 BFADHN Cc1ncc(CN2[C@H](C)CCC[C@@H]2C)o1 ZINC000862212118 589194653 /nfs/dbraw/zinc/19/46/53/589194653.db2.gz QAJGMIYSUQZBPX-AOOOYVTPSA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@H]1CCCN1Cc1ccnc(F)c1C ZINC000862231203 589195669 /nfs/dbraw/zinc/19/56/69/589195669.db2.gz FICJISUWMPGXNV-LBPRGKRZSA-N 0 3 222.307 2.904 20 0 BFADHN CCN(Cc1ccnc(F)c1C)C1CCC1 ZINC000862248228 589196829 /nfs/dbraw/zinc/19/68/29/589196829.db2.gz TWYLZYNMXYZMTA-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN C[C@H]1COCCN(Cc2ccc3cc[nH]c3c2)C1 ZINC000862246951 589197157 /nfs/dbraw/zinc/19/71/57/589197157.db2.gz PLJXDGDQGXGZOR-GFCCVEGCSA-N 0 3 244.338 2.636 20 0 BFADHN Cc1ncc(CN2CC[C@H]2Cc2ccccc2)o1 ZINC000862249548 589197263 /nfs/dbraw/zinc/19/72/63/589197263.db2.gz TVOXVTMNYYILJT-AWEZNQCLSA-N 0 3 242.322 2.800 20 0 BFADHN Cc1c(CN2CC[C@H](C)[C@H]2C)ccnc1F ZINC000862251731 589197389 /nfs/dbraw/zinc/19/73/89/589197389.db2.gz MFAAROCSHSBERH-GXSJLCMTSA-N 0 3 222.307 2.759 20 0 BFADHN CC[C@@H](C)N(CCO)Cc1cc(Cl)cs1 ZINC000862386748 589207310 /nfs/dbraw/zinc/20/73/10/589207310.db2.gz BIRAQUNTBURMNX-SECBINFHSA-N 0 3 247.791 2.994 20 0 BFADHN Cc1ncc(CNCC[C@]2(C)C[C@@]2(F)Cl)o1 ZINC000862401859 589209117 /nfs/dbraw/zinc/20/91/17/589209117.db2.gz VIWULSXAJQUXLG-MNOVXSKESA-N 0 3 246.713 2.777 20 0 BFADHN Cc1c(CN[C@@]2(C)CC2(C)C)ccnc1F ZINC000862418959 589211778 /nfs/dbraw/zinc/21/17/78/589211778.db2.gz MIOCCOCICLATKR-ZDUSSCGKSA-N 0 3 222.307 2.807 20 0 BFADHN FC1(F)CCCN(C[C@H]2CCC=CO2)CC1 ZINC000862466253 589216390 /nfs/dbraw/zinc/21/63/90/589216390.db2.gz FIRYQXBWCDLKFS-LLVKDONJSA-N 0 3 231.286 2.800 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H](C)c1ccns1 ZINC000862540037 589218708 /nfs/dbraw/zinc/21/87/08/589218708.db2.gz XONKZPHVIJBBFC-QXFUBDJGSA-N 0 3 228.386 2.688 20 0 BFADHN Cc1cc(CNCCc2nccs2)c(C)o1 ZINC000119450495 589288016 /nfs/dbraw/zinc/28/80/16/589288016.db2.gz NZTCOLSVQBMNFY-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN C/C=C\CNC[C@@H](O)c1ccc(Cl)c(F)c1 ZINC000863582580 589301086 /nfs/dbraw/zinc/30/10/86/589301086.db2.gz ODVJHBQJICXOOC-ZZKXABKFSA-N 0 3 243.709 2.678 20 0 BFADHN C/C=C/CNC[C@@H](O)c1ccc(Cl)c(F)c1 ZINC000863582579 589301323 /nfs/dbraw/zinc/30/13/23/589301323.db2.gz ODVJHBQJICXOOC-QAVQXKDTSA-N 0 3 243.709 2.678 20 0 BFADHN C/C=C\CNC[C@@H](O)c1ccc(F)cc1Cl ZINC000863582333 589301649 /nfs/dbraw/zinc/30/16/49/589301649.db2.gz KYEYAOZEDFZWPO-ZZKXABKFSA-N 0 3 243.709 2.678 20 0 BFADHN Cc1cnc(F)cc1-c1ccc2c(c1)CCNC2 ZINC000863715972 589312836 /nfs/dbraw/zinc/31/28/36/589312836.db2.gz KKDBPZJZRXQCOU-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN CCCc1ccccc1-c1cnn2c1CNCC2 ZINC000863732574 589313966 /nfs/dbraw/zinc/31/39/66/589313966.db2.gz ZXYNQUKJQHJFLV-UHFFFAOYSA-N 0 3 241.338 2.606 20 0 BFADHN C[C@@]1(CCNCc2ccns2)C[C@]1(F)Cl ZINC000864051721 589335376 /nfs/dbraw/zinc/33/53/76/589335376.db2.gz PYNHFTUVYBINTB-NXEZZACHSA-N 0 3 248.754 2.937 20 0 BFADHN CCCOC(=O)[C@@H](C)N(CC)[C@@H](C)C(C)C ZINC000120255684 589397683 /nfs/dbraw/zinc/39/76/83/589397683.db2.gz RGWKHYFJYYEHNM-NWDGAFQWSA-N 0 3 229.364 2.695 20 0 BFADHN CCc1csc(SC[C@H]2CCN(C)C2)n1 ZINC000865387491 589430481 /nfs/dbraw/zinc/43/04/81/589430481.db2.gz XWQUVJIVNFXXTG-VIFPVBQESA-N 0 3 242.413 2.749 20 0 BFADHN C[C@@H]1CCCC[C@@H]1NCc1cn(C2CC2)cn1 ZINC000865397305 589431982 /nfs/dbraw/zinc/43/19/82/589431982.db2.gz FIAGEVZAYBKFTC-RISCZKNCSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H]1CCCC[C@@H]1NCc1cn(C2CC2)cn1 ZINC000865397304 589432090 /nfs/dbraw/zinc/43/20/90/589432090.db2.gz FIAGEVZAYBKFTC-FZMZJTMJSA-N 0 3 233.359 2.886 20 0 BFADHN COC[C@@H](C)NCc1cccc(Cl)c1C ZINC000865397460 589432125 /nfs/dbraw/zinc/43/21/25/589432125.db2.gz FVYPGBQRAISCCW-SECBINFHSA-N 0 3 227.735 2.773 20 0 BFADHN CCCCNCc1cccnc1Br ZINC000865399822 589432437 /nfs/dbraw/zinc/43/24/37/589432437.db2.gz ZQTRQVIEIDXEEG-UHFFFAOYSA-N 0 3 243.148 2.734 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2cn(C3CC3)cn2)C1 ZINC000865407740 589433332 /nfs/dbraw/zinc/43/33/32/589433332.db2.gz HRJGDZDRQYUYEV-NWDGAFQWSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1cnc(Cl)c(F)c1 ZINC000865413913 589434004 /nfs/dbraw/zinc/43/40/04/589434004.db2.gz CWXDTWJCXVZHAN-APPZFPTMSA-N 0 3 228.698 2.620 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cn(C2CC2)cn1 ZINC000865429884 589435562 /nfs/dbraw/zinc/43/55/62/589435562.db2.gz IWZIABXIUQVREO-GHMZBOCLSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@H](NCc1cc2c(cc[nH]c2=O)o1)C1(C)CC1 ZINC000865435569 589435823 /nfs/dbraw/zinc/43/58/23/589435823.db2.gz PLUUWPBFRRFHGQ-VIFPVBQESA-N 0 3 246.310 2.812 20 0 BFADHN CCC(C)(C)NCc1cc2c(cc[nH]c2=O)o1 ZINC000865439044 589436194 /nfs/dbraw/zinc/43/61/94/589436194.db2.gz KNSAFTMIZXTBJJ-UHFFFAOYSA-N 0 3 234.299 2.812 20 0 BFADHN CC1(C)CCC[C@H]1NCc1cn(C2CC2)cn1 ZINC000865485496 589440322 /nfs/dbraw/zinc/44/03/22/589440322.db2.gz XYOQJUGTJKZTFE-CYBMUJFWSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1c(Cl)cccc1CN[C@@H]1CCOC1 ZINC000865508965 589441694 /nfs/dbraw/zinc/44/16/94/589441694.db2.gz UPRCXFVTMBNKCF-LLVKDONJSA-N 0 3 225.719 2.527 20 0 BFADHN C[C@H]1CC[C@H](NCc2cc3c(cc[nH]c3=O)o2)C1 ZINC000865537162 589444059 /nfs/dbraw/zinc/44/40/59/589444059.db2.gz AZYFPNBSOWXKLU-UWVGGRQHSA-N 0 3 246.310 2.812 20 0 BFADHN CO[C@H](C)CNCc1cccc(Cl)c1C ZINC000865539120 589444617 /nfs/dbraw/zinc/44/46/17/589444617.db2.gz PSHVOCGRBGLNIT-SECBINFHSA-N 0 3 227.735 2.773 20 0 BFADHN CC1(CCNCc2cc3c(cc[nH]c3=O)o2)CC1 ZINC000865547199 589445115 /nfs/dbraw/zinc/44/51/15/589445115.db2.gz ACCUWKQFYCCKOK-UHFFFAOYSA-N 0 3 246.310 2.813 20 0 BFADHN CCc1csc(NCCN2CCC[C@@H]2C)n1 ZINC000865564543 589446905 /nfs/dbraw/zinc/44/69/05/589446905.db2.gz ORFJRBBSXKFZFR-JTQLQIEISA-N 0 3 239.388 2.602 20 0 BFADHN COc1cncc(CN[C@@H]2CCC2(C)C)c1C ZINC000865567915 589447582 /nfs/dbraw/zinc/44/75/82/589447582.db2.gz DJXLPIXURFFBAT-CYBMUJFWSA-N 0 3 234.343 2.677 20 0 BFADHN FCCCCNCc1cnc(Cl)c(F)c1 ZINC000865576619 589448834 /nfs/dbraw/zinc/44/88/34/589448834.db2.gz RCQKQBHTNZLMEG-UHFFFAOYSA-N 0 3 234.677 2.713 20 0 BFADHN CC[C@H](C)CCNCc1cn(C2CC2)cn1 ZINC000865623840 589450651 /nfs/dbraw/zinc/45/06/51/589450651.db2.gz RSFKYOPRDVGOSC-NSHDSACASA-N 0 3 221.348 2.744 20 0 BFADHN CC(C)[C@H](NCc1cn(C2CC2)cn1)C1CC1 ZINC000865632732 589452492 /nfs/dbraw/zinc/45/24/92/589452492.db2.gz SNKIKUBAIKASKV-AWEZNQCLSA-N 0 3 233.359 2.742 20 0 BFADHN CC(NCc1cn(C2CC2)cn1)(C1CC1)C1CC1 ZINC000865631756 589452784 /nfs/dbraw/zinc/45/27/84/589452784.db2.gz ICKNEZUBQGHHBV-UHFFFAOYSA-N 0 3 245.370 2.886 20 0 BFADHN c1nc(CN[C@@H]2CCCC23CC3)cn1C1CC1 ZINC000865657489 589454623 /nfs/dbraw/zinc/45/46/23/589454623.db2.gz JXFRZPXCJNPXSF-CYBMUJFWSA-N 0 3 231.343 2.640 20 0 BFADHN CCC[C@@H](CCO)NCc1cc(C)oc1C ZINC000865663389 589455114 /nfs/dbraw/zinc/45/51/14/589455114.db2.gz QNXSGOCKEPYCAQ-ZDUSSCGKSA-N 0 3 225.332 2.537 20 0 BFADHN CCC(C)(C)CCNCc1cn(C)nc1Cl ZINC000865678993 589455845 /nfs/dbraw/zinc/45/58/45/589455845.db2.gz JPWZBPKXYGEEKQ-UHFFFAOYSA-N 0 3 243.782 2.989 20 0 BFADHN Cc1occc1CN[C@@H]1CC(C)(C)CC[C@H]1O ZINC000865699829 589457363 /nfs/dbraw/zinc/45/73/63/589457363.db2.gz JNTZLCFEBAZMDA-CHWSQXEVSA-N 0 3 237.343 2.617 20 0 BFADHN CS[C@H]1C[C@H](NCc2cc3cccnc3o2)C1 ZINC000865733622 589459017 /nfs/dbraw/zinc/45/90/17/589459017.db2.gz OBKLTNJNDAWEGD-UMSPYCQHSA-N 0 3 248.351 2.811 20 0 BFADHN CS[C@H]1C[C@H](NCc2cccc(F)c2F)C1 ZINC000865734080 589459275 /nfs/dbraw/zinc/45/92/75/589459275.db2.gz HPUOGHWJPUXXSG-MGCOHNPYSA-N 0 3 243.322 2.948 20 0 BFADHN CS[C@H]1C[C@H](NCc2ccccc2F)C1 ZINC000865734530 589459535 /nfs/dbraw/zinc/45/95/35/589459535.db2.gz CVUUEACMRGXGDB-XYPYZODXSA-N 0 3 225.332 2.809 20 0 BFADHN Cc1ccncc1CNC(C)(C)[C@@H]1CCCCO1 ZINC000865744197 589460361 /nfs/dbraw/zinc/46/03/61/589460361.db2.gz ONTFXCYEWYAHNC-AWEZNQCLSA-N 0 3 248.370 2.827 20 0 BFADHN CC(C)(NCc1ccoc1)[C@H]1CCCCO1 ZINC000865746149 589460483 /nfs/dbraw/zinc/46/04/83/589460483.db2.gz MFCROQZVHNGJGP-GFCCVEGCSA-N 0 3 223.316 2.717 20 0 BFADHN CC(C)(NCc1ccco1)[C@@H]1CCCCO1 ZINC000865746779 589460497 /nfs/dbraw/zinc/46/04/97/589460497.db2.gz PHLFHEJIHLLGGJ-LBPRGKRZSA-N 0 3 223.316 2.717 20 0 BFADHN COC(C)(C)CCNCc1cc2cccnc2o1 ZINC000865753985 589461075 /nfs/dbraw/zinc/46/10/75/589461075.db2.gz AJHPHLYWKFZJRJ-UHFFFAOYSA-N 0 3 248.326 2.733 20 0 BFADHN CCC[C@@H](C)CNCc1nn(C)cc1C(F)F ZINC000865808991 589462637 /nfs/dbraw/zinc/46/26/37/589462637.db2.gz VUBRITGINZNTQN-SECBINFHSA-N 0 3 245.317 2.884 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1ccc(C(C)(C)C)o1 ZINC000865815438 589462896 /nfs/dbraw/zinc/46/28/96/589462896.db2.gz YSGLBSNUCRNYRA-JQWIXIFHSA-N 0 3 237.343 2.844 20 0 BFADHN Cc1cc(CN[C@]23CCC[C@H]2OCC3)ccc1F ZINC000865858238 589465328 /nfs/dbraw/zinc/46/53/28/589465328.db2.gz FWUVOENPMSPLCS-CABCVRRESA-N 0 3 249.329 2.935 20 0 BFADHN CCc1cc(N2C[C@@H](OC)CC[C@@H]2C)ccn1 ZINC000866071575 589474973 /nfs/dbraw/zinc/47/49/73/589474973.db2.gz HWOOUHCFDBCQNJ-FZMZJTMJSA-N 0 3 234.343 2.648 20 0 BFADHN COCCN[C@H]1c2cc(F)ccc2CC[C@H]1C ZINC000866214425 589480995 /nfs/dbraw/zinc/48/09/95/589480995.db2.gz OKCRYMMONJLAEM-QMTHXVAHSA-N 0 3 237.318 2.685 20 0 BFADHN CC(C)[C@@H](NC[C@](C)(O)C1CC1)c1ccccn1 ZINC000866266069 589483706 /nfs/dbraw/zinc/48/37/06/589483706.db2.gz WPXAEMKPMHDUTH-CABCVRRESA-N 0 3 248.370 2.529 20 0 BFADHN CC[C@@H](CCO)N[C@@H](c1ccccn1)C(C)C ZINC000866272670 589483979 /nfs/dbraw/zinc/48/39/79/589483979.db2.gz YLOQYPRDIGYUDJ-GXTWGEPZSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)[C@H](NC[C@]1(C)CCCO1)c1ccccn1 ZINC000866282037 589484179 /nfs/dbraw/zinc/48/41/79/589484179.db2.gz WHMCWTPDAOAILZ-GJZGRUSLSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)[C@@H](NCC1(CO)CCC1)c1ccccn1 ZINC000866338531 589487102 /nfs/dbraw/zinc/48/71/02/589487102.db2.gz SVPIWAFDRLDWQI-CQSZACIVSA-N 0 3 248.370 2.531 20 0 BFADHN CC(C)[C@H](NC[C@@H](O)C1CCC1)c1ccccn1 ZINC000866369562 589488588 /nfs/dbraw/zinc/48/85/88/589488588.db2.gz MGHIZOKBHLMQJU-CABCVRRESA-N 0 3 248.370 2.529 20 0 BFADHN C[C@H]1CCc2ccc(F)cc2[C@H]1N[C@@H]1CCOC1 ZINC000866380055 589488955 /nfs/dbraw/zinc/48/89/55/589488955.db2.gz ZMQJJMMTRLSNCS-ZBINZKHDSA-N 0 3 249.329 2.828 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H](c2ccccn2)C(C)C)C1 ZINC000866389760 589489316 /nfs/dbraw/zinc/48/93/16/589489316.db2.gz VQPXXMZPQJVONQ-YDHLFZDLSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@@H]1CCc2ccc(F)cc2[C@H]1NC/C=C\CO ZINC000866386332 589489362 /nfs/dbraw/zinc/48/93/62/589489362.db2.gz CFYOBPMUASZOJV-RRMSCMEVSA-N 0 3 249.329 2.587 20 0 BFADHN C[C@@H](N[C@@H]1CCCC[C@@H]1F)[C@@H]1CCCCO1 ZINC000866452202 589494126 /nfs/dbraw/zinc/49/41/26/589494126.db2.gz YTGXCQPBKARJMG-XQHKEYJVSA-N 0 3 229.339 2.814 20 0 BFADHN F[C@@H]1CCCC[C@@H]1N[C@H]1CCCc2n[nH]cc21 ZINC000866451666 589494248 /nfs/dbraw/zinc/49/42/48/589494248.db2.gz OFPXTCGEOUQWLB-MDZLAQPJSA-N 0 3 237.322 2.657 20 0 BFADHN C[C@@H](N[C@@H]1CC(C)(C)CC[C@H]1O)c1ccoc1 ZINC000866452800 589494465 /nfs/dbraw/zinc/49/44/65/589494465.db2.gz BIBXOFVOXPUBJM-RAIGVLPGSA-N 0 3 237.343 2.870 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2cnc(C)s2)C1 ZINC000866487075 589496229 /nfs/dbraw/zinc/49/62/29/589496229.db2.gz UOSRJBFYXAXXMR-SZEHBUNVSA-N 0 3 242.413 2.996 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2cnc(C)s2)C1 ZINC000866487073 589496530 /nfs/dbraw/zinc/49/65/30/589496530.db2.gz UOSRJBFYXAXXMR-HGNGGELXSA-N 0 3 242.413 2.996 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2ccc(F)cn2)C1 ZINC000866487060 589496657 /nfs/dbraw/zinc/49/66/57/589496657.db2.gz UFDDYCBLDOPXFL-FBIMIBRVSA-N 0 3 240.347 2.765 20 0 BFADHN C[C@H]1CC[C@H]1NCc1noc2ccc(F)cc12 ZINC000866510688 589498646 /nfs/dbraw/zinc/49/86/46/589498646.db2.gz IDPFPSVGWOCEOC-GZMMTYOYSA-N 0 3 234.274 2.855 20 0 BFADHN CC[C@H](NCc1nn(C)cc1C(F)F)C(C)C ZINC000866563688 589500656 /nfs/dbraw/zinc/50/06/56/589500656.db2.gz OALNEVIMOSVBEH-JTQLQIEISA-N 0 3 245.317 2.882 20 0 BFADHN C[C@H](c1ccccn1)N1CC[C@@H]2CSC[C@H]2C1 ZINC000866581832 589501062 /nfs/dbraw/zinc/50/10/62/589501062.db2.gz DJPVSOWLQFUUTI-JHJVBQTASA-N 0 3 248.395 2.828 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1noc2cc(F)ccc12 ZINC000866612377 589504590 /nfs/dbraw/zinc/50/45/90/589504590.db2.gz HMSOSWDRHFWXLW-KWQFWETISA-N 0 3 234.274 2.855 20 0 BFADHN C[C@@H](NCC[C@H](O)C1CCCC1)c1cccnc1 ZINC000866638493 589506593 /nfs/dbraw/zinc/50/65/93/589506593.db2.gz RDRZASYHQKLBRU-DOMZBBRYSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@H](NCC[C@@H](O)C1CCCC1)c1ccoc1 ZINC000866639302 589506993 /nfs/dbraw/zinc/50/69/93/589506993.db2.gz XRFRAHHBYGPMOK-SMDDNHRTSA-N 0 3 237.343 2.871 20 0 BFADHN C/C=C(\C)C(=O)N1c2ccccc2[C@@H](N)C1(C)C ZINC000867989691 589542775 /nfs/dbraw/zinc/54/27/75/589542775.db2.gz OCRIADLBYNKQJR-ZQNFCJTASA-N 0 3 244.338 2.778 20 0 BFADHN CC1=C(C(=O)Nc2cc(F)cc(CN)c2)CCC1 ZINC000868068081 589547756 /nfs/dbraw/zinc/54/77/56/589547756.db2.gz GWWSVCMLGRAJBV-UHFFFAOYSA-N 0 3 248.301 2.723 20 0 BFADHN Cc1cc(C[C@H](C)NCc2cc(C)oc2C)n[nH]1 ZINC000122210017 589565473 /nfs/dbraw/zinc/56/54/73/589565473.db2.gz KRHBGNGYANRFPE-VIFPVBQESA-N 0 3 247.342 2.649 20 0 BFADHN Cc1cc(C[C@H](C)NCc2cc(C)oc2C)[nH]n1 ZINC000122210017 589565475 /nfs/dbraw/zinc/56/54/75/589565475.db2.gz KRHBGNGYANRFPE-VIFPVBQESA-N 0 3 247.342 2.649 20 0 BFADHN CC[C@@H](NC[C@H](O)C1CC1)c1ccccc1F ZINC000122529583 589599326 /nfs/dbraw/zinc/59/93/26/589599326.db2.gz ROEBETUSPDAKOG-KGLIPLIRSA-N 0 3 237.318 2.637 20 0 BFADHN COc1ccc(CNCCC2CCC2)c(OC)c1 ZINC000122997654 589647960 /nfs/dbraw/zinc/64/79/60/589647960.db2.gz AEAUTCSVRBOYIH-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN COc1cccc(CNCCC2CCC2)c1OC ZINC000123016846 589652303 /nfs/dbraw/zinc/65/23/03/589652303.db2.gz AYZAEFDLQICXIR-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)c1ccc(C)s1 ZINC000870550857 589674283 /nfs/dbraw/zinc/67/42/83/589674283.db2.gz BJLIGOJJGYEAHM-SNVBAGLBSA-N 0 3 241.356 2.554 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)/C=C\c1ccccc1 ZINC000870553319 589674757 /nfs/dbraw/zinc/67/47/57/589674757.db2.gz ZNKHWWQTQWNMPN-BMSUMIBZSA-N 0 3 247.338 2.583 20 0 BFADHN CNCc1nc(C)c(Cc2ccccc2)s1 ZINC000871597077 589771065 /nfs/dbraw/zinc/77/10/65/589771065.db2.gz BCUQUOZUPKYSFG-UHFFFAOYSA-N 0 3 232.352 2.762 20 0 BFADHN C/C=C/CN[C@H](CC)C(=O)Nc1ccc(C)cc1 ZINC000871897200 589830209 /nfs/dbraw/zinc/83/02/09/589830209.db2.gz QRPDGOVPDBIQOI-YVARQFDVSA-N 0 3 246.354 2.878 20 0 BFADHN CCCC[NH2+][C@@H](C)c1cccc([O-])c1F ZINC000872016953 589855847 /nfs/dbraw/zinc/85/58/47/589855847.db2.gz RFZGSBNYMMKPST-VIFPVBQESA-N 0 3 211.280 2.982 20 0 BFADHN CC[C@@H](C)[NH2+][C@@H](C)c1cccc([O-])c1F ZINC000872016489 589855910 /nfs/dbraw/zinc/85/59/10/589855910.db2.gz KAKICOZAKXMIBM-BDAKNGLRSA-N 0 3 211.280 2.980 20 0 BFADHN C[C@@H]([NH2+][C@@H](C)c1cccc([O-])c1F)C1CC1 ZINC000872018207 589856783 /nfs/dbraw/zinc/85/67/83/589856783.db2.gz JPYYKLNJUTTXFG-BDAKNGLRSA-N 0 3 223.291 2.980 20 0 BFADHN C[C@H]([NH2+]C[C@H]1C[C@@H]1C)c1cccc([O-])c1F ZINC000872027870 589857470 /nfs/dbraw/zinc/85/74/70/589857470.db2.gz MVGQVCZGKCJRTP-LPEHRKFASA-N 0 3 223.291 2.838 20 0 BFADHN C[C@@H]([NH2+]CC1CCC1)c1cccc([O-])c1F ZINC000872028580 589857598 /nfs/dbraw/zinc/85/75/98/589857598.db2.gz QMMRQCPTHHNYSG-SECBINFHSA-N 0 3 223.291 2.982 20 0 BFADHN CSC[C@@H](C)N[C@H](C)c1cc(O)ccc1F ZINC000872037976 589859033 /nfs/dbraw/zinc/85/90/33/589859033.db2.gz JEGZBDDZANQLBW-RKDXNWHRSA-N 0 3 243.347 2.933 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1cccc(O)c1F ZINC000872038038 589859457 /nfs/dbraw/zinc/85/94/57/589859457.db2.gz KGERFRYDLJRWQU-ZJUUUORDSA-N 0 3 241.306 2.607 20 0 BFADHN COC(C)(C)CN[C@H](C)c1cc(O)ccc1F ZINC000872045341 589860155 /nfs/dbraw/zinc/86/01/55/589860155.db2.gz ZPZFZKDDXHVZEV-SECBINFHSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1cccc(O)c1F ZINC000872082611 589866177 /nfs/dbraw/zinc/86/61/77/589866177.db2.gz YIIXWOSYWWHETR-LDYMZIIASA-N 0 3 221.275 2.734 20 0 BFADHN CC[C@@H](NCc1cnn(CC)n1)C(C)(C)CC ZINC000872088842 589867427 /nfs/dbraw/zinc/86/74/27/589867427.db2.gz VZCZXTJDJDAEGU-GFCCVEGCSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1cncc([C@@H](C)NC[C@H]2CC(C)(C)CO2)c1 ZINC000872105218 589871781 /nfs/dbraw/zinc/87/17/81/589871781.db2.gz DKEDFRXSSYDYPW-TZMCWYRMSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@H](NCCC[C@@H]1C=CCC1)c1nccn1C ZINC000872105746 589872992 /nfs/dbraw/zinc/87/29/92/589872992.db2.gz BGOQMEKEVDHKTJ-QWHCGFSZSA-N 0 3 233.359 2.817 20 0 BFADHN C[C@H](NCCC[C@H]1C=CCC1)c1ncc[nH]1 ZINC000872107971 589873208 /nfs/dbraw/zinc/87/32/08/589873208.db2.gz QCDNSFJGFFYRLC-RYUDHWBXSA-N 0 3 219.332 2.807 20 0 BFADHN C[C@H](NCCC[C@@H]1C=CCC1)c1ncc[nH]1 ZINC000872107970 589873578 /nfs/dbraw/zinc/87/35/78/589873578.db2.gz QCDNSFJGFFYRLC-NWDGAFQWSA-N 0 3 219.332 2.807 20 0 BFADHN CC[C@H](N[C@H](C)c1nccnc1C)[C@@H]1C[C@H]1C ZINC000872130270 589877469 /nfs/dbraw/zinc/87/74/69/589877469.db2.gz GRMKXRPPEJJOFI-JHEVNIALSA-N 0 3 233.359 2.870 20 0 BFADHN CC[C@H](NCc1nonc1C)C(C)(C)CC ZINC000872137544 589879762 /nfs/dbraw/zinc/87/97/62/589879762.db2.gz QEWXIELXEWFAPN-NSHDSACASA-N 0 3 225.336 2.682 20 0 BFADHN CCC[C@H](C)N1CCN(C)c2ncccc2C1 ZINC000872319830 589911507 /nfs/dbraw/zinc/91/15/07/589911507.db2.gz RPSPDDPEHHTFHC-LBPRGKRZSA-N 0 3 233.359 2.522 20 0 BFADHN CCC[C@@H](C)N1CCN(C)c2ncccc2C1 ZINC000872319829 589911593 /nfs/dbraw/zinc/91/15/93/589911593.db2.gz RPSPDDPEHHTFHC-GFCCVEGCSA-N 0 3 233.359 2.522 20 0 BFADHN CCCn1cc(CNCCC[C@@H]2C=CCC2)nn1 ZINC000873153990 589987774 /nfs/dbraw/zinc/98/77/74/589987774.db2.gz OIQGEDQJJASMCO-CYBMUJFWSA-N 0 3 248.374 2.524 20 0 BFADHN Cc1c[nH]c(CNCCC[C@H]2C=CCC2)n1 ZINC000873153863 589987798 /nfs/dbraw/zinc/98/77/98/589987798.db2.gz JUYNJQVHBMDUAL-LBPRGKRZSA-N 0 3 219.332 2.554 20 0 BFADHN Cc1cc(CNC[C@@H]2C[C@@H]3CCC[C@H]3O2)c(C)o1 ZINC000873155147 589988207 /nfs/dbraw/zinc/98/82/07/589988207.db2.gz DCZWXIVMDOPECW-AEGPPILISA-N 0 3 249.354 2.944 20 0 BFADHN Cc1ccc(CNCCC[C@H]2C=CCC2)nn1 ZINC000873154507 589988396 /nfs/dbraw/zinc/98/83/96/589988396.db2.gz YRMCWTQAJXDOAI-ZDUSSCGKSA-N 0 3 231.343 2.621 20 0 BFADHN Cc1ccc(CNCCC[C@@H]2C=CCC2)nn1 ZINC000873154506 589988561 /nfs/dbraw/zinc/98/85/61/589988561.db2.gz YRMCWTQAJXDOAI-CYBMUJFWSA-N 0 3 231.343 2.621 20 0 BFADHN CC(C)OC1(CNCc2ccncc2)CCC1 ZINC000873166662 589989358 /nfs/dbraw/zinc/98/93/58/589989358.db2.gz IOZYMOFCEDHWAW-UHFFFAOYSA-N 0 3 234.343 2.519 20 0 BFADHN CCCCCCNCc1c(C)noc1C ZINC000020253314 590092842 /nfs/dbraw/zinc/09/28/42/590092842.db2.gz GXAJJCKPBJDFTQ-UHFFFAOYSA-N 0 3 210.321 2.961 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NCc1ccc(C)cc1 ZINC000020349378 590101752 /nfs/dbraw/zinc/10/17/52/590101752.db2.gz GQKRQARDLMRAIV-LBPRGKRZSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccc(CNCCc2cnc(C)s2)o1 ZINC000125016624 590112668 /nfs/dbraw/zinc/11/26/68/590112668.db2.gz RGPAVZOTEGQHKO-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN CCC[C@@H](CC)C(=O)N[C@H](CN(C)C)C(C)C ZINC000874468578 590113397 /nfs/dbraw/zinc/11/33/97/590113397.db2.gz KYIYXUCPBJJSDT-CHWSQXEVSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1ccc(NC(=O)[C@@H]2C[C@H](C)CCN2)c(C)c1 ZINC000125990677 590202613 /nfs/dbraw/zinc/20/26/13/590202613.db2.gz GZYYGNYVACDYLI-RISCZKNCSA-N 0 3 246.354 2.630 20 0 BFADHN CC(C)CN1CCN(CC2(C)CCCC2)CC1 ZINC000876541533 590212097 /nfs/dbraw/zinc/21/20/97/590212097.db2.gz GRPASTJOGDOTSO-UHFFFAOYSA-N 0 3 238.419 2.840 20 0 BFADHN Cc1c(Cl)cccc1CN1CCC[C@H]1CO ZINC000876637363 590224889 /nfs/dbraw/zinc/22/48/89/590224889.db2.gz LYXQVNJQKQIPMK-LBPRGKRZSA-N 0 3 239.746 2.605 20 0 BFADHN CCN(Cc1cc2c(cc[nH]c2=O)o1)CC1CC1 ZINC000876732574 590234246 /nfs/dbraw/zinc/23/42/46/590234246.db2.gz ZOGNGDJSLNYAQZ-UHFFFAOYSA-N 0 3 246.310 2.765 20 0 BFADHN CN(C)c1ccc(F)cc1CN(C)C1CCC1 ZINC000876746455 590237053 /nfs/dbraw/zinc/23/70/53/590237053.db2.gz YBJOPUFUOLZKHK-UHFFFAOYSA-N 0 3 236.334 2.876 20 0 BFADHN CO[C@@H]1CCN(Cc2cccc(Cl)c2C)C1 ZINC000876751105 590238303 /nfs/dbraw/zinc/23/83/03/590238303.db2.gz UWRRBHRXMROIEL-GFCCVEGCSA-N 0 3 239.746 2.869 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cc2c(cc[nH]c2=O)o1 ZINC000876800745 590243129 /nfs/dbraw/zinc/24/31/29/590243129.db2.gz UTSYCUYARZHVAW-VHSXEESVSA-N 0 3 246.310 2.764 20 0 BFADHN CCc1cc(CNCCC(C)(C)SC)on1 ZINC000876874219 590252463 /nfs/dbraw/zinc/25/24/63/590252463.db2.gz AOODDRVUQMDEJL-UHFFFAOYSA-N 0 3 242.388 2.858 20 0 BFADHN Cc1csc(CN[C@@H](C)C2CCC2)n1 ZINC000126214790 590254243 /nfs/dbraw/zinc/25/42/43/590254243.db2.gz YJTIJNOBSPFXQG-VIFPVBQESA-N 0 3 210.346 2.730 20 0 BFADHN CCC[C@H](C)NCc1cc(OC)cnc1F ZINC000876894902 590254699 /nfs/dbraw/zinc/25/46/99/590254699.db2.gz YKJDTUQVGWBHHU-VIFPVBQESA-N 0 3 226.295 2.508 20 0 BFADHN C[C@H]1CCCC[C@@H]1NCc1ccc(Cl)nn1 ZINC000876895190 590254890 /nfs/dbraw/zinc/25/48/90/590254890.db2.gz WNQNHORLVSLPRA-ONGXEEELSA-N 0 3 239.750 2.798 20 0 BFADHN CC(C)(C)CCNCc1ccc(Cl)nn1 ZINC000876917431 590256866 /nfs/dbraw/zinc/25/68/66/590256866.db2.gz CXECZANPQJLUER-UHFFFAOYSA-N 0 3 227.739 2.656 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1nc(Cl)cs1 ZINC000876931511 590258203 /nfs/dbraw/zinc/25/82/03/590258203.db2.gz NWUZZNKEOWWAHK-RNFRBKRXSA-N 0 3 216.737 2.542 20 0 BFADHN CC/C=C(\F)C(=O)Nc1cc(C)cc(CN)c1 ZINC000876933293 590258797 /nfs/dbraw/zinc/25/87/97/590258797.db2.gz LHEYCGVEPFKHQJ-QCDXTXTGSA-N 0 3 236.290 2.656 20 0 BFADHN COc1cnc(F)c(CNC(C)(C)C2CC2)c1 ZINC000877043738 590273804 /nfs/dbraw/zinc/27/38/04/590273804.db2.gz NPCLDWFMSOVHAX-UHFFFAOYSA-N 0 3 238.306 2.508 20 0 BFADHN CN(C)c1ccc(F)cc1CNC1CC=CC1 ZINC000877094107 590281505 /nfs/dbraw/zinc/28/15/05/590281505.db2.gz MUWZPENMWLCSID-UHFFFAOYSA-N 0 3 234.318 2.700 20 0 BFADHN CS[C@@H](C)CNCc1cccc(Cl)c1N ZINC000877111253 590287355 /nfs/dbraw/zinc/28/73/55/590287355.db2.gz GTPPBNRFKZPFPK-QMMMGPOBSA-N 0 3 244.791 2.763 20 0 BFADHN CC(C)C[C@H](C)NCc1ccc(Cl)nn1 ZINC000877110870 590287426 /nfs/dbraw/zinc/28/74/26/590287426.db2.gz AVTRUHAORFRPKR-VIFPVBQESA-N 0 3 227.739 2.654 20 0 BFADHN CC(C)C1(CNCc2cccc(F)n2)CC1 ZINC000877115928 590288560 /nfs/dbraw/zinc/28/85/60/590288560.db2.gz WSABQZTXEZQIIX-UHFFFAOYSA-N 0 3 222.307 2.747 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccc[nH]1)C1CCCC1 ZINC000877124364 590289630 /nfs/dbraw/zinc/28/96/30/590289630.db2.gz NHIZJPCHTPVDPZ-FZMZJTMJSA-N 0 3 236.359 2.871 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccc[nH]1)[C@H]1CC1(F)F ZINC000877124887 590289695 /nfs/dbraw/zinc/28/96/95/590289695.db2.gz SJVXEDSCBJUHFR-HRDYMLBCSA-N 0 3 214.259 2.709 20 0 BFADHN CCCCCC[C@H](C)NC(=O)[C@H](CC)N(C)C ZINC000126368217 590293772 /nfs/dbraw/zinc/29/37/72/590293772.db2.gz XNZONPVSIJMJOP-STQMWFEESA-N 0 3 242.407 2.802 20 0 BFADHN CSC(C)(C)CCN1CCO[C@@H](C(C)C)C1 ZINC000877165864 590294783 /nfs/dbraw/zinc/29/47/83/590294783.db2.gz SDYBAYWBHMEOCT-GFCCVEGCSA-N 0 3 245.432 2.875 20 0 BFADHN Fc1ncccc1CNC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000877491191 590340325 /nfs/dbraw/zinc/34/03/25/590340325.db2.gz NSGHIMYUBHEIAC-WZRBSPASSA-N 0 3 234.318 2.747 20 0 BFADHN CC1(C)SC[C@H]1NC[C@@H]1CC1(Cl)Cl ZINC000877504708 590342820 /nfs/dbraw/zinc/34/28/20/590342820.db2.gz QHNUHDXYZYBJRR-NKWVEPMBSA-N 0 3 240.199 2.664 20 0 BFADHN COc1cnc(F)c(CNC2(C(C)C)CC2)c1 ZINC000877553054 590355819 /nfs/dbraw/zinc/35/58/19/590355819.db2.gz YXERHPNLFIZYGJ-UHFFFAOYSA-N 0 3 238.306 2.508 20 0 BFADHN CCC[C@H](NC(CF)CF)C1CCC1 ZINC000877555880 590356408 /nfs/dbraw/zinc/35/64/08/590356408.db2.gz FVYYJSUKGPEVQB-NSHDSACASA-N 0 3 205.292 2.852 20 0 BFADHN CC(C)(CN1CC2(CC2)C1)Oc1ccccc1 ZINC000877557241 590358175 /nfs/dbraw/zinc/35/81/75/590358175.db2.gz PIHBQOIIRGDDFM-UHFFFAOYSA-N 0 3 231.339 2.940 20 0 BFADHN Cc1nocc1CN[C@@H]1CC[C@H]1C(C)C ZINC000877564103 590360497 /nfs/dbraw/zinc/36/04/97/590360497.db2.gz YKBHPMSEFQNDFG-NWDGAFQWSA-N 0 3 208.305 2.507 20 0 BFADHN CC/C=C\CNC[C@H]1CC1(Cl)Cl ZINC000877564129 590361055 /nfs/dbraw/zinc/36/10/55/590361055.db2.gz ZHBFZQPUTWVXIM-TUUFMJSCSA-N 0 3 208.132 2.736 20 0 BFADHN Cc1ccncc1CNC[C@H]1CC1(Cl)Cl ZINC000877567501 590361655 /nfs/dbraw/zinc/36/16/55/590361655.db2.gz MPFWJXKNTUBKEQ-SNVBAGLBSA-N 0 3 245.153 2.673 20 0 BFADHN CCc1ncc(CNCCC(C)(C)SC)o1 ZINC000877572251 590363170 /nfs/dbraw/zinc/36/31/70/590363170.db2.gz FYUIKXUNNXIVPS-UHFFFAOYSA-N 0 3 242.388 2.858 20 0 BFADHN COc1cnc(F)c(CN[C@H](C)C(C)(C)C)c1 ZINC000877614692 590373949 /nfs/dbraw/zinc/37/39/49/590373949.db2.gz LDUZNZCSHRTDGG-SECBINFHSA-N 0 3 240.322 2.754 20 0 BFADHN C[C@H]1C[C@@H](NCCC(C)(C)C2CC2)c2ncnn21 ZINC000877623630 590376821 /nfs/dbraw/zinc/37/68/21/590376821.db2.gz VIUPPUUMHAZLBL-CMPLNLGQSA-N 0 3 248.374 2.700 20 0 BFADHN CC[C@H](C)CCNCc1cc(OC)cnc1F ZINC000877624292 590377086 /nfs/dbraw/zinc/37/70/86/590377086.db2.gz MKDQEJJUONPQAR-JTQLQIEISA-N 0 3 240.322 2.755 20 0 BFADHN COc1cccc(C)c1CN[C@H]1C=CCC1 ZINC000877624174 590377343 /nfs/dbraw/zinc/37/73/43/590377343.db2.gz MAMIAYOVHDCEFV-LBPRGKRZSA-N 0 3 217.312 2.812 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2C[C@@H](O)c3ccccc32)CS1 ZINC000877629534 590377982 /nfs/dbraw/zinc/37/79/82/590377982.db2.gz URMCBHBMMLNLFV-XXSPCDMZSA-N 0 3 249.379 2.648 20 0 BFADHN Nc1c(Cl)cccc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000877634868 590379526 /nfs/dbraw/zinc/37/95/26/590379526.db2.gz YHDJICBTOZCPSS-DDFAGTSDSA-N 0 3 236.746 2.810 20 0 BFADHN CC1CC(CNCc2nc(Cl)cs2)C1 ZINC000877646606 590384494 /nfs/dbraw/zinc/38/44/94/590384494.db2.gz LDUIYMGXLKPSLG-UHFFFAOYSA-N 0 3 230.764 2.932 20 0 BFADHN CSC(C)(C)CCN1CCO[C@H](CCF)C1 ZINC000877657617 590386101 /nfs/dbraw/zinc/38/61/01/590386101.db2.gz LKRRDOUIBAOHEW-LLVKDONJSA-N 0 3 249.395 2.579 20 0 BFADHN Clc1ccc(CN[C@H]2CCCC23CC3)nn1 ZINC000877664576 590388213 /nfs/dbraw/zinc/38/82/13/590388213.db2.gz TZNSCOLKPQTDIO-JTQLQIEISA-N 0 3 237.734 2.552 20 0 BFADHN Fc1cc(CN[C@@]23C[C@@H]2CCCC3)c(F)cn1 ZINC000877686799 590391851 /nfs/dbraw/zinc/39/18/51/590391851.db2.gz YCZMGUPCVWZNIV-GWCFXTLKSA-N 0 3 238.281 2.782 20 0 BFADHN CS[C@H]1C[C@H](NCc2cnc(C3CC3)o2)C1 ZINC000877700234 590394168 /nfs/dbraw/zinc/39/41/68/590394168.db2.gz JOQYVENVOHSDLS-HOMQSWHASA-N 0 3 238.356 2.536 20 0 BFADHN c1ncc(CN2CCC[C@@H](C3CCC3)CC2)cn1 ZINC000877704563 590396953 /nfs/dbraw/zinc/39/69/53/590396953.db2.gz QUQWQPZWIMWZCM-OAHLLOKOSA-N 0 3 245.370 2.879 20 0 BFADHN c1cnc(CN2CCC[C@H](C3CCC3)CC2)cn1 ZINC000877703753 590397802 /nfs/dbraw/zinc/39/78/02/590397802.db2.gz SJXNCRDYCVQGON-AWEZNQCLSA-N 0 3 245.370 2.879 20 0 BFADHN Fc1cc(CN2C[C@@H]3CC=CC[C@@H]3C2)ccn1 ZINC000877718790 590399631 /nfs/dbraw/zinc/39/96/31/590399631.db2.gz TXVFEAAWGLNIRI-BETUJISGSA-N 0 3 232.302 2.619 20 0 BFADHN Cc1cc(CN2C[C@@H]3CC=CC[C@@H]3C2)sn1 ZINC000877718939 590400208 /nfs/dbraw/zinc/40/02/08/590400208.db2.gz ZBHUYDDKGPIHBK-TXEJJXNPSA-N 0 3 234.368 2.850 20 0 BFADHN Cc1cnccc1CN1CC[C@H]2CSC[C@H]2C1 ZINC000877738445 590404477 /nfs/dbraw/zinc/40/44/77/590404477.db2.gz NYIZILJQOMRWEX-UONOGXRCSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1ccc(CN2CC[C@H]3CSC[C@@H]3C2)nc1 ZINC000877737101 590405526 /nfs/dbraw/zinc/40/55/26/590405526.db2.gz CRJGMDWQVXDMKV-STQMWFEESA-N 0 3 248.395 2.575 20 0 BFADHN Cc1ccc(CN2CC[C@H]3CSC[C@H]3C2)cn1 ZINC000877737423 590405746 /nfs/dbraw/zinc/40/57/46/590405746.db2.gz IXQUHGFNQHMUBV-UONOGXRCSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1ccc(CN2CC[C@H]3CSC[C@@H]3C2)o1 ZINC000877737067 590405808 /nfs/dbraw/zinc/40/58/08/590405808.db2.gz CIPXYUXJKTWEDZ-RYUDHWBXSA-N 0 3 237.368 2.773 20 0 BFADHN CC1(C)C[C@H](O)CN(C/C=C\c2ccccc2)C1 ZINC000877752697 590415846 /nfs/dbraw/zinc/41/58/46/590415846.db2.gz IDHHMWSHLUNRGH-AJHXJQRKSA-N 0 3 245.366 2.793 20 0 BFADHN Cc1occc1CN1CCC(C(C)(C)O)CC1 ZINC000877751718 590415942 /nfs/dbraw/zinc/41/59/42/590415942.db2.gz HAMTZFNLESDDTD-UHFFFAOYSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1cc(CN2C[C@H](O)CC(C)(C)C2)cs1 ZINC000877752805 590416192 /nfs/dbraw/zinc/41/61/92/590416192.db2.gz SRSDIXHANZZFML-GFCCVEGCSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1noc2cc(F)ccc12 ZINC000877761686 590419157 /nfs/dbraw/zinc/41/91/57/590419157.db2.gz MRACYFVEDRROBO-BDAKNGLRSA-N 0 3 234.274 2.713 20 0 BFADHN CC(C)CN1CC[C@H](C(F)(F)F)O[C@@H](C)C1 ZINC000877823579 590427012 /nfs/dbraw/zinc/42/70/12/590427012.db2.gz MKFZZFMMXLXAFH-VHSXEESVSA-N 0 3 239.281 2.684 20 0 BFADHN CC(C)CN1CC[C@@H](C(F)(F)F)O[C@@H](C)C1 ZINC000877823577 590428418 /nfs/dbraw/zinc/42/84/18/590428418.db2.gz MKFZZFMMXLXAFH-UWVGGRQHSA-N 0 3 239.281 2.684 20 0 BFADHN CC1=CC[C@@H](N[C@@H](C)c2nccnc2C)CC1 ZINC000877827961 590429485 /nfs/dbraw/zinc/42/94/85/590429485.db2.gz AWRYBEQMFGVKIJ-QWHCGFSZSA-N 0 3 231.343 2.934 20 0 BFADHN CC1=CC[C@@H](N[C@H](C)c2nccnc2C)CC1 ZINC000877827959 590429604 /nfs/dbraw/zinc/42/96/04/590429604.db2.gz AWRYBEQMFGVKIJ-CHWSQXEVSA-N 0 3 231.343 2.934 20 0 BFADHN CC1(NC[C@H]2CC2(Cl)Cl)CC(F)(F)C1 ZINC000877834588 590430759 /nfs/dbraw/zinc/43/07/59/590430759.db2.gz UCQWHGNUDIYZDY-ZCFIWIBFSA-N 0 3 244.112 2.958 20 0 BFADHN Cc1ccccc1CN(C)CC[C@H](O)C(C)C ZINC000877899691 590442955 /nfs/dbraw/zinc/44/29/55/590442955.db2.gz DJHFCPARACYQRV-HNNXBMFYSA-N 0 3 235.371 2.834 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1CC1CC2(CCC2)C1 ZINC000877920557 590447157 /nfs/dbraw/zinc/44/71/57/590447157.db2.gz QLAXDTOFSJGNRF-NEPJUHHUSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@H]1CCCN(CCCOC(F)(F)F)C1 ZINC000877934612 590450730 /nfs/dbraw/zinc/45/07/30/590450730.db2.gz JYFNBCBSVBSSBK-VIFPVBQESA-N 0 3 225.254 2.645 20 0 BFADHN CC[C@@H](C(=O)Nc1ccc(C)cc1)N1CCCC1 ZINC000877935773 590451914 /nfs/dbraw/zinc/45/19/14/590451914.db2.gz VPVPXPLBLZWBIY-AWEZNQCLSA-N 0 3 246.354 2.808 20 0 BFADHN CC(C)NC(=O)CN(C)C[C@@H](C)CC(C)(C)C ZINC000877941817 590453710 /nfs/dbraw/zinc/45/37/10/590453710.db2.gz ZOTICXKDRPCFFS-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN C(CC1CC1)CN1CCN(C2CCCC2)CC1 ZINC000877952875 590455337 /nfs/dbraw/zinc/45/53/37/590455337.db2.gz ALHCSDOIFXAYSH-UHFFFAOYSA-N 0 3 236.403 2.737 20 0 BFADHN C=C/C=C\CCN(CCOC)Cc1ccco1 ZINC000877957101 590457570 /nfs/dbraw/zinc/45/75/70/590457570.db2.gz GNQRECLNCIIOKG-PLNGDYQASA-N 0 3 235.327 2.860 20 0 BFADHN CC(C)[C@H](O)CCN(Cc1ccco1)C(C)C ZINC000878002554 590469914 /nfs/dbraw/zinc/46/99/14/590469914.db2.gz ZWEXAEGYCPWOEQ-CQSZACIVSA-N 0 3 239.359 2.897 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1ccc(Cl)nc1 ZINC000126870933 590478274 /nfs/dbraw/zinc/47/82/74/590478274.db2.gz VIHPVXZHZNODLB-MWLCHTKSSA-N 0 3 242.750 2.547 20 0 BFADHN C=C/C=C/CCN1C[C@H](C)O[C@@H](CC)C1 ZINC000878093623 590487740 /nfs/dbraw/zinc/48/77/40/590487740.db2.gz IHISOWJWQJRPIE-XKZLPGLHSA-N 0 3 209.333 2.618 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1ccc(Cl)nc1 ZINC000126882663 590489478 /nfs/dbraw/zinc/48/94/78/590489478.db2.gz BEAPGSVVBMXUMB-VIFPVBQESA-N 0 3 242.750 2.811 20 0 BFADHN Cc1conc1CN1CCCCC[C@H]1C ZINC000878106945 590496450 /nfs/dbraw/zinc/49/64/50/590496450.db2.gz SFNORAYRVRFQRD-LLVKDONJSA-N 0 3 208.305 2.748 20 0 BFADHN CC(C)[C@@H](O)CCN1CCC[C@@H]1c1ccccn1 ZINC000878177469 590515881 /nfs/dbraw/zinc/51/58/81/590515881.db2.gz WKPUDNQSKCDUMX-CABCVRRESA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@H](NCCCF)c1ccnc(Cl)c1 ZINC000126974618 590525647 /nfs/dbraw/zinc/52/56/47/590525647.db2.gz FIPZALVEYIVMHX-MRVPVSSYSA-N 0 3 216.687 2.745 20 0 BFADHN C[C@@H](CN(C)Cc1cn[nH]c1)CC(C)(C)C ZINC000878263429 590530710 /nfs/dbraw/zinc/53/07/10/590530710.db2.gz KIQBUOJJFJDBLS-LLVKDONJSA-N 0 3 223.364 2.914 20 0 BFADHN C(C1CC2(CCC2)C1)N1CCOCC12CCC2 ZINC000878289720 590535055 /nfs/dbraw/zinc/53/50/55/590535055.db2.gz CRNYEEFCVYEBDP-UHFFFAOYSA-N 0 3 235.371 2.822 20 0 BFADHN COc1ccc(CN(C)CCCC2CC2)cn1 ZINC000878291271 590535176 /nfs/dbraw/zinc/53/51/76/590535176.db2.gz ZKBJNOCXHVLESN-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2C[C@H]1CC2(CCC2)CO1 ZINC000878295227 590536168 /nfs/dbraw/zinc/53/61/68/590536168.db2.gz XGUKFKBGSXYCQK-BFHYXJOUSA-N 0 3 233.355 2.739 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2C[C@@H]1CCC2(CCC2)O1 ZINC000878294764 590536568 /nfs/dbraw/zinc/53/65/68/590536568.db2.gz ORQIPVNZTTXAAP-MJBXVCDLSA-N 0 3 233.355 2.881 20 0 BFADHN CC1=CCN(C[C@@H]2C[C@H]3CCC[C@H]3O2)CC1 ZINC000878300535 590537853 /nfs/dbraw/zinc/53/78/53/590537853.db2.gz KGLPIEFROMMPQV-HZSPNIEDSA-N 0 3 221.344 2.596 20 0 BFADHN CO[C@H]1C[C@@H](CN2CC=C(C(F)(F)F)CC2)C1 ZINC000878303224 590538275 /nfs/dbraw/zinc/53/82/75/590538275.db2.gz KEFOCXDHZBSTRG-JGZJWPJOSA-N 0 3 249.276 2.606 20 0 BFADHN C=C/C=C\CCN1CCO[C@H](CCC)C1 ZINC000878306632 590539633 /nfs/dbraw/zinc/53/96/33/590539633.db2.gz COFBADFASUTPNV-CFHLNLSMSA-N 0 3 209.333 2.620 20 0 BFADHN CC(C)[C@@H](O)CCN1CCC[C@H]1c1ccncc1 ZINC000878310710 590539777 /nfs/dbraw/zinc/53/97/77/590539777.db2.gz PLURMCMTSRAZEC-GJZGRUSLSA-N 0 3 248.370 2.626 20 0 BFADHN CC(C)[C@@H](O)CCN1CCC[C@@H]1c1cccnc1 ZINC000878308954 590539881 /nfs/dbraw/zinc/53/98/81/590539881.db2.gz JPKISWSNBPYUEZ-CABCVRRESA-N 0 3 248.370 2.626 20 0 BFADHN C=C/C=C/CCN1CCOC[C@]1(C)CC ZINC000878318294 590544348 /nfs/dbraw/zinc/54/43/48/590544348.db2.gz RBOWKACUEDGXHW-YBJDMEARSA-N 0 3 209.333 2.620 20 0 BFADHN C=C/C=C\CCN1CC2(C1)CC(F)(F)C2 ZINC000878320504 590545315 /nfs/dbraw/zinc/54/53/15/590545315.db2.gz NXOMMJDAKBSZQL-ARJAWSKDSA-N 0 3 213.271 2.850 20 0 BFADHN C[C@H](CN(C)[C@@H](C)C[S@@](C)=O)CC(C)(C)C ZINC000878405709 590561350 /nfs/dbraw/zinc/56/13/50/590561350.db2.gz IVXNETJVRURQTL-MQIPJXDCSA-N 0 3 247.448 2.758 20 0 BFADHN C[C@@H](NC[C@@H]1CC2(CCC2)CO1)c1cccnc1 ZINC000878346075 590553326 /nfs/dbraw/zinc/55/33/26/590553326.db2.gz CPGXDPBLJPHRMY-OCCSQVGLSA-N 0 3 246.354 2.691 20 0 BFADHN CCN(C#N)CCN[C@@](C)(CC)c1ccccc1 ZINC000878362581 590554506 /nfs/dbraw/zinc/55/45/06/590554506.db2.gz WXRPHIYJWHLHII-HNNXBMFYSA-N 0 3 245.370 2.704 20 0 BFADHN Cc1cc(C)c(CN2CCOCC[C@H]2C)c(C)n1 ZINC000878372926 590555569 /nfs/dbraw/zinc/55/55/69/590555569.db2.gz GXYOWWSMOCLQIH-CYBMUJFWSA-N 0 3 248.370 2.618 20 0 BFADHN FC(F)O[C@H]1CCCN(CCCC2CC2)C1 ZINC000878394466 590558462 /nfs/dbraw/zinc/55/84/62/590558462.db2.gz XBZMILDCVBZPAN-NSHDSACASA-N 0 3 233.302 2.880 20 0 BFADHN C=C/C=C/CCN[C@@H](CO)c1ccc(F)cc1 ZINC000878395404 590560051 /nfs/dbraw/zinc/56/00/51/590560051.db2.gz COEJTQWBLWTDOF-XGACYXMMSA-N 0 3 235.302 2.581 20 0 BFADHN C[C@@H](NC[C@H]1CC2(CCC2)CO1)c1ccccn1 ZINC000878400342 590560057 /nfs/dbraw/zinc/56/00/57/590560057.db2.gz BXIHKOQIGABWSU-CHWSQXEVSA-N 0 3 246.354 2.691 20 0 BFADHN C=C/C=C/CCN[C@@H](COC)c1ccco1 ZINC000878409957 590561932 /nfs/dbraw/zinc/56/19/32/590561932.db2.gz PTAJCAMNEATBCF-ITKZLYELSA-N 0 3 221.300 2.689 20 0 BFADHN C=C/C=C\CCN[C@H](CO)c1ccsc1 ZINC000878442563 590568000 /nfs/dbraw/zinc/56/80/00/590568000.db2.gz NWNSWSGKMCJNIS-VSQXVHSFSA-N 0 3 223.341 2.503 20 0 BFADHN Cc1conc1CN(C)[C@@H]1CCCC[C@@H]1C ZINC000878474736 590574446 /nfs/dbraw/zinc/57/44/46/590574446.db2.gz DXUZWMDDTWJZGZ-GXFFZTMASA-N 0 3 222.332 2.994 20 0 BFADHN C=C/C=C\CCN(C)Cc1cnccc1C ZINC000878540731 590589264 /nfs/dbraw/zinc/58/92/64/590589264.db2.gz ZOLNSWIRMPVRLE-WAYWQWQTSA-N 0 3 216.328 2.954 20 0 BFADHN C=C/C=C/CCN(C)Cc1cnccc1C ZINC000878540730 590589520 /nfs/dbraw/zinc/58/95/20/590589520.db2.gz ZOLNSWIRMPVRLE-AATRIKPKSA-N 0 3 216.328 2.954 20 0 BFADHN CC1=CCCN(C[C@H]2CCC(C)(C)CO2)C1 ZINC000878548702 590590910 /nfs/dbraw/zinc/59/09/10/590590910.db2.gz PIJYUFUJNQPJGU-CYBMUJFWSA-N 0 3 223.360 2.844 20 0 BFADHN CCc1nocc1CN(C)CCCC1CC1 ZINC000878651728 590602359 /nfs/dbraw/zinc/60/23/59/590602359.db2.gz FVAQSHKDLAJPAG-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN Cc1conc1CN1[C@H](C)CCC1(C)C ZINC000878691010 590610301 /nfs/dbraw/zinc/61/03/01/590610301.db2.gz UOCRPZPTTIBMRX-SNVBAGLBSA-N 0 3 208.305 2.746 20 0 BFADHN CCN(CC[C@H](O)C(C)C)Cc1occc1C ZINC000878710418 590613911 /nfs/dbraw/zinc/61/39/11/590613911.db2.gz CWTAPZJDCXPRCJ-ZDUSSCGKSA-N 0 3 239.359 2.817 20 0 BFADHN C=C/C=C\CCN1CC2(CCC2)OC[C@@H]1C ZINC000878918224 590643202 /nfs/dbraw/zinc/64/32/02/590643202.db2.gz JIPUAFLAWQZOIK-ZFDPJTLLSA-N 0 3 221.344 2.762 20 0 BFADHN Cn1cc(C2=CCCN(CCCC3CC3)C2)cn1 ZINC000878909034 590644507 /nfs/dbraw/zinc/64/45/07/590644507.db2.gz IFRNLZLHRYKCEM-UHFFFAOYSA-N 0 3 245.370 2.699 20 0 BFADHN C=C/C=C/CCN1CCC=C(c2cnn(C)c2)C1 ZINC000878909324 590645850 /nfs/dbraw/zinc/64/58/50/590645850.db2.gz RYPDUSDEOXYZLT-SNAWJCMRSA-N 0 3 243.354 2.642 20 0 BFADHN CC[C@@H](C)N1CCc2cccc(NC(C)=O)c2C1 ZINC000879237883 590720221 /nfs/dbraw/zinc/72/02/21/590720221.db2.gz QVNHZCFETTUVBP-LLVKDONJSA-N 0 3 246.354 2.802 20 0 BFADHN COc1cccc(CN2C[C@H](OC)CC[C@@H]2C)c1 ZINC000879318336 590738254 /nfs/dbraw/zinc/73/82/54/590738254.db2.gz ULTAVYOLOIUQTK-SWLSCSKDSA-N 0 3 249.354 2.695 20 0 BFADHN CCC(CC)(CO)NCc1cc(C)oc1C ZINC000127660261 590744772 /nfs/dbraw/zinc/74/47/72/590744772.db2.gz XRTHDLWFNJELTH-UHFFFAOYSA-N 0 3 225.332 2.537 20 0 BFADHN CC[C@H](O)CCCNCc1cc(C)oc1C ZINC000127694539 590754902 /nfs/dbraw/zinc/75/49/02/590754902.db2.gz MMWQDIYLQZACGP-ZDUSSCGKSA-N 0 3 225.332 2.537 20 0 BFADHN CC1(F)CCN(C[C@H]2CCC3(CCC3)O2)CC1 ZINC000879384202 590758119 /nfs/dbraw/zinc/75/81/19/590758119.db2.gz WMWKXYDUUFLXKC-GFCCVEGCSA-N 0 3 241.350 2.912 20 0 BFADHN CC1(F)CCN(C[C@H]2CC3(CCC3)CO2)CC1 ZINC000879383323 590758568 /nfs/dbraw/zinc/75/85/68/590758568.db2.gz HUYMDNYDERIBGQ-GFCCVEGCSA-N 0 3 241.350 2.770 20 0 BFADHN CC[C@H](C)N1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000879499727 590783404 /nfs/dbraw/zinc/78/34/04/590783404.db2.gz CGHVBPRDPJDTDH-GXTWGEPZSA-N 0 3 245.370 2.665 20 0 BFADHN Cc1cncc([C@@H]2CCCN2C[C@H]2CCCO2)c1 ZINC000879748689 590861636 /nfs/dbraw/zinc/86/16/36/590861636.db2.gz MPWSYLSQLOPQAV-CABCVRRESA-N 0 3 246.354 2.706 20 0 BFADHN Cc1cncc([C@@H]2CCCN2CCC[C@@H](C)O)c1 ZINC000879751432 590862937 /nfs/dbraw/zinc/86/29/37/590862937.db2.gz IOXIHTPDKMHSKZ-HIFRSBDPSA-N 0 3 248.370 2.688 20 0 BFADHN Cc1cncc([C@@H]2CCCN2CC2(C)COC2)c1 ZINC000879750238 590863514 /nfs/dbraw/zinc/86/35/14/590863514.db2.gz OGEWKQRYYUOSDB-AWEZNQCLSA-N 0 3 246.354 2.563 20 0 BFADHN C[C@H](c1ccncc1)N1CCC[C@@H](C(C)(C)O)C1 ZINC000879961759 590899053 /nfs/dbraw/zinc/89/90/53/590899053.db2.gz HSWTTXSFQINPDP-TZMCWYRMSA-N 0 3 248.370 2.626 20 0 BFADHN FCCN1CC[C@@]2(CCc3ccccc32)C1 ZINC000879998158 590903331 /nfs/dbraw/zinc/90/33/31/590903331.db2.gz MNQBYLCLEPQFQR-AWEZNQCLSA-N 0 3 219.303 2.546 20 0 BFADHN CCCCN1CC[C@H](C(F)(F)F)O[C@H](C)C1 ZINC000880059142 590915661 /nfs/dbraw/zinc/91/56/61/590915661.db2.gz HDJLKNJMEYGKFE-NXEZZACHSA-N 0 3 239.281 2.828 20 0 BFADHN CC[C@H](C)N1CC[C@@H](C(F)(F)F)O[C@H](C)C1 ZINC000880067369 590916526 /nfs/dbraw/zinc/91/65/26/590916526.db2.gz RTQYNKQCRIQJIA-AEJSXWLSSA-N 0 3 239.281 2.827 20 0 BFADHN CCC1(CC)CCCN1Cc1ccnc(OC)n1 ZINC000880093750 590923787 /nfs/dbraw/zinc/92/37/87/590923787.db2.gz IQQYEMHIOKNTQH-UHFFFAOYSA-N 0 3 249.358 2.640 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](CF)C2)s1 ZINC000880232088 590962366 /nfs/dbraw/zinc/96/23/66/590962366.db2.gz GLPWMZYGWVHCCP-JTQLQIEISA-N 0 3 228.336 2.633 20 0 BFADHN C[C@H](c1ccncc1)N1CCC[C@@H](CF)C1 ZINC000880231945 590963789 /nfs/dbraw/zinc/96/37/89/590963789.db2.gz BXZNBMXMGAEVDQ-NEPJUHHUSA-N 0 3 222.307 2.824 20 0 BFADHN CCc1noc(C)c1CN1CCC[C@H](CF)C1 ZINC000880233546 590964530 /nfs/dbraw/zinc/96/45/30/590964530.db2.gz LVHNQEFZFSYIII-LLVKDONJSA-N 0 3 240.322 2.727 20 0 BFADHN Cc1cncc(CN2CCC[C@H](CF)C2)c1 ZINC000880237117 590967567 /nfs/dbraw/zinc/96/75/67/590967567.db2.gz QGCKNBCMXAHWOE-GFCCVEGCSA-N 0 3 222.307 2.572 20 0 BFADHN FC1CCN(CCOCc2ccccc2)CC1 ZINC000880238801 590971165 /nfs/dbraw/zinc/97/11/65/590971165.db2.gz LGGXNQBJBXLLTR-UHFFFAOYSA-N 0 3 237.318 2.637 20 0 BFADHN FC1CCN(CCc2ccc3c(c2)CCO3)CC1 ZINC000880242386 590975135 /nfs/dbraw/zinc/97/51/35/590975135.db2.gz XOCMSLUDBPBSIP-UHFFFAOYSA-N 0 3 249.329 2.598 20 0 BFADHN C[C@H](c1cccnc1)N1CCC(F)CC1 ZINC000880241354 590975442 /nfs/dbraw/zinc/97/54/42/590975442.db2.gz ALMLFMVQXTUYCF-SNVBAGLBSA-N 0 3 208.280 2.577 20 0 BFADHN CN(C)c1ccccc1CN1CCC(F)CC1 ZINC000880242330 590975864 /nfs/dbraw/zinc/97/58/64/590975864.db2.gz UVLBAEVMFHQBFZ-UHFFFAOYSA-N 0 3 236.334 2.687 20 0 BFADHN FC1CCN(C[C@@H]2CC2(Cl)Cl)CC1 ZINC000880245024 590977646 /nfs/dbraw/zinc/97/76/46/590977646.db2.gz LUERRCLEFRCGDQ-ZETCQYMHSA-N 0 3 226.122 2.614 20 0 BFADHN Cc1ccccc1OCCN1CC[C@@H](CF)C1 ZINC000880290639 590985290 /nfs/dbraw/zinc/98/52/90/590985290.db2.gz AFMLRHHPAHMYRC-ZDUSSCGKSA-N 0 3 237.318 2.665 20 0 BFADHN FC[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC000880291305 590986231 /nfs/dbraw/zinc/98/62/31/590986231.db2.gz LABKXXOHQURCMC-LLVKDONJSA-N 0 3 211.255 2.617 20 0 BFADHN CCOc1ccc(CN2CC[C@H](CF)C2)cc1 ZINC000880292780 590987165 /nfs/dbraw/zinc/98/71/65/590987165.db2.gz VOJIVEXHTMMXDG-CYBMUJFWSA-N 0 3 237.318 2.877 20 0 BFADHN FC[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC000880292581 590987284 /nfs/dbraw/zinc/98/72/84/590987284.db2.gz RNWFLZHWYGLTFP-NSHDSACASA-N 0 3 211.255 2.617 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@H](CF)C1 ZINC000880298425 590992545 /nfs/dbraw/zinc/99/25/45/590992545.db2.gz APLMNWGKBWXQRJ-LLVKDONJSA-N 0 3 225.282 2.926 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@H](CF)C2)c1 ZINC000880299118 590993586 /nfs/dbraw/zinc/99/35/86/590993586.db2.gz CXECDLURHUQFSO-LLVKDONJSA-N 0 3 225.282 2.926 20 0 BFADHN FC[C@@H]1CCN(Cc2ccc3c(c2)COC3)C1 ZINC000880299913 590995060 /nfs/dbraw/zinc/99/50/60/590995060.db2.gz ZZXYVWKVIDYJDB-LBPRGKRZSA-N 0 3 235.302 2.508 20 0 BFADHN CCC[C@@H](C)N1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880307660 590999848 /nfs/dbraw/zinc/99/98/48/590999848.db2.gz SCRJHSYSIJGFFV-ZJUUUORDSA-N 0 3 239.281 2.828 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cccc(Cl)n2)C1 ZINC000128365582 591011340 /nfs/dbraw/zinc/01/13/40/591011340.db2.gz WUAVJSIPGZVQRQ-UWVGGRQHSA-N 0 3 224.735 2.965 20 0 BFADHN C[C@@H]1c2sccc2CCN1CC1(C)COC1 ZINC000880375330 591016243 /nfs/dbraw/zinc/01/62/43/591016243.db2.gz DDZGXDKEWHKXSV-SNVBAGLBSA-N 0 3 237.368 2.704 20 0 BFADHN CCOCCN1CCc2ccsc2[C@H]1C ZINC000880375963 591016333 /nfs/dbraw/zinc/01/63/33/591016333.db2.gz APZFNXUQQWQNRF-SNVBAGLBSA-N 0 3 225.357 2.704 20 0 BFADHN C[C@@H]1c2sccc2CCN1C[C@H]1CCCO1 ZINC000880378179 591017730 /nfs/dbraw/zinc/01/77/30/591017730.db2.gz PMGJMJIZMWUPRC-ZYHUDNBSSA-N 0 3 237.368 2.846 20 0 BFADHN Cc1ncccc1CN[C@@H]1CCC[C@]12CCCO2 ZINC000880500829 591077205 /nfs/dbraw/zinc/07/72/05/591077205.db2.gz CDERTWWHTHBGLX-CABCVRRESA-N 0 3 246.354 2.581 20 0 BFADHN Cc1cccc(CN[C@@H]2CCC[C@]23CCCO3)n1 ZINC000880503276 591078408 /nfs/dbraw/zinc/07/84/08/591078408.db2.gz OJMBUKFKDIEFML-CABCVRRESA-N 0 3 246.354 2.581 20 0 BFADHN c1ncc2c(n1)CCN(CCCC1CCCC1)C2 ZINC000880595270 591104962 /nfs/dbraw/zinc/10/49/62/591104962.db2.gz PLRBOVOJCHIFAS-UHFFFAOYSA-N 0 3 245.370 2.805 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCc3ncncc3C2)C1 ZINC000880597137 591106765 /nfs/dbraw/zinc/10/67/65/591106765.db2.gz OWZPOHOVUKTDAI-CHWSQXEVSA-N 0 3 245.370 2.661 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CCc2ncncc2C1 ZINC000880598791 591107506 /nfs/dbraw/zinc/10/75/06/591107506.db2.gz XNVGYPLXGROTFI-OLZOCXBDSA-N 0 3 245.370 2.661 20 0 BFADHN C[C@@H](CN1CCc2ncncc2C1)C(C)(C)C ZINC000880598670 591108763 /nfs/dbraw/zinc/10/87/63/591108763.db2.gz VGNNGOYAGMSIER-NSHDSACASA-N 0 3 233.359 2.517 20 0 BFADHN C[C@@H](NC1CC1)c1cnn(-c2ccccc2)c1 ZINC000129487128 591312637 /nfs/dbraw/zinc/31/26/37/591312637.db2.gz CBDOIZGETRXUBQ-LLVKDONJSA-N 0 3 227.311 2.685 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2ccn(C)n2)CC1 ZINC000213150865 591450309 /nfs/dbraw/zinc/45/03/09/591450309.db2.gz HTZXASOORJVZSD-STQMWFEESA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)c1c[nH]c(CNCCc2cccs2)n1 ZINC000882880048 591461942 /nfs/dbraw/zinc/46/19/42/591461942.db2.gz ZOGZWPPCEGYDRW-UHFFFAOYSA-N 0 3 249.383 2.927 20 0 BFADHN Cc1ccc([C@@H](C)NCc2ccncc2N)s1 ZINC000882918253 591474880 /nfs/dbraw/zinc/47/48/80/591474880.db2.gz GWKBSECQXWRSTL-SNVBAGLBSA-N 0 3 247.367 2.885 20 0 BFADHN CC(C)C[C@H]1CCCCN1Cc1cncnc1 ZINC000130587517 591484724 /nfs/dbraw/zinc/48/47/24/591484724.db2.gz NHFZTMMTQSLDBT-CQSZACIVSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1cc(OCC[C@@H](O)C(C)C)c(C)c(C)n1 ZINC000882992640 591498127 /nfs/dbraw/zinc/49/81/27/591498127.db2.gz ANPDHEPNWRSDMJ-CYBMUJFWSA-N 0 3 237.343 2.793 20 0 BFADHN C[C@H](N[C@H]1C=CCCC1)c1cncs1 ZINC000130726498 591511755 /nfs/dbraw/zinc/51/17/55/591511755.db2.gz WBTAMDALUOTRQP-UWVGGRQHSA-N 0 3 208.330 2.902 20 0 BFADHN CCC1(CNCc2nc(C(C)C)c[nH]2)CC1 ZINC000883029759 591519124 /nfs/dbraw/zinc/51/91/24/591519124.db2.gz HGXZOXACISXHLI-UHFFFAOYSA-N 0 3 221.348 2.813 20 0 BFADHN CC(C)c1c[nH]c(CNC23CCC(CC2)C3)n1 ZINC000883087041 591537876 /nfs/dbraw/zinc/53/78/76/591537876.db2.gz LZXNRTMCBFJGMX-UHFFFAOYSA-N 0 3 233.359 2.955 20 0 BFADHN Cc1ccc(CNCC(C)C)c(Cl)n1 ZINC000883291410 591607724 /nfs/dbraw/zinc/60/77/24/591607724.db2.gz DFHVXLDWDAJODS-UHFFFAOYSA-N 0 3 212.724 2.789 20 0 BFADHN CC(C)c1c[nH]c(CNCCCc2ccco2)n1 ZINC000883180390 591564015 /nfs/dbraw/zinc/56/40/15/591564015.db2.gz BNUDFVFVPKCPBG-UHFFFAOYSA-N 0 3 247.342 2.849 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CCCC3(CC3)C2)[n-]1 ZINC000883209029 591574257 /nfs/dbraw/zinc/57/42/57/591574257.db2.gz UBMAYECUJDEMAW-WDEREUQCSA-N 0 3 248.374 2.741 20 0 BFADHN CCc1nnc([C@H](C)N[C@@H]2CCCC3(CC3)C2)[nH]1 ZINC000883209029 591574260 /nfs/dbraw/zinc/57/42/60/591574260.db2.gz UBMAYECUJDEMAW-WDEREUQCSA-N 0 3 248.374 2.741 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC3(CC3)C2)ncn1 ZINC000883208209 591574604 /nfs/dbraw/zinc/57/46/04/591574604.db2.gz BBPXHYGQWMKTMH-GFCCVEGCSA-N 0 3 231.343 2.597 20 0 BFADHN C[C@H](N[C@@H]1CCCC2(CC2)C1)c1ccn(C)n1 ZINC000883228736 591580181 /nfs/dbraw/zinc/58/01/81/591580181.db2.gz SPPVZGBBTVSAAY-NWDGAFQWSA-N 0 3 233.359 2.794 20 0 BFADHN Fc1cccn2cc(CNC[C@@H]3C=CCC3)nc12 ZINC000883237511 591583797 /nfs/dbraw/zinc/58/37/97/591583797.db2.gz DDGLTSBPHIBNGY-LLVKDONJSA-N 0 3 245.301 2.529 20 0 BFADHN COC(=O)c1coc([C@H](C)NC[C@H]2C=CCC2)c1 ZINC000883245560 591586456 /nfs/dbraw/zinc/58/64/56/591586456.db2.gz NVOYKAPDSHYUQQ-QWRGUYRKSA-N 0 3 249.310 2.683 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@H]1C=CCC1 ZINC000883245808 591586823 /nfs/dbraw/zinc/58/68/23/591586823.db2.gz SHPSEAQQFPYUHU-NEPJUHHUSA-N 0 3 219.332 2.520 20 0 BFADHN CCC[C@H](C)CCNCc1nc(C)c(C)[nH]1 ZINC000883250856 591591494 /nfs/dbraw/zinc/59/14/94/591591494.db2.gz QYWRHRGTWQEUKO-JTQLQIEISA-N 0 3 223.364 2.942 20 0 BFADHN Cn1cc(CNC[C@@]2(C)CC2(C)C)c(Cl)n1 ZINC000883252578 591592449 /nfs/dbraw/zinc/59/24/49/591592449.db2.gz NTFHTHYVDWACPN-GFCCVEGCSA-N 0 3 241.766 2.599 20 0 BFADHN CCC[C@H](C)CCNCc1conc1C ZINC000883251234 591592724 /nfs/dbraw/zinc/59/27/24/591592724.db2.gz IAARFEJULUPJCS-JTQLQIEISA-N 0 3 210.321 2.899 20 0 BFADHN C[C@@H](NCC1(CC2CC2)CC1)c1cnccn1 ZINC000883252802 591593787 /nfs/dbraw/zinc/59/37/87/591593787.db2.gz ZCJKUYRRMAXLME-LLVKDONJSA-N 0 3 231.343 2.708 20 0 BFADHN Cc1cc([C@@H](C)NCC2(CC3CC3)CC2)nn1C ZINC000883254326 591594043 /nfs/dbraw/zinc/59/40/43/591594043.db2.gz PUMKCJSTKAEFJD-GFCCVEGCSA-N 0 3 247.386 2.959 20 0 BFADHN C[C@@H](NCC1(C)CC(F)(F)C1)c1ccn(C)n1 ZINC000883257306 591594649 /nfs/dbraw/zinc/59/46/49/591594649.db2.gz YBZGJUULYNAGMS-SECBINFHSA-N 0 3 243.301 2.506 20 0 BFADHN CCC[C@@H](C)CCN[C@H]1CCn2ccnc21 ZINC000883257659 591595193 /nfs/dbraw/zinc/59/51/93/591595193.db2.gz HOIYVZCUILQLQH-NEPJUHHUSA-N 0 3 221.348 2.744 20 0 BFADHN C[C@H](NCC(C)(C)CC(F)F)c1nccn1C ZINC000883259686 591597684 /nfs/dbraw/zinc/59/76/84/591597684.db2.gz URWRJEYWPZFPRO-VIFPVBQESA-N 0 3 245.317 2.752 20 0 BFADHN C=C/C=C/CCNCc1cn(C)nc1C(F)F ZINC000883260029 591598070 /nfs/dbraw/zinc/59/80/70/591598070.db2.gz HTRFAKNPUYMPFL-SNAWJCMRSA-N 0 3 241.285 2.580 20 0 BFADHN C=CC=CCCNCc1cc(C(F)(F)F)n[nH]1 ZINC000883260489 591598981 /nfs/dbraw/zinc/59/89/81/591598981.db2.gz XFBSGYWZYTYTSP-ONEGZZNKSA-N 0 3 245.248 2.650 20 0 BFADHN CO[C@H]1C[C@H](CNCc2cscc2Cl)C1 ZINC000883262252 591599787 /nfs/dbraw/zinc/59/97/87/591599787.db2.gz BFZHGZYXDHMECE-CZMCAQCFSA-N 0 3 245.775 2.916 20 0 BFADHN C=C/C=C\CCN[C@@H](CC)c1nccn1C ZINC000883267374 591601306 /nfs/dbraw/zinc/60/13/06/591601306.db2.gz CGAWWEFRXIUECS-DGMVEKRQSA-N 0 3 219.332 2.593 20 0 BFADHN C=C/C=C/CCN[C@@H](C)c1cc2n(n1)CCC2 ZINC000883268551 591601994 /nfs/dbraw/zinc/60/19/94/591601994.db2.gz NPYYTTUHNJDUMA-ITKZLYELSA-N 0 3 231.343 2.612 20 0 BFADHN C=C/C=C\CCN[C@H](C)c1cc(C(=O)OC)co1 ZINC000883268787 591602156 /nfs/dbraw/zinc/60/21/56/591602156.db2.gz SIHSHPPOLPVJHG-ISALQUGTSA-N 0 3 249.310 2.849 20 0 BFADHN C[C@H](NCC[C@@H]1CCCC1(F)F)c1ncc[nH]1 ZINC000883274849 591605218 /nfs/dbraw/zinc/60/52/18/591605218.db2.gz WZEULXZKVNBHQH-UWVGGRQHSA-N 0 3 243.301 2.886 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@@H]2C)c(Cl)n1 ZINC000883301749 591609238 /nfs/dbraw/zinc/60/92/38/591609238.db2.gz HYCRVUGEBDJTCP-GZMMTYOYSA-N 0 3 224.735 2.932 20 0 BFADHN COC(=O)/C(C)=C\CN1CCCC(C)(C)CC1 ZINC000214554434 591609469 /nfs/dbraw/zinc/60/94/69/591609469.db2.gz CHUSLJBLJIGWBT-SDQBBNPISA-N 0 3 239.359 2.618 20 0 BFADHN c1cnc(C2(NC[C@H]3CC=CCC3)CCC2)nc1 ZINC000883308297 591610839 /nfs/dbraw/zinc/61/08/39/591610839.db2.gz LXFKWVMMLHPRIP-ZDUSSCGKSA-N 0 3 243.354 2.802 20 0 BFADHN CC[C@@H](C)[C@H](C)NC1(c2ncccn2)CCC1 ZINC000883313672 591612603 /nfs/dbraw/zinc/61/26/03/591612603.db2.gz JURQNMNSNUYVLG-NEPJUHHUSA-N 0 3 233.359 2.880 20 0 BFADHN C[C@H]1CC[C@H]1NCc1cc(O)ccc1Cl ZINC000883325569 591615802 /nfs/dbraw/zinc/61/58/02/591615802.db2.gz FKHMFISIFKQXNZ-QPUJVOFHSA-N 0 3 225.719 2.934 20 0 BFADHN CC1(CNCc2cc(O)ccc2Cl)CC1 ZINC000883324880 591616651 /nfs/dbraw/zinc/61/66/51/591616651.db2.gz HXDWFEBOYFGLME-UHFFFAOYSA-N 0 3 225.719 2.935 20 0 BFADHN Cc1cc(N[C@@H]2C[C@@H](C)N(C3CC3)C2)cnc1C ZINC000883342344 591621799 /nfs/dbraw/zinc/62/17/99/591621799.db2.gz TYCNJSCNPAKCFT-BXUZGUMPSA-N 0 3 245.370 2.736 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H]2CCN2CC)o1 ZINC000883355095 591625976 /nfs/dbraw/zinc/62/59/76/591625976.db2.gz JGNLTFNLFMQCND-VXGBXAGGSA-N 0 3 236.359 2.587 20 0 BFADHN CC(C)OCCN[C@H]1c2ccccc2NC1(C)C ZINC000883463804 591673762 /nfs/dbraw/zinc/67/37/62/591673762.db2.gz YVCGTTOENLIHMI-AWEZNQCLSA-N 0 3 248.370 2.946 20 0 BFADHN CC[C@@H](NCCC1CCC1)c1nccn1C ZINC000132304651 591699728 /nfs/dbraw/zinc/69/97/28/591699728.db2.gz DECYAUNWXOGKAS-GFCCVEGCSA-N 0 3 221.348 2.651 20 0 BFADHN CN[C@@H](CC(C)C)C(=O)Nc1cccc(C)c1C ZINC000884044401 591825178 /nfs/dbraw/zinc/82/51/78/591825178.db2.gz HAXTZQSQBPHBPK-AWEZNQCLSA-N 0 3 248.370 2.876 20 0 BFADHN Cc1nonc1CN[C@H]1CCCC[C@H]1C(C)C ZINC000215046797 591920762 /nfs/dbraw/zinc/92/07/62/591920762.db2.gz MNIKRSHUBCBKTQ-RYUDHWBXSA-N 0 3 237.347 2.682 20 0 BFADHN CSC(C)(C)CN[C@@H](C)c1c[nH]nc1C ZINC000134576413 591938375 /nfs/dbraw/zinc/93/83/75/591938375.db2.gz ZLAKKNQWYZUKRN-QMMMGPOBSA-N 0 3 227.377 2.510 20 0 BFADHN Cc1cc(CN[C@H]2CCOC2)ccc1Cl ZINC000134656383 591944723 /nfs/dbraw/zinc/94/47/23/591944723.db2.gz OHWBQRCICAEJHZ-NSHDSACASA-N 0 3 225.719 2.527 20 0 BFADHN COC1(CNCc2cc(C)oc2C)CCC1 ZINC000134659843 591945296 /nfs/dbraw/zinc/94/52/96/591945296.db2.gz NJDWPTNPQSMSBW-UHFFFAOYSA-N 0 3 223.316 2.555 20 0 BFADHN Cc1nnc(CN[C@H]2CCC[C@@H](C)C2)s1 ZINC000134664730 591946187 /nfs/dbraw/zinc/94/61/87/591946187.db2.gz ODTMJXPLTJXPPK-SCZZXKLOSA-N 0 3 225.361 2.515 20 0 BFADHN CCSCCCNCc1ccc(Cl)cn1 ZINC000134773206 591949737 /nfs/dbraw/zinc/94/97/37/591949737.db2.gz JCWLWVKNNFTASH-UHFFFAOYSA-N 0 3 244.791 2.968 20 0 BFADHN Cc1coc(CNC[C@H](O)c2ccc(F)cc2)c1 ZINC000885917686 592108584 /nfs/dbraw/zinc/10/85/84/592108584.db2.gz RNMVWPNIRFYALS-AWEZNQCLSA-N 0 3 249.285 2.550 20 0 BFADHN COc1cc(C)cc(CNC[C@@H]2C[C@@H]2C)c1OC ZINC000885923246 592108622 /nfs/dbraw/zinc/10/86/22/592108622.db2.gz UVBVANVJPFJLAX-RYUDHWBXSA-N 0 3 249.354 2.758 20 0 BFADHN CCN(Cc1cccs1)C[C@](C)(O)C(F)F ZINC000885932934 592109829 /nfs/dbraw/zinc/10/98/29/592109829.db2.gz FGBFXFMOQQMNNQ-NSHDSACASA-N 0 3 249.326 2.586 20 0 BFADHN CN(Cc1ccccc1F)C[C@H]1C[C@]12CCOC2 ZINC000885930949 592109905 /nfs/dbraw/zinc/10/99/05/592109905.db2.gz MQENKPKLKALBGC-HIFRSBDPSA-N 0 3 249.329 2.684 20 0 BFADHN CCN(Cc1ccccc1)C[C@@](C)(O)C(F)F ZINC000885930775 592110266 /nfs/dbraw/zinc/11/02/66/592110266.db2.gz HBBYHHKCVWRNCA-CYBMUJFWSA-N 0 3 243.297 2.525 20 0 BFADHN COCC(C)(C)NC/C=C/c1cccc(F)c1 ZINC000885967266 592118075 /nfs/dbraw/zinc/11/80/75/592118075.db2.gz LDBQEBIUPFMSIK-FNORWQNLSA-N 0 3 237.318 2.854 20 0 BFADHN Cc1coc(CNC[C@H](C)c2ccncc2)c1 ZINC000886010673 592129942 /nfs/dbraw/zinc/12/99/42/592129942.db2.gz NCSGVQSBAVTYKX-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN C[C@@H]1c2ccccc2CN1C[C@@H]1C[C@@]12CCOC2 ZINC000886030708 592136499 /nfs/dbraw/zinc/13/64/99/592136499.db2.gz SEEMJYPLZQCSND-IVMMDQJWSA-N 0 3 243.350 2.990 20 0 BFADHN Cc1coc(CN[C@@H](C)C[C@H](O)c2ccco2)c1 ZINC000886052128 592140829 /nfs/dbraw/zinc/14/08/29/592140829.db2.gz LKLQZQULAYQJHF-AAEUAGOBSA-N 0 3 249.310 2.783 20 0 BFADHN Cc1coc(CN[C@]2(C)CCO[C@H]2C2CC2)c1 ZINC000886062322 592142253 /nfs/dbraw/zinc/14/22/53/592142253.db2.gz MOVUQIISAVEHRV-UONOGXRCSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1coc(CN[C@@H]2Cc3cccc(O)c3C2)c1 ZINC000886092458 592147595 /nfs/dbraw/zinc/14/75/95/592147595.db2.gz XMPZVKUKKDJREX-GFCCVEGCSA-N 0 3 243.306 2.551 20 0 BFADHN FC(F)[C@@H]1CCN(C[C@@H]2CC[C@H](C3CC3)O2)C1 ZINC000886094026 592147670 /nfs/dbraw/zinc/14/76/70/592147670.db2.gz LKVKHXQYNSFPHP-GRYCIOLGSA-N 0 3 245.313 2.531 20 0 BFADHN C(CN1CCOC[C@@H]1C1CC1)[C@@H]1C[C@H]1C1CC1 ZINC000886094623 592148076 /nfs/dbraw/zinc/14/80/76/592148076.db2.gz WKBSWWDXRNHEJK-QLFBSQMISA-N 0 3 235.371 2.533 20 0 BFADHN Cc1ccccc1[C@H](C)N1CCC2(C1)OCCO2 ZINC000137092896 592154085 /nfs/dbraw/zinc/15/40/85/592154085.db2.gz MMUOMQNNJGTGEM-ZDUSSCGKSA-N 0 3 247.338 2.505 20 0 BFADHN Fc1cccc(/C=C\CNCC2=CCOCC2)c1 ZINC000886129445 592159823 /nfs/dbraw/zinc/15/98/23/592159823.db2.gz GAGKJVVCYDOQMH-RQOWECAXSA-N 0 3 247.313 2.775 20 0 BFADHN C[C@@H]1C[C@@H](NC/C=C\c2cccc(F)c2)CO1 ZINC000886151201 592163268 /nfs/dbraw/zinc/16/32/68/592163268.db2.gz DKPPEVPTUBLKKA-ORRWMOISSA-N 0 3 235.302 2.606 20 0 BFADHN CC[C@H]1C[C@@H](NCc2cc(C)co2)CCO1 ZINC000886149314 592163795 /nfs/dbraw/zinc/16/37/95/592163795.db2.gz LGXBVUXOGPJWPY-RYUDHWBXSA-N 0 3 223.316 2.635 20 0 BFADHN Fc1cccc(/C=C/CN[C@@H]2C[C@H]3CC[C@@H]2O3)c1 ZINC000886153601 592164684 /nfs/dbraw/zinc/16/46/84/592164684.db2.gz LHCOZNNDPFCTNC-GCRBFPJHSA-N 0 3 247.313 2.748 20 0 BFADHN Cc1n[nH]c(CN[C@@H]2C[C@H]2CC(C)(C)C)c1C ZINC000886154010 592164762 /nfs/dbraw/zinc/16/47/62/592164762.db2.gz WZKWGGDHGNTDEZ-NWDGAFQWSA-N 0 3 235.375 2.941 20 0 BFADHN Cc1coc(CNC[C@H]2C(C)(C)C2(F)F)c1 ZINC000886164118 592166292 /nfs/dbraw/zinc/16/62/92/592166292.db2.gz CAGQXAHHLYGYDW-JTQLQIEISA-N 0 3 229.270 2.969 20 0 BFADHN Cc1[nH]nc(CNCc2csc(C)c2C)c1C ZINC000886175279 592169650 /nfs/dbraw/zinc/16/96/50/592169650.db2.gz WCHOMOKSXCOAPZ-UHFFFAOYSA-N 0 3 249.383 2.995 20 0 BFADHN FC1(F)CCN(C[C@@H]2CC[C@H](C3CC3)O2)CC1 ZINC000886184319 592171488 /nfs/dbraw/zinc/17/14/88/592171488.db2.gz ZIBGKRHNRMIFKS-NWDGAFQWSA-N 0 3 245.313 2.675 20 0 BFADHN CC1=CCCN(C[C@H]2CC[C@H](C3CC3)O2)C1 ZINC000886185796 592171910 /nfs/dbraw/zinc/17/19/10/592171910.db2.gz IAWVADADQUGVOG-ZIAGYGMSSA-N 0 3 221.344 2.596 20 0 BFADHN CCSc1cccc(CN[C@]23C[C@H]2COC3)c1 ZINC000886200416 592175332 /nfs/dbraw/zinc/17/53/32/592175332.db2.gz KNAMWOMTIZWNES-JSGCOSHPSA-N 0 3 249.379 2.677 20 0 BFADHN CN(CC[C@@H]1C[C@H]1C1CC1)Cc1ccccn1 ZINC000886225731 592180714 /nfs/dbraw/zinc/18/07/14/592180714.db2.gz BELWXRVJIQWQHF-HIFRSBDPSA-N 0 3 230.355 2.950 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNCc1cn(C2CC2)cn1 ZINC000886281398 592199010 /nfs/dbraw/zinc/19/90/10/592199010.db2.gz YIGFPWLCHKSYNC-FDRIWYBQSA-N 0 3 245.370 2.600 20 0 BFADHN C[C@H]1CCC[C@H](c2noc(C(C)(C)CN)n2)C1 ZINC000137523471 592199467 /nfs/dbraw/zinc/19/94/67/592199467.db2.gz SHATYBDRYJWCMD-UWVGGRQHSA-N 0 3 237.347 2.600 20 0 BFADHN Cc1ccc(CNC[C@H]2CC3(CCC3)CO2)o1 ZINC000886291097 592201840 /nfs/dbraw/zinc/20/18/40/592201840.db2.gz XHOHBSNCXMUBEM-CYBMUJFWSA-N 0 3 235.327 2.637 20 0 BFADHN Fc1cccc(CNC[C@@H]2CC3(CCC3)CO2)c1 ZINC000886290336 592201943 /nfs/dbraw/zinc/20/19/43/592201943.db2.gz BKWXXZBJUKYWDX-AWEZNQCLSA-N 0 3 249.329 2.875 20 0 BFADHN CC(C)(C)Oc1cccc(CN2C[C@@H]3C[C@@H]3C2)n1 ZINC000886347139 592214287 /nfs/dbraw/zinc/21/42/87/592214287.db2.gz ZFFKRVJOIIIKFI-TXEJJXNPSA-N 0 3 246.354 2.711 20 0 BFADHN CCOc1cccc(CNC2(C3CC3)CCC2)n1 ZINC000886392010 592221715 /nfs/dbraw/zinc/22/17/15/592221715.db2.gz NSSZQMFBRUSDCY-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN c1c(CNC2(C3CC3)CCC2)nn2c1CCCC2 ZINC000886389471 592222133 /nfs/dbraw/zinc/22/21/33/592222133.db2.gz KLRRFZSRZFWDGF-UHFFFAOYSA-N 0 3 245.370 2.642 20 0 BFADHN CC[C@H](N[C@@H]1CCc2cccnc21)[C@H]1CCCO1 ZINC000886556530 592259999 /nfs/dbraw/zinc/25/99/99/592259999.db2.gz DZUGTUHUCMHMDJ-BFHYXJOUSA-N 0 3 246.354 2.616 20 0 BFADHN CC/C=C/CN[C@H](c1cnn(C)c1)C(C)C ZINC000886618695 592276908 /nfs/dbraw/zinc/27/69/08/592276908.db2.gz IHUVAZRKKDEXAL-YBJDMEARSA-N 0 3 221.348 2.673 20 0 BFADHN CO[C@H](CN[C@H]1CCc2cccnc21)CC(C)C ZINC000886626921 592281224 /nfs/dbraw/zinc/28/12/24/592281224.db2.gz OGEVNRJRQXGOHE-KBPBESRZSA-N 0 3 248.370 2.720 20 0 BFADHN CC(C)[C@@H](NCC1=CCCC1)c1cnn(C)c1 ZINC000886638222 592286090 /nfs/dbraw/zinc/28/60/90/592286090.db2.gz OFKRSLBRMIDMME-CQSZACIVSA-N 0 3 233.359 2.817 20 0 BFADHN C1=C(CN2CCC[C@@H](c3ncccn3)C2)CCC1 ZINC000886688188 592303673 /nfs/dbraw/zinc/30/36/73/592303673.db2.gz MEAUKLWZHNVTOL-CQSZACIVSA-N 0 3 243.354 2.766 20 0 BFADHN Cc1cc([C@H](C)NC2CCC=CCC2)nn1C ZINC000886816387 592340506 /nfs/dbraw/zinc/34/05/06/592340506.db2.gz SFNJTUBHQOREKM-LBPRGKRZSA-N 0 3 233.359 2.878 20 0 BFADHN Fc1ccc(CN2CC[C@@H]([C@H]3CCCO3)C2)cc1 ZINC000886821525 592344327 /nfs/dbraw/zinc/34/43/27/592344327.db2.gz DCHMPFOXAPFLCQ-UKRRQHHQSA-N 0 3 249.329 2.827 20 0 BFADHN CN(CCCF)CCOC1CCCCC1 ZINC000887143707 592460827 /nfs/dbraw/zinc/46/08/27/592460827.db2.gz MRLVALFTBKTPCS-UHFFFAOYSA-N 0 3 217.328 2.627 20 0 BFADHN CC(C)C[C@@H](O)CN[C@H](C)c1ccccc1F ZINC000222935703 592511094 /nfs/dbraw/zinc/51/10/94/592511094.db2.gz XNPFMZMEWXDGRF-VXGBXAGGSA-N 0 3 239.334 2.883 20 0 BFADHN COC(=O)/C(C)=C/CNC(C)(C)c1ccccc1 ZINC000301534045 592536414 /nfs/dbraw/zinc/53/64/14/592536414.db2.gz RCQUFAJGOYHPNS-ZRDIBKRKSA-N 0 3 247.338 2.631 20 0 BFADHN Cc1ccc([C@H](O)CN[C@H](C)c2ccoc2)cc1 ZINC000178451045 634547547 /nfs/dbraw/zinc/54/75/47/634547547.db2.gz MLRFUGGVEDPEJR-IUODEOHRSA-N 0 3 245.322 2.972 20 0 BFADHN CCn1cc(CN[C@@H](C)CC(F)(F)F)c(C)n1 ZINC000893634293 635357450 /nfs/dbraw/zinc/35/74/50/635357450.db2.gz PYGGGOXYVRQQHZ-QMMMGPOBSA-N 0 3 249.280 2.642 20 0 BFADHN CN(C)Cc1ccnc(NCC2(C)CCC2)c1 ZINC000446808741 635463909 /nfs/dbraw/zinc/46/39/09/635463909.db2.gz MWYFRIRUMPWLBS-UHFFFAOYSA-N 0 3 233.359 2.745 20 0 BFADHN C[C@@H]1OC[C@@H](NC2(c3ccccc3F)CC2)[C@@H]1C ZINC000925883214 635610586 /nfs/dbraw/zinc/61/05/86/635610586.db2.gz GLSUFOGHDRPORT-UHIISALHSA-N 0 3 249.329 2.828 20 0 BFADHN CCCCC[C@@H](C)NCc1ccn(CC)n1 ZINC000840838072 631216616 /nfs/dbraw/zinc/21/66/16/631216616.db2.gz MYAUAJMNGASMRA-GFCCVEGCSA-N 0 3 223.364 2.961 20 0 BFADHN Cc1cc(CN2CCCCCC2)cnc1F ZINC000891444542 631308914 /nfs/dbraw/zinc/30/89/14/631308914.db2.gz RJEKVIUSVZYUHA-UHFFFAOYSA-N 0 3 222.307 2.905 20 0 BFADHN CCC(C)(C)OCCN[C@@H]1CCc2cccnc21 ZINC000308541298 631330392 /nfs/dbraw/zinc/33/03/92/631330392.db2.gz KDRHOJMVPATACT-CYBMUJFWSA-N 0 3 248.370 2.864 20 0 BFADHN CCC(CC)(CCO)NC/C(Cl)=C/Cl ZINC000308726904 631359721 /nfs/dbraw/zinc/35/97/21/631359721.db2.gz GZMMKTRYZPBWRW-CLFYSBASSA-N 0 3 240.174 2.836 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc(Cl)nc1 ZINC000308574494 631434727 /nfs/dbraw/zinc/43/47/27/631434727.db2.gz CZBJZAZAMQANSE-TYRPZCRBSA-N 0 3 210.708 2.962 20 0 BFADHN CCC(CC)(CCO)NC/C(Cl)=C\Cl ZINC000308726905 631554342 /nfs/dbraw/zinc/55/43/42/631554342.db2.gz GZMMKTRYZPBWRW-VQHVLOKHSA-N 0 3 240.174 2.836 20 0 BFADHN OC[C@H]1CCN1Cc1ccc(C2CCCC2)cc1 ZINC000934014530 631600159 /nfs/dbraw/zinc/60/01/59/631600159.db2.gz CRDDMGMEULAFDA-MRXNPFEDSA-N 0 3 245.366 2.911 20 0 BFADHN OC[C@@H]1CCN1Cc1ccc(C2CCCC2)cc1 ZINC000934014529 631600349 /nfs/dbraw/zinc/60/03/49/631600349.db2.gz CRDDMGMEULAFDA-INIZCTEOSA-N 0 3 245.366 2.911 20 0 BFADHN COCCCCCNCc1nc(C)c(C)s1 ZINC000234599210 631644948 /nfs/dbraw/zinc/64/49/48/631644948.db2.gz QSHNANZGYFAMIO-UHFFFAOYSA-N 0 3 242.388 2.666 20 0 BFADHN CCO[C@H](CN[C@H](C)c1cncs1)C1CC1 ZINC000934196633 631727299 /nfs/dbraw/zinc/72/72/99/631727299.db2.gz VSUOHNVSNNPFCV-MWLCHTKSSA-N 0 3 240.372 2.609 20 0 BFADHN Cc1noc(C)c1CN1CCCSC[C@H]1C ZINC000934299138 631767045 /nfs/dbraw/zinc/76/70/45/631767045.db2.gz SAHBSOZRJXLDAX-SECBINFHSA-N 0 3 240.372 2.619 20 0 BFADHN Cc1ccc(CN2CCCSC[C@H]2C)nc1 ZINC000934303687 631771512 /nfs/dbraw/zinc/77/15/12/631771512.db2.gz KEDLJAFAGCAJDE-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN C[C@@H](NCc1cccc(Cl)c1Cl)[C@H](C)O ZINC000309050253 631824590 /nfs/dbraw/zinc/82/45/90/631824590.db2.gz QQWNKXRSKDXTIH-SFYZADRCSA-N 0 3 248.153 2.852 20 0 BFADHN C[C@@]1(C2CC2)COCCN1CC1CC(F)(F)C1 ZINC000934479086 631873657 /nfs/dbraw/zinc/87/36/57/631873657.db2.gz OZDYUTYLMDCADB-LBPRGKRZSA-N 0 3 245.313 2.533 20 0 BFADHN CC(C)c1ccc(N(C)C(=O)CNC2CC2)cc1 ZINC000077403598 632010531 /nfs/dbraw/zinc/01/05/31/632010531.db2.gz MICYOYPOIRFDKE-UHFFFAOYSA-N 0 3 246.354 2.525 20 0 BFADHN CCC1(C)CCN(c2ccncc2CO)CC1 ZINC000126499179 632120555 /nfs/dbraw/zinc/12/05/55/632120555.db2.gz BAPKOTLRMJVFFC-UHFFFAOYSA-N 0 3 234.343 2.590 20 0 BFADHN Cc1cccc(CN(C)c2ccncc2CO)c1 ZINC000126429669 632121208 /nfs/dbraw/zinc/12/12/08/632121208.db2.gz GSRSOTWNCRHTHF-UHFFFAOYSA-N 0 3 242.322 2.519 20 0 BFADHN Cc1ccc(CNCc2c(C)ccnc2N)s1 ZINC000894188014 635744614 /nfs/dbraw/zinc/74/46/14/635744614.db2.gz YVSZSCKMAAHCGI-UHFFFAOYSA-N 0 3 247.367 2.632 20 0 BFADHN CSCC[C@@H](C)N[C@H](C)c1nccs1 ZINC000091726638 632410363 /nfs/dbraw/zinc/41/03/63/632410363.db2.gz RENSSMPYRIGZJU-RKDXNWHRSA-N 0 3 230.402 2.935 20 0 BFADHN CSCC[C@H](C)N[C@H](C)c1nccs1 ZINC000091726637 632410834 /nfs/dbraw/zinc/41/08/34/632410834.db2.gz RENSSMPYRIGZJU-DTWKUNHWSA-N 0 3 230.402 2.935 20 0 BFADHN CO[C@@H]([C@H](C)N[C@H](C)c1ccns1)C1CC1 ZINC000926539897 632463698 /nfs/dbraw/zinc/46/36/98/632463698.db2.gz LENWXUOEOLNMBJ-PTRXPTGYSA-N 0 3 240.372 2.607 20 0 BFADHN CSCCN[C@H]1CCc2ccc(Cl)nc21 ZINC000309072200 632633811 /nfs/dbraw/zinc/63/38/11/632633811.db2.gz FJTLRPJBQOBVSU-VIFPVBQESA-N 0 3 242.775 2.675 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@@H](N)CC1CC1 ZINC000310164068 632705267 /nfs/dbraw/zinc/70/52/67/632705267.db2.gz MKRZQHQWOKFUBS-OLZOCXBDSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1csc(CNC2CC(C(F)F)C2)n1 ZINC000309187022 632715079 /nfs/dbraw/zinc/71/50/79/632715079.db2.gz OYNGCLLZQDHLRV-UHFFFAOYSA-N 0 3 232.299 2.585 20 0 BFADHN Cc1nc(C)c(CNCc2cccc(N)c2)s1 ZINC000310471516 632746114 /nfs/dbraw/zinc/74/61/14/632746114.db2.gz IMDDKBZYYWFPLO-UHFFFAOYSA-N 0 3 247.367 2.632 20 0 BFADHN COC(=O)/C(C)=C\CN[C@H](C)c1ccccc1C ZINC000310605054 632803694 /nfs/dbraw/zinc/80/36/94/632803694.db2.gz XNYXRIIRZDBESL-KIWPFMIBSA-N 0 3 247.338 2.765 20 0 BFADHN COC(=O)/C(C)=C\CN[C@H](C)c1ccc(C)cc1 ZINC000310604463 632803773 /nfs/dbraw/zinc/80/37/73/632803773.db2.gz NEHZALPKWSESTA-KIWPFMIBSA-N 0 3 247.338 2.765 20 0 BFADHN Cc1oc2ccccc2c1[C@H](C)NC/C=C/CO ZINC000926690099 632826619 /nfs/dbraw/zinc/82/66/19/632826619.db2.gz VLPMOBKZKNVMDE-QRGHLMKCSA-N 0 3 245.322 2.940 20 0 BFADHN COc1ccnc([C@@H](C)NCCc2ccoc2)c1 ZINC000926702542 632841192 /nfs/dbraw/zinc/84/11/92/632841192.db2.gz OINNEXHGXTZXDY-LLVKDONJSA-N 0 3 246.310 2.577 20 0 BFADHN FCCN1CCC(Cc2ccccc2)CC1 ZINC000309305062 632917332 /nfs/dbraw/zinc/91/73/32/632917332.db2.gz MVEICCGKNRIKFI-UHFFFAOYSA-N 0 3 221.319 2.911 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1cccnc1Cl ZINC000159133508 632952497 /nfs/dbraw/zinc/95/24/97/632952497.db2.gz GQWQEEPKWKVCOW-ZJUUUORDSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1cc(NCC(C(C)C)C(C)C)nc(N)n1 ZINC000229614334 632982077 /nfs/dbraw/zinc/98/20/77/632982077.db2.gz PVROBEWVVVBOSE-UHFFFAOYSA-N 0 3 236.363 2.707 20 0 BFADHN C/C=C\CN[C@H](C)c1cnn(C2CCC2)c1 ZINC000926770485 633016060 /nfs/dbraw/zinc/01/60/60/633016060.db2.gz RELNLFZTUNKTOB-DLRQAJBASA-N 0 3 219.332 2.835 20 0 BFADHN CN(CC1CC1)Cn1nc(Cl)c2ccccc21 ZINC000180366337 633016938 /nfs/dbraw/zinc/01/69/38/633016938.db2.gz PISJELUOYGJDMY-UHFFFAOYSA-N 0 3 249.745 2.989 20 0 BFADHN CCn1nc(C)c([C@H](C)N[C@@H]2CCCC23CC3)n1 ZINC000926779741 633051341 /nfs/dbraw/zinc/05/13/41/633051341.db2.gz IQEILBVNTPGMGZ-CMPLNLGQSA-N 0 3 248.374 2.590 20 0 BFADHN C[C@H](N[C@H]1CCc2ccccc21)c1ccnnc1 ZINC000924591043 633115499 /nfs/dbraw/zinc/11/54/99/633115499.db2.gz UMONCUPUBONHHY-NHYWBVRUSA-N 0 3 239.322 2.815 20 0 BFADHN Cc1cc(N[C@@H]2CCCC(C)(C)C2)nc(N)n1 ZINC000167075191 633124509 /nfs/dbraw/zinc/12/45/09/633124509.db2.gz YRNIZGGTTGYUCF-SNVBAGLBSA-N 0 3 234.347 2.748 20 0 BFADHN C[C@H](NC[C@H](O)c1ccsc1)c1ccoc1 ZINC000168035274 633137928 /nfs/dbraw/zinc/13/79/28/633137928.db2.gz GWMHVMZLFGDFSU-CABZTGNLSA-N 0 3 237.324 2.725 20 0 BFADHN CCC[C@H](C)CCN[C@H]1C[C@H](C)n2ncnc21 ZINC000926841330 633211450 /nfs/dbraw/zinc/21/14/50/633211450.db2.gz JGMZCVVUTMFOFX-SRVKXCTJSA-N 0 3 236.363 2.700 20 0 BFADHN C[C@H]1C[C@H]1CNCc1nc2c(s1)CCCC2 ZINC000309805226 633760815 /nfs/dbraw/zinc/76/08/15/633760815.db2.gz RZNDJWUVMSPVDL-UWVGGRQHSA-N 0 3 236.384 2.768 20 0 BFADHN CS[C@@H]1CCN(Cc2cc(C)cc(C)n2)C1 ZINC000930609824 633933642 /nfs/dbraw/zinc/93/36/42/633933642.db2.gz MLZDXHHIBNZYNT-CYBMUJFWSA-N 0 3 236.384 2.636 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@@H]1NCc1ccon1 ZINC000309625713 633975293 /nfs/dbraw/zinc/97/52/93/633975293.db2.gz FSLAPSAWUOZIEF-LOWVWBTDSA-N 0 3 222.332 2.979 20 0 BFADHN COCC1(NCc2cc(C)oc2C)CCC1 ZINC000309626328 633976333 /nfs/dbraw/zinc/97/63/33/633976333.db2.gz GNYYHMGWYIDEPJ-UHFFFAOYSA-N 0 3 223.316 2.555 20 0 BFADHN Cc1cc(NCC(C)(C)C2=CCCC2)nc(N)n1 ZINC000312287677 634034791 /nfs/dbraw/zinc/03/47/91/634034791.db2.gz SUBSKSMOIAAWEQ-UHFFFAOYSA-N 0 3 246.358 2.916 20 0 BFADHN Cc1cc(CNCCOC2CCC2)c(C)o1 ZINC000309886666 634037369 /nfs/dbraw/zinc/03/73/69/634037369.db2.gz YRNUZNOORODPNL-UHFFFAOYSA-N 0 3 223.316 2.555 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2ccc(F)nc2)C1 ZINC000189280003 634175839 /nfs/dbraw/zinc/17/58/39/634175839.db2.gz PJXLLPULBYAVTD-GHMZBOCLSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@H]1CC[C@H](CNC2(c3ccccc3F)CC2)O1 ZINC000191055502 634202361 /nfs/dbraw/zinc/20/23/61/634202361.db2.gz AAJKMBVMAURUHU-NWDGAFQWSA-N 0 3 249.329 2.972 20 0 BFADHN CC(=O)OC[C@@H]1CCCCN1Cc1ccccc1 ZINC000196140555 634375670 /nfs/dbraw/zinc/37/56/70/634375670.db2.gz VFCLXZULNUILER-HNNXBMFYSA-N 0 3 247.338 2.604 20 0 BFADHN CC[C@@H](NCc1cc(N)ccn1)c1cccs1 ZINC000925771554 635208419 /nfs/dbraw/zinc/20/84/19/635208419.db2.gz MXEZPRWNBABARQ-GFCCVEGCSA-N 0 3 247.367 2.966 20 0 BFADHN CC(=O)CCN(C)Cc1ccc(C)c(C)c1 ZINC000258986427 635230513 /nfs/dbraw/zinc/23/05/13/635230513.db2.gz XCFYDSDBTWFWTE-UHFFFAOYSA-N 0 3 219.328 2.714 20 0 BFADHN C/C=C/C[C@H]1CCCN(c2ccncc2CO)C1 ZINC000894835278 635944941 /nfs/dbraw/zinc/94/49/41/635944941.db2.gz JNLJLFQQBWGQEO-IBUXWKBASA-N 0 3 246.354 2.757 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1nccn1C1CC1 ZINC000894955614 636009753 /nfs/dbraw/zinc/00/97/53/636009753.db2.gz SDKBZPKYJRFMOX-GRYCIOLGSA-N 0 3 233.359 2.694 20 0 BFADHN CCOC(=O)/C=C\CN[C@H](C)c1ccccc1C ZINC000310590574 636266686 /nfs/dbraw/zinc/26/66/86/636266686.db2.gz YHCRJACPBYNCMG-PGJNLMOESA-N 0 3 247.338 2.765 20 0 BFADHN CC[C@H]1CCCN(c2ccnc(CO)c2)CC1 ZINC000079359315 636350895 /nfs/dbraw/zinc/35/08/95/636350895.db2.gz CZMHDSNSRNXUOS-LBPRGKRZSA-N 0 3 234.343 2.590 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1nonc1C ZINC000925256055 636527856 /nfs/dbraw/zinc/52/78/56/636527856.db2.gz HZAOJYBBOAIEBH-IEBDPFPHSA-N 0 3 223.320 2.607 20 0 BFADHN C[C@@H](N[C@@H](C)C1(C)CC1)c1cc2n(n1)CCC2 ZINC000840735237 636580707 /nfs/dbraw/zinc/58/07/07/636580707.db2.gz OETGEWGOZPNICU-MNOVXSKESA-N 0 3 233.359 2.669 20 0 BFADHN C[C@H]([NH2+]C1CC=CC1)c1cc([O-])cc(F)c1 ZINC000925271947 636693610 /nfs/dbraw/zinc/69/36/10/636693610.db2.gz VFCNMTRDJSJTQL-VIFPVBQESA-N 0 3 221.275 2.901 20 0 BFADHN C[C@@H](NC[C@]1(C)CCCCO1)c1ccccn1 ZINC000927017623 636990165 /nfs/dbraw/zinc/99/01/65/636990165.db2.gz JTZUAZYTKMNYEJ-OCCSQVGLSA-N 0 3 234.343 2.691 20 0 BFADHN C[C@H](NC[C@]1(C)CCCCO1)c1ccco1 ZINC000927025313 636994538 /nfs/dbraw/zinc/99/45/38/636994538.db2.gz YCLJNWSAOOXDNR-AAEUAGOBSA-N 0 3 223.316 2.889 20 0 BFADHN C[C@@H](NCC[C@H]1CCC2(CCC2)O1)c1ncc[nH]1 ZINC000927124170 637039755 /nfs/dbraw/zinc/03/97/55/637039755.db2.gz HSDFUMHYPWVLOX-VXGBXAGGSA-N 0 3 249.358 2.552 20 0 BFADHN CCCCCC[C@H](C)NCc1nccn1C ZINC000042222765 637085573 /nfs/dbraw/zinc/08/55/73/637085573.db2.gz MTEIEZXCJSKFLG-LBPRGKRZSA-N 0 3 223.364 2.869 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@@H]2CC=CC[C@H]2C)nn1 ZINC000903412674 637359041 /nfs/dbraw/zinc/35/90/41/637359041.db2.gz GUMFUEHLUIZLBH-JHJVBQTASA-N 0 3 248.374 2.864 20 0 BFADHN CCn1nc(C)c([C@H](C)N[C@@H]2CC=CC[C@H]2C)n1 ZINC000903412077 637359386 /nfs/dbraw/zinc/35/93/86/637359386.db2.gz BRNWWXPAIUBUTC-NTZNESFSSA-N 0 3 248.374 2.612 20 0 BFADHN C[C@H]([NH2+]C[C@H]1C[C@H]1C)c1cc([O-])cc(F)c1 ZINC000924799317 637439044 /nfs/dbraw/zinc/43/90/44/637439044.db2.gz URYUOHDNCVJEJQ-WCABBAIRSA-N 0 3 223.291 2.838 20 0 BFADHN CCC[C@@H](C)CCN[C@@H](C(N)=O)c1ccccc1 ZINC000905776655 637905878 /nfs/dbraw/zinc/90/58/78/637905878.db2.gz JFOJDAANSPPHGG-TZMCWYRMSA-N 0 3 248.370 2.629 20 0 BFADHN CCC1(C)CN(C[C@@H](O)c2cccc(C)c2)C1 ZINC000905957203 638002870 /nfs/dbraw/zinc/00/28/70/638002870.db2.gz LZEMOTACHMDPJU-CQSZACIVSA-N 0 3 233.355 2.760 20 0 BFADHN C[C@@H](NCc1cn(C2CC2)nn1)C1CCCCC1 ZINC000906285341 638126265 /nfs/dbraw/zinc/12/62/65/638126265.db2.gz GJOKUTPGWHODST-LLVKDONJSA-N 0 3 248.374 2.671 20 0 BFADHN CC1(C)CCCC[C@H]1NCc1cn(C2CC2)nn1 ZINC000906296433 638137085 /nfs/dbraw/zinc/13/70/85/638137085.db2.gz LUUOZZZYPOGLTA-CYBMUJFWSA-N 0 3 248.374 2.671 20 0 BFADHN C[C@@H]1CCCC[C@]1(C)NCc1cn(C2CC2)nn1 ZINC000906308630 638138360 /nfs/dbraw/zinc/13/83/60/638138360.db2.gz XVFGXJZXBPRWIC-RISCZKNCSA-N 0 3 248.374 2.671 20 0 BFADHN C[C@@H]1CCCC[C@@]1(C)NCc1cn(C2CC2)nn1 ZINC000906308628 638139149 /nfs/dbraw/zinc/13/91/49/638139149.db2.gz XVFGXJZXBPRWIC-BXUZGUMPSA-N 0 3 248.374 2.671 20 0 BFADHN CC[C@H](NCc1cn(C2CC2)nn1)[C@H]1CC1(C)C ZINC000906313621 638141070 /nfs/dbraw/zinc/14/10/70/638141070.db2.gz DBUOQFHARKAZPZ-OLZOCXBDSA-N 0 3 248.374 2.527 20 0 BFADHN CN(Cc1cnc[nH]1)[C@H]1C[C@H]1c1cccc(F)c1 ZINC000906590059 638212261 /nfs/dbraw/zinc/21/22/61/638212261.db2.gz MYFQCEOHELIKJI-KBPBESRZSA-N 0 3 245.301 2.537 20 0 BFADHN CN(C[C@@H]1CCCO1)[C@@H]1C[C@@H]1c1cccc(F)c1 ZINC000906584569 638219703 /nfs/dbraw/zinc/21/97/03/638219703.db2.gz PVLDQZXGGHJDJP-RRFJBIMHSA-N 0 3 249.329 2.792 20 0 BFADHN CCO[C@H]1CCN([C@@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000906595996 638221348 /nfs/dbraw/zinc/22/13/48/638221348.db2.gz LBGPGBBCUIBJCS-SOUVJXGZSA-N 0 3 249.329 2.792 20 0 BFADHN CCOc1cc(CN2CC[C@H]3C[C@H]3C2)ccc1O ZINC000668677342 638673418 /nfs/dbraw/zinc/67/34/18/638673418.db2.gz MREKCIWRHVHBMP-STQMWFEESA-N 0 3 247.338 2.633 20 0 BFADHN COC/C(C)=C/CNC1(c2cccc(F)c2)CC1 ZINC000930225635 639642666 /nfs/dbraw/zinc/64/26/66/639642666.db2.gz DGEQPETWZFCARP-WUXMJOGZSA-N 0 3 249.329 2.997 20 0 BFADHN COc1cccc(CN2CCOCC[C@@H]2C)c1C ZINC000930236142 639645075 /nfs/dbraw/zinc/64/50/75/639645075.db2.gz VQNJGHRKGMGBCW-LBPRGKRZSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1c(CN(C)CCCC(C)(F)F)cnn1C ZINC000930267015 639669937 /nfs/dbraw/zinc/66/99/37/639669937.db2.gz FBRRZFAKMVYKEB-UHFFFAOYSA-N 0 3 245.317 2.596 20 0 BFADHN Cc1cc(C)nc(CN2[C@@H](C)C[C@@H]2C)c1 ZINC000930274801 639678637 /nfs/dbraw/zinc/67/86/37/639678637.db2.gz YJGQUXXYZLGMPE-RYUDHWBXSA-N 0 3 204.317 2.681 20 0 BFADHN C(C1CCC2(CC2)CC1)N1CCOCC12CC2 ZINC000930360673 639727190 /nfs/dbraw/zinc/72/71/90/639727190.db2.gz OROHIVYMSRJEJJ-UHFFFAOYSA-N 0 3 235.371 2.822 20 0 BFADHN Cc1nc(C)c(CN2CCC3(CCC3)CC2)[nH]1 ZINC000930464373 639764260 /nfs/dbraw/zinc/76/42/60/639764260.db2.gz PZTUYCZWAVGPRM-UHFFFAOYSA-N 0 3 233.359 2.793 20 0 BFADHN CCc1ncc(CN2CCC[C@@H](C3CC3)C2)o1 ZINC000930499323 639783768 /nfs/dbraw/zinc/78/37/68/639783768.db2.gz WFGWITWILUSAJS-GFCCVEGCSA-N 0 3 234.343 2.859 20 0 BFADHN CCc1ncc(CN2CCC[C@H](C3CC3)C2)o1 ZINC000930499324 639784233 /nfs/dbraw/zinc/78/42/33/639784233.db2.gz WFGWITWILUSAJS-LBPRGKRZSA-N 0 3 234.343 2.859 20 0 BFADHN COC/C(C)=C\CN1CCCc2occc2C1 ZINC000930505543 639789532 /nfs/dbraw/zinc/78/95/32/639789532.db2.gz AXYBFGVUMSTUNZ-XGICHPGQSA-N 0 3 235.327 2.621 20 0 BFADHN C[C@@H]1CN(CCc2cccc(F)c2)[C@@H]1C ZINC000930581949 639813757 /nfs/dbraw/zinc/81/37/57/639813757.db2.gz KIMUATWMQIWTCJ-GHMZBOCLSA-N 0 3 207.292 2.708 20 0 BFADHN C[C@@H]1CN(CCc2cccc(F)c2)[C@H]1C ZINC000930581950 639813804 /nfs/dbraw/zinc/81/38/04/639813804.db2.gz KIMUATWMQIWTCJ-MNOVXSKESA-N 0 3 207.292 2.708 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CCc1cccc(F)c1 ZINC000930581952 639814041 /nfs/dbraw/zinc/81/40/41/639814041.db2.gz KIMUATWMQIWTCJ-WDEREUQCSA-N 0 3 207.292 2.708 20 0 BFADHN COC/C(C)=C/CN1CCc2ccc(C)cc2C1 ZINC000930964114 640001471 /nfs/dbraw/zinc/00/14/71/640001471.db2.gz ZMMCULLGUZYREY-MKMNVTDBSA-N 0 3 245.366 2.946 20 0 BFADHN Cc1cn(C)nc1CN1CCC[C@H](C2CCC2)C1 ZINC000931060954 640048609 /nfs/dbraw/zinc/04/86/09/640048609.db2.gz ZYWRIVFMLVSJDQ-AWEZNQCLSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@@H](C1CC1)N1Cc2ccc(O)cc2C1 ZINC000931165489 640084818 /nfs/dbraw/zinc/08/48/18/640084818.db2.gz LHASDSQDKMNMNC-VIFPVBQESA-N 0 3 203.285 2.506 20 0 BFADHN CCSCCN1CCC(c2ncco2)CC1 ZINC000931445749 640209536 /nfs/dbraw/zinc/20/95/36/640209536.db2.gz CTMNBFIFXWUKDY-UHFFFAOYSA-N 0 3 240.372 2.607 20 0 BFADHN CCCCCCN1Cc2c[nH]nc2[C@@H](C)C1 ZINC000931458189 640217126 /nfs/dbraw/zinc/21/71/26/640217126.db2.gz FRQVZMVWCLNZAP-NSHDSACASA-N 0 3 221.348 2.909 20 0 BFADHN C[C@@H]1c2sccc2CCN1CCOC1CC1 ZINC000931696148 640319957 /nfs/dbraw/zinc/31/99/57/640319957.db2.gz YMAHYMSUVNJPGJ-SNVBAGLBSA-N 0 3 237.368 2.846 20 0 BFADHN C[C@@H](c1ccc(F)nc1)N(C)CCCF ZINC000931764693 640356086 /nfs/dbraw/zinc/35/60/86/640356086.db2.gz UJWMUJKZFLYKAW-VIFPVBQESA-N 0 3 214.259 2.573 20 0 BFADHN CC(C)C[C@H](O)CNCc1ccccc1Cl ZINC000163734790 640411529 /nfs/dbraw/zinc/41/15/29/640411529.db2.gz CMMZFRNABXHQKH-LBPRGKRZSA-N 0 3 241.762 2.837 20 0 BFADHN CC(C)(C)OC[C@@H]1CCCN1Cc1cccnc1 ZINC000932172485 640448875 /nfs/dbraw/zinc/44/88/75/640448875.db2.gz TUPYZZVKVNRURH-AWEZNQCLSA-N 0 3 248.370 2.861 20 0 BFADHN C[C@@H](NC1CCOCC1)c1c(F)cccc1F ZINC000036319261 640475470 /nfs/dbraw/zinc/47/54/70/640475470.db2.gz GDHSJPMUEZQJCJ-SECBINFHSA-N 0 3 241.281 2.794 20 0 BFADHN CCC(C)(CC)NC(=O)[C@H]1CCCN1C(C)C ZINC000932737074 640605827 /nfs/dbraw/zinc/60/58/27/640605827.db2.gz KCWQQMDPFOCCKF-GFCCVEGCSA-N 0 3 240.391 2.554 20 0 BFADHN Cc1cc(N[C@H]2CCCC(C)(C)C2)nc(N)n1 ZINC000167075298 640699976 /nfs/dbraw/zinc/69/99/76/640699976.db2.gz YRNIZGGTTGYUCF-JTQLQIEISA-N 0 3 234.347 2.748 20 0 BFADHN CSCCCN(Cc1ccoc1)C1CC1 ZINC000934004142 640803709 /nfs/dbraw/zinc/80/37/09/640803709.db2.gz LBFYYWVWPFMWJR-UHFFFAOYSA-N 0 3 225.357 2.997 20 0 BFADHN CCCCCCNC(=O)CN(C(C)C)C1CC1 ZINC000061164573 640931617 /nfs/dbraw/zinc/93/16/17/640931617.db2.gz GQZBJAMFSDELFP-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@H]1CC[C@H](CNCc2ncc(Cl)n2C)C1 ZINC000230416301 641054196 /nfs/dbraw/zinc/05/41/96/641054196.db2.gz HSYRSZIAHLQUFZ-UWVGGRQHSA-N 0 3 241.766 2.599 20 0 BFADHN Cc1cc(N[C@@H](C)c2ccsc2)nc(N)n1 ZINC000087828441 641057971 /nfs/dbraw/zinc/05/79/71/641057971.db2.gz QXEFHNOTHJGTRO-QMMMGPOBSA-N 0 3 234.328 2.602 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1ncc(Cl)n1C ZINC000230671788 641078533 /nfs/dbraw/zinc/07/85/33/641078533.db2.gz RCTOBGZDBAOFGK-ZJUUUORDSA-N 0 3 241.766 2.599 20 0 BFADHN COC1CC(N[C@@H](C)c2ccccc2F)C1 ZINC000230675797 641080090 /nfs/dbraw/zinc/08/00/90/641080090.db2.gz XIMSVUAVPGXOJB-WHXUTIOJSA-N 0 3 223.291 2.654 20 0 BFADHN Cc1ccccc1[C@@H](C)NC1COC(C)(C)OC1 ZINC000230681497 641081647 /nfs/dbraw/zinc/08/16/47/641081647.db2.gz VYXDNUKVRBNGQE-GFCCVEGCSA-N 0 3 249.354 2.797 20 0 BFADHN CS[C@H]1CC[C@@H](NCc2nccs2)C1 ZINC000230698095 641085112 /nfs/dbraw/zinc/08/51/12/641085112.db2.gz GXKASSOCQFIPSH-BDAKNGLRSA-N 0 3 228.386 2.517 20 0 BFADHN COc1ccc(C)cc1CN(C)[C@@H]1CC[C@@H]1OC ZINC000934123810 641085484 /nfs/dbraw/zinc/08/54/84/641085484.db2.gz AUAAUDZDEOWGLZ-HIFRSBDPSA-N 0 3 249.354 2.613 20 0 BFADHN COC1CC(NCc2cccc(Cl)c2F)C1 ZINC000230689314 641087632 /nfs/dbraw/zinc/08/76/32/641087632.db2.gz HQIAAWSNJJXIKS-UHFFFAOYSA-N 0 3 243.709 2.746 20 0 BFADHN CCC[C@@](C)(NCC(C)=O)c1ccccc1 ZINC000934180495 641094245 /nfs/dbraw/zinc/09/42/45/641094245.db2.gz RENUZKAOAQKMGK-CQSZACIVSA-N 0 3 219.328 2.881 20 0 BFADHN CCCCC1(NCc2cc3n(n2)CCC3)CC1 ZINC000934199954 641099477 /nfs/dbraw/zinc/09/94/77/641099477.db2.gz DBVXKSXAYOONGS-UHFFFAOYSA-N 0 3 233.359 2.642 20 0 BFADHN CCCCC1(NCc2nn(C)cc2C)CC1 ZINC000934205146 641101413 /nfs/dbraw/zinc/10/14/13/641101413.db2.gz YDXIJBQIFYLKTB-UHFFFAOYSA-N 0 3 221.348 2.541 20 0 BFADHN C[C@H]1CSCCCN1C/C=C\Cl ZINC000934302657 641123363 /nfs/dbraw/zinc/12/33/63/641123363.db2.gz XDDZLTQDZJQZOY-MDHMXLOGSA-N 0 3 205.754 2.566 20 0 BFADHN C[C@H]1CN(CCCF)CCN1c1ccccc1 ZINC000934323158 641125163 /nfs/dbraw/zinc/12/51/63/641125163.db2.gz NLHVQOQFMAZIEA-ZDUSSCGKSA-N 0 3 236.334 2.557 20 0 BFADHN Cc1cccc(CN[C@H]2CCOC23CCCC3)n1 ZINC000934380531 641135686 /nfs/dbraw/zinc/13/56/86/641135686.db2.gz BWPAXZVRXGVOBQ-AWEZNQCLSA-N 0 3 246.354 2.581 20 0 BFADHN CN(Cc1cnc(Cl)cn1)C1CCCCC1 ZINC000231275293 641151799 /nfs/dbraw/zinc/15/17/99/641151799.db2.gz VFXOWHDOUGPYRC-UHFFFAOYSA-N 0 3 239.750 2.895 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cnc(Cl)cn1 ZINC000231283053 641154421 /nfs/dbraw/zinc/15/44/21/641154421.db2.gz KSRMNYVQDSBMFK-SNVBAGLBSA-N 0 3 241.766 2.998 20 0 BFADHN C[C@H]1CCSCCN1C/C=C\Cl ZINC000307943707 641198474 /nfs/dbraw/zinc/19/84/74/641198474.db2.gz FVRLGUHNZUQPHD-MDHMXLOGSA-N 0 3 205.754 2.566 20 0 BFADHN CC1(C)CCC(CN2CC[C@H](O)[C@H](F)C2)CC1 ZINC000934570863 641199265 /nfs/dbraw/zinc/19/92/65/641199265.db2.gz NPMXTJMYMKJKET-OLZOCXBDSA-N 0 3 243.366 2.608 20 0 BFADHN CSCC(C)(C)NCc1ccc(Cl)cn1 ZINC000934662533 641237880 /nfs/dbraw/zinc/23/78/80/641237880.db2.gz FWVZFAZYCZGOST-UHFFFAOYSA-N 0 3 244.791 2.966 20 0 BFADHN CSCC(C)(C)NCc1cccnc1Cl ZINC000934670392 641241364 /nfs/dbraw/zinc/24/13/64/641241364.db2.gz NTKDAFZSKAZIQW-UHFFFAOYSA-N 0 3 244.791 2.966 20 0 BFADHN CCc1noc(C)c1CNC(C)(C)CSC ZINC000934676811 641244606 /nfs/dbraw/zinc/24/46/06/641244606.db2.gz WYHJBJSZALIZKV-UHFFFAOYSA-N 0 3 242.388 2.777 20 0 BFADHN CSCC(C)(C)NCc1cn2ccccc2n1 ZINC000934676212 641244999 /nfs/dbraw/zinc/24/49/99/641244999.db2.gz JTTYRXYVAFNVRW-UHFFFAOYSA-N 0 3 249.383 2.566 20 0 BFADHN CSCC[C@H](C)[NH2+]Cc1ccc([O-])c(F)c1 ZINC000232423435 641277450 /nfs/dbraw/zinc/27/74/50/641277450.db2.gz ZHELEECUQOZAMR-VIFPVBQESA-N 0 3 243.347 2.763 20 0 BFADHN CC(C)[C@H](N)C(=O)Nc1ccc(C(F)F)cc1 ZINC000386250778 711500821 /nfs/dbraw/zinc/50/08/21/711500821.db2.gz RPDPFNVWXXBASR-JTQLQIEISA-N 0 3 242.269 2.546 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccco1)c1ccc(F)cc1 ZINC000088264484 641330982 /nfs/dbraw/zinc/33/09/82/641330982.db2.gz RGZUABOQGNCFLO-MFKMUULPSA-N 0 3 249.285 2.803 20 0 BFADHN CC(C)n1cc([C@@H](C)NC[C@@H]2CC2(C)C)nn1 ZINC000925394246 641424646 /nfs/dbraw/zinc/42/46/46/641424646.db2.gz VNJOFJQVEPGNRZ-MNOVXSKESA-N 0 3 236.363 2.556 20 0 BFADHN CCCC[C@H](CC)CNC(=O)[C@@H](N)C(C)(C)C ZINC000040806560 641537862 /nfs/dbraw/zinc/53/78/62/641537862.db2.gz XNTBYYMDIZVRLM-NWDGAFQWSA-N 0 3 242.407 2.692 20 0 BFADHN c1c(CN2CC[C@@H]3C[C@@H]32)onc1-c1ccccc1 ZINC000761078969 641572329 /nfs/dbraw/zinc/57/23/29/641572329.db2.gz ANXFGWDVHPVGTK-DOMZBBRYSA-N 0 3 240.306 2.936 20 0 BFADHN OCc1cc(N2CCC[C@@H]2C2CCCC2)ccn1 ZINC000045086290 641610069 /nfs/dbraw/zinc/61/00/69/641610069.db2.gz HRWKXQFWPBPIGB-OAHLLOKOSA-N 0 3 246.354 2.733 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1ccc(F)nc1 ZINC000189313605 641802121 /nfs/dbraw/zinc/80/21/21/641802121.db2.gz NDEQNPVOLUSJMP-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN CC1(C)CCCN1Cc1ccc(F)nc1 ZINC000189314308 641802715 /nfs/dbraw/zinc/80/27/15/641802715.db2.gz FAHUGZWOEMLVDA-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1ccc(F)nc1 ZINC000189377092 641804346 /nfs/dbraw/zinc/80/43/46/641804346.db2.gz KEROYCZYZNIWDP-SNVBAGLBSA-N 0 3 242.363 2.794 20 0 BFADHN Fc1cc(CNC2CSC2)ccc1Cl ZINC000076678739 641820475 /nfs/dbraw/zinc/82/04/75/641820475.db2.gz DVVJFWPNZPITFH-UHFFFAOYSA-N 0 3 231.723 2.684 20 0 BFADHN Fc1ccccc1C1(NCC[C@H]2CCCO2)CC1 ZINC000189850278 641820666 /nfs/dbraw/zinc/82/06/66/641820666.db2.gz BJLAFGAUJGZHBR-GFCCVEGCSA-N 0 3 249.329 2.974 20 0 BFADHN CC[C@H]1CCCC[C@@H]1CN1CCOCC1 ZINC000628116858 641857831 /nfs/dbraw/zinc/85/78/31/641857831.db2.gz HHDDVOQVKFEGHY-QWHCGFSZSA-N 0 3 211.349 2.535 20 0 BFADHN C[C@@H](NCC1(C)OCCCO1)c1cccs1 ZINC000190670944 641879629 /nfs/dbraw/zinc/87/96/29/641879629.db2.gz HWRMRQUVXNZFBG-SNVBAGLBSA-N 0 3 241.356 2.552 20 0 BFADHN Cc1cc(CNC[C@H](O)c2ccco2)ccc1F ZINC000078542079 641932184 /nfs/dbraw/zinc/93/21/84/641932184.db2.gz CSPAYLFNXWHCLD-ZDUSSCGKSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1ccc(CNC[C@H](O)c2ccco2)cc1F ZINC000078542068 641933554 /nfs/dbraw/zinc/93/35/54/641933554.db2.gz WFNQHJKFRMSLOB-ZDUSSCGKSA-N 0 3 249.285 2.550 20 0 BFADHN C1=C(CN2CCOC[C@@H]2CC2CC2)CCC1 ZINC000933213170 642393202 /nfs/dbraw/zinc/39/32/02/642393202.db2.gz MGVJAINNDQEWIZ-AWEZNQCLSA-N 0 3 221.344 2.598 20 0 BFADHN CSCC[C@H](C)N(C)C/C=C\Cl ZINC000255307748 642469280 /nfs/dbraw/zinc/46/92/80/642469280.db2.gz CORXVUGTJIDRHA-RNEXMXFGSA-N 0 3 207.770 2.812 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@H]1C[C@@H](C)n2ncnc21 ZINC000925479418 642478767 /nfs/dbraw/zinc/47/87/67/642478767.db2.gz YVEFDPLTJDHKNQ-YFKTTZPYSA-N 0 3 236.363 2.698 20 0 BFADHN CC(C)[C@@H]1CCCN1Cn1cccnc1=S ZINC000920485197 642509375 /nfs/dbraw/zinc/50/93/75/642509375.db2.gz QZKBUIZZEYLVLG-NSHDSACASA-N 0 3 237.372 2.690 20 0 BFADHN CC(C)N(CC1CC1)Cn1cc(Cl)cn1 ZINC000921186469 642582659 /nfs/dbraw/zinc/58/26/59/642582659.db2.gz PGGRIZSSEYNBAF-UHFFFAOYSA-N 0 3 227.739 2.614 20 0 BFADHN Clc1n[nH]c(C2CC2)c1CNC1CCCC1 ZINC000921472266 642630573 /nfs/dbraw/zinc/63/05/73/642630573.db2.gz AHJZKJUKGYEVMS-UHFFFAOYSA-N 0 3 239.750 2.973 20 0 BFADHN FCCCNC1(c2ccccc2F)CC1 ZINC000179659127 642738412 /nfs/dbraw/zinc/73/84/12/642738412.db2.gz KMECRYVLWCKRSC-UHFFFAOYSA-N 0 3 211.255 2.764 20 0 BFADHN C[C@H](NC/C(Cl)=C/Cl)C1CCOCC1 ZINC000179839936 642748984 /nfs/dbraw/zinc/74/89/84/642748984.db2.gz ISYFCPFGZKSERJ-KZSPTFSBSA-N 0 3 238.158 2.710 20 0 BFADHN COCC[C@@H](C)N1CCc2c(F)cccc2C1 ZINC000270214835 642887717 /nfs/dbraw/zinc/88/77/17/642887717.db2.gz RIKSAGUQXMUHAE-LLVKDONJSA-N 0 3 237.318 2.609 20 0 BFADHN C[C@H](NC/C=C/Cl)c1ccc2c(c1)OCO2 ZINC000182303533 642993381 /nfs/dbraw/zinc/99/33/81/642993381.db2.gz WYRRCBFBBLSNCC-MAHOQKISSA-N 0 3 239.702 2.818 20 0 BFADHN C[C@@H](N[C@H](C)C1(C)CC1)c1nccs1 ZINC000070634014 643052567 /nfs/dbraw/zinc/05/25/67/643052567.db2.gz QRELKLUJSOKTJY-RKDXNWHRSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@@H](N[C@@H](C)C1(C)CC1)c1nccs1 ZINC000070634012 643053041 /nfs/dbraw/zinc/05/30/41/643053041.db2.gz QRELKLUJSOKTJY-BDAKNGLRSA-N 0 3 210.346 2.982 20 0 BFADHN Cc1csc([C@H](C)NC2CCSCC2)n1 ZINC000070650059 643053873 /nfs/dbraw/zinc/05/38/73/643053873.db2.gz OPDZFAPCSHLBRA-VIFPVBQESA-N 0 3 242.413 2.998 20 0 BFADHN CC(=O)[C@@H]1CCCN(Cc2cc(F)ccc2C)C1 ZINC000097849471 643198282 /nfs/dbraw/zinc/19/82/82/643198282.db2.gz HSBCFSZAILVKMA-CYBMUJFWSA-N 0 3 249.329 2.935 20 0 BFADHN Cc1ccc([C@@H](NCc2c[nH]cn2)C2CC2)cc1 ZINC000112340398 643329376 /nfs/dbraw/zinc/32/93/76/643329376.db2.gz YABQJQSXMMLXBX-OAHLLOKOSA-N 0 3 241.338 2.959 20 0 BFADHN Cc1ccc([C@@H](NCc2cnc[nH]2)C2CC2)cc1 ZINC000112340398 643329380 /nfs/dbraw/zinc/32/93/80/643329380.db2.gz YABQJQSXMMLXBX-OAHLLOKOSA-N 0 3 241.338 2.959 20 0 BFADHN CCCCCCC[C@H](C)CNC(=O)[C@@H](N)CC ZINC000236641187 643365831 /nfs/dbraw/zinc/36/58/31/643365831.db2.gz GHCNMZUBMREGEG-STQMWFEESA-N 0 3 242.407 2.837 20 0 BFADHN CCc1ccc(CN[C@H](C)c2ccnn2C)cc1 ZINC000234148770 643532144 /nfs/dbraw/zinc/53/21/44/643532144.db2.gz WXVXRXJDHDDNLD-GFCCVEGCSA-N 0 3 243.354 2.833 20 0 BFADHN Cc1cc(NC[C@@H](C)c2ccccc2)nc(N)n1 ZINC000045724249 643560216 /nfs/dbraw/zinc/56/02/16/643560216.db2.gz LCXMEPFCSFPMAC-SNVBAGLBSA-N 0 3 242.326 2.583 20 0 BFADHN CO[C@H]1CCC[C@@H]1NCc1cc(F)ccc1F ZINC000049948693 643563803 /nfs/dbraw/zinc/56/38/03/643563803.db2.gz LHPVJRSITGUHAN-STQMWFEESA-N 0 3 241.281 2.622 20 0 BFADHN CO[C@H]1CCC[C@H]1NCc1cc(F)ccc1F ZINC000049948695 643564778 /nfs/dbraw/zinc/56/47/78/643564778.db2.gz LHPVJRSITGUHAN-OLZOCXBDSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CC2(Cl)Cl)[C@H]1C ZINC000307711409 643578888 /nfs/dbraw/zinc/57/88/88/643578888.db2.gz TWPISRGQBKUDRP-CSMHCCOUSA-N 0 3 208.132 2.520 20 0 BFADHN Cc1nn(C)c(CNCCC(C)C)c1Cl ZINC000084270357 643653098 /nfs/dbraw/zinc/65/30/98/643653098.db2.gz FCEPUDLPECOYRI-UHFFFAOYSA-N 0 3 229.755 2.518 20 0 BFADHN Cc1cnc(Cl)c(CN[C@@H]2CCSC2)c1 ZINC000921794331 643791462 /nfs/dbraw/zinc/79/14/62/643791462.db2.gz SJMROUKYELPUNC-SNVBAGLBSA-N 0 3 242.775 2.639 20 0 BFADHN CC(C)=CCNCc1cnn(CC2CCC2)c1 ZINC000922047502 643854018 /nfs/dbraw/zinc/85/40/18/643854018.db2.gz UEEIGSVMWLFSID-UHFFFAOYSA-N 0 3 233.359 2.739 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccccc1)c1ccco1 ZINC000035188409 643915060 /nfs/dbraw/zinc/91/50/60/643915060.db2.gz QZGTYCZTXUEEPJ-WCQYABFASA-N 0 3 231.295 2.664 20 0 BFADHN C1=C[C@H](NCc2nccc3c2CCCC3)CC1 ZINC000922242927 643915967 /nfs/dbraw/zinc/91/59/67/643915967.db2.gz ZJFCCQJHSFVKQY-ZDUSSCGKSA-N 0 3 228.339 2.769 20 0 BFADHN C1=C[C@@H](NCc2nccc3c2CCCC3)CC1 ZINC000922242926 643916871 /nfs/dbraw/zinc/91/68/71/643916871.db2.gz ZJFCCQJHSFVKQY-CYBMUJFWSA-N 0 3 228.339 2.769 20 0 BFADHN COCc1ccc(CN[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)o1 ZINC000922511865 644009097 /nfs/dbraw/zinc/00/90/97/644009097.db2.gz XSKNYFIJZYQGQW-WBMYTEFPSA-N 0 3 235.327 2.560 20 0 BFADHN CCC[C@@H](C)CN[C@@H](c1ncccn1)C1CC1 ZINC000922579137 644031858 /nfs/dbraw/zinc/03/18/58/644031858.db2.gz PNXWAMFLFURXIV-DGCLKSJQSA-N 0 3 233.359 2.954 20 0 BFADHN c1cnc([C@@H](NC[C@@H]2CC=CCC2)C2CC2)nc1 ZINC000922581745 644032864 /nfs/dbraw/zinc/03/28/64/644032864.db2.gz JDKRIOUZFCSFLO-OCCSQVGLSA-N 0 3 243.354 2.874 20 0 BFADHN Cc1ccc2oc(CN[C@@H]3COC[C@@H]3C)cc2c1 ZINC000922642538 644057953 /nfs/dbraw/zinc/05/79/53/644057953.db2.gz XRBIUMMJOGOMHY-SMDDNHRTSA-N 0 3 245.322 2.866 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)NCc1cnc[nH]1 ZINC000922668800 644063889 /nfs/dbraw/zinc/06/38/89/644063889.db2.gz IXARPDXFHZWGOX-JTQLQIEISA-N 0 3 233.290 2.708 20 0 BFADHN CCC[C@H](O)CCNCc1ccc(C)cc1F ZINC000922819701 644108829 /nfs/dbraw/zinc/10/88/29/644108829.db2.gz ZBFALZFLXGWLNB-ZDUSSCGKSA-N 0 3 239.334 2.775 20 0 BFADHN CCC[C@H](O)CCNCc1cc(C)ccc1F ZINC000922818850 644108972 /nfs/dbraw/zinc/10/89/72/644108972.db2.gz DZNAPLGLUZWYDN-ZDUSSCGKSA-N 0 3 239.334 2.775 20 0 BFADHN CCC[C@@H](O)CCNCc1ccc(C)cc1F ZINC000922819699 644109315 /nfs/dbraw/zinc/10/93/15/644109315.db2.gz ZBFALZFLXGWLNB-CYBMUJFWSA-N 0 3 239.334 2.775 20 0 BFADHN Cc1nc(CN[C@H]2CCS[C@H](C)C2)cs1 ZINC000922843357 644117989 /nfs/dbraw/zinc/11/79/89/644117989.db2.gz QNPPZVNYLPCCQT-SCZZXKLOSA-N 0 3 242.413 2.825 20 0 BFADHN Cc1nc(CN[C@H]2CCS[C@@H](C)C2)cs1 ZINC000922843359 644118454 /nfs/dbraw/zinc/11/84/54/644118454.db2.gz QNPPZVNYLPCCQT-WPRPVWTQSA-N 0 3 242.413 2.825 20 0 BFADHN CC[C@H]1CCC[C@@H](Nc2cc(C)nc(N)n2)C1 ZINC000086485545 644141003 /nfs/dbraw/zinc/14/10/03/644141003.db2.gz UWCZHPHQUWDPAO-WDEREUQCSA-N 0 3 234.347 2.748 20 0 BFADHN Cc1nc(CNC2CCCC2)nc2ccccc12 ZINC000073886626 644143236 /nfs/dbraw/zinc/14/32/36/644143236.db2.gz IQOLJFBIJSPKLF-UHFFFAOYSA-N 0 3 241.338 2.970 20 0 BFADHN C[C@H]1CN(Cc2ccncc2)C[C@H](C)C1(F)F ZINC000625647142 644168234 /nfs/dbraw/zinc/16/82/34/644168234.db2.gz DPOHSPHXZUSPKC-QWRGUYRKSA-N 0 3 240.297 2.805 20 0 BFADHN CC(C)[C@@H]1CCCN(c2ccnc(CO)c2)CC1 ZINC000079359481 644176415 /nfs/dbraw/zinc/17/64/15/644176415.db2.gz DXHRXDOGMRIPHK-CYBMUJFWSA-N 0 3 248.370 2.836 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@@H]1[NH2+]Cc1cncc([O-])c1 ZINC000922976346 644207900 /nfs/dbraw/zinc/20/79/00/644207900.db2.gz WJNNYCRBHOMXPI-MFKMUULPSA-N 0 3 234.343 2.702 20 0 BFADHN C[C@H]1CCC[C@H]([NH2+]Cc2cncc([O-])c2)CC1 ZINC000922976557 644212156 /nfs/dbraw/zinc/21/21/56/644212156.db2.gz ZXHBMWIZKLJMOA-AAEUAGOBSA-N 0 3 234.343 2.846 20 0 BFADHN Cc1cc(NCC[C@H]2CCC[C@H](C)C2)nc(N)n1 ZINC000083629996 644407491 /nfs/dbraw/zinc/40/74/91/644407491.db2.gz NOTDWXGLDLVLBE-CMPLNLGQSA-N 0 3 248.374 2.996 20 0 BFADHN COCC[C@H](C)N(C)Cc1ccc(Cl)nc1 ZINC000088524928 644522358 /nfs/dbraw/zinc/52/23/58/644522358.db2.gz KZPPLYYUPSZLPR-JTQLQIEISA-N 0 3 242.750 2.592 20 0 BFADHN C[C@@H](NCCC1CCC1)c1nccs1 ZINC000090233528 644584325 /nfs/dbraw/zinc/58/43/25/644584325.db2.gz CDWCXIWJUUEKMA-SECBINFHSA-N 0 3 210.346 2.984 20 0 BFADHN C[C@H]1CCN(Cc2cccc(Cl)n2)[C@H]1C ZINC000248371460 644677547 /nfs/dbraw/zinc/67/75/47/644677547.db2.gz YQUUFUYNFZLHFU-UWVGGRQHSA-N 0 3 224.735 2.965 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1Cc1ccc(C#N)o1 ZINC000093102879 644678009 /nfs/dbraw/zinc/67/80/09/644678009.db2.gz KUUHILDOJZMQCG-PHIMTYICSA-N 0 3 218.300 2.914 20 0 BFADHN C[C@H](NC[C@H](O)c1ccccc1)c1ccoc1 ZINC000094867804 644730808 /nfs/dbraw/zinc/73/08/08/644730808.db2.gz AFWBIUFUUJUUOS-FZMZJTMJSA-N 0 3 231.295 2.664 20 0 BFADHN CCN(Cc1ccoc1)C[C@@H](O)c1ccccc1 ZINC000303359706 644817943 /nfs/dbraw/zinc/81/79/43/644817943.db2.gz BEUUKNHVMBIGAN-OAHLLOKOSA-N 0 3 245.322 2.835 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](O)CC(C)C)oc1C ZINC000800474852 644834473 /nfs/dbraw/zinc/83/44/73/644834473.db2.gz PCSYVBAHSLFVEM-DGCLKSJQSA-N 0 3 239.359 2.954 20 0 BFADHN CCc1noc(C)c1[C@H](C)NC[C@@H](CC)OC ZINC000810261247 644897586 /nfs/dbraw/zinc/89/75/86/644897586.db2.gz UKZHOTOVWGRLBV-GXSJLCMTSA-N 0 3 240.347 2.621 20 0 BFADHN CCCCNCc1ccnc(Cl)c1F ZINC000823613172 645048330 /nfs/dbraw/zinc/04/83/30/645048330.db2.gz AGLWEBXQDYVWFI-UHFFFAOYSA-N 0 3 216.687 2.764 20 0 BFADHN Cc1nonc1[C@H](C)N[C@H](C1CC1)C1CCC1 ZINC000926557637 645279124 /nfs/dbraw/zinc/27/91/24/645279124.db2.gz CYZGNOKJOFFTPH-SDBXPKJASA-N 0 3 235.331 2.607 20 0 BFADHN C[C@@H](CC(C)(C)C)N[C@@H](C)c1cc(CO)on1 ZINC000926565730 645287297 /nfs/dbraw/zinc/28/72/97/645287297.db2.gz WLVICNSKDFQPSA-UWVGGRQHSA-N 0 3 240.347 2.642 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1ccnn1CC1CCC1 ZINC000926613697 645314978 /nfs/dbraw/zinc/31/49/78/645314978.db2.gz DQBXZIYMMDULIG-FZMZJTMJSA-N 0 3 245.370 2.886 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2CC[C@H]3C[C@H]3C2)nn1 ZINC000926640991 645329118 /nfs/dbraw/zinc/32/91/18/645329118.db2.gz APNGGMUVHJQDLW-ZDEQEGDKSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1cn(C)cn1 ZINC000926652035 645336548 /nfs/dbraw/zinc/33/65/48/645336548.db2.gz SHECQCPBQLLLHY-GRYCIOLGSA-N 0 3 235.375 2.895 20 0 BFADHN COc1cc([C@H](C)N[C@H](C)C(C)(C)C)on1 ZINC000926661431 645342664 /nfs/dbraw/zinc/34/26/64/645342664.db2.gz WVPVCKHWWFBZKW-DTWKUNHWSA-N 0 3 226.320 2.768 20 0 BFADHN CCC(C)(C)[C@@H](C)N[C@@H](C)c1cc(CO)on1 ZINC000926684926 645354723 /nfs/dbraw/zinc/35/47/23/645354723.db2.gz FXUHGLNTHPVTFV-VHSXEESVSA-N 0 3 240.347 2.642 20 0 BFADHN CC[C@@H](C)CCN[C@@H](C)c1nn(CC)nc1C ZINC000926688983 645357239 /nfs/dbraw/zinc/35/72/39/645357239.db2.gz HSPQCTQIQYAYOY-MNOVXSKESA-N 0 3 238.379 2.693 20 0 BFADHN C[C@H](N[C@H]1C=CCC1)c1ccnn1C1CCC1 ZINC000926689339 645360997 /nfs/dbraw/zinc/36/09/97/645360997.db2.gz JRUXEQGLJXMMRX-RYUDHWBXSA-N 0 3 231.343 2.977 20 0 BFADHN C[C@H](N[C@H]1C=CCC1)c1cc(O)cc(F)c1 ZINC000926693002 645363339 /nfs/dbraw/zinc/36/33/39/645363339.db2.gz ZWHQBMMAUHTLKE-CABZTGNLSA-N 0 3 221.275 2.901 20 0 BFADHN C[C@H]([NH2+][C@H]1C=CCC1)c1cc([O-])cc(F)c1 ZINC000926693002 645363345 /nfs/dbraw/zinc/36/33/45/645363345.db2.gz ZWHQBMMAUHTLKE-CABZTGNLSA-N 0 3 221.275 2.901 20 0 BFADHN C[C@@H](NC[C@H]1CCC[C@@H](C)O1)c1ccns1 ZINC000926703464 645369491 /nfs/dbraw/zinc/36/94/91/645369491.db2.gz UIWAVENVSHCLBU-GMTAPVOTSA-N 0 3 240.372 2.751 20 0 BFADHN CC(C)(C)[C@H]1CCC[C@@H]1NCc1ncccn1 ZINC000926709761 645372412 /nfs/dbraw/zinc/37/24/12/645372412.db2.gz KVJNZJRDUVRQCN-RYUDHWBXSA-N 0 3 233.359 2.781 20 0 BFADHN COc1ccnc([C@@H](C)NCC2=CCCC2)c1 ZINC000926717395 645382060 /nfs/dbraw/zinc/38/20/60/645382060.db2.gz RBTCNNMIRFTIEX-LLVKDONJSA-N 0 3 232.327 2.851 20 0 BFADHN COc1ccnc([C@@H](C)N[C@]2(C)CC2(C)C)c1 ZINC000926720991 645384323 /nfs/dbraw/zinc/38/43/23/645384323.db2.gz FTCNDGYASPKKSX-QMTHXVAHSA-N 0 3 234.343 2.929 20 0 BFADHN C[C@@H]1C[C@@H](NCC[C@H]2CC=CCC2)c2ncnn21 ZINC000926759373 645408375 /nfs/dbraw/zinc/40/83/75/645408375.db2.gz KGVQXTDKFUTRCA-FRRDWIJNSA-N 0 3 246.358 2.620 20 0 BFADHN Cc1nonc1[C@H](C)NCC1CCC2(CC2)CC1 ZINC000926789107 645432593 /nfs/dbraw/zinc/43/25/93/645432593.db2.gz PBOQYMYVOCOPCE-JTQLQIEISA-N 0 3 249.358 2.999 20 0 BFADHN COc1cc([C@@H](C)NCCCCC2CC2)on1 ZINC000926801596 645437992 /nfs/dbraw/zinc/43/79/92/645437992.db2.gz OBUNDBPVLPVFKM-SNVBAGLBSA-N 0 3 238.331 2.914 20 0 BFADHN C=C/C=C\CCN[C@@H](C)c1cn(C(C)C)nn1 ZINC000926845395 645454964 /nfs/dbraw/zinc/45/49/64/645454964.db2.gz QAHXRWDBKCSAJX-DGMVEKRQSA-N 0 3 234.347 2.642 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000926889521 645477756 /nfs/dbraw/zinc/47/77/56/645477756.db2.gz XYKOGWXFRZULIQ-JVRMSLSOSA-N 0 3 234.343 2.987 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCC1CC=CC1 ZINC000926948327 645495538 /nfs/dbraw/zinc/49/55/38/645495538.db2.gz DDLIXRYCQYMKIF-GFCCVEGCSA-N 0 3 233.359 2.910 20 0 BFADHN CCn1ccnc1[C@H](C)NCCC1CC=CC1 ZINC000926948328 645497107 /nfs/dbraw/zinc/49/71/07/645497107.db2.gz DDLIXRYCQYMKIF-LBPRGKRZSA-N 0 3 233.359 2.910 20 0 BFADHN COCC[C@@H](C)NCc1c(F)cc(C)cc1F ZINC000927095726 645542776 /nfs/dbraw/zinc/54/27/76/645542776.db2.gz WPUQKIMXBDJXCQ-SNVBAGLBSA-N 0 3 243.297 2.788 20 0 BFADHN COC[C@@H](CN[C@@H](C)c1cc(C)on1)C(C)C ZINC000927097100 645548629 /nfs/dbraw/zinc/54/86/29/645548629.db2.gz RNWLZFZGQYJWLZ-NWDGAFQWSA-N 0 3 240.347 2.552 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1cc(C2CC2)n(C)n1 ZINC000927107823 645550135 /nfs/dbraw/zinc/55/01/35/645550135.db2.gz LSQWVSGXIFPLGW-GXFFZTMASA-N 0 3 233.359 2.576 20 0 BFADHN C[C@@H](NCc1cc(C2CC2)n(C)n1)C1CCC1 ZINC000927113583 645551916 /nfs/dbraw/zinc/55/19/16/645551916.db2.gz JWPFLELRGLVUEK-SNVBAGLBSA-N 0 3 233.359 2.576 20 0 BFADHN C[C@@H](CCC1CC1)NCc1cc(C2CC2)n(C)n1 ZINC000927108323 645553773 /nfs/dbraw/zinc/55/37/73/645553773.db2.gz MNVHVLGPORZOID-NSHDSACASA-N 0 3 247.386 2.966 20 0 BFADHN c1cc(CNC2([C@H]3CCCCO3)CCC2)co1 ZINC000865855746 645724776 /nfs/dbraw/zinc/72/47/76/645724776.db2.gz AGOPZOSQOYHMRG-CYBMUJFWSA-N 0 3 235.327 2.861 20 0 BFADHN c1nc2c([nH]1)CN(CC1CCC3(CC3)CC1)CC2 ZINC000929068582 645931413 /nfs/dbraw/zinc/93/14/13/645931413.db2.gz AEUPKOSCIDSKBK-UHFFFAOYSA-N 0 3 245.370 2.738 20 0 BFADHN c1nc2c([nH]1)CN(C[C@@H]1CC3CCC1CC3)CC2 ZINC000929069480 645932562 /nfs/dbraw/zinc/93/25/62/645932562.db2.gz JXMGLTYMVLIQSE-BPCQOVAHSA-N 0 3 245.370 2.594 20 0 BFADHN COc1ccc2c(c1)OCCN([C@@H](C)C1CC1)C2 ZINC000930355996 645956108 /nfs/dbraw/zinc/95/61/08/645956108.db2.gz AAJSOPGXOCZVIO-NSHDSACASA-N 0 3 247.338 2.688 20 0 BFADHN COC/C(C)=C\CN(C)Cc1ccc(OC)cc1 ZINC000929220856 646020190 /nfs/dbraw/zinc/02/01/90/646020190.db2.gz GXEPCMMVHCJQIP-LCYFTJDESA-N 0 3 249.354 2.720 20 0 BFADHN CC[C@@H]1C[C@H]1CN(C)Cc1c(C)noc1C ZINC000929254444 646036662 /nfs/dbraw/zinc/03/66/62/646036662.db2.gz NYLSDRZQAXSSGT-NEPJUHHUSA-N 0 3 222.332 2.769 20 0 BFADHN CCOCCC[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000924528963 646046287 /nfs/dbraw/zinc/04/62/87/646046287.db2.gz RZKAFTJYAMYPIY-SNVBAGLBSA-N 0 3 241.306 2.609 20 0 BFADHN CCN(Cc1nn(C)cc1C)C1CCCCC1 ZINC000929271190 646052069 /nfs/dbraw/zinc/05/20/69/646052069.db2.gz QQTLNEVDUDVZKG-UHFFFAOYSA-N 0 3 235.375 2.883 20 0 BFADHN CCCC[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000924532238 646052772 /nfs/dbraw/zinc/05/27/72/646052772.db2.gz TYYYZJXUDUXBMC-VIFPVBQESA-N 0 3 211.280 2.982 20 0 BFADHN COc1cc([C@H](C)NCC2CCCCC2)on1 ZINC000924537937 646057538 /nfs/dbraw/zinc/05/75/38/646057538.db2.gz DAKDPEKDUWJTRL-JTQLQIEISA-N 0 3 238.331 2.914 20 0 BFADHN CC(C)n1cc([C@H](C)NC2CCCCC2)nn1 ZINC000924544528 646063370 /nfs/dbraw/zinc/06/33/70/646063370.db2.gz ZTERLLVYLIBBQT-NSHDSACASA-N 0 3 236.363 2.842 20 0 BFADHN CCCCCN[C@@H](C)c1cc(OC)no1 ZINC000924544406 646064517 /nfs/dbraw/zinc/06/45/17/646064517.db2.gz WBCQHBJOJIOKHD-VIFPVBQESA-N 0 3 212.293 2.524 20 0 BFADHN CC(C)n1cc([C@@H](C)NC2CCCCC2)nn1 ZINC000924544527 646064781 /nfs/dbraw/zinc/06/47/81/646064781.db2.gz ZTERLLVYLIBBQT-LLVKDONJSA-N 0 3 236.363 2.842 20 0 BFADHN CC(C)[C@H](C)N[C@H](C)c1cn(C(C)C)nn1 ZINC000924569078 646070116 /nfs/dbraw/zinc/07/01/16/646070116.db2.gz FZFTXWVVEDSHHQ-WDEREUQCSA-N 0 3 224.352 2.554 20 0 BFADHN CC(C)CCCN[C@H](C)c1cn(C(C)C)nn1 ZINC000924604461 646072216 /nfs/dbraw/zinc/07/22/16/646072216.db2.gz SWGLUGYFSHWUFX-GFCCVEGCSA-N 0 3 238.379 2.946 20 0 BFADHN Clc1cccc2c1[C@H](NCC1CC1)COC2 ZINC000924629593 646078711 /nfs/dbraw/zinc/07/87/11/646078711.db2.gz VKOIONCXEJVQSO-GFCCVEGCSA-N 0 3 237.730 2.911 20 0 BFADHN CC(C)n1cc([C@H](C)NCC2CCCC2)nn1 ZINC000924777791 646104322 /nfs/dbraw/zinc/10/43/22/646104322.db2.gz KDLVJBDFBLXBLU-NSHDSACASA-N 0 3 236.363 2.700 20 0 BFADHN Cc1occc1CN[C@H](C)C1(Cl)CC1 ZINC000924823358 646113224 /nfs/dbraw/zinc/11/32/24/646113224.db2.gz AILBHYOXJUQUDG-SECBINFHSA-N 0 3 213.708 2.838 20 0 BFADHN C[C@H](NCCc1ccccc1O)c1ccns1 ZINC000924899650 646127787 /nfs/dbraw/zinc/12/77/87/646127787.db2.gz YCUMFCPFIRUKGM-JTQLQIEISA-N 0 3 248.351 2.742 20 0 BFADHN C[C@@H]1C[C@H]1N[C@@H]1CCc2c1nccc2Cl ZINC000924947981 646138259 /nfs/dbraw/zinc/13/82/59/646138259.db2.gz FHFDMTSXGOLZBB-AVPPRXQKSA-N 0 3 222.719 2.720 20 0 BFADHN CSC[C@H](C)N[C@@H](C)c1ccns1 ZINC000925098063 646183818 /nfs/dbraw/zinc/18/38/18/646183818.db2.gz TWQLJWQCIKCIRL-YUMQZZPRSA-N 0 3 216.375 2.545 20 0 BFADHN CSC[C@@H](C)N[C@H](C)c1ccns1 ZINC000925098058 646184190 /nfs/dbraw/zinc/18/41/90/646184190.db2.gz TWQLJWQCIKCIRL-HTQZYQBOSA-N 0 3 216.375 2.545 20 0 BFADHN CC[C@@H]1CCC[C@@H](N[C@H](C)c2nonc2C)C1 ZINC000925120043 646188979 /nfs/dbraw/zinc/18/89/79/646188979.db2.gz HTBOVBMJMAMBQF-YUSALJHKSA-N 0 3 237.347 2.997 20 0 BFADHN CCCC[C@H](CCC)N[C@H](C)c1cnn(C)n1 ZINC000925214961 646212222 /nfs/dbraw/zinc/21/22/22/646212222.db2.gz AXFYIEOSANOVRE-NEPJUHHUSA-N 0 3 238.379 2.825 20 0 BFADHN CCC1(CN[C@H](C)c2nonc2C)CCCC1 ZINC000925279457 646228731 /nfs/dbraw/zinc/22/87/31/646228731.db2.gz WXZIRMWPMOEKPZ-SNVBAGLBSA-N 0 3 237.347 2.999 20 0 BFADHN CCC[C@@H](CC)N[C@@H](C)c1nn(CC)nc1C ZINC000925351509 646251262 /nfs/dbraw/zinc/25/12/62/646251262.db2.gz KTQPUVNYYFOZIS-CMPLNLGQSA-N 0 3 238.379 2.836 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2CCC[C@@H]2C)nn1 ZINC000925371307 646253093 /nfs/dbraw/zinc/25/30/93/646253093.db2.gz OSJXMJCSPLNMPM-SDDRHHMPSA-N 0 3 236.363 2.698 20 0 BFADHN CCc1ncc(CN2CCC[C@H](C)[C@H]2C)o1 ZINC000929704215 646260095 /nfs/dbraw/zinc/26/00/95/646260095.db2.gz PDCOXSWIAURIID-WDEREUQCSA-N 0 3 222.332 2.857 20 0 BFADHN C[C@H]1CN(CCc2ccns2)C[C@H](C)S1 ZINC000929725475 646274419 /nfs/dbraw/zinc/27/44/19/646274419.db2.gz IQERDOPWJPKLLB-UWVGGRQHSA-N 0 3 242.413 2.511 20 0 BFADHN CC[C@H]1CN(CCc2ccns2)CCS1 ZINC000929753137 646284196 /nfs/dbraw/zinc/28/41/96/646284196.db2.gz MENDLYXFYAAIDX-JTQLQIEISA-N 0 3 242.413 2.513 20 0 BFADHN C[C@@H]1CCCN1C[C@H]1CC[C@@H](C(F)(F)F)O1 ZINC000929756413 646288300 /nfs/dbraw/zinc/28/83/00/646288300.db2.gz YAICDUGOBJHMGO-BBBLOLIVSA-N 0 3 237.265 2.581 20 0 BFADHN Cc1nnsc1[C@H](C)N1CC[C@H](C)[C@@H]1C ZINC000929785126 646300158 /nfs/dbraw/zinc/30/01/58/646300158.db2.gz MSLSHDQHOCXFIS-HGNGGELXSA-N 0 3 225.361 2.638 20 0 BFADHN C[C@H]1C[C@@H](NCC2(C)CCCCC2)c2ncnn21 ZINC000925522872 646315165 /nfs/dbraw/zinc/31/51/65/646315165.db2.gz IXHVXPIVFMRSTH-NWDGAFQWSA-N 0 3 248.374 2.844 20 0 BFADHN CN(Cc1cnccn1)C[C@H]1CC2CCC1CC2 ZINC000929797183 646325687 /nfs/dbraw/zinc/32/56/87/646325687.db2.gz ITGUHWPERCZMPD-JXQTWKCFSA-N 0 3 245.370 2.735 20 0 BFADHN CCc1ncc(CN(C)[C@H](C)C2(C)CC2)o1 ZINC000929817867 646337194 /nfs/dbraw/zinc/33/71/94/646337194.db2.gz RWBIEAPMKJZTPZ-SNVBAGLBSA-N 0 3 222.332 2.857 20 0 BFADHN CCC[C@H]1CCCN(Cc2nn(C)cc2C)C1 ZINC000929823599 646338234 /nfs/dbraw/zinc/33/82/34/646338234.db2.gz ARQVMZJBQRDOSD-ZDUSSCGKSA-N 0 3 235.375 2.741 20 0 BFADHN CC[C@@H]1CCN([C@H](C)c2snnc2C)C1 ZINC000929824415 646340490 /nfs/dbraw/zinc/34/04/90/646340490.db2.gz WPJJPHIJQWOXTQ-NXEZZACHSA-N 0 3 225.361 2.639 20 0 BFADHN COC/C(C)=C\CN(C)[C@@H](C)c1cccc(O)c1 ZINC000929971437 646381688 /nfs/dbraw/zinc/38/16/88/646381688.db2.gz LDWIUTQMAIACNE-FSBNRTBOSA-N 0 3 249.354 2.978 20 0 BFADHN Fc1ccc(C2(NCCOC3CC3)CC2)cc1 ZINC000929970776 646382133 /nfs/dbraw/zinc/38/21/33/646382133.db2.gz GOSUGLAZUQWKMQ-UHFFFAOYSA-N 0 3 235.302 2.583 20 0 BFADHN Cn1ccc(CN[C@@H]2[C@@H]3CCCC[C@@H]3C2(C)C)n1 ZINC000925660927 646396351 /nfs/dbraw/zinc/39/63/51/646396351.db2.gz RBVZXEXJJFIJQF-HZSPNIEDSA-N 0 3 247.386 2.725 20 0 BFADHN Cc1nnc(CCN2CC=C(C(C)(C)C)CC2)o1 ZINC000930102905 646436516 /nfs/dbraw/zinc/43/65/16/646436516.db2.gz QBYKFEPPMOFDND-UHFFFAOYSA-N 0 3 249.358 2.599 20 0 BFADHN COC/C(C)=C/CN1CCC[C@@H]1c1cccnc1 ZINC000930115305 646441264 /nfs/dbraw/zinc/44/12/64/646441264.db2.gz MKXRNKXWDBCKJE-MYWXAZLQSA-N 0 3 246.354 2.811 20 0 BFADHN Cc1cc(C)nc(CN2CC[C@H](C(F)F)C2)c1 ZINC000930126262 646449144 /nfs/dbraw/zinc/44/91/44/646449144.db2.gz MULURVVUOIMSCW-NSHDSACASA-N 0 3 240.297 2.785 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cc(N)ccn2)s1 ZINC000925771507 646472184 /nfs/dbraw/zinc/47/21/84/646472184.db2.gz MJTUXXKPBFSHDZ-SNVBAGLBSA-N 0 3 247.367 2.885 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CCC[C@H](C)CC1 ZINC000926243293 646602476 /nfs/dbraw/zinc/60/24/76/646602476.db2.gz KDQUOSWHLWACOM-JBLDHEPKSA-N 0 3 237.347 2.997 20 0 BFADHN CO[C@@H](C)[C@H](C)[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000926249527 646603979 /nfs/dbraw/zinc/60/39/79/646603979.db2.gz HCZGSJRCEZYWTK-AEJSXWLSSA-N 0 3 241.306 2.605 20 0 BFADHN CCn1nc(C)c([C@@H](C)NCC(C)(C)CC)n1 ZINC000926282440 646608277 /nfs/dbraw/zinc/60/82/77/646608277.db2.gz VUTVGVOEELKMHA-SNVBAGLBSA-N 0 3 238.379 2.693 20 0 BFADHN CCC(C)(C)CN[C@@H](C)c1cc(OC)no1 ZINC000926282428 646609805 /nfs/dbraw/zinc/60/98/05/646609805.db2.gz VOCRDIXHUCPUPA-VIFPVBQESA-N 0 3 226.320 2.770 20 0 BFADHN COc1cc([C@H](C)NCCC2(C)CC2)on1 ZINC000926328196 646617427 /nfs/dbraw/zinc/61/74/27/646617427.db2.gz YPWHKRBXNFEYHO-VIFPVBQESA-N 0 3 224.304 2.524 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1ccns1 ZINC000926358773 646625231 /nfs/dbraw/zinc/62/52/31/646625231.db2.gz FSGRRQBVIRXOQW-VWYCJHECSA-N 0 3 240.372 2.751 20 0 BFADHN COc1cc([C@@H](C)NCCC2(F)CCC2)on1 ZINC000926426033 646637268 /nfs/dbraw/zinc/63/72/68/646637268.db2.gz SNGJRNWMFYMCSW-SECBINFHSA-N 0 3 242.294 2.616 20 0 BFADHN Cc1cccc2c1[C@@H](NCc1cncn1C)CC2 ZINC000926445290 646640210 /nfs/dbraw/zinc/64/02/10/646640210.db2.gz XDCUQYNTSXXBCV-AWEZNQCLSA-N 0 3 241.338 2.506 20 0 BFADHN C[C@@H]1COC[C@H]1NCc1ccc(C2CC2)cc1F ZINC000926463404 646649285 /nfs/dbraw/zinc/64/92/85/646649285.db2.gz AWGFMAGJFVYZSL-MEBBXXQBSA-N 0 3 249.329 2.828 20 0 BFADHN c1cn2c(n1)[C@H](NC1CC3(C1)CCCC3)CC2 ZINC000926502582 646664294 /nfs/dbraw/zinc/66/42/94/646664294.db2.gz JLHUBFAMLNJUSV-GFCCVEGCSA-N 0 3 231.343 2.640 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@@H]1C[C@H](C)n2ncnc21 ZINC000926510129 646666965 /nfs/dbraw/zinc/66/69/65/646666965.db2.gz RQVGHWLHESXDEM-UMSGYPCISA-N 0 3 248.374 2.700 20 0 BFADHN CCc1[nH]nc(Cl)c1CN1CC[C@H](C)[C@@H]1C ZINC000892213916 711583173 /nfs/dbraw/zinc/58/31/73/711583173.db2.gz AOONOFGEOAPJKV-IUCAKERBSA-N 0 3 241.766 2.856 20 0 BFADHN CC(C)=CCC[NH2+]Cc1ccc([O-])c(F)c1 ZINC000397603413 711706655 /nfs/dbraw/zinc/70/66/55/711706655.db2.gz FXAHNZXBPOUCOG-UHFFFAOYSA-N 0 3 223.291 2.977 20 0 BFADHN Cc1ccc(CN2CC(C)(C)CC[C@@H]2CO)o1 ZINC000701637146 712025957 /nfs/dbraw/zinc/02/59/57/712025957.db2.gz LZJWYNGLIRSICI-GFCCVEGCSA-N 0 3 237.343 2.571 20 0 BFADHN CC1(C)C[C@@]1(C)CNCc1nccs1 ZINC000701973489 712033928 /nfs/dbraw/zinc/03/39/28/712033928.db2.gz QQCLEWTYYJWZBL-NSHDSACASA-N 0 3 210.346 2.669 20 0 BFADHN Cc1cnc(CNC[C@]2(C)CC2(C)C)s1 ZINC000701991501 712035360 /nfs/dbraw/zinc/03/53/60/712035360.db2.gz CDMYVTBTTXYBJA-LBPRGKRZSA-N 0 3 224.373 2.977 20 0 BFADHN Cc1nnc(CNC(C)(C)C2CCC2)s1 ZINC000704719337 712114294 /nfs/dbraw/zinc/11/42/94/712114294.db2.gz OKTCMVRTSQWYJY-UHFFFAOYSA-N 0 3 225.361 2.515 20 0 BFADHN C[C@H](NCc1cc(Cl)n(C)n1)C1CCCC1 ZINC000706168934 712141630 /nfs/dbraw/zinc/14/16/30/712141630.db2.gz QZRQYIUMISSFTD-VIFPVBQESA-N 0 3 241.766 2.742 20 0 BFADHN CC(C)CCCN[C@H](C)c1cn(C)cn1 ZINC000709990946 712238100 /nfs/dbraw/zinc/23/81/00/712238100.db2.gz AFYSJADEYZLZHA-LLVKDONJSA-N 0 3 209.337 2.507 20 0 BFADHN C[C@@H](NC[C@H]1C=CCC1)c1cscn1 ZINC000711656958 712315428 /nfs/dbraw/zinc/31/54/28/712315428.db2.gz ULOPFOIVHGOCGO-ZJUUUORDSA-N 0 3 208.330 2.760 20 0 BFADHN CCC(CC)CNCc1nccn1C1CC1 ZINC000711819772 712333394 /nfs/dbraw/zinc/33/33/94/712333394.db2.gz FZAPLRXYCOGHKY-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN Cn1ncc(CNCCC2CCCC2)c1Cl ZINC000378738751 712359956 /nfs/dbraw/zinc/35/99/56/712359956.db2.gz HWHPBMVDQAFEQP-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN CCCOC(=O)[C@H](C)N1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000789449987 712420855 /nfs/dbraw/zinc/42/08/55/712420855.db2.gz ATEXEDPLRZCRQR-LPWJVIDDSA-N 0 3 241.375 2.695 20 0 BFADHN Cc1ncc(CNCC[C@@H]2C[C@H]2C2CC2)o1 ZINC000712685008 712440445 /nfs/dbraw/zinc/44/04/45/712440445.db2.gz LACOZQPYXMJMNU-YPMHNXCESA-N 0 3 220.316 2.509 20 0 BFADHN C=C/C=C\CCNCc1ncc(C)s1 ZINC000713500706 712463708 /nfs/dbraw/zinc/46/37/08/712463708.db2.gz XYJQRJJFOKSQOD-PLNGDYQASA-N 0 3 208.330 2.673 20 0 BFADHN CC1(C)CC[C@H](CNCc2ccco2)OC1 ZINC000713607693 712466747 /nfs/dbraw/zinc/46/67/47/712466747.db2.gz SUYQMGOEYIQSTN-GFCCVEGCSA-N 0 3 223.316 2.574 20 0 BFADHN CCCn1cc(CN[C@H](C)CC(C)(C)C)nn1 ZINC000714416940 712485684 /nfs/dbraw/zinc/48/56/84/712485684.db2.gz QAKGBOPXZHZHOY-LLVKDONJSA-N 0 3 238.379 2.602 20 0 BFADHN CCCn1cc(CNC2CCCCCC2)nn1 ZINC000714512987 712493460 /nfs/dbraw/zinc/49/34/60/712493460.db2.gz HFNLMVMVFMLEBP-UHFFFAOYSA-N 0 3 236.363 2.501 20 0 BFADHN CCCn1cc([C@@H](C)N[C@H](C)C2(C)CC2)nn1 ZINC000715864606 712537042 /nfs/dbraw/zinc/53/70/42/712537042.db2.gz ZKOXKIJIEVGKGY-GHMZBOCLSA-N 0 3 236.363 2.527 20 0 BFADHN CC(C)CCC[C@H](C)N[C@@H](C)c1cn(C)nn1 ZINC000715899453 712541410 /nfs/dbraw/zinc/54/14/10/712541410.db2.gz AUGWJBIEFNRHLB-RYUDHWBXSA-N 0 3 238.379 2.681 20 0 BFADHN C[C@@H](NC[C@H]1CC1(Cl)Cl)c1cccnc1 ZINC000380101212 712686011 /nfs/dbraw/zinc/68/60/11/712686011.db2.gz LWONQHAEKAERAS-PSASIEDQSA-N 0 3 245.153 2.926 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1ccc(Cl)cn1 ZINC000380111718 712687411 /nfs/dbraw/zinc/68/74/11/712687411.db2.gz OKDCCXUMVFVHAV-LDYMZIIASA-N 0 3 242.775 2.719 20 0 BFADHN CCSC1(CNCc2cc(C)co2)CC1 ZINC000718070809 712740609 /nfs/dbraw/zinc/74/06/09/712740609.db2.gz QINICCRQTOURES-UHFFFAOYSA-N 0 3 225.357 2.963 20 0 BFADHN C[C@H]1C[C@H](NCc2cnc(Cl)s2)CS1 ZINC000718176416 712751443 /nfs/dbraw/zinc/75/14/43/712751443.db2.gz PZPSKGAJPHUBAT-BQBZGAKWSA-N 0 3 248.804 2.780 20 0 BFADHN CSC1(CNCc2cnc(Cl)s2)CC1 ZINC000718176438 712751502 /nfs/dbraw/zinc/75/15/02/712751502.db2.gz QCOFKIVVGYXLIE-UHFFFAOYSA-N 0 3 248.804 2.782 20 0 BFADHN CO[C@H]1CCC[C@H](NCc2cscn2)CC1 ZINC000718761040 712781553 /nfs/dbraw/zinc/78/15/53/712781553.db2.gz SGANPUPWSCVOAC-JQWIXIFHSA-N 0 3 240.372 2.580 20 0 BFADHN CCc1cnc(CNC2CC(C(F)F)C2)s1 ZINC000381482691 710645033 /nfs/dbraw/zinc/64/50/33/710645033.db2.gz TXWQBUSEGUWVOO-UHFFFAOYSA-N 0 3 246.326 2.839 20 0 BFADHN Cc1cc(CNCCOC(C)(C)C)c(C)o1 ZINC000381549270 710654862 /nfs/dbraw/zinc/65/48/62/710654862.db2.gz LXAMXZKOQWOFGN-UHFFFAOYSA-N 0 3 225.332 2.801 20 0 BFADHN Cn1cc([C@@H](NC/C=C\Cl)C(C)(C)C)cn1 ZINC000381577921 710657347 /nfs/dbraw/zinc/65/73/47/710657347.db2.gz DAQDJQOARGUYHN-ISALQUGTSA-N 0 3 241.766 2.849 20 0 BFADHN CCCc1nc(C)c(CN[C@@H]2CC[C@H]2C)o1 ZINC000393810440 710763241 /nfs/dbraw/zinc/76/32/41/710763241.db2.gz UIYXRGIBJPBBOG-MWLCHTKSSA-N 0 3 222.332 2.824 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1cnn(C)c1Cl ZINC000382152257 710800913 /nfs/dbraw/zinc/80/09/13/710800913.db2.gz PZMNMCWFGVMDHA-NXEZZACHSA-N 0 3 243.782 2.988 20 0 BFADHN CC/C=C\CN[C@H]1CCc2cccnc21 ZINC000384743036 710953040 /nfs/dbraw/zinc/95/30/40/710953040.db2.gz MGJPOYYVNJVQKF-MVZIDQBPSA-N 0 3 202.301 2.625 20 0 BFADHN C[C@@H]1[C@@H](C)CC[N@@H+]1Cc1ccc([O-])c(F)c1 ZINC000380742580 712902834 /nfs/dbraw/zinc/90/28/34/712902834.db2.gz TYQCIOHDAUPXII-VHSXEESVSA-N 0 3 223.291 2.762 20 0 BFADHN C[C@@H]1[C@@H](C)CC[N@H+]1Cc1ccc([O-])c(F)c1 ZINC000380742580 712902835 /nfs/dbraw/zinc/90/28/35/712902835.db2.gz TYQCIOHDAUPXII-VHSXEESVSA-N 0 3 223.291 2.762 20 0 BFADHN CC(C)CC(C)(C)CNCc1cn(C)cn1 ZINC000390231496 711109773 /nfs/dbraw/zinc/10/97/73/711109773.db2.gz DGPIODVBMVAOJR-UHFFFAOYSA-N 0 3 223.364 2.582 20 0 BFADHN CC[C@@H]1CCC[C@@H]([NH2+][C@@H](C)c2nnc(C)[n-]2)C1 ZINC000392338561 711144196 /nfs/dbraw/zinc/14/41/96/711144196.db2.gz ADRYUCKCHJGWQA-MVWJERBFSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1cc(CNC/C=C/Cl)cs1 ZINC000394493663 711193576 /nfs/dbraw/zinc/19/35/76/711193576.db2.gz SOYZXYHYTWGQPZ-NSCUHMNNSA-N 0 3 201.722 2.899 20 0 BFADHN Clc1ccccc1CNCCOC1CCC1 ZINC000394469098 711192530 /nfs/dbraw/zinc/19/25/30/711192530.db2.gz BDRTWDILXWIEMH-UHFFFAOYSA-N 0 3 239.746 2.999 20 0 BFADHN C[C@H](CCC1CC1)NCc1ncc(Cl)n1C ZINC000381151431 710605496 /nfs/dbraw/zinc/60/54/96/710605496.db2.gz PCINIHOHUXCHSB-SECBINFHSA-N 0 3 241.766 2.742 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)C2(C)CC2)nn1C ZINC000381288685 710617506 /nfs/dbraw/zinc/61/75/06/710617506.db2.gz CKVXMZPQIDRXMJ-MNOVXSKESA-N 0 3 221.348 2.568 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccc[nH]1)c1ccncc1 ZINC000381336760 710623718 /nfs/dbraw/zinc/62/37/18/710623718.db2.gz VZNAYKXBMMEQQI-MNOVXSKESA-N 0 3 215.300 2.822 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccncc1)c1ccc[nH]1 ZINC000381336762 710623966 /nfs/dbraw/zinc/62/39/66/710623966.db2.gz VZNAYKXBMMEQQI-WDEREUQCSA-N 0 3 215.300 2.822 20 0 BFADHN CCCC[C@H](CC)[NH2+][C@H](C)c1nnc(C)[n-]1 ZINC000392383146 711144741 /nfs/dbraw/zinc/14/47/41/711144741.db2.gz NMIPNRLTKZXSPX-KOLCDFICSA-N 0 3 224.352 2.733 20 0 BFADHN Cc1nnc([C@@H](C)N[C@@H](C)CCC(C)C)[nH]1 ZINC000392393697 711146541 /nfs/dbraw/zinc/14/65/41/711146541.db2.gz XDTFEANTGRJJIV-VHSXEESVSA-N 0 3 224.352 2.588 20 0 BFADHN CCC[C@@H](NCc1ccon1)[C@H]1CC1(C)C ZINC000397590320 711387409 /nfs/dbraw/zinc/38/74/09/711387409.db2.gz KBNXCWHIPWZAAU-VXGBXAGGSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1ccc([C@@H](O)[C@H](C)NCc2ccco2)cc1 ZINC000071687521 711520551 /nfs/dbraw/zinc/52/05/51/711520551.db2.gz GSFWNFYIUAFRNZ-WFASDCNBSA-N 0 3 245.322 2.800 20 0 BFADHN CCCn1cc([C@@H](C)NCCC2CC=CC2)nn1 ZINC000724194721 711568335 /nfs/dbraw/zinc/56/83/35/711568335.db2.gz QZTIBFGMWMGIMY-GFCCVEGCSA-N 0 3 248.374 2.695 20 0 BFADHN Cc1csc(CNCCC2CC=CC2)n1 ZINC000724205721 711569444 /nfs/dbraw/zinc/56/94/44/711569444.db2.gz CDECOHPLVWSRBJ-UHFFFAOYSA-N 0 3 222.357 2.897 20 0 BFADHN C[C@H]1C[C@@H](NCC(C)(C)C2CCC2)c2ncnn21 ZINC000926523047 711624005 /nfs/dbraw/zinc/62/40/05/711624005.db2.gz ZAUMSEPENFTUCS-CMPLNLGQSA-N 0 3 248.374 2.700 20 0 BFADHN C[C@H]1C[C@H](NCCC2CCC2)c2nccn21 ZINC000382236197 711665125 /nfs/dbraw/zinc/66/51/25/711665125.db2.gz FMDOTJLFTRNHDJ-JQWIXIFHSA-N 0 3 219.332 2.669 20 0 BFADHN CCc1ccc([C@H](C)NCc2cc(N)ccn2)o1 ZINC000925770394 711742812 /nfs/dbraw/zinc/74/28/12/711742812.db2.gz HKBGYZZVFQJXFE-JTQLQIEISA-N 0 3 245.326 2.670 20 0 BFADHN Cc1nn(C)c(CNCCC2CCC2)c1Cl ZINC000229101196 711837714 /nfs/dbraw/zinc/83/77/14/711837714.db2.gz AZYLOOBAIVMJDF-UHFFFAOYSA-N 0 3 241.766 2.662 20 0 BFADHN COCCCN(C)Cc1cc(C)nc(Cl)c1 ZINC000320581789 711936079 /nfs/dbraw/zinc/93/60/79/711936079.db2.gz OUUORIDJHLEEGI-UHFFFAOYSA-N 0 3 242.750 2.512 20 0 BFADHN COc1ccc(CN[C@@H]2C=CCC2)c(OC)c1 ZINC000700566307 711996032 /nfs/dbraw/zinc/99/60/32/711996032.db2.gz UYBALPJFHHWXEY-GFCCVEGCSA-N 0 3 233.311 2.512 20 0 BFADHN Cc1ncc(CNC[C@]23C[C@H]2CCCC3)o1 ZINC000700836310 712007416 /nfs/dbraw/zinc/00/74/16/712007416.db2.gz FYTAEGVDLMIYBW-YPMHNXCESA-N 0 3 220.316 2.653 20 0 BFADHN Cc1ncc(CNC2C(C)(C)C2(C)C)o1 ZINC000700828572 712007959 /nfs/dbraw/zinc/00/79/59/712007959.db2.gz CAHHHWDMSQHIRS-UHFFFAOYSA-N 0 3 208.305 2.507 20 0 BFADHN CSCCCCCCNCc1cnc(C)o1 ZINC000700845369 712008518 /nfs/dbraw/zinc/00/85/18/712008518.db2.gz NDHBNNSXQCXRGK-UHFFFAOYSA-N 0 3 242.388 2.996 20 0 BFADHN Cc1ccc(CNC2CSC2)cc1Cl ZINC000701978185 712033859 /nfs/dbraw/zinc/03/38/59/712033859.db2.gz BUWRRONBLOOYDD-UHFFFAOYSA-N 0 3 227.760 2.853 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@]2(C)CC2(C)C)c2nccn21 ZINC000701986867 712034945 /nfs/dbraw/zinc/03/49/45/712034945.db2.gz PDCBSUFFIRPYMH-GYSYKLTISA-N 0 3 233.359 2.915 20 0 BFADHN C[C@@H]1C[C@H](NC[C@@]2(C)CC2(C)C)c2nccn21 ZINC000701986871 712035175 /nfs/dbraw/zinc/03/51/75/712035175.db2.gz PDCBSUFFIRPYMH-UHIISALHSA-N 0 3 233.359 2.915 20 0 BFADHN COc1cccc2cc(CNC3CSC3)oc21 ZINC000702028067 712036424 /nfs/dbraw/zinc/03/64/24/712036424.db2.gz CHJZTWBPUBZNCL-UHFFFAOYSA-N 0 3 249.335 2.646 20 0 BFADHN C[C@@H](NCc1cccc(O)c1)C1(Cl)CC1 ZINC000702265463 712042232 /nfs/dbraw/zinc/04/22/32/712042232.db2.gz ZGTHLOKQADRCCG-SECBINFHSA-N 0 3 225.719 2.642 20 0 BFADHN [O-]c1ccc(C[NH2+]CC2=CCCC2)cc1F ZINC000702365559 712048723 /nfs/dbraw/zinc/04/87/23/712048723.db2.gz LLQYEKDFZNNYSX-UHFFFAOYSA-N 0 3 221.275 2.731 20 0 BFADHN [O-]c1ccc(C[NH2+]CCC2(F)CCC2)cc1F ZINC000702506848 712053238 /nfs/dbraw/zinc/05/32/38/712053238.db2.gz RTHOPSVPSAILDI-UHFFFAOYSA-N 0 3 241.281 2.903 20 0 BFADHN CCc1nnc(CN[C@](C)(CC)C2CC2)s1 ZINC000702693370 712059367 /nfs/dbraw/zinc/05/93/67/712059367.db2.gz MMICCTWWJKQYIE-GFCCVEGCSA-N 0 3 239.388 2.769 20 0 BFADHN CCCCCCNC(=O)c1cccc2c1CNC2 ZINC000703038665 712065998 /nfs/dbraw/zinc/06/59/98/712065998.db2.gz IRTONZGADGUQIV-UHFFFAOYSA-N 0 3 246.354 2.600 20 0 BFADHN OCc1cc(N2CCC[C@H](C3CCC3)C2)ccn1 ZINC000703057260 712066023 /nfs/dbraw/zinc/06/60/23/712066023.db2.gz QASRLEVHQVOEEE-ZDUSSCGKSA-N 0 3 246.354 2.590 20 0 BFADHN CCC[C@H](CNCc1cnc(C)s1)OCC ZINC000705330042 712128258 /nfs/dbraw/zinc/12/82/58/712128258.db2.gz HFEFOLGRUDNBEM-LLVKDONJSA-N 0 3 242.388 2.746 20 0 BFADHN CCC[C@@H](CN[C@@H]1CCc2cccnc21)OCC ZINC000705351444 712129855 /nfs/dbraw/zinc/12/98/55/712129855.db2.gz MGCXERIJIYUWFK-UONOGXRCSA-N 0 3 248.370 2.864 20 0 BFADHN C[C@H]1CCCC[C@@H]1NCc1cc(Cl)n(C)n1 ZINC000706167862 712141402 /nfs/dbraw/zinc/14/14/02/712141402.db2.gz LSWLWEQBCPMIGE-ONGXEEELSA-N 0 3 241.766 2.742 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1cc(Cl)n(C)n1 ZINC000706164321 712141691 /nfs/dbraw/zinc/14/16/91/712141691.db2.gz DGWFSCZRYGXCEE-SECBINFHSA-N 0 3 243.782 2.988 20 0 BFADHN CCN(Cc1ccc(Cl)nn1)C1CCC1 ZINC000706761715 712154871 /nfs/dbraw/zinc/15/48/71/712154871.db2.gz VMKSPPAIHQUNLH-UHFFFAOYSA-N 0 3 225.723 2.504 20 0 BFADHN Cc1nn(C)c(CN[C@@H](C)C2(C)CC2)c1Cl ZINC000706902644 712159768 /nfs/dbraw/zinc/15/97/68/712159768.db2.gz AORFXWWTESAUNE-VIFPVBQESA-N 0 3 241.766 2.660 20 0 BFADHN CC(C)n1ccnc1CN[C@@H](C)C1(C)CC1 ZINC000706934319 712161795 /nfs/dbraw/zinc/16/17/95/712161795.db2.gz HGNRHCYUMLZNTN-NSHDSACASA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2COC3(CCC3)C2)c1 ZINC000707898834 712172878 /nfs/dbraw/zinc/17/28/78/712172878.db2.gz JTHYUDCUMUDRAH-LBPRGKRZSA-N 0 3 232.327 2.822 20 0 BFADHN NCc1cc(-c2cnc(C3CC3)o2)cs1 ZINC000707604796 712176026 /nfs/dbraw/zinc/17/60/26/712176026.db2.gz KHNZDOMOWJRRFY-UHFFFAOYSA-N 0 3 220.297 2.739 20 0 BFADHN CC(C)(C)[C@@H]1CCCN(c2ccnc(CO)c2)C1 ZINC000708476451 712180878 /nfs/dbraw/zinc/18/08/78/712180878.db2.gz WYKHKYOABOHMHU-GFCCVEGCSA-N 0 3 248.370 2.836 20 0 BFADHN CC(C)(C)[C@@H]1CCCN(c2ccncc2CO)C1 ZINC000708475187 712181239 /nfs/dbraw/zinc/18/12/39/712181239.db2.gz NGBJEKKIBRGMMC-CYBMUJFWSA-N 0 3 248.370 2.836 20 0 BFADHN OCc1cnccc1N1CC[C@H](C2CCCC2)C1 ZINC000708870170 712201926 /nfs/dbraw/zinc/20/19/26/712201926.db2.gz NTKJVPFRCOUFCC-ZDUSSCGKSA-N 0 3 246.354 2.590 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1cccs1)c1cn(C)cn1 ZINC000709916750 712232758 /nfs/dbraw/zinc/23/27/58/712232758.db2.gz BJIHQJHEVFTFDB-WDEREUQCSA-N 0 3 249.383 2.763 20 0 BFADHN C[C@H](NC[C@@]1(C)CC1(C)C)c1cn(C)cn1 ZINC000709937767 712233567 /nfs/dbraw/zinc/23/35/67/712233567.db2.gz DIROPBPVGOQGQP-GXFFZTMASA-N 0 3 221.348 2.507 20 0 BFADHN Cc1ccccc1CCN[C@@H](C)c1cn(C)cn1 ZINC000709975351 712236617 /nfs/dbraw/zinc/23/66/17/712236617.db2.gz HPLDSUMBYAQWCJ-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC3(CCC3)O2)o1 ZINC000711454975 712303719 /nfs/dbraw/zinc/30/37/19/712303719.db2.gz DLFZORHHOFULBQ-ZDUSSCGKSA-N 0 3 235.327 2.779 20 0 BFADHN C[C@@H](NC[C@H]1CCC2(CCC2)O1)c1ccccn1 ZINC000711484570 712306200 /nfs/dbraw/zinc/30/62/00/712306200.db2.gz LROFUMTXPNPMSD-CHWSQXEVSA-N 0 3 246.354 2.834 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC3(CCC3)O2)nc1 ZINC000711484611 712306544 /nfs/dbraw/zinc/30/65/44/712306544.db2.gz NFNJKTBZYVLXSC-AWEZNQCLSA-N 0 3 246.354 2.581 20 0 BFADHN Cc1nc(CNC[C@H]2C=CCC2)cs1 ZINC000711785701 712329899 /nfs/dbraw/zinc/32/98/99/712329899.db2.gz ITSDWJBBIGCDCI-JTQLQIEISA-N 0 3 208.330 2.507 20 0 BFADHN Cn1ncc(CNCCC(C)(C)C)c1Cl ZINC000378761853 712361185 /nfs/dbraw/zinc/36/11/85/712361185.db2.gz WEQBEWMFGSWWED-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN COC[C@H](N[C@@H]1CC[C@@H]1C)c1ccc(C)o1 ZINC000390669730 712423519 /nfs/dbraw/zinc/42/35/19/712423519.db2.gz VCDXQVYAMCYLLG-WCQGTBRESA-N 0 3 223.316 2.664 20 0 BFADHN CC[C@@H](C)CCNCc1cnn(C)c1Cl ZINC000712405675 712429340 /nfs/dbraw/zinc/42/93/40/712429340.db2.gz HUIUYADYDRNNEF-SECBINFHSA-N 0 3 229.755 2.599 20 0 BFADHN c1csc(CNCC[C@@H]2C[C@H]2C2CC2)n1 ZINC000712681846 712440523 /nfs/dbraw/zinc/44/05/23/712440523.db2.gz PWKOFIUGSIMCIY-MNOVXSKESA-N 0 3 222.357 2.669 20 0 BFADHN Clc1ncsc1CNC1(C2CC2)CC1 ZINC000713461768 712461002 /nfs/dbraw/zinc/46/10/02/712461002.db2.gz PTMGISOYVLCSFA-UHFFFAOYSA-N 0 3 228.748 2.829 20 0 BFADHN C=C/C=C/CCNCc1nc2ccccc2n1C ZINC000713492307 712462414 /nfs/dbraw/zinc/46/24/14/712462414.db2.gz YRRZGDKYTJSCMH-SNAWJCMRSA-N 0 3 241.338 2.795 20 0 BFADHN C=C/C=C/CCNCc1cc(C(=O)OC)c(C)o1 ZINC000713498500 712462913 /nfs/dbraw/zinc/46/29/13/712462913.db2.gz HPJNYKZYRJFILF-AATRIKPKSA-N 0 3 249.310 2.597 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1scnc1Cl ZINC000713500042 712463611 /nfs/dbraw/zinc/46/36/11/712463611.db2.gz BVVPUJCGMUDCOG-RQJHMYQMSA-N 0 3 248.804 2.638 20 0 BFADHN C=C/C=C\CCOc1ccc2c(c1)OCCNC2 ZINC000713652967 712468550 /nfs/dbraw/zinc/46/85/50/712468550.db2.gz MNSVYOHARFWYCT-ARJAWSKDSA-N 0 3 245.322 2.680 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCC(C)(C)O2)nc1 ZINC000714373020 712484568 /nfs/dbraw/zinc/48/45/68/712484568.db2.gz RIAFRAFLVAWZTQ-AWEZNQCLSA-N 0 3 248.370 2.827 20 0 BFADHN CCCn1cc(CN[C@@H](C)CCC(C)C)nn1 ZINC000714459665 712490743 /nfs/dbraw/zinc/49/07/43/712490743.db2.gz NTXBBEVLOAUAIX-LBPRGKRZSA-N 0 3 238.379 2.602 20 0 BFADHN CCCn1cc(CNCCCC(C)(C)C)nn1 ZINC000714467589 712491210 /nfs/dbraw/zinc/49/12/10/712491210.db2.gz GOMLOYOHNIWTSO-UHFFFAOYSA-N 0 3 238.379 2.604 20 0 BFADHN C[C@H](NC[C@H]1CC[C@@H](C2CC2)O1)c1ccco1 ZINC000715406163 712517216 /nfs/dbraw/zinc/51/72/16/712517216.db2.gz YHHWYFIGKBYAOB-SUHUHFCYSA-N 0 3 235.327 2.888 20 0 BFADHN CCCCCC[C@@H](C)N[C@H](C)c1nncn1C ZINC000037017098 712529393 /nfs/dbraw/zinc/52/93/93/712529393.db2.gz VDZFLQRGEGLQQH-VXGBXAGGSA-N 0 3 238.379 2.825 20 0 BFADHN Cc1csc(CNCC[C@@H]2CCCS2)n1 ZINC000715835690 712534437 /nfs/dbraw/zinc/53/44/37/712534437.db2.gz BBGCXHFGWKPQLA-JTQLQIEISA-N 0 3 242.413 2.827 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H](C)C2CCC2)nn1 ZINC000715921519 712542604 /nfs/dbraw/zinc/54/26/04/712542604.db2.gz LRONKFBWERAXHN-QWRGUYRKSA-N 0 3 236.363 2.527 20 0 BFADHN CCCn1cc([C@@H](C)N[C@H](CC)C2CC2)nn1 ZINC000715937476 712544019 /nfs/dbraw/zinc/54/40/19/712544019.db2.gz UUGXDAJIQLABCE-ZYHUDNBSSA-N 0 3 236.363 2.527 20 0 BFADHN CCCn1cc([C@H](C)N[C@H](C)[C@@H](C)CC)nn1 ZINC000715937159 712544237 /nfs/dbraw/zinc/54/42/37/712544237.db2.gz SSVADFWNEVWDEF-TUAOUCFPSA-N 0 3 238.379 2.773 20 0 BFADHN C[C@@H](NCCOc1ccccc1)c1ccns1 ZINC000716031623 712547284 /nfs/dbraw/zinc/54/72/84/712547284.db2.gz SLGVGJIMJBVCLS-LLVKDONJSA-N 0 3 248.351 2.873 20 0 BFADHN C[C@@H](N[C@@H]1C=CCCC1)c1ccns1 ZINC000716057414 712548949 /nfs/dbraw/zinc/54/89/49/712548949.db2.gz SUVWGTGVMJCWAN-NXEZZACHSA-N 0 3 208.330 2.902 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1ccns1)OC ZINC000716000403 712551661 /nfs/dbraw/zinc/55/16/61/712551661.db2.gz SWDWDAMVYCHYNQ-UWVGGRQHSA-N 0 3 228.361 2.609 20 0 BFADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1ccns1 ZINC000715998474 712551935 /nfs/dbraw/zinc/55/19/35/712551935.db2.gz NQLUTPCGYXMCIZ-VHSXEESVSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1[nH]nc(CNCc2ccc(C)cc2)c1C ZINC000716455780 712562322 /nfs/dbraw/zinc/56/23/22/712562322.db2.gz MZIZICJCZUFWIQ-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN Cc1n[nH]c(CNc2cc(C)cc(C)n2)c1C ZINC000716465350 712563071 /nfs/dbraw/zinc/56/30/71/712563071.db2.gz XKMOIHOQJLJJSB-UHFFFAOYSA-N 0 3 230.315 2.650 20 0 BFADHN Cc1[nH]nc(CNCc2ccc(Cl)cc2)c1C ZINC000716471097 712563300 /nfs/dbraw/zinc/56/33/00/712563300.db2.gz VSTRHENAQXRTCF-UHFFFAOYSA-N 0 3 249.745 2.970 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1C[C@H]1[C@H]1C[C@H]1C ZINC000716555686 712563668 /nfs/dbraw/zinc/56/36/68/712563668.db2.gz VWBLEJSYVVMWSJ-ZOBORPQBSA-N 0 3 247.386 2.846 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnc([C@H](C)O)s1 ZINC000809552906 712584388 /nfs/dbraw/zinc/58/43/88/712584388.db2.gz OBEFWCHCCYVXOT-VHSXEESVSA-N 0 3 240.372 2.571 20 0 BFADHN Cc1csc(CNCC[C@@H]2CC[C@H]3C[C@H]32)n1 ZINC000717084188 712629923 /nfs/dbraw/zinc/62/99/23/712629923.db2.gz YNJQBSXIEICJPC-SRVKXCTJSA-N 0 3 236.384 2.977 20 0 BFADHN C[C@H](NC[C@@H]1CCC=CO1)c1cncc(F)c1 ZINC000379973916 712642941 /nfs/dbraw/zinc/64/29/41/712642941.db2.gz PLANBSTZPBZJRU-GWCFXTLKSA-N 0 3 236.290 2.564 20 0 BFADHN C[C@@H](NC[C@@H]1CC(C)(C)CO1)c1cncs1 ZINC000717282910 712644975 /nfs/dbraw/zinc/64/49/75/712644975.db2.gz CLJFELVUPWNVPL-ZJUUUORDSA-N 0 3 240.372 2.609 20 0 BFADHN c1ccc2c(c1)COC[C@@H]2NC[C@H]1CCC=CO1 ZINC000380020330 712671712 /nfs/dbraw/zinc/67/17/12/712671712.db2.gz PBEVASNBZAVRQG-HIFRSBDPSA-N 0 3 245.322 2.540 20 0 BFADHN [O-]c1ccc(C[NH2+]C2(C3CC3)CCC2)cc1F ZINC000717827993 712681715 /nfs/dbraw/zinc/68/17/15/712681715.db2.gz IAJUVTWWHLYBDV-UHFFFAOYSA-N 0 3 235.302 2.954 20 0 BFADHN C[C@H](NC[C@H]1CCC=CO1)c1ccoc1 ZINC000380109692 712687670 /nfs/dbraw/zinc/68/76/70/712687670.db2.gz NVMAJZPTAGAREC-CMPLNLGQSA-N 0 3 207.273 2.623 20 0 BFADHN Cc1coc(CNC[C@@H]2CCN2C(C)(C)C)c1 ZINC000718065079 712741225 /nfs/dbraw/zinc/74/12/25/712741225.db2.gz APGQWHGCTNTIMA-LBPRGKRZSA-N 0 3 236.359 2.550 20 0 BFADHN CCC[C@H](CNCc1cc(C)co1)OCC ZINC000718095573 712741994 /nfs/dbraw/zinc/74/19/94/712741994.db2.gz UJMWUDPALTVWJF-GFCCVEGCSA-N 0 3 225.332 2.883 20 0 BFADHN Cc1coc(CN[C@H]2CS[C@H](C)C2)c1 ZINC000718121513 712745377 /nfs/dbraw/zinc/74/53/77/712745377.db2.gz AAKHTBIHNUDFGH-NXEZZACHSA-N 0 3 211.330 2.572 20 0 BFADHN Cc1coc(CNC[C@H]2CCCCS2)c1 ZINC000718132806 712747198 /nfs/dbraw/zinc/74/71/98/712747198.db2.gz RAVVBESDLYJZIU-GFCCVEGCSA-N 0 3 225.357 2.963 20 0 BFADHN Cc1coc(CNC[C@@H]2CCCS2)c1 ZINC000718147239 712748736 /nfs/dbraw/zinc/74/87/36/712748736.db2.gz WUMJNFIWPLKLTK-NSHDSACASA-N 0 3 211.330 2.573 20 0 BFADHN Cc1coc(CN[C@@H](C)Cc2ccco2)c1 ZINC000718147197 712748865 /nfs/dbraw/zinc/74/88/65/712748865.db2.gz WIMUGVOZQAVZAG-NSHDSACASA-N 0 3 219.284 2.902 20 0 BFADHN COC(C)(C)[C@H](C)NCc1cnc(Cl)s1 ZINC000718188264 712752924 /nfs/dbraw/zinc/75/29/24/712752924.db2.gz XHOPIVJRWZNQHR-ZETCQYMHSA-N 0 3 248.779 2.700 20 0 BFADHN Cc1nc(CN[C@H]2CCCC[C@H]2C)oc1C ZINC000037909285 712785623 /nfs/dbraw/zinc/78/56/23/712785623.db2.gz GUMYULJAEVCABG-SKDRFNHKSA-N 0 3 222.332 2.960 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1cnc(Cl)s1 ZINC000718866701 712786844 /nfs/dbraw/zinc/78/68/44/712786844.db2.gz SJQMVGXDUFWVKN-SFYZADRCSA-N 0 3 248.804 2.780 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1nocc1C ZINC000720362674 712835659 /nfs/dbraw/zinc/83/56/59/712835659.db2.gz MQVQWVILUGLHMI-JTQLQIEISA-N 0 3 210.321 2.897 20 0 BFADHN Cc1ccc(CNC[C@@H]2C[C@@H]3CCC[C@H]3O2)o1 ZINC000720818058 712868398 /nfs/dbraw/zinc/86/83/98/712868398.db2.gz GOSLVFVMRFLTLW-FPMFFAJLSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1ccc(CNC[C@@H]2C[C@H]3CCC[C@@H]3O2)o1 ZINC000720818061 712868477 /nfs/dbraw/zinc/86/84/77/712868477.db2.gz GOSLVFVMRFLTLW-XBFCOCLRSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]2CCC[C@@H]2O1)c1ccco1 ZINC000720817666 712868516 /nfs/dbraw/zinc/86/85/16/712868516.db2.gz GDDLRVOZRSBUFS-OPDFLTKYSA-N 0 3 235.327 2.888 20 0 BFADHN Fc1ccccc1CNC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000720864518 712871219 /nfs/dbraw/zinc/87/12/19/712871219.db2.gz JYBHWRVRJVFMOB-ZLDLUXBVSA-N 0 3 249.329 2.873 20 0 BFADHN Fc1ccccc1CNC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000720864517 712871514 /nfs/dbraw/zinc/87/15/14/712871514.db2.gz JYBHWRVRJVFMOB-WHOFXGATSA-N 0 3 249.329 2.873 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1cccnc1Cl ZINC000380351007 712892365 /nfs/dbraw/zinc/89/23/65/712892365.db2.gz VDRCGFHKXBDYHC-WPRPVWTQSA-N 0 3 242.775 2.576 20 0 BFADHN CC1(CN2CC[C@@](C)(F)[C@@H](F)C2)CCOCC1 ZINC001207963073 956898758 /nfs/dbraw/zinc/89/87/58/956898758.db2.gz AXQVKBRAWHLKHZ-WCQYABFASA-N 0 3 247.329 2.575 20 0 BFADHN CN(Cc1cnco1)CC1CCCCC1 ZINC001140932684 960099030 /nfs/dbraw/zinc/09/90/30/960099030.db2.gz WLKLJIMUDHZAFY-UHFFFAOYSA-N 0 3 208.305 2.687 20 0 BFADHN Cc1cc(CN(C)CC2CCCCC2)[nH]n1 ZINC001203081771 960766069 /nfs/dbraw/zinc/76/60/69/960766069.db2.gz FFODWUZAKPOMKN-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN C[C@@H]1CCN(Cc2cnsc2)[C@@H](C)C1 ZINC001232226197 965314611 /nfs/dbraw/zinc/31/46/11/965314611.db2.gz AHFOFOXRGGRUHO-ZJUUUORDSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@H]1COC[C@@H]1NCc1cc(Cl)ccc1F ZINC000699765859 966075760 /nfs/dbraw/zinc/07/57/60/966075760.db2.gz ZWWXPFDYDXUHGW-UFBFGSQYSA-N 0 3 243.709 2.604 20 0 BFADHN Fc1ccccc1CN1C[C@@H]2C[C@H]1C[C@H]2F ZINC001203352569 966610187 /nfs/dbraw/zinc/61/01/87/966610187.db2.gz HQALYSWDALCOHC-GMXVVIOVSA-N 0 3 223.266 2.758 20 0 BFADHN CCCO[C@H]1CCN(Cc2cccs2)C1 ZINC001204015521 966853799 /nfs/dbraw/zinc/85/37/99/966853799.db2.gz VWYGHDOXZKJRIF-NSHDSACASA-N 0 3 225.357 2.749 20 0 BFADHN c1csc(CN2CC[C@@H]3CCCO[C@H]3C2)c1 ZINC001204015031 966855537 /nfs/dbraw/zinc/85/55/37/966855537.db2.gz WAQLPOYCXKRHOM-AAEUAGOBSA-N 0 3 237.368 2.749 20 0 BFADHN Oc1cc(Cl)cc(CCN2CCCC2)c1 ZINC001250166022 967462360 /nfs/dbraw/zinc/46/23/60/967462360.db2.gz DFNIMVYUDFHKSP-UHFFFAOYSA-N 0 3 225.719 2.684 20 0 BFADHN [O-]c1cc(F)ccc1CC[NH+]1CCCCC1 ZINC001250242251 967554442 /nfs/dbraw/zinc/55/44/42/967554442.db2.gz WXLDKQVHAJJGEJ-UHFFFAOYSA-N 0 3 223.291 2.560 20 0 BFADHN C[C@]1(C[NH2+]Cc2ccc([O-])c(F)c2)CC1(F)F ZINC000701726687 967818891 /nfs/dbraw/zinc/81/88/91/967818891.db2.gz XBXPOFFJUJJXDI-LLVKDONJSA-N 0 3 245.244 2.666 20 0 BFADHN C[C@@]1(NCc2ncccc2F)CC=CCC1 ZINC001206239716 968162890 /nfs/dbraw/zinc/16/28/90/968162890.db2.gz LKEDLHAIHVBAHP-CYBMUJFWSA-N 0 3 220.291 2.809 20 0 BFADHN OC[C@@H]1C[C@H](F)CN(CCC2CCCCC2)C1 ZINC001207254586 968695032 /nfs/dbraw/zinc/69/50/32/968695032.db2.gz JXLAVHXAOYOEQA-KGLIPLIRSA-N 0 3 243.366 2.609 20 0 BFADHN CC/C=C\CCCN(C)Cc1ccncn1 ZINC001208137504 969047503 /nfs/dbraw/zinc/04/75/03/969047503.db2.gz JENJLJQIWFAXGT-PLNGDYQASA-N 0 3 219.332 2.655 20 0 BFADHN CC/C=C\CCCCCN(C)CC(=O)N(C)C ZINC001208190543 969118359 /nfs/dbraw/zinc/11/83/59/969118359.db2.gz JGKCVYGSPOROCS-SREVYHEPSA-N 0 3 240.391 2.533 20 0 BFADHN CC/C=C\CCCCCN1C[C@@H]2C[C@H]1CO2 ZINC001208193575 969123703 /nfs/dbraw/zinc/12/37/03/969123703.db2.gz BQXHFPWMENEEAI-NMEQGOOXSA-N 0 3 223.360 2.986 20 0 BFADHN CCCCC[C@@H](O)CNCc1ccccc1F ZINC001253522397 969198552 /nfs/dbraw/zinc/19/85/52/969198552.db2.gz LLTHKOCBHJGIIZ-CYBMUJFWSA-N 0 3 239.334 2.857 20 0 BFADHN CN1CC=C(Nc2cccc(Cl)c2)CC1 ZINC001208837035 969250422 /nfs/dbraw/zinc/25/04/22/969250422.db2.gz FGKNZFBWRZADGM-UHFFFAOYSA-N 0 3 222.719 2.971 20 0 BFADHN FC(F)(F)[C@@H]1CCN(C[C@H]2CCC=CO2)C1 ZINC001208880786 969270789 /nfs/dbraw/zinc/27/07/89/969270789.db2.gz RAOBGZLUIDFUBA-NXEZZACHSA-N 0 3 235.249 2.563 20 0 BFADHN CCOC(C)(C)CN(C)Cc1cccnc1C ZINC001209076812 969348073 /nfs/dbraw/zinc/34/80/73/969348073.db2.gz ULHYTMISODWORM-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN C[C@@H]1C[C@@H](F)CN1CCc1cccs1 ZINC001209224187 969408381 /nfs/dbraw/zinc/40/83/81/969408381.db2.gz YCNDVHBNOZEFPW-NXEZZACHSA-N 0 3 213.321 2.723 20 0 BFADHN Cc1cc(CN2CC[C@@H](CC(C)C)C2)[nH]n1 ZINC001254010678 969446960 /nfs/dbraw/zinc/44/69/60/969446960.db2.gz IRZAJTIVNGQCCQ-LBPRGKRZSA-N 0 3 221.348 2.586 20 0 BFADHN C[C@H](N)c1ccn(-c2cc(Cl)ccc2F)n1 ZINC001169231965 969508331 /nfs/dbraw/zinc/50/83/31/969508331.db2.gz XMJYAKOVPVVTSD-ZETCQYMHSA-N 0 3 239.681 2.685 20 0 BFADHN F[C@@H]1CCN(C2CCC(F)(F)CC2)C1 ZINC001254218737 969545547 /nfs/dbraw/zinc/54/55/47/969545547.db2.gz SBSBVRRSKACXCG-MRVPVSSYSA-N 0 3 207.239 2.608 20 0 BFADHN CN(Cc1ccno1)C1CCC2(CC2)CC1 ZINC001254449198 969619026 /nfs/dbraw/zinc/61/90/26/969619026.db2.gz JIACTTPZMFYYKD-UHFFFAOYSA-N 0 3 220.316 2.829 20 0 BFADHN Cc1ncc(Nc2cccc(Cl)c2O)n1C ZINC001210220841 969837772 /nfs/dbraw/zinc/83/77/72/969837772.db2.gz RXXJRBUSMCRKPC-UHFFFAOYSA-N 0 3 237.690 2.831 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCSC2)nc1 ZINC001255270728 970023653 /nfs/dbraw/zinc/02/36/53/970023653.db2.gz LHEYKNPDRFDGNS-MNOVXSKESA-N 0 3 222.357 2.546 20 0 BFADHN CC1(C)CCC(N2CC3(C2)CCCO3)CC1 ZINC001255302892 970065352 /nfs/dbraw/zinc/06/53/52/970065352.db2.gz MGZFNWUAWWJJGS-UHFFFAOYSA-N 0 3 223.360 2.820 20 0 BFADHN COC[C@H](C)N1CCSc2ccccc2C1 ZINC001255582923 970389022 /nfs/dbraw/zinc/38/90/22/970389022.db2.gz DWMPNEJZGKNEPV-NSHDSACASA-N 0 3 237.368 2.629 20 0 BFADHN C[C@@H](CC(F)(F)F)N[C@H]1CCc2ncccc21 ZINC001255640458 970416038 /nfs/dbraw/zinc/41/60/38/970416038.db2.gz BHEUCHCPDBMERL-KWQFWETISA-N 0 3 244.260 2.999 20 0 BFADHN C[C@@H](CC(F)(F)F)N(C)CCc1cccnc1 ZINC001255657680 970440330 /nfs/dbraw/zinc/44/03/30/970440330.db2.gz JPIRNSMMSXOKNC-JTQLQIEISA-N 0 3 246.276 2.897 20 0 BFADHN C[C@@H](N)c1nccn1C1CCCCCC1 ZINC001255877848 970528465 /nfs/dbraw/zinc/52/84/65/970528465.db2.gz QWVFVSGIRLXJMP-SNVBAGLBSA-N 0 3 207.321 2.798 20 0 BFADHN CCCOC1CN(C2CCCCCC2)C1 ZINC001255891169 970555597 /nfs/dbraw/zinc/55/55/97/970555597.db2.gz ONIWOQNUIKFYGK-UHFFFAOYSA-N 0 3 211.349 2.820 20 0 BFADHN CC[C@@H]1C[C@@H](N(C)Cc2cncs2)CCO1 ZINC001170235800 970611377 /nfs/dbraw/zinc/61/13/77/970611377.db2.gz AJEKJLVOYQVKGT-WDEREUQCSA-N 0 3 240.372 2.533 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)Nc1cccc(C)c1 ZINC000400761702 970622044 /nfs/dbraw/zinc/62/20/44/970622044.db2.gz INWDUWZNYRCHMX-GWCFXTLKSA-N 0 3 234.343 2.697 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N(C)C1CCCCC1 ZINC000400946708 970646012 /nfs/dbraw/zinc/64/60/12/970646012.db2.gz HRJVSUBNNKGXJE-AAEUAGOBSA-N 0 3 240.391 2.541 20 0 BFADHN CC[C@@H]1CC[C@H](n2ccc([C@H](C)N)n2)C1 ZINC001173265033 975123544 /nfs/dbraw/zinc/12/35/44/975123544.db2.gz YPOJIJUKQGXAHO-AXFHLTTASA-N 0 3 207.321 2.654 20 0 BFADHN CC[C@@H]1CC[C@@H](N(C)Cc2cc(C)nn2C)C1 ZINC001173280390 975235338 /nfs/dbraw/zinc/23/53/38/975235338.db2.gz OCSBLGZNPIEVBG-CHWSQXEVSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cc(CN(C)C[C@@H](C)C(C)(C)C)[nH]n1 ZINC001333384588 975733525 /nfs/dbraw/zinc/73/35/25/975733525.db2.gz HBIBVGQOSLVVFP-SNVBAGLBSA-N 0 3 223.364 2.832 20 0 BFADHN Cc1cnc(Nc2ccnc(C)c2)c(C)c1 ZINC001174654206 976946002 /nfs/dbraw/zinc/94/60/02/976946002.db2.gz VZAUQYWXMCYSTD-UHFFFAOYSA-N 0 3 213.284 2.567 20 0 BFADHN COCC[C@@H]1CCCCN1Cc1ccns1 ZINC001232192966 977920040 /nfs/dbraw/zinc/92/00/40/977920040.db2.gz IIRIJQBNTVZTRM-NSHDSACASA-N 0 3 240.372 2.534 20 0 BFADHN CCN(C)Cc1cnc(Br)cc1C ZINC001232314638 978006404 /nfs/dbraw/zinc/00/64/04/978006404.db2.gz COUXQMXORGLOCQ-UHFFFAOYSA-N 0 3 243.148 2.604 20 0 BFADHN C[C@H]1CCCN1Cc1cccc(CF)n1 ZINC001232535703 978161681 /nfs/dbraw/zinc/16/16/81/978161681.db2.gz JATUNVFOODGUBK-JTQLQIEISA-N 0 3 208.280 2.535 20 0 BFADHN CC[N@H+](Cc1cccc([O-])c1F)C(C)C ZINC001233048523 978436336 /nfs/dbraw/zinc/43/63/36/978436336.db2.gz GHGYBEVPDSEWNV-UHFFFAOYSA-N 0 3 211.280 2.762 20 0 BFADHN CC[N@@H+](Cc1cccc([O-])c1F)C(C)C ZINC001233048523 978436345 /nfs/dbraw/zinc/43/63/45/978436345.db2.gz GHGYBEVPDSEWNV-UHFFFAOYSA-N 0 3 211.280 2.762 20 0 BFADHN Cc1cnsc1CN(C)C[C@@H]1CC1(C)C ZINC001233321157 978555723 /nfs/dbraw/zinc/55/57/23/978555723.db2.gz CEIKLGDOEVAJTJ-JTQLQIEISA-N 0 3 224.373 2.929 20 0 BFADHN CCCCN(CC)Cc1cncc(Cl)n1 ZINC001233446341 978602203 /nfs/dbraw/zinc/60/22/03/978602203.db2.gz RGPOQHOOKZUNMV-UHFFFAOYSA-N 0 3 227.739 2.752 20 0 BFADHN CN(Cc1cncc(Cl)n1)CC1CCCC1 ZINC001233450008 978604726 /nfs/dbraw/zinc/60/47/26/978604726.db2.gz AXXZRMRPBFVZNK-UHFFFAOYSA-N 0 3 239.750 2.752 20 0 BFADHN Cc1cnc(F)c(CN2CCCC2(C)C)c1 ZINC001235330774 979025435 /nfs/dbraw/zinc/02/54/35/979025435.db2.gz AWNJMMJLCBJIBB-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN CN[C@H]1CCN1C[C@H](C)CCC=C(C)C ZINC001236166664 979421003 /nfs/dbraw/zinc/42/10/03/979421003.db2.gz MIDMDSVNZSRRDN-CHWSQXEVSA-N 0 3 210.365 2.620 20 0 BFADHN Cc1nc(C)c(CN2CC3CCC2CC3)o1 ZINC001236591208 979671234 /nfs/dbraw/zinc/67/12/34/979671234.db2.gz LDFCWLNXYJNBBX-UHFFFAOYSA-N 0 3 220.316 2.666 20 0 BFADHN CCOc1ncc(CN(C)[C@@H](C)CC)cc1F ZINC001236692182 979691909 /nfs/dbraw/zinc/69/19/09/979691909.db2.gz MCSRJSRBIHURDE-JTQLQIEISA-N 0 3 240.322 2.850 20 0 BFADHN Cc1cccc(CN(C)CC2COC2)c1Cl ZINC001236855002 979766655 /nfs/dbraw/zinc/76/66/55/979766655.db2.gz CYDITVSQGQQNOM-UHFFFAOYSA-N 0 3 239.746 2.727 20 0 BFADHN F[C@@H]1C[C@@H]2C[C@H]1C[N@@H+]2Cc1occc1[S-] ZINC001237178352 979826587 /nfs/dbraw/zinc/82/65/87/979826587.db2.gz KMPFZMSWTRUMDX-XHNCKOQMSA-N 0 3 227.304 2.501 20 0 BFADHN F[C@@H]1C[C@@H]2C[C@H]1C[N@H+]2Cc1occc1[S-] ZINC001237178352 979826594 /nfs/dbraw/zinc/82/65/94/979826594.db2.gz KMPFZMSWTRUMDX-XHNCKOQMSA-N 0 3 227.304 2.501 20 0 BFADHN C[C@H]1CCC[N@H+](Cc2occc2[S-])C1 ZINC001237183135 979833924 /nfs/dbraw/zinc/83/39/24/979833924.db2.gz QAZBWNAAAVKURS-VIFPVBQESA-N 0 3 211.330 2.800 20 0 BFADHN C[C@H]1CCC[N@@H+](Cc2occc2[S-])C1 ZINC001237183135 979833926 /nfs/dbraw/zinc/83/39/26/979833926.db2.gz QAZBWNAAAVKURS-VIFPVBQESA-N 0 3 211.330 2.800 20 0 BFADHN CO[C@@H]1CC[N@H+](Cc2occc2[S-])[C@@H](C)C1 ZINC001237188383 979842006 /nfs/dbraw/zinc/84/20/06/979842006.db2.gz WZFOEIKCHNARLL-VHSXEESVSA-N 0 3 241.356 2.568 20 0 BFADHN CO[C@@H]1CC[N@@H+](Cc2occc2[S-])[C@@H](C)C1 ZINC001237188383 979842014 /nfs/dbraw/zinc/84/20/14/979842014.db2.gz WZFOEIKCHNARLL-VHSXEESVSA-N 0 3 241.356 2.568 20 0 BFADHN CCCN(CC)Cc1ccc(Cl)nc1OC ZINC001237240743 979855503 /nfs/dbraw/zinc/85/55/03/979855503.db2.gz DYBGHODFRBELJL-UHFFFAOYSA-N 0 3 242.750 2.976 20 0 BFADHN Cc1cc(F)cc(C)c1CN1CC=CC1 ZINC001237316221 979863079 /nfs/dbraw/zinc/86/30/79/979863079.db2.gz CTJITLAYZDLDSJ-UHFFFAOYSA-N 0 3 205.276 2.814 20 0 BFADHN CCN(C)Cc1cnc(C)c(Br)c1 ZINC001237554457 979939897 /nfs/dbraw/zinc/93/98/97/979939897.db2.gz MYFUUENJCAOKSW-UHFFFAOYSA-N 0 3 243.148 2.604 20 0 BFADHN COc1cccc(F)c1CNCC1(C)CC1 ZINC001343076659 980000162 /nfs/dbraw/zinc/00/01/62/980000162.db2.gz GBTNNOMWPPNJDA-UHFFFAOYSA-N 0 3 223.291 2.724 20 0 BFADHN CC(C)[C@@H]1C[C@H]1N[C@H]1C[C@@H](C)n2ccnc21 ZINC001184453526 980086257 /nfs/dbraw/zinc/08/62/57/980086257.db2.gz WTZFTBBTCQMMDP-KXNHARMFSA-N 0 3 219.332 2.523 20 0 BFADHN COc1ccoc1CN1C[C@H](C)CC12CC2 ZINC001237877016 980107599 /nfs/dbraw/zinc/10/75/99/980107599.db2.gz QVAJSUYYBDDPOT-SNVBAGLBSA-N 0 3 221.300 2.663 20 0 BFADHN CC(C)Oc1cncc(CN(C)C(C)C)c1 ZINC001238462369 980272610 /nfs/dbraw/zinc/27/26/10/980272610.db2.gz MOHHBQXIDQEINR-UHFFFAOYSA-N 0 3 222.332 2.709 20 0 BFADHN CCCN(C)Cc1cnc(F)c(OC(C)C)c1 ZINC001238590239 980293465 /nfs/dbraw/zinc/29/34/65/980293465.db2.gz IYYNSQUDPGTOGE-UHFFFAOYSA-N 0 3 240.322 2.850 20 0 BFADHN COCCN(C)Cc1cc(C)cc(SC)c1 ZINC001238675939 980306946 /nfs/dbraw/zinc/30/69/46/980306946.db2.gz RDZMRIQVDWJQKU-UHFFFAOYSA-N 0 3 239.384 2.795 20 0 BFADHN CCCN(C[C@@H]1C[C@H]1CC)[C@@H](C)C(=O)OCC ZINC001194029420 981534686 /nfs/dbraw/zinc/53/46/86/981534686.db2.gz DYDFHBVACZRQGB-XQQFMLRXSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H](CN1CCn2cccc2C1)C(C)(C)C ZINC001197621289 982579248 /nfs/dbraw/zinc/57/92/48/982579248.db2.gz FFFLMXXQODEGIE-GFCCVEGCSA-N 0 3 220.360 2.986 20 0 BFADHN COc1cc(Cl)ccc1-c1cnccc1N ZINC001241897289 983111977 /nfs/dbraw/zinc/11/19/77/983111977.db2.gz LDYCXEMYXKIVHQ-UHFFFAOYSA-N 0 3 234.686 2.823 20 0 BFADHN CCC[C@H](C)CN1CC(N2CCC(F)CC2)C1 ZINC001201552645 983309534 /nfs/dbraw/zinc/30/95/34/983309534.db2.gz PMEWVGZVMVVIGJ-LBPRGKRZSA-N 0 3 242.382 2.541 20 0 BFADHN CCN1CCSC[C@@H]1c1ccc(OC)cc1 ZINC001201583106 983347538 /nfs/dbraw/zinc/34/75/38/983347538.db2.gz VKPBZWBHPUMPLJ-CYBMUJFWSA-N 0 3 237.368 2.805 20 0 BFADHN CCCCN1CCC(=O)[C@H]2CCCC[C@@H]21 ZINC001201700206 983411897 /nfs/dbraw/zinc/41/18/97/983411897.db2.gz CLESJPGCJXGYBU-RYUDHWBXSA-N 0 3 209.333 2.620 20 0 BFADHN CCCCCCN1CCCC(=O)[C@@H](C)C1 ZINC001201743217 983448298 /nfs/dbraw/zinc/44/82/98/983448298.db2.gz NDDBMWAAOQAVHH-LBPRGKRZSA-N 0 3 211.349 2.868 20 0 BFADHN CCN1CCN(Cc2cc(C)cs2)C[C@H]1C ZINC001137161872 984005928 /nfs/dbraw/zinc/00/59/28/984005928.db2.gz ZTDWGRXQSOPDBD-GFCCVEGCSA-N 0 3 238.400 2.583 20 0 BFADHN CN1CC[C@H](Oc2ccc(Cl)c(F)c2)C1 ZINC001225782589 974682049 /nfs/dbraw/zinc/68/20/49/974682049.db2.gz UUHFMZXOUTUESY-VIFPVBQESA-N 0 3 229.682 2.562 20 0 BFADHN CCOCC[C@H](C)N1CC2(C1)CC(F)(F)C2 ZINC001172423126 974697092 /nfs/dbraw/zinc/69/70/92/974697092.db2.gz JOLLWAFBGWBYEQ-JTQLQIEISA-N 0 3 233.302 2.533 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCC[C@H](F)C2)o1 ZINC001331692432 974791217 /nfs/dbraw/zinc/79/12/17/974791217.db2.gz XKVPBZKTGHCYGS-QWRGUYRKSA-N 0 3 226.295 2.662 20 0 BFADHN Cc1ccc(CO[C@@H]2CCCN(C)C2)s1 ZINC001223185456 972868587 /nfs/dbraw/zinc/86/85/87/972868587.db2.gz IJYITQXISIWTOR-LLVKDONJSA-N 0 3 225.357 2.667 20 0 BFADHN CCCCC[C@@H]1CCCCN1Cc1nnc[nH]1 ZINC001328438462 973055012 /nfs/dbraw/zinc/05/50/12/973055012.db2.gz YWSWOVMKNFUIFO-GFCCVEGCSA-N 0 3 236.363 2.740 20 0 BFADHN CN1CCCC(C)(C)[C@@H]1CNc1nccs1 ZINC000846756496 973042166 /nfs/dbraw/zinc/04/21/66/973042166.db2.gz VWRSVXPLMUNBFM-JTQLQIEISA-N 0 3 239.388 2.675 20 0 BFADHN CN[C@H]1CCN1[C@@H](C)CCc1ccc(C)cc1 ZINC001246898419 973372658 /nfs/dbraw/zinc/37/26/58/973372658.db2.gz MQHIVJDRMMXMGZ-DZGCQCFKSA-N 0 3 232.371 2.567 20 0 BFADHN CC(C)CC[C@H](C)N1CCC(=O)[C@H](F)CC1 ZINC001256365932 970775169 /nfs/dbraw/zinc/77/51/69/970775169.db2.gz MVSJEPAFLKKAHZ-NWDGAFQWSA-N 0 3 229.339 2.814 20 0 BFADHN CC(C)CC[C@@H](C)N(C)Cc1ccncn1 ZINC001256373646 970792635 /nfs/dbraw/zinc/79/26/35/970792635.db2.gz CCRJESXQTCWJLZ-GFCCVEGCSA-N 0 3 221.348 2.733 20 0 BFADHN COc1c(F)c(C)ccc1Nc1nccn1C ZINC001215600160 970797990 /nfs/dbraw/zinc/79/79/90/970797990.db2.gz UDCZHJCFTIAOQF-UHFFFAOYSA-N 0 3 235.262 2.620 20 0 BFADHN CSc1ncc(Nc2nccn2C)cc1C ZINC001215827020 970858214 /nfs/dbraw/zinc/85/82/14/970858214.db2.gz ZYTKVXYXFVJMSB-UHFFFAOYSA-N 0 3 234.328 2.589 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2cccc(=O)[nH]2)n1 ZINC001243733245 970893639 /nfs/dbraw/zinc/89/36/39/970893639.db2.gz PCADDJZNFLHJEI-UHFFFAOYSA-N 0 3 225.251 2.639 20 0 BFADHN COc1cccc2c1CC[C@@H](N1CC[C@H](F)C1)C2 ZINC001256646548 970900516 /nfs/dbraw/zinc/90/05/16/970900516.db2.gz OEUOCTFMBLGIAG-QWHCGFSZSA-N 0 3 249.329 2.596 20 0 BFADHN Cc1ccc2nc([C@@H](C)N)n(C(C)C)c2c1 ZINC001247043894 973794614 /nfs/dbraw/zinc/79/46/14/973794614.db2.gz ACIGEMITQCTMSO-SNVBAGLBSA-N 0 3 217.316 2.945 20 0 BFADHN C[C@H](Cc1ccncc1)NCOc1ccccc1 ZINC001256724416 970947415 /nfs/dbraw/zinc/94/74/15/970947415.db2.gz VIEYJRNTNRZYND-CYBMUJFWSA-N 0 3 242.322 2.639 20 0 BFADHN C[C@@H](Cc1ccncc1)N1CCC[C@](C)(F)C1 ZINC001256737021 970970518 /nfs/dbraw/zinc/97/05/18/970970518.db2.gz WHMHWKLWVJLQNI-JSGCOSHPSA-N 0 3 236.334 2.837 20 0 BFADHN FCC1(N[C@H]2CCO[C@@H](c3ccccc3)C2)CC1 ZINC001256783838 970985907 /nfs/dbraw/zinc/98/59/07/970985907.db2.gz DQJWSYOKUJHCOL-UONOGXRCSA-N 0 3 249.329 2.998 20 0 BFADHN CCC1CCC(n2nc(CN)cc2C)CC1 ZINC001256866071 971002960 /nfs/dbraw/zinc/00/29/60/971002960.db2.gz SQGACIWHTFVDEL-UHFFFAOYSA-N 0 3 221.348 2.792 20 0 BFADHN CCOc1ccccc1C1=CNCCC1 ZINC001243948982 971036318 /nfs/dbraw/zinc/03/63/18/971036318.db2.gz GUUDHLFSBBRTHJ-UHFFFAOYSA-N 0 3 203.285 2.810 20 0 BFADHN CCc1ccc(C2=CNCCC2)cc1C(=O)OC ZINC001243950633 971044039 /nfs/dbraw/zinc/04/40/39/971044039.db2.gz JGVJNMCMPPIVGK-UHFFFAOYSA-N 0 3 245.322 2.760 20 0 BFADHN Cc1cc2cc(C3=CNCCC3)cnc2[nH]1 ZINC001243952651 971046070 /nfs/dbraw/zinc/04/60/70/971046070.db2.gz NCGOEYQIQANZTO-UHFFFAOYSA-N 0 3 213.284 2.596 20 0 BFADHN C[C@@H](OC[C@H]1CCCCN1C)c1nccs1 ZINC001224706711 973874785 /nfs/dbraw/zinc/87/47/85/973874785.db2.gz LRNKITRILOWAMX-GHMZBOCLSA-N 0 3 240.372 2.705 20 0 BFADHN Oc1ccc(CN2CC3CCC2CC3)c(F)c1 ZINC000403976354 971093703 /nfs/dbraw/zinc/09/37/03/971093703.db2.gz DIECEPAVNCKJQP-UHFFFAOYSA-N 0 3 235.302 2.906 20 0 BFADHN C[C@@H]1CC(N(C)Cc2cncs2)C[C@@H](C)O1 ZINC001257104078 971116638 /nfs/dbraw/zinc/11/66/38/971116638.db2.gz VBCFNCCJEHXSEO-NXEZZACHSA-N 0 3 240.372 2.531 20 0 BFADHN C[C@@H]1CC[C@H]([NH2+]Cc2ccc([O-])cc2F)C1 ZINC000404153754 971136580 /nfs/dbraw/zinc/13/65/80/971136580.db2.gz UISAUGQIVHALRC-KOLCDFICSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@@H]1CC[C@@H]([NH2+]Cc2ccc([O-])cc2F)C1 ZINC000404153757 971137654 /nfs/dbraw/zinc/13/76/54/971137654.db2.gz UISAUGQIVHALRC-MWLCHTKSSA-N 0 3 223.291 2.810 20 0 BFADHN CCC[C@@H](CC)NC1(c2cnccn2)CC1 ZINC001257252740 971150855 /nfs/dbraw/zinc/15/08/55/971150855.db2.gz GOUWMIIPIHZMMI-LLVKDONJSA-N 0 3 219.332 2.634 20 0 BFADHN CCC[C@H](CC)N1CC[C@@H]2C[C@@]21C(=O)OCC ZINC001257259398 971160050 /nfs/dbraw/zinc/16/00/50/971160050.db2.gz LLDQMPZJXZAKSK-DYEKYZERSA-N 0 3 239.359 2.593 20 0 BFADHN CCC[C@H](CC)N1CCN2CC(F)(F)C[C@H]2C1 ZINC001257266634 971167760 /nfs/dbraw/zinc/16/77/60/971167760.db2.gz PVKDCJFZHOBWOV-RYUDHWBXSA-N 0 3 246.345 2.590 20 0 BFADHN CCC(CC)NC1(c2ccccc2)COC1 ZINC001257288673 971175369 /nfs/dbraw/zinc/17/53/69/971175369.db2.gz ALKRUZQAVMCWCK-UHFFFAOYSA-N 0 3 219.328 2.690 20 0 BFADHN CCC(CC)N1CCc2ncccc2C1 ZINC001257299727 971183605 /nfs/dbraw/zinc/18/36/05/971183605.db2.gz NGGWCPMFDSZVEH-UHFFFAOYSA-N 0 3 204.317 2.628 20 0 BFADHN CCOC(=O)[C@H]1C[C@H](C)CCN1C(CC)CC ZINC001257301101 971188842 /nfs/dbraw/zinc/18/88/42/971188842.db2.gz POEVJQBOIWUSOQ-DGCLKSJQSA-N 0 3 241.375 2.839 20 0 BFADHN CCC(CC)N1CCC[C@H]1c1ncccn1 ZINC001257301633 971194278 /nfs/dbraw/zinc/19/42/78/971194278.db2.gz KSLYCQAUHBSEPJ-LBPRGKRZSA-N 0 3 219.332 2.802 20 0 BFADHN CCCc1cc(CN)nn1[C@H](C)CCC ZINC001257310248 971202694 /nfs/dbraw/zinc/20/26/94/971202694.db2.gz SJBBDLGFIRLZBZ-SNVBAGLBSA-N 0 3 209.337 2.655 20 0 BFADHN CCC[C@H](C)NCc1cc(OCC2CC2)ncn1 ZINC001257313108 971204742 /nfs/dbraw/zinc/20/47/42/971204742.db2.gz KUXVVHWOTVAUPM-NSHDSACASA-N 0 3 249.358 2.544 20 0 BFADHN Cc1cc(N)ccc1-c1cc(CN(C)C)ccn1 ZINC001244367717 971218375 /nfs/dbraw/zinc/21/83/75/971218375.db2.gz GHKJJHHCDIITBW-UHFFFAOYSA-N 0 3 241.338 2.701 20 0 BFADHN CCC[C@@H](C)N1CCC[C@H]1c1ncccn1 ZINC001257326776 971226146 /nfs/dbraw/zinc/22/61/46/971226146.db2.gz CHBOAUMTULSZMD-NEPJUHHUSA-N 0 3 219.332 2.802 20 0 BFADHN CCC[C@@H](C)N1CCc2cnc(OC)cc2C1 ZINC001257327075 971229525 /nfs/dbraw/zinc/22/95/25/971229525.db2.gz GKDADIVATOLSLT-LLVKDONJSA-N 0 3 234.343 2.637 20 0 BFADHN Cc1ccc(F)c(CNC2CCCC2)n1 ZINC001257344738 971254428 /nfs/dbraw/zinc/25/44/28/971254428.db2.gz RCCAVYQORMBOTQ-UHFFFAOYSA-N 0 3 208.280 2.561 20 0 BFADHN c1ccc(C[C@@H]2COCCN2C2CCCC2)cc1 ZINC001257355450 971270352 /nfs/dbraw/zinc/27/03/52/971270352.db2.gz UQDGZIQSQKOFTE-MRXNPFEDSA-N 0 3 245.366 2.873 20 0 BFADHN CCCCCC[C@@H](C)N[C@@H]1COC[C@@H]1F ZINC001257359897 971274375 /nfs/dbraw/zinc/27/43/75/971274375.db2.gz ZBOJSQZLYZZIBL-GRYCIOLGSA-N 0 3 217.328 2.672 20 0 BFADHN CCCCCC[C@@H](C)N1CC[C@@H](O)[C@H](F)C1 ZINC001257363187 971280280 /nfs/dbraw/zinc/28/02/80/971280280.db2.gz KJKXWEMSOMTQFM-JHJVBQTASA-N 0 3 231.355 2.750 20 0 BFADHN Cc1ccc(O)cc1-c1ccc2[nH]c(N)nc2c1 ZINC001244449733 971280494 /nfs/dbraw/zinc/28/04/94/971280494.db2.gz LAPKDUXHELUMGH-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN Cc1ccc(O)cc1-c1ccc2nc(N)[nH]c2c1 ZINC001244449733 971280500 /nfs/dbraw/zinc/28/05/00/971280500.db2.gz LAPKDUXHELUMGH-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN CCCCCC[C@H](C)N1CCN(C(C)=O)CC1 ZINC001257366652 971281314 /nfs/dbraw/zinc/28/13/14/971281314.db2.gz WZSBLUZLQQDUQM-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CCCC[C@H](CC)N1CCc2nn(C)cc2C1 ZINC001257422002 971321066 /nfs/dbraw/zinc/32/10/66/971321066.db2.gz CKJPIAUXJXKSIX-ZDUSSCGKSA-N 0 3 235.375 2.747 20 0 BFADHN [NH3+]Cc1cccc(-c2ccc([O-])cc2F)c1F ZINC001206073111 971368068 /nfs/dbraw/zinc/36/80/68/971368068.db2.gz OTLQDOLRRSBUED-UHFFFAOYSA-N 0 3 235.233 2.796 20 0 BFADHN Cc1cccc2c1[C@H](NC1CCCCC1)C(=O)N2 ZINC001257449897 971371989 /nfs/dbraw/zinc/37/19/89/971371989.db2.gz HEAFFMCBQAIEDS-AWEZNQCLSA-N 0 3 244.338 2.911 20 0 BFADHN COc1cc2c(cn1)CCN(C1CCCCC1)C2 ZINC001257466778 971391479 /nfs/dbraw/zinc/39/14/79/971391479.db2.gz QDGSSASSHOKFIL-UHFFFAOYSA-N 0 3 246.354 2.781 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N1CC[C@@](F)(CO)C1 ZINC001257474357 971398404 /nfs/dbraw/zinc/39/84/04/971398404.db2.gz ARQNQUXHKMFMQF-IHRRRGAJSA-N 0 3 245.382 2.998 20 0 BFADHN CCCCC[C@@H](C)Nc1ccc(CN)nc1 ZINC001257502982 971422657 /nfs/dbraw/zinc/42/26/57/971422657.db2.gz HYLVAVWNAXFKQC-LLVKDONJSA-N 0 3 221.348 2.921 20 0 BFADHN CCCCC[C@@H](C)N1C[C@H]2CC[C@@H](C1)O2 ZINC001257508175 971425203 /nfs/dbraw/zinc/42/52/03/971425203.db2.gz DKXYJRLWTSBJRO-UPJWGTAASA-N 0 3 211.349 2.818 20 0 BFADHN CCCCC[C@H](C)OC[C@@H]1COC(C)(C)N1 ZINC001217838132 971432652 /nfs/dbraw/zinc/43/26/52/971432652.db2.gz VUIMMIFGFRFGLJ-NWDGAFQWSA-N 0 3 229.364 2.696 20 0 BFADHN CCCCC[C@@H](C)OC[C@@H]1COC(C)(C)N1 ZINC001217838135 971432939 /nfs/dbraw/zinc/43/29/39/971432939.db2.gz VUIMMIFGFRFGLJ-VXGBXAGGSA-N 0 3 229.364 2.696 20 0 BFADHN CCCCC[C@@H](C)N1C[C@H]2CC[C@@H]1CO2 ZINC001257518099 971443183 /nfs/dbraw/zinc/44/31/83/971443183.db2.gz HSFVSQDFHOUEGY-JHJVBQTASA-N 0 3 211.349 2.818 20 0 BFADHN CCCCC[C@H](C)N1CCN(C(C)=O)C[C@@H]1C ZINC001257519432 971447780 /nfs/dbraw/zinc/44/77/80/971447780.db2.gz HWQVQYJARAFMPQ-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN CCCCC(CCCC)OC[C@H]1CNCCO1 ZINC001217896278 971495889 /nfs/dbraw/zinc/49/58/89/971495889.db2.gz PYQUGPXMGAXRPD-CQSZACIVSA-N 0 3 243.391 2.740 20 0 BFADHN Cc1cc(C)c(C)c(O[C@H]2CCNC[C@@H]2F)c1 ZINC001218067894 971593789 /nfs/dbraw/zinc/59/37/89/971593789.db2.gz VABZZCULZIKIRT-STQMWFEESA-N 0 3 237.318 2.691 20 0 BFADHN Cc1ccc(O[C@H]2CCNC[C@H]2F)c(Cl)c1 ZINC001218063453 971593936 /nfs/dbraw/zinc/59/39/36/971593936.db2.gz AEDQIIVZIRWRCR-PWSUYJOCSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1ccc(Cl)c(O[C@@H]2CCNC[C@H]2F)c1 ZINC001218064198 971594718 /nfs/dbraw/zinc/59/47/18/971594718.db2.gz HHZSSIIXUWRNRU-GHMZBOCLSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1ccc(Cl)cc1O[C@H]1CCNC[C@@H]1F ZINC001218064483 971595113 /nfs/dbraw/zinc/59/51/13/971595113.db2.gz LNEFLOBDMUPTOI-QWRGUYRKSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1c(F)ccc(O[C@@H]2CCNC[C@H]2F)c1C ZINC001218068791 971595215 /nfs/dbraw/zinc/59/52/15/971595215.db2.gz FZPSZFFASKABRE-DGCLKSJQSA-N 0 3 241.281 2.521 20 0 BFADHN CCc1cccc(C)c1O[C@H]1CCNC[C@H]1F ZINC001218067365 971595496 /nfs/dbraw/zinc/59/54/96/971595496.db2.gz AKMJSFMAKBZJJO-OLZOCXBDSA-N 0 3 237.318 2.636 20 0 BFADHN CC(C)c1cccc(O[C@@H]2CCNC[C@@H]2F)c1 ZINC001218064358 971593124 /nfs/dbraw/zinc/59/31/24/971593124.db2.gz JTYZHTBLPGWJPX-UONOGXRCSA-N 0 3 237.318 2.889 20 0 BFADHN Cc1cc(C)c(C)c(O[C@@H]2CCNC[C@@H]2F)c1 ZINC001218067893 971593692 /nfs/dbraw/zinc/59/36/92/971593692.db2.gz VABZZCULZIKIRT-QWHCGFSZSA-N 0 3 237.318 2.691 20 0 BFADHN C[C@@H](N)c1nccn1[C@@H]1C[C@@H]2[C@H](C1)C2(C)C ZINC001170713511 971623147 /nfs/dbraw/zinc/62/31/47/971623147.db2.gz OZQRCJFMYILEOK-DBIOUOCHSA-N 0 3 219.332 2.510 20 0 BFADHN C[C@@H](N)c1ccn([C@@H]2C[C@@H]3[C@H](C2)C3(C)C)n1 ZINC001170725216 971659682 /nfs/dbraw/zinc/65/96/82/971659682.db2.gz WANQUJYXFKPOEW-DBIOUOCHSA-N 0 3 219.332 2.510 20 0 BFADHN CCCc1cc(CN)nn1[C@H]1C[C@@H]2[C@H](C1)C2(C)C ZINC001170731428 971670232 /nfs/dbraw/zinc/67/02/32/971670232.db2.gz QLUZPKUGOLCMGU-BTTYYORXSA-N 0 3 247.386 2.901 20 0 BFADHN c1c(NC2=CNCCC2)onc1C1CCCC1 ZINC001159112786 971691445 /nfs/dbraw/zinc/69/14/45/971691445.db2.gz HHWPGXBNRAAFAM-UHFFFAOYSA-N 0 3 233.315 2.969 20 0 BFADHN CC1(C)[C@@H]2C[C@@H](N3C[C@@H]4C[C@@H]4[C@@H](F)C3)C[C@@H]21 ZINC001170745012 971707403 /nfs/dbraw/zinc/70/74/03/971707403.db2.gz CXVDOGMPSNRDQV-RJRAAQABSA-N 0 3 223.335 2.711 20 0 BFADHN CC1(C)[C@@H]2C[C@H](N3C[C@@H]4C[C@@H]4[C@H](F)C3)C[C@@H]21 ZINC001170745009 971707988 /nfs/dbraw/zinc/70/79/88/971707988.db2.gz CXVDOGMPSNRDQV-LVTVNPDKSA-N 0 3 223.335 2.711 20 0 BFADHN F[C@H]1CCCC[C@@H]1Oc1cccc2c1CNC2 ZINC001218357231 971726826 /nfs/dbraw/zinc/72/68/26/971726826.db2.gz JGQCGJBJMGXQHW-JSGCOSHPSA-N 0 3 235.302 2.949 20 0 BFADHN CC1(C)[C@@H]2C[C@@H](N3CCc4ncccc4C3)C[C@@H]21 ZINC001170758371 971734624 /nfs/dbraw/zinc/73/46/24/971734624.db2.gz PLYMBUMXNNENLY-ZSOGYDGISA-N 0 3 242.366 2.874 20 0 BFADHN Cc1nnc(CN[C@H]2CCC[C@H]2C(C)C)s1 ZINC000309679037 971755803 /nfs/dbraw/zinc/75/58/03/971755803.db2.gz MRVWQIJZCOKZMN-QWRGUYRKSA-N 0 3 239.388 2.761 20 0 BFADHN CN(Cc1ccno1)[C@H]1C[C@@H]2[C@H](C1)C2(C)C ZINC001170765701 971756481 /nfs/dbraw/zinc/75/64/81/971756481.db2.gz WRUKQFRRTGHVPB-YHPBZONMSA-N 0 3 220.316 2.541 20 0 BFADHN CC[C@H](C)OCC[C@H](C)n1ccc([C@H](C)N)n1 ZINC001172325347 974535325 /nfs/dbraw/zinc/53/53/25/974535325.db2.gz UORLLKVLASTRDV-SRVKXCTJSA-N 0 3 239.363 2.669 20 0 BFADHN Cc1cc(C)nc(NCc2nccc(Cl)n2)c1 ZINC001159474197 971892482 /nfs/dbraw/zinc/89/24/82/971892482.db2.gz TUNHEIAODWCPOC-UHFFFAOYSA-N 0 3 248.717 2.754 20 0 BFADHN Cc1c2[nH]cnc2ccc1N[C@@H]1CCOC[C@H]1C ZINC001170817169 971900017 /nfs/dbraw/zinc/90/00/17/971900017.db2.gz FOKTYGIGDGPOCI-MWLCHTKSSA-N 0 3 245.326 2.708 20 0 BFADHN Cc1c(F)ccc(-c2ccc(CN)nc2)c1F ZINC001245692053 971970431 /nfs/dbraw/zinc/97/04/31/971970431.db2.gz NDUISILBHFAMLN-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN C[C@@H](N)C(=O)Nc1cccc2c1[C@H](C)CC2(C)C ZINC001219037885 971975844 /nfs/dbraw/zinc/97/58/44/971975844.db2.gz FVCMBUPIKGGSRT-NXEZZACHSA-N 0 3 246.354 2.757 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N[C@H](C)c1ccno1 ZINC001172352238 974620903 /nfs/dbraw/zinc/62/09/03/974620903.db2.gz QXFFPCGBMAIYDX-GRYCIOLGSA-N 0 3 240.347 2.919 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)N1CCOC[C@H]1CC ZINC001172355708 974623079 /nfs/dbraw/zinc/62/30/79/974623079.db2.gz VTEZNRAJDXLRNT-BFHYXJOUSA-N 0 3 243.391 2.691 20 0 BFADHN c1cncc([C@@H]2CCCCN2C[C@H]2CCCO2)c1 ZINC001207851823 956868810 /nfs/dbraw/zinc/86/88/10/956868810.db2.gz DIYFWRGTSDVNIJ-CABCVRRESA-N 0 3 246.354 2.788 20 0 BFADHN CC(=O)C1CCN(CCCc2ccc(C)o2)CC1 ZINC001207952478 956890955 /nfs/dbraw/zinc/89/09/55/956890955.db2.gz WBKSSWVGBSLSNU-UHFFFAOYSA-N 0 3 249.354 2.822 20 0 BFADHN CC1=C[C@H](C)[C@H](CN2CCC(=O)[C@H](C)C2)CC1 ZINC001208159349 957041464 /nfs/dbraw/zinc/04/14/64/957041464.db2.gz FOGYHXCWVOIZBN-MJBXVCDLSA-N 0 3 235.371 2.890 20 0 BFADHN CN1CC=C(Nc2ccc(F)c(F)c2F)CC1 ZINC001208838557 957183044 /nfs/dbraw/zinc/18/30/44/957183044.db2.gz QFDLYISACPGXIC-UHFFFAOYSA-N 0 3 242.244 2.735 20 0 BFADHN CCC(CC)N(CC)c1cccc(N)n1 ZINC001167111773 957391748 /nfs/dbraw/zinc/39/17/48/957391748.db2.gz YCHOTCLBSCLFLR-UHFFFAOYSA-N 0 3 207.321 2.679 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCc2ncc(Cl)cc2C1 ZINC001209426598 957447276 /nfs/dbraw/zinc/44/72/76/957447276.db2.gz FFBYXRFIJBGWCZ-VHSXEESVSA-N 0 3 236.746 2.749 20 0 BFADHN CC(=O)c1ccc2c(c1)CN(C[C@H]1C[C@H]1C)CC2 ZINC001209433531 957467076 /nfs/dbraw/zinc/46/70/76/957467076.db2.gz UXBSCCBQBHIKSU-IAQYHMDHSA-N 0 3 243.350 2.903 20 0 BFADHN Cc1ncsc1CN1CC[C@@](C)(CF)C1 ZINC001206863415 957492789 /nfs/dbraw/zinc/49/27/89/957492789.db2.gz FWGBCYISLGQDQA-NSHDSACASA-N 0 3 228.336 2.633 20 0 BFADHN Nc1ccncc1-c1nc2c(cccc2Cl)[nH]1 ZINC000397167558 957513999 /nfs/dbraw/zinc/51/39/99/957513999.db2.gz AJJKKTBKVQFCDJ-UHFFFAOYSA-N 0 3 244.685 2.691 20 0 BFADHN CCCN(CC)Cc1cc(Cl)ccn1 ZINC000086129539 957561138 /nfs/dbraw/zinc/56/11/38/957561138.db2.gz WIKOKVPCVGWDGP-UHFFFAOYSA-N 0 3 212.724 2.967 20 0 BFADHN CCC[C@@H](CNCc1cccc2c1OCC2)OC ZINC000693146418 957735059 /nfs/dbraw/zinc/73/50/59/957735059.db2.gz XFMCXKNGTDXCIS-AWEZNQCLSA-N 0 3 249.354 2.526 20 0 BFADHN O=C(CNC1CC1)Nc1ccc2ccccc2c1 ZINC000037386690 957741166 /nfs/dbraw/zinc/74/11/66/957741166.db2.gz KYFCAIGRMOCYNF-UHFFFAOYSA-N 0 3 240.306 2.530 20 0 BFADHN CCN(Cc1cccs1)Cc1ccc[nH]c1=O ZINC001207009260 957745612 /nfs/dbraw/zinc/74/56/12/957745612.db2.gz RDHAUZDFBOYWBK-UHFFFAOYSA-N 0 3 248.351 2.871 20 0 BFADHN Fc1cccnc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC001207027134 957785498 /nfs/dbraw/zinc/78/54/98/957785498.db2.gz WBZPHOOENLJDIS-VXGBXAGGSA-N 0 3 234.318 2.843 20 0 BFADHN C[C@@H]1CN(Cc2cn3ccccc3n2)C2(CC2)C1 ZINC001136883133 972293986 /nfs/dbraw/zinc/29/39/86/972293986.db2.gz ZHEIYIDXIXMZJW-LBPRGKRZSA-N 0 3 241.338 2.709 20 0 BFADHN Cc1cc(CN2CCC[C@]23CCOC3)oc1C ZINC001204532282 957932815 /nfs/dbraw/zinc/93/28/15/957932815.db2.gz CQJLTGOWHMXELP-CQSZACIVSA-N 0 3 235.327 2.651 20 0 BFADHN OCc1cncc(Nc2ccnc(C3CC3)c2)c1 ZINC001211101192 958029572 /nfs/dbraw/zinc/02/95/72/958029572.db2.gz TZANIVBPGLMFPE-UHFFFAOYSA-N 0 3 241.294 2.590 20 0 BFADHN Cn1ccnc1Nc1cc2ccccc2[nH]1 ZINC001211303173 958149491 /nfs/dbraw/zinc/14/94/91/958149491.db2.gz HVMDLOPMNUFLQS-UHFFFAOYSA-N 0 3 212.256 2.645 20 0 BFADHN [O-]c1ccc(C[NH2+][C@]23C[C@H]2CCC3)cc1F ZINC000693274161 958153256 /nfs/dbraw/zinc/15/32/56/958153256.db2.gz WMOLLLNLDVPSGX-ZWNOBZJWSA-N 0 3 221.275 2.564 20 0 BFADHN CC[C@H](C)N(C)Cc1ncc(C(F)(F)F)[nH]1 ZINC001137011884 972328089 /nfs/dbraw/zinc/32/80/89/972328089.db2.gz JPOUEPPMUNQMFK-ZETCQYMHSA-N 0 3 235.253 2.659 20 0 BFADHN CN(Cc1ncc(C(F)(F)F)[nH]1)CC(C)(C)C ZINC001137016017 972328601 /nfs/dbraw/zinc/32/86/01/972328601.db2.gz GATRTECSGIYVNR-UHFFFAOYSA-N 0 3 249.280 2.906 20 0 BFADHN COc1cc(CN2C[C@@H]3[C@H](C2)C3(C)C)ccc1F ZINC000093661166 958677778 /nfs/dbraw/zinc/67/77/78/958677778.db2.gz BFVHGPMNOILXGI-TXEJJXNPSA-N 0 3 249.329 2.922 20 0 BFADHN COC(C)(C)CNc1cc(C)cc(C)n1 ZINC000707899238 958795929 /nfs/dbraw/zinc/79/59/29/958795929.db2.gz NNZDTTXPBQHOJR-UHFFFAOYSA-N 0 3 208.305 2.535 20 0 BFADHN Cc1ccnc(CN[C@@H]2CCCC(C)(C)C2)n1 ZINC000707907295 958809094 /nfs/dbraw/zinc/80/90/94/958809094.db2.gz STFZLYXMXYZUOC-GFCCVEGCSA-N 0 3 233.359 2.843 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNCc1nccs1 ZINC000716534484 958810692 /nfs/dbraw/zinc/81/06/92/958810692.db2.gz WNXHIOCHZGVAHM-VPOLOUISSA-N 0 3 222.357 2.525 20 0 BFADHN CN(C)Cc1ccc(F)c(OC(F)(F)F)c1 ZINC001143435415 958851839 /nfs/dbraw/zinc/85/18/39/958851839.db2.gz BNIFDMRRBSWDKS-UHFFFAOYSA-N 0 3 237.196 2.786 20 0 BFADHN CC(C)P(CCNC(=O)[C@H]1C[C@@H]1F)C(C)C ZINC001143447086 959053906 /nfs/dbraw/zinc/05/39/06/959053906.db2.gz WGFHOHXIPFOZOK-QWRGUYRKSA-N 0 3 247.294 2.759 20 0 BFADHN CCCCC(=O)NCCN(CC)CC=C(C)C ZINC001267236552 959263247 /nfs/dbraw/zinc/26/32/47/959263247.db2.gz NUPJZLJMOHPFHT-UHFFFAOYSA-N 0 3 240.391 2.581 20 0 BFADHN CCCCCCN1CCN(Cc2cc[nH]c2)CC1 ZINC001140707621 959673550 /nfs/dbraw/zinc/67/35/50/959673550.db2.gz VETQFUPZJCCAGB-UHFFFAOYSA-N 0 3 249.402 2.713 20 0 BFADHN Cc1ccc(C)c(O[C@H]2CCNC[C@@H]2F)c1C ZINC001218064887 959851623 /nfs/dbraw/zinc/85/16/23/959851623.db2.gz PWKCBJAOWRRZHX-STQMWFEESA-N 0 3 237.318 2.691 20 0 BFADHN CCCc1ccccc1O[C@H]1CCNC[C@@H]1F ZINC001218064813 959851821 /nfs/dbraw/zinc/85/18/21/959851821.db2.gz BBWHNCKIUBCGPV-JSGCOSHPSA-N 0 3 237.318 2.718 20 0 BFADHN CCCc1ccccc1O[C@@H]1CCNC[C@H]1F ZINC001218064816 959852196 /nfs/dbraw/zinc/85/21/96/959852196.db2.gz BBWHNCKIUBCGPV-TZMCWYRMSA-N 0 3 237.318 2.718 20 0 BFADHN CCCc1ccc(O[C@@H]2CCNC[C@H]2F)cc1 ZINC001218068200 959854294 /nfs/dbraw/zinc/85/42/94/959854294.db2.gz WCBHICYYZLLEEM-ZIAGYGMSSA-N 0 3 237.318 2.718 20 0 BFADHN COc1cccc(CCN2CC3(CCC3)C2)c1 ZINC001207430422 960003538 /nfs/dbraw/zinc/00/35/38/960003538.db2.gz ZCPZQVZDBVHLPO-UHFFFAOYSA-N 0 3 231.339 2.724 20 0 BFADHN c1ncc(CN2CC[C@@H](Cc3ccccc3)C2)o1 ZINC001140932012 960099790 /nfs/dbraw/zinc/09/97/90/960099790.db2.gz XDVQLMRAIRPKFU-AWEZNQCLSA-N 0 3 242.322 2.739 20 0 BFADHN C[C@@H]1CC[C@H](n2cnc3c2CNCC3)C[C@H]1C ZINC000310314349 960181741 /nfs/dbraw/zinc/18/17/41/960181741.db2.gz FJABDNPYICBRHI-UTUOFQBUSA-N 0 3 233.359 2.526 20 0 BFADHN Cc1nc2c(n1C1CCC(C)CC1)CNCC2 ZINC000310556446 960189048 /nfs/dbraw/zinc/18/90/48/960189048.db2.gz YOGDSNVVZFSTMV-UHFFFAOYSA-N 0 3 233.359 2.588 20 0 BFADHN COC(=O)[C@H](C)N(C)[C@@H]1C[C@@H](C)CC(C)(C)C1 ZINC000709019245 960211879 /nfs/dbraw/zinc/21/18/79/960211879.db2.gz IAWHVSBRTIKIQR-GRYCIOLGSA-N 0 3 241.375 2.695 20 0 BFADHN C[C@@H](N[C@@H](C)C1(Cl)CC1)c1cccnc1 ZINC000695649173 960325793 /nfs/dbraw/zinc/32/57/93/960325793.db2.gz QBUAGMDYZGTJTR-ZJUUUORDSA-N 0 3 224.735 2.892 20 0 BFADHN c1nc(CN2CCC[C@@H]3CCCC[C@H]32)co1 ZINC001141129468 960351695 /nfs/dbraw/zinc/35/16/95/960351695.db2.gz JLMYQACNBRECRE-WCQYABFASA-N 0 3 220.316 2.829 20 0 BFADHN c1csc(CN[C@@H]2CCCC3(CC3)C2)n1 ZINC000695762476 960432526 /nfs/dbraw/zinc/43/25/26/960432526.db2.gz YUCZZTLRKYBDGL-SNVBAGLBSA-N 0 3 222.357 2.956 20 0 BFADHN C/C(=C\C=C\N)NCc1ccc2oc(C)nc2c1 ZINC001167756053 960553105 /nfs/dbraw/zinc/55/31/05/960553105.db2.gz GCQJZURZLQHQES-DROBUMMNSA-N 0 3 243.310 2.602 20 0 BFADHN C[C@@]1(F)CCCN(Cc2cnc3cc[nH]cc2-3)C1 ZINC001140802466 960588909 /nfs/dbraw/zinc/58/89/09/960588909.db2.gz WGVHSMRMKUSWGR-CQSZACIVSA-N 0 3 247.317 2.887 20 0 BFADHN C[C@@]1(F)CCCN(Cc2c[nH]c3ccncc23)C1 ZINC001140802466 960588923 /nfs/dbraw/zinc/58/89/23/960588923.db2.gz WGVHSMRMKUSWGR-CQSZACIVSA-N 0 3 247.317 2.887 20 0 BFADHN Cc1c[nH]c(CN2CC[C@@H]3CCCO[C@H]3C2)c1C ZINC001140858028 960637040 /nfs/dbraw/zinc/63/70/40/960637040.db2.gz FEBXOMYIZXNWGL-ZFWWWQNUSA-N 0 3 248.370 2.632 20 0 BFADHN C[C@]1(CF)CCN(Cc2c(N)cccc2F)C1 ZINC001140872809 960660899 /nfs/dbraw/zinc/66/08/99/960660899.db2.gz LKLCFRVVRSEOOU-CYBMUJFWSA-N 0 3 240.297 2.589 20 0 BFADHN C[C@H]1CC[N@H+](Cc2ccc([O-])c(F)c2F)C1 ZINC001140887976 960667472 /nfs/dbraw/zinc/66/74/72/960667472.db2.gz KPARBNCITKRETA-QMMMGPOBSA-N 0 3 227.254 2.512 20 0 BFADHN C[C@H]1CC[N@@H+](Cc2ccc([O-])c(F)c2F)C1 ZINC001140887976 960667482 /nfs/dbraw/zinc/66/74/82/960667482.db2.gz KPARBNCITKRETA-QMMMGPOBSA-N 0 3 227.254 2.512 20 0 BFADHN CCN(CC)Cc1cnccc1C(F)(F)F ZINC001140914472 960674992 /nfs/dbraw/zinc/67/49/92/960674992.db2.gz KCXDCATVDPDTPY-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN c1ncc(CN2CCC23CCCCC3)o1 ZINC001140927740 960697215 /nfs/dbraw/zinc/69/72/15/960697215.db2.gz AEVLCNGUJIBBRK-UHFFFAOYSA-N 0 3 206.289 2.583 20 0 BFADHN C[C@@H]1CCN1Cc1cccc(-c2ncccn2)c1 ZINC001140975686 960774559 /nfs/dbraw/zinc/77/45/59/960774559.db2.gz OCDNWOFRQBEVKX-GFCCVEGCSA-N 0 3 239.322 2.738 20 0 BFADHN C[C@@H]1CCCN(Cc2ncco2)C[C@H]1C ZINC001141004752 960803272 /nfs/dbraw/zinc/80/32/72/960803272.db2.gz LTVLFKIXQDLQMF-GHMZBOCLSA-N 0 3 208.305 2.543 20 0 BFADHN FC[C@H]1CCCN(Cc2ccn3ccnc3c2)C1 ZINC001141045970 960832248 /nfs/dbraw/zinc/83/22/48/960832248.db2.gz HVDVUPSSOKKLCT-CYBMUJFWSA-N 0 3 247.317 2.516 20 0 BFADHN CCCCc1nc(CN2CCC[C@H]3C[C@H]32)c[nH]1 ZINC001141074012 960858997 /nfs/dbraw/zinc/85/89/97/960858997.db2.gz SSWDYSLTDDMKNT-WCQYABFASA-N 0 3 233.359 2.737 20 0 BFADHN CCCCc1nc(CN2CC[C@@H](CC)C2)c[nH]1 ZINC001141074048 960863431 /nfs/dbraw/zinc/86/34/31/960863431.db2.gz VERGJSILTDOFOP-GFCCVEGCSA-N 0 3 235.375 2.984 20 0 BFADHN Cc1ccc(CN2CC[C@H]3OCC[C@H]3C2)c(F)c1 ZINC001141196450 960937733 /nfs/dbraw/zinc/93/77/33/960937733.db2.gz QFQRHQNFINSIEP-DZGCQCFKSA-N 0 3 249.329 2.745 20 0 BFADHN CN(Cc1ccc2cc(F)ccc2n1)C1CC1 ZINC001141639830 961133891 /nfs/dbraw/zinc/13/38/91/961133891.db2.gz CVSJLIMZFGBTGQ-UHFFFAOYSA-N 0 3 230.286 2.968 20 0 BFADHN C=Cc1cccc(NCCN2CCSCC2)c1 ZINC001167837696 961217731 /nfs/dbraw/zinc/21/77/31/961217731.db2.gz QGRCLGWLWCVSIL-UHFFFAOYSA-N 0 3 248.395 2.790 20 0 BFADHN CC(C)(C)c1nc[nH]c1CN1C2CCC1CC2 ZINC001142226917 961358171 /nfs/dbraw/zinc/35/81/71/961358171.db2.gz UGAJPSIYFALDGC-UHFFFAOYSA-N 0 3 233.359 2.834 20 0 BFADHN CN(CCC1CC1)Cc1[nH]cnc1C(C)(C)C ZINC001142235529 961366507 /nfs/dbraw/zinc/36/65/07/961366507.db2.gz YJKSKIPVQFXWOA-UHFFFAOYSA-N 0 3 235.375 2.939 20 0 BFADHN CC(C)n1ccnc1CNCCC1CCC1 ZINC000692919039 961373871 /nfs/dbraw/zinc/37/38/71/961373871.db2.gz AXUSNGSVIUMHLK-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN C[C@@H]1C[C@@H](F)CN1Cc1cccc2nn(C)cc21 ZINC001142445231 961439408 /nfs/dbraw/zinc/43/94/08/961439408.db2.gz QUAVQHSLHNLIDT-ZYHUDNBSSA-N 0 3 247.317 2.506 20 0 BFADHN Cc1nc([C@H](C)N[C@H]2C[C@H]3C[C@H]3C2)cs1 ZINC001167899238 961538652 /nfs/dbraw/zinc/53/86/52/961538652.db2.gz YBRJEBVZNSNMAD-CPOMMVLXSA-N 0 3 222.357 2.901 20 0 BFADHN CC(C)c1cc(CN[C@@H]2C[C@H]3C[C@H]3C2)on1 ZINC001167903198 961687760 /nfs/dbraw/zinc/68/77/60/961687760.db2.gz SDJIJMULSRXTKR-JGPRNRPPSA-N 0 3 220.316 2.686 20 0 BFADHN CC[C@@H](C)C[C@@H](C)Nc1cccc(N)n1 ZINC000087726454 961732682 /nfs/dbraw/zinc/73/26/82/961732682.db2.gz MFDIJCKACJFHGY-NXEZZACHSA-N 0 3 207.321 2.900 20 0 BFADHN Cc1noc([C@H](NC2CCCCC2)C(C)C)n1 ZINC000168070064 961836072 /nfs/dbraw/zinc/83/60/72/961836072.db2.gz SOVZMNZPHIHCME-GFCCVEGCSA-N 0 3 237.347 2.997 20 0 BFADHN Cn1nc(-c2ccccc2)cc1CN1CCCC1 ZINC001143005122 961859896 /nfs/dbraw/zinc/85/98/96/961859896.db2.gz UMOCOMPXDGSWLO-UHFFFAOYSA-N 0 3 241.338 2.683 20 0 BFADHN O=C1c2ccccc2CC[C@H]1N[C@H]1C[C@H]2C[C@H]2C1 ZINC001167918484 961905951 /nfs/dbraw/zinc/90/59/51/961905951.db2.gz JIAFKHLBBZVPCW-UKTARXLSSA-N 0 3 241.334 2.572 20 0 BFADHN F[C@@H]1CN([C@H]2C[C@H]3C[C@H]3C2)CCCC1(F)F ZINC001167930724 962059431 /nfs/dbraw/zinc/05/94/31/962059431.db2.gz KOCRRQVRNFMKDB-VPOLOUISSA-N 0 3 233.277 2.854 20 0 BFADHN F[C@H]1CN([C@@H]2C[C@H]3C[C@H]3C2)CCCC1(F)F ZINC001167930720 962059786 /nfs/dbraw/zinc/05/97/86/962059786.db2.gz KOCRRQVRNFMKDB-VLEAKVRGSA-N 0 3 233.277 2.854 20 0 BFADHN C/C=C\CNCc1cc(C)nc(Cl)c1 ZINC000401845054 962140418 /nfs/dbraw/zinc/14/04/18/962140418.db2.gz WOAIIKURBGQZOJ-ARJAWSKDSA-N 0 3 210.708 2.709 20 0 BFADHN C[C@H]([NH2+][C@@H]1C[C@H]1C)c1cc([O-])cc(F)c1 ZINC000924947605 962582661 /nfs/dbraw/zinc/58/26/61/962582661.db2.gz DEVOTMZGCIMSCT-RGNHYFCHSA-N 0 3 209.264 2.590 20 0 BFADHN COc1cccnc1CN1C[C@@H](C)C[C@H](C)C1 ZINC001206650583 962646245 /nfs/dbraw/zinc/64/62/45/962646245.db2.gz QKFBWBFLENFYAC-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN COc1cccnc1CN1CCC[C@H]2CCC[C@@H]21 ZINC001206650799 962680478 /nfs/dbraw/zinc/68/04/78/962680478.db2.gz YVRIARJMEASRRG-OCCSQVGLSA-N 0 3 246.354 2.855 20 0 BFADHN FC1CC(Nc2ncccc2CN2CCCC2)C1 ZINC001154843489 962867737 /nfs/dbraw/zinc/86/77/37/962867737.db2.gz LSVRYGBMRRJEIJ-UHFFFAOYSA-N 0 3 249.333 2.590 20 0 BFADHN Cc1oncc1CNc1cc(C)cc(C)n1 ZINC001154880104 962880267 /nfs/dbraw/zinc/88/02/67/962880267.db2.gz AWSIPJUUUBDPQO-UHFFFAOYSA-N 0 3 217.272 2.607 20 0 BFADHN Cc1cc(NC[C@H]2CCN2C)nc2ccccc12 ZINC000712537352 962917994 /nfs/dbraw/zinc/91/79/94/962917994.db2.gz YNXWKKXKLOKTQE-GFCCVEGCSA-N 0 3 241.338 2.659 20 0 BFADHN Cc1occc1CNC[C@H](O)c1ccc(F)cc1 ZINC000840876571 963564615 /nfs/dbraw/zinc/56/46/15/963564615.db2.gz WYXLOBLVFIZAPQ-AWEZNQCLSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1ncc(CNCc2c(C)cccc2C)n1C ZINC000689257263 963838916 /nfs/dbraw/zinc/83/89/16/963838916.db2.gz SEWSNWSBBQBYOI-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN Nc1cccc(N[C@H](C2CCC2)C(F)(F)F)n1 ZINC001158399784 963860731 /nfs/dbraw/zinc/86/07/31/963860731.db2.gz MGQPXHFRFZVIHT-SNVBAGLBSA-N 0 3 245.248 2.807 20 0 BFADHN C[C@H](Cc1ccc(Cl)cc1)n1ccc(CN)n1 ZINC001168152449 963873917 /nfs/dbraw/zinc/87/39/17/963873917.db2.gz UFLIVGHVTFXSSL-SNVBAGLBSA-N 0 3 249.745 2.799 20 0 BFADHN C[C@@H](Cc1ccc(Cl)cc1)n1ccc(CN)n1 ZINC001168152448 963874004 /nfs/dbraw/zinc/87/40/04/963874004.db2.gz UFLIVGHVTFXSSL-JTQLQIEISA-N 0 3 249.745 2.799 20 0 BFADHN [O-]c1cccc2sc(NC3=C[NH2+]CCC3)nc21 ZINC001159112910 964004347 /nfs/dbraw/zinc/00/43/47/964004347.db2.gz JBYSRTHTMMUOPU-UHFFFAOYSA-N 0 3 247.323 2.639 20 0 BFADHN Cc1cc(Cl)nc(NC2=CNCCC2)c1 ZINC001159230056 964024690 /nfs/dbraw/zinc/02/46/90/964024690.db2.gz LHPOKJMBSMCZPB-UHFFFAOYSA-N 0 3 223.707 2.680 20 0 BFADHN CC(C)c1cccnc1NC1=CNCCC1 ZINC001159417278 964081082 /nfs/dbraw/zinc/08/10/82/964081082.db2.gz UUFPSNNEHZZERZ-UHFFFAOYSA-N 0 3 217.316 2.842 20 0 BFADHN Cc1cc(Nc2ccncc2CN)cc(C)c1C ZINC001159694345 964153294 /nfs/dbraw/zinc/15/32/94/964153294.db2.gz SUNQSMAVDMKGSE-UHFFFAOYSA-N 0 3 241.338 2.631 20 0 BFADHN NCc1ccc(Nc2cccc(C3CC3)n2)cn1 ZINC001160404946 964300890 /nfs/dbraw/zinc/30/08/90/964300890.db2.gz DVLWZHJCWCJYFS-UHFFFAOYSA-N 0 3 240.310 2.556 20 0 BFADHN CCC1(CNc2ccc3nc(C)[nH]c3c2)COC1 ZINC001168327481 964456187 /nfs/dbraw/zinc/45/61/87/964456187.db2.gz PHTNQBPZXLOAOE-UHFFFAOYSA-N 0 3 245.326 2.710 20 0 BFADHN Cc1cc(C)nc(NC2(c3ncccn3)CC2)c1 ZINC001161311933 964565402 /nfs/dbraw/zinc/56/54/02/964565402.db2.gz FUVHCOZTQRNBGJ-UHFFFAOYSA-N 0 3 240.310 2.590 20 0 BFADHN CN(C)Cc1ccnc(NC2CC3(CCC3)C2)c1 ZINC001161447241 964610283 /nfs/dbraw/zinc/61/02/83/964610283.db2.gz RTNCCAFSQCPSHV-UHFFFAOYSA-N 0 3 245.370 2.888 20 0 BFADHN CCCO[C@H]1CCN(Cc2ccccc2OC)C1 ZINC001203432307 964625186 /nfs/dbraw/zinc/62/51/86/964625186.db2.gz ORMQAPVUTOQEHL-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CN(C)Cc1ccc(NCCC(C)(C)C)nc1 ZINC001161677041 964679861 /nfs/dbraw/zinc/67/98/61/964679861.db2.gz HKKFGSGKRGYYLB-UHFFFAOYSA-N 0 3 235.375 2.991 20 0 BFADHN CCC[C@H](C)Nc1cc(N2CCCC2)nc(C)n1 ZINC001161715018 964702345 /nfs/dbraw/zinc/70/23/45/964702345.db2.gz PKNIKFRBCNXYRV-NSHDSACASA-N 0 3 248.374 2.986 20 0 BFADHN Cc1cc2ccccc2c(-n2cnc(CN)c2)c1 ZINC001162304689 964919341 /nfs/dbraw/zinc/91/93/41/964919341.db2.gz PYALPANXOWEIJI-UHFFFAOYSA-N 0 3 237.306 2.793 20 0 BFADHN CCCCc1cccc(-n2cnc(CN)c2)c1 ZINC001162304589 964919910 /nfs/dbraw/zinc/91/99/10/964919910.db2.gz NUSYRWQWPKJGPI-UHFFFAOYSA-N 0 3 229.327 2.674 20 0 BFADHN C[C@@H]1CCCC[C@H]1CNc1cccc(CN)n1 ZINC001162530942 965026351 /nfs/dbraw/zinc/02/63/51/965026351.db2.gz QNKVEZDZHJGITA-NEPJUHHUSA-N 0 3 233.359 2.779 20 0 BFADHN C[C@H]1CCCC[C@H]1CNc1cccc(CN)n1 ZINC001162530945 965026376 /nfs/dbraw/zinc/02/63/76/965026376.db2.gz QNKVEZDZHJGITA-RYUDHWBXSA-N 0 3 233.359 2.779 20 0 BFADHN CC(C)(C)C(=N)Nc1cnc(OCC2CC2)nc1 ZINC001162666061 965101256 /nfs/dbraw/zinc/10/12/56/965101256.db2.gz YJLYKEZNPHMKOJ-UHFFFAOYSA-N 0 3 248.330 2.701 20 0 BFADHN COC(=O)c1cnc(C)c(NC(=N)C(C)(C)C)c1 ZINC001162665321 965102866 /nfs/dbraw/zinc/10/28/66/965102866.db2.gz CEJVFNZKBMWEOX-UHFFFAOYSA-N 0 3 249.314 2.612 20 0 BFADHN C[C@@H](N[C@H]1C=CCC1)c1ccc(F)cn1 ZINC000698207353 965107310 /nfs/dbraw/zinc/10/73/10/965107310.db2.gz WKVAIUKSTVQTNG-KOLCDFICSA-N 0 3 206.264 2.590 20 0 BFADHN CC/C=C\C[NH2+]Cc1ccc([O-])c(F)c1 ZINC000384876682 965232742 /nfs/dbraw/zinc/23/27/42/965232742.db2.gz PSMSIVXRMPQAPS-ARJAWSKDSA-N 0 3 209.264 2.587 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)C(F)(F)CC2)[nH]1 ZINC001232460424 965356559 /nfs/dbraw/zinc/35/65/59/965356559.db2.gz QFVFPJPOPMFKJF-VIFPVBQESA-N 0 3 243.301 2.585 20 0 BFADHN CCc1csc(CN2C[C@H]3CCC[C@@H]3C2)n1 ZINC001232471036 965360135 /nfs/dbraw/zinc/36/01/35/965360135.db2.gz ZWGKWZYCKQAGGF-GHMZBOCLSA-N 0 3 236.384 2.937 20 0 BFADHN Cc1cc(CN)nn1-c1cc(C)cc(Cl)c1 ZINC001163985406 965671219 /nfs/dbraw/zinc/67/12/19/965671219.db2.gz PFEJVTARZAFNRJ-UHFFFAOYSA-N 0 3 235.718 2.601 20 0 BFADHN Cc1nocc1CN[C@H](C)COc1ccccc1 ZINC000699326342 965847539 /nfs/dbraw/zinc/84/75/39/965847539.db2.gz PVTLYADIKYUGDR-LLVKDONJSA-N 0 3 246.310 2.540 20 0 BFADHN C[C@H](Cc1cccc(F)c1)N1CC(C)C1 ZINC001168474470 965857403 /nfs/dbraw/zinc/85/74/03/965857403.db2.gz MKFDIXWLVREMGC-LLVKDONJSA-N 0 3 207.292 2.708 20 0 BFADHN C[C@@H](COc1ccccc1)NCc1cncs1 ZINC000699369799 965861401 /nfs/dbraw/zinc/86/14/01/965861401.db2.gz OJQAMYZTYVCWHA-NSHDSACASA-N 0 3 248.351 2.700 20 0 BFADHN CC[C@@H]1COC[C@@H]1NCc1cc(C)ccc1OC ZINC000699529717 965944895 /nfs/dbraw/zinc/94/48/95/965944895.db2.gz UTDHSRJCFLHUSM-OCCSQVGLSA-N 0 3 249.354 2.518 20 0 BFADHN CCc1ccc(CN2C[C@@H]3CCCC(=O)[C@@H]3C2)o1 ZINC001204397480 966038732 /nfs/dbraw/zinc/03/87/32/966038732.db2.gz XLFKLEBXNJTDAC-SMDDNHRTSA-N 0 3 247.338 2.643 20 0 BFADHN O=C(Nc1cccc2c1CNC2)[C@@H]1CC=CCC1 ZINC000829142300 966045965 /nfs/dbraw/zinc/04/59/65/966045965.db2.gz KQCATRBBHRZHIH-LLVKDONJSA-N 0 3 242.322 2.585 20 0 BFADHN C[C@@H]1COC[C@H]1NCc1ccc(F)cc1Cl ZINC000699752075 966069784 /nfs/dbraw/zinc/06/97/84/966069784.db2.gz CRDXZANNOGCFJB-PRHODGIISA-N 0 3 243.709 2.604 20 0 BFADHN C[C@@H]1COC[C@@H]1NCc1cc(Cl)ccc1F ZINC000699765856 966079138 /nfs/dbraw/zinc/07/91/38/966079138.db2.gz ZWWXPFDYDXUHGW-PELKAZGASA-N 0 3 243.709 2.604 20 0 BFADHN C[C@@H](NCCCCO)c1cc(Cl)ccc1F ZINC001168499381 966084647 /nfs/dbraw/zinc/08/46/47/966084647.db2.gz WRDDBBKFAXELEZ-SECBINFHSA-N 0 3 245.725 2.902 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN[C@H]2COC[C@H]2C)o1 ZINC000699790724 966094321 /nfs/dbraw/zinc/09/43/21/966094321.db2.gz SUIJKLZDMAAFND-YGNMPJRFSA-N 0 3 235.327 2.528 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@H]1COC[C@@H]1C ZINC000699838742 966127910 /nfs/dbraw/zinc/12/79/10/966127910.db2.gz RQDRUEFQEAVGNY-OBJOEFQTSA-N 0 3 249.354 2.771 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@@H]1COC[C@@H]1C ZINC000699838746 966128534 /nfs/dbraw/zinc/12/85/34/966128534.db2.gz RQDRUEFQEAVGNY-SGMGOOAPSA-N 0 3 249.354 2.771 20 0 BFADHN CC[C@H]1CCCCN1Cc1cncc(C)n1 ZINC001203173774 966541495 /nfs/dbraw/zinc/54/14/95/966541495.db2.gz REDUTLGIAMHVFT-ZDUSSCGKSA-N 0 3 219.332 2.550 20 0 BFADHN CCOc1ccccc1CN1C[C@H]2[C@H](CF)[C@H]2C1 ZINC001203544093 966680064 /nfs/dbraw/zinc/68/00/64/966680064.db2.gz TZAASKKKPUTWSA-ZSOGYDGISA-N 0 3 249.329 2.733 20 0 BFADHN Cc1ncncc1CN1CCC(C(C)C)CC1 ZINC001238176417 966730670 /nfs/dbraw/zinc/73/06/70/966730670.db2.gz WLGIWWOBEVKYSW-UHFFFAOYSA-N 0 3 233.359 2.653 20 0 BFADHN COCC1CCN(Cc2ncc(C)cc2C)CC1 ZINC001238426537 966752479 /nfs/dbraw/zinc/75/24/79/966752479.db2.gz NRAZTRVFCCYFAL-UHFFFAOYSA-N 0 3 248.370 2.557 20 0 BFADHN O=C1CCC[C@@H]2CN(Cc3cccc(F)c3)C[C@H]12 ZINC001203790460 966778071 /nfs/dbraw/zinc/77/80/71/966778071.db2.gz JLKFOHXXUOJHHL-OCCSQVGLSA-N 0 3 247.313 2.627 20 0 BFADHN Fc1cccc(CN2C[C@@H]3C[C@H]2C[C@H]3F)c1 ZINC001203787372 966778308 /nfs/dbraw/zinc/77/83/08/966778308.db2.gz YUNCZRKXUKTOFP-WCFLWFBJSA-N 0 3 223.266 2.758 20 0 BFADHN O=C1CCC[C@H]2CN(Cc3cccc(F)c3)C[C@H]12 ZINC001203790457 966782178 /nfs/dbraw/zinc/78/21/78/966782178.db2.gz JLKFOHXXUOJHHL-JSGCOSHPSA-N 0 3 247.313 2.627 20 0 BFADHN COc1cc(CN2CCC3(CC3)C2)cc(OC)c1 ZINC001203852956 966793384 /nfs/dbraw/zinc/79/33/84/966793384.db2.gz YIKABRSCXHVKSS-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN COc1ccc(CN2CC3CC(C3)C2)cc1OC ZINC001203840576 966795337 /nfs/dbraw/zinc/79/53/37/966795337.db2.gz QIYLKCHCWFDLRZ-UHFFFAOYSA-N 0 3 247.338 2.546 20 0 BFADHN Oc1cccc(CN2CC[C@]3(C2)CCCCO3)c1 ZINC001203859483 966796333 /nfs/dbraw/zinc/79/63/33/966796333.db2.gz RFURYBOAZWZJPR-HNNXBMFYSA-N 0 3 247.338 2.537 20 0 BFADHN COc1ccc(CN2CCCCC23CC3)cc1O ZINC001203871304 966803720 /nfs/dbraw/zinc/80/37/20/966803720.db2.gz ILGMFVHDLWPKOU-UHFFFAOYSA-N 0 3 247.338 2.919 20 0 BFADHN Cc1cccc(CN2C[C@@H](F)C[C@H]2C)c1 ZINC001203888692 966812052 /nfs/dbraw/zinc/81/20/52/966812052.db2.gz XDAAVAGFOAWYOQ-YPMHNXCESA-N 0 3 207.292 2.927 20 0 BFADHN Cc1cccc(CN2CCOC[C@@H]2C(C)C)c1 ZINC001203892670 966812098 /nfs/dbraw/zinc/81/20/98/966812098.db2.gz NFPFGWKDEASFSO-OAHLLOKOSA-N 0 3 233.355 2.852 20 0 BFADHN CCOc1ccc(CN2CC([C@H](C)OC)C2)cc1 ZINC001203971088 966833519 /nfs/dbraw/zinc/83/35/19/966833519.db2.gz WIRQOEUGMNTKHD-LBPRGKRZSA-N 0 3 249.354 2.552 20 0 BFADHN c1csc(CN2CC[C@H]3CCCO[C@H]3C2)c1 ZINC001204015034 966854613 /nfs/dbraw/zinc/85/46/13/966854613.db2.gz WAQLPOYCXKRHOM-YPMHNXCESA-N 0 3 237.368 2.749 20 0 BFADHN c1cc(CNC[C@H]2OCc3ccccc32)sn1 ZINC001204064639 966877416 /nfs/dbraw/zinc/87/74/16/966877416.db2.gz ZFOPQKYSXCRRCS-CYBMUJFWSA-N 0 3 246.335 2.504 20 0 BFADHN Cc1cccc(CN2CCC3(CCCO3)CC2)n1 ZINC001204068941 966879988 /nfs/dbraw/zinc/87/99/88/966879988.db2.gz RQAMVDIADVHDIG-UHFFFAOYSA-N 0 3 246.354 2.535 20 0 BFADHN CN1CCCC[C@H]1c1ccc(C2=CCOC2)nc1 ZINC001239480576 966896892 /nfs/dbraw/zinc/89/68/92/966896892.db2.gz JIJDXAZHOCORNW-HNNXBMFYSA-N 0 3 244.338 2.652 20 0 BFADHN C1=C(c2ccc(C3=NCCC3)cc2)COC1 ZINC001239482184 966901477 /nfs/dbraw/zinc/90/14/77/966901477.db2.gz XEHUELNDHLESTA-UHFFFAOYSA-N 0 3 213.280 2.683 20 0 BFADHN COc1ccc(-c2cncc(CN(C)C)c2)cc1 ZINC001239484482 966901509 /nfs/dbraw/zinc/90/15/09/966901509.db2.gz DPPOXXKSGSFZBW-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN CC(C)c1ccc(CN2CC3(CN(C)C3)C2)cc1 ZINC001204169463 966914035 /nfs/dbraw/zinc/91/40/35/966914035.db2.gz QUIVDNLURIGILP-UHFFFAOYSA-N 0 3 244.382 2.557 20 0 BFADHN Cc1ccccc1-c1ccc2c(n1)OCCNC2 ZINC001239500986 966936699 /nfs/dbraw/zinc/93/66/99/966936699.db2.gz WTWXMWUDDUWIGA-UHFFFAOYSA-N 0 3 240.306 2.539 20 0 BFADHN C[C@@H]1CN(Cc2cn(C)c3ccccc23)C[C@@H]1F ZINC001204312236 966967784 /nfs/dbraw/zinc/96/77/84/966967784.db2.gz BNIKWHODQQFNJF-RISCZKNCSA-N 0 3 246.329 2.968 20 0 BFADHN CSCCN(C)Cc1cccc(F)c1C ZINC001204512444 967020787 /nfs/dbraw/zinc/02/07/87/967020787.db2.gz GALIWPYJTGRVFZ-UHFFFAOYSA-N 0 3 227.348 2.929 20 0 BFADHN Cc1c(F)cccc1CN1CCOC[C@@H](C)C1 ZINC001204507273 967011305 /nfs/dbraw/zinc/01/13/05/967011305.db2.gz VWTGCDBMCVIKHA-NSHDSACASA-N 0 3 237.318 2.602 20 0 BFADHN CC1CN(Cc2ccc3ccccc3n2)C1 ZINC001204500061 967014087 /nfs/dbraw/zinc/01/40/87/967014087.db2.gz SXPUVHKHDRXITA-UHFFFAOYSA-N 0 3 212.296 2.687 20 0 BFADHN CCCO[C@H]1CCN(Cc2cc(C)c(C)o2)C1 ZINC001204532727 967028268 /nfs/dbraw/zinc/02/82/68/967028268.db2.gz FISANWASHVSRBB-ZDUSSCGKSA-N 0 3 237.343 2.897 20 0 BFADHN Cc1cc(CN2CCC(F)CC2)oc1C ZINC001204530461 967028691 /nfs/dbraw/zinc/02/86/91/967028691.db2.gz FLDWKUBZVFIELQ-UHFFFAOYSA-N 0 3 211.280 2.830 20 0 BFADHN COCC1CCN(Cc2cc(C)c(C)o2)CC1 ZINC001204530837 967031116 /nfs/dbraw/zinc/03/11/16/967031116.db2.gz JIQKTZBOCVIWPI-UHFFFAOYSA-N 0 3 237.343 2.755 20 0 BFADHN Cc1cc(CN2CCCC3(COC3)C2)oc1C ZINC001204536949 967034125 /nfs/dbraw/zinc/03/41/25/967034125.db2.gz OIQNJBIXNPIVHK-UHFFFAOYSA-N 0 3 235.327 2.509 20 0 BFADHN COc1cc(CN2CCCC23CC3)ccc1F ZINC001204554557 967050819 /nfs/dbraw/zinc/05/08/19/967050819.db2.gz ACYKCYBJHNAUJN-UHFFFAOYSA-N 0 3 235.302 2.963 20 0 BFADHN COc1ccc2[nH]c(Nc3nccn3C)cc2c1 ZINC001249879882 967123729 /nfs/dbraw/zinc/12/37/29/967123729.db2.gz FUIWCTSCAQJCIH-UHFFFAOYSA-N 0 3 242.282 2.654 20 0 BFADHN COc1ccc(CN2CCC(C)(C)C2)nc1C ZINC001249908825 967147174 /nfs/dbraw/zinc/14/71/74/967147174.db2.gz DEUHLVIBYSDADT-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN C/C(=C\C=C\N)N[C@H]1CCNc2ccccc21 ZINC001168644025 967196242 /nfs/dbraw/zinc/19/62/42/967196242.db2.gz HACAARIJRJSHAP-AGFYKUSQSA-N 0 3 229.327 2.509 20 0 BFADHN CN(C)Cc1ccc(C(F)(F)F)c(F)c1 ZINC001204855682 967199090 /nfs/dbraw/zinc/19/90/90/967199090.db2.gz BERKNXMHRFZKLT-UHFFFAOYSA-N 0 3 221.197 2.906 20 0 BFADHN COc1ccc(-c2ccnc(CN)c2)c(C)c1 ZINC001239826642 967221200 /nfs/dbraw/zinc/22/12/00/967221200.db2.gz CZUZFMZDBQCACH-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN CCOc1cc(CN2C[C@@H]3[C@H](C2)C3(C)C)ccn1 ZINC001250014069 967273947 /nfs/dbraw/zinc/27/39/47/967273947.db2.gz BCKRLTSUCFZOJK-BETUJISGSA-N 0 3 246.354 2.568 20 0 BFADHN COc1cc(C)c(Nc2nccn2C)c(C)c1 ZINC001250030386 967283530 /nfs/dbraw/zinc/28/35/30/967283530.db2.gz AKGWLFNRNPEOMK-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN CCCN(C)Cc1ccc(OC)cc1OCC ZINC001250047848 967304679 /nfs/dbraw/zinc/30/46/79/967304679.db2.gz CTBAUWXWYRVDJF-UHFFFAOYSA-N 0 3 237.343 2.936 20 0 BFADHN F[C@@H]1C[C@H]1N[C@H]1Cc2cccc(Cl)c2C1 ZINC001168691472 967386031 /nfs/dbraw/zinc/38/60/31/967386031.db2.gz ONIMVMMRXDLJRD-XXILOJSOSA-N 0 3 225.694 2.507 20 0 BFADHN CC(=O)[C@@H]1CCN(Cc2ccc(F)c(C)c2)C1 ZINC001205197982 967426050 /nfs/dbraw/zinc/42/60/50/967426050.db2.gz GCFGOEYOQUCCOH-CYBMUJFWSA-N 0 3 235.302 2.545 20 0 BFADHN COc1c(F)cc(CCN2CCCC2)cc1F ZINC001250166284 967461577 /nfs/dbraw/zinc/46/15/77/967461577.db2.gz LDCANBGBCJCFFN-UHFFFAOYSA-N 0 3 241.281 2.612 20 0 BFADHN COc1c(F)ccc(CCN2CCCC2)c1F ZINC001250165885 967462924 /nfs/dbraw/zinc/46/29/24/967462924.db2.gz BTMOKPGVNVQVFZ-UHFFFAOYSA-N 0 3 241.281 2.612 20 0 BFADHN c1n[nH]cc1-c1cccc(C2=NCCC2)c1 ZINC001240243850 967469527 /nfs/dbraw/zinc/46/95/27/967469527.db2.gz QTFDDBNFUFCYHX-UHFFFAOYSA-N 0 3 211.268 2.660 20 0 BFADHN CCc1ccc2c(c1)[C@H](N[C@H](C)COC)CCO2 ZINC001205276298 967469717 /nfs/dbraw/zinc/46/97/17/967469717.db2.gz GTMPKPKQMKELFH-BXUZGUMPSA-N 0 3 249.354 2.697 20 0 BFADHN c1cc2c(cccc2CN2CCCC23COC3)[nH]1 ZINC001205289942 967482476 /nfs/dbraw/zinc/48/24/76/967482476.db2.gz UEWPGHKTTNGLLH-UHFFFAOYSA-N 0 3 242.322 2.533 20 0 BFADHN CN(Cc1sccc1Cl)[C@H]1CCN(C)C1 ZINC001205339503 967547799 /nfs/dbraw/zinc/54/77/99/967547799.db2.gz KBWBBIXMRWLOPN-VIFPVBQESA-N 0 3 244.791 2.537 20 0 BFADHN Oc1ccc(F)c(CCN2CCCCC2)c1 ZINC001250241945 967550443 /nfs/dbraw/zinc/55/04/43/967550443.db2.gz QCJJVJAEOVCEDX-UHFFFAOYSA-N 0 3 223.291 2.560 20 0 BFADHN C/C=C/CO[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001205051763 967705184 /nfs/dbraw/zinc/70/51/84/967705184.db2.gz UYLZPAXPKRBQMS-LSMGEYOZSA-N 0 3 246.354 2.810 20 0 BFADHN Cc1ccc(F)c(CN2C[C@H]3[C@H](CF)[C@H]3C2)c1 ZINC001205655450 967739450 /nfs/dbraw/zinc/73/94/50/967739450.db2.gz OCRZGAFZRIZLLH-XYYAHUGASA-N 0 3 237.293 2.781 20 0 BFADHN c1cc2c[nH]cc(NCCC3CCOCC3)c-2n1 ZINC001162221498 967753369 /nfs/dbraw/zinc/75/33/69/967753369.db2.gz RWOITRUSBOJMQJ-UHFFFAOYSA-N 0 3 245.326 2.792 20 0 BFADHN CN(Cc1cnoc1)CC1CCCCC1 ZINC001205703881 967791160 /nfs/dbraw/zinc/79/11/60/967791160.db2.gz MLIHCCTUSAFDTC-UHFFFAOYSA-N 0 3 208.305 2.687 20 0 BFADHN F[C@H]1CCCN(Cc2cc3cccnc3[nH]2)CC1 ZINC001143217542 967860945 /nfs/dbraw/zinc/86/09/45/967860945.db2.gz WRIYMYPWUGNLKF-LBPRGKRZSA-N 0 3 247.317 2.887 20 0 BFADHN Cc1nc(CN2CCC[C@@H](C)[C@@H](C)C2)co1 ZINC001206041549 968000789 /nfs/dbraw/zinc/00/07/89/968000789.db2.gz RHKDHXFPFGTSPS-MNOVXSKESA-N 0 3 222.332 2.851 20 0 BFADHN Cc1nc(CN2CCC[C@@H](C)[C@H](C)C2)co1 ZINC001206041545 968003353 /nfs/dbraw/zinc/00/33/53/968003353.db2.gz RHKDHXFPFGTSPS-GHMZBOCLSA-N 0 3 222.332 2.851 20 0 BFADHN CSc1ccc(-c2cc(CN)ncn2)c(C)c1 ZINC001206071756 968039778 /nfs/dbraw/zinc/03/97/78/968039778.db2.gz VLOLGKXGKIURLK-UHFFFAOYSA-N 0 3 245.351 2.633 20 0 BFADHN Cc1nnc(CNC(C)(C2CC2)C2CC2)s1 ZINC000698386206 968058622 /nfs/dbraw/zinc/05/86/22/968058622.db2.gz HBSYYEQLLSZMPP-UHFFFAOYSA-N 0 3 237.372 2.515 20 0 BFADHN CCCN(CC)c1ccc(CN2CCCC2)cn1 ZINC001163602969 968082534 /nfs/dbraw/zinc/08/25/34/968082534.db2.gz PLZLXKGAZIRAIR-UHFFFAOYSA-N 0 3 247.386 2.914 20 0 BFADHN CS[C@H](C)Cc1nc2ccc(N(C)C)cc2[nH]1 ZINC001250567551 968086827 /nfs/dbraw/zinc/08/68/27/968086827.db2.gz PVAFZMCVMFFGBB-SECBINFHSA-N 0 3 249.383 2.923 20 0 BFADHN Cc1cc(O)cc(-c2cccc(CN(C)C)n2)c1 ZINC001206227297 968154113 /nfs/dbraw/zinc/15/41/13/968154113.db2.gz YFAZRJHPYGJGLF-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN CCCC(=O)[C@H](CCC)OCCN1CCCC1 ZINC001206301847 968208942 /nfs/dbraw/zinc/20/89/42/968208942.db2.gz AHTLMTXCMQQOKX-AWEZNQCLSA-N 0 3 241.375 2.637 20 0 BFADHN COc1ncc(CN2CCCCCCCC2)cn1 ZINC001206426214 968297142 /nfs/dbraw/zinc/29/71/42/968297142.db2.gz MGWHLFULVGCTJO-UHFFFAOYSA-N 0 3 249.358 2.641 20 0 BFADHN COc1cnccc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001206446615 968309795 /nfs/dbraw/zinc/30/97/95/968309795.db2.gz HWWHJCFJEPCLPY-CHWSQXEVSA-N 0 3 246.354 2.712 20 0 BFADHN C1=C[C@@H](N[C@@H]2CCCc3occc32)CC1 ZINC000698326101 968326971 /nfs/dbraw/zinc/32/69/71/968326971.db2.gz GDZMJPXLHPVYSQ-ZYHUDNBSSA-N 0 3 203.285 2.965 20 0 BFADHN COc1cnccc1CN1CC[C@@H](C)C[C@@H]1C ZINC001206473521 968327399 /nfs/dbraw/zinc/32/73/99/968327399.db2.gz QXLVOQRBUIAVLS-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](O)CNc1ccnc(-c2ccccc2)c1 ZINC001251954326 968394183 /nfs/dbraw/zinc/39/41/83/968394183.db2.gz FICSEPJIEZFABT-NSHDSACASA-N 0 3 228.295 2.541 20 0 BFADHN COc1ncccc1CN1CC[C@H](C)C[C@H]1C ZINC001206585277 968403018 /nfs/dbraw/zinc/40/30/18/968403018.db2.gz WJIONDKVUSVRFO-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cc(C)ccc1CN1C[C@@H]2C[C@H]1C[C@H]2F ZINC001206762056 968462094 /nfs/dbraw/zinc/46/20/94/968462094.db2.gz CRMIIWIWOUPXOR-MELADBBJSA-N 0 3 249.329 2.936 20 0 BFADHN Fc1cnccc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001206784646 968469820 /nfs/dbraw/zinc/46/98/20/968469820.db2.gz VOXNDUHKHKRKHW-NWDGAFQWSA-N 0 3 234.318 2.843 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)[C@@H](C)C2)no1 ZINC001206818421 968490193 /nfs/dbraw/zinc/49/01/93/968490193.db2.gz RMJAKUZPBYYQBC-MNOVXSKESA-N 0 3 222.332 2.851 20 0 BFADHN CC(C)=CCC[C@H](C)N[C@@H](C)c1nnc(C)[nH]1 ZINC000821826783 968495748 /nfs/dbraw/zinc/49/57/48/968495748.db2.gz DPLPGEJBIGZXMT-QWRGUYRKSA-N 0 3 236.363 2.899 20 0 BFADHN Fc1cncc(F)c1CN1CCC2(CC2)CC1 ZINC001206854570 968502888 /nfs/dbraw/zinc/50/28/88/968502888.db2.gz FMUQAVXCJXBORI-UHFFFAOYSA-N 0 3 238.281 2.736 20 0 BFADHN COc1cncc(CN2CC[C@H]3CCC[C@@H]3C2)c1 ZINC001206993485 968531919 /nfs/dbraw/zinc/53/19/19/968531919.db2.gz GFRWFUYWPUOBIP-ZIAGYGMSSA-N 0 3 246.354 2.712 20 0 BFADHN CCOCCN(C)C[C@@]1(C)CC1(Cl)Cl ZINC000823324718 968542307 /nfs/dbraw/zinc/54/23/07/968542307.db2.gz AZXMRYQOQZEATA-SECBINFHSA-N 0 3 240.174 2.539 20 0 BFADHN c1nc(CN2C[C@H]3CCC[C@@H]3C2)n2ccccc12 ZINC001207049130 968577569 /nfs/dbraw/zinc/57/75/69/968577569.db2.gz PCVGYRKQGKUXCM-CHWSQXEVSA-N 0 3 241.338 2.566 20 0 BFADHN CCCC[C@H](O)CNc1ccc2nc(C)[nH]c2c1 ZINC001252098601 968581933 /nfs/dbraw/zinc/58/19/33/968581933.db2.gz XNIHLKOAVLMDSX-LBPRGKRZSA-N 0 3 247.342 2.834 20 0 BFADHN CCC(CC)N(C)Cc1ncc2ccccn21 ZINC001207057288 968586482 /nfs/dbraw/zinc/58/64/82/968586482.db2.gz MMICWZAEQOENCN-UHFFFAOYSA-N 0 3 231.343 2.955 20 0 BFADHN CCCC[C@@H](O)CN[C@@H](C)c1cccc(C)n1 ZINC001252111586 968594132 /nfs/dbraw/zinc/59/41/32/968594132.db2.gz YATFBIFOZNLFGF-QWHCGFSZSA-N 0 3 236.359 2.592 20 0 BFADHN CCc1nccc(CN2CC[C@@H](C)C[C@@H]2C)n1 ZINC001207088296 968622555 /nfs/dbraw/zinc/62/25/55/968622555.db2.gz LZEQJTWFTLEKOA-NEPJUHHUSA-N 0 3 233.359 2.659 20 0 BFADHN CCc1nccc(CN2CCC[C@@H](CC)C2)n1 ZINC001207088521 968622852 /nfs/dbraw/zinc/62/28/52/968622852.db2.gz OVCMCIPPSQYLDF-GFCCVEGCSA-N 0 3 233.359 2.661 20 0 BFADHN C[C@@]1(F)CCCCN(Cc2cc[nH]c(=O)c2)C1 ZINC001207119683 968640393 /nfs/dbraw/zinc/64/03/93/968640393.db2.gz WVLGPWBSRROEAR-CYBMUJFWSA-N 0 3 238.306 2.501 20 0 BFADHN Cc1c(F)cccc1-c1cccc(CN)n1 ZINC001240727216 968664331 /nfs/dbraw/zinc/66/43/31/968664331.db2.gz FOKHSPKUOAWGQV-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN Cc1cncc(CN2CCCCC23CC3)c1 ZINC001207161009 968669424 /nfs/dbraw/zinc/66/94/24/968669424.db2.gz QGQBQZGCUXFRJQ-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN CC(C)n1nccc1CN1CCC2(CC2)CC1 ZINC001207171198 968681051 /nfs/dbraw/zinc/68/10/51/968681051.db2.gz YALLSIDDOPRPPZ-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN COc1cccc(CCN2CC(C)(C)C2)c1 ZINC001207430410 968766201 /nfs/dbraw/zinc/76/62/01/968766201.db2.gz YRDGGLANWJBKIR-UHFFFAOYSA-N 0 3 219.328 2.580 20 0 BFADHN COc1ccc(-c2cccc(CN)n2)cc1C ZINC001240862929 968781890 /nfs/dbraw/zinc/78/18/90/968781890.db2.gz UOKSGTDOLFOOCQ-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN Cn1cncc1NCCC1=CCCCC1 ZINC001168770940 968811734 /nfs/dbraw/zinc/81/17/34/968811734.db2.gz OHUNEYKRJJMWJX-UHFFFAOYSA-N 0 3 205.305 2.723 20 0 BFADHN CC(C)c1ccc(CCN2CC3(COC3)C2)cc1 ZINC001207519904 968815364 /nfs/dbraw/zinc/81/53/64/968815364.db2.gz WAGODBJJYOUZFU-UHFFFAOYSA-N 0 3 245.366 2.685 20 0 BFADHN Fc1cccc(CCCN2CCCC23COC3)c1 ZINC001207605175 968829935 /nfs/dbraw/zinc/82/99/35/968829935.db2.gz MAVSMGGBTHPGIP-UHFFFAOYSA-N 0 3 249.329 2.623 20 0 BFADHN C(CC1CCCCC1)CN1CC2(COC2)C1 ZINC001207606115 968830850 /nfs/dbraw/zinc/83/08/50/968830850.db2.gz CZIVKAKNNYSTOE-UHFFFAOYSA-N 0 3 223.360 2.679 20 0 BFADHN c1ccc(CCCCN2CCOC3(CC3)C2)cc1 ZINC001207615241 968833164 /nfs/dbraw/zinc/83/31/64/968833164.db2.gz PSNBFBLWHYKRRX-UHFFFAOYSA-N 0 3 245.366 2.874 20 0 BFADHN c1ccc(CCCCN(C2CC2)C2COC2)cc1 ZINC001207617021 968837846 /nfs/dbraw/zinc/83/78/46/968837846.db2.gz QGGMTQBDCBGFRZ-UHFFFAOYSA-N 0 3 245.366 2.873 20 0 BFADHN CCOc1cc(F)cc(-c2cc(N)ccn2)c1 ZINC001241023086 968862883 /nfs/dbraw/zinc/86/28/83/968862883.db2.gz HKAAVNCDDZYSSU-UHFFFAOYSA-N 0 3 232.258 2.869 20 0 BFADHN Cc1ccc(CCCN2CC3(C2)CCCOC3)o1 ZINC001207943853 968940496 /nfs/dbraw/zinc/94/04/96/968940496.db2.gz KEJRKMAHOYTNQX-UHFFFAOYSA-N 0 3 249.354 2.633 20 0 BFADHN C[C@@H]1c2cccn2CCN1CC1(C)CCOCC1 ZINC001208075979 968976768 /nfs/dbraw/zinc/97/67/68/968976768.db2.gz AWOLDWNSKDIYLO-CYBMUJFWSA-N 0 3 248.370 2.681 20 0 BFADHN COC(=O)Nc1cccc(C2=CCN(C)CC2)c1 ZINC001241326922 968981011 /nfs/dbraw/zinc/98/10/11/968981011.db2.gz BKKBCWFNGLYJSW-UHFFFAOYSA-N 0 3 246.310 2.584 20 0 BFADHN CN(CCCC1CCCC1)Cc1cncnc1 ZINC001208094683 968997388 /nfs/dbraw/zinc/99/73/88/968997388.db2.gz PEGNIDVTSAWKJV-UHFFFAOYSA-N 0 3 233.359 2.879 20 0 BFADHN CC/C=C\CCCN1CC(C(F)F)C1 ZINC001208136999 969040221 /nfs/dbraw/zinc/04/02/21/969040221.db2.gz FIPTXMZONSUSCZ-ARJAWSKDSA-N 0 3 203.276 2.930 20 0 BFADHN CC/C=C\CCCN(C)Cc1ccno1 ZINC001208137958 969050266 /nfs/dbraw/zinc/05/02/66/969050266.db2.gz LMHJEHLGUVRGDO-PLNGDYQASA-N 0 3 208.305 2.853 20 0 BFADHN CN1CCC=C(c2ccc(-n3cccc3)cn2)C1 ZINC001241568030 969060575 /nfs/dbraw/zinc/06/05/75/969060575.db2.gz LJYPOVFAHZJJPO-UHFFFAOYSA-N 0 3 239.322 2.591 20 0 BFADHN CCOC1CN(C[C@H]2CCC(C)=C[C@@H]2C)C1 ZINC001208160987 969088253 /nfs/dbraw/zinc/08/82/53/969088253.db2.gz LKLMBQXFVYPXES-QWHCGFSZSA-N 0 3 223.360 2.700 20 0 BFADHN CC1=C[C@@H](C)[C@H](CN(C2CC2)C2COC2)CC1 ZINC001208180631 969112921 /nfs/dbraw/zinc/11/29/21/969112921.db2.gz HTTKDMCOMGBEKD-OLZOCXBDSA-N 0 3 235.371 2.842 20 0 BFADHN CC/C=C\CCCCCN1C[C@H](F)C[C@H]1CO ZINC001208188055 969116732 /nfs/dbraw/zinc/11/67/32/969116732.db2.gz FXISHUJNQLJJAX-TYHIMRJMSA-N 0 3 243.366 2.918 20 0 BFADHN COc1cc(Cl)ccc1-c1cccc(CN)n1 ZINC001241889642 969126900 /nfs/dbraw/zinc/12/69/00/969126900.db2.gz RZSUGHGKKNDSQI-UHFFFAOYSA-N 0 3 248.713 2.869 20 0 BFADHN C=Cc1cccc(-c2ccc(CN)nc2)c1 ZINC001241957166 969152524 /nfs/dbraw/zinc/15/25/24/969152524.db2.gz SSUWFRSUTCUCOG-UHFFFAOYSA-N 0 3 210.280 2.850 20 0 BFADHN C[C@@H](CCN1C[C@H](F)C[C@H]1CO)CC(C)(C)C ZINC001208656381 969183943 /nfs/dbraw/zinc/18/39/43/969183943.db2.gz VTCYHTPBIKASOQ-XQQFMLRXSA-N 0 3 245.382 2.854 20 0 BFADHN C[C@H](CCN1CC[C@](F)(CO)C1)CC(C)(C)C ZINC001208656753 969185250 /nfs/dbraw/zinc/18/52/50/969185250.db2.gz XVOCXHJJEUBVGZ-TZMCWYRMSA-N 0 3 245.382 2.855 20 0 BFADHN F[C@H]1CN(CCC2CC2)CCCC1(F)F ZINC001208678759 969191996 /nfs/dbraw/zinc/19/19/96/969191996.db2.gz JHVAVYAYWWZFSD-JTQLQIEISA-N 0 3 221.266 2.856 20 0 BFADHN C[C@]1(F)CCN(CCC2CC2)C[C@H]1F ZINC001208678646 969193330 /nfs/dbraw/zinc/19/33/30/969193330.db2.gz FPGXFGZGSCTNML-MNOVXSKESA-N 0 3 203.276 2.559 20 0 BFADHN C[C@@H](CN1CC(C)(C)C1)OCc1ccccc1 ZINC001208698044 969198358 /nfs/dbraw/zinc/19/83/58/969198358.db2.gz DPNMKNNTHBOAFZ-ZDUSSCGKSA-N 0 3 233.355 2.934 20 0 BFADHN CCN(CC)Cc1cncc(C2=CCOCC2)c1 ZINC001242076622 969200497 /nfs/dbraw/zinc/20/04/97/969200497.db2.gz ADWJNJGZZWSUTF-UHFFFAOYSA-N 0 3 246.354 2.727 20 0 BFADHN CCCCC[C@H](O)CNC1(c2ccncc2)CC1 ZINC001253553917 969226109 /nfs/dbraw/zinc/22/61/09/969226109.db2.gz NDEYYNZWOBCQBV-AWEZNQCLSA-N 0 3 248.370 2.602 20 0 BFADHN CN1CC=C(Nc2ccnc(Cl)c2F)CC1 ZINC001208742838 969231073 /nfs/dbraw/zinc/23/10/73/969231073.db2.gz YDWKNZKNJWUENB-UHFFFAOYSA-N 0 3 241.697 2.505 20 0 BFADHN CN1CC=C(Nc2cnccc2C2CC2)CC1 ZINC001208793411 969238544 /nfs/dbraw/zinc/23/85/44/969238544.db2.gz GDAQRMKUGFKPBG-UHFFFAOYSA-N 0 3 229.327 2.590 20 0 BFADHN CN1CC=C(Nc2ccc(C(F)F)nc2)CC1 ZINC001208793094 969239059 /nfs/dbraw/zinc/23/90/59/969239059.db2.gz CRCDGEKENJKOTF-UHFFFAOYSA-N 0 3 239.269 2.651 20 0 BFADHN Cc1cc(NC2=CCN(C)CC2)n(C2CCC2)n1 ZINC001208802519 969241277 /nfs/dbraw/zinc/24/12/77/969241277.db2.gz MZAWLODNMRAISZ-UHFFFAOYSA-N 0 3 246.358 2.548 20 0 BFADHN CN1CC=C(Nc2ccc(N)cc2Cl)CC1 ZINC001208857549 969254939 /nfs/dbraw/zinc/25/49/39/969254939.db2.gz LYCWTCUFJYKJQP-UHFFFAOYSA-N 0 3 237.734 2.554 20 0 BFADHN CN1CC=C(Nc2cccc(Cl)c2O)CC1 ZINC001208843434 969255231 /nfs/dbraw/zinc/25/52/31/969255231.db2.gz CNWWJPFSDJISFE-UHFFFAOYSA-N 0 3 238.718 2.677 20 0 BFADHN FC(F)(F)[C@H]1CCN(C[C@@H]2CCC=CO2)C1 ZINC001208880787 969269529 /nfs/dbraw/zinc/26/95/29/969269529.db2.gz RAOBGZLUIDFUBA-UWVGGRQHSA-N 0 3 235.249 2.563 20 0 BFADHN C[C@H](CN1CCC(F)CC1)C(F)(F)F ZINC001208887347 969274944 /nfs/dbraw/zinc/27/49/44/969274944.db2.gz KDCICHQRLHSORM-SSDOTTSWSA-N 0 3 213.218 2.619 20 0 BFADHN Cn1cc2c(n1)CCN(CC1(C)CCCCC1)C2 ZINC001208899724 969293129 /nfs/dbraw/zinc/29/31/29/969293129.db2.gz PQXVADKZBSPWCY-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN Cc1cc(O)cc(C)c1NC1=CCN(C)CC1 ZINC001208910262 969296807 /nfs/dbraw/zinc/29/68/07/969296807.db2.gz MNHSACBWVUVSOO-UHFFFAOYSA-N 0 3 232.327 2.640 20 0 BFADHN CCC(=O)C(C)(C)CN1CCC[C@@](C)(F)C1 ZINC001208918283 969305984 /nfs/dbraw/zinc/30/59/84/969305984.db2.gz MGVJLTHKOJDZEI-CYBMUJFWSA-N 0 3 229.339 2.816 20 0 BFADHN CC(=O)OC(C)(C)CCCN1CCC[C@H](F)C1 ZINC001209155107 969358813 /nfs/dbraw/zinc/35/88/13/969358813.db2.gz ZVTOIMHTAMUVJW-LBPRGKRZSA-N 0 3 245.338 2.542 20 0 BFADHN COC1CN([C@H](Cc2ccccc2)CC(C)C)C1 ZINC001169068916 969381722 /nfs/dbraw/zinc/38/17/22/969381722.db2.gz AOBGTTJIXKPYNQ-HNNXBMFYSA-N 0 3 247.382 2.974 20 0 BFADHN c1csc(CCN2CCc3cccnc3C2)c1 ZINC001209226535 969411012 /nfs/dbraw/zinc/41/10/12/969411012.db2.gz ZHXFEZKNSRWQJQ-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN c1csc(CCN2CCc3ncccc3C2)c1 ZINC001209225269 969412504 /nfs/dbraw/zinc/41/25/04/969412504.db2.gz XBMUUZJHPPQNPJ-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN CCOC(=O)CCCCCCN1CC[C@H](F)C1 ZINC001209345042 969445865 /nfs/dbraw/zinc/44/58/65/969445865.db2.gz BUQKFCBMDVYMEJ-LBPRGKRZSA-N 0 3 245.338 2.544 20 0 BFADHN COC(C)(C)CCN1CC[C@@](C)(F)[C@@H](F)C1 ZINC001209403090 969472317 /nfs/dbraw/zinc/47/23/17/969472317.db2.gz WPWHUULWQLGHRE-CMPLNLGQSA-N 0 3 235.318 2.574 20 0 BFADHN CO/C=C/c1ccc(-n2ccc([C@@H](C)N)n2)cc1 ZINC001169235255 969486359 /nfs/dbraw/zinc/48/63/59/969486359.db2.gz UOQNCVPVOABICW-RJCSOLBVSA-N 0 3 243.310 2.509 20 0 BFADHN Cc1cc(Cl)cc(-n2ccc([C@H](C)N)n2)c1 ZINC001169232517 969506530 /nfs/dbraw/zinc/50/65/30/969506530.db2.gz GMENSXLWKXYFIV-VIFPVBQESA-N 0 3 235.718 2.854 20 0 BFADHN C=Cc1ccccc1-n1ccc([C@@H](C)N)n1 ZINC001169231885 969506873 /nfs/dbraw/zinc/50/68/73/969506873.db2.gz VOMMNENGLONWLB-SNVBAGLBSA-N 0 3 213.284 2.535 20 0 BFADHN Cc1cc(Cl)cc(-n2ccc([C@@H](C)N)n2)c1 ZINC001169232516 969509967 /nfs/dbraw/zinc/50/99/67/969509967.db2.gz GMENSXLWKXYFIV-SECBINFHSA-N 0 3 235.718 2.854 20 0 BFADHN CCOCCNC1(c2ccccc2OC)CCC1 ZINC001254144532 969524064 /nfs/dbraw/zinc/52/40/64/969524064.db2.gz FANXAELIDQJWKM-UHFFFAOYSA-N 0 3 249.354 2.701 20 0 BFADHN CC1(C)CCN(Cc2cc3c(cn2)OCCC3)C1 ZINC001209502764 969529993 /nfs/dbraw/zinc/52/99/93/969529993.db2.gz OLBNWWFHSFBWAQ-UHFFFAOYSA-N 0 3 246.354 2.639 20 0 BFADHN C[C@H]1CN(C2CCC(F)(F)CC2)C[C@H](C)O1 ZINC001254216472 969541590 /nfs/dbraw/zinc/54/15/90/969541590.db2.gz JPPICQCOFJTFMI-UWVGGRQHSA-N 0 3 233.302 2.674 20 0 BFADHN Cc1ccc(CN(C)CCOc2ccccc2)[nH]1 ZINC001209572879 969553972 /nfs/dbraw/zinc/55/39/72/969553972.db2.gz JDJGMQNKCYQLGK-UHFFFAOYSA-N 0 3 244.338 2.834 20 0 BFADHN CSC1CCN(Cc2ccc(C)[nH]2)CC1 ZINC001209573873 969557180 /nfs/dbraw/zinc/55/71/80/969557180.db2.gz CKKRMDKBTDLFJX-UHFFFAOYSA-N 0 3 224.373 2.651 20 0 BFADHN CC1=CC[C@@H](CCN2CC3(CCN3C)C2)C1(C)C ZINC001276653916 969559892 /nfs/dbraw/zinc/55/98/92/969559892.db2.gz WXFRVEMUWZRFNC-AWEZNQCLSA-N 0 3 248.414 2.759 20 0 BFADHN COc1cc(CN2CCC23CCCCC3)ccn1 ZINC001209636914 969570903 /nfs/dbraw/zinc/57/09/03/969570903.db2.gz VXHXOBJGOKVJIE-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN c1cc(CN2CCC[C@H]2c2ccncc2)c[nH]1 ZINC000289970916 969575441 /nfs/dbraw/zinc/57/54/41/969575441.db2.gz ZEDZYGGXXNTDKU-AWEZNQCLSA-N 0 3 227.311 2.747 20 0 BFADHN CC(C)(N[C@@H]1CCCOC1)c1ccccc1F ZINC001254370905 969584672 /nfs/dbraw/zinc/58/46/72/969584672.db2.gz YBNKMBHJWVVBEW-LLVKDONJSA-N 0 3 237.318 2.829 20 0 BFADHN CCCC1CCN(Cc2cnccc2N)CC1 ZINC001209733897 969592051 /nfs/dbraw/zinc/59/20/51/969592051.db2.gz ILBXFUGGDPJNCT-UHFFFAOYSA-N 0 3 233.359 2.506 20 0 BFADHN C[C@H](N)c1ccn(C2CCC3(CC3)CC2)n1 ZINC001254435920 969623791 /nfs/dbraw/zinc/62/37/91/969623791.db2.gz JJNGUTSFWUMDAS-JTQLQIEISA-N 0 3 219.332 2.798 20 0 BFADHN C1CC12CCC(N1CC3(C1)CCCO3)CC2 ZINC001254442527 969633165 /nfs/dbraw/zinc/63/31/65/969633165.db2.gz IRKMBZJANIPDRC-UHFFFAOYSA-N 0 3 221.344 2.574 20 0 BFADHN CCN([C@@H](C)C(=O)OC)C1CCC2(CC2)CC1 ZINC001254448889 969640981 /nfs/dbraw/zinc/64/09/81/969640981.db2.gz IGNXYGYLGGIPEW-NSHDSACASA-N 0 3 239.359 2.593 20 0 BFADHN COc1ccc(C)c(Nc2cnc(C)n2C)c1 ZINC001209840645 969650100 /nfs/dbraw/zinc/65/01/00/969650100.db2.gz UZRFJDWEFRUGKP-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN CCC[C@H](CCc1ccccc1)N1CC(C)(O)C1 ZINC001254491750 969653411 /nfs/dbraw/zinc/65/34/11/969653411.db2.gz PKSGOKIUGUALRV-OAHLLOKOSA-N 0 3 247.382 2.855 20 0 BFADHN Cc1ncc(Nc2ccccc2N(C)C)n1C ZINC001209991696 969754125 /nfs/dbraw/zinc/75/41/25/969754125.db2.gz NGPKOSGHEIOLNZ-UHFFFAOYSA-N 0 3 230.315 2.538 20 0 BFADHN FC1(F)CC=C(Nc2ccncc2)CC1 ZINC001210028540 969764766 /nfs/dbraw/zinc/76/47/66/969764766.db2.gz UNKCRJFTULOAKZ-UHFFFAOYSA-N 0 3 210.227 2.619 20 0 BFADHN C[C@@H](CN1CCOCC12CC2)CC(C)(C)C ZINC001169723838 969834588 /nfs/dbraw/zinc/83/45/88/969834588.db2.gz ILKATKCIGDJARB-GFCCVEGCSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H](CN1CCOC[C@H]1C)CC(C)(C)C ZINC001169790545 969860335 /nfs/dbraw/zinc/86/03/35/969860335.db2.gz ACUMIHALOPWXGO-NWDGAFQWSA-N 0 3 213.365 2.779 20 0 BFADHN NCc1nn(C2CCSCC2)c2ccccc12 ZINC001255080938 969874316 /nfs/dbraw/zinc/87/43/16/969874316.db2.gz ISAUQAVXSBVTHM-UHFFFAOYSA-N 0 3 247.367 2.563 20 0 BFADHN c1cnc([C@@H]2CCCN2C2CCSCC2)nc1 ZINC001255096265 969932957 /nfs/dbraw/zinc/93/29/57/969932957.db2.gz KQCSKWJAPMUHPY-LBPRGKRZSA-N 0 3 249.383 2.509 20 0 BFADHN Cc1ncc(Nc2ccc([C@H](C)O)cc2)n1C ZINC001210645927 969989393 /nfs/dbraw/zinc/98/93/93/969989393.db2.gz KEBMXZCMIXKUIT-VIFPVBQESA-N 0 3 231.299 2.525 20 0 BFADHN COc1ccc(-c2cnoc2C)cc1CN(C)C ZINC001242603626 970013222 /nfs/dbraw/zinc/01/32/22/970013222.db2.gz FZVZQQVYSYUSRM-UHFFFAOYSA-N 0 3 246.310 2.720 20 0 BFADHN Cc1ccc(CN[C@H]2CCSC2)c(F)c1F ZINC001255270909 970022100 /nfs/dbraw/zinc/02/21/00/970022100.db2.gz NLNOQHQCTQSGKX-JTQLQIEISA-N 0 3 243.322 2.868 20 0 BFADHN Cc1cccc([C@H](C)N[C@@H]2CCSC2)n1 ZINC001255271006 970023474 /nfs/dbraw/zinc/02/34/74/970023474.db2.gz RGTMZJSGAUARNM-WDEREUQCSA-N 0 3 222.357 2.546 20 0 BFADHN CCCCCN(C)Cc1cccnc1NC ZINC000052720033 970054895 /nfs/dbraw/zinc/05/48/95/970054895.db2.gz COMGFBJYVVAXCT-UHFFFAOYSA-N 0 3 221.348 2.745 20 0 BFADHN CC1(C)CCC(N2Cc3cncnc3C2)CC1 ZINC001255303051 970068100 /nfs/dbraw/zinc/06/81/00/970068100.db2.gz PXDGMQIJPITKSF-UHFFFAOYSA-N 0 3 231.343 2.761 20 0 BFADHN CCN([C@@H](C)C(=O)OC)C1CCC(C)(C)CC1 ZINC001255309024 970079006 /nfs/dbraw/zinc/07/90/06/970079006.db2.gz OYRXZZZVDCCYBY-NSHDSACASA-N 0 3 241.375 2.839 20 0 BFADHN CCCC[C@H](C)NCc1cn(CCF)cn1 ZINC001255319287 970093627 /nfs/dbraw/zinc/09/36/27/970093627.db2.gz HMIWPDOAMHXIKY-NSHDSACASA-N 0 3 227.327 2.521 20 0 BFADHN CCCC[C@H](C)N(CC(=O)OC)C1CCCC1 ZINC001255332048 970111723 /nfs/dbraw/zinc/11/17/23/970111723.db2.gz HITVQKQCRFANNC-LBPRGKRZSA-N 0 3 241.375 2.983 20 0 BFADHN CCc1cc(Nc2ccc(C)cn2)ccn1 ZINC001211009782 970112249 /nfs/dbraw/zinc/11/22/49/970112249.db2.gz DTCYZVOHRYUDSB-UHFFFAOYSA-N 0 3 213.284 2.513 20 0 BFADHN CC[C@H](C)Nc1ccc(CN(C)C2CC2)cn1 ZINC001157236928 970163128 /nfs/dbraw/zinc/16/31/28/970163128.db2.gz BOIKGYXCUUVJMP-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN CC(C)CCC[C@@H](C)N1C[C@@H](F)C[C@H]1CO ZINC001170077325 970176221 /nfs/dbraw/zinc/17/62/21/970176221.db2.gz IYDDOLBUTQKUMO-AGIUHOORSA-N 0 3 231.355 2.606 20 0 BFADHN CO[C@@H]1CN([C@H](C)CCCC(C)C)C[C@@H]1F ZINC001170077313 970178192 /nfs/dbraw/zinc/17/81/92/970178192.db2.gz ISEAYVUEHHVDSE-FRRDWIJNSA-N 0 3 231.355 2.870 20 0 BFADHN CC(C)CCC[C@H](C)N1CC[C@@](C)(O)[C@@H](F)C1 ZINC001170079203 970188988 /nfs/dbraw/zinc/18/89/88/970188988.db2.gz YVWYFOWSIZWLMQ-MELADBBJSA-N 0 3 245.382 2.996 20 0 BFADHN FC(F)(F)C1CCC(N2CC=CC2)CC1 ZINC001255517138 970286936 /nfs/dbraw/zinc/28/69/36/970286936.db2.gz UJPVLKYBRQWYGH-UHFFFAOYSA-N 0 3 219.250 2.979 20 0 BFADHN CC(C)(C)c1cc(-n2cccc2N)ccn1 ZINC001213164558 970287603 /nfs/dbraw/zinc/28/76/03/970287603.db2.gz ULIWGIBLNCHBJM-UHFFFAOYSA-N 0 3 215.300 2.752 20 0 BFADHN C[C@H]1CC[C@H](n2nc(CN)c3ccccc32)C1 ZINC001170106120 970306822 /nfs/dbraw/zinc/30/68/22/970306822.db2.gz UKPQGQINOMKRQO-QWRGUYRKSA-N 0 3 229.327 2.856 20 0 BFADHN Cc1ccc(C(=O)CN[C@@H]2CC[C@@H](C)C2)cc1 ZINC001170109950 970319594 /nfs/dbraw/zinc/31/95/94/970319594.db2.gz TUMQIYHKBPJXPZ-TZMCWYRMSA-N 0 3 231.339 2.956 20 0 BFADHN Cc1ccccc1C1(N[C@@H]2CC[C@H](C)C2)COC1 ZINC001170117711 970340567 /nfs/dbraw/zinc/34/05/67/970340567.db2.gz NGLPZCGQAUJVRY-GXTWGEPZSA-N 0 3 245.366 2.999 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1ccc(C)c(F)c1F ZINC001255562699 970347034 /nfs/dbraw/zinc/34/70/34/970347034.db2.gz FUKCGNGCZPEAMC-UWVGGRQHSA-N 0 3 243.297 2.959 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cc3ccccn3n2)C1 ZINC001170120545 970353942 /nfs/dbraw/zinc/35/39/42/970353942.db2.gz ITEUOLYQLFRACP-NEPJUHHUSA-N 0 3 229.327 2.613 20 0 BFADHN C[C@H]1CC[C@@H](NCc2cc3ccccn3n2)C1 ZINC001170120546 970354219 /nfs/dbraw/zinc/35/42/19/970354219.db2.gz ITEUOLYQLFRACP-NWDGAFQWSA-N 0 3 229.327 2.613 20 0 BFADHN CCCCN(CC(=O)OCC)[C@H]1CC[C@H](C)C1 ZINC001170147130 970436635 /nfs/dbraw/zinc/43/66/35/970436635.db2.gz ADOSDOSTXPVIAJ-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN CCO[C@@H]1CCCN([C@H](C)CC(F)(F)F)C1 ZINC001255657008 970438401 /nfs/dbraw/zinc/43/84/01/970438401.db2.gz DONRYPOJLXHDLA-NXEZZACHSA-N 0 3 239.281 2.828 20 0 BFADHN Cc1cnc2c(c1)CN([C@@H]1CC[C@H](C)C1)CC2 ZINC001170160423 970498805 /nfs/dbraw/zinc/49/88/05/970498805.db2.gz ZBBUNQPWUIIXIL-SMDDNHRTSA-N 0 3 230.355 2.937 20 0 BFADHN C[C@H](N)c1ccn([C@@H](C)Cc2ccccc2F)n1 ZINC001255835562 970506726 /nfs/dbraw/zinc/50/67/26/970506726.db2.gz DQRKAOVCVQFVGB-QWRGUYRKSA-N 0 3 247.317 2.846 20 0 BFADHN C[C@@H](Cc1ccccc1F)N[C@H]1CCCCC1=O ZINC001255833816 970509272 /nfs/dbraw/zinc/50/92/72/970509272.db2.gz BXBYLABVMTVXRO-FZMZJTMJSA-N 0 3 249.329 2.858 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCC[C@@H]2c2ncccn2)C1 ZINC001170163013 970513459 /nfs/dbraw/zinc/51/34/59/970513459.db2.gz WRIMGZVRLSWVHG-JHJVBQTASA-N 0 3 231.343 2.802 20 0 BFADHN Clc1nccnc1CNC1CCCCCC1 ZINC001255877993 970538075 /nfs/dbraw/zinc/53/80/75/970538075.db2.gz YWTNCDOYGMLASL-UHFFFAOYSA-N 0 3 239.750 2.942 20 0 BFADHN CC(C)OC1CN(C2CCCCCC2)C1 ZINC001255893096 970557574 /nfs/dbraw/zinc/55/75/74/970557574.db2.gz BOVDPQXQECZYJV-UHFFFAOYSA-N 0 3 211.349 2.818 20 0 BFADHN CCN([C@@H](C)C(=O)OC)C1CCCCCC1 ZINC001255893942 970559281 /nfs/dbraw/zinc/55/92/81/970559281.db2.gz NSDFCKRQDNMORN-NSHDSACASA-N 0 3 227.348 2.593 20 0 BFADHN C[C@H](Cc1ccccc1Cl)n1cnc(CN)c1 ZINC001170248117 970651957 /nfs/dbraw/zinc/65/19/57/970651957.db2.gz MRKAMUZSLHNILK-SNVBAGLBSA-N 0 3 249.745 2.799 20 0 BFADHN CN1CC(CNc2ccc(C(C)(C)C)cc2)C1 ZINC001170253910 970661444 /nfs/dbraw/zinc/66/14/44/970661444.db2.gz DXOGRXYMLSRPHA-UHFFFAOYSA-N 0 3 232.371 2.958 20 0 BFADHN Cc1cc(Cl)cc(NCC2CN(C)C2)c1 ZINC001170255070 970667386 /nfs/dbraw/zinc/66/73/86/970667386.db2.gz XQPFIKHBAFCVKS-UHFFFAOYSA-N 0 3 224.735 2.622 20 0 BFADHN C[C@H](Cc1ccccc1Cl)N[C@@H]1C[C@H]1F ZINC001170255770 970670393 /nfs/dbraw/zinc/67/03/93/970670393.db2.gz YHQGGWICDUIFDJ-GGZOMVNGSA-N 0 3 227.710 2.971 20 0 BFADHN CC(C)CC[C@@H](C)Nc1ccc(CN)nc1 ZINC001256358791 970755807 /nfs/dbraw/zinc/75/58/07/970755807.db2.gz XKCKJKTWWMEVSK-LLVKDONJSA-N 0 3 221.348 2.777 20 0 BFADHN CC(C)CC[C@H](C)Nc1ccc(CN)nc1 ZINC001256358792 970756818 /nfs/dbraw/zinc/75/68/18/970756818.db2.gz XKCKJKTWWMEVSK-NSHDSACASA-N 0 3 221.348 2.777 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2C[C@@H]3[C@H](C2)C3(F)F)no1 ZINC001327606894 972664286 /nfs/dbraw/zinc/66/42/86/972664286.db2.gz MOTSDJZIEXGEKK-DOLQZWNJSA-N 0 3 242.269 2.677 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@@H]3[C@H](C2)C3(F)F)no1 ZINC001327606901 972666415 /nfs/dbraw/zinc/66/64/15/972666415.db2.gz MOTSDJZIEXGEKK-QEYWKRMJSA-N 0 3 242.269 2.677 20 0 BFADHN C[C@@H]1CCCN(Cc2n[nH]c3ccc(F)cc32)C1 ZINC001144187092 972677681 /nfs/dbraw/zinc/67/76/81/972677681.db2.gz VMZAWFVELKCKRL-SNVBAGLBSA-N 0 3 247.317 2.934 20 0 BFADHN C[C@@H]1CCCN(Cc2[nH]nc3ccc(F)cc32)C1 ZINC001144187092 972677683 /nfs/dbraw/zinc/67/76/83/972677683.db2.gz VMZAWFVELKCKRL-SNVBAGLBSA-N 0 3 247.317 2.934 20 0 BFADHN Oc1ccc(CN2CCCC3(CCC3)C2)nc1 ZINC001144264122 972724035 /nfs/dbraw/zinc/72/40/35/972724035.db2.gz IXNDCCDXYMTOQB-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN [O-]c1ccc(C[N@@H+]2CCCC3(CCC3)C2)nc1 ZINC001144264122 972724046 /nfs/dbraw/zinc/72/40/46/972724046.db2.gz IXNDCCDXYMTOQB-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN [O-]c1ccc(C[N@H+]2CCCC3(CCC3)C2)nc1 ZINC001144264122 972724055 /nfs/dbraw/zinc/72/40/55/972724055.db2.gz IXNDCCDXYMTOQB-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN FC1(F)[C@@H]2CC[C@H](NCc3nccs3)C[C@@H]21 ZINC001327829238 972748402 /nfs/dbraw/zinc/74/84/02/972748402.db2.gz DBBPHVMQQNNHJS-YIZRAAEISA-N 0 3 244.310 2.667 20 0 BFADHN CC(C)[C@@H](O)CN(C)Cc1cc2ccccc2[nH]1 ZINC001327863961 972765079 /nfs/dbraw/zinc/76/50/79/972765079.db2.gz KOHYGLCACZZTII-HNNXBMFYSA-N 0 3 246.354 2.617 20 0 BFADHN COc1ccc(CN2CCC23CCCCC3)nc1 ZINC001144428279 972813268 /nfs/dbraw/zinc/81/32/68/972813268.db2.gz ZWNPATWIYUVKAN-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN Cc1cc(CN2CCC(C3CCC3)CC2)n[nH]1 ZINC001327939891 972822839 /nfs/dbraw/zinc/82/28/39/972822839.db2.gz GWVBWHADECKLJR-UHFFFAOYSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1ccc(CO[C@@H]2CN3CCC2CC3)s1 ZINC001223190537 972872188 /nfs/dbraw/zinc/87/21/88/972872188.db2.gz OQFDLXMYVDLPSX-CYBMUJFWSA-N 0 3 237.368 2.667 20 0 BFADHN CCN(Cc1ncn2ccccc12)C(C)C ZINC001144611959 972889147 /nfs/dbraw/zinc/88/91/47/972889147.db2.gz YGEQIJOQHFGRDW-UHFFFAOYSA-N 0 3 217.316 2.565 20 0 BFADHN c1nc(CN(CC2CC2)C2CC2)c2ccccn12 ZINC001144626769 972890652 /nfs/dbraw/zinc/89/06/52/972890652.db2.gz AQUGJZMGLSXLJJ-UHFFFAOYSA-N 0 3 241.338 2.709 20 0 BFADHN C[C@@H]1CCN(Cc2ncn3ccccc23)[C@@H](C)C1 ZINC001144624944 972891538 /nfs/dbraw/zinc/89/15/38/972891538.db2.gz WQMZNMLTNMYUDE-OLZOCXBDSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@H](C)N(C)Cc1ncn2ccccc12 ZINC001144616630 972895780 /nfs/dbraw/zinc/89/57/80/972895780.db2.gz SNYWNCMTHLYUJR-NSHDSACASA-N 0 3 217.316 2.565 20 0 BFADHN CN1CC[C@@H](OCc2cc3ccccc3o2)C1 ZINC001223648103 973215949 /nfs/dbraw/zinc/21/59/49/973215949.db2.gz MNEYXGWOEKSJCJ-GFCCVEGCSA-N 0 3 231.295 2.654 20 0 BFADHN CC1(N[C@H]2CCc3ccc(Cl)nc32)CC1 ZINC001328655460 973236422 /nfs/dbraw/zinc/23/64/22/973236422.db2.gz APNXLOKITSYMPQ-VIFPVBQESA-N 0 3 222.719 2.864 20 0 BFADHN c1cc(CN2CC[C@@H]3C[C@@H]3C2)n(C2CC2)c1 ZINC001328865564 973377304 /nfs/dbraw/zinc/37/73/04/973377304.db2.gz CBKRDWLBTHAWNM-VXGBXAGGSA-N 0 3 216.328 2.665 20 0 BFADHN CCN1CCC[C@@H](O[C@@H](C)c2nccs2)C1 ZINC001224007446 973401641 /nfs/dbraw/zinc/40/16/41/973401641.db2.gz POGLEBCKCAKZMC-WDEREUQCSA-N 0 3 240.372 2.705 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cc(C)n[nH]2)C1 ZINC001329077204 973466960 /nfs/dbraw/zinc/46/69/60/973466960.db2.gz AEZALFVRRICKBA-OOPCZODUSA-N 0 3 233.359 2.896 20 0 BFADHN CCOc1cncc(CN[C@H]2C=CCCC2)c1 ZINC001329490454 973797074 /nfs/dbraw/zinc/79/70/74/973797074.db2.gz DHBQCDSDHDLPLV-ZDUSSCGKSA-N 0 3 232.327 2.679 20 0 BFADHN C[C@H](OC[C@@H]1CCCCN1C)c1nccs1 ZINC001224706713 973875017 /nfs/dbraw/zinc/87/50/17/973875017.db2.gz LRNKITRILOWAMX-QWRGUYRKSA-N 0 3 240.372 2.705 20 0 BFADHN Fc1cc(CNCC2(C(F)(F)F)CC2)ccn1 ZINC001329731160 973897848 /nfs/dbraw/zinc/89/78/48/973897848.db2.gz KCJBGQFAGQDCOD-UHFFFAOYSA-N 0 3 248.223 2.653 20 0 BFADHN CC1(NCc2cnc(Cl)c(F)c2)CC1 ZINC001329724707 973900077 /nfs/dbraw/zinc/90/00/77/973900077.db2.gz WRSVNAXFQYDGRP-UHFFFAOYSA-N 0 3 214.671 2.516 20 0 BFADHN CC(=O)CN1CC[C@@H]([C@H]2CCCC2(C)C)C1 ZINC001171459137 974076674 /nfs/dbraw/zinc/07/66/74/974076674.db2.gz RSKDRIQZPNJDQR-CHWSQXEVSA-N 0 3 223.360 2.724 20 0 BFADHN FCCN[C@@H]1CCc2cc(F)c(F)c(F)c2C1 ZINC001171508841 974089324 /nfs/dbraw/zinc/08/93/24/974089324.db2.gz QWFWBFDCDQDLGV-MRVPVSSYSA-N 0 3 247.235 2.520 20 0 BFADHN Cc1cccc2c1CC[C@@H](N1CCOC[C@H]1C)C2 ZINC001171565343 974103473 /nfs/dbraw/zinc/10/34/73/974103473.db2.gz NSYMKUREPHCIOM-UKRRQHHQSA-N 0 3 245.366 2.573 20 0 BFADHN BrC1(CN2C[C@H]3CCCC[C@H]32)CC1 ZINC001171756148 974147941 /nfs/dbraw/zinc/14/79/41/974147941.db2.gz FYIPAIUXTCBBOZ-NXEZZACHSA-N 0 3 244.176 2.788 20 0 BFADHN Cc1ccc(CC[C@@H](C)N2C=C(N)C=CC2)cc1 ZINC001171664431 974122612 /nfs/dbraw/zinc/12/26/12/974122612.db2.gz ZSPKOPXRZMZKKA-CQSZACIVSA-N 0 3 242.366 2.988 20 0 BFADHN CCN1CCC[C@H](Oc2ccccc2F)C1 ZINC001225200049 974218526 /nfs/dbraw/zinc/21/85/26/974218526.db2.gz DGKLLQODKZMUMK-NSHDSACASA-N 0 3 223.291 2.689 20 0 BFADHN CCOc1ccccc1O[C@H]1CCCN(C)C1 ZINC001225212583 974236515 /nfs/dbraw/zinc/23/65/15/974236515.db2.gz KRMOVSQXGCJEHG-LBPRGKRZSA-N 0 3 235.327 2.558 20 0 BFADHN CCOc1ccccc1O[C@@H]1CCCN(CC)C1 ZINC001225212622 974237508 /nfs/dbraw/zinc/23/75/08/974237508.db2.gz LHZTXXINEDMGBZ-CYBMUJFWSA-N 0 3 249.354 2.948 20 0 BFADHN Cc1cccc(O[C@H]2CN3CCC2CC3)c1C ZINC001225221162 974257769 /nfs/dbraw/zinc/25/77/69/974257769.db2.gz ZXFQUQCLGMFBJJ-HNNXBMFYSA-N 0 3 231.339 2.776 20 0 BFADHN Cc1ccc(O[C@H]2CN3CCC2CC3)c(C)c1 ZINC001225223103 974259922 /nfs/dbraw/zinc/25/99/22/974259922.db2.gz QBUWOTFMJQKKAV-HNNXBMFYSA-N 0 3 231.339 2.776 20 0 BFADHN CCOc1ccc(O[C@@H]2CN3CCC2CC3)cc1 ZINC001225255516 974315605 /nfs/dbraw/zinc/31/56/05/974315605.db2.gz INJUALFDUMAGRL-OAHLLOKOSA-N 0 3 247.338 2.558 20 0 BFADHN CCN1CCC[C@H](Oc2ccc(F)cc2F)C1 ZINC001225312357 974388490 /nfs/dbraw/zinc/38/84/90/974388490.db2.gz PPNAPSHCBSRMMS-NSHDSACASA-N 0 3 241.281 2.828 20 0 BFADHN Cc1cc(O[C@H]2CCCN(C)C2)ccc1F ZINC001225322149 974397343 /nfs/dbraw/zinc/39/73/43/974397343.db2.gz UBBCUZNEFPVOFC-LBPRGKRZSA-N 0 3 223.291 2.607 20 0 BFADHN CC(C)(C)SCCCN1CC[C@@]12CCOC2 ZINC001330670297 974400202 /nfs/dbraw/zinc/40/02/02/974400202.db2.gz FBDJOTVKAASBSY-ZDUSSCGKSA-N 0 3 243.416 2.773 20 0 BFADHN CC(C)(C)SCCCN1CC[C@]12CCOC2 ZINC001330670296 974402465 /nfs/dbraw/zinc/40/24/65/974402465.db2.gz FBDJOTVKAASBSY-CYBMUJFWSA-N 0 3 243.416 2.773 20 0 BFADHN CN(C)Cc1ccc(O[C@@H]2CCCCC2=O)cc1 ZINC001225333832 974411177 /nfs/dbraw/zinc/41/11/77/974411177.db2.gz QHOLRPXZAYBKEB-OAHLLOKOSA-N 0 3 247.338 2.639 20 0 BFADHN Cc1cc(F)ccc1O[C@@H]1CN2CCC1CC2 ZINC001225347110 974426595 /nfs/dbraw/zinc/42/65/95/974426595.db2.gz FAMLNLDLKFQYQE-CQSZACIVSA-N 0 3 235.302 2.607 20 0 BFADHN Cc1cc(F)ccc1O[C@H]1CN2CCC1CC2 ZINC001225347109 974426698 /nfs/dbraw/zinc/42/66/98/974426698.db2.gz FAMLNLDLKFQYQE-AWEZNQCLSA-N 0 3 235.302 2.607 20 0 BFADHN Cc1ccc(F)c(O[C@H]2CCN(C(C)C)C2)c1 ZINC001225353252 974438666 /nfs/dbraw/zinc/43/86/66/974438666.db2.gz DHVAXEYPVTZZRS-LBPRGKRZSA-N 0 3 237.318 2.996 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2COC(C)(C)C2)c1 ZINC001172271919 974485398 /nfs/dbraw/zinc/48/53/98/974485398.db2.gz RZUIZUAUROQJNV-NWDGAFQWSA-N 0 3 234.343 2.608 20 0 BFADHN CCCOC1CN([C@@H]2CC[C@@H](C)[C@H](C)C2)C1 ZINC001172373813 974570017 /nfs/dbraw/zinc/57/00/17/974570017.db2.gz NRZPGFHTHZINGX-JHJVBQTASA-N 0 3 225.376 2.922 20 0 BFADHN C[C@H](N)c1nccn1[C@@H]1CC[C@H](C)[C@@H](C)C1 ZINC001172356999 974623242 /nfs/dbraw/zinc/62/32/42/974623242.db2.gz ALCOMCWQTGUTKC-FIQHERPVSA-N 0 3 221.348 2.900 20 0 BFADHN Cc1cc(CN)nn1[C@@H]1CC[C@@H](C)[C@H](C)C1 ZINC001172360677 974633436 /nfs/dbraw/zinc/63/34/36/974633436.db2.gz QLLVAHWANNRUBF-GIPNMCIBSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1cc(CN)nn1[C@@H]1CC[C@H](C)[C@@H](C)C1 ZINC001172360679 974633603 /nfs/dbraw/zinc/63/36/03/974633603.db2.gz QLLVAHWANNRUBF-OUJBWJOFSA-N 0 3 221.348 2.647 20 0 BFADHN C[C@@H]1CC[C@H](n2ncc3c2CCNC3)C[C@@H]1C ZINC001172367448 974645390 /nfs/dbraw/zinc/64/53/90/974645390.db2.gz SBEJAEIBKNELQG-MDZLAQPJSA-N 0 3 233.359 2.526 20 0 BFADHN C[C@@H]1CC[C@@H](Nc2ccc(CN)nc2)C[C@@H]1C ZINC001172368329 974647803 /nfs/dbraw/zinc/64/78/03/974647803.db2.gz OAEKBMFQPZGVPJ-GRYCIOLGSA-N 0 3 233.359 2.777 20 0 BFADHN CC(C)OCC[C@H](C)NCC(=O)c1ccccc1 ZINC001172435345 974675987 /nfs/dbraw/zinc/67/59/87/974675987.db2.gz CEZJVUWRXFPHCU-ZDUSSCGKSA-N 0 3 249.354 2.663 20 0 BFADHN Cc1c(Cl)cccc1O[C@@H]1CCN(C)C1 ZINC001225920011 974730039 /nfs/dbraw/zinc/73/00/39/974730039.db2.gz HVNNYVZGQCEONP-SNVBAGLBSA-N 0 3 225.719 2.731 20 0 BFADHN CC[C@H](CC(C)C)NCC(=O)c1cccnc1 ZINC001172393435 974744065 /nfs/dbraw/zinc/74/40/65/974744065.db2.gz XJMAMRGJZOWPJT-CYBMUJFWSA-N 0 3 234.343 2.679 20 0 BFADHN CC[C@H](CC(C)C)N1CC[C@](O)(CF)[C@@H](F)C1 ZINC001172397511 974754970 /nfs/dbraw/zinc/75/49/70/974754970.db2.gz QWQCBSRNDCVOFB-AGIUHOORSA-N 0 3 249.345 2.556 20 0 BFADHN CCOC(=O)CN(CC)[C@H](CC)CC(C)C ZINC001172399598 974758975 /nfs/dbraw/zinc/75/89/75/974758975.db2.gz CBIOYKYTYDTVAH-GFCCVEGCSA-N 0 3 229.364 2.696 20 0 BFADHN CC[C@@H](CC(C)C)N1CC(OC(C)C)C1 ZINC001172400406 974760580 /nfs/dbraw/zinc/76/05/80/974760580.db2.gz FXXGFLVHBKSZBE-LBPRGKRZSA-N 0 3 213.365 2.920 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCC[C@@H](F)C2)o1 ZINC001331692426 974791418 /nfs/dbraw/zinc/79/14/18/974791418.db2.gz XKVPBZKTGHCYGS-GHMZBOCLSA-N 0 3 226.295 2.662 20 0 BFADHN CC(C)OCC[C@H](C)N1CC2(C1)CC(F)(F)C2 ZINC001172441344 974798407 /nfs/dbraw/zinc/79/84/07/974798407.db2.gz VKKYQUIZDWXTLE-NSHDSACASA-N 0 3 247.329 2.921 20 0 BFADHN COC[C@@H](C)NCc1ccc(C(C)(C)C)o1 ZINC001331741532 974810405 /nfs/dbraw/zinc/81/04/05/974810405.db2.gz JRZXFSSAKSOGQX-SNVBAGLBSA-N 0 3 225.332 2.702 20 0 BFADHN CO[C@@H]1CCC[C@H](N2CC[C@@](C)(F)[C@@H](F)C2)C1 ZINC001172931182 974981116 /nfs/dbraw/zinc/98/11/16/974981116.db2.gz MVUYMIJTWKRKNO-QNWHQSFQSA-N 0 3 247.329 2.716 20 0 BFADHN CN1CCC(Oc2ccc3ncsc3c2)CC1 ZINC001226638587 974988306 /nfs/dbraw/zinc/98/83/06/974988306.db2.gz YENJAVFSTKIUSI-UHFFFAOYSA-N 0 3 248.351 2.769 20 0 BFADHN Nc1cc(O[C@H]2CCCc3cccnc32)ccn1 ZINC001226650642 974996868 /nfs/dbraw/zinc/99/68/68/974996868.db2.gz ATPAQXWTCWWHOZ-LBPRGKRZSA-N 0 3 241.294 2.515 20 0 BFADHN Nc1cc(O[C@@H]2CCCc3cccnc32)ccn1 ZINC001226650641 974997476 /nfs/dbraw/zinc/99/74/76/974997476.db2.gz ATPAQXWTCWWHOZ-GFCCVEGCSA-N 0 3 241.294 2.515 20 0 BFADHN C[C@@H](COc1ccccc1)Oc1ccnc(N)c1 ZINC001226655489 975002657 /nfs/dbraw/zinc/00/26/57/975002657.db2.gz HKBGDJRAZWIIJE-NSHDSACASA-N 0 3 244.294 2.510 20 0 BFADHN Cc1ccc([C@H](C)Oc2ccnc(N)c2)cn1 ZINC001226657689 975006570 /nfs/dbraw/zinc/00/65/70/975006570.db2.gz QTWOFEAGJMSTPS-JTQLQIEISA-N 0 3 229.283 2.507 20 0 BFADHN CC[C@@H]1CC[C@@H](N2CC(OC(C)C)C2)C1 ZINC001173292489 975168555 /nfs/dbraw/zinc/16/85/55/975168555.db2.gz GUBIFFKAPIHUMA-VXGBXAGGSA-N 0 3 211.349 2.674 20 0 BFADHN CC[C@@H]1CC[C@@H](NCc2nc(C)oc2C)C1 ZINC001173261713 975114819 /nfs/dbraw/zinc/11/48/19/975114819.db2.gz ULHDEURNWDSUOC-VXGBXAGGSA-N 0 3 222.332 2.960 20 0 BFADHN CC[C@@H]1CC[C@@H](NC2(c3cnccn3)CC2)C1 ZINC001173268808 975135042 /nfs/dbraw/zinc/13/50/42/975135042.db2.gz DKSMKXUOCMHGRT-VXGBXAGGSA-N 0 3 231.343 2.634 20 0 BFADHN CC[C@H]1CC[C@@H](N2CCCC[C@H]2C(=O)OC)C1 ZINC001173274561 975157345 /nfs/dbraw/zinc/15/73/45/975157345.db2.gz RSTXVQJJWOGJET-XQQFMLRXSA-N 0 3 239.359 2.593 20 0 BFADHN CCc1ccc(C[C@H](C)n2cnc(CN)c2)cc1 ZINC001173240353 975164529 /nfs/dbraw/zinc/16/45/29/975164529.db2.gz XWFUORWTBRMNES-LBPRGKRZSA-N 0 3 243.354 2.708 20 0 BFADHN CCc1ccc(C[C@@H](C)N2C=C(N)C=CC2)cc1 ZINC001173242913 975168368 /nfs/dbraw/zinc/16/83/68/975168368.db2.gz YQASGSNHNUCJTR-CYBMUJFWSA-N 0 3 242.366 2.852 20 0 BFADHN CCSC[C@H](C)N1C[C@H](F)C[C@H]1C ZINC001173301271 975196698 /nfs/dbraw/zinc/19/66/98/975196698.db2.gz QEKQMBCQRGVNAK-KXUCPTDWSA-N 0 3 205.342 2.560 20 0 BFADHN CCSC[C@H](C)N1CCC[C@@H](F)C1 ZINC001173306557 975214141 /nfs/dbraw/zinc/21/41/41/975214141.db2.gz OZORIOKOXTUYLD-VHSXEESVSA-N 0 3 205.342 2.562 20 0 BFADHN CC[C@@H]1CC[C@H](N(C)Cc2ccno2)C1 ZINC001173284622 975242460 /nfs/dbraw/zinc/24/24/60/975242460.db2.gz RAXSCGGPRFMGLG-MNOVXSKESA-N 0 3 208.305 2.685 20 0 BFADHN CC[C@@H]1CC[C@H](n2c(C)nc3c2CNCC3)C1 ZINC001173292006 975266270 /nfs/dbraw/zinc/26/62/70/975266270.db2.gz CXSCOYXKTIHGIC-NEPJUHHUSA-N 0 3 233.359 2.588 20 0 BFADHN CCCCC(=O)[C@H](C)N[C@H](C)CSCC ZINC001173292263 975269304 /nfs/dbraw/zinc/26/93/04/975269304.db2.gz WFWIUIIRIPGVGB-MNOVXSKESA-N 0 3 231.405 2.865 20 0 BFADHN Cc1cccc([C@@H](O)CN2CC3(C2)CCCC3)c1 ZINC001333231946 975583426 /nfs/dbraw/zinc/58/34/26/975583426.db2.gz HWTRMADYSXFLLK-HNNXBMFYSA-N 0 3 245.366 2.904 20 0 BFADHN Cc1cnccc1Nc1ccc(F)cc1 ZINC001173797049 975632485 /nfs/dbraw/zinc/63/24/85/975632485.db2.gz FDGWQVOQWMOILF-UHFFFAOYSA-N 0 3 202.232 2.695 20 0 BFADHN Nc1c(F)cccc1CN[C@@H]1C=CCCC1 ZINC001333354128 975695279 /nfs/dbraw/zinc/69/52/79/975695279.db2.gz JWHIFLVEAVXTHX-LLVKDONJSA-N 0 3 220.291 2.606 20 0 BFADHN Cc1cc(F)cc(O[C@@H]2CN3CCC2CC3)c1 ZINC001227846805 975739018 /nfs/dbraw/zinc/73/90/18/975739018.db2.gz ANSHOKNFVYFATH-CQSZACIVSA-N 0 3 235.302 2.607 20 0 BFADHN CCOc1ccc(Nc2cnc(C)n2C)cc1 ZINC001173851861 975760002 /nfs/dbraw/zinc/76/00/02/975760002.db2.gz SVIIZTOVLOTDSP-UHFFFAOYSA-N 0 3 231.299 2.871 20 0 BFADHN CCOc1ccc(Nc2ccncc2C)cc1 ZINC001173853187 975767118 /nfs/dbraw/zinc/76/71/18/975767118.db2.gz WNIPFFONCGCLSZ-UHFFFAOYSA-N 0 3 228.295 2.954 20 0 BFADHN Cc1cn(C)nc1CN(C)[C@H]1CCCC[C@H]1C ZINC001333430728 975773881 /nfs/dbraw/zinc/77/38/81/975773881.db2.gz XHNZDJDWJLHZFW-RISCZKNCSA-N 0 3 235.375 2.739 20 0 BFADHN CC(=O)c1cccc(Nc2cnc(C)n2C)c1 ZINC001173899495 975886552 /nfs/dbraw/zinc/88/65/52/975886552.db2.gz LWMZQKDGOUQIKB-UHFFFAOYSA-N 0 3 229.283 2.675 20 0 BFADHN Cc1cnccc1Nc1cc(F)cc(F)c1 ZINC001173913104 975893581 /nfs/dbraw/zinc/89/35/81/975893581.db2.gz XLWVDYWLFIRTKK-UHFFFAOYSA-N 0 3 220.222 2.834 20 0 BFADHN CN1CCC[C@H](Oc2ccc3ccccc3n2)C1 ZINC001228085322 975898457 /nfs/dbraw/zinc/89/84/57/975898457.db2.gz QGRRCWVALJQAPQ-ZDUSSCGKSA-N 0 3 242.322 2.708 20 0 BFADHN CCC(=O)c1cccc(O[C@@H]2CCN(CC)C2)c1 ZINC001228115536 975912540 /nfs/dbraw/zinc/91/25/40/975912540.db2.gz RSQWZYBAQLNJLM-CQSZACIVSA-N 0 3 247.338 2.752 20 0 BFADHN CCCOc1ccccc1O[C@H]1CCN(C)C1 ZINC001228183369 975986184 /nfs/dbraw/zinc/98/61/84/975986184.db2.gz WIZMOHNMZSQRDC-LBPRGKRZSA-N 0 3 235.327 2.558 20 0 BFADHN C[C@@H]1CCN(c2cc(N)ncc2Cl)C[C@H]1F ZINC001333696151 976000170 /nfs/dbraw/zinc/00/01/70/976000170.db2.gz APBOQWJTDMPJKT-VXNVDRBHSA-N 0 3 243.713 2.502 20 0 BFADHN CN(C)Cc1ccccc1Nc1cncs1 ZINC001173928722 976039500 /nfs/dbraw/zinc/03/95/00/976039500.db2.gz BQHZTBKKKJNATI-UHFFFAOYSA-N 0 3 233.340 2.948 20 0 BFADHN COc1ccc(F)cc1Nc1ccncc1C ZINC001173953674 976117572 /nfs/dbraw/zinc/11/75/72/976117572.db2.gz TZCAICXKJQPYPA-UHFFFAOYSA-N 0 3 232.258 2.703 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@H]1C)c1ncccn1 ZINC001334084340 976276969 /nfs/dbraw/zinc/27/69/69/976276969.db2.gz UCRGKHCZLKVANP-QNWHQSFQSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@@H]1C)c1ncccn1 ZINC001334084338 976279137 /nfs/dbraw/zinc/27/91/37/976279137.db2.gz UCRGKHCZLKVANP-MROQNXINSA-N 0 3 233.359 2.952 20 0 BFADHN CCc1cc(Nc2ccc(F)cc2OC)ccn1 ZINC001174129400 976366533 /nfs/dbraw/zinc/36/65/33/976366533.db2.gz OWLQCUWSTCVWLX-UHFFFAOYSA-N 0 3 246.285 2.957 20 0 BFADHN CCc1cc(Nc2ccc(C)nc2)ccn1 ZINC001174281880 976438667 /nfs/dbraw/zinc/43/86/67/976438667.db2.gz RERMWZMZRZEHTE-UHFFFAOYSA-N 0 3 213.284 2.513 20 0 BFADHN CCOc1ccc(C)c(O[C@H]2CCCN(C)C2)c1 ZINC001228722451 976452584 /nfs/dbraw/zinc/45/25/84/976452584.db2.gz CLWYWOZBBBZBDG-AWEZNQCLSA-N 0 3 249.354 2.867 20 0 BFADHN CCOc1ccc(C)c(O[C@@H]2CCCN(C)C2)c1 ZINC001228722452 976453543 /nfs/dbraw/zinc/45/35/43/976453543.db2.gz CLWYWOZBBBZBDG-CQSZACIVSA-N 0 3 249.354 2.867 20 0 BFADHN Cn1cc(Nc2ccnc(C(C)(C)C)c2)cn1 ZINC001174328836 976528930 /nfs/dbraw/zinc/52/89/30/976528930.db2.gz OHILXZXPKWINIJ-UHFFFAOYSA-N 0 3 230.315 2.856 20 0 BFADHN Cc1nn(C)cc1Nc1ccnc(C2CC2)c1 ZINC001174334335 976552001 /nfs/dbraw/zinc/55/20/01/976552001.db2.gz BHZBMRURPFLWQZ-UHFFFAOYSA-N 0 3 228.299 2.745 20 0 BFADHN CN1CCc2cc(O[C@@H]3C[C@H]4C[C@H]4C3)ccc2C1 ZINC001228937284 976590902 /nfs/dbraw/zinc/59/09/02/976590902.db2.gz YKEJMBDDHUYKJO-MIFYACCESA-N 0 3 243.350 2.852 20 0 BFADHN Cn1ccnc1Nc1ccc2ncsc2c1 ZINC001174363457 976605999 /nfs/dbraw/zinc/60/59/99/976605999.db2.gz ONZRMHZAJLLKNN-UHFFFAOYSA-N 0 3 230.296 2.773 20 0 BFADHN C[C@@H](c1ncccn1)N1CC[C@@H](C(C)(C)C)C1 ZINC001334767055 976633494 /nfs/dbraw/zinc/63/34/94/976633494.db2.gz MMLXABZAADKJCE-NWDGAFQWSA-N 0 3 233.359 2.906 20 0 BFADHN C[C@@H](c1ncccn1)N1CC[C@H](C(C)(C)C)C1 ZINC001334767056 976634063 /nfs/dbraw/zinc/63/40/63/976634063.db2.gz MMLXABZAADKJCE-RYUDHWBXSA-N 0 3 233.359 2.906 20 0 BFADHN Cc1nc2cc(OC3CCN(C)CC3)ccc2o1 ZINC001229074950 976694807 /nfs/dbraw/zinc/69/48/07/976694807.db2.gz PXDYTPPAMLQYDN-UHFFFAOYSA-N 0 3 246.310 2.609 20 0 BFADHN Cc1n[nH]cc1Nc1ccccc1CN(C)C ZINC001174476456 976797822 /nfs/dbraw/zinc/79/78/22/976797822.db2.gz GHXYZQMSLOEOIR-UHFFFAOYSA-N 0 3 230.315 2.523 20 0 BFADHN COc1cc(Nc2ccncc2C)cnc1Cl ZINC001174536013 976811838 /nfs/dbraw/zinc/81/18/38/976811838.db2.gz GDPAISUCYRZXHC-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN Cc1ccc(Nc2ccncc2C)c(Cl)n1 ZINC001174536445 976817021 /nfs/dbraw/zinc/81/70/21/976817021.db2.gz MQPSGPFGCORDFT-UHFFFAOYSA-N 0 3 233.702 2.912 20 0 BFADHN Cc1cnccc1Nc1ccc2c(c1)COC2 ZINC001174537281 976819246 /nfs/dbraw/zinc/81/92/46/976819246.db2.gz AWDMKVZPFAVPGK-UHFFFAOYSA-N 0 3 226.279 2.586 20 0 BFADHN Cc1cnccc1Nc1cc(Cl)cnc1C ZINC001174536944 976819964 /nfs/dbraw/zinc/81/99/64/976819964.db2.gz OVRDYIZTOXYUQX-UHFFFAOYSA-N 0 3 233.702 2.912 20 0 BFADHN Cc1cnccc1Nc1ccccc1[C@@H](C)O ZINC001174538691 976824434 /nfs/dbraw/zinc/82/44/34/976824434.db2.gz LROUSTMJLZOEFA-LLVKDONJSA-N 0 3 228.295 2.609 20 0 BFADHN Cc1cnccc1Nc1cc(F)c(F)cc1F ZINC001174537867 976825183 /nfs/dbraw/zinc/82/51/83/976825183.db2.gz RYWRHYHNSCFYAY-UHFFFAOYSA-N 0 3 238.212 2.973 20 0 BFADHN Cc1cnccc1Nc1ccc2c(c1)N(C)CC2 ZINC001174538808 976828067 /nfs/dbraw/zinc/82/80/67/976828067.db2.gz RNAVOOKXALKIHF-UHFFFAOYSA-N 0 3 239.322 2.548 20 0 BFADHN Cc1cnccc1Nc1cccc(N(C)C)c1 ZINC001174539432 976828224 /nfs/dbraw/zinc/82/82/24/976828224.db2.gz DTNILSZVHGFIFE-UHFFFAOYSA-N 0 3 227.311 2.622 20 0 BFADHN Cc1cnccc1Nc1ccc([C@H](C)O)cc1 ZINC001174540408 976831861 /nfs/dbraw/zinc/83/18/61/976831861.db2.gz ZJMRXGWZTJSPCO-NSHDSACASA-N 0 3 228.295 2.609 20 0 BFADHN CC(=O)c1ccc(Nc2ccncc2C)c(F)c1 ZINC001174539998 976831974 /nfs/dbraw/zinc/83/19/74/976831974.db2.gz VXEVMSJFRHNUDS-UHFFFAOYSA-N 0 3 244.269 2.897 20 0 BFADHN Cc1cnccc1Nc1ccc([C@@H](C)O)cc1 ZINC001174540407 976832533 /nfs/dbraw/zinc/83/25/33/976832533.db2.gz ZJMRXGWZTJSPCO-LLVKDONJSA-N 0 3 228.295 2.609 20 0 BFADHN CCCCc1ccc(O)cc1O[C@@H]1CCN(C)C1 ZINC001229571881 976898160 /nfs/dbraw/zinc/89/81/60/976898160.db2.gz CTANRVMQICPTDV-CQSZACIVSA-N 0 3 249.354 2.818 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2N[C@@H]1CC[C@@H]1C ZINC001335281050 976922104 /nfs/dbraw/zinc/92/21/04/976922104.db2.gz IZJQAEFLAADYFM-GDPRMGEGSA-N 0 3 220.316 2.749 20 0 BFADHN Cc1cc(Nc2nnc(C(C)(C)C)s2)ccn1 ZINC001174650834 976936044 /nfs/dbraw/zinc/93/60/44/976936044.db2.gz BPVVSVVPKHOZKA-UHFFFAOYSA-N 0 3 248.355 2.705 20 0 BFADHN CCc1cccc(Nc2ccnc(C)c2)n1 ZINC001174652845 976937004 /nfs/dbraw/zinc/93/70/04/976937004.db2.gz GZTNPFWEXPBVCB-UHFFFAOYSA-N 0 3 213.284 2.513 20 0 BFADHN Cc1cc(N(C)c2nc(Cl)ccc2N)ccn1 ZINC001174653917 976938248 /nfs/dbraw/zinc/93/82/48/976938248.db2.gz VKPNFIINBYHFMB-UHFFFAOYSA-N 0 3 248.717 2.789 20 0 BFADHN Cc1cc(Nc2ncc(F)cc2Cl)ccn1 ZINC001174652645 976940798 /nfs/dbraw/zinc/94/07/98/976940798.db2.gz AVBFVPZWJBORLP-UHFFFAOYSA-N 0 3 237.665 2.743 20 0 BFADHN Cc1cc(Nc2cccc(C3CC3)n2)ccn1 ZINC001174654615 976941863 /nfs/dbraw/zinc/94/18/63/976941863.db2.gz GUQXKEIYVFHJRU-UHFFFAOYSA-N 0 3 225.295 2.828 20 0 BFADHN Cc1cc(Nc2ccc(C(F)F)cn2)ccn1 ZINC001174654486 976943583 /nfs/dbraw/zinc/94/35/83/976943583.db2.gz BPWUMIVNUJHQCM-UHFFFAOYSA-N 0 3 235.237 2.888 20 0 BFADHN CC(C)c1cccc(O)c1O[C@H]1CCN(C)C1 ZINC001229706833 976954415 /nfs/dbraw/zinc/95/44/15/976954415.db2.gz FCDAZLAOAUXPKP-NSHDSACASA-N 0 3 235.327 2.599 20 0 BFADHN C[C@H]1C[C@H](Oc2ccccc2CN(C)C)CCO1 ZINC001229701020 976955275 /nfs/dbraw/zinc/95/52/75/976955275.db2.gz HCBZFBUZXHCHLO-GXTWGEPZSA-N 0 3 249.354 2.695 20 0 BFADHN C[C@H]1COCC[C@H]1Oc1ccccc1CN(C)C ZINC001229701615 976957633 /nfs/dbraw/zinc/95/76/33/976957633.db2.gz KMQDYVSPLHIDEE-GXTWGEPZSA-N 0 3 249.354 2.552 20 0 BFADHN Cc1cc(O)c(C)c(C)c1O[C@@H]1CCCN(C)C1 ZINC001229770998 976984866 /nfs/dbraw/zinc/98/48/66/976984866.db2.gz VHDVLTMOTLOBER-CYBMUJFWSA-N 0 3 249.354 2.790 20 0 BFADHN COc1ccc(Nc2cnc(C)n2C)cc1C ZINC001174829128 977088713 /nfs/dbraw/zinc/08/87/13/977088713.db2.gz YCVDQHNEMUOBRF-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN COc1cc(F)cc(Nc2cnc(C)n2C)c1 ZINC001175008932 977207962 /nfs/dbraw/zinc/20/79/62/977207962.db2.gz CGBBIXWEWVLPKL-UHFFFAOYSA-N 0 3 235.262 2.620 20 0 BFADHN COc1cc(Nc2cnc(C)n2C)ccc1C ZINC001175016947 977215683 /nfs/dbraw/zinc/21/56/83/977215683.db2.gz VSYPMKSXYHIVJY-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN CCOc1cccc(O[C@H]2CCCN(C)C2)c1 ZINC001230554560 977249668 /nfs/dbraw/zinc/24/96/68/977249668.db2.gz OYRWCZXHHVYASK-AWEZNQCLSA-N 0 3 235.327 2.558 20 0 BFADHN Cc1ncc(Nc2cc(F)c(F)cc2F)n1C ZINC001175085020 977262570 /nfs/dbraw/zinc/26/25/70/977262570.db2.gz FEJQFASHCNIKTP-UHFFFAOYSA-N 0 3 241.216 2.889 20 0 BFADHN CC(=O)c1ccc(Nc2nccn2C)cc1F ZINC001175231938 977334748 /nfs/dbraw/zinc/33/47/48/977334748.db2.gz UQMWOVUUHCQISV-UHFFFAOYSA-N 0 3 233.246 2.505 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)Oc1nncc2n[nH]cc21 ZINC001230901281 977372736 /nfs/dbraw/zinc/37/27/36/977372736.db2.gz PDYBCVZAAAGOIW-NXEZZACHSA-N 0 3 248.330 2.947 20 0 BFADHN CC(C)CCC[C@@H](C)Oc1nncc2n[nH]cc21 ZINC001230901848 977374907 /nfs/dbraw/zinc/37/49/07/977374907.db2.gz VGWSSGBHZWSEKF-SNVBAGLBSA-N 0 3 248.330 2.947 20 0 BFADHN C[C@H]1COC(C)(C)CN1CCCc1cccnc1 ZINC001335945802 977379238 /nfs/dbraw/zinc/37/92/38/977379238.db2.gz KBPOCPIAPBRAIW-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN CN1CCC=C(Nc2cccc3c2CCC3)C1 ZINC001175576697 977589891 /nfs/dbraw/zinc/58/98/91/977589891.db2.gz PZENADPDFHMQBX-UHFFFAOYSA-N 0 3 228.339 2.807 20 0 BFADHN Cc1nc2ccc(NC3=CCCN(C)C3)cc2o1 ZINC001175579967 977597542 /nfs/dbraw/zinc/59/75/42/977597542.db2.gz SPXVRTVJEYPELR-UHFFFAOYSA-N 0 3 243.310 2.768 20 0 BFADHN Cc1cc(C)c(NC2=CCCN(C)C2)c(C)c1N ZINC001175580233 977598252 /nfs/dbraw/zinc/59/82/52/977598252.db2.gz XEKIMXZWUKDKMJ-UHFFFAOYSA-N 0 3 245.370 2.825 20 0 BFADHN CN1CCC=C(Nc2ccc3scnc3c2)C1 ZINC001175579146 977598604 /nfs/dbraw/zinc/59/86/04/977598604.db2.gz OCAAFMXYYILHNC-UHFFFAOYSA-N 0 3 245.351 2.928 20 0 BFADHN CCc1ccc(CN2CC[C@@H](C(C)=O)C2)s1 ZINC001231503335 977617976 /nfs/dbraw/zinc/61/79/76/977617976.db2.gz YCQQOIYYOSLDLL-LLVKDONJSA-N 0 3 237.368 2.721 20 0 BFADHN CCc1ccc(CN2C[C@H]3CC[C@@H](C2)C3=O)s1 ZINC001231502857 977621014 /nfs/dbraw/zinc/62/10/14/977621014.db2.gz ZOLHLNYIBQQMEQ-PHIMTYICSA-N 0 3 249.379 2.721 20 0 BFADHN CCc1ccc(CN2C[C@@H]3C[C@H]2C[C@H]3F)nc1 ZINC001231641584 977661295 /nfs/dbraw/zinc/66/12/95/977661295.db2.gz OFSHVKMQAROOLC-FPMFFAJLSA-N 0 3 234.318 2.576 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@@H](C)[C@H](C)C2)n1 ZINC001231670017 977684937 /nfs/dbraw/zinc/68/49/37/977684937.db2.gz VVHSOEXHXGMYFQ-VXGBXAGGSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@@H](C)[C@H](C)C2)n1 ZINC001231670017 977684948 /nfs/dbraw/zinc/68/49/48/977684948.db2.gz VVHSOEXHXGMYFQ-VXGBXAGGSA-N 0 3 248.370 2.964 20 0 BFADHN COCc1ccc(CN2CCC3(CC3)CC2)o1 ZINC001231688230 977692775 /nfs/dbraw/zinc/69/27/75/977692775.db2.gz BJJPGMOVRHMPCW-UHFFFAOYSA-N 0 3 235.327 2.802 20 0 BFADHN CSCC(C)(C)NCc1nc2ccccc2[nH]1 ZINC001336476602 977708630 /nfs/dbraw/zinc/70/86/30/977708630.db2.gz IYBHCZDLWPLWDB-UHFFFAOYSA-N 0 3 249.383 2.794 20 0 BFADHN CCOc1ncccc1CN(C)C1CCCC1 ZINC001231764553 977732087 /nfs/dbraw/zinc/73/20/87/977732087.db2.gz HNHTYEGURGEWOW-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCOc1ncccc1CN(C)[C@@H](C)CC ZINC001231763271 977735364 /nfs/dbraw/zinc/73/53/64/977735364.db2.gz VQHHCRQFUJAOLM-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN CCCOc1ncccc1CN1CCC[C@@H]1C ZINC001231860038 977767751 /nfs/dbraw/zinc/76/77/51/977767751.db2.gz OHQBMWSZFHDIPP-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN c1cc(CN2CCCC23CC3)c2c(c1)OCCO2 ZINC001231883745 977781167 /nfs/dbraw/zinc/78/11/67/977781167.db2.gz NZZUHZIZRAXGKJ-UHFFFAOYSA-N 0 3 245.322 2.586 20 0 BFADHN F[C@@H]1CCCCN(Cc2cccc3c[nH]nc32)C1 ZINC001231970383 977817522 /nfs/dbraw/zinc/81/75/22/977817522.db2.gz NYSATVOPSWTPGT-CYBMUJFWSA-N 0 3 247.317 2.887 20 0 BFADHN CC[N@H+](Cc1cncc([O-])c1)C1CCCCC1 ZINC001232039697 977861680 /nfs/dbraw/zinc/86/16/80/977861680.db2.gz JYCLOHSDHSDYSE-UHFFFAOYSA-N 0 3 234.343 2.942 20 0 BFADHN CC[N@@H+](Cc1cncc([O-])c1)C1CCCCC1 ZINC001232039697 977861681 /nfs/dbraw/zinc/86/16/81/977861681.db2.gz JYCLOHSDHSDYSE-UHFFFAOYSA-N 0 3 234.343 2.942 20 0 BFADHN C[C@@H]1CCC[N@H+](Cc2cncc([O-])c2)C[C@@H]1C ZINC001232038540 977862947 /nfs/dbraw/zinc/86/29/47/977862947.db2.gz GLNBFMOYUSTHQS-NEPJUHHUSA-N 0 3 234.343 2.655 20 0 BFADHN C[C@@H]1CCC[N@@H+](Cc2cncc([O-])c2)C[C@@H]1C ZINC001232038540 977862950 /nfs/dbraw/zinc/86/29/50/977862950.db2.gz GLNBFMOYUSTHQS-NEPJUHHUSA-N 0 3 234.343 2.655 20 0 BFADHN [O-]c1cncc(C[NH+]2CCC3(CCCC3)CC2)c1 ZINC001232040677 977867414 /nfs/dbraw/zinc/86/74/14/977867414.db2.gz LUGYBQPXNAYTPB-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN Oc1cncc(CN2CCC3(CCCC3)CC2)c1 ZINC001232040677 977867418 /nfs/dbraw/zinc/86/74/18/977867418.db2.gz LUGYBQPXNAYTPB-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN Oc1cncc(CN2CCC3(CCC3)CC2)c1 ZINC001232040933 977868145 /nfs/dbraw/zinc/86/81/45/977868145.db2.gz VSFBTYLEQHNJKK-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN [O-]c1cncc(C[NH+]2CCC3(CCC3)CC2)c1 ZINC001232040933 977868149 /nfs/dbraw/zinc/86/81/49/977868149.db2.gz VSFBTYLEQHNJKK-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CCC3(C2)CCCCC3)c1 ZINC001232043503 977870289 /nfs/dbraw/zinc/87/02/89/977870289.db2.gz GGHDRSYLRJFGIY-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN [O-]c1cncc(C[N@H+]2CCC3(C2)CCCCC3)c1 ZINC001232043503 977870292 /nfs/dbraw/zinc/87/02/92/977870292.db2.gz GGHDRSYLRJFGIY-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN [O-]c1cncc(C[NH+]2CCCCCCCC2)c1 ZINC001232046144 977875487 /nfs/dbraw/zinc/87/54/87/977875487.db2.gz HYAJHGLBPUHFHU-UHFFFAOYSA-N 0 3 234.343 2.943 20 0 BFADHN c1c[nH]c(Nc2cncc(-c3ccccn3)c2)n1 ZINC001176082236 977879820 /nfs/dbraw/zinc/87/98/20/977879820.db2.gz AJWNGUJXGLVMJY-UHFFFAOYSA-N 0 3 237.266 2.610 20 0 BFADHN CCOc1ccc(F)cc1Nc1ncc[nH]1 ZINC001176084310 977885063 /nfs/dbraw/zinc/88/50/63/977885063.db2.gz JPJOYFHOIFYAJU-UHFFFAOYSA-N 0 3 221.235 2.691 20 0 BFADHN CCOC(=O)c1cc(C)ccc1Nc1ncc[nH]1 ZINC001176084424 977885596 /nfs/dbraw/zinc/88/55/96/977885596.db2.gz PLDBOEYXELIPTB-UHFFFAOYSA-N 0 3 245.282 2.638 20 0 BFADHN COc1ccc(C(C)=O)c(Nc2ncc[nH]2)c1C ZINC001176084063 977885612 /nfs/dbraw/zinc/88/56/12/977885612.db2.gz HOQPPZNLRYXATO-UHFFFAOYSA-N 0 3 245.282 2.673 20 0 BFADHN CC1CCN(Cc2cc3ccncc3[nH]2)CC1 ZINC001232089360 977888534 /nfs/dbraw/zinc/88/85/34/977888534.db2.gz SPTWUJRNWDGRBF-UHFFFAOYSA-N 0 3 229.327 2.795 20 0 BFADHN F[C@H]1CCCN(Cc2cc3ccncc3[nH]2)CC1 ZINC001232091270 977890814 /nfs/dbraw/zinc/89/08/14/977890814.db2.gz VJURCQSHUKZRJP-LBPRGKRZSA-N 0 3 247.317 2.887 20 0 BFADHN FCC1CCN(Cc2cc3ccncc3[nH]2)CC1 ZINC001232094226 977893893 /nfs/dbraw/zinc/89/38/93/977893893.db2.gz ODXRWQUQDRPILX-UHFFFAOYSA-N 0 3 247.317 2.744 20 0 BFADHN Oc1c(Nc2ncc[nH]2)cccc1C(F)(F)F ZINC001176090469 977894959 /nfs/dbraw/zinc/89/49/59/977894959.db2.gz GQVKPZTVLLWDDM-UHFFFAOYSA-N 0 3 243.188 2.878 20 0 BFADHN CC[C@@H]1CCCN1Cc1ncsc1C ZINC001232204207 977928339 /nfs/dbraw/zinc/92/83/39/977928339.db2.gz WPRMNMFHRCOOAG-SNVBAGLBSA-N 0 3 210.346 2.826 20 0 BFADHN c1cc(CN2CC[C@@H]3CCC[C@@H]3C2)sn1 ZINC001232188790 977907154 /nfs/dbraw/zinc/90/71/54/977907154.db2.gz LPYSQTXUSCOJJX-WDEREUQCSA-N 0 3 222.357 2.765 20 0 BFADHN C[C@@]1(F)CCCN(Cc2ccns2)CC1 ZINC001232188585 977912572 /nfs/dbraw/zinc/91/25/72/977912572.db2.gz GJSFAFJIKNDNQO-LLVKDONJSA-N 0 3 228.336 2.857 20 0 BFADHN Cc1scnc1CN1CCCC1(C)C ZINC001232202480 977921841 /nfs/dbraw/zinc/92/18/41/977921841.db2.gz CGGJRDSDGWKLDF-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN Cc1scnc1CN1C[C@@H]2CCC[C@H]2C1 ZINC001232203946 977928195 /nfs/dbraw/zinc/92/81/95/977928195.db2.gz JFRXDRIFFQJOCQ-QWRGUYRKSA-N 0 3 222.357 2.683 20 0 BFADHN c1cc2cccc(CNC3CSC3)c2o1 ZINC001336777480 977948167 /nfs/dbraw/zinc/94/81/67/977948167.db2.gz OCLDAALGAXRLIH-UHFFFAOYSA-N 0 3 219.309 2.638 20 0 BFADHN C[C@@H]1CC[C@H]1[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001336813392 977972399 /nfs/dbraw/zinc/97/23/99/977972399.db2.gz QLYMHHYEANLFMR-PRHODGIISA-N 0 3 225.719 2.934 20 0 BFADHN COCc1ccc(CN2CC3(CCC3)C2)cc1 ZINC001232298954 977993583 /nfs/dbraw/zinc/99/35/83/977993583.db2.gz XMDSLJTUFAUDTR-UHFFFAOYSA-N 0 3 231.339 2.819 20 0 BFADHN CSc1cc(C)c(CN2CC[C@@H](C)C2)cn1 ZINC001232342594 978019552 /nfs/dbraw/zinc/01/95/52/978019552.db2.gz QYHIAQDSVPYQRR-SNVBAGLBSA-N 0 3 236.384 2.954 20 0 BFADHN COc1cc(C)c(CN2CC3CCC2CC3)cn1 ZINC001232352910 978029986 /nfs/dbraw/zinc/02/99/86/978029986.db2.gz WEGXEDMMUSLWIO-UHFFFAOYSA-N 0 3 246.354 2.773 20 0 BFADHN CN(Cc1cn2cc(F)ccc2n1)CC(C)(C)C ZINC001232411742 978048994 /nfs/dbraw/zinc/04/89/94/978048994.db2.gz BWGWXVXMGUZBCF-UHFFFAOYSA-N 0 3 249.333 2.951 20 0 BFADHN CC[C@H]1CCCN1Cc1cn2cc(F)ccc2n1 ZINC001232413035 978051666 /nfs/dbraw/zinc/05/16/66/978051666.db2.gz OGFAPMSXVZGVIU-ZDUSSCGKSA-N 0 3 247.317 2.848 20 0 BFADHN CCCCCN(C)Cc1[nH]nc2c1CCC2 ZINC001232424052 978060091 /nfs/dbraw/zinc/06/00/91/978060091.db2.gz BBMRHOXDUPLKLO-UHFFFAOYSA-N 0 3 221.348 2.520 20 0 BFADHN Clc1ccnc2[nH]cc(CN3CCCC3)c21 ZINC001232453513 978081279 /nfs/dbraw/zinc/08/12/79/978081279.db2.gz CYMQTFPJHMPPIK-UHFFFAOYSA-N 0 3 235.718 2.812 20 0 BFADHN Cc1cccc(F)c1CN1CCCC2(COC2)C1 ZINC001232489635 978139995 /nfs/dbraw/zinc/13/99/95/978139995.db2.gz KZVYSOFROLTDQJ-UHFFFAOYSA-N 0 3 249.329 2.747 20 0 BFADHN CN(Cc1cc(F)ccn1)CC1CCCC1 ZINC001232494829 978146597 /nfs/dbraw/zinc/14/65/97/978146597.db2.gz FUDOIFJKRONLIX-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H]1CCCN(Cc2cccc(CF)n2)C1 ZINC001232532230 978161380 /nfs/dbraw/zinc/16/13/80/978161380.db2.gz LGTRMPXSYBPXMQ-LLVKDONJSA-N 0 3 222.307 2.783 20 0 BFADHN FCc1cccc(CN2CCC3(CC3)C2)n1 ZINC001232533321 978162196 /nfs/dbraw/zinc/16/21/96/978162196.db2.gz MJJAAEWMKUMMOB-UHFFFAOYSA-N 0 3 220.291 2.537 20 0 BFADHN FCc1cccc(CN2CC3CCC2CC3)n1 ZINC001232537533 978166687 /nfs/dbraw/zinc/16/66/87/978166687.db2.gz IBALDDWMVXICCV-UHFFFAOYSA-N 0 3 234.318 2.926 20 0 BFADHN FCc1cccc(CN2CCCCCC2)n1 ZINC001232535940 978167358 /nfs/dbraw/zinc/16/73/58/978167358.db2.gz QTYZHTUELLITNA-UHFFFAOYSA-N 0 3 222.307 2.927 20 0 BFADHN C[C@@H]1CCCN1Cc1cc2ccoc2cn1 ZINC001232549612 978177590 /nfs/dbraw/zinc/17/75/90/978177590.db2.gz JDRMQHWKZVKKPI-SNVBAGLBSA-N 0 3 216.284 2.812 20 0 BFADHN CCOc1ccnc(CN2CCCCCC2)c1 ZINC001232578930 978188441 /nfs/dbraw/zinc/18/84/41/978188441.db2.gz ILEAQXPIPNQFEK-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN CC(C)(C)CN1CCOC[C@H]1Cc1ccccc1 ZINC000507671285 978193953 /nfs/dbraw/zinc/19/39/53/978193953.db2.gz YGJIKKMZROAIPP-OAHLLOKOSA-N 0 3 247.382 2.976 20 0 BFADHN COc1cccc(C)c1CN1CC[C@@H]1C ZINC001232621088 978199015 /nfs/dbraw/zinc/19/90/15/978199015.db2.gz XEWROUCNASQNPL-NSHDSACASA-N 0 3 205.301 2.598 20 0 BFADHN c1cc2c(cn1)cncc2CN1CCC[C@H]2C[C@H]21 ZINC001232653462 978213008 /nfs/dbraw/zinc/21/30/08/978213008.db2.gz GAHSCJYBQZLDIO-XHDPSFHLSA-N 0 3 239.322 2.614 20 0 BFADHN CCN(C)Cc1ccc(Br)c(C)n1 ZINC001232663770 978214734 /nfs/dbraw/zinc/21/47/34/978214734.db2.gz KXUBYPWDIFWEDL-UHFFFAOYSA-N 0 3 243.148 2.604 20 0 BFADHN Cn1cccc1CN1CCC2(CC(F)C2)CC1 ZINC001203257389 978243635 /nfs/dbraw/zinc/24/36/35/978243635.db2.gz KNYZHHIHTWTJFS-UHFFFAOYSA-N 0 3 236.334 2.739 20 0 BFADHN Cc1c(CN2CC[C@H](C)C2)ccnc1Cl ZINC001232752149 978248593 /nfs/dbraw/zinc/24/85/93/978248593.db2.gz REWHPOHEOCTFPY-VIFPVBQESA-N 0 3 224.735 2.885 20 0 BFADHN CO[C@H]1CCN(Cc2ccc3ncsc3c2)C1 ZINC001232738737 978257705 /nfs/dbraw/zinc/25/77/05/978257705.db2.gz HBHQYZHKZAHLRR-NSHDSACASA-N 0 3 248.351 2.517 20 0 BFADHN CCCn1cnc(CN2C[C@H]3CCC[C@@H]3C2)c1 ZINC001232793661 978276656 /nfs/dbraw/zinc/27/66/56/978276656.db2.gz DHNRDCOJJBMOAT-CHWSQXEVSA-N 0 3 233.359 2.525 20 0 BFADHN CCCn1cnc(CN2CCC3(CC3)CC2)c1 ZINC001232797818 978283065 /nfs/dbraw/zinc/28/30/65/978283065.db2.gz PHLFADPIQIONSN-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN Cc1cc(O)cc(CN2CC[C@H](OC(C)C)C2)c1 ZINC001232886323 978323056 /nfs/dbraw/zinc/32/30/56/978323056.db2.gz RZNBNDJYDYGTMQ-HNNXBMFYSA-N 0 3 249.354 2.700 20 0 BFADHN CCCO[C@H]1CCN(Cc2cc(C)cc(O)c2)C1 ZINC001232883240 978325545 /nfs/dbraw/zinc/32/55/45/978325545.db2.gz HTAGELWPDQVXNF-HNNXBMFYSA-N 0 3 249.354 2.702 20 0 BFADHN COc1ncc(CN2CC[C@@H]2C)c2ccccc21 ZINC001232946871 978354213 /nfs/dbraw/zinc/35/42/13/978354213.db2.gz JFLHJDSDMHEFGY-NSHDSACASA-N 0 3 242.322 2.838 20 0 BFADHN CCCCN(C)Cc1ccc(OC(F)F)nc1 ZINC001232951963 978358046 /nfs/dbraw/zinc/35/80/46/978358046.db2.gz CDHPUEZLOWTBFD-UHFFFAOYSA-N 0 3 244.285 2.915 20 0 BFADHN C[C@H]1CCCC[N@@H+]1Cc1cc(Cl)ncc1[O-] ZINC001233033883 978418751 /nfs/dbraw/zinc/41/87/51/978418751.db2.gz VXYFUKCWYJVVAY-VIFPVBQESA-N 0 3 240.734 2.815 20 0 BFADHN C[C@H]1CCCC[N@H+]1Cc1cc(Cl)ncc1[O-] ZINC001233033883 978418759 /nfs/dbraw/zinc/41/87/59/978418759.db2.gz VXYFUKCWYJVVAY-VIFPVBQESA-N 0 3 240.734 2.815 20 0 BFADHN CC[C@@H]1CCN(Cc2cccc(O)c2F)C1 ZINC001233055467 978446604 /nfs/dbraw/zinc/44/66/04/978446604.db2.gz QQESVSKVDROMNI-SNVBAGLBSA-N 0 3 223.291 2.763 20 0 BFADHN CC[C@@H]1CC[N@H+](Cc2cccc([O-])c2F)C1 ZINC001233055467 978446614 /nfs/dbraw/zinc/44/66/14/978446614.db2.gz QQESVSKVDROMNI-SNVBAGLBSA-N 0 3 223.291 2.763 20 0 BFADHN CC[C@@H]1CC[N@@H+](Cc2cccc([O-])c2F)C1 ZINC001233055467 978446619 /nfs/dbraw/zinc/44/66/19/978446619.db2.gz QQESVSKVDROMNI-SNVBAGLBSA-N 0 3 223.291 2.763 20 0 BFADHN CC[C@H](C)[N@H+](C)Cc1cccc([O-])c1F ZINC001233051654 978448834 /nfs/dbraw/zinc/44/88/34/978448834.db2.gz RBBNCBIFGUYCKX-VIFPVBQESA-N 0 3 211.280 2.762 20 0 BFADHN CC[C@H](C)[N@@H+](C)Cc1cccc([O-])c1F ZINC001233051654 978448837 /nfs/dbraw/zinc/44/88/37/978448837.db2.gz RBBNCBIFGUYCKX-VIFPVBQESA-N 0 3 211.280 2.762 20 0 BFADHN CC[C@H]1CCC[N@@H+]1Cc1cccc([O-])c1F ZINC001233053031 978448972 /nfs/dbraw/zinc/44/89/72/978448972.db2.gz HGTLMYFVAQLHOC-NSHDSACASA-N 0 3 223.291 2.906 20 0 BFADHN CC[C@H]1CCC[N@H+]1Cc1cccc([O-])c1F ZINC001233053031 978448977 /nfs/dbraw/zinc/44/89/77/978448977.db2.gz HGTLMYFVAQLHOC-NSHDSACASA-N 0 3 223.291 2.906 20 0 BFADHN CC[C@@H](C)[N@H+](C)Cc1cccc([O-])c1F ZINC001233051653 978449590 /nfs/dbraw/zinc/44/95/90/978449590.db2.gz RBBNCBIFGUYCKX-SECBINFHSA-N 0 3 211.280 2.762 20 0 BFADHN CC[C@@H](C)[N@@H+](C)Cc1cccc([O-])c1F ZINC001233051653 978449595 /nfs/dbraw/zinc/44/95/95/978449595.db2.gz RBBNCBIFGUYCKX-SECBINFHSA-N 0 3 211.280 2.762 20 0 BFADHN CC1CCN(Cc2[nH]nc3cc(F)ccc32)CC1 ZINC001233121147 978467798 /nfs/dbraw/zinc/46/77/98/978467798.db2.gz JWXREQSEJQOVQK-UHFFFAOYSA-N 0 3 247.317 2.934 20 0 BFADHN CC1(C)CCN(Cc2[nH]nc3cc(F)ccc32)C1 ZINC001233124398 978473657 /nfs/dbraw/zinc/47/36/57/978473657.db2.gz LBEXBCGBAAWADS-UHFFFAOYSA-N 0 3 247.317 2.934 20 0 BFADHN Cc1cc(CN2CC[C@@H](OC(C)C)C2)cnc1C ZINC001233161158 978480408 /nfs/dbraw/zinc/48/04/08/978480408.db2.gz VBUXNEFOJZOWNE-OAHLLOKOSA-N 0 3 248.370 2.698 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3C[C@@H]32)cnc1C ZINC001233162902 978482600 /nfs/dbraw/zinc/48/26/00/978482600.db2.gz MBZBIVVSTLHPCA-KGLIPLIRSA-N 0 3 216.328 2.683 20 0 BFADHN CCO[C@H]1CCCN(Cc2cnc(C)c(C)c2)C1 ZINC001233164410 978484372 /nfs/dbraw/zinc/48/43/72/978484372.db2.gz UGSNKEBFGVHAJC-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN CC1CC(N(C)Cc2cnn3ccccc23)C1 ZINC001233236237 978506246 /nfs/dbraw/zinc/50/62/46/978506246.db2.gz SETWWSQOXKAZFB-UHFFFAOYSA-N 0 3 229.327 2.565 20 0 BFADHN Cc1ccc(CN2CCC[C@H]3C[C@H]32)cc1O ZINC001233234775 978507126 /nfs/dbraw/zinc/50/71/26/978507126.db2.gz IITXGLDJLBOPHC-QWHCGFSZSA-N 0 3 217.312 2.685 20 0 BFADHN Cc1ccc(Cl)cc1CN1CCC[C@H]1CO ZINC001233248531 978512767 /nfs/dbraw/zinc/51/27/67/978512767.db2.gz OMVMZDWDBKFOKX-ZDUSSCGKSA-N 0 3 239.746 2.605 20 0 BFADHN CCCC1(CNCc2ccn(CC)n2)CCC1 ZINC001178181143 978514733 /nfs/dbraw/zinc/51/47/33/978514733.db2.gz SLLLHRSEGWJGKC-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN CCn1cnc(Cl)c1CNC1(C)CCC1 ZINC001178223022 978526668 /nfs/dbraw/zinc/52/66/68/978526668.db2.gz JXTMLVAFUIFPKD-UHFFFAOYSA-N 0 3 227.739 2.589 20 0 BFADHN CCC[C@H](C)NC(=O)[C@@H]1CCCCN1CCC ZINC001338004420 978586809 /nfs/dbraw/zinc/58/68/09/978586809.db2.gz QUWRVKZDBBPYAN-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN CCn1cnc(Cl)c1CNC1(CC)CC1 ZINC001178768932 978624069 /nfs/dbraw/zinc/62/40/69/978624069.db2.gz FUKLAOZDAQPUSY-UHFFFAOYSA-N 0 3 227.739 2.589 20 0 BFADHN COc1ccc(CN2C[C@@H](C)CC23CC3)nc1C ZINC001249913802 978659369 /nfs/dbraw/zinc/65/93/69/978659369.db2.gz IDKAHZOWCKLOOD-NSHDSACASA-N 0 3 246.354 2.773 20 0 BFADHN CCO[C@H]1CCCN(Cc2cc(C)nc(C)c2)C1 ZINC001179845071 978766567 /nfs/dbraw/zinc/76/65/67/978766567.db2.gz JOTHGDLUESDZNL-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN C[C@@H](Oc1cccc2c1CCN(C)C2)C1CC1 ZINC001234420396 978832892 /nfs/dbraw/zinc/83/28/92/978832892.db2.gz RZGNQOVVHQLRRB-LLVKDONJSA-N 0 3 231.339 2.852 20 0 BFADHN CCN(Cc1cc(C)nc(C)c1)C[C@H]1CCCO1 ZINC001180157364 978837447 /nfs/dbraw/zinc/83/74/47/978837447.db2.gz HQZINMRTSBLCHA-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CC[C@H](C)[C@@H]1CCCCN1CC(=O)OC(C)C ZINC001339379222 978843933 /nfs/dbraw/zinc/84/39/33/978843933.db2.gz TYFKOJXDOIZALC-STQMWFEESA-N 0 3 241.375 2.839 20 0 BFADHN CCn1ccc(CN2CC[C@@H](C(C)(C)C)C2)n1 ZINC001180318203 978856834 /nfs/dbraw/zinc/85/68/34/978856834.db2.gz YWBJPTMKWRSXMX-GFCCVEGCSA-N 0 3 235.375 2.771 20 0 BFADHN CCCN(CCC)Cc1ccn(CC)n1 ZINC001180375259 978867886 /nfs/dbraw/zinc/86/78/86/978867886.db2.gz NEPRCLGRDMORHU-UHFFFAOYSA-N 0 3 209.337 2.525 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H]3CCC[C@@H]3C2)[nH]1 ZINC001234608859 978872459 /nfs/dbraw/zinc/87/24/59/978872459.db2.gz MCNMPJKMHQFJNW-CHWSQXEVSA-N 0 3 233.359 2.649 20 0 BFADHN COc1nc(CN2CCC(C)CC2)ccc1C ZINC001180430643 978877777 /nfs/dbraw/zinc/87/77/77/978877777.db2.gz QMZJSHJWDZQJKW-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN Cc1nc(C)c(CN2CCCCC23CC3)[nH]1 ZINC001234645027 978885356 /nfs/dbraw/zinc/88/53/56/978885356.db2.gz KNVKGLWTCFVINB-UHFFFAOYSA-N 0 3 219.332 2.545 20 0 BFADHN NCc1cccc(/C=C/c2ccccc2)n1 ZINC000003815995 978893310 /nfs/dbraw/zinc/89/33/10/978893310.db2.gz IMJOZNZWAXXSQG-MDZDMXLPSA-N 0 3 210.280 2.711 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1c(Cl)n[nH]c1C1CC1 ZINC001339880252 978931098 /nfs/dbraw/zinc/93/10/98/978931098.db2.gz BDTIGNHPANQQEF-GMSGAONNSA-N 0 3 239.750 2.829 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2cn(C)cn2)cc1 ZINC001340050255 978961523 /nfs/dbraw/zinc/96/15/23/978961523.db2.gz RVSQKYIHOJCQJY-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN CCc1cccc(CN2CCC(C)(OC)CC2)n1 ZINC001235251350 979008511 /nfs/dbraw/zinc/00/85/11/979008511.db2.gz RPYGAPZCZWYZBS-UHFFFAOYSA-N 0 3 248.370 2.645 20 0 BFADHN CCOC1CCN(Cc2cccc(CC)n2)CC1 ZINC001235259936 979015192 /nfs/dbraw/zinc/01/51/92/979015192.db2.gz ZJZMQNVMJUVJTQ-UHFFFAOYSA-N 0 3 248.370 2.645 20 0 BFADHN CCc1cccc(CN2CCC[C@@H](CF)C2)n1 ZINC001235261606 979015623 /nfs/dbraw/zinc/01/56/23/979015623.db2.gz TXOFLSJZSRJQSW-LBPRGKRZSA-N 0 3 236.334 2.826 20 0 BFADHN CCc1cccc(CN2CC[C@H](OC)C[C@H]2C)n1 ZINC001235272890 979016721 /nfs/dbraw/zinc/01/67/21/979016721.db2.gz XDWSFWWOAJDFJT-DOMZBBRYSA-N 0 3 248.370 2.643 20 0 BFADHN CCOc1cc(CN2CCCCCC2)ccn1 ZINC001249998323 979018623 /nfs/dbraw/zinc/01/86/23/979018623.db2.gz OYOBXVFZCRXTRH-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN Cc1cnc(F)c(CN2C[C@H]3CCC[C@@H]3C2)c1 ZINC001235331641 979025628 /nfs/dbraw/zinc/02/56/28/979025628.db2.gz HZAVSNACSIJTKL-VXGBXAGGSA-N 0 3 234.318 2.761 20 0 BFADHN Cc1cc(CN2CC[C@@H]3CCCC[C@@H]3C2)nn1C ZINC001340602889 979042215 /nfs/dbraw/zinc/04/22/15/979042215.db2.gz ZNGFDIOJQFHMAT-UONOGXRCSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1ncn(C)c1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001235556176 979098734 /nfs/dbraw/zinc/09/87/34/979098734.db2.gz OQKRDEJACIYSFH-ZIAGYGMSSA-N 0 3 247.386 2.741 20 0 BFADHN OCCCN1CCCC[C@H]1c1ccccc1F ZINC001235681393 979115745 /nfs/dbraw/zinc/11/57/45/979115745.db2.gz ZGJNHMANAOHCFK-AWEZNQCLSA-N 0 3 237.318 2.735 20 0 BFADHN NCc1cc(-c2cc(O)cc(Cl)c2)ccn1 ZINC001235906019 979199141 /nfs/dbraw/zinc/19/91/41/979199141.db2.gz GCVQTFWGACEBFW-UHFFFAOYSA-N 0 3 234.686 2.566 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@@H](C)c1ncccn1 ZINC001341360560 979354125 /nfs/dbraw/zinc/35/41/25/979354125.db2.gz PYQFJRGOWNYEOR-TUAOUCFPSA-N 0 3 219.332 2.706 20 0 BFADHN CN[C@@H]1CCN1CC[C@H](C)CC(C)(C)C ZINC001236169301 979426572 /nfs/dbraw/zinc/42/65/72/979426572.db2.gz ZBFIASQMEWTHCJ-RYUDHWBXSA-N 0 3 212.381 2.700 20 0 BFADHN COc1cc(C)cc(F)c1-c1ccc(CN)nc1 ZINC001236223291 979453224 /nfs/dbraw/zinc/45/32/24/979453224.db2.gz SHLKCAPRYUUYQC-UHFFFAOYSA-N 0 3 246.285 2.663 20 0 BFADHN CCC1(C)CN(Cc2ccccc2NC)C1 ZINC001182228093 979477677 /nfs/dbraw/zinc/47/76/77/979477677.db2.gz SURQGHAXRZKYCX-UHFFFAOYSA-N 0 3 218.344 2.960 20 0 BFADHN CCOc1ccc(CN2CC3CCC2CC3)nc1 ZINC001341662647 979514102 /nfs/dbraw/zinc/51/41/02/979514102.db2.gz ZDIHIPCEDANSKT-UHFFFAOYSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2cncc(O)c2)n1 ZINC001236574652 979639048 /nfs/dbraw/zinc/63/90/48/979639048.db2.gz UKQPHOGWQBAZOX-UHFFFAOYSA-N 0 3 225.251 2.639 20 0 BFADHN Cc1nc(C)c(CN(C)CCc2ccccc2)o1 ZINC001236596734 979673694 /nfs/dbraw/zinc/67/36/94/979673694.db2.gz ZUWPSUGNKVLJRW-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN CN(C)C1CN(Cc2cccc3sccc32)C1 ZINC001236683409 979692698 /nfs/dbraw/zinc/69/26/98/979692698.db2.gz SXDREENYVHHANS-UHFFFAOYSA-N 0 3 246.379 2.647 20 0 BFADHN c1cc(CN2CCC[C@H]2c2ccccn2)c[nH]1 ZINC000536629687 979716144 /nfs/dbraw/zinc/71/61/44/979716144.db2.gz FUEQKCQVDUEUQR-AWEZNQCLSA-N 0 3 227.311 2.747 20 0 BFADHN COCCN(C)Cc1cc(O)cc2ccccc21 ZINC001237065546 979808683 /nfs/dbraw/zinc/80/86/83/979808683.db2.gz MQYWJXUGOUXPJS-UHFFFAOYSA-N 0 3 245.322 2.624 20 0 BFADHN O=C(C=C1CCCC1)Nc1cccc2c1CNC2 ZINC001342488320 979811343 /nfs/dbraw/zinc/81/13/43/979811343.db2.gz XEPILXYAGYYVRG-UHFFFAOYSA-N 0 3 242.322 2.729 20 0 BFADHN COc1cccc2c(CN3CC[C@H]3C)ccnc12 ZINC001237113537 979817451 /nfs/dbraw/zinc/81/74/51/979817451.db2.gz LMESFRAOVAUZQC-LLVKDONJSA-N 0 3 242.322 2.838 20 0 BFADHN Cc1cc(CN2CCNC3=CCCC[C@H]32)oc1C ZINC001237218216 979848868 /nfs/dbraw/zinc/84/88/68/979848868.db2.gz GIMOETHCFUNUJI-OAHLLOKOSA-N 0 3 246.354 2.738 20 0 BFADHN Fc1cccc(CN2CCNC3=CCCC[C@@H]32)c1 ZINC001237221943 979853546 /nfs/dbraw/zinc/85/35/46/979853546.db2.gz ZEYPJKZRCHHBFR-HNNXBMFYSA-N 0 3 246.329 2.667 20 0 BFADHN C[C@H]1CCN1Cc1ccc(F)c2cccnc12 ZINC001237424079 979887716 /nfs/dbraw/zinc/88/77/16/979887716.db2.gz CRLRMRNEIDYZLJ-JTQLQIEISA-N 0 3 230.286 2.968 20 0 BFADHN c1c(CN2C[C@H]3CCC[C@@H]3C2)nn2ccccc12 ZINC001237439953 979893494 /nfs/dbraw/zinc/89/34/94/979893494.db2.gz CSCJMIPOFXAQTF-CHWSQXEVSA-N 0 3 241.338 2.566 20 0 BFADHN C[C@]1(CF)CCN(Cc2ccn3nccc3c2)C1 ZINC001237548864 979937449 /nfs/dbraw/zinc/93/74/49/979937449.db2.gz JOQZJPNORGAIHT-CQSZACIVSA-N 0 3 247.317 2.516 20 0 BFADHN CC1(F)CCN(Cc2ccn3nccc3c2)CC1 ZINC001237550530 979939656 /nfs/dbraw/zinc/93/96/56/979939656.db2.gz QEVTUYRHFQSNKD-UHFFFAOYSA-N 0 3 247.317 2.658 20 0 BFADHN CCn1cncc1CN1CCC[C@@](C)(F)CC1 ZINC001237587542 979944321 /nfs/dbraw/zinc/94/43/21/979944321.db2.gz GRSJDGAHPKVKJJ-CYBMUJFWSA-N 0 3 239.338 2.617 20 0 BFADHN CCn1cncc1CN1CCC12CCCCC2 ZINC001237587855 979945255 /nfs/dbraw/zinc/94/52/55/979945255.db2.gz JSZKJVZINKMBKZ-UHFFFAOYSA-N 0 3 233.359 2.812 20 0 BFADHN CCn1cncc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001237592146 979951413 /nfs/dbraw/zinc/95/14/13/979951413.db2.gz CAWZSXIVLRMIIZ-ZIAGYGMSSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@@]1(CN2CC(Cc3ccoc3)C2)CCCCO1 ZINC001184197428 979970671 /nfs/dbraw/zinc/97/06/71/979970671.db2.gz PAYZQXWUNDQMDF-HNNXBMFYSA-N 0 3 249.354 2.713 20 0 BFADHN Cc1coc(CN2CCC[C@@H](C)[C@H](C)C2)n1 ZINC001237636240 979990065 /nfs/dbraw/zinc/99/00/65/979990065.db2.gz WOSGWDTXUWOWRK-GHMZBOCLSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1cccnc1[C@H](C)NC[C@H]1CCC=CO1 ZINC001184290307 980017196 /nfs/dbraw/zinc/01/71/96/980017196.db2.gz JWVQZWLLDDTEAR-QWHCGFSZSA-N 0 3 232.327 2.733 20 0 BFADHN Cc1nc(CN2C[C@@H]3CCC[C@H]3C2)ccc1F ZINC001237812097 980057975 /nfs/dbraw/zinc/05/79/75/980057975.db2.gz AUHRXIMJDYWBBB-RYUDHWBXSA-N 0 3 234.318 2.761 20 0 BFADHN Cc1nc(CN2CCCC2(C)C)ccc1F ZINC001237815729 980067035 /nfs/dbraw/zinc/06/70/35/980067035.db2.gz WWMWABUCKICJIZ-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN Cc1nc(CN(C)C2CC(C)C2)ccc1F ZINC001237814566 980067484 /nfs/dbraw/zinc/06/74/84/980067484.db2.gz QNUAKLXQWNPOBU-UHFFFAOYSA-N 0 3 222.307 2.759 20 0 BFADHN CCc1ncc(CN2CCC3(CC3)C2)s1 ZINC001237823058 980070174 /nfs/dbraw/zinc/07/01/74/980070174.db2.gz IDEJSLFFJSBYFW-UHFFFAOYSA-N 0 3 222.357 2.691 20 0 BFADHN CC(C)[C@@H]1C[C@H]1N[C@@H]1C[C@@H](C)n2ccnc21 ZINC001184453531 980086831 /nfs/dbraw/zinc/08/68/31/980086831.db2.gz WTZFTBBTCQMMDP-WRWGMCAJSA-N 0 3 219.332 2.523 20 0 BFADHN COc1ccoc1CN1CCCCCC1 ZINC001237862366 980098634 /nfs/dbraw/zinc/09/86/34/980098634.db2.gz AIPZCRKFOMHLFV-UHFFFAOYSA-N 0 3 209.289 2.664 20 0 BFADHN COc1ccoc1CN1CCCC[C@H]1C ZINC001237862594 980100148 /nfs/dbraw/zinc/10/01/48/980100148.db2.gz DDCBQUMBOQRRHR-SNVBAGLBSA-N 0 3 209.289 2.663 20 0 BFADHN CCOC(=O)c1ccccc1CN1CC[C@@H](C)C1 ZINC001237881680 980112353 /nfs/dbraw/zinc/11/23/53/980112353.db2.gz ASLOWJFTVRMWBH-GFCCVEGCSA-N 0 3 247.338 2.705 20 0 BFADHN Clc1ccc2c(c1)[nH]nc2CN1CC=CC1 ZINC001237991735 980124667 /nfs/dbraw/zinc/12/46/67/980124667.db2.gz AXIBTUPGBDXSQT-UHFFFAOYSA-N 0 3 233.702 2.588 20 0 BFADHN COc1ncc(C2CC2)cc1CN1CC[C@H](C)C1 ZINC001238022651 980127926 /nfs/dbraw/zinc/12/79/26/980127926.db2.gz AXMQWRGYGUZMEE-NSHDSACASA-N 0 3 246.354 2.809 20 0 BFADHN CC1(F)CCN(Cc2cccc3cncn32)CC1 ZINC001238103571 980150403 /nfs/dbraw/zinc/15/04/03/980150403.db2.gz MQCVNEAEVBFPQI-UHFFFAOYSA-N 0 3 247.317 2.658 20 0 BFADHN CC(=O)[C@@H]1CCCN(Cc2cc(O)ccc2C)C1 ZINC001238108067 980157392 /nfs/dbraw/zinc/15/73/92/980157392.db2.gz WRFRHRSATQRBKS-CYBMUJFWSA-N 0 3 247.338 2.502 20 0 BFADHN Cc1ncncc1CN(C)CCc1cccs1 ZINC001238170441 980203257 /nfs/dbraw/zinc/20/32/57/980203257.db2.gz MTERDVJZRFBSPS-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN Cc1ncncc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001238178650 980210984 /nfs/dbraw/zinc/21/09/84/980210984.db2.gz NRZFWHMDCVREPY-ZIAGYGMSSA-N 0 3 245.370 2.797 20 0 BFADHN COC[C@@H]1CCCN1Cc1cncc(C2CC2)c1 ZINC001238182524 980213625 /nfs/dbraw/zinc/21/36/25/980213625.db2.gz UTLLXBMUCYWMPK-HNNXBMFYSA-N 0 3 246.354 2.570 20 0 BFADHN c1ncc(C2CC2)cc1CN1CCC[C@H]2C[C@H]21 ZINC001238193212 980219006 /nfs/dbraw/zinc/21/90/06/980219006.db2.gz HVDLEEHRCHCZLJ-DZGCQCFKSA-N 0 3 228.339 2.943 20 0 BFADHN Cc1cnc(CN2CCC[C@H]3C[C@H]32)c(C)c1 ZINC001238436542 980260701 /nfs/dbraw/zinc/26/07/01/980260701.db2.gz XYVIMUXYLAUCHW-GXTWGEPZSA-N 0 3 216.328 2.683 20 0 BFADHN CC(C)Oc1cncc(CN2CC[C@@H](C)C2)c1 ZINC001238463234 980271060 /nfs/dbraw/zinc/27/10/60/980271060.db2.gz UPLUWNAYONGXOE-GFCCVEGCSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ccc(OC(C)C)cc1CN1CCC1 ZINC001238477928 980275766 /nfs/dbraw/zinc/27/57/66/980275766.db2.gz RQTKJIJTRCCXIV-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN C[C@H]1CN(Cc2ccc(F)c(C3CC3)c2)C[C@H]1O ZINC001238497311 980278064 /nfs/dbraw/zinc/27/80/64/980278064.db2.gz CRFXTGTWIWSAOZ-ZUZCIYMTSA-N 0 3 249.329 2.516 20 0 BFADHN Cc1ccc(Cl)c(CN(C)CC2COC2)c1 ZINC001238569469 980296576 /nfs/dbraw/zinc/29/65/76/980296576.db2.gz QIKLUWUMBLWEGE-UHFFFAOYSA-N 0 3 239.746 2.727 20 0 BFADHN CCOc1ccc(C)c(CN2CC[C@@H]2COC)c1 ZINC001238715636 980313232 /nfs/dbraw/zinc/31/32/32/980313232.db2.gz YXLRGBDOJVDBOT-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN COc1cc(C)c(C)cc1CN1CC[C@H](OC)C1 ZINC001238760596 980316746 /nfs/dbraw/zinc/31/67/46/980316746.db2.gz WONLDPZRCBRXOS-AWEZNQCLSA-N 0 3 249.354 2.533 20 0 BFADHN COc1cccc(-c2cccc(CN)n2)c1C ZINC001238981129 980406360 /nfs/dbraw/zinc/40/63/60/980406360.db2.gz YYIZWNOSZIMFTJ-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN c1nocc1-c1cc(CN2CCCCC2)ccn1 ZINC001239171258 980482888 /nfs/dbraw/zinc/48/28/88/980482888.db2.gz HBLBRUZWPOJDHD-UHFFFAOYSA-N 0 3 243.310 2.723 20 0 BFADHN c1cc(CN2CCc3ccsc3C2)c[nH]1 ZINC000271172019 980557189 /nfs/dbraw/zinc/55/71/89/980557189.db2.gz IAFZEFHJEPTCJZ-UHFFFAOYSA-N 0 3 218.325 2.635 20 0 BFADHN CN(C)Cc1ccnc(-c2ccccc2F)c1 ZINC001239552984 980730342 /nfs/dbraw/zinc/73/03/42/980730342.db2.gz NBQDPUXJCKUELA-UHFFFAOYSA-N 0 3 230.286 2.949 20 0 BFADHN Cc1cc(CNC2(c3ccccc3C)CC2)n[nH]1 ZINC001346028016 980732866 /nfs/dbraw/zinc/73/28/66/980732866.db2.gz DPOXCUATKJLFSK-UHFFFAOYSA-N 0 3 241.338 2.805 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2cccnc2)n1 ZINC001239568247 980754869 /nfs/dbraw/zinc/75/48/69/980754869.db2.gz LDSINLSMOGOODK-UHFFFAOYSA-N 0 3 209.252 2.933 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC[C@H](OC2CC2)C1 ZINC001205534130 980897067 /nfs/dbraw/zinc/89/70/67/980897067.db2.gz OOSIXQDHGSOONH-JSGCOSHPSA-N 0 3 246.354 2.786 20 0 BFADHN COc1ccc(F)c(CN[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)c1 ZINC001203727679 980926461 /nfs/dbraw/zinc/92/64/61/980926461.db2.gz NXAGQEGPHJLXBE-BXKLLYQPSA-N 0 3 249.329 2.968 20 0 BFADHN Cc1cn(C)nc1CN1CCC[C@@H](C(C)C)CC1 ZINC001191058218 980965322 /nfs/dbraw/zinc/96/53/22/980965322.db2.gz NRWXSXUQUUGMGL-CQSZACIVSA-N 0 3 249.402 2.987 20 0 BFADHN COc1cncc(-c2nc(C)cc3[nH]ccc32)c1 ZINC001239927625 981036022 /nfs/dbraw/zinc/03/60/22/981036022.db2.gz ZIPZPYJYUIOBHH-UHFFFAOYSA-N 0 3 239.278 2.942 20 0 BFADHN COCc1cccc(-c2cc(N(C)C)ccn2)c1 ZINC001239934817 981044840 /nfs/dbraw/zinc/04/48/40/981044840.db2.gz WARKHJGOANXDRK-UHFFFAOYSA-N 0 3 242.322 2.961 20 0 BFADHN NCc1cc(-c2cncc3ccccc32)ccn1 ZINC001239947749 981055237 /nfs/dbraw/zinc/05/52/37/981055237.db2.gz XPEUFRUAWIHQDT-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN COCc1ccccc1-c1nccc(N)c1C ZINC001239950403 981057709 /nfs/dbraw/zinc/05/77/09/981057709.db2.gz KEWHISNKLQJDJL-UHFFFAOYSA-N 0 3 228.295 2.786 20 0 BFADHN Cc1ccncc1-c1cnccc1/C=C/N(C)C ZINC001239976614 981084630 /nfs/dbraw/zinc/08/46/30/981084630.db2.gz BGFQZGJXGCBEEE-RMKNXTFCSA-N 0 3 239.322 2.984 20 0 BFADHN NCc1cccc(-c2cccc3cnccc32)n1 ZINC001240006963 981095564 /nfs/dbraw/zinc/09/55/64/981095564.db2.gz QOBOBTRYLFTXQO-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN Cc1n[nH]c(C)c1-c1cnccc1/C=C/N(C)C ZINC001240127491 981125106 /nfs/dbraw/zinc/12/51/06/981125106.db2.gz NNXNDGKCPDWOAR-SOFGYWHQSA-N 0 3 242.326 2.621 20 0 BFADHN Cc1ccc(-c2cncc(CN(C)C)c2)cn1 ZINC001240224302 981182081 /nfs/dbraw/zinc/18/20/81/981182081.db2.gz MPSQPSIDDAYAAU-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN CC(C)(O)c1ccc(CNC(C)(C)CF)cc1 ZINC001347837757 981185166 /nfs/dbraw/zinc/18/51/66/981185166.db2.gz CYULYKBIPGRGOG-UHFFFAOYSA-N 0 3 239.334 2.752 20 0 BFADHN Cn1cc(-c2ccc(C3=NCCC3)cc2)cn1 ZINC001240295529 981245393 /nfs/dbraw/zinc/24/53/93/981245393.db2.gz CFLCSBXOQLWHQJ-UHFFFAOYSA-N 0 3 225.295 2.670 20 0 BFADHN Cc1nn(C)cc1-c1cccc(C2=NCCC2)c1 ZINC001240303348 981270549 /nfs/dbraw/zinc/27/05/49/981270549.db2.gz FMGPHSSZVRJFAX-UHFFFAOYSA-N 0 3 239.322 2.978 20 0 BFADHN Cc1sccc1-c1cccc(CN)n1 ZINC001240499905 981423292 /nfs/dbraw/zinc/42/32/92/981423292.db2.gz WVOKXYWTXLTKNE-UHFFFAOYSA-N 0 3 204.298 2.577 20 0 BFADHN c1cn2ccc(-c3cncc4cc[nH]c43)cc2n1 ZINC001240508552 981431761 /nfs/dbraw/zinc/43/17/61/981431761.db2.gz BYGCBIYIYDRGOR-UHFFFAOYSA-N 0 3 234.262 2.878 20 0 BFADHN Cc1cncc(CN2CCC(C(C)C)CC2)n1 ZINC001203174064 981454349 /nfs/dbraw/zinc/45/43/49/981454349.db2.gz YGEYHTRCYMKRDO-UHFFFAOYSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1n[nH]cc1-c1ccc2c(N)ccnc2c1 ZINC001240521652 981454443 /nfs/dbraw/zinc/45/44/43/981454443.db2.gz UFJDSIGKTHEJBX-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN CN(C)Cc1cncc(-c2ccc(N)cc2F)c1 ZINC001240527986 981465703 /nfs/dbraw/zinc/46/57/03/981465703.db2.gz RGPIRKRNPNFLEV-UHFFFAOYSA-N 0 3 245.301 2.532 20 0 BFADHN COC(=O)Nc1ccccc1CN1CCCCC1 ZINC001193903365 981507174 /nfs/dbraw/zinc/50/71/74/981507174.db2.gz XZLPUXZWAWWROZ-UHFFFAOYSA-N 0 3 248.326 2.851 20 0 BFADHN Fc1cccc(CN2C[C@H]3[C@H](CC3(F)F)C2)c1 ZINC001203784082 981508187 /nfs/dbraw/zinc/50/81/87/981508187.db2.gz DPKNHWOFYKVTMK-PWSUYJOCSA-N 0 3 241.256 2.913 20 0 BFADHN CC(C)(CF)NC[C@@H]1CC1(Cl)Cl ZINC001349621455 981596941 /nfs/dbraw/zinc/59/69/41/981596941.db2.gz QSGZNNAORUEHAG-LURJTMIESA-N 0 3 214.111 2.518 20 0 BFADHN C[NH+](C)Cc1ccnc(-c2ccc([O-])c(F)c2)c1 ZINC001240786606 981829435 /nfs/dbraw/zinc/82/94/35/981829435.db2.gz WLHPRTRJCHPJNN-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN [NH3+]CCc1ccccc1-c1ccc([O-])c(F)c1 ZINC001240786754 981829796 /nfs/dbraw/zinc/82/97/96/981829796.db2.gz SVXGPYQJUUDBMU-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN C[NH2+]Cc1ccc(-c2ccc([O-])c(F)c2)cc1 ZINC001240788117 981831959 /nfs/dbraw/zinc/83/19/59/981831959.db2.gz ZSCMBPDXDDPPNQ-UHFFFAOYSA-N 0 3 231.270 2.918 20 0 BFADHN c1nocc1CN1CC[C@@H](c2ccccc2)C1 ZINC001205670332 981836649 /nfs/dbraw/zinc/83/66/49/981836649.db2.gz NKGSVAMWTHSEIX-CQSZACIVSA-N 0 3 228.295 2.664 20 0 BFADHN Cc1c(N)ccnc1-c1ccc(OC(C)C)nc1 ZINC001240808994 981863783 /nfs/dbraw/zinc/86/37/83/981863783.db2.gz XHHWLXGGFQIUIV-UHFFFAOYSA-N 0 3 243.310 2.821 20 0 BFADHN Cc1ccccc1[C@H](NCc1nnc[nH]1)C(C)C ZINC001350370417 981949217 /nfs/dbraw/zinc/94/92/17/981949217.db2.gz ASBCFRVSXKDXEY-CQSZACIVSA-N 0 3 244.342 2.600 20 0 BFADHN CN(C)Cc1cncc(-c2cccc(O)c2)c1 ZINC001240928075 982002548 /nfs/dbraw/zinc/00/25/48/982002548.db2.gz XUXKPBWYQPSUTH-UHFFFAOYSA-N 0 3 228.295 2.516 20 0 BFADHN Cc1ccc(-c2nccc3c2CCN3)c(O)c1 ZINC001241030620 982128487 /nfs/dbraw/zinc/12/84/87/982128487.db2.gz PKEZYGYURAEMNW-UHFFFAOYSA-N 0 3 226.279 2.731 20 0 BFADHN COc1cc(-c2cccc(CN)n2)ccc1C ZINC001241032816 982131706 /nfs/dbraw/zinc/13/17/06/982131706.db2.gz SPKNYQZYPCFBBN-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN CN(C)/C=C/c1ccncc1-c1ccc(O)cc1 ZINC001241067505 982188033 /nfs/dbraw/zinc/18/80/33/982188033.db2.gz RTNSMOIZMZQHLW-CSKARUKUSA-N 0 3 240.306 2.987 20 0 BFADHN NCc1cccc(-c2cc(F)cc(F)c2F)n1 ZINC001241081432 982196027 /nfs/dbraw/zinc/19/60/27/982196027.db2.gz OQUZEITWNYBPAV-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN CC(=O)c1ccc(-c2cc(N)c(C)cn2)cc1F ZINC001241256274 982395034 /nfs/dbraw/zinc/39/50/34/982395034.db2.gz BNMZWNFZUBAXAH-UHFFFAOYSA-N 0 3 244.269 2.981 20 0 BFADHN CCOc1ccc(-c2ccnc(CN)c2)c(F)c1 ZINC001241276503 982408219 /nfs/dbraw/zinc/40/82/19/982408219.db2.gz MDQMBNQNINHFFM-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN Cc1cc(-c2ccc(CN)nc2)ccc1F ZINC001241279592 982413584 /nfs/dbraw/zinc/41/35/84/982413584.db2.gz AXHPDNRIIVSECT-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN CC1(C)[C@H](N)c2ccccc2N1C(=O)CC1CC1 ZINC001351971012 982419198 /nfs/dbraw/zinc/41/91/98/982419198.db2.gz FOLAHZRJRSSOOM-CQSZACIVSA-N 0 3 244.338 2.612 20 0 BFADHN C[C@]1(Br)C[C@H]1CNCc1ccoc1 ZINC001351975382 982421168 /nfs/dbraw/zinc/42/11/68/982421168.db2.gz SLZZCSCQUFMJCO-UWVGGRQHSA-N 0 3 244.132 2.543 20 0 BFADHN CC(C)c1cccc(C2=CCN(C)CC2)n1 ZINC001241308797 982448187 /nfs/dbraw/zinc/44/81/87/982448187.db2.gz NNEIIISFECNSBG-UHFFFAOYSA-N 0 3 216.328 2.924 20 0 BFADHN Cc1nc(C2=CCN(C)CC2)cc(C(C)C)n1 ZINC001241308394 982452980 /nfs/dbraw/zinc/45/29/80/982452980.db2.gz RAFFCRUKLSAXMQ-UHFFFAOYSA-N 0 3 231.343 2.627 20 0 BFADHN Cc1cc(C2=CCN(C)CC2)nc2[nH]ccc21 ZINC001241311244 982456110 /nfs/dbraw/zinc/45/61/10/982456110.db2.gz RSJZOPCFOLABCH-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CSc1cccc(C2=CCN(C)CC2)n1 ZINC001241312557 982459556 /nfs/dbraw/zinc/45/95/56/982459556.db2.gz FYUNTFUBCBZKQZ-UHFFFAOYSA-N 0 3 220.341 2.522 20 0 BFADHN CSCc1ccc(C2=CCN(C)CC2)nc1 ZINC001241312762 982461041 /nfs/dbraw/zinc/46/10/41/982461041.db2.gz LRNHTZRLRDRBDB-UHFFFAOYSA-N 0 3 234.368 2.664 20 0 BFADHN CSc1cccc(-c2cccc(CN)n2)c1 ZINC001241314060 982461097 /nfs/dbraw/zinc/46/10/97/982461097.db2.gz UWOMRXVADBNCDT-UHFFFAOYSA-N 0 3 230.336 2.929 20 0 BFADHN Cc1cc2ncccc2nc1C1=CCN(C)CC1 ZINC001241312992 982464894 /nfs/dbraw/zinc/46/48/94/982464894.db2.gz RCBZMIQVIGFYJY-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1cc2ccncc2nc1C1=CCN(C)CC1 ZINC001241317130 982468374 /nfs/dbraw/zinc/46/83/74/982468374.db2.gz KMVGJJPLXATELM-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN CCSc1ccnc(C2=CCN(C)CC2)c1 ZINC001241317081 982469942 /nfs/dbraw/zinc/46/99/42/982469942.db2.gz HZYIVWMHPFXZSF-UHFFFAOYSA-N 0 3 234.368 2.913 20 0 BFADHN CN1CC=C(c2ccccc2C(C)(C)O)CC1 ZINC001241318099 982475153 /nfs/dbraw/zinc/47/51/53/982475153.db2.gz XQEJDIDJHDBKMS-UHFFFAOYSA-N 0 3 231.339 2.633 20 0 BFADHN CC(C)Oc1ccc(C2=CCN(C)CC2)cn1 ZINC001241320250 982480589 /nfs/dbraw/zinc/48/05/89/982480589.db2.gz YTZMYYUNELRQBI-UHFFFAOYSA-N 0 3 232.327 2.588 20 0 BFADHN Cc1ccc(F)cc1C1=CCN(C)CC1 ZINC001241320220 982480645 /nfs/dbraw/zinc/48/06/45/982480645.db2.gz XIZSDWLLJAZUKW-UHFFFAOYSA-N 0 3 205.276 2.853 20 0 BFADHN CCCOc1ncc(C2=CCN(C)CC2)cc1C ZINC001241329874 982491694 /nfs/dbraw/zinc/49/16/94/982491694.db2.gz CIJPXLJJABEXNX-UHFFFAOYSA-N 0 3 246.354 2.898 20 0 BFADHN Cc1nc(OC(C)C)ccc1C1=CCN(C)CC1 ZINC001241330571 982492766 /nfs/dbraw/zinc/49/27/66/982492766.db2.gz VNSOGHHZCJOKFZ-UHFFFAOYSA-N 0 3 246.354 2.896 20 0 BFADHN COc1cc(-c2nccc3c2CCN3)ccc1F ZINC001241416072 982565809 /nfs/dbraw/zinc/56/58/09/982565809.db2.gz CKLQUKDFOMVDRM-UHFFFAOYSA-N 0 3 244.269 2.864 20 0 BFADHN Cc1c2c[nH]nc2ccc1-c1nccc(N)c1C ZINC001241472535 982627948 /nfs/dbraw/zinc/62/79/48/982627948.db2.gz PQELBKKOFXABJX-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN CN1CCC=C(c2ccc(C3CC3)cn2)C1 ZINC001241566353 982809520 /nfs/dbraw/zinc/80/95/20/982809520.db2.gz XWKMLCNVEOWZQJ-UHFFFAOYSA-N 0 3 214.312 2.678 20 0 BFADHN CC(C)OCc1ccc(C2=CCCN(C)C2)nc1 ZINC001241568498 982811019 /nfs/dbraw/zinc/81/10/19/982811019.db2.gz UFIJPUIXFTUDKR-UHFFFAOYSA-N 0 3 246.354 2.726 20 0 BFADHN CN1CCC=C(c2ccc3oc(=O)ccc3c2)C1 ZINC001241571027 982821926 /nfs/dbraw/zinc/82/19/26/982821926.db2.gz SXQNCTOFHOOZEZ-UHFFFAOYSA-N 0 3 241.290 2.512 20 0 BFADHN COCc1ccccc1C1=CCCN(C)C1 ZINC001241570874 982824057 /nfs/dbraw/zinc/82/40/57/982824057.db2.gz PHQMTUXRWOEMBA-UHFFFAOYSA-N 0 3 217.312 2.552 20 0 BFADHN CN1CCC=C(c2ccccc2-c2ncc[nH]2)C1 ZINC001241570979 982824071 /nfs/dbraw/zinc/82/40/71/982824071.db2.gz SHTMBJWCMJXDLK-UHFFFAOYSA-N 0 3 239.322 2.796 20 0 BFADHN NCc1cc(/C=C/c2ccc(Cl)cc2)ncn1 ZINC001241585596 982831855 /nfs/dbraw/zinc/83/18/55/982831855.db2.gz NNOVHDUNVZDSGD-ZZXKWVIFSA-N 0 3 245.713 2.759 20 0 BFADHN CCOc1ccc(-c2nccc3c2CCN3)cn1 ZINC001241701291 982956986 /nfs/dbraw/zinc/95/69/86/982956986.db2.gz BAYRPZUOQSVDJL-UHFFFAOYSA-N 0 3 241.294 2.510 20 0 BFADHN COc1cc(-c2cccc(CN)n2)ccc1Cl ZINC001241732709 983022323 /nfs/dbraw/zinc/02/23/23/983022323.db2.gz REIOOYWQXAJCFP-UHFFFAOYSA-N 0 3 248.713 2.869 20 0 BFADHN Nc1ccnc(-c2ccc3scnc3c2)c1 ZINC001241864048 983084534 /nfs/dbraw/zinc/08/45/34/983084534.db2.gz APIYNSIJEVOSNP-UHFFFAOYSA-N 0 3 227.292 2.941 20 0 BFADHN C=Cc1cccc(-c2cc(CNC)ncn2)c1 ZINC001241945345 983163075 /nfs/dbraw/zinc/16/30/75/983163075.db2.gz FOGMUFUCGVHXII-UHFFFAOYSA-N 0 3 225.295 2.506 20 0 BFADHN Nc1ccncc1-c1ccccc1OC(F)F ZINC001241951830 983166870 /nfs/dbraw/zinc/16/68/70/983166870.db2.gz IUPZEKWTRMPABC-UHFFFAOYSA-N 0 3 236.221 2.763 20 0 BFADHN CO[C@H](C)c1cccc(-c2cccc(CN)n2)c1 ZINC001242017405 983218419 /nfs/dbraw/zinc/21/84/19/983218419.db2.gz RHSVRYJZAXTTCA-LLVKDONJSA-N 0 3 242.322 2.915 20 0 BFADHN FC1C[C@@H]2COC[C@H](C1)N2C[C@@H]1CC=CCC1 ZINC001201423588 983222995 /nfs/dbraw/zinc/22/29/95/983222995.db2.gz PDOVNJBBTRBYGQ-RLAWYHOSSA-N 0 3 239.334 2.544 20 0 BFADHN CC(C)(C)CN1CC[C@](C)(F)[C@H](F)C1 ZINC001201433074 983223392 /nfs/dbraw/zinc/22/33/92/983223392.db2.gz RUNRLAMMZJNVEC-KOLCDFICSA-N 0 3 205.292 2.805 20 0 BFADHN CCC[C@H](C)CN(C)Cc1cc(C)nn1C ZINC001201550299 983302499 /nfs/dbraw/zinc/30/24/99/983302499.db2.gz IFCLTSSOGYDAAX-NSHDSACASA-N 0 3 223.364 2.597 20 0 BFADHN CCC[C@H](C)CN1CCc2cnc(OC)cc2C1 ZINC001201563185 983333666 /nfs/dbraw/zinc/33/36/66/983333666.db2.gz MLCBQXUEKSOTKB-LBPRGKRZSA-N 0 3 248.370 2.885 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1ccn(CC)n1 ZINC001200733404 983335621 /nfs/dbraw/zinc/33/56/21/983335621.db2.gz LANDUZGWNSLRRM-VXGBXAGGSA-N 0 3 223.364 2.817 20 0 BFADHN CCCC[C@@H](CC)CN1CCc2c(cnn2C)C1 ZINC001201568887 983336702 /nfs/dbraw/zinc/33/67/02/983336702.db2.gz YHZUMAFYYPLWIL-CYBMUJFWSA-N 0 3 249.402 2.995 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1nncs1 ZINC001354625506 983337836 /nfs/dbraw/zinc/33/78/36/983337836.db2.gz XLRBAKAWFKKSDW-UWVGGRQHSA-N 0 3 225.361 2.597 20 0 BFADHN CSCCCN1CCC(=O)[C@H]2CCCC[C@H]21 ZINC001201682318 983393711 /nfs/dbraw/zinc/39/37/11/983393711.db2.gz VKEQIBUXWQHKNY-NWDGAFQWSA-N 0 3 241.400 2.573 20 0 BFADHN CSCCCN1CCc2ncc(C)cc2C1 ZINC001201697754 983413862 /nfs/dbraw/zinc/41/38/62/983413862.db2.gz PUAROEKZYSQLNX-UHFFFAOYSA-N 0 3 236.384 2.501 20 0 BFADHN CC(C)Oc1ccccc1-c1cccc(CN)n1 ZINC001242220305 983424926 /nfs/dbraw/zinc/42/49/26/983424926.db2.gz QIJDUSQGZONXHJ-UHFFFAOYSA-N 0 3 242.322 2.995 20 0 BFADHN CCCCCN1CCN(c2ncccc2C)CC1 ZINC001201726068 983431733 /nfs/dbraw/zinc/43/17/33/983431733.db2.gz ZSWIZANGVDQKED-UHFFFAOYSA-N 0 3 247.386 2.702 20 0 BFADHN Clc1ccc2c(n1)CCN(CC1CC1)C2 ZINC001201932702 983505471 /nfs/dbraw/zinc/50/54/71/983505471.db2.gz HGDSPHLYCVNCTP-UHFFFAOYSA-N 0 3 222.719 2.503 20 0 BFADHN Cc1ccc([C@@]2(C)CN(CC3CC3)CCO2)cc1 ZINC001201942886 983510519 /nfs/dbraw/zinc/51/05/19/983510519.db2.gz SOKFUTIRNXNIDA-MRXNPFEDSA-N 0 3 245.366 2.952 20 0 BFADHN CC1(C)CC=C(c2ncc3c(n2)CCNC3)CC1 ZINC001242326930 983559391 /nfs/dbraw/zinc/55/93/91/983559391.db2.gz DRGQKBLCUQDHDZ-UHFFFAOYSA-N 0 3 243.354 2.716 20 0 BFADHN Cc1ccoc1-c1ccc([C@@H]2CNCCO2)cc1 ZINC001356092306 983573445 /nfs/dbraw/zinc/57/34/45/983573445.db2.gz RCYDCXLLGLFUQA-AWEZNQCLSA-N 0 3 243.306 2.916 20 0 BFADHN CCn1ccc(CNCC(C)(C)C2CCC2)n1 ZINC001202444567 983662995 /nfs/dbraw/zinc/66/29/95/983662995.db2.gz HTORBANXTTXFJE-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN CC(C)n1ccc(-c2cc(CN(C)C)ccn2)n1 ZINC001242453051 983751170 /nfs/dbraw/zinc/75/11/70/983751170.db2.gz BTEDGZRPTMMOSP-UHFFFAOYSA-N 0 3 244.342 2.588 20 0 BFADHN C[C@@H](NCC1(C)CC1)c1cn2c(n1)CCCC2 ZINC000925488548 983777817 /nfs/dbraw/zinc/77/78/17/983777817.db2.gz KOIZMMNUZNEAPT-LLVKDONJSA-N 0 3 233.359 2.670 20 0 BFADHN Cc1cc(Cl)cc(-c2cc(CN)ncn2)c1 ZINC001242517470 983836366 /nfs/dbraw/zinc/83/63/66/983836366.db2.gz NVOQNCYGLPTXFN-UHFFFAOYSA-N 0 3 233.702 2.564 20 0 BFADHN c1cc(N2CCCC2)oc1CN1CCC[C@@H]2C[C@@H]21 ZINC001137070850 983900472 /nfs/dbraw/zinc/90/04/72/983900472.db2.gz UNLOOCVVMFNWAC-OCCSQVGLSA-N 0 3 246.354 2.864 20 0 BFADHN CC(C)n1cc(CN2CCC[C@H](F)CC2)cn1 ZINC001137072813 983905286 /nfs/dbraw/zinc/90/52/86/983905286.db2.gz HSFXKEHSDJHGFA-ZDUSSCGKSA-N 0 3 239.338 2.788 20 0 BFADHN C[C@@H]1CCN(C/C=C\c2cccc(F)c2)C[C@H]1O ZINC001474501822 983906783 /nfs/dbraw/zinc/90/67/83/983906783.db2.gz KEWMBMUYTARRKX-KUXCDZRCSA-N 0 3 249.329 2.542 20 0 BFADHN CCO[C@@H](CN[C@@H](C)c1ncccc1C)C1CC1 ZINC001560220804 983937751 /nfs/dbraw/zinc/93/77/51/983937751.db2.gz FXAXRFYFJSORMZ-JSGCOSHPSA-N 0 3 248.370 2.856 20 0 BFADHN Cc1ccc(OC2CCN(C)CC2)c(F)c1 ZINC000201625931 984000568 /nfs/dbraw/zinc/00/05/68/984000568.db2.gz PFXQEBMOHKCCQR-UHFFFAOYSA-N 0 3 223.291 2.607 20 0 BFADHN CC(=O)[C@@H]1CCCN(Cc2cc(C)cs2)C1 ZINC001137158389 984004730 /nfs/dbraw/zinc/00/47/30/984004730.db2.gz QBQZGBIYTGEUFW-GFCCVEGCSA-N 0 3 237.368 2.858 20 0 BFADHN O=c1cccc(Nc2ccnc(C3CC3)c2)[nH]1 ZINC001212790162 984032412 /nfs/dbraw/zinc/03/24/12/984032412.db2.gz VUXUJOWXOYUYDU-UHFFFAOYSA-N 0 3 227.267 2.803 20 0 BFADHN NCc1cc(-c2cnc3sccc3c2)ccn1 ZINC001242680267 984046665 /nfs/dbraw/zinc/04/66/65/984046665.db2.gz NEUFOKJKZGRLBT-UHFFFAOYSA-N 0 3 241.319 2.817 20 0 BFADHN CCc1cc(OC)ccc1-c1cc(N)ccn1 ZINC001242694931 984051571 /nfs/dbraw/zinc/05/15/71/984051571.db2.gz XQXUBGSAXHRYCJ-UHFFFAOYSA-N 0 3 228.295 2.902 20 0 BFADHN Nc1ccnc(-c2ccccc2-n2cccn2)c1 ZINC001242708565 984057056 /nfs/dbraw/zinc/05/70/56/984057056.db2.gz HKWXJTCQOJGWBD-UHFFFAOYSA-N 0 3 236.278 2.517 20 0 BFADHN NCc1cccc(-c2ccc3ccccc3n2)n1 ZINC001242772659 984157347 /nfs/dbraw/zinc/15/73/47/984157347.db2.gz KSLBNOQDFVHYRD-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN Nc1cc[nH+]c(-c2cccc([O-])c2Cl)c1 ZINC001242799102 984172178 /nfs/dbraw/zinc/17/21/78/984172178.db2.gz UFGQKQGMLKBVHG-UHFFFAOYSA-N 0 3 220.659 2.690 20 0 BFADHN C[C@@H]1CCC(C)(C)C[C@H]1NCc1ncccn1 ZINC000717441349 984196521 /nfs/dbraw/zinc/19/65/21/984196521.db2.gz ZKRSRALZESZDNA-VXGBXAGGSA-N 0 3 233.359 2.781 20 0 BFADHN Nc1ccnc(-c2ccc3c(c2)C(=O)CCC3)c1 ZINC001242855903 984196639 /nfs/dbraw/zinc/19/66/39/984196639.db2.gz YNDGMBAAFNSVPI-UHFFFAOYSA-N 0 3 238.290 2.850 20 0 BFADHN CCCCC[C@@H](O)CN[C@@H](C)c1ccccn1 ZINC001253549153 984201218 /nfs/dbraw/zinc/20/12/18/984201218.db2.gz NNEAIUWUSBLNGU-QWHCGFSZSA-N 0 3 236.359 2.673 20 0 BFADHN CCCCC[C@@H](O)CN[C@@H]1COc2ccccc21 ZINC001253554246 984205137 /nfs/dbraw/zinc/20/51/37/984205137.db2.gz PHZPIKGQQIFYLE-TZMCWYRMSA-N 0 3 249.354 2.651 20 0 BFADHN C=C(c1ccccc1)c1cnc2c(c1)CNCC2 ZINC001242892652 984232349 /nfs/dbraw/zinc/23/23/49/984232349.db2.gz BUXGGVZXKQBLFL-UHFFFAOYSA-N 0 3 236.318 2.789 20 0 BFADHN Cc1ccc(-c2cnccc2/C=C/N(C)C)nc1 ZINC001242907716 984278842 /nfs/dbraw/zinc/27/88/42/984278842.db2.gz IUMCXLXGOSKCLW-VQHVLOKHSA-N 0 3 239.322 2.984 20 0 BFADHN COc1ncc(-c2cc(N)c(C)cn2)cc1Cl ZINC001242930329 984301738 /nfs/dbraw/zinc/30/17/38/984301738.db2.gz DBMNEBGLDXISBG-UHFFFAOYSA-N 0 3 249.701 2.696 20 0 BFADHN [NH3+]Cc1ccccc1-c1ccc(F)c([O-])c1 ZINC001243050885 984415908 /nfs/dbraw/zinc/41/59/08/984415908.db2.gz GOCDYYQEOWINNI-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN Clc1ccc2c(c1)C[C@H](NCc1cnoc1)C2 ZINC000718822520 984545445 /nfs/dbraw/zinc/54/54/45/984545445.db2.gz ATWXTSMAGXXSLG-CYBMUJFWSA-N 0 3 248.713 2.585 20 0 BFADHN Cc1cnc(F)c(-c2ccc3nc(N)[nH]c3c2)c1 ZINC001243167058 984624971 /nfs/dbraw/zinc/62/49/71/984624971.db2.gz PJDQDVSADHLFKG-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN Cc1cnc(F)c(-c2ccc3[nH]c(N)nc3c2)c1 ZINC001243167058 984624980 /nfs/dbraw/zinc/62/49/80/984624980.db2.gz PJDQDVSADHLFKG-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN C[C@H](Cc1ccncc1)N1CCCC[C@H](F)C1 ZINC001256735696 984803344 /nfs/dbraw/zinc/80/33/44/984803344.db2.gz JAFSDCWCWHIYMF-OCCSQVGLSA-N 0 3 236.334 2.837 20 0 BFADHN Cc1cc(-c2cc(N)ccn2)cc(C)c1O ZINC001243304013 984819121 /nfs/dbraw/zinc/81/91/21/984819121.db2.gz VNYGAVDSGJPMQJ-UHFFFAOYSA-N 0 3 214.268 2.653 20 0 BFADHN Cc1cc(-c2ccnc(CN)c2)cc(C)c1O ZINC001243308115 984822610 /nfs/dbraw/zinc/82/26/10/984822610.db2.gz FBEKVTSPLKDEQJ-UHFFFAOYSA-N 0 3 228.295 2.530 20 0 BFADHN Cc1cc(-c2cccc(CN(C)C)n2)cnc1F ZINC001243397148 984886912 /nfs/dbraw/zinc/88/69/12/984886912.db2.gz NAXXQRZHTWFGRW-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN C[C@]1(F)CCN(C2CCCC2)C[C@@H]1F ZINC001257350197 984920147 /nfs/dbraw/zinc/92/01/47/984920147.db2.gz HDGJXIFRZCFZLQ-QWRGUYRKSA-N 0 3 203.276 2.701 20 0 BFADHN CCCC[C@H](CC)n1c(C)nc2c1CNCC2 ZINC001257424084 984983406 /nfs/dbraw/zinc/98/34/06/984983406.db2.gz AHVWBRRYKOJTLD-LBPRGKRZSA-N 0 3 235.375 2.979 20 0 BFADHN CN(C)c1cccc(Cl)c1CNC1CC1 ZINC000034824265 984999104 /nfs/dbraw/zinc/99/91/04/984999104.db2.gz GJTAGIWZWYHPSI-UHFFFAOYSA-N 0 3 224.735 2.658 20 0 BFADHN CCOc1c(F)cccc1-c1ccnc(CN)c1 ZINC001243538864 985068225 /nfs/dbraw/zinc/06/82/25/985068225.db2.gz MZEVTIFEWLILEP-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN [NH3+]Cc1ccccc1-c1cccc([O-])c1F ZINC001243547219 985097151 /nfs/dbraw/zinc/09/71/51/985097151.db2.gz NTCAICQZLOEACJ-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN C[NH2+]Cc1ccccc1-c1cccc([O-])c1F ZINC001243546988 985098673 /nfs/dbraw/zinc/09/86/73/985098673.db2.gz WWOLPGKRKAURNU-UHFFFAOYSA-N 0 3 231.270 2.918 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N1CCOCC1 ZINC001257476848 985100344 /nfs/dbraw/zinc/10/03/44/985100344.db2.gz MFLWRHFKLIGIHA-CHWSQXEVSA-N 0 3 213.365 2.924 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N[C@@H]1COC[C@@H]1F ZINC001257478862 985106179 /nfs/dbraw/zinc/10/61/79/985106179.db2.gz XNZWFLFDGCAHTC-ZDEQEGDKSA-N 0 3 231.355 2.918 20 0 BFADHN Cc1cnc(-c2cccnc2C2CC2)cc1N ZINC001243685520 985205767 /nfs/dbraw/zinc/20/57/67/985205767.db2.gz QWTQDBDKTFEYDE-UHFFFAOYSA-N 0 3 225.295 2.912 20 0 BFADHN OCc1cc(F)cc(-c2cncc3cc[nH]c32)c1 ZINC001243695420 985226413 /nfs/dbraw/zinc/22/64/13/985226413.db2.gz BVXZKLIOJASQPT-UHFFFAOYSA-N 0 3 242.253 2.861 20 0 BFADHN Cc1c(F)nccc1-c1cccc(CN(C)C)n1 ZINC001243775128 985307835 /nfs/dbraw/zinc/30/78/35/985307835.db2.gz NNSCEIGYBSFUDN-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN Cc1c(F)nccc1-c1cc(CN(C)C)ccn1 ZINC001243774579 985310374 /nfs/dbraw/zinc/31/03/74/985310374.db2.gz LMHKVTWIWBLKBB-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN Cc1c(F)nccc1-c1ccc2[nH]c(N)nc2c1 ZINC001243779106 985318287 /nfs/dbraw/zinc/31/82/87/985318287.db2.gz YZHYCEUPHYXXEX-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN Cc1c(F)nccc1-c1ccc2nc(N)[nH]c2c1 ZINC001243779106 985318306 /nfs/dbraw/zinc/31/83/06/985318306.db2.gz YZHYCEUPHYXXEX-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN Cc1cnc(F)cc1-c1nccc2c1CCN2 ZINC001243785122 985334877 /nfs/dbraw/zinc/33/48/77/985334877.db2.gz UTMAUELEBOGLTF-UHFFFAOYSA-N 0 3 229.258 2.559 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)N1C[C@H]2C[C@@H](C1)O2 ZINC001258074374 985377712 /nfs/dbraw/zinc/37/77/12/985377712.db2.gz JUHWTOIMKDTHHS-RQJABVFESA-N 0 3 225.376 2.920 20 0 BFADHN CO[C@H]1CN([C@H](C)CCC=C(C)C)C[C@@H]1F ZINC001258086448 985387878 /nfs/dbraw/zinc/38/78/78/985387878.db2.gz TVLURYKCYIPKLL-AGIUHOORSA-N 0 3 229.339 2.790 20 0 BFADHN C[C@H](N[C@@H]1COC[C@H]1C)c1ccccc1F ZINC000688003069 985454011 /nfs/dbraw/zinc/45/40/11/985454011.db2.gz AMRNCVWRPRXREF-GBIKHYSHSA-N 0 3 223.291 2.511 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2COC[C@H]2C)c(C)o1 ZINC000688006528 985480221 /nfs/dbraw/zinc/48/02/21/985480221.db2.gz OQQFMWIJDDMGLA-DVYJOKAKSA-N 0 3 223.316 2.582 20 0 BFADHN CN(CCC1CCCCC1)CC1=NOCC1 ZINC001459402745 985493609 /nfs/dbraw/zinc/49/36/09/985493609.db2.gz CVHFKRYCYSYUQQ-UHFFFAOYSA-N 0 3 224.348 2.665 20 0 BFADHN CC(C)OC1CN([C@H]2C[C@H](C)C[C@H](C)C2)C1 ZINC001258171570 985559009 /nfs/dbraw/zinc/55/90/09/985559009.db2.gz SKNDCJFRSUZOOS-ITGUQSILSA-N 0 3 225.376 2.920 20 0 BFADHN C[C@@H](Cc1ccco1)N1C[C@@H](F)C[C@H]1C ZINC001258186552 985579030 /nfs/dbraw/zinc/57/90/30/985579030.db2.gz OSBYFDSNFASRIT-VWYCJHECSA-N 0 3 211.280 2.643 20 0 BFADHN C[C@H](Cc1ccco1)N1CCc2ccncc2C1 ZINC001258192091 985579504 /nfs/dbraw/zinc/57/95/04/985579504.db2.gz IDGQQCUKNJPWAD-GFCCVEGCSA-N 0 3 242.322 2.664 20 0 BFADHN Cc1cccc(CN[C@H]2COC3(CCC3)C2)c1F ZINC000688280481 985601537 /nfs/dbraw/zinc/60/15/37/985601537.db2.gz SDWZPKQWNBYNMQ-CYBMUJFWSA-N 0 3 249.329 2.935 20 0 BFADHN CSCC[C@@H](C)Nc1cccc(F)c1CN ZINC001258200091 985604622 /nfs/dbraw/zinc/60/46/22/985604622.db2.gz PMFSBMODJGVZJV-SECBINFHSA-N 0 3 242.363 2.838 20 0 BFADHN C[C@@H](N[C@@H]1COC2(CCC2)C1)c1ccco1 ZINC000688341945 985622043 /nfs/dbraw/zinc/62/20/43/985622043.db2.gz KLVYTDOOPKWNNF-MNOVXSKESA-N 0 3 221.300 2.642 20 0 BFADHN C[C@@H](N[C@H]1COC2(CCC2)C1)c1ccco1 ZINC000688341944 985623892 /nfs/dbraw/zinc/62/38/92/985623892.db2.gz KLVYTDOOPKWNNF-GHMZBOCLSA-N 0 3 221.300 2.642 20 0 BFADHN Cc1cc(C2=CNCCC2)cc(F)c1F ZINC001243949413 985638310 /nfs/dbraw/zinc/63/83/10/985638310.db2.gz FVRCGXKVFIBDOA-UHFFFAOYSA-N 0 3 209.239 2.998 20 0 BFADHN Cc1nnc(-c2ccc(C3=CNCCC3)cc2)o1 ZINC001243949271 985638374 /nfs/dbraw/zinc/63/83/74/985638374.db2.gz DESWUXDJLGBZFW-UHFFFAOYSA-N 0 3 241.294 2.769 20 0 BFADHN COC(OC)c1ccccc1C1=CNCCC1 ZINC001243950001 985642573 /nfs/dbraw/zinc/64/25/73/985642573.db2.gz SAHNXMFKXRZUTD-UHFFFAOYSA-N 0 3 233.311 2.702 20 0 BFADHN COc1cc(C)ccc1C1=CNCCC1 ZINC001243950644 985649486 /nfs/dbraw/zinc/64/94/86/985649486.db2.gz JPVGPUOPTDKDRU-UHFFFAOYSA-N 0 3 203.285 2.728 20 0 BFADHN CN(C)c1cccc(CN2CC3CCC2CC3)n1 ZINC001459860329 985650143 /nfs/dbraw/zinc/65/01/43/985650143.db2.gz NJVIUHMTQNBBIZ-UHFFFAOYSA-N 0 3 245.370 2.522 20 0 BFADHN COC(=O)c1c(C)cc(C2=CNCCC2)cc1F ZINC001243952427 985661305 /nfs/dbraw/zinc/66/13/05/985661305.db2.gz PMAINYPLLGPBRD-UHFFFAOYSA-N 0 3 249.285 2.645 20 0 BFADHN COc1c(F)cc(C2=CNCCC2)cc1F ZINC001243952262 985664086 /nfs/dbraw/zinc/66/40/86/985664086.db2.gz IPHFBADIGQWEOH-UHFFFAOYSA-N 0 3 225.238 2.698 20 0 BFADHN COc1nccc2cc(C3=CNCCC3)ccc21 ZINC001243953514 985666210 /nfs/dbraw/zinc/66/62/10/985666210.db2.gz ZIQGOXSQDHCAHD-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN CSCC[C@@H](C)N1CCCC[C@H]1C(C)=O ZINC001258223857 985668360 /nfs/dbraw/zinc/66/83/60/985668360.db2.gz HDDAYNUIBIXNPO-PWSUYJOCSA-N 0 3 229.389 2.572 20 0 BFADHN S=C1Cc2ccc(C3=C[NH2+]CCC3)cc2[N-]1 ZINC001243954099 985670424 /nfs/dbraw/zinc/67/04/24/985670424.db2.gz QHJMXNFGHZZNSI-UHFFFAOYSA-N 0 3 230.336 2.706 20 0 BFADHN CC1=C(C)CN(Cc2cccc(N(C)C)n2)CC1 ZINC001460033236 985716525 /nfs/dbraw/zinc/71/65/25/985716525.db2.gz RNCZITDPERRWAI-UHFFFAOYSA-N 0 3 245.370 2.690 20 0 BFADHN CC(C)(C)c1cc(-c2cccc(CN)n2)ccn1 ZINC001244020418 985735371 /nfs/dbraw/zinc/73/53/71/985735371.db2.gz BJIXTWINKQNETA-UHFFFAOYSA-N 0 3 241.338 2.900 20 0 BFADHN NCc1cc(-c2c(F)cccc2C2CC2)ncn1 ZINC001244115922 985825451 /nfs/dbraw/zinc/82/54/51/985825451.db2.gz KRANHEPYAXHFLC-UHFFFAOYSA-N 0 3 243.285 2.619 20 0 BFADHN CC[C@H]1CCCN1Cc1cccnc1F ZINC001138788027 985833830 /nfs/dbraw/zinc/83/38/30/985833830.db2.gz QYBGZHOWBAFRJX-NSHDSACASA-N 0 3 208.280 2.595 20 0 BFADHN Cc1cncc(-c2nccc(N)c2C)c1C ZINC001244150932 985859722 /nfs/dbraw/zinc/85/97/22/985859722.db2.gz NITRNOKDBRNMMW-UHFFFAOYSA-N 0 3 213.284 2.651 20 0 BFADHN Cc1ccc(F)c(CN[C@H](C)CC2CC2)n1 ZINC001258451372 985895499 /nfs/dbraw/zinc/89/54/99/985895499.db2.gz LEFDOGRQGSAUSF-SNVBAGLBSA-N 0 3 222.307 2.807 20 0 BFADHN Cc1ccc(F)c(CN[C@@H](C)CC2CC2)n1 ZINC001258451371 985896218 /nfs/dbraw/zinc/89/62/18/985896218.db2.gz LEFDOGRQGSAUSF-JTQLQIEISA-N 0 3 222.307 2.807 20 0 BFADHN CCOC(=O)[C@@H]1CCCCN1[C@H](C)CC1CC1 ZINC001258468124 985923290 /nfs/dbraw/zinc/92/32/90/985923290.db2.gz STFVAFJHRFFIDE-YPMHNXCESA-N 0 3 239.359 2.593 20 0 BFADHN Cc1noc([C@@H]2CCCN2[C@H](C)CC2CC2)n1 ZINC001258474300 985937122 /nfs/dbraw/zinc/93/71/22/985937122.db2.gz SLXBCQPGORHVBV-SKDRFNHKSA-N 0 3 235.331 2.704 20 0 BFADHN C[C@@H](CC1CC1)N1CCC[C@@H]1c1ncccn1 ZINC001258471591 985937525 /nfs/dbraw/zinc/93/75/25/985937525.db2.gz AJBCJGFYOTXUEL-WCQYABFASA-N 0 3 231.343 2.802 20 0 BFADHN CCCCCN[C@H](CO)c1cc(F)ccc1F ZINC001460953984 985964529 /nfs/dbraw/zinc/96/45/29/985964529.db2.gz SDLXQZVEQAPQQD-CYBMUJFWSA-N 0 3 243.297 2.778 20 0 BFADHN CCCCCNCc1c(Cl)ncn1CC ZINC001460997567 985994042 /nfs/dbraw/zinc/99/40/42/985994042.db2.gz RNZJUJLTXOPMTR-UHFFFAOYSA-N 0 3 229.755 2.836 20 0 BFADHN Cc1cc(N)ccc1-c1ccc(CN(C)C)cn1 ZINC001244367990 986001716 /nfs/dbraw/zinc/00/17/16/986001716.db2.gz QQLNKTKVNNRFDB-UHFFFAOYSA-N 0 3 241.338 2.701 20 0 BFADHN Cc1cc(N)ccc1-c1cc(N(C)C)ccn1 ZINC001244370306 986013040 /nfs/dbraw/zinc/01/30/40/986013040.db2.gz WDDIIWQVHROKIN-UHFFFAOYSA-N 0 3 227.311 2.705 20 0 BFADHN C[C@@H]1C[C@H](n2ncc3c2CCNC3)CC(C)(C)C1 ZINC001258692325 986013620 /nfs/dbraw/zinc/01/36/20/986013620.db2.gz AKYNBDROOAXUBG-YPMHNXCESA-N 0 3 247.386 2.916 20 0 BFADHN Cc1ccc(-c2nccc3c2CCN3)c(N)c1 ZINC001244389805 986023452 /nfs/dbraw/zinc/02/34/52/986023452.db2.gz UNFZXFTZLPEKQL-UHFFFAOYSA-N 0 3 225.295 2.607 20 0 BFADHN CCN(CC(=O)OC)[C@@H]1C[C@@H](C)CC(C)(C)C1 ZINC001258700994 986026595 /nfs/dbraw/zinc/02/65/95/986026595.db2.gz BPUUZHOGVPFAKC-VXGBXAGGSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1ccc(O)c(-c2nccc(N)c2C)c1 ZINC001244403199 986071528 /nfs/dbraw/zinc/07/15/28/986071528.db2.gz UPIFLBJRFBMCHT-UHFFFAOYSA-N 0 3 214.268 2.653 20 0 BFADHN CCOc1cc(-c2ccc(CN)nc2)ccc1C ZINC001244440713 986092458 /nfs/dbraw/zinc/09/24/58/986092458.db2.gz MVTRNDRDLXHIAY-UHFFFAOYSA-N 0 3 242.322 2.914 20 0 BFADHN Cc1cc(CN2CC=C(C)CC2)cc(C)n1 ZINC001461957865 986239472 /nfs/dbraw/zinc/23/94/72/986239472.db2.gz ZETGJEQCJPYPOP-UHFFFAOYSA-N 0 3 216.328 2.850 20 0 BFADHN CCCN(CCC)Cc1cn(C2CC2)cn1 ZINC001462007613 986261402 /nfs/dbraw/zinc/26/14/02/986261402.db2.gz NSGMQARPUVYKTM-UHFFFAOYSA-N 0 3 221.348 2.840 20 0 BFADHN CCOc1ncc(-c2cc(N)c(C)cn2)cc1C ZINC001244683018 986305663 /nfs/dbraw/zinc/30/56/63/986305663.db2.gz QAZSULJAJRBLTG-UHFFFAOYSA-N 0 3 243.310 2.741 20 0 BFADHN Cc1cnc(-c2c(C)cc(CO)cc2C)cc1N ZINC001244690291 986309930 /nfs/dbraw/zinc/30/99/30/986309930.db2.gz IBPDNJAJMCHGAV-UHFFFAOYSA-N 0 3 242.322 2.748 20 0 BFADHN CCCc1ncc(CN[C@@H]2C[C@@H]3CCC[C@H]23)o1 ZINC001462230263 986319967 /nfs/dbraw/zinc/31/99/67/986319967.db2.gz IPVVRIDOPYEUIA-WCFLWFBJSA-N 0 3 234.343 2.905 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2cn(C3CC3)cn2)C[C@H]1C ZINC001462352545 986358893 /nfs/dbraw/zinc/35/88/93/986358893.db2.gz AOLUTYLCHPUXDF-IJLUTSLNSA-N 0 3 233.359 2.694 20 0 BFADHN C[C@]1(CN2CC(Cc3ccco3)C2)CCCCO1 ZINC001462431543 986386438 /nfs/dbraw/zinc/38/64/38/986386438.db2.gz XPOORUSTAQRQAG-OAHLLOKOSA-N 0 3 249.354 2.713 20 0 BFADHN C[C@@H](CNCc1ncccc1F)c1ccncc1 ZINC001462492166 986404906 /nfs/dbraw/zinc/40/49/06/986404906.db2.gz WFKCTCRIKBMBIS-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN Cc1cc(Cl)ncc1-c1nccc(N)c1C ZINC001244914866 986461348 /nfs/dbraw/zinc/46/13/48/986461348.db2.gz JUIMBGHBMXWTAZ-UHFFFAOYSA-N 0 3 233.702 2.996 20 0 BFADHN Cc1c(O)cccc1-c1nccc2c1CCN2 ZINC001244955629 986514198 /nfs/dbraw/zinc/51/41/98/986514198.db2.gz LYKZASPCLQHEDF-UHFFFAOYSA-N 0 3 226.279 2.731 20 0 BFADHN c1ccc2cc(CN[C@H]3CCCOC3)ncc2c1 ZINC001254367534 986592500 /nfs/dbraw/zinc/59/25/00/986592500.db2.gz JFSHTPIQFFLQHC-AWEZNQCLSA-N 0 3 242.322 2.503 20 0 BFADHN Cc1c(F)ccc(CN[C@H]2CCCOC2)c1F ZINC001254365110 986593210 /nfs/dbraw/zinc/59/32/10/986593210.db2.gz AKWCQYGJTNNOGF-NSHDSACASA-N 0 3 241.281 2.542 20 0 BFADHN CSCCN(C)[C@H](C)CC(F)(F)F ZINC000379353262 986640383 /nfs/dbraw/zinc/64/03/83/986640383.db2.gz AGXUDBNNVFTFNN-SSDOTTSWSA-N 0 3 215.284 2.622 20 0 BFADHN NCc1cc(-c2ccc(O)cc2Cl)ccn1 ZINC001245081666 986645386 /nfs/dbraw/zinc/64/53/86/986645386.db2.gz IVHPERABYORKED-UHFFFAOYSA-N 0 3 234.686 2.566 20 0 BFADHN CC(=O)c1cccc(-c2ccnc(CN)c2)c1F ZINC001245154856 986676230 /nfs/dbraw/zinc/67/62/30/986676230.db2.gz DKUPPZGIWBEAAH-UHFFFAOYSA-N 0 3 244.269 2.549 20 0 BFADHN C[C@@H]1CCN1Cc1cnc2ccccc2c1 ZINC001137278088 986716022 /nfs/dbraw/zinc/71/60/22/986716022.db2.gz JTJPHNJCIFHTBC-LLVKDONJSA-N 0 3 212.296 2.829 20 0 BFADHN CCCOc1ccc(-c2cc(N)c(C)cn2)cn1 ZINC001245228376 986751696 /nfs/dbraw/zinc/75/16/96/986751696.db2.gz JDUFNAKQWQYGDY-UHFFFAOYSA-N 0 3 243.310 2.823 20 0 BFADHN CCO[C@@H]1CCN(Cc2cc3ccccc3[nH]2)C1 ZINC001137320905 986763301 /nfs/dbraw/zinc/76/33/01/986763301.db2.gz QNXHEDLISQFUOO-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN C[C@H]1C[C@@H](O)CCN1Cc1cc2ccccc2[nH]1 ZINC001137320011 986763513 /nfs/dbraw/zinc/76/35/13/986763513.db2.gz UMOWTYJOCDSQEJ-FZMZJTMJSA-N 0 3 244.338 2.513 20 0 BFADHN CCCO[C@@H]1CCN(Cc2[nH]c(C)cc2C)C1 ZINC001137327840 986779280 /nfs/dbraw/zinc/77/92/80/986779280.db2.gz IWEADUSLIYEFNV-CYBMUJFWSA-N 0 3 236.359 2.632 20 0 BFADHN Cc1cc(C)c(CN2CCC[C@H]3C[C@H]32)[nH]1 ZINC001137332540 986800382 /nfs/dbraw/zinc/80/03/82/986800382.db2.gz YBZVAFJPNOLCHF-WCQYABFASA-N 0 3 204.317 2.616 20 0 BFADHN c1cc(-c2ccc(C3=NCCC3)cc2)n[nH]1 ZINC001245313906 986804255 /nfs/dbraw/zinc/80/42/55/986804255.db2.gz LTYQRAABYDFVHT-UHFFFAOYSA-N 0 3 211.268 2.660 20 0 BFADHN COc1c(C)cccc1-c1ccc(CN)nc1 ZINC001245362328 986823558 /nfs/dbraw/zinc/82/35/58/986823558.db2.gz QLXBDNQTJXSVPM-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN NCc1cc(-c2ccc3cc(N)ccc3c2)ccn1 ZINC001245367205 986826994 /nfs/dbraw/zinc/82/69/94/986826994.db2.gz LAQDIUWOIAIYFG-UHFFFAOYSA-N 0 3 249.317 2.943 20 0 BFADHN Nc1ccc2c(c1)OCCN(CC1=CCCC1)C2 ZINC001580452825 986856278 /nfs/dbraw/zinc/85/62/78/986856278.db2.gz GJZFUAAGVLKBKZ-UHFFFAOYSA-N 0 3 244.338 2.574 20 0 BFADHN COc1cc(-c2cncc3cc[nH]c32)ccc1N ZINC001245503806 986883362 /nfs/dbraw/zinc/88/33/62/986883362.db2.gz YLRRRGCORROVNY-UHFFFAOYSA-N 0 3 239.278 2.821 20 0 BFADHN F[C@H]1CCC2(C1)CCN(Cc1cncnc1)CC2 ZINC001137669287 986891210 /nfs/dbraw/zinc/89/12/10/986891210.db2.gz LEVUETNMEUSHOD-ZDUSSCGKSA-N 0 3 249.333 2.581 20 0 BFADHN COc1cccc2c1CCN([C@@H]1CCSC1)C2 ZINC001255292678 986894190 /nfs/dbraw/zinc/89/41/90/986894190.db2.gz HVJLVRIEBNPRLL-GFCCVEGCSA-N 0 3 249.379 2.559 20 0 BFADHN Cn1ncc2c1CCN(C1CCC(C)(C)CC1)C2 ZINC001255307764 986900521 /nfs/dbraw/zinc/90/05/21/986900521.db2.gz FSXQIGVXIYMTCJ-UHFFFAOYSA-N 0 3 247.386 2.747 20 0 BFADHN Cc1cc(-c2cc3[nH]ccc3c(C)n2)cnc1N ZINC001245538908 986923213 /nfs/dbraw/zinc/92/32/13/986923213.db2.gz RYCAUNXWXDOYRM-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN CCCC[C@@H](C)N1[C@@H](C(=O)OC)[C@@H]2CC[C@H]1C2 ZINC001255332508 986927140 /nfs/dbraw/zinc/92/71/40/986927140.db2.gz APTOIUJVMOOTBH-FVCCEPFGSA-N 0 3 239.359 2.591 20 0 BFADHN CCCC[C@H](C)N1[C@H]2CC[C@H](C2)[C@H]1C(=O)OC ZINC001255332516 986927703 /nfs/dbraw/zinc/92/77/03/986927703.db2.gz APTOIUJVMOOTBH-RNJOBUHISA-N 0 3 239.359 2.591 20 0 BFADHN NCc1ccc(-c2cc3cc(F)ccc3[nH]2)cn1 ZINC001245577479 986974381 /nfs/dbraw/zinc/97/43/81/986974381.db2.gz TWKPDWUGYMQCLZ-UHFFFAOYSA-N 0 3 241.269 2.828 20 0 BFADHN COC[C@@H](C)N[C@H](CC(C)C)c1ccccn1 ZINC001255563647 987013222 /nfs/dbraw/zinc/01/32/22/987013222.db2.gz PNKHXYNOKQBRHE-TZMCWYRMSA-N 0 3 236.359 2.793 20 0 BFADHN COC[C@H](C)N[C@H](CC(C)C)c1ccccn1 ZINC001255563643 987014040 /nfs/dbraw/zinc/01/40/40/987014040.db2.gz PNKHXYNOKQBRHE-GXTWGEPZSA-N 0 3 236.359 2.793 20 0 BFADHN C[C@H](CC(F)(F)F)N(C)C[C@@H]1CCCCO1 ZINC001255657813 987050370 /nfs/dbraw/zinc/05/03/70/987050370.db2.gz KYHUYDLBJVBXER-ZJUUUORDSA-N 0 3 239.281 2.828 20 0 BFADHN CCOc1nccc(-c2cnccc2N)c1Cl ZINC001245844119 987094931 /nfs/dbraw/zinc/09/49/31/987094931.db2.gz FCBLWMYXNXEUHW-UHFFFAOYSA-N 0 3 249.701 2.608 20 0 BFADHN CC(C)CC[C@@H](C)n1ccc([C@@H](C)N)n1 ZINC001256355883 987175825 /nfs/dbraw/zinc/17/58/25/987175825.db2.gz DVAYJGPMDPFCAG-GHMZBOCLSA-N 0 3 209.337 2.900 20 0 BFADHN C[C@@H]1CSCCN1CCc1cccc(F)c1 ZINC001473185508 987364109 /nfs/dbraw/zinc/36/41/09/987364109.db2.gz JGTMQUAXZFVEBQ-LLVKDONJSA-N 0 3 239.359 2.806 20 0 BFADHN CCC[C@@H](NCC1=NOCC1)c1ccccc1 ZINC001473337894 987401250 /nfs/dbraw/zinc/40/12/50/987401250.db2.gz PBWVGXJUJDSDHE-CQSZACIVSA-N 0 3 232.327 2.894 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc(F)cn2)[C@H]1C ZINC001473402030 987421581 /nfs/dbraw/zinc/42/15/81/987421581.db2.gz GWASFGAKVHWEQL-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H]1CCN(Cc2ccncc2F)[C@@H]1C ZINC001473402607 987423396 /nfs/dbraw/zinc/42/33/96/987423396.db2.gz LOHOABRXTWTPIE-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CCC[C@H](CN(C2CC2)C2COC2)C1 ZINC001473497999 987456195 /nfs/dbraw/zinc/45/61/95/987456195.db2.gz QRUMMSGYOXQLDT-NEPJUHHUSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@H]1CCC[C@@H](CN(C2CC2)C2COC2)C1 ZINC001473498003 987459294 /nfs/dbraw/zinc/45/92/94/987459294.db2.gz QRUMMSGYOXQLDT-NWDGAFQWSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@@H]1CN([C@H]2CCc3c2cccc3F)CCCO1 ZINC001116328103 987521654 /nfs/dbraw/zinc/52/16/54/987521654.db2.gz VNQLWFGNLBYJCY-ABAIWWIYSA-N 0 3 249.329 2.924 20 0 BFADHN CC(C)=CCN1C[C@@H]2C[C@H]1CN2c1ccccc1 ZINC001473751174 987545893 /nfs/dbraw/zinc/54/58/93/987545893.db2.gz HGXIUDSZFXJNCS-HOTGVXAUSA-N 0 3 242.366 2.916 20 0 BFADHN Cc1ccnc(CN2CCCC[C@@]23CCOC3)c1 ZINC001473895500 987607111 /nfs/dbraw/zinc/60/71/11/987607111.db2.gz MOKJSTXGQLMAMM-HNNXBMFYSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1cnc2ccccc2c1N(C)CCCCO ZINC001116734540 987686575 /nfs/dbraw/zinc/68/65/75/987686575.db2.gz VELGSNUBWUUXOD-UHFFFAOYSA-N 0 3 244.338 2.752 20 0 BFADHN CC[C@@H]1CCN(Cc2ccn(CC)n2)[C@H]1C ZINC001474460170 987726745 /nfs/dbraw/zinc/72/67/45/987726745.db2.gz VBPQDAPDFKRBAF-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN CCC(C)(C)OC1CN(C[C@@H]2C[C@H]3C[C@H]3C2)C1 ZINC001474629688 987783824 /nfs/dbraw/zinc/78/38/24/987783824.db2.gz AMZNPEYRYCYEOI-CLLJXQQHSA-N 0 3 237.387 2.922 20 0 BFADHN CCC(CC)CN1CC(C(=O)OC(C)(C)C)C1 ZINC001474752198 987820145 /nfs/dbraw/zinc/82/01/45/987820145.db2.gz VUZXWOXWGBOAPS-UHFFFAOYSA-N 0 3 241.375 2.696 20 0 BFADHN OCCCCN(Cc1cccn1C1CC1)C1CC1 ZINC001117744108 987884335 /nfs/dbraw/zinc/88/43/35/987884335.db2.gz VQABMLQJGSOXGT-UHFFFAOYSA-N 0 3 248.370 2.560 20 0 BFADHN CCC[C@@H](O)CN(CC)Cc1ccccc1F ZINC001117754214 987892989 /nfs/dbraw/zinc/89/29/89/987892989.db2.gz FVQREPSHBGQTKG-CYBMUJFWSA-N 0 3 239.334 2.809 20 0 BFADHN C[C@H](Cc1ccsc1)N1CC[C@@]12CCOC2 ZINC001117994182 987977315 /nfs/dbraw/zinc/97/73/15/987977315.db2.gz LDWNLUJHZAFERI-YPMHNXCESA-N 0 3 237.368 2.544 20 0 BFADHN CC(C)Cn1nccc1CN[C@H]1C=CCCC1 ZINC001118201980 988100079 /nfs/dbraw/zinc/10/00/79/988100079.db2.gz NCGSDVZFJPQBCA-ZDUSSCGKSA-N 0 3 233.359 2.737 20 0 BFADHN CCCCC[C@H](C)N1CCC(F)(F)[C@@H](N)C1 ZINC001246582549 988121060 /nfs/dbraw/zinc/12/10/60/988121060.db2.gz NSVGVYVMQDVMCX-QWRGUYRKSA-N 0 3 234.334 2.624 20 0 BFADHN COCC1(N(C)Cc2csc(C)c2)CC1 ZINC001118304261 988201031 /nfs/dbraw/zinc/20/10/31/988201031.db2.gz CNDLQMUUEWQRQP-UHFFFAOYSA-N 0 3 225.357 2.667 20 0 BFADHN CN(Cc1cccnc1N(C)C)C[C@H]1CC1(C)C ZINC001118674468 988495830 /nfs/dbraw/zinc/49/58/30/988495830.db2.gz CLNIDPIGYSLCPO-CYBMUJFWSA-N 0 3 247.386 2.626 20 0 BFADHN CN[C@@H]1CCN1[C@@H](Cc1ccccc1)CC(C)C ZINC001246901238 988673890 /nfs/dbraw/zinc/67/38/90/988673890.db2.gz WMRWHOIAFSVJCG-CVEARBPZSA-N 0 3 246.398 2.895 20 0 BFADHN C[C@@H]1CN(CCc2ccc(F)cc2F)[C@@H]1C ZINC001324275504 988780303 /nfs/dbraw/zinc/78/03/03/988780303.db2.gz DYWFQPCMQQOBHU-NXEZZACHSA-N 0 3 225.282 2.848 20 0 BFADHN C[C@@H]1CN(CCc2ccc(F)cc2F)[C@H]1C ZINC001324275507 988781056 /nfs/dbraw/zinc/78/10/56/988781056.db2.gz DYWFQPCMQQOBHU-ZJUUUORDSA-N 0 3 225.282 2.848 20 0 BFADHN CCCCCC[C@H](CCC)N1CCNC1 ZINC001246989771 988820695 /nfs/dbraw/zinc/82/06/95/988820695.db2.gz HFDTUAKMPQYXJD-ZDUSSCGKSA-N 0 3 212.381 2.988 20 0 BFADHN C(=C\C1CC1)\CNCc1cc2cccnc2o1 ZINC001324399960 988828631 /nfs/dbraw/zinc/82/86/31/988828631.db2.gz MMQMBNSWJLYCEC-IWQZZHSRSA-N 0 3 228.295 2.884 20 0 BFADHN NCc1nc2cc(C(F)(F)F)c(Cl)cc2[nH]1 ZINC001247010300 988836329 /nfs/dbraw/zinc/83/63/29/988836329.db2.gz UJBFUUCVZKWGIX-UHFFFAOYSA-N 0 3 249.623 2.694 20 0 BFADHN NCc1nc2cc(Cl)c(C(F)(F)F)cc2[nH]1 ZINC001247010300 988836335 /nfs/dbraw/zinc/83/63/35/988836335.db2.gz UJBFUUCVZKWGIX-UHFFFAOYSA-N 0 3 249.623 2.694 20 0 BFADHN Cc1ccc(Cl)c2nc([C@@H](C)N)[nH]c21 ZINC001247009892 988837200 /nfs/dbraw/zinc/83/72/00/988837200.db2.gz FBSAOCGYLIUVKX-ZCFIWIBFSA-N 0 3 209.680 2.544 20 0 BFADHN C[C@H](N)c1nc2cc(-c3ccncc3)ccc2[nH]1 ZINC001247020583 988845375 /nfs/dbraw/zinc/84/53/75/988845375.db2.gz MSJNJBVAKNJTSJ-VIFPVBQESA-N 0 3 238.294 2.645 20 0 BFADHN C[C@H](N)c1nc2ccc(-c3ccncc3)cc2[nH]1 ZINC001247020583 988845381 /nfs/dbraw/zinc/84/53/81/988845381.db2.gz MSJNJBVAKNJTSJ-VIFPVBQESA-N 0 3 238.294 2.645 20 0 BFADHN CCn1c2ccc3ncccc3c2nc1[C@H](C)N ZINC001247040079 988846988 /nfs/dbraw/zinc/84/69/88/988846988.db2.gz FFFGVWUXRFNGKW-VIFPVBQESA-N 0 3 240.310 2.624 20 0 BFADHN CSCCCn1c2ccccc2nc1[C@H](C)N ZINC001247043910 988848069 /nfs/dbraw/zinc/84/80/69/988848069.db2.gz ATLKMDOODPIXBP-JTQLQIEISA-N 0 3 249.383 2.809 20 0 BFADHN CSCCCn1c2ccccc2nc1[C@@H](C)N ZINC001247043912 988848269 /nfs/dbraw/zinc/84/82/69/988848269.db2.gz ATLKMDOODPIXBP-SNVBAGLBSA-N 0 3 249.383 2.809 20 0 BFADHN CO[C@@H]1CN(Cc2cccn2C2CC2)CC[C@H]1C ZINC001325118253 989094369 /nfs/dbraw/zinc/09/43/69/989094369.db2.gz UVNTXIOXMJBPAC-IUODEOHRSA-N 0 3 248.370 2.680 20 0 BFADHN C[C@H]1CCCN1Cc1cc(Cl)cn2ccnc12 ZINC001248430682 989104967 /nfs/dbraw/zinc/10/49/67/989104967.db2.gz OKFPSMUQWQWVDL-JTQLQIEISA-N 0 3 249.745 2.972 20 0 BFADHN c1cc2n(c1CN1CC3(C1)CCCC3)CCC2 ZINC001248820744 989180375 /nfs/dbraw/zinc/18/03/75/989180375.db2.gz AUYURHBSQWWIPD-UHFFFAOYSA-N 0 3 230.355 2.810 20 0 BFADHN Cc1cc(C)nc(CN2CCC[C@H](C)[C@H](C)C2)n1 ZINC001248933262 989216427 /nfs/dbraw/zinc/21/64/27/989216427.db2.gz VMROLNNVHGQCTR-NWDGAFQWSA-N 0 3 247.386 2.961 20 0 BFADHN Cc1cc(C)nc(CN2C[C@@H]3CCCC[C@H]3C2)n1 ZINC001248939244 989227489 /nfs/dbraw/zinc/22/74/89/989227489.db2.gz CMLPGODOHBURIG-KBPBESRZSA-N 0 3 245.370 2.715 20 0 BFADHN COc1ccc2nc(CN3CCC[C@H]3C)[nH]c2c1 ZINC001249411445 989273583 /nfs/dbraw/zinc/27/35/83/989273583.db2.gz YAKSVGVHLRXFED-SNVBAGLBSA-N 0 3 245.326 2.556 20 0 BFADHN CC[C@H](C)c1nn(C)cc1CN1CCC2(CC2)C1 ZINC001249404020 989275023 /nfs/dbraw/zinc/27/50/23/989275023.db2.gz RORVPJBREPBCLK-LBPRGKRZSA-N 0 3 247.386 2.920 20 0 BFADHN CC[C@@H](C)c1nn(C)cc1CN1CCC2(CC2)C1 ZINC001249404019 989277245 /nfs/dbraw/zinc/27/72/45/989277245.db2.gz RORVPJBREPBCLK-GFCCVEGCSA-N 0 3 247.386 2.920 20 0 BFADHN c1cc2c(c(CN3CC4(CSC4)C3)c1)CCC2 ZINC001249459341 989281917 /nfs/dbraw/zinc/28/19/17/989281917.db2.gz QCWFOWMYUFJRMS-UHFFFAOYSA-N 0 3 245.391 2.724 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1[C@@H]1CC[C@H](CC)C1 ZINC000344700613 989287305 /nfs/dbraw/zinc/28/73/05/989287305.db2.gz HDOBIUXYWXCALZ-XQQFMLRXSA-N 0 3 239.359 2.593 20 0 BFADHN CN(Cc1cc(F)cc2cc[nH]c21)[C@H]1CCOC1 ZINC001249524574 989300011 /nfs/dbraw/zinc/30/00/11/989300011.db2.gz CPJLSONYDVTMGB-ZDUSSCGKSA-N 0 3 248.301 2.528 20 0 BFADHN FC(F)CCCNCc1cccnc1Cl ZINC001119678808 989323915 /nfs/dbraw/zinc/32/39/15/989323915.db2.gz QRRNQZVPKVGHAU-UHFFFAOYSA-N 0 3 234.677 2.870 20 0 BFADHN Cc1cnccc1Nc1ccc(F)c(N)c1C ZINC001249629795 989327078 /nfs/dbraw/zinc/32/70/78/989327078.db2.gz KUWCKCYXXFUODV-UHFFFAOYSA-N 0 3 231.274 2.585 20 0 BFADHN CCN1CCc2ccc(CN3CC(C)(C)C3)cc21 ZINC001249657505 989337878 /nfs/dbraw/zinc/33/78/78/989337878.db2.gz NYXKUKXRTMRYQA-UHFFFAOYSA-N 0 3 244.382 2.911 20 0 BFADHN CC(C)(NCc1ccc(N)nc1)c1cccs1 ZINC001119735193 989374848 /nfs/dbraw/zinc/37/48/48/989374848.db2.gz OYRRUKBAINOQGE-UHFFFAOYSA-N 0 3 247.367 2.750 20 0 BFADHN CCc1ncc(CN(C(C)C)C(C)C)o1 ZINC001119768187 989393549 /nfs/dbraw/zinc/39/35/49/989393549.db2.gz DCWFVIODDBZGIW-UHFFFAOYSA-N 0 3 210.321 2.856 20 0 BFADHN FC1(F)CCC[C@H]1CCN1CC[C@]12CCOC2 ZINC001119792069 989408318 /nfs/dbraw/zinc/40/83/18/989408318.db2.gz LDRBCKRFPABNOH-NWDGAFQWSA-N 0 3 245.313 2.677 20 0 BFADHN CC(C)(C)/C=C\C(=O)Nc1cccc2c1CNC2 ZINC001122221516 990298154 /nfs/dbraw/zinc/29/81/54/990298154.db2.gz BUSUFCIIBCJVKF-FPLPWBNLSA-N 0 3 244.338 2.831 20 0 BFADHN COc1cccc(CN2CC[C@@H](C)C[C@@H]2C)n1 ZINC001137533353 990821035 /nfs/dbraw/zinc/82/10/35/990821035.db2.gz QICVEZVHRRXYGX-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc(F)cc1CN1CC2CC(C2)C1 ZINC001138376547 990925099 /nfs/dbraw/zinc/92/50/99/990925099.db2.gz PMEWHIXXLGVELK-UHFFFAOYSA-N 0 3 235.302 2.676 20 0 BFADHN CC(=O)CN(C)[C@@H](C)C[C@@H](C)CC(C)C ZINC001258062306 990955106 /nfs/dbraw/zinc/95/51/06/990955106.db2.gz JRVRUHPKFDQGAG-RYUDHWBXSA-N 0 3 213.365 2.968 20 0 BFADHN COc1ccc(C)cc1CN1CCC[C@H]2C[C@H]21 ZINC001138487505 990958796 /nfs/dbraw/zinc/95/87/96/990958796.db2.gz XEUITHKPFNEHHQ-GXTWGEPZSA-N 0 3 231.339 2.988 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)N1CC(C)(O)C1 ZINC001258064206 990959820 /nfs/dbraw/zinc/95/98/20/990959820.db2.gz OYKGQSBQVSCZHB-NEPJUHHUSA-N 0 3 213.365 2.514 20 0 BFADHN COc1ccc2[nH]cc(CN3CC=CC3)c2c1 ZINC001138504043 990963572 /nfs/dbraw/zinc/96/35/72/990963572.db2.gz RCEBYYRRZLWUBX-UHFFFAOYSA-N 0 3 228.295 2.548 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CC2CC(C2)C1 ZINC001138581081 990973218 /nfs/dbraw/zinc/97/32/18/990973218.db2.gz UNMAJIRUJKQMCN-UHFFFAOYSA-N 0 3 233.359 2.549 20 0 BFADHN Cc1cc(CN2C[C@H]3CC[C@@H](C2)C3=O)c(C)s1 ZINC001138570377 990975308 /nfs/dbraw/zinc/97/53/08/990975308.db2.gz GXGNTSHWYJQZDI-TXEJJXNPSA-N 0 3 249.379 2.776 20 0 BFADHN CO[C@H](C)C1CN(Cc2cc(C)sc2C)C1 ZINC001138570099 990976270 /nfs/dbraw/zinc/97/62/70/990976270.db2.gz QKFHPQDAIISGSF-SNVBAGLBSA-N 0 3 239.384 2.832 20 0 BFADHN C[C@H]1CCN1Cc1ccccc1N1CCCC1 ZINC001138609630 990978026 /nfs/dbraw/zinc/97/80/26/990978026.db2.gz AKMWAOIEXVWNMQ-ZDUSSCGKSA-N 0 3 230.355 2.881 20 0 BFADHN CC(C)=CCC[C@H](C)N1C[C@@H](F)C[C@H](CO)C1 ZINC001258085195 990987077 /nfs/dbraw/zinc/98/70/77/990987077.db2.gz GQLJXPXZIVYSKF-IHRRRGAJSA-N 0 3 243.366 2.774 20 0 BFADHN CC(C)=CCC[C@H](C)N1CC[C@@H](O)[C@@H](F)C1 ZINC001258088768 990992620 /nfs/dbraw/zinc/99/26/20/990992620.db2.gz OZGLFYFRJWZZHE-RWMBFGLXSA-N 0 3 229.339 2.526 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CC[C@@H](O)[C@@H](F)C1 ZINC001258088767 990996834 /nfs/dbraw/zinc/99/68/34/990996834.db2.gz OZGLFYFRJWZZHE-FRRDWIJNSA-N 0 3 229.339 2.526 20 0 BFADHN CC(C)=CCC[C@H](C)N1CC[C@@](C)(O)[C@@H](F)C1 ZINC001258089678 990997434 /nfs/dbraw/zinc/99/74/34/990997434.db2.gz USJXVBYPKXHQEG-MELADBBJSA-N 0 3 243.366 2.916 20 0 BFADHN CCSc1ncc(CN(C)C(C)(C)C)cn1 ZINC001138656209 990998572 /nfs/dbraw/zinc/99/85/72/990998572.db2.gz YSMMKTFZXMZPMK-UHFFFAOYSA-N 0 3 239.388 2.819 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CC[C@@](C)(O)[C@@H](F)C1 ZINC001258089675 990999091 /nfs/dbraw/zinc/99/90/91/990999091.db2.gz USJXVBYPKXHQEG-HZSPNIEDSA-N 0 3 243.366 2.916 20 0 BFADHN CCn1cnc(Cl)c1CNCCC(C)(C)F ZINC001308457471 991000234 /nfs/dbraw/zinc/00/02/34/991000234.db2.gz IUJJGJQDIFETNE-UHFFFAOYSA-N 0 3 247.745 2.784 20 0 BFADHN CCn1cnc(Cl)c1CNC[C@@H]1C=CCC1 ZINC001308503477 991010624 /nfs/dbraw/zinc/01/06/24/991010624.db2.gz NHQXMSSHTDCZDX-SNVBAGLBSA-N 0 3 239.750 2.612 20 0 BFADHN CC(C)N(Cc1cccnc1F)C(C)C ZINC001138786076 991045037 /nfs/dbraw/zinc/04/50/37/991045037.db2.gz ZYUAFVNDFHFUDO-UHFFFAOYSA-N 0 3 210.296 2.840 20 0 BFADHN CCCN(Cc1cccnc1F)CC1CC1 ZINC001138785931 991047819 /nfs/dbraw/zinc/04/78/19/991047819.db2.gz XXORRWLWXCCHEA-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN Fc1ncccc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001138787081 991052470 /nfs/dbraw/zinc/05/24/70/991052470.db2.gz TUSHXVNMLGRBSK-VXGBXAGGSA-N 0 3 234.318 2.843 20 0 BFADHN CC1CC(N(C)Cc2cccc3ccnn32)C1 ZINC001138804730 991063626 /nfs/dbraw/zinc/06/36/26/991063626.db2.gz UIZHFJHTRMMHGE-UHFFFAOYSA-N 0 3 229.327 2.565 20 0 BFADHN CCN([C@@H](C)C(=O)OC)[C@H]1C[C@H](C)C[C@H](C)C1 ZINC001258171353 991067792 /nfs/dbraw/zinc/06/77/92/991067792.db2.gz WLZLKWJMPPQXKI-QNWHQSFQSA-N 0 3 241.375 2.695 20 0 BFADHN CN(Cc1cccc2ccnn21)C[C@@H]1CC1(C)C ZINC001138808294 991069261 /nfs/dbraw/zinc/06/92/61/991069261.db2.gz QAKWFNGCFYTJRT-LBPRGKRZSA-N 0 3 243.354 2.812 20 0 BFADHN C[C@@H]1CN(Cc2ccc3occc3c2)CCN1C ZINC001138824190 991076116 /nfs/dbraw/zinc/07/61/16/991076116.db2.gz CSZNTCOUODLMDB-GFCCVEGCSA-N 0 3 244.338 2.569 20 0 BFADHN CN(C)C1(C)CN(Cc2ccc3occc3c2)C1 ZINC001138825310 991076294 /nfs/dbraw/zinc/07/62/94/991076294.db2.gz UFMMVMTYJSUZGI-UHFFFAOYSA-N 0 3 244.338 2.569 20 0 BFADHN C[C@H](Cc1ccco1)NCOc1ccccc1 ZINC001258179760 991079484 /nfs/dbraw/zinc/07/94/84/991079484.db2.gz UOFVSICEOCRSPR-GFCCVEGCSA-N 0 3 231.295 2.837 20 0 BFADHN CC(C)n1cnc(CN[C@@H](C)Cc2ccco2)c1 ZINC001258182698 991082980 /nfs/dbraw/zinc/08/29/80/991082980.db2.gz VCMJJFDWTLKPOF-LBPRGKRZSA-N 0 3 247.342 2.778 20 0 BFADHN C[C@H](Cc1ccco1)N1C[C@@H](C)[C@@H](F)C1 ZINC001258186427 991095156 /nfs/dbraw/zinc/09/51/56/991095156.db2.gz NHZIAIPQYWGWLD-FOGDFJRCSA-N 0 3 211.280 2.500 20 0 BFADHN CC(C)[C@@H]1COCCN1[C@H](C)Cc1ccco1 ZINC001258194166 991104397 /nfs/dbraw/zinc/10/43/97/991104397.db2.gz BYSKQZFSDOKNFH-OCCSQVGLSA-N 0 3 237.343 2.567 20 0 BFADHN C[C@@H](Cc1ccco1)N1CCC[C@@H]1CF ZINC001258197835 991111124 /nfs/dbraw/zinc/11/11/24/991111124.db2.gz SJXFGGAUYAFFKT-WDEREUQCSA-N 0 3 211.280 2.645 20 0 BFADHN Cc1cn(C)nc1CN1C[C@H](C(C)C)[C@@H]1C(C)C ZINC001308763843 991120324 /nfs/dbraw/zinc/12/03/24/991120324.db2.gz ZQPGWFURXZMIJF-HIFRSBDPSA-N 0 3 249.402 2.841 20 0 BFADHN CC(=O)[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001139064146 991136045 /nfs/dbraw/zinc/13/60/45/991136045.db2.gz QWXXRCMAHIUQLU-CYBMUJFWSA-N 0 3 243.306 2.844 20 0 BFADHN CSCC[C@H](C)N1CCc2occc2C1 ZINC001258226092 991163028 /nfs/dbraw/zinc/16/30/28/991163028.db2.gz KJWQRSOEWGMSSD-JTQLQIEISA-N 0 3 225.357 2.779 20 0 BFADHN COc1cc(O)ccc1CN1C2CCC1CC2 ZINC001139142565 991164244 /nfs/dbraw/zinc/16/42/44/991164244.db2.gz QWTZFXIKPKJIOY-UHFFFAOYSA-N 0 3 233.311 2.528 20 0 BFADHN NCc1ccn(C2CCCCCCC2)n1 ZINC001258233685 991175613 /nfs/dbraw/zinc/17/56/13/991175613.db2.gz SZRYKPMWVKSTHV-UHFFFAOYSA-N 0 3 207.321 2.627 20 0 BFADHN COCCN(CCF)CCCCC(C)C ZINC001308854913 991179966 /nfs/dbraw/zinc/17/99/66/991179966.db2.gz VFXYDZRAFVCFJP-UHFFFAOYSA-N 0 3 219.344 2.731 20 0 BFADHN C1CC2(COC2)N1C1CCCCCCC1 ZINC001258241000 991190128 /nfs/dbraw/zinc/19/01/28/991190128.db2.gz WDNAOSYRFFLCKP-UHFFFAOYSA-N 0 3 209.333 2.574 20 0 BFADHN Cn1ncc2c1CCN(C1CCCCCCC1)C2 ZINC001258244131 991190571 /nfs/dbraw/zinc/19/05/71/991190571.db2.gz PJGWRRCJTWXTME-UHFFFAOYSA-N 0 3 247.386 2.891 20 0 BFADHN CC1(C)CCN(Cc2ccc3nsnc3c2)C1 ZINC001139212049 991193499 /nfs/dbraw/zinc/19/34/99/991193499.db2.gz AGPYSPVZSYZWEI-UHFFFAOYSA-N 0 3 247.367 2.923 20 0 BFADHN CC1(C)CCC[C@@H](NCC(=O)c2cccnc2)C1 ZINC001258278302 991202397 /nfs/dbraw/zinc/20/23/97/991202397.db2.gz VPZFNCKWGPGBDT-CYBMUJFWSA-N 0 3 246.354 2.823 20 0 BFADHN CC1(C)CCC[C@@H](Nc2ccc(CN)nc2)C1 ZINC001258280432 991210951 /nfs/dbraw/zinc/21/09/51/991210951.db2.gz UXECZWLHWOAPDI-LLVKDONJSA-N 0 3 233.359 2.921 20 0 BFADHN CCOC1CN([C@H]2CCCC(C)(C)C2)C1 ZINC001258285878 991218763 /nfs/dbraw/zinc/21/87/63/991218763.db2.gz OGCQZWVKGVXPJK-NSHDSACASA-N 0 3 211.349 2.676 20 0 BFADHN Cc1noc(CN2CCCCC[C@H]2C(C)C)n1 ZINC001559688886 991221265 /nfs/dbraw/zinc/22/12/65/991221265.db2.gz FHYKRPXASPFXMP-LBPRGKRZSA-N 0 3 237.347 2.779 20 0 BFADHN Oc1ccc2cc(CN3CCC3)ccc2c1 ZINC001139337136 991223318 /nfs/dbraw/zinc/22/33/18/991223318.db2.gz KGFXPSISLRAIQJ-UHFFFAOYSA-N 0 3 213.280 2.751 20 0 BFADHN CCN(C#N)CCN[C@@H](C)c1cc(C)ccc1C ZINC001559720311 991230437 /nfs/dbraw/zinc/23/04/37/991230437.db2.gz JFNHLGAICKJVNA-AWEZNQCLSA-N 0 3 245.370 2.757 20 0 BFADHN CSC(C)(C)C[C@H](C)n1nc(CN)cc1C ZINC001258315858 991239348 /nfs/dbraw/zinc/23/93/48/991239348.db2.gz POZBPCFUNPVQKM-JTQLQIEISA-N 0 3 241.404 2.743 20 0 BFADHN c1cn2c(n1)[C@@H](NCCCc1ccsc1)CC2 ZINC001308984947 991251439 /nfs/dbraw/zinc/25/14/39/991251439.db2.gz SZWUHNPHKBBTAQ-LBPRGKRZSA-N 0 3 247.367 2.612 20 0 BFADHN CSC(C)(C)C[C@@H](C)N1CCC(=O)[C@@H](C)C1 ZINC001258334370 991258703 /nfs/dbraw/zinc/25/87/03/991258703.db2.gz FLOYUGUZUHUSOC-WDEREUQCSA-N 0 3 243.416 2.818 20 0 BFADHN CCN([C@@H](C)CC(C)(C)SC)C1COC1 ZINC001258341772 991265879 /nfs/dbraw/zinc/26/58/79/991265879.db2.gz NPKFSPAUTIBJAT-JTQLQIEISA-N 0 3 231.405 2.627 20 0 BFADHN CC(C)C1(O)CN(CC[C@H]2CCC[C@@H](C)C2)C1 ZINC001559806453 991266102 /nfs/dbraw/zinc/26/61/02/991266102.db2.gz WNYDVRLBWQAVOP-ZIAGYGMSSA-N 0 3 239.403 2.906 20 0 BFADHN CCCN(CCOC)Cc1cccc(Cl)n1 ZINC001139546281 991268662 /nfs/dbraw/zinc/26/86/62/991268662.db2.gz VCMXQUAVLSRXSO-UHFFFAOYSA-N 0 3 242.750 2.593 20 0 BFADHN CC[C@H](C)[C@@H](C)N1CC[S@](=O)C(C)(C)CC1 ZINC001559838174 991272580 /nfs/dbraw/zinc/27/25/80/991272580.db2.gz FNTCLBHPQVULEH-OZVIIMIRSA-N 0 3 245.432 2.654 20 0 BFADHN COc1ccncc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001139606882 991285762 /nfs/dbraw/zinc/28/57/62/991285762.db2.gz QXDCTIANNBJJLD-OLZOCXBDSA-N 0 3 246.354 2.712 20 0 BFADHN CCOc1ccncc1CN1C[C@H](C)CC12CC2 ZINC001139632394 991299942 /nfs/dbraw/zinc/29/99/42/991299942.db2.gz QDOHMKPAIHPQBZ-GFCCVEGCSA-N 0 3 246.354 2.855 20 0 BFADHN CCOc1ccncc1CN(C)CC1CCC1 ZINC001139630458 991301274 /nfs/dbraw/zinc/30/12/74/991301274.db2.gz JCJIVBSVKLLGNG-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCOc1ccncc1CN1CCCCCC1 ZINC001139627400 991303025 /nfs/dbraw/zinc/30/30/25/991303025.db2.gz PVCFYJBLEMLMNY-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN Cc1nc2cc(C)ccn2c1CN(C)C1CC1 ZINC001139675487 991312594 /nfs/dbraw/zinc/31/25/94/991312594.db2.gz SSCMWZSQPMDYJX-UHFFFAOYSA-N 0 3 229.327 2.545 20 0 BFADHN COC(=O)CC[C@H](C)N1CC[C@H]1c1ccccc1 ZINC001258376078 991323328 /nfs/dbraw/zinc/32/33/28/991323328.db2.gz ZEZGVCGOPIIGCF-JSGCOSHPSA-N 0 3 247.338 2.775 20 0 BFADHN COC(=O)CC[C@@H](C)N1CC[C@@H]1c1ccccc1 ZINC001258376080 991328671 /nfs/dbraw/zinc/32/86/71/991328671.db2.gz ZEZGVCGOPIIGCF-TZMCWYRMSA-N 0 3 247.338 2.775 20 0 BFADHN CCCCC(CCCC)N1CC(=O)NC[C@H]1C ZINC001258387128 991347000 /nfs/dbraw/zinc/34/70/00/991347000.db2.gz DVMBJQGEWQPCCZ-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1cccc(CN2C[C@H]3CCCC[C@H]32)n1 ZINC001559971164 991349358 /nfs/dbraw/zinc/34/93/58/991349358.db2.gz BTPCRUKTQATHSP-TZMCWYRMSA-N 0 3 216.328 2.764 20 0 BFADHN CCCCC[C@H](CC)n1ccc(CN)n1 ZINC001258427895 991353224 /nfs/dbraw/zinc/35/32/24/991353224.db2.gz JICLWKZJFARRHQ-LBPRGKRZSA-N 0 3 209.337 2.873 20 0 BFADHN CCCCC[C@@H](CC)n1cncc1CN ZINC001258430053 991359103 /nfs/dbraw/zinc/35/91/03/991359103.db2.gz IATJBMZIURCASA-LLVKDONJSA-N 0 3 209.337 2.873 20 0 BFADHN CCCCC[C@H](CC)N1CCOC[C@H]1COC ZINC001258443342 991378558 /nfs/dbraw/zinc/37/85/58/991378558.db2.gz LNNRWJAZXKGVHC-UONOGXRCSA-N 0 3 243.391 2.693 20 0 BFADHN C[C@@H]1CCN(Cc2ccnc(F)c2)[C@H](C)C1 ZINC001139833848 991388798 /nfs/dbraw/zinc/38/87/98/991388798.db2.gz SCAUETPYBKPYMQ-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CCN(Cc2ccnc(F)c2)[C@@H](C)C1 ZINC001139833851 991388962 /nfs/dbraw/zinc/38/89/62/991388962.db2.gz SCAUETPYBKPYMQ-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN CCCCC[C@H](CC)N1CC(N(C)C(C)=O)C1 ZINC001258446957 991389309 /nfs/dbraw/zinc/38/93/09/991389309.db2.gz WBZQQGCFNLFXGS-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1ccc(CN2CC[C@@](C)(CF)C2)nc1 ZINC001139839220 991393302 /nfs/dbraw/zinc/39/33/02/991393302.db2.gz AODBASVIGWFOLH-ZDUSSCGKSA-N 0 3 222.307 2.572 20 0 BFADHN Fc1cc(CN(CC2CC2)C2CC2)ccn1 ZINC001139835898 991395253 /nfs/dbraw/zinc/39/52/53/991395253.db2.gz RWQQZUYFETVZEO-UHFFFAOYSA-N 0 3 220.291 2.595 20 0 BFADHN Cc1ccc(CN2CC[C@](C)(CF)C2)nc1 ZINC001139839219 991396400 /nfs/dbraw/zinc/39/64/00/991396400.db2.gz AODBASVIGWFOLH-CYBMUJFWSA-N 0 3 222.307 2.572 20 0 BFADHN C[C@@H](CC1CC1)NCC(=O)c1cccc(F)c1 ZINC001258452856 991404556 /nfs/dbraw/zinc/40/45/56/991404556.db2.gz BOGIXUFITOORJQ-JTQLQIEISA-N 0 3 235.302 2.787 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cc(C)n[nH]2)C1 ZINC001329077206 991405448 /nfs/dbraw/zinc/40/54/48/991405448.db2.gz AEZALFVRRICKBA-SCOBNMCVSA-N 0 3 233.359 2.896 20 0 BFADHN CCCc1cc(CN)nn1[C@H](C)CC1CC1 ZINC001258454389 991407074 /nfs/dbraw/zinc/40/70/74/991407074.db2.gz HULZLNSJYDSWRL-SNVBAGLBSA-N 0 3 221.348 2.655 20 0 BFADHN C[C@@H](CC1CC1)N[C@@H]1CCc2ccccc2C1=O ZINC001258457218 991408950 /nfs/dbraw/zinc/40/89/50/991408950.db2.gz UGBDVWWZTZXLGF-XHDPSFHLSA-N 0 3 243.350 2.962 20 0 BFADHN C[C@@H]1CCN1Cc1cncc2ccccc21 ZINC001139893580 991409305 /nfs/dbraw/zinc/40/93/05/991409305.db2.gz FIQQFCALJSZJGR-LLVKDONJSA-N 0 3 212.296 2.829 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](F)CC2)cn1 ZINC001139928808 991419966 /nfs/dbraw/zinc/41/99/66/991419966.db2.gz CXZIRVPDPKXXEM-CYBMUJFWSA-N 0 3 222.307 2.714 20 0 BFADHN Cc1cccc(CNC2([C@H]3CCCO3)CCC2)n1 ZINC001560227032 991426375 /nfs/dbraw/zinc/42/63/75/991426375.db2.gz GNMYEJSFNGRPQI-CQSZACIVSA-N 0 3 246.354 2.581 20 0 BFADHN C[C@H](CC1CC1)N1CCc2ccncc2C1 ZINC001258468213 991429831 /nfs/dbraw/zinc/42/98/31/991429831.db2.gz UXGXOACWRSCGEJ-LLVKDONJSA-N 0 3 216.328 2.628 20 0 BFADHN COc1cc2c(cn1)CCN([C@H](C)CC1CC1)C2 ZINC001258471675 991442719 /nfs/dbraw/zinc/44/27/19/991442719.db2.gz DCVCFVISYHQYGK-LLVKDONJSA-N 0 3 246.354 2.637 20 0 BFADHN CCOC(=O)CC[C@H](C)N[C@@H](C)c1ccoc1 ZINC001258481965 991455119 /nfs/dbraw/zinc/45/51/19/991455119.db2.gz OQUWFBPPCIQFCY-QWRGUYRKSA-N 0 3 239.315 2.662 20 0 BFADHN CC(C)NC(C)(C)c1cn(-c2ccccc2)nn1 ZINC001560374391 991464974 /nfs/dbraw/zinc/46/49/74/991464974.db2.gz AMZQTNJHCOANLJ-UHFFFAOYSA-N 0 3 244.342 2.500 20 0 BFADHN Cc1coc(CN[C@@H](CO)CC2CCCC2)c1 ZINC001560453692 991480294 /nfs/dbraw/zinc/48/02/94/991480294.db2.gz VHCQZQVHSRRELG-CYBMUJFWSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1ccc(CN)nc1N(C)[C@H]1CCC(C)(C)C1 ZINC001258592457 991484845 /nfs/dbraw/zinc/48/48/45/991484845.db2.gz YTJHRFBKPSSHFX-ZDUSSCGKSA-N 0 3 247.386 2.864 20 0 BFADHN CSc1nccc(CN(C)CC(C)(C)C)n1 ZINC001140038003 991494409 /nfs/dbraw/zinc/49/44/09/991494409.db2.gz KEFRJGJCWLTTOO-UHFFFAOYSA-N 0 3 239.388 2.676 20 0 BFADHN CSc1nccc(CN(CC2CC2)C2CC2)n1 ZINC001140039457 991494486 /nfs/dbraw/zinc/49/44/86/991494486.db2.gz YLHDRTOXSWAFOE-UHFFFAOYSA-N 0 3 249.383 2.573 20 0 BFADHN CCn1ccc(CN(C)C(C2CC2)C2CC2)n1 ZINC001560654606 991545956 /nfs/dbraw/zinc/54/59/56/991545956.db2.gz VCLCNYQEPDANOY-UHFFFAOYSA-N 0 3 233.359 2.523 20 0 BFADHN CCCn1cncc1CN(C)C[C@H](C)CC ZINC001560697015 991562422 /nfs/dbraw/zinc/56/24/22/991562422.db2.gz JSDVXEWKEYRZBS-GFCCVEGCSA-N 0 3 223.364 2.771 20 0 BFADHN C[C@@]1(F)CCCC[N@H+](Cc2ccncc2[O-])C1 ZINC001140273469 991576349 /nfs/dbraw/zinc/57/63/49/991576349.db2.gz LCXSQUTVCIWXBC-CYBMUJFWSA-N 0 3 238.306 2.501 20 0 BFADHN C[C@@]1(F)CCCC[N@@H+](Cc2ccncc2[O-])C1 ZINC001140273469 991576359 /nfs/dbraw/zinc/57/63/59/991576359.db2.gz LCXSQUTVCIWXBC-CYBMUJFWSA-N 0 3 238.306 2.501 20 0 BFADHN CC1(CCNCc2ncccc2F)CC1 ZINC001560805009 991605960 /nfs/dbraw/zinc/60/59/60/991605960.db2.gz UVTKWBCITHKDMD-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN C[C@@H]1C[C@@H](N2CC3(CCO3)C2)CC(C)(C)C1 ZINC001258700962 991634700 /nfs/dbraw/zinc/63/47/00/991634700.db2.gz PVHDSPNVXGNTHP-VXGBXAGGSA-N 0 3 223.360 2.676 20 0 BFADHN CO[C@H](C)C1CN(Cc2ccc3c(c2)CCC3)C1 ZINC001203035086 991638167 /nfs/dbraw/zinc/63/81/67/991638167.db2.gz RFZIBIGRBBYTJJ-GFCCVEGCSA-N 0 3 245.366 2.642 20 0 BFADHN CC(C)OC1CN(C[C@@H]2CC[C@H]3C[C@H]3C2)C1 ZINC001560994426 991681830 /nfs/dbraw/zinc/68/18/30/991681830.db2.gz GEESJQOLEGQSCH-FRRDWIJNSA-N 0 3 223.360 2.532 20 0 BFADHN CC(C)CCN1CCc2ccnc(N(C)C)c2C1 ZINC001561050360 991703971 /nfs/dbraw/zinc/70/39/71/991703971.db2.gz UWERYIMPKSYWEJ-UHFFFAOYSA-N 0 3 247.386 2.552 20 0 BFADHN CCCC[C@@H](CCC)N1CC(N2CC(F)C2)C1 ZINC001258760127 991711002 /nfs/dbraw/zinc/71/10/02/991711002.db2.gz JNROEYLSMMXZNT-CYBMUJFWSA-N 0 3 242.382 2.683 20 0 BFADHN CC[C@H](C[C@@H](C)CC)n1cncc1CN ZINC001258778285 991743712 /nfs/dbraw/zinc/74/37/12/991743712.db2.gz CCDUZEPZVOIKCS-WDEREUQCSA-N 0 3 209.337 2.729 20 0 BFADHN CC[C@@H](C)C[C@H](CC)n1cnc(CN)c1 ZINC001258779962 991750214 /nfs/dbraw/zinc/75/02/14/991750214.db2.gz ZFLCDOCPSCSEMM-PWSUYJOCSA-N 0 3 209.337 2.729 20 0 BFADHN CCOC1CN([C@H](CC)C[C@H](C)CC)C1 ZINC001258789353 991768368 /nfs/dbraw/zinc/76/83/68/991768368.db2.gz ZPPBWOQLODDTTQ-VXGBXAGGSA-N 0 3 213.365 2.922 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)N(CC)CC(=O)OC ZINC001258800758 991800166 /nfs/dbraw/zinc/80/01/66/991800166.db2.gz VGDXNWURWKQLOR-VXGBXAGGSA-N 0 3 229.364 2.696 20 0 BFADHN CC[C@@H](C)C[C@H](CC)N(C)[C@@H](C)C(=O)OC ZINC001258797534 991794153 /nfs/dbraw/zinc/79/41/53/991794153.db2.gz NVHCIHMMJVGRKV-WOPDTQHZSA-N 0 3 229.364 2.695 20 0 BFADHN CC(C)C1CCC(N2C[C@H]3C[C@@H](C2)O3)CC1 ZINC001258805513 991803370 /nfs/dbraw/zinc/80/33/70/991803370.db2.gz PKULUYMBFXWHSP-LLZFXZEUSA-N 0 3 223.360 2.674 20 0 BFADHN Cc1ccc(CN)nc1N(C)[C@H]1CCC[C@@H](C)C1 ZINC001258808407 991813718 /nfs/dbraw/zinc/81/37/18/991813718.db2.gz MTMZAUONFSDRPU-RISCZKNCSA-N 0 3 247.386 2.864 20 0 BFADHN Cc1ccc(CN)nc1N(C)[C@@H]1CCC[C@H](C)C1 ZINC001258808408 991815573 /nfs/dbraw/zinc/81/55/73/991815573.db2.gz MTMZAUONFSDRPU-SMDDNHRTSA-N 0 3 247.386 2.864 20 0 BFADHN CC[C@H](Cc1ccccc1)N(C1CC1)C1COC1 ZINC001258851473 991884302 /nfs/dbraw/zinc/88/43/02/991884302.db2.gz PWEFWEDPYOYUQN-CQSZACIVSA-N 0 3 245.366 2.871 20 0 BFADHN CC(C)OC(=O)CN(C(C)C)C1CCCC1 ZINC001319598043 991903068 /nfs/dbraw/zinc/90/30/68/991903068.db2.gz YJSDANCWJCWFDG-UHFFFAOYSA-N 0 3 227.348 2.591 20 0 BFADHN CCN(CCc1cccs1)Cc1cc(C)n[nH]1 ZINC001319933587 992032656 /nfs/dbraw/zinc/03/26/56/992032656.db2.gz LUDBEYTXZMZQIA-UHFFFAOYSA-N 0 3 249.383 2.844 20 0 BFADHN Fc1ccc(CCN2CC[C@H]3C[C@H]32)cc1F ZINC001320289048 992156173 /nfs/dbraw/zinc/15/61/73/992156173.db2.gz XZGYZYLPMYLXLK-GXFFZTMASA-N 0 3 223.266 2.602 20 0 BFADHN Cc1cc(CN[C@H](CC(F)(F)F)C(C)C)[nH]n1 ZINC001320554595 992241838 /nfs/dbraw/zinc/24/18/38/992241838.db2.gz VVGQABZAAICUEG-SNVBAGLBSA-N 0 3 249.280 2.785 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@H]3CCCC[C@H]3C2)n[nH]1 ZINC001261359464 992270078 /nfs/dbraw/zinc/27/00/78/992270078.db2.gz FUIVDVAUKBKBEI-UHTWSYAYSA-N 0 3 248.374 2.686 20 0 BFADHN Fc1ccc([C@@H]2CCN2CC[C@@H]2CCOC2)cc1 ZINC001261640304 992437042 /nfs/dbraw/zinc/43/70/42/992437042.db2.gz CAFGSKKRYPYWMH-DOMZBBRYSA-N 0 3 249.329 2.999 20 0 BFADHN CC(C)(C)[C@@H](N)C(=O)N(c1ccccc1)C1CC1 ZINC001573408528 992612741 /nfs/dbraw/zinc/61/27/41/992612741.db2.gz ZWLILRHJFRKJRX-ZDUSSCGKSA-N 0 3 246.354 2.555 20 0 BFADHN C[C@H]([NH2+]C1(C)CC1)c1cc([O-])cc(F)c1 ZINC001262201697 992794495 /nfs/dbraw/zinc/79/44/95/992794495.db2.gz CSROJXKNMKXUKL-QMMMGPOBSA-N 0 3 209.264 2.734 20 0 BFADHN C[C@@H]1Cc2cc(CN3CC=CCC3)ccc2O1 ZINC001262365133 992860278 /nfs/dbraw/zinc/86/02/78/992860278.db2.gz SQBOLJLVGCGCAY-GFCCVEGCSA-N 0 3 229.323 2.772 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N1[C@H](C)CCC1(C)C ZINC001263180707 993151281 /nfs/dbraw/zinc/15/12/81/993151281.db2.gz SJPARFYNCZVEIE-QJPTWQEYSA-N 0 3 240.391 2.539 20 0 BFADHN COC[C@H](C)NC(C)(C)c1ccccc1F ZINC000381764036 993424741 /nfs/dbraw/zinc/42/47/41/993424741.db2.gz URVRZHLVUCPESH-JTQLQIEISA-N 0 3 225.307 2.685 20 0 BFADHN CC[C@@H](C)[C@@H](C(=O)OC)N1CCC(CC)CC1 ZINC001307459601 995367897 /nfs/dbraw/zinc/36/78/97/995367897.db2.gz XCJFIGCBUNVVIT-YPMHNXCESA-N 0 3 241.375 2.696 20 0 BFADHN COc1ccc2c(c1)CN(CCC(C)C)CCO2 ZINC001307775035 995458156 /nfs/dbraw/zinc/45/81/56/995458156.db2.gz HWWBVCZFHHPTKH-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN CC[C@@H]1C[C@H]1CN1C[C@H](C)O[C@](C)(C(F)F)C1 ZINC001307947502 995507825 /nfs/dbraw/zinc/50/78/25/995507825.db2.gz UAXPYACMEAVZNA-NOHGZBONSA-N 0 3 247.329 2.777 20 0 BFADHN CCc1ccc(CNCC(C)(C)C[C@@H](C)O)o1 ZINC000268442647 398138024 /nfs/dbraw/zinc/13/80/24/398138024.db2.gz SHMMRFZYFOMGCA-LLVKDONJSA-N 0 3 239.359 2.729 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H]2CC[C@@H](CO)C2)o1 ZINC000296435462 398138390 /nfs/dbraw/zinc/13/83/90/398138390.db2.gz MBXHPDLPJSJHQX-UTUOFQBUSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@H]2C)cs1 ZINC000070827218 398139353 /nfs/dbraw/zinc/13/93/53/398139353.db2.gz PYLQPNFLWFPPQO-LDYMZIIASA-N 0 3 210.346 2.730 20 0 BFADHN CCn1nccc1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000245506804 398139757 /nfs/dbraw/zinc/13/97/57/398139757.db2.gz OQVXVLKNZLYGTK-OLZOCXBDSA-N 0 3 235.375 2.914 20 0 BFADHN CC[C@H](C)CNCc1cc(C(F)(F)F)n[nH]1 ZINC000421372964 192321263 /nfs/dbraw/zinc/32/12/63/192321263.db2.gz GIMOQZKFFKIDEJ-ZETCQYMHSA-N 0 3 235.253 2.564 20 0 BFADHN CCC(CC)(CC)NCc1nccnc1OC ZINC000295766019 168317100 /nfs/dbraw/zinc/31/71/00/168317100.db2.gz QNXBBNVOQQNDOF-UHFFFAOYSA-N 0 3 237.347 2.544 20 0 BFADHN CCC(CC)(CC)NCc1nccc(C)n1 ZINC000336730424 168317128 /nfs/dbraw/zinc/31/71/28/168317128.db2.gz IHNQJYYBMYYTLP-UHFFFAOYSA-N 0 3 221.348 2.843 20 0 BFADHN C[C@H](NCC1(CO)CCCCC1)c1cccnc1 ZINC000161102737 538913953 /nfs/dbraw/zinc/91/39/53/538913953.db2.gz ZBSDNGDGPZTHFV-ZDUSSCGKSA-N 0 3 248.370 2.675 20 0 BFADHN CCCC[C@@H](C)N[C@@H](CCO)c1ccco1 ZINC000186531146 349455776 /nfs/dbraw/zinc/45/57/76/349455776.db2.gz PWCFHMHLBSJHRA-NEPJUHHUSA-N 0 3 225.332 2.871 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)O[C@@H]1C)c1ccsc1 ZINC000385233227 398141642 /nfs/dbraw/zinc/14/16/42/398141642.db2.gz UOEVIHZIWRLTDK-KLBPJQLPSA-N 0 3 225.357 2.965 20 0 BFADHN CCC(CC)(CCO)CNCc1occc1C ZINC000266593459 168319439 /nfs/dbraw/zinc/31/94/39/168319439.db2.gz AOSGLGBHRUWZOF-UHFFFAOYSA-N 0 3 239.359 2.866 20 0 BFADHN CN(C)CCN(C)Cc1cccc(C2CC2)c1 ZINC000421356268 192321993 /nfs/dbraw/zinc/32/19/93/192321993.db2.gz KUIUVCPCNIJZEL-UHFFFAOYSA-N 0 3 232.371 2.557 20 0 BFADHN COc1ccccc1OCCN1CCCCCC1 ZINC000051093690 398142401 /nfs/dbraw/zinc/14/24/01/398142401.db2.gz SPQCJDNUTGJVFN-UHFFFAOYSA-N 0 3 249.354 2.950 20 0 BFADHN CCC(CC)(CCO)NCc1ccc(Cl)o1 ZINC000336746481 168322037 /nfs/dbraw/zinc/32/20/37/168322037.db2.gz WLCXTDQETKZEOE-UHFFFAOYSA-N 0 3 245.750 2.964 20 0 BFADHN CCC(CC)(CNCc1cncc(F)c1)OC ZINC000310539342 168325596 /nfs/dbraw/zinc/32/55/96/168325596.db2.gz QEYQDDYMFJJHDM-UHFFFAOYSA-N 0 3 240.322 2.516 20 0 BFADHN C[C@@]1(NCc2cccc(C3CC3)c2)CCOC1 ZINC000161656033 349488923 /nfs/dbraw/zinc/48/89/23/349488923.db2.gz PCRFVGARYJMPTJ-OAHLLOKOSA-N 0 3 231.339 2.833 20 0 BFADHN Cn1cccc1CN1CC2(C1)CCCCC2 ZINC000297491469 398145910 /nfs/dbraw/zinc/14/59/10/398145910.db2.gz UAANACFKUXTLSJ-UHFFFAOYSA-N 0 3 218.344 2.791 20 0 BFADHN CCC(CC)(CO)CN[C@H](C)c1cncs1 ZINC000131394505 168328079 /nfs/dbraw/zinc/32/80/79/168328079.db2.gz NEIQURKBHCUHMP-SNVBAGLBSA-N 0 3 242.388 2.592 20 0 BFADHN CCc1ccc([C@@H](C)NCc2ccnn2C)s1 ZINC000071333640 398146998 /nfs/dbraw/zinc/14/69/98/398146998.db2.gz XYMCRDAPSDGKAQ-SNVBAGLBSA-N 0 3 249.383 2.895 20 0 BFADHN OC1(CCCNCc2ccc(Cl)o2)CCC1 ZINC000421373007 192322307 /nfs/dbraw/zinc/32/23/07/192322307.db2.gz LVDSDDCIFVWQNK-UHFFFAOYSA-N 0 3 243.734 2.718 20 0 BFADHN Cc1nnsc1CNC1CCC(F)(F)CC1 ZINC000389905052 398148594 /nfs/dbraw/zinc/14/85/94/398148594.db2.gz AAONGYWLLVWBAT-UHFFFAOYSA-N 0 3 247.314 2.514 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)CCO)c(C)s1 ZINC000649867865 398151204 /nfs/dbraw/zinc/15/12/04/398151204.db2.gz ULYXTIDYGGLFMU-VIFPVBQESA-N 0 3 227.373 2.568 20 0 BFADHN Cc1cc(CN2C[C@@H](O)C(C)(C)C2)c(C)s1 ZINC000279878256 178006024 /nfs/dbraw/zinc/00/60/24/178006024.db2.gz UGZSJUNELIUTMU-GFCCVEGCSA-N 0 3 239.384 2.568 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CC[C@H]2C)on1 ZINC000266543855 178006114 /nfs/dbraw/zinc/00/61/14/178006114.db2.gz IYUIKIMTEIFAPE-GXSJLCMTSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)on1 ZINC000336661155 178007342 /nfs/dbraw/zinc/00/73/42/178007342.db2.gz DYRSSXZMQJMTHS-CKYFFXLPSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1cc(CN2[C@H](C)CCC[C@@H]2C)on1 ZINC000066531709 178009383 /nfs/dbraw/zinc/00/93/83/178009383.db2.gz VAOMSKFBEBFPPD-PHIMTYICSA-N 0 3 208.305 2.746 20 0 BFADHN Cc1cc(CNC/C=C/c2ccccc2)n(C)n1 ZINC000284118845 178017332 /nfs/dbraw/zinc/01/73/32/178017332.db2.gz XLMFNEUQAQULQP-RMKNXTFCSA-N 0 3 241.338 2.532 20 0 BFADHN Cc1cc(CNC2CCC(C(F)F)CC2)on1 ZINC000281870640 178019148 /nfs/dbraw/zinc/01/91/48/178019148.db2.gz FGRHUUXWTFMUFG-UHFFFAOYSA-N 0 3 244.285 2.897 20 0 BFADHN C[C@H](CCO)N(C)Cc1ccc(F)c(Cl)c1 ZINC000649870484 398158092 /nfs/dbraw/zinc/15/80/92/398158092.db2.gz BDFPTRTXGMNVNV-SECBINFHSA-N 0 3 245.725 2.682 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC[C@H]2C)no1 ZINC000336739626 178025465 /nfs/dbraw/zinc/02/54/65/178025465.db2.gz LMPBWNXCNLIPTM-ZYHUDNBSSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1cc(CNCc2ccn(C)c2)ccc1F ZINC000267107346 178026250 /nfs/dbraw/zinc/02/62/50/178026250.db2.gz QLWRBSPAMOYHLP-UHFFFAOYSA-N 0 3 232.302 2.762 20 0 BFADHN Cc1cc(CNCc2ccn(C)c2)cc(C)c1O ZINC000270638968 178026480 /nfs/dbraw/zinc/02/64/80/178026480.db2.gz OACNIQNIUFJDGO-UHFFFAOYSA-N 0 3 244.338 2.637 20 0 BFADHN Cc1cc(CN[C@@H](C)CC(C)(C)C)on1 ZINC000294988968 178028170 /nfs/dbraw/zinc/02/81/70/178028170.db2.gz GYSOSRDJKVADOW-JTQLQIEISA-N 0 3 210.321 2.897 20 0 BFADHN Cc1cc(CN[C@@H]2CC3CCC2CC3)no1 ZINC000309818044 178033668 /nfs/dbraw/zinc/03/36/68/178033668.db2.gz CNQXSYBZCAYSPD-GCZXYKMCSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](C)C2)on1 ZINC000044985450 178033681 /nfs/dbraw/zinc/03/36/81/178033681.db2.gz PQKNJOIOFGCRDC-GXSJLCMTSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@H](C)CCC(C)C)on1 ZINC000044300503 178036423 /nfs/dbraw/zinc/03/64/23/178036423.db2.gz LBGNPWOWXQWEFO-SNVBAGLBSA-N 0 3 210.321 2.897 20 0 BFADHN CCOCCNc1ccnc2ccc(C)cc21 ZINC000302147262 398174262 /nfs/dbraw/zinc/17/42/62/398174262.db2.gz IOEPHAZOCWUAEX-UHFFFAOYSA-N 0 3 230.311 2.992 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccc(C)s2)n(C)n1 ZINC000089183195 178038755 /nfs/dbraw/zinc/03/87/55/178038755.db2.gz UZVQYQNTVLWWHM-LLVKDONJSA-N 0 3 249.383 2.949 20 0 BFADHN Cc1cc(CN[C@H]2CC(C)(C)OC2(C)C)ccn1 ZINC000336233915 178038886 /nfs/dbraw/zinc/03/88/86/178038886.db2.gz ZRZMFLNCPGEWRC-ZDUSSCGKSA-N 0 3 248.370 2.826 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1c(C)cc(C)cc1C ZINC000576218854 365526343 /nfs/dbraw/zinc/52/63/43/365526343.db2.gz MRJWKYZUPAWTBW-HUUCEWRRSA-N 0 3 233.355 2.879 20 0 BFADHN Cc1cc(CN[C@H]2CCCc3cn[nH]c32)cs1 ZINC000294952697 178040414 /nfs/dbraw/zinc/04/04/14/178040414.db2.gz CFQAHCKPEQXMOF-LBPRGKRZSA-N 0 3 247.367 2.947 20 0 BFADHN Cc1ccc(CN(C)CC[C@@H]2CCCCO2)nc1 ZINC000649902972 398175816 /nfs/dbraw/zinc/17/58/16/398175816.db2.gz LZKFLNPWADIMNG-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN C[C@H](N[C@@H]1CSC1(C)C)c1cccnc1 ZINC000283357646 398183526 /nfs/dbraw/zinc/18/35/26/398183526.db2.gz MWNNEBLSPHCSLU-GXSJLCMTSA-N 0 3 222.357 2.626 20 0 BFADHN CC1(CNCc2ccncc2F)CCC1 ZINC000449178566 398179570 /nfs/dbraw/zinc/17/95/70/398179570.db2.gz SXWFASZBYIUEOS-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN Cc1cccnc1CN1CC[C@]2(CC2(F)F)C1 ZINC000649907738 398180654 /nfs/dbraw/zinc/18/06/54/398180654.db2.gz YXTODEQXYVYLJT-LBPRGKRZSA-N 0 3 238.281 2.621 20 0 BFADHN Cc1ncccc1CN1CC[C@@]2(CC2(F)F)C1 ZINC000649908270 398183986 /nfs/dbraw/zinc/18/39/86/398183986.db2.gz LBVVUSGNRJYEMK-GFCCVEGCSA-N 0 3 238.281 2.621 20 0 BFADHN CCC[C@H](C)NCc1cccc2c1OCCO2 ZINC000036167576 398160107 /nfs/dbraw/zinc/16/01/07/398160107.db2.gz VLNKWKRTRSCAGL-NSHDSACASA-N 0 3 235.327 2.736 20 0 BFADHN Cc1nnsc1CNCCC1=CCCCC1 ZINC000390202778 398163069 /nfs/dbraw/zinc/16/30/69/398163069.db2.gz RFRKGINHOYGIPR-UHFFFAOYSA-N 0 3 237.372 2.827 20 0 BFADHN Cc1cc(Cl)ccc1CN(C)CCN(C)C ZINC000348922350 178065852 /nfs/dbraw/zinc/06/58/52/178065852.db2.gz QEMMYERCXRVFRP-UHFFFAOYSA-N 0 3 240.778 2.642 20 0 BFADHN CCC1(NCc2nn(C)c3ccccc23)CC1 ZINC000379740087 398164063 /nfs/dbraw/zinc/16/40/63/398164063.db2.gz HKDZTWKBMZIZLG-UHFFFAOYSA-N 0 3 229.327 2.606 20 0 BFADHN Cc1cc(Cl)nc(CNCC2CC2)c1 ZINC000282122823 178071250 /nfs/dbraw/zinc/07/12/50/178071250.db2.gz UVMKGJBSKPIQEG-UHFFFAOYSA-N 0 3 210.708 2.543 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2cn3ccccc3n2)C1 ZINC000533345728 398186455 /nfs/dbraw/zinc/18/64/55/398186455.db2.gz BONSBHGOOHXNSU-CYBMUJFWSA-N 0 3 243.354 2.812 20 0 BFADHN Cc1cc(F)cc2c1CN(CCCF)CC2 ZINC000337430255 178080108 /nfs/dbraw/zinc/08/01/08/178080108.db2.gz AZMMYOOMNJHAIW-UHFFFAOYSA-N 0 3 225.282 2.852 20 0 BFADHN CCCCN(CCO)Cc1ccc(F)c(F)c1 ZINC000072950736 398190668 /nfs/dbraw/zinc/19/06/68/398190668.db2.gz GCCQUEFEQFCWOB-UHFFFAOYSA-N 0 3 243.297 2.559 20 0 BFADHN Cn1nccc1CNC(C)(C)c1cccs1 ZINC000336687502 398190961 /nfs/dbraw/zinc/19/09/61/398190961.db2.gz JKMVBXPNAQVYHD-UHFFFAOYSA-N 0 3 235.356 2.507 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2cc(C)ccn2)C1(C)C ZINC000649970681 398200192 /nfs/dbraw/zinc/20/01/92/398200192.db2.gz DHAFXOUAPIILJX-ZFWWWQNUSA-N 0 3 248.370 2.683 20 0 BFADHN C[C@H](CN(C)Cc1cncnc1)c1ccccc1 ZINC000271174106 538923754 /nfs/dbraw/zinc/92/37/54/538923754.db2.gz VZXPRPYJWUVGDZ-CYBMUJFWSA-N 0 3 241.338 2.712 20 0 BFADHN c1nc(CCN2CCC3(CC3)CC2)cs1 ZINC000649400129 398202271 /nfs/dbraw/zinc/20/22/71/398202271.db2.gz VUPWSRINYMXOQZ-UHFFFAOYSA-N 0 3 222.357 2.562 20 0 BFADHN CC(C)[C@H](CO)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000092393309 398204995 /nfs/dbraw/zinc/20/49/95/398204995.db2.gz NISHBEMDEYZKQZ-UHTWSYAYSA-N 0 3 237.343 2.510 20 0 BFADHN C[C@H](CCCC(F)(F)F)NCc1cncnc1 ZINC000494843893 538927080 /nfs/dbraw/zinc/92/70/80/538927080.db2.gz CEPYQDYIRUJBGK-SECBINFHSA-N 0 3 247.264 2.687 20 0 BFADHN CO[C@H](Cc1ccccc1)CN1CC=CCC1 ZINC000649417300 398217808 /nfs/dbraw/zinc/21/78/08/398217808.db2.gz WKKKJEWOVLKZLJ-OAHLLOKOSA-N 0 3 231.339 2.506 20 0 BFADHN CCO[C@@H]1CCN([C@H](C)c2ccncc2)C[C@@H]1C ZINC000649990047 398210194 /nfs/dbraw/zinc/21/01/94/398210194.db2.gz NLLQYJXWONMWBQ-GZBFAFLISA-N 0 3 248.370 2.890 20 0 BFADHN Cc1cc(NC[C@@H](C)CCO)c2ccccc2n1 ZINC000097443461 178165734 /nfs/dbraw/zinc/16/57/34/178165734.db2.gz BQYHKUYEJRGBPA-NSHDSACASA-N 0 3 244.338 2.974 20 0 BFADHN Cc1cc(NCC2(O)CCC2)c2ccccc2n1 ZINC000074749337 178156004 /nfs/dbraw/zinc/15/60/04/178156004.db2.gz UKAQLCRTERCACQ-UHFFFAOYSA-N 0 3 242.322 2.870 20 0 BFADHN Cc1cc(NCCN(C)C)c2cccc(F)c2n1 ZINC000271406141 178160028 /nfs/dbraw/zinc/16/00/28/178160028.db2.gz WPCMEFYRBOOOGW-UHFFFAOYSA-N 0 3 247.317 2.656 20 0 BFADHN Cc1cc(NCCCCO)c2ccccc2n1 ZINC000235875476 178160333 /nfs/dbraw/zinc/16/03/33/178160333.db2.gz UEYGIOJTPYJFRR-UHFFFAOYSA-N 0 3 230.311 2.728 20 0 BFADHN C[C@H](NCc1cscn1)C1CCCC1 ZINC000082625939 136221749 /nfs/dbraw/zinc/22/17/49/136221749.db2.gz LMDHSZOOLXIKHK-VIFPVBQESA-N 0 3 210.346 2.811 20 0 BFADHN CCC(CC)CN(C)[C@H](C)C(=O)NC(C)(C)C ZINC000362528865 168347547 /nfs/dbraw/zinc/34/75/47/168347547.db2.gz OSLMNZPWDWUBMJ-LLVKDONJSA-N 0 3 242.407 2.658 20 0 BFADHN CC(C)(F)CN[C@H]1CCN(c2ccccc2)C1 ZINC000631189881 398221909 /nfs/dbraw/zinc/22/19/09/398221909.db2.gz UQUSVPRSCNCOQO-LBPRGKRZSA-N 0 3 236.334 2.603 20 0 BFADHN Cc1ccc(CN(C)Cc2ncccc2[O-])cc1 ZINC000576433184 365594218 /nfs/dbraw/zinc/59/42/18/365594218.db2.gz YVJNOUWKWCNKEY-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN Cc1ccc(C[N@@H+](C)Cc2ncccc2[O-])cc1 ZINC000576433184 365594223 /nfs/dbraw/zinc/59/42/23/365594223.db2.gz YVJNOUWKWCNKEY-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN Cc1ccc(C[N@H+](C)Cc2ncccc2[O-])cc1 ZINC000576433184 365594229 /nfs/dbraw/zinc/59/42/29/365594229.db2.gz YVJNOUWKWCNKEY-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN c1csc(CCCN[C@H]2CCn3ccnc32)c1 ZINC000576436432 365595834 /nfs/dbraw/zinc/59/58/34/365595834.db2.gz KTTBHEILNVTYFB-LBPRGKRZSA-N 0 3 247.367 2.612 20 0 BFADHN C[C@H]1C[C@@H](O)CN(Cc2cccc(C3CC3)c2)C1 ZINC000421382731 192325266 /nfs/dbraw/zinc/32/52/66/192325266.db2.gz MVGKVPANLIGOGO-BLLLJJGKSA-N 0 3 245.366 2.767 20 0 BFADHN Cc1cc(CN[C@H](C)COC(C)C)cc(C)n1 ZINC000421387297 192326507 /nfs/dbraw/zinc/32/65/07/192326507.db2.gz SJLUCYVPNXDNNH-CYBMUJFWSA-N 0 3 236.359 2.602 20 0 BFADHN COc1ccc(CNCC=C(C)C)cc1OC ZINC000090192107 398228389 /nfs/dbraw/zinc/22/83/89/398228389.db2.gz GLNFJSJSQVHYSL-UHFFFAOYSA-N 0 3 235.327 2.760 20 0 BFADHN CC1(C)C[C@H](NCc2cccc(Cl)c2)CO1 ZINC000396925108 262827039 /nfs/dbraw/zinc/82/70/39/262827039.db2.gz LXZRIIWXXNSMCW-LBPRGKRZSA-N 0 3 239.746 2.997 20 0 BFADHN CC[C@@H](NCC(C)(C)CO)c1ccccc1F ZINC000164348046 538932282 /nfs/dbraw/zinc/93/22/82/538932282.db2.gz DRSWLZQKHRDPOP-CYBMUJFWSA-N 0 3 239.334 2.885 20 0 BFADHN Cc1noc(C)c1CCN(C)CCC(C)C ZINC000164896624 538934530 /nfs/dbraw/zinc/93/45/30/538934530.db2.gz IDOIAAMFSQSCPA-UHFFFAOYSA-N 0 3 224.348 2.812 20 0 BFADHN CO[C@H](C)[C@H](C)Nc1cccc(CN(C)C)c1 ZINC000165139947 538934854 /nfs/dbraw/zinc/93/48/54/538934854.db2.gz DYWSUDWUGUERRI-NWDGAFQWSA-N 0 3 236.359 2.584 20 0 BFADHN CO[C@H](C)[C@@H](C)Nc1cccc(CN(C)C)c1 ZINC000165140067 538934873 /nfs/dbraw/zinc/93/48/73/538934873.db2.gz DYWSUDWUGUERRI-VXGBXAGGSA-N 0 3 236.359 2.584 20 0 BFADHN Cc1cc([C@@H](C)NCC2CCCCC2)nn1C ZINC000337468376 178222178 /nfs/dbraw/zinc/22/21/78/178222178.db2.gz MPSZSNKPQUTQGW-GFCCVEGCSA-N 0 3 235.375 2.959 20 0 BFADHN Cc1cc([C@@H](C)NCCN2CCCC2)oc1C ZINC000337388101 178225122 /nfs/dbraw/zinc/22/51/22/178225122.db2.gz JCOKUCGUAFQCAO-GFCCVEGCSA-N 0 3 236.359 2.643 20 0 BFADHN Cc1cc([C@@H](C)NCCN2CC=CCC2)c(C)o1 ZINC000280850520 178225482 /nfs/dbraw/zinc/22/54/82/178225482.db2.gz BMVYAPSQFDOOIM-CYBMUJFWSA-N 0 3 248.370 2.809 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CCCO2)ccc1F ZINC000268723019 178226080 /nfs/dbraw/zinc/22/60/80/178226080.db2.gz PMPDMNDPVHHSQZ-YPMHNXCESA-N 0 3 237.318 2.964 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2CCCN2C)c(C)o1 ZINC000219258872 178226712 /nfs/dbraw/zinc/22/67/12/178226712.db2.gz QISWFNCXMUBZID-DGCLKSJQSA-N 0 3 236.359 2.641 20 0 BFADHN Cc1cc([C@@H](C)NC[C@](C)(O)C2CC2)oc1C ZINC000337512569 178229681 /nfs/dbraw/zinc/22/96/81/178229681.db2.gz JSJDLKAITSBSKD-YGRLFVJLSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@@H](C)NCc2cnc[nH]2)c(C)s1 ZINC000054764850 178229802 /nfs/dbraw/zinc/22/98/02/178229802.db2.gz MQTYXLYTHZRUHC-SECBINFHSA-N 0 3 235.356 2.939 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)Cn2ccnc2)oc1C ZINC000282155324 178230338 /nfs/dbraw/zinc/23/03/38/178230338.db2.gz LJIOSRMYAGYCIG-NWDGAFQWSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2COCC2(C)C)oc1C ZINC000335222797 178230433 /nfs/dbraw/zinc/23/04/33/178230433.db2.gz NXBHRTBHBZUDTB-ZWNOBZJWSA-N 0 3 237.343 2.972 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCCC[C@@H]2O)c(C)o1 ZINC000044730417 178230460 /nfs/dbraw/zinc/23/04/60/178230460.db2.gz VLLQRUWKUUHPEI-HONMWMINSA-N 0 3 237.343 2.851 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCN(C3CC3)C2)c(C)o1 ZINC000044685592 178230989 /nfs/dbraw/zinc/23/09/89/178230989.db2.gz DZCZYPFPWHXESS-DGCLKSJQSA-N 0 3 248.370 2.784 20 0 BFADHN C/C(=C\c1ccccc1)CN1C[C@H](O)CC[C@H]1C ZINC000421369319 192334623 /nfs/dbraw/zinc/33/46/23/192334623.db2.gz HGLSBSGQLODDRP-RNTKJRCOSA-N 0 3 245.366 2.935 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)Cn2cccn2)oc1C ZINC000337485958 178231048 /nfs/dbraw/zinc/23/10/48/178231048.db2.gz SMIIDLQJIJYXSW-NWDGAFQWSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCCOC2)c(C)o1 ZINC000071011893 178231862 /nfs/dbraw/zinc/23/18/62/178231862.db2.gz GQKZWSJFPGNKLR-ZYHUDNBSSA-N 0 3 223.316 2.726 20 0 BFADHN CC[C@@H](C)CNCc1cccc(O)c1OC ZINC000421370857 192334990 /nfs/dbraw/zinc/33/49/90/192334990.db2.gz DELAWIWCNKSTFH-SNVBAGLBSA-N 0 3 223.316 2.537 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](CO)C(C)C)c(C)o1 ZINC000086122426 178232202 /nfs/dbraw/zinc/23/22/02/178232202.db2.gz FAASINPJNRXCRY-ZWNOBZJWSA-N 0 3 225.332 2.564 20 0 BFADHN Cc1nocc1CN1CC[C@@H](C)C[C@@H](C)C1 ZINC000334290462 136225172 /nfs/dbraw/zinc/22/51/72/136225172.db2.gz KZNZRUPKIANGPD-GHMZBOCLSA-N 0 3 222.332 2.851 20 0 BFADHN CC[C@@H](C)N(C)Cc1cc(C(=O)OC)cs1 ZINC000602440220 349526573 /nfs/dbraw/zinc/52/65/73/349526573.db2.gz OQOYHNHKFPBYRI-SECBINFHSA-N 0 3 241.356 2.765 20 0 BFADHN CCOCCN[C@@H]1c2cc(F)ccc2C[C@H]1C ZINC000161969519 349526615 /nfs/dbraw/zinc/52/66/15/349526615.db2.gz NHPJOCMCUDULNQ-YGRLFVJLSA-N 0 3 237.318 2.685 20 0 BFADHN Cc1cc([C@H](C)NC(C2CC2)C2CC2)nn1C ZINC000337422884 178245044 /nfs/dbraw/zinc/24/50/44/178245044.db2.gz GCCPCAMONPVVMM-JTQLQIEISA-N 0 3 233.359 2.568 20 0 BFADHN Cc1cc([C@H](C)NCC(C)(C)CCO)c(C)o1 ZINC000219280945 178245057 /nfs/dbraw/zinc/24/50/57/178245057.db2.gz AANWVCZPCXTBCZ-NSHDSACASA-N 0 3 239.359 2.956 20 0 BFADHN Cc1cc([C@H](C)NCC2(C(C)C)CC2)nn1C ZINC000337394430 178245471 /nfs/dbraw/zinc/24/54/71/178245471.db2.gz BKLJJYABMMPSHO-LBPRGKRZSA-N 0 3 235.375 2.815 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCCOC2)oc1C ZINC000282304898 178253728 /nfs/dbraw/zinc/25/37/28/178253728.db2.gz STQAQQROVIYUQA-CMPLNLGQSA-N 0 3 223.316 2.726 20 0 BFADHN Cc1cc([C@H](C)NCCCCCO)oc1C ZINC000282827985 178246341 /nfs/dbraw/zinc/24/63/41/178246341.db2.gz SCFSAEKUVCVVGF-NSHDSACASA-N 0 3 225.332 2.710 20 0 BFADHN Cc1cc([C@H](C)NCCCn2ccnc2)oc1C ZINC000282240172 178246690 /nfs/dbraw/zinc/24/66/90/178246690.db2.gz PXEPIBUEJMRFKZ-LBPRGKRZSA-N 0 3 247.342 2.834 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCC[C@@H]2C)nn1C ZINC000337462984 178248191 /nfs/dbraw/zinc/24/81/91/178248191.db2.gz KXBXGYNYIBSBLH-DRZSPHRISA-N 0 3 235.375 2.815 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](C)C[C@H](C)O)oc1C ZINC000282273870 178248902 /nfs/dbraw/zinc/24/89/02/178248902.db2.gz RILQKLLLAHYRKO-DLOVCJGASA-N 0 3 239.359 2.954 20 0 BFADHN CCC(CC)CNCc1cc(OC)cc(C)n1 ZINC000165379676 538935551 /nfs/dbraw/zinc/93/55/51/538935551.db2.gz YYWYOKYMJJPHES-UHFFFAOYSA-N 0 3 236.359 2.924 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CCC[C@@H]2C)nn1C ZINC000337462986 178249810 /nfs/dbraw/zinc/24/98/10/178249810.db2.gz KXBXGYNYIBSBLH-WCFLWFBJSA-N 0 3 235.375 2.815 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCC[C@H]2C)nn1C ZINC000337462987 178250074 /nfs/dbraw/zinc/25/00/74/178250074.db2.gz KXBXGYNYIBSBLH-WXHSDQCUSA-N 0 3 235.375 2.815 20 0 BFADHN Cc1cc([C@H](C)NC[C@](C)(O)C2CC2)c(C)o1 ZINC000336717180 178250348 /nfs/dbraw/zinc/25/03/48/178250348.db2.gz QIGPOSSBHGZPPT-HZMBPMFUSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCCOC2)cs1 ZINC000294353996 178252902 /nfs/dbraw/zinc/25/29/02/178252902.db2.gz ZLEBUQSUQOUNJW-CMPLNLGQSA-N 0 3 225.357 2.886 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCCC[C@H]2O)c(C)o1 ZINC000226228651 178252936 /nfs/dbraw/zinc/25/29/36/178252936.db2.gz VLLQRUWKUUHPEI-ZLKJLUDKSA-N 0 3 237.343 2.851 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)Cn2ccnc2)oc1C ZINC000282155322 178254835 /nfs/dbraw/zinc/25/48/35/178254835.db2.gz LJIOSRMYAGYCIG-NEPJUHHUSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@H](O)C2(C)C)oc1C ZINC000282471130 178255490 /nfs/dbraw/zinc/25/54/90/178255490.db2.gz GMBOYUGRKCFTKD-BIMULSAOSA-N 0 3 237.343 2.706 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)CCCO)oc1C ZINC000281997773 178255548 /nfs/dbraw/zinc/25/55/48/178255548.db2.gz CUUVRNIERBHKMC-MNOVXSKESA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)Cn2cccn2)cs1 ZINC000294287284 178256142 /nfs/dbraw/zinc/25/61/42/178256142.db2.gz TZDKHEGXRMRDRL-PWSUYJOCSA-N 0 3 249.383 2.992 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCCC[C@@H]2O)oc1C ZINC000282817395 178257602 /nfs/dbraw/zinc/25/76/02/178257602.db2.gz RNWUKXCVDKGCAW-DRZSPHRISA-N 0 3 237.343 2.851 20 0 BFADHN Cc1cc([C@H](N[C@@H]2CCOC2)C2CC2)ccc1F ZINC000271565980 178261966 /nfs/dbraw/zinc/26/19/66/178261966.db2.gz UZCOGFRFFKWPFO-UKRRQHHQSA-N 0 3 249.329 2.964 20 0 BFADHN Cc1cc([C@H]2CCCN2CC2CCC2)on1 ZINC000336567367 178265752 /nfs/dbraw/zinc/26/57/52/178265752.db2.gz IIGHVNXKCMIEBY-GFCCVEGCSA-N 0 3 220.316 2.920 20 0 BFADHN COc1cncc(-c2cccc(CN(C)C)c2)c1 ZINC000649436511 398238478 /nfs/dbraw/zinc/23/84/78/398238478.db2.gz IATORBCFKRLQRU-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN Cc1nsc(-c2cccc(CN(C)C)c2)n1 ZINC000649436533 398238637 /nfs/dbraw/zinc/23/86/37/398238637.db2.gz JHKYYEYRTISTHS-UHFFFAOYSA-N 0 3 233.340 2.575 20 0 BFADHN CCC(CC)CNCc1ccc(C(=O)OC)o1 ZINC000159092044 168361535 /nfs/dbraw/zinc/36/15/35/168361535.db2.gz OWDADWONLBQLJL-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN CCC(CC)CNCc1cccc(OC)n1 ZINC000122067728 168361988 /nfs/dbraw/zinc/36/19/88/168361988.db2.gz HLOSJTFXYJIMGU-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN CN(C)CCOc1ccc(-c2ccoc2)cc1 ZINC000649440770 398241231 /nfs/dbraw/zinc/24/12/31/398241231.db2.gz BAWGDADFFANXDZ-UHFFFAOYSA-N 0 3 231.295 2.887 20 0 BFADHN CCC(CC)CN[C@@H](CCO)c1ccco1 ZINC000187529560 168363193 /nfs/dbraw/zinc/36/31/93/168363193.db2.gz MOOSIEGUBJUZFK-LBPRGKRZSA-N 0 3 225.332 2.729 20 0 BFADHN CCC(CC)CN[C@@H](C)c1cnccn1 ZINC000133370578 168363362 /nfs/dbraw/zinc/36/33/62/168363362.db2.gz FGCYWHGORUPYGM-JTQLQIEISA-N 0 3 207.321 2.563 20 0 BFADHN CC(C)N(Cc1ccc2c(n1)CCC2)C1CC1 ZINC000576481994 365609426 /nfs/dbraw/zinc/60/94/26/365609426.db2.gz UTZKDFYIARLGLQ-UHFFFAOYSA-N 0 3 230.355 2.943 20 0 BFADHN Cc1ccnc([C@@H]2CCN(CCCF)C2)c1 ZINC000576527370 365618737 /nfs/dbraw/zinc/61/87/37/365618737.db2.gz YSTPTEXJROWOED-GFCCVEGCSA-N 0 3 222.307 2.539 20 0 BFADHN Cc1cccc(CCN(C)[C@@H]2CC2(C)C)n1 ZINC000564906154 398243606 /nfs/dbraw/zinc/24/36/06/398243606.db2.gz SLTQDAKTQJELFC-CYBMUJFWSA-N 0 3 218.344 2.663 20 0 BFADHN CCCCN(C)Cc1cc(C(=O)OC)cs1 ZINC000602429276 349534293 /nfs/dbraw/zinc/53/42/93/349534293.db2.gz OSFNFTPQFSCHIF-UHFFFAOYSA-N 0 3 241.356 2.767 20 0 BFADHN Cc1ncncc1[C@@H](C)NC[C@@H](C)C(F)(F)F ZINC000576597450 365636103 /nfs/dbraw/zinc/63/61/03/365636103.db2.gz SXDYLJDROPWVAT-HTQZYQBOSA-N 0 3 247.264 2.634 20 0 BFADHN C[C@H](Cc1cccs1)N[C@H](C)c1ncc[nH]1 ZINC000285640400 538941960 /nfs/dbraw/zinc/94/19/60/538941960.db2.gz LSXFFDXDPULOFF-NXEZZACHSA-N 0 3 235.356 2.753 20 0 BFADHN C=Cn1cc(CN2C[C@@H](C)CC(C)(C)C2)cn1 ZINC000576544616 365623109 /nfs/dbraw/zinc/62/31/09/365623109.db2.gz PRPJRWGDYMGSOD-LBPRGKRZSA-N 0 3 233.359 2.852 20 0 BFADHN CCC(CC)CN[C@H](CCO)c1ccco1 ZINC000187529580 168365328 /nfs/dbraw/zinc/36/53/28/168365328.db2.gz MOOSIEGUBJUZFK-GFCCVEGCSA-N 0 3 225.332 2.729 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@H]1NCc1ncccn1 ZINC000576650016 365650487 /nfs/dbraw/zinc/65/04/87/365650487.db2.gz WHBOCNKIUUKBKE-YNEHKIRRSA-N 0 3 233.359 2.781 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(F)c2N)[C@@H]1C ZINC000380577634 398251268 /nfs/dbraw/zinc/25/12/68/398251268.db2.gz ACAKRSMEFMPJDQ-NXEZZACHSA-N 0 3 222.307 2.638 20 0 BFADHN CCOC[C@H]1CCCCN1CCCC(=O)CC ZINC000313449053 398251583 /nfs/dbraw/zinc/25/15/83/398251583.db2.gz XDJGVOWKHXNIQH-CYBMUJFWSA-N 0 3 241.375 2.637 20 0 BFADHN Cc1ccc(-c2nc3c(s2)CNCC3)c(F)c1 ZINC000053335529 178336858 /nfs/dbraw/zinc/33/68/58/178336858.db2.gz XYXFUTXLHBXVHC-UHFFFAOYSA-N 0 3 248.326 2.903 20 0 BFADHN Cc1ccc2c(c1)CN(CC[C@H]1CCOC1)C2 ZINC000334538712 136230860 /nfs/dbraw/zinc/23/08/60/136230860.db2.gz IZDVRUKOKXHIGB-ZDUSSCGKSA-N 0 3 231.339 2.737 20 0 BFADHN CCOC1CC(N[C@H](C)c2ccsc2)C1 ZINC000169197432 538947047 /nfs/dbraw/zinc/94/70/47/538947047.db2.gz MXTZWEOWDOFABR-OIKLOGQESA-N 0 3 225.357 2.966 20 0 BFADHN CC[C@@H](NCc1cnns1)C1CCCCC1 ZINC000381666559 398254633 /nfs/dbraw/zinc/25/46/33/398254633.db2.gz VQEBCDKJTYGIJH-GFCCVEGCSA-N 0 3 239.388 2.987 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2CCCC2)o1 ZINC000120889842 178331081 /nfs/dbraw/zinc/33/10/81/178331081.db2.gz MUQVOPMEGVYBNT-UHFFFAOYSA-N 0 3 231.299 2.574 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC[C@H](C)C1 ZINC000128483357 323007153 /nfs/dbraw/zinc/00/71/53/323007153.db2.gz UISVYDCGSSVIAO-RYUDHWBXSA-N 0 3 204.317 2.875 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC2(F)F)on1 ZINC000381547265 398263299 /nfs/dbraw/zinc/26/32/99/398263299.db2.gz TVVYSPUUGSKKJA-VIFPVBQESA-N 0 3 230.258 2.508 20 0 BFADHN CCC[C@H](CNCc1ccc(F)cc1F)OC ZINC000378738752 346819585 /nfs/dbraw/zinc/81/95/85/346819585.db2.gz HWHUZVCJOHFASN-GFCCVEGCSA-N 0 3 243.297 2.870 20 0 BFADHN CC(C)(C)c1ccc(CN[C@@H]2CC[C@H]2O)s1 ZINC000378743838 346821229 /nfs/dbraw/zinc/82/12/29/346821229.db2.gz QIXCRYILBQAWNS-GHMZBOCLSA-N 0 3 239.384 2.659 20 0 BFADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H]1C[C@@H]1C ZINC000177307803 538951590 /nfs/dbraw/zinc/95/15/90/538951590.db2.gz IMLLHWHAGFBNOU-AAEUAGOBSA-N 0 3 246.354 2.651 20 0 BFADHN CCC(CC)N(CCOC)Cc1ccccn1 ZINC000064807002 168373347 /nfs/dbraw/zinc/37/33/47/168373347.db2.gz NVHODSBPXZBWFJ-UHFFFAOYSA-N 0 3 236.359 2.719 20 0 BFADHN COC[C@@H](CC(C)C)N[C@@H]1CCC[C@@H]1F ZINC000378790084 346837124 /nfs/dbraw/zinc/83/71/24/346837124.db2.gz HZWIKZTUOWGZKK-GRYCIOLGSA-N 0 3 217.328 2.528 20 0 BFADHN CCN(Cc1cccc(OC)n1)CC(C)C ZINC000171859426 346841412 /nfs/dbraw/zinc/84/14/12/346841412.db2.gz NPGOEYCODJDOOQ-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN C[C@H](F)CCNCc1cnc(C2CC2)s1 ZINC000378850609 346846905 /nfs/dbraw/zinc/84/69/05/346846905.db2.gz DYYHHNDYHPOVQM-QMMMGPOBSA-N 0 3 228.336 2.858 20 0 BFADHN CCCC[C@@H](COC)N[C@H](C)c1c[nH]nc1C ZINC000180579094 538958271 /nfs/dbraw/zinc/95/82/71/538958271.db2.gz MIBGEJJOMOWACQ-PWSUYJOCSA-N 0 3 239.363 2.574 20 0 BFADHN CC[C@H](N[C@H](C)c1c[nH]nc1C)c1ccncc1 ZINC000180623183 538958280 /nfs/dbraw/zinc/95/82/80/538958280.db2.gz QIDFKQRQALOGHZ-YGRLFVJLSA-N 0 3 244.342 2.915 20 0 BFADHN CCCCOCCCN[C@@H](C)c1c[nH]nc1C ZINC000179956455 538956536 /nfs/dbraw/zinc/95/65/36/538956536.db2.gz BNONGFBPGOVEBU-NSHDSACASA-N 0 3 239.363 2.576 20 0 BFADHN CCc1nn(C)cc1CN(C)CC1CCCC1 ZINC000179912483 538956558 /nfs/dbraw/zinc/95/65/58/538956558.db2.gz PSGVHAXRMISWPS-UHFFFAOYSA-N 0 3 235.375 2.605 20 0 BFADHN CCc1nn(C)cc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000179852285 538956716 /nfs/dbraw/zinc/95/67/16/538956716.db2.gz FGWYHKSHUMVGLY-DOMZBBRYSA-N 0 3 247.386 2.747 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NCc1ccc(C)cc1 ZINC000179982289 538956934 /nfs/dbraw/zinc/95/69/34/538956934.db2.gz CIKVNUJZCIBIQW-NSHDSACASA-N 0 3 229.327 2.877 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NCc1ccc(C)cc1 ZINC000179982302 538956953 /nfs/dbraw/zinc/95/69/53/538956953.db2.gz CIKVNUJZCIBIQW-LLVKDONJSA-N 0 3 229.327 2.877 20 0 BFADHN Fc1cnccc1CNCCc1cccs1 ZINC000449061173 398274316 /nfs/dbraw/zinc/27/43/16/398274316.db2.gz HSCLRULVRCLSTG-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN Fc1cnccc1CNC[C@@H]1CC=CCC1 ZINC000449104014 398274350 /nfs/dbraw/zinc/27/43/50/398274350.db2.gz DGBNFRHYAMFMBV-LLVKDONJSA-N 0 3 220.291 2.667 20 0 BFADHN CCSCC[C@@H](C)NCc1oc(C)nc1C ZINC000600131066 342684666 /nfs/dbraw/zinc/68/46/66/342684666.db2.gz VDPLUQUUTNXXPX-SECBINFHSA-N 0 3 242.388 2.913 20 0 BFADHN CC[C@@H](CSC)NCc1oc(C)nc1C ZINC000600135017 342687666 /nfs/dbraw/zinc/68/76/66/342687666.db2.gz AURJMHMDTBFWTC-JTQLQIEISA-N 0 3 228.361 2.523 20 0 BFADHN CC[C@H](CSC)NCc1cccc(F)c1N ZINC000602582146 349610022 /nfs/dbraw/zinc/61/00/22/349610022.db2.gz LRMQGJMTPCOPAK-SNVBAGLBSA-N 0 3 242.363 2.639 20 0 BFADHN CSCCCCCNCc1oc(C)nc1C ZINC000600147345 342690392 /nfs/dbraw/zinc/69/03/92/342690392.db2.gz GLYRCGKXXFLVED-UHFFFAOYSA-N 0 3 242.388 2.914 20 0 BFADHN COC1(CN[C@H]2CCc3ccc(F)cc32)CCC1 ZINC000182662658 538963950 /nfs/dbraw/zinc/96/39/50/538963950.db2.gz JLFNQAHLVPNELE-AWEZNQCLSA-N 0 3 249.329 2.972 20 0 BFADHN Cc1noc(C)c1CNCC1(C)CCC1 ZINC000165473386 361840727 /nfs/dbraw/zinc/84/07/27/361840727.db2.gz NGUABMQEWAPFPQ-UHFFFAOYSA-N 0 3 208.305 2.571 20 0 BFADHN COC[C@H](C)N[C@H]1CSCc2ccccc21 ZINC000037196383 538962089 /nfs/dbraw/zinc/96/20/89/538962089.db2.gz BQEANQJSSKAKEF-GWCFXTLKSA-N 0 3 237.368 2.599 20 0 BFADHN C[C@@H](CCNCc1ccno1)c1ccccc1 ZINC000231884370 342741347 /nfs/dbraw/zinc/74/13/47/342741347.db2.gz MTXMAGDFZLWAQR-LBPRGKRZSA-N 0 3 230.311 2.958 20 0 BFADHN C[C@H](CCCC(F)(F)F)NCc1ccno1 ZINC000231885242 342741678 /nfs/dbraw/zinc/74/16/78/342741678.db2.gz MAHAWNMQZBNORU-MRVPVSSYSA-N 0 3 236.237 2.885 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1ccno1 ZINC000231883308 342742850 /nfs/dbraw/zinc/74/28/50/342742850.db2.gz FKAOSMKLPLMFQH-MNOVXSKESA-N 0 3 210.321 2.979 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccno2)CC(C)(C)C1 ZINC000231883313 342742910 /nfs/dbraw/zinc/74/29/10/342742910.db2.gz GSXIJURSTYJZBF-GHMZBOCLSA-N 0 3 222.332 2.979 20 0 BFADHN CC1(CNCc2ccncc2F)CCCC1 ZINC000449146372 398279214 /nfs/dbraw/zinc/27/92/14/398279214.db2.gz NDBJMIPAIMRSKD-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN Fc1ccc(CN[C@H]2[C@@H]3CCC[C@@H]32)c(F)c1 ZINC000309611246 342746734 /nfs/dbraw/zinc/74/67/34/342746734.db2.gz ZLMKFZUIAZGRCC-PJXYFTJBSA-N 0 3 223.266 2.853 20 0 BFADHN C[C@H](NC[C@H]1CCCOC1)c1ccccc1F ZINC000038044038 538964912 /nfs/dbraw/zinc/96/49/12/538964912.db2.gz BMHFWSWLPQGIEF-NWDGAFQWSA-N 0 3 237.318 2.903 20 0 BFADHN CC[C@H]1c2ccsc2CCN1C[C@H](C)CO ZINC000678044523 488179871 /nfs/dbraw/zinc/17/98/71/488179871.db2.gz UOBDSTUDJOZUCB-JQWIXIFHSA-N 0 3 239.384 2.686 20 0 BFADHN Fc1cnccc1CNCC12CCC(CC1)C2 ZINC000449203161 398282511 /nfs/dbraw/zinc/28/25/11/398282511.db2.gz GZVIYDHWXOWUAK-UHFFFAOYSA-N 0 3 234.318 2.891 20 0 BFADHN CN(C)Cc1cccc(NCCOC2CCC2)c1 ZINC000634573971 342773358 /nfs/dbraw/zinc/77/33/58/342773358.db2.gz ODPPDZMRSKLUES-UHFFFAOYSA-N 0 3 248.370 2.729 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1cc(Cl)ccc1C ZINC000309651418 342776141 /nfs/dbraw/zinc/77/61/41/342776141.db2.gz OEKSCTWOABIBER-VIFPVBQESA-N 0 3 240.734 2.585 20 0 BFADHN Cc1ccc(CCNCc2nccs2)cc1 ZINC000309720131 342800780 /nfs/dbraw/zinc/80/07/80/342800780.db2.gz VSDLHYKTRILJHY-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN CCOCCCN1CCCc2sccc2C1 ZINC000607731001 342783150 /nfs/dbraw/zinc/78/31/50/342783150.db2.gz LJZYTWIDUCSCDQ-UHFFFAOYSA-N 0 3 239.384 2.923 20 0 BFADHN CCC(CC)NCc1nc2ccccc2n1C ZINC000066969672 168389992 /nfs/dbraw/zinc/38/99/92/168389992.db2.gz OMJHODFOOFECQD-UHFFFAOYSA-N 0 3 231.343 2.852 20 0 BFADHN CCCc1ncc(CNC[C@](C)(CC)OC)o1 ZINC000639253982 398285137 /nfs/dbraw/zinc/28/51/37/398285137.db2.gz TXADMULRYLMJGD-ZDUSSCGKSA-N 0 3 240.347 2.532 20 0 BFADHN CC(C)[C@H](C)N(Cc1cc2n(n1)CCC2)C1CC1 ZINC000649487618 398285298 /nfs/dbraw/zinc/28/52/98/398285298.db2.gz ICKFQNAGPMYVHQ-LBPRGKRZSA-N 0 3 247.386 2.838 20 0 BFADHN COc1ccc(CN2CCC[C@@H]3CCC[C@@H]32)cn1 ZINC000085823242 398290325 /nfs/dbraw/zinc/29/03/25/398290325.db2.gz BPJWSRLXKOOVEB-KBPBESRZSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCCN(C)C2)c(C)o1 ZINC000040672619 538972727 /nfs/dbraw/zinc/97/27/27/538972727.db2.gz FPXBZAMVDNAWJP-YPMHNXCESA-N 0 3 236.359 2.641 20 0 BFADHN CCC(CC)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000294047017 168392990 /nfs/dbraw/zinc/39/29/90/168392990.db2.gz JCTKMMSVIJSJTO-GXSJLCMTSA-N 0 3 207.321 2.667 20 0 BFADHN Cc1cnc(CNCCc2ccccc2)s1 ZINC000022864099 342815659 /nfs/dbraw/zinc/81/56/59/342815659.db2.gz ANSQQEOSYQSZKS-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN CCC(CC)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000294047027 168393039 /nfs/dbraw/zinc/39/30/39/168393039.db2.gz JCTKMMSVIJSJTO-MWLCHTKSSA-N 0 3 207.321 2.667 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCCN(C)C2)c(C)o1 ZINC000040672620 538972986 /nfs/dbraw/zinc/97/29/86/538972986.db2.gz FPXBZAMVDNAWJP-AAEUAGOBSA-N 0 3 236.359 2.641 20 0 BFADHN Fc1cnccc1CNC[C@@H]1CCC(F)(F)C1 ZINC000449303353 398288436 /nfs/dbraw/zinc/28/84/36/398288436.db2.gz XYGPSMQZFYSZPT-SECBINFHSA-N 0 3 244.260 2.746 20 0 BFADHN COc1ncncc1CN[C@H]1CCC[C@H](C)CC1 ZINC000647204725 398288506 /nfs/dbraw/zinc/28/85/06/398288506.db2.gz HSAUJLSDRLWFLV-AAEUAGOBSA-N 0 3 249.358 2.544 20 0 BFADHN CCC(CC)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000294047023 168393498 /nfs/dbraw/zinc/39/34/98/168393498.db2.gz JCTKMMSVIJSJTO-KOLCDFICSA-N 0 3 207.321 2.667 20 0 BFADHN CC[C@@]1(NCc2cc(F)ccc2F)CCOC1 ZINC000378685732 538973509 /nfs/dbraw/zinc/97/35/09/538973509.db2.gz DSEIDHHDXVITMX-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN CC[C@@H](C)CN(C)Cc1ccc(OC)nc1 ZINC000085796084 398289370 /nfs/dbraw/zinc/28/93/70/398289370.db2.gz AWTXETGXLZRRGX-LLVKDONJSA-N 0 3 222.332 2.568 20 0 BFADHN COc1cccc(CN[C@H](C)[C@H]2CCCCO2)c1 ZINC000379252347 538976780 /nfs/dbraw/zinc/97/67/80/538976780.db2.gz GITDCMVSJIMVBA-IUODEOHRSA-N 0 3 249.354 2.742 20 0 BFADHN FC1(F)CCC[C@@H]1NC(C1CC1)C1CC1 ZINC000380206565 538987270 /nfs/dbraw/zinc/98/72/70/538987270.db2.gz WNZXVBFQMVSBCE-JTQLQIEISA-N 0 3 215.287 2.952 20 0 BFADHN OC1CC(CCNCc2cscc2Cl)C1 ZINC000380307346 538988557 /nfs/dbraw/zinc/98/85/57/538988557.db2.gz FYDYYYCUIUVIJC-UHFFFAOYSA-N 0 3 245.775 2.652 20 0 BFADHN C1=CCC(NCc2scnc2C2CC2)C1 ZINC000380280888 538988742 /nfs/dbraw/zinc/98/87/42/538988742.db2.gz YXZPFIHNHIOWEZ-UHFFFAOYSA-N 0 3 220.341 2.829 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN(C)CC2CC(O)C2)o1 ZINC000191157934 538991135 /nfs/dbraw/zinc/99/11/35/538991135.db2.gz CKNPCUIEFNYVLL-DLOFLVKXSA-N 0 3 249.354 2.606 20 0 BFADHN CCOCCNCc1ccc(Cl)cc1C ZINC000128998720 398290790 /nfs/dbraw/zinc/29/07/90/398290790.db2.gz GIBMDQUBKJQWAS-UHFFFAOYSA-N 0 3 227.735 2.775 20 0 BFADHN COc1cccc(CN[C@H]2CC[C@@H]2C)c1F ZINC000380657861 538991840 /nfs/dbraw/zinc/99/18/40/538991840.db2.gz USYFIIZFORDPGP-ONGXEEELSA-N 0 3 223.291 2.722 20 0 BFADHN COC[C@@H](C)CN[C@H](C)c1nc(C)sc1C ZINC000045657042 538992675 /nfs/dbraw/zinc/99/26/75/538992675.db2.gz NATWQJMMRYCUCQ-DTWKUNHWSA-N 0 3 242.388 2.693 20 0 BFADHN Fc1cccc2c1CCC[C@H]2N[C@H]1CCCOC1 ZINC000449349428 398294033 /nfs/dbraw/zinc/29/40/33/398294033.db2.gz JKDMUOHUIHZCDC-XHDPSFHLSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H]1CC[C@H]1NCc1nc2c(s1)CCCC2 ZINC000381504408 539002800 /nfs/dbraw/zinc/00/28/00/539002800.db2.gz PQTQYDHHNIHDJN-VHSXEESVSA-N 0 3 236.384 2.910 20 0 BFADHN CC[C@H](C)NCc1c(C)cc(C)nc1OC ZINC000381571918 539004027 /nfs/dbraw/zinc/00/40/27/539004027.db2.gz XRDXYNRMOBKWLH-JTQLQIEISA-N 0 3 222.332 2.595 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1ccc(C)cc1 ZINC000381702509 539005778 /nfs/dbraw/zinc/00/57/78/539005778.db2.gz IRGYVXZLYBDEDS-KWCYVHTRSA-N 0 3 219.328 2.823 20 0 BFADHN FC(F)(F)c1cccc(CNC2CSC2)c1 ZINC000381791869 539007621 /nfs/dbraw/zinc/00/76/21/539007621.db2.gz AEFIPOCIMZCIDH-UHFFFAOYSA-N 0 3 247.285 2.910 20 0 BFADHN C/C=C\CN[C@@H](C)c1cccc(NC(C)=O)c1 ZINC000382920464 539011207 /nfs/dbraw/zinc/01/12/07/539011207.db2.gz OQLIZWDSJAYIAL-WYGGZMRJSA-N 0 3 232.327 2.872 20 0 BFADHN Cn1cc(CN2CCC[C@H]3CCCC[C@@H]32)cn1 ZINC000071089284 361945758 /nfs/dbraw/zinc/94/57/58/361945758.db2.gz LUNFGABVXRZGKV-KGLIPLIRSA-N 0 3 233.359 2.575 20 0 BFADHN COc1ccc(CN[C@H](C)[C@H]2CCCCO2)cc1 ZINC000386582320 539013504 /nfs/dbraw/zinc/01/35/04/539013504.db2.gz AGJYVWSPHNLOFV-IUODEOHRSA-N 0 3 249.354 2.742 20 0 BFADHN CCN(Cc1cc2n(n1)CCC2)[C@H](C)C(C)C ZINC000649498235 398296231 /nfs/dbraw/zinc/29/62/31/398296231.db2.gz DRCRFKWJCIMDJC-GFCCVEGCSA-N 0 3 235.375 2.696 20 0 BFADHN CO[C@H]1CCC[C@@H](NCc2ccc(Cl)o2)C1 ZINC000381331253 538998202 /nfs/dbraw/zinc/99/82/02/538998202.db2.gz TZDXPMYFWYPFQR-ZJUUUORDSA-N 0 3 243.734 2.980 20 0 BFADHN Cc1cccc(CNC2CC3(CCC3)C2)n1 ZINC000381381727 538999656 /nfs/dbraw/zinc/99/96/56/538999656.db2.gz LLIHQVNIAJOVDD-UHFFFAOYSA-N 0 3 216.328 2.812 20 0 BFADHN COc1ccc(CN[C@@H](C)[C@H]2CCCCO2)cc1 ZINC000386582321 539013758 /nfs/dbraw/zinc/01/37/58/539013758.db2.gz AGJYVWSPHNLOFV-SWLSCSKDSA-N 0 3 249.354 2.742 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@H]1NCc1cocn1 ZINC000381428213 539000059 /nfs/dbraw/zinc/00/00/59/539000059.db2.gz ZPTNYCCJMWUHTR-DMDPSCGWSA-N 0 3 222.332 2.979 20 0 BFADHN C/C=C\CN[C@H]1CCc2cc(OC)c(OC)cc21 ZINC000624199120 342841840 /nfs/dbraw/zinc/84/18/40/342841840.db2.gz HDQWYJZHXYEKKH-ZFDPJTLLSA-N 0 3 247.338 2.857 20 0 BFADHN C/C=C/CN[C@@H]1CCc2cc(OC)c(OC)cc21 ZINC000624199119 342841865 /nfs/dbraw/zinc/84/18/65/342841865.db2.gz HDQWYJZHXYEKKH-MUBLQREKSA-N 0 3 247.338 2.857 20 0 BFADHN C[C@H](CF)NC[C@@H]1CCOc2ccccc21 ZINC000390208753 539020579 /nfs/dbraw/zinc/02/05/79/539020579.db2.gz UDJCYDOALGBYEC-MNOVXSKESA-N 0 3 223.291 2.500 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@@H](C)CC(F)(F)F ZINC000390329056 539021221 /nfs/dbraw/zinc/02/12/21/539021221.db2.gz KACFWGYKJIRKSQ-BQBZGAKWSA-N 0 3 235.253 2.710 20 0 BFADHN CN(CCCO)Cc1ccc(Cl)c(Cl)c1 ZINC000067127841 361980767 /nfs/dbraw/zinc/98/07/67/361980767.db2.gz FGEXYSIITHONCW-UHFFFAOYSA-N 0 3 248.153 2.808 20 0 BFADHN C/C=C/CN[C@@H]1CCN(C(C)=O)c2ccccc21 ZINC000624199457 342842541 /nfs/dbraw/zinc/84/25/41/342842541.db2.gz PMSHPRWUPQIODD-RDFMZFSFSA-N 0 3 244.338 2.650 20 0 BFADHN C[C@H](NCc1ccc([C@H]2C[C@H]2C)o1)C(C)(C)O ZINC000393959046 344006474 /nfs/dbraw/zinc/00/64/74/344006474.db2.gz AGIQAYOXOKKCTP-SCVCMEIPSA-N 0 3 237.343 2.652 20 0 BFADHN CCCCCn1cc(CNC2CCCC2)nn1 ZINC000640753070 361990405 /nfs/dbraw/zinc/99/04/05/361990405.db2.gz ZRNGYPKGJVBMDZ-UHFFFAOYSA-N 0 3 236.363 2.501 20 0 BFADHN Oc1ccc(CN[C@@H]2CCC(F)(F)C2)cc1 ZINC000390135393 539019782 /nfs/dbraw/zinc/01/97/82/539019782.db2.gz LRYRLHWPJHYCFY-SNVBAGLBSA-N 0 3 227.254 2.670 20 0 BFADHN CCC[C@@H](C)CCCN1CCN(CC)CC1 ZINC000625059547 342846341 /nfs/dbraw/zinc/84/63/41/342846341.db2.gz FINGXHMTDYNOOV-CQSZACIVSA-N 0 3 226.408 2.840 20 0 BFADHN CCC[C@H](C)CCCN(C)CC(=O)NC(C)C ZINC000625064377 342847302 /nfs/dbraw/zinc/84/73/02/342847302.db2.gz CVHAORJSTZXRKM-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN CCO[C@@H](CN(C)Cc1ccc(C)o1)C1CC1 ZINC000625060825 342847365 /nfs/dbraw/zinc/84/73/65/342847365.db2.gz PBXNWPRRMZFGJH-AWEZNQCLSA-N 0 3 237.343 2.835 20 0 BFADHN CCC[C@@H](C)CCCN1CCCC[C@@H]1C(N)=O ZINC000625068966 342848444 /nfs/dbraw/zinc/84/84/44/342848444.db2.gz QFVLCRAWPHUHGV-CHWSQXEVSA-N 0 3 240.391 2.543 20 0 BFADHN CCN(Cc1cncc(OC)n1)C1CCCCC1 ZINC000625082824 342848881 /nfs/dbraw/zinc/84/88/81/342848881.db2.gz ZGUGFEUMJBJWRN-UHFFFAOYSA-N 0 3 249.358 2.640 20 0 BFADHN CSCC[C@H](C)N(C)Cc1ccco1 ZINC000093558545 398311210 /nfs/dbraw/zinc/31/12/10/398311210.db2.gz JEUPOTAYQMLBAD-JTQLQIEISA-N 0 3 213.346 2.853 20 0 BFADHN CCCc1ncc(CN[C@@H]2CC[C@@H]2C2CC2)o1 ZINC000449612692 398303716 /nfs/dbraw/zinc/30/37/16/398303716.db2.gz NYPLGUXBGGMOAZ-CHWSQXEVSA-N 0 3 234.343 2.905 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CC[C@H]1C(C)C ZINC000449565066 398304204 /nfs/dbraw/zinc/30/42/04/398304204.db2.gz GFMQTGGEKPHWPB-AVGNSLFASA-N 0 3 235.375 2.988 20 0 BFADHN C[C@H](NC[C@H](O)c1ccsc1)c1cccnc1 ZINC000092751365 398305895 /nfs/dbraw/zinc/30/58/95/398305895.db2.gz CIUGUSOSEZWJHK-GWCFXTLKSA-N 0 3 248.351 2.527 20 0 BFADHN COC[C@H](C)N[C@H](C)c1ccc(C)cc1OC ZINC000037196281 398307310 /nfs/dbraw/zinc/30/73/10/398307310.db2.gz IGGRJSPTLCMKJK-NWDGAFQWSA-N 0 3 237.343 2.689 20 0 BFADHN CCNCc1cccc(C)c1Br ZINC000233541001 342872225 /nfs/dbraw/zinc/87/22/25/342872225.db2.gz UXUSYCFQOMOVMC-UHFFFAOYSA-N 0 3 228.133 2.867 20 0 BFADHN CSCCN[C@@H](c1ccc(F)cn1)C(C)C ZINC000134629808 342874217 /nfs/dbraw/zinc/87/42/17/342874217.db2.gz LIVFSEJAZQHWFH-GFCCVEGCSA-N 0 3 242.363 2.870 20 0 BFADHN Cc1cc(OCC[C@H]2CCOC2)c(C)c(C)n1 ZINC000584301704 398320856 /nfs/dbraw/zinc/32/08/56/398320856.db2.gz BBYOPHKZNRNDND-CYBMUJFWSA-N 0 3 235.327 2.812 20 0 BFADHN CC[C@@H]1CCCN1Cc1cc(CO)ccc1F ZINC000625137357 342888297 /nfs/dbraw/zinc/88/82/97/342888297.db2.gz AXGLDYHSBKVOBN-CYBMUJFWSA-N 0 3 237.318 2.692 20 0 BFADHN C[C@@H](NCc1nccn1C)C1CCCCCC1 ZINC000150104444 398313998 /nfs/dbraw/zinc/31/39/98/398313998.db2.gz WKUGFHGBRJMLJK-GFCCVEGCSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1ccc2c(c1C)OC[C@H]2NC(C)C ZINC000035653697 342891100 /nfs/dbraw/zinc/89/11/00/342891100.db2.gz CQNAHVPKZIAZAZ-GFCCVEGCSA-N 0 3 205.301 2.735 20 0 BFADHN COc1c(O)cccc1CN1CC[C@H](C2CC2)C1 ZINC000352609269 398322195 /nfs/dbraw/zinc/32/21/95/398322195.db2.gz SGIWCBJANQKVBB-LBPRGKRZSA-N 0 3 247.338 2.633 20 0 BFADHN CCC1(CC)CCN(Cc2ccnc(C)n2)C1 ZINC000351569152 136246856 /nfs/dbraw/zinc/24/68/56/136246856.db2.gz RMPCOOHUDUEBAT-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CCC[C@H](N)C(=O)N(CCC)Cc1ccccc1 ZINC000036873424 342913415 /nfs/dbraw/zinc/91/34/15/342913415.db2.gz KQAGKOLDNKTEBC-AWEZNQCLSA-N 0 3 248.370 2.553 20 0 BFADHN CC[C@H]1CCCCCN1Cc1cncc(OC)n1 ZINC000625186420 342903864 /nfs/dbraw/zinc/90/38/64/342903864.db2.gz VFKTVHFCYQZFRZ-ZDUSSCGKSA-N 0 3 249.358 2.640 20 0 BFADHN CC[C@@H](C)CN(CC)CC(=O)NCC(C)(C)C ZINC000625213126 342915156 /nfs/dbraw/zinc/91/51/56/342915156.db2.gz LCKAGLIOFMTWDO-GFCCVEGCSA-N 0 3 242.407 2.517 20 0 BFADHN CC[C@@H](O)CN(C)[C@@H](C)c1sc(C)nc1C ZINC000173712288 346872894 /nfs/dbraw/zinc/87/28/94/346872894.db2.gz WUDISPXGUWRDRX-GXSJLCMTSA-N 0 3 242.388 2.524 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCSc3ccccc32)CO1 ZINC000401922814 259328978 /nfs/dbraw/zinc/32/89/78/259328978.db2.gz OXRZGDHQJSBCBW-NTZNESFSSA-N 0 3 249.379 2.991 20 0 BFADHN CC[C@@H](C)NCc1nc2ccccc2o1 ZINC000037390475 342929927 /nfs/dbraw/zinc/92/99/27/342929927.db2.gz HBJSIPZYFZKCAZ-SECBINFHSA-N 0 3 204.273 2.716 20 0 BFADHN CC1(C)CCN(C[C@H](O)c2ccc(F)cc2)C1 ZINC000174250573 346879488 /nfs/dbraw/zinc/87/94/88/346879488.db2.gz ZRQGCTNRZLUHBU-ZDUSSCGKSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@@H]1C[C@H](C)[C@@H](C)N(Cc2ccon2)C1 ZINC000351626226 136248777 /nfs/dbraw/zinc/24/87/77/136248777.db2.gz BVNRRMMQZPRWES-OUAUKWLOSA-N 0 3 208.305 2.541 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC(F)(F)C2)on1 ZINC000631089268 398336982 /nfs/dbraw/zinc/33/69/82/398336982.db2.gz AIUBOHVCDFUZIK-SECBINFHSA-N 0 3 230.258 2.651 20 0 BFADHN CC(C)(C)OCCCCN1CCSCC1 ZINC000354877957 398331030 /nfs/dbraw/zinc/33/10/30/398331030.db2.gz ZCXLDVHQYTWBEY-UHFFFAOYSA-N 0 3 231.405 2.631 20 0 BFADHN CC(C)(C)OCCCCN1CCOC(C)(C)C1 ZINC000354878496 398331132 /nfs/dbraw/zinc/33/11/32/398331132.db2.gz LEPKSRAJPZMKPR-UHFFFAOYSA-N 0 3 243.391 2.693 20 0 BFADHN Cc1nocc1CN1CC2(CCC2)C[C@@H]1C ZINC000336487218 398332759 /nfs/dbraw/zinc/33/27/59/398332759.db2.gz BGGVGGRITNQTSQ-JTQLQIEISA-N 0 3 220.316 2.748 20 0 BFADHN Cc1scc(CN[C@H]2CO[C@@H](C)C2)c1C ZINC000401848308 398333674 /nfs/dbraw/zinc/33/36/74/398333674.db2.gz YFRCQCKAPZOGOF-QPUJVOFHSA-N 0 3 225.357 2.632 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1ccc(F)c(F)c1 ZINC000128318485 398339889 /nfs/dbraw/zinc/33/98/89/398339889.db2.gz NFTQXEIYJUGGAH-ONGXEEELSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1ncc(CN(C)C[C@@H]2CC=CCC2)cn1 ZINC000351752095 136250910 /nfs/dbraw/zinc/25/09/10/136250910.db2.gz MRWJIMRZYBJTQJ-CYBMUJFWSA-N 0 3 231.343 2.573 20 0 BFADHN CCOC(C)(C)CNCc1ccc(F)c(F)c1 ZINC000159194810 398341806 /nfs/dbraw/zinc/34/18/06/398341806.db2.gz JYCSEWZCOWZUSL-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN C[C@H](F)CCN(C)[C@@H](C)c1ccccn1 ZINC000351751991 136251028 /nfs/dbraw/zinc/25/10/28/136251028.db2.gz BJPSTLGNNGTTKK-QWRGUYRKSA-N 0 3 210.296 2.823 20 0 BFADHN CC(C)c1cccc(NC(=O)C2(N)CCC2)c1 ZINC000147520812 398344881 /nfs/dbraw/zinc/34/48/81/398344881.db2.gz JFLVKKPDWJOTGE-UHFFFAOYSA-N 0 3 232.327 2.630 20 0 BFADHN C[C@H](CN(C)CC[C@H](C)F)c1nccs1 ZINC000351761362 136252528 /nfs/dbraw/zinc/25/25/28/136252528.db2.gz XHBIPWXDLPKPLO-ZJUUUORDSA-N 0 3 230.352 2.927 20 0 BFADHN C[C@@H]1CN([C@H]2CCCc3ccc(F)cc32)C[C@@H]1O ZINC000351815494 136255118 /nfs/dbraw/zinc/25/51/18/136255118.db2.gz MQMUFJAMNQUWCR-ONERCXAPSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@@H]1CN([C@@H]2CCCc3ccc(F)cc32)C[C@@H]1O ZINC000351815493 136255181 /nfs/dbraw/zinc/25/51/81/136255181.db2.gz MQMUFJAMNQUWCR-KMUNFCNLSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCCN2CCCC2)o1 ZINC000020126790 342970759 /nfs/dbraw/zinc/97/07/59/342970759.db2.gz LBUVRFCDTQGFQP-GXTWGEPZSA-N 0 3 248.370 2.588 20 0 BFADHN CC[C@H]1CCCN([C@H](CC(C)C)C(=O)OC)C1 ZINC000351853681 136258023 /nfs/dbraw/zinc/25/80/23/136258023.db2.gz FKYOKGRYDXAGPZ-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN CCOCCN(C)Cc1cccc2ccoc21 ZINC000449226372 259336463 /nfs/dbraw/zinc/33/64/63/259336463.db2.gz MJFKIJLUMWICNL-UHFFFAOYSA-N 0 3 233.311 2.901 20 0 BFADHN CCn1cccc1CN(C)CC1CCC1 ZINC000494987792 529547205 /nfs/dbraw/zinc/54/72/05/529547205.db2.gz VVZLCJQMQZXKHN-UHFFFAOYSA-N 0 3 206.333 2.740 20 0 BFADHN Cc1cc([C@H]2CCCN2CC[C@@H](C)F)on1 ZINC000351851695 136257703 /nfs/dbraw/zinc/25/77/03/136257703.db2.gz JNCBNAAUMVWSOZ-MWLCHTKSSA-N 0 3 226.295 2.868 20 0 BFADHN Cc1nc(CNCCc2cccs2)cs1 ZINC000020253047 342978416 /nfs/dbraw/zinc/97/84/16/342978416.db2.gz MTBULFRLWONGFI-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN Cc1nc(CNC2CCCCC2)cs1 ZINC000020252799 342978424 /nfs/dbraw/zinc/97/84/24/342978424.db2.gz XUFHPNNKDFTGMP-UHFFFAOYSA-N 0 3 210.346 2.874 20 0 BFADHN C[C@@H](N[C@@H](C)C(=O)NC(C)(C)C)c1ccccc1 ZINC000020311960 342981625 /nfs/dbraw/zinc/98/16/25/342981625.db2.gz WLYVGLFEXUARRB-NEPJUHHUSA-N 0 3 248.370 2.640 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccc1)C(=O)NC(C)(C)C ZINC000020311948 342981627 /nfs/dbraw/zinc/98/16/27/342981627.db2.gz WLYVGLFEXUARRB-NWDGAFQWSA-N 0 3 248.370 2.640 20 0 BFADHN CSC1CCN(Cc2ccc(C)o2)CC1 ZINC000602726420 349715439 /nfs/dbraw/zinc/71/54/39/349715439.db2.gz RSTLIGNTSBNSNR-UHFFFAOYSA-N 0 3 225.357 2.915 20 0 BFADHN Cc1cc([C@H]2CCCN2CCCCF)on1 ZINC000351855553 136258383 /nfs/dbraw/zinc/25/83/83/136258383.db2.gz QGVGKKCUMLITBF-LLVKDONJSA-N 0 3 226.295 2.870 20 0 BFADHN CCN(C#N)CCN[C@H](c1ccccc1)C1CC1 ZINC000602803242 349742718 /nfs/dbraw/zinc/74/27/18/349742718.db2.gz AODKSWSQHHJTNO-OAHLLOKOSA-N 0 3 243.354 2.530 20 0 BFADHN CC[C@H](NCCN(C#N)CC)c1ccc(C)cc1 ZINC000602802621 349742919 /nfs/dbraw/zinc/74/29/19/349742919.db2.gz RSMQASISWQSLNE-HNNXBMFYSA-N 0 3 245.370 2.839 20 0 BFADHN CCOCCN1CCC[C@@H]1c1ccsc1 ZINC000076199922 344022982 /nfs/dbraw/zinc/02/29/82/344022982.db2.gz OUBJCCSHOHWOPH-GFCCVEGCSA-N 0 3 225.357 2.922 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1cc(F)ccc1F ZINC000049948694 343019352 /nfs/dbraw/zinc/01/93/52/343019352.db2.gz LHPVJRSITGUHAN-CHWSQXEVSA-N 0 3 241.281 2.622 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](CC)c1cccs1 ZINC000309032047 259341835 /nfs/dbraw/zinc/34/18/35/259341835.db2.gz FJXLQOPIZNTIOG-OUAUKWLOSA-N 0 3 225.357 2.966 20 0 BFADHN CCN(C#N)CCNC(C)(C)c1ccccc1C ZINC000602831540 349767647 /nfs/dbraw/zinc/76/76/47/349767647.db2.gz JBYCUKJTIXVPPK-UHFFFAOYSA-N 0 3 245.370 2.623 20 0 BFADHN Cc1ccc(CN(CC2CCOCC2)C2CC2)o1 ZINC000602862383 349773401 /nfs/dbraw/zinc/77/34/01/349773401.db2.gz GEUJIHJQUDJZAH-UHFFFAOYSA-N 0 3 249.354 2.979 20 0 BFADHN C[C@@H](CNc1ncc(Cl)cc1Cl)N(C)C ZINC000037988025 343042153 /nfs/dbraw/zinc/04/21/53/343042153.db2.gz RUESJZUSAALHCH-ZETCQYMHSA-N 0 3 248.157 2.750 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1CC[C@@H](OC)C1 ZINC000471789001 529623793 /nfs/dbraw/zinc/62/37/93/529623793.db2.gz CKUBKENWVBGMTQ-IACUBPJLSA-N 0 3 248.370 2.862 20 0 BFADHN CC[C@H](C(=O)OC)N1CCC[C@@H](C(C)(C)C)C1 ZINC000483199508 529626028 /nfs/dbraw/zinc/62/60/28/529626028.db2.gz ORLUNJIPBKERHL-VXGBXAGGSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1ncc(CN(C(C)C)C2CCC2)cn1 ZINC000351878081 136261783 /nfs/dbraw/zinc/26/17/83/136261783.db2.gz RMSUNGJSHWPUKN-UHFFFAOYSA-N 0 3 219.332 2.548 20 0 BFADHN CC(C)CN1CCCC[C@@H]1c1ncc[nH]1 ZINC000177039687 346921063 /nfs/dbraw/zinc/92/10/63/346921063.db2.gz DRFMYRHSFNUNPO-LLVKDONJSA-N 0 3 207.321 2.593 20 0 BFADHN C[C@@H]1CC[C@@H](CN2C[C@@H](C)C(F)(F)[C@@H](C)C2)O1 ZINC000625655711 344025497 /nfs/dbraw/zinc/02/54/97/344025497.db2.gz WOFINQRNZDLOIP-KXNHARMFSA-N 0 3 247.329 2.777 20 0 BFADHN Cc1ccc(CNCCCCO)c(Cl)c1 ZINC000235775930 343058749 /nfs/dbraw/zinc/05/87/49/343058749.db2.gz VBGTUKGJRQZEOR-UHFFFAOYSA-N 0 3 227.735 2.511 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1nc(C)cs1 ZINC000038090865 343059637 /nfs/dbraw/zinc/05/96/37/343059637.db2.gz LOUXLNSBLWYBKY-SCZZXKLOSA-N 0 3 212.362 2.976 20 0 BFADHN CC[C@@H](O)CN[C@H](C)c1cccc(Cl)c1 ZINC000038092380 343060580 /nfs/dbraw/zinc/06/05/80/343060580.db2.gz LVYJZEIMGICWBX-BXKDBHETSA-N 0 3 227.735 2.762 20 0 BFADHN CCCCCC(=O)Nc1cc(C)cc(CN)c1 ZINC000322934646 259345100 /nfs/dbraw/zinc/34/51/00/259345100.db2.gz PDOACEPNYPZFHO-UHFFFAOYSA-N 0 3 234.343 2.973 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCOC2)c(Cl)c1 ZINC000235854571 343064842 /nfs/dbraw/zinc/06/48/42/343064842.db2.gz HVKFROLGBCNVKC-GFCCVEGCSA-N 0 3 239.746 2.917 20 0 BFADHN Cc1ccc2nc(CN[C@H]3CC[C@@H]3C)cn2c1 ZINC000336626809 136264518 /nfs/dbraw/zinc/26/45/18/136264518.db2.gz LGQPJYMBMLEJMS-AAEUAGOBSA-N 0 3 229.327 2.531 20 0 BFADHN CC1(CN2CCCc3occc3C2)CC1 ZINC000576863071 365707416 /nfs/dbraw/zinc/70/74/16/365707416.db2.gz FXKJGJQXFRTAEJ-UHFFFAOYSA-N 0 3 205.301 2.828 20 0 BFADHN CC[C@H]1CN(C[C@H](C)c2ccccc2)CCO1 ZINC000247159416 343105642 /nfs/dbraw/zinc/10/56/42/343105642.db2.gz QLFDUFYRCDHGLA-ZFWWWQNUSA-N 0 3 233.355 2.901 20 0 BFADHN CCO[C@H]1CCCN(CCOc2ccccc2)C1 ZINC000076400936 343109357 /nfs/dbraw/zinc/10/93/57/343109357.db2.gz GDUQNQPJPOIBLT-HNNXBMFYSA-N 0 3 249.354 2.566 20 0 BFADHN Cc1cc(C)cc(OCCNCC2(F)CC2)c1 ZINC000525986655 259350807 /nfs/dbraw/zinc/35/08/07/259350807.db2.gz JFTWVKMQGVPSGQ-UHFFFAOYSA-N 0 3 237.318 2.774 20 0 BFADHN CN[C@@H](CC(C)(C)C)C(=O)Nc1ccc(C)cc1 ZINC000387602435 346928258 /nfs/dbraw/zinc/92/82/58/346928258.db2.gz WGLBBSCZKCAGOH-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN CC(C)=CCCn1cc(CNC2CCCC2)nn1 ZINC000640944769 362090968 /nfs/dbraw/zinc/09/09/68/362090968.db2.gz IGJZUTULPFWBGD-UHFFFAOYSA-N 0 3 248.374 2.667 20 0 BFADHN CC[C@H]1CCCC[C@H]1N(C)Cc1ccnn1C ZINC000120689271 343129710 /nfs/dbraw/zinc/12/97/10/343129710.db2.gz GHMHKAQHMGMVDU-GXTWGEPZSA-N 0 3 235.375 2.821 20 0 BFADHN CC[C@H](C)N(C)Cc1cnc2cccc(C)n12 ZINC000507187729 529691081 /nfs/dbraw/zinc/69/10/81/529691081.db2.gz MBPXSORSABPYEH-NSHDSACASA-N 0 3 231.343 2.873 20 0 BFADHN CC[C@H](C)N(C)Cc1c(C)nn(CC)c1C ZINC000507161066 529691247 /nfs/dbraw/zinc/69/12/47/529691247.db2.gz OYDSJJKGQBTQOP-JTQLQIEISA-N 0 3 223.364 2.750 20 0 BFADHN COc1cc(C)ccc1CN(C)C1CCOCC1 ZINC000576934817 365725267 /nfs/dbraw/zinc/72/52/67/365725267.db2.gz KNBWQAGZSYCRAF-UHFFFAOYSA-N 0 3 249.354 2.614 20 0 BFADHN Nc1ccc2c(c1)CC[C@@H]2NCc1cncs1 ZINC000127997279 343179863 /nfs/dbraw/zinc/17/98/63/343179863.db2.gz OXGNJBMPUKVAGO-ZDUSSCGKSA-N 0 3 245.351 2.502 20 0 BFADHN COC(=O)c1ccccc1CN(C)[C@H](C)C1CC1 ZINC000130155571 343180141 /nfs/dbraw/zinc/18/01/41/343180141.db2.gz SYUAMJJHQLGRGE-LLVKDONJSA-N 0 3 247.338 2.704 20 0 BFADHN Cc1cccc(CN[C@@H]2CCOC3(CCC3)C2)n1 ZINC000094371638 343155994 /nfs/dbraw/zinc/15/59/94/343155994.db2.gz LBXSHUHGIWNRHD-CYBMUJFWSA-N 0 3 246.354 2.581 20 0 BFADHN C[C@@H](F)CCN[C@H](C)c1cn2ccccc2n1 ZINC000576957472 365732672 /nfs/dbraw/zinc/73/26/72/365732672.db2.gz NVORCWKWAAFTHQ-GHMZBOCLSA-N 0 3 235.306 2.733 20 0 BFADHN CCOC[C@H](C)NCc1ccc(C)c(F)c1 ZINC000082503547 136276767 /nfs/dbraw/zinc/27/67/67/136276767.db2.gz XPLOPCLJUDJTEB-NSHDSACASA-N 0 3 225.307 2.649 20 0 BFADHN CC[C@@H](COC)NCc1cnc(C2CC2)s1 ZINC000134348839 343194228 /nfs/dbraw/zinc/19/42/28/343194228.db2.gz HCPIXXTWDQBLKT-JTQLQIEISA-N 0 3 240.372 2.535 20 0 BFADHN CCC[C@H](O)CNCc1ccc(C(F)F)cc1 ZINC000134359336 343194611 /nfs/dbraw/zinc/19/46/11/343194611.db2.gz TTYKBYJEIZDVMC-LBPRGKRZSA-N 0 3 243.297 2.875 20 0 BFADHN CCC(CC)N(CC)Cc1cnc2n1CCC2 ZINC000668176299 488208966 /nfs/dbraw/zinc/20/89/66/488208966.db2.gz QINGTDDCPXYINW-UHFFFAOYSA-N 0 3 235.375 2.840 20 0 BFADHN CCC[C@@H](C)CCCN[C@H](C)c1nncn1C ZINC000625322668 343232019 /nfs/dbraw/zinc/23/20/19/343232019.db2.gz KBLWRSUNZSBJQY-VXGBXAGGSA-N 0 3 238.379 2.682 20 0 BFADHN Cc1ccncc1[C@@H](C)NC[C@H]1CC(C)(C)CO1 ZINC000634686300 343233475 /nfs/dbraw/zinc/23/34/75/343233475.db2.gz HNHGBDWMBHHNIW-CHWSQXEVSA-N 0 3 248.370 2.856 20 0 BFADHN CCO[C@H](CN[C@H](C)c1cnccc1C)C1CC1 ZINC000625320799 343234183 /nfs/dbraw/zinc/23/41/83/343234183.db2.gz ZOBNFJGGJRWKAN-IUODEOHRSA-N 0 3 248.370 2.856 20 0 BFADHN CCO[C@H](CN[C@H](C)c1ccccn1)C1CC1 ZINC000625320710 343234214 /nfs/dbraw/zinc/23/42/14/343234214.db2.gz YDEQSVFWPGMPQC-BXUZGUMPSA-N 0 3 234.343 2.547 20 0 BFADHN CCC[C@H]1CCCCN1CC(=O)N[C@@H](C)CC ZINC000185865125 343237108 /nfs/dbraw/zinc/23/71/08/343237108.db2.gz SKBDJQVITVGFKV-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN CSCCN[C@H](C)c1ccsc1 ZINC000068994002 343255122 /nfs/dbraw/zinc/25/51/22/343255122.db2.gz SKOINBGREQPADF-MRVPVSSYSA-N 0 3 201.360 2.762 20 0 BFADHN CC[C@@H](C)[C@@H](N)C(=O)Nc1ccc(C)cc1C ZINC000019441958 343249508 /nfs/dbraw/zinc/24/95/08/343249508.db2.gz DNWDSYNJIWCUMJ-ZWNOBZJWSA-N 0 3 234.343 2.615 20 0 BFADHN CCN(Cc1ccnc(Cl)c1)CC1CC1 ZINC000068946940 343253006 /nfs/dbraw/zinc/25/30/06/343253006.db2.gz CJAWOFGTXGTAJV-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN CCO[C@H](CN[C@H](C)c1cccc(O)c1)C1CC1 ZINC000625294121 343223782 /nfs/dbraw/zinc/22/37/82/343223782.db2.gz UGQNPSCFLCYQDS-IAQYHMDHSA-N 0 3 249.354 2.858 20 0 BFADHN CC(C)(C)CCn1cc([C@H](N)C(C)(C)C)nn1 ZINC000641043897 362154207 /nfs/dbraw/zinc/15/42/07/362154207.db2.gz XCHYWJHKKPJTEX-NSHDSACASA-N 0 3 238.379 2.760 20 0 BFADHN COCCCN(C)Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000051501621 343258150 /nfs/dbraw/zinc/25/81/50/343258150.db2.gz MSSUOBFLOLKNEU-DGCLKSJQSA-N 0 3 237.343 2.871 20 0 BFADHN CC[C@@H](NC1CSC1)c1ccccc1 ZINC000308582815 488210004 /nfs/dbraw/zinc/21/00/04/488210004.db2.gz HHILDBHHAUNYHW-GFCCVEGCSA-N 0 3 207.342 2.843 20 0 BFADHN C[C@@H]1CCN(C/C=C\c2ccccc2)C[C@H]1CO ZINC000621363538 343267168 /nfs/dbraw/zinc/26/71/68/343267168.db2.gz RFPZSXNHYJUALJ-IRHPPKKSSA-N 0 3 245.366 2.650 20 0 BFADHN C[C@H](CO)NCc1ccc(-c2ccccc2)cc1 ZINC000034458220 343271603 /nfs/dbraw/zinc/27/16/03/343271603.db2.gz GHZBQMHKIXTVHS-CYBMUJFWSA-N 0 3 241.334 2.824 20 0 BFADHN CCCCCCNCc1ccc(C(=O)OC)o1 ZINC000034981221 343282216 /nfs/dbraw/zinc/28/22/16/343282216.db2.gz DRBLODJNSNLKSZ-UHFFFAOYSA-N 0 3 239.315 2.736 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCCCC[C@H]2O)c1 ZINC000348752696 136296846 /nfs/dbraw/zinc/29/68/46/136296846.db2.gz GYPQVEWXZHHMLS-GZBFAFLISA-N 0 3 248.370 2.734 20 0 BFADHN C[C@H](Cn1cccn1)NCc1ccc(Cl)cc1 ZINC000035259080 343291479 /nfs/dbraw/zinc/29/14/79/343291479.db2.gz MMXIIVADMKYNAG-LLVKDONJSA-N 0 3 249.745 2.715 20 0 BFADHN CCCCNC(=O)CN1CCCC[C@H]1CCC ZINC000185877134 343295596 /nfs/dbraw/zinc/29/55/96/343295596.db2.gz QQOOSRSKLWCABP-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN Clc1ccc(CN[C@@H]2CC23CC3)cc1 ZINC000335285301 136293004 /nfs/dbraw/zinc/29/30/04/136293004.db2.gz UZADTQYJADDDCR-LLVKDONJSA-N 0 3 207.704 2.982 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nccn1C)C(C)C ZINC000070008032 343296535 /nfs/dbraw/zinc/29/65/35/343296535.db2.gz DZHCXPYFGWVTAQ-WDEREUQCSA-N 0 3 209.337 2.505 20 0 BFADHN CC(C)CCCN[C@H](C)c1nccn1C ZINC000070007915 343296741 /nfs/dbraw/zinc/29/67/41/343296741.db2.gz RSWDTROQNSXBOZ-LLVKDONJSA-N 0 3 209.337 2.507 20 0 BFADHN CCC[C@H](CC)N[C@@H](C)c1nccn1C ZINC000070008229 343296744 /nfs/dbraw/zinc/29/67/44/343296744.db2.gz OVIYOYBLEJPYLA-QWRGUYRKSA-N 0 3 209.337 2.649 20 0 BFADHN C[C@@H](NC[C@H](C)O)c1ccccc1C(F)(F)F ZINC000035602005 343298716 /nfs/dbraw/zinc/29/87/16/343298716.db2.gz XRKYDLCVIHWXFR-DTWKUNHWSA-N 0 3 247.260 2.737 20 0 BFADHN Cc1cc(C)cc(CNCc2cccnc2)c1 ZINC000037978577 343363264 /nfs/dbraw/zinc/36/32/64/343363264.db2.gz SJEJHXNBCDOTJQ-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN Cc1n[nH]cc1CNC1CC(c2ccccc2)C1 ZINC000038011355 343365578 /nfs/dbraw/zinc/36/55/78/343365578.db2.gz YKGMIUNTODNTPL-UHFFFAOYSA-N 0 3 241.338 2.754 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1C[C@H](C)O[C@H]1C ZINC000395032001 362173687 /nfs/dbraw/zinc/17/36/87/362173687.db2.gz HKPJSSBEMUDAKE-COPLHBTASA-N 0 3 237.318 2.790 20 0 BFADHN COC(C)(C)C[C@H](C)NCc1nc(C)cs1 ZINC000038090906 343370483 /nfs/dbraw/zinc/37/04/83/343370483.db2.gz IOOOQWDFYAYGGJ-VIFPVBQESA-N 0 3 242.388 2.745 20 0 BFADHN CC/C=C/CCn1cc(CNC2CCCC2)nn1 ZINC000641066091 362174254 /nfs/dbraw/zinc/17/42/54/362174254.db2.gz SIFNNISGBMUKDV-ONEGZZNKSA-N 0 3 248.374 2.667 20 0 BFADHN Cc1ncccc1CN1CC(C2CCC2)C1 ZINC000335652618 136305432 /nfs/dbraw/zinc/30/54/32/136305432.db2.gz DAURLOONAPPLIH-UHFFFAOYSA-N 0 3 216.328 2.622 20 0 BFADHN C[C@@H](Cn1ccnc1)N[C@@H](C)c1ccccc1F ZINC000037157872 343337310 /nfs/dbraw/zinc/33/73/10/343337310.db2.gz PJUVGARTUDQSBD-RYUDHWBXSA-N 0 3 247.317 2.762 20 0 BFADHN CO[C@H](C)CN(C)Cc1coc2ccccc12 ZINC000348835628 136301665 /nfs/dbraw/zinc/30/16/65/136301665.db2.gz AJNYLWUIRGRDNC-LLVKDONJSA-N 0 3 233.311 2.900 20 0 BFADHN CO[C@H](C)CN(C)C/C=C\c1ccc(F)cc1 ZINC000348836525 136302085 /nfs/dbraw/zinc/30/20/85/136302085.db2.gz CVNVBRFJSCZDOM-QMAVJUDZSA-N 0 3 237.318 2.806 20 0 BFADHN C[C@H](CO)N[C@H](C)c1ccc(F)cc1Cl ZINC000037258610 343341724 /nfs/dbraw/zinc/34/17/24/343341724.db2.gz NVFHLYBLZNONGO-HTQZYQBOSA-N 0 3 231.698 2.511 20 0 BFADHN Cc1ccc2c(c1)nc(CNC1CCCC1)n2C ZINC000037599589 343349056 /nfs/dbraw/zinc/34/90/56/343349056.db2.gz AWAHUPYEJIKRDM-UHFFFAOYSA-N 0 3 243.354 2.914 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1cc(C)ccc1F ZINC000037621422 343349628 /nfs/dbraw/zinc/34/96/28/343349628.db2.gz LTSDDGBACJDTNK-ZDUSSCGKSA-N 0 3 238.306 2.590 20 0 BFADHN CCOc1cccc(NC2CCN(C)CC2)c1 ZINC000037780264 343354499 /nfs/dbraw/zinc/35/44/99/343354499.db2.gz ISDYCNGCFAGUCA-UHFFFAOYSA-N 0 3 234.343 2.591 20 0 BFADHN Cn1nc2c(c1CN[C@H]1CCC1(C)C)CCCC2 ZINC000335676514 136318587 /nfs/dbraw/zinc/31/85/87/136318587.db2.gz OKNCGOOGKMGFII-AWEZNQCLSA-N 0 3 247.386 2.577 20 0 BFADHN Oc1cccc2c1CCN(CC1CCC1)C2 ZINC000221671493 346935411 /nfs/dbraw/zinc/93/54/11/346935411.db2.gz AFMQAWILXIMRHH-UHFFFAOYSA-N 0 3 217.312 2.550 20 0 BFADHN Cc1ccoc1CN(CCN(C)C)CC(C)C ZINC000348904960 136306234 /nfs/dbraw/zinc/30/62/34/136306234.db2.gz OZTZNVBOOSZWME-UHFFFAOYSA-N 0 3 238.375 2.608 20 0 BFADHN C[C@H]1CC[C@H](NCc2noc3c2CCCC3)C1 ZINC000335657492 136307104 /nfs/dbraw/zinc/30/71/04/136307104.db2.gz SAZLUMYNEVLOQI-QWRGUYRKSA-N 0 3 234.343 2.832 20 0 BFADHN CCC[C@H](N)c1cn(CC2CC(C)(C)C2)nn1 ZINC000641069975 362182092 /nfs/dbraw/zinc/18/20/92/362182092.db2.gz WNKLCKCECSSRGQ-NSHDSACASA-N 0 3 236.363 2.514 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCOC[C@@H](C)C1 ZINC000335665859 136310729 /nfs/dbraw/zinc/31/07/29/136310729.db2.gz KVDVGHIVRCUNIY-RYUDHWBXSA-N 0 3 237.318 2.855 20 0 BFADHN CC[C@@H](N)c1cn([C@H](C)c2cccc(C)c2)nn1 ZINC000641074919 362183886 /nfs/dbraw/zinc/18/38/86/362183886.db2.gz NVQQBLNNGAIKEB-DGCLKSJQSA-N 0 3 244.342 2.606 20 0 BFADHN Cc1cc(CN[C@H]2C[C@H]2C(F)F)cc(C)c1O ZINC000349018891 136313031 /nfs/dbraw/zinc/31/30/31/136313031.db2.gz AENXJSIVVNURBO-MNOVXSKESA-N 0 3 241.281 2.752 20 0 BFADHN Cc1cn[nH]c1CN1CC[C@H]1c1ccccc1 ZINC000335663882 136315875 /nfs/dbraw/zinc/31/58/75/136315875.db2.gz SMAVHLQRLNEGGQ-AWEZNQCLSA-N 0 3 227.311 2.665 20 0 BFADHN CCCCOCCNCc1ccc(F)c(F)c1 ZINC000040505984 343405848 /nfs/dbraw/zinc/40/58/48/343405848.db2.gz MAWXLPWTYYVIIV-UHFFFAOYSA-N 0 3 243.297 2.871 20 0 BFADHN Cc1nc(CNC2Cc3ccccc3C2)cs1 ZINC000041010523 343412342 /nfs/dbraw/zinc/41/23/42/343412342.db2.gz FRCFTCGXDRXWQV-UHFFFAOYSA-N 0 3 244.363 2.709 20 0 BFADHN Cc1ccc(CN(C)CCN(C)C2CC2)cc1 ZINC000349380107 136344102 /nfs/dbraw/zinc/34/41/02/136344102.db2.gz DMYKRBDFYITKKK-UHFFFAOYSA-N 0 3 232.371 2.521 20 0 BFADHN Cc1nc(CNC[C@@H]2CC[C@H](C)C2)cs1 ZINC000230413562 343425109 /nfs/dbraw/zinc/42/51/09/343425109.db2.gz ODZSQNFENKDNBN-GXSJLCMTSA-N 0 3 224.373 2.977 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2CC(C)C2)cc1 ZINC000335726770 136347428 /nfs/dbraw/zinc/34/74/28/136347428.db2.gz TUGZNTWZIRIIAY-UHFFFAOYSA-N 0 3 241.338 2.837 20 0 BFADHN CC(=O)Nc1ccccc1CN1[C@H](C)C[C@@H]1C ZINC000335681220 136328858 /nfs/dbraw/zinc/32/88/58/136328858.db2.gz ODMAXQXLSXYPRD-PHIMTYICSA-N 0 3 232.327 2.628 20 0 BFADHN CC(=O)Nc1ccccc1CN1[C@@H](C)C[C@@H]1C ZINC000335681221 136329039 /nfs/dbraw/zinc/32/90/39/136329039.db2.gz ODMAXQXLSXYPRD-QWRGUYRKSA-N 0 3 232.327 2.628 20 0 BFADHN CC[C@H](N[C@H](C)COC)c1ccccc1F ZINC000166290647 136331590 /nfs/dbraw/zinc/33/15/90/136331590.db2.gz MXLZDNFISKCMOG-MFKMUULPSA-N 0 3 225.307 2.901 20 0 BFADHN C(C1CC1)N1CCO[C@H](C2CCCCC2)C1 ZINC000349245515 136332634 /nfs/dbraw/zinc/33/26/34/136332634.db2.gz RRDLVBZCSJZLGV-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN Cc1cc2cc(CN(C)[C@H](C)CO)oc2cc1C ZINC000349279712 136334510 /nfs/dbraw/zinc/33/45/10/136334510.db2.gz OJEMWRGPAQLXGD-GFCCVEGCSA-N 0 3 247.338 2.862 20 0 BFADHN CC[C@@H](NCc1cn2ccccc2n1)C(C)C ZINC000042320463 343434542 /nfs/dbraw/zinc/43/45/42/343434542.db2.gz VACQYFJRTKMWFS-CYBMUJFWSA-N 0 3 231.343 2.859 20 0 BFADHN Cc1cc(C)cc(CN(C)CCN(C)C2CC2)c1 ZINC000349341642 136342113 /nfs/dbraw/zinc/34/21/13/136342113.db2.gz BXFBZRLBQMMCFZ-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN C/C=C\C[C@H](CO)N[C@@H](C)c1ccc(F)cc1 ZINC000349341916 136342393 /nfs/dbraw/zinc/34/23/93/136342393.db2.gz LWROSGBRTRZSAV-FFIQWJHNSA-N 0 3 237.318 2.803 20 0 BFADHN CCc1ccc(CN(C)CCN(C)C2CC2)cc1 ZINC000349354109 136342615 /nfs/dbraw/zinc/34/26/15/136342615.db2.gz SJNKYGCDXMOIFM-UHFFFAOYSA-N 0 3 246.398 2.775 20 0 BFADHN CCCN(C)Cc1cc(C)ccc1OC ZINC000047703297 343445794 /nfs/dbraw/zinc/44/57/94/343445794.db2.gz UJWYDJGQGGZSKW-UHFFFAOYSA-N 0 3 207.317 2.845 20 0 BFADHN CC(C)N(CCO)Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000350049467 136353500 /nfs/dbraw/zinc/35/35/00/136353500.db2.gz BXYQMTVIGANKPF-DGCLKSJQSA-N 0 3 237.343 2.606 20 0 BFADHN Cc1cnc(CN(C)[C@@H]2CCC(C)(C)C2)cn1 ZINC000335850496 136355260 /nfs/dbraw/zinc/35/52/60/136355260.db2.gz RQXNIPBTUNHFDP-CYBMUJFWSA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@@H]1CCCN([C@@H](C)c2cnc(C)cn2)C1 ZINC000335879329 136356515 /nfs/dbraw/zinc/35/65/15/136356515.db2.gz QBAGCJNDZNUWRL-QWHCGFSZSA-N 0 3 233.359 2.968 20 0 BFADHN CC[C@H](C)CNCc1ccn(C(C)C)n1 ZINC000082866433 362243122 /nfs/dbraw/zinc/24/31/22/362243122.db2.gz PHVFPSNPGLCORW-NSHDSACASA-N 0 3 209.337 2.600 20 0 BFADHN CCc1nc(C)c(CN2C[C@@H]3CCC[C@H]3C2)o1 ZINC000335879570 136357128 /nfs/dbraw/zinc/35/71/28/136357128.db2.gz GPDBYXWADPOFJR-RYUDHWBXSA-N 0 3 234.343 2.777 20 0 BFADHN Fc1ccc(F)c(CNC[C@@H]2CCCCO2)c1 ZINC000042815066 343454343 /nfs/dbraw/zinc/45/43/43/343454343.db2.gz RBMIHEOBIAXBQA-LBPRGKRZSA-N 0 3 241.281 2.624 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc(SC)cc1 ZINC000166844439 136364395 /nfs/dbraw/zinc/36/43/95/136364395.db2.gz NIODVKKFZDYOBO-MNOVXSKESA-N 0 3 239.384 2.922 20 0 BFADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1ccc(F)cn1 ZINC000397841042 262936940 /nfs/dbraw/zinc/93/69/40/262936940.db2.gz QNXKEVKRKNODBT-YWVKMMECSA-N 0 3 240.347 2.765 20 0 BFADHN CCN(CCC1CC1)Cc1cc(C)no1 ZINC000351582102 136369354 /nfs/dbraw/zinc/36/93/54/136369354.db2.gz PJZKHOPJTYTAJT-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1nccn1C(F)F ZINC000042555868 343443281 /nfs/dbraw/zinc/44/32/81/343443281.db2.gz LWHOCFREZJXQDI-BDAKNGLRSA-N 0 3 231.290 2.802 20 0 BFADHN CCN(C)Cc1csc(Br)c1 ZINC000080112768 136375400 /nfs/dbraw/zinc/37/54/00/136375400.db2.gz ODMXGIIDRUDDJK-UHFFFAOYSA-N 0 3 234.162 2.962 20 0 BFADHN C[C@H](N[C@H]1COC(C)(C)C1)c1cccs1 ZINC000335655739 136376221 /nfs/dbraw/zinc/37/62/21/136376221.db2.gz FTSXCBYTJMJZSQ-VHSXEESVSA-N 0 3 225.357 2.966 20 0 BFADHN Cc1cc(C)cc(CN[C@@H]2CO[C@H](C)C2)c1 ZINC000402117815 349810494 /nfs/dbraw/zinc/81/04/94/349810494.db2.gz GCUPMHNCEYIEMP-OCCSQVGLSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1nnsc1CNC1CCCCCC1 ZINC000083575243 343490804 /nfs/dbraw/zinc/49/08/04/343490804.db2.gz BFQVBVKMAJMYDJ-UHFFFAOYSA-N 0 3 225.361 2.659 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC(C(F)F)CC2)O1 ZINC000335856836 344033812 /nfs/dbraw/zinc/03/38/12/344033812.db2.gz KFFVJWMHJPHKMZ-MWLCHTKSSA-N 0 3 233.302 2.531 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1cccc(F)c1C ZINC000043321991 343468166 /nfs/dbraw/zinc/46/81/66/343468166.db2.gz FQARQFZFUWKXDM-ZDUSSCGKSA-N 0 3 238.306 2.590 20 0 BFADHN Cc1noc(C)c1CN(C)CC1CCCC1 ZINC000047718392 343469243 /nfs/dbraw/zinc/46/92/43/343469243.db2.gz OYRLMXSLPNZCKY-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN CN(CC[S@@](=O)c1ccccc1)C(C)(C)C ZINC000580548214 365749047 /nfs/dbraw/zinc/74/90/47/365749047.db2.gz JVQPLEJYKHTEMJ-MRXNPFEDSA-N 0 3 239.384 2.525 20 0 BFADHN CSC1CCN(CCC(F)(F)F)CC1 ZINC000602919783 349810216 /nfs/dbraw/zinc/81/02/16/349810216.db2.gz IWMJPCBSNRPKTR-UHFFFAOYSA-N 0 3 227.295 2.766 20 0 BFADHN CCN(CC(=O)Nc1ccccc1C)CC(C)C ZINC000046064939 343538263 /nfs/dbraw/zinc/53/82/63/343538263.db2.gz HQVFVDHCUSTSPZ-UHFFFAOYSA-N 0 3 248.370 2.911 20 0 BFADHN CCOc1cc(CN[C@@H]2CC2(C)C)ccc1O ZINC000044371314 343498770 /nfs/dbraw/zinc/49/87/70/343498770.db2.gz NNMRQEUMTBGLRP-CYBMUJFWSA-N 0 3 235.327 2.679 20 0 BFADHN Cc1csc([C@H](C)NCC2CCC2)n1 ZINC000083838830 343504393 /nfs/dbraw/zinc/50/43/93/343504393.db2.gz QOHFSMVGFIFWAA-VIFPVBQESA-N 0 3 210.346 2.902 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ccno2)[C@@H](C)C1 ZINC000230972963 343577036 /nfs/dbraw/zinc/57/70/36/343577036.db2.gz HQEJEMSNPOUIND-SCVCMEIPSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H](Cc1ccsc1)N(C)C[C@@H]1CCCO1 ZINC000176454336 365766530 /nfs/dbraw/zinc/76/65/30/365766530.db2.gz PNXAABBHQHBYFE-AAEUAGOBSA-N 0 3 239.384 2.790 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2ccoc2)cn1 ZINC000178091634 346946695 /nfs/dbraw/zinc/94/66/95/346946695.db2.gz GNOJKJCHRBZSOD-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCCC[C@@H]2C)no1 ZINC000192627345 365773352 /nfs/dbraw/zinc/77/33/52/365773352.db2.gz FBYGQHQVKRTDEU-GWCFXTLKSA-N 0 3 222.332 2.994 20 0 BFADHN CCCc1ccc(CN[C@H](C)COC)cc1 ZINC000083351624 343586016 /nfs/dbraw/zinc/58/60/16/343586016.db2.gz AZPRLCLLJCYYGV-GFCCVEGCSA-N 0 3 221.344 2.764 20 0 BFADHN Cc1ncc(CNC2C(C)(C)C2(C)C)s1 ZINC000086239814 343615758 /nfs/dbraw/zinc/61/57/58/343615758.db2.gz QLVJLZJIKIFBKD-UHFFFAOYSA-N 0 3 224.373 2.976 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H](C)[C@@H]2C)nc1C ZINC000313812435 259365966 /nfs/dbraw/zinc/36/59/66/259365966.db2.gz IVSLPSFWFHGBMI-UHIISALHSA-N 0 3 218.344 2.833 20 0 BFADHN CC[C@@H](C)NC(=O)CN[C@@H](C)c1ccc(C)cc1 ZINC000049556794 343602715 /nfs/dbraw/zinc/60/27/15/343602715.db2.gz PAVIOGVDQXJWBP-OLZOCXBDSA-N 0 3 248.370 2.560 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2cccnc2C)C1(C)C ZINC000310925915 343605543 /nfs/dbraw/zinc/60/55/43/343605543.db2.gz HZHOMAHGUBOVHQ-ZIAGYGMSSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1ccc([C@@H](C)NCc2ccc[nH]c2=O)cc1 ZINC000624257930 343621860 /nfs/dbraw/zinc/62/18/60/343621860.db2.gz VPJHPKJPKCVFFB-GFCCVEGCSA-N 0 3 242.322 2.946 20 0 BFADHN C[C@H]1CCCC[C@@H]1OCCN(C)C1CC1 ZINC000047914915 343644283 /nfs/dbraw/zinc/64/42/83/343644283.db2.gz DRUFJNWSXIUJTL-AAEUAGOBSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@H]1CCCC[C@H]1OCCN(C)C1CC1 ZINC000047914917 343644342 /nfs/dbraw/zinc/64/43/42/343644342.db2.gz DRUFJNWSXIUJTL-WCQYABFASA-N 0 3 211.349 2.676 20 0 BFADHN FC(F)(F)[C@H]1CCCN(CC2CC2)C1 ZINC000178293313 346950926 /nfs/dbraw/zinc/95/09/26/346950926.db2.gz JKTYKYVLIDEMAA-VIFPVBQESA-N 0 3 207.239 2.671 20 0 BFADHN Cc1c(Br)cccc1CN(C)C ZINC000196644230 343633703 /nfs/dbraw/zinc/63/37/03/343633703.db2.gz AQVNETCPSFBSIO-UHFFFAOYSA-N 0 3 228.133 2.819 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cc(OC)ns1 ZINC000399092726 488227406 /nfs/dbraw/zinc/22/74/06/488227406.db2.gz XMQNDDVISOIDLS-RKDXNWHRSA-N 0 3 228.361 2.676 20 0 BFADHN CCN1CCN(Cc2ccc(C)s2)C[C@H]1C ZINC000678261800 488229013 /nfs/dbraw/zinc/22/90/13/488229013.db2.gz UENXAVGSJKHTQK-LLVKDONJSA-N 0 3 238.400 2.583 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@H]1C[C@H](OC)C1 ZINC000624296763 343674998 /nfs/dbraw/zinc/67/49/98/343674998.db2.gz HLFCRPOOXQBCOJ-JHJVBQTASA-N 0 3 249.354 2.913 20 0 BFADHN CO[C@H]1C[C@H](N[C@H]2CCCc3c(O)cccc32)C1 ZINC000624300885 343678736 /nfs/dbraw/zinc/67/87/36/343678736.db2.gz UMXKZTCGMXUUEN-MJVIPROJSA-N 0 3 247.338 2.537 20 0 BFADHN CCc1ccc(CNC[C@H]2CCCS2)o1 ZINC000087668085 343656555 /nfs/dbraw/zinc/65/65/55/343656555.db2.gz FDRZIWPGGAYRBP-GFCCVEGCSA-N 0 3 225.357 2.827 20 0 BFADHN c1coc(CNC[C@H]2CCCCS2)c1 ZINC000087679867 343657266 /nfs/dbraw/zinc/65/72/66/343657266.db2.gz ZJGSTEAVTMXCKF-LLVKDONJSA-N 0 3 211.330 2.655 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1ccon1 ZINC000088052577 343699041 /nfs/dbraw/zinc/69/90/41/343699041.db2.gz DLPXTQACFXKGNI-PWSUYJOCSA-N 0 3 208.305 2.733 20 0 BFADHN COCCN(Cc1ccccc1C)C1CC1 ZINC000048023084 343701025 /nfs/dbraw/zinc/70/10/25/343701025.db2.gz HZPRKIUYPRMUTQ-UHFFFAOYSA-N 0 3 219.328 2.606 20 0 BFADHN CC(C)CN(C)Cc1ccc([S@](C)=O)cc1 ZINC000178411044 346954842 /nfs/dbraw/zinc/95/48/42/346954842.db2.gz YTEBCPCILLUEGK-INIZCTEOSA-N 0 3 239.384 2.512 20 0 BFADHN CCOCCCN[C@H]1CCCc2scnc21 ZINC000623849083 343686209 /nfs/dbraw/zinc/68/62/09/343686209.db2.gz VKRXJJIDNMREKE-JTQLQIEISA-N 0 3 240.372 2.537 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1cccc(C)n1 ZINC000309094883 346962184 /nfs/dbraw/zinc/96/21/84/346962184.db2.gz DNHSEIHULHKOFA-WCQYABFASA-N 0 3 204.317 2.668 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN1CCc2nc[nH]c2C1 ZINC000625434228 343734930 /nfs/dbraw/zinc/73/49/30/343734930.db2.gz KHFPVLKXCRSABZ-NWDGAFQWSA-N 0 3 233.359 2.594 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CCc2nc[nH]c2C1 ZINC000625434230 343735264 /nfs/dbraw/zinc/73/52/64/343735264.db2.gz KHFPVLKXCRSABZ-VXGBXAGGSA-N 0 3 233.359 2.594 20 0 BFADHN CC(C)(C)CCCN1CCc2nc[nH]c2C1 ZINC000625435444 343736697 /nfs/dbraw/zinc/73/66/97/343736697.db2.gz QPQMSCPQJGCNBR-UHFFFAOYSA-N 0 3 221.348 2.594 20 0 BFADHN C[C@H](Cc1ccsc1)N(C)Cc1cnc[nH]1 ZINC000093705707 537805820 /nfs/dbraw/zinc/80/58/20/537805820.db2.gz HRLRLOLJOLJPJA-SNVBAGLBSA-N 0 3 235.356 2.534 20 0 BFADHN CC1(C)COC[C@H]1N[C@H]1CCCc2occc21 ZINC000312992967 343714133 /nfs/dbraw/zinc/71/41/33/343714133.db2.gz YKULWDLDYDKQJB-WCQYABFASA-N 0 3 235.327 2.672 20 0 BFADHN COc1cccc(CN2CCC[C@H]2C)c1F ZINC000192952332 488232714 /nfs/dbraw/zinc/23/27/14/488232714.db2.gz JVEDAZSRTYPCFW-SNVBAGLBSA-N 0 3 223.291 2.819 20 0 BFADHN CC/C=C\CCN1CCN(C2CCCC2)CC1 ZINC000342221203 343726927 /nfs/dbraw/zinc/72/69/27/343726927.db2.gz AWBJZYDTYUGUCZ-ARJAWSKDSA-N 0 3 236.403 2.903 20 0 BFADHN CCC(CC)N(CC)CC(=O)NCC(C)(C)C ZINC000625431236 343732288 /nfs/dbraw/zinc/73/22/88/343732288.db2.gz CSWPPEKZXQILLS-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN CCCCOCCNCc1ccsc1C ZINC000309117743 346967672 /nfs/dbraw/zinc/96/76/72/346967672.db2.gz RRQUZQGLMZFZPZ-UHFFFAOYSA-N 0 3 227.373 2.963 20 0 BFADHN CCc1ncc(CN[C@@H](C)CCc2ccco2)o1 ZINC000584212796 343774855 /nfs/dbraw/zinc/77/48/55/343774855.db2.gz KECLMACBDQIDNK-NSHDSACASA-N 0 3 248.326 2.941 20 0 BFADHN C[C@@H](N[C@@H](CC(F)F)c1ccccc1)[C@H](C)O ZINC000584227533 343775286 /nfs/dbraw/zinc/77/52/86/343775286.db2.gz VNKGTXKCZHOLLL-SCVCMEIPSA-N 0 3 243.297 2.742 20 0 BFADHN CCn1ccc(CN[C@@H]2CCCCC2(C)C)n1 ZINC000584159898 343766679 /nfs/dbraw/zinc/76/66/79/343766679.db2.gz BLHXJUPJHJWAJB-CYBMUJFWSA-N 0 3 235.375 2.961 20 0 BFADHN CCO[C@H](CN(C)Cc1occc1C)C1CC1 ZINC000625445936 343767255 /nfs/dbraw/zinc/76/72/55/343767255.db2.gz SKSYFPHGSXJTMV-CQSZACIVSA-N 0 3 237.343 2.835 20 0 BFADHN CC[C@H](Cc1ccccc1)NCc1cocn1 ZINC000182060505 346980297 /nfs/dbraw/zinc/98/02/97/346980297.db2.gz QIFVFKPNAIWUED-CYBMUJFWSA-N 0 3 230.311 2.786 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2cnn3ccccc23)C1 ZINC000179761457 346996130 /nfs/dbraw/zinc/99/61/30/346996130.db2.gz YWWXMVJZMKDALX-CHWSQXEVSA-N 0 3 243.354 2.955 20 0 BFADHN CCCCCN(Cc1cn(C)cn1)C(C)C ZINC000683898560 488240042 /nfs/dbraw/zinc/24/00/42/488240042.db2.gz MSVQFGVAAVHOIT-UHFFFAOYSA-N 0 3 223.364 2.821 20 0 BFADHN Cc1nc(C)c(CN(C(C)C)C2CC2)o1 ZINC000683898121 488240107 /nfs/dbraw/zinc/24/01/07/488240107.db2.gz LPWLWKSZDYIBGG-UHFFFAOYSA-N 0 3 208.305 2.664 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCc1cccnc1 ZINC000179637861 346990682 /nfs/dbraw/zinc/99/06/82/346990682.db2.gz DNMWSIHAQPZTHC-CYBMUJFWSA-N 0 3 241.338 2.712 20 0 BFADHN CCN(Cc1cnn(C(C)C)c1)C(C)C ZINC000179952763 347004931 /nfs/dbraw/zinc/00/49/31/347004931.db2.gz LSMGWOAKQKZSAN-UHFFFAOYSA-N 0 3 209.337 2.694 20 0 BFADHN CCCc1ccc(CN2C[C@H](O)C[C@H]2C)s1 ZINC000621724618 343871930 /nfs/dbraw/zinc/87/19/30/343871930.db2.gz ORDQMUAQRSEERK-GHMZBOCLSA-N 0 3 239.384 2.656 20 0 BFADHN COCCCCCN[C@H](C)c1nc(C)cs1 ZINC000184163141 537827650 /nfs/dbraw/zinc/82/76/50/537827650.db2.gz KCTPNGSIZBLBKY-LLVKDONJSA-N 0 3 242.388 2.919 20 0 BFADHN Cc1cc([C@H](C)NCC2CC(C)C2)no1 ZINC000621771999 343897721 /nfs/dbraw/zinc/89/77/21/343897721.db2.gz UZUDOJBIZNFOIB-JZQLECPJSA-N 0 3 208.305 2.680 20 0 BFADHN CC(C)[C@@H](C)n1cc([C@H](N)C(C)(C)C)nn1 ZINC000641188067 362264978 /nfs/dbraw/zinc/26/49/78/362264978.db2.gz DHSUPXVWNIOCHL-KOLCDFICSA-N 0 3 224.352 2.541 20 0 BFADHN CC(C)[C@@H](C)n1cc([C@@H](N)C(C)(C)C)nn1 ZINC000641188068 362265036 /nfs/dbraw/zinc/26/50/36/362265036.db2.gz DHSUPXVWNIOCHL-MWLCHTKSSA-N 0 3 224.352 2.541 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCSC2)o1 ZINC000308798016 178610823 /nfs/dbraw/zinc/61/08/23/178610823.db2.gz IPVBOIZAERJFKP-NSHDSACASA-N 0 3 225.357 2.821 20 0 BFADHN OCc1ccc(F)c(CNC2(C3CCC3)CC2)c1 ZINC000625513303 343915099 /nfs/dbraw/zinc/91/50/99/343915099.db2.gz ILMJPWPEOGUEFH-UHFFFAOYSA-N 0 3 249.329 2.740 20 0 BFADHN C/C(=C\c1ccccc1)CN1CC[C@](C)(O)C1 ZINC000180193075 347016950 /nfs/dbraw/zinc/01/69/50/347016950.db2.gz DRYVXYAIATVCJW-VOMSXAGXSA-N 0 3 231.339 2.547 20 0 BFADHN CSCCCN[C@H](C)c1cnc(C)s1 ZINC000090417503 343930258 /nfs/dbraw/zinc/93/02/58/343930258.db2.gz VBKPQTWDKDVQIO-MRVPVSSYSA-N 0 3 230.402 2.855 20 0 BFADHN CCN(Cc1ncc(C)c(OC)c1C)CC1CC1 ZINC000070014022 343946957 /nfs/dbraw/zinc/94/69/57/343946957.db2.gz SNRQZNCDJPJLGD-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1scnc1C1CC1 ZINC000336757980 537838047 /nfs/dbraw/zinc/83/80/47/537838047.db2.gz HXLLJVWIIAOALG-BDAKNGLRSA-N 0 3 240.372 2.534 20 0 BFADHN CCc1cnc(CNC[C@@H](C)CC)s1 ZINC000093077417 343962676 /nfs/dbraw/zinc/96/26/76/343962676.db2.gz WJXVGHWLNRIFFP-VIFPVBQESA-N 0 3 212.362 2.841 20 0 BFADHN CC1(C)CCC(C)(C)N(Cc2cc[nH]n2)C1 ZINC000625722747 344056146 /nfs/dbraw/zinc/05/61/46/344056146.db2.gz BZBFEPJOJWVSOC-UHFFFAOYSA-N 0 3 221.348 2.810 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2ccnn2C)s1 ZINC000235527654 178622926 /nfs/dbraw/zinc/62/29/26/178622926.db2.gz HIOVKZNRWHVAAG-JTQLQIEISA-N 0 3 235.356 2.641 20 0 BFADHN CC[C@](C)(NC[C@H](C)COC)c1nccs1 ZINC000187981954 259372387 /nfs/dbraw/zinc/37/23/87/259372387.db2.gz IDQIEXPDYQDRIQ-JQWIXIFHSA-N 0 3 242.388 2.640 20 0 BFADHN C[C@H]1C[C@@H](CN2Cc3ccc(O)cc3C2)CCO1 ZINC000625727791 344059419 /nfs/dbraw/zinc/05/94/19/344059419.db2.gz LXDCOWOMIHYJJT-RYUDHWBXSA-N 0 3 247.338 2.523 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000395081003 344064922 /nfs/dbraw/zinc/06/49/22/344064922.db2.gz TVBQFBVFQLPHPZ-UMBAGQNISA-N 0 3 220.272 2.822 20 0 BFADHN CC(C)[C@H](C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000335664925 192068285 /nfs/dbraw/zinc/06/82/85/192068285.db2.gz YUJZLPOTMGNZNJ-JTQLQIEISA-N 0 3 232.327 2.520 20 0 BFADHN FC1(F)CCCN(CCOCC2CC2)CC1 ZINC000625769203 344069539 /nfs/dbraw/zinc/06/95/39/344069539.db2.gz LEVFHZJEJBARGV-UHFFFAOYSA-N 0 3 233.302 2.534 20 0 BFADHN c1ccc(CN2CC[C@H]3CC[C@@H](C2)S3)nc1 ZINC000625775319 344071014 /nfs/dbraw/zinc/07/10/14/344071014.db2.gz PZJJZWJQJCLUKU-OLZOCXBDSA-N 0 3 234.368 2.552 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H](NCc2cc[nH]n2)C1 ZINC000221389473 259373033 /nfs/dbraw/zinc/37/30/33/259373033.db2.gz CNQJCBCSEQXPGF-VXGBXAGGSA-N 0 3 221.348 2.714 20 0 BFADHN CC[C@@H](N)C(=O)N[C@@H](C)CCC1CCCCC1 ZINC000129912682 344088669 /nfs/dbraw/zinc/08/86/69/344088669.db2.gz GSYMJWFPGISKOR-WCQYABFASA-N 0 3 240.391 2.589 20 0 BFADHN Cc1cnccc1CN(C1CC1)C1CC1 ZINC000625842787 344093719 /nfs/dbraw/zinc/09/37/19/344093719.db2.gz VMNBHPYXCPDWBC-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN O=C(CCN(C1CC1)C1CC1)c1ccccc1 ZINC000625842322 344093742 /nfs/dbraw/zinc/09/37/42/344093742.db2.gz IHUFXJXHIWCUNO-UHFFFAOYSA-N 0 3 229.323 2.886 20 0 BFADHN CC1(C)CC(NCc2csc([N+](=O)[O-])c2)C1 ZINC000395190982 344121273 /nfs/dbraw/zinc/12/12/73/344121273.db2.gz XUVWFJVKHKQFGE-UHFFFAOYSA-N 0 3 240.328 2.935 20 0 BFADHN COc1ccc(F)cc1CN(C)CC1CC1 ZINC000080048523 344122564 /nfs/dbraw/zinc/12/25/64/344122564.db2.gz AXVUTFFMTUDJDM-UHFFFAOYSA-N 0 3 223.291 2.676 20 0 BFADHN CCn1nccc1CN(C1CC1)C1CCCC1 ZINC000130217833 344123640 /nfs/dbraw/zinc/12/36/40/344123640.db2.gz JBYKRPWMHOCHOE-UHFFFAOYSA-N 0 3 233.359 2.810 20 0 BFADHN CC[C@@H](N)C(=O)Nc1c(C)cccc1C(C)C ZINC000082247647 344153101 /nfs/dbraw/zinc/15/31/01/344153101.db2.gz JMCCUHMAZZBYNN-GFCCVEGCSA-N 0 3 234.343 2.794 20 0 BFADHN C/C=C\CNCc1ccc(OC(F)F)cc1 ZINC000309966427 344153476 /nfs/dbraw/zinc/15/34/76/344153476.db2.gz NQIKNSJLAZMLIQ-IHWYPQMZSA-N 0 3 227.254 2.954 20 0 BFADHN C/C=C\CNCc1cncc(Br)c1 ZINC000384881100 344208737 /nfs/dbraw/zinc/20/87/37/344208737.db2.gz QVYZRAZYMGCJOS-IHWYPQMZSA-N 0 3 241.132 2.510 20 0 BFADHN CCC[C@@H](C)N1CCO[C@H](C(F)F)CC1 ZINC000634758945 344228109 /nfs/dbraw/zinc/22/81/09/344228109.db2.gz ZARBKZUDPVJGSW-ZJUUUORDSA-N 0 3 221.291 2.531 20 0 BFADHN CSCCNCc1cccc(Cl)c1F ZINC000131119359 344229350 /nfs/dbraw/zinc/22/93/50/344229350.db2.gz MMXJFNZZVFKEDU-UHFFFAOYSA-N 0 3 233.739 2.932 20 0 BFADHN Cc1ncc(CN2CCC[C@H]2CC(C)C)o1 ZINC000628134024 344187752 /nfs/dbraw/zinc/18/77/52/344187752.db2.gz AQGIJJJIVRGTTE-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]2C(C)C)o1 ZINC000628134124 344188041 /nfs/dbraw/zinc/18/80/41/344188041.db2.gz FWMMVQOUZAFGKQ-GFCCVEGCSA-N 0 3 208.305 2.603 20 0 BFADHN CCN1CCCC[C@H]1CNc1ncccc1F ZINC000084486418 344193275 /nfs/dbraw/zinc/19/32/75/344193275.db2.gz ZBTLZIKEBYHUHQ-NSHDSACASA-N 0 3 237.322 2.507 20 0 BFADHN CSC[C@H](C)NCc1ccncc1Cl ZINC000127534253 344194113 /nfs/dbraw/zinc/19/41/13/344194113.db2.gz PDUMZTSMUFDLNJ-QMMMGPOBSA-N 0 3 230.764 2.576 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](C)COC)o1 ZINC000132004736 344249788 /nfs/dbraw/zinc/24/97/88/344249788.db2.gz LPUCWSGVIBXDNK-VHSXEESVSA-N 0 3 211.305 2.528 20 0 BFADHN C[C@H]1CCCC[C@@H]1SCCN(C)C ZINC000600531757 344258754 /nfs/dbraw/zinc/25/87/54/344258754.db2.gz VYOMFAWDVJYZEB-QWRGUYRKSA-N 0 3 201.379 2.860 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cnc(C2CC2)o1 ZINC000628137844 344261840 /nfs/dbraw/zinc/26/18/40/344261840.db2.gz SUDCZTUXFPLIPE-VIFPVBQESA-N 0 3 220.316 2.782 20 0 BFADHN C[C@@H](NCCc1ccco1)c1cncs1 ZINC000132126792 344279762 /nfs/dbraw/zinc/27/97/62/344279762.db2.gz CCGCIGLRADZIEX-SECBINFHSA-N 0 3 222.313 2.629 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cc(C)no1 ZINC000133333511 344315478 /nfs/dbraw/zinc/31/54/78/344315478.db2.gz RVIXNVWVAHBPHE-PWSUYJOCSA-N 0 3 208.305 2.651 20 0 BFADHN CCc1cnc(CNCC2CCCC2)s1 ZINC000133337351 344315760 /nfs/dbraw/zinc/31/57/60/344315760.db2.gz JQXOPKYOERLMLN-UHFFFAOYSA-N 0 3 224.373 2.985 20 0 BFADHN COC(C)(C)CNCc1scnc1C1CC1 ZINC000336759477 537844496 /nfs/dbraw/zinc/84/44/96/537844496.db2.gz JBEVBLDFNYBROT-UHFFFAOYSA-N 0 3 240.372 2.535 20 0 BFADHN CC1(C)CCN(CCC(=O)c2ccccc2)C1 ZINC000094811046 344331503 /nfs/dbraw/zinc/33/15/03/344331503.db2.gz ZUBMXZVVWUYJKH-UHFFFAOYSA-N 0 3 231.339 2.991 20 0 BFADHN CC1(C)CCN(Cc2cccc(O)c2)C1 ZINC000132766915 344307540 /nfs/dbraw/zinc/30/75/40/344307540.db2.gz UWEXFBUEUFUTHZ-UHFFFAOYSA-N 0 3 205.301 2.624 20 0 BFADHN C[C@H](N[C@@H]1CSC1(C)C)c1ccoc1 ZINC000306745640 344423040 /nfs/dbraw/zinc/42/30/40/344423040.db2.gz BJNCWZUGNMKKMQ-WCBMZHEXSA-N 0 3 211.330 2.824 20 0 BFADHN CC1(CNCc2ccc3c(n2)CCCC3)CC1 ZINC000584267400 344372974 /nfs/dbraw/zinc/37/29/74/344372974.db2.gz GYUUZRKXMGTIMR-UHFFFAOYSA-N 0 3 230.355 2.850 20 0 BFADHN C[C@@H]1C[C@H](NCc2cccc(F)c2F)[C@H](C)O1 ZINC000385259538 344384085 /nfs/dbraw/zinc/38/40/85/344384085.db2.gz WWYRTMPVOIEOGC-PTRXPTGYSA-N 0 3 241.281 2.620 20 0 BFADHN c1coc(-c2ncc(CNC3CC3)s2)c1 ZINC000040997216 344411662 /nfs/dbraw/zinc/41/16/62/344411662.db2.gz VACBDVNWKRKHRR-UHFFFAOYSA-N 0 3 220.297 2.655 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1cnccc1C ZINC000381403368 344502554 /nfs/dbraw/zinc/50/25/54/344502554.db2.gz RSYTYJSACAPAHZ-KGYLQXTDSA-N 0 3 236.384 2.935 20 0 BFADHN CSCCN[C@@H](C)c1cnn(C(C)C)c1C ZINC000381412596 344505083 /nfs/dbraw/zinc/50/50/83/344505083.db2.gz VANQRRNTHNSUPV-JTQLQIEISA-N 0 3 241.404 2.786 20 0 BFADHN CC[C@@H](NCc1ccccc1F)[C@@H]1CCCO1 ZINC000119681767 344477661 /nfs/dbraw/zinc/47/76/61/344477661.db2.gz ISPUEZXJXXONPQ-KGLIPLIRSA-N 0 3 237.318 2.873 20 0 BFADHN CCN1CCN([C@@H](C)c2ccccc2C)CC1 ZINC000119675064 344478213 /nfs/dbraw/zinc/47/82/13/344478213.db2.gz RVZOHTULMQXNGG-AWEZNQCLSA-N 0 3 232.371 2.694 20 0 BFADHN Cc1nc(C)c(CNCCOC(C)(C)C)s1 ZINC000381555195 344519667 /nfs/dbraw/zinc/51/96/67/344519667.db2.gz ODFOKRFOAJAYSJ-UHFFFAOYSA-N 0 3 242.388 2.665 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1ccccc1N(C)C ZINC000577512581 344534316 /nfs/dbraw/zinc/53/43/16/344534316.db2.gz NHYLFBRPPDBZMX-UPJWGTAASA-N 0 3 248.370 2.581 20 0 BFADHN Cc1c[nH]nc1CN[C@H]1C[C@H]1C1CCCCC1 ZINC000368094000 344552749 /nfs/dbraw/zinc/55/27/49/344552749.db2.gz VBCCOLSHOKAZGS-STQMWFEESA-N 0 3 233.359 2.777 20 0 BFADHN CCCCN1CCN(C)C[C@@H]1c1ccccc1 ZINC000181584860 347065062 /nfs/dbraw/zinc/06/50/62/347065062.db2.gz XYYXXZVWQHLPRI-OAHLLOKOSA-N 0 3 232.371 2.775 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CCO[C@@H](CCF)C1 ZINC000626005387 344667620 /nfs/dbraw/zinc/66/76/20/344667620.db2.gz BPFAZXYHKHTLKS-MCIONIFRSA-N 0 3 243.366 2.873 20 0 BFADHN COCCCCCN1CCc2c(O)cccc2C1 ZINC000626026468 344679099 /nfs/dbraw/zinc/67/90/99/344679099.db2.gz LKFLEJUZAJDOET-UHFFFAOYSA-N 0 3 249.354 2.567 20 0 BFADHN CC[C@H](O)CN[C@@H](C)c1ccccc1Cl ZINC000049508751 344643534 /nfs/dbraw/zinc/64/35/34/344643534.db2.gz BUKOOIGWJXQGRQ-UWVGGRQHSA-N 0 3 227.735 2.762 20 0 BFADHN CCC(C)(C)NCc1nn(C)c2ccccc12 ZINC000112478721 344644662 /nfs/dbraw/zinc/64/46/62/344644662.db2.gz KFAVHWPCBYMQFF-UHFFFAOYSA-N 0 3 231.343 2.852 20 0 BFADHN COCCCCCN1CCC(F)(F)C[C@@H]1C ZINC000625980010 344647922 /nfs/dbraw/zinc/64/79/22/344647922.db2.gz SXFQNXWIGBHKHC-NSHDSACASA-N 0 3 235.318 2.923 20 0 BFADHN Fc1ccc(CN2CC[C@H]3OCCC[C@@H]3C2)cc1 ZINC000153048182 344737237 /nfs/dbraw/zinc/73/72/37/344737237.db2.gz QPQUWALGRBFUMI-UKRRQHHQSA-N 0 3 249.329 2.827 20 0 BFADHN CC(C)(C)OCCNCc1cccs1 ZINC000050266774 344745977 /nfs/dbraw/zinc/74/59/77/344745977.db2.gz KRWZICQNKQZWAL-UHFFFAOYSA-N 0 3 213.346 2.653 20 0 BFADHN FCCCN1CCN(CCCC2CCC2)CC1 ZINC000626069766 344714588 /nfs/dbraw/zinc/71/45/88/344714588.db2.gz KIRYVTVLJCIERN-UHFFFAOYSA-N 0 3 242.382 2.544 20 0 BFADHN CCC(C)(C)CCN1CCN(CCCF)CC1 ZINC000626069891 344714599 /nfs/dbraw/zinc/71/45/99/344714599.db2.gz PTRSGRURVDODBZ-UHFFFAOYSA-N 0 3 244.398 2.790 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1CC1CCCCC1 ZINC000050064366 344718646 /nfs/dbraw/zinc/71/86/46/344718646.db2.gz KQMOKJJXJQHSFB-ZDUSSCGKSA-N 0 3 239.359 2.594 20 0 BFADHN CCC1(O)CCN(Cc2ccc(C)cc2)CC1 ZINC000075859350 344785938 /nfs/dbraw/zinc/78/59/38/344785938.db2.gz PJFBGPVKZGMLEP-UHFFFAOYSA-N 0 3 233.355 2.732 20 0 BFADHN C[C@@H](O)CCN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000075781498 344791617 /nfs/dbraw/zinc/79/16/17/344791617.db2.gz QAFCVZFWPCCQJM-ZJUUUORDSA-N 0 3 243.297 2.729 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC3(CCCC3)O2)[C@@H]1C ZINC000582135564 347076148 /nfs/dbraw/zinc/07/61/48/347076148.db2.gz CHNCMNLLGQQXIX-JHJVBQTASA-N 0 3 223.360 2.818 20 0 BFADHN CC/C=C\CNCc1ccnn1C(C)C ZINC000394596308 259380561 /nfs/dbraw/zinc/38/05/61/259380561.db2.gz IQLCDOUUKMANOK-WAYWQWQTSA-N 0 3 207.321 2.520 20 0 BFADHN C[C@H]1CN(Cc2nc3ccccc3o2)C[C@@H]1C ZINC000076110866 344795209 /nfs/dbraw/zinc/79/52/09/344795209.db2.gz AEUVOJKJQDQWCF-QWRGUYRKSA-N 0 3 230.311 2.916 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CC[C@@H]2C)on1 ZINC000076150219 344798290 /nfs/dbraw/zinc/79/82/90/344798290.db2.gz IYUIKIMTEIFAPE-ONGXEEELSA-N 0 3 208.305 2.603 20 0 BFADHN CCCN(Cc1nc(C)c(C)[nH]1)CC(C)C ZINC000628140057 344802139 /nfs/dbraw/zinc/80/21/39/344802139.db2.gz YULHDMNMFZPENY-UHFFFAOYSA-N 0 3 223.364 2.895 20 0 BFADHN Cc1ncc(CN(C)[C@@H](C)C(C)(C)C)o1 ZINC000628140477 344805523 /nfs/dbraw/zinc/80/55/23/344805523.db2.gz MHPDZXGBMHKJQJ-VIFPVBQESA-N 0 3 210.321 2.849 20 0 BFADHN c1ccc(C2(NCCN3CCCCC3)CC2)cc1 ZINC000077280715 344815273 /nfs/dbraw/zinc/81/52/73/344815273.db2.gz WOOZLKPHMLGIQL-UHFFFAOYSA-N 0 3 244.382 2.751 20 0 BFADHN C[C@H]1SCCN(CCC(F)(F)F)[C@H]1C ZINC000077317995 344816588 /nfs/dbraw/zinc/81/65/88/344816588.db2.gz QELYWGPERIOFGF-JGVFFNPUSA-N 0 3 227.295 2.765 20 0 BFADHN OCCCCN(Cc1ccccc1F)C1CC1 ZINC000077360294 344817171 /nfs/dbraw/zinc/81/71/71/344817171.db2.gz APUPUSJTXXRUDN-UHFFFAOYSA-N 0 3 237.318 2.563 20 0 BFADHN Cc1c[nH]c(CN2CCC[C@@H]2C2CCCC2)n1 ZINC000628152516 344841276 /nfs/dbraw/zinc/84/12/76/344841276.db2.gz FEJQERMCZYOXMS-CYBMUJFWSA-N 0 3 233.359 2.873 20 0 BFADHN CC(C)(CCCO)CNCc1ccc(Cl)o1 ZINC000221404192 537863884 /nfs/dbraw/zinc/86/38/84/537863884.db2.gz WCRVZIZHAKVUGV-UHFFFAOYSA-N 0 3 245.750 2.821 20 0 BFADHN CCC1(N[C@H](C)c2ccc(OC)c(O)c2)CC1 ZINC000296225597 168499935 /nfs/dbraw/zinc/49/99/35/168499935.db2.gz BWNSLWYROAEEEE-SNVBAGLBSA-N 0 3 235.327 2.994 20 0 BFADHN Cc1ncc(CN(C)C(C2CC2)C2CC2)o1 ZINC000628159004 344852570 /nfs/dbraw/zinc/85/25/70/344852570.db2.gz FLVPCKAPTMANJJ-UHFFFAOYSA-N 0 3 220.316 2.603 20 0 BFADHN Cc1ncc(CN(C)CC2CCCC2)o1 ZINC000628158408 344853424 /nfs/dbraw/zinc/85/34/24/344853424.db2.gz NGMQYEDNVWTGKK-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN CN(Cc1cccnc1)C1CC(OC(C)(C)C)C1 ZINC000153346723 344854939 /nfs/dbraw/zinc/85/49/39/344854939.db2.gz NNHDEIDBKAUKJH-UHFFFAOYSA-N 0 3 248.370 2.860 20 0 BFADHN CCCCOCCN[C@H](C)c1cncc(F)c1 ZINC000119618251 344856051 /nfs/dbraw/zinc/85/60/51/344856051.db2.gz XUDNBHXYTZJKHY-LLVKDONJSA-N 0 3 240.322 2.688 20 0 BFADHN CC(C)CN(C)Cc1cc(Cl)cn1C ZINC000120090964 344877206 /nfs/dbraw/zinc/87/72/06/344877206.db2.gz XYOFANAVLURDPM-UHFFFAOYSA-N 0 3 214.740 2.766 20 0 BFADHN Cc1ncc(CN2C[C@H](C)CC[C@@H]2C)o1 ZINC000628179012 344913018 /nfs/dbraw/zinc/91/30/18/344913018.db2.gz LHENYMKZJGRLPD-ZJUUUORDSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H]1COCCN1CCC1CCCCC1 ZINC000078682650 344896893 /nfs/dbraw/zinc/89/68/93/344896893.db2.gz BWUFJFKCXWGTMN-LBPRGKRZSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1cccc(N(C)C)c1 ZINC000684209134 488277424 /nfs/dbraw/zinc/27/74/24/488277424.db2.gz ZFZPAYWVOSARJR-RYUDHWBXSA-N 0 3 218.344 2.735 20 0 BFADHN C[C@H](Cc1cccs1)NCc1nccs1 ZINC000082394086 344903321 /nfs/dbraw/zinc/90/33/21/344903321.db2.gz GRVSMZDXLWSLQN-SECBINFHSA-N 0 3 238.381 2.925 20 0 BFADHN CCN(Cc1ccc(OC)cc1)C[C@H]1CCCO1 ZINC000120909610 344904623 /nfs/dbraw/zinc/90/46/23/344904623.db2.gz NJOFEFFEXTZPAX-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN c1nc(C2CC2)oc1CN1CCC2(CCC2)C1 ZINC000628176992 344906015 /nfs/dbraw/zinc/90/60/15/344906015.db2.gz GZQBSGJZRKXQNO-UHFFFAOYSA-N 0 3 232.327 2.928 20 0 BFADHN Cc1ncc(CN2C3CCCC2CCC3)o1 ZINC000628184250 344933860 /nfs/dbraw/zinc/93/38/60/344933860.db2.gz GWICUURRMDZPAF-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN CCC[C@H]1CN(C[C@]2(C)CCCS2)CCO1 ZINC000628179068 344913966 /nfs/dbraw/zinc/91/39/66/344913966.db2.gz MXXHOVZKVBFWKS-STQMWFEESA-N 0 3 243.416 2.773 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cnc(C)o1 ZINC000628179176 344914430 /nfs/dbraw/zinc/91/44/30/344914430.db2.gz QFMLCICZNMZHRJ-GXSJLCMTSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@@H]1COCCCN1Cc1ccc2[nH]ccc2c1 ZINC000628180183 344918284 /nfs/dbraw/zinc/91/82/84/344918284.db2.gz FESAFUZKEDDGOP-GFCCVEGCSA-N 0 3 244.338 2.779 20 0 BFADHN COc1cncc(CNCCC(C)(F)F)c1C ZINC000631147835 344921651 /nfs/dbraw/zinc/92/16/51/344921651.db2.gz QWHBMKYXAIXMKO-UHFFFAOYSA-N 0 3 244.285 2.534 20 0 BFADHN COc1cccc(CN2C[C@@H]3CCCC[C@@]32C)n1 ZINC000626105140 344969437 /nfs/dbraw/zinc/96/94/37/344969437.db2.gz UXXXLMJDBJWINC-WFASDCNBSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@H](N[C@H]1CCN(C2CCCC2)C1)c1ccco1 ZINC000153765402 344970011 /nfs/dbraw/zinc/97/00/11/344970011.db2.gz DMLMDVDSJXHOCU-STQMWFEESA-N 0 3 248.370 2.947 20 0 BFADHN Cc1c[nH]c(CN2CC[C@@H](C)C[C@H](C)C2)n1 ZINC000628189706 344942303 /nfs/dbraw/zinc/94/23/03/344942303.db2.gz KNYWQEMBXQLAOB-MNOVXSKESA-N 0 3 221.348 2.586 20 0 BFADHN C[C@@H]1CCN(Cc2cnc(C3CC3)o2)[C@@H]1C ZINC000628189835 344942459 /nfs/dbraw/zinc/94/24/59/344942459.db2.gz ODSIGMFQYGCZDI-NXEZZACHSA-N 0 3 220.316 2.782 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)C[C@@H](C)C2)o1 ZINC000628189634 344942525 /nfs/dbraw/zinc/94/25/25/344942525.db2.gz HZAGQDSRVZKGAV-WDEREUQCSA-N 0 3 222.332 2.851 20 0 BFADHN CN(CCC1CC1)Cc1cnc(C2CC2)o1 ZINC000628190517 344944396 /nfs/dbraw/zinc/94/43/96/344944396.db2.gz IYIKNNUGIMYRSI-UHFFFAOYSA-N 0 3 220.316 2.784 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CCCC(C)(F)F)n1 ZINC000295927996 178797041 /nfs/dbraw/zinc/79/70/41/178797041.db2.gz FLZDFNRDSHRURX-UHFFFAOYSA-N 0 3 244.285 2.621 20 0 BFADHN Cc1cccnc1NC(=O)C(C)C(F)(F)F ZINC000079588793 344996347 /nfs/dbraw/zinc/99/63/47/344996347.db2.gz JPZVIEZICNPVEL-SSDOTTSWSA-N 0 3 232.205 2.527 20 0 BFADHN Cc1nc(C)c(CN[C@H](C)CCc2ccco2)o1 ZINC000385623970 345009265 /nfs/dbraw/zinc/00/92/65/345009265.db2.gz QZOZNVWTALMIPE-SNVBAGLBSA-N 0 3 248.326 2.995 20 0 BFADHN CC[C@H](C)N[C@H](C)c1cn(-c2ccccc2)nn1 ZINC000150835695 345050709 /nfs/dbraw/zinc/05/07/09/345050709.db2.gz RDWTVKZFJGETIL-NWDGAFQWSA-N 0 3 244.342 2.716 20 0 BFADHN Cn1cc(CN2CCC[C@H]2c2ccccc2)cn1 ZINC000154788495 345050739 /nfs/dbraw/zinc/05/07/39/345050739.db2.gz UZCVDEJECPMPCM-HNNXBMFYSA-N 0 3 241.338 2.757 20 0 BFADHN CC[C@@H](O)CCNCc1ccc(Cl)c(F)c1 ZINC000124334071 345038133 /nfs/dbraw/zinc/03/81/33/345038133.db2.gz QHCVSWPWDWMVEL-SNVBAGLBSA-N 0 3 245.725 2.730 20 0 BFADHN CCCCOCCNCc1cc(F)cc(F)c1 ZINC000125627290 345086604 /nfs/dbraw/zinc/08/66/04/345086604.db2.gz DOWGKZHLDYJPTN-UHFFFAOYSA-N 0 3 243.297 2.871 20 0 BFADHN CCN(Cc1ccccc1NC(C)=O)C(C)C ZINC000126386751 345116627 /nfs/dbraw/zinc/11/66/27/345116627.db2.gz GHBKMFOHIXFNNZ-UHFFFAOYSA-N 0 3 234.343 2.875 20 0 BFADHN Cc1cnccc1NC(=O)C(C)C(F)(F)F ZINC000080111450 345101155 /nfs/dbraw/zinc/10/11/55/345101155.db2.gz UCAXKZYPQHHGCE-ZETCQYMHSA-N 0 3 232.205 2.527 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1ccon1 ZINC000634982180 345162611 /nfs/dbraw/zinc/16/26/11/345162611.db2.gz DYLFNLIKSJLNFQ-WDEREUQCSA-N 0 3 208.305 2.591 20 0 BFADHN C[C@@H](NCc1nccn1C)[C@@H](C)c1ccccc1 ZINC000080247840 345126702 /nfs/dbraw/zinc/12/67/02/345126702.db2.gz PSZNTUBOTHTLJN-CHWSQXEVSA-N 0 3 243.354 2.702 20 0 BFADHN c1cc(CN[C@@H]2CC[C@@H]3CCC[C@H]3C2)no1 ZINC000634964563 345134574 /nfs/dbraw/zinc/13/45/74/345134574.db2.gz CVCKVXNJCHHFNK-SDDRHHMPSA-N 0 3 220.316 2.733 20 0 BFADHN c1cc(CN[C@@H]2CC[C@H]3CCC[C@H]3C2)no1 ZINC000634964560 345134705 /nfs/dbraw/zinc/13/47/05/345134705.db2.gz CVCKVXNJCHHFNK-GRYCIOLGSA-N 0 3 220.316 2.733 20 0 BFADHN CC1CCC(C)(NCc2ccon2)CC1 ZINC000634961508 345135658 /nfs/dbraw/zinc/13/56/58/345135658.db2.gz WRCTZXARXBEHGM-UHFFFAOYSA-N 0 3 208.305 2.733 20 0 BFADHN CCOC[C@@H](C)N[C@@H]1CSc2ccccc21 ZINC000156251517 345141855 /nfs/dbraw/zinc/14/18/55/345141855.db2.gz MBKCIIPWJVGPQM-ZYHUDNBSSA-N 0 3 237.368 2.848 20 0 BFADHN Cc1ccc(NCc2ccon2)c(CN(C)C)c1 ZINC000634971313 345148626 /nfs/dbraw/zinc/14/86/26/345148626.db2.gz SGBXNYRHSKECDQ-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN CC[C@@H](COC)N[C@H]1CSc2ccccc21 ZINC000156357854 345152401 /nfs/dbraw/zinc/15/24/01/345152401.db2.gz YFLWDDJZTMTADD-JQWIXIFHSA-N 0 3 237.368 2.848 20 0 BFADHN OC[C@@H](NC1CC2(CCC2)C1)c1ccccc1 ZINC000448056421 345158241 /nfs/dbraw/zinc/15/82/41/345158241.db2.gz MZBBCZZPQJYAKD-CQSZACIVSA-N 0 3 231.339 2.642 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1nc2cc(F)ccc2o1 ZINC000634980376 345158290 /nfs/dbraw/zinc/15/82/90/345158290.db2.gz DDNRNJIJTSULES-SCZZXKLOSA-N 0 3 234.274 2.855 20 0 BFADHN COc1cc(C)ccc1CNCCc1ccco1 ZINC000449063552 201799006 /nfs/dbraw/zinc/79/90/06/201799006.db2.gz QIDDITSXNFPQQU-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1cccc(F)c1 ZINC000157390958 345259044 /nfs/dbraw/zinc/25/90/44/345259044.db2.gz AGFKNRIPXSOSPH-ZWNOBZJWSA-N 0 3 225.307 2.637 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@H](COC)C1CC1 ZINC000359349935 345280767 /nfs/dbraw/zinc/28/07/67/345280767.db2.gz WPZXKJAGXUZLIB-XHDPSFHLSA-N 0 3 248.370 2.720 20 0 BFADHN CSCCNCc1cc(F)cc(Cl)c1 ZINC000168260520 345297061 /nfs/dbraw/zinc/29/70/61/345297061.db2.gz QFOMZCYDVXKPDX-UHFFFAOYSA-N 0 3 233.739 2.932 20 0 BFADHN COc1ccc(CN2CCCC3(CC3)CC2)cn1 ZINC000626113957 345307756 /nfs/dbraw/zinc/30/77/56/345307756.db2.gz NVOUGMKUQIHMEG-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN COc1ccnc(CN2CCCC3(CC3)CC2)c1 ZINC000626113947 345307830 /nfs/dbraw/zinc/30/78/30/345307830.db2.gz NDYOAYHHQVNGJT-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@H](N)[C@@H](C)CC ZINC000228508070 345355140 /nfs/dbraw/zinc/35/51/40/345355140.db2.gz JLPUBIZZYPWJGI-RWMBFGLXSA-N 0 3 242.407 2.835 20 0 BFADHN OC[C@H](N[C@H]1CC[C@H]1C1CC1)c1ccc(F)cc1 ZINC000348380695 537895617 /nfs/dbraw/zinc/89/56/17/537895617.db2.gz PYJUPEIFEOBEJD-KKUMJFAQSA-N 0 3 249.329 2.637 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCSC2)o1 ZINC000035013402 178850885 /nfs/dbraw/zinc/85/08/85/178850885.db2.gz JUPDFXRURFIUNV-ZJUUUORDSA-N 0 3 211.330 2.744 20 0 BFADHN CC1(C)CC[C@@H]1N[C@@H]1CCCc2c[nH]nc21 ZINC000335602610 345416470 /nfs/dbraw/zinc/41/64/70/345416470.db2.gz SIVXBLSZCKESTA-MNOVXSKESA-N 0 3 219.332 2.565 20 0 BFADHN CC1(C)CC[C@@H]1N[C@H]1CCCc2c[nH]nc21 ZINC000335602611 345416536 /nfs/dbraw/zinc/41/65/36/345416536.db2.gz SIVXBLSZCKESTA-QWRGUYRKSA-N 0 3 219.332 2.565 20 0 BFADHN FC(F)(F)CCN1CCC[C@@H](c2ncc[nH]2)C1 ZINC000080959837 345455608 /nfs/dbraw/zinc/45/56/08/345455608.db2.gz PDTHYASGXIVFSX-SECBINFHSA-N 0 3 247.264 2.542 20 0 BFADHN C[C@H](CC1CC1)[NH2+]Cc1cc([O-])cc(F)c1 ZINC000159602832 345445933 /nfs/dbraw/zinc/44/59/33/345445933.db2.gz DCROHMNGJDNKSV-SECBINFHSA-N 0 3 223.291 2.810 20 0 BFADHN CCC[C@H](C)N(C)Cc1cn(C)nc1CC ZINC000678814543 488286697 /nfs/dbraw/zinc/28/66/97/488286697.db2.gz FNRVPCFAGHXQIF-NSHDSACASA-N 0 3 223.364 2.603 20 0 BFADHN COC(C)(C)CN[C@H](C)c1cc(C)oc1C ZINC000158960147 345394613 /nfs/dbraw/zinc/39/46/13/345394613.db2.gz GYJPKYNCEULVFR-SNVBAGLBSA-N 0 3 225.332 2.972 20 0 BFADHN CCSCC[C@@H](C)NCc1ccncc1F ZINC000449172284 201826679 /nfs/dbraw/zinc/82/66/79/201826679.db2.gz VOSDVJCSWSVOIQ-SNVBAGLBSA-N 0 3 242.363 2.842 20 0 BFADHN Fc1cccc(CN2CCC23CCC3)c1 ZINC000081545452 345477490 /nfs/dbraw/zinc/47/74/90/345477490.db2.gz PFNPNXHYFXSCRU-UHFFFAOYSA-N 0 3 205.276 2.954 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N(C)CCC(C)(C)O ZINC000081646796 345483493 /nfs/dbraw/zinc/48/34/93/345483493.db2.gz XZLGXLVHNMABPB-NSHDSACASA-N 0 3 239.334 2.980 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H]2CCC[C@H]3C[C@H]32)s1 ZINC000378772952 345561247 /nfs/dbraw/zinc/56/12/47/345561247.db2.gz GMJHCODRRKHMOE-CPOMMVLXSA-N 0 3 237.372 2.686 20 0 BFADHN Cc1cccc(C)c1CN1CCOC[C@@H]1C1CC1 ZINC000090009448 345562673 /nfs/dbraw/zinc/56/26/73/345562673.db2.gz WNPFZPWICWBLTJ-MRXNPFEDSA-N 0 3 245.366 2.914 20 0 BFADHN Cc1cccc(C)c1CN1CCOC[C@H]1C1CC1 ZINC000090009449 345563022 /nfs/dbraw/zinc/56/30/22/345563022.db2.gz WNPFZPWICWBLTJ-INIZCTEOSA-N 0 3 245.366 2.914 20 0 BFADHN C[C@H](N[C@H](CO)CC(C)(C)C)c1cccnc1 ZINC000090588444 345573861 /nfs/dbraw/zinc/57/38/61/345573861.db2.gz UKHHDESACXPFDH-AAEUAGOBSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@H]1CCCCN1CCNc1ncccc1F ZINC000084484111 345514078 /nfs/dbraw/zinc/51/40/78/345514078.db2.gz ZLKUTJHRXHYRMO-NSHDSACASA-N 0 3 237.322 2.507 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)CCC(C)(C)O ZINC000092224023 345608538 /nfs/dbraw/zinc/60/85/38/345608538.db2.gz DCTZEKGAFQGVCF-ZDUSSCGKSA-N 0 3 236.359 2.626 20 0 BFADHN CCc1ncc(CN2CC[C@H](C)[C@@H]2C)s1 ZINC000091859240 345611306 /nfs/dbraw/zinc/61/13/06/345611306.db2.gz LODHLKGRADDESQ-UWVGGRQHSA-N 0 3 224.373 2.936 20 0 BFADHN CC[C@H]1CCN(Cc2ccc(COC)o2)C1 ZINC000091929218 345612756 /nfs/dbraw/zinc/61/27/56/345612756.db2.gz QNCBEFMZASGNGD-NSHDSACASA-N 0 3 223.316 2.658 20 0 BFADHN CCOCCCCN[C@H](C)c1ccc(F)cn1 ZINC000092739865 345637824 /nfs/dbraw/zinc/63/78/24/345637824.db2.gz USUJRHMFSPFKNB-LLVKDONJSA-N 0 3 240.322 2.688 20 0 BFADHN Cc1ccoc1CN1CCC[C@H](c2ncc[nH]2)C1 ZINC000092073298 345615541 /nfs/dbraw/zinc/61/55/41/345615541.db2.gz GXNBLKRVBSJPJE-LBPRGKRZSA-N 0 3 245.326 2.691 20 0 BFADHN CCCn1nc(C)c(CN2CC[C@H](C)C2)c1C ZINC000092471200 345622156 /nfs/dbraw/zinc/62/21/56/345622156.db2.gz AXWGVBHJOMCQJY-NSHDSACASA-N 0 3 235.375 2.752 20 0 BFADHN C[C@H]1CCN(Cc2cc(F)cc(F)c2)C1 ZINC000092471183 345622603 /nfs/dbraw/zinc/62/26/03/345622603.db2.gz VPPNVPPRYAPXIQ-VIFPVBQESA-N 0 3 211.255 2.807 20 0 BFADHN C[C@@H]1CCN(Cc2c[nH]nc2-c2cccs2)C1 ZINC000092471335 345623452 /nfs/dbraw/zinc/62/34/52/345623452.db2.gz WITDOFJSUWPSQY-SNVBAGLBSA-N 0 3 247.367 2.980 20 0 BFADHN CCOc1cc(CN2CC[C@@H](C)C2)ccc1OC ZINC000092471383 345623538 /nfs/dbraw/zinc/62/35/38/345623538.db2.gz PNDAWPJMTSPREV-GFCCVEGCSA-N 0 3 249.354 2.936 20 0 BFADHN CCOc1cc(CN2CC[C@H](C)C2)ccc1OC ZINC000092471382 345623602 /nfs/dbraw/zinc/62/36/02/345623602.db2.gz PNDAWPJMTSPREV-LBPRGKRZSA-N 0 3 249.354 2.936 20 0 BFADHN CN(Cc1ccc(Cl)o1)CC1CCOCC1 ZINC000093284204 345660195 /nfs/dbraw/zinc/66/01/95/345660195.db2.gz GAIXPVZTDAAYHN-UHFFFAOYSA-N 0 3 243.734 2.791 20 0 BFADHN Cc1cccn2c(CN3C[C@H](C)[C@H](C)C3)cnc12 ZINC000093503703 345661153 /nfs/dbraw/zinc/66/11/53/345661153.db2.gz XKCKAQDZYNMFKK-BETUJISGSA-N 0 3 243.354 2.731 20 0 BFADHN C[C@H]1CN(Cc2cnn(C(C)(C)C)c2)C[C@H]1C ZINC000093504135 345661471 /nfs/dbraw/zinc/66/14/71/345661471.db2.gz CDRUSUGGYJLFJY-TXEJJXNPSA-N 0 3 235.375 2.726 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1ccncc1N ZINC000641701249 362614162 /nfs/dbraw/zinc/61/41/62/362614162.db2.gz QRYOMSAZHWXHNC-GFCCVEGCSA-N 0 3 241.338 2.823 20 0 BFADHN CCN(CCc1nccs1)Cc1ccn(C)c1 ZINC000093764521 345664817 /nfs/dbraw/zinc/66/48/17/345664817.db2.gz RQYKSEAWASJDAF-UHFFFAOYSA-N 0 3 249.383 2.546 20 0 BFADHN CSc1ccc(CN[C@@H]2CC2(C)C)o1 ZINC000641709022 362617163 /nfs/dbraw/zinc/61/71/63/362617163.db2.gz CZKCJTYEXATLDE-SECBINFHSA-N 0 3 211.330 2.890 20 0 BFADHN CSc1ccc(CN[C@H]2CC2(C)C)o1 ZINC000641709023 362617845 /nfs/dbraw/zinc/61/78/45/362617845.db2.gz CZKCJTYEXATLDE-VIFPVBQESA-N 0 3 211.330 2.890 20 0 BFADHN CSc1ccc(CNCC[C@H]2CCCO2)o1 ZINC000641707769 362619300 /nfs/dbraw/zinc/61/93/00/362619300.db2.gz PTEAGAPEPVISME-SNVBAGLBSA-N 0 3 241.356 2.660 20 0 BFADHN CC[C@H](NCc1ccncc1N)c1ccccc1 ZINC000641711722 362621940 /nfs/dbraw/zinc/62/19/40/362621940.db2.gz DLYJGJIABKVSPR-HNNXBMFYSA-N 0 3 241.338 2.905 20 0 BFADHN C[C@H]1CCC[C@H](CN2CCOC[C@@H]2C)C1 ZINC000092793873 345643392 /nfs/dbraw/zinc/64/33/92/345643392.db2.gz VZCXZHPFXUPLIW-AVGNSLFASA-N 0 3 211.349 2.533 20 0 BFADHN COC[C@@H](C)N[C@@H]1CCCc2ccc(F)cc21 ZINC000092856776 345646232 /nfs/dbraw/zinc/64/62/32/345646232.db2.gz SMHPVIHQNKRRQC-QMTHXVAHSA-N 0 3 237.318 2.828 20 0 BFADHN COCCN(Cc1ccco1)[C@H]1CC[C@@H](C)C1 ZINC000092902962 345647455 /nfs/dbraw/zinc/64/74/55/345647455.db2.gz DELDMOAOLZBVFE-OLZOCXBDSA-N 0 3 237.343 2.917 20 0 BFADHN C[C@H]1CC[C@@H](N(C)CC(=O)Nc2ccccc2)C1 ZINC000092904109 345647806 /nfs/dbraw/zinc/64/78/06/345647806.db2.gz CSWMBMZENOHCAG-GXTWGEPZSA-N 0 3 246.354 2.746 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@@H](C)c1ccccc1 ZINC000093009116 345654603 /nfs/dbraw/zinc/65/46/03/345654603.db2.gz VKJDRJBTADFZOQ-GFCCVEGCSA-N 0 3 243.354 2.954 20 0 BFADHN COCc1ccc(CN2CC[C@H](C)[C@@H](C)C2)o1 ZINC000093480776 345672648 /nfs/dbraw/zinc/67/26/48/345672648.db2.gz HKWNOQJXLRSIGU-RYUDHWBXSA-N 0 3 237.343 2.904 20 0 BFADHN CC(=O)Nc1ccccc1CN1CCC1(C)C ZINC000093460449 345673057 /nfs/dbraw/zinc/67/30/57/345673057.db2.gz PKULJWKRECSQQW-UHFFFAOYSA-N 0 3 232.327 2.629 20 0 BFADHN CC(C)(C)C[C@@H]1CCN(Cc2cncnc2)C1 ZINC000114623076 345675083 /nfs/dbraw/zinc/67/50/83/345675083.db2.gz XGBROAJXLGZYGF-LBPRGKRZSA-N 0 3 233.359 2.735 20 0 BFADHN Nc1cnccc1CN[C@@H]1C[C@H]1C1CCCCC1 ZINC000641729009 362651378 /nfs/dbraw/zinc/65/13/78/362651378.db2.gz OHJPOYGFLWJZMD-DZGCQCFKSA-N 0 3 245.370 2.722 20 0 BFADHN Oc1ccc2c(c1)CN(C1CCCC1)CC2 ZINC000118746204 345679082 /nfs/dbraw/zinc/67/90/82/345679082.db2.gz OUSBCMBCUAKVGF-UHFFFAOYSA-N 0 3 217.312 2.693 20 0 BFADHN Cc1ccc(N2CCN(C(C)C)CC2)cc1 ZINC000113073611 345691012 /nfs/dbraw/zinc/69/10/12/345691012.db2.gz GPAOVJRYCVFFQA-UHFFFAOYSA-N 0 3 218.344 2.525 20 0 BFADHN CSc1ccc(CNCC2(C)CC2)o1 ZINC000641731947 362657908 /nfs/dbraw/zinc/65/79/08/362657908.db2.gz BWNKEDBVYVMKHD-UHFFFAOYSA-N 0 3 211.330 2.891 20 0 BFADHN CSc1ccc(CNC2CC=CC2)o1 ZINC000641736779 362660869 /nfs/dbraw/zinc/66/08/69/362660869.db2.gz KSYNNDXKNCAVIU-UHFFFAOYSA-N 0 3 209.314 2.810 20 0 BFADHN C[C@@H](NC[C@@](C)(O)C(F)(F)F)c1ccccc1 ZINC000218946195 345745304 /nfs/dbraw/zinc/74/53/04/345745304.db2.gz IZUWLJSYSMKFDK-MWLCHTKSSA-N 0 3 247.260 2.651 20 0 BFADHN C[C@H](NCc1ccc(Cl)s1)C(C)(C)O ZINC000082717505 345701908 /nfs/dbraw/zinc/70/19/08/345701908.db2.gz MOXCIDRFRRXXBZ-ZETCQYMHSA-N 0 3 233.764 2.651 20 0 BFADHN CCc1ccccc1CCN1CCSCC1 ZINC000147429408 345711024 /nfs/dbraw/zinc/71/10/24/345711024.db2.gz HYHVYODFKKAFQX-UHFFFAOYSA-N 0 3 235.396 2.840 20 0 BFADHN C[C@@H](c1ccccc1)N1CCOC(C)(C)C1 ZINC000146021279 345712786 /nfs/dbraw/zinc/71/27/86/345712786.db2.gz OKWWDHCRRHJWOO-LBPRGKRZSA-N 0 3 219.328 2.858 20 0 BFADHN c1ccc2[nH]c(CN3CC[C@H]4CCC[C@H]43)nc2c1 ZINC000149516427 345716231 /nfs/dbraw/zinc/71/62/31/345716231.db2.gz BOGAATNPAFNVRO-BXUZGUMPSA-N 0 3 241.338 2.937 20 0 BFADHN C[C@@H]1CCCCC[C@@H]1NCc1cocn1 ZINC000389615188 537907923 /nfs/dbraw/zinc/90/79/23/537907923.db2.gz GFAHQTWBGSOXMY-PWSUYJOCSA-N 0 3 208.305 2.733 20 0 BFADHN c1ccc2[nH]c(CN3CC[C@H]4CCC[C@@H]43)nc2c1 ZINC000212290518 345726592 /nfs/dbraw/zinc/72/65/92/345726592.db2.gz BOGAATNPAFNVRO-RISCZKNCSA-N 0 3 241.338 2.937 20 0 BFADHN CCCN1CCN(CCC2CCCCC2)CC1 ZINC000200219636 345728581 /nfs/dbraw/zinc/72/85/81/345728581.db2.gz WAZGHUIMLVBTAS-UHFFFAOYSA-N 0 3 238.419 2.984 20 0 BFADHN Cc1ncc(CNCCc2ccc(C)cc2C)o1 ZINC000628210100 345809998 /nfs/dbraw/zinc/80/99/98/345809998.db2.gz GQIVUWNADBMWQW-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@H]2Cc2ccccc2)o1 ZINC000628217072 345928713 /nfs/dbraw/zinc/92/87/13/345928713.db2.gz KAHITPQYZWAPON-UKRRQHHQSA-N 0 3 242.322 2.704 20 0 BFADHN Cc1ccc(CN[C@@H](C)CC(C)(C)O)c(F)c1 ZINC000631151741 345918230 /nfs/dbraw/zinc/91/82/30/345918230.db2.gz WGXSFFXZZDWPAZ-NSHDSACASA-N 0 3 239.334 2.773 20 0 BFADHN CCc1nc(CNC[C@@H](C)C2CC2)cs1 ZINC000136209135 345961600 /nfs/dbraw/zinc/96/16/00/345961600.db2.gz XYPDHHMPQLVZQU-SECBINFHSA-N 0 3 224.373 2.841 20 0 BFADHN C[C@H](NCc1ccon1)[C@@H]1CCC[C@@H](C)C1 ZINC000381473333 346015803 /nfs/dbraw/zinc/01/58/03/346015803.db2.gz NHZPOHWGYLYQPP-GRYCIOLGSA-N 0 3 222.332 2.979 20 0 BFADHN COCC1(N[C@@H](C)c2cc(C)oc2C)CC1 ZINC000381477648 346029771 /nfs/dbraw/zinc/02/97/71/346029771.db2.gz RKBGVKNSFMDATE-JTQLQIEISA-N 0 3 223.316 2.726 20 0 BFADHN CCCC[C@@H](C)NCc1cnccc1OC ZINC000294456075 346031377 /nfs/dbraw/zinc/03/13/77/346031377.db2.gz VXSRDXRSVYGQAR-LLVKDONJSA-N 0 3 222.332 2.759 20 0 BFADHN Fc1ccccc1CN1C2CCC1CC2 ZINC000635899773 346105936 /nfs/dbraw/zinc/10/59/36/346105936.db2.gz QMEJPBCQDFUBQU-UHFFFAOYSA-N 0 3 205.276 2.953 20 0 BFADHN FCC(CF)N[C@@H]1CCCC[C@@H]1C1CC1 ZINC000449381547 201890585 /nfs/dbraw/zinc/89/05/85/201890585.db2.gz SOAPCYICPHAVQF-VXGBXAGGSA-N 0 3 217.303 2.852 20 0 BFADHN CCC1(CNCc2cnc(C3CC3)o2)CC1 ZINC000628226673 346173443 /nfs/dbraw/zinc/17/34/43/346173443.db2.gz YZELVUXCFZKGKS-UHFFFAOYSA-N 0 3 220.316 2.832 20 0 BFADHN Cc1ccc([C@H](C)CNCc2ccon2)cc1 ZINC000628225721 346177464 /nfs/dbraw/zinc/17/74/64/346177464.db2.gz LVJVTRIXXLHSLN-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1nc(C)c(C)[nH]1 ZINC000628240814 346203157 /nfs/dbraw/zinc/20/31/57/346203157.db2.gz UVOIGOIRIRXAEN-SKDRFNHKSA-N 0 3 221.348 2.647 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cnc(C)o1 ZINC000628240614 346203267 /nfs/dbraw/zinc/20/32/67/346203267.db2.gz LWULSVJLDMVZMP-SKDRFNHKSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@]1(C)CN(C[C@@]2(C)CCCS2)CCO1 ZINC000628245072 346209744 /nfs/dbraw/zinc/20/97/44/346209744.db2.gz HQTIFMDHGISFOP-QWHCGFSZSA-N 0 3 243.416 2.773 20 0 BFADHN Cc1ncc(CNCCCc2cccs2)o1 ZINC000628230903 346181916 /nfs/dbraw/zinc/18/19/16/346181916.db2.gz XTKHGHJWYPWZDX-UHFFFAOYSA-N 0 3 236.340 2.767 20 0 BFADHN CCC(CC)CNCc1oc(C)nc1C ZINC000311773978 346182054 /nfs/dbraw/zinc/18/20/54/346182054.db2.gz NNRMOTLASURTKN-UHFFFAOYSA-N 0 3 210.321 2.817 20 0 BFADHN Cc1ncc(CN2C[C@@H](C)CC(C)(C)C2)o1 ZINC000628237234 346193224 /nfs/dbraw/zinc/19/32/24/346193224.db2.gz GNPNEKAOZBXZEO-JTQLQIEISA-N 0 3 222.332 2.851 20 0 BFADHN Cc1ccc([C@@H](C)CNCc2ccno2)cc1 ZINC000628237969 346195509 /nfs/dbraw/zinc/19/55/09/346195509.db2.gz GLHXAWMHQOVPBK-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1cc(CNC2(Cc3ccccc3)CC2)on1 ZINC000631114528 346227982 /nfs/dbraw/zinc/22/79/82/346227982.db2.gz WUINJNFGGRAKFA-UHFFFAOYSA-N 0 3 242.322 2.848 20 0 BFADHN Cc1ccc(CCCN2CC=CCC2)cn1 ZINC000684392716 488305273 /nfs/dbraw/zinc/30/52/73/488305273.db2.gz OZYVJOXLGQAIKX-UHFFFAOYSA-N 0 3 216.328 2.585 20 0 BFADHN Cc1nc(C)c(CNC[C@H]2CCC[C@@H]2C)o1 ZINC000628260833 346260368 /nfs/dbraw/zinc/26/03/68/346260368.db2.gz YBMUNCPLNSDDOW-JOYOIKCWSA-N 0 3 222.332 2.817 20 0 BFADHN Cc1nc(C)c(CNC[C@H]2CCC[C@H]2C)o1 ZINC000628260831 346260445 /nfs/dbraw/zinc/26/04/45/346260445.db2.gz YBMUNCPLNSDDOW-BXKDBHETSA-N 0 3 222.332 2.817 20 0 BFADHN FC1(F)CCC[C@H]1CNCc1cncs1 ZINC000381528099 346254952 /nfs/dbraw/zinc/25/49/52/346254952.db2.gz OQJHXIUCODUFQT-QMMMGPOBSA-N 0 3 232.299 2.668 20 0 BFADHN CC(C)CC[C@H](CO)N[C@@H](C)c1cncs1 ZINC000624110471 346266020 /nfs/dbraw/zinc/26/60/20/346266020.db2.gz ZOVNEGPALKQJFR-WDEREUQCSA-N 0 3 242.388 2.591 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cncn2C)C1 ZINC000626161958 346272021 /nfs/dbraw/zinc/27/20/21/346272021.db2.gz MBKXCISJVCPLDS-ITDFMYJTSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cn[nH]c2)C1 ZINC000626163122 346274202 /nfs/dbraw/zinc/27/42/02/346274202.db2.gz FOHRVKYIWTWQKZ-ZZKXABKFSA-N 0 3 219.332 2.588 20 0 BFADHN C/C=C\C[C@@H]1CCCN(CCOCC(F)F)C1 ZINC000626163523 346275906 /nfs/dbraw/zinc/27/59/06/346275906.db2.gz LTNBOSUSFNKNFP-ZZKXABKFSA-N 0 3 247.329 2.946 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2ccnc(C)n2)C1 ZINC000626163693 346276020 /nfs/dbraw/zinc/27/60/20/346276020.db2.gz ONDNHULUIAOSGW-RDFMZFSFSA-N 0 3 245.370 2.963 20 0 BFADHN C/C=C/C[C@@H]1CCCN(CCOCC(F)F)C1 ZINC000626163522 346276268 /nfs/dbraw/zinc/27/62/68/346276268.db2.gz LTNBOSUSFNKNFP-QAVQXKDTSA-N 0 3 247.329 2.946 20 0 BFADHN CN(Cc1ccc2[nH]ccc2c1)[C@@H]1CCCOC1 ZINC000628271987 346282673 /nfs/dbraw/zinc/28/26/73/346282673.db2.gz ZHXDBXYADKECFH-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN CSc1ccc(CN[C@@]2(C)CCO[C@@H]2C)o1 ZINC000641748035 362675842 /nfs/dbraw/zinc/67/58/42/362675842.db2.gz SPURSCSASUQZLY-SKDRFNHKSA-N 0 3 241.356 2.659 20 0 BFADHN Cc1cccc(C)c1CN1CCO[C@@H](C2CC2)C1 ZINC000362528872 179034457 /nfs/dbraw/zinc/03/44/57/179034457.db2.gz OUDZZZYRMVZHJI-MRXNPFEDSA-N 0 3 245.366 2.914 20 0 BFADHN Cc1cccc(C)c1CN1CCN(C)[C@H](C)[C@H]1C ZINC000356998504 179035153 /nfs/dbraw/zinc/03/51/53/179035153.db2.gz JPRSXGQYLGSBIH-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN CSc1ccc(CNCCCCF)o1 ZINC000641755631 362681329 /nfs/dbraw/zinc/68/13/29/362681329.db2.gz PGCAOAHSVDASJH-UHFFFAOYSA-N 0 3 217.309 2.841 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc(SC)o1 ZINC000641755815 362681422 /nfs/dbraw/zinc/68/14/22/362681422.db2.gz TUMDCDYHFQOMNK-RKDXNWHRSA-N 0 3 229.345 2.515 20 0 BFADHN CSc1ccc(CN[C@H]2CS[C@@H](C)C2)o1 ZINC000641761916 362688637 /nfs/dbraw/zinc/68/86/37/362688637.db2.gz AHFPQAZTRNWOGK-DTWKUNHWSA-N 0 3 243.397 2.985 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1csc(C)c1 ZINC000381551052 346331957 /nfs/dbraw/zinc/33/19/57/346331957.db2.gz GANYOMGWUIQSDK-PSASIEDQSA-N 0 3 213.346 2.742 20 0 BFADHN CC(C)(C)C(C)(C)NCc1ccc(CO)o1 ZINC000381553400 346333899 /nfs/dbraw/zinc/33/38/99/346333899.db2.gz NMMWQOUQCUURAF-UHFFFAOYSA-N 0 3 225.332 2.686 20 0 BFADHN CSc1ccc(CNC[C@H]2CC[C@@H](C)O2)o1 ZINC000641760646 362692901 /nfs/dbraw/zinc/69/29/01/362692901.db2.gz LRKWWBOIWJQTNW-NXEZZACHSA-N 0 3 241.356 2.659 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@H](C)CC2)n1 ZINC000641762130 362696827 /nfs/dbraw/zinc/69/68/27/362696827.db2.gz FNTFVZJKSSSXTQ-QWHCGFSZSA-N 0 3 235.375 2.961 20 0 BFADHN Cc1nc2ccccc2nc1CN1CCC[C@H]1C ZINC000174419448 537971928 /nfs/dbraw/zinc/97/19/28/537971928.db2.gz IXEMOYACCQOPMI-LLVKDONJSA-N 0 3 241.338 2.923 20 0 BFADHN Cc1cccc(CCN[C@@H](C)c2cnccn2)c1 ZINC000070479606 179060699 /nfs/dbraw/zinc/06/06/99/179060699.db2.gz YGOIIAFQSDOIFG-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN CC1(C)[C@@H]2CN(C/C=C/c3ccncc3)C[C@@H]21 ZINC000336108698 535041605 /nfs/dbraw/zinc/04/16/05/535041605.db2.gz HTDMGEXTHMGPRZ-ISANGGDFSA-N 0 3 228.339 2.683 20 0 BFADHN CSc1ccc(CN[C@@H]2C[C@@H](C)O[C@H]2C)o1 ZINC000641776527 362717194 /nfs/dbraw/zinc/71/71/94/362717194.db2.gz QPYGZFAARQULGE-WCABBAIRSA-N 0 3 241.356 2.657 20 0 BFADHN Cc1cccc(CC[C@H](C)NCCF)c1 ZINC000281181771 179061918 /nfs/dbraw/zinc/06/19/18/179061918.db2.gz WYELMPGPJGVKRK-LBPRGKRZSA-N 0 3 209.308 2.875 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1ccccc1F ZINC000157364029 346381166 /nfs/dbraw/zinc/38/11/66/346381166.db2.gz MRWACZLXJPPSRP-GHMZBOCLSA-N 0 3 225.307 2.637 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1ccn(C(C)C)n1 ZINC000381579519 346382899 /nfs/dbraw/zinc/38/28/99/346382899.db2.gz DXCCCIOSSGRJAY-DGCLKSJQSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cccc(CN(C)C[C@H]2CCOC2)c1F ZINC000294866889 179067171 /nfs/dbraw/zinc/06/71/71/179067171.db2.gz PWXOUKWTWLDFQC-GFCCVEGCSA-N 0 3 237.318 2.602 20 0 BFADHN Cc1cccc(CN(C)C[C@@H]2CCO[C@H](C)C2)n1 ZINC000352626529 179067621 /nfs/dbraw/zinc/06/76/21/179067621.db2.gz DTMNZFGPRMCZTR-ZIAGYGMSSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@H](NC[C@@H]1CC2CCC1CC2)c1ncc[nH]1 ZINC000574916631 346413518 /nfs/dbraw/zinc/41/35/18/346413518.db2.gz YVJFRYVXJUHLLC-WTIISPKJSA-N 0 3 233.359 2.887 20 0 BFADHN Cc1cccc(CN2CCN(C)[C@H](C)[C@@H]2C)c1 ZINC000356981625 179076918 /nfs/dbraw/zinc/07/69/18/179076918.db2.gz RFYLOUHWQWNCSC-KGLIPLIRSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NCc1cccc(C)c1 ZINC000020349376 179097768 /nfs/dbraw/zinc/09/77/68/179097768.db2.gz XCQKVKOTFZWEJN-LBPRGKRZSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cccc(CNC2CCC(O)CC2)c1F ZINC000294716266 179089307 /nfs/dbraw/zinc/08/93/07/179089307.db2.gz HUHZEVUGKGKSSY-UHFFFAOYSA-N 0 3 237.318 2.527 20 0 BFADHN Cc1cccc(CNCC2(C)OCCCO2)c1C ZINC000192248739 179089883 /nfs/dbraw/zinc/08/98/83/179089883.db2.gz NDGIPAOUFIMDMZ-UHFFFAOYSA-N 0 3 249.354 2.546 20 0 BFADHN Cc1cccc(CNCC[C@@H](C)CCO)c1F ZINC000295193834 179092571 /nfs/dbraw/zinc/09/25/71/179092571.db2.gz XPDXKRJWOITYFZ-LLVKDONJSA-N 0 3 239.334 2.632 20 0 BFADHN Cc1n[nH]cc1CNCc1cccc(C)c1C ZINC000047892416 179094625 /nfs/dbraw/zinc/09/46/25/179094625.db2.gz LFDCXTOVQJVSKV-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)Nc1ccc(C)cc1C ZINC000170916024 346479451 /nfs/dbraw/zinc/47/94/51/346479451.db2.gz IBFZQCULCDMWDP-CYBMUJFWSA-N 0 3 248.370 2.972 20 0 BFADHN CC[C@@H](C)N(C)Cc1cccc2c1OCCO2 ZINC000170916164 346480257 /nfs/dbraw/zinc/48/02/57/346480257.db2.gz BVDLNDZJLKNKKJ-LLVKDONJSA-N 0 3 235.327 2.688 20 0 BFADHN Cc1cccc(CN[C@H](C)c2cc[nH]c(=O)c2)c1 ZINC000339457382 179099149 /nfs/dbraw/zinc/09/91/49/179099149.db2.gz DQRJOXVUPHUOLH-GFCCVEGCSA-N 0 3 242.322 2.946 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H](C)c1nccs1 ZINC000387805776 347095216 /nfs/dbraw/zinc/09/52/16/347095216.db2.gz JAFXFFDJTDOPEQ-BBBLOLIVSA-N 0 3 210.346 2.840 20 0 BFADHN CC[C@@H](O)CN[C@@H](C)c1ccc(F)cc1Cl ZINC000162397067 346521020 /nfs/dbraw/zinc/52/10/20/346521020.db2.gz QTTDUHLTYYBDAH-WCBMZHEXSA-N 0 3 245.725 2.901 20 0 BFADHN CC[C@@H](O)CN[C@H](C)c1ccc(F)cc1Cl ZINC000162397305 346521339 /nfs/dbraw/zinc/52/13/39/346521339.db2.gz QTTDUHLTYYBDAH-PSASIEDQSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@@H]1CCN(CCc2ccccc2)C[C@@H]1F ZINC000679224308 488321081 /nfs/dbraw/zinc/32/10/81/488321081.db2.gz NLXUWHIZCKTFNJ-OCCSQVGLSA-N 0 3 221.319 2.909 20 0 BFADHN COc1ccsc1[C@@H](C)NCCCF ZINC000186224207 346499167 /nfs/dbraw/zinc/49/91/67/346499167.db2.gz PKNFDJIJZUURCF-MRVPVSSYSA-N 0 3 217.309 2.767 20 0 BFADHN C[C@@H](NC1(C2CC2)CC1)c1ccccn1 ZINC000380150855 346501503 /nfs/dbraw/zinc/50/15/03/346501503.db2.gz XRYREDOBIVUONT-SNVBAGLBSA-N 0 3 202.301 2.675 20 0 BFADHN Cc1cc(Cl)cc(CN[C@@H]2CO[C@H](C)C2)c1 ZINC000402163383 349974415 /nfs/dbraw/zinc/97/44/15/349974415.db2.gz SZRLQJLDKGCOBW-MFKMUULPSA-N 0 3 239.746 2.915 20 0 BFADHN C[C@H](NCCC[C@H]1CCOC1)c1ccoc1 ZINC000401884978 323129144 /nfs/dbraw/zinc/12/91/44/323129144.db2.gz BLQNAUSDVGSLMZ-RYUDHWBXSA-N 0 3 223.316 2.747 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NCc1ccccc1Cl ZINC000163307928 346554841 /nfs/dbraw/zinc/55/48/41/346554841.db2.gz SHXBTCLEJYSBBY-NWDGAFQWSA-N 0 3 239.746 2.589 20 0 BFADHN COC[C@H](C)CN1CCS[C@H]2CCCC[C@@H]21 ZINC000187373092 346571681 /nfs/dbraw/zinc/57/16/81/346571681.db2.gz PCRGKOCWDWNHHP-AGIUHOORSA-N 0 3 243.416 2.629 20 0 BFADHN CCc1ncc(CN[C@H]2CC=C(C)CC2)o1 ZINC000580155483 346571931 /nfs/dbraw/zinc/57/19/31/346571931.db2.gz YYIDDIJWMAYJKN-NSHDSACASA-N 0 3 220.316 2.825 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CCc1ccc(F)c(F)c1 ZINC000563581949 323130562 /nfs/dbraw/zinc/13/05/62/323130562.db2.gz PIKIGMXODQYJFH-NXEZZACHSA-N 0 3 225.282 2.990 20 0 BFADHN CC[C@@H](C)[C@H](C)N(Cc1cnccn1)C1CC1 ZINC000580164498 346585909 /nfs/dbraw/zinc/58/59/09/346585909.db2.gz VUFDOTLGUKEJHU-NEPJUHHUSA-N 0 3 233.359 2.876 20 0 BFADHN Cc1cccc(NC(=O)C2(N)CCCCC2)c1 ZINC000019480932 179125490 /nfs/dbraw/zinc/12/54/90/179125490.db2.gz JYWIMLMRAXKJBZ-UHFFFAOYSA-N 0 3 232.327 2.595 20 0 BFADHN CC[C@@H](NC[C@H](O)C(C)C)c1ccccc1F ZINC000166069584 346664732 /nfs/dbraw/zinc/66/47/32/346664732.db2.gz XOMOQWVLUUQAKQ-KGLIPLIRSA-N 0 3 239.334 2.883 20 0 BFADHN COC[C@H](C)CNC1(c2ccccc2F)CC1 ZINC000187988015 346668119 /nfs/dbraw/zinc/66/81/19/346668119.db2.gz PQRGXWZNFZAUDU-LLVKDONJSA-N 0 3 237.318 2.687 20 0 BFADHN CC[C@H](NC[C@H](C)COC)c1nc(C)cs1 ZINC000187880116 346641968 /nfs/dbraw/zinc/64/19/68/346641968.db2.gz CJRBLNKQBLDKBM-ONGXEEELSA-N 0 3 242.388 2.775 20 0 BFADHN Cc1cccc(NC(=O)[C@@H]2NCCCC2(C)C)c1 ZINC000235914504 179139261 /nfs/dbraw/zinc/13/92/61/179139261.db2.gz POCSTZQLAVNEPV-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN CCc1cc(CN[C@@H]2CCC[C@@H]2CC)on1 ZINC000168176947 346728597 /nfs/dbraw/zinc/72/85/97/346728597.db2.gz YFDBDESIPZYCMI-GXFFZTMASA-N 0 3 222.332 2.905 20 0 BFADHN Cc1cc(CN[C@@H](C)c2cccc(F)c2)n[nH]1 ZINC000589633969 346720101 /nfs/dbraw/zinc/72/01/01/346720101.db2.gz YMBJUUPJIYJRPO-JTQLQIEISA-N 0 3 233.290 2.708 20 0 BFADHN Cc1cc(CN[C@H](C)c2cccc(F)c2)n[nH]1 ZINC000589633974 346720429 /nfs/dbraw/zinc/72/04/29/346720429.db2.gz YMBJUUPJIYJRPO-SNVBAGLBSA-N 0 3 233.290 2.708 20 0 BFADHN Cc1cccc(NC[C@@H]2CCCCN2C2CC2)n1 ZINC000277480297 179145913 /nfs/dbraw/zinc/14/59/13/179145913.db2.gz QZHWKKVYFOJQQF-AWEZNQCLSA-N 0 3 245.370 2.819 20 0 BFADHN CC[C@@H](NCc1cn2ccsc2n1)C1CC1 ZINC000166949850 346694905 /nfs/dbraw/zinc/69/49/05/346694905.db2.gz OEAHTCQRZPLJEJ-LLVKDONJSA-N 0 3 235.356 2.674 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N[C@H]1CCn2ccnc21 ZINC000345349486 365858894 /nfs/dbraw/zinc/85/88/94/365858894.db2.gz MUXNXWQTUJCJSD-AGIUHOORSA-N 0 3 233.359 2.886 20 0 BFADHN CSc1ccc(CN[C@@H]2CO[C@@H](C)C2)cc1 ZINC000402188702 323134310 /nfs/dbraw/zinc/13/43/10/323134310.db2.gz DCPZETSMXZPNHS-JQWIXIFHSA-N 0 3 237.368 2.676 20 0 BFADHN FC(F)(F)CCNC/C=C/c1ccncc1 ZINC000309592036 347111592 /nfs/dbraw/zinc/11/15/92/347111592.db2.gz SLPGRKJANWVJAC-OWOJBTEDSA-N 0 3 230.233 2.637 20 0 BFADHN COC[C@H](C)CNCc1nc(C(C)C)cs1 ZINC000188141148 346790601 /nfs/dbraw/zinc/79/06/01/346790601.db2.gz GUMGGMFZGVPXBK-SNVBAGLBSA-N 0 3 242.388 2.639 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CC[C@@H](C)C2)o1 ZINC000309615748 347122451 /nfs/dbraw/zinc/12/24/51/347122451.db2.gz CUIYWJPDWIHLKG-KOLCDFICSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CCCSC2)o1 ZINC000291698500 347147992 /nfs/dbraw/zinc/14/79/92/347147992.db2.gz JXYQIDIFZLBGLG-SNVBAGLBSA-N 0 3 240.372 2.531 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cccc2c1OCO2 ZINC000387912801 347128328 /nfs/dbraw/zinc/12/83/28/347128328.db2.gz KJZPGKZGLZWXKO-PWSUYJOCSA-N 0 3 233.311 2.551 20 0 BFADHN CC[C@H](CO)N(C)Cc1ccc(C)cc1Cl ZINC000382115461 347191174 /nfs/dbraw/zinc/19/11/74/347191174.db2.gz AIMIXYWRDWLWBY-GFCCVEGCSA-N 0 3 241.762 2.851 20 0 BFADHN CC[C@@H](N[C@H](C)COC)c1ccsc1 ZINC000382167982 347210986 /nfs/dbraw/zinc/21/09/86/347210986.db2.gz VQRGZLIMDAFKBE-MWLCHTKSSA-N 0 3 213.346 2.824 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@H]1O)c1ccc(Cl)cc1 ZINC000382196191 347228050 /nfs/dbraw/zinc/22/80/50/347228050.db2.gz IMGBPKADRPRAAB-YNEHKIRRSA-N 0 3 239.746 2.904 20 0 BFADHN CCOC(=O)c1coc(CN[C@H](C)C(C)C)c1 ZINC000353909431 187373293 /nfs/dbraw/zinc/37/32/93/187373293.db2.gz ATOGOQDVXAJWDK-SNVBAGLBSA-N 0 3 239.315 2.590 20 0 BFADHN Cc1ncc(CNCCCC(C)(F)F)o1 ZINC000628297031 347243532 /nfs/dbraw/zinc/24/35/32/347243532.db2.gz HRSSBJHNJOGROI-UHFFFAOYSA-N 0 3 218.247 2.508 20 0 BFADHN COc1ccccc1CN[C@@H](C)[C@H](OC)C1CC1 ZINC000388279667 347288601 /nfs/dbraw/zinc/28/86/01/347288601.db2.gz LGPNGSAERSLTTN-NHYWBVRUSA-N 0 3 249.354 2.598 20 0 BFADHN CO[C@@H]([C@@H](C)NCc1csc(C)c1)C1CC1 ZINC000388239727 347257771 /nfs/dbraw/zinc/25/77/71/347257771.db2.gz QGKDLTZJDRZJDU-MFKMUULPSA-N 0 3 239.384 2.960 20 0 BFADHN Cc1cccc([C@H](C)N[C@H](C)CC(=O)N(C)C)c1 ZINC000336792880 179197494 /nfs/dbraw/zinc/19/74/94/179197494.db2.gz UPLVJCFJPILKON-OLZOCXBDSA-N 0 3 248.370 2.512 20 0 BFADHN Cc1ccc([C@H](C)CN2CCOC[C@@H]2C)cc1 ZINC000628298001 347270237 /nfs/dbraw/zinc/27/02/37/347270237.db2.gz RORAZTZFLHKAIY-KGLIPLIRSA-N 0 3 233.355 2.819 20 0 BFADHN CC[C@H](CN(C)Cc1cccc(C)c1)OC ZINC000419211976 192105444 /nfs/dbraw/zinc/10/54/44/192105444.db2.gz UAWAPTUPISFNQV-CQSZACIVSA-N 0 3 221.344 2.852 20 0 BFADHN CO[C@@H]([C@H](C)N[C@@H](C)c1ccccn1)C1CC1 ZINC000388263545 347276775 /nfs/dbraw/zinc/27/67/75/347276775.db2.gz CAUQBVCOMMMDCT-MJVIPROJSA-N 0 3 234.343 2.546 20 0 BFADHN CC[C@@H]1CN(CCOCC2CCC2)CCS1 ZINC000419221896 192106323 /nfs/dbraw/zinc/10/63/23/192106323.db2.gz WDHWNIFLRTVLGA-CYBMUJFWSA-N 0 3 243.416 2.631 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1cnc(C)o1 ZINC000628298756 347337236 /nfs/dbraw/zinc/33/72/36/347337236.db2.gz QETVVDFTUFLXQG-RYUDHWBXSA-N 0 3 222.332 2.899 20 0 BFADHN CC(C)(N)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC000019672683 347339684 /nfs/dbraw/zinc/33/96/84/347339684.db2.gz YSZZVAKHTQYICO-UHFFFAOYSA-N 0 3 247.125 2.669 20 0 BFADHN CO[C@H]([C@H](C)NCc1cccc(F)c1)C1CC1 ZINC000388352992 347349895 /nfs/dbraw/zinc/34/98/95/347349895.db2.gz PDFUKTRTQXUXQT-IINYFYTJSA-N 0 3 237.318 2.729 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1cccnc1)OC ZINC000319470904 259395148 /nfs/dbraw/zinc/39/51/48/259395148.db2.gz QOVRZLXIFJCDAK-WCQYABFASA-N 0 3 222.332 2.547 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2CS[C@H](C)C2)n1 ZINC000250875769 362825733 /nfs/dbraw/zinc/82/57/33/362825733.db2.gz PQENXSDKUBRXLT-UTLUCORTSA-N 0 3 242.413 2.996 20 0 BFADHN Cc1cccc2c1OC[C@@H](NCc1ccco1)C2 ZINC000368112426 179220829 /nfs/dbraw/zinc/22/08/29/179220829.db2.gz LFVBZASBNODXCA-ZDUSSCGKSA-N 0 3 243.306 2.681 20 0 BFADHN CC[C@@H](N[C@@H]1CCOC1)c1ccccc1OC ZINC000109430114 347400999 /nfs/dbraw/zinc/40/09/99/347400999.db2.gz ZJQPEACGGMQQOF-DGCLKSJQSA-N 0 3 235.327 2.525 20 0 BFADHN Cc1cccc2nc(CN3C[C@H](C)C[C@H]3C)cn21 ZINC000354207711 179229911 /nfs/dbraw/zinc/22/99/11/179229911.db2.gz NDDQOBVKWSGETK-DGCLKSJQSA-N 0 3 243.354 2.873 20 0 BFADHN Cc1cccc2ncc(CN3CC[C@H](C)[C@H]3C)n21 ZINC000355135199 179231013 /nfs/dbraw/zinc/23/10/13/179231013.db2.gz OKJIZQJNSVIFDX-WCQYABFASA-N 0 3 243.354 2.873 20 0 BFADHN CC[C@H]1CCCCCN1Cc1nccn1C ZINC000150746042 347419964 /nfs/dbraw/zinc/41/99/64/347419964.db2.gz APRKVRZMJAEEDJ-LBPRGKRZSA-N 0 3 221.348 2.575 20 0 BFADHN CC(C)COCCN1CC[C@@H](c2cccnc2)C1 ZINC000563073108 347440758 /nfs/dbraw/zinc/44/07/58/347440758.db2.gz QWCLCRTXIGZOOF-OAHLLOKOSA-N 0 3 248.370 2.544 20 0 BFADHN CCCN(CCN1CCCCCC1)CC(F)F ZINC000684836200 488347629 /nfs/dbraw/zinc/34/76/29/488347629.db2.gz AVTFJIDOQDXREJ-UHFFFAOYSA-N 0 3 248.361 2.840 20 0 BFADHN CCCCC[C@@H]1CCCN1Cc1c[nH]cn1 ZINC000355210823 187375422 /nfs/dbraw/zinc/37/54/22/187375422.db2.gz MBSGMQSTOAUNPZ-CYBMUJFWSA-N 0 3 221.348 2.954 20 0 BFADHN CC[C@H](C)N(CC)C(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000616980420 347556209 /nfs/dbraw/zinc/55/62/09/347556209.db2.gz GUJNCMUIBHLKEK-AVGNSLFASA-N 0 3 240.391 2.506 20 0 BFADHN Cc1ccccc1CCNCc1ccccn1 ZINC000105812731 179265066 /nfs/dbraw/zinc/26/50/66/179265066.db2.gz BKNNNLSMYKJUEJ-UHFFFAOYSA-N 0 3 226.323 2.722 20 0 BFADHN CC1(C)CCC[C@@H](N2CCC(=O)CC2)C1 ZINC000150033766 347590886 /nfs/dbraw/zinc/59/08/86/347590886.db2.gz MCDUKEFKDYIVNY-LLVKDONJSA-N 0 3 209.333 2.620 20 0 BFADHN CCCN(C[C@@H]1COc2ccccc2O1)C1CC1 ZINC000590966497 347596083 /nfs/dbraw/zinc/59/60/83/347596083.db2.gz XRXKJDMUCMWHIG-CYBMUJFWSA-N 0 3 247.338 2.701 20 0 BFADHN CC[C@H](CNC1(c2ccc(OC)cc2)CC1)OC ZINC000419228850 192107598 /nfs/dbraw/zinc/10/75/98/192107598.db2.gz NHSLWQHVCICVSQ-CYBMUJFWSA-N 0 3 249.354 2.699 20 0 BFADHN CC[C@@H](CN1CCc2ccsc2C1)OC ZINC000419228821 192107694 /nfs/dbraw/zinc/10/76/94/192107694.db2.gz NAYRSLICJGVHPK-NSHDSACASA-N 0 3 225.357 2.531 20 0 BFADHN CCOCCN1C[C@@H](C(F)(F)F)CC[C@H]1C ZINC000679541815 488356508 /nfs/dbraw/zinc/35/65/08/488356508.db2.gz MBAYXURKOCVESF-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1ccccc1CN(C)C[C@@H]1CC[C@@H](C)O1 ZINC000336691676 179268772 /nfs/dbraw/zinc/26/87/72/179268772.db2.gz HFAYNOLGDPZOTG-HIFRSBDPSA-N 0 3 233.355 2.994 20 0 BFADHN CC[C@H](CN(C)Cc1ccc(F)c(F)c1)OC ZINC000419185810 192108309 /nfs/dbraw/zinc/10/83/09/192108309.db2.gz LNKJCLILBUYCBB-LLVKDONJSA-N 0 3 243.297 2.822 20 0 BFADHN Cc1ccccc1CN1CCO[C@H]2CCC[C@H]21 ZINC000280677944 179275292 /nfs/dbraw/zinc/27/52/92/179275292.db2.gz FQAATYIDIXHPEE-CABCVRRESA-N 0 3 231.339 2.748 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H](C)c1c(C)noc1C ZINC000591836914 347703663 /nfs/dbraw/zinc/70/36/63/347703663.db2.gz QUOBSSFWBAOWHA-WHGOUJPWSA-N 0 3 240.372 2.836 20 0 BFADHN CCc1ccc(CNCc2ccc(=O)[nH]c2)s1 ZINC000392680423 347716200 /nfs/dbraw/zinc/71/62/00/347716200.db2.gz RWFGVZWEEGGLCP-UHFFFAOYSA-N 0 3 248.351 2.701 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H]2NCC1=CCCOC1 ZINC000176833627 365910020 /nfs/dbraw/zinc/91/00/20/365910020.db2.gz YOIKIBMHBGKUMM-HNNXBMFYSA-N 0 3 247.313 2.749 20 0 BFADHN Cc1ccccc1CNCc1cccc(CO)c1 ZINC000222119211 179283358 /nfs/dbraw/zinc/28/33/58/179283358.db2.gz DMGUOKMQFXYHRY-UHFFFAOYSA-N 0 3 241.334 2.777 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NCc1ccccc1C ZINC000020349374 179283611 /nfs/dbraw/zinc/28/36/11/179283611.db2.gz KFLVYLYLVAZIBG-LBPRGKRZSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccccc1CN[C@@H]1COCC1(C)C ZINC000313082538 179284332 /nfs/dbraw/zinc/28/43/32/179284332.db2.gz NQTRTVGBJVVKFE-CYBMUJFWSA-N 0 3 219.328 2.510 20 0 BFADHN Cc1ccccc1C[C@@H](C)N(C)Cc1c[nH]cn1 ZINC000361323557 179288284 /nfs/dbraw/zinc/28/82/84/179288284.db2.gz CXLXXTUHZYRZNA-CYBMUJFWSA-N 0 3 243.354 2.781 20 0 BFADHN Cc1ccccc1C[C@@H](C)N(C)Cc1cnc[nH]1 ZINC000361323557 179288285 /nfs/dbraw/zinc/28/82/85/179288285.db2.gz CXLXXTUHZYRZNA-CYBMUJFWSA-N 0 3 243.354 2.781 20 0 BFADHN CCCC[C@H](C)[C@H](C)N[C@H](C)c1nncn1C ZINC000343505470 133691985 /nfs/dbraw/zinc/69/19/85/133691985.db2.gz FBQZVFSNXMQFHV-SDDRHHMPSA-N 0 3 238.379 2.681 20 0 BFADHN CCN(CCSC)[C@H](C)c1cccnc1 ZINC000592205682 347757121 /nfs/dbraw/zinc/75/71/21/347757121.db2.gz ZAQHHOMPYDRCPS-LLVKDONJSA-N 0 3 224.373 2.828 20 0 BFADHN CCN(CCSC)Cc1ccc(C)o1 ZINC000592528794 347795639 /nfs/dbraw/zinc/79/56/39/347795639.db2.gz GNEUDRUXMNJQMZ-UHFFFAOYSA-N 0 3 213.346 2.773 20 0 BFADHN CCN(CCSC)Cc1ccsc1 ZINC000592528759 347795688 /nfs/dbraw/zinc/79/56/88/347795688.db2.gz FQBPGLJTZWMYFI-UHFFFAOYSA-N 0 3 215.387 2.933 20 0 BFADHN CCN(CCSC)Cc1ccc2c[nH]nc2c1 ZINC000592529970 347796315 /nfs/dbraw/zinc/79/63/15/347796315.db2.gz RBGQHJBOMZPDTL-UHFFFAOYSA-N 0 3 249.383 2.748 20 0 BFADHN CCCC(C)(C)NCc1cnc([C@@H](C)O)s1 ZINC000290320433 168579064 /nfs/dbraw/zinc/57/90/64/168579064.db2.gz JMGVIGMQQMEURH-SECBINFHSA-N 0 3 242.388 2.865 20 0 BFADHN CCCCCN(C(=O)[C@H](C)NC)[C@H](C)CCC ZINC000577296081 365920091 /nfs/dbraw/zinc/92/00/91/365920091.db2.gz WPUQSIBFKZLYAB-OLZOCXBDSA-N 0 3 242.407 2.802 20 0 BFADHN FC(F)O[C@@H]1CCCN([C@@H]2C=CCCC2)C1 ZINC000679679691 488364049 /nfs/dbraw/zinc/36/40/49/488364049.db2.gz CLEMCIVIHVUGHL-GHMZBOCLSA-N 0 3 231.286 2.799 20 0 BFADHN CC(C)=CCN1CCC[C@@H](OC(F)F)C1 ZINC000679677835 488364063 /nfs/dbraw/zinc/36/40/63/488364063.db2.gz FKFQACFHSGARHB-SNVBAGLBSA-N 0 3 219.275 2.656 20 0 BFADHN C[C@H](NC[C@H]1CCCCC1(F)F)c1cn[nH]c1 ZINC000390687498 259398100 /nfs/dbraw/zinc/39/81/00/259398100.db2.gz YYMHWOOUMLHREN-GXSJLCMTSA-N 0 3 243.301 2.886 20 0 BFADHN CCN(C)Cc1ccc(Br)cc1 ZINC000042223957 347876453 /nfs/dbraw/zinc/87/64/53/347876453.db2.gz ZJDKIYOCCQTFJZ-UHFFFAOYSA-N 0 3 228.133 2.901 20 0 BFADHN Cc1cccc2ncc(CN[C@@H]3CC3(C)C)n21 ZINC000343687158 133704209 /nfs/dbraw/zinc/70/42/09/133704209.db2.gz WPXHQZVPJHCABB-GFCCVEGCSA-N 0 3 229.327 2.531 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)[C@H](C)C(C)(C)C ZINC000535084966 323166043 /nfs/dbraw/zinc/16/60/43/323166043.db2.gz NRTHLEWYIVXZPX-GFCCVEGCSA-N 0 3 237.391 2.903 20 0 BFADHN Cc1ccccc1[C@@H](C)N[C@@H](C)C(=O)NC(C)C ZINC000042208394 179321194 /nfs/dbraw/zinc/32/11/94/179321194.db2.gz WMGQYUAFWDRSOV-OLZOCXBDSA-N 0 3 248.370 2.559 20 0 BFADHN Cc1ccccc1[C@@H](C)NCCn1cccn1 ZINC000042538889 179321571 /nfs/dbraw/zinc/32/15/71/179321571.db2.gz IAEHHOUSYIRNDZ-CYBMUJFWSA-N 0 3 229.327 2.542 20 0 BFADHN C[C@H]1CN(CCOCC2CCC2)CCC1(F)F ZINC000419271610 192110515 /nfs/dbraw/zinc/11/05/15/192110515.db2.gz GBDJCPJSVNTXOZ-NSHDSACASA-N 0 3 247.329 2.780 20 0 BFADHN CCc1cc(CNCC2(SC)CCC2)on1 ZINC000309619616 323169773 /nfs/dbraw/zinc/16/97/73/323169773.db2.gz DWDRWQMSSBSTHY-UHFFFAOYSA-N 0 3 240.372 2.612 20 0 BFADHN CC[C@H](CNC(C)(C)c1c(C)noc1C)OC ZINC000419269342 192109769 /nfs/dbraw/zinc/10/97/69/192109769.db2.gz BHUAWZHOPJBHOQ-LLVKDONJSA-N 0 3 240.347 2.541 20 0 BFADHN CS[C@@H](C)CNCc1cc2cnccc2o1 ZINC000593609898 347920321 /nfs/dbraw/zinc/92/03/21/347920321.db2.gz ZFLQRRZIOPGUAI-VIFPVBQESA-N 0 3 236.340 2.669 20 0 BFADHN Cc1ccccc1[C@@H](C)NC(=O)[C@@H](N)C(C)(C)C ZINC000040854840 179319692 /nfs/dbraw/zinc/31/96/92/179319692.db2.gz ZKVYASKDTRORFG-DGCLKSJQSA-N 0 3 248.370 2.546 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@@H](C)C2)cn1 ZINC000362842562 187383660 /nfs/dbraw/zinc/38/36/60/187383660.db2.gz ZKOUTLZQLXRLPW-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN CC[C@H](CSC)N[C@@H](C)c1cncnc1C ZINC000594503592 348064233 /nfs/dbraw/zinc/06/42/33/348064233.db2.gz ZJBPWUMQKSAUCC-WDEREUQCSA-N 0 3 239.388 2.577 20 0 BFADHN OCCNCc1cccc(Oc2ccccc2)c1 ZINC000020305897 187385637 /nfs/dbraw/zinc/38/56/37/187385637.db2.gz BJTCALCQHIFPNT-UHFFFAOYSA-N 0 3 243.306 2.561 20 0 BFADHN Cc1ccoc1CNC[C@@H](O)c1ccccc1C ZINC000266170618 179345545 /nfs/dbraw/zinc/34/55/45/179345545.db2.gz CAFZQXFVURBAED-CQSZACIVSA-N 0 3 245.322 2.720 20 0 BFADHN CC[C@H](CSC)NCc1nccn1C(C)C ZINC000594934973 348141497 /nfs/dbraw/zinc/14/14/97/348141497.db2.gz LXDBYMDHFVIQMZ-LLVKDONJSA-N 0 3 241.404 2.695 20 0 BFADHN Cc1nnsc1CN[C@]1(C)CCCC[C@H]1C ZINC000308704688 323177181 /nfs/dbraw/zinc/17/71/81/323177181.db2.gz FULFXAGNWCXSPG-BXKDBHETSA-N 0 3 239.388 2.905 20 0 BFADHN C[C@@H](N[C@@H](C)CSCCF)c1ccccn1 ZINC000595009531 348159178 /nfs/dbraw/zinc/15/91/78/348159178.db2.gz XYSCPDCZKPVGDA-WDEREUQCSA-N 0 3 242.363 2.823 20 0 BFADHN C[C@H](CSCCF)N[C@H](C)c1cccnc1 ZINC000595003078 348169611 /nfs/dbraw/zinc/16/96/11/348169611.db2.gz CVDQEAAWBKPBNM-GHMZBOCLSA-N 0 3 242.363 2.823 20 0 BFADHN CC(=O)CCN1CC[C@](C)(c2ccc(F)cc2)C1 ZINC000373791100 187387212 /nfs/dbraw/zinc/38/72/12/187387212.db2.gz JJMISHXBGSSJER-HNNXBMFYSA-N 0 3 249.329 2.768 20 0 BFADHN Cc1cccnc1CCN[C@@H](C)c1ccccn1 ZINC000294695195 179369925 /nfs/dbraw/zinc/36/99/25/179369925.db2.gz AXHNPRRFCHIRBA-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1cccnc1CCN[C@H](C)c1ccco1 ZINC000296352959 179371154 /nfs/dbraw/zinc/37/11/54/179371154.db2.gz IJQPZWVGJDMTML-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1ccoc1CNCCc1ncccc1C ZINC000295115444 179371383 /nfs/dbraw/zinc/37/13/83/179371383.db2.gz WRZXOJZTSRQNMG-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CO[C@H](C)C2)s1 ZINC000401857952 348208340 /nfs/dbraw/zinc/20/83/40/348208340.db2.gz ZTYKZAZXUCZOCE-MIMYLULJSA-N 0 3 225.357 2.885 20 0 BFADHN CC(C)=CCN1CC2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000377783647 187389855 /nfs/dbraw/zinc/38/98/55/187389855.db2.gz OTSKXHSNYSHYSW-ZIAGYGMSSA-N 0 3 235.371 2.986 20 0 BFADHN CC[C@H](CNC1(c2cccc(OC)c2)CC1)OC ZINC000419255272 192115755 /nfs/dbraw/zinc/11/57/55/192115755.db2.gz WDUOBESGSUKSLW-CYBMUJFWSA-N 0 3 249.354 2.699 20 0 BFADHN CC[C@H](CN[C@@H](CC)c1c(C)noc1C)OC ZINC000419238197 192113346 /nfs/dbraw/zinc/11/33/46/192113346.db2.gz HAESQNBSYSHQOL-NEPJUHHUSA-N 0 3 240.347 2.757 20 0 BFADHN CC(C)(CCO)NCc1sccc1Cl ZINC000193128817 187391922 /nfs/dbraw/zinc/39/19/22/187391922.db2.gz YOQXWSUZHDVYRA-UHFFFAOYSA-N 0 3 233.764 2.652 20 0 BFADHN Cc1cccnc1CN1CCCC2(CC2)C1 ZINC000186622766 179374434 /nfs/dbraw/zinc/37/44/34/179374434.db2.gz OHRCSDUUMXJWAA-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CC[C@@H](NC[C@H](CC)OC)c1c(C)noc1C ZINC000419238198 192113841 /nfs/dbraw/zinc/11/38/41/192113841.db2.gz HAESQNBSYSHQOL-NWDGAFQWSA-N 0 3 240.347 2.757 20 0 BFADHN Cc1ccc(F)c(CNC[C@](C)(O)C(C)C)c1 ZINC000304201152 187391523 /nfs/dbraw/zinc/39/15/23/187391523.db2.gz AKHHYBPLCHUYKW-AWEZNQCLSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1cccnc1CN1CCSCC[C@H]1C ZINC000276864407 179376119 /nfs/dbraw/zinc/37/61/19/179376119.db2.gz XOGIYAFJWZKILR-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN CC[C@H](CN1CC[C@@H](C(F)(F)F)[C@H]1C)OC ZINC000419298824 192118691 /nfs/dbraw/zinc/11/86/91/192118691.db2.gz XGZKCXVQTBVORH-OPRDCNLKSA-N 0 3 239.281 2.684 20 0 BFADHN CC[C@@H](CN1Cc2ccccc2O[C@@H](C)C1)OC ZINC000419297635 192119265 /nfs/dbraw/zinc/11/92/65/192119265.db2.gz ZNBHRNLXYVTVIO-JSGCOSHPSA-N 0 3 249.354 2.695 20 0 BFADHN CC[C@@H](CNC1(c2cccc(OC)c2)CC1)OC ZINC000419255273 192116256 /nfs/dbraw/zinc/11/62/56/192116256.db2.gz WDUOBESGSUKSLW-ZDUSSCGKSA-N 0 3 249.354 2.699 20 0 BFADHN Fc1ccc(CNCCc2ccco2)cc1F ZINC000035121495 358454291 /nfs/dbraw/zinc/45/42/91/358454291.db2.gz OLSMGNVBWMTVBN-UHFFFAOYSA-N 0 3 237.249 2.890 20 0 BFADHN Cc1cccnc1CN[C@@H](C)Cc1ccoc1 ZINC000341284297 179383162 /nfs/dbraw/zinc/38/31/62/179383162.db2.gz QWMGIKWXPVOLNU-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN C[C@@H](NCc1nccs1)C1CC(F)(F)C1 ZINC000529529241 323182563 /nfs/dbraw/zinc/18/25/63/323182563.db2.gz AFUGJOZUPFUNPV-SSDOTTSWSA-N 0 3 232.299 2.667 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H](C)[C@H]2C)c(OC)c1 ZINC000336794290 187393888 /nfs/dbraw/zinc/39/38/88/187393888.db2.gz AXZXQQPEZILGOD-MISXGVKJSA-N 0 3 249.354 2.838 20 0 BFADHN Fc1ccc(CNCCc2cccs2)nc1 ZINC000529536743 323183034 /nfs/dbraw/zinc/18/30/34/323183034.db2.gz PYRFRABRZYXKBP-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN Cc1csc(CNCc2ccncc2)c1 ZINC000344619756 187394499 /nfs/dbraw/zinc/39/44/99/187394499.db2.gz XNUNQFHLXFZVAP-UHFFFAOYSA-N 0 3 218.325 2.741 20 0 BFADHN Cc1cccnc1[C@H](N[C@@H]1CCO[C@H]1C)C(C)C ZINC000245945561 179399737 /nfs/dbraw/zinc/39/97/37/179399737.db2.gz NTSPQVXNSOMNCA-BFHYXJOUSA-N 0 3 248.370 2.854 20 0 BFADHN CC(C)(CN)c1nc(C2CCCCCC2)no1 ZINC000124987587 187394611 /nfs/dbraw/zinc/39/46/11/187394611.db2.gz HALYAQUFOPQYKZ-UHFFFAOYSA-N 0 3 237.347 2.744 20 0 BFADHN C[C@@H]1CN(CCn2cc(Cl)cn2)[C@@H](C)[C@H]1C ZINC000419356498 192126819 /nfs/dbraw/zinc/12/68/19/192126819.db2.gz OHOALKXDKFQMPM-VWYCJHECSA-N 0 3 241.766 2.513 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2cn3ccsc3n2)[C@H]1C ZINC000419369984 192129209 /nfs/dbraw/zinc/12/92/09/192129209.db2.gz IRLMDYTZNRIWRY-MXWKQRLJSA-N 0 3 249.383 2.872 20 0 BFADHN C[C@@H]1CN(CC(=O)Nc2ccccc2)[C@@H](C)[C@H]1C ZINC000419395740 192131284 /nfs/dbraw/zinc/13/12/84/192131284.db2.gz REAWQHPNACQSRD-AGIUHOORSA-N 0 3 246.354 2.601 20 0 BFADHN CCc1ccc(CN(C)CCCCOC)nc1 ZINC000419376636 192132517 /nfs/dbraw/zinc/13/25/17/192132517.db2.gz BFZDXYAARQYDAW-UHFFFAOYSA-N 0 3 236.359 2.502 20 0 BFADHN COc1cc(CN2C[C@H](C)[C@@H](C)[C@H]2C)ccn1 ZINC000419381784 192132680 /nfs/dbraw/zinc/13/26/80/192132680.db2.gz YMVUVDRTDZJMMY-QJPTWQEYSA-N 0 3 234.343 2.567 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H](C)CC(C)(C)O ZINC000296567160 179499896 /nfs/dbraw/zinc/49/98/96/179499896.db2.gz SRCQIWCLJVGGSD-NEPJUHHUSA-N 0 3 236.359 2.590 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1cccc(F)c1 ZINC000401894996 348609442 /nfs/dbraw/zinc/60/94/42/348609442.db2.gz VXMUNOAYZKFURB-GIPNMCIBSA-N 0 3 223.291 2.654 20 0 BFADHN Cc1cnc(CN[C@H]2CCC23CCC3)s1 ZINC000309231542 179611914 /nfs/dbraw/zinc/61/19/14/179611914.db2.gz WUAFDLGYZGEXFU-JTQLQIEISA-N 0 3 222.357 2.874 20 0 BFADHN Cc1cnc(CNC[C@H]2CC[C@H](C)C2)s1 ZINC000336757196 179605630 /nfs/dbraw/zinc/60/56/30/179605630.db2.gz JWFAPVXFIFFGAC-ONGXEEELSA-N 0 3 224.373 2.977 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1ccc(F)cc1F ZINC000401925656 348739228 /nfs/dbraw/zinc/73/92/28/348739228.db2.gz RAQQUSOCFMXYON-YWVKMMECSA-N 0 3 241.281 2.793 20 0 BFADHN CO[C@@H]1CCC[C@H](NCc2ccc(C)o2)C1 ZINC000069848015 490188677 /nfs/dbraw/zinc/18/86/77/490188677.db2.gz NKLQJHSYRINKMW-NWDGAFQWSA-N 0 3 223.316 2.635 20 0 BFADHN CCc1nc(CN[C@H](C)C(C)C)cs1 ZINC000054917955 348790809 /nfs/dbraw/zinc/79/08/09/348790809.db2.gz HCXRQQLODVLHPX-SECBINFHSA-N 0 3 212.362 2.840 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)no1 ZINC000082859060 348852527 /nfs/dbraw/zinc/85/25/27/348852527.db2.gz FKFIRAXWNBVKEI-OUJBWJOFSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)on1 ZINC000082859098 348853173 /nfs/dbraw/zinc/85/31/73/348853173.db2.gz MEBVDMNFZKAFCE-GBIKHYSHSA-N 0 3 222.332 2.897 20 0 BFADHN CC(C)[C@@H]1C[C@H](NCc2ccoc2)CS1 ZINC000276835592 187403092 /nfs/dbraw/zinc/40/30/92/187403092.db2.gz BSCYLXJMYWPAHX-RYUDHWBXSA-N 0 3 225.357 2.899 20 0 BFADHN CO[C@H](CNCc1cc(C)ns1)C(C)(C)C ZINC000638701032 352540316 /nfs/dbraw/zinc/54/03/16/352540316.db2.gz NHULECUASXIOJJ-LLVKDONJSA-N 0 3 242.388 2.602 20 0 BFADHN CN(Cc1cccc(C#N)c1)[C@@H]1COC(C)(C)C1 ZINC000396612357 348990742 /nfs/dbraw/zinc/99/07/42/348990742.db2.gz UJTBLKWBJJGTDN-AWEZNQCLSA-N 0 3 244.338 2.558 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1CCCC1(F)F ZINC000394660281 349073877 /nfs/dbraw/zinc/07/38/77/349073877.db2.gz NVJCBLZDMMXVEU-JTQLQIEISA-N 0 3 244.285 2.816 20 0 BFADHN CCCC1CCC(NCc2cnccn2)CC1 ZINC000054766676 349079734 /nfs/dbraw/zinc/07/97/34/349079734.db2.gz QWQZGJYVOYBVBE-UHFFFAOYSA-N 0 3 233.359 2.925 20 0 BFADHN CS[C@@H](C)CN[C@H]1CCCc2scnc21 ZINC000599041082 349081072 /nfs/dbraw/zinc/08/10/72/349081072.db2.gz JXSKEOSUDAQQOI-IUCAKERBSA-N 0 3 242.413 2.862 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1nc(C)cs1 ZINC000599043155 349081325 /nfs/dbraw/zinc/08/13/25/349081325.db2.gz VLCDLDPPDMMRJF-BDAKNGLRSA-N 0 3 230.402 2.854 20 0 BFADHN CS[C@@H](C)CN[C@@H]1CCCc2scnc21 ZINC000599041081 349081454 /nfs/dbraw/zinc/08/14/54/349081454.db2.gz JXSKEOSUDAQQOI-DTWKUNHWSA-N 0 3 242.413 2.862 20 0 BFADHN C/C=C/CN[C@H](C)c1cc(C(=O)OC)cs1 ZINC000599081377 349095940 /nfs/dbraw/zinc/09/59/40/349095940.db2.gz JHHPBUFSFUYRLQ-XNPJLODASA-N 0 3 239.340 2.761 20 0 BFADHN Cc1cc(C)c(CN[C@@H]2CO[C@H](C)C2)cc1C ZINC000402011487 349146807 /nfs/dbraw/zinc/14/68/07/349146807.db2.gz BHOJPUCOSISNNH-HIFRSBDPSA-N 0 3 233.355 2.879 20 0 BFADHN Cn1ccnc1NCc1cccc(C2CC2)c1 ZINC000421816650 192388667 /nfs/dbraw/zinc/38/86/67/192388667.db2.gz YICCQVUQDDYKNS-UHFFFAOYSA-N 0 3 227.311 2.910 20 0 BFADHN Cc1csc(CNC23CCC(CC2)C3)n1 ZINC000309237493 179775228 /nfs/dbraw/zinc/77/52/28/179775228.db2.gz XTQSJWIGLVRKSO-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN COC(=O)CCCCN1Cc2ccccc2[C@H]1C ZINC000599304886 349142947 /nfs/dbraw/zinc/14/29/47/349142947.db2.gz SLMTXCDTBCIVKM-GFCCVEGCSA-N 0 3 247.338 2.907 20 0 BFADHN CC[C@@H](O)CN[C@H]1CCCOc2cc(C)ccc21 ZINC000421890164 192395386 /nfs/dbraw/zinc/39/53/86/192395386.db2.gz XTJCFZKQVFZJGA-OCCSQVGLSA-N 0 3 249.354 2.569 20 0 BFADHN CC[C@@H](O)CN[C@H](c1ccc(C)o1)C1CCC1 ZINC000421869312 192396954 /nfs/dbraw/zinc/39/69/54/192396954.db2.gz DGYTYPSSNOOHRR-OCCSQVGLSA-N 0 3 237.343 2.790 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1ccncc1Cl ZINC000421860122 192390656 /nfs/dbraw/zinc/39/06/56/192390656.db2.gz UEWDQHQXQJTXEG-KXUCPTDWSA-N 0 3 242.750 2.809 20 0 BFADHN COC1([C@@H](C)NCc2cncc(C)c2)CCCC1 ZINC000421836591 192390841 /nfs/dbraw/zinc/39/08/41/192390841.db2.gz OQWOOBZLCAPEFT-CYBMUJFWSA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1ccncc1Cl ZINC000421834841 192391633 /nfs/dbraw/zinc/39/16/33/192391633.db2.gz PBYMBBVJDDUYFH-CABZTGNLSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1ccncc1Cl ZINC000421838987 192392295 /nfs/dbraw/zinc/39/22/95/192392295.db2.gz WBSIRYMKSYILEL-ZJUUUORDSA-N 0 3 242.750 2.811 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1ccncc1Cl ZINC000421838237 192392308 /nfs/dbraw/zinc/39/23/08/192392308.db2.gz UZEZJWLYBXFZIF-ZJUUUORDSA-N 0 3 242.750 2.547 20 0 BFADHN CSCCN[C@H](c1ccccn1)C1CCC1 ZINC000599568628 349223793 /nfs/dbraw/zinc/22/37/93/349223793.db2.gz GXDXFMWTBHDXCE-ZDUSSCGKSA-N 0 3 236.384 2.875 20 0 BFADHN CSCCN1C[C@H](C)C(F)(F)[C@@H](C)C1 ZINC000599514254 349204221 /nfs/dbraw/zinc/20/42/21/349204221.db2.gz WBXVDJWRVCAMCU-IUCAKERBSA-N 0 3 223.332 2.573 20 0 BFADHN CCOC(=O)[C@@H](CC)N1CCCC2(CC2)CC1 ZINC000599672193 349240665 /nfs/dbraw/zinc/24/06/65/349240665.db2.gz CGAQBAIGIWKOAN-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN CC(C)Cn1ccnc1NCC1(C)CC1 ZINC000309000218 349268182 /nfs/dbraw/zinc/26/81/82/349268182.db2.gz NVJVHOWRTWNYFI-UHFFFAOYSA-N 0 3 207.321 2.751 20 0 BFADHN CCN(CC)Cc1cccc(Br)n1 ZINC000060170556 349273731 /nfs/dbraw/zinc/27/37/31/349273731.db2.gz RVSWPNZWDONJKT-UHFFFAOYSA-N 0 3 243.148 2.686 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(C3CC3)cc2)CO1 ZINC000402053521 349278450 /nfs/dbraw/zinc/27/84/50/349278450.db2.gz QJJXAGQLQSNFHX-NHYWBVRUSA-N 0 3 231.339 2.831 20 0 BFADHN CCC(CC)(CC)NCc1snnc1C ZINC000309011667 349287351 /nfs/dbraw/zinc/28/73/51/349287351.db2.gz XJLLLDIIGZWDLG-UHFFFAOYSA-N 0 3 227.377 2.905 20 0 BFADHN Cc1nc(C(C)C)sc1[C@@H](C)NC[C@H](C)O ZINC000131735701 179896731 /nfs/dbraw/zinc/89/67/31/179896731.db2.gz SPEPFONMWKCUFS-DTWKUNHWSA-N 0 3 242.388 2.606 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)C1)c1ncc[nH]1 ZINC000124712300 490251773 /nfs/dbraw/zinc/25/17/73/490251773.db2.gz FOZLNGRQWYWZFG-OUAUKWLOSA-N 0 3 207.321 2.639 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2ccccn2)CCO1 ZINC000160840136 349325466 /nfs/dbraw/zinc/32/54/66/349325466.db2.gz YGHWCJHGGDJODN-FRRDWIJNSA-N 0 3 234.343 2.690 20 0 BFADHN CCC(CC)(CO)CN[C@H](C)c1cccnc1 ZINC000161334163 349424561 /nfs/dbraw/zinc/42/45/61/349424561.db2.gz AIEJJUKKWPOMGM-GFCCVEGCSA-N 0 3 236.359 2.531 20 0 BFADHN COC(=O)c1oc(CNCCC(C)C)cc1C ZINC000603659062 350010307 /nfs/dbraw/zinc/01/03/07/350010307.db2.gz WHYBHLLPENDLJD-UHFFFAOYSA-N 0 3 239.315 2.510 20 0 BFADHN CCOC[C@H]1CCCN([C@H](C)c2ccncc2)C1 ZINC000603713575 350014746 /nfs/dbraw/zinc/01/47/46/350014746.db2.gz WRDMOHGBPSSCSD-KGLIPLIRSA-N 0 3 248.370 2.891 20 0 BFADHN CC[C@@H](NC[C@@H](C)CO)c1ccc(F)cc1F ZINC000151745350 538049281 /nfs/dbraw/zinc/04/92/81/538049281.db2.gz HFUCBELIXSLCFQ-NOZJJQNGSA-N 0 3 243.297 2.634 20 0 BFADHN Cc1nc(CNC[C@H]2CCCSC2)cs1 ZINC000288387829 179969217 /nfs/dbraw/zinc/96/92/17/179969217.db2.gz GTVRSRDDBISMBZ-SNVBAGLBSA-N 0 3 242.413 2.684 20 0 BFADHN CC(C)(CCO)NCc1ccccc1Cl ZINC000127123549 191008083 /nfs/dbraw/zinc/00/80/83/191008083.db2.gz AOZYKARRCBZIAP-UHFFFAOYSA-N 0 3 227.735 2.591 20 0 BFADHN CCc1nc(CN[C@H]2CC[C@@H](C)C2)cs1 ZINC000122004513 350058335 /nfs/dbraw/zinc/05/83/35/350058335.db2.gz OCCAIILJVBSJGI-ZJUUUORDSA-N 0 3 224.373 2.984 20 0 BFADHN CCS[C@@H]1CC[C@H](N(C)Cc2ccno2)C1 ZINC000558674290 490290056 /nfs/dbraw/zinc/29/00/56/490290056.db2.gz WRBRYEHUMBDADQ-CMPLNLGQSA-N 0 3 240.372 2.781 20 0 BFADHN Cc1nc(NC(=O)C(C)C(F)(F)F)cs1 ZINC000336377173 180009563 /nfs/dbraw/zinc/00/95/63/180009563.db2.gz VNWGTXPYHRBESM-SCSAIBSYSA-N 0 3 238.234 2.588 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+][C@H](C)C2CCCCC2)[n-]1 ZINC000362307802 180037923 /nfs/dbraw/zinc/03/79/23/180037923.db2.gz ARJDYIDTTHXABC-NXEZZACHSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1nc([C@@H]2CCCN(CC(C)(C)C)C2)no1 ZINC000371377253 180043639 /nfs/dbraw/zinc/04/36/39/180043639.db2.gz BUAAUURJEZJHBT-LLVKDONJSA-N 0 3 237.347 2.604 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CC[C@@H](C)C1 ZINC000219261909 350118348 /nfs/dbraw/zinc/11/83/48/350118348.db2.gz JOSJRGWHSUBWBZ-ADEWGFFLSA-N 0 3 219.332 2.624 20 0 BFADHN Cc1nc([C@H](C)NC[C@@H]2CCSC2)cs1 ZINC000226379995 180049531 /nfs/dbraw/zinc/04/95/31/180049531.db2.gz FZTZKMQTBJRUQH-WPRPVWTQSA-N 0 3 242.413 2.855 20 0 BFADHN Cc1nc([C@H](C)NC[C@@H]2C[C@H]2C)cs1 ZINC000252691860 180049780 /nfs/dbraw/zinc/04/97/80/180049780.db2.gz ZFVPUPRARVMBMT-WEDXCCLWSA-N 0 3 210.346 2.758 20 0 BFADHN Cc1nc([C@H](C)N[C@H]2CCCOCC2)cs1 ZINC000271421401 180050479 /nfs/dbraw/zinc/05/04/79/180050479.db2.gz VDTNRJCSCZRKAB-ONGXEEELSA-N 0 3 240.372 2.671 20 0 BFADHN Cc1nc([C@H](C)N[C@@H]2CCOC[C@@H]2C)cs1 ZINC000278465204 180050911 /nfs/dbraw/zinc/05/09/11/180050911.db2.gz JJJXTYYMRSKRGX-ATZCPNFKSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1nc([C@H](C)N[C@@H](CCO)C(C)C)cs1 ZINC000227590946 180051218 /nfs/dbraw/zinc/05/12/18/180051218.db2.gz LPJRSWKVNUTCBB-ONGXEEELSA-N 0 3 242.388 2.509 20 0 BFADHN Cc1nc([C@H](C)N[C@H]2CCOC[C@H]2C)cs1 ZINC000278465209 180051575 /nfs/dbraw/zinc/05/15/75/180051575.db2.gz JJJXTYYMRSKRGX-YWVKMMECSA-N 0 3 240.372 2.527 20 0 BFADHN CCCCCN[C@H](CC)c1nccn1C ZINC000132089308 191025089 /nfs/dbraw/zinc/02/50/89/191025089.db2.gz DFIFKCZEXXYAEO-LLVKDONJSA-N 0 3 209.337 2.651 20 0 BFADHN CC[C@@H](NC1CCCCC1)c1nccn1C ZINC000132089725 191025676 /nfs/dbraw/zinc/02/56/76/191025676.db2.gz CXLDKYLHXFIUBB-GFCCVEGCSA-N 0 3 221.348 2.794 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CCO[C@@H](C)C1 ZINC000219920814 350148989 /nfs/dbraw/zinc/14/89/89/350148989.db2.gz MLJCIAFDTBPKDB-GXTWGEPZSA-N 0 3 249.354 2.742 20 0 BFADHN CC[C@H](NC[C@H](C)C(C)C)c1nccn1C ZINC000132198002 191028415 /nfs/dbraw/zinc/02/84/15/191028415.db2.gz BICZDRYZNFJFSB-RYUDHWBXSA-N 0 3 223.364 2.753 20 0 BFADHN CCn1ccnc1CN[C@@H](C)CC1CCC1 ZINC000395515980 490340411 /nfs/dbraw/zinc/34/04/11/490340411.db2.gz SHESSNGWMFTFCM-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN Cc1nc2ccccn2c1CN[C@@H]1CC1(C)C ZINC000045117265 180106993 /nfs/dbraw/zinc/10/69/93/180106993.db2.gz WMOMLXVXLXFCGZ-GFCCVEGCSA-N 0 3 229.327 2.531 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC[C@@H]3C[C@@]32C)o1 ZINC000449608855 201985928 /nfs/dbraw/zinc/98/59/28/201985928.db2.gz KRYUYIXTYWRFJM-QKCSRTOESA-N 0 3 234.343 2.905 20 0 BFADHN Cc1cccc2nc(CN(C)[C@H](C)C3CC3)cn21 ZINC000071950772 350168222 /nfs/dbraw/zinc/16/82/22/350168222.db2.gz MPROAHLLRCOSNL-GFCCVEGCSA-N 0 3 243.354 2.873 20 0 BFADHN CC1(CNCc2ncc[nH]2)CCCCCC1 ZINC000133691500 191041389 /nfs/dbraw/zinc/04/13/89/191041389.db2.gz WZDMYDZYKJYAFZ-UHFFFAOYSA-N 0 3 221.348 2.860 20 0 BFADHN CC[C@H](C)CNCc1nccn1CC(C)C ZINC000133572035 191041500 /nfs/dbraw/zinc/04/15/00/191041500.db2.gz UOHHBQBMDHMZLG-LBPRGKRZSA-N 0 3 223.364 2.675 20 0 BFADHN Cc1ncc(CN(C)C[C@@H]2CCSC2)s1 ZINC000278502180 180138592 /nfs/dbraw/zinc/13/85/92/180138592.db2.gz VPLKQURAKCPKCY-JTQLQIEISA-N 0 3 242.413 2.636 20 0 BFADHN Cc1ncc(CN2CCCC(C)(C)CC2)cn1 ZINC000336525963 180142229 /nfs/dbraw/zinc/14/22/29/180142229.db2.gz NTTHAWQEQYFKRZ-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)C[C@@H](C)C2)cn1 ZINC000336341913 180142786 /nfs/dbraw/zinc/14/27/86/180142786.db2.gz HFAJFDFOHPIBNN-VXGBXAGGSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1nc2sccn2c1CN(C)C(C)C ZINC000268505165 180117109 /nfs/dbraw/zinc/11/71/09/180117109.db2.gz UNNHMBYDQDNUDJ-UHFFFAOYSA-N 0 3 223.345 2.544 20 0 BFADHN Cc1ncc(CN[C@@H](C)Cc2ccoc2)s1 ZINC000309554526 180154394 /nfs/dbraw/zinc/15/43/94/180154394.db2.gz JISFICLWFCFIQO-VIFPVBQESA-N 0 3 236.340 2.765 20 0 BFADHN Cc1ncc(CN[C@H](C)Cc2cccs2)cn1 ZINC000339281098 180158635 /nfs/dbraw/zinc/15/86/35/180158635.db2.gz JWIAAKKLUOYMOE-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN Cc1ncc(CN[C@H](C)Cc2ccoc2)s1 ZINC000309554525 180158893 /nfs/dbraw/zinc/15/88/93/180158893.db2.gz JISFICLWFCFIQO-SECBINFHSA-N 0 3 236.340 2.765 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NCc1ccccc1 ZINC000124507312 490368576 /nfs/dbraw/zinc/36/85/76/490368576.db2.gz RFORFZGPJCATHI-SNVBAGLBSA-N 0 3 215.300 2.569 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)C3(CCC3)C2)cn1 ZINC000334146184 180145898 /nfs/dbraw/zinc/14/58/98/180145898.db2.gz BPKIEEJJIZACSE-LBPRGKRZSA-N 0 3 245.370 2.797 20 0 BFADHN CC[C@H](NCc1nccn1C(F)F)C1CCC1 ZINC000324785007 323282696 /nfs/dbraw/zinc/28/26/96/323282696.db2.gz JOJPSFOTAPWBAK-JTQLQIEISA-N 0 3 243.301 2.947 20 0 BFADHN C[C@@H](c1ccccc1)[C@@H](CO)NCc1ccco1 ZINC000604768354 350185574 /nfs/dbraw/zinc/18/55/74/350185574.db2.gz NVOBRAPOBXQYEL-SWLSCSKDSA-N 0 3 245.322 2.534 20 0 BFADHN CC(C)Oc1ccccc1CN[C@@H]1CO[C@@H](C)C1 ZINC000402348473 350191528 /nfs/dbraw/zinc/19/15/28/350191528.db2.gz ROHZJEZOZVQAMK-JSGCOSHPSA-N 0 3 249.354 2.741 20 0 BFADHN C[C@@H]1CN(Cc2cncc(Cl)c2)C[C@H]1C ZINC000621260524 350213553 /nfs/dbraw/zinc/21/35/53/350213553.db2.gz HFWJFKRNNKNGJJ-NXEZZACHSA-N 0 3 224.735 2.823 20 0 BFADHN CCCOc1ccc(CN[C@@H]2CO[C@H](C)C2)cc1 ZINC000402374535 350226282 /nfs/dbraw/zinc/22/62/82/350226282.db2.gz ZRSTYECGMSHQBZ-OCCSQVGLSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H](C)C1)c1ncc[nH]1 ZINC000124711878 490399640 /nfs/dbraw/zinc/39/96/40/490399640.db2.gz FOZLNGRQWYWZFG-VWYCJHECSA-N 0 3 207.321 2.639 20 0 BFADHN Cc1ncccc1CN(C(C)C)C1CC1 ZINC000121352076 180219459 /nfs/dbraw/zinc/21/94/59/180219459.db2.gz XJQXKUXUGQWVBX-UHFFFAOYSA-N 0 3 204.317 2.763 20 0 BFADHN CC[C@@H](NCC[C@@H]1CCCO1)c1nccs1 ZINC000083526814 350234816 /nfs/dbraw/zinc/23/48/16/350234816.db2.gz CHVFNNCUHHCIQD-WDEREUQCSA-N 0 3 240.372 2.753 20 0 BFADHN Cc1nccn1CCCCN[C@H](C)c1ccco1 ZINC000164667794 180235242 /nfs/dbraw/zinc/23/52/42/180235242.db2.gz SVLPFNBWOQAAFF-GFCCVEGCSA-N 0 3 247.342 2.916 20 0 BFADHN COC[C@H](NCc1cc(F)cc(F)c1)C(C)C ZINC000221879377 350224717 /nfs/dbraw/zinc/22/47/17/350224717.db2.gz SDJWHMZJZGVFGX-ZDUSSCGKSA-N 0 3 243.297 2.725 20 0 BFADHN CCc1cnccc1[C@H](C)NC[C@H]1CC[C@H](C)O1 ZINC000355275027 350224858 /nfs/dbraw/zinc/22/48/58/350224858.db2.gz KQXICUZHPWQIIZ-SGMGOOAPSA-N 0 3 248.370 2.862 20 0 BFADHN Cc1nccn1CCCN[C@@H](C)c1ccco1 ZINC000268837829 180243685 /nfs/dbraw/zinc/24/36/85/180243685.db2.gz HFJALFQGLGTNDC-NSHDSACASA-N 0 3 233.315 2.525 20 0 BFADHN Cc1nccn1C[C@@H](C)CN[C@@H](C)c1ccco1 ZINC000121488658 180265866 /nfs/dbraw/zinc/26/58/66/180265866.db2.gz VQSTXCNHXPMALB-RYUDHWBXSA-N 0 3 247.342 2.771 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)Nc1cc(C)cc(C)c1 ZINC000069091378 350326803 /nfs/dbraw/zinc/32/68/03/350326803.db2.gz UFROFBBFFOTEKE-ZDUSSCGKSA-N 0 3 248.370 2.972 20 0 BFADHN Cc1nccnc1[C@@H](C)NCC1(C(C)C)CC1 ZINC000188228537 180279263 /nfs/dbraw/zinc/27/92/63/180279263.db2.gz HWKGMIFMTXKCHM-GFCCVEGCSA-N 0 3 233.359 2.872 20 0 BFADHN Cc1nccnc1[C@@H](C)NC(C1CC1)C1CC1 ZINC000187852441 180280129 /nfs/dbraw/zinc/28/01/29/180280129.db2.gz IUNRJDBBZRGGHR-SNVBAGLBSA-N 0 3 231.343 2.624 20 0 BFADHN Cc1nccnc1[C@H](C)NC1(C(C)C)CC1 ZINC000293691788 180281271 /nfs/dbraw/zinc/28/12/71/180281271.db2.gz LEOSBPDFASJOFY-NSHDSACASA-N 0 3 219.332 2.624 20 0 BFADHN Cc1nccnc1[C@H](C)NCCC1(C)CC1 ZINC000280220960 180282074 /nfs/dbraw/zinc/28/20/74/180282074.db2.gz USAUNZWLADZBAY-JTQLQIEISA-N 0 3 219.332 2.626 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CCC1(C)C ZINC000287258290 180283786 /nfs/dbraw/zinc/28/37/86/180283786.db2.gz ZXWNMILLSXVXTN-QWRGUYRKSA-N 0 3 219.332 2.624 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CCC1(C)C ZINC000287258293 180283998 /nfs/dbraw/zinc/28/39/98/180283998.db2.gz ZXWNMILLSXVXTN-WDEREUQCSA-N 0 3 219.332 2.624 20 0 BFADHN CCN1CCC[C@@H]1CNc1nc2ccccc2o1 ZINC000037981204 350294835 /nfs/dbraw/zinc/29/48/35/350294835.db2.gz SMZNFETWKSPRGN-LLVKDONJSA-N 0 3 245.326 2.724 20 0 BFADHN CC(=O)Nc1ccc(CN(C)[C@@H]2CC2(C)C)cc1 ZINC000426553478 191096731 /nfs/dbraw/zinc/09/67/31/191096731.db2.gz CSIBWMQMTLNPLB-CQSZACIVSA-N 0 3 246.354 2.875 20 0 BFADHN CC(=Cc1ccccc1)CNCc1cc(C)[nH]n1 ZINC000606470819 350344434 /nfs/dbraw/zinc/34/44/34/350344434.db2.gz XYIHNZVPRYHJGK-XYOKQWHBSA-N 0 3 241.338 2.911 20 0 BFADHN CC(=Cc1ccccc1)CNCc1cc(C)n[nH]1 ZINC000606470819 350344437 /nfs/dbraw/zinc/34/44/37/350344437.db2.gz XYIHNZVPRYHJGK-XYOKQWHBSA-N 0 3 241.338 2.911 20 0 BFADHN CSc1ccc(CN[C@@]2(C)CCOC2)cc1 ZINC000120122758 490463557 /nfs/dbraw/zinc/46/35/57/490463557.db2.gz LZNKPTABTAFXON-ZDUSSCGKSA-N 0 3 237.368 2.677 20 0 BFADHN Cc1ncsc1CN1CCSCC[C@H]1C ZINC000191817244 180316093 /nfs/dbraw/zinc/31/60/93/180316093.db2.gz NELJVFVCVBPHLF-SECBINFHSA-N 0 3 242.413 2.779 20 0 BFADHN CC(C)OC(=O)CCN[C@H](C)c1ccsc1 ZINC000309377735 350360529 /nfs/dbraw/zinc/36/05/29/350360529.db2.gz RMQBLIBVOMJOJK-SNVBAGLBSA-N 0 3 241.356 2.740 20 0 BFADHN Cc1ncsc1CN1CCC[C@H](C)C1 ZINC000157711033 180316576 /nfs/dbraw/zinc/31/65/76/180316576.db2.gz KHCHVTINOUEOTI-VIFPVBQESA-N 0 3 210.346 2.683 20 0 BFADHN CSCCNC/C=C/c1ccc(F)cc1F ZINC000309419757 350380118 /nfs/dbraw/zinc/38/01/18/350380118.db2.gz AICJLUPVBWVCQF-NSCUHMNNSA-N 0 3 243.322 2.931 20 0 BFADHN Cc1nocc1CN[C@H]1CC(C)(C)C[C@H]1C ZINC000397924742 362955641 /nfs/dbraw/zinc/95/56/41/362955641.db2.gz RSHJCRULKDGAPD-SKDRFNHKSA-N 0 3 222.332 2.897 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ccc2c(c1)COC2 ZINC000427888195 191099385 /nfs/dbraw/zinc/09/93/85/191099385.db2.gz SFFQKSAIAHQTAW-RYUDHWBXSA-N 0 3 249.354 2.792 20 0 BFADHN CCCC[C@@H](CC)CNC(=O)C1(N)CCCC1 ZINC000040806489 350400305 /nfs/dbraw/zinc/40/03/05/350400305.db2.gz NTXGBLAVNMZFJP-GFCCVEGCSA-N 0 3 240.391 2.591 20 0 BFADHN CCN(Cc1cnc(C)cn1)[C@@H](C)C(C)C ZINC000336678535 350435231 /nfs/dbraw/zinc/43/52/31/350435231.db2.gz ORASWJWEZFFEHU-LBPRGKRZSA-N 0 3 221.348 2.651 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccc(C)o2)CCO1 ZINC000069890924 350438479 /nfs/dbraw/zinc/43/84/79/350438479.db2.gz HICFAFCWYODSHF-NWDGAFQWSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccc(C)o2)CCO1 ZINC000069890925 350438526 /nfs/dbraw/zinc/43/85/26/350438526.db2.gz HICFAFCWYODSHF-RYUDHWBXSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@H]1CC=CCC1 ZINC000125017432 180350406 /nfs/dbraw/zinc/35/04/06/180350406.db2.gz OJDWCZPLHHWGIE-JTQLQIEISA-N 0 3 239.750 2.580 20 0 BFADHN Cc1ccncc1[C@@H](C)NC[C@H]1CCC(C)(C)O1 ZINC000427954572 191102803 /nfs/dbraw/zinc/10/28/03/191102803.db2.gz BLMRANRHHBVLGT-CHWSQXEVSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCC12CCCCC2 ZINC000369327275 180338671 /nfs/dbraw/zinc/33/86/71/180338671.db2.gz QFLDCQOPUUIACM-UHFFFAOYSA-N 0 3 247.386 2.946 20 0 BFADHN CC[C@@H]1CCCCN1CCOCC(F)(F)F ZINC000336673445 350431522 /nfs/dbraw/zinc/43/15/22/350431522.db2.gz KTHROXIJZATYKA-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN CCN(Cc1cc(C)n(C)n1)[C@H](C)C(C)C ZINC000336674156 350432482 /nfs/dbraw/zinc/43/24/82/350432482.db2.gz LLXBZJGOOZUHBL-GFCCVEGCSA-N 0 3 223.364 2.595 20 0 BFADHN C[C@@H](O)C[C@@H](C)NCc1ccc(Cl)cc1F ZINC000162975007 350491279 /nfs/dbraw/zinc/49/12/79/350491279.db2.gz MKANMKOGIGJXFG-RKDXNWHRSA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@H](NC[C@H]1C[C@@H]1C)c1ccc(F)cn1 ZINC000163166829 350508741 /nfs/dbraw/zinc/50/87/41/350508741.db2.gz WATMDSORRLOWAH-UMNHJUIQSA-N 0 3 222.307 2.917 20 0 BFADHN Cc1ncc(CNCCOC2CCCC2)s1 ZINC000070167367 350504587 /nfs/dbraw/zinc/50/45/87/350504587.db2.gz VVQOCOATXRRIBV-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN FCCCNCc1nc2ccc(F)cc2s1 ZINC000309483038 350576769 /nfs/dbraw/zinc/57/67/69/350576769.db2.gz FGPWCEUHNKGMNB-UHFFFAOYSA-N 0 3 242.294 2.885 20 0 BFADHN COCC(C)(C)NCc1ccc(Cl)cc1 ZINC000066996159 350578429 /nfs/dbraw/zinc/57/84/29/350578429.db2.gz CIKKCLDCUHYTBE-UHFFFAOYSA-N 0 3 227.735 2.855 20 0 BFADHN COc1ccccc1OCCN1CCCC[C@@H]1C ZINC000051228270 350617165 /nfs/dbraw/zinc/61/71/65/350617165.db2.gz PDIGNGHZFYFXLE-ZDUSSCGKSA-N 0 3 249.354 2.948 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1CCCc2sccc21 ZINC000309433874 323405341 /nfs/dbraw/zinc/40/53/41/323405341.db2.gz GSUGGMXNTBLTFX-SDDRHHMPSA-N 0 3 237.368 2.893 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1CCCc2sccc21 ZINC000309433871 323405361 /nfs/dbraw/zinc/40/53/61/323405361.db2.gz GSUGGMXNTBLTFX-GRYCIOLGSA-N 0 3 237.368 2.893 20 0 BFADHN COCC1(N[C@H](C)c2ccc(C)cc2)CC1 ZINC000309434682 323405413 /nfs/dbraw/zinc/40/54/13/323405413.db2.gz GWQPTNLJFZGTFL-GFCCVEGCSA-N 0 3 219.328 2.825 20 0 BFADHN CC/C=C(\C)C(=O)Nc1ccc2c(c1)CNCC2 ZINC000216350266 350642621 /nfs/dbraw/zinc/64/26/21/350642621.db2.gz QPZXEBAPMALMHO-NYYWCZLTSA-N 0 3 244.338 2.627 20 0 BFADHN CC(C)[C@H](O)CN1Cc2ccccc2[C@H]1C ZINC000335557954 535150161 /nfs/dbraw/zinc/15/01/61/535150161.db2.gz IOBRWWMAYDXKDW-BXUZGUMPSA-N 0 3 219.328 2.580 20 0 BFADHN CN(CC(=O)N(C)C1CCCCC1)C(C)(C)C ZINC000581308186 350671342 /nfs/dbraw/zinc/67/13/42/350671342.db2.gz AGUGTYXTGZBKPG-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@H]1CCN(Cc2scnc2C2CC2)C1 ZINC000335681899 538098582 /nfs/dbraw/zinc/09/85/82/538098582.db2.gz VQVBZCGGRBUPEV-VIFPVBQESA-N 0 3 222.357 2.862 20 0 BFADHN CC(C)CN(Cc1nccn1C)C1CCCC1 ZINC000338780416 350711919 /nfs/dbraw/zinc/71/19/19/350711919.db2.gz CHWVDIPFFIUMDO-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@H](CC(C)(C)O)NCc1ccc(Cl)o1 ZINC000384961684 350679637 /nfs/dbraw/zinc/67/96/37/350679637.db2.gz HJEDXPYFWGTDDL-MRVPVSSYSA-N 0 3 231.723 2.572 20 0 BFADHN CCC1(C)CN(Cc2cccc3n[nH]cc32)C1 ZINC000628307911 350689784 /nfs/dbraw/zinc/68/97/84/350689784.db2.gz JVZCTFJLMGMDNF-UHFFFAOYSA-N 0 3 229.327 2.795 20 0 BFADHN CCCC[C@H](CC)CN1CC[C@](F)(CO)C1 ZINC000338630594 350698918 /nfs/dbraw/zinc/69/89/18/350698918.db2.gz KTIWQPXMDFBFNK-QWHCGFSZSA-N 0 3 231.355 2.609 20 0 BFADHN CC1(C)CN(C[C@H]2CCCO2)Cc2ccccc21 ZINC000339058375 350729721 /nfs/dbraw/zinc/72/97/21/350729721.db2.gz BTCPSZKXMJKRFJ-CQSZACIVSA-N 0 3 245.366 2.959 20 0 BFADHN CCN(Cc1ccc(Cl)s1)[C@@H](C)CO ZINC000339626758 350769678 /nfs/dbraw/zinc/76/96/78/350769678.db2.gz HGGFCDAVHDYYMU-QMMMGPOBSA-N 0 3 233.764 2.604 20 0 BFADHN CCC[C@@H](NCc1nc(C)c[nH]1)C1CCC1 ZINC000628309112 350785346 /nfs/dbraw/zinc/78/53/46/350785346.db2.gz AMTCBCNMZQYNGM-GFCCVEGCSA-N 0 3 221.348 2.777 20 0 BFADHN Cc1ncc(CN[C@]2(C)CC=CCC2)o1 ZINC000628309497 350785656 /nfs/dbraw/zinc/78/56/56/350785656.db2.gz ZRLJFCYKJDRETA-GFCCVEGCSA-N 0 3 206.289 2.571 20 0 BFADHN Cc1nc(CN2C[C@H]3CCCC[C@@H]3C2)oc1C ZINC000177531639 366006147 /nfs/dbraw/zinc/00/61/47/366006147.db2.gz WWXWCOFLPCHVHX-CHWSQXEVSA-N 0 3 234.343 2.913 20 0 BFADHN CCOc1ccc([C@H](C)N[C@@H](C)COC)cc1 ZINC000019904830 350840658 /nfs/dbraw/zinc/84/06/58/350840658.db2.gz CABZNHXUOCRVLU-RYUDHWBXSA-N 0 3 237.343 2.771 20 0 BFADHN Cc1ncc(CNCCCOc2ccccc2)o1 ZINC000398010349 362985680 /nfs/dbraw/zinc/98/56/80/362985680.db2.gz ZKGLJOJFUQOMAK-UHFFFAOYSA-N 0 3 246.310 2.542 20 0 BFADHN CC1(C)CCN1C[C@H]1CC1(Cl)Cl ZINC000306716320 350878659 /nfs/dbraw/zinc/87/86/59/350878659.db2.gz TWXNGFBGFNZYQI-SSDOTTSWSA-N 0 3 208.132 2.665 20 0 BFADHN C/C=C\CNCc1cnc(C2CC2)s1 ZINC000623738932 350881982 /nfs/dbraw/zinc/88/19/82/350881982.db2.gz CFESJKGTGGXUJB-IHWYPQMZSA-N 0 3 208.330 2.686 20 0 BFADHN C/C=C\CNC/C=C\c1ccccc1OC ZINC000623738919 350882015 /nfs/dbraw/zinc/88/20/15/350882015.db2.gz BMHTWJMBZVIZKC-DDYVKQNKSA-N 0 3 217.312 2.874 20 0 BFADHN C/C=C\CNCc1cc(OC)c(OC)cc1C ZINC000623739029 350883495 /nfs/dbraw/zinc/88/34/95/350883495.db2.gz GAGYMEUOEBRSMS-WAYWQWQTSA-N 0 3 235.327 2.678 20 0 BFADHN CCc1cnc(CN[C@@H]2CC[C@H]2C)s1 ZINC000336679158 350894489 /nfs/dbraw/zinc/89/44/89/350894489.db2.gz FSUWNMQHJDAQOB-PSASIEDQSA-N 0 3 210.346 2.594 20 0 BFADHN CCc1nc(C)c(CNC[C@H](C)CC)o1 ZINC000291794556 350967666 /nfs/dbraw/zinc/96/76/66/350967666.db2.gz WPKAUKBBPJUFQG-SECBINFHSA-N 0 3 210.321 2.681 20 0 BFADHN CCC1CCN(Cc2cc(C)no2)CC1 ZINC000052279996 350943000 /nfs/dbraw/zinc/94/30/00/350943000.db2.gz JKVUKZAWUJPCMX-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN C/C=C\CNCc1ccc(C)cc1OC ZINC000623739900 351049197 /nfs/dbraw/zinc/04/91/97/351049197.db2.gz JMOOISWQGKZFMU-PLNGDYQASA-N 0 3 205.301 2.669 20 0 BFADHN C/C=C/CNCc1cc(COC)cs1 ZINC000623739758 351049214 /nfs/dbraw/zinc/04/92/14/351049214.db2.gz FOYIBSUHXVMAEY-ONEGZZNKSA-N 0 3 211.330 2.560 20 0 BFADHN CCCCN(CC)CC(=O)N(C(C)C)C(C)C ZINC000052877799 351076373 /nfs/dbraw/zinc/07/63/73/351076373.db2.gz AHCCHHQCUATQQZ-UHFFFAOYSA-N 0 3 242.407 2.754 20 0 BFADHN CC1(NCc2cnc(C3CC3)o2)CC=CC1 ZINC000628327390 351263191 /nfs/dbraw/zinc/26/31/91/351263191.db2.gz WHJVROVOVXYBDR-UHFFFAOYSA-N 0 3 218.300 2.750 20 0 BFADHN Clc1ccc(CNCC2=CCCOC2)cc1 ZINC000124626118 180579738 /nfs/dbraw/zinc/57/97/38/180579738.db2.gz LMSRHTSMDPILQJ-UHFFFAOYSA-N 0 3 237.730 2.776 20 0 BFADHN C[C@@]1(CN2CCC[C@@H](F)C2)CCCS1 ZINC000628326858 351261461 /nfs/dbraw/zinc/26/14/61/351261461.db2.gz YDDNMNSDHTXCGN-MNOVXSKESA-N 0 3 217.353 2.706 20 0 BFADHN CCOC[C@H](C)N[C@H]1CCc2cc(OC)ccc21 ZINC000631620355 351224937 /nfs/dbraw/zinc/22/49/37/351224937.db2.gz DWZVFJYXXRACRE-NHYWBVRUSA-N 0 3 249.354 2.697 20 0 BFADHN C[C@@]1(CNCc2cnc(C3CC3)o2)CC1(F)F ZINC000628339603 351283178 /nfs/dbraw/zinc/28/31/78/351283178.db2.gz RJYNJVZDUWPMFI-NSHDSACASA-N 0 3 242.269 2.687 20 0 BFADHN Cc1nc(C)c(CN[C@]2(C)CC2(C)C)o1 ZINC000628351341 351313196 /nfs/dbraw/zinc/31/31/96/351313196.db2.gz CBNKZGTVURTTIH-GFCCVEGCSA-N 0 3 208.305 2.570 20 0 BFADHN c1coc(CCCNCc2cnc(C3CC3)o2)c1 ZINC000628351856 351314742 /nfs/dbraw/zinc/31/47/42/351314742.db2.gz QNHOGKBPIQYXII-UHFFFAOYSA-N 0 3 246.310 2.867 20 0 BFADHN Cc1nc(C)c(CNCCCc2ccco2)o1 ZINC000628351836 351314809 /nfs/dbraw/zinc/31/48/09/351314809.db2.gz PXIPERPUFWGXRQ-UHFFFAOYSA-N 0 3 234.299 2.607 20 0 BFADHN CC[C@H](N[C@@H](C)[C@H](C)OC)c1nc(C)cs1 ZINC000252712285 538100172 /nfs/dbraw/zinc/10/01/72/538100172.db2.gz MAGFJAUVLFYAKP-DCAQKATOSA-N 0 3 242.388 2.916 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000623589477 363023482 /nfs/dbraw/zinc/02/34/82/363023482.db2.gz LERCKSCKAUMKBR-MCIONIFRSA-N 0 3 247.386 2.990 20 0 BFADHN CCN(Cc1cnc2ccc(Cl)cn12)C1CC1 ZINC000271037298 351396406 /nfs/dbraw/zinc/39/64/06/351396406.db2.gz GPUICVVKJXPIQR-UHFFFAOYSA-N 0 3 249.745 2.972 20 0 BFADHN CCN(Cc1ccc(COC)o1)CC(C)C ZINC000271064523 351398746 /nfs/dbraw/zinc/39/87/46/351398746.db2.gz IQPQYULTDOKDNZ-UHFFFAOYSA-N 0 3 225.332 2.904 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@H](C)C2)on1 ZINC000380062806 351339089 /nfs/dbraw/zinc/33/90/89/351339089.db2.gz HGKLOMPGTGATJI-GXSJLCMTSA-N 0 3 208.305 2.509 20 0 BFADHN CC1(C)CCN(Cc2cccc3nsnc32)C1 ZINC000269015742 351344861 /nfs/dbraw/zinc/34/48/61/351344861.db2.gz OCCFLCIENQDBJB-UHFFFAOYSA-N 0 3 247.367 2.923 20 0 BFADHN CC[C@H](N[C@H](C)[C@H](C)OC)c1nc(C)cs1 ZINC000252712286 538100218 /nfs/dbraw/zinc/10/02/18/538100218.db2.gz MAGFJAUVLFYAKP-VWYCJHECSA-N 0 3 242.388 2.916 20 0 BFADHN CCC[C@@H](CNCc1c(C)noc1C)OCC ZINC000623597775 363034054 /nfs/dbraw/zinc/03/40/54/363034054.db2.gz BOJUPUHZRNEQNW-LBPRGKRZSA-N 0 3 240.347 2.586 20 0 BFADHN CCN(Cc1ccc(Cl)cc1)[C@H]1CCOC1 ZINC000271234432 351409934 /nfs/dbraw/zinc/40/99/34/351409934.db2.gz BXAWQWOAAMAONK-ZDUSSCGKSA-N 0 3 239.746 2.951 20 0 BFADHN CCN(Cc1cccc(F)c1)C[C@@H](C)OC ZINC000272807612 351497055 /nfs/dbraw/zinc/49/70/55/351497055.db2.gz MODVWJSFTYXYKJ-LLVKDONJSA-N 0 3 225.307 2.683 20 0 BFADHN Clc1ccsc1CNC[C@@H]1CCCO1 ZINC000087721104 180597351 /nfs/dbraw/zinc/59/73/51/180597351.db2.gz SUWYSIPNLFTQHT-QMMMGPOBSA-N 0 3 231.748 2.670 20 0 BFADHN CC(C)C[C@H](C)CN1CCO[C@H](C2CC2)C1 ZINC000337200795 191137127 /nfs/dbraw/zinc/13/71/27/191137127.db2.gz FHSMFSHBXOKQBX-JSGCOSHPSA-N 0 3 225.376 2.779 20 0 BFADHN Cc1cccc2c1C[C@@H](NCc1ccco1)CO2 ZINC000623606692 363045051 /nfs/dbraw/zinc/04/50/51/363045051.db2.gz GTPAZEIFIKANLS-GFCCVEGCSA-N 0 3 243.306 2.681 20 0 BFADHN C[C@]1(CNCc2ccncc2F)C[C@H]2C[C@H]2C1 ZINC000623741735 351554637 /nfs/dbraw/zinc/55/46/37/351554637.db2.gz WCQVVCWGDDWVFG-IMRBUKKESA-N 0 3 234.318 2.747 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1nc(C)c(C)[nH]1 ZINC000577651519 366032290 /nfs/dbraw/zinc/03/22/90/366032290.db2.gz JOLWAADVYVATOR-OLZOCXBDSA-N 0 3 235.375 2.942 20 0 BFADHN O=c1ccc(CN2CC[C@@H]2c2ccccc2)c[nH]1 ZINC000637814447 351653733 /nfs/dbraw/zinc/65/37/33/351653733.db2.gz JAAXWWAGBSQKPJ-CQSZACIVSA-N 0 3 240.306 2.734 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](C)C2)sn1 ZINC000637832290 351681050 /nfs/dbraw/zinc/68/10/50/351681050.db2.gz HQVWBVFTKSKGQP-AOOOYVTPSA-N 0 3 224.373 2.929 20 0 BFADHN C[C@@H]1C[C@H](C)CN([C@@H](c2nccn2C)C2CC2)C1 ZINC000637832673 351682133 /nfs/dbraw/zinc/68/21/33/351682133.db2.gz KSSYRXYGTFTFSE-MBNYWOFBSA-N 0 3 247.386 2.849 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](C)C2)sn1 ZINC000637832298 351682231 /nfs/dbraw/zinc/68/22/31/351682231.db2.gz HQVWBVFTKSKGQP-NXEZZACHSA-N 0 3 224.373 2.929 20 0 BFADHN CN(Cc1ccns1)C1CCCCC1 ZINC000637831015 351684340 /nfs/dbraw/zinc/68/43/40/351684340.db2.gz NKIAUCMYWXXXGR-UHFFFAOYSA-N 0 3 210.346 2.908 20 0 BFADHN COc1cc2c(cc1OC)[C@H](N1CCCC1)CC2 ZINC000637831328 351684976 /nfs/dbraw/zinc/68/49/76/351684976.db2.gz WBSGUHXHSDKJAN-CYBMUJFWSA-N 0 3 247.338 2.787 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2C)sn1 ZINC000637836122 351687714 /nfs/dbraw/zinc/68/77/14/351687714.db2.gz MNFKQBJNWMGCOA-SNVBAGLBSA-N 0 3 210.346 2.826 20 0 BFADHN C[C@@H]1CCCCN1[C@H](c1nccn1C)C1CC1 ZINC000637836993 351690392 /nfs/dbraw/zinc/69/03/92/351690392.db2.gz WZAGLTACULMYHC-YPMHNXCESA-N 0 3 233.359 2.746 20 0 BFADHN CCN(Cc1ccccc1)Cc1ccc(=O)[nH]c1 ZINC000637838183 351693451 /nfs/dbraw/zinc/69/34/51/351693451.db2.gz RUPAYSGJMVZQKU-UHFFFAOYSA-N 0 3 242.322 2.809 20 0 BFADHN CCN1CCC(c2ncc(C)s2)CC1 ZINC000277361829 351701635 /nfs/dbraw/zinc/70/16/35/351701635.db2.gz GQOOUDLZQCQGHD-UHFFFAOYSA-N 0 3 210.346 2.651 20 0 BFADHN CO[C@](C)(CN1CCn2cccc2[C@H]1C)C1CC1 ZINC000637843751 351703135 /nfs/dbraw/zinc/70/31/35/351703135.db2.gz ZDESUESVRLMONA-IUODEOHRSA-N 0 3 248.370 2.680 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@@H]1NCc1ncccn1 ZINC000577748240 366051874 /nfs/dbraw/zinc/05/18/74/366051874.db2.gz QZXYIWWPIOBRRU-UPJWGTAASA-N 0 3 233.359 2.781 20 0 BFADHN CCN(CC)Cc1ccc(C(F)F)nc1 ZINC000637827121 351674729 /nfs/dbraw/zinc/67/47/29/351674729.db2.gz CBJGGXCAIQZQDJ-UHFFFAOYSA-N 0 3 214.259 2.861 20 0 BFADHN CCN[C@H](C)C(=O)N[C@H](CC)c1ccc(C)cc1 ZINC000637885263 351751410 /nfs/dbraw/zinc/75/14/10/351751410.db2.gz JUEAZFJTECWPPB-TZMCWYRMSA-N 0 3 248.370 2.560 20 0 BFADHN CC[C@@H](C)[C@@H](CN(C)Cc1ccco1)OC ZINC000637862032 351723172 /nfs/dbraw/zinc/72/31/72/351723172.db2.gz VWLXHUPYUPXGGV-DGCLKSJQSA-N 0 3 225.332 2.773 20 0 BFADHN CC[C@@H]1CCCCN1C(=O)[C@H](N)CCC(C)C ZINC000637869206 351729540 /nfs/dbraw/zinc/72/95/40/351729540.db2.gz UFQVSAQPZSHINV-CHWSQXEVSA-N 0 3 240.391 2.541 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)Nc1cccc(F)c1 ZINC000637871300 351737793 /nfs/dbraw/zinc/73/77/93/351737793.db2.gz PGEWCBGSKKRXDC-GFCCVEGCSA-N 0 3 238.306 2.528 20 0 BFADHN C[C@@H](C(=O)Nc1ccccc1CN(C)C)C1CC1 ZINC000577809711 366065693 /nfs/dbraw/zinc/06/56/93/366065693.db2.gz WUASNLBSCXMAIB-LLVKDONJSA-N 0 3 246.354 2.733 20 0 BFADHN CC[C@](C)(CN1CCc2sccc2C1)OC ZINC000637885952 351753310 /nfs/dbraw/zinc/75/33/10/351753310.db2.gz GNVACHQZULLEIM-CYBMUJFWSA-N 0 3 239.384 2.921 20 0 BFADHN CN(CCC[C@H]1CCO1)Cc1ccccc1F ZINC000637851100 351709611 /nfs/dbraw/zinc/70/96/11/351709611.db2.gz FDUVFJRYCKBWJX-ZDUSSCGKSA-N 0 3 237.318 2.827 20 0 BFADHN CCn1cncc1CN[C@@H]1CC12CCCCC2 ZINC000386966797 363090978 /nfs/dbraw/zinc/09/09/78/363090978.db2.gz FGBMQELWEYPXFC-CYBMUJFWSA-N 0 3 233.359 2.715 20 0 BFADHN CCN(CC(C)C)[C@H](c1nccn1C)C1CC1 ZINC000637909840 351780396 /nfs/dbraw/zinc/78/03/96/351780396.db2.gz IXBJJHLWAXKNSV-ZDUSSCGKSA-N 0 3 235.375 2.849 20 0 BFADHN CCN(Cc1cnc(OC)s1)C1CCCC1 ZINC000637909759 351780426 /nfs/dbraw/zinc/78/04/26/351780426.db2.gz LDNQETISTFZREZ-UHFFFAOYSA-N 0 3 240.372 2.916 20 0 BFADHN COc1cc2c(cc1OC)[C@@H](N(C)C1CC1)CC2 ZINC000637910020 351782310 /nfs/dbraw/zinc/78/23/10/351782310.db2.gz JZZAYCZBBRVZIU-ZDUSSCGKSA-N 0 3 247.338 2.785 20 0 BFADHN CC[C@H](C)N(C)[C@H](c1nccn1C)C1CC1 ZINC000637911866 351785994 /nfs/dbraw/zinc/78/59/94/351785994.db2.gz OKJZTEZYRYACDE-JQWIXIFHSA-N 0 3 221.348 2.602 20 0 BFADHN CCN[C@@H](C)C(=O)N(C)[C@H](C)c1ccc(C)cc1 ZINC000637915852 351790875 /nfs/dbraw/zinc/79/08/75/351790875.db2.gz AITCAJOEUUQQCF-QWHCGFSZSA-N 0 3 248.370 2.512 20 0 BFADHN CCN(C(=O)[C@H](N)CCC(C)C)C1CCCC1 ZINC000637922721 351797565 /nfs/dbraw/zinc/79/75/65/351797565.db2.gz STVLIMUMAQJKJF-CYBMUJFWSA-N 0 3 240.391 2.541 20 0 BFADHN CC(C)CN([C@@H](c1nccn1C)C1CC1)C1CC1 ZINC000637926848 351802314 /nfs/dbraw/zinc/80/23/14/351802314.db2.gz GREJYPSZCURXEM-CQSZACIVSA-N 0 3 247.386 2.992 20 0 BFADHN c1cc2c(s1)CCN(CCC[C@H]1CCO1)C2 ZINC000637887861 351755521 /nfs/dbraw/zinc/75/55/21/351755521.db2.gz ZZVNDIGADHLNSA-LBPRGKRZSA-N 0 3 237.368 2.675 20 0 BFADHN CC[C@H](C)CN(C)Cc1cc(C)ns1 ZINC000637941761 351818675 /nfs/dbraw/zinc/81/86/75/351818675.db2.gz ROMWIUKBIFSAFZ-VIFPVBQESA-N 0 3 212.362 2.929 20 0 BFADHN CC(C)(CN1CCCOCC1)Oc1ccccc1 ZINC000637948844 351825087 /nfs/dbraw/zinc/82/50/87/351825087.db2.gz BAZXAEGSZKYQEJ-UHFFFAOYSA-N 0 3 249.354 2.566 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnc(OC)s1 ZINC000637963848 351836893 /nfs/dbraw/zinc/83/68/93/351836893.db2.gz FDCHSQNSHRLREL-SECBINFHSA-N 0 3 226.345 2.526 20 0 BFADHN CCN[C@@H](C)C(=O)N(CC)c1cccc(C)c1C ZINC000637930858 351807094 /nfs/dbraw/zinc/80/70/94/351807094.db2.gz BADLLBPTPUKVKA-ZDUSSCGKSA-N 0 3 248.370 2.654 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)N(CC(C)C)C(C)C ZINC000637932074 351809505 /nfs/dbraw/zinc/80/95/05/351809505.db2.gz LUIMFOHCQVVDLP-CYBMUJFWSA-N 0 3 242.407 2.643 20 0 BFADHN CCN[C@@H](C)C(=O)N1CC[C@H](C)c2ccccc21 ZINC000637933440 351810314 /nfs/dbraw/zinc/81/03/14/351810314.db2.gz MRACQGOUSDKZAG-RYUDHWBXSA-N 0 3 246.354 2.525 20 0 BFADHN CCCCN(C(=O)[C@@H](N)CCC(C)C)C1CC1 ZINC000637932833 351811653 /nfs/dbraw/zinc/81/16/53/351811653.db2.gz WISGWUIAKDDATP-ZDUSSCGKSA-N 0 3 240.391 2.541 20 0 BFADHN COC[C@@H](C)CN(C)Cc1ccc(F)c(F)c1 ZINC000178027369 366076940 /nfs/dbraw/zinc/07/69/40/366076940.db2.gz VVTNEEWHSBTOPW-JTQLQIEISA-N 0 3 243.297 2.679 20 0 BFADHN COc1cccc(C)c1CN1CCC[C@@H](OC)C1 ZINC000637939275 351816738 /nfs/dbraw/zinc/81/67/38/351816738.db2.gz HBCWDMSJRBTDEM-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN CC[C@@H](C)CN(C)[C@@H](c1nccn1C)C1CC1 ZINC000637940480 351817187 /nfs/dbraw/zinc/81/71/87/351817187.db2.gz IOTHVODJNKWESW-DGCLKSJQSA-N 0 3 235.375 2.849 20 0 BFADHN CN(Cc1ccns1)C1Cc2ccccc2C1 ZINC000637967279 351841809 /nfs/dbraw/zinc/84/18/09/351841809.db2.gz NUZAETTUWLPYNO-UHFFFAOYSA-N 0 3 244.363 2.742 20 0 BFADHN c1cc(CN2CCC[C@H]3CCC[C@H]32)sn1 ZINC000637973409 351848797 /nfs/dbraw/zinc/84/87/97/351848797.db2.gz WGHBQOXYPKHMDM-ZYHUDNBSSA-N 0 3 222.357 2.908 20 0 BFADHN CC(C)CN(Cc1ccccc1F)C[C@@H](C)O ZINC000282105063 351923271 /nfs/dbraw/zinc/92/32/71/351923271.db2.gz WKHOWIYXNVYEHD-GFCCVEGCSA-N 0 3 239.334 2.665 20 0 BFADHN C[C@@H]1CCCCCN1Cc1ccns1 ZINC000638075655 351947710 /nfs/dbraw/zinc/94/77/10/351947710.db2.gz GBMJHWCFKPBOLL-SNVBAGLBSA-N 0 3 210.346 2.908 20 0 BFADHN COc1ccc([C@H](C)[C@H](C)NCCF)cc1 ZINC000273273276 187512099 /nfs/dbraw/zinc/51/20/99/187512099.db2.gz MQWQRDWJDRFEBD-MNOVXSKESA-N 0 3 225.307 2.746 20 0 BFADHN CC(C)=CCCNCc1ccnn1C(C)C ZINC000280466927 187516685 /nfs/dbraw/zinc/51/66/85/187516685.db2.gz CFUCFGGZEMYMSW-UHFFFAOYSA-N 0 3 221.348 2.910 20 0 BFADHN Cn1nc2c(c1CN1CCC(C)(C)C1)CCCC2 ZINC000638067276 351939752 /nfs/dbraw/zinc/93/97/52/351939752.db2.gz BGBHSWIQQZPGHY-UHFFFAOYSA-N 0 3 247.386 2.531 20 0 BFADHN Cc1ncc([C@H](C)N2CCC[C@@H]2C)c(C)n1 ZINC000638068844 351940495 /nfs/dbraw/zinc/94/04/95/351940495.db2.gz LSCDHMORAKANTL-ONGXEEELSA-N 0 3 219.332 2.639 20 0 BFADHN Cc1ncc([C@@H](C)N2CCC[C@@H]2C)c(C)n1 ZINC000638068841 351940644 /nfs/dbraw/zinc/94/06/44/351940644.db2.gz LSCDHMORAKANTL-GXSJLCMTSA-N 0 3 219.332 2.639 20 0 BFADHN CC[C@@H](C)NCc1cc(C)cc(Cl)n1 ZINC000282664892 187534746 /nfs/dbraw/zinc/53/47/46/187534746.db2.gz ITLRFGXTVUJIIU-SECBINFHSA-N 0 3 212.724 2.932 20 0 BFADHN c1cc(CN[C@H](c2cccnc2)C2CC2)c[nH]1 ZINC000340108346 351907117 /nfs/dbraw/zinc/90/71/17/351907117.db2.gz HZTAZMOQYLBUFV-AWEZNQCLSA-N 0 3 227.311 2.651 20 0 BFADHN Cn1ccnc1[C@@H](C1CC1)N1CCC[C@H]1C1CC1 ZINC000638028145 351907434 /nfs/dbraw/zinc/90/74/34/351907434.db2.gz GVTPMVNTTPGOCD-UONOGXRCSA-N 0 3 245.370 2.746 20 0 BFADHN Cc1cc(CN2C[C@@H]3CCC[C@@H]3C2)sn1 ZINC000638086140 351963371 /nfs/dbraw/zinc/96/33/71/351963371.db2.gz CKEFPHPCUIMYEV-PHIMTYICSA-N 0 3 222.357 2.683 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cnc(OC)s1 ZINC000638093558 351973116 /nfs/dbraw/zinc/97/31/16/351973116.db2.gz KTIIQONUGWPZGE-ZJUUUORDSA-N 0 3 240.372 2.772 20 0 BFADHN C[C@@H]1CCN([C@H](c2nccn2C)C2CC2)C[C@H]1C ZINC000638094109 351973323 /nfs/dbraw/zinc/97/33/23/351973323.db2.gz YQWMXSOCTSPYMF-BZPMIXESSA-N 0 3 247.386 2.849 20 0 BFADHN C[C@@H]1CCN([C@@H](c2nccn2C)C2CC2)C[C@H]1C ZINC000638094112 351973583 /nfs/dbraw/zinc/97/35/83/351973583.db2.gz YQWMXSOCTSPYMF-YRGRVCCFSA-N 0 3 247.386 2.849 20 0 BFADHN C[C@@H]1CC[C@@H](C)N([C@@H](c2nccn2C)C2CC2)C1 ZINC000638095441 351975270 /nfs/dbraw/zinc/97/52/70/351975270.db2.gz SUKAAZMCEGJKIC-YRGRVCCFSA-N 0 3 247.386 2.992 20 0 BFADHN C[C@H]1N(CC2CCSCC2)CCOC1(C)C ZINC000638096895 351977030 /nfs/dbraw/zinc/97/70/30/351977030.db2.gz STGFPAYXFZNZBO-LLVKDONJSA-N 0 3 243.416 2.629 20 0 BFADHN COc1cccc(C)c1CN1CCCOC[C@@H]1C ZINC000638102279 351983558 /nfs/dbraw/zinc/98/35/58/351983558.db2.gz SFGVNPLEKGFWOB-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1scc(CN2CCC[C@@](C)(O)C2)c1C ZINC000638106817 351985993 /nfs/dbraw/zinc/98/59/93/351985993.db2.gz MRFDKYNWRHNVEO-CYBMUJFWSA-N 0 3 239.384 2.712 20 0 BFADHN Cc1scc(CN2CCC[C@](C)(O)C2)c1C ZINC000638106818 351986101 /nfs/dbraw/zinc/98/61/01/351986101.db2.gz MRFDKYNWRHNVEO-ZDUSSCGKSA-N 0 3 239.384 2.712 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CC[C@H](C2CC2)C1 ZINC000638134628 352002526 /nfs/dbraw/zinc/00/25/26/352002526.db2.gz PAILVDFVRKVLEX-KBPBESRZSA-N 0 3 245.370 2.603 20 0 BFADHN CC[C@@]1(C)CCN([C@@H](c2nccn2C)C2CC2)C1 ZINC000638135122 352002739 /nfs/dbraw/zinc/00/27/39/352002739.db2.gz QBFMFEZPCDQKFV-HIFRSBDPSA-N 0 3 247.386 2.993 20 0 BFADHN CN(CCC1CC1)[C@H](c1nccn1C)C1CC1 ZINC000638181531 352047903 /nfs/dbraw/zinc/04/79/03/352047903.db2.gz MYISMBOWFZAQGI-ZDUSSCGKSA-N 0 3 233.359 2.603 20 0 BFADHN c1cnc2cc(CN3CCCCC3)ccc2n1 ZINC000638184015 352051606 /nfs/dbraw/zinc/05/16/06/352051606.db2.gz STICDHGCCGXRAB-UHFFFAOYSA-N 0 3 227.311 2.616 20 0 BFADHN Cc1ncc([C@H](C)N2CC[C@@H](C)[C@H]2C)c(C)n1 ZINC000638161911 352026325 /nfs/dbraw/zinc/02/63/25/352026325.db2.gz BDWAGSLUZBYASN-JLLWLGSASA-N 0 3 233.359 2.885 20 0 BFADHN COc1cncc(CN2CC[C@H](C)[C@@H]2C)c1C ZINC000638164122 352032095 /nfs/dbraw/zinc/03/20/95/352032095.db2.gz VYLYDNQKGOVMLA-JQWIXIFHSA-N 0 3 234.343 2.629 20 0 BFADHN COc1ncc(CN2[C@@H](C)CC[C@@H]2C)s1 ZINC000638170096 352034663 /nfs/dbraw/zinc/03/46/63/352034663.db2.gz CXHRNEAXZSHAOM-IUCAKERBSA-N 0 3 226.345 2.525 20 0 BFADHN CCN1CCN(C[C@@H](C)c2ccccc2)[C@@H](C)C1 ZINC000286418141 352089029 /nfs/dbraw/zinc/08/90/29/352089029.db2.gz IFPPJFQQQYOBFS-CABCVRRESA-N 0 3 246.398 2.816 20 0 BFADHN CCN(Cc1cnccc1OC)CC(C)C ZINC000286692121 352100576 /nfs/dbraw/zinc/10/05/76/352100576.db2.gz BPCQJDUPXFLAFK-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN CCc1cc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)on1 ZINC000638275020 352109866 /nfs/dbraw/zinc/10/98/66/352109866.db2.gz ULUSRJRCCYTVKQ-UTUOFQBUSA-N 0 3 234.343 2.763 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cncc(OC)c1 ZINC000290152828 352212203 /nfs/dbraw/zinc/21/22/03/352212203.db2.gz CTKTXYXZRLEPJB-CYBMUJFWSA-N 0 3 234.343 2.855 20 0 BFADHN CCC(C)(C)[C@H](C)NC(=O)[C@@H](N)CCC(C)C ZINC000638406407 352214826 /nfs/dbraw/zinc/21/48/26/352214826.db2.gz IGFLCMFUMLHFIM-RYUDHWBXSA-N 0 3 242.407 2.691 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(OC(C)C)cc1 ZINC000521181169 363122052 /nfs/dbraw/zinc/12/20/52/363122052.db2.gz HBWOJAFISPWKII-HUUCEWRRSA-N 0 3 249.354 2.741 20 0 BFADHN OC1(CCN2CC[C@H]2c2ccccc2)CCC1 ZINC000411116121 191160085 /nfs/dbraw/zinc/16/00/85/191160085.db2.gz NLQOXYQHYMQNQM-AWEZNQCLSA-N 0 3 231.339 2.739 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](CC)c1ccncc1 ZINC000527978656 323742268 /nfs/dbraw/zinc/74/22/68/323742268.db2.gz LBJOJJIUGJHOQM-MCIONIFRSA-N 0 3 234.343 2.690 20 0 BFADHN OC1(CCN2CCC[C@H]2c2cccnc2)CCC1 ZINC000411116609 191160979 /nfs/dbraw/zinc/16/09/79/191160979.db2.gz ZSVJLQPXNOTURT-AWEZNQCLSA-N 0 3 246.354 2.524 20 0 BFADHN C[C@H]1CN(CC2CC2)CCN1Cc1ccccc1 ZINC000411117605 191161236 /nfs/dbraw/zinc/16/12/36/191161236.db2.gz KGDNCQYOIJLDGW-AWEZNQCLSA-N 0 3 244.382 2.603 20 0 BFADHN CC(C)(C)CNCc1nc2ccccc2o1 ZINC000638419284 352229195 /nfs/dbraw/zinc/22/91/95/352229195.db2.gz GGUWYNVWMOXGRJ-UHFFFAOYSA-N 0 3 218.300 2.964 20 0 BFADHN CC(C)N1CCN(Cc2ccccc2)[C@H](C)C1 ZINC000411117282 191161840 /nfs/dbraw/zinc/16/18/40/191161840.db2.gz DSOMPQUBVZOODF-CQSZACIVSA-N 0 3 232.371 2.601 20 0 BFADHN CCC[C@H](C)NC(=O)[C@H](C)N(CCC)CCC ZINC000411162022 191164608 /nfs/dbraw/zinc/16/46/08/191164608.db2.gz CCQICHWBCUMYOI-STQMWFEESA-N 0 3 242.407 2.802 20 0 BFADHN CCN(Cc1c[nH]nc1C)CC(C)(C)C ZINC000291103059 352261194 /nfs/dbraw/zinc/26/11/94/352261194.db2.gz RPJJGOLSGLZGGB-UHFFFAOYSA-N 0 3 209.337 2.586 20 0 BFADHN c1cc(CN[C@]23C[C@H]2CCCC3)sn1 ZINC000630072708 363155878 /nfs/dbraw/zinc/15/58/78/363155878.db2.gz IIDWTLGNMXLSRU-MWLCHTKSSA-N 0 3 208.330 2.565 20 0 BFADHN c1cc(CN[C@@]23C[C@@H]2CCCC3)sn1 ZINC000630072709 363156080 /nfs/dbraw/zinc/15/60/80/363156080.db2.gz IIDWTLGNMXLSRU-ONGXEEELSA-N 0 3 208.330 2.565 20 0 BFADHN CCC(NCc1nc(C)c[nH]1)(C1CC1)C1CC1 ZINC000623623588 363170906 /nfs/dbraw/zinc/17/09/06/363170906.db2.gz UJMJXUFPOQKTSO-UHFFFAOYSA-N 0 3 233.359 2.777 20 0 BFADHN CCN(Cc1ccsc1)C[C@@H](C)OC ZINC000291015383 352254589 /nfs/dbraw/zinc/25/45/89/352254589.db2.gz BPYABXZGNKCVIY-SNVBAGLBSA-N 0 3 213.346 2.605 20 0 BFADHN Cc1nc2ccccc2nc1CN1[C@@H](C)C[C@@H]1C ZINC000336055299 538102608 /nfs/dbraw/zinc/10/26/08/538102608.db2.gz HYKBRQCFNFTXAF-QWRGUYRKSA-N 0 3 241.338 2.921 20 0 BFADHN CC[C@@H](N)C(=O)Nc1cccc([C@@H](C)CC)c1 ZINC000638469683 352279113 /nfs/dbraw/zinc/27/91/13/352279113.db2.gz ZDYIWIFDIOZKMK-GXFFZTMASA-N 0 3 234.343 2.876 20 0 BFADHN CC[C@@H](C)c1cccc(NC(=O)[C@H](N)CC)c1 ZINC000638469685 352279164 /nfs/dbraw/zinc/27/91/64/352279164.db2.gz ZDYIWIFDIOZKMK-ZWNOBZJWSA-N 0 3 234.343 2.876 20 0 BFADHN CC1(C)CN(Cc2ccccn2)[C@H]1C1CC1 ZINC000291672210 352285217 /nfs/dbraw/zinc/28/52/17/352285217.db2.gz WKOGARJHBPEISP-ZDUSSCGKSA-N 0 3 216.328 2.702 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cc(C)ncn1 ZINC000292296265 352313617 /nfs/dbraw/zinc/31/36/17/352313617.db2.gz ZGXGRXQEHDEAQX-CYBMUJFWSA-N 0 3 219.332 2.550 20 0 BFADHN COC[C@@H]1CCN([C@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411279979 191174009 /nfs/dbraw/zinc/17/40/09/191174009.db2.gz CYMZZRRACAMAQO-UGFHNGPFSA-N 0 3 249.329 2.650 20 0 BFADHN CCN(Cc1ccc([C@H]2C[C@H]2C)o1)[C@H](C)CO ZINC000292849825 352330692 /nfs/dbraw/zinc/33/06/92/352330692.db2.gz TXINWDKSSJQMNE-WZRBSPASSA-N 0 3 237.343 2.606 20 0 BFADHN CC[C@@H](C)CN(CC)[C@H](C)c1n[nH]c(C)n1 ZINC000411340252 191178288 /nfs/dbraw/zinc/17/82/88/191178288.db2.gz ZXSAHHYJWXWUCY-NXEZZACHSA-N 0 3 224.352 2.542 20 0 BFADHN CCN(Cc1ccc([C@H]2C[C@@H]2C)o1)[C@@H](C)CO ZINC000293720690 352360533 /nfs/dbraw/zinc/36/05/33/352360533.db2.gz TXINWDKSSJQMNE-GVXVVHGQSA-N 0 3 237.343 2.606 20 0 BFADHN CCc1ccc(CN[C@H](CC)[C@@H]2CCCO2)nc1 ZINC000411512321 191189754 /nfs/dbraw/zinc/18/97/54/191189754.db2.gz AQFQRVUWKGSMRR-CABCVRRESA-N 0 3 248.370 2.691 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCc1cncs1 ZINC000411412378 191183753 /nfs/dbraw/zinc/18/37/53/191183753.db2.gz BRLCXXRKAZAKEJ-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CC1(F)F)c1cccnc1 ZINC000638589899 352420944 /nfs/dbraw/zinc/42/09/44/352420944.db2.gz JCRARRUIQFJATC-QXEWZRGKSA-N 0 3 226.270 2.776 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccnc1)[C@@H]1CC1(F)F ZINC000638589898 352420999 /nfs/dbraw/zinc/42/09/99/352420999.db2.gz JCRARRUIQFJATC-NGZCFLSTSA-N 0 3 226.270 2.776 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@H](O)C1)c1cc(C)ccc1OC ZINC000296105665 352434525 /nfs/dbraw/zinc/43/45/25/352434525.db2.gz ZCBHOIAMHJJOLY-MBNYWOFBSA-N 0 3 249.354 2.568 20 0 BFADHN CCN(Cc1cc(C)cc(Cl)c1)[C@H](C)CO ZINC000353585712 352466378 /nfs/dbraw/zinc/46/63/78/352466378.db2.gz VBXKWDRXZCEVCB-LLVKDONJSA-N 0 3 241.762 2.851 20 0 BFADHN CC(C)C[C@H](C)NC(=O)C[C@H](N)c1ccccc1 ZINC000037265159 358471259 /nfs/dbraw/zinc/47/12/59/358471259.db2.gz CAZXEJRZHRKTGD-JSGCOSHPSA-N 0 3 248.370 2.627 20 0 BFADHN Fc1ccccc1C1(NCCC[C@H]2CCO2)CC1 ZINC000638657113 352498046 /nfs/dbraw/zinc/49/80/46/352498046.db2.gz WYSNJIXVYDELGV-LBPRGKRZSA-N 0 3 249.329 2.974 20 0 BFADHN CC(C)(CO)CCNCc1ccccc1Cl ZINC000294698925 187753122 /nfs/dbraw/zinc/75/31/22/187753122.db2.gz CVXFXKCAKZTLLD-UHFFFAOYSA-N 0 3 241.762 2.838 20 0 BFADHN CC(C)(C)CCN1CCO[C@@H](C2CCC2)C1 ZINC000411881102 191226026 /nfs/dbraw/zinc/22/60/26/191226026.db2.gz WPDYOIQPWFAIFF-CYBMUJFWSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@@H](Cc1ccc(C)cc1)NCc1ncc[nH]1 ZINC000608065910 352664816 /nfs/dbraw/zinc/66/48/16/352664816.db2.gz GINQKZXQBIVMLZ-AWEZNQCLSA-N 0 3 243.354 2.829 20 0 BFADHN CC/C=C\CCN1CCO[C@@H](C2CCC2)C1 ZINC000411851481 191220780 /nfs/dbraw/zinc/22/07/80/191220780.db2.gz PZRFINBFBANOGE-VQTKUKTRSA-N 0 3 223.360 2.844 20 0 BFADHN CC/C=C/CCN1CCCc2c(cnn2C)C1 ZINC000411858962 191222565 /nfs/dbraw/zinc/22/25/65/191222565.db2.gz UMLJZADGRZTEHD-SNAWJCMRSA-N 0 3 233.359 2.525 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1ccc(C)cn1)C1CC1 ZINC000411972438 191233026 /nfs/dbraw/zinc/23/30/26/191233026.db2.gz QAXZSJONLLHGDT-DOMZBBRYSA-N 0 3 248.370 2.683 20 0 BFADHN CCCC[C@@H](CCC)CNCc1ncnn1C ZINC000638759875 352697197 /nfs/dbraw/zinc/69/71/97/352697197.db2.gz MTZUKBDHQRPLTN-GFCCVEGCSA-N 0 3 238.379 2.511 20 0 BFADHN CC(C)(C)OC1CC(NCc2ccco2)C1 ZINC000134543006 187811545 /nfs/dbraw/zinc/81/15/45/187811545.db2.gz AZNAZPYFVDGQQR-UHFFFAOYSA-N 0 3 223.316 2.715 20 0 BFADHN CCN(Cc1occc1C)C[C@@H]1CC[C@H](C)O1 ZINC000412027891 191239785 /nfs/dbraw/zinc/23/97/85/191239785.db2.gz QQOROKCIQLOMJO-STQMWFEESA-N 0 3 237.343 2.977 20 0 BFADHN CC(C)O[C@@H](CN[C@H]1CCOC1)c1ccccc1 ZINC000638772942 352713785 /nfs/dbraw/zinc/71/37/85/352713785.db2.gz BUQTWEIRZXFEPV-GJZGRUSLSA-N 0 3 249.354 2.531 20 0 BFADHN CC(C)O[C@H](CN[C@H]1CCOC1)c1ccccc1 ZINC000638772944 352714026 /nfs/dbraw/zinc/71/40/26/352714026.db2.gz BUQTWEIRZXFEPV-LSDHHAIUSA-N 0 3 249.354 2.531 20 0 BFADHN CCN(CCCn1ccnc1)Cc1occc1C ZINC000412031493 191241745 /nfs/dbraw/zinc/24/17/45/191241745.db2.gz YDOSGVLGTSBADM-UHFFFAOYSA-N 0 3 247.342 2.697 20 0 BFADHN CCN(Cc1occc1C)C[C@@H]1CCCOC1 ZINC000412029840 191242004 /nfs/dbraw/zinc/24/20/04/191242004.db2.gz VKUPLBZSTNYMDT-ZDUSSCGKSA-N 0 3 237.343 2.837 20 0 BFADHN CCN(Cc1occc1C)C[C@H](O)C(C)(C)C ZINC000412029398 191242105 /nfs/dbraw/zinc/24/21/05/191242105.db2.gz USRBBUZEEHRGGT-ZDUSSCGKSA-N 0 3 239.359 2.817 20 0 BFADHN COc1ccc(CN2CC(C)(C)C[C@H]2C)cn1 ZINC000412046299 191243827 /nfs/dbraw/zinc/24/38/27/191243827.db2.gz QYDUHNAAFNTGJD-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN C=Cn1cc(CN2CCCC[C@@H]2CC)cn1 ZINC000193590066 187826906 /nfs/dbraw/zinc/82/69/06/187826906.db2.gz VIFFNJKKSYYGKX-ZDUSSCGKSA-N 0 3 219.332 2.748 20 0 BFADHN Cn1cncc1CN[C@@H](c1ccccc1)C1CC1 ZINC000112339812 180787268 /nfs/dbraw/zinc/78/72/68/180787268.db2.gz APXJMLPIGRPYCH-HNNXBMFYSA-N 0 3 241.338 2.661 20 0 BFADHN CCOC1CC(CNc2cc(C)cc(C)n2)C1 ZINC000638788832 352746802 /nfs/dbraw/zinc/74/68/02/352746802.db2.gz YLHJXTRDHBCMHP-UHFFFAOYSA-N 0 3 234.343 2.925 20 0 BFADHN Cc1cc(C)nc(NCCC[C@@H]2CCO2)c1 ZINC000638788486 352746919 /nfs/dbraw/zinc/74/69/19/352746919.db2.gz LPDCRAYJIPOYFE-GFCCVEGCSA-N 0 3 220.316 2.679 20 0 BFADHN COc1ccc(CN2CC(C)(C)C[C@@H]2C)nc1 ZINC000412036253 191247282 /nfs/dbraw/zinc/24/72/82/191247282.db2.gz GVXDKHIFAZALTR-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN CC(C)n1nccc1CN1CC[C@@H]2CCC[C@H]21 ZINC000334186775 352776622 /nfs/dbraw/zinc/77/66/22/352776622.db2.gz CQVKREUAKLLVHK-GXTWGEPZSA-N 0 3 233.359 2.838 20 0 BFADHN c1ccc([C@@H]2CCN2CC2=CCCOC2)cc1 ZINC000334187019 352776697 /nfs/dbraw/zinc/77/66/97/352776697.db2.gz HFQAIBGFOYZHRX-HNNXBMFYSA-N 0 3 229.323 2.780 20 0 BFADHN CCN(Cc1cc(C)ncn1)C1CC(C)(C)C1 ZINC000412042934 191248875 /nfs/dbraw/zinc/24/88/75/191248875.db2.gz VSAOWNFXPGAZKJ-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN COc1ccnc(CN2CC(C)(C)C[C@@H]2C)c1 ZINC000412042571 191248884 /nfs/dbraw/zinc/24/88/84/191248884.db2.gz MNDFLTULUHVULP-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1cc(C)nc(CN2CCCC23CCC3)c1 ZINC000334218039 352819600 /nfs/dbraw/zinc/81/96/00/352819600.db2.gz XPPUYMCCACJMIA-UHFFFAOYSA-N 0 3 246.354 2.917 20 0 BFADHN CC(C)[C@@H](C)[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000366788953 352826707 /nfs/dbraw/zinc/82/67/07/352826707.db2.gz INBPSRACBIDNLM-DGCLKSJQSA-N 0 3 244.342 2.528 20 0 BFADHN CC(C)[C@@H](C)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000366788953 352826709 /nfs/dbraw/zinc/82/67/09/352826709.db2.gz INBPSRACBIDNLM-DGCLKSJQSA-N 0 3 244.342 2.528 20 0 BFADHN Cc1c(CN2CC[C@@]3(CC[C@@H](C)C3)C2)cnn1C ZINC000334189627 352779684 /nfs/dbraw/zinc/77/96/84/352779684.db2.gz IYOPYLFGJSQYKN-IUODEOHRSA-N 0 3 247.386 2.741 20 0 BFADHN COC1(CNCc2ccccc2F)CCCC1 ZINC000277140656 187852393 /nfs/dbraw/zinc/85/23/93/187852393.db2.gz XNBRBMIJLDAVFF-UHFFFAOYSA-N 0 3 237.318 2.875 20 0 BFADHN Cc1ncc(CN2CCC[C@H]2C(C)(C)C)cn1 ZINC000334200301 352793234 /nfs/dbraw/zinc/79/32/34/352793234.db2.gz NCBYAEXNFYHCJY-ZDUSSCGKSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1noc([C@@H]2CCCN2CC2CCCC2)n1 ZINC000334200828 352794013 /nfs/dbraw/zinc/79/40/13/352794013.db2.gz OLOGTVNXSYXYOS-LBPRGKRZSA-N 0 3 235.331 2.705 20 0 BFADHN Cc1sccc1CN(C)[C@@H]1CCCOC1 ZINC000334241623 352829630 /nfs/dbraw/zinc/82/96/30/352829630.db2.gz PRIIVDGXHFGGAK-GFCCVEGCSA-N 0 3 225.357 2.667 20 0 BFADHN C[C@H]1CCCCCN1Cc1cncc(F)c1 ZINC000334235148 352852414 /nfs/dbraw/zinc/85/24/14/352852414.db2.gz BBDQEPBCJIVPFX-NSHDSACASA-N 0 3 222.307 2.985 20 0 BFADHN CCc1ccc(CNCCOCC(F)F)s1 ZINC000231676967 323924968 /nfs/dbraw/zinc/92/49/68/323924968.db2.gz BGOYRHRLGPXYJJ-UHFFFAOYSA-N 0 3 249.326 2.682 20 0 BFADHN COc1ccccc1CN1CC[C@H]1C(C)C ZINC000342852729 352875580 /nfs/dbraw/zinc/87/55/80/352875580.db2.gz RKMDIUCUDMFFBE-ZDUSSCGKSA-N 0 3 219.328 2.926 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2CC2CCCC2)n1 ZINC000334249852 352842869 /nfs/dbraw/zinc/84/28/69/352842869.db2.gz XLKLOULKPMFBMJ-LBPRGKRZSA-N 0 3 219.332 2.737 20 0 BFADHN Cc1ccnc(CN2C[C@H](C)CCC[C@@H]2C)n1 ZINC000334228065 352844475 /nfs/dbraw/zinc/84/44/75/352844475.db2.gz GJAFOYSNGZLLEN-YPMHNXCESA-N 0 3 233.359 2.796 20 0 BFADHN C[C@H]1CN(Cc2cc3ccccc3[nH]c2=O)[C@H]1C ZINC000334270702 352907054 /nfs/dbraw/zinc/90/70/54/352907054.db2.gz SWNVYODWLTVMPI-QWRGUYRKSA-N 0 3 242.322 2.781 20 0 BFADHN CCC(CC)N(CCOC)Cc1cc(C)no1 ZINC000343046899 352934873 /nfs/dbraw/zinc/93/48/73/352934873.db2.gz LGHHNWJACGKXRO-UHFFFAOYSA-N 0 3 240.347 2.620 20 0 BFADHN CC[C@]1(C)CCN(Cc2cccc3nccn32)C1 ZINC000342899505 352914313 /nfs/dbraw/zinc/91/43/13/352914313.db2.gz FBIWCQFWVOEZER-OAHLLOKOSA-N 0 3 243.354 2.956 20 0 BFADHN c1csc(CCCNCc2ccns2)c1 ZINC000638799350 352920375 /nfs/dbraw/zinc/92/03/75/352920375.db2.gz AZQHGRCDYLNCHG-UHFFFAOYSA-N 0 3 238.381 2.927 20 0 BFADHN COc1cc(CN(C)[C@@H](C)C2(C)CC2)ccn1 ZINC000342858786 352878733 /nfs/dbraw/zinc/87/87/33/352878733.db2.gz CLIBOHQNRSRZJK-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccnc(OC)c2)C1 ZINC000342858659 352878809 /nfs/dbraw/zinc/87/88/09/352878809.db2.gz FJTVQDVYYLTWPV-CQSZACIVSA-N 0 3 234.343 2.712 20 0 BFADHN CCn1nc(C)c(CN2CC[C@H]2C(C)C)c1C ZINC000342862092 352879688 /nfs/dbraw/zinc/87/96/88/352879688.db2.gz QFPOASMVSHAKNQ-AWEZNQCLSA-N 0 3 235.375 2.750 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1c[nH]nc1C(C)(C)C ZINC000342863463 352880610 /nfs/dbraw/zinc/88/06/10/352880610.db2.gz XGMTTWNDGGYXEA-LBPRGKRZSA-N 0 3 235.375 2.938 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCCC[C@@H]2C)on1 ZINC000334252357 352888710 /nfs/dbraw/zinc/88/87/10/352888710.db2.gz BPNKIEPHRUKCMY-GWCFXTLKSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1nc(CN2C[C@@H](C)C[C@H]2C)sc1C ZINC000334309305 352940795 /nfs/dbraw/zinc/94/07/95/352940795.db2.gz JNAYTZBHMHCLPT-DTWKUNHWSA-N 0 3 224.373 2.990 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+]C[C@@H]2C[C@H]3C[C@H]3C2)[n-]1 ZINC000638819075 352999842 /nfs/dbraw/zinc/99/98/42/352999842.db2.gz RVORPJAKMKBQIU-YFKTTZPYSA-N 0 3 248.374 2.625 20 0 BFADHN CC(C)c1nnc([C@H](C)NC[C@@H]2C[C@H]3C[C@H]3C2)[nH]1 ZINC000638819075 352999847 /nfs/dbraw/zinc/99/98/47/352999847.db2.gz RVORPJAKMKBQIU-YFKTTZPYSA-N 0 3 248.374 2.625 20 0 BFADHN CC(C)COc1cccc(CNC2CC2)c1 ZINC000032013515 353042960 /nfs/dbraw/zinc/04/29/60/353042960.db2.gz UQBMGSPMNIYCSH-UHFFFAOYSA-N 0 3 219.328 2.973 20 0 BFADHN Clc1ccc(CNCCc2ccccn2)o1 ZINC000037487018 358474435 /nfs/dbraw/zinc/47/44/35/358474435.db2.gz OVSHIPCIKMINND-UHFFFAOYSA-N 0 3 236.702 2.660 20 0 BFADHN CCC[C@@H](CN(C)[C@H](C)c1ccccn1)OC ZINC000638922507 353079902 /nfs/dbraw/zinc/07/99/02/353079902.db2.gz HXCUBALZERQLKD-OLZOCXBDSA-N 0 3 236.359 2.890 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCC[C@@H]1CCO1 ZINC000638922305 353081323 /nfs/dbraw/zinc/08/13/23/353081323.db2.gz CYOOHZCXTVDVJJ-QWHCGFSZSA-N 0 3 234.343 2.644 20 0 BFADHN Fc1ccc2c(c1)CCN(CCC[C@H]1CCO1)C2 ZINC000638924805 353085515 /nfs/dbraw/zinc/08/55/15/353085515.db2.gz FZSLUDLVNHQLAB-HNNXBMFYSA-N 0 3 249.329 2.753 20 0 BFADHN C(=C/c1ccccc1)\CN1CCOCC2(CC2)C1 ZINC000360097417 188069293 /nfs/dbraw/zinc/06/92/93/188069293.db2.gz JOWXFFRHYUAWCR-QPJJXVBHSA-N 0 3 243.350 2.812 20 0 BFADHN CC(C)(C)c1ccc(CNC2(CO)CC2)s1 ZINC000120364177 188074854 /nfs/dbraw/zinc/07/48/54/188074854.db2.gz SNNVDCGFFAWRKS-UHFFFAOYSA-N 0 3 239.384 2.660 20 0 BFADHN COc1ccc(CN2CCCOC(C)(C)C2)cc1 ZINC000371334468 188075660 /nfs/dbraw/zinc/07/56/60/188075660.db2.gz YMYMTSXXJARZMQ-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN CC(C)=CCN1CC[C@@](O)(c2ccc(F)cc2)C1 ZINC000374876936 188076611 /nfs/dbraw/zinc/07/66/11/188076611.db2.gz NQNWLDOEUFYVEW-HNNXBMFYSA-N 0 3 249.329 2.685 20 0 BFADHN CC(C)C[C@H](C)N[C@@H](CCO)c1ccco1 ZINC000186540259 188081034 /nfs/dbraw/zinc/08/10/34/188081034.db2.gz FIPMFOSQQICEBK-RYUDHWBXSA-N 0 3 225.332 2.727 20 0 BFADHN COC1(CNCc2ncccc2C)CCCCC1 ZINC000319146856 188081553 /nfs/dbraw/zinc/08/15/53/188081553.db2.gz IYBYECDVODILFD-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CC(C)C[C@H](CO)NCc1sccc1Cl ZINC000193036822 188082355 /nfs/dbraw/zinc/08/23/55/188082355.db2.gz VTICIIJFLRCYGP-SECBINFHSA-N 0 3 247.791 2.898 20 0 BFADHN Cc1ccnc([C@@H](C)NCC[C@@H](C)F)c1 ZINC000340467812 188084138 /nfs/dbraw/zinc/08/41/38/188084138.db2.gz QPNKFCKNIAUZCS-GHMZBOCLSA-N 0 3 210.296 2.789 20 0 BFADHN Cc1ccnc([C@H](C)NCC[C@@H](C)F)c1 ZINC000340467814 188084506 /nfs/dbraw/zinc/08/45/06/188084506.db2.gz QPNKFCKNIAUZCS-MNOVXSKESA-N 0 3 210.296 2.789 20 0 BFADHN CC(C)=CCCNCc1cccc2c1OCCO2 ZINC000276672462 188094224 /nfs/dbraw/zinc/09/42/24/188094224.db2.gz YRGOEWLUFJDIRC-UHFFFAOYSA-N 0 3 247.338 2.904 20 0 BFADHN CC(C)(C)c1cnc(CNCC2CC2)s1 ZINC000279171429 188095587 /nfs/dbraw/zinc/09/55/87/188095587.db2.gz QVNXSHQZELKZML-UHFFFAOYSA-N 0 3 224.373 2.940 20 0 BFADHN CC1(C)SC[C@@H]1NCc1cccc(F)c1 ZINC000282684634 188096023 /nfs/dbraw/zinc/09/60/23/188096023.db2.gz KPJXMNFQXYELRB-NSHDSACASA-N 0 3 225.332 2.809 20 0 BFADHN CC1(C)OCC[C@@H]1NCc1cccc(F)c1F ZINC000294930318 188096366 /nfs/dbraw/zinc/09/63/66/188096366.db2.gz ZLMFTBFBBGKBRK-NSHDSACASA-N 0 3 241.281 2.622 20 0 BFADHN CC(C)[C@H](C)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000294138587 188096396 /nfs/dbraw/zinc/09/63/96/188096396.db2.gz PCVFUSDWWSJNQO-GARJFASQSA-N 0 3 207.321 2.523 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cnccc1OC ZINC000287862161 188097252 /nfs/dbraw/zinc/09/72/52/188097252.db2.gz RUOWCOAFJJFRJO-WCQYABFASA-N 0 3 234.343 2.759 20 0 BFADHN CCc1cnccc1[C@@H](C)NCCSC ZINC000336758892 353167939 /nfs/dbraw/zinc/16/79/39/353167939.db2.gz KGLLJZIXHOWNSH-SNVBAGLBSA-N 0 3 224.373 2.658 20 0 BFADHN CCc1cnccc1[C@H](C)NCCSC ZINC000336758891 353167952 /nfs/dbraw/zinc/16/79/52/353167952.db2.gz KGLLJZIXHOWNSH-JTQLQIEISA-N 0 3 224.373 2.658 20 0 BFADHN Cc1cc(Cl)ccc1NC(=O)[C@H](N)C(C)C ZINC000019517986 188107975 /nfs/dbraw/zinc/10/79/75/188107975.db2.gz KPAWAOSKTFUKIP-LLVKDONJSA-N 0 3 240.734 2.570 20 0 BFADHN Cc1sccc1NC(=O)[C@H](N)CCC(C)C ZINC000638981690 353192796 /nfs/dbraw/zinc/19/27/96/353192796.db2.gz MGGMCPBDHRHLRK-SNVBAGLBSA-N 0 3 240.372 2.759 20 0 BFADHN CC(C)CCN(C)Cc1cnn(C(C)C)c1 ZINC000180047242 538111064 /nfs/dbraw/zinc/11/10/64/538111064.db2.gz OZRZVQBNPQZUHV-UHFFFAOYSA-N 0 3 223.364 2.942 20 0 BFADHN COc1ccc(CN[C@@H]2CC2(C)C)cc1F ZINC000044370555 188123907 /nfs/dbraw/zinc/12/39/07/188123907.db2.gz HNBIDYJHDISQFA-GFCCVEGCSA-N 0 3 223.291 2.722 20 0 BFADHN CC(C)[C@@H]1C[C@@H](NCc2ccco2)CCO1 ZINC000069855706 188130904 /nfs/dbraw/zinc/13/09/04/188130904.db2.gz DWOKAAOECXAZEI-AAEUAGOBSA-N 0 3 223.316 2.573 20 0 BFADHN C[C@H](CNCc1ncc(Cl)s1)C1CC1 ZINC000225329959 538112061 /nfs/dbraw/zinc/11/20/61/538112061.db2.gz WWUHZSPKVBQUGY-SSDOTTSWSA-N 0 3 230.764 2.932 20 0 BFADHN Cc1n[nH]cc1CN[C@@H]1c2ccccc2C[C@@H]1C ZINC000111410654 188152602 /nfs/dbraw/zinc/15/26/02/188152602.db2.gz LDPDDVVCGGFSDI-BONVTDFDSA-N 0 3 241.338 2.741 20 0 BFADHN C[C@H](Cc1ccc(O)cc1)NCc1nccs1 ZINC000112837637 188153639 /nfs/dbraw/zinc/15/36/39/188153639.db2.gz ATEZXWOSCDBSPQ-SNVBAGLBSA-N 0 3 248.351 2.570 20 0 BFADHN CC(=O)Nc1cccc([C@H](C)NCCCF)c1 ZINC000128822010 188155616 /nfs/dbraw/zinc/15/56/16/188155616.db2.gz WRRAWEBPXABMOS-JTQLQIEISA-N 0 3 238.306 2.655 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN2CCC[C@@](C)(O)C2)o1 ZINC000129506133 188155850 /nfs/dbraw/zinc/15/58/50/188155850.db2.gz HNPMTBKZQRAQKH-NJZAAPMLSA-N 0 3 249.354 2.750 20 0 BFADHN Cc1ccc(CNCC2(C)OCCCO2)cc1C ZINC000192249718 188174156 /nfs/dbraw/zinc/17/41/56/188174156.db2.gz XPYOMBWIRHGXJG-UHFFFAOYSA-N 0 3 249.354 2.546 20 0 BFADHN C/C=C\C[C@H](CO)NCc1cc(Cl)cs1 ZINC000309046761 188165944 /nfs/dbraw/zinc/16/59/44/188165944.db2.gz PCJHDDUBJPINQP-MXQLGCADSA-N 0 3 245.775 2.818 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1cc(Cl)cs1 ZINC000309046762 188166054 /nfs/dbraw/zinc/16/60/54/188166054.db2.gz PCJHDDUBJPINQP-ZMWSMAPVSA-N 0 3 245.775 2.818 20 0 BFADHN CC(C)CO[C@H]1CCN(Cc2cccc(N)c2)C1 ZINC000261750058 535211485 /nfs/dbraw/zinc/21/14/85/535211485.db2.gz WIDYLLWGORLGSE-HNNXBMFYSA-N 0 3 248.370 2.516 20 0 BFADHN CC(C)N(Cc1cccc2nccn21)C1CC1 ZINC000179725639 188167065 /nfs/dbraw/zinc/16/70/65/188167065.db2.gz KZKDQQAXFPQFCX-UHFFFAOYSA-N 0 3 229.327 2.707 20 0 BFADHN C/C=C/C[C@H](CO)NCc1ccc(OCC)cc1 ZINC000185821171 188170252 /nfs/dbraw/zinc/17/02/52/188170252.db2.gz FRBWBPMBJDDTFU-LYKUJDHUSA-N 0 3 249.354 2.502 20 0 BFADHN CC(C)C[C@H]1OCCC[C@@H]1NCc1cccnc1 ZINC000185196446 188170638 /nfs/dbraw/zinc/17/06/38/188170638.db2.gz BGVGEGUFLJVBSB-LSDHHAIUSA-N 0 3 248.370 2.765 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@H](C)c1ccc(C)o1 ZINC000186514693 188171113 /nfs/dbraw/zinc/17/11/13/188171113.db2.gz KLRRIUJTLSIVGM-RVAOTSRCSA-N 0 3 223.316 2.566 20 0 BFADHN CO[C@H](C)[C@@H](C)Nc1cc(C)cc(C)n1 ZINC000134351731 538111847 /nfs/dbraw/zinc/11/18/47/538111847.db2.gz DUWLQANQQHGZOU-GHMZBOCLSA-N 0 3 208.305 2.534 20 0 BFADHN C/C(=C\c1ccccc1)CN(C)CC1(CO)CC1 ZINC000188961622 188172527 /nfs/dbraw/zinc/17/25/27/188172527.db2.gz YCTNSELQZYZQQT-GXDHUFHOSA-N 0 3 245.366 2.794 20 0 BFADHN CC(C)=CCNCc1cnn(-c2ccccc2)c1 ZINC000191630870 188172677 /nfs/dbraw/zinc/17/26/77/188172677.db2.gz DOLHWNVXAHZRJG-UHFFFAOYSA-N 0 3 241.338 2.928 20 0 BFADHN CC(C)=CCNCc1cccc2c1OCCO2 ZINC000191630477 188173397 /nfs/dbraw/zinc/17/33/97/188173397.db2.gz LPEHFAJCPTXFSK-UHFFFAOYSA-N 0 3 233.311 2.514 20 0 BFADHN CC(C)N(C)c1ccc(CN2CCC[C@H]2C)cn1 ZINC000191350290 188173449 /nfs/dbraw/zinc/17/34/49/188173449.db2.gz XGVNYELZQJELIC-CYBMUJFWSA-N 0 3 247.386 2.911 20 0 BFADHN CC(C)N(C)Cc1ccc(N(C)C(C)C)nc1 ZINC000191163802 188173495 /nfs/dbraw/zinc/17/34/95/188173495.db2.gz XAGVFTPUCPIYAL-UHFFFAOYSA-N 0 3 235.375 2.766 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNC[C@@H]2CCCO2)o1 ZINC000019963453 188174348 /nfs/dbraw/zinc/17/43/48/188174348.db2.gz MGOIYRDLYCYBSH-GMXVVIOVSA-N 0 3 235.327 2.672 20 0 BFADHN CO[C@H](C)[C@H](C)Nc1cc(C)cc(C)n1 ZINC000134351711 538111927 /nfs/dbraw/zinc/11/19/27/538111927.db2.gz DUWLQANQQHGZOU-WDEREUQCSA-N 0 3 208.305 2.534 20 0 BFADHN CC(C)(O)CN(Cc1ccccc1F)C1CC1 ZINC000264048490 188194346 /nfs/dbraw/zinc/19/43/46/188194346.db2.gz HEVXYFXTYRPVIK-UHFFFAOYSA-N 0 3 237.318 2.561 20 0 BFADHN FC(F)COCCN[C@@H]1CCCc2occc21 ZINC000193347334 180903155 /nfs/dbraw/zinc/90/31/55/180903155.db2.gz XRFPKJVHBMGFNH-SNVBAGLBSA-N 0 3 245.269 2.528 20 0 BFADHN CC(C)N1CCO[C@H](CCc2ccccc2)C1 ZINC000273294162 188199956 /nfs/dbraw/zinc/19/99/56/188199956.db2.gz JFAIDWNXZPSWJU-OAHLLOKOSA-N 0 3 233.355 2.728 20 0 BFADHN CC(C)(C)[C@H]1CCCN1Cc1ccc(CO)o1 ZINC000271159701 188198991 /nfs/dbraw/zinc/19/89/91/188198991.db2.gz PJFHWLYLBDKBSC-CYBMUJFWSA-N 0 3 237.343 2.782 20 0 BFADHN CC(C)N(Cc1cnc(N(C)C)s1)C1CC1 ZINC000271075024 188199319 /nfs/dbraw/zinc/19/93/19/188199319.db2.gz QQYOCVXVKHSZTF-UHFFFAOYSA-N 0 3 239.388 2.582 20 0 BFADHN COc1ccc(CN(C)[C@H](C)C(C)C)nc1 ZINC000272022778 188199507 /nfs/dbraw/zinc/19/95/07/188199507.db2.gz VLWBDSGOCMCISG-LLVKDONJSA-N 0 3 222.332 2.567 20 0 BFADHN C=Cn1cc(CN2CC[C@H](CC(C)C)C2)cn1 ZINC000193941246 188175780 /nfs/dbraw/zinc/17/57/80/188175780.db2.gz PZZGCICABSUTBN-CYBMUJFWSA-N 0 3 233.359 2.852 20 0 BFADHN Cc1cnc(CN(C(C)C)C2CCC2)cn1 ZINC000336695731 188183265 /nfs/dbraw/zinc/18/32/65/188183265.db2.gz GRIARQCWQJUSOO-UHFFFAOYSA-N 0 3 219.332 2.548 20 0 BFADHN CC(C)N(Cc1cccnc1)C[C@H]1CC[C@@H](C)O1 ZINC000336784747 188184322 /nfs/dbraw/zinc/18/43/22/188184322.db2.gz WTMDRWJNXFHHHG-UKRRQHHQSA-N 0 3 248.370 2.860 20 0 BFADHN CC(C)C[C@@H](C)CN1CCO[C@@H](C2CC2)C1 ZINC000337200797 188185611 /nfs/dbraw/zinc/18/56/11/188185611.db2.gz FHSMFSHBXOKQBX-TZMCWYRMSA-N 0 3 225.376 2.779 20 0 BFADHN C(C1CCC1)[C@@H]1COCCN1CC1CCC1 ZINC000339776744 188192316 /nfs/dbraw/zinc/19/23/16/188192316.db2.gz MAHSSPJCZWDDHE-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN CCCCOCCN1CCCO[C@@H](C(C)C)C1 ZINC000339826373 188192475 /nfs/dbraw/zinc/19/24/75/188192475.db2.gz PCWHZUDHZZSOGJ-CQSZACIVSA-N 0 3 243.391 2.550 20 0 BFADHN CC(C)(C)c1ccc(CN[C@H]2C[C@@H](O)C2)s1 ZINC000294834171 188213490 /nfs/dbraw/zinc/21/34/90/188213490.db2.gz LWNMVACXLMHPRV-AOOOYVTPSA-N 0 3 239.384 2.659 20 0 BFADHN CC(C)C[C@@H](C)N(C)Cc1cccnc1 ZINC000298280910 188214740 /nfs/dbraw/zinc/21/47/40/188214740.db2.gz NMOPRPLWQHGLSB-GFCCVEGCSA-N 0 3 206.333 2.948 20 0 BFADHN CC(C)(C)[C@@H]1CN(CCCCCF)CCO1 ZINC000342167605 188218437 /nfs/dbraw/zinc/21/84/37/188218437.db2.gz NVAKINAIIJROCY-LBPRGKRZSA-N 0 3 231.355 2.873 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cn(C)nc1C(F)F ZINC000274444643 188201278 /nfs/dbraw/zinc/20/12/78/188201278.db2.gz CNVCUCRWHIYHHK-VIFPVBQESA-N 0 3 245.317 2.834 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@@H]2CCC[C@H]2C1 ZINC000278445967 188204203 /nfs/dbraw/zinc/20/42/03/188204203.db2.gz MKMSCHSECLOKNM-STQMWFEESA-N 0 3 234.318 2.761 20 0 BFADHN Cc1nc(F)ccc1CN1CCCC[C@H]1C ZINC000279596013 188205423 /nfs/dbraw/zinc/20/54/23/188205423.db2.gz VWLGXHPDEFYGLN-SNVBAGLBSA-N 0 3 222.307 2.904 20 0 BFADHN Cc1ccnc([C@@H](C)NCCCOCC2CC2)c1 ZINC000353440048 188205530 /nfs/dbraw/zinc/20/55/30/188205530.db2.gz YLPIOBOSCRRSSM-CYBMUJFWSA-N 0 3 248.370 2.857 20 0 BFADHN CC(C)[C@@H]1CC[C@H](NCc2nccn2C)C[C@H]1C ZINC000340088825 188205942 /nfs/dbraw/zinc/20/59/42/188205942.db2.gz YVPKVBATXSXXPT-RDBSUJKOSA-N 0 3 249.402 2.971 20 0 BFADHN COc1ccc(C[C@@H](C)CNCCF)cc1F ZINC000280799580 188206304 /nfs/dbraw/zinc/20/63/04/188206304.db2.gz SDFFSSMWJRLFQK-SNVBAGLBSA-N 0 3 243.297 2.572 20 0 BFADHN COc1ccncc1CN1CCC[C@@H]1C(C)C ZINC000287532980 188208918 /nfs/dbraw/zinc/20/89/18/188208918.db2.gz ZOHSDYPCEAJJIE-CYBMUJFWSA-N 0 3 234.343 2.711 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cc(Cl)cs1 ZINC000289797727 188210288 /nfs/dbraw/zinc/21/02/88/188210288.db2.gz SHKCGHDBEBBDLO-QMMMGPOBSA-N 0 3 233.764 2.868 20 0 BFADHN CC(C)(C)[C@@H](O)CN1CCC=C(c2ccco2)C1 ZINC000289165253 188210529 /nfs/dbraw/zinc/21/05/29/188210529.db2.gz DWTGDHZGNBNYSO-AWEZNQCLSA-N 0 3 249.354 2.776 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cccc(C)c1C ZINC000289184062 188210591 /nfs/dbraw/zinc/21/05/91/188210591.db2.gz AMJGUPHGWKQOHT-LBPRGKRZSA-N 0 3 221.344 2.770 20 0 BFADHN CC(C)C[C@H](N[C@@H]1CCCOC1)c1ccccn1 ZINC000290273934 188210937 /nfs/dbraw/zinc/21/09/37/188210937.db2.gz LQPCNOBMYUYQRQ-HIFRSBDPSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)=CCN1CCC(F)(F)[C@@H](C)C1 ZINC000336373642 188229331 /nfs/dbraw/zinc/22/93/31/188229331.db2.gz VBXQZLVFGCPQCR-JTQLQIEISA-N 0 3 203.276 2.930 20 0 BFADHN CN(Cc1ccccc1Cl)CC1CC(O)C1 ZINC000084453990 538113997 /nfs/dbraw/zinc/11/39/97/538113997.db2.gz FSXCONHNYMMEDB-UHFFFAOYSA-N 0 3 239.746 2.543 20 0 BFADHN C/C=C\C[C@H](CO)NCc1ccc2occc2c1 ZINC000352740427 188232326 /nfs/dbraw/zinc/23/23/26/188232326.db2.gz XCXVEWYJORLDJL-PYLYLYNFSA-N 0 3 245.322 2.850 20 0 BFADHN CCC[C@H](N)C(=O)N[C@@H](C)c1ccc(C)cc1C ZINC000037765408 358477585 /nfs/dbraw/zinc/47/75/85/358477585.db2.gz LJCRVNDESYWPCB-JSGCOSHPSA-N 0 3 248.370 2.608 20 0 BFADHN CC1(C)SC[C@@H]1NCc1ccsc1 ZINC000282895391 188222772 /nfs/dbraw/zinc/22/27/72/188222772.db2.gz TYQQGJVGGVYUPS-VIFPVBQESA-N 0 3 213.371 2.732 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN(C)CC2(O)CCC2)o1 ZINC000343273407 353301407 /nfs/dbraw/zinc/30/14/07/353301407.db2.gz IOYQGPFNHKZHEU-DGCLKSJQSA-N 0 3 249.354 2.750 20 0 BFADHN CCC[C@H](CN(C)[C@H](C)c1ccncc1)OC ZINC000639040754 353318391 /nfs/dbraw/zinc/31/83/91/353318391.db2.gz NGKRXRGVTVPCOE-TZMCWYRMSA-N 0 3 236.359 2.890 20 0 BFADHN CC[C@](C)(CN(C)[C@@H](C)c1cccnc1)OC ZINC000639040899 353318655 /nfs/dbraw/zinc/31/86/55/353318655.db2.gz PAAWQAGPMGNPSD-GXTWGEPZSA-N 0 3 236.359 2.890 20 0 BFADHN CC(C)(CNCc1ccno1)Oc1ccccc1 ZINC000639040804 353318911 /nfs/dbraw/zinc/31/89/11/353318911.db2.gz BBFSJNRKMXORQN-UHFFFAOYSA-N 0 3 246.310 2.622 20 0 BFADHN C[C@@]1(CNCc2ccno2)C[C@H]1c1ccccc1 ZINC000639042192 353324102 /nfs/dbraw/zinc/32/41/02/353324102.db2.gz UQDDCNWVNSIVGQ-GJZGRUSLSA-N 0 3 242.322 2.958 20 0 BFADHN Cc1n[nH]c(C)c1CN(CC(C)C)C1CC1 ZINC000351052483 133964196 /nfs/dbraw/zinc/96/41/96/133964196.db2.gz MSBTVXRRLSOQCO-UHFFFAOYSA-N 0 3 221.348 2.647 20 0 BFADHN CC[C@@]1(C)COCCN1CC1CCSCC1 ZINC000639057722 353363303 /nfs/dbraw/zinc/36/33/03/353363303.db2.gz DEMGAWNPFIUDTO-ZDUSSCGKSA-N 0 3 243.416 2.631 20 0 BFADHN Cc1scc(CN(C)CC2(O)CCC2)c1C ZINC000639058767 353365099 /nfs/dbraw/zinc/36/50/99/353365099.db2.gz FQTZBHVHNUSVSN-UHFFFAOYSA-N 0 3 239.384 2.712 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1cscc1Cl ZINC000639072636 353390078 /nfs/dbraw/zinc/39/00/78/353390078.db2.gz AIXQQDLPZBTFQZ-ZETCQYMHSA-N 0 3 246.763 2.728 20 0 BFADHN CC(C)C[C@H](N)C(=O)Nc1cscc1Cl ZINC000639072761 353390111 /nfs/dbraw/zinc/39/01/11/353390111.db2.gz BMRFGBFCSOTMPQ-QMMMGPOBSA-N 0 3 246.763 2.713 20 0 BFADHN CC(C)(O)CCN1CC[C@@H]1c1ccc(F)cc1 ZINC000661509664 538116864 /nfs/dbraw/zinc/11/68/64/538116864.db2.gz RJEJRMOVVWVRNB-CYBMUJFWSA-N 0 3 237.318 2.734 20 0 BFADHN CN1CCC[C@H](NCc2cscc2Cl)C1 ZINC000308965909 491050817 /nfs/dbraw/zinc/05/08/17/491050817.db2.gz VPBVZJWPZJAQHB-JTQLQIEISA-N 0 3 244.791 2.585 20 0 BFADHN Cc1scc(CN(CCO)C2CCC2)c1C ZINC000639088032 353437456 /nfs/dbraw/zinc/43/74/56/353437456.db2.gz RECMLWHYOJYNEJ-UHFFFAOYSA-N 0 3 239.384 2.712 20 0 BFADHN c1cc(CN2CC[C@@H]3CCC[C@@H]32)sn1 ZINC000639088125 353438726 /nfs/dbraw/zinc/43/87/26/353438726.db2.gz GMWFUGPCNHYQSG-ONGXEEELSA-N 0 3 208.330 2.518 20 0 BFADHN Cc1cc(CN[C@]2(C)CC=CCC2)on1 ZINC000398384789 363229305 /nfs/dbraw/zinc/22/93/05/363229305.db2.gz UVGFJUJWVWRMEZ-GFCCVEGCSA-N 0 3 206.289 2.571 20 0 BFADHN CCOCCN(C)CC(C)(C)c1ccccc1 ZINC000181481971 538117805 /nfs/dbraw/zinc/11/78/05/538117805.db2.gz WAWICGZTWKYREP-UHFFFAOYSA-N 0 3 235.371 2.933 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CC[C@H]2CCC[C@@H]21 ZINC000639094174 353471174 /nfs/dbraw/zinc/47/11/74/353471174.db2.gz PJKKYCISHVOFRD-XBFCOCLRSA-N 0 3 245.370 2.746 20 0 BFADHN COc1cncc(CN2CC[C@@H]3CCC[C@H]32)c1C ZINC000639094182 353471198 /nfs/dbraw/zinc/47/11/98/353471198.db2.gz PLLBFMBDTVLHAT-GXTWGEPZSA-N 0 3 246.354 2.773 20 0 BFADHN Cc1ccc2c(c1)CCN(CCC[C@@H]1CCO1)C2 ZINC000639100766 353518935 /nfs/dbraw/zinc/51/89/35/353518935.db2.gz TZKOEYNQRNQIMX-MRXNPFEDSA-N 0 3 245.366 2.922 20 0 BFADHN CCc1csc(N[C@@H]2CCCN(C)[C@@H]2C)n1 ZINC000336777615 353501287 /nfs/dbraw/zinc/50/12/87/353501287.db2.gz IZGLFYARBRMEQS-MWLCHTKSSA-N 0 3 239.388 2.600 20 0 BFADHN Cc1ccc(CNCc2ccccc2)cn1 ZINC000037865187 358479099 /nfs/dbraw/zinc/47/90/99/358479099.db2.gz DIFSATYWVSHRFP-UHFFFAOYSA-N 0 3 212.296 2.680 20 0 BFADHN Fc1ccc2c(c1)[C@H](N[C@H]1CCCOC1)CCC2 ZINC000279862094 180989046 /nfs/dbraw/zinc/98/90/46/180989046.db2.gz SNMZWFDRIXMJCF-DZGCQCFKSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@@H]1C[C@H](NC2CC3(CCC3)C2)c2nccn21 ZINC000334517741 353633546 /nfs/dbraw/zinc/63/35/46/353633546.db2.gz CEZKGUVGZPIZST-PWSUYJOCSA-N 0 3 231.343 2.811 20 0 BFADHN C[C@@H]1C[C@@H](NC2CC3(CCC3)C2)c2nccn21 ZINC000334517742 353633611 /nfs/dbraw/zinc/63/36/11/353633611.db2.gz CEZKGUVGZPIZST-ZYHUDNBSSA-N 0 3 231.343 2.811 20 0 BFADHN CCC1CCC(CNCc2nccn2C)CC1 ZINC000037905924 358480028 /nfs/dbraw/zinc/48/00/28/358480028.db2.gz GUBVDRVLLMWEHZ-UHFFFAOYSA-N 0 3 235.375 2.726 20 0 BFADHN Fc1cccc2c1CC[C@@H]2NCC1=CCCOC1 ZINC000126840945 181005116 /nfs/dbraw/zinc/00/51/16/181005116.db2.gz RRHFYKJHEVQUEE-HNNXBMFYSA-N 0 3 247.313 2.749 20 0 BFADHN Fc1cccc2c1CC[C@@H]2NCc1ccncc1 ZINC000110058802 181005591 /nfs/dbraw/zinc/00/55/91/181005591.db2.gz RAYQWJNNHVZHSE-HNNXBMFYSA-N 0 3 242.297 2.998 20 0 BFADHN CC[C@H](C)[C@H]1CCCCN1Cc1ccn(C)n1 ZINC000353807801 353710458 /nfs/dbraw/zinc/71/04/58/353710458.db2.gz RQVWQHJJFXNSKQ-GXTWGEPZSA-N 0 3 235.375 2.821 20 0 BFADHN Fc1cccc(F)c1CNC1CCSCC1 ZINC000075183200 181000294 /nfs/dbraw/zinc/00/02/94/181000294.db2.gz OCRHNKNCRPQFSZ-UHFFFAOYSA-N 0 3 243.322 2.950 20 0 BFADHN Fc1cccc(OCCNCc2ccco2)c1 ZINC000033465317 181003209 /nfs/dbraw/zinc/00/32/09/181003209.db2.gz CRIXHBPFCOUPCT-UHFFFAOYSA-N 0 3 235.258 2.587 20 0 BFADHN Fc1ccccc1CN1CCC[C@]2(CCOC2)C1 ZINC000336223373 181011937 /nfs/dbraw/zinc/01/19/37/181011937.db2.gz YRDDEMIXVNXEGI-HNNXBMFYSA-N 0 3 249.329 2.828 20 0 BFADHN Fc1ccccc1CNCCc1nccs1 ZINC000041620662 181013123 /nfs/dbraw/zinc/01/31/23/181013123.db2.gz OXSINRFTLVOOFQ-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN Nc1c(F)cccc1CNCC1(C(F)F)CC1 ZINC000639117861 353744635 /nfs/dbraw/zinc/74/46/35/353744635.db2.gz LVFBCWRGDLIOGE-UHFFFAOYSA-N 0 3 244.260 2.543 20 0 BFADHN Fc1ccccc1CNCC1CC(F)(F)C1 ZINC000291692513 181011600 /nfs/dbraw/zinc/01/16/00/181011600.db2.gz JECCYLLQCXFKSE-UHFFFAOYSA-N 0 3 229.245 2.961 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2ccns2)CC1 ZINC000639123987 353798145 /nfs/dbraw/zinc/79/81/45/353798145.db2.gz GEWUGPZSBURHPI-LBPRGKRZSA-N 0 3 240.372 2.534 20 0 BFADHN CO[C@]1(C)CCCN(Cc2ccns2)CC1 ZINC000639123983 353798164 /nfs/dbraw/zinc/79/81/64/353798164.db2.gz GEWUGPZSBURHPI-GFCCVEGCSA-N 0 3 240.372 2.534 20 0 BFADHN C[C@@H](CC1CC1)N[C@@H](c1nccn1C)C1CC1 ZINC000353822267 353805709 /nfs/dbraw/zinc/80/57/09/353805709.db2.gz UPWBMAXDYRXLHE-GXFFZTMASA-N 0 3 233.359 2.649 20 0 BFADHN Fc1cnc(Cl)c(CNC2CCCC2)c1 ZINC000295085650 181017162 /nfs/dbraw/zinc/01/71/62/181017162.db2.gz ITDYTZJZVDVBLP-UHFFFAOYSA-N 0 3 228.698 2.906 20 0 BFADHN CO[C@](C)(CN(C)Cc1cnccc1C)C1CC1 ZINC000639125371 353817092 /nfs/dbraw/zinc/81/70/92/353817092.db2.gz SQAUJKRATLDRFJ-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN COc1cncc(CN2CC(C)=C[C@@H](C)C2)c1C ZINC000639129647 353834866 /nfs/dbraw/zinc/83/48/66/353834866.db2.gz BFECEWQXSOYRCY-LLVKDONJSA-N 0 3 246.354 2.797 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2cccc(F)c2N)C1 ZINC000639129670 353834924 /nfs/dbraw/zinc/83/49/24/353834924.db2.gz BZLFUVPSCKQQEF-SNVBAGLBSA-N 0 3 234.318 2.806 20 0 BFADHN COC(=O)c1coc([C@H](C)N[C@H]2CC[C@@H]2C)c1 ZINC000353832353 353864743 /nfs/dbraw/zinc/86/47/43/353864743.db2.gz XJWKGWMVTPMUSZ-QXEWZRGKSA-N 0 3 237.299 2.515 20 0 BFADHN CCCN(Cc1c(CC)noc1C)C1CC1 ZINC000343398925 353877644 /nfs/dbraw/zinc/87/76/44/353877644.db2.gz FZOKAFKNFVUILX-UHFFFAOYSA-N 0 3 222.332 2.920 20 0 BFADHN Cc1ccncc1[C@@H](C)NC1CC(C)(F)C1 ZINC000334520121 353883851 /nfs/dbraw/zinc/88/38/51/353883851.db2.gz MGMBBNWLNPVVNF-XSRFYTQQSA-N 0 3 222.307 2.931 20 0 BFADHN c1cn2c(n1)[C@@H](N[C@H]1CCC13CCCC3)CC2 ZINC000334521831 353887797 /nfs/dbraw/zinc/88/77/97/353887797.db2.gz FPWNEJICQQLUTC-RYUDHWBXSA-N 0 3 231.343 2.640 20 0 BFADHN CCC1CN(Cc2cc3cccnc3o2)C1 ZINC000334522249 353889245 /nfs/dbraw/zinc/88/92/45/353889245.db2.gz MKRLZUMJVQNLDR-UHFFFAOYSA-N 0 3 216.284 2.670 20 0 BFADHN Cc1cccn2c(CN[C@@H]3CC3(C)C)cnc12 ZINC000343632707 353948643 /nfs/dbraw/zinc/94/86/43/353948643.db2.gz CNIKWZKURRBNCV-GFCCVEGCSA-N 0 3 229.327 2.531 20 0 BFADHN CC[C@H](NC[C@H]1CCC[C@@H]1C)c1ccn(C)n1 ZINC000343510991 353923579 /nfs/dbraw/zinc/92/35/79/353923579.db2.gz LNAHICKZCWIXSY-XQQFMLRXSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1cc(CN2CCCCC(C)(C)C2)ncn1 ZINC000334537613 353925385 /nfs/dbraw/zinc/92/53/85/353925385.db2.gz RQOYNVAQVCIYMS-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCCC[C@H]1c1ccnn1C ZINC000343635691 353949571 /nfs/dbraw/zinc/94/95/71/353949571.db2.gz HMOWWMBISZNZSU-BZPMIXESSA-N 0 3 233.359 2.603 20 0 BFADHN C[C@@H]1CCC[C@H]1CN[C@@H](c1nccn1C)C1CC1 ZINC000343519901 353926214 /nfs/dbraw/zinc/92/62/14/353926214.db2.gz UCSCCJXICSYWRW-KWCYVHTRSA-N 0 3 247.386 2.897 20 0 BFADHN CC1(C)C[C@@H]1NCc1cc(-c2ccccc2)n[nH]1 ZINC000343636223 353949797 /nfs/dbraw/zinc/94/97/97/353949797.db2.gz IJLRQZCGUYNRKO-AWEZNQCLSA-N 0 3 241.338 2.965 20 0 BFADHN CC[C@H](NC[C@@H]1CCC[C@@H]1C)c1nccn1C ZINC000343532516 353929745 /nfs/dbraw/zinc/92/97/45/353929745.db2.gz HVRXBUHFIFJANN-AVGNSLFASA-N 0 3 235.375 2.897 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCCC[C@@H]1c1ccnn1C ZINC000343635695 353949825 /nfs/dbraw/zinc/94/98/25/353949825.db2.gz HMOWWMBISZNZSU-MBNYWOFBSA-N 0 3 233.359 2.603 20 0 BFADHN CC[C@H](NCc1cn2cccnc2n1)C(C)(C)C ZINC000343614729 353933631 /nfs/dbraw/zinc/93/36/31/353933631.db2.gz RYACDLLIIFDBFX-LBPRGKRZSA-N 0 3 246.358 2.644 20 0 BFADHN Cc1ccn2c(CN[C@H]3CC3(C)C)cnc2c1 ZINC000343640481 353950857 /nfs/dbraw/zinc/95/08/57/353950857.db2.gz NJMDIFRCSCKKRL-LBPRGKRZSA-N 0 3 229.327 2.531 20 0 BFADHN c1nc(CN2CCC[C@@H](c3ccccc3)C2)c[nH]1 ZINC000334525956 353898442 /nfs/dbraw/zinc/89/84/42/353898442.db2.gz JGUYNZMJEGOQPW-CQSZACIVSA-N 0 3 241.338 2.789 20 0 BFADHN c1ncc(CN2CCC[C@@H](c3ccccc3)C2)[nH]1 ZINC000334525956 353898444 /nfs/dbraw/zinc/89/84/44/353898444.db2.gz JGUYNZMJEGOQPW-CQSZACIVSA-N 0 3 241.338 2.789 20 0 BFADHN c1nc(CN2CCC[C@H](c3ccccc3)C2)c[nH]1 ZINC000334525942 353898560 /nfs/dbraw/zinc/89/85/60/353898560.db2.gz JGUYNZMJEGOQPW-AWEZNQCLSA-N 0 3 241.338 2.789 20 0 BFADHN c1ncc(CN2CCC[C@H](c3ccccc3)C2)[nH]1 ZINC000334525942 353898562 /nfs/dbraw/zinc/89/85/62/353898562.db2.gz JGUYNZMJEGOQPW-AWEZNQCLSA-N 0 3 241.338 2.789 20 0 BFADHN CCCC[C@H](CC)CC(=O)NC[C@@H](C)N(C)C ZINC000343691162 353964975 /nfs/dbraw/zinc/96/49/75/353964975.db2.gz NDNQTBHXRWDLQL-OLZOCXBDSA-N 0 3 242.407 2.659 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cscc1C ZINC000229382828 363276786 /nfs/dbraw/zinc/27/67/86/363276786.db2.gz LSXIYROJFMZJBE-UWVGGRQHSA-N 0 3 213.346 2.570 20 0 BFADHN COc1cncc(CN2CC[C@@H](C)C[C@@H](C)C2)c1 ZINC000343709507 353968704 /nfs/dbraw/zinc/96/87/04/353968704.db2.gz KYQCIJPQDMFVFJ-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN c1cc(CN2CCC3(CCC3)CC2)sn1 ZINC000639165159 353981250 /nfs/dbraw/zinc/98/12/50/353981250.db2.gz XLTLEJRNIMRJFE-UHFFFAOYSA-N 0 3 222.357 2.909 20 0 BFADHN c1cnc(CN2CCC3(C2)CCCCC3)cn1 ZINC000334547604 353985456 /nfs/dbraw/zinc/98/54/56/353985456.db2.gz GTZQNUPKNUVUDT-UHFFFAOYSA-N 0 3 231.343 2.633 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H](C)C(C)C ZINC000086109805 491057521 /nfs/dbraw/zinc/05/75/21/491057521.db2.gz RGUPPQFBGJNTHL-BDAKNGLRSA-N 0 3 210.321 2.987 20 0 BFADHN CCCn1nc(C)c(CN[C@@H]2CC2(C)C)c1C ZINC000343649446 353953195 /nfs/dbraw/zinc/95/31/95/353953195.db2.gz YQLSSJNKPXIKCG-CYBMUJFWSA-N 0 3 235.375 2.798 20 0 BFADHN CCOc1ccc(CNCc2cccn2C)cc1 ZINC000343723447 353959609 /nfs/dbraw/zinc/95/96/09/353959609.db2.gz VBSYWYQJDZGWCN-UHFFFAOYSA-N 0 3 244.338 2.714 20 0 BFADHN CC(C)=CCN1CC[C@H](C(=O)OC(C)(C)C)C1 ZINC000178509106 366131305 /nfs/dbraw/zinc/13/13/05/366131305.db2.gz LSSCEUFXLYVXSZ-LBPRGKRZSA-N 0 3 239.359 2.616 20 0 BFADHN Cc1cccc2ncc(CN[C@H]3CC3(C)C)n21 ZINC000343687161 353963530 /nfs/dbraw/zinc/96/35/30/353963530.db2.gz WPXHQZVPJHCABB-LBPRGKRZSA-N 0 3 229.327 2.531 20 0 BFADHN CC(C)OC1CC(N2C[C@H](C)S[C@@H](C)C2)C1 ZINC000334556045 354001799 /nfs/dbraw/zinc/00/17/99/354001799.db2.gz DWRRBKTZYUWPCC-ZSVAQUKISA-N 0 3 243.416 2.768 20 0 BFADHN Cc1nc([C@@H](C)N2CCC3(C2)CCCCC3)n[nH]1 ZINC000334558090 354006119 /nfs/dbraw/zinc/00/61/19/354006119.db2.gz GXMZJESCEXHUEF-LLVKDONJSA-N 0 3 248.374 2.830 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1cnccn1 ZINC000334558881 354007360 /nfs/dbraw/zinc/00/73/60/354007360.db2.gz GYIBXRHELKUZMY-WCQYABFASA-N 0 3 233.359 2.781 20 0 BFADHN CO[C@H](C)CN(C)Cc1csc(C)c1C ZINC000639166239 354009167 /nfs/dbraw/zinc/00/91/67/354009167.db2.gz ZHSZBWJAANIBLN-SECBINFHSA-N 0 3 227.373 2.832 20 0 BFADHN CO[C@@H](C)CN(C)Cc1csc(C)c1C ZINC000639166240 354009222 /nfs/dbraw/zinc/00/92/22/354009222.db2.gz ZHSZBWJAANIBLN-VIFPVBQESA-N 0 3 227.373 2.832 20 0 BFADHN c1ncc(CCN2CCc3ccccc3C2)s1 ZINC000334549701 354016480 /nfs/dbraw/zinc/01/64/80/354016480.db2.gz PGVFFCSCSQZVPA-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN COc1cncc(CN([C@@H](C)C2CC2)C2CC2)c1 ZINC000343974183 354077973 /nfs/dbraw/zinc/07/79/73/354077973.db2.gz QDRJFOVJRULVAJ-NSHDSACASA-N 0 3 246.354 2.853 20 0 BFADHN CC[C@@]1(C)CCCN([C@H](C)c2n[nH]c(C)n2)C1 ZINC000334564034 354081687 /nfs/dbraw/zinc/08/16/87/354081687.db2.gz QQVTYXKHURUCNO-MFKMUULPSA-N 0 3 236.363 2.686 20 0 BFADHN C[C@H]1CC[C@H](CNCc2cscn2)C1 ZINC000230403523 363307501 /nfs/dbraw/zinc/30/75/01/363307501.db2.gz KKGIRXSSRUKFIL-UWVGGRQHSA-N 0 3 210.346 2.669 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1nc2ccccc2o1 ZINC000639167114 354046787 /nfs/dbraw/zinc/04/67/87/354046787.db2.gz ZGCGAVQCQMWMGE-ZJUUUORDSA-N 0 3 216.284 2.716 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@H](O)C(C)(C)C)C2 ZINC000343934357 354046840 /nfs/dbraw/zinc/04/68/40/354046840.db2.gz HOWXMRXHTGIMNY-AWEZNQCLSA-N 0 3 233.355 2.718 20 0 BFADHN CCCC[C@H](C)[C@H](C)NCc1ncnn1CC ZINC000343982891 354058872 /nfs/dbraw/zinc/05/88/72/354058872.db2.gz LFFADKMOPBCZAS-RYUDHWBXSA-N 0 3 238.379 2.602 20 0 BFADHN C[C@H]1CN(Cc2ccccn2)CC(C)(C)C1 ZINC000343986177 354060996 /nfs/dbraw/zinc/06/09/96/354060996.db2.gz DYECXPYKYIBROL-GFCCVEGCSA-N 0 3 218.344 2.950 20 0 BFADHN CO[C@@H]1[C@H](C)[C@H](NCc2ccoc2C)C1(C)C ZINC000344062482 354128645 /nfs/dbraw/zinc/12/86/45/354128645.db2.gz OCALPYZKJCGYLJ-JIMOISOXSA-N 0 3 237.343 2.737 20 0 BFADHN COc1cc(CN(C)C[C@@H]2CC=CCC2)ccn1 ZINC000344067524 354131321 /nfs/dbraw/zinc/13/13/21/354131321.db2.gz PRCWABWYWQAEIO-CYBMUJFWSA-N 0 3 246.354 2.878 20 0 BFADHN C[C@@H]1CN(Cc2ccc(C3CC3)cc2)CC[C@@H]1O ZINC000334567712 354090552 /nfs/dbraw/zinc/09/05/52/354090552.db2.gz SZZKTUCJOWJWLU-WBMJQRKESA-N 0 3 245.366 2.767 20 0 BFADHN CC1(C)CCN(Cc2cnc(C3CC3)nc2)C1 ZINC000334568193 354091496 /nfs/dbraw/zinc/09/14/96/354091496.db2.gz ZYXMBCMYJUAFNN-UHFFFAOYSA-N 0 3 231.343 2.586 20 0 BFADHN CCOC(=O)[C@H](CC)N1C[C@H](C)CC(C)(C)C1 ZINC000344033321 354096671 /nfs/dbraw/zinc/09/66/71/354096671.db2.gz FQUJCLWTZMCFCZ-NEPJUHHUSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H]1CN(Cc2cccnc2)CC(C)(C)C1 ZINC000344040549 354106219 /nfs/dbraw/zinc/10/62/19/354106219.db2.gz VHCGOFHPDURRDZ-LBPRGKRZSA-N 0 3 218.344 2.950 20 0 BFADHN c1cnc(CN2CCC[C@]3(CC=CCC3)C2)cn1 ZINC000334561425 354115811 /nfs/dbraw/zinc/11/58/11/354115811.db2.gz KJJMFZBBIHQFSW-OAHLLOKOSA-N 0 3 243.354 2.799 20 0 BFADHN CCCC[C@H]1CCC[C@@H]1NCc1ccn(C)n1 ZINC000344083520 354136301 /nfs/dbraw/zinc/13/63/01/354136301.db2.gz IUUJIYDVKUCRIS-JSGCOSHPSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1nc(CNC[C@@H]2CCC[C@H]2C)cs1 ZINC000230666052 363318074 /nfs/dbraw/zinc/31/80/74/363318074.db2.gz DSYFJVPOFMRMDK-KOLCDFICSA-N 0 3 224.373 2.977 20 0 BFADHN C[C@@H]1CC[C@@H](N[C@H](c2nccn2C)C2CC2)C1 ZINC000353848314 354169707 /nfs/dbraw/zinc/16/97/07/354169707.db2.gz VGIVYQMMTYBHTE-RTXFEEFZSA-N 0 3 233.359 2.649 20 0 BFADHN CCC[C@@H](NC(C)C)c1nnc2ccccn21 ZINC000344094945 354138957 /nfs/dbraw/zinc/13/89/57/354138957.db2.gz DQCZZDPNBCCWQE-LLVKDONJSA-N 0 3 232.331 2.569 20 0 BFADHN CC(C)CCCNCc1cncc(F)c1 ZINC000230938488 363330236 /nfs/dbraw/zinc/33/02/36/363330236.db2.gz MXSXAUGMSRYQHG-UHFFFAOYSA-N 0 3 210.296 2.747 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1cnc(C2CC2)o1 ZINC000449694960 202003007 /nfs/dbraw/zinc/00/30/07/202003007.db2.gz CZUCPXQEZPIMOQ-CABZTGNLSA-N 0 3 220.316 2.830 20 0 BFADHN C[C@H](NCc1cnc(C2CC2)o1)C1CCC1 ZINC000449699800 202005070 /nfs/dbraw/zinc/00/50/70/202005070.db2.gz ABMVOOOMHNNSGT-VIFPVBQESA-N 0 3 220.316 2.830 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cnc(C3CC3)o2)[C@@H]1C ZINC000449706865 202006013 /nfs/dbraw/zinc/00/60/13/202006013.db2.gz OXWXTMSUYCKFAC-KBVBSXBZSA-N 0 3 220.316 2.686 20 0 BFADHN C[C@@H](CC1CC1)NCc1cnc(C2CC2)o1 ZINC000449709058 202006481 /nfs/dbraw/zinc/00/64/81/202006481.db2.gz XWIJNCGMMFBJKR-VIFPVBQESA-N 0 3 220.316 2.830 20 0 BFADHN c1nc(C2CC2)oc1CN[C@H]1CC[C@@H]1C1CC1 ZINC000449706807 202007563 /nfs/dbraw/zinc/00/75/63/202007563.db2.gz OJIITTMGTOIWFU-OLZOCXBDSA-N 0 3 232.327 2.830 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cc(OC)ccn2)C1 ZINC000344221010 354181852 /nfs/dbraw/zinc/18/18/52/354181852.db2.gz DBEGPUIJGQJRDP-AWEZNQCLSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@]1(C)CCN(Cc2cccc(OC)n2)C1 ZINC000344241076 354187940 /nfs/dbraw/zinc/18/79/40/354187940.db2.gz RXHLMFYWRITCJX-CQSZACIVSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cccc(OC)n2)C1 ZINC000344241074 354188383 /nfs/dbraw/zinc/18/83/83/354188383.db2.gz RXHLMFYWRITCJX-AWEZNQCLSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1ccoc1CN[C@H]1CCN(C2CCCC2)C1 ZINC000344242659 354188470 /nfs/dbraw/zinc/18/84/70/354188470.db2.gz OHOYAJUAHCJYCR-ZDUSSCGKSA-N 0 3 248.370 2.695 20 0 BFADHN Cc1scc(CN(C)C[C@@H](O)C2CC2)c1C ZINC000639175255 354192617 /nfs/dbraw/zinc/19/26/17/354192617.db2.gz KCMHXQORVPTJQZ-CYBMUJFWSA-N 0 3 239.384 2.568 20 0 BFADHN CC(C)(CNCc1ccco1)C1(O)CCCC1 ZINC000449736937 202014442 /nfs/dbraw/zinc/01/44/42/202014442.db2.gz PDTASCIFMNVPNC-UHFFFAOYSA-N 0 3 237.343 2.701 20 0 BFADHN c1cc(CN[C@@H]2[C@H]3Cc4ccccc4[C@@H]23)sn1 ZINC000639176616 354200713 /nfs/dbraw/zinc/20/07/13/354200713.db2.gz IFRTTYMPEYFMCY-BFHYXJOUSA-N 0 3 242.347 2.571 20 0 BFADHN CC(C)(C)[C@H]1CCN(Cc2ccon2)C1 ZINC000334589333 354217827 /nfs/dbraw/zinc/21/78/27/354217827.db2.gz PPMYSVZFMBLJSU-JTQLQIEISA-N 0 3 208.305 2.543 20 0 BFADHN C[C@H](CC(F)(F)F)NCc1ccncc1 ZINC000231751356 363351454 /nfs/dbraw/zinc/35/14/54/363351454.db2.gz HQESXYHQHMCOGO-MRVPVSSYSA-N 0 3 218.222 2.512 20 0 BFADHN CCCC[C@H](C)NCc1ncccc1OC ZINC000449751109 202018693 /nfs/dbraw/zinc/01/86/93/202018693.db2.gz XMUHHSHNXNRSOW-NSHDSACASA-N 0 3 222.332 2.759 20 0 BFADHN CCOC1CC(N[C@@H](C)c2ccncc2CC)C1 ZINC000344306261 354215995 /nfs/dbraw/zinc/21/59/95/354215995.db2.gz WBHVRDIQEDZOPZ-XGNXJENSSA-N 0 3 248.370 2.862 20 0 BFADHN CCC[C@@H](CN(Cc1cccnc1)C1CC1)OC ZINC000639177895 354251909 /nfs/dbraw/zinc/25/19/09/354251909.db2.gz CSNUZUDYRBCOQI-HNNXBMFYSA-N 0 3 248.370 2.861 20 0 BFADHN C[C@@H]1CCCC[C@H]1CCNCc1nccn1C ZINC000344381818 354256854 /nfs/dbraw/zinc/25/68/54/354256854.db2.gz MVCMNSHJSGKFPW-OLZOCXBDSA-N 0 3 235.375 2.726 20 0 BFADHN CC(C)c1ncc(CNC(C)(C)CCO)s1 ZINC000165927561 354256889 /nfs/dbraw/zinc/25/68/89/354256889.db2.gz SGXJMAUXFVALBR-UHFFFAOYSA-N 0 3 242.388 2.517 20 0 BFADHN Cc1occc1CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000334599248 354234549 /nfs/dbraw/zinc/23/45/49/354234549.db2.gz SKDBVRZMOMDYKZ-KGLIPLIRSA-N 0 3 235.327 2.731 20 0 BFADHN c1cncc(CN(CCC[C@@H]2CCO2)C2CC2)c1 ZINC000639178839 354265528 /nfs/dbraw/zinc/26/55/28/354265528.db2.gz NOLIGANPSOIUFR-OAHLLOKOSA-N 0 3 246.354 2.615 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2C(C)C)n(C)n1 ZINC000334603431 354283489 /nfs/dbraw/zinc/28/34/89/354283489.db2.gz RHIXJWOLSBRARG-CQSZACIVSA-N 0 3 235.375 2.739 20 0 BFADHN CCn1cncc1CN1CCC(C)(C)CC1 ZINC000334604117 354284559 /nfs/dbraw/zinc/28/45/59/354284559.db2.gz SXUNECVWAUTWJV-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1cncc1CN1C[C@H](C)CC[C@@H]1C ZINC000334611526 354295071 /nfs/dbraw/zinc/29/50/71/354295071.db2.gz SWUDSHJVMMRHEV-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN CCCCN1CCCC[C@@H]1c1ccnn1C ZINC000366869369 354298071 /nfs/dbraw/zinc/29/80/71/354298071.db2.gz OWOCIEBKQQIIDA-CYBMUJFWSA-N 0 3 221.348 2.747 20 0 BFADHN CCC[C@H](C)N(C)C(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000344571302 354306323 /nfs/dbraw/zinc/30/63/23/354306323.db2.gz HFASGJXXBHVVGP-AVGNSLFASA-N 0 3 240.391 2.506 20 0 BFADHN c1ccc(CN(CCC[C@H]2CCO2)C2CC2)nc1 ZINC000639179185 354270316 /nfs/dbraw/zinc/27/03/16/354270316.db2.gz RAHFTLKCQRSWEA-HNNXBMFYSA-N 0 3 246.354 2.615 20 0 BFADHN CS[C@H]1CCN(Cc2c(C)nsc2C)C1 ZINC000577961626 366145402 /nfs/dbraw/zinc/14/54/02/366145402.db2.gz YTDWLALYKRJHQC-JTQLQIEISA-N 0 3 242.413 2.697 20 0 BFADHN CC1(c2csc(C3(N)CCCC3)n2)CC1 ZINC000334600266 354277877 /nfs/dbraw/zinc/27/78/77/354277877.db2.gz WGGRVSLIJDESSA-UHFFFAOYSA-N 0 3 222.357 2.923 20 0 BFADHN CCO[C@@H]1C[C@H](N[C@H](C)CF)C12CCCC2 ZINC000639183926 354355375 /nfs/dbraw/zinc/35/53/75/354355375.db2.gz XCXNUJNRMNOEKS-GRYCIOLGSA-N 0 3 229.339 2.672 20 0 BFADHN CCO[C@@H]1C[C@@H](N[C@@H](C)CF)C12CCCC2 ZINC000639183928 354355505 /nfs/dbraw/zinc/35/55/05/354355505.db2.gz XCXNUJNRMNOEKS-QJPTWQEYSA-N 0 3 229.339 2.672 20 0 BFADHN COc1ncc(CNCCC2(F)CCC2)s1 ZINC000639181227 354314965 /nfs/dbraw/zinc/31/49/65/354314965.db2.gz PLVHAAKBFNAMEO-UHFFFAOYSA-N 0 3 244.335 2.524 20 0 BFADHN Cn1ccc(CNCc2cccc3cc[nH]c32)c1 ZINC000344606342 354324934 /nfs/dbraw/zinc/32/49/34/354324934.db2.gz UXFCJQUYBWNNRD-UHFFFAOYSA-N 0 3 239.322 2.796 20 0 BFADHN CCOc1cccc(CNC2(CC)CCC2)n1 ZINC000344622127 354328004 /nfs/dbraw/zinc/32/80/04/354328004.db2.gz LGJSBVBCFLADMT-UHFFFAOYSA-N 0 3 234.343 2.903 20 0 BFADHN CC1(C)CCC(NCc2ccon2)CC1 ZINC000086452662 491061833 /nfs/dbraw/zinc/06/18/33/491061833.db2.gz BJZWNEJILLNRKC-UHFFFAOYSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H](CF)NCc1cc2ccccc2n1C ZINC000639182523 354336474 /nfs/dbraw/zinc/33/64/74/354336474.db2.gz GUODDXSHDNHRGB-JTQLQIEISA-N 0 3 220.291 2.626 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2ccon2)C1 ZINC000086452696 491061865 /nfs/dbraw/zinc/06/18/65/491061865.db2.gz BVFMCNJMEBNYTH-MNOVXSKESA-N 0 3 208.305 2.733 20 0 BFADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H](C)CF ZINC000639183085 354342495 /nfs/dbraw/zinc/34/24/95/354342495.db2.gz ODTGHXDEQHSCBD-GVXVVHGQSA-N 0 3 225.307 2.710 20 0 BFADHN Cc1ccc(CN2CCN(C3CC3)C[C@@H](C)C2)o1 ZINC000334621960 354358968 /nfs/dbraw/zinc/35/89/68/354358968.db2.gz XIAADWWLQWJBLU-LBPRGKRZSA-N 0 3 248.370 2.504 20 0 BFADHN COc1ccc2c(c1)CC[C@@H]2N[C@@H](C)CF ZINC000639183834 354347119 /nfs/dbraw/zinc/34/71/19/354347119.db2.gz WARBIKDYXDDDJA-ZANVPECISA-N 0 3 223.291 2.630 20 0 BFADHN Cc1ccoc1CN[C@H](C)Cc1ccncc1 ZINC000344748372 354349184 /nfs/dbraw/zinc/34/91/84/354349184.db2.gz BCHNLVJXGBYVFE-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@@H](C)CCC(C)C ZINC000344711449 354353582 /nfs/dbraw/zinc/35/35/82/354353582.db2.gz VITVEGXNMMTRCW-QWHCGFSZSA-N 0 3 241.375 2.839 20 0 BFADHN NC(=O)[C@H]1CCCN1C[C@@H]1CCCCC1(F)F ZINC000334633360 354377569 /nfs/dbraw/zinc/37/75/69/354377569.db2.gz DKVBJHICTNIWDY-VHSXEESVSA-N 0 3 246.301 2.812 20 0 BFADHN CO[C@H](CN[C@H]1c2ccccc2O[C@@H]1C)C1CC1 ZINC000639186406 354380086 /nfs/dbraw/zinc/38/00/86/354380086.db2.gz MMLCTZRSXFSGAH-VCTAVGKDSA-N 0 3 247.338 2.523 20 0 BFADHN CCC[C@@H](CN1CCC(F)(F)[C@@H](C)C1)OC ZINC000639187719 354388738 /nfs/dbraw/zinc/38/87/38/354388738.db2.gz FCJBREPYZZUQQB-QWRGUYRKSA-N 0 3 235.318 2.779 20 0 BFADHN c1cn2c(n1)[C@H](NC1CCC3(CC3)CC1)CC2 ZINC000334622976 354361337 /nfs/dbraw/zinc/36/13/37/354361337.db2.gz JKBXVQPZRKYXHE-GFCCVEGCSA-N 0 3 231.343 2.640 20 0 BFADHN COc1cccnc1CN[C@@H]1CCC[C@@H]1C1CC1 ZINC000449748361 202020285 /nfs/dbraw/zinc/02/02/85/202020285.db2.gz LOJIGKIURQRMRC-CHWSQXEVSA-N 0 3 246.354 2.759 20 0 BFADHN CC[C@@H](NCc1ncccc1OC)C(C)C ZINC000449751813 202020346 /nfs/dbraw/zinc/02/03/46/202020346.db2.gz ZFFAEKFXJOFAQU-LLVKDONJSA-N 0 3 222.332 2.614 20 0 BFADHN CC1(C)C[C@H]1NCc1cc2cccnc2o1 ZINC000334653192 354446510 /nfs/dbraw/zinc/44/65/10/354446510.db2.gz MAODDRBTDVQIGO-LLVKDONJSA-N 0 3 216.284 2.716 20 0 BFADHN CC(C)[C@H]1CN(C[C@@H]2CCC(F)(F)C2)CCO1 ZINC000334657357 354451974 /nfs/dbraw/zinc/45/19/74/354451974.db2.gz VTPNWBSVVZDFHR-VXGBXAGGSA-N 0 3 247.329 2.779 20 0 BFADHN C[C@@H]1CN(C)CCN1CCC1=CCCCC1 ZINC000334664655 354465913 /nfs/dbraw/zinc/46/59/13/354465913.db2.gz XCDSVGIJPVMRGA-CYBMUJFWSA-N 0 3 222.376 2.513 20 0 BFADHN CN(C)CCS[C@@H]1CCC(C)(C)C1 ZINC000578069111 366218180 /nfs/dbraw/zinc/21/81/80/366218180.db2.gz OHCHFZNQPMXWTB-SNVBAGLBSA-N 0 3 201.379 2.860 20 0 BFADHN CCc1nc(C)c(CN2C[C@H](C)[C@H](C)C2)o1 ZINC000334694644 354485323 /nfs/dbraw/zinc/48/53/23/354485323.db2.gz GNMBHTKNWACYFW-AOOOYVTPSA-N 0 3 222.332 2.633 20 0 BFADHN CC1(C)CN(Cc2cccs2)[C@@H]2COC[C@@H]21 ZINC000334745243 354469108 /nfs/dbraw/zinc/46/91/08/354469108.db2.gz DHYWTJRMNVTPSG-NWDGAFQWSA-N 0 3 237.368 2.605 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CC(C)(C)C2)on1 ZINC000334746561 354471033 /nfs/dbraw/zinc/47/10/33/354471033.db2.gz AVJGYGHPUDQCMS-JTQLQIEISA-N 0 3 222.332 2.851 20 0 BFADHN Fc1cncc(CN2C[C@@H]3CCCC[C@@H]3C2)c1 ZINC000334747103 354471541 /nfs/dbraw/zinc/47/15/41/354471541.db2.gz QLQPUXDCIROUNU-BETUJISGSA-N 0 3 234.318 2.843 20 0 BFADHN CC[C@H](N[C@@H](C)CCOC)c1c(C)noc1C ZINC000179119597 366206925 /nfs/dbraw/zinc/20/69/25/366206925.db2.gz PHDBPKCNJOECPB-CABZTGNLSA-N 0 3 240.347 2.757 20 0 BFADHN CC(C)c1nc(CN2[C@@H](C)CCC2(C)C)n[nH]1 ZINC000334691881 354481686 /nfs/dbraw/zinc/48/16/86/354481686.db2.gz HMMMNRHIXKGHDM-JTQLQIEISA-N 0 3 236.363 2.691 20 0 BFADHN Cc1nocc1CN1CCC[C@@H](C2CC2)C1 ZINC000334733359 354533972 /nfs/dbraw/zinc/53/39/72/354533972.db2.gz KAKUTLFNANDEDP-GFCCVEGCSA-N 0 3 220.316 2.605 20 0 BFADHN C[C@H]1C[C@@H](CN2Cc3ccccc3C2)CCO1 ZINC000334736659 354537706 /nfs/dbraw/zinc/53/77/06/354537706.db2.gz FCGLYYNWBOEYHL-STQMWFEESA-N 0 3 231.339 2.817 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(F)cc2)C[C@@H]1C ZINC000334738300 354540714 /nfs/dbraw/zinc/54/07/14/354540714.db2.gz IVAWAOZPQAQMIH-SMDDNHRTSA-N 0 3 237.318 2.683 20 0 BFADHN c1cc(CN[C@H]2CCCSC2)nc2c1CCC2 ZINC000334720163 354519880 /nfs/dbraw/zinc/51/98/80/354519880.db2.gz ZIOSVOGYTAILHZ-ZDUSSCGKSA-N 0 3 248.395 2.556 20 0 BFADHN C[C@@H]1CCCC[C@@]1(C)NCc1ccno1 ZINC000334797710 354552563 /nfs/dbraw/zinc/55/25/63/354552563.db2.gz LBFLXYKSWPCISX-ZYHUDNBSSA-N 0 3 208.305 2.733 20 0 BFADHN Nc1ncccc1CN1CCCC2(CCCC2)C1 ZINC000334806357 354562495 /nfs/dbraw/zinc/56/24/95/354562495.db2.gz JJWJPEYFAXNONB-UHFFFAOYSA-N 0 3 245.370 2.820 20 0 BFADHN Cc1noc(C)c1CN1CC[C@H](C2CC2)C1 ZINC000335937425 134012865 /nfs/dbraw/zinc/01/28/65/134012865.db2.gz SOPJFSICSWRSKB-LBPRGKRZSA-N 0 3 220.316 2.523 20 0 BFADHN Fc1ccccc1C1CN(CCC[C@@H]2CCO2)C1 ZINC000639198298 354600097 /nfs/dbraw/zinc/60/00/97/354600097.db2.gz XZFJAKYFHUDWNV-CYBMUJFWSA-N 0 3 249.329 2.794 20 0 BFADHN FCCCCNCc1nc2ccccc2o1 ZINC000639197078 354601140 /nfs/dbraw/zinc/60/11/40/354601140.db2.gz SXVITNGORPTEHA-UHFFFAOYSA-N 0 3 222.263 2.667 20 0 BFADHN CC(C)C1CC(N[C@H]2C[C@H](C)n3ccnc32)C1 ZINC000334744117 354547631 /nfs/dbraw/zinc/54/76/31/354547631.db2.gz SRXSQUIPGYNYCB-WTIISPKJSA-N 0 3 233.359 2.913 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1C/C=C/c1ccncc1 ZINC000334796708 354550782 /nfs/dbraw/zinc/55/07/82/354550782.db2.gz KMLGETRCZZKROS-HNFMAKEJSA-N 0 3 248.395 2.921 20 0 BFADHN CC[C@@H]1CCN([C@H](C)c2ncc(C)o2)C1 ZINC000335091760 354628185 /nfs/dbraw/zinc/62/81/85/354628185.db2.gz BLZGKELHDSYEHJ-GHMZBOCLSA-N 0 3 208.305 2.776 20 0 BFADHN CC[C@H]1CCN1[C@@H](c1nc[nH]n1)C1CCCCC1 ZINC000639202460 354608060 /nfs/dbraw/zinc/60/80/60/354608060.db2.gz BVSFFKWDGFDLRM-QWHCGFSZSA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@H]1CC[N@@H+]1[C@@H](c1nnc[n-]1)C1CCCCC1 ZINC000639202460 354608064 /nfs/dbraw/zinc/60/80/64/354608064.db2.gz BVSFFKWDGFDLRM-QWHCGFSZSA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@H]1CC[N@H+]1[C@@H](c1nnc[n-]1)C1CCCCC1 ZINC000639202460 354608068 /nfs/dbraw/zinc/60/80/68/354608068.db2.gz BVSFFKWDGFDLRM-QWHCGFSZSA-N 0 3 248.374 2.910 20 0 BFADHN CC[C@H]1CCN1[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000639202460 354608073 /nfs/dbraw/zinc/60/80/73/354608073.db2.gz BVSFFKWDGFDLRM-QWHCGFSZSA-N 0 3 248.374 2.910 20 0 BFADHN CC(C)n1cncc1CN1C[C@H](C)C[C@H]1C ZINC000335082604 354615546 /nfs/dbraw/zinc/61/55/46/354615546.db2.gz FHPMYTAIXPMJIN-VXGBXAGGSA-N 0 3 221.348 2.694 20 0 BFADHN CC(C)n1cncc1CN1C[C@@H](C)C[C@@H]1C ZINC000335082603 354615564 /nfs/dbraw/zinc/61/55/64/354615564.db2.gz FHPMYTAIXPMJIN-RYUDHWBXSA-N 0 3 221.348 2.694 20 0 BFADHN CC(C)n1cncc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC000335089779 354624907 /nfs/dbraw/zinc/62/49/07/354624907.db2.gz ZDHWYAWVAFNOEM-BETUJISGSA-N 0 3 233.359 2.552 20 0 BFADHN CCCN1CC[C@@H](O)[C@H]1c1ccc(Cl)cc1 ZINC000335106781 354691243 /nfs/dbraw/zinc/69/12/43/354691243.db2.gz DUOZQRYELNUNHN-CHWSQXEVSA-N 0 3 239.746 2.858 20 0 BFADHN CCc1noc(C)c1CN[C@@H](C)[C@H]1CC1(F)F ZINC000639207226 354672358 /nfs/dbraw/zinc/67/23/58/354672358.db2.gz ZKPNMVOAMDEVGU-OIBJUYFYSA-N 0 3 244.285 2.679 20 0 BFADHN CC(C)C1(N[C@@H](c2nccn2C)C2CC2)CC1 ZINC000639211164 354747383 /nfs/dbraw/zinc/74/73/83/354747383.db2.gz REIBBXHNNAGVPW-GFCCVEGCSA-N 0 3 233.359 2.649 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2ccc(C)o2)C1(C)C ZINC000345705882 354754016 /nfs/dbraw/zinc/75/40/16/354754016.db2.gz LHHUWDPTPRSBRM-CYZMBNFOSA-N 0 3 237.343 2.737 20 0 BFADHN CC(C)CCC(=O)N[C@@H](CC(C)C)CN(C)C ZINC000345785200 354766349 /nfs/dbraw/zinc/76/63/49/354766349.db2.gz KSESKTTXGVXCBS-ZDUSSCGKSA-N 0 3 242.407 2.515 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc3occc3c2)C1 ZINC000335138715 354790008 /nfs/dbraw/zinc/79/00/08/354790008.db2.gz FMTSDELZUFYZEP-CYBMUJFWSA-N 0 3 231.295 2.654 20 0 BFADHN C[C@H](c1ccccc1Cl)N1C[C@@H](C)[C@H](O)C1 ZINC000351951235 134016128 /nfs/dbraw/zinc/01/61/28/134016128.db2.gz JCJXAYRBWPHZHA-GIPNMCIBSA-N 0 3 239.746 2.714 20 0 BFADHN CCCCN(C)C(=O)c1ccccc1CN(C)C ZINC000345937819 354813614 /nfs/dbraw/zinc/81/36/14/354813614.db2.gz RVXCLBDBBWWFRX-UHFFFAOYSA-N 0 3 248.370 2.620 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1ccnn1CC ZINC000353876931 354794399 /nfs/dbraw/zinc/79/43/99/354794399.db2.gz AMPJGDBFBUAJLS-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN CO[C@@H]1CC[C@@H](NCc2ccc(F)cc2F)C1 ZINC000190936468 358491338 /nfs/dbraw/zinc/49/13/38/358491338.db2.gz OKYHHAZBOPLQLX-VXGBXAGGSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1csc([C@H](C)NC[C@H](C)C[C@@H](C)O)n1 ZINC000623897079 354870048 /nfs/dbraw/zinc/87/00/48/354870048.db2.gz GFPJZCCSIHDGCD-IEBDPFPHSA-N 0 3 242.388 2.509 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CCOC[C@H]2C)C1 ZINC000346198957 354875888 /nfs/dbraw/zinc/87/58/88/354875888.db2.gz GBHCRPQATMICOC-MCIONIFRSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cc(C(N)=O)cs2)C1 ZINC000335944616 134019088 /nfs/dbraw/zinc/01/90/88/134019088.db2.gz YRLDAVNEXWLBIF-RKDXNWHRSA-N 0 3 238.356 2.862 20 0 BFADHN Cc1nn(C)cc1CN1C[C@H](C)CC(C)(C)C1 ZINC000346326369 354897507 /nfs/dbraw/zinc/89/75/07/354897507.db2.gz KZHUTFPDNORAHD-LLVKDONJSA-N 0 3 235.375 2.597 20 0 BFADHN CCOC(=O)[C@@H](CC)N(C[C@H](C)CC)C1CC1 ZINC000346370294 354901998 /nfs/dbraw/zinc/90/19/98/354901998.db2.gz KIFLXPHJMXRKJJ-DGCLKSJQSA-N 0 3 241.375 2.839 20 0 BFADHN Cc1ccc(CN2C[C@@H]3C[C@H]2CS3)s1 ZINC000335172458 354937602 /nfs/dbraw/zinc/93/76/02/354937602.db2.gz LOIVFKAJCFNFDL-ONGXEEELSA-N 0 3 225.382 2.746 20 0 BFADHN C[C@H](N[C@H](c1cccnc1)C1CC1)[C@@H]1CCCO1 ZINC000346624129 354995540 /nfs/dbraw/zinc/99/55/40/354995540.db2.gz DDTVMMXTUCRFNL-CQDKDKBSSA-N 0 3 246.354 2.690 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@@H](C)[C@H]1CCCO1 ZINC000346627913 354997575 /nfs/dbraw/zinc/99/75/75/354997575.db2.gz SBUMZPBMIRCABX-SLEUVZQESA-N 0 3 248.370 2.862 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1cccnc1 ZINC000335197526 355030985 /nfs/dbraw/zinc/03/09/85/355030985.db2.gz XZZIHYPSVRORHN-LLVKDONJSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1cccnc1 ZINC000335197527 355031320 /nfs/dbraw/zinc/03/13/20/355031320.db2.gz XZZIHYPSVRORHN-NSHDSACASA-N 0 3 204.317 2.702 20 0 BFADHN C[C@@H]1CCN(CC2(C)CCC2)[C@@H](C(N)=O)C1 ZINC000335200543 355034112 /nfs/dbraw/zinc/03/41/12/355034112.db2.gz TUUHHZMBLQJUJU-GHMZBOCLSA-N 0 3 224.348 2.812 20 0 BFADHN CCc1nocc1CN1CCC2(CCC2)C1 ZINC000335203057 355037623 /nfs/dbraw/zinc/03/76/23/355037623.db2.gz RKFJURSZMNSKKF-UHFFFAOYSA-N 0 3 220.316 2.613 20 0 BFADHN Cc1ccc(CN2CCSC[C@@H](C)C2)cn1 ZINC000335205315 355040494 /nfs/dbraw/zinc/04/04/94/355040494.db2.gz KMJBRSSCTUENPQ-NSHDSACASA-N 0 3 236.384 2.575 20 0 BFADHN CC(C)C[C@H]1C[C@H](NCc2ccccn2)CCO1 ZINC000346675363 355009176 /nfs/dbraw/zinc/00/91/76/355009176.db2.gz WLAJVHFQKBPRSU-HIFRSBDPSA-N 0 3 248.370 2.765 20 0 BFADHN Cc1nocc1CN[C@H](C)CCC(F)(F)F ZINC000639226187 355080233 /nfs/dbraw/zinc/08/02/33/355080233.db2.gz DIAUAFXCXYYFMV-SSDOTTSWSA-N 0 3 236.237 2.804 20 0 BFADHN CCN1CCC(c2ncccc2Cl)CC1 ZINC000335212540 355049483 /nfs/dbraw/zinc/04/94/83/355049483.db2.gz VHNVFBRMPLNUKY-UHFFFAOYSA-N 0 3 224.735 2.934 20 0 BFADHN C[C@H](NCc1ccn(C(F)F)n1)C1CCCC1 ZINC000449753829 202022524 /nfs/dbraw/zinc/02/25/24/202022524.db2.gz JWJMFHVNINTOQS-VIFPVBQESA-N 0 3 243.301 2.947 20 0 BFADHN C[C@H]1c2ccccc2CN1CCC1(O)CCC1 ZINC000335185863 355084704 /nfs/dbraw/zinc/08/47/04/355084704.db2.gz VDTDJJHBPPJAMG-LBPRGKRZSA-N 0 3 231.339 2.868 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCCN(C)[C@@H]2C)o1 ZINC000346810991 355121442 /nfs/dbraw/zinc/12/14/42/355121442.db2.gz SVBUYROOSCNRBY-YNEHKIRRSA-N 0 3 236.359 2.721 20 0 BFADHN CC[C@@H](COC)NC1(c2ccc(OC)cc2)CC1 ZINC000346741651 355091076 /nfs/dbraw/zinc/09/10/76/355091076.db2.gz RKJNARPANKFZAO-ZDUSSCGKSA-N 0 3 249.354 2.699 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1ccc(C)nn1 ZINC000335231375 355091926 /nfs/dbraw/zinc/09/19/26/355091926.db2.gz KHWMZRDJGMJVAL-WCQYABFASA-N 0 3 219.332 2.548 20 0 BFADHN COC[C@H]1CCCCN1C/C=C\c1ccncc1 ZINC000449760298 202023561 /nfs/dbraw/zinc/02/35/61/202023561.db2.gz NJCIMPYBLSQVBF-FOSCPCJNSA-N 0 3 246.354 2.596 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1ccc(C)nc1 ZINC000449758953 202024816 /nfs/dbraw/zinc/02/48/16/202024816.db2.gz IIIAMVOXAAEYNY-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1ccco1 ZINC000449759789 202024839 /nfs/dbraw/zinc/02/48/39/202024839.db2.gz KRPSPJDYZRWIFM-CHWSQXEVSA-N 0 3 223.316 2.717 20 0 BFADHN COC[C@H]1CCCCN1Cc1occc1C ZINC000449760259 202025270 /nfs/dbraw/zinc/02/52/70/202025270.db2.gz NEVRVGVBXHYHSX-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN COc1ccncc1CN1C[C@H](C)CC(C)(C)C1 ZINC000346957006 355168067 /nfs/dbraw/zinc/16/80/67/355168067.db2.gz HSAUJJRCOGVLMH-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1nocc1CN[C@H](C)C1CCSCC1 ZINC000639229101 355132666 /nfs/dbraw/zinc/13/26/66/355132666.db2.gz XSNVCSHVNNEGJZ-SECBINFHSA-N 0 3 240.372 2.604 20 0 BFADHN OC1CCC(N[C@@H]2C[C@]2(F)c2ccccc2)CC1 ZINC000335249757 355168666 /nfs/dbraw/zinc/16/86/66/355168666.db2.gz QWFLWFTXDXZUBB-CVSAEHQPSA-N 0 3 249.329 2.517 20 0 BFADHN COc1ncc(CNC[C@@H]2C[C@H]2C(C)C)s1 ZINC000639233842 355216364 /nfs/dbraw/zinc/21/63/64/355216364.db2.gz VMEIQBCJWPVREJ-ONGXEEELSA-N 0 3 240.372 2.533 20 0 BFADHN CC[C@@]1(C)C[C@@H]1C(=O)Nc1ccc2c(c1)CNC2 ZINC000335242024 355177741 /nfs/dbraw/zinc/17/77/41/355177741.db2.gz WSMKQBNIWYOXDS-HIFRSBDPSA-N 0 3 244.338 2.665 20 0 BFADHN COc1ccncc1CN(C)C[C@@H]1CC=CCC1 ZINC000346933752 355182358 /nfs/dbraw/zinc/18/23/58/355182358.db2.gz BSVPDMHNGTXYES-CYBMUJFWSA-N 0 3 246.354 2.878 20 0 BFADHN CCC[C@@H](NCC(C)(C)OC)c1ccccn1 ZINC000346992453 355191264 /nfs/dbraw/zinc/19/12/64/355191264.db2.gz XMJVBHFXNYTTNZ-GFCCVEGCSA-N 0 3 236.359 2.937 20 0 BFADHN CC[C@@H](C)N(C)Cc1c(C)cc(C)nc1OC ZINC000346998767 355195659 /nfs/dbraw/zinc/19/56/59/355195659.db2.gz ZODDMGPTFLGACI-GFCCVEGCSA-N 0 3 236.359 2.937 20 0 BFADHN C[C@]1(F)CCN(C[C@H]2Cc3ccccc32)C1 ZINC000347004279 355201882 /nfs/dbraw/zinc/20/18/82/355201882.db2.gz KANIHFKYCJANCM-OCCSQVGLSA-N 0 3 219.303 2.760 20 0 BFADHN C[C@@H]1CN(CCOC2CCC2)CCC1(F)F ZINC000352005763 134034671 /nfs/dbraw/zinc/03/46/71/134034671.db2.gz GWHIOJYENRAJII-SNVBAGLBSA-N 0 3 233.302 2.533 20 0 BFADHN CC[C@H](C)N(CCO)Cc1ccc(C)cc1F ZINC000639238015 355221615 /nfs/dbraw/zinc/22/16/15/355221615.db2.gz QGIDRJAUDUUREX-LBPRGKRZSA-N 0 3 239.334 2.727 20 0 BFADHN Cc1cc(C)c(CN[C@H](C)[C@H]2CC2(F)F)cn1 ZINC000639239279 355222842 /nfs/dbraw/zinc/22/28/42/355222842.db2.gz IDHMMJZRUHWASZ-ZYHUDNBSSA-N 0 3 240.297 2.832 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1ccns1 ZINC000639241333 355227219 /nfs/dbraw/zinc/22/72/19/355227219.db2.gz JRKIBROVFOAQRV-VXGBXAGGSA-N 0 3 240.372 2.580 20 0 BFADHN COCCN(Cc1cc(C)c(O)c(C)c1)C1CC1 ZINC000347606290 355232896 /nfs/dbraw/zinc/23/28/96/355232896.db2.gz HEQLGGFEIXTURE-UHFFFAOYSA-N 0 3 249.354 2.620 20 0 BFADHN CCc1ccc(CN(CC)C[C@H]2CCCO2)cn1 ZINC000347632305 355242099 /nfs/dbraw/zinc/24/20/99/355242099.db2.gz ANNKLJYPOZMYNM-OAHLLOKOSA-N 0 3 248.370 2.645 20 0 BFADHN CC[C@@H](CN1CC[C@@H]1c1ccccc1)OC ZINC000412976650 191346279 /nfs/dbraw/zinc/34/62/79/191346279.db2.gz JTDZTILQGWQJSH-UONOGXRCSA-N 0 3 219.328 2.858 20 0 BFADHN CC[C@H](CN(C)[C@@H](C)c1cccc(O)c1)OC ZINC000412976304 191346299 /nfs/dbraw/zinc/34/62/99/191346299.db2.gz IITPUFKXWQQMBS-SMDDNHRTSA-N 0 3 237.343 2.810 20 0 BFADHN CC[C@H](CN(C)Cc1ccc(OC)cc1)OC ZINC000412976028 191347138 /nfs/dbraw/zinc/34/71/38/191347138.db2.gz HLFQLJOLXKRIII-CYBMUJFWSA-N 0 3 237.343 2.552 20 0 BFADHN CC[C@H](CN1Cc2ccccc2[C@H]1C)OC ZINC000412980156 191350812 /nfs/dbraw/zinc/35/08/12/191350812.db2.gz WOQOISWRMHHGCX-DGCLKSJQSA-N 0 3 219.328 2.988 20 0 BFADHN COC(=O)CC1(NCc2cccc(C)c2)CCC1 ZINC000347687132 355270398 /nfs/dbraw/zinc/27/03/98/355270398.db2.gz TWKGPBYNNLPVIG-UHFFFAOYSA-N 0 3 247.338 2.570 20 0 BFADHN Cc1cnc(CN[C@@H](C2CC2)C2CCC2)o1 ZINC000639246390 355274687 /nfs/dbraw/zinc/27/46/87/355274687.db2.gz GBRAEPQLGXCZTL-CYBMUJFWSA-N 0 3 220.316 2.651 20 0 BFADHN CCCCCN(C(=O)[C@@H](CC)N(C)C)C(C)C ZINC000413210565 191363841 /nfs/dbraw/zinc/36/38/41/191363841.db2.gz VVMQLEOULKXGIA-CYBMUJFWSA-N 0 3 242.407 2.754 20 0 BFADHN CCCN(Cc1cn(C(C)C)nn1)CC(C)C ZINC000347604885 355253658 /nfs/dbraw/zinc/25/36/58/355253658.db2.gz FKOXSZOZKRCEOI-UHFFFAOYSA-N 0 3 238.379 2.727 20 0 BFADHN CCc1ccc(CN2CCC(C)CC2)cn1 ZINC000347667929 355257211 /nfs/dbraw/zinc/25/72/11/355257211.db2.gz HBOLPBGHSBXYAH-UHFFFAOYSA-N 0 3 218.344 2.876 20 0 BFADHN CCc1cc(N2CC(F)(F)C(F)(F)C2)ccn1 ZINC000413400111 191375781 /nfs/dbraw/zinc/37/57/81/191375781.db2.gz MPBRJNWWSXJVMB-UHFFFAOYSA-N 0 3 248.223 2.735 20 0 BFADHN CCCCN(C(=O)[C@H](CC)N(C)C)[C@H](C)CC ZINC000413411026 191381661 /nfs/dbraw/zinc/38/16/61/191381661.db2.gz RCVXKUWUQCWQPO-OLZOCXBDSA-N 0 3 242.407 2.754 20 0 BFADHN C[C@H](N[C@H]1CS[C@@H](C)C1)c1cncc(F)c1 ZINC000639257091 355302101 /nfs/dbraw/zinc/30/21/01/355302101.db2.gz QPPNOHDQKCQVAB-HOTUBEGUSA-N 0 3 240.347 2.765 20 0 BFADHN C[C@@H](NCc1cn2ccsc2n1)[C@H]1C[C@H]1C ZINC000414078880 191427275 /nfs/dbraw/zinc/42/72/75/191427275.db2.gz SIVZVUFVOVZDAB-KKZNHRDASA-N 0 3 235.356 2.530 20 0 BFADHN COc1ccc(CN[C@H](C)[C@H]2C[C@H]2C)c(F)c1 ZINC000414093845 191430280 /nfs/dbraw/zinc/43/02/80/191430280.db2.gz OCLFBPRZAMDRLE-BREBYQMCSA-N 0 3 237.318 2.968 20 0 BFADHN C[C@H](CC1CC1)N[C@@H](C)c1cc2n(n1)CCC2 ZINC000414132937 191438286 /nfs/dbraw/zinc/43/82/86/191438286.db2.gz ZZXPIOXMKSVUEZ-MNOVXSKESA-N 0 3 233.359 2.669 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1ccns1 ZINC000639264894 355321212 /nfs/dbraw/zinc/32/12/12/355321212.db2.gz CEFUQVHDCYBZEM-SECBINFHSA-N 0 3 210.346 2.764 20 0 BFADHN OCc1ccc(CN[C@@H]2CCCC[C@H]2F)cc1 ZINC000639267368 355326705 /nfs/dbraw/zinc/32/67/05/355326705.db2.gz QOSJMWZYFXLYSO-ZIAGYGMSSA-N 0 3 237.318 2.549 20 0 BFADHN C[C@@H]1CC(C)(C)CN1[C@H](c1nccn1C)C1CC1 ZINC000639267832 355329573 /nfs/dbraw/zinc/32/95/73/355329573.db2.gz PJTZYNOVILVKAD-YPMHNXCESA-N 0 3 247.386 2.992 20 0 BFADHN O=c1ccc(CN2CC(C3CCCCC3)C2)c[nH]1 ZINC000639250402 355283405 /nfs/dbraw/zinc/28/34/05/355283405.db2.gz OXIFNKFXINPIJP-UHFFFAOYSA-N 0 3 246.354 2.799 20 0 BFADHN C[C@@H]1CCN([C@@H](c2nccn2C)C2CC2)[C@H](C)C1 ZINC000639278903 355364630 /nfs/dbraw/zinc/36/46/30/355364630.db2.gz VPZYUZBFCYBWPE-YRGRVCCFSA-N 0 3 247.386 2.992 20 0 BFADHN CCCN1CCO[C@H](C2CCCCC2)C1 ZINC000349232999 355372061 /nfs/dbraw/zinc/37/20/61/355372061.db2.gz CGGZJUNZMUAFIU-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN CCC1CCC(N2CCc3n[nH]cc3C2)CC1 ZINC000623238708 355383134 /nfs/dbraw/zinc/38/31/34/355383134.db2.gz QQEOBGVANZQWEY-UHFFFAOYSA-N 0 3 233.359 2.737 20 0 BFADHN Cc1csc([C@H](C)NC[C@@H]2CCCS2)n1 ZINC000623904392 355384121 /nfs/dbraw/zinc/38/41/21/355384121.db2.gz BOHBWEQLAATYPD-UWVGGRQHSA-N 0 3 242.413 2.998 20 0 BFADHN C[C@@H](CCO)N[C@@H](C)c1csc(Cl)c1 ZINC000191298462 355384667 /nfs/dbraw/zinc/38/46/67/355384667.db2.gz ZYUHVQQRZCLUKN-YUMQZZPRSA-N 0 3 233.764 2.823 20 0 BFADHN C[C@H](CCO)N[C@@H](C)c1csc(Cl)c1 ZINC000191298474 355384799 /nfs/dbraw/zinc/38/47/99/355384799.db2.gz ZYUHVQQRZCLUKN-SFYZADRCSA-N 0 3 233.764 2.823 20 0 BFADHN CO[C@@](C)(CN1CC(C)(CC(F)F)C1)C1CC1 ZINC000639284553 355385561 /nfs/dbraw/zinc/38/55/61/355385561.db2.gz VWTJDWQPZMDXFG-ZDUSSCGKSA-N 0 3 247.329 2.779 20 0 BFADHN CCCOc1cccc(CNc2nccn2C)c1 ZINC000414310834 191487900 /nfs/dbraw/zinc/48/79/00/191487900.db2.gz XDZWPYXRJKTDCR-UHFFFAOYSA-N 0 3 245.326 2.821 20 0 BFADHN COc1ncc(CN2C[C@H](C)[C@H](C)[C@H]2C)s1 ZINC000639272749 355343763 /nfs/dbraw/zinc/34/37/63/355343763.db2.gz SQBZYDOFHPKDMB-LPEHRKFASA-N 0 3 240.372 2.628 20 0 BFADHN Cc1occc1CN[C@@]1(C)CCO[C@H]1C1CC1 ZINC000414431471 191507988 /nfs/dbraw/zinc/50/79/88/191507988.db2.gz LDWNQLQVIKQUKL-KBPBESRZSA-N 0 3 235.327 2.635 20 0 BFADHN CS[C@@H]1CCCC[C@H]1NCc1nccs1 ZINC000219162950 355404009 /nfs/dbraw/zinc/40/40/09/355404009.db2.gz ZQJHXDGHYXEFON-NXEZZACHSA-N 0 3 242.413 2.907 20 0 BFADHN CC[C@@H](C)C[C@H](CC)N1CCc2n[nH]cc2C1 ZINC000623240894 355420083 /nfs/dbraw/zinc/42/00/83/355420083.db2.gz ZUTTZJVIKOXWDH-YPMHNXCESA-N 0 3 235.375 2.983 20 0 BFADHN CC[C@H]1CC[C@H](NCc2ccc(C)nn2)CC1 ZINC000414449214 191512419 /nfs/dbraw/zinc/51/24/19/191512419.db2.gz BFYBQTYHBOJMDN-JOCQHMNTSA-N 0 3 233.359 2.843 20 0 BFADHN CCc1ncc(CN2CC[C@@H](CC3CC3)C2)cn1 ZINC000414455525 191514296 /nfs/dbraw/zinc/51/42/96/191514296.db2.gz HPXBTRRXNBXIOS-ZDUSSCGKSA-N 0 3 245.370 2.661 20 0 BFADHN COc1ccncc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000335792512 355445540 /nfs/dbraw/zinc/44/55/40/355445540.db2.gz RAPFDMIPWYTWDA-BETUJISGSA-N 0 3 246.354 2.712 20 0 BFADHN CCC1(NCc2cocn2)CCCCC1 ZINC000395093190 355396194 /nfs/dbraw/zinc/39/61/94/355396194.db2.gz RRKAMYFWOCYWHB-UHFFFAOYSA-N 0 3 208.305 2.877 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1cc(C2CC2)no1 ZINC000639288139 355399005 /nfs/dbraw/zinc/39/90/05/355399005.db2.gz BPLBGPREGYCOKY-LDYMZIIASA-N 0 3 238.356 2.536 20 0 BFADHN Cc1ccoc1CN1CCC[C@@]2(CCCOC2)C1 ZINC000335800817 355493642 /nfs/dbraw/zinc/49/36/42/355493642.db2.gz LDALQIKZUIYFAM-OAHLLOKOSA-N 0 3 249.354 2.981 20 0 BFADHN COc1ccc(CN2CCC[C@H]3CCC[C@@H]32)nc1 ZINC000335797895 355454897 /nfs/dbraw/zinc/45/48/97/355454897.db2.gz RRSMFMHNZUIBPI-DOMZBBRYSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@H]1COCCN(Cc2coc3ccccc23)C1 ZINC000335814976 355503743 /nfs/dbraw/zinc/50/37/43/355503743.db2.gz YFROLUBYAGVUIS-GFCCVEGCSA-N 0 3 245.322 2.901 20 0 BFADHN CC[C@H](NCC1(C2CC2)CC1)c1ccn(C)n1 ZINC000349783569 355461436 /nfs/dbraw/zinc/46/14/36/355461436.db2.gz MGVZBNOCLARABE-LBPRGKRZSA-N 0 3 233.359 2.651 20 0 BFADHN CC[C@H](CN1CCO[C@@H](C)C1)c1ccccc1 ZINC000349786786 355462427 /nfs/dbraw/zinc/46/24/27/355462427.db2.gz CRQYNSGXAVFVNL-UONOGXRCSA-N 0 3 233.355 2.901 20 0 BFADHN c1ccc([C@H]2C[C@@H]2CN2CCSCC2)cc1 ZINC000349789743 355462751 /nfs/dbraw/zinc/46/27/51/355462751.db2.gz FOGIGOKXXLVNLN-ZIAGYGMSSA-N 0 3 233.380 2.839 20 0 BFADHN c1ccc([C@@H]2C[C@@H]2CN2CCSCC2)cc1 ZINC000349789741 355463040 /nfs/dbraw/zinc/46/30/40/355463040.db2.gz FOGIGOKXXLVNLN-KGLIPLIRSA-N 0 3 233.380 2.839 20 0 BFADHN Cc1cccc(CN2CCN(C(C)C)CC2)c1C ZINC000349798778 355465067 /nfs/dbraw/zinc/46/50/67/355465067.db2.gz HAQQTAJCKLREEQ-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN CC[C@H]1CN(CC2CCC(C)CC2)CCO1 ZINC000349817817 355468628 /nfs/dbraw/zinc/46/86/28/355468628.db2.gz ZWRRZVZYFJWQBQ-RUXDESIVSA-N 0 3 225.376 2.924 20 0 BFADHN CC(C)CCC[C@H](C)N1CCc2n[nH]cc2C1 ZINC000623241144 355484452 /nfs/dbraw/zinc/48/44/52/355484452.db2.gz IUPXCAQDBXSCEH-LBPRGKRZSA-N 0 3 235.375 2.983 20 0 BFADHN C[C@@H](CC1CCCC1)N1CCc2n[nH]cc2C1 ZINC000623241278 355487810 /nfs/dbraw/zinc/48/78/10/355487810.db2.gz NFTIVGJRRHTQLN-NSHDSACASA-N 0 3 233.359 2.737 20 0 BFADHN CC(C)[C@H]1CCC[C@H]1N1CCc2n[nH]cc2C1 ZINC000623241321 355489042 /nfs/dbraw/zinc/48/90/42/355489042.db2.gz PKFVPBANCKTDOA-TZMCWYRMSA-N 0 3 233.359 2.593 20 0 BFADHN CC1CCC(N(Cc2ccn(C)n2)C2CC2)CC1 ZINC000349943590 355533841 /nfs/dbraw/zinc/53/38/41/355533841.db2.gz HNEYGWHBZZNLMV-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN CC(C)n1nccc1CN1[C@H](C)CC[C@@H]1C ZINC000335819639 355511141 /nfs/dbraw/zinc/51/11/41/355511141.db2.gz XGXKRXBMYSSTNK-TXEJJXNPSA-N 0 3 221.348 2.837 20 0 BFADHN Cc1cc(CN[C@@H]2CCC23CCCC3)ncn1 ZINC000335819977 355512214 /nfs/dbraw/zinc/51/22/14/355512214.db2.gz YBVCNVPGVKPQLJ-CYBMUJFWSA-N 0 3 231.343 2.597 20 0 BFADHN Cc1cccn2c(CN(C)CC(C)C)cnc12 ZINC000349874795 355514832 /nfs/dbraw/zinc/51/48/32/355514832.db2.gz NOQXZXKFEVZVTP-UHFFFAOYSA-N 0 3 231.343 2.731 20 0 BFADHN Cc1ccc(CN2CCC=C(C)C2)cn1 ZINC000335847850 355591249 /nfs/dbraw/zinc/59/12/49/355591249.db2.gz TUQWJRHNFCEMQW-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN COc1ncc(CNC2CC3(CCC3)C2)s1 ZINC000639298033 355595841 /nfs/dbraw/zinc/59/58/41/355595841.db2.gz XHXOVKHXMVHSTR-UHFFFAOYSA-N 0 3 238.356 2.574 20 0 BFADHN Cc1ccnc(CN[C@H]2CCCCC2(C)C)n1 ZINC000335852302 355596737 /nfs/dbraw/zinc/59/67/37/355596737.db2.gz ZHGZYZNVYQGDHV-LBPRGKRZSA-N 0 3 233.359 2.843 20 0 BFADHN CN1CCC(Nc2ccc3ccoc3c2)CC1 ZINC000335853108 355597946 /nfs/dbraw/zinc/59/79/46/355597946.db2.gz WXCBPNMHOSNTEH-UHFFFAOYSA-N 0 3 230.311 2.939 20 0 BFADHN CN1CCN(Cc2ccc(C3CCC3)cc2)CC1 ZINC000350100234 355599790 /nfs/dbraw/zinc/59/97/90/355599790.db2.gz RBKCEJIMQNMHCN-UHFFFAOYSA-N 0 3 244.382 2.702 20 0 BFADHN C[C@H]1CCCCC[C@@H]1N1CCc2n[nH]cc2C1 ZINC000623243520 355608705 /nfs/dbraw/zinc/60/87/05/355608705.db2.gz DQJZLSIFDKECFJ-FZMZJTMJSA-N 0 3 233.359 2.737 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](N2CCc3n[nH]cc3C2)C1 ZINC000623243530 355611007 /nfs/dbraw/zinc/61/10/07/355611007.db2.gz DXIRPJHSVGBXKK-SUNKGSAMSA-N 0 3 233.359 2.593 20 0 BFADHN CCCN1CCN(Cc2ccsc2C)CC1 ZINC000350145688 355614070 /nfs/dbraw/zinc/61/40/70/355614070.db2.gz XZTNPPQXTPKALN-UHFFFAOYSA-N 0 3 238.400 2.584 20 0 BFADHN Cc1nocc1CN1C[C@@H]2[C@@H]3CC[C@@H](C3)[C@@]2(C)C1 ZINC000449763898 202025770 /nfs/dbraw/zinc/02/57/70/202025770.db2.gz WLNLKZIOXVBNNW-FAAHXZRKSA-N 0 3 246.354 2.851 20 0 BFADHN CCCC[C@H](C)[C@H](C)N1CCc2n[nH]cc2C1 ZINC000623243170 355577968 /nfs/dbraw/zinc/57/79/68/355577968.db2.gz WRPKXFOJTOGGIU-RYUDHWBXSA-N 0 3 235.375 2.983 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)N1CCc2n[nH]cc2C1 ZINC000623243171 355578027 /nfs/dbraw/zinc/57/80/27/355578027.db2.gz WRPKXFOJTOGGIU-VXGBXAGGSA-N 0 3 235.375 2.983 20 0 BFADHN COC1CCC(CCNCc2ccccn2)CC1 ZINC000350226788 355652203 /nfs/dbraw/zinc/65/22/03/355652203.db2.gz RGMZNEXOEKJVDU-UHFFFAOYSA-N 0 3 248.370 2.767 20 0 BFADHN O=c1ccc(CN2CCC(C3CCC3)CC2)c[nH]1 ZINC000639308472 355663668 /nfs/dbraw/zinc/66/36/68/355663668.db2.gz HARNLZRFTYIGJT-UHFFFAOYSA-N 0 3 246.354 2.799 20 0 BFADHN C[C@H]1c2ccccc2C[C@H]1NCc1ccns1 ZINC000639310319 355666279 /nfs/dbraw/zinc/66/62/79/355666279.db2.gz FPVZSGIKJRHTHL-IINYFYTJSA-N 0 3 244.363 2.961 20 0 BFADHN CC[C@]1(C)CCC[C@H]1N1CCc2n[nH]cc2C1 ZINC000623243892 355617252 /nfs/dbraw/zinc/61/72/52/355617252.db2.gz SGQXJAFRIJCMTR-ZIAGYGMSSA-N 0 3 233.359 2.737 20 0 BFADHN Cc1cnc(CN2CCC[C@@H](C(C)C)C2)cn1 ZINC000335863083 355627043 /nfs/dbraw/zinc/62/70/43/355627043.db2.gz NWEUOSMKFBQOPP-CYBMUJFWSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1cnc(CNC2[C@H](C)CCC[C@H]2C)nc1 ZINC000335868156 355633774 /nfs/dbraw/zinc/63/37/74/355633774.db2.gz FTXCLZXRZCJUIB-VXGBXAGGSA-N 0 3 233.359 2.699 20 0 BFADHN Nc1c(F)cccc1CN1C[C@@H]2CCCC[C@@H]21 ZINC000639301228 355637603 /nfs/dbraw/zinc/63/76/03/355637603.db2.gz CLIYJFWOJKASIF-GWCFXTLKSA-N 0 3 234.318 2.782 20 0 BFADHN F[C@@H]1CCCN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)C1 ZINC000639313664 355691492 /nfs/dbraw/zinc/69/14/92/355691492.db2.gz VIKBLNPCLBZTMX-FVCCEPFGSA-N 0 3 211.324 2.857 20 0 BFADHN Cc1nsc(C)c1CN1C[C@@H](C)[C@H](C)C1 ZINC000582189060 355694473 /nfs/dbraw/zinc/69/44/73/355694473.db2.gz DTEUZNQNTWIIPM-RKDXNWHRSA-N 0 3 224.373 2.848 20 0 BFADHN C[C@H](F)CCN1CCC(F)(F)[C@H](C)C1 ZINC000336005681 134049073 /nfs/dbraw/zinc/04/90/73/134049073.db2.gz JRXITQLNZLDBDE-BDAKNGLRSA-N 0 3 209.255 2.712 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3ccc(O)cc32)CS1 ZINC000639317369 355711319 /nfs/dbraw/zinc/71/13/19/355711319.db2.gz NCTMFZFDALWZSU-PUYPPJJSSA-N 0 3 249.379 2.863 20 0 BFADHN Cc1cc(CNCCCOC(C)(C)C)sn1 ZINC000639318710 355712662 /nfs/dbraw/zinc/71/26/62/355712662.db2.gz XRWLMNMJBCMOIE-UHFFFAOYSA-N 0 3 242.388 2.746 20 0 BFADHN CC1(C)C[C@H](N[C@@H]2CCCc3ncccc32)CO1 ZINC000631645218 355715212 /nfs/dbraw/zinc/71/52/12/355715212.db2.gz NCAFHRZOYMFFFL-SMDDNHRTSA-N 0 3 246.354 2.616 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1cc(OC)no1 ZINC000639323344 355716608 /nfs/dbraw/zinc/71/66/08/355716608.db2.gz AUNKIVZLYPXJCE-QWRGUYRKSA-N 0 3 240.347 2.988 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1cc(OC)no1 ZINC000639323345 355716773 /nfs/dbraw/zinc/71/67/73/355716773.db2.gz AUNKIVZLYPXJCE-WDEREUQCSA-N 0 3 240.347 2.988 20 0 BFADHN CCCN(Cc1cnc(OC)s1)C(C)C ZINC000639312594 355680503 /nfs/dbraw/zinc/68/05/03/355680503.db2.gz KNNLACAOGCCFDL-UHFFFAOYSA-N 0 3 228.361 2.772 20 0 BFADHN C[C@H](N[C@H]1CCC12CCCC2)c1nccn1C ZINC000335890209 355682067 /nfs/dbraw/zinc/68/20/67/355682067.db2.gz YWMQLDXRBLMJOQ-RYUDHWBXSA-N 0 3 233.359 2.794 20 0 BFADHN C[C@H](N[C@@H]1CCC12CCCC2)c1nccn1C ZINC000335890208 355682503 /nfs/dbraw/zinc/68/25/03/355682503.db2.gz YWMQLDXRBLMJOQ-NWDGAFQWSA-N 0 3 233.359 2.794 20 0 BFADHN Cc1cnc([C@H](C)N2CCC[C@H](C3CC3)C2)cn1 ZINC000335893824 355687148 /nfs/dbraw/zinc/68/71/48/355687148.db2.gz LQNYXDMRTDPJTC-JSGCOSHPSA-N 0 3 245.370 2.968 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3COC[C@@H]3C2)c(F)c1 ZINC000639327920 355734846 /nfs/dbraw/zinc/73/48/46/355734846.db2.gz DYEGXQQHCIMTTH-KGLIPLIRSA-N 0 3 249.329 2.602 20 0 BFADHN C[C@@H](N[C@H]1C[C@@](C)(O)C1)c1ccc(Cl)s1 ZINC000417361940 191798755 /nfs/dbraw/zinc/79/87/55/191798755.db2.gz ZOORKEYJQXUPDC-XLDPMVHQSA-N 0 3 245.775 2.966 20 0 BFADHN CCC[C@@H]1[C@H](C)CCCN1Cc1ccn(C)n1 ZINC000353996368 355772890 /nfs/dbraw/zinc/77/28/90/355772890.db2.gz CLQSZMIHCKVREG-TZMCWYRMSA-N 0 3 235.375 2.821 20 0 BFADHN CCC[C@H]1[C@H](C)CCCN1Cc1ccn(C)n1 ZINC000353996367 355772952 /nfs/dbraw/zinc/77/29/52/355772952.db2.gz CLQSZMIHCKVREG-OCCSQVGLSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@H](NCc1ncc[nH]1)[C@@H]1CCC[C@H](C)C1 ZINC000449765690 202027178 /nfs/dbraw/zinc/02/71/78/202027178.db2.gz KAOBXRSDMFYVRK-SDDRHHMPSA-N 0 3 221.348 2.714 20 0 BFADHN CCN(Cc1ccnc(C)n1)[C@@H](C)C(C)C ZINC000350768397 355756156 /nfs/dbraw/zinc/75/61/56/355756156.db2.gz WPXLKVDMUARHNQ-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CO[C@H](CN1CC(C)(c2ccccc2)C1)C1CC1 ZINC000639339045 355780055 /nfs/dbraw/zinc/78/00/55/355780055.db2.gz OVOAESOLDLWIKQ-OAHLLOKOSA-N 0 3 245.366 2.685 20 0 BFADHN CC[C@@H]([C@H]1CC1(C)C)N1CCc2n[nH]cc2C1 ZINC000639344503 355780829 /nfs/dbraw/zinc/78/08/29/355780829.db2.gz VWRZMXXGPICMKM-YPMHNXCESA-N 0 3 233.359 2.593 20 0 BFADHN CC1CC(CNCc2cc(C3CC3)no2)C1 ZINC000639340776 355782993 /nfs/dbraw/zinc/78/29/93/355782993.db2.gz MINVZICGSXRZME-UHFFFAOYSA-N 0 3 220.316 2.688 20 0 BFADHN CCC[C@@H](C1CCC1)N1CCc2n[nH]cc2C1 ZINC000639342747 355784842 /nfs/dbraw/zinc/78/48/42/355784842.db2.gz AULJXOJWHOPZBU-AWEZNQCLSA-N 0 3 233.359 2.737 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1ccc(C)o1 ZINC000191658701 355825077 /nfs/dbraw/zinc/82/50/77/355825077.db2.gz UQZXLIYCNFYSEQ-AXFHLTTASA-N 0 3 211.305 2.662 20 0 BFADHN CC[C@H](N[C@H](C)c1cnccn1)[C@H]1CC1(C)C ZINC000417400636 191827381 /nfs/dbraw/zinc/82/73/81/191827381.db2.gz KJTFLACPJFAZQU-UTUOFQBUSA-N 0 3 233.359 2.952 20 0 BFADHN CC[C@@](C)(CN1CCc2c(O)cccc2C1)OC ZINC000639354351 355806136 /nfs/dbraw/zinc/80/61/36/355806136.db2.gz HFPRPIHOAQUOJR-HNNXBMFYSA-N 0 3 249.354 2.565 20 0 BFADHN C[C@@]1(O)C[C@H](N[C@H]2CCCc3ccc(F)cc32)C1 ZINC000417407373 191834473 /nfs/dbraw/zinc/83/44/73/191834473.db2.gz SRZRMPCHDAUUKO-AEGPPILISA-N 0 3 249.329 2.706 20 0 BFADHN CO[C@H](CNCC(C)(C)F)C1CCCC1 ZINC000639359025 355813808 /nfs/dbraw/zinc/81/38/08/355813808.db2.gz XFCZPGOALHAHAE-LLVKDONJSA-N 0 3 217.328 2.529 20 0 BFADHN CC(C)[C@H]1C[C@@H](NCC(C)(C)F)CS1 ZINC000639358852 355813898 /nfs/dbraw/zinc/81/38/98/355813898.db2.gz SNSBJPCVEZMKIH-NXEZZACHSA-N 0 3 219.369 2.854 20 0 BFADHN CO[C@H](CN1CC(c2ccccc2)C1)C1CC1 ZINC000639361417 355815352 /nfs/dbraw/zinc/81/53/52/355815352.db2.gz ARLDOOCRWZNFMW-OAHLLOKOSA-N 0 3 231.339 2.511 20 0 BFADHN Cc1cnccc1CN1CC(c2ccccc2)C1 ZINC000639361693 355816458 /nfs/dbraw/zinc/81/64/58/355816458.db2.gz NVTZVCWFWFDJBN-UHFFFAOYSA-N 0 3 238.334 2.989 20 0 BFADHN CCC[C@H](CN1CC(c2ccccc2)C1)OC ZINC000639361924 355816526 /nfs/dbraw/zinc/81/65/26/355816526.db2.gz YRBXZDYPEOQQNA-OAHLLOKOSA-N 0 3 233.355 2.901 20 0 BFADHN Cc1n[nH]c(C)c1CN1CC(c2ccccc2)C1 ZINC000639361708 355816603 /nfs/dbraw/zinc/81/66/03/355816603.db2.gz OKDLSQACYXRLRU-UHFFFAOYSA-N 0 3 241.338 2.626 20 0 BFADHN CC(C)[C@@H]1CC[C@@H](NCc2ncc[nH]2)C[C@H]1C ZINC000639373216 355876424 /nfs/dbraw/zinc/87/64/24/355876424.db2.gz YPZDTWVQMGGLJD-UPJWGTAASA-N 0 3 235.375 2.960 20 0 BFADHN C[C@H]1c2ccccc2OCCN1CCCCCO ZINC000608561617 355858817 /nfs/dbraw/zinc/85/88/17/355858817.db2.gz WJHPEKVUYDPSNM-ZDUSSCGKSA-N 0 3 249.354 2.605 20 0 BFADHN C[C@@H]1c2ccccc2OCCN1CCCCCO ZINC000608561613 355859155 /nfs/dbraw/zinc/85/91/55/355859155.db2.gz WJHPEKVUYDPSNM-CYBMUJFWSA-N 0 3 249.354 2.605 20 0 BFADHN CC/C=C/CCN1CCCCC[C@H]1C(=O)OC ZINC000613477197 363456371 /nfs/dbraw/zinc/45/63/71/363456371.db2.gz IAVYIRVYDQDWLD-IHVVCDCBSA-N 0 3 239.359 2.760 20 0 BFADHN CCCC[C@H](C)[C@H](C)NCc1ncc[nH]1 ZINC000639371966 355872095 /nfs/dbraw/zinc/87/20/95/355872095.db2.gz OUQPCSNXRDNGFX-QWRGUYRKSA-N 0 3 209.337 2.714 20 0 BFADHN Cc1ccc(CN2CCC(CCF)CC2)nc1 ZINC000639376678 355901819 /nfs/dbraw/zinc/90/18/19/355901819.db2.gz ULUFOPWZLMHHSL-UHFFFAOYSA-N 0 3 236.334 2.962 20 0 BFADHN CCn1nccc1CN(C)[C@@H](C)CC(C)C ZINC000351027412 355938816 /nfs/dbraw/zinc/93/88/16/355938816.db2.gz LKWVHVNUMIRBKT-LBPRGKRZSA-N 0 3 223.364 2.769 20 0 BFADHN c1csc(CNCCC2=CCCC2)n1 ZINC000229200612 491085641 /nfs/dbraw/zinc/08/56/41/491085641.db2.gz PTMPTXYDGZVOON-UHFFFAOYSA-N 0 3 208.330 2.733 20 0 BFADHN CCN(Cc1ccccn1)C[C@@H]1C[C@H]1C ZINC000351424392 355988741 /nfs/dbraw/zinc/98/87/41/355988741.db2.gz VWTBVQKVMUWNRR-NEPJUHHUSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCc2ccc(F)cc2C1 ZINC000351490960 356000681 /nfs/dbraw/zinc/00/06/81/356000681.db2.gz HKHPCTOGOFLNID-ZYHUDNBSSA-N 0 3 219.303 2.840 20 0 BFADHN C[C@@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)[C@@H](C)CO1 ZINC000351079622 355946328 /nfs/dbraw/zinc/94/63/28/355946328.db2.gz QKLFRTYUIJJLQW-XRGAULLZSA-N 0 3 245.366 2.899 20 0 BFADHN Cc1nc([C@@H](C)N[C@@H](C)CCCO)c(C)s1 ZINC000087358380 491086329 /nfs/dbraw/zinc/08/63/29/491086329.db2.gz FYRLOQJVAUOXFN-DTWKUNHWSA-N 0 3 242.388 2.572 20 0 BFADHN CC(C)[C@H]1CN(CC2CCCC2)CCO1 ZINC000351139749 355953405 /nfs/dbraw/zinc/95/34/05/355953405.db2.gz GEJNTYMLWBQNSM-CYBMUJFWSA-N 0 3 211.349 2.533 20 0 BFADHN CC[C@@]1(O)CCCN(Cc2csc(C)c2)C1 ZINC000639384771 355972467 /nfs/dbraw/zinc/97/24/67/355972467.db2.gz JLWMOWYDWSHAGY-CYBMUJFWSA-N 0 3 239.384 2.793 20 0 BFADHN CCC(CC)CN(CC)Cc1ccn(C)n1 ZINC000351622773 356032091 /nfs/dbraw/zinc/03/20/91/356032091.db2.gz MMCKVAKTTYNPES-UHFFFAOYSA-N 0 3 223.364 2.678 20 0 BFADHN CCc1nocc1CN(CC)C1CCC1 ZINC000351640458 356040471 /nfs/dbraw/zinc/04/04/71/356040471.db2.gz HYMDGFUSTQGULA-UHFFFAOYSA-N 0 3 208.305 2.611 20 0 BFADHN c1n[nH]cc1CN1CCC[C@H](C2CCC2)CC1 ZINC000639392215 356050797 /nfs/dbraw/zinc/05/07/97/356050797.db2.gz SXPQLDGPFIVUFC-AWEZNQCLSA-N 0 3 233.359 2.812 20 0 BFADHN CCC[C@@H]1CCCN(Cc2ccnc(C)n2)C1 ZINC000351672334 356052094 /nfs/dbraw/zinc/05/20/94/356052094.db2.gz MEOHUVOTIWHPAP-CYBMUJFWSA-N 0 3 233.359 2.797 20 0 BFADHN CC(C)[C@@H](O)CCN[C@@H]1CCCc2occc21 ZINC000351523534 356008597 /nfs/dbraw/zinc/00/85/97/356008597.db2.gz MGFRXTKMPNTXJM-OLZOCXBDSA-N 0 3 237.343 2.654 20 0 BFADHN CC[C@@H](O)CN(Cc1cccc(F)c1)C1CC1 ZINC000036104355 356012863 /nfs/dbraw/zinc/01/28/63/356012863.db2.gz JAXVKRWUFFDCPB-CQSZACIVSA-N 0 3 237.318 2.561 20 0 BFADHN c1nc2c(n1C1CCN(C3CC3)CC1)CCCC2 ZINC000628372108 356029996 /nfs/dbraw/zinc/02/99/96/356029996.db2.gz ZXGBVJAVBRBKKB-UHFFFAOYSA-N 0 3 245.370 2.561 20 0 BFADHN C[C@H](F)CCN(C)[C@@H](C)c1cccnc1 ZINC000351734156 356074838 /nfs/dbraw/zinc/07/48/38/356074838.db2.gz HACWXNGJWFWTNM-QWRGUYRKSA-N 0 3 210.296 2.823 20 0 BFADHN C[C@@H](F)CCN(C)[C@H](C)c1ccncc1 ZINC000351736521 356075403 /nfs/dbraw/zinc/07/54/03/356075403.db2.gz QXNVEOGBJJQNRY-GHMZBOCLSA-N 0 3 210.296 2.823 20 0 BFADHN Fc1ccc(F)c(CNC[C@@H]2CC23CC3)c1 ZINC000418092829 191967696 /nfs/dbraw/zinc/96/76/96/191967696.db2.gz IIHMXSULCCEGHI-JTQLQIEISA-N 0 3 223.266 2.855 20 0 BFADHN C[C@@H](c1ccc(Cl)cc1)N1C[C@@H](C)[C@H](O)C1 ZINC000351822616 356090289 /nfs/dbraw/zinc/09/02/89/356090289.db2.gz XJSODESYIPXXFU-GBIKHYSHSA-N 0 3 239.746 2.714 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1C[C@H](C)C[C@H](C)C1 ZINC000351864801 356100487 /nfs/dbraw/zinc/10/04/87/356100487.db2.gz YZYUFYKJPVYKBD-XQQFMLRXSA-N 0 3 241.375 2.552 20 0 BFADHN Cc1cnc(CN(C)C2CCCCC2)cn1 ZINC000335916923 356160100 /nfs/dbraw/zinc/16/01/00/356160100.db2.gz PWNSHEISJOLGAV-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN Nc1cc(CN2CCCC3(CCCC3)C2)ccn1 ZINC000336096878 134080669 /nfs/dbraw/zinc/08/06/69/134080669.db2.gz ZPMXLEZWAZEZTD-UHFFFAOYSA-N 0 3 245.370 2.650 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1nccc(C)n1 ZINC000335914938 356151936 /nfs/dbraw/zinc/15/19/36/356151936.db2.gz AVHLXUVQZUMZKL-RYUDHWBXSA-N 0 3 219.332 2.548 20 0 BFADHN C[C@@H]1OCC[C@@H]1CN(C)Cc1ccccc1F ZINC000639435000 356214060 /nfs/dbraw/zinc/21/40/60/356214060.db2.gz FFOSVMYQTRGZCR-NWDGAFQWSA-N 0 3 237.318 2.683 20 0 BFADHN CC(=O)CN[C@@H](c1ccccc1)C(C)C ZINC000639439451 356216779 /nfs/dbraw/zinc/21/67/79/356216779.db2.gz FHFNALZNVRRBNP-CYBMUJFWSA-N 0 3 205.301 2.562 20 0 BFADHN C[C@@H]1OCC[C@H]1CN1CCc2sccc2C1 ZINC000639443161 356220135 /nfs/dbraw/zinc/22/01/35/356220135.db2.gz XJAYGVYRGCFEKU-QWRGUYRKSA-N 0 3 237.368 2.531 20 0 BFADHN COC[C@H](C)CN1CCc2sccc2[C@H]1C ZINC000526114387 356226121 /nfs/dbraw/zinc/22/61/21/356226121.db2.gz JAZAHBYUBRVDGQ-GHMZBOCLSA-N 0 3 239.384 2.950 20 0 BFADHN CC[C@@H](C)CCNC(=O)[C@H](CC)N(CC)CC ZINC000617636449 356230390 /nfs/dbraw/zinc/23/03/90/356230390.db2.gz BUYPKBYXTDGOCH-OLZOCXBDSA-N 0 3 242.407 2.659 20 0 BFADHN CC(=O)CN(CCc1ccccc1)CC(C)C ZINC000639461819 356250317 /nfs/dbraw/zinc/25/03/17/356250317.db2.gz WNQWXBFOWHXHCL-UHFFFAOYSA-N 0 3 233.355 2.776 20 0 BFADHN Cc1cc(CN2CC[C@]3(CC[C@H](C)C3)C2)ncn1 ZINC000336110420 134086455 /nfs/dbraw/zinc/08/64/55/134086455.db2.gz QYPHHSRJJNKFNO-WFASDCNBSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1cnc([C@@H](C)N2CCCC[C@H](C)C2)cn1 ZINC000336115448 134088363 /nfs/dbraw/zinc/08/83/63/134088363.db2.gz LUORABKEEHWEMW-WCQYABFASA-N 0 3 233.359 2.968 20 0 BFADHN CC[C@H](Cc1ccccc1)N(C)CC(C)=O ZINC000639498788 356325094 /nfs/dbraw/zinc/32/50/94/356325094.db2.gz ZENNYDZHURFUDY-CQSZACIVSA-N 0 3 219.328 2.529 20 0 BFADHN CCC(F)(F)CN1CC[C@H](N2CCCCC2)C1 ZINC000639482873 356297100 /nfs/dbraw/zinc/29/71/00/356297100.db2.gz PFTGTNYOBGQYBD-LBPRGKRZSA-N 0 3 246.345 2.592 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1C[C@@H]1CC(C)(C)CO1 ZINC000639492943 356314011 /nfs/dbraw/zinc/31/40/11/356314011.db2.gz MUJNAMLVMSJPNU-WOPDTQHZSA-N 0 3 243.416 2.627 20 0 BFADHN CC[C@H]1CN(C[C@@H]2CC(C)(C)CO2)CCS1 ZINC000639502646 356332448 /nfs/dbraw/zinc/33/24/48/356332448.db2.gz COIPDVZSJYSHCP-RYUDHWBXSA-N 0 3 243.416 2.629 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cnc(C)cn1 ZINC000352391024 356335780 /nfs/dbraw/zinc/33/57/80/356335780.db2.gz IJVUIYPOHSJYER-LBPRGKRZSA-N 0 3 221.348 2.796 20 0 BFADHN CC(=O)CN1CC[C@H](Cc2ccccc2)[C@H]1C ZINC000639509006 356338030 /nfs/dbraw/zinc/33/80/30/356338030.db2.gz WJHYCQWKJBKTLJ-UKRRQHHQSA-N 0 3 231.339 2.529 20 0 BFADHN C[C@@H]1CC2(CCC2)CN1Cc1ccc(CO)o1 ZINC000336136637 134096255 /nfs/dbraw/zinc/09/62/55/134096255.db2.gz HYOWJQGCUXUSGW-LLVKDONJSA-N 0 3 235.327 2.536 20 0 BFADHN C[C@@H]1CC[C@@H](N(C)Cc2cncs2)C1 ZINC000336130483 134094026 /nfs/dbraw/zinc/09/40/26/134094026.db2.gz CNKREZMSKADZKY-NXEZZACHSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@H](C(N)=O)N1CCC[C@H](c2ccccc2)C1 ZINC000336130520 134094037 /nfs/dbraw/zinc/09/40/37/134094037.db2.gz FTYAVUBAOAZPPQ-YPMHNXCESA-N 0 3 232.327 2.790 20 0 BFADHN COC[C@H](C)CN[C@@H]1COc2c1ccc(C)c2C ZINC000526124039 356361280 /nfs/dbraw/zinc/36/12/80/356361280.db2.gz HLJSJGFDXGKQHB-QMTHXVAHSA-N 0 3 249.354 2.609 20 0 BFADHN CC1(C)CO[C@@H](CN2CCSC(C)(C)C2)C1 ZINC000639525871 356373540 /nfs/dbraw/zinc/37/35/40/356373540.db2.gz HJGJZPUZLNHWSL-LLVKDONJSA-N 0 3 243.416 2.629 20 0 BFADHN CCc1nc(C)c(CN2CC[C@H]3CCC[C@@H]32)o1 ZINC000336515648 356383862 /nfs/dbraw/zinc/38/38/62/356383862.db2.gz VKYGSUFEJFVFTD-NEPJUHHUSA-N 0 3 234.343 2.920 20 0 BFADHN CCc1nc(C)c(CN2CC[C@@H]3CCC[C@@H]32)o1 ZINC000336515650 356384219 /nfs/dbraw/zinc/38/42/19/356384219.db2.gz VKYGSUFEJFVFTD-RYUDHWBXSA-N 0 3 234.343 2.920 20 0 BFADHN CCc1nc(C)c(CN2CC[C@H]3CCC[C@H]32)o1 ZINC000336515651 356384280 /nfs/dbraw/zinc/38/42/80/356384280.db2.gz VKYGSUFEJFVFTD-VXGBXAGGSA-N 0 3 234.343 2.920 20 0 BFADHN CCc1cnccc1[C@H](C)NCc1[nH]ncc1C ZINC000352796108 356413052 /nfs/dbraw/zinc/41/30/52/356413052.db2.gz JTWWAZMPVRRZTK-NSHDSACASA-N 0 3 244.342 2.526 20 0 BFADHN Cc1cccc(CN[C@@H]2CO[C@H](C3CC3)C2)c1F ZINC000623754578 356419731 /nfs/dbraw/zinc/41/97/31/356419731.db2.gz PZLWRZUQMXYQMA-KBPBESRZSA-N 0 3 249.329 2.791 20 0 BFADHN CCN1CCN(Cc2ccc(C)cc2)[C@H](C)C1 ZINC000352676918 356402145 /nfs/dbraw/zinc/40/21/45/356402145.db2.gz VFFSHANLBZQZFB-CQSZACIVSA-N 0 3 232.371 2.521 20 0 BFADHN CC1(C)CN(Cc2coc3ccccc23)C[C@@H]1O ZINC000336606111 356406024 /nfs/dbraw/zinc/40/60/24/356406024.db2.gz BPWHSJLOZCCSGC-AWEZNQCLSA-N 0 3 245.322 2.636 20 0 BFADHN CSCC[C@H](C)N(C)CC1(F)CC1 ZINC000379359248 356450983 /nfs/dbraw/zinc/45/09/83/356450983.db2.gz XUEBKMFSCCFWAI-VIFPVBQESA-N 0 3 205.342 2.562 20 0 BFADHN Cc1cc(CN[C@@H]2CO[C@@H](C3CC3)C2)cs1 ZINC000623755087 356452991 /nfs/dbraw/zinc/45/29/91/356452991.db2.gz ZDDKXAPZKSAWFO-QWHCGFSZSA-N 0 3 237.368 2.714 20 0 BFADHN C[C@@H]1OCC[C@H]1CN1CCc2cccc(F)c2C1 ZINC000639554996 356460348 /nfs/dbraw/zinc/46/03/48/356460348.db2.gz QPZJCTMOTCNUTB-AAEUAGOBSA-N 0 3 249.329 2.609 20 0 BFADHN CCN(Cc1cccc(C(F)F)c1)C[C@H](C)O ZINC000352229272 134110538 /nfs/dbraw/zinc/11/05/38/134110538.db2.gz DOFDMCIHAZMLTO-JTQLQIEISA-N 0 3 243.297 2.827 20 0 BFADHN CC(=O)CN[C@H](c1ccccc1C)C(C)C ZINC000639565036 356482311 /nfs/dbraw/zinc/48/23/11/356482311.db2.gz LPOHVCCVSWLFON-AWEZNQCLSA-N 0 3 219.328 2.871 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H](C)C(C)(C)O ZINC000087817339 491092615 /nfs/dbraw/zinc/09/26/15/491092615.db2.gz STRVPHIQZIESNH-QWRGUYRKSA-N 0 3 237.343 2.505 20 0 BFADHN CN(C[C@@H]1CCCCO1)[C@@H]1C=CCCC1 ZINC000336166610 134113538 /nfs/dbraw/zinc/11/35/38/134113538.db2.gz QESIRGNRJSXEJL-OLZOCXBDSA-N 0 3 209.333 2.596 20 0 BFADHN CC1(C)CC[C@H](CN2CCC(C(F)F)CC2)O1 ZINC000334150815 356476223 /nfs/dbraw/zinc/47/62/23/356476223.db2.gz NSENMXXIWMPMQB-LLVKDONJSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H](NC[C@H]1C[C@@H](O)C1)c1ccccc1Cl ZINC000420922255 192272454 /nfs/dbraw/zinc/27/24/54/192272454.db2.gz NORPWTHALABMKO-MXWKQRLJSA-N 0 3 239.746 2.762 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H](O)C1)c1cc2ccccc2o1 ZINC000420922235 192272864 /nfs/dbraw/zinc/27/28/64/192272864.db2.gz NMCGRLKQUBLZRB-GMXVVIOVSA-N 0 3 245.322 2.854 20 0 BFADHN COC[C@@H](NCc1c(C)cc(C)nc1C)C1CC1 ZINC000639568543 356493955 /nfs/dbraw/zinc/49/39/55/356493955.db2.gz AUZWJGIGHFXFNX-OAHLLOKOSA-N 0 3 248.370 2.521 20 0 BFADHN c1cc(CN2CC=CCC2)c2c(c1)COCC2 ZINC000639571159 356495643 /nfs/dbraw/zinc/49/56/43/356495643.db2.gz DYJGTPYNDLTYSA-UHFFFAOYSA-N 0 3 229.323 2.521 20 0 BFADHN CC[C@H](F)CN1CCN(C)CC12CCCCC2 ZINC000336168968 134114328 /nfs/dbraw/zinc/11/43/28/134114328.db2.gz BKHXBBLREARPRI-ZDUSSCGKSA-N 0 3 242.382 2.685 20 0 BFADHN CO[C@H](C)CN1CCC[C@H](CC(F)(F)F)C1 ZINC000420954341 192277592 /nfs/dbraw/zinc/27/75/92/192277592.db2.gz UMDMXZFWEFFWPL-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN CC[C@H]1CN(CC2CC(F)(F)C2)C[C@H](CC)O1 ZINC000420950647 192278628 /nfs/dbraw/zinc/27/86/28/192278628.db2.gz GMQFYZYLGVJBLW-RYUDHWBXSA-N 0 3 247.329 2.921 20 0 BFADHN Cc1cc(CN2CC[C@@H](C3CCCC3)C2)nn1C ZINC000420967195 192285705 /nfs/dbraw/zinc/28/57/05/192285705.db2.gz XSYUWHHHEISVND-CQSZACIVSA-N 0 3 247.386 2.741 20 0 BFADHN CC[C@@H]1CN(CCCCCOC)C[C@@H](CC)O1 ZINC000420947508 192275273 /nfs/dbraw/zinc/27/52/73/192275273.db2.gz CUBDQHDGZNLGIR-ZIAGYGMSSA-N 0 3 243.391 2.693 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2ccon2)C1 ZINC000088052773 491094166 /nfs/dbraw/zinc/09/41/66/491094166.db2.gz RMIYRIHVGMXLKW-GHMZBOCLSA-N 0 3 208.305 2.591 20 0 BFADHN CC[C@@H]1CN(CCCCCOC)C[C@H](CC)O1 ZINC000420947504 192275813 /nfs/dbraw/zinc/27/58/13/192275813.db2.gz CUBDQHDGZNLGIR-OKILXGFUSA-N 0 3 243.391 2.693 20 0 BFADHN C1=C(CN2CCN(C3CCC3)CC2)CCCC1 ZINC000336185926 134121349 /nfs/dbraw/zinc/12/13/49/134121349.db2.gz FLFICIMIATYMNA-UHFFFAOYSA-N 0 3 234.387 2.657 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H](O)C1)c1ccccc1Cl ZINC000420937137 192289383 /nfs/dbraw/zinc/28/93/83/192289383.db2.gz NORPWTHALABMKO-GARJFASQSA-N 0 3 239.746 2.762 20 0 BFADHN CC(C)(C)C[C@@H]1CCN(Cc2cc[nH]n2)C1 ZINC000449771084 202030014 /nfs/dbraw/zinc/03/00/14/202030014.db2.gz FCFGCFBHCPBTAG-NSHDSACASA-N 0 3 221.348 2.668 20 0 BFADHN FC(F)(F)[C@H]1CCCN(CC2=CCCOC2)C1 ZINC000179623288 366276128 /nfs/dbraw/zinc/27/61/28/366276128.db2.gz SCPKUXJTCLITEX-NSHDSACASA-N 0 3 249.276 2.607 20 0 BFADHN CC(C)(CO)CNCc1ccc(F)c(Cl)c1 ZINC000088170689 491095168 /nfs/dbraw/zinc/09/51/68/491095168.db2.gz QDDYLIOWLARXBJ-UHFFFAOYSA-N 0 3 245.725 2.587 20 0 BFADHN CCn1nccc1CN1CCC[C@H](C)CC1 ZINC000179653111 366280938 /nfs/dbraw/zinc/28/09/38/366280938.db2.gz GIRRCVDREGWMNW-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN CC(C)N(Cc1ccnn1C)C1CC(C)(C)C1 ZINC000449784849 202034151 /nfs/dbraw/zinc/03/41/51/202034151.db2.gz YTKYLWBZCIYRCA-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN FC1(F)CCC[C@H](CN2CC[C@@]23CCOC3)C1 ZINC000421009547 192299545 /nfs/dbraw/zinc/29/95/45/192299545.db2.gz BQZXWKRNVDOETC-RYUDHWBXSA-N 0 3 245.313 2.677 20 0 BFADHN COC[C@@H]1CCCN(Cc2ccc(C)cn2)CC1 ZINC000449782113 202035291 /nfs/dbraw/zinc/03/52/91/202035291.db2.gz YQZIXHMZODLXON-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCC[C@@](C)(O)C1 ZINC000179713346 366290428 /nfs/dbraw/zinc/29/04/28/366290428.db2.gz AOUFALLJJNSWDN-SMDDNHRTSA-N 0 3 237.318 2.734 20 0 BFADHN COC[C@H]1CCCN(Cc2ncccc2C)CC1 ZINC000449775916 202031440 /nfs/dbraw/zinc/03/14/40/202031440.db2.gz NJXZEPMFPLRGEH-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN FC1(F)CCC(CN2CC[C@]23CCOC3)CC1 ZINC000421020898 192306335 /nfs/dbraw/zinc/30/63/35/192306335.db2.gz NZQLLVDKDAPUPK-GFCCVEGCSA-N 0 3 245.313 2.677 20 0 BFADHN C(=C/c1ccccc1)\CCN1CC[C@@]12CCOC2 ZINC000421027034 192307168 /nfs/dbraw/zinc/30/71/68/192307168.db2.gz UEHRMULAYNNQDO-YCOJEUHLSA-N 0 3 243.350 2.955 20 0 BFADHN C(=C/c1ccccc1)\CCN1CC[C@]12CCOC2 ZINC000421027032 192308500 /nfs/dbraw/zinc/30/85/00/192308500.db2.gz UEHRMULAYNNQDO-KZJSRBBCSA-N 0 3 243.350 2.955 20 0 BFADHN CC(C)(C)CCCN1CC[C@]12CCOC2 ZINC000421030081 192308804 /nfs/dbraw/zinc/30/88/04/192308804.db2.gz YAXLEWVGVRCNJC-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN Cc1ccc(C)c(CN2CC[C@@]23CCOC3)c1 ZINC000421027359 192310197 /nfs/dbraw/zinc/31/01/97/192310197.db2.gz UQDWHUXLKPILKK-HNNXBMFYSA-N 0 3 231.339 2.668 20 0 BFADHN CCC(F)(F)CN1CC[C@H](N2CCCC2)[C@H]1C ZINC000639649221 356560618 /nfs/dbraw/zinc/56/06/18/356560618.db2.gz XNYWIHUONFYLQE-NEPJUHHUSA-N 0 3 246.345 2.590 20 0 BFADHN CCN(Cc1c(C)cc(C)nc1C)[C@@H]1CCOC1 ZINC000639649891 356562212 /nfs/dbraw/zinc/56/22/12/356562212.db2.gz DEYHSYSYXKQZKS-CQSZACIVSA-N 0 3 248.370 2.618 20 0 BFADHN c1ccc2c(c1)C=C(CN1CC[C@@H]1C1CC1)CO2 ZINC000336216189 134137587 /nfs/dbraw/zinc/13/75/87/134137587.db2.gz HXMURFAGUKIPAZ-OAHLLOKOSA-N 0 3 241.334 2.947 20 0 BFADHN c1cncc(CN[C@@H](C2CCC2)[C@@H]2CCCO2)c1 ZINC000631254727 356582446 /nfs/dbraw/zinc/58/24/46/356582446.db2.gz QCBWPSBRWUCGLZ-GJZGRUSLSA-N 0 3 246.354 2.519 20 0 BFADHN CC(C)CCN1CCOc2ccccc2C1 ZINC000613564866 363493202 /nfs/dbraw/zinc/49/32/02/363493202.db2.gz IQWCOPKBGDKQBZ-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN Fc1cc(F)cc(CN[C@@H]2CCCSC2)c1 ZINC000162837092 202078155 /nfs/dbraw/zinc/07/81/55/202078155.db2.gz HNPXBJDTVIRYRS-GFCCVEGCSA-N 0 3 243.322 2.950 20 0 BFADHN CC(C)OCCN1CCC[C@@H](c2cccnc2)C1 ZINC000639697060 356605143 /nfs/dbraw/zinc/60/51/43/356605143.db2.gz VLDKEVLMHDUJRQ-OAHLLOKOSA-N 0 3 248.370 2.686 20 0 BFADHN COc1ccsc1[C@H](C)N[C@@H](C)C(C)(C)O ZINC000381356689 538467395 /nfs/dbraw/zinc/46/73/95/538467395.db2.gz DJQUTUJTKXLXRQ-IUCAKERBSA-N 0 3 243.372 2.567 20 0 BFADHN CCc1cc(N2CCC[C@H](C)C2)ccn1 ZINC000450209721 202096000 /nfs/dbraw/zinc/09/60/00/202096000.db2.gz XRMGMMGJXHHMBU-NSHDSACASA-N 0 3 204.317 2.880 20 0 BFADHN COC(=O)c1ccccc1CN1C[C@@H](C)C[C@@H]1C ZINC000162909171 202096040 /nfs/dbraw/zinc/09/60/40/202096040.db2.gz JQVDZWWTVBHIOM-RYUDHWBXSA-N 0 3 247.338 2.704 20 0 BFADHN CCC[C@@H](O)CN(C)Cc1ccc(CC)cc1 ZINC000450237884 202103671 /nfs/dbraw/zinc/10/36/71/202103671.db2.gz RDPPCRPTNPQKJP-OAHLLOKOSA-N 0 3 235.371 2.842 20 0 BFADHN CC(C)N(CC[C@@H](C)O)Cc1ccccc1F ZINC000450303205 202122625 /nfs/dbraw/zinc/12/26/25/202122625.db2.gz MNHLUFVDWRRIKC-GFCCVEGCSA-N 0 3 239.334 2.807 20 0 BFADHN CCC[C@@H](O)CN(CC)Cc1cccc(F)c1 ZINC000450248527 202108399 /nfs/dbraw/zinc/10/83/99/202108399.db2.gz SCHNBTXHRHEAGP-CQSZACIVSA-N 0 3 239.334 2.809 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1C[C@@H]1CCC(F)(F)C1 ZINC000450262689 202113748 /nfs/dbraw/zinc/11/37/48/202113748.db2.gz UMPNYTWIDBHLHH-IJLUTSLNSA-N 0 3 247.329 2.921 20 0 BFADHN CCc1cc(N(C)Cc2cscn2)ccn1 ZINC000450278310 202115950 /nfs/dbraw/zinc/11/59/50/202115950.db2.gz RLVOVYYJRQHUTB-UHFFFAOYSA-N 0 3 233.340 2.737 20 0 BFADHN Cc1cc(C)cc(CNCc2ccc[nH]c2=O)c1 ZINC000623777006 363548603 /nfs/dbraw/zinc/54/86/03/363548603.db2.gz KRFYCLXKKZPBIQ-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN FC1(F)CC[C@H](CN2CCO[C@@H]3CCC[C@H]32)C1 ZINC000450283390 202119680 /nfs/dbraw/zinc/11/96/80/202119680.db2.gz JPCZVABCWBOATK-QJPTWQEYSA-N 0 3 245.313 2.675 20 0 BFADHN CCc1cc(N(C)C[C@@H]2CCCOC2)ccn1 ZINC000450322714 202126645 /nfs/dbraw/zinc/12/66/45/202126645.db2.gz BACZNYQHBIKZEJ-LBPRGKRZSA-N 0 3 234.343 2.507 20 0 BFADHN CCN(Cc1cc(C)c(O)c(C)c1)[C@@H]1CCOC1 ZINC000336787238 356661519 /nfs/dbraw/zinc/66/15/19/356661519.db2.gz DNXCBCHJGUZAIZ-CQSZACIVSA-N 0 3 249.354 2.620 20 0 BFADHN CCCCN(CCOC)c1ccnc(CC)c1 ZINC000450325363 202130659 /nfs/dbraw/zinc/13/06/59/202130659.db2.gz CWWXEXHDTCUFOJ-UHFFFAOYSA-N 0 3 236.359 2.897 20 0 BFADHN CCc1cc(N2C[C@@H](CC)O[C@@H](C)C2)ccn1 ZINC000450335934 202131214 /nfs/dbraw/zinc/13/12/14/202131214.db2.gz NBVJJEBXEOHULW-SMDDNHRTSA-N 0 3 234.343 2.648 20 0 BFADHN CCc1cc(N(C)CC2CCC2)ccn1 ZINC000450336875 202131540 /nfs/dbraw/zinc/13/15/40/202131540.db2.gz SINNWNMEIURQTI-UHFFFAOYSA-N 0 3 204.317 2.880 20 0 BFADHN Fc1ccc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)nc1 ZINC000628377765 356651939 /nfs/dbraw/zinc/65/19/39/356651939.db2.gz IOKZECDJFUSAKE-UTUOFQBUSA-N 0 3 234.318 2.747 20 0 BFADHN CC[C@H](COC)NCc1scnc1C1CC1 ZINC000309473916 491100292 /nfs/dbraw/zinc/10/02/92/491100292.db2.gz RJDQOPMUKANILL-SNVBAGLBSA-N 0 3 240.372 2.535 20 0 BFADHN CCc1cc(N2C[C@H](C)C[C@H]2C)ccn1 ZINC000450352655 202141201 /nfs/dbraw/zinc/14/12/01/202141201.db2.gz WAXAHAOURGOFFE-GHMZBOCLSA-N 0 3 204.317 2.879 20 0 BFADHN C[C@@H](O)[C@@H](NCC(C)(C)C)c1ccccc1F ZINC000582245996 356674932 /nfs/dbraw/zinc/67/49/32/356674932.db2.gz HJYCTAGFEAXEAD-ZWNOBZJWSA-N 0 3 239.334 2.883 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](C)O[C@H]1C)c1cccc(C#N)c1 ZINC000384563466 356665000 /nfs/dbraw/zinc/66/50/00/356665000.db2.gz ICPHWYLJVVSQPW-FJJYHAOUSA-N 0 3 244.338 2.775 20 0 BFADHN CC(C)c1ccccc1CN1CCC(O)CC1 ZINC000675075113 538508152 /nfs/dbraw/zinc/50/81/52/538508152.db2.gz GXIYSMIUVPNVQW-UHFFFAOYSA-N 0 3 233.355 2.767 20 0 BFADHN CCc1cc(N2CCSC[C@H]2C)ccn1 ZINC000450348177 202137596 /nfs/dbraw/zinc/13/75/96/202137596.db2.gz REELOBPQCKXDMZ-SNVBAGLBSA-N 0 3 222.357 2.586 20 0 BFADHN C[C@@H](NCCCc1cccs1)c1ccncn1 ZINC000583675508 356686223 /nfs/dbraw/zinc/68/62/23/356686223.db2.gz NGYHXIBFMMVAEX-LLVKDONJSA-N 0 3 247.367 2.822 20 0 BFADHN CCc1ccc2nccc(N(C)[C@H](C)CO)c2c1 ZINC000450403613 202155205 /nfs/dbraw/zinc/15/52/05/202155205.db2.gz LFZKWSPFOIUQKM-LLVKDONJSA-N 0 3 244.338 2.614 20 0 BFADHN CC[C@@H]1COCCN1C[C@@H]1CCCCC1(F)F ZINC000450407441 202156881 /nfs/dbraw/zinc/15/68/81/202156881.db2.gz HUVFAZBHNOTXLM-NWDGAFQWSA-N 0 3 247.329 2.923 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H](NCc2ccon2)C1 ZINC000230995895 491101397 /nfs/dbraw/zinc/10/13/97/491101397.db2.gz CEYIIYAQBSKDGP-VXGBXAGGSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H]1CSCCN1CC1=CCCCC1 ZINC000450422983 202161976 /nfs/dbraw/zinc/16/19/76/202161976.db2.gz HYCSJNMRHCTOOZ-LLVKDONJSA-N 0 3 211.374 2.924 20 0 BFADHN CCN(Cc1scnc1C)C1CCC1 ZINC000353804043 356719666 /nfs/dbraw/zinc/71/96/66/356719666.db2.gz LZSWYVGAUSPRCX-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN C[C@H]1CSCCN1CC1=CCCCC1 ZINC000450422986 202163761 /nfs/dbraw/zinc/16/37/61/202163761.db2.gz HYCSJNMRHCTOOZ-NSHDSACASA-N 0 3 211.374 2.924 20 0 BFADHN Cc1nn(C)cc1CN(C)[C@H]1CCC[C@H](C)C1 ZINC000180043946 366363046 /nfs/dbraw/zinc/36/30/46/366363046.db2.gz JDDSKHMOXGRCHJ-FZMZJTMJSA-N 0 3 235.375 2.739 20 0 BFADHN CC1(C)CN(Cc2cocn2)[C@H]2CCC[C@@H]21 ZINC000354579241 356740918 /nfs/dbraw/zinc/74/09/18/356740918.db2.gz LXNIIRRQNGSNSL-RYUDHWBXSA-N 0 3 220.316 2.685 20 0 BFADHN CCc1cc(N(C)[C@@H](C)c2cnn(C)c2)ccn1 ZINC000450424419 202161360 /nfs/dbraw/zinc/16/13/60/202161360.db2.gz KOMBGJATODQZKL-NSHDSACASA-N 0 3 244.342 2.575 20 0 BFADHN CC(C)=CCCN1CCC=C(c2cnn(C)c2)C1 ZINC000639796020 356752639 /nfs/dbraw/zinc/75/26/39/356752639.db2.gz PQTNPPHKQHGLBK-UHFFFAOYSA-N 0 3 245.370 2.866 20 0 BFADHN CCN(Cc1ccnn1CC)CC1CCC1 ZINC000355612859 356766538 /nfs/dbraw/zinc/76/65/38/356766538.db2.gz XDFOOPWWKJIOQY-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCN(Cc1ccc(SC)s1)C[C@H](C)O ZINC000356333936 356793970 /nfs/dbraw/zinc/79/39/70/356793970.db2.gz BJCDSZYJFFSXPQ-VIFPVBQESA-N 0 3 245.413 2.673 20 0 BFADHN C[C@H]1N(CC2=CCCCC2)CCOC1(C)C ZINC000450494070 202184428 /nfs/dbraw/zinc/18/44/28/202184428.db2.gz IPQGTOSHLXKGIC-GFCCVEGCSA-N 0 3 223.360 2.986 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H](C)CCOC ZINC000356854823 356807728 /nfs/dbraw/zinc/80/77/28/356807728.db2.gz UXWKQSHSUGBIBX-NEPJUHHUSA-N 0 3 236.359 2.720 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2nccnc2C)C1 ZINC000639827226 356809718 /nfs/dbraw/zinc/80/97/18/356809718.db2.gz KZRDOPNEELGMEX-DGCLKSJQSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1csc([C@H](C)NCCC[C@H](C)CO)n1 ZINC000623925400 356810589 /nfs/dbraw/zinc/81/05/89/356810589.db2.gz DJURJEYEFWAMNJ-ONGXEEELSA-N 0 3 242.388 2.511 20 0 BFADHN CC[C@@H](NCC(=O)c1ccccc1F)C1CC1 ZINC000639828232 356812662 /nfs/dbraw/zinc/81/26/62/356812662.db2.gz MNTBEMPOIHMKEE-CYBMUJFWSA-N 0 3 235.302 2.787 20 0 BFADHN C1=C(CN2CCC[C@@H]3COCC[C@H]32)CCCC1 ZINC000450482107 202179692 /nfs/dbraw/zinc/17/96/92/202179692.db2.gz GAJCJRLCKBIUKR-HUUCEWRRSA-N 0 3 235.371 2.988 20 0 BFADHN CC[C@@]1(C)CCN(CCc2cscn2)C1 ZINC000450483371 202180250 /nfs/dbraw/zinc/18/02/50/202180250.db2.gz JIPHNFMHARRTDU-LBPRGKRZSA-N 0 3 224.373 2.808 20 0 BFADHN CSc1ccc(CN(CCO)C(C)C)s1 ZINC000356062878 356787201 /nfs/dbraw/zinc/78/72/01/356787201.db2.gz VYIVGCMGKXLQHQ-UHFFFAOYSA-N 0 3 245.413 2.673 20 0 BFADHN C1=CCC(CN2CCC[C@@H]2c2ncccn2)C1 ZINC000639863651 356874163 /nfs/dbraw/zinc/87/41/63/356874163.db2.gz AEUMONXODNQBCP-CYBMUJFWSA-N 0 3 229.327 2.580 20 0 BFADHN CC[C@H](C)CN1CCC[C@@H]1c1ncccn1 ZINC000639842726 356837784 /nfs/dbraw/zinc/83/77/84/356837784.db2.gz IRIDVBQHXKHKRT-NWDGAFQWSA-N 0 3 219.332 2.660 20 0 BFADHN CCO[C@@H]1CCCN(Cc2ccc(CC)o2)C1 ZINC000155453552 538715593 /nfs/dbraw/zinc/71/55/93/538715593.db2.gz SLSPFPFUZGVDHB-CYBMUJFWSA-N 0 3 237.343 2.843 20 0 BFADHN CCc1ccc2nccc(NCCF)c2c1 ZINC000450500825 202187064 /nfs/dbraw/zinc/18/70/64/202187064.db2.gz ODUCNPUYTQUHAS-UHFFFAOYSA-N 0 3 218.275 2.601 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1ncc(C)cn1 ZINC000360339879 356905832 /nfs/dbraw/zinc/90/58/32/356905832.db2.gz WAXZHGZBOCOKSC-CHWSQXEVSA-N 0 3 233.359 2.843 20 0 BFADHN CC(C)[C@H]1CC[C@@H]1NCc1nccn1C(F)F ZINC000353504714 538747311 /nfs/dbraw/zinc/74/73/11/538747311.db2.gz SIDZTDFLAXNFAZ-ZJUUUORDSA-N 0 3 243.301 2.802 20 0 BFADHN CCN(Cc1cc(C)cc(C)c1)[C@@H]1CCOC1 ZINC000361237409 356920283 /nfs/dbraw/zinc/92/02/83/356920283.db2.gz KEMIGFHGOBIYRZ-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN CCN(Cc1cccc(C)c1C)[C@H]1CCOC1 ZINC000361236540 356920951 /nfs/dbraw/zinc/92/09/51/356920951.db2.gz FZUWRAYNDNYYHV-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN CCN(Cc1ccc(OC)c(C)c1)[C@H]1CCOC1 ZINC000361248609 356922257 /nfs/dbraw/zinc/92/22/57/356922257.db2.gz DCTSNHSQFMSPMQ-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CC(C)CC[C@H](O)CN1CCS[C@@H](C)[C@H]1C ZINC000361274028 356924577 /nfs/dbraw/zinc/92/45/77/356924577.db2.gz QDFZGCQRSMRCSJ-AGIUHOORSA-N 0 3 245.432 2.609 20 0 BFADHN C[C@H](NC[C@H]1CCc2ccccc21)c1ncc[nH]1 ZINC000353521352 538748850 /nfs/dbraw/zinc/74/88/50/538748850.db2.gz RFWZFORASRUMJQ-WCQYABFASA-N 0 3 241.338 2.790 20 0 BFADHN CCN(Cc1cnoc1C)CC1CCC1 ZINC000361484173 356930128 /nfs/dbraw/zinc/93/01/28/356930128.db2.gz HYHVAXMOKURPCU-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN CCN(Cc1ccc(C)nc1C)C[C@H](C)OC ZINC000361557487 356932508 /nfs/dbraw/zinc/93/25/08/356932508.db2.gz AQRJZCJZHGDWTF-LBPRGKRZSA-N 0 3 236.359 2.555 20 0 BFADHN CCC[C@@H](CNCc1ccc(O)cc1)OCC ZINC000628381874 356937080 /nfs/dbraw/zinc/93/70/80/356937080.db2.gz WUDMDKVBMAUKJG-AWEZNQCLSA-N 0 3 237.343 2.687 20 0 BFADHN COC(=O)c1ccc(CN2C3CCC2CC3)cc1 ZINC000399523646 356968535 /nfs/dbraw/zinc/96/85/35/356968535.db2.gz KVIMNRWIVYDPHB-UHFFFAOYSA-N 0 3 245.322 2.600 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1ccnc(C#N)c1 ZINC000399710405 357022966 /nfs/dbraw/zinc/02/29/66/357022966.db2.gz ARHQGRJTKXZWJQ-SMDDNHRTSA-N 0 3 229.327 2.574 20 0 BFADHN CC[C@H](NCc1ccn(C(C)C)n1)C1CC1 ZINC000390659668 357109960 /nfs/dbraw/zinc/10/99/60/357109960.db2.gz RUYDCEBXTJOVDV-ZDUSSCGKSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cc(CNCCOCC(F)F)c(C)s1 ZINC000231677382 325101895 /nfs/dbraw/zinc/10/18/95/325101895.db2.gz MUQGRRJZUPQMHP-UHFFFAOYSA-N 0 3 249.326 2.736 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1NCc1ncccc1F ZINC000390263531 357088202 /nfs/dbraw/zinc/08/82/02/357088202.db2.gz OTJKYZCMUGHGDX-CMPLNLGQSA-N 0 3 222.307 2.745 20 0 BFADHN Cc1ncncc1[C@@H](C)NC1CC(C)(C)C1 ZINC000582371495 357114465 /nfs/dbraw/zinc/11/44/65/357114465.db2.gz AVDYVAVHQFBGLH-SNVBAGLBSA-N 0 3 219.332 2.624 20 0 BFADHN CC[C@H](NCc1nnc(C)s1)C1CCC1 ZINC000391867690 357135440 /nfs/dbraw/zinc/13/54/40/357135440.db2.gz BWYZVHFSUGPJLH-JTQLQIEISA-N 0 3 225.361 2.515 20 0 BFADHN Fc1cccc(CNCCc2ccco2)c1F ZINC000037980814 357240218 /nfs/dbraw/zinc/24/02/18/357240218.db2.gz FARIYFKYLLISIW-UHFFFAOYSA-N 0 3 237.249 2.890 20 0 BFADHN CCCOc1ccc(CN2C[C@@H]3C[C@@H]3C2)cc1 ZINC000628408147 357205836 /nfs/dbraw/zinc/20/58/36/357205836.db2.gz USQGWVJWWUWQNZ-OKILXGFUSA-N 0 3 231.339 2.927 20 0 BFADHN CC(C)Oc1ccccc1CN1C[C@@H]2C[C@@H]2C1 ZINC000628408056 357205887 /nfs/dbraw/zinc/20/58/87/357205887.db2.gz SEUHKWFQTJXYOJ-OKILXGFUSA-N 0 3 231.339 2.926 20 0 BFADHN CC(C)CCn1cccc1CN1C[C@@H]2C[C@@H]2C1 ZINC000628409037 357208888 /nfs/dbraw/zinc/20/88/88/357208888.db2.gz LAAGEIXEZPLYFZ-OKILXGFUSA-N 0 3 232.371 2.986 20 0 BFADHN FC(F)Oc1cccc(CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000628409116 357209685 /nfs/dbraw/zinc/20/96/85/357209685.db2.gz MFLULHCEYOKSKZ-PHIMTYICSA-N 0 3 239.265 2.740 20 0 BFADHN Fc1ccc(/C=C/CN2C[C@@H]3C[C@@H]3C2)cc1 ZINC000628409441 357210544 /nfs/dbraw/zinc/21/05/44/357210544.db2.gz SATICVRLQAXPJM-DQOPJHAQSA-N 0 3 217.287 2.791 20 0 BFADHN Cc1scc(CN[C@]2(C)CCOC2)c1C ZINC000631102209 357211944 /nfs/dbraw/zinc/21/19/44/357211944.db2.gz TWRKOSAWFIHHDE-GFCCVEGCSA-N 0 3 225.357 2.634 20 0 BFADHN Fc1ccc(/C=C/CN2C[C@@H]3C[C@@H]3C2)cc1F ZINC000628411041 357220021 /nfs/dbraw/zinc/22/00/21/357220021.db2.gz XGLAKDUGCJKWFH-ZRGSSGQVSA-N 0 3 235.277 2.930 20 0 BFADHN CC(C)CN1CCC[C@@H](c2noc(C3CC3)n2)C1 ZINC000377360515 357231627 /nfs/dbraw/zinc/23/16/27/357231627.db2.gz RBXSOCWCXSEOMK-GFCCVEGCSA-N 0 3 249.358 2.782 20 0 BFADHN Cc1cc(CNCC[C@H]2CC=CCC2)on1 ZINC000631182650 357233506 /nfs/dbraw/zinc/23/35/06/357233506.db2.gz UBUJFTYVVYGZSE-LBPRGKRZSA-N 0 3 220.316 2.819 20 0 BFADHN CCO[C@@H]1C[C@@H](NCC(C)(C)F)C1(C)C ZINC000631658877 357177779 /nfs/dbraw/zinc/17/77/79/357177779.db2.gz ODSOMBZJAKTWPT-NXEZZACHSA-N 0 3 217.328 2.528 20 0 BFADHN COc1ccccc1C[C@H](C)NCC(C)(C)F ZINC000631659716 357189336 /nfs/dbraw/zinc/18/93/36/357189336.db2.gz SABCVKAVLQIJOD-NSHDSACASA-N 0 3 239.334 2.964 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@H](C)C[C@H]2C)[nH]c1C ZINC000583704421 357284566 /nfs/dbraw/zinc/28/45/66/357284566.db2.gz GKGGICYHMCXBKM-OPQQBVKSSA-N 0 3 235.375 2.941 20 0 BFADHN CCc1ccc(CN[C@H]2CCC2(C)C)nc1 ZINC000393914368 357306668 /nfs/dbraw/zinc/30/66/68/357306668.db2.gz CRMRRXQXTVUJAA-ZDUSSCGKSA-N 0 3 218.344 2.922 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CC2(C1)CCCO2 ZINC000628427116 357256250 /nfs/dbraw/zinc/25/62/50/357256250.db2.gz HNRJFTVOVBLGAG-CHWSQXEVSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CC3(C2)CCCO3)C1 ZINC000628427638 357257166 /nfs/dbraw/zinc/25/71/66/357257166.db2.gz OTVXINSEKMCMEO-CHWSQXEVSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1C[C@H]1CC(C)(C)CO1 ZINC000639895199 357259047 /nfs/dbraw/zinc/25/90/47/357259047.db2.gz XOWIYLRFARDALA-GHMZBOCLSA-N 0 3 247.329 2.921 20 0 BFADHN CC(C)[C@H](CO)CN[C@@H](C)c1ccccc1F ZINC000394064527 357325633 /nfs/dbraw/zinc/32/56/33/357325633.db2.gz VNAIKJRXGNBHIU-RYUDHWBXSA-N 0 3 239.334 2.741 20 0 BFADHN c1c2cccnc2oc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000584362382 357327812 /nfs/dbraw/zinc/32/78/12/357327812.db2.gz XDAYCDXOOVGJEU-ITGUQSILSA-N 0 3 228.295 2.716 20 0 BFADHN Cc1nc(CNC[C@H]2CCC(F)(F)C2)[nH]c1C ZINC000582617227 357354091 /nfs/dbraw/zinc/35/40/91/357354091.db2.gz NLBLYQYGAUTLQX-JTQLQIEISA-N 0 3 243.301 2.552 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](CCO)C(C)C)o1 ZINC000168378588 134197631 /nfs/dbraw/zinc/19/76/31/134197631.db2.gz IYTXXJXURMRJRI-NWDGAFQWSA-N 0 3 225.332 2.646 20 0 BFADHN CCn1nc(C)c(CN2CCC[C@H](C)C2)c1C ZINC000157686105 538837355 /nfs/dbraw/zinc/83/73/55/538837355.db2.gz UFPMLSIRJNMGCV-NSHDSACASA-N 0 3 235.375 2.752 20 0 BFADHN CC1(C)CC([NH2+]Cc2cc([O-])cc(F)c2)C1 ZINC000395173266 357416146 /nfs/dbraw/zinc/41/61/46/357416146.db2.gz ITBCLHRBEXXOCE-UHFFFAOYSA-N 0 3 223.291 2.810 20 0 BFADHN COc1ccc(CN2CCCC(C)(C)C2)cn1 ZINC000131653189 325173377 /nfs/dbraw/zinc/17/33/77/325173377.db2.gz HLPBEEZZAIWVCP-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccncc1CN[C@H]1CCCC12CC2 ZINC000583035315 357465460 /nfs/dbraw/zinc/46/54/60/357465460.db2.gz ORYTXOQGBXIRQU-ZDUSSCGKSA-N 0 3 232.327 2.513 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2ccoc2)CCO1 ZINC000583123823 357490814 /nfs/dbraw/zinc/49/08/14/357490814.db2.gz OLDHKUUYSVLSBI-RAIGVLPGSA-N 0 3 223.316 2.888 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@@H]2CC[C@H](C)C2)n1 ZINC000230413761 357522293 /nfs/dbraw/zinc/52/22/93/357522293.db2.gz MNDJXWSVPTUOMF-CMPLNLGQSA-N 0 3 234.343 2.621 20 0 BFADHN COC(C)(C)CCNC(C)(C)c1nccs1 ZINC000230416250 357523266 /nfs/dbraw/zinc/52/32/66/357523266.db2.gz ZLDVPGXFPHEGMI-UHFFFAOYSA-N 0 3 242.388 2.783 20 0 BFADHN COc1cc(C)nc(CNC[C@H]2CC[C@@H](C)C2)c1 ZINC000230419559 357524098 /nfs/dbraw/zinc/52/40/98/357524098.db2.gz OUJFBIGDLXVXPL-YPMHNXCESA-N 0 3 248.370 2.924 20 0 BFADHN CCc1ccc(CNCCc2nccs2)o1 ZINC000063133923 357532122 /nfs/dbraw/zinc/53/21/22/357532122.db2.gz ULDLVYQDQOQDOY-UHFFFAOYSA-N 0 3 236.340 2.631 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H]1C)c1nccn1C ZINC000230664703 357546469 /nfs/dbraw/zinc/54/64/69/357546469.db2.gz TWRMBLYPNJRJAX-SRVKXCTJSA-N 0 3 221.348 2.507 20 0 BFADHN Cc1noc(C)c1CNC[C@H]1CCC[C@H]1C ZINC000230663827 357546489 /nfs/dbraw/zinc/54/64/89/357546489.db2.gz UCGMYICBWFQFGB-BXKDBHETSA-N 0 3 222.332 2.817 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1CCC[C@H]1C ZINC000230663823 357546514 /nfs/dbraw/zinc/54/65/14/357546514.db2.gz UCGMYICBWFQFGB-SKDRFNHKSA-N 0 3 222.332 2.817 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H]1C)c1cnccn1 ZINC000230662504 357546774 /nfs/dbraw/zinc/54/67/74/357546774.db2.gz LAULZCOFVFCYOG-SRVKXCTJSA-N 0 3 219.332 2.563 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H]1C)c1cnccn1 ZINC000230662512 357546955 /nfs/dbraw/zinc/54/69/55/357546955.db2.gz LAULZCOFVFCYOG-SDDRHHMPSA-N 0 3 219.332 2.563 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@@H]2CCC[C@H]2C)n1 ZINC000230666958 357547456 /nfs/dbraw/zinc/54/74/56/357547456.db2.gz BDBNNIVSLXIPEQ-PWSUYJOCSA-N 0 3 234.343 2.621 20 0 BFADHN CO[C@@H]1CC[C@H](N[C@@H](C)c2csc(C)n2)C1 ZINC000231691981 357622026 /nfs/dbraw/zinc/62/20/26/357622026.db2.gz XPVATWHKLKHDRZ-INTQDDNPSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1ccccc1CCNCc1cncc(F)c1 ZINC000230938214 357579912 /nfs/dbraw/zinc/57/99/12/357579912.db2.gz XPBYXVMXNSINOH-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN Fc1cncc(CNCC2(C3CC3)CC2)c1 ZINC000230941948 357583869 /nfs/dbraw/zinc/58/38/69/357583869.db2.gz NXWOOVABYAPFOK-UHFFFAOYSA-N 0 3 220.291 2.501 20 0 BFADHN CC(C)OCCCCNCc1cncc(F)c1 ZINC000230942340 357585288 /nfs/dbraw/zinc/58/52/88/357585288.db2.gz OFQDMHOHNPNKKT-UHFFFAOYSA-N 0 3 240.322 2.516 20 0 BFADHN CCn1cc([C@H](C)N[C@@H](C)CC(F)(F)F)cn1 ZINC000231791678 357629601 /nfs/dbraw/zinc/62/96/01/357629601.db2.gz BXSODNBEUNTWKH-IUCAKERBSA-N 0 3 249.280 2.895 20 0 BFADHN OC[C@H]1CCCCN1CCCCC(F)(F)F ZINC000132247748 325207506 /nfs/dbraw/zinc/20/75/06/325207506.db2.gz IXBPZEJOZGRDIE-SNVBAGLBSA-N 0 3 239.281 2.566 20 0 BFADHN Cc1cccc2c1OCC[C@H]2N[C@H]1CCSC1 ZINC000189368577 357634874 /nfs/dbraw/zinc/63/48/74/357634874.db2.gz DORRYLUQPZSPQO-WCQYABFASA-N 0 3 249.379 2.914 20 0 BFADHN Cc1cccc2c1OCC[C@@H]2N[C@@H]1CCO[C@@H]1C ZINC000189464493 357637120 /nfs/dbraw/zinc/63/71/20/357637120.db2.gz NJINAVXXTJKIMX-BNOWGMLFSA-N 0 3 247.338 2.586 20 0 BFADHN CCc1nn(C)cc1CNC1CC(C(C)C)C1 ZINC000234135471 357775548 /nfs/dbraw/zinc/77/55/48/357775548.db2.gz RGHIBPPHBQNQNK-UHFFFAOYSA-N 0 3 235.375 2.507 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CO[C@@H](C)C2)o1 ZINC000583263377 357739914 /nfs/dbraw/zinc/73/99/14/357739914.db2.gz SEXGEYPPWQRTNW-GARJFASQSA-N 0 3 223.316 2.670 20 0 BFADHN CCN(C)c1ccc(CN2CCC[C@@H]2C)cn1 ZINC000191350453 357766383 /nfs/dbraw/zinc/76/63/83/357766383.db2.gz ZMZUIYVUELMMHI-LBPRGKRZSA-N 0 3 233.359 2.522 20 0 BFADHN CCCCN(C)[C@H]1CCc2ccccc2NC1=O ZINC000191871071 357810985 /nfs/dbraw/zinc/81/09/85/357810985.db2.gz QBQLYFHZFLKNGQ-AWEZNQCLSA-N 0 3 246.354 2.672 20 0 BFADHN CSCCCN1CC(C)(C)OCC1(C)C ZINC000610369675 357811256 /nfs/dbraw/zinc/81/12/56/357811256.db2.gz VEKOQJZVOBBELA-UHFFFAOYSA-N 0 3 231.405 2.629 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1Cc1ncccn1 ZINC000192077556 357816989 /nfs/dbraw/zinc/81/69/89/357816989.db2.gz YNKIHFRAIGZCIG-QWHCGFSZSA-N 0 3 233.359 2.877 20 0 BFADHN CC(C)=CCNCc1cnc2cc(C)ccn12 ZINC000191630999 357780171 /nfs/dbraw/zinc/78/01/71/357780171.db2.gz MEJXBAUIGLVZCP-UHFFFAOYSA-N 0 3 229.327 2.699 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H]1CCc2ccc(F)cc21 ZINC000191715614 357790103 /nfs/dbraw/zinc/79/01/03/357790103.db2.gz UKXRKXNAEKKIPK-RBZYPMLTSA-N 0 3 237.318 2.826 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1ncccn1 ZINC000192214665 357834975 /nfs/dbraw/zinc/83/49/75/357834975.db2.gz XJVRMHHZTYLCRW-CHWSQXEVSA-N 0 3 233.359 2.877 20 0 BFADHN COc1ncccc1CNC1CC(C(C)C)C1 ZINC000234903258 357836806 /nfs/dbraw/zinc/83/68/06/357836806.db2.gz WWTKWIOWCBKQMZ-UHFFFAOYSA-N 0 3 234.343 2.614 20 0 BFADHN COCCN([C@H](C)Cc1ccsc1)C1CC1 ZINC000546029183 325260879 /nfs/dbraw/zinc/26/08/79/325260879.db2.gz VBWGWATUKRIPLQ-LLVKDONJSA-N 0 3 239.384 2.790 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@H](C)C1CCCC1 ZINC000192736256 357849928 /nfs/dbraw/zinc/84/99/28/357849928.db2.gz JGYKUSYPZUUZPX-DGCLKSJQSA-N 0 3 239.359 2.593 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@@H](C)C1CCCC1 ZINC000192736241 357850022 /nfs/dbraw/zinc/85/00/22/357850022.db2.gz JGYKUSYPZUUZPX-WCQYABFASA-N 0 3 239.359 2.593 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@H]1CC[C@@H](C)C1 ZINC000235317417 357864964 /nfs/dbraw/zinc/86/49/64/357864964.db2.gz MUSYHULHPLUNHW-WXHSDQCUSA-N 0 3 233.359 2.872 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2cnccn2)CC1 ZINC000235316973 357865275 /nfs/dbraw/zinc/86/52/75/357865275.db2.gz UTKRJJRSMJWQSF-NEPJUHHUSA-N 0 3 219.332 2.535 20 0 BFADHN C[C@H](CN(C)C)N[C@H](C)c1cc(F)ccc1F ZINC000168571005 134227101 /nfs/dbraw/zinc/22/71/01/134227101.db2.gz BUVHFWLZGRPCSH-NXEZZACHSA-N 0 3 242.313 2.566 20 0 BFADHN CC(C)[C@@H](O)CN1CC(C)(C)[C@H]1c1ccco1 ZINC000639930430 357909297 /nfs/dbraw/zinc/90/92/97/357909297.db2.gz WWZXOYMTQFAITK-WCQYABFASA-N 0 3 237.343 2.679 20 0 BFADHN CCc1nocc1CN(CC1CC1)C(C)C ZINC000583744265 357932218 /nfs/dbraw/zinc/93/22/18/357932218.db2.gz YHYWOTNBAFQTAL-UHFFFAOYSA-N 0 3 222.332 2.857 20 0 BFADHN C[C@H](N[C@@H](C)C1CCC1)c1nccs1 ZINC000133356191 325289634 /nfs/dbraw/zinc/28/96/34/325289634.db2.gz VVKAJVVCYQTNGP-IUCAKERBSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@@H](CC1CCCC1)[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000583852741 357975820 /nfs/dbraw/zinc/97/58/20/357975820.db2.gz YOFXSEVOSPADJR-JTQLQIEISA-N 0 3 248.374 2.741 20 0 BFADHN C[C@@H](CC1CCCC1)NCc1nnc(C2CC2)[nH]1 ZINC000583852741 357975822 /nfs/dbraw/zinc/97/58/22/357975822.db2.gz YOFXSEVOSPADJR-JTQLQIEISA-N 0 3 248.374 2.741 20 0 BFADHN FCCC1CCN(CCc2ccncc2)CC1 ZINC000639986924 358026865 /nfs/dbraw/zinc/02/68/65/358026865.db2.gz AQZJXXDSEOKQRD-UHFFFAOYSA-N 0 3 236.334 2.696 20 0 BFADHN CC/C=C/CCN1CCOC[C@H]1C1CCC1 ZINC000584527923 358041215 /nfs/dbraw/zinc/04/12/15/358041215.db2.gz PCSQBOBIEONISR-XGACYXMMSA-N 0 3 223.360 2.844 20 0 BFADHN C[C@H](Cc1ccc(Cl)cc1)NCc1cc[nH]n1 ZINC000036938172 358056421 /nfs/dbraw/zinc/05/64/21/358056421.db2.gz IHJUECGYXHNNSF-SNVBAGLBSA-N 0 3 249.745 2.784 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1ccc(C)cc1OC ZINC000037196283 358060599 /nfs/dbraw/zinc/06/05/99/358060599.db2.gz IGGRJSPTLCMKJK-VXGBXAGGSA-N 0 3 237.343 2.689 20 0 BFADHN COc1cc(C)ccc1[C@@H](C)NC[C@H]1CCCO1 ZINC000037215281 358060715 /nfs/dbraw/zinc/06/07/15/358060715.db2.gz MZFDYZFBWKUGLX-CHWSQXEVSA-N 0 3 249.354 2.833 20 0 BFADHN CC[C@@H](N)C(=O)N[C@@H](c1ccccc1)C(C)(C)C ZINC000037022915 358064438 /nfs/dbraw/zinc/06/44/38/358064438.db2.gz XMVJNYBXACINMU-OLZOCXBDSA-N 0 3 248.370 2.627 20 0 BFADHN CCSCCN1CCS[C@@H](CC)C1 ZINC000076072396 325328837 /nfs/dbraw/zinc/32/88/37/325328837.db2.gz MVYSMKFTVYQJLU-JTQLQIEISA-N 0 3 219.419 2.567 20 0 BFADHN Cc1ccc(NC(=O)CNC(C)C)cc1Cl ZINC000037385997 358078682 /nfs/dbraw/zinc/07/86/82/358078682.db2.gz JXKLXEXLCHDUHN-UHFFFAOYSA-N 0 3 240.734 2.585 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1cc(C)oc1C ZINC000217706484 134250470 /nfs/dbraw/zinc/25/04/70/134250470.db2.gz LSYBPIKQZOVSCS-CMPLNLGQSA-N 0 3 225.332 2.708 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1cnc(C)s1 ZINC000090727095 363597469 /nfs/dbraw/zinc/59/74/69/363597469.db2.gz NHLVRGQDUDRSRC-SFYZADRCSA-N 0 3 230.402 2.854 20 0 BFADHN CC[C@@H](C)N(C)Cc1cn2c(cccc2C)n1 ZINC000170915575 134255520 /nfs/dbraw/zinc/25/55/20/134255520.db2.gz MNCWWDTYVRGQOO-LLVKDONJSA-N 0 3 231.343 2.873 20 0 BFADHN CC(C)N[C@@H](CO)c1ccc(C(F)(F)F)cc1 ZINC000038115735 358115477 /nfs/dbraw/zinc/11/54/77/358115477.db2.gz INKCNVIDZXZXHB-NSHDSACASA-N 0 3 247.260 2.737 20 0 BFADHN CC1(F)CCN(CCc2cscn2)CC1 ZINC000640071252 358158478 /nfs/dbraw/zinc/15/84/78/358158478.db2.gz HECBJTNXRGXFLX-UHFFFAOYSA-N 0 3 228.336 2.510 20 0 BFADHN CC1(C)CC[C@@H](CN2CCC(C)(F)CC2)O1 ZINC000640071382 358161308 /nfs/dbraw/zinc/16/13/08/358161308.db2.gz LIHLLSYIYOXCBH-NSHDSACASA-N 0 3 229.339 2.768 20 0 BFADHN Cc1cccc(CN2CCC(C)(F)CC2)n1 ZINC000640075910 358173149 /nfs/dbraw/zinc/17/31/49/358173149.db2.gz YXXRUDYMDOBMNI-UHFFFAOYSA-N 0 3 222.307 2.714 20 0 BFADHN C1=C(CN2CCOC3(CCC3)C2)CCCC1 ZINC000450639023 202220408 /nfs/dbraw/zinc/22/04/08/202220408.db2.gz JXAXWBCSPMPGRY-UHFFFAOYSA-N 0 3 221.344 2.742 20 0 BFADHN Oc1cccc2c1CCN(C[C@@H]1CCSC1)C2 ZINC000628464074 358182980 /nfs/dbraw/zinc/18/29/80/358182980.db2.gz HQYYNOZADAZENA-NSHDSACASA-N 0 3 249.379 2.503 20 0 BFADHN CCC[C@@H](CCO)N[C@H](C)c1cnc(C)s1 ZINC000631669087 358183217 /nfs/dbraw/zinc/18/32/17/358183217.db2.gz PBRSYFYEBJFQBN-KOLCDFICSA-N 0 3 242.388 2.653 20 0 BFADHN C1=C(CN2CCOC[C@@H]2C2CC2)CCCC1 ZINC000450634968 202219328 /nfs/dbraw/zinc/21/93/28/202219328.db2.gz IKOWOMDQACSMNB-CQSZACIVSA-N 0 3 221.344 2.598 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccccc2F)C[C@H](C)O1 ZINC000584879358 358211650 /nfs/dbraw/zinc/21/16/50/358211650.db2.gz ZXBZJRHQXNGTMC-PJXYFTJBSA-N 0 3 237.318 2.871 20 0 BFADHN CC(C)C[C@H]1COCCN1[C@H]1C=CCCC1 ZINC000172161030 134262159 /nfs/dbraw/zinc/26/21/59/134262159.db2.gz OWQPIZYSJBQNRL-KBPBESRZSA-N 0 3 223.360 2.842 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1cc[nH]n1 ZINC000041014578 358240466 /nfs/dbraw/zinc/24/04/66/358240466.db2.gz CNNPJZKDISJJEH-MNOVXSKESA-N 0 3 209.337 2.714 20 0 BFADHN COC[C@@H](C)NCc1ccccc1C1CC1 ZINC000086896477 491126947 /nfs/dbraw/zinc/12/69/47/491126947.db2.gz GKGJDIWJXLQLAO-LLVKDONJSA-N 0 3 219.328 2.689 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@@H]1CCC1(C)C ZINC000578205097 366389441 /nfs/dbraw/zinc/38/94/41/366389441.db2.gz HFAQBBLUBIPBHF-ZYHUDNBSSA-N 0 3 219.332 2.624 20 0 BFADHN COC1CC(NCc2ccc([C@H]3C[C@H]3C)o2)C1 ZINC000230688829 358409475 /nfs/dbraw/zinc/40/94/75/358409475.db2.gz CZQMRUZYJRTRHR-AXNUBFLRSA-N 0 3 235.327 2.670 20 0 BFADHN CCC[C@H](C)CN1CCOC[C@@H]1C1CC1 ZINC000180426326 366452885 /nfs/dbraw/zinc/45/28/85/366452885.db2.gz PRBKVBBQWBNHIH-WCQYABFASA-N 0 3 211.349 2.533 20 0 BFADHN COC[C@H](C)NCc1ccccc1OC1CCC1 ZINC000174110616 134279165 /nfs/dbraw/zinc/27/91/65/134279165.db2.gz IPVQIMMJTIXLCO-LBPRGKRZSA-N 0 3 249.354 2.742 20 0 BFADHN CC(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000027143756 358438915 /nfs/dbraw/zinc/43/89/15/358438915.db2.gz SKWZGJQIEHIFGP-UHFFFAOYSA-N 0 3 232.327 2.631 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H]2CCSC2)s1 ZINC000306528111 491133427 /nfs/dbraw/zinc/13/34/27/491133427.db2.gz ZPKHDINTQUVMFM-VXNVDRBHSA-N 0 3 228.386 2.608 20 0 BFADHN CCN(CC(=O)N(C(C)C)C(C)C)CC(C)C ZINC000046064541 358569488 /nfs/dbraw/zinc/56/94/88/358569488.db2.gz CPYVVAWWDLMSTK-UHFFFAOYSA-N 0 3 242.407 2.610 20 0 BFADHN CCN(Cc1ccccc1C)C[C@H]1CCCO1 ZINC000048059122 358613439 /nfs/dbraw/zinc/61/34/39/358613439.db2.gz ONOJKPGXVNFORG-OAHLLOKOSA-N 0 3 233.355 2.996 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1N[C@@H]1CCc2cc(F)ccc21 ZINC000129557193 358588082 /nfs/dbraw/zinc/58/80/82/358588082.db2.gz PMYZBBBOVDTQOA-HZSPNIEDSA-N 0 3 249.329 2.562 20 0 BFADHN Cc1ncccc1CN(C)CCC1CCOCC1 ZINC000129687238 358592196 /nfs/dbraw/zinc/59/21/96/358592196.db2.gz FKNXZTXCZSZJJL-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN CCOc1ccccc1[C@@H](C)NCC1(O)CCC1 ZINC000130800951 358646862 /nfs/dbraw/zinc/64/68/62/358646862.db2.gz APBSWDMEOWMTGF-GFCCVEGCSA-N 0 3 249.354 2.651 20 0 BFADHN Cc1cccc(CN2CCN(CC3CC3)CC2)c1 ZINC000048775755 358653998 /nfs/dbraw/zinc/65/39/98/358653998.db2.gz RNVWNHLGDGAVRZ-UHFFFAOYSA-N 0 3 244.382 2.523 20 0 BFADHN Cc1ccc(CN2CCN(CC3CC3)CC2)cc1 ZINC000048775741 358654126 /nfs/dbraw/zinc/65/41/26/358654126.db2.gz SJOOMKLGAKNGMU-UHFFFAOYSA-N 0 3 244.382 2.523 20 0 BFADHN CC[C@@](C)(CO)NCc1coc2ccccc12 ZINC000130999965 358656430 /nfs/dbraw/zinc/65/64/30/358656430.db2.gz NKTOLTAJCMRHJX-AWEZNQCLSA-N 0 3 233.311 2.683 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1ccc(C)c(F)c1 ZINC000131049487 358658837 /nfs/dbraw/zinc/65/88/37/358658837.db2.gz RTAAKAAKCRUDOS-YPMHNXCESA-N 0 3 239.334 2.946 20 0 BFADHN CC(C)Cc1ccc(CN(C)C[C@@H](C)O)cc1 ZINC000131286805 358667927 /nfs/dbraw/zinc/66/79/27/358667927.db2.gz FDDXNOGVDPSMNX-CYBMUJFWSA-N 0 3 235.371 2.698 20 0 BFADHN Clc1ccc2c(c1)[C@@H](N[C@@H]1CCOC1)CC2 ZINC000131328000 358671633 /nfs/dbraw/zinc/67/16/33/358671633.db2.gz FJELATVDTWEGAU-YPMHNXCESA-N 0 3 237.730 2.706 20 0 BFADHN CC[C@@H]1CCCC[N@@H+]1Cc1nc(C)ccc1[O-] ZINC000131533571 358680785 /nfs/dbraw/zinc/68/07/85/358680785.db2.gz WFBOIUBNZQQVPD-GFCCVEGCSA-N 0 3 234.343 2.860 20 0 BFADHN CC[C@@H]1CCCC[N@H+]1Cc1nc(C)ccc1[O-] ZINC000131533571 358680788 /nfs/dbraw/zinc/68/07/88/358680788.db2.gz WFBOIUBNZQQVPD-GFCCVEGCSA-N 0 3 234.343 2.860 20 0 BFADHN C[C@@H](CO)[C@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC000131707778 358691012 /nfs/dbraw/zinc/69/10/12/358691012.db2.gz PHNOFKDRKMSFEO-GUBZILKMSA-N 0 3 243.297 2.632 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1cc(F)cc(F)c1 ZINC000131884125 358698489 /nfs/dbraw/zinc/69/84/89/358698489.db2.gz VSFXKLSZIOPOTF-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@H](C)CNCc1cn2cccc(C)c2n1 ZINC000133570029 358758976 /nfs/dbraw/zinc/75/89/76/358758976.db2.gz MJAYEDPZLWOSAH-NSHDSACASA-N 0 3 231.343 2.778 20 0 BFADHN CCOC(=O)CCN(C)Cc1cc(C)ccc1C ZINC000050067226 358713003 /nfs/dbraw/zinc/71/30/03/358713003.db2.gz OGIGOUCHYBIBIO-UHFFFAOYSA-N 0 3 249.354 2.688 20 0 BFADHN CCOC[C@@H](C)N[C@H]1CCCc2occc21 ZINC000132366522 358720704 /nfs/dbraw/zinc/72/07/04/358720704.db2.gz FQZMVLWZGFPTCK-PWSUYJOCSA-N 0 3 223.316 2.672 20 0 BFADHN C[C@@H](NCCOC1CCCC1)c1cncs1 ZINC000132973432 358741440 /nfs/dbraw/zinc/74/14/40/358741440.db2.gz QVXCNPFLTGLYAG-SNVBAGLBSA-N 0 3 240.372 2.753 20 0 BFADHN Cc1ccoc1CNC[C@@H]1Cc2ccccc2O1 ZINC000135140019 358822509 /nfs/dbraw/zinc/82/25/09/358822509.db2.gz ZXXWOSGBEURBAK-ZDUSSCGKSA-N 0 3 243.306 2.681 20 0 BFADHN Cc1ccc(C)c(CN(C)C[C@@H]2CCCO2)c1 ZINC000052102596 358772860 /nfs/dbraw/zinc/77/28/60/358772860.db2.gz ZJDFQFNPNVNSPW-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN CCCC[C@@H](N)C(=O)N[C@H](C)C1CCCCC1 ZINC000052342583 358780003 /nfs/dbraw/zinc/78/00/03/358780003.db2.gz HNRYOTCBOYOPAK-DGCLKSJQSA-N 0 3 240.391 2.589 20 0 BFADHN COCCN1CCC[C@@H]1c1cccc(F)c1 ZINC000052455164 358782450 /nfs/dbraw/zinc/78/24/50/358782450.db2.gz YGRYMOBNCOFRRN-CYBMUJFWSA-N 0 3 223.291 2.609 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1ccccc1F ZINC000134195774 358783009 /nfs/dbraw/zinc/78/30/09/358783009.db2.gz KLTYNRSKHLLUTH-MNOVXSKESA-N 0 3 225.307 2.637 20 0 BFADHN CS[C@H]1CCCCN(C/C=C/Cl)C1 ZINC000134569250 358796583 /nfs/dbraw/zinc/79/65/83/358796583.db2.gz WIGVXVPULPGOKL-RWCYGVJQSA-N 0 3 219.781 2.956 20 0 BFADHN CC(=O)CN1CC[C@@]1(C)C1CCCCC1 ZINC000640218196 358868433 /nfs/dbraw/zinc/86/84/33/358868433.db2.gz CYLKSEFPLGBHNJ-ZDUSSCGKSA-N 0 3 209.333 2.620 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1ccsc1 ZINC000308632646 491137621 /nfs/dbraw/zinc/13/76/21/491137621.db2.gz ZOYFLVAPBCISHD-DVVUODLYSA-N 0 3 211.330 2.576 20 0 BFADHN COc1ccc(F)cc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000578248789 366470962 /nfs/dbraw/zinc/47/09/62/366470962.db2.gz UCNHYLNQWKVBKM-DABQJJPHSA-N 0 3 235.302 2.722 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1Cc1nccn1C ZINC000135342847 358831996 /nfs/dbraw/zinc/83/19/96/358831996.db2.gz BKMKFLMHKAJCJA-STQMWFEESA-N 0 3 235.375 2.821 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1Cc1nnc(C)o1 ZINC000135338673 358832609 /nfs/dbraw/zinc/83/26/09/358832609.db2.gz JKQXDEJJRMDIPO-JQWIXIFHSA-N 0 3 237.347 2.779 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1nc(C)no1 ZINC000135347142 358832997 /nfs/dbraw/zinc/83/29/97/358832997.db2.gz JNLGEOZKMYQTFI-PWSUYJOCSA-N 0 3 237.347 2.779 20 0 BFADHN CC[C@@H](NCc1c[nH]cn1)c1ccc(C)cc1 ZINC000054764638 358872634 /nfs/dbraw/zinc/87/26/34/358872634.db2.gz INRIYWMFXLAUGB-CQSZACIVSA-N 0 3 229.327 2.959 20 0 BFADHN CC[C@@H](NCc1cnc[nH]1)c1ccc(C)cc1 ZINC000054764638 358872638 /nfs/dbraw/zinc/87/26/38/358872638.db2.gz INRIYWMFXLAUGB-CQSZACIVSA-N 0 3 229.327 2.959 20 0 BFADHN CCC[C@]1(C)CCCN(Cc2cncn2C)C1 ZINC000177175313 134296225 /nfs/dbraw/zinc/29/62/25/134296225.db2.gz FDHSYSYFJBQAQI-CQSZACIVSA-N 0 3 235.375 2.822 20 0 BFADHN CCc1ccc(CN[C@H]2CCCCC[C@@H]2O)o1 ZINC000135611775 358846582 /nfs/dbraw/zinc/84/65/82/358846582.db2.gz UVKIBCRROUQGRZ-KBPBESRZSA-N 0 3 237.343 2.625 20 0 BFADHN C1=CCC(N[C@H]2CCCc3occc32)C1 ZINC000132606661 491137274 /nfs/dbraw/zinc/13/72/74/491137274.db2.gz NJSALKDEUIOXBC-LBPRGKRZSA-N 0 3 203.285 2.965 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1ccnc(C)n1 ZINC000054790418 358873858 /nfs/dbraw/zinc/87/38/58/358873858.db2.gz OFHSDDRFGWSVCG-TZMCWYRMSA-N 0 3 233.359 2.843 20 0 BFADHN C[C@]1(C2CCCCC2)CCN1Cc1cc[nH]n1 ZINC000640217923 358875417 /nfs/dbraw/zinc/87/54/17/358875417.db2.gz BYOGJWMNYOMOBQ-CQSZACIVSA-N 0 3 233.359 2.954 20 0 BFADHN CCCC1(c2noc([C@@H]3CCN(C)C3)n2)CCC1 ZINC000351373328 366518311 /nfs/dbraw/zinc/51/83/11/366518311.db2.gz LHWLVDKNIZAYIH-LLVKDONJSA-N 0 3 249.358 2.711 20 0 BFADHN COC(CN1CCC[C@H]1c1cccs1)OC ZINC000136719512 358885493 /nfs/dbraw/zinc/88/54/93/358885493.db2.gz LQJJGXUJLOZRHU-JTQLQIEISA-N 0 3 241.356 2.504 20 0 BFADHN Cn1cncc1CN1CC[C@@]1(C)C1CCCCC1 ZINC000640219172 358887127 /nfs/dbraw/zinc/88/71/27/358887127.db2.gz KNMPVTVRBQTJQW-HNNXBMFYSA-N 0 3 247.386 2.965 20 0 BFADHN C[Si](C)(C)CN1CCO[C@@H](c2ccco2)C1 ZINC000177688454 134301003 /nfs/dbraw/zinc/30/10/03/134301003.db2.gz LDYRQSABBLXUCN-GFCCVEGCSA-N 0 3 239.391 2.530 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)Nc1ccccc1C ZINC000060575170 358927161 /nfs/dbraw/zinc/92/71/61/358927161.db2.gz JTCUXFJVWZLSJN-GFCCVEGCSA-N 0 3 234.343 2.664 20 0 BFADHN c1cnn(CCN[C@@H]2CCCc3sccc32)c1 ZINC000061328876 358933191 /nfs/dbraw/zinc/93/31/91/358933191.db2.gz ZECWYJCRUBNEKD-GFCCVEGCSA-N 0 3 247.367 2.612 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CCC2(CC2)CC1 ZINC000611177590 358937673 /nfs/dbraw/zinc/93/76/73/358937673.db2.gz NRCBKNQIHPQEJE-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CCC2(CC2)CC1 ZINC000611177688 358937735 /nfs/dbraw/zinc/93/77/35/358937735.db2.gz VXIDPKOWHPEQRJ-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)C1CCCC1 ZINC000069803879 359033623 /nfs/dbraw/zinc/03/36/23/359033623.db2.gz AAEDFPXYYOSMDC-VIFPVBQESA-N 0 3 222.332 2.960 20 0 BFADHN C[C@@H](CC1CC1)NCc1nc2ccccc2n1C ZINC000070100797 359041420 /nfs/dbraw/zinc/04/14/20/359041420.db2.gz XUXBCRXMHKIFRJ-NSHDSACASA-N 0 3 243.354 2.852 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H]2C(C)C)on1 ZINC000308848471 491138913 /nfs/dbraw/zinc/13/89/13/491138913.db2.gz NBWPFUURLVPCSC-NWDGAFQWSA-N 0 3 208.305 2.507 20 0 BFADHN Cn1ccnc1CN[C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000071138697 359072298 /nfs/dbraw/zinc/07/22/98/359072298.db2.gz KLEXBUUJUWAVIU-BFHYXJOUSA-N 0 3 247.386 2.869 20 0 BFADHN Cc1cccc(NC(=O)CN[C@H]2CCC[C@H]2C)c1 ZINC000071207291 359073883 /nfs/dbraw/zinc/07/38/83/359073883.db2.gz YYFHIDDIXYYRMK-OCCSQVGLSA-N 0 3 246.354 2.712 20 0 BFADHN Cn1nccc1CNc1ccnc2ccccc21 ZINC000071334332 359076792 /nfs/dbraw/zinc/07/67/92/359076792.db2.gz SEXOBCIKUZIUQR-UHFFFAOYSA-N 0 3 238.294 2.580 20 0 BFADHN CCOC(=O)[C@H]1CCCCN1CC1CCCC1 ZINC000071951631 359083093 /nfs/dbraw/zinc/08/30/93/359083093.db2.gz SPMDORGJVKLHHN-CYBMUJFWSA-N 0 3 239.359 2.594 20 0 BFADHN CCN(CC)[C@H](C)C(=O)Nc1ccccc1C ZINC000072710414 359088802 /nfs/dbraw/zinc/08/88/02/359088802.db2.gz WHAUEGOOIFHALY-GFCCVEGCSA-N 0 3 234.343 2.664 20 0 BFADHN CC[C@H](N[C@H](C)c1ccccn1)[C@H]1CCCO1 ZINC000246441924 359088904 /nfs/dbraw/zinc/08/89/04/359088904.db2.gz OPSRDUXNMPBJJZ-MBNYWOFBSA-N 0 3 234.343 2.690 20 0 BFADHN CC1(C)CCN(Cc2ccc3c(c2)OCCO3)C1 ZINC000072827255 359090887 /nfs/dbraw/zinc/09/08/87/359090887.db2.gz ORIWZBPJNNAZQQ-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN CC(C)N1CCC(C(=O)c2ccccc2)CC1 ZINC000073387881 359101733 /nfs/dbraw/zinc/10/17/33/359101733.db2.gz MBSQAQVXIJTXGZ-UHFFFAOYSA-N 0 3 231.339 2.990 20 0 BFADHN COc1ccc([C@@H](C)N(C)C[C@@H]2CCCO2)cc1 ZINC000073986616 359110045 /nfs/dbraw/zinc/11/00/45/359110045.db2.gz PTRSATDPKRMKSI-DOMZBBRYSA-N 0 3 249.354 2.867 20 0 BFADHN C[C@@H](CCc1ccco1)N[C@@H](C)c1nccn1C ZINC000070443654 359054031 /nfs/dbraw/zinc/05/40/31/359054031.db2.gz YVQGXWVCHRPOOL-RYUDHWBXSA-N 0 3 247.342 2.685 20 0 BFADHN C[C@@H](NC[C@@H](C)c1ccccc1)c1nccn1C ZINC000070443627 359054316 /nfs/dbraw/zinc/05/43/16/359054316.db2.gz QYMONVUUPPOYIR-CHWSQXEVSA-N 0 3 243.354 2.874 20 0 BFADHN C[C@H](NCCC1=CCCCC1)c1nccn1C ZINC000070443472 359054923 /nfs/dbraw/zinc/05/49/23/359054923.db2.gz ZTPDYIUQVPJVSJ-LBPRGKRZSA-N 0 3 233.359 2.961 20 0 BFADHN COC[C@H](C)N[C@H](c1ccccc1)[C@H]1CCCO1 ZINC000247015722 359184777 /nfs/dbraw/zinc/18/47/77/359184777.db2.gz MOFMRZGYMZHDHP-NWANDNLSSA-N 0 3 249.354 2.531 20 0 BFADHN C[C@H]1CCCN(Cc2ccccn2)[C@H]1C ZINC000247047582 359190458 /nfs/dbraw/zinc/19/04/58/359190458.db2.gz VQMWHLDWAGQMLU-RYUDHWBXSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](C)[C@H](C)C1)c1nccn1C ZINC000247053611 359190959 /nfs/dbraw/zinc/19/09/59/359190959.db2.gz XADXIQRPNFTBHZ-QNWHQSFQSA-N 0 3 235.375 2.895 20 0 BFADHN Fc1cccc(CN2CC[C@H]3OCCC[C@H]3C2)c1 ZINC000246636538 359153523 /nfs/dbraw/zinc/15/35/23/359153523.db2.gz KOQWMPRLIXMVEL-DZGCQCFKSA-N 0 3 249.329 2.827 20 0 BFADHN Fc1ccc(CN2CC[C@@H]3OCCC[C@H]3C2)cc1 ZINC000246774832 359163839 /nfs/dbraw/zinc/16/38/39/359163839.db2.gz QPQUWALGRBFUMI-ZFWWWQNUSA-N 0 3 249.329 2.827 20 0 BFADHN COc1cccc(CN2CC[C@H](C)[C@@H]2C)c1OC ZINC000246855101 359170293 /nfs/dbraw/zinc/17/02/93/359170293.db2.gz SFXRJGAVGJOZLE-RYUDHWBXSA-N 0 3 249.354 2.934 20 0 BFADHN C[C@H]1C[C@@H]2CCCC[C@@H]2N1Cc1nccn1C ZINC000075837539 359226368 /nfs/dbraw/zinc/22/63/68/359226368.db2.gz HBJMFKYZZPHODK-AVGNSLFASA-N 0 3 233.359 2.573 20 0 BFADHN C[C@H]1C[C@H]2CCCC[C@@H]2N1Cc1nccn1C ZINC000075837541 359227232 /nfs/dbraw/zinc/22/72/32/359227232.db2.gz HBJMFKYZZPHODK-XQQFMLRXSA-N 0 3 233.359 2.573 20 0 BFADHN Cc1cccc([C@H]2CCCN2C[C@H]2CCCO2)n1 ZINC000248200824 359259045 /nfs/dbraw/zinc/25/90/45/359259045.db2.gz YREBPXWKIFAYKY-UKRRQHHQSA-N 0 3 246.354 2.706 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)[C@@H]2C)c(OC)c1 ZINC000247255966 359205880 /nfs/dbraw/zinc/20/58/80/359205880.db2.gz XKNQGYNSBKJTQP-NEPJUHHUSA-N 0 3 249.354 2.934 20 0 BFADHN CCn1cncc1CN1CCCC2(CC2)CC1 ZINC000628480255 359317885 /nfs/dbraw/zinc/31/78/85/359317885.db2.gz JCTHLSGFZSVFOC-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN COC[C@@H](C)CN1CC(Cc2cccs2)C1 ZINC000628478694 359313690 /nfs/dbraw/zinc/31/36/90/359313690.db2.gz MHBLJZKUYPXCEK-NSHDSACASA-N 0 3 239.384 2.505 20 0 BFADHN Cc1cccc2nc(CN3CC[C@H](C)[C@H]3C)cn21 ZINC000248343852 359266935 /nfs/dbraw/zinc/26/69/35/359266935.db2.gz KVCVAHRDNPHKJZ-WCQYABFASA-N 0 3 243.354 2.873 20 0 BFADHN COC(=O)c1cccc(CN2CC[C@H](C)[C@@H]2C)c1 ZINC000248342755 359266980 /nfs/dbraw/zinc/26/69/80/359266980.db2.gz JTRRWSOJXMOIDL-RYUDHWBXSA-N 0 3 247.338 2.704 20 0 BFADHN COC(=O)c1cccc(CN2CCC(C)(C)C2)c1 ZINC000076013534 359268924 /nfs/dbraw/zinc/26/89/24/359268924.db2.gz LXGGCVHEBMAPHT-UHFFFAOYSA-N 0 3 247.338 2.705 20 0 BFADHN CO[C@H]1CCCN([C@H]2CCc3ccc(F)cc32)C1 ZINC000248357935 359270227 /nfs/dbraw/zinc/27/02/27/359270227.db2.gz QCXUOSDRJLMLDA-ZFWWWQNUSA-N 0 3 249.329 2.924 20 0 BFADHN CC(C)CC[C@H](NCCCO)c1ccoc1 ZINC000285714948 359325883 /nfs/dbraw/zinc/32/58/83/359325883.db2.gz PSKNZGXRLKOJTC-ZDUSSCGKSA-N 0 3 225.332 2.729 20 0 BFADHN Oc1ccc([C@@H]2CCN(Cc3ccco3)C2)cc1 ZINC000628480425 359328977 /nfs/dbraw/zinc/32/89/77/359328977.db2.gz AWYUSUSNRUSVKC-CYBMUJFWSA-N 0 3 243.306 2.975 20 0 BFADHN C[C@H](N(C)Cc1cnc(N)s1)C(C)(C)C ZINC000086765900 359414218 /nfs/dbraw/zinc/41/42/18/359414218.db2.gz NLIASFFNTXEQQN-QMMMGPOBSA-N 0 3 227.377 2.592 20 0 BFADHN CC[C@@H](N[C@@H](C)C(=O)N(C)C)c1cccc(C)c1 ZINC000286955549 359402977 /nfs/dbraw/zinc/40/29/77/359402977.db2.gz BTAVQDJBIMDVAN-GXTWGEPZSA-N 0 3 248.370 2.512 20 0 BFADHN CCc1ccc(CNCC2(F)CC2)s1 ZINC000379596864 359558474 /nfs/dbraw/zinc/55/84/74/359558474.db2.gz FYXZXNKBNSXGGL-UHFFFAOYSA-N 0 3 213.321 2.902 20 0 BFADHN Cc1c([C@H](C)NCCCF)cnn1C(C)C ZINC000191383278 359559455 /nfs/dbraw/zinc/55/94/55/359559455.db2.gz XRYXGTMZZZHLQX-JTQLQIEISA-N 0 3 227.327 2.783 20 0 BFADHN Cc1c([C@@H](C)NCCCF)cnn1C(C)(C)C ZINC000191383296 359559574 /nfs/dbraw/zinc/55/95/74/359559574.db2.gz PUMIVHOIHQXPQT-SNVBAGLBSA-N 0 3 241.354 2.957 20 0 BFADHN Fc1ccc(CCNC2CSC2)c(Cl)c1 ZINC000308958990 491140994 /nfs/dbraw/zinc/14/09/94/491140994.db2.gz YKYRDEASKVKVJU-UHFFFAOYSA-N 0 3 245.750 2.727 20 0 BFADHN CC(C(=O)Nc1ccsc1)C(F)(F)F ZINC000080350037 359527743 /nfs/dbraw/zinc/52/77/43/359527743.db2.gz PVMROIZFGOSIRJ-YFKPBYRVSA-N 0 3 223.219 2.885 20 0 BFADHN CC(C(=O)Nc1ccccc1)C(F)(F)F ZINC000141346479 359594372 /nfs/dbraw/zinc/59/43/72/359594372.db2.gz AWYDPLFHUNXJRH-SSDOTTSWSA-N 0 3 217.190 2.824 20 0 BFADHN Clc1cc(CN[C@H]2CCSC2)cs1 ZINC000306322822 359608130 /nfs/dbraw/zinc/60/81/30/359608130.db2.gz UXGLIZBOONQVGP-QMMMGPOBSA-N 0 3 233.789 2.997 20 0 BFADHN COCCC[C@H](C)NCc1ccc(Cl)o1 ZINC000309027102 491142538 /nfs/dbraw/zinc/14/25/38/491142538.db2.gz BGJVWBUWFGDCSN-VIFPVBQESA-N 0 3 231.723 2.838 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2n[nH]cc2C)C1 ZINC000628495495 359696714 /nfs/dbraw/zinc/69/67/14/359696714.db2.gz RYQAWPDYNNLCCS-DHCBQETCSA-N 0 3 233.359 2.896 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2n[nH]cc2C)C1 ZINC000628495496 359696264 /nfs/dbraw/zinc/69/62/64/359696264.db2.gz RYQAWPDYNNLCCS-ITDFMYJTSA-N 0 3 233.359 2.896 20 0 BFADHN C[C@@H]1CN(CC2=CCCCC2)[C@H](C)[C@@H](C)O1 ZINC000450821362 202276782 /nfs/dbraw/zinc/27/67/82/202276782.db2.gz AIHOEMJRMKBYOA-JHJVBQTASA-N 0 3 223.360 2.985 20 0 BFADHN CCN(Cc1cc[nH]n1)[C@@H]1CCCC[C@@H]1C ZINC000450811838 202273647 /nfs/dbraw/zinc/27/36/47/202273647.db2.gz QJHWIUFJADOKQG-WCQYABFASA-N 0 3 221.348 2.810 20 0 BFADHN C[C@H]1COC(C)(C)CN1CC1=CCCCC1 ZINC000450842727 202284809 /nfs/dbraw/zinc/28/48/09/202284809.db2.gz JKMISHQQXCIGRE-LBPRGKRZSA-N 0 3 223.360 2.986 20 0 BFADHN O=c1[nH]ccc2c1CN(CC1=CCCCC1)CC2 ZINC000450851085 202287924 /nfs/dbraw/zinc/28/79/24/202287924.db2.gz ZYLPMIDCLOUORK-UHFFFAOYSA-N 0 3 244.338 2.646 20 0 BFADHN c1nnsc1CN(CC1CC1)CC1CCC1 ZINC000450892729 202300538 /nfs/dbraw/zinc/30/05/38/202300538.db2.gz FKSJTLHSRNTHGT-UHFFFAOYSA-N 0 3 237.372 2.550 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccsc2)n[nH]1 ZINC000309096404 491144742 /nfs/dbraw/zinc/14/47/42/491144742.db2.gz DAJOEQQTJVEYDE-VIFPVBQESA-N 0 3 221.329 2.630 20 0 BFADHN CCc1cc(N(C)Cc2cccc(C)n2)ccn1 ZINC000450935663 202313769 /nfs/dbraw/zinc/31/37/69/202313769.db2.gz ZDSLVBGIACRYSY-UHFFFAOYSA-N 0 3 241.338 2.984 20 0 BFADHN CC(C)[C@H]1C[C@H](N[C@@H]2CCC[C@@H]2F)CS1 ZINC000578492362 366579307 /nfs/dbraw/zinc/57/93/07/366579307.db2.gz KBKCCZLAHYSBJM-NNYUYHANSA-N 0 3 231.380 2.997 20 0 BFADHN CC[C@@H](CO)N(C)Cc1ccccc1SC ZINC000451159392 202366836 /nfs/dbraw/zinc/36/68/36/202366836.db2.gz QESHTJFIMQQZTI-LBPRGKRZSA-N 0 3 239.384 2.611 20 0 BFADHN CC(C)N(CCC1CCCC1)C1COC1 ZINC000451118958 202357582 /nfs/dbraw/zinc/35/75/82/202357582.db2.gz CDINUZJNOMBTQI-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1ccc(CCN2CC[C@@H](F)C2)cc1 ZINC000451193372 202376633 /nfs/dbraw/zinc/37/66/33/202376633.db2.gz JOCKHCVLSOOLJZ-CYBMUJFWSA-N 0 3 207.292 2.581 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccsc2)CS1 ZINC000086935952 359891617 /nfs/dbraw/zinc/89/16/17/359891617.db2.gz FATDUKYMQNWVDH-SCZZXKLOSA-N 0 3 213.371 2.732 20 0 BFADHN CCN(CCCCc1ccccc1)C1COC1 ZINC000451287360 202396100 /nfs/dbraw/zinc/39/61/00/202396100.db2.gz QOQYAGGYVKSQOX-UHFFFAOYSA-N 0 3 233.355 2.730 20 0 BFADHN Oc1cccc(CNC[C@H]2CCCCS2)c1 ZINC000087683783 359924048 /nfs/dbraw/zinc/92/40/48/359924048.db2.gz KROPWLUNKWCWQH-CYBMUJFWSA-N 0 3 237.368 2.768 20 0 BFADHN CCN(C[C@@H]1CCCC(F)(F)C1)C1COC1 ZINC000451284839 202392918 /nfs/dbraw/zinc/39/29/18/202392918.db2.gz KBKQBPPFZGRGRQ-SNVBAGLBSA-N 0 3 233.302 2.533 20 0 BFADHN C=Cn1cc(CN(C)[C@H](C)C(C)(C)C)cn1 ZINC000578721446 366630639 /nfs/dbraw/zinc/63/06/39/366630639.db2.gz GPVQXTCZSZJHSO-LLVKDONJSA-N 0 3 221.348 2.850 20 0 BFADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1ccco1 ZINC000088169621 359939547 /nfs/dbraw/zinc/93/95/47/359939547.db2.gz BKKRXYJHQBRXRM-BBBLOLIVSA-N 0 3 211.330 2.824 20 0 BFADHN CCCCN(C(=O)[C@H](N)C(C)C)c1ccccc1 ZINC000088446052 359954516 /nfs/dbraw/zinc/95/45/16/359954516.db2.gz DHSZSPNLOKRBMN-CQSZACIVSA-N 0 3 248.370 2.803 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NC1CSC1)CC2 ZINC000309221741 491146322 /nfs/dbraw/zinc/14/63/22/491146322.db2.gz VJNBJKKOCLKAOO-ZDUSSCGKSA-N 0 3 219.353 2.687 20 0 BFADHN c1c(CN[C@@H]2CC=CCC2)nc2ccccn12 ZINC000088746579 359974478 /nfs/dbraw/zinc/97/44/78/359974478.db2.gz LBCKFQKKNBPIGT-GFCCVEGCSA-N 0 3 227.311 2.533 20 0 BFADHN CC[C@@H](NCc1cc(C)nn1C)c1ccccc1 ZINC000089183724 359997973 /nfs/dbraw/zinc/99/79/73/359997973.db2.gz AOFJWKIVWUOLSU-OAHLLOKOSA-N 0 3 243.354 2.969 20 0 BFADHN CCn1cncc1CNCCC1=CCCCC1 ZINC000090169860 360061579 /nfs/dbraw/zinc/06/15/79/360061579.db2.gz JLXCBBGMWHIIGR-UHFFFAOYSA-N 0 3 233.359 2.883 20 0 BFADHN COC(CN1CCC2(CCCCC2)CC1)OC ZINC000090358807 360070291 /nfs/dbraw/zinc/07/02/91/360070291.db2.gz KMCPIXSDYCSZIX-UHFFFAOYSA-N 0 3 241.375 2.652 20 0 BFADHN Cc1ccc2c(c1)[C@@H](N[C@H](C)C1CC1)CO2 ZINC000090452436 360073332 /nfs/dbraw/zinc/07/33/32/360073332.db2.gz QSSRKOTUHOICTF-MFKMUULPSA-N 0 3 217.312 2.817 20 0 BFADHN C[C@@H]1C[C@@H](Nc2ccc(Cl)cn2)CCN1C ZINC000090824897 360089958 /nfs/dbraw/zinc/08/99/58/360089958.db2.gz VFJVPFACHJMRSL-KOLCDFICSA-N 0 3 239.750 2.630 20 0 BFADHN CC[C@@H](N[C@@H](C)c1cccnc1)[C@H]1CCCO1 ZINC000090858012 360091727 /nfs/dbraw/zinc/09/17/27/360091727.db2.gz BASCHXHFNWWBCU-IACUBPJLSA-N 0 3 234.343 2.690 20 0 BFADHN CC[C@H]1COCCN1Cc1cccc2[nH]ccc21 ZINC000091982062 360141727 /nfs/dbraw/zinc/14/17/27/360141727.db2.gz ARMBOOIVAKLJGQ-ZDUSSCGKSA-N 0 3 244.338 2.779 20 0 BFADHN CCCCOCCN(C)[C@H](C)c1ccncc1 ZINC000091990129 360144462 /nfs/dbraw/zinc/14/44/62/360144462.db2.gz KBBBWUFYGYXWQH-CYBMUJFWSA-N 0 3 236.359 2.891 20 0 BFADHN CC(C)[C@H](NC[C@H]1CCCO1)c1cccnc1 ZINC000091263014 360110287 /nfs/dbraw/zinc/11/02/87/360110287.db2.gz NVDZSEYZECEFML-KGLIPLIRSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)[C@@H](NC[C@H]1CCCCO1)c1cccnc1 ZINC000091308897 360111476 /nfs/dbraw/zinc/11/14/76/360111476.db2.gz XVSFNHSONYYRGV-HUUCEWRRSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cccc2c1OCO2 ZINC000091796230 360131078 /nfs/dbraw/zinc/13/10/78/360131078.db2.gz SVXJYGDWWVEVOL-LLVKDONJSA-N 0 3 235.327 2.893 20 0 BFADHN Cc1noc(C2CCN(CC(C)(C)C)CC2)n1 ZINC000091837334 360131670 /nfs/dbraw/zinc/13/16/70/360131670.db2.gz VMJWGFSNZKSDSP-UHFFFAOYSA-N 0 3 237.347 2.604 20 0 BFADHN CCn1cc(CN(C)[C@H](C)C2(C)CC2)cn1 ZINC000091900366 360135207 /nfs/dbraw/zinc/13/52/07/360135207.db2.gz XFXBMRXGRSUUMM-LLVKDONJSA-N 0 3 221.348 2.523 20 0 BFADHN CCOCCCN1CCc2cc(F)ccc2C1 ZINC000091894419 360135275 /nfs/dbraw/zinc/13/52/75/360135275.db2.gz KNAFDAWJTMWHGV-UHFFFAOYSA-N 0 3 237.318 2.610 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cccc2c1OCO2 ZINC000091937501 360137649 /nfs/dbraw/zinc/13/76/49/360137649.db2.gz OQGLPEKMCDZONH-PHIMTYICSA-N 0 3 233.311 2.788 20 0 BFADHN C[C@@H](NC[C@H]1CCCS1)c1ccc(F)cn1 ZINC000092196550 360156181 /nfs/dbraw/zinc/15/61/81/360156181.db2.gz VIWQBUFCFPRQIH-MWLCHTKSSA-N 0 3 240.347 2.767 20 0 BFADHN CC(=O)CN(Cc1ccccc1)C(C)(C)C ZINC000612911380 360174247 /nfs/dbraw/zinc/17/42/47/360174247.db2.gz MEWAZKVHMUEIEL-UHFFFAOYSA-N 0 3 219.328 2.876 20 0 BFADHN Cc1ccc(CN2CCC([C@@H](C)O)CC2)cc1C ZINC000092970436 360207484 /nfs/dbraw/zinc/20/74/84/360207484.db2.gz GFQIVQWHWNRSQD-CQSZACIVSA-N 0 3 247.382 2.896 20 0 BFADHN CN(Cc1cc[nH]n1)C1CCC(C)(C)CC1 ZINC000093319082 360231335 /nfs/dbraw/zinc/23/13/35/360231335.db2.gz DTQYIDMBWWLVSP-UHFFFAOYSA-N 0 3 221.348 2.810 20 0 BFADHN Cc1cccn2c(CN3CCC(C)(C)C3)cnc12 ZINC000093339892 360234251 /nfs/dbraw/zinc/23/42/51/360234251.db2.gz VQBQIJTXBASDEQ-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cn(C)nc2C)C1 ZINC000093458942 360244534 /nfs/dbraw/zinc/24/45/34/360244534.db2.gz ZMBCKFUJVOHBJG-AWEZNQCLSA-N 0 3 235.375 2.741 20 0 BFADHN CCn1cc(CN(C(C)C)C2CCC2)cn1 ZINC000093608274 360262498 /nfs/dbraw/zinc/26/24/98/360262498.db2.gz KJUMLOKLCCMIBS-UHFFFAOYSA-N 0 3 221.348 2.666 20 0 BFADHN Cc1ncccc1CN(C)CCc1nccs1 ZINC000093844079 360283017 /nfs/dbraw/zinc/28/30/17/360283017.db2.gz LAZQRBGORKNAON-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cnn(CC)c1 ZINC000093844891 360283517 /nfs/dbraw/zinc/28/35/17/360283517.db2.gz ZPQSJNBHCBOLQW-GFCCVEGCSA-N 0 3 223.364 2.771 20 0 BFADHN CC(C)[C@H](CO)N[C@H](C)c1cc(F)cc(F)c1 ZINC000094827843 360321780 /nfs/dbraw/zinc/32/17/80/360321780.db2.gz XYCFPIYAPIOTOM-RNCFNFMXSA-N 0 3 243.297 2.632 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H]2CCCO2)cc1F ZINC000094836597 360322726 /nfs/dbraw/zinc/32/27/26/360322726.db2.gz JSZCLRAIJSXZCW-YPMHNXCESA-N 0 3 237.318 2.964 20 0 BFADHN Cc1ccc(F)cc1CNCC(C)(C)F ZINC000631189371 360409963 /nfs/dbraw/zinc/40/99/63/360409963.db2.gz OIMKJHJZCMHGPZ-UHFFFAOYSA-N 0 3 213.271 2.972 20 0 BFADHN Cn1ccc(CNC(C)(C)CC(F)(F)F)c1 ZINC000309481954 491149438 /nfs/dbraw/zinc/14/94/38/491149438.db2.gz DXNNADKKVCMELQ-UHFFFAOYSA-N 0 3 234.265 2.846 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@H]2CCCC23CC3)n1 ZINC000631191766 360559162 /nfs/dbraw/zinc/55/91/62/360559162.db2.gz HUZPLNPDTHFEGZ-ZDUSSCGKSA-N 0 3 232.327 2.518 20 0 BFADHN CC(C)(F)CNCc1c[nH]nc1-c1ccccc1 ZINC000631192142 360570569 /nfs/dbraw/zinc/57/05/69/360570569.db2.gz VTYPDGJNIYGOFT-UHFFFAOYSA-N 0 3 247.317 2.914 20 0 BFADHN OC[C@H](N[C@@H]1CC[C@@H]1C1CC1)c1ccsc1 ZINC000309516749 491149770 /nfs/dbraw/zinc/14/97/70/491149770.db2.gz RTXUMYOSGCXNCQ-UPJWGTAASA-N 0 3 237.368 2.560 20 0 BFADHN OC[C@H](N[C@H]1CC[C@@H]1C1CC1)c1ccsc1 ZINC000309516487 491149772 /nfs/dbraw/zinc/14/97/72/491149772.db2.gz RTXUMYOSGCXNCQ-AGIUHOORSA-N 0 3 237.368 2.560 20 0 BFADHN C[C@@H](N[C@H]1CCC(F)(F)C1)c1ccccn1 ZINC000309532987 491150701 /nfs/dbraw/zinc/15/07/01/491150701.db2.gz DKGQUQRQPAQTBD-ZJUUUORDSA-N 0 3 226.270 2.920 20 0 BFADHN Cc1cc(CN[C@@H](C)CC2CCC2)on1 ZINC000133331360 491150884 /nfs/dbraw/zinc/15/08/84/491150884.db2.gz CEAPERMQDYRJBI-VIFPVBQESA-N 0 3 208.305 2.651 20 0 BFADHN CCc1cnc(CN[C@@H]2CCC[C@@H]2C)s1 ZINC000152330667 360739215 /nfs/dbraw/zinc/73/92/15/360739215.db2.gz BPFXCRDIVRKMDX-GXSJLCMTSA-N 0 3 224.373 2.984 20 0 BFADHN CO[C@@H](CNCc1ccncc1C)CC(C)C ZINC000578980237 366684046 /nfs/dbraw/zinc/68/40/46/366684046.db2.gz BQJWNNSUWFGOBS-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN CCCN(C)CC(=O)N[C@@H](C)c1ccccc1C ZINC000063762170 360870915 /nfs/dbraw/zinc/87/09/15/360870915.db2.gz IMUMIBQTSXIOQB-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN CCc1ccc([C@H](COC)NC[C@H]2C[C@H]2C)o1 ZINC000488438399 219874133 /nfs/dbraw/zinc/87/41/33/219874133.db2.gz GEOPJFHJUZOYGI-WZRBSPASSA-N 0 3 237.343 2.775 20 0 BFADHN Cc1ccc(CN[C@@H]2CCO[C@@H](C)C2)c(F)c1 ZINC000631105043 360981427 /nfs/dbraw/zinc/98/14/27/360981427.db2.gz JBUGKAMGDQRVCM-WCQYABFASA-N 0 3 237.318 2.791 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1cccnc1 ZINC000453618020 200794266 /nfs/dbraw/zinc/79/42/66/200794266.db2.gz UQIMICIQXOHEFH-ZIAGYGMSSA-N 0 3 218.344 2.996 20 0 BFADHN C[C@@H]1C[C@H]1CN1CC(COc2ccccc2)C1 ZINC000459632909 200839576 /nfs/dbraw/zinc/83/95/76/200839576.db2.gz OKNPFKZQVDDSAC-OCCSQVGLSA-N 0 3 231.339 2.653 20 0 BFADHN C[C@H](CN(C)Cc1cn(C)cn1)C(C)(C)C ZINC000640347447 361064839 /nfs/dbraw/zinc/06/48/39/361064839.db2.gz GYTGWNHUSCRCBI-LLVKDONJSA-N 0 3 223.364 2.534 20 0 BFADHN CCCNC(=O)[C@@H](C)N(C)C[C@@H](C)C(C)(C)C ZINC000640351596 361081746 /nfs/dbraw/zinc/08/17/46/361081746.db2.gz VYNDNWNAHDCGOH-VXGBXAGGSA-N 0 3 242.407 2.515 20 0 BFADHN CC[C@@]1(NCc2ccc(C)cc2F)CCOC1 ZINC000631122446 361112108 /nfs/dbraw/zinc/11/21/08/361112108.db2.gz ZFVKPEPUFPNANB-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN CC[C@]1(NCc2ccc(C)cc2F)CCOC1 ZINC000631122445 361112185 /nfs/dbraw/zinc/11/21/85/361112185.db2.gz ZFVKPEPUFPNANB-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1ccccc1N(C)C ZINC000424322516 200910415 /nfs/dbraw/zinc/91/04/15/200910415.db2.gz LANFOMXAYHEJLF-SMDDNHRTSA-N 0 3 230.355 2.956 20 0 BFADHN COc1cncc(CNC2CC3(CCC3)C2)c1C ZINC000631168536 361155318 /nfs/dbraw/zinc/15/53/18/361155318.db2.gz LCGBFLOSUDFBPH-UHFFFAOYSA-N 0 3 246.354 2.821 20 0 BFADHN CCN(Cc1cccc2c1OCO2)CC(C)C ZINC000171040016 361225007 /nfs/dbraw/zinc/22/50/07/361225007.db2.gz ZHOSXUYJPVGQIL-UHFFFAOYSA-N 0 3 235.327 2.893 20 0 BFADHN CS[C@H](C)CNCc1ccc(Cl)o1 ZINC000159311491 361229680 /nfs/dbraw/zinc/22/96/80/361229680.db2.gz IKZHYMQUFJLPRJ-SSDOTTSWSA-N 0 3 219.737 2.774 20 0 BFADHN CC(C)=CCN1CCC[C@H](c2ccncn2)C1 ZINC000613209890 361240753 /nfs/dbraw/zinc/24/07/53/361240753.db2.gz LNKJMDCILWVXMO-ZDUSSCGKSA-N 0 3 231.343 2.622 20 0 BFADHN C[C@H](C[C@@H]1CCOC1)N[C@@H](C)c1cscn1 ZINC000309744050 491155780 /nfs/dbraw/zinc/15/57/80/491155780.db2.gz IOUBPOUYOSYMBW-VWYCJHECSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@@H]1CC[C@H]1N[C@H](c1nc[nH]n1)C1CCCCC1 ZINC000579069103 366703805 /nfs/dbraw/zinc/70/38/05/366703805.db2.gz CTTKXYPZUHUVMR-RTXFEEFZSA-N 0 3 248.374 2.814 20 0 BFADHN CC(C)n1ccc(CN[C@H]2CC[C@@H]2C2CC2)n1 ZINC000579145766 366725395 /nfs/dbraw/zinc/72/53/95/366725395.db2.gz PHBKREOOZNUABJ-KGLIPLIRSA-N 0 3 233.359 2.742 20 0 BFADHN Cn1ccnc1[C@@H](N[C@@H]1CCC[C@H]2C[C@H]21)C1CC1 ZINC000579145185 366725615 /nfs/dbraw/zinc/72/56/15/366725615.db2.gz UBUVKCDXOPTNSR-DGAVXFQQSA-N 0 3 245.370 2.649 20 0 BFADHN CC(C)n1ccc(CN[C@@H]2CC[C@@H]2C2CC2)n1 ZINC000579145768 366725635 /nfs/dbraw/zinc/72/56/35/366725635.db2.gz PHBKREOOZNUABJ-ZIAGYGMSSA-N 0 3 233.359 2.742 20 0 BFADHN CCOC[C@H](C)NCc1cccc(CC)c1 ZINC000579117618 366714592 /nfs/dbraw/zinc/71/45/92/366714592.db2.gz NFPOWKBEYTVIOP-LBPRGKRZSA-N 0 3 221.344 2.764 20 0 BFADHN CN(C)Cc1cccc(NC(=O)CC2(C)CC2)c1 ZINC000428183977 201002294 /nfs/dbraw/zinc/00/22/94/201002294.db2.gz INOGOBQSMAKWSD-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN Cc1ccoc1CN1CCC[C@@H](OCC2CC2)C1 ZINC000428402044 201012358 /nfs/dbraw/zinc/01/23/58/201012358.db2.gz MQFNRGWRMUROCY-CQSZACIVSA-N 0 3 249.354 2.979 20 0 BFADHN CCC(C)(C)N(C)Cc1n[nH]c(C(C)C)n1 ZINC000428400520 201013438 /nfs/dbraw/zinc/01/34/38/201013438.db2.gz LLRNNUYHFDLJHU-UHFFFAOYSA-N 0 3 224.352 2.549 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cn2ccsc2n1 ZINC000171079890 361325467 /nfs/dbraw/zinc/32/54/67/361325467.db2.gz SHXDNLXRZVQQLI-SECBINFHSA-N 0 3 235.356 2.626 20 0 BFADHN CC(C)Cn1cc(CN2CC[C@H]3CCC[C@@H]32)cn1 ZINC000428454604 201019640 /nfs/dbraw/zinc/01/96/40/201019640.db2.gz ILGOCFMDYKKTKT-CABCVRRESA-N 0 3 247.386 2.914 20 0 BFADHN CCCn1cc(CN2CC[C@@H]3CCC[C@H]32)cn1 ZINC000428453616 201019782 /nfs/dbraw/zinc/01/97/82/201019782.db2.gz GIDOINCFAUCKGG-UONOGXRCSA-N 0 3 233.359 2.668 20 0 BFADHN CCCCN(CC)[C@@H](C)c1cnc(C)cn1 ZINC000428465450 201021754 /nfs/dbraw/zinc/02/17/54/201021754.db2.gz XLBYZDWJAMCNFK-LBPRGKRZSA-N 0 3 221.348 2.968 20 0 BFADHN CCN(Cc1n[nH]c(C(C)C)n1)[C@@H](C)C(C)C ZINC000428468127 201022319 /nfs/dbraw/zinc/02/23/19/201022319.db2.gz MKFAMPNRJWTAQV-NSHDSACASA-N 0 3 238.379 2.795 20 0 BFADHN CC[N@H+](Cc1nnc(C(C)C)[n-]1)[C@@H](C)C(C)C ZINC000428468127 201022321 /nfs/dbraw/zinc/02/23/21/201022321.db2.gz MKFAMPNRJWTAQV-NSHDSACASA-N 0 3 238.379 2.795 20 0 BFADHN CC[N@@H+](Cc1nnc(C(C)C)[n-]1)[C@@H](C)C(C)C ZINC000428468127 201022323 /nfs/dbraw/zinc/02/23/23/201022323.db2.gz MKFAMPNRJWTAQV-NSHDSACASA-N 0 3 238.379 2.795 20 0 BFADHN Cc1nc(C(C)C)oc1CN(C)CC1CC1 ZINC000428489989 201023779 /nfs/dbraw/zinc/02/37/79/201023779.db2.gz XTERXGKIPBUEDZ-UHFFFAOYSA-N 0 3 222.332 2.948 20 0 BFADHN CCn1nccc1CN[C@H]1CCC12CCCC2 ZINC000428652614 201029982 /nfs/dbraw/zinc/02/99/82/201029982.db2.gz OSNFIWHHXIYWPM-ZDUSSCGKSA-N 0 3 233.359 2.715 20 0 BFADHN CC[C@@]1(C)C[C@@H]1C(=O)Nc1cccc(CNC)c1 ZINC000428334499 201009179 /nfs/dbraw/zinc/00/91/79/201009179.db2.gz WPPLSGJTJGTOSV-HIFRSBDPSA-N 0 3 246.354 2.781 20 0 BFADHN NC1(C(=O)Nc2ccc3ccccc3c2)CCC1 ZINC000147624682 181329615 /nfs/dbraw/zinc/32/96/15/181329615.db2.gz FSMGEGMTRPERDL-UHFFFAOYSA-N 0 3 240.306 2.660 20 0 BFADHN CCOC1(C)CCN(C[C@H](F)CC)CC1 ZINC000428724407 201038319 /nfs/dbraw/zinc/03/83/19/201038319.db2.gz VZWSJUWKTKCIKJ-LLVKDONJSA-N 0 3 217.328 2.626 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1ccc2c(n1)CCCC2 ZINC000446897156 370693919 /nfs/dbraw/zinc/69/39/19/370693919.db2.gz WFCKDSGUOYJKLG-WCQYABFASA-N 0 3 230.355 2.706 20 0 BFADHN Cc1nc(CN(C)[C@@H](C)C2CCCCC2)n[nH]1 ZINC000428948275 201051650 /nfs/dbraw/zinc/05/16/50/201051650.db2.gz RZBPOXJZFJZBKI-JTQLQIEISA-N 0 3 236.363 2.514 20 0 BFADHN CCC(O)(CC)CN(C)Cc1cccs1 ZINC000162879328 361369925 /nfs/dbraw/zinc/36/99/25/361369925.db2.gz PVYXDRLCOBBFEE-UHFFFAOYSA-N 0 3 227.373 2.731 20 0 BFADHN CC(C)CCCN1C[C@@H](C)OC(C)(C)C1 ZINC000429061774 201063628 /nfs/dbraw/zinc/06/36/28/201063628.db2.gz SPMUALFAMGTPIJ-GFCCVEGCSA-N 0 3 213.365 2.922 20 0 BFADHN CC(C)CCCN1CCO[C@H]2CCC[C@H]21 ZINC000429047185 201063696 /nfs/dbraw/zinc/06/36/96/201063696.db2.gz JTKKKVDWJNTRLN-OLZOCXBDSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@@H]1CN(CCCC(C)C)C[C@H](C)O1 ZINC000429043407 201064347 /nfs/dbraw/zinc/06/43/47/201064347.db2.gz FREPMTVNUMNFRG-QWHCGFSZSA-N 0 3 213.365 2.922 20 0 BFADHN CC(C)CCCN1CCO[C@@H]2CCC[C@@H]21 ZINC000429047186 201064645 /nfs/dbraw/zinc/06/46/45/201064645.db2.gz JTKKKVDWJNTRLN-QWHCGFSZSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)CCCN1CCOC(C)(C)[C@@H]1C ZINC000429063910 201065464 /nfs/dbraw/zinc/06/54/64/201065464.db2.gz YMDDWPXMYUDLDY-LBPRGKRZSA-N 0 3 213.365 2.922 20 0 BFADHN Cc1c(CN(C2CCC2)C2CCC2)cnn1C ZINC000429139845 201073589 /nfs/dbraw/zinc/07/35/89/201073589.db2.gz ICDHKKJQCOQJOW-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN CCC1(NCc2ccc(OC)cc2F)CC1 ZINC000379739575 361386798 /nfs/dbraw/zinc/38/67/98/361386798.db2.gz ZYUSXBHWPKZRLP-UHFFFAOYSA-N 0 3 223.291 2.867 20 0 BFADHN Cc1cc(CN(C2CCC2)C2CCC2)on1 ZINC000429164772 201075396 /nfs/dbraw/zinc/07/53/96/201075396.db2.gz PYGMXEAVLVIZHY-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN C[C@@H]1CN(c2cccc(F)c2)CCN1CC1CC1 ZINC000429248668 201083945 /nfs/dbraw/zinc/08/39/45/201083945.db2.gz OAKJSCMBMJPKAR-GFCCVEGCSA-N 0 3 248.345 2.746 20 0 BFADHN CC(C)(NCC1(C)COC1)c1ccccc1F ZINC000429237371 201084181 /nfs/dbraw/zinc/08/41/81/201084181.db2.gz OAAZCTWDSKUYLZ-UHFFFAOYSA-N 0 3 237.318 2.687 20 0 BFADHN CC[C@H](N[C@@H]1CC12CCCC2)c1cnn(C)c1 ZINC000429418416 201106501 /nfs/dbraw/zinc/10/65/01/201106501.db2.gz JJJHJUCMOUJOGP-QWHCGFSZSA-N 0 3 233.359 2.794 20 0 BFADHN CC[C@H](N[C@H]1CC12CCCC2)c1cnn(C)c1 ZINC000429418418 201106954 /nfs/dbraw/zinc/10/69/54/201106954.db2.gz JJJHJUCMOUJOGP-STQMWFEESA-N 0 3 233.359 2.794 20 0 BFADHN CC[C@@H](N[C@H]1CC12CCCC2)c1nccn1C ZINC000429421997 201108559 /nfs/dbraw/zinc/10/85/59/201108559.db2.gz WLDKROZUBVLVHZ-NEPJUHHUSA-N 0 3 233.359 2.794 20 0 BFADHN Cc1cccc([C@H](C)N[C@H](CO)CC(F)F)c1 ZINC000429463039 201109647 /nfs/dbraw/zinc/10/96/47/201109647.db2.gz FHWSPTJFWBCJQJ-JQWIXIFHSA-N 0 3 243.297 2.662 20 0 BFADHN CC(C)CCCN1CCC(O)(C(F)F)CC1 ZINC000429333218 201101613 /nfs/dbraw/zinc/10/16/13/201101613.db2.gz KRNNQQFEQQYLKC-UHFFFAOYSA-N 0 3 235.318 2.515 20 0 BFADHN CC(C)CCCN1CCO[C@H](C2CC2)C1 ZINC000429333621 201102247 /nfs/dbraw/zinc/10/22/47/201102247.db2.gz NVSJZPTZHKALFE-ZDUSSCGKSA-N 0 3 211.349 2.533 20 0 BFADHN COc1cccc(CN(C)[C@H]2CC[C@@H](C)C2)n1 ZINC000429575270 201118084 /nfs/dbraw/zinc/11/80/84/201118084.db2.gz CLTZLWISBKQHMG-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H]1CC[C@H](N(C)Cc2cnc3ccccn23)C1 ZINC000429570786 201119956 /nfs/dbraw/zinc/11/99/56/201119956.db2.gz VRRIERGOZUNSCF-STQMWFEESA-N 0 3 243.354 2.955 20 0 BFADHN FC1(CNC[C@H]2CCCCC2(F)F)CC1 ZINC000579434897 366793333 /nfs/dbraw/zinc/79/33/33/366793333.db2.gz KJCWNJQHFKFEGL-SECBINFHSA-N 0 3 221.266 2.904 20 0 BFADHN CCn1nccc1CN(C)CCC1CCCC1 ZINC000429725991 201128704 /nfs/dbraw/zinc/12/87/04/201128704.db2.gz ZSDNTTQPQBCXSC-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCn1nccc1CN(C1CCC1)C1CCC1 ZINC000430118107 201145311 /nfs/dbraw/zinc/14/53/11/201145311.db2.gz CUJMVDLWATVXDK-UHFFFAOYSA-N 0 3 233.359 2.810 20 0 BFADHN CCn1cc(CN2CC3(CCC3)[C@H]2C(C)C)cn1 ZINC000430105189 201145348 /nfs/dbraw/zinc/14/53/48/201145348.db2.gz OIWFSVXQINHTPG-CQSZACIVSA-N 0 3 247.386 2.914 20 0 BFADHN CCc1ccc(CN2CC[C@@H]2CC)cn1 ZINC000430194707 201152177 /nfs/dbraw/zinc/15/21/77/201152177.db2.gz KGFLPLHVSHALPG-ZDUSSCGKSA-N 0 3 204.317 2.628 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CC[C@@H]1CC ZINC000430207762 201153859 /nfs/dbraw/zinc/15/38/59/201153859.db2.gz RKCHTWMFEYOIRX-NSHDSACASA-N 0 3 235.375 2.529 20 0 BFADHN CC[C@H](C)CN1CCCc2cccc(CN)c21 ZINC000629560800 361456691 /nfs/dbraw/zinc/45/66/91/361456691.db2.gz VSIQMLPGUXMAHD-LBPRGKRZSA-N 0 3 232.371 2.944 20 0 BFADHN c1cc(-c2ccc(CNC3CCCC3)o2)n[nH]1 ZINC000433321300 201182774 /nfs/dbraw/zinc/18/27/74/201182774.db2.gz XJEZDWNJDWEJIE-UHFFFAOYSA-N 0 3 231.299 2.702 20 0 BFADHN CC[C@H](F)CN(CCOC)[C@@H]1CCCSC1 ZINC000433183957 201167283 /nfs/dbraw/zinc/16/72/83/201167283.db2.gz UMNZJLXBZBBZHO-NWDGAFQWSA-N 0 3 249.395 2.579 20 0 BFADHN CN(C)[C@H]1CCOc2c(NCC3CC3)cccc21 ZINC000433194529 201169614 /nfs/dbraw/zinc/16/96/14/201169614.db2.gz XJAYSIIADSXJKW-AWEZNQCLSA-N 0 3 246.354 2.894 20 0 BFADHN CN(C)[C@H](CC(=O)NC(C)(C)C)c1ccccc1 ZINC000433226272 201172290 /nfs/dbraw/zinc/17/22/90/201172290.db2.gz GAQGRSHXVVTVPD-CYBMUJFWSA-N 0 3 248.370 2.594 20 0 BFADHN OCCCN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000433283169 201173722 /nfs/dbraw/zinc/17/37/22/201173722.db2.gz CFNRWHIMJTWUHH-GFCCVEGCSA-N 0 3 239.746 2.512 20 0 BFADHN CCc1ccc(CN[C@@]2(CC)CCOC2)o1 ZINC000309945756 491158674 /nfs/dbraw/zinc/15/86/74/491158674.db2.gz DINZMRZZSCDCDO-ZDUSSCGKSA-N 0 3 223.316 2.501 20 0 BFADHN CSc1ccccc1[C@H](C)N[C@H]1CCOC1 ZINC000194936699 361567551 /nfs/dbraw/zinc/56/75/51/361567551.db2.gz RPIISVRMBNZEIK-QWRGUYRKSA-N 0 3 237.368 2.848 20 0 BFADHN COCCN[C@H](C)c1cc(Cl)ccc1OC ZINC000152137431 201189088 /nfs/dbraw/zinc/18/90/88/201189088.db2.gz LTCOWNOGILZNSA-SECBINFHSA-N 0 3 243.734 2.646 20 0 BFADHN COCCN[C@@H](C)c1cc2c(s1)CCC2 ZINC000152123376 201190233 /nfs/dbraw/zinc/19/02/33/201190233.db2.gz MDVKSEMSNCVLPX-VIFPVBQESA-N 0 3 225.357 2.534 20 0 BFADHN c1nc(C2CC2)ncc1CN[C@@]12C[C@@H]1CCCC2 ZINC000631226026 361591081 /nfs/dbraw/zinc/59/10/81/361591081.db2.gz LGJLWJNFYSCGQF-ZFWWWQNUSA-N 0 3 243.354 2.776 20 0 BFADHN CCOCCCN1CCc2c(F)cccc2C1 ZINC000152805790 201210025 /nfs/dbraw/zinc/21/00/25/201210025.db2.gz QIAOLXLVFFPXLM-UHFFFAOYSA-N 0 3 237.318 2.610 20 0 BFADHN CC1(CNc2ccc3c(c2)OCCNC3)CCC1 ZINC000629664052 361595473 /nfs/dbraw/zinc/59/54/73/361595473.db2.gz OBCGDHOSFQUWSY-UHFFFAOYSA-N 0 3 246.354 2.771 20 0 BFADHN CC1CCN(C[C@@H]2COc3ccccc3O2)CC1 ZINC000139235084 361607837 /nfs/dbraw/zinc/60/78/37/361607837.db2.gz OMQDHHBECICPFE-CYBMUJFWSA-N 0 3 247.338 2.558 20 0 BFADHN COCCN1CCC(F)(c2ccccc2)CC1 ZINC000434493997 201224586 /nfs/dbraw/zinc/22/45/86/201224586.db2.gz VZROCISOHHEBQN-UHFFFAOYSA-N 0 3 237.318 2.594 20 0 BFADHN CCc1nnc(CNC[C@@H](C)C(C)(C)C)s1 ZINC000309976735 491159184 /nfs/dbraw/zinc/15/91/84/491159184.db2.gz TYMBARZDAIHZHT-SECBINFHSA-N 0 3 241.404 2.872 20 0 BFADHN CCOCCN[C@@H]1CCc2c1cccc2C ZINC000161896137 201225975 /nfs/dbraw/zinc/22/59/75/201225975.db2.gz WMPAYXMIHBMPRD-CQSZACIVSA-N 0 3 219.328 2.608 20 0 BFADHN CC1(C)CCN(Cc2ccc(-c3cc[nH]n3)o2)C1 ZINC000435122632 201250503 /nfs/dbraw/zinc/25/05/03/201250503.db2.gz BNSOBQUGPVFFQK-UHFFFAOYSA-N 0 3 245.326 2.902 20 0 BFADHN CN[C@@H](CO)c1cccc(-c2csc(C)c2)c1 ZINC000629699366 361629237 /nfs/dbraw/zinc/62/92/37/361629237.db2.gz HTIXPZAIFAQLEI-AWEZNQCLSA-N 0 3 247.363 2.976 20 0 BFADHN Cn1cc(CN2CC[C@@H](CC(C)(C)C)C2)cn1 ZINC000448553811 201252130 /nfs/dbraw/zinc/25/21/30/201252130.db2.gz FZVWSBBZMIVPTF-LBPRGKRZSA-N 0 3 235.375 2.678 20 0 BFADHN CCc1ccc(CN2CCC[C@@H]2C(C)(C)O)o1 ZINC000154416696 201276720 /nfs/dbraw/zinc/27/67/20/201276720.db2.gz BYDALPSHUNXSIT-CYBMUJFWSA-N 0 3 237.343 2.577 20 0 BFADHN Cc1ccc(-c2ccc([C@H]3CNCCO3)cc2)o1 ZINC000629715459 361657338 /nfs/dbraw/zinc/65/73/38/361657338.db2.gz AUWFJVKRSRDKCM-OAHLLOKOSA-N 0 3 243.306 2.916 20 0 BFADHN Cc1ccc(-c2ccc3c(c2)CCNC3)o1 ZINC000629715498 361657380 /nfs/dbraw/zinc/65/73/80/361657380.db2.gz BGMKQTUSESMRSK-UHFFFAOYSA-N 0 3 213.280 2.901 20 0 BFADHN Cc1cocc1-c1cccc([C@@H]2CNCCO2)c1 ZINC000629738254 361673838 /nfs/dbraw/zinc/67/38/38/361673838.db2.gz KOEHGWZRWZSQSS-HNNXBMFYSA-N 0 3 243.306 2.916 20 0 BFADHN CN[C@H](CO)c1cccc(-c2cocc2C)c1 ZINC000629738210 361674332 /nfs/dbraw/zinc/67/43/32/361674332.db2.gz IUNBYRUDWQHENN-CQSZACIVSA-N 0 3 231.295 2.508 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H](C)[C@@H]1CCCO1 ZINC000310503809 491161756 /nfs/dbraw/zinc/16/17/56/491161756.db2.gz NGANISGXXOKEGY-AUTRQRHGSA-N 0 3 238.331 2.510 20 0 BFADHN COc1ccccc1OCCN1CCC=C(C)C1 ZINC000436263864 201317285 /nfs/dbraw/zinc/31/72/85/201317285.db2.gz IISAEMCLUTUWFD-UHFFFAOYSA-N 0 3 247.338 2.726 20 0 BFADHN Cc1cc(CNCCOC(C)C)c(C)s1 ZINC000134119948 491162080 /nfs/dbraw/zinc/16/20/80/491162080.db2.gz CYFDBHNPWWNEBV-UHFFFAOYSA-N 0 3 227.373 2.880 20 0 BFADHN CCOc1ccccc1OCCN1CC[C@H](C)C1 ZINC000437604269 201362520 /nfs/dbraw/zinc/36/25/20/201362520.db2.gz MHLFNFYSWYFXTI-ZDUSSCGKSA-N 0 3 249.354 2.806 20 0 BFADHN CN(C)Cc1cccc(NCC[C@H]2CCOC2)c1 ZINC000438121347 201392119 /nfs/dbraw/zinc/39/21/19/201392119.db2.gz NVQCCCBQSRNZGT-ZDUSSCGKSA-N 0 3 248.370 2.587 20 0 BFADHN CN(Cc1cc2c(cccc2F)[nH]1)[C@H]1CCOC1 ZINC000438112271 201392343 /nfs/dbraw/zinc/39/23/43/201392343.db2.gz FCGQQDHYJRBADH-NSHDSACASA-N 0 3 248.301 2.528 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CC[C@@H]1CCOC1 ZINC000437983635 201381903 /nfs/dbraw/zinc/38/19/03/201381903.db2.gz HOFCBYBOPIOBQB-QWHCGFSZSA-N 0 3 234.343 2.501 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C[C@H](C)C2)cn1 ZINC000438097971 201388010 /nfs/dbraw/zinc/38/80/10/201388010.db2.gz RSGKUNIKZABLGO-OLZOCXBDSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@H](N[C@@H](C)C1CC1)c1cn2ccccc2n1 ZINC000515432241 370697319 /nfs/dbraw/zinc/69/73/19/370697319.db2.gz ABFOKLJGSZQQFS-QWRGUYRKSA-N 0 3 229.327 2.783 20 0 BFADHN COC[C@H]1CCCN(Cc2cccc(C)n2)CC1 ZINC000452077845 202525688 /nfs/dbraw/zinc/52/56/88/202525688.db2.gz GDHRLHKBTUQOHU-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN FC(F)[C@H]1C[C@@H]1NCc1scnc1C1CC1 ZINC000348222460 541305234 /nfs/dbraw/zinc/30/52/34/541305234.db2.gz KWRXWOZGNMIARQ-YUMQZZPRSA-N 0 3 244.310 2.764 20 0 BFADHN COC[C@H](N[C@H]1CCCc2cccnc21)C(C)C ZINC000091661819 541344332 /nfs/dbraw/zinc/34/43/32/541344332.db2.gz ZUBXGKODKUZSIW-KBPBESRZSA-N 0 3 248.370 2.720 20 0 BFADHN c1ccc(CN2CCc3ccccc3CC2)nc1 ZINC000116299510 370752867 /nfs/dbraw/zinc/75/28/67/370752867.db2.gz JZFAUSCHDLUXJT-UHFFFAOYSA-N 0 3 238.334 2.682 20 0 BFADHN CC(C)[C@@H](N)c1cn([C@@H]2CCC(C)(C)C2)nn1 ZINC000630602689 364318625 /nfs/dbraw/zinc/31/86/25/364318625.db2.gz DGFHFITWRQPPHU-ZYHUDNBSSA-N 0 3 236.363 2.685 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](CO)C1)c1csc(Cl)c1 ZINC000631672909 364358007 /nfs/dbraw/zinc/35/80/07/364358007.db2.gz PIRYDZSBOJDLAT-MRTMQBJTSA-N 0 3 245.775 2.823 20 0 BFADHN Cc1cc(CNC(C)(C)c2cccs2)n(C)n1 ZINC000417942256 219939048 /nfs/dbraw/zinc/93/90/48/219939048.db2.gz SSNBOPUKSPDUOD-UHFFFAOYSA-N 0 3 249.383 2.815 20 0 BFADHN Cc1cc(CN[C@H]2CCO[C@H]2C)c(C)s1 ZINC000134081245 364387701 /nfs/dbraw/zinc/38/77/01/364387701.db2.gz ZCEPAPJWPTZZSM-CABZTGNLSA-N 0 3 225.357 2.632 20 0 BFADHN Cc1nsc(C)c1CSCCN(C)C ZINC000579494555 366834067 /nfs/dbraw/zinc/83/40/67/366834067.db2.gz RZMOBACABBWOKM-UHFFFAOYSA-N 0 3 230.402 2.555 20 0 BFADHN NCC1(c2nc(-c3cccs3)no2)CCCC1 ZINC000124977677 181363576 /nfs/dbraw/zinc/36/35/76/181363576.db2.gz BQIWZHHIOVUJAH-UHFFFAOYSA-N 0 3 249.339 2.569 20 0 BFADHN CC[C@H](C)CN[C@@H](C)c1nnc(C)s1 ZINC000135000259 364421568 /nfs/dbraw/zinc/42/15/68/364421568.db2.gz FXNBEYVHBILEDH-YUMQZZPRSA-N 0 3 213.350 2.543 20 0 BFADHN CC[C@H](N[C@@H](C)c1nnc(C)s1)C1CC1 ZINC000135009341 364421833 /nfs/dbraw/zinc/42/18/33/364421833.db2.gz GVJHGRFBFAZVQO-XVKPBYJWSA-N 0 3 225.361 2.686 20 0 BFADHN CC(C)SCCN(C)CCOC(C)(C)C ZINC000619779948 364427920 /nfs/dbraw/zinc/42/79/20/364427920.db2.gz AAOJDDVGZRGAAX-UHFFFAOYSA-N 0 3 233.421 2.875 20 0 BFADHN CC[C@H](CC(=O)NC[C@@H](C)N(C)C)C(C)(C)C ZINC000630717188 364495402 /nfs/dbraw/zinc/49/54/02/364495402.db2.gz VUKMJVMNMAZZNA-VXGBXAGGSA-N 0 3 242.407 2.515 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1CCc2c1cccc2F ZINC000579552410 366865718 /nfs/dbraw/zinc/86/57/18/366865718.db2.gz MMZQLWHSUTXYCY-MELADBBJSA-N 0 3 235.302 2.580 20 0 BFADHN C[C@@H](N[C@@H](C)CC1CCC1)c1cnccn1 ZINC000631738518 364535222 /nfs/dbraw/zinc/53/52/22/364535222.db2.gz OAQKGZSDKRHDJX-WDEREUQCSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H](CC1CCC1)N[C@@H](C)c1ccncn1 ZINC000631738745 364537734 /nfs/dbraw/zinc/53/77/34/364537734.db2.gz SWTKGJPAWXHWIO-MNOVXSKESA-N 0 3 219.332 2.706 20 0 BFADHN CC[C@@H](N[C@H](CC)c1cccc(C)c1)C(=O)NC ZINC000453426263 202770370 /nfs/dbraw/zinc/77/03/70/202770370.db2.gz YYOMNGTZSNCBGW-ZIAGYGMSSA-N 0 3 248.370 2.560 20 0 BFADHN CC[C@@H](NCc1cn2ccccc2n1)C1CC1 ZINC000268745049 364731565 /nfs/dbraw/zinc/73/15/65/364731565.db2.gz URBKRTJGEQUMIX-CYBMUJFWSA-N 0 3 229.327 2.613 20 0 BFADHN CCC(CC)[C@H](O)CN[C@H](C)c1ccoc1 ZINC000167512603 364795465 /nfs/dbraw/zinc/79/54/65/364795465.db2.gz MFOTTWJWJFIXSE-ZWNOBZJWSA-N 0 3 225.332 2.727 20 0 BFADHN CCc1cc(CNC(C2CC2)C2CC2)on1 ZINC000168168870 364872503 /nfs/dbraw/zinc/87/25/03/364872503.db2.gz XOXHSWZDMZEWJZ-UHFFFAOYSA-N 0 3 220.316 2.515 20 0 BFADHN FC1(F)CCCC[C@@H]1CN1CCCOCC1 ZINC000334653288 541416696 /nfs/dbraw/zinc/41/66/96/541416696.db2.gz FSOPJIBHGLORSC-LLVKDONJSA-N 0 3 233.302 2.534 20 0 BFADHN CC[C@H](CN1CCc2ccccc2CC1)OC ZINC000574142976 364993712 /nfs/dbraw/zinc/99/37/12/364993712.db2.gz PSGCUXXYHDOEQF-OAHLLOKOSA-N 0 3 233.355 2.512 20 0 BFADHN CCC(C)(C)CN[C@H]1CCCn2ccnc21 ZINC000655759364 541444278 /nfs/dbraw/zinc/44/42/78/541444278.db2.gz MFKHGPOCPJDZMK-NSHDSACASA-N 0 3 221.348 2.744 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](CC)c1ccsc1 ZINC000574152433 364997007 /nfs/dbraw/zinc/99/70/07/364997007.db2.gz XNSUXMSKFDMFQE-IJLUTSLNSA-N 0 3 225.357 2.966 20 0 BFADHN CCC[C@H](C)[C@@H](CO)N[C@@H](C)c1ccccn1 ZINC000574457530 365061404 /nfs/dbraw/zinc/06/14/04/365061404.db2.gz WISKNXPTEPXBLG-SGMGOOAPSA-N 0 3 236.359 2.529 20 0 BFADHN c1nc([C@@H](N[C@H]2CC23CC3)C2CCCCC2)n[nH]1 ZINC000574444369 365063450 /nfs/dbraw/zinc/06/34/50/365063450.db2.gz PWXLDKHFONUUKP-RYUDHWBXSA-N 0 3 246.358 2.568 20 0 BFADHN c1nnc([C@@H](N[C@H]2CC23CC3)C2CCCCC2)[nH]1 ZINC000574444369 365063454 /nfs/dbraw/zinc/06/34/54/365063454.db2.gz PWXLDKHFONUUKP-RYUDHWBXSA-N 0 3 246.358 2.568 20 0 BFADHN CC[C@@H](NCCOC(C)(C)C)c1ccncc1 ZINC000574524301 365073617 /nfs/dbraw/zinc/07/36/17/365073617.db2.gz XVSALHFLAIGHFR-CYBMUJFWSA-N 0 3 236.359 2.937 20 0 BFADHN Cc1nc(CNC[C@@H](C)c2ccccc2)[nH]c1C ZINC000574822715 365153548 /nfs/dbraw/zinc/15/35/48/365153548.db2.gz CMWQBCXELJTMRO-LLVKDONJSA-N 0 3 243.354 2.920 20 0 BFADHN COc1cc(C)ccc1[C@H](C)N[C@H]1C[C@@](C)(O)C1 ZINC000574861533 365160347 /nfs/dbraw/zinc/16/03/47/365160347.db2.gz FCDSOBVMQFVKEO-SLEUVZQESA-N 0 3 249.354 2.568 20 0 BFADHN Cc1ccccc1C1CC(NCc2ncc[nH]2)C1 ZINC000080584657 370793220 /nfs/dbraw/zinc/79/32/20/370793220.db2.gz VGFUJDUWKOVUPE-UHFFFAOYSA-N 0 3 241.338 2.754 20 0 BFADHN CC(C)c1ncc(CN(C)C[C@H]2C[C@H]2C)cn1 ZINC000443061002 193012005 /nfs/dbraw/zinc/01/20/05/193012005.db2.gz HUYQUAVPCDRNHL-DGCLKSJQSA-N 0 3 233.359 2.688 20 0 BFADHN CCC[C@H](C)CN1CCO[C@](C)(CC)C1 ZINC000443865357 193030779 /nfs/dbraw/zinc/03/07/79/193030779.db2.gz WPXDYEPFAKAIIE-QWHCGFSZSA-N 0 3 213.365 2.924 20 0 BFADHN CC[C@H](C(=O)OC)N1CCC[C@@H](C(C)C)CC1 ZINC000444158869 193038431 /nfs/dbraw/zinc/03/84/31/193038431.db2.gz RYERNTQBBCTWOF-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN CN(Cc1ccc2c(c1)OCO2)[C@@H]1C=CCCC1 ZINC000171278620 365187290 /nfs/dbraw/zinc/18/72/90/365187290.db2.gz ITCSQITZOZDCLT-CYBMUJFWSA-N 0 3 245.322 2.956 20 0 BFADHN COc1ccc(CNCCOCC2CCC2)cc1 ZINC000575174270 365228056 /nfs/dbraw/zinc/22/80/56/365228056.db2.gz SXHKAPGJVIMIFX-UHFFFAOYSA-N 0 3 249.354 2.602 20 0 BFADHN CCOC(=O)[C@H](CC)N1CC[C@@H](C)C[C@H](C)C1 ZINC000443641395 193028145 /nfs/dbraw/zinc/02/81/45/193028145.db2.gz PIHYGJNKMGDWFK-AGIUHOORSA-N 0 3 241.375 2.696 20 0 BFADHN CCCN(Cc1cnc2ccccn12)C(C)C ZINC000575134910 365216658 /nfs/dbraw/zinc/21/66/58/365216658.db2.gz LRJUGPFGCVHWMH-UHFFFAOYSA-N 0 3 231.343 2.955 20 0 BFADHN COc1cc(CN2CCC2(C)C)ccc1C ZINC000575207184 365243122 /nfs/dbraw/zinc/24/31/22/365243122.db2.gz RGYLVBKNRXRCOG-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN CCCCCN(CCO)Cc1ccccc1F ZINC000171909661 365254106 /nfs/dbraw/zinc/25/41/06/365254106.db2.gz DXXWORPVUGZQND-UHFFFAOYSA-N 0 3 239.334 2.810 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@H](C)[C@H]2C)n1 ZINC000379866412 365330287 /nfs/dbraw/zinc/33/02/87/365330287.db2.gz OIHRDWGXJDLTNY-UFGOTCBOSA-N 0 3 204.317 2.524 20 0 BFADHN CCc1cnc(CN[C@@H]2C[C@@H](C)[C@H]2C)s1 ZINC000336715295 365362378 /nfs/dbraw/zinc/36/23/78/365362378.db2.gz WNBZECGTZWDGQO-FXPVBKGRSA-N 0 3 224.373 2.840 20 0 BFADHN C[C@H]1OCC[C@H]1NC1(c2cccc(F)c2)CC1 ZINC000182215188 366876447 /nfs/dbraw/zinc/87/64/47/366876447.db2.gz GNKKPRYYZIRGRK-ZWNOBZJWSA-N 0 3 235.302 2.582 20 0 BFADHN Cc1ccccc1CN1CCOC[C@H]1C1CCC1 ZINC000575800756 365366603 /nfs/dbraw/zinc/36/66/03/365366603.db2.gz TXYZWFLDTBQOOM-INIZCTEOSA-N 0 3 245.366 2.996 20 0 BFADHN Cc1nc(CN(C)[C@@H](C)C2CC2)sc1C ZINC000173203129 365408910 /nfs/dbraw/zinc/40/89/10/365408910.db2.gz GVSJBVWLJRBUKX-VIFPVBQESA-N 0 3 224.373 2.990 20 0 BFADHN Cc1cc(CN2[C@H](C)CC(O)C[C@H]2C)cs1 ZINC000576169832 365508941 /nfs/dbraw/zinc/50/89/41/365508941.db2.gz UBTLTNRPHIMMQE-NXEZZACHSA-N 0 3 239.384 2.790 20 0 BFADHN CC[C@H](C)N(C)Cc1c(C)nsc1C ZINC000579608956 366905470 /nfs/dbraw/zinc/90/54/70/366905470.db2.gz IYMSIFHBGZLGTB-QMMMGPOBSA-N 0 3 212.362 2.990 20 0 BFADHN C[C@H](NC1[C@H](C)CCC[C@H]1C)c1nccn1C ZINC000182627447 366924736 /nfs/dbraw/zinc/92/47/36/366924736.db2.gz ASSKRSPJGWTFFC-UTUOFQBUSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ccc(CNCC2(C(F)(F)F)CC2)cn1 ZINC000353048583 541483044 /nfs/dbraw/zinc/48/30/44/541483044.db2.gz QIWZJYCLXRKILN-UHFFFAOYSA-N 0 3 244.260 2.822 20 0 BFADHN CCCn1c(C)nnc1CN[C@@H](C)[C@@H](C)CC ZINC000183033814 366968399 /nfs/dbraw/zinc/96/83/99/366968399.db2.gz HNQBQGCTZLUYKX-QWRGUYRKSA-N 0 3 238.379 2.521 20 0 BFADHN COCCC[C@H](C)NCc1noc2ccccc12 ZINC000579731053 366996525 /nfs/dbraw/zinc/99/65/25/366996525.db2.gz IKHWLMSLYXGAOE-NSHDSACASA-N 0 3 248.326 2.733 20 0 BFADHN C[C@@H](N[C@@H](C)c1cscn1)[C@H]1C[C@@H]1C ZINC000579732969 366998154 /nfs/dbraw/zinc/99/81/54/366998154.db2.gz FEJHVIFGKJYGLE-JXUBOQSCSA-N 0 3 210.346 2.838 20 0 BFADHN CC(C)Cn1cc(CN2[C@H](C)CC[C@@H]2C)cn1 ZINC000183411269 367022630 /nfs/dbraw/zinc/02/26/30/367022630.db2.gz HAWIVFWCWVAHIL-BETUJISGSA-N 0 3 235.375 2.912 20 0 BFADHN CCc1ccc(NC(=O)C2(N)CCC2)cc1CC ZINC000579838362 367040780 /nfs/dbraw/zinc/04/07/80/367040780.db2.gz SHQXVCDCDGVESN-UHFFFAOYSA-N 0 3 246.354 2.631 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1cnn(C)c1C ZINC000453612023 202784448 /nfs/dbraw/zinc/78/44/48/202784448.db2.gz MSSAROXNEFLHEJ-KBPBESRZSA-N 0 3 235.375 2.643 20 0 BFADHN CCOc1cccc(CN2CC(CC)C2)c1 ZINC000453608208 202784578 /nfs/dbraw/zinc/78/45/78/202784578.db2.gz UIWSZRLAMHSSSP-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN FC1(CN2C[C@@H](C3CC3)[C@H]2C2CC2)CC1 ZINC000579917413 367079948 /nfs/dbraw/zinc/07/99/48/367079948.db2.gz FTLQVBOOOZLIJM-NWDGAFQWSA-N 0 3 209.308 2.609 20 0 BFADHN CCC[C@@H]1CCCCN1C(=O)CN(C)C(C)C ZINC000185422853 367131592 /nfs/dbraw/zinc/13/15/92/367131592.db2.gz CULJOHLBDPVNCU-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN CC(C)OCCN[C@@H](C)c1cnn(C(C)C)c1 ZINC000184750206 367092667 /nfs/dbraw/zinc/09/26/67/367092667.db2.gz LSDPCBURFWXHMA-LBPRGKRZSA-N 0 3 239.363 2.540 20 0 BFADHN CCCC[C@@H](NCc1nccn1C)C1CCC1 ZINC000184868858 367104610 /nfs/dbraw/zinc/10/46/10/367104610.db2.gz SWTJKZXBHLKCQY-CYBMUJFWSA-N 0 3 235.375 2.869 20 0 BFADHN CCCC[C@H](NCc1nccc(N)n1)C1CCC1 ZINC000184878571 367105920 /nfs/dbraw/zinc/10/59/20/367105920.db2.gz KKIIDYNGKHITSN-LBPRGKRZSA-N 0 3 248.374 2.507 20 0 BFADHN C[C@@H](NCCCOC(F)F)c1ccoc1 ZINC000194042923 367244627 /nfs/dbraw/zinc/24/46/27/367244627.db2.gz MNHZZKDCXSAVBL-MRVPVSSYSA-N 0 3 219.231 2.560 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1ccnn1CC ZINC000581219181 367297385 /nfs/dbraw/zinc/29/73/85/367297385.db2.gz XJIAPFFASAPKQL-NEPJUHHUSA-N 0 3 221.348 2.666 20 0 BFADHN c1cc(CNC2(C3CC3)CC2)nc2c1CCC2 ZINC000581236707 367301934 /nfs/dbraw/zinc/30/19/34/367301934.db2.gz DZSXBQRMEUJBTE-UHFFFAOYSA-N 0 3 228.339 2.603 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@H](C)c1ccoc1)OC ZINC000381306120 367378458 /nfs/dbraw/zinc/37/84/58/367378458.db2.gz RZLRGGGVLNTORX-DMDPSCGWSA-N 0 3 225.332 2.991 20 0 BFADHN COc1cc(CNCC2(F)CC2)ccc1C ZINC000381323114 367381214 /nfs/dbraw/zinc/38/12/14/367381214.db2.gz ZZWGDWZABPFMHA-UHFFFAOYSA-N 0 3 223.291 2.595 20 0 BFADHN CCc1nocc1CNCC1CC(C)(C)C1 ZINC000382003567 367477297 /nfs/dbraw/zinc/47/72/97/367477297.db2.gz AHUZHXZEYRIOED-UHFFFAOYSA-N 0 3 222.332 2.763 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H]1CCCC[C@H]1F ZINC000382029053 367480330 /nfs/dbraw/zinc/48/03/30/367480330.db2.gz SYWLWBHLFLCEGA-IJLUTSLNSA-N 0 3 217.328 2.670 20 0 BFADHN FC1(F)CCCC[C@@H]1CNCc1ccccn1 ZINC000382169479 367493259 /nfs/dbraw/zinc/49/32/59/367493259.db2.gz PTGUYBVXLSJFBT-LLVKDONJSA-N 0 3 240.297 2.997 20 0 BFADHN CCc1cnc(CN[C@H]2CC3CCC2CC3)o1 ZINC000382180109 367494756 /nfs/dbraw/zinc/49/47/56/367494756.db2.gz XQFZWUOFKYTWKI-XIVSLSHWSA-N 0 3 234.343 2.905 20 0 BFADHN FC1(CNCc2ccc(Cl)cc2)CC1 ZINC000381561947 367431124 /nfs/dbraw/zinc/43/11/24/367431124.db2.gz QUOIPOVIYGXSME-UHFFFAOYSA-N 0 3 213.683 2.932 20 0 BFADHN CC[C@@H](N[C@H]1CCn2ccnc21)C1CCCC1 ZINC000382767455 367554216 /nfs/dbraw/zinc/55/42/16/367554216.db2.gz HRYNKPIJSOOBCU-OLZOCXBDSA-N 0 3 233.359 2.886 20 0 BFADHN CCC[C@H](CN[C@H](C)c1ccoc1)OC ZINC000382411108 367517629 /nfs/dbraw/zinc/51/76/29/367517629.db2.gz ULWPDLOMLCBWID-ZYHUDNBSSA-N 0 3 211.305 2.745 20 0 BFADHN CCCCN(CCCC)C(=O)[C@H](C)NC1CC1 ZINC000383069553 367592816 /nfs/dbraw/zinc/59/28/16/367592816.db2.gz QLMFYHWLIPWLFS-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CO[C@@H]1CC[C@@H](NCc2cccc(C)c2F)C1 ZINC000384568103 367700887 /nfs/dbraw/zinc/70/08/87/367700887.db2.gz UIUDRSFYTLANAM-CHWSQXEVSA-N 0 3 237.318 2.791 20 0 BFADHN CO[C@@H](CN1CCC[C@H]1c1ccccn1)C1CC1 ZINC000581663476 367663602 /nfs/dbraw/zinc/66/36/02/367663602.db2.gz FBPBNOMCNUNOGG-GJZGRUSLSA-N 0 3 246.354 2.644 20 0 BFADHN Cc1nnsc1CN[C@@H]1C[C@H](C)CC[C@@H]1C ZINC000384230215 367678292 /nfs/dbraw/zinc/67/82/92/367678292.db2.gz GYYRDFMQMAHKCH-WCABBAIRSA-N 0 3 239.388 2.761 20 0 BFADHN CO[C@](C)(CNCc1ccco1)c1ccccc1 ZINC000384340315 367685788 /nfs/dbraw/zinc/68/57/88/367685788.db2.gz XFKRAAJFFVRYNE-OAHLLOKOSA-N 0 3 245.322 2.931 20 0 BFADHN CCCC1(CNCc2cc(OC)ns2)CC1 ZINC000399154563 367687826 /nfs/dbraw/zinc/68/78/26/367687826.db2.gz HCLJJOVEAWGXEE-UHFFFAOYSA-N 0 3 240.372 2.822 20 0 BFADHN Cc1nc([C@@H](C)NC[C@@H](C)CCCO)cs1 ZINC000384443297 367691743 /nfs/dbraw/zinc/69/17/43/367691743.db2.gz YQKOYGOKEXNHHB-VHSXEESVSA-N 0 3 242.388 2.511 20 0 BFADHN Cc1csc([C@@H](C)N[C@H](C)CC(C)(C)O)n1 ZINC000384808924 367735722 /nfs/dbraw/zinc/73/57/22/367735722.db2.gz CEZYCGBGORIJBF-PSASIEDQSA-N 0 3 242.388 2.652 20 0 BFADHN C/C=C\CNCc1cc2c(cc1OC)C[C@@H](C)O2 ZINC000384990409 367770865 /nfs/dbraw/zinc/77/08/65/367770865.db2.gz KOLOOVLAKCAFCM-DOGVGXBMSA-N 0 3 247.338 2.684 20 0 BFADHN CC/C=C\CNCc1ccc(COC)cc1 ZINC000385005617 367771055 /nfs/dbraw/zinc/77/10/55/367771055.db2.gz MPSPGJGUARPRBE-PLNGDYQASA-N 0 3 219.328 2.889 20 0 BFADHN C/C=C\CNCc1cc(Cl)cc2c1OCC2 ZINC000384888176 367750543 /nfs/dbraw/zinc/75/05/43/367750543.db2.gz SQGQPTNUBHVXHC-IHWYPQMZSA-N 0 3 237.730 2.941 20 0 BFADHN CCC[C@H](C(=O)OCC)N(CC)CCC1CC1 ZINC000385254652 367813452 /nfs/dbraw/zinc/81/34/52/367813452.db2.gz PINMRHCPRZBSDO-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H](CC(C)(C)C)NCc1nnc2ccccn21 ZINC000385033765 367775788 /nfs/dbraw/zinc/77/57/88/367775788.db2.gz YOBNOEYODXXFSN-LLVKDONJSA-N 0 3 246.358 2.644 20 0 BFADHN CC(C)n1ncnc1CN[C@H](C)CC(C)(C)C ZINC000385164837 367804067 /nfs/dbraw/zinc/80/40/67/367804067.db2.gz ODKARBLQSPUFMJ-LLVKDONJSA-N 0 3 238.379 2.773 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H](C)[C@H]2C)c(F)c1 ZINC000385695045 367855346 /nfs/dbraw/zinc/85/53/46/367855346.db2.gz SOOHLTGQHHKLDU-IMSIIYSGSA-N 0 3 237.318 2.968 20 0 BFADHN C[C@@H](NC[C@H]1C[C@@H](C)O[C@H]1C)c1cscn1 ZINC000581871851 367860627 /nfs/dbraw/zinc/86/06/27/367860627.db2.gz RAQXMZYFUWWMIE-CHWFTXMASA-N 0 3 240.372 2.607 20 0 BFADHN CCn1ccnc1CN[C@@H]1C[C@H](C)CC[C@H]1C ZINC000385401983 367826143 /nfs/dbraw/zinc/82/61/43/367826143.db2.gz WRLBQHGPVNDTDZ-JHJVBQTASA-N 0 3 235.375 2.817 20 0 BFADHN CCCC[C@H](CC)NCc1nccn1CC ZINC000385474927 367833654 /nfs/dbraw/zinc/83/36/54/367833654.db2.gz MWZFBYHLSJMRHA-LBPRGKRZSA-N 0 3 223.364 2.961 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@H](C)[C@H]2C)c1OC ZINC000385621163 367848918 /nfs/dbraw/zinc/84/89/18/367848918.db2.gz IXJAVBYKPMAXFD-DMDPSCGWSA-N 0 3 249.354 2.838 20 0 BFADHN CC[C@@H](C)CCNCc1cc(OC)ns1 ZINC000399172223 367850320 /nfs/dbraw/zinc/85/03/20/367850320.db2.gz GSKJWQVBDOXSHJ-SECBINFHSA-N 0 3 228.361 2.678 20 0 BFADHN C[C@H](CCC(C)(C)C)NCc1cnccn1 ZINC000386260623 367941543 /nfs/dbraw/zinc/94/15/43/367941543.db2.gz MQVLQTOJRXLPAQ-LLVKDONJSA-N 0 3 221.348 2.781 20 0 BFADHN CCC[C@@H](C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000386391196 367961126 /nfs/dbraw/zinc/96/11/26/367961126.db2.gz BWFMYMBSZDFNEI-SNVBAGLBSA-N 0 3 232.327 2.665 20 0 BFADHN c1cc(CN[C@@H]2CCCC[C@H]2C2CC2)on1 ZINC000566241824 326022072 /nfs/dbraw/zinc/02/20/72/326022072.db2.gz AYDAXQAURLIVSU-QWHCGFSZSA-N 0 3 220.316 2.733 20 0 BFADHN CC[C@H](NCc1sccc1Cl)[C@@H](C)O ZINC000386910353 368034295 /nfs/dbraw/zinc/03/42/95/368034295.db2.gz PXXCLNWANVRGAG-APPZFPTMSA-N 0 3 233.764 2.651 20 0 BFADHN CC[C@H](N[C@H](C)c1ccc(F)cc1F)[C@@H](C)O ZINC000386960295 368038928 /nfs/dbraw/zinc/03/89/28/368038928.db2.gz YYLXURSAGQQRMY-KKFJDGPESA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccc(F)cc1F)[C@@H](C)O ZINC000386960299 368039739 /nfs/dbraw/zinc/03/97/39/368039739.db2.gz YYLXURSAGQQRMY-RWEMILLDSA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@@H](NCc1ccccc1Cl)[C@H](C)O ZINC000386715503 368011848 /nfs/dbraw/zinc/01/18/48/368011848.db2.gz QRDSUPBLVSDOLZ-JOYOIKCWSA-N 0 3 227.735 2.589 20 0 BFADHN CC[C@@H](NCc1cc(Cl)ccc1F)[C@H](C)O ZINC000386831508 368025314 /nfs/dbraw/zinc/02/53/14/368025314.db2.gz VXYUDURUZMZEJB-QPUJVOFHSA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@@H](NCc1ccc(Cl)cc1F)[C@H](C)O ZINC000386837306 368026986 /nfs/dbraw/zinc/02/69/86/368026986.db2.gz WZBVFPGRYSSPSA-QPUJVOFHSA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@H](NCc1cccc(Cl)c1F)[C@@H](C)O ZINC000386849508 368029178 /nfs/dbraw/zinc/02/91/78/368029178.db2.gz ZAVDOUJVIBFEAH-KCJUWKMLSA-N 0 3 245.725 2.728 20 0 BFADHN COc1cc(CN[C@@H]2CC23CCCC3)sn1 ZINC000399328473 368158717 /nfs/dbraw/zinc/15/87/17/368158717.db2.gz UCUXKCLHEZKRDL-SNVBAGLBSA-N 0 3 238.356 2.574 20 0 BFADHN CC1=CC[C@@H](N[C@H](C)c2ccon2)CC1 ZINC000566518406 326061402 /nfs/dbraw/zinc/06/14/02/326061402.db2.gz AGLGEHNUIXSSPB-GHMZBOCLSA-N 0 3 206.289 2.824 20 0 BFADHN C[C@@H]1CN(CC2CCCCC2)[C@H](C)CO1 ZINC000045785429 368432485 /nfs/dbraw/zinc/43/24/85/368432485.db2.gz RKIOLTJRVKNTLH-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN CCOCCN1CCC[C@H]1c1ccc(OC)cc1 ZINC000057566787 368495118 /nfs/dbraw/zinc/49/51/18/368495118.db2.gz XGVBUJCCGFWVNG-HNNXBMFYSA-N 0 3 249.354 2.869 20 0 BFADHN CC[C@@H](COC)NCc1csc(C)c1C ZINC000399769468 368563176 /nfs/dbraw/zinc/56/31/76/368563176.db2.gz LPMPCOZMWRFXSD-LBPRGKRZSA-N 0 3 227.373 2.880 20 0 BFADHN OCCN(Cc1ccc(Cl)s1)CC1CC1 ZINC000064516401 368608195 /nfs/dbraw/zinc/60/81/95/368608195.db2.gz TZGUUTDKWLXWDY-UHFFFAOYSA-N 0 3 245.775 2.606 20 0 BFADHN Cc1scc(CN[C@@H]2CCO[C@@H]2C)c1C ZINC000399849456 368615598 /nfs/dbraw/zinc/61/55/98/368615598.db2.gz JVJRICFLUHJKTP-BXKDBHETSA-N 0 3 225.357 2.632 20 0 BFADHN CC(C)Oc1ccccc1[C@H](C)N[C@H]1CCOC1 ZINC000109430667 368630223 /nfs/dbraw/zinc/63/02/23/368630223.db2.gz OVGCMDRMHDMCML-STQMWFEESA-N 0 3 249.354 2.913 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1csc(C)c1C ZINC000399870235 368643780 /nfs/dbraw/zinc/64/37/80/368643780.db2.gz TYOKZUREDYBFKS-VHSXEESVSA-N 0 3 227.373 2.878 20 0 BFADHN CCCN(CCC)C(=O)CN1CCCC[C@H]1C ZINC000066560159 368652878 /nfs/dbraw/zinc/65/28/78/368652878.db2.gz AGFFNMWYTYULJN-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@@H](CC(=O)NC(C)(C)CN(C)C)C(C)C ZINC000457214621 203021238 /nfs/dbraw/zinc/02/12/38/203021238.db2.gz XCWJJGJDYYGQHQ-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1cc(C)n(CCN(C)Cc2cccs2)n1 ZINC000068783713 368736618 /nfs/dbraw/zinc/73/66/18/368736618.db2.gz JUYIAHLQEWHJJW-UHFFFAOYSA-N 0 3 249.383 2.693 20 0 BFADHN CC(C)[C@H](C(=O)N[C@@H](C)CC(C)(C)C)N(C)C ZINC000457245812 203026154 /nfs/dbraw/zinc/02/61/54/203026154.db2.gz ZHWIMVWWQCYFEH-NWDGAFQWSA-N 0 3 242.407 2.514 20 0 BFADHN CC(C)n1ccc(CNC(C2CC2)C2CC2)n1 ZINC000083683279 368804188 /nfs/dbraw/zinc/80/41/88/368804188.db2.gz RIBCTJYMJGPCBT-UHFFFAOYSA-N 0 3 233.359 2.742 20 0 BFADHN Cc1scc(CN[C@H]2COCC2(C)C)c1C ZINC000399888993 368906861 /nfs/dbraw/zinc/90/68/61/368906861.db2.gz NRSUXOMPEIALQT-LBPRGKRZSA-N 0 3 239.384 2.880 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1cc(C)cc(C)c1 ZINC000309837227 326171033 /nfs/dbraw/zinc/17/10/33/326171033.db2.gz BNTVTMHHBUKZKT-ZIAGYGMSSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H]2C2CC2)no1 ZINC000309878007 326173203 /nfs/dbraw/zinc/17/32/03/326173203.db2.gz UDXZSRSEUXNSAG-QWHCGFSZSA-N 0 3 220.316 2.651 20 0 BFADHN CC(C)(C)CN1CCc2c(O)cccc2C1 ZINC000115312905 368988599 /nfs/dbraw/zinc/98/85/99/368988599.db2.gz AWUCVQOYAZKBRO-UHFFFAOYSA-N 0 3 219.328 2.796 20 0 BFADHN CCC[C@H](C)N[C@@H](C)c1cc(C(=O)OC)co1 ZINC000618561449 369172098 /nfs/dbraw/zinc/17/20/98/369172098.db2.gz QCSDMNPVMCNKIC-UWVGGRQHSA-N 0 3 239.315 2.905 20 0 BFADHN CC1CCC(C)(CNCc2cnccn2)CC1 ZINC000567566290 326218134 /nfs/dbraw/zinc/21/81/34/326218134.db2.gz OAZXMGGGGVJTNC-UHFFFAOYSA-N 0 3 233.359 2.783 20 0 BFADHN CC1(C)CN(CC2CCC(F)(F)CC2)CCO1 ZINC000459294875 203249243 /nfs/dbraw/zinc/24/92/43/203249243.db2.gz LOZNKJHNEXRMMM-UHFFFAOYSA-N 0 3 247.329 2.923 20 0 BFADHN Cc1ccc(CN(C)C2CC(OC(C)C)C2)o1 ZINC000459308823 203252265 /nfs/dbraw/zinc/25/22/65/203252265.db2.gz PMQNURGMJLTOKI-UHFFFAOYSA-N 0 3 237.343 2.976 20 0 BFADHN CCN1CCN(Cc2ccc(C3CC3)cc2)CC1 ZINC000459303635 203252665 /nfs/dbraw/zinc/25/26/65/203252665.db2.gz UHAFHUCUHHWTMG-UHFFFAOYSA-N 0 3 244.382 2.702 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cnc(C2CC2)nc1 ZINC000459308000 203253703 /nfs/dbraw/zinc/25/37/03/203253703.db2.gz RBFTTWAXXAOOTN-JTQLQIEISA-N 0 3 231.343 2.584 20 0 BFADHN CC[C@H](C)CN(C)Cc1cnc(C2CC2)nc1 ZINC000459330913 203257326 /nfs/dbraw/zinc/25/73/26/203257326.db2.gz SDMUCNQTSNSFBX-NSHDSACASA-N 0 3 233.359 2.832 20 0 BFADHN CCn1nncc1CNC(C)(C)CC(C)(C)C ZINC000459336376 203261441 /nfs/dbraw/zinc/26/14/41/203261441.db2.gz JFODAKGUJWZHQN-UHFFFAOYSA-N 0 3 238.379 2.602 20 0 BFADHN C[C@H]1CN(CCn2cc(Cl)cn2)C(C)(C)C1 ZINC000459353090 203264583 /nfs/dbraw/zinc/26/45/83/203264583.db2.gz IAXCICVGQWNSBC-SNVBAGLBSA-N 0 3 241.766 2.657 20 0 BFADHN c1nc(C2CC2)ncc1CN1CC[C@@H](C2CC2)C1 ZINC000459348753 203266029 /nfs/dbraw/zinc/26/60/29/203266029.db2.gz WYRMOEPSLTUQST-CQSZACIVSA-N 0 3 243.354 2.586 20 0 BFADHN CCNCc1c(F)cc(C)cc1Cl ZINC000459356798 203268284 /nfs/dbraw/zinc/26/82/84/203268284.db2.gz IYXWHWYGHHHIHV-UHFFFAOYSA-N 0 3 201.672 2.897 20 0 BFADHN C(CN1CCCSCC1)OCC1CCCC1 ZINC000459389143 203270214 /nfs/dbraw/zinc/27/02/14/203270214.db2.gz MAHBRGPNJFITSV-UHFFFAOYSA-N 0 3 243.416 2.632 20 0 BFADHN c1nc(C2CC2)ncc1CNCC1(C2CC2)CC1 ZINC000459458975 203285768 /nfs/dbraw/zinc/28/57/68/203285768.db2.gz ZPHHMAXGQFCQHO-UHFFFAOYSA-N 0 3 243.354 2.634 20 0 BFADHN CC(C)N(Cc1ccncc1F)C(C)C ZINC000459482472 203289398 /nfs/dbraw/zinc/28/93/98/203289398.db2.gz XPWBDQCSAJAVBJ-UHFFFAOYSA-N 0 3 210.296 2.840 20 0 BFADHN CCN(CC1CCC(F)(F)CC1)C1COC1 ZINC000459511558 203292841 /nfs/dbraw/zinc/29/28/41/203292841.db2.gz MGCQKUVNJYBCNJ-UHFFFAOYSA-N 0 3 233.302 2.533 20 0 BFADHN C[C@@H]1CCCCN(CCn2cc(Cl)cn2)C1 ZINC000459417369 203278167 /nfs/dbraw/zinc/27/81/67/203278167.db2.gz YVWFZEVCELVHRE-LLVKDONJSA-N 0 3 241.766 2.659 20 0 BFADHN CC(C)Oc1ccc(CN[C@@H]2CO[C@@H](C)C2)cc1 ZINC000402268328 369382884 /nfs/dbraw/zinc/38/28/84/369382884.db2.gz DCNRXCZIEGGAHR-JSGCOSHPSA-N 0 3 249.354 2.741 20 0 BFADHN CC(C)Cc1ccc(CN2CC(CCO)C2)cc1 ZINC000459589641 203308701 /nfs/dbraw/zinc/30/87/01/203308701.db2.gz IGKPICRPIFNGAN-UHFFFAOYSA-N 0 3 247.382 2.699 20 0 BFADHN CC(C)(C)C(C)(C)NCc1cn2cccnc2n1 ZINC000459592268 203310524 /nfs/dbraw/zinc/31/05/24/203310524.db2.gz PCYXQTNPHUETSY-UHFFFAOYSA-N 0 3 246.358 2.644 20 0 BFADHN C[C@@H](C1CCC1)N(C)Cc1cnc(C2CC2)nc1 ZINC000459551475 203298113 /nfs/dbraw/zinc/29/81/13/203298113.db2.gz OFXVGHRJQSGRGV-NSHDSACASA-N 0 3 245.370 2.974 20 0 BFADHN C[C@H](CF)NCCc1ccc(Cl)cc1 ZINC000459567178 203303123 /nfs/dbraw/zinc/30/31/23/203303123.db2.gz JJASNAUZCCDZHU-SECBINFHSA-N 0 3 215.699 2.830 20 0 BFADHN C/C(=C/c1ccccc1)CN1CC(C(C)(C)O)C1 ZINC000459615371 203316950 /nfs/dbraw/zinc/31/69/50/203316950.db2.gz WFPGFNCQSJDZAE-LCYFTJDESA-N 0 3 245.366 2.793 20 0 BFADHN COCC1(C)CN(C[C@@H](C)c2ccccc2)C1 ZINC000459626141 203320568 /nfs/dbraw/zinc/32/05/68/203320568.db2.gz YZCJYFBBBPYIKA-CYBMUJFWSA-N 0 3 233.355 2.758 20 0 BFADHN CC[C@H]1CCC[C@@H](CN2CC(C)(CO)C2)C1 ZINC000459624467 203321610 /nfs/dbraw/zinc/32/16/10/203321610.db2.gz VERVRNPDBHUORW-QWHCGFSZSA-N 0 3 225.376 2.517 20 0 BFADHN CC[C@@H]1CCC[C@@H](CN2CC(C)(CO)C2)C1 ZINC000459624465 203321841 /nfs/dbraw/zinc/32/18/41/203321841.db2.gz VERVRNPDBHUORW-CHWSQXEVSA-N 0 3 225.376 2.517 20 0 BFADHN C[C@H]1C[C@@H]1CN1CC(COc2ccccc2)C1 ZINC000459632905 203324543 /nfs/dbraw/zinc/32/45/43/203324543.db2.gz OKNPFKZQVDDSAC-GXTWGEPZSA-N 0 3 231.339 2.653 20 0 BFADHN COCCC1(C)CN(CCc2cccc(C)c2)C1 ZINC000459628321 203324862 /nfs/dbraw/zinc/32/48/62/203324862.db2.gz CKLGMEDLSDDVEM-UHFFFAOYSA-N 0 3 247.382 2.896 20 0 BFADHN CSC1(CNCc2ccns2)CCC1 ZINC000404491773 369430695 /nfs/dbraw/zinc/43/06/95/369430695.db2.gz UVENXMCDYQOACF-UHFFFAOYSA-N 0 3 228.386 2.518 20 0 BFADHN Cc1ccc(CNCCc2cn[nH]c2)c(Cl)c1 ZINC000404722614 369434301 /nfs/dbraw/zinc/43/43/01/369434301.db2.gz VXRMVZYFBWEZGU-UHFFFAOYSA-N 0 3 249.745 2.704 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@@H]2CCCOC2)nc1C ZINC000459659178 203331183 /nfs/dbraw/zinc/33/11/83/203331183.db2.gz CWVSFLSYRYHBCH-UONOGXRCSA-N 0 3 248.370 2.603 20 0 BFADHN Cc1nocc1CN[C@@H]1C[C@H]1CC(C)C ZINC000459659577 203331483 /nfs/dbraw/zinc/33/14/83/203331483.db2.gz RJGFQINXRHIQIH-ZYHUDNBSSA-N 0 3 208.305 2.507 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1cnccc1C ZINC000459672343 203333668 /nfs/dbraw/zinc/33/36/68/203333668.db2.gz HVLNKABMCAQLOJ-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1ncccc1C ZINC000459682378 203334559 /nfs/dbraw/zinc/33/45/59/203334559.db2.gz YNHNCJLNTWVOHP-CQSZACIVSA-N 0 3 248.370 2.781 20 0 BFADHN COc1cccc(CN(C)C2CC(C)(C)C2)n1 ZINC000459721002 203335440 /nfs/dbraw/zinc/33/54/40/203335440.db2.gz ABFSXHQHSJDDFG-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN CCC(CC)C[NH2+]Cc1nnc(C(C)(C)C)[n-]1 ZINC000459684857 203337983 /nfs/dbraw/zinc/33/79/83/203337983.db2.gz VNFUEZANPZSTJR-UHFFFAOYSA-N 0 3 238.379 2.628 20 0 BFADHN CCC(CC)CNCc1nnc(C(C)(C)C)[nH]1 ZINC000459684857 203337987 /nfs/dbraw/zinc/33/79/87/203337987.db2.gz VNFUEZANPZSTJR-UHFFFAOYSA-N 0 3 238.379 2.628 20 0 BFADHN CC(C)C(CN[C@H](C)c1ccn(C)n1)C(C)C ZINC000459740179 203350125 /nfs/dbraw/zinc/35/01/25/203350125.db2.gz DOMODPHLRKIRIX-GFCCVEGCSA-N 0 3 237.391 2.999 20 0 BFADHN CCOC[C@@H]1CCCCN1C[C@@H](F)CC ZINC000459707697 203339907 /nfs/dbraw/zinc/33/99/07/203339907.db2.gz JSKVJBAPXMHZLD-RYUDHWBXSA-N 0 3 217.328 2.626 20 0 BFADHN CCC[C@@H](C)CN[C@@H](C)c1ccn(C)n1 ZINC000459742126 203349625 /nfs/dbraw/zinc/34/96/25/203349625.db2.gz FSMBDNRQIBZEQD-MNOVXSKESA-N 0 3 209.337 2.507 20 0 BFADHN Cc1ncc(CNCCc2cccc(C)c2)o1 ZINC000459721689 203342608 /nfs/dbraw/zinc/34/26/08/203342608.db2.gz ZIXUDACYFGNJKW-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1cccc([C@H](C)N2CCCOCC2)c1 ZINC000072583735 369478741 /nfs/dbraw/zinc/47/87/41/369478741.db2.gz FWTDSCKTFZDVSB-ZDUSSCGKSA-N 0 3 219.328 2.778 20 0 BFADHN C[C@H](CCC1CC1)N[C@H](C)c1ccn(C)n1 ZINC000459739150 203349018 /nfs/dbraw/zinc/34/90/18/203349018.db2.gz DDDYSQWGBJPSNU-GHMZBOCLSA-N 0 3 221.348 2.649 20 0 BFADHN CCCCCNCc1ccnn1CC1CCC1 ZINC000631032059 370969697 /nfs/dbraw/zinc/96/96/97/370969697.db2.gz QSAZBOXFWJVECH-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN c1c(CNCC[C@@H]2CC=CCC2)nn2c1CCC2 ZINC000623698388 369504167 /nfs/dbraw/zinc/50/41/67/369504167.db2.gz CLOKCLCYLISSCN-CYBMUJFWSA-N 0 3 245.370 2.665 20 0 BFADHN COc1ccncc1CNC1(C2CCC2)CCC1 ZINC000459761043 203359109 /nfs/dbraw/zinc/35/91/09/203359109.db2.gz TXNXTTYTLAUIHU-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN C(=C\c1ccccc1)\CN1C[C@@H]2C[C@H]1CS2 ZINC000459768019 203361653 /nfs/dbraw/zinc/36/16/53/203361653.db2.gz PYYJGQRMKPHBMQ-KUTPXYQMSA-N 0 3 231.364 2.890 20 0 BFADHN CN(CCc1ccncc1)Cc1cccs1 ZINC000060474206 369486780 /nfs/dbraw/zinc/48/67/80/369486780.db2.gz RBTZTNYDELXPQG-UHFFFAOYSA-N 0 3 232.352 2.818 20 0 BFADHN CCC[C@@H](NCc1nccnc1OC)C1CCC1 ZINC000459771208 203362852 /nfs/dbraw/zinc/36/28/52/203362852.db2.gz STNYRKFOKPMOFI-GFCCVEGCSA-N 0 3 249.358 2.544 20 0 BFADHN CCCCNCc1c(OC)cc(F)cc1OC ZINC000631030694 370963265 /nfs/dbraw/zinc/96/32/65/370963265.db2.gz XEYIJIDAXYEMCV-UHFFFAOYSA-N 0 3 241.306 2.733 20 0 BFADHN CCc1ccc(CN2C[C@@H]3C[C@H]2CS3)cc1 ZINC000459774630 203364766 /nfs/dbraw/zinc/36/47/66/203364766.db2.gz WDXFTQBFKOHYCI-KBPBESRZSA-N 0 3 233.380 2.939 20 0 BFADHN CCC[C@@H](NCc1ncc(C)n1C)C1CCC1 ZINC000459779533 203364776 /nfs/dbraw/zinc/36/47/76/203364776.db2.gz ZYJDZAUDYLMWGG-CYBMUJFWSA-N 0 3 235.375 2.787 20 0 BFADHN c1cc2c(cccc2CN2C[C@@H]3C[C@H]2CS3)[nH]1 ZINC000459743454 203352366 /nfs/dbraw/zinc/35/23/66/203352366.db2.gz CPJKHVPVQAUXSD-RYUDHWBXSA-N 0 3 244.363 2.858 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1ccc(Cl)o1 ZINC000459744510 203352556 /nfs/dbraw/zinc/35/25/56/203352556.db2.gz RRAIMYTYLVBBTR-ZJUUUORDSA-N 0 3 229.707 2.590 20 0 BFADHN CC[C@@H]1OCC[C@H]1NC/C=C/c1ccc(F)cc1 ZINC000459750398 203353184 /nfs/dbraw/zinc/35/31/84/203353184.db2.gz ZWSBPENCRGVSMS-RYIMYRPNSA-N 0 3 249.329 2.996 20 0 BFADHN C[C@@H](NCCCc1ccsc1)c1ccn(C)n1 ZINC000459798698 203373861 /nfs/dbraw/zinc/37/38/61/203373861.db2.gz ULSIDEVQCCHQJW-LLVKDONJSA-N 0 3 249.383 2.765 20 0 BFADHN CCC1CCN(CCc2c(C)noc2C)CC1 ZINC000164898355 203381774 /nfs/dbraw/zinc/38/17/74/203381774.db2.gz OFICLTYNDDDAPJ-UHFFFAOYSA-N 0 3 236.359 2.956 20 0 BFADHN CC(C)CSCCCN1C[C@@H]2C[C@H]1CS2 ZINC000459784537 203367547 /nfs/dbraw/zinc/36/75/47/203367547.db2.gz ACIVNOPMKNNBGI-RYUDHWBXSA-N 0 3 245.457 2.955 20 0 BFADHN C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1nnc(C2CC2)s1 ZINC000459796896 203371963 /nfs/dbraw/zinc/37/19/63/203371963.db2.gz ZDCCEMJZIXSTGF-OUAUKWLOSA-N 0 3 249.383 2.694 20 0 BFADHN C(N[C@H]1CCC[C@@H]2C[C@@H]21)c1nnc(C2CC2)s1 ZINC000459796898 203372077 /nfs/dbraw/zinc/37/20/77/203372077.db2.gz ZDCCEMJZIXSTGF-VWYCJHECSA-N 0 3 249.383 2.694 20 0 BFADHN CC[C@@H](C(=O)OC)N(C)C[C@@H](C)C(C)(C)C ZINC000619845850 369618737 /nfs/dbraw/zinc/61/87/37/369618737.db2.gz TXGOGOMQZIGCGU-MNOVXSKESA-N 0 3 229.364 2.552 20 0 BFADHN CC[C@@H](C(=O)OC)N(C)C[C@H](C)C(C)(C)C ZINC000619845851 369618773 /nfs/dbraw/zinc/61/87/73/369618773.db2.gz TXGOGOMQZIGCGU-QWRGUYRKSA-N 0 3 229.364 2.552 20 0 BFADHN COC(=O)[C@]1(C)CCCN1C[C@H](C)C(C)(C)C ZINC000619845825 369618903 /nfs/dbraw/zinc/61/89/03/369618903.db2.gz NIMCLZOLIVXTBQ-FZMZJTMJSA-N 0 3 241.375 2.696 20 0 BFADHN CCN(Cc1cncc(Cl)c1)C1CC1 ZINC000621246865 370181400 /nfs/dbraw/zinc/18/14/00/370181400.db2.gz WYBZWFAJLUWCAI-UHFFFAOYSA-N 0 3 210.708 2.719 20 0 BFADHN Cc1ccc(CNCCc2ccc(C)nc2)o1 ZINC000111396330 370139775 /nfs/dbraw/zinc/13/97/75/370139775.db2.gz JFCSWLXXLZATPQ-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CCN(Cc1cncc(Cl)c1)C[C@@H](C)OC ZINC000621297373 370224998 /nfs/dbraw/zinc/22/49/98/370224998.db2.gz LURAABSTRCINLB-SNVBAGLBSA-N 0 3 242.750 2.592 20 0 BFADHN Cc1cc(CN2CCC[C@H](OC(C)C)C2)ccn1 ZINC000621311910 370236278 /nfs/dbraw/zinc/23/62/78/370236278.db2.gz BOQIVHWKFXQYDJ-HNNXBMFYSA-N 0 3 248.370 2.779 20 0 BFADHN CC[C@H](N[C@@H](C)c1cscn1)[C@H]1CCOC1 ZINC000309717498 370247562 /nfs/dbraw/zinc/24/75/62/370247562.db2.gz UORHJSILMBRBHT-DCAQKATOSA-N 0 3 240.372 2.609 20 0 BFADHN CCCCN(CCOC)Cc1ccnc(C)c1 ZINC000621257428 370189437 /nfs/dbraw/zinc/18/94/37/370189437.db2.gz WIZZLAPGRBFFBU-UHFFFAOYSA-N 0 3 236.359 2.639 20 0 BFADHN C[C@@H]1CCN(Cc2cncc(Cl)c2)[C@H]1C ZINC000621261594 370195064 /nfs/dbraw/zinc/19/50/64/370195064.db2.gz WOSPBDDCZSVIAH-ZJUUUORDSA-N 0 3 224.735 2.965 20 0 BFADHN COCc1ccc(CNCC[C@@H]2CC2(F)F)o1 ZINC000621378050 370319898 /nfs/dbraw/zinc/31/98/98/370319898.db2.gz MMZHJXHDBXEJFC-SECBINFHSA-N 0 3 245.269 2.561 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccccc2)n[nH]1 ZINC000309769957 370257457 /nfs/dbraw/zinc/25/74/57/370257457.db2.gz KGUQUFKQARDVRH-NSHDSACASA-N 0 3 215.300 2.569 20 0 BFADHN CCCc1ccc(CNC2(CO)CCC2)s1 ZINC000621341430 370274729 /nfs/dbraw/zinc/27/47/29/370274729.db2.gz NLUFFMPMMJHHQX-UHFFFAOYSA-N 0 3 239.384 2.705 20 0 BFADHN CC[C@@H](CNCc1cnc(C2CC2)s1)OC ZINC000310014391 370288998 /nfs/dbraw/zinc/28/89/98/370288998.db2.gz KFHGDBOZGJZENU-JTQLQIEISA-N 0 3 240.372 2.535 20 0 BFADHN CCOc1cncc(CNC2(C3(C)CC3)CC2)c1 ZINC000621360057 370293874 /nfs/dbraw/zinc/29/38/74/370293874.db2.gz DYNHDPOTIPSUNP-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN Cc1nccnc1CN[C@]1(C)CCCC[C@@H]1C ZINC000621360398 370294536 /nfs/dbraw/zinc/29/45/36/370294536.db2.gz VMAIQQJWNKFXLW-SMDDNHRTSA-N 0 3 233.359 2.843 20 0 BFADHN CCCc1ccc(CN[C@H](CO)CCF)s1 ZINC000621369937 370305141 /nfs/dbraw/zinc/30/51/41/370305141.db2.gz YZOWQNJUFDKTLF-JTQLQIEISA-N 0 3 245.363 2.511 20 0 BFADHN CCOc1cncc(CN[C@@]2(C)CC2(C)C)c1 ZINC000621371360 370308160 /nfs/dbraw/zinc/30/81/60/370308160.db2.gz BFWTYDTZXBSBTH-AWEZNQCLSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1ccc(NC(=O)CN[C@H]2CCC2(C)C)cc1 ZINC000310914954 370371605 /nfs/dbraw/zinc/37/16/05/370371605.db2.gz IICIURHDAYLCRV-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN CCCC[C@@H](C(=O)NC[C@@H](C)N(C)C)C(C)C ZINC000621539008 370383196 /nfs/dbraw/zinc/38/31/96/370383196.db2.gz CSOUYUDVCUNUAN-CHWSQXEVSA-N 0 3 242.407 2.515 20 0 BFADHN CCOc1cncc(CN2CCCCCC2)c1 ZINC000621709102 370454910 /nfs/dbraw/zinc/45/49/10/370454910.db2.gz LJLSYUMCUZIHJX-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN CCN(Cc1nccnc1C)C1CCCC1 ZINC000621711715 370458039 /nfs/dbraw/zinc/45/80/39/370458039.db2.gz DSAVHWLASDQBBT-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN CCOc1cncc(CN(C)[C@H](C)CC)c1 ZINC000621712122 370459197 /nfs/dbraw/zinc/45/91/97/370459197.db2.gz TVQCNWKUJMCXNV-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN CC[C@H](C)CN(CC)Cc1nccnc1C ZINC000621719372 370460987 /nfs/dbraw/zinc/46/09/87/370460987.db2.gz KRSGJKAKOCEMDI-NSHDSACASA-N 0 3 221.348 2.653 20 0 BFADHN CC[C@@H]1CCCN(Cc2nccnc2C)CC1 ZINC000621717984 370465742 /nfs/dbraw/zinc/46/57/42/370465742.db2.gz VKWUSVIATUQMAS-CYBMUJFWSA-N 0 3 233.359 2.797 20 0 BFADHN CCOc1cncc(CN2C[C@@H](C)C[C@@H]2C)c1 ZINC000621717843 370467787 /nfs/dbraw/zinc/46/77/87/370467787.db2.gz PRGGWQGCFRABSM-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN CCOc1cncc(CN2[C@@H](C)CC[C@@H]2C)c1 ZINC000621719417 370469114 /nfs/dbraw/zinc/46/91/14/370469114.db2.gz MCYFVZMSOQHJEP-RYUDHWBXSA-N 0 3 234.343 2.853 20 0 BFADHN CCCc1ccc(CN2CC[C@@](C)(O)C2)s1 ZINC000621719072 370469259 /nfs/dbraw/zinc/46/92/59/370469259.db2.gz AHVFSTRIRHXDDV-CYBMUJFWSA-N 0 3 239.384 2.657 20 0 BFADHN Cc1nccnc1CN1CC[C@@H](C)C2(CCC2)C1 ZINC000621721518 370472185 /nfs/dbraw/zinc/47/21/85/370472185.db2.gz RNYUTCVPXUEPLU-GFCCVEGCSA-N 0 3 245.370 2.797 20 0 BFADHN CCC[C@H]1CCCCN1Cc1nccnc1C ZINC000621722427 370473301 /nfs/dbraw/zinc/47/33/01/370473301.db2.gz WTTUFFFVFBKPHO-ZDUSSCGKSA-N 0 3 233.359 2.940 20 0 BFADHN CCCc1ccc(CN(C)C[C@H](C)O)s1 ZINC000621722148 370473316 /nfs/dbraw/zinc/47/33/16/370473316.db2.gz MOBUOQNGJVGHAI-JTQLQIEISA-N 0 3 227.373 2.513 20 0 BFADHN Cc1nccnc1CN(C)[C@H]1CCCC[C@@H]1C ZINC000621726386 370479078 /nfs/dbraw/zinc/47/90/78/370479078.db2.gz UVTULMGAMSUBNU-FZMZJTMJSA-N 0 3 233.359 2.796 20 0 BFADHN c1csc(CN2CC(CC3CC3)C2)c1 ZINC000621741443 370500752 /nfs/dbraw/zinc/50/07/52/370500752.db2.gz RROVAHZIQTWMDZ-UHFFFAOYSA-N 0 3 207.342 2.980 20 0 BFADHN C[C@H]1C[C@H]1CN1CC(Cc2ccsc2)C1 ZINC000621741223 370500941 /nfs/dbraw/zinc/50/09/41/370500941.db2.gz IPTANHQUKBJSGX-GWCFXTLKSA-N 0 3 221.369 2.878 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@@H]2CC2(F)F)no1 ZINC000621768405 370510637 /nfs/dbraw/zinc/51/06/37/370510637.db2.gz OORFNQYNVRBPHT-RKDXNWHRSA-N 0 3 230.258 2.679 20 0 BFADHN C[C@@H](NCC[C@@H]1CC1(F)F)c1ccco1 ZINC000621770628 370513901 /nfs/dbraw/zinc/51/39/01/370513901.db2.gz UWAJFKAWXJACCU-RKDXNWHRSA-N 0 3 215.243 2.976 20 0 BFADHN Cc1nccnc1CN1CC(C)(C)[C@H]2CCC[C@H]21 ZINC000621729055 370481805 /nfs/dbraw/zinc/48/18/05/370481805.db2.gz HLYSYKBUGMHSQN-GXTWGEPZSA-N 0 3 245.370 2.796 20 0 BFADHN CCCc1ccc(CN(C)[C@H](C)CCO)s1 ZINC000621731800 370485657 /nfs/dbraw/zinc/48/56/57/370485657.db2.gz AAWUJHQNFKNSJG-LLVKDONJSA-N 0 3 241.400 2.903 20 0 BFADHN CCOc1cncc(CN2C[C@H](C)[C@H](C)[C@H]2C)c1 ZINC000621731694 370486097 /nfs/dbraw/zinc/48/60/97/370486097.db2.gz XGOCSMKNHFIOPS-RWMBFGLXSA-N 0 3 248.370 2.957 20 0 BFADHN Cc1ccncc1CN1CC(CC2CC2)C1 ZINC000621740556 370492881 /nfs/dbraw/zinc/49/28/81/370492881.db2.gz WLYYMWHTQHBVNK-UHFFFAOYSA-N 0 3 216.328 2.622 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CC(CC2CC2)C1 ZINC000621740168 370498041 /nfs/dbraw/zinc/49/80/41/370498041.db2.gz BKINCELCNASPDV-UHFFFAOYSA-N 0 3 247.386 2.939 20 0 BFADHN CCCC[C@@H](C)N(C)CC(=O)N[C@@H](C)C(C)C ZINC000568698497 326372346 /nfs/dbraw/zinc/37/23/46/326372346.db2.gz NPYJYZJSYJMEFN-OLZOCXBDSA-N 0 3 242.407 2.658 20 0 BFADHN C/C=C/CNCc1cc(OC)cc2c1O[C@@H](C)C2 ZINC000623739484 371073489 /nfs/dbraw/zinc/07/34/89/371073489.db2.gz YUQSZXFCGPYRBP-ZWNMCFTASA-N 0 3 247.338 2.684 20 0 BFADHN C/C=C/CNCc1ccc2c(c1)OCCCCO2 ZINC000623740000 371074544 /nfs/dbraw/zinc/07/45/44/371074544.db2.gz KIFUYWAYIXXYCB-NSCUHMNNSA-N 0 3 247.338 2.904 20 0 BFADHN C/C=C\CNCc1ccc(O[C@@H]2CCOC2)cc1 ZINC000623740171 371074700 /nfs/dbraw/zinc/07/47/00/371074700.db2.gz OFXSMNWSXSNSMZ-MPNWYJEXSA-N 0 3 247.338 2.520 20 0 BFADHN Cc1noc(C)c1CNC[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000623740452 371075702 /nfs/dbraw/zinc/07/57/02/371075702.db2.gz WXZOHOJUEVEYSD-DABQJJPHSA-N 0 3 234.343 2.817 20 0 BFADHN Cc1ccc(CN[C@H](CO)CC(C)C)c(F)c1 ZINC000631049772 371094223 /nfs/dbraw/zinc/09/42/23/371094223.db2.gz ODUFWWDIBKSIQO-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN C[C@@H]1C[C@H]1CN[C@H]1CCCc2scnc21 ZINC000623874687 371137329 /nfs/dbraw/zinc/13/73/29/371137329.db2.gz OEAAAWQDKTYJJL-UTLUCORTSA-N 0 3 222.357 2.766 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc([C@@H]3C[C@H]3C)o2)C1 ZINC000623806715 371100997 /nfs/dbraw/zinc/10/09/97/371100997.db2.gz CZQMRUZYJRTRHR-FPQZTECRSA-N 0 3 235.327 2.670 20 0 BFADHN Cc1csc([C@@H](C)NC[C@]2(C)CCOC2)n1 ZINC000623893664 371144310 /nfs/dbraw/zinc/14/43/10/371144310.db2.gz YJRSDKVKOKRBAV-PWSUYJOCSA-N 0 3 240.372 2.529 20 0 BFADHN CCc1ccc([C@H](C)NCCSC)o1 ZINC000154824141 371175974 /nfs/dbraw/zinc/17/59/74/371175974.db2.gz IKOMTLCEURSRDJ-VIFPVBQESA-N 0 3 213.346 2.856 20 0 BFADHN C[C@@H]1CC[C@@H]1N[C@H]1CCCc2scnc21 ZINC000623983220 371215700 /nfs/dbraw/zinc/21/57/00/371215700.db2.gz UWTNBRQGOCAFII-UTLUCORTSA-N 0 3 222.357 2.909 20 0 BFADHN Cc1ccc(CNCC2(O)CCCC2)c(F)c1 ZINC000631067622 371280976 /nfs/dbraw/zinc/28/09/76/371280976.db2.gz DAMDWPZGZMDHSV-UHFFFAOYSA-N 0 3 237.318 2.529 20 0 BFADHN O=c1ccc(CNC2(c3ccccc3)CC2)c[nH]1 ZINC000631069602 371295196 /nfs/dbraw/zinc/29/51/96/371295196.db2.gz WQOVZPUKWPMGKY-UHFFFAOYSA-N 0 3 240.306 2.566 20 0 BFADHN Cc1noc(C)c1CNCCCC1CC1 ZINC000124967259 371266061 /nfs/dbraw/zinc/26/60/61/371266061.db2.gz IZDYZEBYZKXRNW-UHFFFAOYSA-N 0 3 208.305 2.571 20 0 BFADHN CC(C)[C@@H](C)NCc1c(C(F)(F)F)cnn1C ZINC000624052174 371278078 /nfs/dbraw/zinc/27/80/78/371278078.db2.gz ZTOKXEAFAITLHU-MRVPVSSYSA-N 0 3 249.280 2.573 20 0 BFADHN C/C=C\CN[C@@H](C)c1ncccc1CC ZINC000624198220 371387822 /nfs/dbraw/zinc/38/78/22/371387822.db2.gz IVKWNWRLDRDIJA-QZPNVGJNSA-N 0 3 204.317 2.871 20 0 BFADHN CC=CC[NH2+][C@@H](c1nnc[n-]1)C1CCCCC1 ZINC000624199467 371389787 /nfs/dbraw/zinc/38/97/87/371389787.db2.gz PXMAKDMPUXORRM-ZZKXABKFSA-N 0 3 234.347 2.592 20 0 BFADHN CC=CCN[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000624199467 371389792 /nfs/dbraw/zinc/38/97/92/371389792.db2.gz PXMAKDMPUXORRM-ZZKXABKFSA-N 0 3 234.347 2.592 20 0 BFADHN C[C@@H](NC[C@]1(C)C[C@H]2C[C@H]2C1)c1ccn(C)n1 ZINC000624201139 371392972 /nfs/dbraw/zinc/39/29/72/371392972.db2.gz ZLHIVSOPBDHHBG-NRWUCQMLSA-N 0 3 233.359 2.507 20 0 BFADHN Cc1ccc(SCCNCc2cn[nH]c2)cc1 ZINC000624211934 371397607 /nfs/dbraw/zinc/39/76/07/371397607.db2.gz KLVKRUWZLZZZOA-UHFFFAOYSA-N 0 3 247.367 2.600 20 0 BFADHN CC[C@H](NC[C@H]1C[C@@H](O)C1)c1ccccc1F ZINC000624218126 371405987 /nfs/dbraw/zinc/40/59/87/371405987.db2.gz SRZXJWPCIKDNPL-WDMOLILDSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@@H](NC[C@H]1C[C@@H](O)C1)c1ccccc1F ZINC000624218125 371406543 /nfs/dbraw/zinc/40/65/43/371406543.db2.gz SRZXJWPCIKDNPL-UHIISALHSA-N 0 3 237.318 2.637 20 0 BFADHN C/C=C\CN[C@H]1CCc2ccc(F)cc21 ZINC000624197888 371383735 /nfs/dbraw/zinc/38/37/35/371383735.db2.gz ZMWQOVFZIRHGJX-ZRMMWKCHSA-N 0 3 205.276 2.979 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc(C)nc1C ZINC000624197084 371384798 /nfs/dbraw/zinc/38/47/98/371384798.db2.gz AICOGAUHYHIBCH-QRGHLMKCSA-N 0 3 204.317 2.925 20 0 BFADHN C/C=C\CN[C@@H](C)c1cnn(C(C)C)c1C ZINC000624198720 371385516 /nfs/dbraw/zinc/38/55/16/371385516.db2.gz VSUSXLBYDBWIAH-ZADCQDASSA-N 0 3 221.348 2.999 20 0 BFADHN COC(C)(C)CNCc1ccc(C)cc1F ZINC000631078861 371445376 /nfs/dbraw/zinc/44/53/76/371445376.db2.gz HLCOPHNWABNLHW-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN C[C@H](NC[C@H]1CCCC12CC2)c1ccncn1 ZINC000624315624 371480012 /nfs/dbraw/zinc/48/00/12/371480012.db2.gz ASBGXADEBFXSMW-NWDGAFQWSA-N 0 3 231.343 2.708 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@H]1CCCC13CC3)CCC2 ZINC000624317147 371480041 /nfs/dbraw/zinc/48/00/41/371480041.db2.gz YBEZGQTYTSUYJV-CHWSQXEVSA-N 0 3 245.370 2.888 20 0 BFADHN Cc1ccc(CNCC(C)(C)CCO)c(F)c1 ZINC000631082018 371555078 /nfs/dbraw/zinc/55/50/78/371555078.db2.gz XFAHNNQANMPOPY-UHFFFAOYSA-N 0 3 239.334 2.632 20 0 BFADHN Cc1cc(CN[C@H]2C[C@H]2Cc2ccccc2)on1 ZINC000631080707 371498226 /nfs/dbraw/zinc/49/82/26/371498226.db2.gz VXLIMFPGKXHDGW-HIFRSBDPSA-N 0 3 242.322 2.704 20 0 BFADHN CCN(CCN1CCCCC1)Cc1ccoc1 ZINC000132262025 371778004 /nfs/dbraw/zinc/77/80/04/371778004.db2.gz IRZJOMDTKGBCFF-UHFFFAOYSA-N 0 3 236.359 2.587 20 0 BFADHN COC1(CNCc2ccns2)CCCCC1 ZINC000404336009 372082243 /nfs/dbraw/zinc/08/22/43/372082243.db2.gz QZFSKTGXTVTIQR-UHFFFAOYSA-N 0 3 240.372 2.582 20 0 BFADHN Cc1cc(CNCCc2cc(C)ccc2F)on1 ZINC000631152845 372210101 /nfs/dbraw/zinc/21/01/01/372210101.db2.gz TZTIJMDVFSEPMG-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1ccc(C)cc1F ZINC000631157665 372211684 /nfs/dbraw/zinc/21/16/84/372211684.db2.gz DOGNKEYVEKQNGE-KGLIPLIRSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ccc(CN[C@@H]2CC23CC3)c(F)c1 ZINC000631163761 372214219 /nfs/dbraw/zinc/21/42/19/372214219.db2.gz UQFJCHJOACHNTE-GFCCVEGCSA-N 0 3 205.276 2.776 20 0 BFADHN CC1(C)CC(NCc2ccnn2CC2CCC2)C1 ZINC000631178998 372219507 /nfs/dbraw/zinc/21/95/07/372219507.db2.gz QGJGRRAWBZCBLW-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN Cc1cc(CNCC(C)(C)F)ccc1F ZINC000631190115 372224795 /nfs/dbraw/zinc/22/47/95/372224795.db2.gz XOIRTGIHGCPLPB-UHFFFAOYSA-N 0 3 213.271 2.972 20 0 BFADHN FC1(CNCc2ccc3cccnc3c2)CC1 ZINC000631207594 372236894 /nfs/dbraw/zinc/23/68/94/372236894.db2.gz SHOVXIKMKBCNLI-UHFFFAOYSA-N 0 3 230.286 2.827 20 0 BFADHN C[C@@H](CNCc1cnc2ccccc2n1)C1CC1 ZINC000134295395 372193180 /nfs/dbraw/zinc/19/31/80/372193180.db2.gz AMPOOLWBHDRGFT-NSHDSACASA-N 0 3 241.338 2.766 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C\c2ccc(F)cc2)[C@H](C)O1 ZINC000631223022 372246803 /nfs/dbraw/zinc/24/68/03/372246803.db2.gz RRMIMCVJDKCMMC-CCGHXBCKSA-N 0 3 249.329 2.994 20 0 BFADHN CC(C)n1cc(CN[C@@]23C[C@@H]2CCCC3)cn1 ZINC000631226146 372247806 /nfs/dbraw/zinc/24/78/06/372247806.db2.gz LPBSTWRZOUDQFO-KBPBESRZSA-N 0 3 233.359 2.886 20 0 BFADHN c1ccc(CN[C@@]23C[C@@H]2CCCC3)nc1 ZINC000631228578 372250525 /nfs/dbraw/zinc/25/05/25/372250525.db2.gz ZTWAMBSLKYSEBI-AAEUAGOBSA-N 0 3 202.301 2.504 20 0 BFADHN CCC[C@@H](NC[C@H](C)N(C)C)c1cc(C)ccn1 ZINC000631578321 372438751 /nfs/dbraw/zinc/43/87/51/372438751.db2.gz RCBPJSMDSLQLDI-UONOGXRCSA-N 0 3 249.402 2.771 20 0 BFADHN CCC[C@H](NCC[C@@H](C)O)c1cc(C)ccn1 ZINC000631582571 372440371 /nfs/dbraw/zinc/44/03/71/372440371.db2.gz MCANPLRQZAGOTJ-OLZOCXBDSA-N 0 3 236.359 2.592 20 0 BFADHN FC1(F)CC(N[C@H]2CCCc3ncccc32)C1 ZINC000631620559 372464820 /nfs/dbraw/zinc/46/48/20/372464820.db2.gz HMBWUXAMAGFENL-LBPRGKRZSA-N 0 3 238.281 2.846 20 0 BFADHN COC1(CN[C@H]2CCCc3ncccc32)CCC1 ZINC000631618002 372465087 /nfs/dbraw/zinc/46/50/87/372465087.db2.gz ZAYONZXEOGFWPI-AWEZNQCLSA-N 0 3 246.354 2.618 20 0 BFADHN CC1(C)SC[C@H]1N[C@H]1CCCc2ncccc21 ZINC000631624875 372467475 /nfs/dbraw/zinc/46/74/75/372467475.db2.gz DCHOURGNVQKUCM-QWHCGFSZSA-N 0 3 248.395 2.943 20 0 BFADHN CC[C@@H](N[C@H]1CCCC12CC2)c1nccn1C ZINC000631666349 372491698 /nfs/dbraw/zinc/49/16/98/372491698.db2.gz XLNGLRDWCWTASE-NEPJUHHUSA-N 0 3 233.359 2.794 20 0 BFADHN COc1cc(C)ccc1[C@@H](C)N[C@H]1CCC[C@@H]1O ZINC000631740660 372541078 /nfs/dbraw/zinc/54/10/78/372541078.db2.gz APZMACWWRGPSRX-XBFCOCLRSA-N 0 3 249.354 2.568 20 0 BFADHN CCc1cccc(NC(=O)CN(C)C(C)(C)C)c1 ZINC000521869592 259555289 /nfs/dbraw/zinc/55/52/89/259555289.db2.gz HLDPZXGCNUYAMV-UHFFFAOYSA-N 0 3 248.370 2.918 20 0 BFADHN Cc1cc(CN(C2CC2)C2CCCC2)on1 ZINC000171617397 372710790 /nfs/dbraw/zinc/71/07/90/372710790.db2.gz BTJFKMOWEGYEPE-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN c1cc(-c2ccc(N3CCNCC3)cc2)cs1 ZINC000142411365 373466188 /nfs/dbraw/zinc/46/61/88/373466188.db2.gz BZANAMYTHDZKOI-UHFFFAOYSA-N 0 3 244.363 2.825 20 0 BFADHN Cn1ccnc1[C@H](NCC(C)(C)C)C1CC1 ZINC000187761949 205815405 /nfs/dbraw/zinc/81/54/05/205815405.db2.gz PFNHSEPBXBZVCP-LLVKDONJSA-N 0 3 221.348 2.507 20 0 BFADHN CCn1cncc1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000417697683 205907402 /nfs/dbraw/zinc/90/74/02/205907402.db2.gz CZEOYHMIWCBBSO-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN CCC(C)(CC)NCc1cn(C(C)(C)C)nn1 ZINC000191089997 205929613 /nfs/dbraw/zinc/92/96/13/205929613.db2.gz LCICHFHMJCUPAI-UHFFFAOYSA-N 0 3 238.379 2.701 20 0 BFADHN c1n[nH]cc1CN[C@@H](c1ccccc1)C1CC1 ZINC000041075752 374106343 /nfs/dbraw/zinc/10/63/43/374106343.db2.gz JGYGHCNIRQZIPH-AWEZNQCLSA-N 0 3 227.311 2.651 20 0 BFADHN CC(C)c1cnc(CNCCCCCO)s1 ZINC000192907367 205969783 /nfs/dbraw/zinc/96/97/83/205969783.db2.gz CWANDVYAVWSBHR-UHFFFAOYSA-N 0 3 242.388 2.519 20 0 BFADHN COc1cc(CN(C)CCSC)ccc1C ZINC000193979753 206000450 /nfs/dbraw/zinc/00/04/50/206000450.db2.gz GHSFCFLOCWTFSO-UHFFFAOYSA-N 0 3 239.384 2.798 20 0 BFADHN C[C@H](N[C@H]1CCOC1)c1ccc(Cl)s1 ZINC000070966252 374236666 /nfs/dbraw/zinc/23/66/66/374236666.db2.gz HQBFZJKOTMQKQT-YUMQZZPRSA-N 0 3 231.748 2.841 20 0 BFADHN Fc1ccc(F)c(OCCN2CCCCC2)c1 ZINC000178197385 206006762 /nfs/dbraw/zinc/00/67/62/206006762.db2.gz BHYBEDKUJXFMHM-UHFFFAOYSA-N 0 3 241.281 2.830 20 0 BFADHN CN(Cc1cnc2ccccn12)C1CC(C)(C)C1 ZINC000459731248 206013682 /nfs/dbraw/zinc/01/36/82/206013682.db2.gz LACBENISXYUMMK-UHFFFAOYSA-N 0 3 243.354 2.955 20 0 BFADHN Cc1cc(CNCCc2ccccc2C)on1 ZINC000383672535 374316294 /nfs/dbraw/zinc/31/62/94/374316294.db2.gz OMKRVJXRVLVXNZ-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CC[C@@H](C)CN[C@H](CC)c1nccn1C ZINC000421879522 206026920 /nfs/dbraw/zinc/02/69/20/206026920.db2.gz NHGQKRAYWLWUPX-GHMZBOCLSA-N 0 3 209.337 2.507 20 0 BFADHN COc1ccc([C@@H](C)N[C@@H]2CC23CC3)c(OC)c1 ZINC000424328867 206037238 /nfs/dbraw/zinc/03/72/38/206037238.db2.gz RBKWNRZVWVKSAM-QMTHXVAHSA-N 0 3 247.338 2.907 20 0 BFADHN CCCc1nc(C)c(CN2CCC[C@H]2C)o1 ZINC000428238031 206054439 /nfs/dbraw/zinc/05/44/39/206054439.db2.gz CFYGWXMDNUWKKP-SNVBAGLBSA-N 0 3 222.332 2.920 20 0 BFADHN COCC(C)(C)CN(C)Cc1scnc1C ZINC000426421590 206046901 /nfs/dbraw/zinc/04/69/01/206046901.db2.gz SLKRRHOFWHLBMU-UHFFFAOYSA-N 0 3 242.388 2.556 20 0 BFADHN CC(=O)Nc1ccccc1CN(C)[C@H]1CC1(C)C ZINC000426560921 206050657 /nfs/dbraw/zinc/05/06/57/206050657.db2.gz SHUHNDQCLPCZNF-AWEZNQCLSA-N 0 3 246.354 2.875 20 0 BFADHN CCn1nc(C)c(CN(C)[C@@H]2CC2(C)C)c1C ZINC000426580461 206051709 /nfs/dbraw/zinc/05/17/09/206051709.db2.gz RAPHVXOCULLVMC-CYBMUJFWSA-N 0 3 235.375 2.750 20 0 BFADHN Cc1cnc([C@@H](C)N(C)CC(C)(C)C)cn1 ZINC000428227701 206056684 /nfs/dbraw/zinc/05/66/84/206056684.db2.gz JPEWWDOJXKEYSO-LLVKDONJSA-N 0 3 221.348 2.824 20 0 BFADHN CC(C)c1nc(CN2CCC[C@@H]2C(C)C)n[nH]1 ZINC000428232034 206057683 /nfs/dbraw/zinc/05/76/83/206057683.db2.gz XXCJSGRCYCPFRA-LLVKDONJSA-N 0 3 236.363 2.549 20 0 BFADHN C[C@@H]1CC[C@H](CN2CC[C@]3(C)[C@H](C2)C3(F)F)O1 ZINC000428724313 206059838 /nfs/dbraw/zinc/05/98/38/206059838.db2.gz MKNUPRAFVQCZKL-WISYIIOYSA-N 0 3 245.313 2.531 20 0 BFADHN CC[C@@H](F)CN1CCC[C@@H](CSC)C1 ZINC000429312408 206060668 /nfs/dbraw/zinc/06/06/68/206060668.db2.gz WQOQBMPAABASNG-GHMZBOCLSA-N 0 3 219.369 2.810 20 0 BFADHN C[C@H](NCCc1ccco1)c1cncc(F)c1 ZINC000155998894 206072752 /nfs/dbraw/zinc/07/27/52/206072752.db2.gz IFICZOVIAOTMNO-JTQLQIEISA-N 0 3 234.274 2.707 20 0 BFADHN C[C@H]1CCN(CCn2cc(Cl)cn2)C[C@H]1C ZINC000459373795 206125019 /nfs/dbraw/zinc/12/50/19/206125019.db2.gz NGZYZNPEFAYLDQ-WDEREUQCSA-N 0 3 241.766 2.514 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cnc(C2CC2)nc1 ZINC000459500777 206130123 /nfs/dbraw/zinc/13/01/23/206130123.db2.gz NQEAALAPOYVSIB-BXUZGUMPSA-N 0 3 245.370 2.974 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1cc(C)on1 ZINC000459578229 206135953 /nfs/dbraw/zinc/13/59/53/206135953.db2.gz NANYFTWTKBYCHN-NEPJUHHUSA-N 0 3 222.332 2.899 20 0 BFADHN COc1cccc2c1C[C@@H](NCc1ccco1)C2 ZINC000459700085 206137357 /nfs/dbraw/zinc/13/73/57/206137357.db2.gz MLVMIQUXTOMPQA-LBPRGKRZSA-N 0 3 243.306 2.545 20 0 BFADHN COc1cccc2c1C[C@H](NCc1ccco1)C2 ZINC000459700083 206137439 /nfs/dbraw/zinc/13/74/39/206137439.db2.gz MLVMIQUXTOMPQA-GFCCVEGCSA-N 0 3 243.306 2.545 20 0 BFADHN COC(=O)CN(CCC(C)(C)C)C1CCCC1 ZINC000167622063 206147474 /nfs/dbraw/zinc/14/74/74/206147474.db2.gz ZROODCDFYNMGBL-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN CCOCCN1CCC[C@@H](Nc2ccccc2)C1 ZINC000155030881 170397923 /nfs/dbraw/zinc/39/79/23/170397923.db2.gz QSRQLHPOKHBSRZ-OAHLLOKOSA-N 0 3 248.370 2.599 20 0 BFADHN OC1(CCNCc2ccc(Cl)s2)CCC1 ZINC000284135029 183016821 /nfs/dbraw/zinc/01/68/21/183016821.db2.gz URJHMMAVJZTFEM-UHFFFAOYSA-N 0 3 245.775 2.796 20 0 BFADHN OCC1CCN(Cc2ccc3occc3c2)CC1 ZINC000289215091 183041313 /nfs/dbraw/zinc/04/13/13/183041313.db2.gz WXMPOFODLMBHRM-UHFFFAOYSA-N 0 3 245.322 2.637 20 0 BFADHN OCCC1(CNCc2ccco2)CCCCC1 ZINC000267807571 183042305 /nfs/dbraw/zinc/04/23/05/183042305.db2.gz LZFACBPRHLDPKE-UHFFFAOYSA-N 0 3 237.343 2.702 20 0 BFADHN OCCCCCCN(Cc1cccnc1)C1CC1 ZINC000180747833 183043952 /nfs/dbraw/zinc/04/39/52/183043952.db2.gz GAZDSYDHKSESDH-UHFFFAOYSA-N 0 3 248.370 2.599 20 0 BFADHN OCCCCN1Cc2ccccc2C2(CCC2)C1 ZINC000375614353 183050818 /nfs/dbraw/zinc/05/08/18/183050818.db2.gz WVXVZSLSUGZTBO-UHFFFAOYSA-N 0 3 245.366 2.696 20 0 BFADHN OCCCCN1CCC[C@@H]1c1cccc(F)c1 ZINC000264017565 183050982 /nfs/dbraw/zinc/05/09/82/183050982.db2.gz VEBBBQHALRKSBF-CQSZACIVSA-N 0 3 237.318 2.735 20 0 BFADHN OCCN(Cc1ccccc1)[C@@H]1C=CCCC1 ZINC000066494250 183069821 /nfs/dbraw/zinc/06/98/21/183069821.db2.gz GIHMRUSIFSPHQY-OAHLLOKOSA-N 0 3 231.339 2.590 20 0 BFADHN OCC[C@H](N[C@@H]1CC[C@H]1C1CCC1)c1ccco1 ZINC000338005923 183097249 /nfs/dbraw/zinc/09/72/49/183097249.db2.gz AVZUVIAOUBKKTJ-MJBXVCDLSA-N 0 3 249.354 2.871 20 0 BFADHN OCCN(Cc1ccccc1Cl)CC1CC1 ZINC000064516462 183070344 /nfs/dbraw/zinc/07/03/44/183070344.db2.gz VYRZBBXGIRVNSO-UHFFFAOYSA-N 0 3 239.746 2.544 20 0 BFADHN CCCC[C@H](CC)CNC(=O)[C@@H](N)[C@H](C)CC ZINC000040806569 168997348 /nfs/dbraw/zinc/99/73/48/168997348.db2.gz IAVMWZIXGBOLLO-AGIUHOORSA-N 0 3 242.407 2.692 20 0 BFADHN CCCC[C@H](COC)NCc1ccc(F)cn1 ZINC000362072942 169005106 /nfs/dbraw/zinc/00/51/06/169005106.db2.gz RVTSSPZJZDRZCP-CYBMUJFWSA-N 0 3 240.322 2.516 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1cccc(CC)c1 ZINC000037619276 169006823 /nfs/dbraw/zinc/00/68/23/169006823.db2.gz CNRKEZHJBOMTJW-ZDUSSCGKSA-N 0 3 234.343 2.705 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1cc(C)ccc1C ZINC000037619230 169006921 /nfs/dbraw/zinc/00/69/21/169006921.db2.gz UKTPEBZLHXNBEG-LBPRGKRZSA-N 0 3 234.343 2.759 20 0 BFADHN CCCC[C@@H](CC)CNC(=O)[C@@H](N)CCCC ZINC000040806501 169007695 /nfs/dbraw/zinc/00/76/95/169007695.db2.gz KAZRVSUUUHIYRL-OLZOCXBDSA-N 0 3 242.407 2.837 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1ccc(C)c(C)c1 ZINC000037619288 169007955 /nfs/dbraw/zinc/00/79/55/169007955.db2.gz VMFINGLVBCFVEA-ZDUSSCGKSA-N 0 3 234.343 2.759 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1ccc2[nH]ccc2c1 ZINC000040809842 169007960 /nfs/dbraw/zinc/00/79/60/169007960.db2.gz FELGWKQKMAFYEH-LBPRGKRZSA-N 0 3 245.326 2.624 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1cccc(Cl)c1 ZINC000037480450 169008197 /nfs/dbraw/zinc/00/81/97/169008197.db2.gz COMKMZMZBJCKCF-NSHDSACASA-N 0 3 240.734 2.796 20 0 BFADHN OC[C@@H](CC1CCCC1)NCc1ccsc1 ZINC000309601361 183104262 /nfs/dbraw/zinc/10/42/62/183104262.db2.gz WQALZRSEOYZBOA-CYBMUJFWSA-N 0 3 239.384 2.779 20 0 BFADHN OC[C@@H](CC1CCC1)N[C@H]1CCCc2occc21 ZINC000281176040 183104578 /nfs/dbraw/zinc/10/45/78/183104578.db2.gz QHQHRKKZZYZFOZ-OCCSQVGLSA-N 0 3 249.354 2.798 20 0 BFADHN OC[C@@H](NCCCCCF)c1ccc(F)cc1 ZINC000284172660 183107697 /nfs/dbraw/zinc/10/76/97/183107697.db2.gz QVVJSPSQJZSNAR-CYBMUJFWSA-N 0 3 243.297 2.589 20 0 BFADHN OC[C@@H](NCCCCCF)c1ccsc1 ZINC000308913720 183107735 /nfs/dbraw/zinc/10/77/35/183107735.db2.gz GKSJZSLILSCPMX-LLVKDONJSA-N 0 3 231.336 2.511 20 0 BFADHN OC[C@@H](NCCCCCF)c1cccc(F)c1 ZINC000341922380 183107850 /nfs/dbraw/zinc/10/78/50/183107850.db2.gz NJRKLIHFZAFCKT-CYBMUJFWSA-N 0 3 243.297 2.589 20 0 BFADHN OC[C@@H](N[C@@H]1CCC12CCC2)c1ccccc1 ZINC000282162701 183108984 /nfs/dbraw/zinc/10/89/84/183108984.db2.gz LTPAVUOEYIDELT-ZIAGYGMSSA-N 0 3 231.339 2.642 20 0 BFADHN OC[C@@H](N[C@@H]1C=CCCC1)c1ccc(F)cc1 ZINC000272973529 183109365 /nfs/dbraw/zinc/10/93/65/183109365.db2.gz COAQKVMIISEODG-ZIAGYGMSSA-N 0 3 235.302 2.557 20 0 BFADHN OC[C@@H](N[C@@H]1CCC12CCC2)c1ccc(F)cc1 ZINC000282119372 183109387 /nfs/dbraw/zinc/10/93/87/183109387.db2.gz JJUIZOFILSQDLJ-ZIAGYGMSSA-N 0 3 249.329 2.781 20 0 BFADHN OC[C@H](CNCc1sccc1Cl)C1CC1 ZINC000308855933 183137966 /nfs/dbraw/zinc/13/79/66/183137966.db2.gz OLHHZQGYOKJIAQ-VIFPVBQESA-N 0 3 245.775 2.510 20 0 BFADHN OC[C@H](NCCC1CCCC1)c1ccsc1 ZINC000336692020 183139785 /nfs/dbraw/zinc/13/97/85/183139785.db2.gz XFFAAABJQKSEQZ-ZDUSSCGKSA-N 0 3 239.384 2.951 20 0 BFADHN OC[C@@H]1CC[C@H](NCc2sccc2Cl)C1 ZINC000295110334 183125679 /nfs/dbraw/zinc/12/56/79/183125679.db2.gz UVMPBQAJLYVDSC-BDAKNGLRSA-N 0 3 245.775 2.652 20 0 BFADHN OC[C@H]1CCCN(C/C=C/c2ccc(F)cc2)C1 ZINC000156401037 183147759 /nfs/dbraw/zinc/14/77/59/183147759.db2.gz WDEYRCMHNKYKRW-YOUVMVQBSA-N 0 3 249.329 2.543 20 0 BFADHN OC[C@H]1CCCN(C/C=C\c2ccccc2)CC1 ZINC000339754204 183148623 /nfs/dbraw/zinc/14/86/23/183148623.db2.gz PFGODOPRGJWVGJ-FAOGRTBUSA-N 0 3 245.366 2.794 20 0 BFADHN OC[C@H]1CCCN(Cc2cc3ccccc3o2)C1 ZINC000268962660 183150000 /nfs/dbraw/zinc/15/00/00/183150000.db2.gz JCLPDKBRSPFZBA-LBPRGKRZSA-N 0 3 245.322 2.637 20 0 BFADHN OC[C@H]1CCC[C@H](NCc2ccc(Cl)o2)C1 ZINC000304687162 183152210 /nfs/dbraw/zinc/15/22/10/183152210.db2.gz ZHZFJBHKINQPMM-UWVGGRQHSA-N 0 3 243.734 2.574 20 0 BFADHN O[C@@H](CN1CCC2(CC2)CC1)c1ccccc1F ZINC000366635760 183175789 /nfs/dbraw/zinc/17/57/89/183175789.db2.gz YBKWHMITHGABSL-AWEZNQCLSA-N 0 3 249.329 2.735 20 0 BFADHN O[C@@H]1CCC[C@H]1CNCc1ccccc1Cl ZINC000084227417 183197122 /nfs/dbraw/zinc/19/71/22/183197122.db2.gz PKSKCHJEQBCVMO-WCQYABFASA-N 0 3 239.746 2.591 20 0 BFADHN CCCN(C)CC(=O)N[C@H](C)c1ccc(C)cc1 ZINC000359351051 169075492 /nfs/dbraw/zinc/07/54/92/169075492.db2.gz XYIRKDFOTFXGDV-CYBMUJFWSA-N 0 3 248.370 2.514 20 0 BFADHN CCCN(C)CC(=O)N(C)C1CCCCCC1 ZINC000355493876 169064231 /nfs/dbraw/zinc/06/42/31/169064231.db2.gz OYZKCIAIJVYYPF-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN O[C@@H]1CCCN(C/C=C/c2ccccc2)CC1 ZINC000292896104 183191472 /nfs/dbraw/zinc/19/14/72/183191472.db2.gz AANXQLVFYHTKJC-SGJXGLNRSA-N 0 3 231.339 2.547 20 0 BFADHN O[C@@H]1CCCN(Cc2ccc(Cl)cc2)CC1 ZINC000293031330 183194339 /nfs/dbraw/zinc/19/43/39/183194339.db2.gz GIECYSIESRQJIJ-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN CCCN(C)C[C@@H]1OCCc2ccccc21 ZINC000263678945 169079524 /nfs/dbraw/zinc/07/95/24/169079524.db2.gz HUGGEMTYAAFRFE-AWEZNQCLSA-N 0 3 219.328 2.642 20 0 BFADHN CCCN(C)Cc1cc(Cl)cn1C ZINC000119986063 169081104 /nfs/dbraw/zinc/08/11/04/169081104.db2.gz IFUOURGFNSAOER-UHFFFAOYSA-N 0 3 200.713 2.520 20 0 BFADHN CCCN(C)Cc1cccc(C(C)=O)c1 ZINC000296982269 169081570 /nfs/dbraw/zinc/08/15/70/169081570.db2.gz DVRAFJCVDFWDQU-UHFFFAOYSA-N 0 3 205.301 2.731 20 0 BFADHN O[C@H]1CCCC[C@@H]1NCc1ccccc1Cl ZINC000037490702 183231165 /nfs/dbraw/zinc/23/11/65/183231165.db2.gz OBOQRKKXOSYEHB-STQMWFEESA-N 0 3 239.746 2.733 20 0 BFADHN O[C@H]1CCN(Cc2ccc(C3CCCC3)cc2)C1 ZINC000084933957 183239528 /nfs/dbraw/zinc/23/95/28/183239528.db2.gz KLOJLRPMLFNHOZ-INIZCTEOSA-N 0 3 245.366 2.911 20 0 BFADHN O[C@]1(C2CC2)CCN(C/C=C/c2ccccc2)C1 ZINC000365849800 183250984 /nfs/dbraw/zinc/25/09/84/183250984.db2.gz QRKZCLHWPRNCFC-IEXSPKMKSA-N 0 3 243.350 2.547 20 0 BFADHN CCCN(CC)Cc1cn2c(cccc2C)n1 ZINC000299329037 169130425 /nfs/dbraw/zinc/13/04/25/169130425.db2.gz BOAHSXOXDYUFGA-UHFFFAOYSA-N 0 3 231.343 2.875 20 0 BFADHN Oc1ccc2c(c1)[C@H](N[C@H]1CCSC1)CCC2 ZINC000236591323 183282234 /nfs/dbraw/zinc/28/22/34/183282234.db2.gz HUFGDXHVMCOUCO-SMDDNHRTSA-N 0 3 249.379 2.865 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CC=CCC2)c1 ZINC000159606044 183259714 /nfs/dbraw/zinc/25/97/14/183259714.db2.gz YMDKDZXRIKNVKN-LBPRGKRZSA-N 0 3 221.275 2.730 20 0 BFADHN CCCN(Cc1c(C)noc1C)C1CC1 ZINC000171682270 169174044 /nfs/dbraw/zinc/17/40/44/169174044.db2.gz VOATVJAWBSGKQO-UHFFFAOYSA-N 0 3 208.305 2.666 20 0 BFADHN Oc1cccc(CN2CCC[C@H]2[C@H]2CCCO2)c1 ZINC000284961429 183288953 /nfs/dbraw/zinc/28/89/53/183288953.db2.gz HZTBTNKBJSIRNT-LSDHHAIUSA-N 0 3 247.338 2.536 20 0 BFADHN Oc1cccc(CN2CC[C@@H](c3ccco3)C2)c1 ZINC000378345274 183290495 /nfs/dbraw/zinc/29/04/95/183290495.db2.gz IPGRFKANLMYWAH-CYBMUJFWSA-N 0 3 243.306 2.975 20 0 BFADHN CCCN(CCN1CCCCC1)C[C@H](F)CC ZINC000353150587 169159473 /nfs/dbraw/zinc/15/94/73/169159473.db2.gz RZACDUZBCGAIBS-CQSZACIVSA-N 0 3 244.398 2.932 20 0 BFADHN Oc1cccc2c1CCC[C@H]2NC[C@H]1CCCO1 ZINC000276862105 183295259 /nfs/dbraw/zinc/29/52/59/183295259.db2.gz WJXAQWCHNSYLFQ-BXUZGUMPSA-N 0 3 247.338 2.538 20 0 BFADHN CCCN(CCO)Cc1c(F)cccc1CC ZINC000353376740 169165766 /nfs/dbraw/zinc/16/57/66/169165766.db2.gz DPQAAIKEAGDGOU-UHFFFAOYSA-N 0 3 239.334 2.592 20 0 BFADHN CCCN(Cc1scnc1C)C1CC1 ZINC000180098788 169181266 /nfs/dbraw/zinc/18/12/66/169181266.db2.gz DZMPMMXLRNVGOR-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN c1c[nH]c(C2CCN(Cc3ccsc3)CC2)n1 ZINC000271215968 183322740 /nfs/dbraw/zinc/32/27/40/183322740.db2.gz XKGJMRGQDDZSGS-UHFFFAOYSA-N 0 3 247.367 2.851 20 0 BFADHN c1c[nH]c(CNCC2CCCCCCC2)n1 ZINC000236735832 183325120 /nfs/dbraw/zinc/32/51/20/183325120.db2.gz ZNZZOQLANPNHJX-UHFFFAOYSA-N 0 3 221.348 2.860 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2CCOC2CCC2)c1 ZINC000287586156 183328148 /nfs/dbraw/zinc/32/81/48/183328148.db2.gz CMPJRRDJSNZUDY-AWEZNQCLSA-N 0 3 234.343 2.721 20 0 BFADHN CCCN1CCC[C@@H]1CN[C@@H](C)c1ccco1 ZINC000353977541 169196143 /nfs/dbraw/zinc/19/61/43/169196143.db2.gz ZXJRSAYPWWHVLT-QWHCGFSZSA-N 0 3 236.359 2.805 20 0 BFADHN c1cc(CCNCc2cncs2)cs1 ZINC000054788341 183338652 /nfs/dbraw/zinc/33/86/52/183338652.db2.gz CNQXAGDGPWKQKY-UHFFFAOYSA-N 0 3 224.354 2.537 20 0 BFADHN c1cc(CN2CCC[C@H]2[C@@H]2CCCO2)cs1 ZINC000285182059 183343211 /nfs/dbraw/zinc/34/32/11/183343211.db2.gz QEEPWUXTQGCPCO-STQMWFEESA-N 0 3 237.368 2.892 20 0 BFADHN c1cc(CN[C@@H]2CCCc3cn[nH]c32)cs1 ZINC000268843319 183351771 /nfs/dbraw/zinc/35/17/71/183351771.db2.gz OCKQDQYWFRUOGB-LLVKDONJSA-N 0 3 233.340 2.638 20 0 BFADHN CCCNC(=O)CCN[C@H](C)c1ccccc1C ZINC000045071948 169216739 /nfs/dbraw/zinc/21/67/39/169216739.db2.gz KTJYRKLYRLUKPI-CYBMUJFWSA-N 0 3 248.370 2.562 20 0 BFADHN CCCNC(=O)CCN[C@H](C)c1ccc(C)cc1 ZINC000045072009 169216994 /nfs/dbraw/zinc/21/69/94/169216994.db2.gz BQXOWDXJCRWTRX-CYBMUJFWSA-N 0 3 248.370 2.562 20 0 BFADHN CCCNC1(c2nc(C)cs2)CCC1 ZINC000041061371 169254077 /nfs/dbraw/zinc/25/40/77/169254077.db2.gz CUOPVEUNXQMENI-UHFFFAOYSA-N 0 3 210.346 2.830 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2NC[C@H]1CCCCO1 ZINC000124009076 183371727 /nfs/dbraw/zinc/37/17/27/183371727.db2.gz UHILAYPGMHGXHP-YPMHNXCESA-N 0 3 235.327 2.816 20 0 BFADHN c1cc2c(o1)CCCN(CCC1CCOCC1)C2 ZINC000336417274 183372311 /nfs/dbraw/zinc/37/23/11/183372311.db2.gz HQXQIAUSFBRQLT-UHFFFAOYSA-N 0 3 249.354 2.845 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@@H]1CCO[C@H]1C1CC1 ZINC000300424335 183373826 /nfs/dbraw/zinc/37/38/26/183373826.db2.gz CTHIWKQYFUKNBH-GUTXKFCHSA-N 0 3 247.338 2.814 20 0 BFADHN c1cc2c(o1)CCC[C@H]2NCCc1cscn1 ZINC000124040931 183373833 /nfs/dbraw/zinc/37/38/33/183373833.db2.gz AWIPGWSCLJUYNB-GFCCVEGCSA-N 0 3 248.351 2.946 20 0 BFADHN c1[nH]nc2cc(CN3CCCSCC3)ccc12 ZINC000273392151 183376452 /nfs/dbraw/zinc/37/64/52/183376452.db2.gz KDEDXUZVRYNUES-UHFFFAOYSA-N 0 3 247.367 2.502 20 0 BFADHN CCCNC(=O)[C@@H](C)N[C@@H](C)c1ccc(C)cc1 ZINC000036959711 169242298 /nfs/dbraw/zinc/24/22/98/169242298.db2.gz SRNCFGPMMKUSBL-QWHCGFSZSA-N 0 3 248.370 2.560 20 0 BFADHN c1sc(-c2ccccn2)nc1CNCC1CC1 ZINC000037187286 183381659 /nfs/dbraw/zinc/38/16/59/183381659.db2.gz FTBUXJUOLWRCGA-UHFFFAOYSA-N 0 3 245.351 2.705 20 0 BFADHN CCCNC(=O)[C@H](C)N[C@@H](CC)c1ccccc1 ZINC000036959762 169247454 /nfs/dbraw/zinc/24/74/54/169247454.db2.gz YQHMAZHKSGOCBV-JSGCOSHPSA-N 0 3 248.370 2.642 20 0 BFADHN c1cc([C@@H]2CCCN2C[C@@H]2CCCCO2)ccn1 ZINC000162731540 183360351 /nfs/dbraw/zinc/36/03/51/183360351.db2.gz UIXBUIWXGLXRRM-GJZGRUSLSA-N 0 3 246.354 2.788 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1ccccc1CCC ZINC000295881108 169284702 /nfs/dbraw/zinc/28/47/02/169284702.db2.gz XXUWUWQPQRWJED-GFCCVEGCSA-N 0 3 248.370 2.966 20 0 BFADHN c1cn(-c2ccccc2)c(CNC2CCCC2)n1 ZINC000190671685 183387022 /nfs/dbraw/zinc/38/70/22/183387022.db2.gz SGOCZQMZKXCPRM-UHFFFAOYSA-N 0 3 241.338 2.905 20 0 BFADHN c1coc(CNCC2(c3ccccn3)CCC2)c1 ZINC000368609190 183387249 /nfs/dbraw/zinc/38/72/49/183387249.db2.gz WHKBLISXLJVCKX-UHFFFAOYSA-N 0 3 242.322 2.886 20 0 BFADHN CCCN[C@H](C)c1ccc(Br)cn1 ZINC000133172271 169287614 /nfs/dbraw/zinc/28/76/14/169287614.db2.gz CZSNFORMQRDUEY-MRVPVSSYSA-N 0 3 243.148 2.905 20 0 BFADHN CCCN[C@H](C)c1nnn(-c2ccccc2)c1C ZINC000036917017 169288340 /nfs/dbraw/zinc/28/83/40/169288340.db2.gz BBFWMGDWMWTEJG-LLVKDONJSA-N 0 3 244.342 2.636 20 0 BFADHN c1ccc(CCCNCc2ccccn2)cc1 ZINC000020053998 183391620 /nfs/dbraw/zinc/39/16/20/183391620.db2.gz USHMOXGRJKICSJ-UHFFFAOYSA-N 0 3 226.323 2.804 20 0 BFADHN CCCNCc1c(Cl)cccc1OC ZINC000034825778 169265334 /nfs/dbraw/zinc/26/53/34/169265334.db2.gz QTWKZBURMBXBOK-UHFFFAOYSA-N 0 3 213.708 2.848 20 0 BFADHN CCCNCc1ccc(Cl)cc1F ZINC000036947861 169266746 /nfs/dbraw/zinc/26/67/46/169266746.db2.gz PQIKYCJXMQIULK-UHFFFAOYSA-N 0 3 201.672 2.979 20 0 BFADHN CCCNCc1cccc(Cl)c1F ZINC000083343695 169267678 /nfs/dbraw/zinc/26/76/78/169267678.db2.gz PVZRPFOQVJLHJD-UHFFFAOYSA-N 0 3 201.672 2.979 20 0 BFADHN CCCNCc1nc2ccc(Cl)cc2n1C ZINC000228433882 169268805 /nfs/dbraw/zinc/26/88/05/169268805.db2.gz PPHGBEDTCNTDHB-UHFFFAOYSA-N 0 3 237.734 2.726 20 0 BFADHN c1cnn(CCN(Cc2ccccc2)C2CC2)c1 ZINC000064140293 183396579 /nfs/dbraw/zinc/39/65/79/183396579.db2.gz CMXDXTWWKAZFOV-UHFFFAOYSA-N 0 3 241.338 2.548 20 0 BFADHN c1cnn([C@@H]2CCCN(Cc3ccccc3)C2)c1 ZINC000102410302 183398363 /nfs/dbraw/zinc/39/83/63/183398363.db2.gz AXKZPRUGENURDZ-OAHLLOKOSA-N 0 3 241.338 2.720 20 0 BFADHN CCCN[C@@H](C)c1c(F)cccc1OC ZINC000034842317 169275908 /nfs/dbraw/zinc/27/59/08/169275908.db2.gz XWQUPTBPNVHUQY-VIFPVBQESA-N 0 3 211.280 2.895 20 0 BFADHN CCCN[C@@H](C)c1ccc(OC)cc1OC ZINC000019424208 169276316 /nfs/dbraw/zinc/27/63/16/169276316.db2.gz RUGXBSWDEFGBDG-JTQLQIEISA-N 0 3 223.316 2.764 20 0 BFADHN CCCOc1c(CNCC)cccc1OCC ZINC000034652230 169314158 /nfs/dbraw/zinc/31/41/58/169314158.db2.gz HAUTXXWZXVZQKO-UHFFFAOYSA-N 0 3 237.343 2.984 20 0 BFADHN c1ccc([C@H](NCc2cncnc2)C2CC2)cc1 ZINC000054767636 183429775 /nfs/dbraw/zinc/42/97/75/183429775.db2.gz DEUPWJULMURZMR-HNNXBMFYSA-N 0 3 239.322 2.718 20 0 BFADHN CCCOc1ccc(CN(C)CC)cc1OC ZINC000126299450 169318398 /nfs/dbraw/zinc/31/83/98/169318398.db2.gz DNKCADWYBBGTRT-UHFFFAOYSA-N 0 3 237.343 2.936 20 0 BFADHN CCCOc1ccc([C@H](C)N[C@H]2CCOC2)cc1 ZINC000131223566 169322538 /nfs/dbraw/zinc/32/25/38/169322538.db2.gz UNCMHKXHEYCJHZ-JSGCOSHPSA-N 0 3 249.354 2.915 20 0 BFADHN CCCOc1cccc(CN2CC(C)C2)c1 ZINC000338951815 169325267 /nfs/dbraw/zinc/32/52/67/169325267.db2.gz CAQRRJVHXNBRHY-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN CCC[C@@H](C)CC(=O)NC[C@@H](N)c1ccccc1 ZINC000236852195 169356187 /nfs/dbraw/zinc/35/61/87/169356187.db2.gz HTFAJVDFZVFYGQ-TZMCWYRMSA-N 0 3 248.370 2.629 20 0 BFADHN CCC[C@@H](C)CN[C@@H](c1nncn1C)C(C)C ZINC000352952310 169359922 /nfs/dbraw/zinc/35/99/22/169359922.db2.gz QGMWIJMNASHOHI-VXGBXAGGSA-N 0 3 238.379 2.538 20 0 BFADHN CCC[C@@H](C)CNCc1ncnn1C(C)(C)C ZINC000337300210 169359941 /nfs/dbraw/zinc/35/99/41/169359941.db2.gz PIVACKOKOSEURY-LLVKDONJSA-N 0 3 238.379 2.559 20 0 BFADHN CCC[C@@H](C)CN[C@@H](CO)c1ccsc1 ZINC000308936587 169360019 /nfs/dbraw/zinc/36/00/19/169360019.db2.gz KYRBXZYFRFEWAG-PWSUYJOCSA-N 0 3 227.373 2.807 20 0 BFADHN CCC[C@@H](C)N(C)C(=O)C[C@@H](N)c1ccccc1 ZINC000037505095 169361902 /nfs/dbraw/zinc/36/19/02/169361902.db2.gz VIBLEJFBAOQYKD-TZMCWYRMSA-N 0 3 248.370 2.724 20 0 BFADHN CCC[C@@H](C)N(C)C(=O)Nc1cccc(CN)c1 ZINC000036791682 169363258 /nfs/dbraw/zinc/36/32/58/169363258.db2.gz YWBFDAKYACIHIY-LLVKDONJSA-N 0 3 249.358 2.798 20 0 BFADHN CCC[C@@H](N)C(=O)N(C)C1CCC(CC)CC1 ZINC000038059257 169387327 /nfs/dbraw/zinc/38/73/27/169387327.db2.gz SGIOHDIXIDXMNS-WXRRBKDZSA-N 0 3 240.391 2.541 20 0 BFADHN CCC[C@@H](CC)NC(=O)C[C@H](N)c1ccccc1 ZINC000050405285 169376197 /nfs/dbraw/zinc/37/61/97/169376197.db2.gz SEQVERYHLVCRMW-KGLIPLIRSA-N 0 3 248.370 2.771 20 0 BFADHN CCC[C@@H](CC)NCC(=O)Nc1ccccc1 ZINC000050404589 169378763 /nfs/dbraw/zinc/37/87/63/169378763.db2.gz CQVGFFCMRSEKDI-GFCCVEGCSA-N 0 3 234.343 2.793 20 0 BFADHN CCC[C@@H](CC)NCc1cn(C(C)C)nn1 ZINC000336646717 169379306 /nfs/dbraw/zinc/37/93/06/169379306.db2.gz DZHMEQCNIAQQOY-LLVKDONJSA-N 0 3 224.352 2.527 20 0 BFADHN CCC[C@@H](CCO)CN[C@H](C)c1nccs1 ZINC000091731164 169383045 /nfs/dbraw/zinc/38/30/45/169383045.db2.gz LVORIFRQZRYCOW-MNOVXSKESA-N 0 3 242.388 2.592 20 0 BFADHN CCC[C@@H](CNCc1cnc(CC)s1)OC ZINC000293742810 169384792 /nfs/dbraw/zinc/38/47/92/169384792.db2.gz SNEGOWUKYAVFFQ-JTQLQIEISA-N 0 3 242.388 2.610 20 0 BFADHN CCC[C@@H](CNCc1ccc(OC)cc1)OC ZINC000293631772 169385226 /nfs/dbraw/zinc/38/52/26/169385226.db2.gz JBDBONIWLICGDO-AWEZNQCLSA-N 0 3 237.343 2.600 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1ccc(F)cn1)OC ZINC000293695464 169385467 /nfs/dbraw/zinc/38/54/67/169385467.db2.gz OMPKEDQPYMFRSV-PWSUYJOCSA-N 0 3 240.322 2.686 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1cncc(C)c1)OC ZINC000297293560 169385540 /nfs/dbraw/zinc/38/55/40/169385540.db2.gz LYPXMDMDCPXTCC-JSGCOSHPSA-N 0 3 236.359 2.856 20 0 BFADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)C1)c1ncc[nH]1 ZINC000221149586 134317327 /nfs/dbraw/zinc/31/73/27/134317327.db2.gz OJZMNHZUDWFYCO-IJLUTSLNSA-N 0 3 221.348 2.887 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@@H](c1ccccc1)C(C)C ZINC000037018056 169390985 /nfs/dbraw/zinc/39/09/85/169390985.db2.gz NFZRNLHMNQAFQU-ZIAGYGMSSA-N 0 3 248.370 2.627 20 0 BFADHN CC(C)n1cc(CN2CCC3(CCC3)C2)cn1 ZINC000179703106 134320357 /nfs/dbraw/zinc/32/03/57/134320357.db2.gz FDBMCFYKGUXKKF-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN CC[C@H]1CCN(Cc2cnn(C(C)C)c2)C1 ZINC000180569761 134329587 /nfs/dbraw/zinc/32/95/87/134329587.db2.gz AJNAPPCZAJLOMA-LBPRGKRZSA-N 0 3 221.348 2.696 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@@H]1C1CC1)c1ccsc1 ZINC000222678584 134333482 /nfs/dbraw/zinc/33/34/82/134333482.db2.gz UYSBKMCUBLPEKG-ZWKOPEQDSA-N 0 3 237.368 2.966 20 0 BFADHN C[C@H](NCCCOC(F)F)c1cncc(F)c1 ZINC000193661987 259608034 /nfs/dbraw/zinc/60/80/34/259608034.db2.gz GVEVGPGLLQFTKM-QMMMGPOBSA-N 0 3 248.248 2.501 20 0 BFADHN CCC[C@H](C)N[C@H]1CCCc2c[nH]nc21 ZINC000271474436 169494221 /nfs/dbraw/zinc/49/42/21/169494221.db2.gz HWPCMTKQAJNLAN-ONGXEEELSA-N 0 3 207.321 2.565 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](O)C(F)F)cc1 ZINC000224442696 134347324 /nfs/dbraw/zinc/34/73/24/134347324.db2.gz QPHVMSJXSFWOLI-SKDRFNHKSA-N 0 3 243.297 2.526 20 0 BFADHN Cc1cc(C)cc([C@H](C)NC[C@H](O)C(F)F)c1 ZINC000224440246 134347300 /nfs/dbraw/zinc/34/73/00/134347300.db2.gz KOOLQQXCJFJIGR-JQWIXIFHSA-N 0 3 243.297 2.580 20 0 BFADHN Cc1ccc(C)c([C@@H](C)NC[C@H](O)C(F)F)c1 ZINC000224448499 134347508 /nfs/dbraw/zinc/34/75/08/134347508.db2.gz IKWGEJNHWNCMTB-PWSUYJOCSA-N 0 3 243.297 2.580 20 0 BFADHN CCC[C@](C)(N)C(=O)N(C)CC1CCCCC1 ZINC000037818891 169545855 /nfs/dbraw/zinc/54/58/55/169545855.db2.gz UDSXNIOHXBRTRB-AWEZNQCLSA-N 0 3 240.391 2.543 20 0 BFADHN Cc1cc(CNCCCC2CCCC2)n(C)n1 ZINC000225252658 134351987 /nfs/dbraw/zinc/35/19/87/134351987.db2.gz MKSXRCBCJQZHGY-UHFFFAOYSA-N 0 3 235.375 2.789 20 0 BFADHN COC(C)(C)C[C@H](C)NCc1cncc(F)c1 ZINC000494558702 532967439 /nfs/dbraw/zinc/96/74/39/532967439.db2.gz AIRDTTCOZYIALJ-JTQLQIEISA-N 0 3 240.322 2.514 20 0 BFADHN CC/C=C/CCN1CCS[C@@H]2COCC[C@@H]21 ZINC000184627938 134366603 /nfs/dbraw/zinc/36/66/03/134366603.db2.gz YXKSQPVSYCSGHB-HORCIZIBSA-N 0 3 241.400 2.549 20 0 BFADHN CC[C@@H]1CC[C@H](NCc2c(C)noc2C)C1 ZINC000085444780 134367053 /nfs/dbraw/zinc/36/70/53/134367053.db2.gz QZVUIIWOBSDWBN-NEPJUHHUSA-N 0 3 222.332 2.960 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCC(C)(C)O2)CCS1 ZINC000184660373 134367698 /nfs/dbraw/zinc/36/76/98/134367698.db2.gz DASYQTFEONJOAO-NWDGAFQWSA-N 0 3 243.416 2.771 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H](C)C1)c1nccn1C ZINC000226913800 134371651 /nfs/dbraw/zinc/37/16/51/134371651.db2.gz NHGMMTFLTFLQOD-UTUOFQBUSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@H](CCCO)NCc1ccccc1Cl ZINC000087358720 383827648 /nfs/dbraw/zinc/82/76/48/383827648.db2.gz USIWLHDYQQQEEP-SNVBAGLBSA-N 0 3 227.735 2.591 20 0 BFADHN c1ccc2c(c1)OCC[C@@H]2N[C@@H]1CCSC1 ZINC000034996458 383821539 /nfs/dbraw/zinc/82/15/39/383821539.db2.gz TVAYPSJUHJJHTH-PWSUYJOCSA-N 0 3 235.352 2.605 20 0 BFADHN CC[C@H](N[C@@H]1CCOC1)c1ccc(F)cc1 ZINC000087416050 383836358 /nfs/dbraw/zinc/83/63/58/383836358.db2.gz QFJGOXKKFLTDCA-OLZOCXBDSA-N 0 3 223.291 2.655 20 0 BFADHN CC[C@@H](C)CN(C)[C@@H](C)C(=O)Nc1ccccc1 ZINC000111253549 383847764 /nfs/dbraw/zinc/84/77/64/383847764.db2.gz NOQGHZQZWPLGHG-OLZOCXBDSA-N 0 3 248.370 2.992 20 0 BFADHN COc1nccc(CNC2CCC(C)(C)CC2)n1 ZINC000295776339 383848353 /nfs/dbraw/zinc/84/83/53/383848353.db2.gz RGHTZOBJCCMSQK-UHFFFAOYSA-N 0 3 249.358 2.544 20 0 BFADHN Cc1ccc(C)c(CN(C)CCn2cccn2)c1 ZINC000111281473 383851653 /nfs/dbraw/zinc/85/16/53/383851653.db2.gz QZIYOIOMSIYMIB-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN Cc1n[nH]cc1CN[C@@H]1c2ccccc2C[C@H]1C ZINC000111410660 383862401 /nfs/dbraw/zinc/86/24/01/383862401.db2.gz LDPDDVVCGGFSDI-BMIGLBTASA-N 0 3 241.338 2.741 20 0 BFADHN C[C@@H](N[C@@H]1COCC1(C)C)c1ccccc1 ZINC000313089088 383863336 /nfs/dbraw/zinc/86/33/36/383863336.db2.gz RTTXKMLCQWNCLY-DGCLKSJQSA-N 0 3 219.328 2.762 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCOC2)cc1C ZINC000087783068 383865459 /nfs/dbraw/zinc/86/54/59/383865459.db2.gz LPFJYWHINMAVDF-GXTWGEPZSA-N 0 3 219.328 2.743 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1CC[C@H](F)C1 ZINC000449327111 383870301 /nfs/dbraw/zinc/87/03/01/383870301.db2.gz ADTILSNQHWZQTR-QWHCGFSZSA-N 0 3 237.318 2.984 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1cccc(OC)c1 ZINC000560001244 383833753 /nfs/dbraw/zinc/83/37/53/383833753.db2.gz MHBPFFZADWNLQL-LEWSCRJBSA-N 0 3 235.327 2.523 20 0 BFADHN CC(C)n1cncc1CN1CCC[C@@H]1C1CC1 ZINC000644901363 383906940 /nfs/dbraw/zinc/90/69/40/383906940.db2.gz CGFREPGCTLPNDR-CQSZACIVSA-N 0 3 233.359 2.838 20 0 BFADHN Cc1nocc1CNCC1CCC(F)CC1 ZINC000647252501 383913256 /nfs/dbraw/zinc/91/32/56/383913256.db2.gz IAZWBDQSNWBYEQ-UHFFFAOYSA-N 0 3 226.295 2.601 20 0 BFADHN CCc1ccc([C@H](C)NCc2ccnn2C)cc1 ZINC000036958547 383920005 /nfs/dbraw/zinc/92/00/05/383920005.db2.gz YKBIWBWYRVZBHV-LBPRGKRZSA-N 0 3 243.354 2.833 20 0 BFADHN C[C@H](Cc1ccsc1)N1CC2(CC2)C1 ZINC000560140027 383925592 /nfs/dbraw/zinc/92/55/92/383925592.db2.gz JABZSDGCESSISU-SNVBAGLBSA-N 0 3 207.342 2.775 20 0 BFADHN Cc1cc(CN2CCC[C@@H](CO)CC2)cs1 ZINC000293669774 383891543 /nfs/dbraw/zinc/89/15/43/383891543.db2.gz MFQCRQSBLDEAPH-GFCCVEGCSA-N 0 3 239.384 2.651 20 0 BFADHN CC[C@H]1CCCC[C@@H]1CNCc1ccon1 ZINC000401744806 383900493 /nfs/dbraw/zinc/90/04/93/383900493.db2.gz LCLARPGVTBCYCT-NWDGAFQWSA-N 0 3 222.332 2.981 20 0 BFADHN COCC1(CN(C)[C@@H](C)c2ccccn2)CCC1 ZINC000291096406 383904774 /nfs/dbraw/zinc/90/47/74/383904774.db2.gz IOGQGICPHAIWQO-ZDUSSCGKSA-N 0 3 248.370 2.891 20 0 BFADHN Cc1cccc(CN(C)[C@@H](C)C2CC2)n1 ZINC000558390815 383980202 /nfs/dbraw/zinc/98/02/02/383980202.db2.gz XLCPJZZZDFVXMH-NSHDSACASA-N 0 3 204.317 2.620 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CO[C@@H](C)C2)cc1F ZINC000647214069 383984198 /nfs/dbraw/zinc/98/41/98/383984198.db2.gz MAOPXBWZHBMNNR-LOWVWBTDSA-N 0 3 237.318 2.962 20 0 BFADHN CC[C@@H](NCc1ccc(Cl)o1)[C@@H](O)CC ZINC000313168662 383984349 /nfs/dbraw/zinc/98/43/49/383984349.db2.gz USCVDICFTXAFPP-ZJUUUORDSA-N 0 3 231.723 2.572 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1ccc(CC)cc1 ZINC000037621319 383990173 /nfs/dbraw/zinc/99/01/73/383990173.db2.gz UFCMKAWWIGZFBF-CQSZACIVSA-N 0 3 234.343 2.705 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1ccc(C)cc1C ZINC000037621295 383990218 /nfs/dbraw/zinc/99/02/18/383990218.db2.gz XHRDTPHWUOMFCI-CQSZACIVSA-N 0 3 234.343 2.759 20 0 BFADHN CO[C@H]1C[C@@H](N(C)C/C=C/c2ccc(F)cc2)C1 ZINC000558413016 383992840 /nfs/dbraw/zinc/99/28/40/383992840.db2.gz YICJHSJJZAHGAR-MRINAFOASA-N 0 3 249.329 2.948 20 0 BFADHN CC[C@@H](Cc1ccccc1)NCc1nccn1C ZINC000112703440 383944270 /nfs/dbraw/zinc/94/42/70/383944270.db2.gz FQZDWUYIUJHPBR-AWEZNQCLSA-N 0 3 243.354 2.531 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CC2CCCCC2)n1 ZINC000112716234 383944526 /nfs/dbraw/zinc/94/45/26/383944526.db2.gz AQRDHWBGBDPGHB-UHFFFAOYSA-N 0 3 234.343 2.766 20 0 BFADHN CC(C)c1csc(CNCC2CC2)n1 ZINC000070627150 383944566 /nfs/dbraw/zinc/94/45/66/383944566.db2.gz PPGKLVPGRCRDCM-UHFFFAOYSA-N 0 3 210.346 2.766 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1ccsc1 ZINC000070624405 383945747 /nfs/dbraw/zinc/94/57/47/383945747.db2.gz CJPSNBVTCCJWFG-ONGXEEELSA-N 0 3 213.346 2.824 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1ccc(C)o1 ZINC000070623966 383945926 /nfs/dbraw/zinc/94/59/26/383945926.db2.gz ZZWYIKHZBUTMKV-GHMZBOCLSA-N 0 3 211.305 2.664 20 0 BFADHN C[C@@H](NC(C1CC1)C1CC1)c1nccs1 ZINC000070633994 383947569 /nfs/dbraw/zinc/94/75/69/383947569.db2.gz LWZAGZKZIJVZOH-MRVPVSSYSA-N 0 3 222.357 2.982 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](C)Cn2ccnc2)o1 ZINC000037158009 383949295 /nfs/dbraw/zinc/94/92/95/383949295.db2.gz JEIGIONUIOIFMV-PWSUYJOCSA-N 0 3 233.315 2.524 20 0 BFADHN FC(F)(F)[C@@H]1CCC[C@@H](NCc2cc[nH]n2)C1 ZINC000037142142 383949628 /nfs/dbraw/zinc/94/96/28/383949628.db2.gz NEUHMIAIFYYTAS-RKDXNWHRSA-N 0 3 247.264 2.620 20 0 BFADHN CCO[C@H]1CCN(Cc2cccc(O)c2)C[C@H]1C ZINC000449501360 383951100 /nfs/dbraw/zinc/95/11/00/383951100.db2.gz VUPSYRLARDXUAA-DOMZBBRYSA-N 0 3 249.354 2.639 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1scnc1C ZINC000070657906 383952477 /nfs/dbraw/zinc/95/24/77/383952477.db2.gz HVKHQASWCDQWPA-VHSXEESVSA-N 0 3 228.361 2.527 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(C3CC3)cc2F)CO1 ZINC000647212502 383954399 /nfs/dbraw/zinc/95/43/99/383954399.db2.gz YVCMVKNIPBLPEC-QMTHXVAHSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H](N[C@H]1COCC1(C)C)c1ccc(F)cc1 ZINC000313149908 383957122 /nfs/dbraw/zinc/95/71/22/383957122.db2.gz UYPJRAOUMTUGEU-MFKMUULPSA-N 0 3 237.318 2.901 20 0 BFADHN CO[C@H]1CCCC[C@@H]1NCc1ccccc1F ZINC000037212026 383960246 /nfs/dbraw/zinc/96/02/46/383960246.db2.gz CEXVMEVBSVDHNP-KBPBESRZSA-N 0 3 237.318 2.873 20 0 BFADHN CC[C@H](CO)N[C@H](C)c1ccc(F)cc1Cl ZINC000037238690 383961701 /nfs/dbraw/zinc/96/17/01/383961701.db2.gz WOZYMVYGZQGCLO-PSASIEDQSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@@H](NCc1cc(F)ccc1F)[C@H]1CCCO1 ZINC000037233346 383962940 /nfs/dbraw/zinc/96/29/40/383962940.db2.gz FVLYWXHSCCEBCV-NOZJJQNGSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@H]2C[C@H](C)n3ccnc32)C1 ZINC000345356367 383963600 /nfs/dbraw/zinc/96/36/00/383963600.db2.gz UVVGUBGWXXUJHH-VOAKCMCISA-N 0 3 233.359 2.915 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)CC(C)C ZINC000037260467 383964373 /nfs/dbraw/zinc/96/43/73/383964373.db2.gz YZVOPRYPIRXNPX-SECBINFHSA-N 0 3 210.321 2.816 20 0 BFADHN CCN(CC(=O)Nc1ccc(C)cc1)CC1CC1 ZINC000112983122 383964557 /nfs/dbraw/zinc/96/45/57/383964557.db2.gz SRWCMBWDYRVATP-UHFFFAOYSA-N 0 3 246.354 2.665 20 0 BFADHN CC[C@H](O)[C@H](CC)NCc1cccc(C)c1F ZINC000313156735 383966363 /nfs/dbraw/zinc/96/63/63/383966363.db2.gz MVVGOYRHZUDPIT-STQMWFEESA-N 0 3 239.334 2.773 20 0 BFADHN C[C@H](CCc1ccco1)N[C@H]1CCn2ccnc21 ZINC000293862387 383966868 /nfs/dbraw/zinc/96/68/68/383966868.db2.gz DIOFEVSIIUVWQL-YPMHNXCESA-N 0 3 245.326 2.532 20 0 BFADHN CC[C@@H](CO)NCc1cc(Cl)cc(Cl)c1 ZINC000037423736 383975095 /nfs/dbraw/zinc/97/50/95/383975095.db2.gz MPJPWJKBRVRJRB-NSHDSACASA-N 0 3 248.153 2.854 20 0 BFADHN CC(C)n1nccc1CN1CCCC12CCC2 ZINC000334330779 384017827 /nfs/dbraw/zinc/01/78/27/384017827.db2.gz KTAFRBRLMKENOG-UHFFFAOYSA-N 0 3 233.359 2.983 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H](O)C(F)(F)F)cc1 ZINC000068879724 384019068 /nfs/dbraw/zinc/01/90/68/384019068.db2.gz LMRAEEHEGUDBFV-ONGXEEELSA-N 0 3 247.260 2.569 20 0 BFADHN Fc1ccc(CN2CCCSCC2)cc1 ZINC000089983734 384019362 /nfs/dbraw/zinc/01/93/62/384019362.db2.gz WJJZTYFVPUQAHQ-UHFFFAOYSA-N 0 3 225.332 2.765 20 0 BFADHN C[C@@H]1C[C@H](NCCc2ccsc2)c2nccn21 ZINC000293892440 384022718 /nfs/dbraw/zinc/02/27/18/384022718.db2.gz GMTVXHZJMIYMBA-PWSUYJOCSA-N 0 3 247.367 2.783 20 0 BFADHN C[C@@H](NCC1(O)CCCCCC1)c1ccccn1 ZINC000037856463 384027162 /nfs/dbraw/zinc/02/71/62/384027162.db2.gz JWVYNKJUWJWTHA-CYBMUJFWSA-N 0 3 248.370 2.818 20 0 BFADHN CC(C)[C@@H](CO)NCc1sccc1Cl ZINC000278482165 384003926 /nfs/dbraw/zinc/00/39/26/384003926.db2.gz KXLSDGUQGWDZGU-SECBINFHSA-N 0 3 233.764 2.508 20 0 BFADHN CC[C@H](CO)N(C)Cc1ccc(F)c(Cl)c1 ZINC000293890441 384015054 /nfs/dbraw/zinc/01/50/54/384015054.db2.gz GIIJACFPWICLAP-SNVBAGLBSA-N 0 3 245.725 2.682 20 0 BFADHN CCc1nocc1CN[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000647256684 384049202 /nfs/dbraw/zinc/04/92/02/384049202.db2.gz BBXARLHFSOWTFL-CKYFFXLPSA-N 0 3 220.316 2.515 20 0 BFADHN Cc1ccsc1[C@@H](C)NCc1c[nH]nc1C ZINC000069636704 384053664 /nfs/dbraw/zinc/05/36/64/384053664.db2.gz JUIKHIADZAKZLS-SNVBAGLBSA-N 0 3 235.356 2.939 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccc(F)cc1)c1ccco1 ZINC000037857271 384027338 /nfs/dbraw/zinc/02/73/38/384027338.db2.gz IEFDWLVFELTZSL-ZWNOBZJWSA-N 0 3 249.285 2.803 20 0 BFADHN CCN(CC)CC(=O)N[C@@H](C)CCC(C)(C)C ZINC000119933846 384030971 /nfs/dbraw/zinc/03/09/71/384030971.db2.gz GGLFKDOIXMQELX-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3ccc(F)cc32)[C@H](C)O1 ZINC000560278450 384032299 /nfs/dbraw/zinc/03/22/99/384032299.db2.gz ROLIIYGJLDBWLH-QPNXVFALSA-N 0 3 249.329 2.969 20 0 BFADHN Cc1ccc(CNC[C@@H](O)C2CCCCC2)o1 ZINC000069170718 384032584 /nfs/dbraw/zinc/03/25/84/384032584.db2.gz RCIANPYWKIWHPR-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN CC(C)C1(CN[C@H](C)c2cnccn2)CC1 ZINC000090230761 384034957 /nfs/dbraw/zinc/03/49/57/384034957.db2.gz PWXBSURDELZKNF-LLVKDONJSA-N 0 3 219.332 2.563 20 0 BFADHN COc1ccc(CNC2CC(C)(F)C2)cc1 ZINC000449371089 384035474 /nfs/dbraw/zinc/03/54/74/384035474.db2.gz QEFBLRFBCCIQMM-UHFFFAOYSA-N 0 3 223.291 2.675 20 0 BFADHN Clc1ccc(CN2C[C@@H]3CCC[C@H]3C2)cn1 ZINC000132019859 384039287 /nfs/dbraw/zinc/03/92/87/384039287.db2.gz QQOOWBXZKOFTOG-RYUDHWBXSA-N 0 3 236.746 2.967 20 0 BFADHN CCCCNCc1c(OC)cccc1OC ZINC000037927278 384041915 /nfs/dbraw/zinc/04/19/15/384041915.db2.gz GGZWMAWJVKPJQJ-UHFFFAOYSA-N 0 3 223.316 2.594 20 0 BFADHN CCCCCNCc1nc2ccccc2n1C ZINC000070163026 384083477 /nfs/dbraw/zinc/08/34/77/384083477.db2.gz DNWXNZHDUPCVBL-UHFFFAOYSA-N 0 3 231.343 2.853 20 0 BFADHN CC[C@H](CO)N(C)C/C=C\c1ccc(F)cc1 ZINC000449378471 384083783 /nfs/dbraw/zinc/08/37/83/384083783.db2.gz WVKSMDYGEUSRTE-ZRUQZJFASA-N 0 3 237.318 2.542 20 0 BFADHN Cc1nsc(C)c1CN(C)[C@@H](C)C1CC1 ZINC000569468144 384084858 /nfs/dbraw/zinc/08/48/58/384084858.db2.gz ADKOIDKGOOEVFD-VIFPVBQESA-N 0 3 224.373 2.990 20 0 BFADHN CN(C)Cc1cccc(NCc2ccn(C)c2)c1 ZINC000091007733 384088746 /nfs/dbraw/zinc/08/87/46/384088746.db2.gz DJCSOBHEACGUJM-UHFFFAOYSA-N 0 3 243.354 2.699 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H](CO)C(C)(C)C ZINC000278642979 384056177 /nfs/dbraw/zinc/05/61/77/384056177.db2.gz GYYCESBVUIVCLZ-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN CCc1cccc(OCCN2C[C@H](C)[C@@H]2C)c1 ZINC000559352918 384058151 /nfs/dbraw/zinc/05/81/51/384058151.db2.gz NDAUJTGTYPUAPK-STQMWFEESA-N 0 3 233.355 2.968 20 0 BFADHN CC[C@H](CN(CC)CCC(F)(F)F)OC ZINC000642684706 384063992 /nfs/dbraw/zinc/06/39/92/384063992.db2.gz WDQUXPZUUQNBLA-SECBINFHSA-N 0 3 227.270 2.686 20 0 BFADHN Cn1ccc(CN[C@@H]2CCc3ccccc32)c1 ZINC000091007241 384090737 /nfs/dbraw/zinc/09/07/37/384090737.db2.gz VYSHHPQLUPOJKK-OAHLLOKOSA-N 0 3 226.323 2.802 20 0 BFADHN C[C@H](N[C@@H]1CCOC(C)(C)C1)c1ccco1 ZINC000069861349 384067055 /nfs/dbraw/zinc/06/70/55/384067055.db2.gz ZEXNZXNOJTZVPM-WDEREUQCSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ccc(F)cc1F)N(C)C ZINC000038088659 384068147 /nfs/dbraw/zinc/06/81/47/384068147.db2.gz QBBGHFVKEJRCDX-UWVGGRQHSA-N 0 3 242.313 2.566 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](COC)C2CC2)o1 ZINC000090717573 384070267 /nfs/dbraw/zinc/07/02/67/384070267.db2.gz NQTWJDKROVDHAQ-GXFFZTMASA-N 0 3 237.343 2.918 20 0 BFADHN CC(C)[C@@H](O)C(C)(C)CN[C@H](C)c1ccco1 ZINC000090811096 384075592 /nfs/dbraw/zinc/07/55/92/384075592.db2.gz DBYZSRTVCAXOCB-DGCLKSJQSA-N 0 3 239.359 2.973 20 0 BFADHN c1ccc2c(c1)OC[C@H]2N[C@H]1CCCSC1 ZINC000070068863 384075602 /nfs/dbraw/zinc/07/56/02/384075602.db2.gz KGAMGKJMUKJYSI-CMPLNLGQSA-N 0 3 235.352 2.605 20 0 BFADHN Cc1ccsc1[C@@H](C)NCc1cnn(C)c1C ZINC000090888155 384082339 /nfs/dbraw/zinc/08/23/39/384082339.db2.gz UHRNORLBMQQPNH-SNVBAGLBSA-N 0 3 249.383 2.949 20 0 BFADHN C[C@@H](Cc1cccs1)N[C@@H](C)c1cnccn1 ZINC000070478391 384114372 /nfs/dbraw/zinc/11/43/72/384114372.db2.gz JWXJNMPAGOXEMN-QWRGUYRKSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@H](C[C@H]1CCCO1)N[C@H](C)c1cncs1 ZINC000309521090 384116497 /nfs/dbraw/zinc/11/64/97/384116497.db2.gz ANMKCWXDPKLPSX-GMTAPVOTSA-N 0 3 240.372 2.751 20 0 BFADHN C[C@@H](N[C@H]1CCOC(C)(C)C1)c1nccs1 ZINC000070717668 384135101 /nfs/dbraw/zinc/13/51/01/384135101.db2.gz JNEDXAXAOMNQFN-ZJUUUORDSA-N 0 3 240.372 2.751 20 0 BFADHN CN(Cc1ccccc1)[C@@H](CO)c1ccccc1 ZINC000005599792 384120444 /nfs/dbraw/zinc/12/04/44/384120444.db2.gz SNUUNSDZCIEWPI-INIZCTEOSA-N 0 3 241.334 2.852 20 0 BFADHN CCC[C@H](CNCc1cccc(F)c1)OC ZINC000293742340 384128576 /nfs/dbraw/zinc/12/85/76/384128576.db2.gz SJAYGIHHANJWLK-CYBMUJFWSA-N 0 3 225.307 2.730 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1cccc(F)c1 ZINC000070656303 384130500 /nfs/dbraw/zinc/13/05/00/384130500.db2.gz SJJOJEUADKQWIJ-ZWNOBZJWSA-N 0 3 225.307 2.901 20 0 BFADHN COC(=O)c1oc([C@@H](C)N2CCCC2)cc1C ZINC000091535855 384130921 /nfs/dbraw/zinc/13/09/21/384130921.db2.gz ZNNLMZOPODEFIA-SNVBAGLBSA-N 0 3 237.299 2.531 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1ccc(F)cc1 ZINC000071011430 384148477 /nfs/dbraw/zinc/14/84/77/384148477.db2.gz MDWXPFLBPHOPHI-GWCFXTLKSA-N 0 3 223.291 2.655 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1ccsc1 ZINC000071011650 384149059 /nfs/dbraw/zinc/14/90/59/384149059.db2.gz KWKDASKAJDNMLD-MWLCHTKSSA-N 0 3 211.330 2.578 20 0 BFADHN Fc1ccccc1CNC[C@H]1CCCS1 ZINC000087473151 384149349 /nfs/dbraw/zinc/14/93/49/384149349.db2.gz MALKALBDFWEPAR-LLVKDONJSA-N 0 3 225.332 2.811 20 0 BFADHN CN(CCSc1ccccc1)C1CC1 ZINC000079618421 384151992 /nfs/dbraw/zinc/15/19/92/384151992.db2.gz ABMAHVQPPNYCLG-UHFFFAOYSA-N 0 3 207.342 2.873 20 0 BFADHN CCc1ccc(NC(=O)[C@@H](N)C(C)(C)C)cc1 ZINC000040458503 384154354 /nfs/dbraw/zinc/15/43/54/384154354.db2.gz YMJFJUUGYKYSGE-GFCCVEGCSA-N 0 3 234.343 2.561 20 0 BFADHN C[C@@H](C1CC1)N(Cc1ccc(CO)o1)C1CC1 ZINC000092009702 384158222 /nfs/dbraw/zinc/15/82/22/384158222.db2.gz HICBVSKOMLJQTP-JTQLQIEISA-N 0 3 235.327 2.535 20 0 BFADHN CC(C)=CCCN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000296454467 384141258 /nfs/dbraw/zinc/14/12/58/384141258.db2.gz NKNBWLFZIODXLK-VXGBXAGGSA-N 0 3 219.332 2.835 20 0 BFADHN COC[C@]1(C)CCN(Cc2ccccc2F)C1 ZINC000449397531 384173542 /nfs/dbraw/zinc/17/35/42/384173542.db2.gz VMUKOIRCJQSHAQ-CQSZACIVSA-N 0 3 237.318 2.684 20 0 BFADHN CC[C@@H](C)CN[C@@H](COC)c1ccco1 ZINC000093078683 384162480 /nfs/dbraw/zinc/16/24/80/384162480.db2.gz KAZZUHJOEVTFJQ-MNOVXSKESA-N 0 3 211.305 2.603 20 0 BFADHN CS[C@@H]1CCCCN(Cc2cncs2)C1 ZINC000092064015 384163102 /nfs/dbraw/zinc/16/31/02/384163102.db2.gz NAOCUUFRUACCTH-SNVBAGLBSA-N 0 3 242.413 2.861 20 0 BFADHN CC(C)N(Cc1ccc(Cl)o1)C[C@@H](C)O ZINC000093143198 384163269 /nfs/dbraw/zinc/16/32/69/384163269.db2.gz IJJBXMWXVAJKGX-SECBINFHSA-N 0 3 231.723 2.524 20 0 BFADHN C[C@H](NCCc1ccc(O)cc1)c1ccco1 ZINC000040735312 384163570 /nfs/dbraw/zinc/16/35/70/384163570.db2.gz VXONFQRTMBELKZ-NSHDSACASA-N 0 3 231.295 2.879 20 0 BFADHN C[C@H](NCCc1cccnc1)c1ccc(F)cn1 ZINC000092196137 384167494 /nfs/dbraw/zinc/16/74/94/384167494.db2.gz HZBLQNBZLSBARK-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN CC1(C)CCC[C@H](N[C@H]2CCn3ccnc32)C1 ZINC000335953855 384168644 /nfs/dbraw/zinc/16/86/44/384168644.db2.gz BOGDKQLSRKQKDI-RYUDHWBXSA-N 0 3 233.359 2.886 20 0 BFADHN CC1(C)CCC(N[C@@H]2CCn3ccnc32)CC1 ZINC000335953940 384169059 /nfs/dbraw/zinc/16/90/59/384169059.db2.gz KMGFLZFHYFMLNT-GFCCVEGCSA-N 0 3 233.359 2.886 20 0 BFADHN CC1(C)CCC(N[C@H]2CCn3ccnc32)CC1 ZINC000335954371 384169741 /nfs/dbraw/zinc/16/97/41/384169741.db2.gz KMGFLZFHYFMLNT-LBPRGKRZSA-N 0 3 233.359 2.886 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1sccc1Cl ZINC000278764521 384185656 /nfs/dbraw/zinc/18/56/56/384185656.db2.gz OCUDZDQDQPDIKG-YUMQZZPRSA-N 0 3 233.764 2.915 20 0 BFADHN C[C@@H](O)CCCNCc1sccc1Cl ZINC000278759611 384179530 /nfs/dbraw/zinc/17/95/30/384179530.db2.gz XFPLVWZTTPVHOS-MRVPVSSYSA-N 0 3 233.764 2.652 20 0 BFADHN CC(C)[C@H](NCc1ncccc1F)C1CC1 ZINC000090722227 384180856 /nfs/dbraw/zinc/18/08/56/384180856.db2.gz VUKJNQKLTPUCPN-ZDUSSCGKSA-N 0 3 222.307 2.745 20 0 BFADHN c1cn(C2CCN(Cc3ccsc3)CC2)cn1 ZINC000092659263 384188592 /nfs/dbraw/zinc/18/85/92/384188592.db2.gz GRYUOTXYNHKJSC-UHFFFAOYSA-N 0 3 247.367 2.782 20 0 BFADHN CSCc1cnc(CNC[C@@H]2C[C@@H]2C)s1 ZINC000294013099 384190180 /nfs/dbraw/zinc/19/01/80/384190180.db2.gz GWHWVGOMSRVVLH-IUCAKERBSA-N 0 3 242.413 2.752 20 0 BFADHN CSCCCN[C@@H](C)c1cncs1 ZINC000132482236 384190528 /nfs/dbraw/zinc/19/05/28/384190528.db2.gz UHMOUURIZLVDDZ-QMMMGPOBSA-N 0 3 216.375 2.547 20 0 BFADHN COC[C@@H](N[C@@H](C)c1cc(C)ccn1)C(C)C ZINC000560525801 384191478 /nfs/dbraw/zinc/19/14/78/384191478.db2.gz YTWOPFCGJMXWMB-GXTWGEPZSA-N 0 3 236.359 2.712 20 0 BFADHN C[C@@H](N[C@H]1CCCSC1)c1ccc(F)cn1 ZINC000092766494 384193206 /nfs/dbraw/zinc/19/32/06/384193206.db2.gz XYYHLXNHICHVMX-KOLCDFICSA-N 0 3 240.347 2.767 20 0 BFADHN Cc1ccc([C@@H](C)NCCC(C)(C)CO)o1 ZINC000296593666 384194779 /nfs/dbraw/zinc/19/47/79/384194779.db2.gz VDJRRJVSBBVFKP-LLVKDONJSA-N 0 3 225.332 2.647 20 0 BFADHN c1ccc2c(c1)OCC[C@H]2N[C@H]1CCCSC1 ZINC000061577743 384229881 /nfs/dbraw/zinc/22/98/81/384229881.db2.gz XBIMMJYQIGBEMM-WCQYABFASA-N 0 3 249.379 2.995 20 0 BFADHN COC(=O)[C@@H]1CCCCN1C[C@H](C)CC(C)C ZINC000304186007 384230273 /nfs/dbraw/zinc/23/02/73/384230273.db2.gz RYNBDFQUTQVYNX-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN COC(=O)CCN[C@H](C)c1cc(C)cc(C)c1 ZINC000132461051 384230504 /nfs/dbraw/zinc/23/05/04/384230504.db2.gz RBJDUHOPQAEPFY-GFCCVEGCSA-N 0 3 235.327 2.517 20 0 BFADHN CC[C@@H](NC(=O)C[C@@H](N)c1ccccc1)C(C)C ZINC000042592792 384231384 /nfs/dbraw/zinc/23/13/84/384231384.db2.gz CGMFADFHEQVPHS-ZIAGYGMSSA-N 0 3 248.370 2.627 20 0 BFADHN COC(=O)CCN(Cc1ccsc1)C(C)C ZINC000093384094 384232274 /nfs/dbraw/zinc/23/22/74/384232274.db2.gz IZIQZMOZDWKUTI-UHFFFAOYSA-N 0 3 241.356 2.522 20 0 BFADHN CCS[C@@H]1CCCCN(Cc2c[nH]cn2)C1 ZINC000093361783 384232439 /nfs/dbraw/zinc/23/24/39/384232439.db2.gz HQQNZGSRFGPNDH-GFCCVEGCSA-N 0 3 239.388 2.517 20 0 BFADHN CCS[C@@H]1CCCCN(Cc2cnc[nH]2)C1 ZINC000093361783 384232441 /nfs/dbraw/zinc/23/24/41/384232441.db2.gz HQQNZGSRFGPNDH-GFCCVEGCSA-N 0 3 239.388 2.517 20 0 BFADHN c1c(CN2CCC[C@H]2C2CC2)nc2ccccn12 ZINC000363384175 384234117 /nfs/dbraw/zinc/23/41/17/384234117.db2.gz GYSBOQLROPZDHU-AWEZNQCLSA-N 0 3 241.338 2.709 20 0 BFADHN C[C@H]1OCCN(Cc2cccc3[nH]ccc32)[C@H]1C ZINC000093418724 384234614 /nfs/dbraw/zinc/23/46/14/384234614.db2.gz OWYDYDRDSRBHPK-NWDGAFQWSA-N 0 3 244.338 2.777 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2ncccc2C)C1 ZINC000093174161 384215552 /nfs/dbraw/zinc/21/55/52/384215552.db2.gz IUIDQGJGMXAVGJ-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN CCOC[C@@H](C)NC1(c2ccccc2C)CC1 ZINC000647316225 384216367 /nfs/dbraw/zinc/21/63/67/384216367.db2.gz VTVNOUCZRPJRGX-CYBMUJFWSA-N 0 3 233.355 2.999 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)[C@H]1CCOC1 ZINC000132135544 384216524 /nfs/dbraw/zinc/21/65/24/384216524.db2.gz RAISCSJTRSFJNP-HSWBROFVSA-N 0 3 235.302 2.560 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCOC2)cc1C ZINC000132140705 384216765 /nfs/dbraw/zinc/21/67/65/384216765.db2.gz VJRPYCLGOVUDRY-CQSZACIVSA-N 0 3 219.328 2.524 20 0 BFADHN CN(CCOCC1CC1)Cc1cccc(F)c1 ZINC000158325495 384217526 /nfs/dbraw/zinc/21/75/26/384217526.db2.gz ZRNCFYHJMJCZQR-UHFFFAOYSA-N 0 3 237.318 2.684 20 0 BFADHN COC[C@@H](NC1(c2ccccc2C)CC1)C1CC1 ZINC000647316209 384218266 /nfs/dbraw/zinc/21/82/66/384218266.db2.gz URMDQQALJRZDAM-OAHLLOKOSA-N 0 3 245.366 2.999 20 0 BFADHN C[C@H](NCc1ccc(Cl)cn1)C1CC1 ZINC000132979749 384219344 /nfs/dbraw/zinc/21/93/44/384219344.db2.gz HDFSUKAODGGGFB-QMMMGPOBSA-N 0 3 210.708 2.623 20 0 BFADHN CSC1(CNCc2ccc(Cl)o2)CC1 ZINC000227950588 134399953 /nfs/dbraw/zinc/39/99/53/134399953.db2.gz MRADGMJXGUFYIW-UHFFFAOYSA-N 0 3 231.748 2.918 20 0 BFADHN CC(C)C[C@@H](NCC[C@@H](C)O)c1ccccn1 ZINC000119008566 384224138 /nfs/dbraw/zinc/22/41/38/384224138.db2.gz JHCSUKUNOBHJPM-TZMCWYRMSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H](CCn1cccn1)NCc1ccccc1F ZINC000158536682 384240925 /nfs/dbraw/zinc/24/09/25/384240925.db2.gz UNDYYTABXBCXHN-LBPRGKRZSA-N 0 3 247.317 2.591 20 0 BFADHN C[C@@H](NCCO)c1cc2cc(Cl)ccc2o1 ZINC000132689648 384243209 /nfs/dbraw/zinc/24/32/09/384243209.db2.gz UYCIZCJFNJAGTF-MRVPVSSYSA-N 0 3 239.702 2.729 20 0 BFADHN CCC[C@H](O)CNCc1cc(Cl)ccc1F ZINC000119190678 384245321 /nfs/dbraw/zinc/24/53/21/384245321.db2.gz OIWYPIOOBBAOSN-NSHDSACASA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@H]1CN(Cc2cccc(O)c2)CCS1 ZINC000093502336 384246393 /nfs/dbraw/zinc/24/63/93/384246393.db2.gz HXMVPLGMMLEKOL-ZDUSSCGKSA-N 0 3 237.368 2.720 20 0 BFADHN C[C@@]1(NCc2cc(F)cc(F)c2)CCCOC1 ZINC000221339578 384247942 /nfs/dbraw/zinc/24/79/42/384247942.db2.gz XHLZBWMRPYCPHF-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN CSCC[C@H](C)N(C)Cc1cccc(O)c1 ZINC000093558893 384251530 /nfs/dbraw/zinc/25/15/30/384251530.db2.gz USXXFHYEXIPRTN-NSHDSACASA-N 0 3 239.384 2.966 20 0 BFADHN Fc1cccnc1CN[C@@H]1CC[C@H]1C1CCC1 ZINC000558699050 384251864 /nfs/dbraw/zinc/25/18/64/384251864.db2.gz VSPHHRCCGZNSFB-WCQYABFASA-N 0 3 234.318 2.889 20 0 BFADHN CCOc1ncccc1CN[C@H]1CCC[C@H]2C[C@H]21 ZINC000558699319 384251916 /nfs/dbraw/zinc/25/19/16/384251916.db2.gz ILRPOJFNQWLYKU-YUTCNCBUSA-N 0 3 246.354 2.759 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1cc(F)cc(F)c1 ZINC000132802735 384252404 /nfs/dbraw/zinc/25/24/04/384252404.db2.gz NUTPSLZVZAFTHP-RNCFNFMXSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@H](NCCN1CCCC[C@H]1C)c1ccccn1 ZINC000158646919 384254555 /nfs/dbraw/zinc/25/45/55/384254555.db2.gz OFQBODMERLSDGO-KGLIPLIRSA-N 0 3 247.386 2.607 20 0 BFADHN CCc1ccccc1CNCc1cnn(C)c1C ZINC000093650323 384257351 /nfs/dbraw/zinc/25/73/51/384257351.db2.gz LJJHOGOETHQDJZ-UHFFFAOYSA-N 0 3 243.354 2.581 20 0 BFADHN CN(CCc1cccs1)Cc1cncs1 ZINC000093685364 384261794 /nfs/dbraw/zinc/26/17/94/384261794.db2.gz ULPWXEVGUDMQEM-UHFFFAOYSA-N 0 3 238.381 2.879 20 0 BFADHN C[C@@H](N[C@@H]1CCCCC[C@H]1O)c1ccco1 ZINC000088169700 384262841 /nfs/dbraw/zinc/26/28/41/384262841.db2.gz FIJWACXFYIOLID-IJLUTSLNSA-N 0 3 223.316 2.624 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CCCO2)cc(C)c1O ZINC000132967413 384263134 /nfs/dbraw/zinc/26/31/34/384263134.db2.gz NACQKWHYSXVGBZ-AWEZNQCLSA-N 0 3 249.354 2.620 20 0 BFADHN Fc1cnccc1CN[C@@H]1CC2CCC1CC2 ZINC000449184559 384264394 /nfs/dbraw/zinc/26/43/94/384264394.db2.gz DZWBVWXXUWIGCH-QIMFLAQGSA-N 0 3 234.318 2.889 20 0 BFADHN Fc1cnccc1CN[C@H]1CC2CCC1CC2 ZINC000449184558 384264527 /nfs/dbraw/zinc/26/45/27/384264527.db2.gz DZWBVWXXUWIGCH-MGULZYLOSA-N 0 3 234.318 2.889 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1cc(C)oc1C ZINC000132999705 384265747 /nfs/dbraw/zinc/26/57/47/384265747.db2.gz AHRIBAZYGLPPAK-HBNTYKKESA-N 0 3 225.332 2.970 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](C)CC(F)F)no1 ZINC000647320037 384269291 /nfs/dbraw/zinc/26/92/91/384269291.db2.gz SIRUJYJUGMJKJD-VXNVDRBHSA-N 0 3 232.274 2.925 20 0 BFADHN Fc1cc(Cl)ccc1CNC[C@@H]1CCOC1 ZINC000133045046 384270392 /nfs/dbraw/zinc/27/03/92/384270392.db2.gz WPUFUDSPCPIHJP-VIFPVBQESA-N 0 3 243.709 2.605 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc3ccccc3o2)CO1 ZINC000335435262 384276282 /nfs/dbraw/zinc/27/62/82/384276282.db2.gz JYBDJRGDDVBVLM-PWSUYJOCSA-N 0 3 231.295 2.700 20 0 BFADHN CN(C/C=C\c1ccccc1)CC(C)(C)CO ZINC000449417555 384304779 /nfs/dbraw/zinc/30/47/79/384304779.db2.gz YWKVLKSHDTYUET-YFHOEESVSA-N 0 3 233.355 2.650 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@@H](C)[C@@H]2C)n1 ZINC000133708869 384307080 /nfs/dbraw/zinc/30/70/80/384307080.db2.gz HFHFANMFOGCAOD-PWSUYJOCSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@@H](C)[C@@H]2C)n1 ZINC000133708869 384307085 /nfs/dbraw/zinc/30/70/85/384307085.db2.gz HFHFANMFOGCAOD-PWSUYJOCSA-N 0 3 234.343 2.716 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2nc3ccccc3n2C)C1 ZINC000533432296 384307971 /nfs/dbraw/zinc/30/79/71/384307971.db2.gz YESNHNZXDGXOFP-VXGBXAGGSA-N 0 3 243.354 2.804 20 0 BFADHN CC(C)OCCCN1CCC[C@H]1c1ccc[nH]1 ZINC000159335673 384322345 /nfs/dbraw/zinc/32/23/45/384322345.db2.gz YCOAYJYDZGVAKA-AWEZNQCLSA-N 0 3 236.359 2.967 20 0 BFADHN C[C@H](N[C@H]1CCO[C@@H]1C)c1ccc(F)cc1F ZINC000119826934 384308630 /nfs/dbraw/zinc/30/86/30/384308630.db2.gz IRIMYZWKJRWFNN-RWEMILLDSA-N 0 3 241.281 2.793 20 0 BFADHN CCN(CCCCCOC)Cc1ccccn1 ZINC000119858098 384309000 /nfs/dbraw/zinc/30/90/00/384309000.db2.gz PNVVKERXPZNCLJ-UHFFFAOYSA-N 0 3 236.359 2.720 20 0 BFADHN C[C@H](NC[C@]1(C)CCCC[C@@H]1O)c1ccccn1 ZINC000159302403 384320053 /nfs/dbraw/zinc/32/00/53/384320053.db2.gz JQJJLRGEAFXILE-QEJZJMRPSA-N 0 3 248.370 2.673 20 0 BFADHN Cc1cc(CNC[C@@H]2CC3CCC2CC3)nn1C ZINC000647224506 384280198 /nfs/dbraw/zinc/28/01/98/384280198.db2.gz LCWBLZVDIXSHQX-RUXDESIVSA-N 0 3 247.386 2.644 20 0 BFADHN CC(C)NCc1cnc(C2CCC2)s1 ZINC000083123422 384284051 /nfs/dbraw/zinc/28/40/51/384284051.db2.gz CIRJEDYLZATXCA-UHFFFAOYSA-N 0 3 210.346 2.909 20 0 BFADHN C[C@@H](N[C@@H](C)CC1CC1)c1nccs1 ZINC000083143459 384284535 /nfs/dbraw/zinc/28/45/35/384284535.db2.gz IFTYLFJMRUQZGE-DTWKUNHWSA-N 0 3 210.346 2.982 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1cc(C)c(C)o1 ZINC000282469404 384291556 /nfs/dbraw/zinc/29/15/56/384291556.db2.gz GJVJXPMUWQIQOY-NXEZZACHSA-N 0 3 211.305 2.582 20 0 BFADHN CCC[C@H](NC[C@@H](O)C(C)C)c1ccccn1 ZINC000179472991 384294175 /nfs/dbraw/zinc/29/41/75/384294175.db2.gz LROATXQNLXEEEP-GXTWGEPZSA-N 0 3 236.359 2.529 20 0 BFADHN COc1ncccc1CN[C@@H]1CCCC1(C)C ZINC000094307268 384294181 /nfs/dbraw/zinc/29/41/81/384294181.db2.gz JPSMBEWKBUZBPU-GFCCVEGCSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1noc(C)c1C[C@@H](C)NCc1ccco1 ZINC000119758502 384303264 /nfs/dbraw/zinc/30/32/64/384303264.db2.gz RJPOEGWGWGHJFW-SECBINFHSA-N 0 3 234.299 2.605 20 0 BFADHN CO[C@H](C)[C@H](C)NC1(c2ccccc2)CC1 ZINC000134235460 384339384 /nfs/dbraw/zinc/33/93/84/384339384.db2.gz BEBQXDOZGVSMGL-NWDGAFQWSA-N 0 3 219.328 2.689 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@@H]2CCO[C@@H]2C)s1 ZINC000120386595 384349720 /nfs/dbraw/zinc/34/97/20/384349720.db2.gz QWEHHDZIFNUYAC-IQJOONFLSA-N 0 3 240.372 2.588 20 0 BFADHN CCc1cc(NC[C@@H](C)O)c2ccccc2n1 ZINC000120418758 384352274 /nfs/dbraw/zinc/35/22/74/384352274.db2.gz QCHJQSYWRZAUAK-SNVBAGLBSA-N 0 3 230.311 2.590 20 0 BFADHN CCCCOCCN1CCS[C@H](CC)C1 ZINC000076072649 384356354 /nfs/dbraw/zinc/35/63/54/384356354.db2.gz DYWVBLUYNGIVML-GFCCVEGCSA-N 0 3 231.405 2.631 20 0 BFADHN COCc1ccc(CNC[C@@H](C)CC(F)F)o1 ZINC000647226073 384374379 /nfs/dbraw/zinc/37/43/79/384374379.db2.gz RNRFKZVFLUOZNS-VIFPVBQESA-N 0 3 247.285 2.807 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000449426935 384368010 /nfs/dbraw/zinc/36/80/10/384368010.db2.gz QXARAUJDIBPZOX-UVLXDEKHSA-N 0 3 247.338 2.666 20 0 BFADHN CNC(=O)CCN[C@@H](C)c1ccc(C(C)C)cc1 ZINC000044316918 384323520 /nfs/dbraw/zinc/32/35/20/384323520.db2.gz RGIHAMNUZDJAHK-LBPRGKRZSA-N 0 3 248.370 2.597 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CC[C@H]2C)c1 ZINC000308827136 384325641 /nfs/dbraw/zinc/32/56/41/384325641.db2.gz ALWNWPQTGZIXDB-WOPDTQHZSA-N 0 3 204.317 2.839 20 0 BFADHN Cc1nnsc1CNC1CCC(C)(C)CC1 ZINC000335570302 384329959 /nfs/dbraw/zinc/32/99/59/384329959.db2.gz LHOVKYLNIOGIFK-UHFFFAOYSA-N 0 3 239.388 2.905 20 0 BFADHN C[C@H]1CN(C)C[C@@H]1Nc1cc(F)cc(Cl)c1 ZINC000309778924 384392867 /nfs/dbraw/zinc/39/28/67/384392867.db2.gz MLIDONDHGSAEQR-UFBFGSQYSA-N 0 3 242.725 2.841 20 0 BFADHN Cc1nc(CN[C@@H]2CC3CCC2CC3)oc1C ZINC000335620985 384393385 /nfs/dbraw/zinc/39/33/85/384393385.db2.gz YZLZERSGGKQPNH-WXRRBKDZSA-N 0 3 234.343 2.960 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@]2(C)CO)o1 ZINC000530517622 384394611 /nfs/dbraw/zinc/39/46/11/384394611.db2.gz DBJJXEAWAVHEJL-OSAQELSMSA-N 0 3 249.354 2.750 20 0 BFADHN COC[C@@H](C)CN[C@H](C)c1ccccc1F ZINC000045656379 384400136 /nfs/dbraw/zinc/40/01/36/384400136.db2.gz UMBKYZSMIPMDAI-WDEREUQCSA-N 0 3 225.307 2.759 20 0 BFADHN c1nc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)cs1 ZINC000403710808 384402653 /nfs/dbraw/zinc/40/26/53/384402653.db2.gz FTNGHAKBHYPVOG-OUAUKWLOSA-N 0 3 222.357 2.669 20 0 BFADHN Cc1csc([C@@H](C)CNCc2ccco2)n1 ZINC000134984644 384404122 /nfs/dbraw/zinc/40/41/22/384404122.db2.gz NFCOYLQKDMWTNE-VIFPVBQESA-N 0 3 236.340 2.938 20 0 BFADHN Cn1c2ccccc2nc1CN1CCCC1(C)C ZINC000077383114 384404119 /nfs/dbraw/zinc/40/41/19/384404119.db2.gz TWHMNXDNBJZMTP-UHFFFAOYSA-N 0 3 243.354 2.948 20 0 BFADHN CCSCC[C@@H](C)NCc1ccns1 ZINC000404484079 384411769 /nfs/dbraw/zinc/41/17/69/384411769.db2.gz INDDKBGBNQVQDR-SECBINFHSA-N 0 3 230.402 2.764 20 0 BFADHN C[C@]1(F)CCN([C@@H]2C[C@H]2c2ccccc2)C1 ZINC000361352417 384415907 /nfs/dbraw/zinc/41/59/07/384415907.db2.gz DCUKAJPRRJIMTB-MJBXVCDLSA-N 0 3 219.303 2.976 20 0 BFADHN Cc1cc(C)n(CCCNCc2occc2C)n1 ZINC000135129044 384416122 /nfs/dbraw/zinc/41/61/22/384416122.db2.gz CPJJHUBGMVMJGA-UHFFFAOYSA-N 0 3 247.342 2.581 20 0 BFADHN CCc1ccc(CN[C@@H]2CCOC(C)(C)C2)o1 ZINC000160548195 384420216 /nfs/dbraw/zinc/42/02/16/384420216.db2.gz PAOWBKRCOLFWST-LLVKDONJSA-N 0 3 237.343 2.889 20 0 BFADHN Cc1ccoc1CNCCc1ccc(O)cc1 ZINC000135163771 384420193 /nfs/dbraw/zinc/42/01/93/384420193.db2.gz YBUFZZGMPWJBGF-UHFFFAOYSA-N 0 3 231.295 2.626 20 0 BFADHN C[C@H](Cc1ccsc1)NCc1nccs1 ZINC000082394381 384420546 /nfs/dbraw/zinc/42/05/46/384420546.db2.gz GYVSYIMAKKCUTN-SECBINFHSA-N 0 3 238.381 2.925 20 0 BFADHN C[C@H](O)C(C)(C)NCc1cccc(Cl)c1F ZINC000160621720 384421363 /nfs/dbraw/zinc/42/13/63/384421363.db2.gz WCNHOXQKMAGXTF-QMMMGPOBSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@@H](CNCc1ccc(CO)o1)c1ccccc1 ZINC000077897763 384421311 /nfs/dbraw/zinc/42/13/11/384421311.db2.gz DWRJSUZZIHOTOF-LBPRGKRZSA-N 0 3 245.322 2.665 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1ccc(Cl)cc1 ZINC000096033494 384424791 /nfs/dbraw/zinc/42/47/91/384424791.db2.gz MMYHVTIIUGNPHS-CABZTGNLSA-N 0 3 225.719 2.607 20 0 BFADHN c1nc(C2CC2)c(CN2CC(C3CC3)C2)s1 ZINC000643345263 384378276 /nfs/dbraw/zinc/37/82/76/384378276.db2.gz LJNNJPPBDAULPJ-UHFFFAOYSA-N 0 3 234.368 2.862 20 0 BFADHN Cc1ccc(CNCc2cccn2C)s1 ZINC000050596344 384382868 /nfs/dbraw/zinc/38/28/68/384382868.db2.gz VKJHMBROMMMCHJ-UHFFFAOYSA-N 0 3 220.341 2.685 20 0 BFADHN C[C@H](NCC[C@@H]1CCCCO1)c1nccs1 ZINC000134731901 384385057 /nfs/dbraw/zinc/38/50/57/384385057.db2.gz SIHIVOWOSSYDCW-QWRGUYRKSA-N 0 3 240.372 2.753 20 0 BFADHN CC(C)[C@@H](O)CNCc1ccc(F)c(Cl)c1 ZINC000160147379 384389239 /nfs/dbraw/zinc/38/92/39/384389239.db2.gz CYVDLNBHMBTOHV-LBPRGKRZSA-N 0 3 245.725 2.586 20 0 BFADHN Cc1nc(CNCCCCOC(C)C)cs1 ZINC000134797419 384391113 /nfs/dbraw/zinc/39/11/13/384391113.db2.gz DMRFGJUDXZXMDA-UHFFFAOYSA-N 0 3 242.388 2.746 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](C)CCO)o1 ZINC000121955039 384448601 /nfs/dbraw/zinc/44/86/01/384448601.db2.gz JXNQDZSJZXNXHR-QWRGUYRKSA-N 0 3 225.332 2.511 20 0 BFADHN Cc1ccccc1CN[C@@H]1COC(C)(C)C1 ZINC000335658469 384456242 /nfs/dbraw/zinc/45/62/42/384456242.db2.gz XXHSZFKIODSFQH-ZDUSSCGKSA-N 0 3 219.328 2.652 20 0 BFADHN COc1ncccc1CNC[C@@H](C)CC(C)C ZINC000305899757 384433524 /nfs/dbraw/zinc/43/35/24/384433524.db2.gz ACSFLULVKVHCLV-LBPRGKRZSA-N 0 3 236.359 2.862 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1ccc2ccccc2n1 ZINC000135306856 384434309 /nfs/dbraw/zinc/43/43/09/384434309.db2.gz FHVSHDSIIZTDPM-BXUZGUMPSA-N 0 3 242.322 2.502 20 0 BFADHN CCCCCNC(=O)CN1CCCC[C@@H]1CC ZINC000066405521 384438150 /nfs/dbraw/zinc/43/81/50/384438150.db2.gz FHKZJZWJRIFLBX-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1cnc(CNC[C@@H](C)CC(F)F)s1 ZINC000647227103 384440149 /nfs/dbraw/zinc/44/01/49/384440149.db2.gz UNENXDRZOPRCCD-ZETCQYMHSA-N 0 3 234.315 2.832 20 0 BFADHN COc1ccccc1C1(N[C@H](C)[C@@H](C)OC)CC1 ZINC000647329033 384442054 /nfs/dbraw/zinc/44/20/54/384442054.db2.gz DOOVYMGGDBJPLH-VXGBXAGGSA-N 0 3 249.354 2.697 20 0 BFADHN Cc1ccc([C@@H](C)NCC(C)(C)CCO)o1 ZINC000122139891 384460404 /nfs/dbraw/zinc/46/04/04/384460404.db2.gz AIENXMFKNBWYFK-LLVKDONJSA-N 0 3 225.332 2.647 20 0 BFADHN CC[C@@H](C)N1CCO[C@H](Cc2ccccc2)C1 ZINC000181160499 384462837 /nfs/dbraw/zinc/46/28/37/384462837.db2.gz QMZBQFKCOWHZGP-UKRRQHHQSA-N 0 3 233.355 2.728 20 0 BFADHN Fc1cccc(CN[C@@H]2C[C@H]3C[C@H]3C2)c1F ZINC000335661279 384464020 /nfs/dbraw/zinc/46/40/20/384464020.db2.gz FXJBOJCVIRERJB-JGPRNRPPSA-N 0 3 223.266 2.853 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2ccccc2Cl)O1 ZINC000148365701 384465530 /nfs/dbraw/zinc/46/55/30/384465530.db2.gz BHNSNAGIVDDALF-PWSUYJOCSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2ccc(Cl)cc2)O1 ZINC000148450513 384468391 /nfs/dbraw/zinc/46/83/91/384468391.db2.gz WFDHJTMWPWKSQM-GWCFXTLKSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(Cl)c(F)c2)CO1 ZINC000335662000 384468656 /nfs/dbraw/zinc/46/86/56/384468656.db2.gz AKAKLQSZBQWJOH-WCBMZHEXSA-N 0 3 243.709 2.746 20 0 BFADHN Cc1cccc(CN[C@H]2CO[C@@H](C)C2)c1C ZINC000335663535 384472036 /nfs/dbraw/zinc/47/20/36/384472036.db2.gz NFEVPQBVGFIHDP-SMDDNHRTSA-N 0 3 219.328 2.570 20 0 BFADHN COC[C@H](N[C@@H](C)C(C)C)c1ccc(C)o1 ZINC000122293345 384472074 /nfs/dbraw/zinc/47/20/74/384472074.db2.gz FLQBSGCVRPCEDA-RYUDHWBXSA-N 0 3 225.332 2.910 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@H](C)C2)cc1C ZINC000335664306 384476060 /nfs/dbraw/zinc/47/60/60/384476060.db2.gz QJWMXQBMZJVAOV-OCCSQVGLSA-N 0 3 219.328 2.570 20 0 BFADHN CCC(C)(CC)NCc1ccc(C(=O)OC)o1 ZINC000175688666 384476519 /nfs/dbraw/zinc/47/65/19/384476519.db2.gz LJCDVVAHEAHDBE-UHFFFAOYSA-N 0 3 239.315 2.735 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@@H](C)C2)c(C)c1 ZINC000335664351 384476779 /nfs/dbraw/zinc/47/67/79/384476779.db2.gz RFZLHJSQBMGZKU-GXTWGEPZSA-N 0 3 219.328 2.570 20 0 BFADHN CCSCCN1CCc2ccccc2C1 ZINC000122383520 384480631 /nfs/dbraw/zinc/48/06/31/384480631.db2.gz GBXCDRUNPUMNNY-UHFFFAOYSA-N 0 3 221.369 2.798 20 0 BFADHN C[C@H](N[C@@H]1/C=C/CCCCC1)c1cnn(C)c1 ZINC000252509204 384500894 /nfs/dbraw/zinc/50/08/94/384500894.db2.gz YFXXUAKCYHIXEP-SKVWCYETSA-N 0 3 233.359 2.960 20 0 BFADHN CC[C@H](COC)NCc1cc(F)c(F)c(F)c1 ZINC000079735181 384511831 /nfs/dbraw/zinc/51/18/31/384511831.db2.gz IQSUKULOOWGISP-SECBINFHSA-N 0 3 247.260 2.619 20 0 BFADHN CCC[C@@](C)(N)C(=O)N(CC)Cc1ccccc1 ZINC000037621437 384507078 /nfs/dbraw/zinc/50/70/78/384507078.db2.gz RRRGVBNSAGSDNL-OAHLLOKOSA-N 0 3 248.370 2.553 20 0 BFADHN CCc1cccnc1[C@H](C)NCC[C@@H](C)OC ZINC000356850298 384507104 /nfs/dbraw/zinc/50/71/04/384507104.db2.gz QDPATAYJANQPKQ-NEPJUHHUSA-N 0 3 236.359 2.720 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1ccccc1OC ZINC000079706888 384508513 /nfs/dbraw/zinc/50/85/13/384508513.db2.gz CAMWTDGTILKPQI-NWDGAFQWSA-N 0 3 237.343 2.771 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1ccccc1OC ZINC000079706901 384509323 /nfs/dbraw/zinc/50/93/23/384509323.db2.gz CAMWTDGTILKPQI-VXGBXAGGSA-N 0 3 237.343 2.771 20 0 BFADHN CC(C)=CCCNCc1c(Cl)cnn1C ZINC000276600228 384486490 /nfs/dbraw/zinc/48/64/90/384486490.db2.gz GFDWDHLGOUAZRE-UHFFFAOYSA-N 0 3 227.739 2.519 20 0 BFADHN Cc1nocc1CN1C[C@@H](C)CC[C@@H]1C ZINC000295390674 384491341 /nfs/dbraw/zinc/49/13/41/384491341.db2.gz PVBVFMLVJCOVQP-UWVGGRQHSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(CN(C(C)C)C2CCC2)on1 ZINC000172664347 384491292 /nfs/dbraw/zinc/49/12/92/384491292.db2.gz JZSYFRZHVNNBTL-UHFFFAOYSA-N 0 3 208.305 2.746 20 0 BFADHN Cc1ccc(CN2CC[C@H](OC(F)F)C2)s1 ZINC000559097560 384534686 /nfs/dbraw/zinc/53/46/86/384534686.db2.gz KZSSZWJHXKYCNM-VIFPVBQESA-N 0 3 247.310 2.870 20 0 BFADHN Cc1ccc(CN[C@H]2CCCSC2)o1 ZINC000061589593 384520912 /nfs/dbraw/zinc/52/09/12/384520912.db2.gz YKYPLGBEXWXQIO-JTQLQIEISA-N 0 3 211.330 2.573 20 0 BFADHN Cc1nocc1CNCCSc1ccccc1 ZINC000294072662 384529867 /nfs/dbraw/zinc/52/98/67/384529867.db2.gz KUBXOBYTTSPRMC-UHFFFAOYSA-N 0 3 248.351 2.865 20 0 BFADHN C[C@@H](NC1Cc2ccccc2C1)c1nccs1 ZINC000080265117 384542539 /nfs/dbraw/zinc/54/25/39/384542539.db2.gz JGMCXBWARVETAV-SNVBAGLBSA-N 0 3 244.363 2.961 20 0 BFADHN CCC[C@H](O)CNCc1ccc(SC)cc1 ZINC000123299803 384545785 /nfs/dbraw/zinc/54/57/85/384545785.db2.gz ILVCPYUETNKLIP-LBPRGKRZSA-N 0 3 239.384 2.659 20 0 BFADHN C[C@H](CCc1ccsc1)NCCF ZINC000308265216 384547319 /nfs/dbraw/zinc/54/73/19/384547319.db2.gz PMEDXYKFUAXLFJ-SECBINFHSA-N 0 3 201.310 2.628 20 0 BFADHN C[C@H](N[C@@H]1CCOC(C)(C)C1)c1cncs1 ZINC000161979325 384551462 /nfs/dbraw/zinc/55/14/62/384551462.db2.gz FPLWIZNKMFIUOI-VHSXEESVSA-N 0 3 240.372 2.751 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1ccc(F)c(F)c1 ZINC000019904899 384555326 /nfs/dbraw/zinc/55/53/26/384555326.db2.gz AVTXNUTVRVMEGE-BDAKNGLRSA-N 0 3 229.270 2.650 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1cccnc1Cl ZINC000123396238 384557588 /nfs/dbraw/zinc/55/75/88/384557588.db2.gz SFVWLRSYNLXJIP-UWVGGRQHSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@@H](NC[C@]1(C)CCOC1)c1ccccc1F ZINC000354601212 384559578 /nfs/dbraw/zinc/55/95/78/384559578.db2.gz RDBRRHFXESWCKC-RISCZKNCSA-N 0 3 237.318 2.903 20 0 BFADHN C[C@H](NC[C@]1(C)CCOC1)c1ccccc1F ZINC000354601211 384559763 /nfs/dbraw/zinc/55/97/63/384559763.db2.gz RDBRRHFXESWCKC-FZMZJTMJSA-N 0 3 237.318 2.903 20 0 BFADHN FC(F)(F)C1CCC(NCc2ncc[nH]2)CC1 ZINC000049715271 384561452 /nfs/dbraw/zinc/56/14/52/384561452.db2.gz AEOPXWNCOHIEBK-UHFFFAOYSA-N 0 3 247.264 2.620 20 0 BFADHN Cc1ccc(CNCC2CC(F)(F)C2)nc1 ZINC000309719381 384563424 /nfs/dbraw/zinc/56/34/24/384563424.db2.gz VKMPKZYIEMPSGX-UHFFFAOYSA-N 0 3 226.270 2.525 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1ccccc1F ZINC000019963570 384562072 /nfs/dbraw/zinc/56/20/72/384562072.db2.gz VCUVKGXINYZPRO-MNOVXSKESA-N 0 3 223.291 2.655 20 0 BFADHN CCc1ccccc1NC1CCN(C)CC1 ZINC000019772369 384538162 /nfs/dbraw/zinc/53/81/62/384538162.db2.gz KXSIPVFWTGPAOW-UHFFFAOYSA-N 0 3 218.344 2.755 20 0 BFADHN COC[C@H](N[C@H]1CC[C@H]1C(C)C)c1ccco1 ZINC000556870056 384568949 /nfs/dbraw/zinc/56/89/49/384568949.db2.gz BXEIHDINIRSIJG-AVGNSLFASA-N 0 3 237.343 2.991 20 0 BFADHN CC[C@H](F)CN1CCC[C@H]1CSC ZINC000308293531 384573899 /nfs/dbraw/zinc/57/38/99/384573899.db2.gz IWHDMRRDEMUVJB-UWVGGRQHSA-N 0 3 205.342 2.562 20 0 BFADHN CC[C@@H](F)CN1CCC[C@@H]1CSC ZINC000308293530 384573947 /nfs/dbraw/zinc/57/39/47/384573947.db2.gz IWHDMRRDEMUVJB-NXEZZACHSA-N 0 3 205.342 2.562 20 0 BFADHN Cc1cc(C)c(CN(CCO)C2CC2)c(C)c1 ZINC000049899150 384575377 /nfs/dbraw/zinc/57/53/77/384575377.db2.gz VSEMBNMWVOIJGE-UHFFFAOYSA-N 0 3 233.355 2.569 20 0 BFADHN C[C@H](NC[C@@H](c1ccco1)N(C)C)c1ccco1 ZINC000020122255 384578082 /nfs/dbraw/zinc/57/80/82/384578082.db2.gz DLNUCUYOWYPYQJ-RYUDHWBXSA-N 0 3 248.326 2.826 20 0 BFADHN Cc1nnsc1CNC[C@H]1CCCC[C@@H]1C ZINC000309751323 384580230 /nfs/dbraw/zinc/58/02/30/384580230.db2.gz KOOZFIKRGXAATA-GXSJLCMTSA-N 0 3 239.388 2.762 20 0 BFADHN CC[C@H](C)C[C@H](CO)N[C@@H](C)c1cccnc1 ZINC000647337285 384580621 /nfs/dbraw/zinc/58/06/21/384580621.db2.gz NEBMHPAJEVKCMX-SGMGOOAPSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1ccccc1F ZINC000019963571 384563941 /nfs/dbraw/zinc/56/39/41/384563941.db2.gz VCUVKGXINYZPRO-QWRGUYRKSA-N 0 3 223.291 2.655 20 0 BFADHN CC1=CC[C@@H](N[C@@H](c2nccn2C)C2CC2)CC1 ZINC000556867034 384564476 /nfs/dbraw/zinc/56/44/76/384564476.db2.gz VKNYMBLAJIWHGG-ZIAGYGMSSA-N 0 3 245.370 2.960 20 0 BFADHN CC[C@@H](C)N[C@H](CCO)c1ccccc1F ZINC000647345016 384603368 /nfs/dbraw/zinc/60/33/68/384603368.db2.gz CKYHAVDJOOUNTI-ZWNOBZJWSA-N 0 3 225.307 2.637 20 0 BFADHN Cc1ncc(CNCCC(C)(C)C2CC2)o1 ZINC000405966465 384605509 /nfs/dbraw/zinc/60/55/09/384605509.db2.gz CTIATLIUUYWYNX-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1noc(C)c1CNC1CCC(C)CC1 ZINC000020252997 384606181 /nfs/dbraw/zinc/60/61/81/384606181.db2.gz QXSHXTNJZILPDG-UHFFFAOYSA-N 0 3 222.332 2.960 20 0 BFADHN CC/C=C/CCN1CCN(c2ccccn2)CC1 ZINC000184433002 384606420 /nfs/dbraw/zinc/60/64/20/384606420.db2.gz IODXLPKIFLTURV-ONEGZZNKSA-N 0 3 245.370 2.560 20 0 BFADHN CC(C)Cn1nccc1CNCCCC1CC1 ZINC000647184378 384607761 /nfs/dbraw/zinc/60/77/61/384607761.db2.gz BUKNMZFMRWKBIL-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN C1=C[C@@H](N2CCO[C@H](C3CC3)C2)CCCCC1 ZINC000559155782 384609418 /nfs/dbraw/zinc/60/94/18/384609418.db2.gz RNLNVMNEGNTQRN-CABCVRRESA-N 0 3 235.371 2.986 20 0 BFADHN CC(C)CC(C)(C)CNC(=O)CN(C)C(C)C ZINC000176351145 384609739 /nfs/dbraw/zinc/60/97/39/384609739.db2.gz DOALGOSKNWJONU-UHFFFAOYSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@@H](NCCc1ccc(O)cc1)c1cscn1 ZINC000162386601 384610966 /nfs/dbraw/zinc/61/09/66/384610966.db2.gz MVXHDHCZRIIYTK-SNVBAGLBSA-N 0 3 248.351 2.742 20 0 BFADHN Cn1cccc1CN[C@@H]1CCc2cc(F)ccc21 ZINC000172628302 384611839 /nfs/dbraw/zinc/61/18/39/384611839.db2.gz FEKCYPCXLIDZSR-OAHLLOKOSA-N 0 3 244.313 2.941 20 0 BFADHN Fc1cccc(CNC[C@@H]2CCC=CO2)c1 ZINC000050267826 384612115 /nfs/dbraw/zinc/61/21/15/384612115.db2.gz VVWWHASCIWNMPS-ZDUSSCGKSA-N 0 3 221.275 2.608 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CCC[C@H]2C[C@H]21 ZINC000647348607 384616716 /nfs/dbraw/zinc/61/67/16/384616716.db2.gz FJTKHYDFGSVVMN-WUHRBBMRSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H](Cn1cccn1)N[C@H](C)c1ccsc1 ZINC000050343339 384617294 /nfs/dbraw/zinc/61/72/94/384617294.db2.gz WFXBLDNKHKXFEX-GHMZBOCLSA-N 0 3 235.356 2.684 20 0 BFADHN C[C@H](NCC1CCC(F)CC1)c1cnccn1 ZINC000647349147 384619670 /nfs/dbraw/zinc/61/96/70/384619670.db2.gz ATZQWVASXVGSLU-UNXYVOJBSA-N 0 3 237.322 2.656 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnc2ccccn12 ZINC000172724549 384621693 /nfs/dbraw/zinc/62/16/93/384621693.db2.gz VRPOZEYJYBLEEH-LLVKDONJSA-N 0 3 217.316 2.565 20 0 BFADHN C[C@H](NCCC(C)(C)O)c1ccc(F)cc1F ZINC000271634590 384623051 /nfs/dbraw/zinc/62/30/51/384623051.db2.gz GFCFLALJAWDEFB-VIFPVBQESA-N 0 3 243.297 2.776 20 0 BFADHN CCSCCN1CCC[C@@H]1c1cccn1C ZINC000172735702 384623974 /nfs/dbraw/zinc/62/39/74/384623974.db2.gz XGFYMIDKGILSMO-CYBMUJFWSA-N 0 3 238.400 2.915 20 0 BFADHN C[C@H](NCC1CCC(F)CC1)c1ccncn1 ZINC000647350459 384624639 /nfs/dbraw/zinc/62/46/39/384624639.db2.gz YMSDLBJIUOAHEC-UNXYVOJBSA-N 0 3 237.322 2.656 20 0 BFADHN Cc1csc(CN[C@@H](C)C(C)(C)C)n1 ZINC000117386120 384626328 /nfs/dbraw/zinc/62/63/28/384626328.db2.gz DYJYNCOBPDCUAT-VIFPVBQESA-N 0 3 212.362 2.976 20 0 BFADHN CCOc1ccccc1CN[C@@H](C)CCOC ZINC000081705110 384629240 /nfs/dbraw/zinc/62/92/40/384629240.db2.gz NZUGRWOQGYUVKZ-LBPRGKRZSA-N 0 3 237.343 2.600 20 0 BFADHN C[C@H](NCCCOCC1CC1)c1ccco1 ZINC000020190657 384593069 /nfs/dbraw/zinc/59/30/69/384593069.db2.gz OSNKGCPJUJULKU-NSHDSACASA-N 0 3 223.316 2.747 20 0 BFADHN C[C@H](NCCCOCC1CC1)c1ccccn1 ZINC000020190603 384593341 /nfs/dbraw/zinc/59/33/41/384593341.db2.gz TWYJQMINOQBYDB-LBPRGKRZSA-N 0 3 234.343 2.549 20 0 BFADHN CCC[C@H](N[C@@H]1CO[C@@H](C)C1)c1cccnc1 ZINC000647350669 384632068 /nfs/dbraw/zinc/63/20/68/384632068.db2.gz KVJDQWYPZGEXLG-UBHSHLNASA-N 0 3 234.343 2.690 20 0 BFADHN CC[C@H](CO)N[C@H](C)c1ccc(F)c(Cl)c1 ZINC000020195883 384597173 /nfs/dbraw/zinc/59/71/73/384597173.db2.gz XTNIARGMLKQODM-PSASIEDQSA-N 0 3 245.725 2.901 20 0 BFADHN Cc1ccc([C@@H](C)NCCCc2c[nH]nc2C)o1 ZINC000050182354 384600357 /nfs/dbraw/zinc/60/03/57/384600357.db2.gz YYTRFRRWOLZPHS-GFCCVEGCSA-N 0 3 247.342 2.903 20 0 BFADHN C[C@@H](NCc1ccc(Cl)cc1)[C@H]1CCCO1 ZINC000020205267 384600732 /nfs/dbraw/zinc/60/07/32/384600732.db2.gz CVRUFQOACVXKHY-ZWNOBZJWSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@@H](Cn1cccn1)N[C@H]1CCCc2occc21 ZINC000123968698 384601525 /nfs/dbraw/zinc/60/15/25/384601525.db2.gz WEYPHNGWXYERHX-AAEUAGOBSA-N 0 3 245.326 2.532 20 0 BFADHN COCC[C@H](C)NCc1cccc(Cl)c1F ZINC000124652684 384662834 /nfs/dbraw/zinc/66/28/34/384662834.db2.gz UKVSKBWONPYYIK-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN CO[C@H](CN[C@H](C)c1cccnc1)C(C)(C)C ZINC000173210790 384664192 /nfs/dbraw/zinc/66/41/92/384664192.db2.gz QRGDOTJQWCASIM-DGCLKSJQSA-N 0 3 236.359 2.793 20 0 BFADHN CO[C@]1(C)C[C@H](N[C@H](C)c2cccnc2)C1(C)C ZINC000173212449 384664506 /nfs/dbraw/zinc/66/45/06/384664506.db2.gz BCDSXWKOLGQWOP-OSAQELSMSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@H]1CN(C[C@@H]2C[C@@H]2c2ccccc2)CCO1 ZINC000556940714 384667503 /nfs/dbraw/zinc/66/75/03/384667503.db2.gz GDZCKQKLVOSWJM-AEGPPILISA-N 0 3 231.339 2.511 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1cc(Cl)ccc1F ZINC000162705385 384671437 /nfs/dbraw/zinc/67/14/37/384671437.db2.gz SBTMWVCCKFTMHT-BDAKNGLRSA-N 0 3 245.725 2.586 20 0 BFADHN CCCNCc1cnc(-c2ccccc2)nc1 ZINC000048326615 384673320 /nfs/dbraw/zinc/67/33/20/384673320.db2.gz CFVIJIFYMHUPMB-UHFFFAOYSA-N 0 3 227.311 2.643 20 0 BFADHN CCC1(CN[C@H](C)c2nccs2)CC1 ZINC000090219565 384634608 /nfs/dbraw/zinc/63/46/08/384634608.db2.gz CAHARDMEMVHCPR-SECBINFHSA-N 0 3 210.346 2.984 20 0 BFADHN CC[C@@H](COC)NCc1cc(Cl)cs1 ZINC000086332902 384637821 /nfs/dbraw/zinc/63/78/21/384637821.db2.gz YBLFUZUJOKSRQG-VIFPVBQESA-N 0 3 233.764 2.916 20 0 BFADHN CC[C@@H](F)CN(C)[C@H](C)CCSC ZINC000308329132 384638344 /nfs/dbraw/zinc/63/83/44/384638344.db2.gz HSVQFJMHKCBMJC-NXEZZACHSA-N 0 3 207.358 2.808 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1cc2n(n1)CCC2 ZINC000647353100 384639264 /nfs/dbraw/zinc/63/92/64/384639264.db2.gz SBWLTWKDDPASPC-UMSGYPCISA-N 0 3 245.370 2.669 20 0 BFADHN CC[C@H](F)CN(C)[C@H](C)CCSC ZINC000308329135 384639635 /nfs/dbraw/zinc/63/96/35/384639635.db2.gz HSVQFJMHKCBMJC-ZJUUUORDSA-N 0 3 207.358 2.808 20 0 BFADHN CC[C@](C)(CO)NCc1cc(Cl)cs1 ZINC000086332936 384640501 /nfs/dbraw/zinc/64/05/01/384640501.db2.gz VHGLKGUXBFUZRF-SNVBAGLBSA-N 0 3 233.764 2.652 20 0 BFADHN C[C@H](NC1CC1)c1ccccc1N(C)C ZINC000093941063 384641397 /nfs/dbraw/zinc/64/13/97/384641397.db2.gz CXQKFMVMENOYSO-JTQLQIEISA-N 0 3 204.317 2.566 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1CC[C@@H]2C[C@@H]2C1)C1CC1 ZINC000647356638 384644065 /nfs/dbraw/zinc/64/40/65/384644065.db2.gz PGNBJIZMOXONNN-AAVRWANBSA-N 0 3 245.370 2.649 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@H]2C[C@H]2C1)c1nccn1C ZINC000647356492 384644096 /nfs/dbraw/zinc/64/40/96/384644096.db2.gz NLRNFALTTYCAHG-RVMXOQNASA-N 0 3 233.359 2.649 20 0 BFADHN CCc1ccc([C@@H](COC)NCC2CCC2)o1 ZINC000184779079 384647236 /nfs/dbraw/zinc/64/72/36/384647236.db2.gz NSZIAWXVAWGMRN-CYBMUJFWSA-N 0 3 237.343 2.919 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2ncccc2C)C1(C)C ZINC000162571734 384648807 /nfs/dbraw/zinc/64/88/07/384648807.db2.gz ZQBJSSCWUZEODC-DZGCQCFKSA-N 0 3 248.370 2.683 20 0 BFADHN C[C@H](NCc1ccc(Cl)o1)[C@@H]1CCCCO1 ZINC000309832300 384650524 /nfs/dbraw/zinc/65/05/24/384650524.db2.gz YXZFJNPKVFVKBW-ONGXEEELSA-N 0 3 243.734 2.980 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)COC)s1 ZINC000041062234 384655978 /nfs/dbraw/zinc/65/59/78/384655978.db2.gz CDYOCGMNIZSSMS-ZJUUUORDSA-N 0 3 227.373 2.996 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](C)COC)s1 ZINC000041062233 384657241 /nfs/dbraw/zinc/65/72/41/384657241.db2.gz CDYOCGMNIZSSMS-NXEZZACHSA-N 0 3 227.373 2.996 20 0 BFADHN FC(F)(F)c1ccc(CN[C@@H]2CCOC2)cc1 ZINC000104067690 384660430 /nfs/dbraw/zinc/66/04/30/384660430.db2.gz GKRIGQRHJGAVOM-LLVKDONJSA-N 0 3 245.244 2.584 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1ccccc1F ZINC000336663239 384725313 /nfs/dbraw/zinc/72/53/13/384725313.db2.gz CMXQCFBZCBCXIT-RYUDHWBXSA-N 0 3 225.332 2.809 20 0 BFADHN CCCc1ncc(CNC[C@@H](C)CC)o1 ZINC000449614638 384726142 /nfs/dbraw/zinc/72/61/42/384726142.db2.gz WHYORDDAWMHUHI-JTQLQIEISA-N 0 3 210.321 2.763 20 0 BFADHN Cc1nc(CN[C@@H]2CSC[C@@H]2C)sc1C ZINC000335912960 384679237 /nfs/dbraw/zinc/67/92/37/384679237.db2.gz NOCGCARWNJJWNL-OIBJUYFYSA-N 0 3 242.413 2.601 20 0 BFADHN CC(=O)CN(Cc1ccccc1)[C@@H](C)C1CC1 ZINC000306814906 384681255 /nfs/dbraw/zinc/68/12/55/384681255.db2.gz AWNGFKTUOGRCCT-ZDUSSCGKSA-N 0 3 231.339 2.876 20 0 BFADHN CCC[C@H](CC)[NH2+]Cc1nc(C)ccc1[O-] ZINC000082432879 384683151 /nfs/dbraw/zinc/68/31/51/384683151.db2.gz BTCNKZBODDDNIV-NSHDSACASA-N 0 3 222.332 2.764 20 0 BFADHN CSCCCN(C)Cc1ccc(C)o1 ZINC000173491428 384685202 /nfs/dbraw/zinc/68/52/02/384685202.db2.gz IYPUDAPCPATEKL-UHFFFAOYSA-N 0 3 213.346 2.773 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CCC[C@@H](C)CC1 ZINC000335917858 384687561 /nfs/dbraw/zinc/68/75/61/384687561.db2.gz RYJGHRRNTCOYBM-ZYHUDNBSSA-N 0 3 221.348 2.777 20 0 BFADHN C[C@H](N[C@@H](CCO)C(C)(C)C)c1ccccn1 ZINC000185199082 384690977 /nfs/dbraw/zinc/69/09/77/384690977.db2.gz NAOFYYSWCBBYIF-AAEUAGOBSA-N 0 3 236.359 2.529 20 0 BFADHN CN(C)c1ccncc1CNCCC1=CCCC1 ZINC000185223196 384695468 /nfs/dbraw/zinc/69/54/68/384695468.db2.gz ZSTQEYPDCHVVKL-UHFFFAOYSA-N 0 3 245.370 2.738 20 0 BFADHN C[C@@H](CC1CCCCC1)NCc1cc[nH]n1 ZINC000309895538 384697961 /nfs/dbraw/zinc/69/79/61/384697961.db2.gz FTECLTJZQHVHLJ-NSHDSACASA-N 0 3 221.348 2.858 20 0 BFADHN CCC[C@H](NC[C@H](O)C(C)C)c1cccnc1 ZINC000185462936 384727061 /nfs/dbraw/zinc/72/70/61/384727061.db2.gz GRDABNAIGZDMOF-KBPBESRZSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)N(C)[C@@H](C)CC(C)C ZINC000104688733 384703031 /nfs/dbraw/zinc/70/30/31/384703031.db2.gz YUPRZEVIKPYUEC-OLZOCXBDSA-N 0 3 242.407 2.610 20 0 BFADHN CC1(C)OCC[C@H]1NCc1ccc(Cl)o1 ZINC000335919873 384706005 /nfs/dbraw/zinc/70/60/05/384706005.db2.gz ULYQYXLNPDQJSS-SECBINFHSA-N 0 3 229.707 2.590 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2ccoc2)C1(C)C ZINC000125270206 384707004 /nfs/dbraw/zinc/70/70/04/384707004.db2.gz KBKIYLKQXGIXJW-VXGBXAGGSA-N 0 3 223.316 2.573 20 0 BFADHN CN(CCc1ccccc1)C[C@H]1CCCCO1 ZINC000051998066 384708496 /nfs/dbraw/zinc/70/84/96/384708496.db2.gz GQXYWAMBOZBGIG-OAHLLOKOSA-N 0 3 233.355 2.730 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1cc(F)ccc1F ZINC000309912851 384708421 /nfs/dbraw/zinc/70/84/21/384708421.db2.gz NPIQEASHMKOOAS-RYUDHWBXSA-N 0 3 243.322 2.948 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1cc(F)ccc1F ZINC000309912850 384708903 /nfs/dbraw/zinc/70/89/03/384708903.db2.gz NPIQEASHMKOOAS-NWDGAFQWSA-N 0 3 243.322 2.948 20 0 BFADHN CCC[C@@H](C)NC(=O)Nc1cc(C)cc(CN)c1 ZINC000644192054 384709565 /nfs/dbraw/zinc/70/95/65/384709565.db2.gz UUFQBWDRHNKPNZ-LLVKDONJSA-N 0 3 249.358 2.764 20 0 BFADHN COCc1nc(CN[C@@H](C)CC(C)C)cs1 ZINC000125808858 384711983 /nfs/dbraw/zinc/71/19/83/384711983.db2.gz GLANBXHKKRLTEM-JTQLQIEISA-N 0 3 242.388 2.814 20 0 BFADHN C[C@@H](CCO)CNCc1ccc(Cl)cc1F ZINC000162968342 384711990 /nfs/dbraw/zinc/71/19/90/384711990.db2.gz SZXJZJWEEPLPKQ-VIFPVBQESA-N 0 3 245.725 2.587 20 0 BFADHN CC[C@H](O)CCNCc1ccc(Cl)cc1F ZINC000162984261 384714836 /nfs/dbraw/zinc/71/48/36/384714836.db2.gz NZPSJSWRKCYSSG-NSHDSACASA-N 0 3 245.725 2.730 20 0 BFADHN CC(C)C[C@H](C)CN1CCC(=O)[C@H](C)C1 ZINC000300932624 384718036 /nfs/dbraw/zinc/71/80/36/384718036.db2.gz NYECFLSVJPSUCV-NWDGAFQWSA-N 0 3 211.349 2.580 20 0 BFADHN CCC(O)(CC)CN[C@@H](C)c1ccc(C)o1 ZINC000083463526 384773917 /nfs/dbraw/zinc/77/39/17/384773917.db2.gz UOWCPCNMEXQUBK-NSHDSACASA-N 0 3 225.332 2.790 20 0 BFADHN CC(C)c1ncc(CN[C@H](C)C[C@H](C)O)s1 ZINC000336752661 384774578 /nfs/dbraw/zinc/77/45/78/384774578.db2.gz VYKYVKVXLDSDOH-ZJUUUORDSA-N 0 3 242.388 2.516 20 0 BFADHN COC[C@H](N[C@H](C)C1CC1)c1ccc(F)cc1 ZINC000358195114 384780846 /nfs/dbraw/zinc/78/08/46/384780846.db2.gz UMUGLWXSDJPSQD-YGRLFVJLSA-N 0 3 237.318 2.901 20 0 BFADHN COCC[C@H](NCC1CC1)c1ccc(C)o1 ZINC000309947728 384732388 /nfs/dbraw/zinc/73/23/88/384732388.db2.gz DWEMHVOKNBXPDR-LBPRGKRZSA-N 0 3 223.316 2.665 20 0 BFADHN C[C@@H](NCCC[C@H](C)O)c1ccc(Cl)cn1 ZINC000279011865 384733358 /nfs/dbraw/zinc/73/33/58/384733358.db2.gz GZPJBLUIFKSVEA-VHSXEESVSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](O)CCN[C@@H](C)c1ccc(F)cc1Cl ZINC000082993431 384733507 /nfs/dbraw/zinc/73/35/07/384733507.db2.gz FPFKFLNZBOAPAE-IUCAKERBSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H](N[C@H]1CCn2ccnc21)C1CCCCC1 ZINC000335953910 384735849 /nfs/dbraw/zinc/73/58/49/384735849.db2.gz HRXYSUQIXHNATQ-AAEUAGOBSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1ccc(F)c(CNC[C@H]2CC[C@H](C)O2)c1 ZINC000398054803 384739009 /nfs/dbraw/zinc/73/90/09/384739009.db2.gz YFIILGJMYAAENF-WCQYABFASA-N 0 3 237.318 2.791 20 0 BFADHN CC(C)Cn1nccc1CNC1(C2CC2)CC1 ZINC000647192599 384740736 /nfs/dbraw/zinc/74/07/36/384740736.db2.gz OHCFYGYZBKLPPQ-UHFFFAOYSA-N 0 3 233.359 2.571 20 0 BFADHN C[C@H]1C[C@H]([NH2+]Cc2cc([O-])cc(F)c2)CS1 ZINC000334855476 384746310 /nfs/dbraw/zinc/74/63/10/384746310.db2.gz XZSYCGZDDZIIHF-KWQFWETISA-N 0 3 241.331 2.515 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H]1C)c1nccs1 ZINC000083143390 384746537 /nfs/dbraw/zinc/74/65/37/384746537.db2.gz MXIZVVPFARTVSR-LPEHRKFASA-N 0 3 210.346 2.982 20 0 BFADHN CCOc1cccc([C@@H](C)N[C@@H](C)COC)c1 ZINC000174013205 384746596 /nfs/dbraw/zinc/74/65/96/384746596.db2.gz GGXZFFIDGVMAPV-NWDGAFQWSA-N 0 3 237.343 2.771 20 0 BFADHN CC(C)N(Cc1ccccn1)C[C@@H]1CC[C@@H](C)O1 ZINC000530549460 384752003 /nfs/dbraw/zinc/75/20/03/384752003.db2.gz BUZPHMLLBSJIHW-HIFRSBDPSA-N 0 3 248.370 2.860 20 0 BFADHN CCc1ccc(CNCCn2ccnc2C)cc1 ZINC000225082550 384752857 /nfs/dbraw/zinc/75/28/57/384752857.db2.gz IQGOQZAKPLYCLQ-UHFFFAOYSA-N 0 3 243.354 2.544 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1ncc(C)o1 ZINC000185663564 384754993 /nfs/dbraw/zinc/75/49/93/384754993.db2.gz JXHBHTRXFIBJCQ-ONGXEEELSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@@H](N[C@H]1COC(C)(C)C1)c1ccccc1 ZINC000334936270 384756609 /nfs/dbraw/zinc/75/66/09/384756609.db2.gz ZOTPRSJZIADNOD-DGCLKSJQSA-N 0 3 219.328 2.905 20 0 BFADHN CC[C@H](CN[C@H]1CCCc2occc21)OC ZINC000289579114 384757448 /nfs/dbraw/zinc/75/74/48/384757448.db2.gz AJUFYANCRQAUQL-PWSUYJOCSA-N 0 3 223.316 2.672 20 0 BFADHN CC(C)CSCCCN[C@H](C)c1cn[nH]c1 ZINC000309715836 384760077 /nfs/dbraw/zinc/76/00/77/384760077.db2.gz YTIXFRKZDFTVJU-LLVKDONJSA-N 0 3 241.404 2.840 20 0 BFADHN CC[C@H](NCc1cn(C)nc1C)c1ccccc1 ZINC000126009004 384761346 /nfs/dbraw/zinc/76/13/46/384761346.db2.gz QZXBCNOVWHUKJC-HNNXBMFYSA-N 0 3 243.354 2.969 20 0 BFADHN Cc1nn(C)cc1CN[C@H](C)c1ccc(C)cc1 ZINC000125972629 384761453 /nfs/dbraw/zinc/76/14/53/384761453.db2.gz AAZNHDGDYRPHKY-GFCCVEGCSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cccc(-c2n[nH]cc2CNC2CC2)c1 ZINC000103340513 384762995 /nfs/dbraw/zinc/76/29/95/384762995.db2.gz IEOGGSWDIHEPJH-UHFFFAOYSA-N 0 3 227.311 2.637 20 0 BFADHN COC[C@H](N[C@@H](C)c1ccc(F)cc1)C1CC1 ZINC000126014469 384763435 /nfs/dbraw/zinc/76/34/35/384763435.db2.gz ZUHFCECPHCVYBI-HZMBPMFUSA-N 0 3 237.318 2.901 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1cccc(O)c1)OC ZINC000323043987 384767558 /nfs/dbraw/zinc/76/75/58/384767558.db2.gz PAHZHDYSLJNNNS-RISCZKNCSA-N 0 3 237.343 2.858 20 0 BFADHN Cc1nocc1CN[C@H](C)CCC(C)C ZINC000294120839 384768928 /nfs/dbraw/zinc/76/89/28/384768928.db2.gz NHOGXHWOPJOTKR-SNVBAGLBSA-N 0 3 210.321 2.897 20 0 BFADHN Cc1ccc(CN[C@H]2CCOC(C)(C)C2)nc1C ZINC000314032860 384769276 /nfs/dbraw/zinc/76/92/76/384769276.db2.gz LIXQXNADFJSJQO-ZDUSSCGKSA-N 0 3 248.370 2.746 20 0 BFADHN COC[C@H](N[C@H](C)c1ccc(C)o1)C(C)C ZINC000083397927 384770098 /nfs/dbraw/zinc/77/00/98/384770098.db2.gz VIJJQCHQJIPACF-NEPJUHHUSA-N 0 3 225.332 2.910 20 0 BFADHN C[C@@H]1C[C@H](C)[C@H](C)N(Cc2ccno2)C1 ZINC000530552887 384771450 /nfs/dbraw/zinc/77/14/50/384771450.db2.gz RFWMWPOIDYDDNO-VWYCJHECSA-N 0 3 208.305 2.541 20 0 BFADHN Cn1ccc(CN[C@H](C2CCC2)C2CCCC2)n1 ZINC000647235757 384772141 /nfs/dbraw/zinc/77/21/41/384772141.db2.gz DHEJJJIVLCZBMB-HNNXBMFYSA-N 0 3 247.386 2.869 20 0 BFADHN C[C@]1(CNCc2ccco2)CCCS1 ZINC000087620424 384815690 /nfs/dbraw/zinc/81/56/90/384815690.db2.gz ALLYNAWVSMWPLH-LLVKDONJSA-N 0 3 211.330 2.655 20 0 BFADHN O=C1C[C@H]2CC[C@@H](C1)N2CC1=CCCCC1 ZINC000336230874 384818256 /nfs/dbraw/zinc/81/82/56/384818256.db2.gz WWDVYXHZGANABN-BETUJISGSA-N 0 3 219.328 2.683 20 0 BFADHN Cc1cccc(CNC[C@H]2CC[C@H](C)O2)c1F ZINC000398155646 384819517 /nfs/dbraw/zinc/81/95/17/384819517.db2.gz IKCOJFSMXRZRGY-WCQYABFASA-N 0 3 237.318 2.791 20 0 BFADHN CCOCCC1(CNCc2ccc(C)o2)CC1 ZINC000126677032 384820613 /nfs/dbraw/zinc/82/06/13/384820613.db2.gz XRFGOTFGRDLCDO-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN Cc1csc(CNC[C@H]2CCCCS2)n1 ZINC000087684958 384827101 /nfs/dbraw/zinc/82/71/01/384827101.db2.gz RICWIXIBCDNURV-SNVBAGLBSA-N 0 3 242.413 2.827 20 0 BFADHN CSCCCCNCc1occc1C ZINC000126905071 384827246 /nfs/dbraw/zinc/82/72/46/384827246.db2.gz AVEUOYZBVZUWPL-UHFFFAOYSA-N 0 3 213.346 2.821 20 0 BFADHN Cc1ccc(C)c(CN[C@H]2CO[C@H](C)C2)c1 ZINC000335664331 384832442 /nfs/dbraw/zinc/83/24/42/384832442.db2.gz RBAMBBZUSGNPHX-TZMCWYRMSA-N 0 3 219.328 2.570 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1ccc(Cl)nc1 ZINC000126812563 384832736 /nfs/dbraw/zinc/83/27/36/384832736.db2.gz NBCNYELRJCPFCG-ONGXEEELSA-N 0 3 240.734 2.565 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1nccs1 ZINC000306830118 384835204 /nfs/dbraw/zinc/83/52/04/384835204.db2.gz IQOCPRKQZPEHOY-DOLQZWNJSA-N 0 3 208.330 2.592 20 0 BFADHN C[C@@H](N[C@@H]1CC=CCC1)c1nccs1 ZINC000084066779 384835413 /nfs/dbraw/zinc/83/54/13/384835413.db2.gz WHSFYSVXFBAOSI-NXEZZACHSA-N 0 3 208.330 2.902 20 0 BFADHN Cc1nc(CCN2CCCC[C@@H]2C)cs1 ZINC000163638051 384835760 /nfs/dbraw/zinc/83/57/60/384835760.db2.gz FPWXYYIOPWHLFK-JTQLQIEISA-N 0 3 224.373 2.868 20 0 BFADHN COC[C@@H](N[C@@H](C)C1CC1)c1ccc(F)cc1 ZINC000358195106 384782611 /nfs/dbraw/zinc/78/26/11/384782611.db2.gz UMUGLWXSDJPSQD-IINYFYTJSA-N 0 3 237.318 2.901 20 0 BFADHN Cn1ccnc1CNCC(C)(C)c1cccs1 ZINC000083601824 384783208 /nfs/dbraw/zinc/78/32/08/384783208.db2.gz ONJZKQOHYXCSRB-UHFFFAOYSA-N 0 3 249.383 2.549 20 0 BFADHN C[C@H](NCCN1CCCC[C@H]1C)c1ccco1 ZINC000053343467 384783655 /nfs/dbraw/zinc/78/36/55/384783655.db2.gz XCCHLEGYMSXQOQ-OLZOCXBDSA-N 0 3 236.359 2.805 20 0 BFADHN CCOC[C@@H](C)NCc1ccsc1C ZINC000308542626 384788774 /nfs/dbraw/zinc/78/87/74/384788774.db2.gz OLAYWEQRQFWITR-SECBINFHSA-N 0 3 213.346 2.571 20 0 BFADHN Cc1ccoc1CNCCc1cccs1 ZINC000126626794 384790591 /nfs/dbraw/zinc/79/05/91/384790591.db2.gz XIFCVGMJLFCLEZ-UHFFFAOYSA-N 0 3 221.325 2.982 20 0 BFADHN CCN(C)Cc1cccc(OCCCOC)c1 ZINC000126323143 384792007 /nfs/dbraw/zinc/79/20/07/384792007.db2.gz WGGVTEOLSSSEEP-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN C[C@@H](CNCc1ccco1)Oc1ccccc1F ZINC000053566103 384797003 /nfs/dbraw/zinc/79/70/03/384797003.db2.gz LFYDYEVXVCHSIN-NSHDSACASA-N 0 3 249.285 2.976 20 0 BFADHN CC/C=C\CCN[C@@H](COC)c1ccco1 ZINC000310030029 384800813 /nfs/dbraw/zinc/80/08/13/384800813.db2.gz QURLZSKFRIPNHX-RXNFCKPNSA-N 0 3 223.316 2.913 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1O)c1ccc(Cl)s1 ZINC000306666796 384800925 /nfs/dbraw/zinc/80/09/25/384800925.db2.gz AFARMRLBTFBRAM-XLPZGREQSA-N 0 3 231.748 2.575 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1ccc(Cl)nc1 ZINC000126884551 384836777 /nfs/dbraw/zinc/83/67/77/384836777.db2.gz DCSVACXEANZBDJ-KOLCDFICSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1nc(CCN2CCC(C)CC2)cs1 ZINC000163636375 384836990 /nfs/dbraw/zinc/83/69/90/384836990.db2.gz SYIGLQNLHHRJAO-UHFFFAOYSA-N 0 3 224.373 2.726 20 0 BFADHN Cc1ccc(C(=O)CCN2CC[C@@H](C)C2)cc1 ZINC000053935658 384811389 /nfs/dbraw/zinc/81/13/89/384811389.db2.gz IHFORVTVAMUVSX-CYBMUJFWSA-N 0 3 231.339 2.910 20 0 BFADHN CC(C)(C)CCCN1CCC(F)(F)[C@H](CO)C1 ZINC000361425368 384812951 /nfs/dbraw/zinc/81/29/51/384812951.db2.gz FFPJZZLLRXLRPK-NSHDSACASA-N 0 3 249.345 2.762 20 0 BFADHN CC[C@@H](C)CN[C@@H](c1nccn1C)C1CC1 ZINC000310041770 384813305 /nfs/dbraw/zinc/81/33/05/384813305.db2.gz YYMHXKMBECWVDA-ZYHUDNBSSA-N 0 3 221.348 2.507 20 0 BFADHN Cc1ccoc1CNCCc1ccccc1 ZINC000126622210 384814322 /nfs/dbraw/zinc/81/43/22/384814322.db2.gz UFPPKVOTJDESCP-UHFFFAOYSA-N 0 3 215.296 2.920 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@@H]1C)c1nccs1 ZINC000308612598 384871017 /nfs/dbraw/zinc/87/10/17/384871017.db2.gz RCBNZZZIGBPTOO-GUBZILKMSA-N 0 3 210.346 2.840 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ccccn1)c1nccs1 ZINC000186743140 384871797 /nfs/dbraw/zinc/87/17/97/384871797.db2.gz DIMRIHSTATURFW-QWRGUYRKSA-N 0 3 247.367 2.992 20 0 BFADHN Cc1ccc(CCN2CCC[C@@H]2CF)cc1 ZINC000339664600 384877865 /nfs/dbraw/zinc/87/78/65/384877865.db2.gz LXRTVGYVBMSSDG-CQSZACIVSA-N 0 3 221.319 2.971 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1ccc(Cl)nc1 ZINC000126868004 384839224 /nfs/dbraw/zinc/83/92/24/384839224.db2.gz BMZJMYDPVSZZAZ-MWLCHTKSSA-N 0 3 242.750 2.811 20 0 BFADHN CCOCCN(CCC(F)(F)F)CC1CC1 ZINC000084138341 384842909 /nfs/dbraw/zinc/84/29/09/384842909.db2.gz MMAVMFGQYMRDQZ-UHFFFAOYSA-N 0 3 239.281 2.687 20 0 BFADHN Cc1ccc(CN[C@H]2CCCC(C)(C)C2)nn1 ZINC000335181596 384844425 /nfs/dbraw/zinc/84/44/25/384844425.db2.gz ZNCPSAIIPVMOCM-LBPRGKRZSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@@H](C)CC2)nn1 ZINC000335181570 384844472 /nfs/dbraw/zinc/84/44/72/384844472.db2.gz YVSSVTPERRQDQJ-DGCLKSJQSA-N 0 3 233.359 2.843 20 0 BFADHN CCc1ccccc1CN[C@@H](C)c1cnn(C)c1 ZINC000084201034 384846272 /nfs/dbraw/zinc/84/62/72/384846272.db2.gz KEESCYZUEBZJFG-LBPRGKRZSA-N 0 3 243.354 2.833 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@@H](C)c1cccc(F)c1 ZINC000186489063 384847597 /nfs/dbraw/zinc/84/75/97/384847597.db2.gz CIZUAJTWUOSONM-CESFYWBNSA-N 0 3 237.318 2.803 20 0 BFADHN C[C@@H](CCC1CC1)N[C@@H](CCO)c1ccco1 ZINC000186543912 384849847 /nfs/dbraw/zinc/84/98/47/384849847.db2.gz VXWSPONQYPMOCJ-AAEUAGOBSA-N 0 3 237.343 2.871 20 0 BFADHN Cc1ccc([C@@H](C)NCc2c[nH]cn2)cc1 ZINC000054765028 384850903 /nfs/dbraw/zinc/85/09/03/384850903.db2.gz JOIQQXOKISREES-LLVKDONJSA-N 0 3 215.300 2.569 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cnc[nH]2)cc1 ZINC000054765028 384850906 /nfs/dbraw/zinc/85/09/06/384850906.db2.gz JOIQQXOKISREES-LLVKDONJSA-N 0 3 215.300 2.569 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3ccccc3F)CCC2)CO1 ZINC000647237136 384854522 /nfs/dbraw/zinc/85/45/22/384854522.db2.gz DEKAJKZHIZXIHL-NEPJUHHUSA-N 0 3 249.329 2.972 20 0 BFADHN Fc1cccc(CCNCc2cncs2)c1 ZINC000054770540 384854544 /nfs/dbraw/zinc/85/45/44/384854544.db2.gz UUYMLXZVKJBMAP-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCc3ccc(F)cc32)CO1 ZINC000647198490 384857879 /nfs/dbraw/zinc/85/78/79/384857879.db2.gz IFHOMFIHRALXKQ-RIEGTJTDSA-N 0 3 249.329 2.970 20 0 BFADHN CSC[C@H](C)N[C@H](C)c1cccc(O)c1 ZINC000127333218 384858711 /nfs/dbraw/zinc/85/87/11/384858711.db2.gz HYEZWCXIODWVGP-VHSXEESVSA-N 0 3 225.357 2.794 20 0 BFADHN COc1cc(C)nc(CN[C@@H]2CC[C@@H]3C[C@@H]3C2)c1 ZINC000403751854 384860100 /nfs/dbraw/zinc/86/01/00/384860100.db2.gz PCKWJAOGLIFSPX-JHJVBQTASA-N 0 3 246.354 2.677 20 0 BFADHN CC(C)n1cc(CN[C@H]2CCCC[C@H]2C)nn1 ZINC000310164195 384866667 /nfs/dbraw/zinc/86/66/67/384866667.db2.gz RDZBNDKIWZGOCT-YPMHNXCESA-N 0 3 236.363 2.527 20 0 BFADHN Fc1cccc2c1CC[C@H]2NCC1=CCOCC1 ZINC000335230792 384867734 /nfs/dbraw/zinc/86/77/34/384867734.db2.gz APAVALWTHYSVRN-OAHLLOKOSA-N 0 3 247.313 2.749 20 0 BFADHN Cc1ccc([C@H](C)NCCCn2cccn2)o1 ZINC000127147925 384868085 /nfs/dbraw/zinc/86/80/85/384868085.db2.gz PWEHSLSBEXKIRA-LBPRGKRZSA-N 0 3 233.315 2.525 20 0 BFADHN CC(=O)CCN1CCc2c(C)cc(C)cc2C1 ZINC000186699846 384868774 /nfs/dbraw/zinc/86/87/74/384868774.db2.gz RUWZCOCYKRDVNU-UHFFFAOYSA-N 0 3 231.339 2.641 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1sc(C)nc1C ZINC000222561612 384956640 /nfs/dbraw/zinc/95/66/40/384956640.db2.gz OZASNAWQMOZHEU-WEDXCCLWSA-N 0 3 242.388 2.834 20 0 BFADHN Cc1cccc(CNCCCOC(F)F)c1F ZINC000398297750 384890497 /nfs/dbraw/zinc/89/04/97/384890497.db2.gz FTLQRXZOJAWZMF-UHFFFAOYSA-N 0 3 247.260 2.853 20 0 BFADHN F[C@H]1CCN(C[C@H]2CCCC(F)(F)C2)C1 ZINC000336263021 384891414 /nfs/dbraw/zinc/89/14/14/384891414.db2.gz NAWKJVQUQZRKFR-UWVGGRQHSA-N 0 3 221.266 2.856 20 0 BFADHN CC1(C)Cc2occc2[C@H](N[C@H]2CCC[C@@H]2O)C1 ZINC000181067994 384897699 /nfs/dbraw/zinc/89/76/99/384897699.db2.gz GACRUIIKPGXDQJ-XQQFMLRXSA-N 0 3 249.354 2.796 20 0 BFADHN CN[C@@H](C)C(=O)Nc1c(C)cccc1C(C)C ZINC000049752999 384899987 /nfs/dbraw/zinc/89/99/87/384899987.db2.gz LQBCNBLULKWYAC-NSHDSACASA-N 0 3 234.343 2.665 20 0 BFADHN C[C@]1(F)CCCN(CC2CC(F)(F)C2)C1 ZINC000336266966 384905861 /nfs/dbraw/zinc/90/58/61/384905861.db2.gz RNFSASONVNCJSD-JTQLQIEISA-N 0 3 221.266 2.856 20 0 BFADHN FC1(F)CC[C@H](CN2CCO[C@H](C3CC3)C2)C1 ZINC000336268117 384907595 /nfs/dbraw/zinc/90/75/95/384907595.db2.gz VWIILJATXJVYCJ-JQWIXIFHSA-N 0 3 245.313 2.533 20 0 BFADHN CCOCCN[C@@H](CC)c1cccc(F)c1 ZINC000164077580 384908049 /nfs/dbraw/zinc/90/80/49/384908049.db2.gz OUHWIGJYNOUGCC-ZDUSSCGKSA-N 0 3 225.307 2.903 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@@H]2CCCO2)cc1F ZINC000106409867 384916488 /nfs/dbraw/zinc/91/64/88/384916488.db2.gz QYGZNRGVJYFWEX-RISCZKNCSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H](CCO)CNCc1c(F)cccc1Cl ZINC000085126709 384920321 /nfs/dbraw/zinc/92/03/21/384920321.db2.gz HRUFKAADVUIBOI-SECBINFHSA-N 0 3 245.725 2.587 20 0 BFADHN CCC[C@@H]1CCCC[C@H]1NCc1nccn1C ZINC000516882862 384923759 /nfs/dbraw/zinc/92/37/59/384923759.db2.gz PBWPRNNKXYGNIL-CHWSQXEVSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1cnc(NCCN2CCCCCC2)s1 ZINC000302109689 384959664 /nfs/dbraw/zinc/95/96/64/384959664.db2.gz OWPCZAHFNKYBKQ-UHFFFAOYSA-N 0 3 239.388 2.739 20 0 BFADHN CC(C)n1ccc(CNC[C@H]2CC=CCC2)n1 ZINC000085161416 384926178 /nfs/dbraw/zinc/92/61/78/384926178.db2.gz AEINWOGUYBQWHC-ZDUSSCGKSA-N 0 3 233.359 2.910 20 0 BFADHN CCCc1cccc(CN[C@@H]2C=C[C@H](CO)C2)c1 ZINC000187305315 384933932 /nfs/dbraw/zinc/93/39/32/384933932.db2.gz ZBQNYXAMNDMJSI-JKSUJKDBSA-N 0 3 245.366 2.666 20 0 BFADHN c1cnc2c(c1)CCC[C@H]2N[C@@H]1CC12CC2 ZINC000643907936 384935087 /nfs/dbraw/zinc/93/50/87/384935087.db2.gz OWRGGWYBGCXIIR-VXGBXAGGSA-N 0 3 214.312 2.601 20 0 BFADHN C[C@@]1(c2ccccc2)CN(CC2CC2)CCO1 ZINC000156711686 384940944 /nfs/dbraw/zinc/94/09/44/384940944.db2.gz DTMXHMGADJQSOX-HNNXBMFYSA-N 0 3 231.339 2.644 20 0 BFADHN CCN(CCC(=O)c1ccccc1)C1CC1 ZINC000053931591 384960325 /nfs/dbraw/zinc/96/03/25/384960325.db2.gz RUJRDBQZXCWPRT-UHFFFAOYSA-N 0 3 217.312 2.744 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@@H]1C[C@@H](C)[C@@H]1C ZINC000644536292 384945077 /nfs/dbraw/zinc/94/50/77/384945077.db2.gz NIPNCRMFJOAMBD-LJWDBELGSA-N 0 3 248.370 2.841 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CC[C@@H](C)C1 ZINC000085444776 384945974 /nfs/dbraw/zinc/94/59/74/384945974.db2.gz PVUXLNZZLXTTJH-KCJUWKMLSA-N 0 3 208.305 2.570 20 0 BFADHN COC[C@H](N[C@@H](C)c1ccoc1)C(C)C ZINC000087290396 384948487 /nfs/dbraw/zinc/94/84/87/384948487.db2.gz UULCFVBJUKYVIP-JQWIXIFHSA-N 0 3 211.305 2.601 20 0 BFADHN CCc1ccc(CNCCC2CCOCC2)o1 ZINC000310305597 384969587 /nfs/dbraw/zinc/96/95/87/384969587.db2.gz OVJXCWCDPUXLGD-UHFFFAOYSA-N 0 3 237.343 2.748 20 0 BFADHN C[C@@H](N[C@@H]1COCC1(C)C)c1ccsc1 ZINC000312986756 385021413 /nfs/dbraw/zinc/02/14/13/385021413.db2.gz UHJYVJZKODWCIM-MWLCHTKSSA-N 0 3 225.357 2.824 20 0 BFADHN Cn1ccnc1[C@@H](NC[C@H]1CC=CCC1)C1CC1 ZINC000187762060 384978320 /nfs/dbraw/zinc/97/83/20/384978320.db2.gz FVIJTQULQXNPQO-JSGCOSHPSA-N 0 3 245.370 2.817 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2COCC2(C)C)o1 ZINC000312976886 384980118 /nfs/dbraw/zinc/98/01/18/384980118.db2.gz DJRIFKZEOVTJRA-JQWIXIFHSA-N 0 3 223.316 2.664 20 0 BFADHN CCOC[C@@H](NCc1cc(C)ns1)C(C)C ZINC000404420104 384982579 /nfs/dbraw/zinc/98/25/79/384982579.db2.gz BWPUXVAOWRWMHX-GFCCVEGCSA-N 0 3 242.388 2.602 20 0 BFADHN CSC[C@@H](C)N[C@@H](C)c1cnccc1C ZINC000129217397 384983848 /nfs/dbraw/zinc/98/38/48/384983848.db2.gz QUTMJWJQYFYDKC-MNOVXSKESA-N 0 3 224.373 2.792 20 0 BFADHN CCCC1(CNCc2conc2CC)CC1 ZINC000339296949 384984615 /nfs/dbraw/zinc/98/46/15/384984615.db2.gz QMTYPWKOPZPVFT-UHFFFAOYSA-N 0 3 222.332 2.907 20 0 BFADHN C[C@@H](CN1CCCCC1)N[C@@H](C)c1ccoc1 ZINC000094868814 384987179 /nfs/dbraw/zinc/98/71/79/384987179.db2.gz UXTAGAJJRGLKGX-STQMWFEESA-N 0 3 236.359 2.805 20 0 BFADHN CC(C)Oc1ccccc1[C@@H](C)NC[C@H](C)O ZINC000530616761 384988916 /nfs/dbraw/zinc/98/89/16/384988916.db2.gz HGBQUQCUARGELY-NWDGAFQWSA-N 0 3 237.343 2.505 20 0 BFADHN Cc1nocc1CNCCC(C)(C)C1CC1 ZINC000339566480 385022383 /nfs/dbraw/zinc/02/23/83/385022383.db2.gz CXCWEIDZPQYPOE-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN CC(C)=CCNCc1ccnn1CC(C)C ZINC000647205594 384996884 /nfs/dbraw/zinc/99/68/84/384996884.db2.gz HLKSSKGCSUZZET-UHFFFAOYSA-N 0 3 221.348 2.595 20 0 BFADHN Cc1cccc2c1OCC[C@H]2N[C@H]1CO[C@H](C)C1 ZINC000647205574 384997690 /nfs/dbraw/zinc/99/76/90/384997690.db2.gz GMWZOMILPNVIBV-YRGRVCCFSA-N 0 3 247.338 2.586 20 0 BFADHN C[C@H](NCc1ccc(Br)o1)C1CC1 ZINC000035136615 384997797 /nfs/dbraw/zinc/99/77/97/384997797.db2.gz VVMMRXXOPSHPPX-ZETCQYMHSA-N 0 3 244.132 2.930 20 0 BFADHN CN(Cc1cccc2[nH]ccc21)C[C@@H]1CCCO1 ZINC000128118651 384997992 /nfs/dbraw/zinc/99/79/92/384997992.db2.gz XGGYXQIVYDIFLZ-ZDUSSCGKSA-N 0 3 244.338 2.779 20 0 BFADHN FC1(F)CCC[C@H]1CNCc1ccns1 ZINC000404455605 385000109 /nfs/dbraw/zinc/00/01/09/385000109.db2.gz PDXSMDLZASPBRD-QMMMGPOBSA-N 0 3 232.299 2.668 20 0 BFADHN CCOCC(C)(C)CNCc1cc(C)ns1 ZINC000404456140 385001528 /nfs/dbraw/zinc/00/15/28/385001528.db2.gz PNAKIONGXUNMKA-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN c1ccc2c(c1)SCC[C@@H]2NC1CC1 ZINC000022212741 385002975 /nfs/dbraw/zinc/00/29/75/385002975.db2.gz CQMIVHCEFJDSMM-NSHDSACASA-N 0 3 205.326 2.976 20 0 BFADHN C[C@@H](F)CCNCc1cc(F)c(F)c(F)c1 ZINC000339498536 385005728 /nfs/dbraw/zinc/00/57/28/385005728.db2.gz ZAMOMMHJXVFHJQ-SSDOTTSWSA-N 0 3 235.224 2.942 20 0 BFADHN Cc1ccccc1CCNCc1ccns1 ZINC000404442140 385006657 /nfs/dbraw/zinc/00/66/57/385006657.db2.gz LDLAUPPFTPTPMM-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN c1cc(CN[C@@H]2CC23CCCC3)sn1 ZINC000404477130 385009859 /nfs/dbraw/zinc/00/98/59/385009859.db2.gz SLGRNMHVOTZLHH-SNVBAGLBSA-N 0 3 208.330 2.565 20 0 BFADHN Cc1cc(CN[C@H]2CC=CCC2)sn1 ZINC000404475864 385011352 /nfs/dbraw/zinc/01/13/52/385011352.db2.gz WTUHBJQJESRIGU-JTQLQIEISA-N 0 3 208.330 2.650 20 0 BFADHN CCCCCN(C(=O)/C=C/CN(C)C)C(C)C ZINC000491906051 385011752 /nfs/dbraw/zinc/01/17/52/385011752.db2.gz OFXFGWZMWDARAA-MDZDMXLPSA-N 0 3 240.391 2.531 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1ccns1 ZINC000404469975 385014912 /nfs/dbraw/zinc/01/49/12/385014912.db2.gz YAMWJJWAGPQHCV-MWLCHTKSSA-N 0 3 210.346 2.667 20 0 BFADHN C[C@]1(CNCc2ccsc2Cl)CCOC1 ZINC000309093411 385016103 /nfs/dbraw/zinc/01/61/03/385016103.db2.gz BYQFNDVWFDECEV-LLVKDONJSA-N 0 3 245.775 2.918 20 0 BFADHN CC(C)(C)NCc1ccc(Br)o1 ZINC000022219594 385016502 /nfs/dbraw/zinc/01/65/02/385016502.db2.gz RYVYWMMTQRIXJJ-UHFFFAOYSA-N 0 3 232.121 2.930 20 0 BFADHN C[C@H](N[C@@H]1COCC1(C)C)c1cccs1 ZINC000312983671 385016602 /nfs/dbraw/zinc/01/66/02/385016602.db2.gz RQIIJYLCOITZAW-GXSJLCMTSA-N 0 3 225.357 2.824 20 0 BFADHN C[C@H](N[C@H]1COCC1(C)C)c1cccs1 ZINC000312983672 385016867 /nfs/dbraw/zinc/01/68/67/385016867.db2.gz RQIIJYLCOITZAW-ONGXEEELSA-N 0 3 225.357 2.824 20 0 BFADHN Cc1cnc(NCCN2CCC(C)CC2)s1 ZINC000302113235 384961976 /nfs/dbraw/zinc/96/19/76/384961976.db2.gz QLYVOELCZREFDG-UHFFFAOYSA-N 0 3 239.388 2.595 20 0 BFADHN CC(C)n1nccc1NC(=O)C(C)C(F)(F)F ZINC000361576641 384964425 /nfs/dbraw/zinc/96/44/25/384964425.db2.gz IVLRAYCFBJNJOL-ZETCQYMHSA-N 0 3 249.236 2.601 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1nccs1 ZINC000130534853 385049206 /nfs/dbraw/zinc/04/92/06/385049206.db2.gz GUWUYOTVUUBRCI-VHSXEESVSA-N 0 3 210.346 2.811 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1ccc(Cl)cc1 ZINC000037481234 385053519 /nfs/dbraw/zinc/05/35/19/385053519.db2.gz PKKMPHXEDUDGFO-GFCCVEGCSA-N 0 3 240.734 2.796 20 0 BFADHN Cc1nnc([C@H](C)N2C[C@H]3CCCC[C@@H]3C2)o1 ZINC000177572475 385056089 /nfs/dbraw/zinc/05/60/89/385056089.db2.gz FWIHEHYIFZDYQN-MVWJERBFSA-N 0 3 235.331 2.561 20 0 BFADHN CCN(C)C(=O)[C@H](C)N[C@@H](C)c1cccc(C)c1 ZINC000188498169 385058061 /nfs/dbraw/zinc/05/80/61/385058061.db2.gz YAWFKUVMAOVOPV-STQMWFEESA-N 0 3 248.370 2.512 20 0 BFADHN CC(C)[C@H](O)CN1CCc2sccc2[C@@H]1C ZINC000300933376 385060233 /nfs/dbraw/zinc/06/02/33/385060233.db2.gz WKGJDGAKTZTUEP-CMPLNLGQSA-N 0 3 239.384 2.684 20 0 BFADHN CC(C)CCN1CCn2cccc2[C@H]1C ZINC000060087841 385065549 /nfs/dbraw/zinc/06/55/49/385065549.db2.gz LDNKJSANOUFXHG-GFCCVEGCSA-N 0 3 206.333 2.911 20 0 BFADHN CO[C@@H](CNCc1ccc(C)o1)C(C)(C)C ZINC000165072386 385066137 /nfs/dbraw/zinc/06/61/37/385066137.db2.gz MFTKMXVUXWDWGL-LBPRGKRZSA-N 0 3 225.332 2.739 20 0 BFADHN CCN(CCOCC(F)F)Cc1cccs1 ZINC000188585832 385067403 /nfs/dbraw/zinc/06/74/03/385067403.db2.gz OWNJSUSMBNINLQ-UHFFFAOYSA-N 0 3 249.326 2.852 20 0 BFADHN C[C@H](NC[C@@H](O)CC1CCCC1)c1cccnc1 ZINC000188630998 385069856 /nfs/dbraw/zinc/06/98/56/385069856.db2.gz VJULYVNHUVWEFQ-WFASDCNBSA-N 0 3 248.370 2.673 20 0 BFADHN CC1(C)CCC(O)(CNCc2cccnc2)CC1 ZINC000087045905 385070064 /nfs/dbraw/zinc/07/00/64/385070064.db2.gz QYVLTWFJRAOMDO-UHFFFAOYSA-N 0 3 248.370 2.503 20 0 BFADHN CC[C@@H](CO)N[C@@H](CC)c1ccc(F)cc1F ZINC000188667682 385073381 /nfs/dbraw/zinc/07/33/81/385073381.db2.gz AQKNUJCSQVIBGK-GWCFXTLKSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1ccc(CN(C)CCOCC(F)F)cc1 ZINC000188660993 385075470 /nfs/dbraw/zinc/07/54/70/385075470.db2.gz NLSIBOJWAZHWHR-UHFFFAOYSA-N 0 3 243.297 2.709 20 0 BFADHN CCCCCCNCc1cnc([C@H](C)O)s1 ZINC000289362583 385077139 /nfs/dbraw/zinc/07/71/39/385077139.db2.gz YWWPSDXJBDNHNU-JTQLQIEISA-N 0 3 242.388 2.866 20 0 BFADHN O[C@@H](CN[C@@H]1C[C@H]1c1ccco1)CC1CCCC1 ZINC000644673435 385078444 /nfs/dbraw/zinc/07/84/44/385078444.db2.gz UALIWSNMSUHDJF-MGPQQGTHSA-N 0 3 249.354 2.666 20 0 BFADHN C[C@H](N[C@@H]1CCCSC1)c1cncs1 ZINC000157118363 385078434 /nfs/dbraw/zinc/07/84/34/385078434.db2.gz FTGZCJCHQKMMAZ-DTWKUNHWSA-N 0 3 228.386 2.689 20 0 BFADHN CCc1ccc(CN[C@H](C)CSC)o1 ZINC000131263047 385080118 /nfs/dbraw/zinc/08/01/18/385080118.db2.gz MGWFANJNRJMTGO-SECBINFHSA-N 0 3 213.346 2.683 20 0 BFADHN CC[C@@H](CO)N[C@@H]1CC(C)(C)Cc2occc21 ZINC000188677262 385080579 /nfs/dbraw/zinc/08/05/79/385080579.db2.gz NCWFXQADSXUUKN-CMPLNLGQSA-N 0 3 237.343 2.654 20 0 BFADHN CC[C@H](COC)N[C@H](CC)c1nc(C)cs1 ZINC000151018031 385080964 /nfs/dbraw/zinc/08/09/64/385080964.db2.gz LTPJGRVTCFFCME-GHMZBOCLSA-N 0 3 242.388 2.917 20 0 BFADHN CCOCCN[C@H](C)c1cc(C)ccc1C ZINC000035151234 385026883 /nfs/dbraw/zinc/02/68/83/385026883.db2.gz NOCPWTOIFQBLAE-CYBMUJFWSA-N 0 3 221.344 2.991 20 0 BFADHN CC[C@@H](C)C[C@H](CO)NCc1ccccc1F ZINC000647243382 385032143 /nfs/dbraw/zinc/03/21/43/385032143.db2.gz JCNHQACSWVKTKX-DGCLKSJQSA-N 0 3 239.334 2.712 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1C)c1nnc2ccccn21 ZINC000086672858 385036988 /nfs/dbraw/zinc/03/69/88/385036988.db2.gz QDTDBVCTPQYCPS-SRVKXCTJSA-N 0 3 244.342 2.569 20 0 BFADHN CCCCN(C)Cc1cccc(F)c1N ZINC000156334800 385037431 /nfs/dbraw/zinc/03/74/31/385037431.db2.gz MUWAHJQGHQYDMK-UHFFFAOYSA-N 0 3 210.296 2.640 20 0 BFADHN CCO[C@H](CN[C@@H]1CO[C@H](C)C1)c1ccccc1 ZINC000647206758 385037314 /nfs/dbraw/zinc/03/73/14/385037314.db2.gz FFLUQMNOQCPCLI-VHDGCEQUSA-N 0 3 249.354 2.531 20 0 BFADHN COc1ccc(CN2CC[C@H](C)[C@@H](OC)C2)cc1 ZINC000188317808 385038561 /nfs/dbraw/zinc/03/85/61/385038561.db2.gz SDQTUCACVSWLCR-WFASDCNBSA-N 0 3 249.354 2.552 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cscn1 ZINC000130510984 385041091 /nfs/dbraw/zinc/04/10/91/385041091.db2.gz OPNHELZXGGCOIR-GXSJLCMTSA-N 0 3 210.346 2.811 20 0 BFADHN FC(F)Oc1cccc(CN[C@H]2CC23CC3)c1 ZINC000424182378 385110004 /nfs/dbraw/zinc/11/00/04/385110004.db2.gz GXLLDDWPOAXKGX-NSHDSACASA-N 0 3 239.265 2.930 20 0 BFADHN Clc1cc2c(c(CN[C@H]3CC34CC4)c1)OCC2 ZINC000424181337 385110026 /nfs/dbraw/zinc/11/00/26/385110026.db2.gz BZJGBYVOVBVABM-LBPRGKRZSA-N 0 3 249.741 2.917 20 0 BFADHN c1[nH]nc(-c2ccccc2)c1CN[C@@H]1CC12CC2 ZINC000424184109 385111425 /nfs/dbraw/zinc/11/14/25/385111425.db2.gz LETULQQUFHTZKA-CYBMUJFWSA-N 0 3 239.322 2.719 20 0 BFADHN CN(C[C@H]1CCCO1)CC1(c2ccccc2)CC1 ZINC000189286287 385141755 /nfs/dbraw/zinc/14/17/55/385141755.db2.gz ZAPPQHPCFLTUSB-OAHLLOKOSA-N 0 3 245.366 2.829 20 0 BFADHN CO[C@H](C)CCNCc1cccc(C)c1F ZINC000294686577 385117864 /nfs/dbraw/zinc/11/78/64/385117864.db2.gz AAASUNPEBJWTNA-LLVKDONJSA-N 0 3 225.307 2.649 20 0 BFADHN COC[C@H](N[C@@H](C)C1CC1)c1ccc(C)o1 ZINC000165402617 385118972 /nfs/dbraw/zinc/11/89/72/385118972.db2.gz WYQMJDDLPAUKIK-JQWIXIFHSA-N 0 3 223.316 2.664 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1(C)C)c1ccc(F)cc1 ZINC000129201600 385119309 /nfs/dbraw/zinc/11/93/09/385119309.db2.gz ZTECHTVIVKPRRH-XDTLVQLUSA-N 0 3 237.318 2.636 20 0 BFADHN COc1cc(CN[C@H]2CC23CC3)ccc1C ZINC000424188729 385119386 /nfs/dbraw/zinc/11/93/86/385119386.db2.gz YZHMXWLFEQSPQV-ZDUSSCGKSA-N 0 3 217.312 2.646 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1CCCSC1 ZINC000129232746 385122436 /nfs/dbraw/zinc/12/24/36/385122436.db2.gz MBSSNXYGESABJA-NWDGAFQWSA-N 0 3 236.384 2.936 20 0 BFADHN C[C@H](N[C@@H]1CCCSC1)c1cccc(O)c1 ZINC000061588045 385123461 /nfs/dbraw/zinc/12/34/61/385123461.db2.gz BVNDURBIINXTQC-CMPLNLGQSA-N 0 3 237.368 2.938 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cc2ccccc2o1 ZINC000189127230 385127919 /nfs/dbraw/zinc/12/79/19/385127919.db2.gz FMBKTHRKDBRLQX-QWRGUYRKSA-N 0 3 233.311 2.946 20 0 BFADHN CC1(C)COC[C@@H]1NCc1ccc2c(c1)CCC2 ZINC000313010576 385128484 /nfs/dbraw/zinc/12/84/84/385128484.db2.gz KVBDTBDWVNHJPO-HNNXBMFYSA-N 0 3 245.366 2.690 20 0 BFADHN C[C@@H](N[C@@H]1CC2CCC1CC2)c1cnccn1 ZINC000310591065 385129189 /nfs/dbraw/zinc/12/91/89/385129189.db2.gz ZGTTZZKLYVYQLO-IKWCTNDRSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@@H](NCc1ccn(-c2ccccc2)n1)C1CC1 ZINC000061640976 385129227 /nfs/dbraw/zinc/12/92/27/385129227.db2.gz JWIGEWWSDXUXNK-GFCCVEGCSA-N 0 3 241.338 2.760 20 0 BFADHN CCc1ccc([C@H](O)CNCc2ccco2)cc1 ZINC000032102187 385130423 /nfs/dbraw/zinc/13/04/23/385130423.db2.gz LJSXRFRDZZGDBU-OAHLLOKOSA-N 0 3 245.322 2.665 20 0 BFADHN CCc1ccc([C@@H](O)CNCc2ccco2)cc1 ZINC000032102185 385131644 /nfs/dbraw/zinc/13/16/44/385131644.db2.gz LJSXRFRDZZGDBU-HNNXBMFYSA-N 0 3 245.322 2.665 20 0 BFADHN CCc1ccc(CNCCn2cc(C)cn2)cc1 ZINC000109075641 385134843 /nfs/dbraw/zinc/13/48/43/385134843.db2.gz WPRXRKRVEPUNQG-UHFFFAOYSA-N 0 3 243.354 2.544 20 0 BFADHN CO[C@H]1CN(Cc2ccccc2F)CC[C@H]1C ZINC000189280925 385139781 /nfs/dbraw/zinc/13/97/81/385139781.db2.gz PBUQBYDKNATGQQ-RISCZKNCSA-N 0 3 237.318 2.683 20 0 BFADHN C[C@H](NCCCCO)c1ccc(Cl)s1 ZINC000043445631 385086338 /nfs/dbraw/zinc/08/63/38/385086338.db2.gz POMQKLFSGCZENR-QMMMGPOBSA-N 0 3 233.764 2.825 20 0 BFADHN C[C@]1(CNCc2ccccc2Cl)CCCO1 ZINC000087234200 385087130 /nfs/dbraw/zinc/08/71/30/385087130.db2.gz YNIRNFDKXCVFNJ-CYBMUJFWSA-N 0 3 239.746 2.999 20 0 BFADHN CCS[C@@H]1CCC[C@@H](NCc2ncc[nH]2)C1 ZINC000165239436 385087811 /nfs/dbraw/zinc/08/78/11/385087811.db2.gz FNCIMTROHXMNAN-GHMZBOCLSA-N 0 3 239.388 2.564 20 0 BFADHN CC(C)COCCCN[C@H](C)c1ccoc1 ZINC000087289619 385089494 /nfs/dbraw/zinc/08/94/94/385089494.db2.gz ZEPOHVQRGZTIAB-GFCCVEGCSA-N 0 3 225.332 2.993 20 0 BFADHN Cn1ncc(Cl)c1CNCC1(C)CCCC1 ZINC000131374773 385090483 /nfs/dbraw/zinc/09/04/83/385090483.db2.gz YYLANWUMLBZALE-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN CCOCCN1CC[C@@H](c2ccccc2OC)C1 ZINC000151171874 385091260 /nfs/dbraw/zinc/09/12/60/385091260.db2.gz JUEMFNXISCSWRM-CYBMUJFWSA-N 0 3 249.354 2.521 20 0 BFADHN CC[C@@H]([NH2+]Cc1nnc(C)[n-]1)C1CCCCC1 ZINC000644681903 385097226 /nfs/dbraw/zinc/09/72/26/385097226.db2.gz VJTZWCMGAZLFLB-GFCCVEGCSA-N 0 3 236.363 2.562 20 0 BFADHN CC[C@H](CNCc1cc(C)c(O)c(C)c1)OC ZINC000290977151 385097693 /nfs/dbraw/zinc/09/76/93/385097693.db2.gz SZYMRSAQOBCESX-CYBMUJFWSA-N 0 3 237.343 2.524 20 0 BFADHN CC(=O)CCN1CCC[C@@H]1c1cccc(C)n1 ZINC000157177688 385098222 /nfs/dbraw/zinc/09/82/22/385098222.db2.gz PLYMOVLYDSONBK-CQSZACIVSA-N 0 3 232.327 2.506 20 0 BFADHN CCOC[C@H](NCc1ccccc1F)C(C)C ZINC000188871491 385101944 /nfs/dbraw/zinc/10/19/44/385101944.db2.gz FQBRZAIZSNXBPE-AWEZNQCLSA-N 0 3 239.334 2.976 20 0 BFADHN CC[C@@H](NC[C@H](CC)OC)c1ccc(F)cn1 ZINC000310517187 385102142 /nfs/dbraw/zinc/10/21/42/385102142.db2.gz VRQUTTYHPZDPLL-NWDGAFQWSA-N 0 3 240.322 2.686 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H](CO)CC(C)C ZINC000129045068 385102930 /nfs/dbraw/zinc/10/29/30/385102930.db2.gz LNHWCLJWWCSTPR-CQSZACIVSA-N 0 3 239.334 2.631 20 0 BFADHN c1csc(-c2n[nH]cc2CN[C@H]2CC23CC3)c1 ZINC000424187395 385103352 /nfs/dbraw/zinc/10/33/52/385103352.db2.gz VRAORVUZJXVAEF-NSHDSACASA-N 0 3 245.351 2.780 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN[C@@H]2CC23CC3)o1 ZINC000424187124 385103810 /nfs/dbraw/zinc/10/38/10/385103810.db2.gz UZFHMCGVUGCOSN-GFCCVEGCSA-N 0 3 243.310 2.620 20 0 BFADHN CCOc1ccc(CN[C@H]2CC23CC3)cc1F ZINC000424186952 385103941 /nfs/dbraw/zinc/10/39/41/385103941.db2.gz UAXZNEKPWFKFFZ-ZDUSSCGKSA-N 0 3 235.302 2.867 20 0 BFADHN CC[C@H]1CCCCN1Cc1cnc(C)cn1 ZINC000334155526 385106859 /nfs/dbraw/zinc/10/68/59/385106859.db2.gz VOKDFTFRIZXBIP-ZDUSSCGKSA-N 0 3 219.332 2.550 20 0 BFADHN C[C@@H](NCCc1ccc(O)cc1)c1cncs1 ZINC000129719852 385182079 /nfs/dbraw/zinc/18/20/79/385182079.db2.gz YPTSNSJSIRHKJA-SNVBAGLBSA-N 0 3 248.351 2.742 20 0 BFADHN COCCN[C@@H]1CC(C)(C)c2ccccc21 ZINC000178120309 385186147 /nfs/dbraw/zinc/18/61/47/385186147.db2.gz AGMDIISRGCRZOV-CYBMUJFWSA-N 0 3 219.328 2.645 20 0 BFADHN c1ccc2c(c1)OC1(CCN(C3CCCC3)C1)O2 ZINC000289155577 385187802 /nfs/dbraw/zinc/18/78/02/385187802.db2.gz ZYDSTDZCQHAJBG-UHFFFAOYSA-N 0 3 245.322 2.802 20 0 BFADHN C[C@H](NCc1cocn1)[C@@H]1CCC[C@H](C)C1 ZINC000449767766 385188767 /nfs/dbraw/zinc/18/87/67/385188767.db2.gz QWHULCKHBYXSFE-SDDRHHMPSA-N 0 3 222.332 2.979 20 0 BFADHN Fc1ccc2c(c1)CCN(CC[C@H]1CCCO1)C2 ZINC000189804733 385188745 /nfs/dbraw/zinc/18/87/45/385188745.db2.gz ATLQGKOUPMLZTI-OAHLLOKOSA-N 0 3 249.329 2.753 20 0 BFADHN COC[C@@H](NCC[C@@H]1CCCO1)c1ccccc1 ZINC000189841070 385190376 /nfs/dbraw/zinc/19/03/76/385190376.db2.gz QVDDMJGSGPQUKW-LSDHHAIUSA-N 0 3 249.354 2.533 20 0 BFADHN CCc1ccc(CN(C)[C@@H]2CCCOC2)s1 ZINC000561968009 385192124 /nfs/dbraw/zinc/19/21/24/385192124.db2.gz SQINNQYLXPEMOC-LLVKDONJSA-N 0 3 239.384 2.921 20 0 BFADHN Cc1ncccc1CN[C@H]1C[C@@H](OC(C)(C)C)C1 ZINC000647248330 385194053 /nfs/dbraw/zinc/19/40/53/385194053.db2.gz OFIXLWPZPAUGPZ-OKILXGFUSA-N 0 3 248.370 2.826 20 0 BFADHN Cc1cncc(CN2CCS[C@H](C)[C@@H]2C)c1 ZINC000189894153 385195801 /nfs/dbraw/zinc/19/58/01/385195801.db2.gz WLKYBSVOFOMOLT-NWDGAFQWSA-N 0 3 236.384 2.716 20 0 BFADHN Cc1ccc(C)c(CN[C@H]2COCC2(C)C)c1 ZINC000313026624 385196772 /nfs/dbraw/zinc/19/67/72/385196772.db2.gz ICEMSILZJGLCTD-AWEZNQCLSA-N 0 3 233.355 2.818 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1cncc(C)c1 ZINC000189987738 385202334 /nfs/dbraw/zinc/20/23/34/385202334.db2.gz RNRMDLCNYWXBRW-ZDUSSCGKSA-N 0 3 238.400 2.963 20 0 BFADHN CN(Cc1ccsc1)C[C@H]1CCCOC1 ZINC000151915332 385143053 /nfs/dbraw/zinc/14/30/53/385143053.db2.gz NUZDJPKMGWANQW-LLVKDONJSA-N 0 3 225.357 2.607 20 0 BFADHN CCOC[C@@H](N[C@@H](C)c1ccco1)C(C)C ZINC000189361907 385149737 /nfs/dbraw/zinc/14/97/37/385149737.db2.gz VFSHJORWAPJFQN-NWDGAFQWSA-N 0 3 225.332 2.991 20 0 BFADHN C[C@H](O)CCN(C)[C@@H](C)c1ccccc1F ZINC000062017164 385151389 /nfs/dbraw/zinc/15/13/89/385151389.db2.gz SEYJBVWFJOCLDJ-QWRGUYRKSA-N 0 3 225.307 2.589 20 0 BFADHN COc1cc(CN[C@@H](C)c2ccccc2)ccn1 ZINC000062057861 385154331 /nfs/dbraw/zinc/15/43/31/385154331.db2.gz WUBPLHQYELKHKE-LBPRGKRZSA-N 0 3 242.322 2.941 20 0 BFADHN Cc1csc(CN2CC[C@@H]3CCC[C@H]32)n1 ZINC000336359570 385156201 /nfs/dbraw/zinc/15/62/01/385156201.db2.gz RSLHHMPEVVOFPQ-WDEREUQCSA-N 0 3 222.357 2.826 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1CC12CC2 ZINC000424315826 385157088 /nfs/dbraw/zinc/15/70/88/385157088.db2.gz DWVNBICOEGDTLA-GWCFXTLKSA-N 0 3 217.312 2.898 20 0 BFADHN Cc1cnc(CNCCCOCC(C)C)s1 ZINC000294745165 385162007 /nfs/dbraw/zinc/16/20/07/385162007.db2.gz KEJPBUKGDTTXRD-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN Cc1cccc(CN[C@H]2COCC2(C)C)c1F ZINC000313016416 385162255 /nfs/dbraw/zinc/16/22/55/385162255.db2.gz BEVVAXSNPQQIKI-LBPRGKRZSA-N 0 3 237.318 2.649 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1ccccc1N(C)C ZINC000424322514 385162972 /nfs/dbraw/zinc/16/29/72/385162972.db2.gz LANFOMXAYHEJLF-FZMZJTMJSA-N 0 3 230.355 2.956 20 0 BFADHN FC(F)(F)c1ccccc1CN[C@@H]1CCOC1 ZINC000109431393 385164955 /nfs/dbraw/zinc/16/49/55/385164955.db2.gz ZBMVIIPMDDBNNG-SNVBAGLBSA-N 0 3 245.244 2.584 20 0 BFADHN CCc1nc(C)c(CN2CCC[C@H]2CC)o1 ZINC000428208098 385168014 /nfs/dbraw/zinc/16/80/14/385168014.db2.gz BYXHNDNLIBELGB-LLVKDONJSA-N 0 3 222.332 2.920 20 0 BFADHN CCc1nc(C)c(CN2CCC[C@@H]2CC)o1 ZINC000428208101 385168916 /nfs/dbraw/zinc/16/89/16/385168916.db2.gz BYXHNDNLIBELGB-NSHDSACASA-N 0 3 222.332 2.920 20 0 BFADHN C[C@@H]1N(CCCc2ccncc2)CCOC1(C)C ZINC000360454224 385171934 /nfs/dbraw/zinc/17/19/34/385171934.db2.gz IMLUATMESPSVJQ-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN COc1cc(CN(C)[C@H]2C=CCCC2)ccc1O ZINC000177053178 385173291 /nfs/dbraw/zinc/17/32/91/385173291.db2.gz RZMPFIBOVANCIM-ZDUSSCGKSA-N 0 3 247.338 2.941 20 0 BFADHN CC(C)c1nc(CN(C)C[C@H]2CC=CCC2)n[nH]1 ZINC000428353082 385174089 /nfs/dbraw/zinc/17/40/89/385174089.db2.gz STSJDDUTGZGERI-LBPRGKRZSA-N 0 3 248.374 2.716 20 0 BFADHN CC[C@]1(CO)CCCN1Cc1cccc(F)c1 ZINC000189643331 385174207 /nfs/dbraw/zinc/17/42/07/385174207.db2.gz VCUMBDDHLKQGBG-CQSZACIVSA-N 0 3 237.318 2.563 20 0 BFADHN C[C@@H](F)CCN[C@@H](C)c1cc2n(n1)CCCC2 ZINC000647305210 385174330 /nfs/dbraw/zinc/17/43/30/385174330.db2.gz WRKJGHBKNHGTSL-MNOVXSKESA-N 0 3 239.338 2.618 20 0 BFADHN CCOc1ccc([C@H](C)N[C@H]2CCCOC2)cc1 ZINC000109543231 385174363 /nfs/dbraw/zinc/17/43/63/385174363.db2.gz UDTRWEPRHITMJE-JSGCOSHPSA-N 0 3 249.354 2.915 20 0 BFADHN Fc1ccc2c(c1)CC[C@H]2NC[C@@H]1CCCCO1 ZINC000129708310 385176077 /nfs/dbraw/zinc/17/60/77/385176077.db2.gz AQPRTBXMOZCLPV-DZGCQCFKSA-N 0 3 249.329 2.972 20 0 BFADHN CCC[C@@H](C)N1CCN(c2ccccc2O)CC1 ZINC000177227598 385201351 /nfs/dbraw/zinc/20/13/51/385201351.db2.gz BMABCHNYBZTYJI-CYBMUJFWSA-N 0 3 248.370 2.703 20 0 BFADHN CC(C)(C)O[C@H]1C[C@@H](NCc2ccncc2)C1 ZINC000647248636 385206471 /nfs/dbraw/zinc/20/64/71/385206471.db2.gz NLGMGLGYQCNIQA-BETUJISGSA-N 0 3 234.343 2.517 20 0 BFADHN C[C@H](CN(C)Cc1cnccn1)c1ccccc1 ZINC000177245491 385206634 /nfs/dbraw/zinc/20/66/34/385206634.db2.gz ZCPLSDPMQILJIL-CYBMUJFWSA-N 0 3 241.338 2.712 20 0 BFADHN C[C@@H](NCc1cc(F)ccc1Cl)[C@H](C)CO ZINC000165940434 385209482 /nfs/dbraw/zinc/20/94/82/385209482.db2.gz DHCKLAVENOLTBJ-RKDXNWHRSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@@H](CO)[C@H](C)NCc1cc(F)ccc1Cl ZINC000165940518 385210075 /nfs/dbraw/zinc/21/00/75/385210075.db2.gz DHCKLAVENOLTBJ-IUCAKERBSA-N 0 3 245.725 2.586 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2CCO[C@H]2C2CC2)c1 ZINC000364172941 385210297 /nfs/dbraw/zinc/21/02/97/385210297.db2.gz ZYMBZFVXWCKSQB-GLQYFDAESA-N 0 3 246.354 2.608 20 0 BFADHN CCC1(CNCc2ccc([C@H]3C[C@@H]3C)o2)COC1 ZINC000343336571 385210624 /nfs/dbraw/zinc/21/06/24/385210624.db2.gz OWEJDCUDNIZIBQ-AAEUAGOBSA-N 0 3 249.354 2.919 20 0 BFADHN Clc1ccsc1CNCC[C@@H]1CCOC1 ZINC000308855195 385215954 /nfs/dbraw/zinc/21/59/54/385215954.db2.gz IHTUQQJUPOQQAQ-SECBINFHSA-N 0 3 245.775 2.918 20 0 BFADHN COC[C@H](NCc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000178246154 385217109 /nfs/dbraw/zinc/21/71/09/385217109.db2.gz WICHRHPGYIPFCB-GDLCADMTSA-N 0 3 249.354 2.918 20 0 BFADHN CCOC[C@H](C)NCc1ccc(Cl)s1 ZINC000044690105 385217449 /nfs/dbraw/zinc/21/74/49/385217449.db2.gz DAMSMSNEGSAQRY-QMMMGPOBSA-N 0 3 233.764 2.916 20 0 BFADHN CCOC[C@@H](C)NCc1ccc(Cl)s1 ZINC000044690103 385217480 /nfs/dbraw/zinc/21/74/80/385217480.db2.gz DAMSMSNEGSAQRY-MRVPVSSYSA-N 0 3 233.764 2.916 20 0 BFADHN CCOC[C@@H](C)NCc1ccccc1C ZINC000044688235 385217676 /nfs/dbraw/zinc/21/76/76/385217676.db2.gz ANCRFKZWFQOQKX-GFCCVEGCSA-N 0 3 207.317 2.510 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ccc(C)o1 ZINC000044688856 385217693 /nfs/dbraw/zinc/21/76/93/385217693.db2.gz PPNCMSPGAXXLTB-GXSJLCMTSA-N 0 3 211.305 2.664 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ccccc1 ZINC000044688564 385218370 /nfs/dbraw/zinc/21/83/70/385218370.db2.gz OFHIUTBBZAQDFV-RYUDHWBXSA-N 0 3 207.317 2.762 20 0 BFADHN CCOC[C@H](C)NCc1ccc(Cl)cc1 ZINC000044688148 385219175 /nfs/dbraw/zinc/21/91/75/385219175.db2.gz MTVQCWCHXNDBBD-JTQLQIEISA-N 0 3 227.735 2.855 20 0 BFADHN CC[C@H](NC[C@H](O)C(C)C)c1ccccc1F ZINC000166069463 385219499 /nfs/dbraw/zinc/21/94/99/385219499.db2.gz XOMOQWVLUUQAKQ-KBPBESRZSA-N 0 3 239.334 2.883 20 0 BFADHN CCN1CCN(Cc2csc(C)c2C)CC1 ZINC000020514369 385219776 /nfs/dbraw/zinc/21/97/76/385219776.db2.gz UGKCNVKAOIFPEW-UHFFFAOYSA-N 0 3 238.400 2.502 20 0 BFADHN c1c(CN2C[C@@H]3CCC[C@H]3C2)nc2ccccn12 ZINC000152904936 385223369 /nfs/dbraw/zinc/22/33/69/385223369.db2.gz UTWPAQFGDIAICU-STQMWFEESA-N 0 3 241.338 2.566 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1COCC1(C)C ZINC000313035285 385226947 /nfs/dbraw/zinc/22/69/47/385226947.db2.gz KNLQPYSQVSUPED-SMDDNHRTSA-N 0 3 249.354 2.771 20 0 BFADHN Cc1ccoc1CN(C)C[C@@H]1CCCC[C@H]1O ZINC000305787118 385227738 /nfs/dbraw/zinc/22/77/38/385227738.db2.gz ADMNWRZDVNTPNH-QWHCGFSZSA-N 0 3 237.343 2.571 20 0 BFADHN CC1(C)COC[C@H]1NC/C=C/c1ccccc1 ZINC000313034819 385228090 /nfs/dbraw/zinc/22/80/90/385228090.db2.gz VWYWDARZZXFYNC-VIIAAUOXSA-N 0 3 231.339 2.714 20 0 BFADHN CO[C@@](C)(CN[C@@H](C)c1ccco1)C1CC1 ZINC000291139035 385231143 /nfs/dbraw/zinc/23/11/43/385231143.db2.gz HKYLYATVEKLYEA-GWCFXTLKSA-N 0 3 223.316 2.745 20 0 BFADHN CN(CCc1ccc(F)cc1)Cc1ccncc1 ZINC000130274220 385233692 /nfs/dbraw/zinc/23/36/92/385233692.db2.gz KJVLGCJQJCKYMU-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN CCc1ccc(CN[C@@H]2COCC2(C)C)cc1 ZINC000313040002 385234258 /nfs/dbraw/zinc/23/42/58/385234258.db2.gz MZUVOJCHSGWFDM-CQSZACIVSA-N 0 3 233.355 2.764 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)O[C@@H](C)C1)c1cscn1 ZINC000569122603 385241057 /nfs/dbraw/zinc/24/10/57/385241057.db2.gz QLIDMTZEYHUIIT-ZRUFSTJUSA-N 0 3 240.372 2.750 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc(F)c(C)c1 ZINC000166525406 385251214 /nfs/dbraw/zinc/25/12/14/385251214.db2.gz RCQOSUBVTSUMDE-QWRGUYRKSA-N 0 3 225.307 2.647 20 0 BFADHN C[C@H](NCCCCF)c1ccc(F)cn1 ZINC000308885043 385251456 /nfs/dbraw/zinc/25/14/56/385251456.db2.gz FHJRCQLJHWGXKL-VIFPVBQESA-N 0 3 214.259 2.621 20 0 BFADHN CC(C)(C)C[C@@H]1CCCN1Cc1ncccn1 ZINC000361699654 385204625 /nfs/dbraw/zinc/20/46/25/385204625.db2.gz BVAFLASGNHOJJZ-LBPRGKRZSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1cccc([C@@H](C)NCc2cnc(N)s2)c1 ZINC000449777163 385204789 /nfs/dbraw/zinc/20/47/89/385204789.db2.gz POOREADDJRRCQH-SNVBAGLBSA-N 0 3 247.367 2.715 20 0 BFADHN COc1ccncc1CN(C1CC1)C1CCCC1 ZINC000558100684 385303785 /nfs/dbraw/zinc/30/37/85/385303785.db2.gz QSRFNYVNCKUGIB-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1nccn1C ZINC000167249517 385305208 /nfs/dbraw/zinc/30/52/08/385305208.db2.gz IAGPTHYNHQKALM-GFCCVEGCSA-N 0 3 221.348 2.645 20 0 BFADHN CC[C@H](CNCc1cccc(Cl)c1)OC ZINC000289732334 385307039 /nfs/dbraw/zinc/30/70/39/385307039.db2.gz GVYYXYODDKFCKH-GFCCVEGCSA-N 0 3 227.735 2.855 20 0 BFADHN CC(C)[C@@H](O)CN[C@@H](C)c1ccc(F)cc1F ZINC000131275398 385324077 /nfs/dbraw/zinc/32/40/77/385324077.db2.gz ZIYHQSZCZLZXQD-ZANVPECISA-N 0 3 243.297 2.632 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1ccc(CC)o1 ZINC000167561698 385324072 /nfs/dbraw/zinc/32/40/72/385324072.db2.gz FXFXJXVKQZEADU-WDEREUQCSA-N 0 3 225.332 2.654 20 0 BFADHN CC(C)=CCNCc1ccccc1NC(C)=O ZINC000191626283 385310655 /nfs/dbraw/zinc/31/06/55/385310655.db2.gz FLRDUEAQZIAJRB-UHFFFAOYSA-N 0 3 232.327 2.701 20 0 BFADHN C[C@@H]1[C@H](O)CCCN1C/C=C/c1ccccc1 ZINC000294934843 385315537 /nfs/dbraw/zinc/31/55/37/385315537.db2.gz GMOXMWANOBWJKD-IVZDOJFNSA-N 0 3 231.339 2.545 20 0 BFADHN C[C@](O)(CNCc1ccco1)C1CCCCC1 ZINC000191668576 385316350 /nfs/dbraw/zinc/31/63/50/385316350.db2.gz JSIKIMHGMKNTDN-AWEZNQCLSA-N 0 3 237.343 2.701 20 0 BFADHN C[C@@H](O)CN[C@H](C)c1ccc(-c2ccccc2)o1 ZINC000131218896 385319465 /nfs/dbraw/zinc/31/94/65/385319465.db2.gz JJMIXLNDYKETIL-VXGBXAGGSA-N 0 3 245.322 2.978 20 0 BFADHN C[C@H](NCc1nccn1-c1ccccc1)C1CC1 ZINC000190697437 385258249 /nfs/dbraw/zinc/25/82/49/385258249.db2.gz MVNBYVRPHMTLKX-LBPRGKRZSA-N 0 3 241.338 2.760 20 0 BFADHN COc1ccc(CN[C@H]2CC[C@@H]2C)c(F)c1 ZINC000380809896 385260254 /nfs/dbraw/zinc/26/02/54/385260254.db2.gz JNNLDIXSFNOSLT-ZANVPECISA-N 0 3 223.291 2.722 20 0 BFADHN Cc1ncc(CN[C@@H]2CCCC2(C)C)o1 ZINC000334723836 385262103 /nfs/dbraw/zinc/26/21/03/385262103.db2.gz ILHWIADBGGMDEW-LLVKDONJSA-N 0 3 208.305 2.651 20 0 BFADHN FC(F)COCCNC/C=C/c1ccccc1 ZINC000190898785 385265877 /nfs/dbraw/zinc/26/58/77/385265877.db2.gz BSFOZTGARLQVDF-QPJJXVBHSA-N 0 3 241.281 2.571 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1ccc(OC)c(F)c1 ZINC000019905140 385265939 /nfs/dbraw/zinc/26/59/39/385265939.db2.gz MPIHAYYSWSMRGZ-ZJUUUORDSA-N 0 3 241.306 2.520 20 0 BFADHN C[C@H](NC1CCOCC1)c1cccnc1Cl ZINC000166650088 385266487 /nfs/dbraw/zinc/26/64/87/385266487.db2.gz LSHWEAQYYQJXBT-VIFPVBQESA-N 0 3 240.734 2.565 20 0 BFADHN C[C@@H]1C[C@H]1NCc1cc2ccccc2o1 ZINC000052272360 385269837 /nfs/dbraw/zinc/26/98/37/385269837.db2.gz LKZZQHYTHUNNRL-BXKDBHETSA-N 0 3 201.269 2.931 20 0 BFADHN CCCC[C@@H]1CCC[C@H]1NCc1cc[nH]n1 ZINC000069174886 385270825 /nfs/dbraw/zinc/27/08/25/385270825.db2.gz MAKXSSHPRBUHJY-DGCLKSJQSA-N 0 3 221.348 2.858 20 0 BFADHN COCc1ccc(CN[C@H]2CC=CCC2)o1 ZINC000166702791 385273445 /nfs/dbraw/zinc/27/34/45/385273445.db2.gz JNGZLYZKSBFNOX-NSHDSACASA-N 0 3 221.300 2.624 20 0 BFADHN CO[C@@H]1CCCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC000177704740 385274955 /nfs/dbraw/zinc/27/49/55/385274955.db2.gz QCXUOSDRJLMLDA-UKRRQHHQSA-N 0 3 249.329 2.924 20 0 BFADHN CC(C)c1ccc([C@H](C)N[C@H](C)CC(N)=O)cc1 ZINC000130670635 385276501 /nfs/dbraw/zinc/27/65/01/385276501.db2.gz BMJABTBKAKBZAY-NEPJUHHUSA-N 0 3 248.370 2.725 20 0 BFADHN Cc1cccc(-c2n[nH]cc2CN(C)C2CC2)c1 ZINC000153772129 385277781 /nfs/dbraw/zinc/27/77/81/385277781.db2.gz QQJMIPYUWUBLOC-UHFFFAOYSA-N 0 3 241.338 2.979 20 0 BFADHN c1ccc2c(c1)[nH]c1c2CN(CC2CC2)CC1 ZINC000177730800 385278930 /nfs/dbraw/zinc/27/89/30/385278930.db2.gz DCCZTBKWBQSGOD-UHFFFAOYSA-N 0 3 226.323 2.936 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)C1CC1 ZINC000153783053 385280644 /nfs/dbraw/zinc/28/06/44/385280644.db2.gz KFTWXGHGJNXDKP-NSCUHMNNSA-N 0 3 205.276 2.933 20 0 BFADHN Cc1ncc(CN[C@H]2CCC(C)(C)C2)o1 ZINC000334727216 385281188 /nfs/dbraw/zinc/28/11/88/385281188.db2.gz XTVYTZMPITXMOZ-JTQLQIEISA-N 0 3 208.305 2.651 20 0 BFADHN CSc1ccc(CNC[C@@H]2CCCO2)s1 ZINC000308926303 385288090 /nfs/dbraw/zinc/28/80/90/385288090.db2.gz IHNLUDXJPKPWJH-VIFPVBQESA-N 0 3 243.397 2.739 20 0 BFADHN CC(C)C[C@H](C)N[C@@H](CO)c1ccsc1 ZINC000308928721 385290643 /nfs/dbraw/zinc/29/06/43/385290643.db2.gz DSEFTGWWOFPHRF-JQWIXIFHSA-N 0 3 227.373 2.806 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1cc(F)cc(F)c1 ZINC000191203849 385292551 /nfs/dbraw/zinc/29/25/51/385292551.db2.gz WHVGGYHPYPONGY-IUCAKERBSA-N 0 3 229.270 2.650 20 0 BFADHN CC[C@H](NC[C@@H](C)OC)c1ccccc1F ZINC000191204560 385293238 /nfs/dbraw/zinc/29/32/38/385293238.db2.gz LUFMJOGGRUYOEI-MFKMUULPSA-N 0 3 225.307 2.901 20 0 BFADHN CO[C@H](C)CNCc1cnc(C(C)(C)C)s1 ZINC000191206648 385293569 /nfs/dbraw/zinc/29/35/69/385293569.db2.gz QBBYJACWVINALB-SECBINFHSA-N 0 3 242.388 2.565 20 0 BFADHN C[C@@H]1C[C@H]1CN[C@H]1COCc2ccccc21 ZINC000300230981 385294992 /nfs/dbraw/zinc/29/49/92/385294992.db2.gz FNTPBNONZHEEBU-OSMZGAPFSA-N 0 3 217.312 2.504 20 0 BFADHN CC(C)N(C)c1ccc(CN(C)C2CCC2)cn1 ZINC000191374357 385299951 /nfs/dbraw/zinc/29/99/51/385299951.db2.gz UINJKTJTJWAHNO-UHFFFAOYSA-N 0 3 247.386 2.911 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1ccc(F)cc1F ZINC000131041937 385301285 /nfs/dbraw/zinc/30/12/85/385301285.db2.gz OOQIKNAOZRSJNA-ONGXEEELSA-N 0 3 243.297 2.776 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1ccc(F)cc1F ZINC000131042147 385301557 /nfs/dbraw/zinc/30/15/57/385301557.db2.gz OOQIKNAOZRSJNA-GXSJLCMTSA-N 0 3 243.297 2.776 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1cc(F)ccc1F ZINC000131031667 385302051 /nfs/dbraw/zinc/30/20/51/385302051.db2.gz FUZOZTCFSNJJBA-MWLCHTKSSA-N 0 3 243.297 2.776 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](O)C(C)C)o1 ZINC000167785113 385334951 /nfs/dbraw/zinc/33/49/51/385334951.db2.gz VSOQBGRGIBHPSM-ZYHUDNBSSA-N 0 3 225.332 2.510 20 0 BFADHN CCN(CCC(C)=O)[C@H](C)c1cccc(O)c1 ZINC000154600438 385339740 /nfs/dbraw/zinc/33/97/40/385339740.db2.gz IMHUFJCDCKCERD-GFCCVEGCSA-N 0 3 235.327 2.754 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)C(C)(C)C ZINC000114196960 385344492 /nfs/dbraw/zinc/34/44/92/385344492.db2.gz NXYLSXGHGKOXCL-SNVBAGLBSA-N 0 3 210.321 2.816 20 0 BFADHN CC1(C)C[C@H](NCc2cccc3cc[nH]c32)CO1 ZINC000335354687 385347366 /nfs/dbraw/zinc/34/73/66/385347366.db2.gz AUVUHZUOZLBRAM-ZDUSSCGKSA-N 0 3 244.338 2.825 20 0 BFADHN CCCc1csc(CNC[C@@H](C)SC)n1 ZINC000309044555 385389453 /nfs/dbraw/zinc/38/94/53/385389453.db2.gz NNGMKCFLFODDPA-SECBINFHSA-N 0 3 244.429 2.937 20 0 BFADHN CCN(C(=O)[C@H](C)[C@@H](N)c1ccccc1)C(C)C ZINC000131647129 385352087 /nfs/dbraw/zinc/35/20/87/385352087.db2.gz QDPPPLKGBGYIOT-TZMCWYRMSA-N 0 3 248.370 2.579 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1F)[C@H](C)CO ZINC000131719096 385355346 /nfs/dbraw/zinc/35/53/46/385355346.db2.gz JYPCXGJXZDNKEC-BBBLOLIVSA-N 0 3 243.297 2.632 20 0 BFADHN FCCCN1CC[C@H](CC(F)(F)F)C1 ZINC000444691536 385356168 /nfs/dbraw/zinc/35/61/68/385356168.db2.gz ZVPGVMDFWVAXPU-MRVPVSSYSA-N 0 3 213.218 2.620 20 0 BFADHN CCc1nc(CNCCC2(C)CC2)cs1 ZINC000280707526 385362685 /nfs/dbraw/zinc/36/26/85/385362685.db2.gz WVMJXICIZUYCMK-UHFFFAOYSA-N 0 3 224.373 2.985 20 0 BFADHN C[C@H](NCCc1ccccc1O)c1cncs1 ZINC000154944697 385366385 /nfs/dbraw/zinc/36/63/85/385366385.db2.gz JAFAKKIQPQVVGY-JTQLQIEISA-N 0 3 248.351 2.742 20 0 BFADHN C[C@@H](NC1CCCC1)c1ccncc1F ZINC000309022937 385367326 /nfs/dbraw/zinc/36/73/26/385367326.db2.gz YHSJIWMQRMAFSC-SECBINFHSA-N 0 3 208.280 2.814 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)C1)c1ccon1 ZINC000309017404 385371084 /nfs/dbraw/zinc/37/10/84/385371084.db2.gz VBNDVCRWZLGGJO-OUAUKWLOSA-N 0 3 208.305 2.904 20 0 BFADHN C[C@@H](CF)N[C@H]1Cc2ccc(Cl)cc2C1 ZINC000309018246 385373384 /nfs/dbraw/zinc/37/33/84/385373384.db2.gz VNRVWKTWDOVJAL-UFBFGSQYSA-N 0 3 227.710 2.755 20 0 BFADHN CC(C)c1cccc(NC(=O)[C@H]2NCC2(C)C)c1 ZINC000644016485 385376564 /nfs/dbraw/zinc/37/65/64/385376564.db2.gz WSLSFDBSSRNBKC-CYBMUJFWSA-N 0 3 246.354 2.747 20 0 BFADHN COC[C@H](N[C@H]1CCc2ccc(F)cc21)C1CC1 ZINC000178554860 385390680 /nfs/dbraw/zinc/39/06/80/385390680.db2.gz FESMNZMLDPIEGV-GJZGRUSLSA-N 0 3 249.329 2.828 20 0 BFADHN C[C@@H](O)CN(Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000192961294 385379673 /nfs/dbraw/zinc/37/96/73/385379673.db2.gz URIWUPUVFIBYQX-JTNHKYCSSA-N 0 3 249.354 2.748 20 0 BFADHN CCc1ccc(CN[C@@H]2CC[C@@H](F)C2)o1 ZINC000309029004 385379815 /nfs/dbraw/zinc/37/98/15/385379815.db2.gz CMLDDICKKWKIMA-NXEZZACHSA-N 0 3 211.280 2.822 20 0 BFADHN C[C@H](NCCCCO)c1ccc(F)cc1Cl ZINC000193277169 385390923 /nfs/dbraw/zinc/39/09/23/385390923.db2.gz FXPKFTFCTNTXDE-VIFPVBQESA-N 0 3 245.725 2.902 20 0 BFADHN CCc1ccc(CN[C@H]2CSC[C@@H]2C)o1 ZINC000309054079 385385530 /nfs/dbraw/zinc/38/55/30/385385530.db2.gz SQSCXAKCUIYCBM-CABZTGNLSA-N 0 3 225.357 2.683 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCOC[C@@H]2C)o1 ZINC000191830908 385326950 /nfs/dbraw/zinc/32/69/50/385326950.db2.gz XQHKFQJUOXSFHZ-ZMLRMANQSA-N 0 3 223.316 2.664 20 0 BFADHN Cc1ccc(CN2CCSCC[C@H]2C)o1 ZINC000191815080 385327666 /nfs/dbraw/zinc/32/76/66/385327666.db2.gz GMJQISHXBUJQDH-SNVBAGLBSA-N 0 3 225.357 2.915 20 0 BFADHN C[C@@H](NCc1ccsc1)[C@]1(C)CCCO1 ZINC000309118670 385424537 /nfs/dbraw/zinc/42/45/37/385424537.db2.gz MPDFMXRQLGCZET-PWSUYJOCSA-N 0 3 225.357 2.795 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H]1CCCc2occc21 ZINC000193301004 385392999 /nfs/dbraw/zinc/39/29/99/385392999.db2.gz IEOUCTGGEHXYSQ-KTTDLOKESA-N 0 3 235.327 2.574 20 0 BFADHN C[C@@H](CC(C)(C)O)NCc1cc(F)cc(F)c1 ZINC000295043185 385395148 /nfs/dbraw/zinc/39/51/48/385395148.db2.gz MVMLHEHKPCOGID-VIFPVBQESA-N 0 3 243.297 2.604 20 0 BFADHN CCCc1csc(CN[C@@H]2CC[C@H]2C)n1 ZINC000309061738 385397105 /nfs/dbraw/zinc/39/71/05/385397105.db2.gz XBNYRJJLLYHXGJ-MWLCHTKSSA-N 0 3 224.373 2.984 20 0 BFADHN FCCN[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC000281139096 385401395 /nfs/dbraw/zinc/40/13/95/385401395.db2.gz UKZHTOMGAMGVKZ-CHWSQXEVSA-N 0 3 207.292 2.882 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1cccnc1 ZINC000309083170 385401960 /nfs/dbraw/zinc/40/19/60/385401960.db2.gz SOWHWIDXXKBAFI-ADEWGFFLSA-N 0 3 222.357 2.626 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@H](C)c1cccnc1 ZINC000309083172 385402024 /nfs/dbraw/zinc/40/20/24/385402024.db2.gz SOWHWIDXXKBAFI-YUSALJHKSA-N 0 3 222.357 2.626 20 0 BFADHN Cn1cccc1[C@@H]1CCCN1C[C@@H]1CCC=CO1 ZINC000193628279 385404029 /nfs/dbraw/zinc/40/40/29/385404029.db2.gz DCFQHAFAVYAWFX-ZFWWWQNUSA-N 0 3 246.354 2.855 20 0 BFADHN C=Cn1cc(CN(C)C2CCC(C)CC2)cn1 ZINC000193631380 385404113 /nfs/dbraw/zinc/40/41/13/385404113.db2.gz NCHCIOBITCRSSS-UHFFFAOYSA-N 0 3 233.359 2.994 20 0 BFADHN C[C@@H](NCc1ccsc1Cl)[C@H]1CCOC1 ZINC000309094110 385404904 /nfs/dbraw/zinc/40/49/04/385404904.db2.gz CDCBKRQNOOINEN-SCZZXKLOSA-N 0 3 245.775 2.916 20 0 BFADHN CN(Cc1cccc(F)c1)C[C@H]1CCC=CO1 ZINC000193609114 385405205 /nfs/dbraw/zinc/40/52/05/385405205.db2.gz VBCQABWVWWQUMH-CQSZACIVSA-N 0 3 235.302 2.950 20 0 BFADHN COc1ccccc1CNCC1(SC)CC1 ZINC000193663408 385407508 /nfs/dbraw/zinc/40/75/08/385407508.db2.gz LRLQPMGKUKZCGN-UHFFFAOYSA-N 0 3 237.368 2.680 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3cc(F)ccc32)[C@@H](C)O1 ZINC000295056755 385408520 /nfs/dbraw/zinc/40/85/20/385408520.db2.gz BBUNLKGNFBRZRL-RPVFDAQASA-N 0 3 249.329 2.969 20 0 BFADHN COc1cc(F)cc(CN2C[C@@H]3[C@H](C2)C3(C)C)c1 ZINC000449026038 385418894 /nfs/dbraw/zinc/41/88/94/385418894.db2.gz DOKBRUJRNJZENP-OKILXGFUSA-N 0 3 249.329 2.922 20 0 BFADHN C=Cn1cc(CN2CCC[C@](C)(CC)C2)cn1 ZINC000193971204 385419766 /nfs/dbraw/zinc/41/97/66/385419766.db2.gz UEEAMLREGUZXAY-AWEZNQCLSA-N 0 3 233.359 2.996 20 0 BFADHN CC[C@H](CNCc1sccc1Cl)OC ZINC000289777035 385421615 /nfs/dbraw/zinc/42/16/15/385421615.db2.gz KDVXISSJTOBBEK-MRVPVSSYSA-N 0 3 233.764 2.916 20 0 BFADHN CCN1CCN(Cc2csc(C)c2)C[C@@H]1C ZINC000648371361 385438530 /nfs/dbraw/zinc/43/85/30/385438530.db2.gz UHQIYWYAZJKQLV-NSHDSACASA-N 0 3 238.400 2.583 20 0 BFADHN COc1cc(C)cc(CNC[C@@H]2CCC=CO2)c1 ZINC000194522301 385439146 /nfs/dbraw/zinc/43/91/46/385439146.db2.gz VUKBUTBVLYKJHP-AWEZNQCLSA-N 0 3 247.338 2.786 20 0 BFADHN CO[C@@H](C)CN([C@@H](C)c1ccco1)C1CC1 ZINC000182349650 385439487 /nfs/dbraw/zinc/43/94/87/385439487.db2.gz ZRJIKVASZHSWMA-QWRGUYRKSA-N 0 3 223.316 2.840 20 0 BFADHN CCN(C(=O)[C@H](C)N)c1ccc2ccccc2c1 ZINC000156380071 385449298 /nfs/dbraw/zinc/44/92/98/385449298.db2.gz XRXJDJRMPLBJFK-NSHDSACASA-N 0 3 242.322 2.540 20 0 BFADHN Cn1ccc(CN2CCc3ccccc3C2)c1 ZINC000156370794 385450049 /nfs/dbraw/zinc/45/00/49/385450049.db2.gz XAQJUPZPZOSKHM-UHFFFAOYSA-N 0 3 226.323 2.583 20 0 BFADHN C[C@H](NCCN1CCCC[C@H]1C)c1ccncc1 ZINC000156456886 385452191 /nfs/dbraw/zinc/45/21/91/385452191.db2.gz ILPPXAOKYXILEI-KGLIPLIRSA-N 0 3 247.386 2.607 20 0 BFADHN Cc1nc(C)c(CN(C)CCC2CC2)s1 ZINC000179244931 385454336 /nfs/dbraw/zinc/45/43/36/385454336.db2.gz YZBLASUKPZXBBK-UHFFFAOYSA-N 0 3 224.373 2.992 20 0 BFADHN CCCc1cccc(CN2CC[C@@H](OC)C2)c1 ZINC000360882583 385455526 /nfs/dbraw/zinc/45/55/26/385455526.db2.gz HOBCOOFHKAUTNS-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN CC/C=C/CNCc1cc(O)cc(F)c1 ZINC000295134376 385456196 /nfs/dbraw/zinc/45/61/96/385456196.db2.gz YXNJVHFINGFEBU-ONEGZZNKSA-N 0 3 209.264 2.587 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(Cl)cn2)[C@H]1C ZINC000309121304 385427494 /nfs/dbraw/zinc/42/74/94/385427494.db2.gz NYECNFYGFYSZRP-PTRXPTGYSA-N 0 3 224.735 2.869 20 0 BFADHN CC1(C)CCCC[C@H]1NCc1cocn1 ZINC000182074381 385428900 /nfs/dbraw/zinc/42/89/00/385428900.db2.gz YVIOYGNENQPLCL-LLVKDONJSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1cocn1 ZINC000182077908 385429018 /nfs/dbraw/zinc/42/90/18/385429018.db2.gz JTUZBYUXKXHJHB-ZYHUDNBSSA-N 0 3 222.332 2.979 20 0 BFADHN C=Cn1cc(CNCCc2ccc(C)cc2)cn1 ZINC000194317274 385429777 /nfs/dbraw/zinc/42/97/77/385429777.db2.gz ISFSOFQXLBBKMT-UHFFFAOYSA-N 0 3 241.338 2.624 20 0 BFADHN C=Cn1cc(CNCCc2ccccc2C)cn1 ZINC000194354518 385431874 /nfs/dbraw/zinc/43/18/74/385431874.db2.gz MTBBPAVEUROLCP-UHFFFAOYSA-N 0 3 241.338 2.624 20 0 BFADHN FC1(F)CC[C@@H](CNCc2ccco2)C1 ZINC000281359540 385432010 /nfs/dbraw/zinc/43/20/10/385432010.db2.gz FONZTWWNNSMYQI-SECBINFHSA-N 0 3 215.243 2.805 20 0 BFADHN O[C@@H]1CCCN(C/C=C\c2ccccc2)CC1 ZINC000378664613 385457532 /nfs/dbraw/zinc/45/75/32/385457532.db2.gz AANXQLVFYHTKJC-UFRMUOTOSA-N 0 3 231.339 2.547 20 0 BFADHN CCO[C@H](CNCc1cc[nH]c1)c1ccccc1 ZINC000194466855 385437910 /nfs/dbraw/zinc/43/79/10/385437910.db2.gz RZOGIGTVKYVEEY-OAHLLOKOSA-N 0 3 244.338 2.882 20 0 BFADHN Cc1ccc(CN2CCCO[C@H](C)C2)cc1C ZINC000179399451 385464670 /nfs/dbraw/zinc/46/46/70/385464670.db2.gz QHISZTSXRBZAFT-CQSZACIVSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1csc(CN[C@H]2CCC23CCC3)n1 ZINC000281988926 385467807 /nfs/dbraw/zinc/46/78/07/385467807.db2.gz CIARCVZTOOGSSH-JTQLQIEISA-N 0 3 222.357 2.874 20 0 BFADHN CCN(CC)Cc1ccc(N2CCCC2)nc1 ZINC000156919185 385474293 /nfs/dbraw/zinc/47/42/93/385474293.db2.gz NKXPCUDFJZDZOA-UHFFFAOYSA-N 0 3 233.359 2.524 20 0 BFADHN CCCCC[C@H](NC(=O)[C@H](C)N)c1ccccc1 ZINC000156959076 385475589 /nfs/dbraw/zinc/47/55/89/385475589.db2.gz MKNPLPPNYBKIIP-JSGCOSHPSA-N 0 3 248.370 2.771 20 0 BFADHN Cc1cnc([C@H](C)N[C@H](C)[C@@H]2CCOC2)s1 ZINC000157141120 385488406 /nfs/dbraw/zinc/48/84/06/385488406.db2.gz JOWNICAXEWEYRQ-OUAUKWLOSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1ccc(CN2CCSC[C@@H]2C)s1 ZINC000179662503 385482446 /nfs/dbraw/zinc/48/24/46/385482446.db2.gz MBQPSNFYMHOLPG-VIFPVBQESA-N 0 3 227.398 2.994 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1ccsc1 ZINC000308198261 385486549 /nfs/dbraw/zinc/48/65/49/385486549.db2.gz VKQMWSORUDQIMW-NXEZZACHSA-N 0 3 213.371 2.732 20 0 BFADHN CN(CCc1ccc(Cl)cc1)Cc1cnc[nH]1 ZINC000179726359 385487240 /nfs/dbraw/zinc/48/72/40/385487240.db2.gz NUVCBIMRBWQRLS-UHFFFAOYSA-N 0 3 249.745 2.738 20 0 BFADHN CC[C@H](NCc1ccccc1C)c1cnn(C)c1 ZINC000157116889 385487489 /nfs/dbraw/zinc/48/74/89/385487489.db2.gz PBOLAGZNUCUAGJ-HNNXBMFYSA-N 0 3 243.354 2.969 20 0 BFADHN CCSCCCNCc1ccc(COC)o1 ZINC000281947606 385460015 /nfs/dbraw/zinc/46/00/15/385460015.db2.gz ILKBZNFHFPKNTB-UHFFFAOYSA-N 0 3 243.372 2.659 20 0 BFADHN C[C@H](NC[C@H](O)CC(C)(C)C)c1ccncc1 ZINC000179388429 385462549 /nfs/dbraw/zinc/46/25/49/385462549.db2.gz MLHOQPKQSYYKNY-WCQYABFASA-N 0 3 236.359 2.529 20 0 BFADHN COC[C@H](C)N(C)C/C=C/c1ccccc1OC ZINC000179370157 385462846 /nfs/dbraw/zinc/46/28/46/385462846.db2.gz NPHRXVYKWMEXGK-XOVSCCBYSA-N 0 3 249.354 2.675 20 0 BFADHN Cc1cnccc1CN1CC[C@H](c2ccco2)C1 ZINC000648371720 385462888 /nfs/dbraw/zinc/46/28/88/385462888.db2.gz CCSBXJNJYIDRFI-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccc(C)c(C)c1 ZINC000179371277 385463247 /nfs/dbraw/zinc/46/32/47/385463247.db2.gz UIAOOLSIBKTTNF-CYBMUJFWSA-N 0 3 221.344 2.770 20 0 BFADHN CN(Cc1c[nH]cn1)C1CCCCCC1 ZINC000179793791 385492781 /nfs/dbraw/zinc/49/27/81/385492781.db2.gz DAEABSXRBJCFMY-UHFFFAOYSA-N 0 3 207.321 2.564 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)[C@@H](C)CO)o1 ZINC000157276277 385493832 /nfs/dbraw/zinc/49/38/32/385493832.db2.gz FGGITNCPNDPSOO-DCAQKATOSA-N 0 3 225.332 2.510 20 0 BFADHN CSC[C@H]1CCCN1Cc1ccc(C)cn1 ZINC000157248321 385494572 /nfs/dbraw/zinc/49/45/72/385494572.db2.gz LJIJFLLJLKAHJW-CYBMUJFWSA-N 0 3 236.384 2.717 20 0 BFADHN CC[C@@H](C)[C@@H](O)CNCc1ccsc1Cl ZINC000309248352 385498617 /nfs/dbraw/zinc/49/86/17/385498617.db2.gz ZQLFGZQGYLONOW-SCZZXKLOSA-N 0 3 247.791 2.898 20 0 BFADHN CN(CC1=CCCOC1)[C@H]1CCc2ccccc21 ZINC000179908335 385500190 /nfs/dbraw/zinc/50/01/90/385500190.db2.gz JCBGGBRYORPLAV-INIZCTEOSA-N 0 3 243.350 2.952 20 0 BFADHN Cc1noc(C)c1CN(C)CC1CCC1 ZINC000111299574 385503352 /nfs/dbraw/zinc/50/33/52/385503352.db2.gz RXBBXQVVBZSJPT-UHFFFAOYSA-N 0 3 208.305 2.523 20 0 BFADHN C[C@@H](NCc1ccc(F)cc1Cl)[C@H](C)CO ZINC000157476167 385504039 /nfs/dbraw/zinc/50/40/39/385504039.db2.gz LNOZAMFUEODPNC-RKDXNWHRSA-N 0 3 245.725 2.586 20 0 BFADHN CC1(C)CCCC[C@@H]1NCc1nnc(C2CC2)[nH]1 ZINC000119968102 385504770 /nfs/dbraw/zinc/50/47/70/385504770.db2.gz HZEGGAXVCPETTR-NSHDSACASA-N 0 3 248.374 2.741 20 0 BFADHN Cc1ccc(NC(=O)[C@@H](C(C)C)N(C)C)cc1C ZINC000106407796 385507320 /nfs/dbraw/zinc/50/73/20/385507320.db2.gz OQNHUKGJSDZIOS-CQSZACIVSA-N 0 3 248.370 2.828 20 0 BFADHN C=Cn1cc(CN2CC[C@@H](c3ccco3)C2)cn1 ZINC000364758166 385512049 /nfs/dbraw/zinc/51/20/49/385512049.db2.gz JFGKCCJSQLLYSX-CYBMUJFWSA-N 0 3 243.310 2.566 20 0 BFADHN CC[C@H](CNCc1ccc(Cl)c(F)c1)OC ZINC000309322348 385532829 /nfs/dbraw/zinc/53/28/29/385532829.db2.gz HIVHOWWNBNHLKD-SNVBAGLBSA-N 0 3 245.725 2.994 20 0 BFADHN COC[C@H](C)N(C)c1ccnc2ccccc21 ZINC000171987629 385539616 /nfs/dbraw/zinc/53/96/16/385539616.db2.gz CAUQXEAEHIJHIX-NSHDSACASA-N 0 3 230.311 2.706 20 0 BFADHN Cc1cc(C)c(CN(C)[C@@H]2CCOC2)c(C)c1 ZINC000106882061 385540678 /nfs/dbraw/zinc/54/06/78/385540678.db2.gz VKQZIKLQWNVDPK-CQSZACIVSA-N 0 3 233.355 2.833 20 0 BFADHN CC(C)NCc1cccc(C(F)(F)F)n1 ZINC000200494629 385577494 /nfs/dbraw/zinc/57/74/94/385577494.db2.gz UJIAMXFZEDUQIV-UHFFFAOYSA-N 0 3 218.222 2.598 20 0 BFADHN CN(CCOCC1CC1)Cc1ccccc1 ZINC000158195308 385553735 /nfs/dbraw/zinc/55/37/35/385553735.db2.gz RGIAAOMHCZMLGA-UHFFFAOYSA-N 0 3 219.328 2.545 20 0 BFADHN CC[C@@H](C)N1CCN([C@H](C)c2cccnc2)CC1 ZINC000181128069 385564838 /nfs/dbraw/zinc/56/48/38/385564838.db2.gz ISUIAKKJLHTYJX-ZIAGYGMSSA-N 0 3 247.386 2.559 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](CO)CC(F)F)s1 ZINC000309462015 385594309 /nfs/dbraw/zinc/59/43/09/385594309.db2.gz PBGUFVHCJPMKQS-RKDXNWHRSA-N 0 3 249.326 2.723 20 0 BFADHN C[C@H](Cc1ccncc1)N[C@H](C)c1nccs1 ZINC000337316682 385594474 /nfs/dbraw/zinc/59/44/74/385594474.db2.gz KRLTZYDWQBTNRJ-GHMZBOCLSA-N 0 3 247.367 2.820 20 0 BFADHN Cn1ncc2c1CCCN(CC1=CCCCC1)C2 ZINC000334648297 385596131 /nfs/dbraw/zinc/59/61/31/385596131.db2.gz VQWQYHVGCJLCGM-UHFFFAOYSA-N 0 3 245.370 2.669 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CCC[C@H]2C)cn1 ZINC000309475051 385597134 /nfs/dbraw/zinc/59/71/34/385597134.db2.gz RTDLQNNZTLWLKG-USWWRNFRSA-N 0 3 219.332 2.624 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CCC[C@H]2C)cn1 ZINC000309475049 385597885 /nfs/dbraw/zinc/59/78/85/385597885.db2.gz RTDLQNNZTLWLKG-ADEWGFFLSA-N 0 3 219.332 2.624 20 0 BFADHN CC(C)C[C@@H](C)CNCc1ccc(F)cn1 ZINC000336675678 385598382 /nfs/dbraw/zinc/59/83/82/385598382.db2.gz PPVDSIDLHUBHDZ-LLVKDONJSA-N 0 3 224.323 2.993 20 0 BFADHN CCC[C@H](C)N1CCN(Cc2ccco2)CC1 ZINC000337330996 385600910 /nfs/dbraw/zinc/60/09/10/385600910.db2.gz HHWTUHSDXOGGMK-ZDUSSCGKSA-N 0 3 236.359 2.586 20 0 BFADHN CO[C@H]1CCCC[C@H]1NCc1ccsc1 ZINC000063152486 385605113 /nfs/dbraw/zinc/60/51/13/385605113.db2.gz QZHGILIVDAZRGZ-NEPJUHHUSA-N 0 3 225.357 2.795 20 0 BFADHN C[C@@H](N[C@@H]1COc2ccc(F)cc21)C1CC1 ZINC000309428232 385581282 /nfs/dbraw/zinc/58/12/82/385581282.db2.gz BAKKNKPBIZYGMF-PRHODGIISA-N 0 3 221.275 2.647 20 0 BFADHN COCC[C@H](NCc1ccco1)C(C)(C)C ZINC000309441038 385582676 /nfs/dbraw/zinc/58/26/76/385582676.db2.gz INVHKZNPJGXQLT-LBPRGKRZSA-N 0 3 225.332 2.820 20 0 BFADHN C[C@H](N[C@H]1CSC[C@@H]1C)c1ccc(F)cn1 ZINC000309443540 385584000 /nfs/dbraw/zinc/58/40/00/385584000.db2.gz KRZOUNHGKXWSIS-AUTRQRHGSA-N 0 3 240.347 2.623 20 0 BFADHN CC(C)C[C@H](C)N1CCO[C@]2(CCSC2)C1 ZINC000335409230 385593053 /nfs/dbraw/zinc/59/30/53/385593053.db2.gz RIHADPRFLXARKP-QWHCGFSZSA-N 0 3 243.416 2.629 20 0 BFADHN CO[C@H](C)CN[C@H](CC(C)C)c1ccccn1 ZINC000181883334 385614309 /nfs/dbraw/zinc/61/43/09/385614309.db2.gz OXASJIFIDVHZAR-TZMCWYRMSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](N[C@H](CO)CC(F)F)c1cccs1 ZINC000309493722 385615334 /nfs/dbraw/zinc/61/53/34/385615334.db2.gz XUZQTHUTXRFGSW-IUCAKERBSA-N 0 3 249.326 2.805 20 0 BFADHN Cc1ccncc1CN1CC[C@H](OCC(C)C)C1 ZINC000269668264 385620752 /nfs/dbraw/zinc/62/07/52/385620752.db2.gz ZLMKHDBUGHAYJW-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1c[nH]nc1C ZINC000309492494 385620973 /nfs/dbraw/zinc/62/09/73/385620973.db2.gz XMAJSLSUSYVLLE-SECBINFHSA-N 0 3 235.253 2.539 20 0 BFADHN CN(C)c1ncccc1CN[C@H]1CCC12CCC2 ZINC000337380680 385621581 /nfs/dbraw/zinc/62/15/81/385621581.db2.gz DEAGQHNWVZWYKV-ZDUSSCGKSA-N 0 3 245.370 2.570 20 0 BFADHN CC[C@H](CNC/C=C\c1ccccc1)OC ZINC000336680058 385626387 /nfs/dbraw/zinc/62/63/87/385626387.db2.gz RBCJMZAGQWBEFS-JKEYDSJLSA-N 0 3 219.328 2.714 20 0 BFADHN CC[C@@H](CNC/C=C\c1ccccc1)OC ZINC000336680062 385627112 /nfs/dbraw/zinc/62/71/12/385627112.db2.gz RBCJMZAGQWBEFS-YHAOYDPOSA-N 0 3 219.328 2.714 20 0 BFADHN Cc1ccncc1CN1CCC[C@H](C)C1 ZINC000269725295 385628797 /nfs/dbraw/zinc/62/87/97/385628797.db2.gz UTRHUQCBYZCTAU-NSHDSACASA-N 0 3 204.317 2.622 20 0 BFADHN CC[C@H](NCc1ncccn1)C1CCCCC1 ZINC000054459797 385629198 /nfs/dbraw/zinc/62/91/98/385629198.db2.gz DIBIBVQVWWHFDV-ZDUSSCGKSA-N 0 3 233.359 2.925 20 0 BFADHN CN(Cc1ccnc(Cl)c1)C1CCC1 ZINC000128458979 385630744 /nfs/dbraw/zinc/63/07/44/385630744.db2.gz FRJXFJJSNKCCOY-UHFFFAOYSA-N 0 3 210.708 2.719 20 0 BFADHN CO[C@H](C)CN(Cc1cccs1)C1CC1 ZINC000182018715 385630899 /nfs/dbraw/zinc/63/08/99/385630899.db2.gz RBJWSZJISAAHBH-SNVBAGLBSA-N 0 3 225.357 2.747 20 0 BFADHN CO[C@@H](C)CN1CC=C(c2ccc(F)cc2)CC1 ZINC000182011246 385631154 /nfs/dbraw/zinc/63/11/54/385631154.db2.gz AURGHERTIRZDGF-LBPRGKRZSA-N 0 3 249.329 2.950 20 0 BFADHN Cc1ncccc1CNCC(C)(C)OCC1CC1 ZINC000414515219 385636947 /nfs/dbraw/zinc/63/69/47/385636947.db2.gz LMAVEOXDYPWLRM-UHFFFAOYSA-N 0 3 248.370 2.685 20 0 BFADHN CCOc1ccccc1CN(C)C[C@H](C)OC ZINC000182071716 385633293 /nfs/dbraw/zinc/63/32/93/385633293.db2.gz LRAYKWDFNSELNM-LBPRGKRZSA-N 0 3 237.343 2.552 20 0 BFADHN CSC[C@H](C)N[C@H](C)c1cncc(C)c1 ZINC000184362074 385633582 /nfs/dbraw/zinc/63/35/82/385633582.db2.gz VNLQWMGVMYUNBG-WDEREUQCSA-N 0 3 224.373 2.792 20 0 BFADHN CCC[C@H](C)N(C)Cc1cn2c(n1)CCCC2 ZINC000337397410 385635089 /nfs/dbraw/zinc/63/50/89/385635089.db2.gz GEFPARLKBUBXGC-LBPRGKRZSA-N 0 3 235.375 2.840 20 0 BFADHN c1cncc([C@H](N[C@H]2CCCOC2)C2CCC2)c1 ZINC000280820475 385607367 /nfs/dbraw/zinc/60/73/67/385607367.db2.gz JZRAGTOTBOMZCX-LSDHHAIUSA-N 0 3 246.354 2.691 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1ccccc1F ZINC000229383351 385607521 /nfs/dbraw/zinc/60/75/21/385607521.db2.gz SUNVTYATJJQHOJ-MXWKQRLJSA-N 0 3 225.307 2.900 20 0 BFADHN Fc1ccc(CN[C@H]2CC[C@H](F)C2)cc1F ZINC000309482985 385608200 /nfs/dbraw/zinc/60/82/00/385608200.db2.gz FFHXJFVCDOZQEQ-UWVGGRQHSA-N 0 3 229.245 2.945 20 0 BFADHN CS[C@@H]1CCC[C@@H](NCc2conc2C)C1 ZINC000293471979 385613702 /nfs/dbraw/zinc/61/37/02/385613702.db2.gz FAABCQYZJLVDKQ-VXGBXAGGSA-N 0 3 240.372 2.747 20 0 BFADHN Cc1ccncc1CN(C)CCc1nccs1 ZINC000269878184 385642889 /nfs/dbraw/zinc/64/28/89/385642889.db2.gz KIJRWFYMPCQJPP-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN CSCCCN(C)Cc1ncccc1C ZINC000281197301 385644880 /nfs/dbraw/zinc/64/48/80/385644880.db2.gz IUIHJWYYQSGBTR-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN CC[C@@H](C)NC1(C(=O)Nc2ccccc2)CC1 ZINC000337416477 385645535 /nfs/dbraw/zinc/64/55/35/385645535.db2.gz NVXMOGVXSDAPGB-LLVKDONJSA-N 0 3 232.327 2.546 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2CCCOC2)o1 ZINC000252149274 385645645 /nfs/dbraw/zinc/64/56/45/385645645.db2.gz OJKQOJVQYLFLEM-MDZLAQPJSA-N 0 3 235.327 2.672 20 0 BFADHN Cc1ccoc1CNCC(C)(C)[C@H](O)C(C)C ZINC000269893871 385645962 /nfs/dbraw/zinc/64/59/62/385645962.db2.gz CXWSCSNATMCCOJ-CYBMUJFWSA-N 0 3 239.359 2.721 20 0 BFADHN CCC[C@H](NC[C@H](O)C(F)F)c1ccsc1 ZINC000336682972 385646056 /nfs/dbraw/zinc/64/60/56/385646056.db2.gz DIUKRJDRJYGMMC-UWVGGRQHSA-N 0 3 249.326 2.805 20 0 BFADHN CSCCCN(C)Cc1ccc(F)nc1C ZINC000281241776 385650052 /nfs/dbraw/zinc/65/00/52/385650052.db2.gz LTUPIPTYDOGCES-UHFFFAOYSA-N 0 3 242.363 2.714 20 0 BFADHN COCCCCCN1CCC[C@](C)(F)C1 ZINC000451117131 385653940 /nfs/dbraw/zinc/65/39/40/385653940.db2.gz UIKRVFAZERCLAX-LBPRGKRZSA-N 0 3 217.328 2.627 20 0 BFADHN C[C@H](NCc1cnc[nH]1)c1ccc(Cl)s1 ZINC000054764491 385656311 /nfs/dbraw/zinc/65/63/11/385656311.db2.gz WPJNDILCFBOSTR-ZETCQYMHSA-N 0 3 241.747 2.975 20 0 BFADHN CCOc1ccc([C@H](C)N[C@@H]2CCO[C@H]2C)cc1 ZINC000252692045 385656715 /nfs/dbraw/zinc/65/67/15/385656715.db2.gz ZULRPLKTNUVSRT-SLEUVZQESA-N 0 3 249.354 2.913 20 0 BFADHN CCN(Cc1ccccn1)C[C@@](C)(OC)C1CC1 ZINC000647911225 385656883 /nfs/dbraw/zinc/65/68/83/385656883.db2.gz XFPPPTFGPZMOGZ-OAHLLOKOSA-N 0 3 248.370 2.719 20 0 BFADHN COC[C@@H](C)NC(C)(C)c1cccs1 ZINC000336652847 385657886 /nfs/dbraw/zinc/65/78/86/385657886.db2.gz KVNDIWGKWQBTMO-SECBINFHSA-N 0 3 213.346 2.608 20 0 BFADHN CCN(Cc1ccc(C)nn1)C1CC(C)(C)C1 ZINC000414528582 385673128 /nfs/dbraw/zinc/67/31/28/385673128.db2.gz AZVFZLRRANGIEV-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN CCc1ccc(CN[C@H](C)C2(OC)CCC2)cn1 ZINC000414525255 385673425 /nfs/dbraw/zinc/67/34/25/385673425.db2.gz LUYADGGZDDSUOB-GFCCVEGCSA-N 0 3 248.370 2.691 20 0 BFADHN CCOCCN[C@H]1CCCc2cc(F)ccc21 ZINC000182484370 385673578 /nfs/dbraw/zinc/67/35/78/385673578.db2.gz MYTXPQSFKAMORR-AWEZNQCLSA-N 0 3 237.318 2.829 20 0 BFADHN CCC[C@](C)(O)CNCc1cc(F)cc(F)c1 ZINC000229810365 385674070 /nfs/dbraw/zinc/67/40/70/385674070.db2.gz ABQIUKLHEZLJNI-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN CCCC1(CN[C@@H](C)c2cc(C)n(C)n2)CC1 ZINC000337497296 385685534 /nfs/dbraw/zinc/68/55/34/385685534.db2.gz YWXMATUYWALNSS-LBPRGKRZSA-N 0 3 235.375 2.959 20 0 BFADHN Cc1ccc(C)c(CN[C@@H](C)c2cn[nH]c2)c1 ZINC000229883324 385686052 /nfs/dbraw/zinc/68/60/52/385686052.db2.gz GYVLSYGGNCUPND-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1nnsc1CNCC1CCCCC1 ZINC000336685152 385686596 /nfs/dbraw/zinc/68/65/96/385686596.db2.gz KOXNHYSCKPFIFF-UHFFFAOYSA-N 0 3 225.361 2.516 20 0 BFADHN COC[C@H](NCCC(C)C)c1ccc(C)o1 ZINC000184692023 385690641 /nfs/dbraw/zinc/69/06/41/385690641.db2.gz XBLHTYRFINQRND-LBPRGKRZSA-N 0 3 225.332 2.911 20 0 BFADHN COC(=O)c1ccc(CNCCC2=CCCC2)o1 ZINC000182664427 385693148 /nfs/dbraw/zinc/69/31/48/385693148.db2.gz BCMOTNRBZYVEJR-UHFFFAOYSA-N 0 3 249.310 2.656 20 0 BFADHN COc1cc(C)nc(CN2C[C@H]3CCC[C@@H]3C2)c1 ZINC000270283427 385693812 /nfs/dbraw/zinc/69/38/12/385693812.db2.gz MNYVNZBLRSKBDK-CHWSQXEVSA-N 0 3 246.354 2.631 20 0 BFADHN CC(=O)Nc1ccc(CN2CCC=C(C)C2)cc1 ZINC000281736688 385698049 /nfs/dbraw/zinc/69/80/49/385698049.db2.gz GNYGSJNAVYGMPY-UHFFFAOYSA-N 0 3 244.338 2.797 20 0 BFADHN Cc1cc(CN(C)C)cc(NC(=O)CC2CC2)c1 ZINC000270346573 385698923 /nfs/dbraw/zinc/69/89/23/385698923.db2.gz AKJLXAQZSMIOHV-UHFFFAOYSA-N 0 3 246.354 2.795 20 0 BFADHN CC[C@H](COC)N[C@@H]1CCc2c1cccc2F ZINC000211897102 385699583 /nfs/dbraw/zinc/69/95/83/385699583.db2.gz XJJRRIPATDQCQG-QMTHXVAHSA-N 0 3 237.318 2.828 20 0 BFADHN CC[C@H]1CCN(Cc2cc(OC)cc(C)n2)C1 ZINC000270374452 385700889 /nfs/dbraw/zinc/70/08/89/385700889.db2.gz ZQXZTRARNQPNMS-LBPRGKRZSA-N 0 3 234.343 2.631 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CC[C@H](F)C2)c1 ZINC000309568273 385704356 /nfs/dbraw/zinc/70/43/56/385704356.db2.gz MWRYCPWLMNCAQD-SRVKXCTJSA-N 0 3 222.307 2.931 20 0 BFADHN Cc1ncc([C@@H](C)NCC2(C)CC2)c(C)n1 ZINC000309568314 385704939 /nfs/dbraw/zinc/70/49/39/385704939.db2.gz MYIULYXYWMXWLP-SECBINFHSA-N 0 3 219.332 2.544 20 0 BFADHN F[C@H]1CCN(C[C@H]2CCc3ccccc3C2)C1 ZINC000451201462 385704948 /nfs/dbraw/zinc/70/49/48/385704948.db2.gz UUMNMRLZMHMFPW-WFASDCNBSA-N 0 3 233.330 2.835 20 0 BFADHN F[C@@H]1CCN(C[C@@H]2CCc3ccccc3C2)C1 ZINC000451201417 385706140 /nfs/dbraw/zinc/70/61/40/385706140.db2.gz UUMNMRLZMHMFPW-IUODEOHRSA-N 0 3 233.330 2.835 20 0 BFADHN CN(CCCO)Cc1ccc(C(C)(C)C)s1 ZINC000281877931 385709116 /nfs/dbraw/zinc/70/91/16/385709116.db2.gz WZFUMBKMNLZQBS-UHFFFAOYSA-N 0 3 241.400 2.860 20 0 BFADHN CCc1cc(OC)ccc1CN(C)C1CC1 ZINC000337566138 385710637 /nfs/dbraw/zinc/71/06/37/385710637.db2.gz YJSDBPUNUIGDAV-UHFFFAOYSA-N 0 3 219.328 2.852 20 0 BFADHN CCN(Cc1ccnn1CC)C1CC(C)(C)C1 ZINC000414542667 385712919 /nfs/dbraw/zinc/71/29/19/385712919.db2.gz YITLYNOEWDCSES-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@@H](N[C@@H]1CCCSC1)c1ccncc1F ZINC000309582809 385715401 /nfs/dbraw/zinc/71/54/01/385715401.db2.gz QWWRDFHAKCOZRC-NXEZZACHSA-N 0 3 240.347 2.767 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](CC)c1ccn(C)n1 ZINC000336734334 385666641 /nfs/dbraw/zinc/66/66/41/385666641.db2.gz KURXZRBUTAVCDA-SRVKXCTJSA-N 0 3 223.364 2.895 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1ccc(F)c(F)c1 ZINC000182419174 385668390 /nfs/dbraw/zinc/66/83/90/385668390.db2.gz YYPJAPZSAGQKLP-DTWKUNHWSA-N 0 3 229.270 2.650 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC000182418902 385669110 /nfs/dbraw/zinc/66/91/10/385669110.db2.gz KDHSDOHPEWLPAL-DTWKUNHWSA-N 0 3 229.270 2.650 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC000182423808 385669765 /nfs/dbraw/zinc/66/97/65/385669765.db2.gz FJWSJACLVFIKAL-BDAKNGLRSA-N 0 3 229.270 2.650 20 0 BFADHN c1ccc(CNCC23CCC(CC2)C3)nc1 ZINC000309533386 385669874 /nfs/dbraw/zinc/66/98/74/385669874.db2.gz CHVLRDKBRQRQEX-UHFFFAOYSA-N 0 3 216.328 2.752 20 0 BFADHN CCOc1ccc([C@@H](C)NC[C@H](C)OC)cc1 ZINC000182421906 385670019 /nfs/dbraw/zinc/67/00/19/385670019.db2.gz HXLWKQPYJVRYRZ-NWDGAFQWSA-N 0 3 237.343 2.771 20 0 BFADHN CCCn1cc(CN[C@H]2CCC2(C)C)cn1 ZINC000336684552 385670897 /nfs/dbraw/zinc/67/08/97/385670897.db2.gz ZSJMXHNTALCJLS-LBPRGKRZSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1cnc([C@H](NCCCF)C2CC2)s1 ZINC000185358737 385728154 /nfs/dbraw/zinc/72/81/54/385728154.db2.gz UEEDDTNHZZYNLD-SNVBAGLBSA-N 0 3 228.336 2.852 20 0 BFADHN CCCCC[C@H](C)NCc1n[nH]cc1C ZINC000289924710 385732346 /nfs/dbraw/zinc/73/23/46/385732346.db2.gz OSNFCJXGVOUZHT-NSHDSACASA-N 0 3 209.337 2.777 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+]C[C@@H]2CC=CCC2)[n-]1 ZINC000270750313 385737235 /nfs/dbraw/zinc/73/72/35/385737235.db2.gz YCCGZTAWQIUYLM-NWDGAFQWSA-N 0 3 248.374 2.935 20 0 BFADHN CC(C)c1nnc([C@H](C)NC[C@@H]2CC=CCC2)[nH]1 ZINC000270750313 385737241 /nfs/dbraw/zinc/73/72/41/385737241.db2.gz YCCGZTAWQIUYLM-NWDGAFQWSA-N 0 3 248.374 2.935 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(C)o2)[C@H](C)C1 ZINC000282187990 385738726 /nfs/dbraw/zinc/73/87/26/385738726.db2.gz GCDLTXSQNGTSAC-PWSUYJOCSA-N 0 3 223.316 2.587 20 0 BFADHN CN(C)c1cccc(CN[C@@H]2CCC23CCC3)n1 ZINC000282177164 385738943 /nfs/dbraw/zinc/73/89/43/385738943.db2.gz MPGZYRMPWTWHJX-CYBMUJFWSA-N 0 3 245.370 2.570 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CCCC[C@H]2C)[n-]1 ZINC000408374836 385740980 /nfs/dbraw/zinc/74/09/80/385740980.db2.gz NBWJWRYLRVWRGA-MNOVXSKESA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CCCC[C@H]2C)[nH]1 ZINC000408374836 385740981 /nfs/dbraw/zinc/74/09/81/385740981.db2.gz NBWJWRYLRVWRGA-MNOVXSKESA-N 0 3 236.363 2.596 20 0 BFADHN CCOCCCN[C@H](C)c1sccc1OC ZINC000186073064 385746173 /nfs/dbraw/zinc/74/61/73/385746173.db2.gz JRESVBWEYUSDHV-SNVBAGLBSA-N 0 3 243.372 2.834 20 0 BFADHN CCN(CCOC)[C@@H]1CCc2ccc(F)cc21 ZINC000270800934 385747224 /nfs/dbraw/zinc/74/72/24/385747224.db2.gz ULRJYCMLFJTWHN-CQSZACIVSA-N 0 3 237.318 2.781 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1sccc1OC ZINC000186175198 385752850 /nfs/dbraw/zinc/75/28/50/385752850.db2.gz BJZPIUJMWNUZSA-VHSXEESVSA-N 0 3 243.372 2.568 20 0 BFADHN CO[C@H]1CCN(Cc2cccs2)[C@@H](C)C1 ZINC000282318955 385752801 /nfs/dbraw/zinc/75/28/01/385752801.db2.gz KYPQAGUZYCOKPM-QWRGUYRKSA-N 0 3 225.357 2.747 20 0 BFADHN FCCCCCN1CCOC2(CCCC2)C1 ZINC000367464369 385753081 /nfs/dbraw/zinc/75/30/81/385753081.db2.gz SBQIUTOVQFUFHU-UHFFFAOYSA-N 0 3 229.339 2.771 20 0 BFADHN CO[C@@H]1CCN(Cc2cccs2)[C@@H](C)C1 ZINC000282318960 385753297 /nfs/dbraw/zinc/75/32/97/385753297.db2.gz KYPQAGUZYCOKPM-WDEREUQCSA-N 0 3 225.357 2.747 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1CC=CCC1)C1CC1 ZINC000183329954 385753411 /nfs/dbraw/zinc/75/34/11/385753411.db2.gz JIBAIDBDMVFMHM-CHWSQXEVSA-N 0 3 231.343 2.570 20 0 BFADHN CC[C@H]1CN(Cc2cc3ccccc3o2)C[C@H]1O ZINC000270865165 385753963 /nfs/dbraw/zinc/75/39/63/385753963.db2.gz IPDKZRGZPKLNFY-SMDDNHRTSA-N 0 3 245.322 2.636 20 0 BFADHN CO[C@](C)(CN[C@@H](C)c1ccncc1)C1CC1 ZINC000291880696 385754914 /nfs/dbraw/zinc/75/49/14/385754914.db2.gz LMHGIXSZYHGCHC-SMDDNHRTSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@@H]1C[C@@H]1CN(C)C[C@@H]1OCCc2ccccc21 ZINC000488351389 385758599 /nfs/dbraw/zinc/75/85/99/385758599.db2.gz REXQQOWTFPGWBV-XPKDYRNWSA-N 0 3 245.366 2.888 20 0 BFADHN CCC[C@H](C)N[C@@H](c1nccn1C)C1CC1 ZINC000336737304 385758836 /nfs/dbraw/zinc/75/88/36/385758836.db2.gz NGYYARRQJNPXKG-CMPLNLGQSA-N 0 3 221.348 2.649 20 0 BFADHN CC(C)(O)CCN1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451855137 385766622 /nfs/dbraw/zinc/76/66/22/385766622.db2.gz OJXMIZKARMPXHL-ZDUSSCGKSA-N 0 3 249.354 2.968 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H]1C)c1cncc(F)c1 ZINC000336701506 385767833 /nfs/dbraw/zinc/76/78/33/385767833.db2.gz PZTKUYGPQNWLTJ-AUTRQRHGSA-N 0 3 208.280 2.670 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H](CO)C(C)C)o1 ZINC000309654359 385772471 /nfs/dbraw/zinc/77/24/71/385772471.db2.gz YPWWZMGCCFXRJK-NWDGAFQWSA-N 0 3 225.332 2.503 20 0 BFADHN Cc1ccc(CN2CCCN(C(C)C)CC2)o1 ZINC000271025351 385772720 /nfs/dbraw/zinc/77/27/20/385772720.db2.gz VYIHXBUPXWPKDR-UHFFFAOYSA-N 0 3 236.359 2.504 20 0 BFADHN CCN(CCOC)Cc1sccc1C ZINC000271028877 385773179 /nfs/dbraw/zinc/77/31/79/385773179.db2.gz BEMIUIGLGAAUOR-UHFFFAOYSA-N 0 3 213.346 2.525 20 0 BFADHN Cc1cc(C)c(CN2CCC(O)CC2)cc1C ZINC000271039317 385774630 /nfs/dbraw/zinc/77/46/30/385774630.db2.gz MZCJQFSMWWXETN-UHFFFAOYSA-N 0 3 233.355 2.569 20 0 BFADHN Cc1ccoc1CNC1Cc2ccccc2C1 ZINC000231032285 385775045 /nfs/dbraw/zinc/77/50/45/385775045.db2.gz FOWWQAWZZULSND-UHFFFAOYSA-N 0 3 227.307 2.845 20 0 BFADHN Cc1cccc2[nH]c(CNC[C@@H]3C[C@@H]3C)nc21 ZINC000488427408 385776154 /nfs/dbraw/zinc/77/61/54/385776154.db2.gz JYPLZJKWDMEHKD-QWRGUYRKSA-N 0 3 229.327 2.617 20 0 BFADHN CCC[C@H](C)N(C)Cc1ccnn1CC ZINC000271057087 385778897 /nfs/dbraw/zinc/77/88/97/385778897.db2.gz DLAMTYQYZZKLGA-NSHDSACASA-N 0 3 209.337 2.523 20 0 BFADHN CC[C@H](CNCc1cnc(C(C)C)s1)OC ZINC000336740019 385781806 /nfs/dbraw/zinc/78/18/06/385781806.db2.gz PPWPEKTWOMHROG-SNVBAGLBSA-N 0 3 242.388 2.781 20 0 BFADHN CCSCCN[C@H]1COc2ccc(F)cc21 ZINC000309670214 385784422 /nfs/dbraw/zinc/78/44/22/385784422.db2.gz VSKGEHWDSFDGJG-NSHDSACASA-N 0 3 241.331 2.602 20 0 BFADHN CC[C@@H](C)N(C)Cc1c(C)nc2sccn12 ZINC000271097177 385784986 /nfs/dbraw/zinc/78/49/86/385784986.db2.gz ZVYZFMCTIKLFHB-SECBINFHSA-N 0 3 237.372 2.935 20 0 BFADHN COC[C@H](NCCC1CC1)c1ccc(C)o1 ZINC000309671160 385785323 /nfs/dbraw/zinc/78/53/23/385785323.db2.gz WALWTZDWHPTDEV-LBPRGKRZSA-N 0 3 223.316 2.665 20 0 BFADHN Cc1ccoc1CN1CC[C@@H](N2CCCCC2)C1 ZINC000271171826 385798272 /nfs/dbraw/zinc/79/82/72/385798272.db2.gz QJOBLIABQVYTFF-CQSZACIVSA-N 0 3 248.370 2.648 20 0 BFADHN C[C@@H](CCO)N[C@@H](C)c1ccc(Cl)s1 ZINC000122801870 385799757 /nfs/dbraw/zinc/79/97/57/385799757.db2.gz XQTZOIKVTDYOQV-YUMQZZPRSA-N 0 3 233.764 2.823 20 0 BFADHN C[C@H](C1CCCCC1)N(C)Cc1cncnc1 ZINC000283004589 385801508 /nfs/dbraw/zinc/80/15/08/385801508.db2.gz KXQPWOOZYDDBCY-GFCCVEGCSA-N 0 3 233.359 2.877 20 0 BFADHN CCOc1ccc(CNC2(COC)CCC2)cc1 ZINC000283897630 385802416 /nfs/dbraw/zinc/80/24/16/385802416.db2.gz HTCGOUVBFCNWPH-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN Cc1ccc(CN2CCCC3(CCOCC3)C2)o1 ZINC000271204837 385802641 /nfs/dbraw/zinc/80/26/41/385802641.db2.gz VRRPMXPGMISCDR-UHFFFAOYSA-N 0 3 249.354 2.981 20 0 BFADHN CSC[C@@H]1CCCN(Cc2conc2C)C1 ZINC000294094525 385803053 /nfs/dbraw/zinc/80/30/53/385803053.db2.gz ZUYQOGXDMSXYKK-LLVKDONJSA-N 0 3 240.372 2.558 20 0 BFADHN Cc1ccc(CN2CCC(c3ncc[nH]3)CC2)o1 ZINC000271195509 385803496 /nfs/dbraw/zinc/80/34/96/385803496.db2.gz ONLHEASIERCBMR-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN CCSCCN(C)C[C@@H](C)c1nccs1 ZINC000183920795 385804356 /nfs/dbraw/zinc/80/43/56/385804356.db2.gz RVTOIWQDJACJAZ-SNVBAGLBSA-N 0 3 244.429 2.932 20 0 BFADHN COc1cc(C)nc(CN[C@H]2CCC[C@H]2C)c1 ZINC000214137256 385805212 /nfs/dbraw/zinc/80/52/12/385805212.db2.gz DFHPLDLOFLVDKQ-YGRLFVJLSA-N 0 3 234.343 2.677 20 0 BFADHN CC(C)n1cc(CNC2(C)CCCCC2)nn1 ZINC000336715343 385812300 /nfs/dbraw/zinc/81/23/00/385812300.db2.gz SLEOSZUSCYCTDD-UHFFFAOYSA-N 0 3 236.363 2.671 20 0 BFADHN Cc1ncsc1CN[C@@H]1C[C@H]1c1ccco1 ZINC000414582734 385857158 /nfs/dbraw/zinc/85/71/58/385857158.db2.gz LKLRUBGVHLXTDH-NXEZZACHSA-N 0 3 234.324 2.690 20 0 BFADHN C[C@@H](N[C@@H]1CSC1(C)C)c1ccco1 ZINC000283422537 385819199 /nfs/dbraw/zinc/81/91/99/385819199.db2.gz RRHBKZQTMYGBBW-PSASIEDQSA-N 0 3 211.330 2.824 20 0 BFADHN COC(C)(C)[C@H](C)NCc1cc(F)cc(F)c1 ZINC000340171737 385820606 /nfs/dbraw/zinc/82/06/06/385820606.db2.gz VGPIIAMCOGVAGP-VIFPVBQESA-N 0 3 243.297 2.868 20 0 BFADHN CC(C)[C@@H]1CCCC[C@H]1NCc1nccn1C ZINC000112703447 385857670 /nfs/dbraw/zinc/85/76/70/385857670.db2.gz DJDBDYSCVJKFNA-QWHCGFSZSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@H](C)C2)s1 ZINC000271424139 385827681 /nfs/dbraw/zinc/82/76/81/385827681.db2.gz ZEHDHAXMJZVKEW-DTORHVGOSA-N 0 3 210.346 2.539 20 0 BFADHN C[C@H](NC1CC(C(C)(C)C)C1)c1cnn(C)c1 ZINC000340455156 385828143 /nfs/dbraw/zinc/82/81/43/385828143.db2.gz UWEZAKNUGHOISK-PKSQDBQZSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@@H](C)CNCc1cn2ccc(C)cc2n1 ZINC000271444695 385828686 /nfs/dbraw/zinc/82/86/86/385828686.db2.gz DBGVQMDBJKFEPW-LLVKDONJSA-N 0 3 231.343 2.778 20 0 BFADHN Cc1oc2ccccc2c1CNC1CC1 ZINC000121228183 385830036 /nfs/dbraw/zinc/83/00/36/385830036.db2.gz BXAVYMLOQDVRKD-UHFFFAOYSA-N 0 3 201.269 2.993 20 0 BFADHN CC[C@@H]1CCCCN1CCOCC(F)F ZINC000188664237 385830056 /nfs/dbraw/zinc/83/00/56/385830056.db2.gz PJRFUYNRVMFLRV-SNVBAGLBSA-N 0 3 221.291 2.533 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H](C)[C@H]1CCCO1 ZINC000271491508 385831649 /nfs/dbraw/zinc/83/16/49/385831649.db2.gz UMQDHBWNXNGUOP-OUCADQQQSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1sccc1CN(C)C[C@@H]1CCC[C@@H]1O ZINC000294271495 385831855 /nfs/dbraw/zinc/83/18/55/385831855.db2.gz OKNBEMKCAHTHLK-STQMWFEESA-N 0 3 239.384 2.649 20 0 BFADHN C[C@H](NCCc1ccccn1)c1ccncc1F ZINC000340458826 385835285 /nfs/dbraw/zinc/83/52/85/385835285.db2.gz AQIQFIHBJZJRET-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN CC(C)[C@H](O)C1(CN[C@@H](C)c2ccncc2)CC1 ZINC000271888260 385859215 /nfs/dbraw/zinc/85/92/15/385859215.db2.gz RFJFNQYAYFXBOP-JSGCOSHPSA-N 0 3 248.370 2.529 20 0 BFADHN CCc1cccc2c1OCC[C@H]2N[C@@H](C)COC ZINC000271548574 385835730 /nfs/dbraw/zinc/83/57/30/385835730.db2.gz ZNCKRKODVBBJPJ-SMDDNHRTSA-N 0 3 249.354 2.697 20 0 BFADHN CCC[C@@](C)(O)CN[C@H](C)c1cnccc1C ZINC000271553652 385837073 /nfs/dbraw/zinc/83/70/73/385837073.db2.gz MWGMZHRQSNGNHT-TZMCWYRMSA-N 0 3 236.359 2.592 20 0 BFADHN CC(C)[C@H](O)CN(C)[C@H](C)c1ccc(F)cc1 ZINC000283934968 385840967 /nfs/dbraw/zinc/84/09/67/385840967.db2.gz GWZLYXKCADUOFP-BXUZGUMPSA-N 0 3 239.334 2.835 20 0 BFADHN CC(C)[C@@H](O)CN(C)[C@H](C)c1ccc(F)cc1 ZINC000283934974 385841829 /nfs/dbraw/zinc/84/18/29/385841829.db2.gz GWZLYXKCADUOFP-RISCZKNCSA-N 0 3 239.334 2.835 20 0 BFADHN CC[C@H](NCCCC(F)(F)F)c1nccn1C ZINC000271692402 385846491 /nfs/dbraw/zinc/84/64/91/385846491.db2.gz KUEKKPGKVJAAAI-VIFPVBQESA-N 0 3 249.280 2.803 20 0 BFADHN CCOc1cccc([C@H](C)NC[C@@H](O)CC)c1 ZINC000271696577 385846531 /nfs/dbraw/zinc/84/65/31/385846531.db2.gz RLUPPGHDQJFBOO-AAEUAGOBSA-N 0 3 237.343 2.507 20 0 BFADHN CC[C@@H](NCCN(C)C)c1cc(F)ccc1F ZINC000271701641 385846619 /nfs/dbraw/zinc/84/66/19/385846619.db2.gz HNLRCOMCQRCPOP-CYBMUJFWSA-N 0 3 242.313 2.567 20 0 BFADHN COC1(C)CCN([C@H](C)c2cccnc2)CC1 ZINC000271689575 385846931 /nfs/dbraw/zinc/84/69/31/385846931.db2.gz FCDACYZIANFGCG-GFCCVEGCSA-N 0 3 234.343 2.644 20 0 BFADHN CC(C)[C@@H](O)C1(CN[C@@H](C)c2ccncc2)CC1 ZINC000271888256 385858709 /nfs/dbraw/zinc/85/87/09/385858709.db2.gz RFJFNQYAYFXBOP-GXTWGEPZSA-N 0 3 248.370 2.529 20 0 BFADHN CCN(C[C@@H](OC)c1ccccc1)C1CC1 ZINC000284103804 385850352 /nfs/dbraw/zinc/85/03/52/385850352.db2.gz TXHSYELEROHOSE-CQSZACIVSA-N 0 3 219.328 2.858 20 0 BFADHN COC1(C)CCN(CCOc2ccccc2)CC1 ZINC000271790060 385850557 /nfs/dbraw/zinc/85/05/57/385850557.db2.gz UNDZEXZEDSDUHD-UHFFFAOYSA-N 0 3 249.354 2.566 20 0 BFADHN CN(Cc1cnn2ccccc12)CC(C)(C)C ZINC000271106792 385788871 /nfs/dbraw/zinc/78/88/71/385788871.db2.gz ARSDGUGLMGKFLO-UHFFFAOYSA-N 0 3 231.343 2.812 20 0 BFADHN C[C@@H](NC1CC(C(C)(C)C)C1)c1cnccn1 ZINC000340450516 385792918 /nfs/dbraw/zinc/79/29/18/385792918.db2.gz KJJRIXJZNJTIBC-VOMCLLRMSA-N 0 3 233.359 2.952 20 0 BFADHN COCc1ccc(CN2CC[C@@H](C)[C@H](C)C2)o1 ZINC000271170404 385796016 /nfs/dbraw/zinc/79/60/16/385796016.db2.gz HKWNOQJXLRSIGU-VXGBXAGGSA-N 0 3 237.343 2.904 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1conc1C ZINC000294703605 385884959 /nfs/dbraw/zinc/88/49/59/385884959.db2.gz OJBWGDBHHKLLRM-CABZTGNLSA-N 0 3 208.305 2.603 20 0 BFADHN CCC[C@H](NC(=O)[C@@H](CC)N(C)C)C(C)(C)C ZINC000413829093 385884996 /nfs/dbraw/zinc/88/49/96/385884996.db2.gz FJONHIBQRZGCQK-NEPJUHHUSA-N 0 3 242.407 2.658 20 0 BFADHN CSC[C@@H]1CCCN1Cc1ccncc1C ZINC000294707865 385885573 /nfs/dbraw/zinc/88/55/73/385885573.db2.gz FJMVOOAICPFNOO-ZDUSSCGKSA-N 0 3 236.384 2.717 20 0 BFADHN CCN(CCOC)Cc1cc(F)ccc1C ZINC000272237563 385885917 /nfs/dbraw/zinc/88/59/17/385885917.db2.gz FUFVDOGWKMVJGP-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN COc1c(O)cccc1CN1CCCC1(C)C ZINC000272230378 385886190 /nfs/dbraw/zinc/88/61/90/385886190.db2.gz DOYPJWFPSMBAOE-UHFFFAOYSA-N 0 3 235.327 2.775 20 0 BFADHN CC(=O)CCN1CCC=C(c2ccccc2)C1 ZINC000284822787 385886611 /nfs/dbraw/zinc/88/66/11/385886611.db2.gz REMQZGYZIHHUTL-UHFFFAOYSA-N 0 3 229.323 2.755 20 0 BFADHN CO[C@@H]1CN(Cc2ccsc2C)CC[C@H]1C ZINC000294721257 385886999 /nfs/dbraw/zinc/88/69/99/385886999.db2.gz PLADESFGFYHZDD-ZWNOBZJWSA-N 0 3 239.384 2.913 20 0 BFADHN CC(C)[C@@H](CO)N[C@H](C)c1cccc(F)c1F ZINC000232696617 385887419 /nfs/dbraw/zinc/88/74/19/385887419.db2.gz VPORAANYXHWPDC-BXKDBHETSA-N 0 3 243.297 2.632 20 0 BFADHN c1coc(CNCC2CCSCC2)c1 ZINC000123550004 385890426 /nfs/dbraw/zinc/89/04/26/385890426.db2.gz ADCGKOUSEYCKIU-UHFFFAOYSA-N 0 3 211.330 2.512 20 0 BFADHN CCc1ccc(CN2CCC(C)(OC)CC2)o1 ZINC000272325273 385894543 /nfs/dbraw/zinc/89/45/43/385894543.db2.gz CBWLXEUYTCYNFZ-UHFFFAOYSA-N 0 3 237.343 2.843 20 0 BFADHN COC1(C)CCN(Cc2occc2C)CC1 ZINC000272320613 385894570 /nfs/dbraw/zinc/89/45/70/385894570.db2.gz ANZFXXMSRHHCSY-UHFFFAOYSA-N 0 3 223.316 2.589 20 0 BFADHN COc1c(O)cccc1CN1CC[C@@H](C)[C@@H](C)C1 ZINC000272339606 385896358 /nfs/dbraw/zinc/89/63/58/385896358.db2.gz YLLUCSUTZOKXSW-NEPJUHHUSA-N 0 3 249.354 2.879 20 0 BFADHN C[C@@H](CCO)N[C@@H](C)c1ccccc1Cl ZINC000122272008 385899151 /nfs/dbraw/zinc/89/91/51/385899151.db2.gz VAUYGXILLWKZQA-UWVGGRQHSA-N 0 3 227.735 2.762 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1ccncc1C ZINC000294834256 385901023 /nfs/dbraw/zinc/90/10/23/385901023.db2.gz NOSOMBNXJNTSEN-GFCCVEGCSA-N 0 3 238.400 2.963 20 0 BFADHN CCC1(NCc2cnc(OC)s2)CCC1 ZINC000308868463 385902458 /nfs/dbraw/zinc/90/24/58/385902458.db2.gz RLQKDQLZMBAYLE-UHFFFAOYSA-N 0 3 226.345 2.574 20 0 BFADHN Cc1cc(CN(C)CC(C)(C)CO)c(C)s1 ZINC000294841858 385903619 /nfs/dbraw/zinc/90/36/19/385903619.db2.gz RHEVFXHAZCCBSC-UHFFFAOYSA-N 0 3 241.400 2.815 20 0 BFADHN Cc1cnc(CNCC[C@H]2CCCCO2)s1 ZINC000295555102 385904244 /nfs/dbraw/zinc/90/42/44/385904244.db2.gz YPXHKMOHXHOGAR-LLVKDONJSA-N 0 3 240.372 2.500 20 0 BFADHN CCC[C@H](O)CN(C)[C@@H](CC)c1ccncc1 ZINC000294874077 385907624 /nfs/dbraw/zinc/90/76/24/385907624.db2.gz DMHRUDARCJBWDX-KBPBESRZSA-N 0 3 236.359 2.626 20 0 BFADHN FCCCCN1CCc2ccc(F)cc2C1 ZINC000285285855 385912463 /nfs/dbraw/zinc/91/24/63/385912463.db2.gz AUDLVGLMKYSGCK-UHFFFAOYSA-N 0 3 225.282 2.934 20 0 BFADHN C[C@@H](O)[C@@H]1CCN(C/C=C/c2ccc(F)cc2)C1 ZINC000272568335 385913829 /nfs/dbraw/zinc/91/38/29/385913829.db2.gz VJDGFILGPDPDQQ-SDEBIZQBSA-N 0 3 249.329 2.542 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H](C)CC(C)(C)O)c1 ZINC000294946093 385923476 /nfs/dbraw/zinc/92/34/76/385923476.db2.gz CRMLHPONYQDKBR-NEPJUHHUSA-N 0 3 236.359 2.590 20 0 BFADHN CC[C@H](CSC)N[C@@H](CC)c1ccn(C)n1 ZINC000336742083 385923867 /nfs/dbraw/zinc/92/38/67/385923867.db2.gz RSHVIEKDFFQRCJ-MNOVXSKESA-N 0 3 241.404 2.602 20 0 BFADHN CC(C)[C@H](O)C1(CN[C@@H](C)c2cccnc2)CC1 ZINC000271883910 385859336 /nfs/dbraw/zinc/85/93/36/385859336.db2.gz QEICPIKSHYIKHF-JSGCOSHPSA-N 0 3 248.370 2.529 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H]1CCCc2c(O)cccc21 ZINC000285457853 385927513 /nfs/dbraw/zinc/92/75/13/385927513.db2.gz ZSVFANKQBXGMGI-MISXGVKJSA-N 0 3 249.354 2.783 20 0 BFADHN CN(Cc1cnc(C(C)(C)C)nc1)C1CCC1 ZINC000284305003 385861970 /nfs/dbraw/zinc/86/19/70/385861970.db2.gz JBOMDUJAYYUPGC-UHFFFAOYSA-N 0 3 233.359 2.758 20 0 BFADHN C[C@H]1CN(CC2CC2)c2cc(F)ccc2CN1 ZINC000414872115 385862173 /nfs/dbraw/zinc/86/21/73/385862173.db2.gz PFYOCSHNUDOYTL-JTQLQIEISA-N 0 3 234.318 2.534 20 0 BFADHN CCc1ccc(CN2CCC[C@@H]2[C@H]2CCCO2)o1 ZINC000284307959 385862611 /nfs/dbraw/zinc/86/26/11/385862611.db2.gz BZBDGERAOCZKTA-HUUCEWRRSA-N 0 3 249.354 2.985 20 0 BFADHN CC(C)[C@@H](O)CN(Cc1cccs1)C1CC1 ZINC000284374836 385865622 /nfs/dbraw/zinc/86/56/22/385865622.db2.gz ULGXHHNKEOFIJP-ZDUSSCGKSA-N 0 3 239.384 2.729 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCSC2)cs1 ZINC000294582750 385871377 /nfs/dbraw/zinc/87/13/77/385871377.db2.gz QLAYIDDEEIASRB-NSHDSACASA-N 0 3 227.398 2.994 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccco1)[C@H]1CCCOC1 ZINC000336738421 385874571 /nfs/dbraw/zinc/87/45/71/385874571.db2.gz KDTYOMATLVFADG-WOPDTQHZSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC2(C)C)s1 ZINC000284576574 385877838 /nfs/dbraw/zinc/87/78/38/385877838.db2.gz YZXXEOFCJYGUCJ-SECBINFHSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1ccc2nc(CNCC3CCCC3)cn2c1 ZINC000272160799 385880133 /nfs/dbraw/zinc/88/01/33/385880133.db2.gz ZRKKWMRCBGWIMG-UHFFFAOYSA-N 0 3 243.354 2.923 20 0 BFADHN Fc1cccc(CN2CCC[C@H]2[C@H]2CCCO2)c1 ZINC000284734194 385881726 /nfs/dbraw/zinc/88/17/26/385881726.db2.gz KLUGBQKNINQMFU-LSDHHAIUSA-N 0 3 249.329 2.969 20 0 BFADHN CCc1ccc(CNC[C@H]2CCSC2)o1 ZINC000228558733 385882016 /nfs/dbraw/zinc/88/20/16/385882016.db2.gz SETSQUYMLXDOGK-SNVBAGLBSA-N 0 3 225.357 2.685 20 0 BFADHN Fc1cccc(CN2CCC[C@H]2[C@@H]2CCCO2)c1 ZINC000284734190 385882054 /nfs/dbraw/zinc/88/20/54/385882054.db2.gz KLUGBQKNINQMFU-GJZGRUSLSA-N 0 3 249.329 2.969 20 0 BFADHN Fc1cccc(CN2CCC[C@@H]2[C@@H]2CCCO2)c1 ZINC000284734188 385882139 /nfs/dbraw/zinc/88/21/39/385882139.db2.gz KLUGBQKNINQMFU-CABCVRRESA-N 0 3 249.329 2.969 20 0 BFADHN FC(F)(F)[C@H]1CCC[C@H](NCc2cocn2)C1 ZINC000189328879 385978499 /nfs/dbraw/zinc/97/84/99/385978499.db2.gz VZAADDXSAVSAOJ-IUCAKERBSA-N 0 3 248.248 2.885 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCCOCC2)c1 ZINC000285930498 385978823 /nfs/dbraw/zinc/97/88/23/385978823.db2.gz CWTXAPZSWKXXFL-STQMWFEESA-N 0 3 234.343 2.610 20 0 BFADHN CC(C)[C@@](C)(O)CNCc1ccsc1Cl ZINC000336747627 385979301 /nfs/dbraw/zinc/97/93/01/385979301.db2.gz CPFHWJYBMQCCMW-NSHDSACASA-N 0 3 247.791 2.898 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H](C)c2cnccc2C)C1 ZINC000414132514 385979697 /nfs/dbraw/zinc/97/96/97/385979697.db2.gz AQAOXFSCKBTFTQ-UPJWGTAASA-N 0 3 234.343 2.608 20 0 BFADHN CC[C@@H](NC[C@@H]1CC1(C)C)c1nccn1C ZINC000336747970 385980608 /nfs/dbraw/zinc/98/06/08/385980608.db2.gz XPFJEHBVIPSRFL-WDEREUQCSA-N 0 3 221.348 2.507 20 0 BFADHN CC[C@H](N[C@@H]1C=C[C@H](CO)C1)c1cccc(C)c1 ZINC000285989240 385985147 /nfs/dbraw/zinc/98/51/47/385985147.db2.gz IBAZRZDUNKOOFH-IMJJTQAJSA-N 0 3 245.366 2.973 20 0 BFADHN CC[C@@H](C)N1CCN(Cc2ccc(C)o2)CC1 ZINC000295189931 385987821 /nfs/dbraw/zinc/98/78/21/385987821.db2.gz ISJBTCYDMBZLMS-GFCCVEGCSA-N 0 3 236.359 2.504 20 0 BFADHN COC[C@]1(C)CCN(Cc2ccsc2)C1 ZINC000295184449 385988414 /nfs/dbraw/zinc/98/84/14/385988414.db2.gz WGWXZDJLOKJAGL-GFCCVEGCSA-N 0 3 225.357 2.607 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H](C)c2ccsc2)C1 ZINC000414144450 385992003 /nfs/dbraw/zinc/99/20/03/385992003.db2.gz MXTZWEOWDOFABR-JLLWLGSASA-N 0 3 225.357 2.966 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H](C)c2cccc(O)c2)C1 ZINC000414143458 385992199 /nfs/dbraw/zinc/99/21/99/385992199.db2.gz LOVOJIZSDRPWNV-QKCSRTOESA-N 0 3 235.327 2.610 20 0 BFADHN C[C@]12C[C@H]1CCC[C@@H]2NCc1cscn1 ZINC000336746246 385930578 /nfs/dbraw/zinc/93/05/78/385930578.db2.gz BSQSLCOGLMZEGH-USWWRNFRSA-N 0 3 222.357 2.811 20 0 BFADHN CO[C@@H]1CCN(Cc2ccsc2)CC1(C)C ZINC000294972868 385930714 /nfs/dbraw/zinc/93/07/14/385930714.db2.gz JEMXCUKPOWFEIZ-GFCCVEGCSA-N 0 3 239.384 2.995 20 0 BFADHN CC[C@H](NCc1ccsc1C)[C@@H](O)C(F)F ZINC000294968640 385930795 /nfs/dbraw/zinc/93/07/95/385930795.db2.gz FOHPVAWBGQRJPX-VHSXEESVSA-N 0 3 249.326 2.551 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H](C)[C@@H]2CCCCO2)c1 ZINC000285486044 385931795 /nfs/dbraw/zinc/93/17/95/385931795.db2.gz AADOGIFCWBBFFR-YDHLFZDLSA-N 0 3 248.370 2.998 20 0 BFADHN C[C@H](c1ccncc1)N1CCC[C@]2(CCOC2)C1 ZINC000335041356 385933635 /nfs/dbraw/zinc/93/36/35/385933635.db2.gz RTFXZCRGILBNDA-HIFRSBDPSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1C[C@H]1CCCC(F)(F)C1 ZINC000338270999 385934642 /nfs/dbraw/zinc/93/46/42/385934642.db2.gz QNMINAGMLQOTAI-WOPDTQHZSA-N 0 3 247.329 2.921 20 0 BFADHN CO[C@H](C)CN(C)Cc1sccc1C ZINC000272794834 385934819 /nfs/dbraw/zinc/93/48/19/385934819.db2.gz JVOQDSJKJZRRFC-SNVBAGLBSA-N 0 3 213.346 2.523 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1C[C@@H]1CCCC(F)(F)C1 ZINC000338270996 385935798 /nfs/dbraw/zinc/93/57/98/385935798.db2.gz QNMINAGMLQOTAI-GRYCIOLGSA-N 0 3 247.329 2.921 20 0 BFADHN CO[C@@H](C)CN1CCC[C@H]1c1ccc(F)cc1 ZINC000272802992 385937087 /nfs/dbraw/zinc/93/70/87/385937087.db2.gz LMTUWYNCJZYABX-FZMZJTMJSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H]1CN(CCCCCF)[C@@H](C)[C@H](C)O1 ZINC000342177848 385938331 /nfs/dbraw/zinc/93/83/31/385938331.db2.gz WUSPMLWPRRWITH-WOPDTQHZSA-N 0 3 217.328 2.624 20 0 BFADHN Cc1ccoc1CN1CCC(CCCO)CC1 ZINC000272831807 385940732 /nfs/dbraw/zinc/94/07/32/385940732.db2.gz FMLCRSXDGCCHFH-UHFFFAOYSA-N 0 3 237.343 2.573 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](C)c1ccn(C)n1 ZINC000414066475 385942958 /nfs/dbraw/zinc/94/29/58/385942958.db2.gz IARMUIPUASEBGG-GARJFASQSA-N 0 3 209.337 2.505 20 0 BFADHN Cc1ccc2nc(CN[C@H](C)[C@H]3C[C@H]3C)cn2c1 ZINC000414068799 385944890 /nfs/dbraw/zinc/94/48/90/385944890.db2.gz ISYHKRBIVMUFNQ-BZPMIXESSA-N 0 3 243.354 2.777 20 0 BFADHN CCOc1ccccc1CN[C@H]1C[C@H]1C(F)F ZINC000342196092 385945180 /nfs/dbraw/zinc/94/51/80/385945180.db2.gz AJQZZKABQIAADV-MNOVXSKESA-N 0 3 241.281 2.829 20 0 BFADHN CCOc1ccccc1CN[C@H]1C[C@@H]1C(F)F ZINC000342196095 385945403 /nfs/dbraw/zinc/94/54/03/385945403.db2.gz AJQZZKABQIAADV-QWRGUYRKSA-N 0 3 241.281 2.829 20 0 BFADHN Cc1nocc1CN(C)CCc1ccccc1 ZINC000295014623 385945552 /nfs/dbraw/zinc/94/55/52/385945552.db2.gz VZUMOKJFIICHAE-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN C[C@H](NC1[C@H](C)CCC[C@H]1C)c1ccn(C)n1 ZINC000414074211 385947804 /nfs/dbraw/zinc/94/78/04/385947804.db2.gz NTXCGPKKHBCNAW-UTUOFQBUSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H]1CN(C)[C@@H](C)CN1C/C=C/c1ccccc1 ZINC000335051962 385949332 /nfs/dbraw/zinc/94/93/32/385949332.db2.gz OUAPTFFLFXRSGY-PAVULQLHSA-N 0 3 244.382 2.724 20 0 BFADHN CC[C@@H](N[C@@H](C)c1ccn(C)n1)C(C)(C)C ZINC000414079111 385950724 /nfs/dbraw/zinc/95/07/24/385950724.db2.gz BWQYXQFHVNCMKS-CMPLNLGQSA-N 0 3 223.364 2.895 20 0 BFADHN COCc1ccc(CN[C@@H]2CC[C@H]3C[C@H]3C2)o1 ZINC000403205935 385953044 /nfs/dbraw/zinc/95/30/44/385953044.db2.gz GXTBOSLSKGMFFP-SDDRHHMPSA-N 0 3 235.327 2.704 20 0 BFADHN C[C@@H](NC[C@@H]1CC=CCC1)c1cc2n(n1)CCC2 ZINC000414080720 385953274 /nfs/dbraw/zinc/95/32/74/385953274.db2.gz USZWLWLGVYPVIU-CHWSQXEVSA-N 0 3 245.370 2.836 20 0 BFADHN CC/C=C/CCN1CCN(C2CCC2)CC1 ZINC000342250032 385953680 /nfs/dbraw/zinc/95/36/80/385953680.db2.gz OWIZKMHOCONEIM-ONEGZZNKSA-N 0 3 222.376 2.513 20 0 BFADHN CC/C=C/CCN1C[C@H](C)OC[C@H]1CC ZINC000342242860 385953839 /nfs/dbraw/zinc/95/38/39/385953839.db2.gz WCYNUAAFNAFHSA-VFZNBBLXSA-N 0 3 211.349 2.842 20 0 BFADHN CC/C=C/CCN1C[C@H](C)OC[C@@H]1CC ZINC000342242862 385953853 /nfs/dbraw/zinc/95/38/53/385953853.db2.gz WCYNUAAFNAFHSA-XKZLPGLHSA-N 0 3 211.349 2.842 20 0 BFADHN CC[C@H](CO)N(C)Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000295052595 385955604 /nfs/dbraw/zinc/95/56/04/385955604.db2.gz FWFMTUPQWLYYSU-DMDPSCGWSA-N 0 3 237.343 2.606 20 0 BFADHN CCN(C)Cc1cc(Cl)cc2c1OCC2 ZINC000272951767 385955952 /nfs/dbraw/zinc/95/59/52/385955952.db2.gz KPDVFWCGEVDFTA-UHFFFAOYSA-N 0 3 225.719 2.727 20 0 BFADHN C[C@@H](NC[C@H]1CC1(C)C)c1cc2n(n1)CCC2 ZINC000414091921 385957198 /nfs/dbraw/zinc/95/71/98/385957198.db2.gz LILINXSYEWGVPV-GHMZBOCLSA-N 0 3 233.359 2.526 20 0 BFADHN FCCCCN(Cc1ccncc1)C1CC1 ZINC000285699503 385957776 /nfs/dbraw/zinc/95/77/76/385957776.db2.gz RNRXCCMUUPDRFZ-UHFFFAOYSA-N 0 3 222.307 2.796 20 0 BFADHN COC[C@H](N[C@H](C)[C@H]1C[C@H]1C)c1ccc(C)o1 ZINC000414097687 385961409 /nfs/dbraw/zinc/96/14/09/385961409.db2.gz ILDHRYUZBNCDQL-XEZLXBQYSA-N 0 3 237.343 2.910 20 0 BFADHN Cc1ccnc([C@H](C)NCCc2ccccn2)c1 ZINC000285756395 385961864 /nfs/dbraw/zinc/96/18/64/385961864.db2.gz RYJSJPNHNKIYRX-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN CCSCC[C@H](C)N[C@H](C)c1ccn(C)n1 ZINC000414096737 385961792 /nfs/dbraw/zinc/96/17/92/385961792.db2.gz HXXTZXAQLBJDPI-WDEREUQCSA-N 0 3 241.404 2.602 20 0 BFADHN CC[C@@H](NCC(C)(C)CC)c1ccn(C)n1 ZINC000336727117 385961923 /nfs/dbraw/zinc/96/19/23/385961923.db2.gz GLLVCZHBRMXPLS-LLVKDONJSA-N 0 3 223.364 2.897 20 0 BFADHN CS[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC000295094785 385963316 /nfs/dbraw/zinc/96/33/16/385963316.db2.gz RZOJMNPZRJMJGT-GFCCVEGCSA-N 0 3 225.332 2.763 20 0 BFADHN CC[C@H](N[C@H](C)c1ccccc1)[C@@H](O)C(F)F ZINC000295088975 385963912 /nfs/dbraw/zinc/96/39/12/385963912.db2.gz RISBFMOFZUTXKM-ADEWGFFLSA-N 0 3 243.297 2.742 20 0 BFADHN Cc1ccnc([C@@H](C)NCCCOC(C)C)c1 ZINC000285800168 385965537 /nfs/dbraw/zinc/96/55/37/385965537.db2.gz VHAPWWBUKQNHQC-CYBMUJFWSA-N 0 3 236.359 2.856 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1ccc(OC)cc1C ZINC000414105529 385966300 /nfs/dbraw/zinc/96/63/00/385966300.db2.gz POUYCJHSNJANPI-VXGBXAGGSA-N 0 3 237.343 2.689 20 0 BFADHN CC(C)(C)c1n[nH]cc1CNCCc1ccco1 ZINC000338339027 385969316 /nfs/dbraw/zinc/96/93/16/385969316.db2.gz UGRJNVNGKNEOPE-UHFFFAOYSA-N 0 3 247.342 2.633 20 0 BFADHN CC(C)C[C@@H](NC[C@H]1C[C@@H](O)C1)c1ccccn1 ZINC000643251900 385972552 /nfs/dbraw/zinc/97/25/52/385972552.db2.gz SLLXLZHUMRTXDF-VNHYZAJKSA-N 0 3 248.370 2.529 20 0 BFADHN CCc1ccc(CN[C@]2(C)CCO[C@@H]2C2CC2)o1 ZINC000273134973 385973203 /nfs/dbraw/zinc/97/32/03/385973203.db2.gz NGKIRUHISCKVBU-HUUCEWRRSA-N 0 3 249.354 2.889 20 0 BFADHN COc1ccc([C@@H](C)NCCF)c(C)c1 ZINC000414149335 385998066 /nfs/dbraw/zinc/99/80/66/385998066.db2.gz OHDGHWBJWOKXIP-SNVBAGLBSA-N 0 3 211.280 2.624 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCCC1)c1ccn(C)n1 ZINC000414150966 385999628 /nfs/dbraw/zinc/99/96/28/385999628.db2.gz PLKFMFAHPFXVEM-WDEREUQCSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@H](N[C@@H](C)C1CCCC1)c1ccn(C)n1 ZINC000414150964 385999992 /nfs/dbraw/zinc/99/99/92/385999992.db2.gz PLKFMFAHPFXVEM-QWRGUYRKSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H]1C)c1cc2n(n1)CCC2 ZINC000414153031 386001910 /nfs/dbraw/zinc/00/19/10/386001910.db2.gz QTSLGCWYBYIFOP-AVGNSLFASA-N 0 3 247.386 2.916 20 0 BFADHN Cc1sccc1CN(C)[C@H]1CCSC1 ZINC000295266060 386003321 /nfs/dbraw/zinc/00/33/21/386003321.db2.gz HGRZEWATSAVSOA-NSHDSACASA-N 0 3 227.398 2.994 20 0 BFADHN CO[C@H]1CC[C@@H](NCc2ccccc2Cl)C1 ZINC000190945439 386005376 /nfs/dbraw/zinc/00/53/76/386005376.db2.gz BXRYPISSXSWGAF-NEPJUHHUSA-N 0 3 239.746 2.997 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@@H](C)c1ccn(C)n1 ZINC000414156419 386005968 /nfs/dbraw/zinc/00/59/68/386005968.db2.gz WMAIWUMTDWXUJS-FPMFFAJLSA-N 0 3 235.375 2.895 20 0 BFADHN COC[C@H](N[C@@H]1C[C@H](C)[C@H]1C)c1ccco1 ZINC000336770545 386007863 /nfs/dbraw/zinc/00/78/63/386007863.db2.gz TWKBELONXCSKPW-QCNOEVLYSA-N 0 3 223.316 2.601 20 0 BFADHN C[C@H](NCC(C)(C)CCCO)c1cscn1 ZINC000221609825 386010633 /nfs/dbraw/zinc/01/06/33/386010633.db2.gz NTBYUDOVUQPZDL-JTQLQIEISA-N 0 3 242.388 2.592 20 0 BFADHN C[C@@H](NCC(C)(C)CCCO)c1nccs1 ZINC000221588780 386010926 /nfs/dbraw/zinc/01/09/26/386010926.db2.gz ATIGURZQSVIKEU-SNVBAGLBSA-N 0 3 242.388 2.592 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@H](C)CC1CC1 ZINC000188006012 386017532 /nfs/dbraw/zinc/01/75/32/386017532.db2.gz HPJQGWYTBXDQBQ-MWLCHTKSSA-N 0 3 219.332 2.624 20 0 BFADHN CCC[C@](C)(O)CN[C@H](C)c1cc(C)ccn1 ZINC000286305870 386020312 /nfs/dbraw/zinc/02/03/12/386020312.db2.gz WYNBYZBWOUMTAS-OCCSQVGLSA-N 0 3 236.359 2.592 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1ccnc(OC)n1 ZINC000295375808 386020353 /nfs/dbraw/zinc/02/03/53/386020353.db2.gz JZSFOYHPBSXKNG-CYBMUJFWSA-N 0 3 249.358 2.640 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@H]1CC(C)C)c1ccn(C)n1 ZINC000414177796 386020922 /nfs/dbraw/zinc/02/09/22/386020922.db2.gz JGXHNRBBOHPJFA-YRGRVCCFSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H](C)O[C@H]1C)c1ccncc1 ZINC000295364062 386021175 /nfs/dbraw/zinc/02/11/75/386021175.db2.gz JMPFCLPOFVDBNG-AUZPSNTRSA-N 0 3 234.343 2.688 20 0 BFADHN CC[C@H](N[C@@H]1C[C@@H](C)O[C@@H]1C)c1ccncc1 ZINC000295364065 386021468 /nfs/dbraw/zinc/02/14/68/386021468.db2.gz JMPFCLPOFVDBNG-MHDGFBEUSA-N 0 3 234.343 2.688 20 0 BFADHN Cc1ncc(CN[C@H]2CC[C@@H]2C(C)(C)C)o1 ZINC000414182268 386022115 /nfs/dbraw/zinc/02/21/15/386022115.db2.gz MVYHIBFVKSVXEO-RYUDHWBXSA-N 0 3 222.332 2.897 20 0 BFADHN CCC[C@H](C)NCc1cn2ccccc2n1 ZINC000020040772 386023238 /nfs/dbraw/zinc/02/32/38/386023238.db2.gz PYRZWDXNDJALIG-NSHDSACASA-N 0 3 217.316 2.613 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@H]1C1CC1)c1cnccn1 ZINC000414181051 386023379 /nfs/dbraw/zinc/02/33/79/386023379.db2.gz BSDAKTMCTRNPFP-YUTCNCBUSA-N 0 3 245.370 2.954 20 0 BFADHN COCc1ccc(CNC[C@H]2CCC=CO2)cc1 ZINC000189564240 386024180 /nfs/dbraw/zinc/02/41/80/386024180.db2.gz MNJDWZYIZXZICW-OAHLLOKOSA-N 0 3 247.338 2.615 20 0 BFADHN CC[C@H](C)CN[C@@H](C)c1ccncc1OC ZINC000189565515 386025081 /nfs/dbraw/zinc/02/50/81/386025081.db2.gz OKAVGNOOVRVEQJ-QWRGUYRKSA-N 0 3 222.332 2.787 20 0 BFADHN CC[C@H](C)CN[C@H](C)c1ccncc1OC ZINC000189565555 386025525 /nfs/dbraw/zinc/02/55/25/386025525.db2.gz OKAVGNOOVRVEQJ-WDEREUQCSA-N 0 3 222.332 2.787 20 0 BFADHN CC[C@H]1CCCN(Cc2conc2C)C1 ZINC000295394329 386026165 /nfs/dbraw/zinc/02/61/65/386026165.db2.gz QCMOOMLRVVWDBS-NSHDSACASA-N 0 3 208.305 2.605 20 0 BFADHN Cc1cnc([C@@H](C)N2CCC(C)(C)C2)cn1 ZINC000334422194 386028323 /nfs/dbraw/zinc/02/83/23/386028323.db2.gz BTGLSWGXCAAFLD-LLVKDONJSA-N 0 3 219.332 2.578 20 0 BFADHN Cc1cnc([C@H](C)N2CCC(C)(C)C2)cn1 ZINC000334422195 386029013 /nfs/dbraw/zinc/02/90/13/386029013.db2.gz BTGLSWGXCAAFLD-NSHDSACASA-N 0 3 219.332 2.578 20 0 BFADHN CCC1(CNCc2cncs2)CCC1 ZINC000124365348 386032090 /nfs/dbraw/zinc/03/20/90/386032090.db2.gz IGVWEHZRDSXHIM-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN CCCCCN1CCC[C@H]1[C@H](O)C(F)(F)F ZINC000117881276 386033111 /nfs/dbraw/zinc/03/31/11/386033111.db2.gz FLCKHWNJUAKOGK-UWVGGRQHSA-N 0 3 239.281 2.564 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H]1C1CC1)c1nccn1C ZINC000414197665 386035676 /nfs/dbraw/zinc/03/56/76/386035676.db2.gz PSSWCINGBPOXED-FPMFFAJLSA-N 0 3 247.386 2.897 20 0 BFADHN Fc1cncc(CNC[C@H]2CCCC2(F)F)c1 ZINC000390895269 386035808 /nfs/dbraw/zinc/03/58/08/386035808.db2.gz QYBXOCFWNHGDQY-SNVBAGLBSA-N 0 3 244.260 2.746 20 0 BFADHN CCC[C@@H](CC)NCc1ccn(CC)n1 ZINC000414204157 386041195 /nfs/dbraw/zinc/04/11/95/386041195.db2.gz FQVXRIKZFYHHHE-LLVKDONJSA-N 0 3 209.337 2.571 20 0 BFADHN CC[C@H](CNCc1ccc(SC)s1)OC ZINC000336771493 386042214 /nfs/dbraw/zinc/04/22/14/386042214.db2.gz WVIYMEYTMFYNNE-SECBINFHSA-N 0 3 245.413 2.985 20 0 BFADHN C[C@@H](NC[C@@H]1C[C@H]1C1CC1)c1cc2n(n1)CCC2 ZINC000414156973 386042716 /nfs/dbraw/zinc/04/27/16/386042716.db2.gz XBOKSOYLYNRYAY-OSMZGAPFSA-N 0 3 245.370 2.526 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@H]1C1CC1)c1ccncn1 ZINC000414204920 386042763 /nfs/dbraw/zinc/04/27/63/386042763.db2.gz WVCVHFQHHUJCKV-YUTCNCBUSA-N 0 3 245.370 2.954 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCCO2)CCC1(F)F ZINC000334429402 386043241 /nfs/dbraw/zinc/04/32/41/386043241.db2.gz UEFRKGJDADUJRF-GHMZBOCLSA-N 0 3 233.302 2.533 20 0 BFADHN C[C@@H](N[C@H]1CCc2ccccc21)c1cn[nH]c1 ZINC000222461499 386045930 /nfs/dbraw/zinc/04/59/30/386045930.db2.gz IJWVKWDSRQMZBE-YGRLFVJLSA-N 0 3 227.311 2.748 20 0 BFADHN C[C@H](N[C@H]1CCc2ccccc21)c1cn[nH]c1 ZINC000222461561 386046806 /nfs/dbraw/zinc/04/68/06/386046806.db2.gz IJWVKWDSRQMZBE-HZMBPMFUSA-N 0 3 227.311 2.748 20 0 BFADHN Cc1ncc(CN[C@H]2CCC[C@@H]2CCCF)o1 ZINC000414167782 386050715 /nfs/dbraw/zinc/05/07/15/386050715.db2.gz HECWHTHRHOYOCF-YPMHNXCESA-N 0 3 240.322 2.991 20 0 BFADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1ccncc1F ZINC000414236925 386052490 /nfs/dbraw/zinc/05/24/90/386052490.db2.gz WFJQGPRCIBGGKO-KXUCPTDWSA-N 0 3 240.347 2.765 20 0 BFADHN C[C@@H](CO)CCCN[C@@H](C)c1ccccc1F ZINC000222576616 386054305 /nfs/dbraw/zinc/05/43/05/386054305.db2.gz JISVXKMHCYMTTP-NEPJUHHUSA-N 0 3 239.334 2.885 20 0 BFADHN C[C@@H](NCCC[C@H](C)CO)c1ccccc1F ZINC000222576805 386055464 /nfs/dbraw/zinc/05/54/64/386055464.db2.gz JISVXKMHCYMTTP-NWDGAFQWSA-N 0 3 239.334 2.885 20 0 BFADHN C[C@@H](CO)CCCN[C@H](C)c1ccccc1F ZINC000222576741 386055537 /nfs/dbraw/zinc/05/55/37/386055537.db2.gz JISVXKMHCYMTTP-VXGBXAGGSA-N 0 3 239.334 2.885 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]1C)c1ccn(C)n1 ZINC000414156670 385996924 /nfs/dbraw/zinc/99/69/24/385996924.db2.gz VFDYIJUPCBHAIJ-WOPDTQHZSA-N 0 3 221.348 2.507 20 0 BFADHN CCc1cccc(F)c1CNc1nccn1C ZINC000414296661 386102843 /nfs/dbraw/zinc/10/28/43/386102843.db2.gz GMHULNAARIUQQR-UHFFFAOYSA-N 0 3 233.290 2.734 20 0 BFADHN CC(C)[C@@H]1CN([C@@H](C)c2ccncc2)CCCO1 ZINC000645273349 386103071 /nfs/dbraw/zinc/10/30/71/386103071.db2.gz BTQHRPAKWAYKLH-ZFWWWQNUSA-N 0 3 248.370 2.890 20 0 BFADHN Cc1cc(C)c(CNc2nccn2C)cc1C ZINC000414294746 386104111 /nfs/dbraw/zinc/10/41/11/386104111.db2.gz DTTDBIIUMBWPMQ-UHFFFAOYSA-N 0 3 229.327 2.957 20 0 BFADHN COc1c(C)cc(CNc2nccn2C)cc1C ZINC000414301423 386108731 /nfs/dbraw/zinc/10/87/31/386108731.db2.gz MAQVVKGKWOUHSZ-UHFFFAOYSA-N 0 3 245.326 2.658 20 0 BFADHN CO[C@@H]1CCN([C@@H](C)c2cccnc2)CC1(C)C ZINC000295939189 386108863 /nfs/dbraw/zinc/10/88/63/386108863.db2.gz IRYGENPEFRIMGE-GXTWGEPZSA-N 0 3 248.370 2.890 20 0 BFADHN CO[C@@H]1CCN([C@H](C)c2cccnc2)CC1(C)C ZINC000295939208 386109809 /nfs/dbraw/zinc/10/98/09/386109809.db2.gz IRYGENPEFRIMGE-TZMCWYRMSA-N 0 3 248.370 2.890 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H]2CCCCO2)o1 ZINC000037979131 386112480 /nfs/dbraw/zinc/11/24/80/386112480.db2.gz QXPOWIXJNFOXRA-VXGBXAGGSA-N 0 3 223.316 2.808 20 0 BFADHN Cn1cc(CN2CCCC2(C)C)c(C(F)F)n1 ZINC000274511120 386113574 /nfs/dbraw/zinc/11/35/74/386113574.db2.gz VHTJLIZQINLEFV-UHFFFAOYSA-N 0 3 243.301 2.732 20 0 BFADHN C[C@H](NCCCCCO)c1cccc(F)c1F ZINC000223941001 386115115 /nfs/dbraw/zinc/11/51/15/386115115.db2.gz JLUSIIZDJZGKSD-JTQLQIEISA-N 0 3 243.297 2.778 20 0 BFADHN COC1([C@H](C)N[C@@H](C)c2ccco2)CCC1 ZINC000414314160 386115937 /nfs/dbraw/zinc/11/59/37/386115937.db2.gz KYQFNYFULMTUDS-QWRGUYRKSA-N 0 3 223.316 2.888 20 0 BFADHN CC1CCC(N[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000334460206 386117638 /nfs/dbraw/zinc/11/76/38/386117638.db2.gz UIJHMAWTSMYABN-KGPNIALWSA-N 0 3 233.359 2.955 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H]2CCCOC2)o1 ZINC000038043587 386118504 /nfs/dbraw/zinc/11/85/04/386118504.db2.gz GUKZSKJQXFFDNQ-RYUDHWBXSA-N 0 3 223.316 2.665 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@H]1CCc2c1cccc2F ZINC000274570901 386119799 /nfs/dbraw/zinc/11/97/99/386119799.db2.gz AXQXFRGUEUKJHW-RTBIOQKDSA-N 0 3 249.329 2.730 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2C[C@@H](C)O[C@H]2C)c1 ZINC000295651393 386066570 /nfs/dbraw/zinc/06/65/70/386066570.db2.gz ITOZNTZCIMMWJU-GRYCIOLGSA-N 0 3 220.316 2.676 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)no1 ZINC000414212946 386068085 /nfs/dbraw/zinc/06/80/85/386068085.db2.gz QLVSERHKXMIMEX-WYUUTHIRSA-N 0 3 220.316 2.822 20 0 BFADHN CCn1ccc(CN[C@H](C)C2CCCC2)n1 ZINC000414213679 386068655 /nfs/dbraw/zinc/06/86/55/386068655.db2.gz UXCYDGFZXWYPER-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H](C)c1cnccc1C ZINC000414215628 386069882 /nfs/dbraw/zinc/06/98/82/386069882.db2.gz URZKNAUACFZDAI-YUTCNCBUSA-N 0 3 234.343 2.608 20 0 BFADHN CCn1ccc(CN[C@@H](C)CCC(C)C)n1 ZINC000414215454 386071482 /nfs/dbraw/zinc/07/14/82/386071482.db2.gz XXYJDTKLZSMTNL-LBPRGKRZSA-N 0 3 223.364 2.817 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1cnccc1C(C)(C)C ZINC000414225236 386074820 /nfs/dbraw/zinc/07/48/20/386074820.db2.gz INTSKCWRRKXUNM-RISCZKNCSA-N 0 3 248.370 2.646 20 0 BFADHN CCSCCN[C@H](C)c1ccncc1F ZINC000336772199 386075898 /nfs/dbraw/zinc/07/58/98/386075898.db2.gz XRUXDTOCXGPPNH-SECBINFHSA-N 0 3 228.336 2.624 20 0 BFADHN CC[C@@H](C)N1CCOc2cc(OC)ccc2C1 ZINC000338633956 386080794 /nfs/dbraw/zinc/08/07/94/386080794.db2.gz SUHUYHGVHSNIII-LLVKDONJSA-N 0 3 235.327 2.688 20 0 BFADHN CCC[C@H](N)c1cn(CCC2CCCC2)nn1 ZINC000310073811 386080750 /nfs/dbraw/zinc/08/07/50/386080750.db2.gz QIIVPLYRXIMYEO-LBPRGKRZSA-N 0 3 236.363 2.658 20 0 BFADHN CCN1CCC[C@@H](c2nc(C)c(C)s2)C1 ZINC000334446156 386081482 /nfs/dbraw/zinc/08/14/82/386081482.db2.gz GYVUHVYFWKMXRF-LLVKDONJSA-N 0 3 224.373 2.959 20 0 BFADHN C[C@H](NCC(C)(C)OCC1CC1)c1ccncc1 ZINC000414258873 386082922 /nfs/dbraw/zinc/08/29/22/386082922.db2.gz HQTSTKFJVFZZKX-LBPRGKRZSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ccc2c(c1)[C@H](NC[C@H]1CCSC1)CO2 ZINC000373300494 386083177 /nfs/dbraw/zinc/08/31/77/386083177.db2.gz BLTDKQPLVVVZOX-DGCLKSJQSA-N 0 3 249.379 2.771 20 0 BFADHN C[C@@H](NCC1(C2CC2)CCC1)c1ccncn1 ZINC000391418902 386083464 /nfs/dbraw/zinc/08/34/64/386083464.db2.gz AWAWFWDZJAYQSG-LLVKDONJSA-N 0 3 231.343 2.708 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H]2NCCOCC1CC1 ZINC000223377892 386084019 /nfs/dbraw/zinc/08/40/19/386084019.db2.gz HWFUESSBWUVIMI-HNNXBMFYSA-N 0 3 249.329 2.829 20 0 BFADHN COC[C@H](CC(C)C)N[C@@H]1CCC[C@H]1F ZINC000340522353 386122825 /nfs/dbraw/zinc/12/28/25/386122825.db2.gz HZWIKZTUOWGZKK-QJPTWQEYSA-N 0 3 217.328 2.528 20 0 BFADHN CC[C@H](N[C@H]1C[C@@H](O)C1)c1ccc(Cl)s1 ZINC000295837310 386091659 /nfs/dbraw/zinc/09/16/59/386091659.db2.gz DCRMLLSXSLAWDI-YIZRAAEISA-N 0 3 245.775 2.966 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1F)c1cccc(CO)c1 ZINC000340524878 386123023 /nfs/dbraw/zinc/12/30/23/386123023.db2.gz UEGRMDXAMZOMER-BPNCWPANSA-N 0 3 237.318 2.720 20 0 BFADHN Cc1ccncc1CN[C@@H]1CC(C)(C)OC1(C)C ZINC000162658615 386092368 /nfs/dbraw/zinc/09/23/68/386092368.db2.gz PTOLRUUANBBAKE-CYBMUJFWSA-N 0 3 248.370 2.826 20 0 BFADHN COC[C@H](NCCC(F)(F)F)c1ccccc1 ZINC000223716509 386093021 /nfs/dbraw/zinc/09/30/21/386093021.db2.gz JDRVXVWMWWGBPR-NSHDSACASA-N 0 3 247.260 2.916 20 0 BFADHN CC[C@H](NCc1nnc(C2CC2)s1)C1CC1 ZINC000414330105 386123222 /nfs/dbraw/zinc/12/32/22/386123222.db2.gz RNHYCEJDZWHEPW-JTQLQIEISA-N 0 3 237.372 2.694 20 0 BFADHN Cc1cc(CN2CC3(C2)CCOCC3)cs1 ZINC000335152133 386144724 /nfs/dbraw/zinc/14/47/24/386144724.db2.gz BHDSKLPGJUFSBX-UHFFFAOYSA-N 0 3 237.368 2.669 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1cc(C)n(C)n1 ZINC000414357266 386145048 /nfs/dbraw/zinc/14/50/48/386145048.db2.gz IYIZBNUPARBGQG-GHMZBOCLSA-N 0 3 223.364 2.643 20 0 BFADHN Cc1cc(CNC2CCC(C)(C)CC2)nn1C ZINC000414359387 386146279 /nfs/dbraw/zinc/14/62/79/386146279.db2.gz OAYXKRRLVMJWPB-UHFFFAOYSA-N 0 3 235.375 2.787 20 0 BFADHN CCOCCC1(CNCc2ccoc2C)CC1 ZINC000414359632 386147348 /nfs/dbraw/zinc/14/73/48/386147348.db2.gz KPGVBEUWIMXJIS-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN CO[C@H]1CCN(Cc2cncc(C)c2)CC1(C)C ZINC000296191168 386148174 /nfs/dbraw/zinc/14/81/74/386148174.db2.gz VPQVNVHPFUUDDK-AWEZNQCLSA-N 0 3 248.370 2.637 20 0 BFADHN COc1ccncc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000287797352 386148441 /nfs/dbraw/zinc/14/84/41/386148441.db2.gz OJMKHEODSFHOCT-JSGCOSHPSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1cc(CNCC2(C)CCCCC2)nn1C ZINC000414364676 386149187 /nfs/dbraw/zinc/14/91/87/386149187.db2.gz BGIRADJZCCAGQR-UHFFFAOYSA-N 0 3 235.375 2.789 20 0 BFADHN CCc1nc(C)c(CN(C)[C@@H](C)CC)o1 ZINC000428393146 386189977 /nfs/dbraw/zinc/18/99/77/386189977.db2.gz XAZROKWKVBUNOM-VIFPVBQESA-N 0 3 210.321 2.776 20 0 BFADHN Cc1cc(C)nc(N[C@H](C)COC(C)C)c1 ZINC000336729871 386154718 /nfs/dbraw/zinc/15/47/18/386154718.db2.gz DJVBEBIAIQCZRV-GFCCVEGCSA-N 0 3 222.332 2.924 20 0 BFADHN CCCN(Cc1cnc(CC)nc1)CC1CC1 ZINC000414368760 386156024 /nfs/dbraw/zinc/15/60/24/386156024.db2.gz SNVHVWNQGVHPIY-UHFFFAOYSA-N 0 3 233.359 2.661 20 0 BFADHN C[C@H](NCCCNc1ccccc1)c1cn[nH]c1 ZINC000453106759 386158822 /nfs/dbraw/zinc/15/88/22/386158822.db2.gz FAGFQZAEVOAACA-LBPRGKRZSA-N 0 3 244.342 2.563 20 0 BFADHN CC[C@H](C)N(C)C(=O)Nc1ccccc1CNC ZINC000415903878 386158825 /nfs/dbraw/zinc/15/88/25/386158825.db2.gz RASNSRTWOVBIQO-NSHDSACASA-N 0 3 249.358 2.668 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCC[C@@H]2C)n(C)n1 ZINC000335297078 386159648 /nfs/dbraw/zinc/15/96/48/386159648.db2.gz BTZHNDRKYHSDGT-SMDDNHRTSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@H](CN[C@H](C)c1cccc(F)c1F)N(C)C ZINC000224984959 386162135 /nfs/dbraw/zinc/16/21/35/386162135.db2.gz LBTADFXWFXFUPB-NXEZZACHSA-N 0 3 242.313 2.566 20 0 BFADHN C[C@@H](CO)NCc1ccc(C(C)(C)C)s1 ZINC000124830073 386162955 /nfs/dbraw/zinc/16/29/55/386162955.db2.gz DZOOQHVNDSFHRM-VIFPVBQESA-N 0 3 227.373 2.516 20 0 BFADHN CC[C@H](C)N(C)C(=O)Nc1cccc(CNC)c1 ZINC000415917618 386163421 /nfs/dbraw/zinc/16/34/21/386163421.db2.gz JJBXPVASKRYEMH-NSHDSACASA-N 0 3 249.358 2.668 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cc(OC)ccn1 ZINC000274943272 386163661 /nfs/dbraw/zinc/16/36/61/386163661.db2.gz FPOPIFDGIHDOEV-FZMZJTMJSA-N 0 3 234.343 2.711 20 0 BFADHN CC(C)(C)NCc1nc(-c2ccccc2)c[nH]1 ZINC000069236485 386167262 /nfs/dbraw/zinc/16/72/62/386167262.db2.gz BLBKIPWTDVYRRE-UHFFFAOYSA-N 0 3 229.327 2.965 20 0 BFADHN CC(C)(C)CCNCc1cc(C(F)(F)F)n[nH]1 ZINC000440813997 386168291 /nfs/dbraw/zinc/16/82/91/386168291.db2.gz XWVNLJZITJHCQJ-UHFFFAOYSA-N 0 3 249.280 2.954 20 0 BFADHN C[C@@H](O)C[C@@H](C)NCc1ccc(F)c(Cl)c1 ZINC000164615014 386171480 /nfs/dbraw/zinc/17/14/80/386171480.db2.gz DOQRONBTWZHUQG-RKDXNWHRSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@H](CCC(C)(C)C)C(=O)N[C@@H](C)CN(C)C ZINC000346229239 386176611 /nfs/dbraw/zinc/17/66/11/386176611.db2.gz RYNJEDAVQVKLRZ-NEPJUHHUSA-N 0 3 242.407 2.515 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000296514435 386178742 /nfs/dbraw/zinc/17/87/42/386178742.db2.gz PQBFLISSZFTIGI-OWTLIXCDSA-N 0 3 249.354 2.912 20 0 BFADHN CC[C@@H](NCC[C@H]1CCOC1)c1nccs1 ZINC000336742460 386179367 /nfs/dbraw/zinc/17/93/67/386179367.db2.gz SDOYFYNRIZBKAQ-WDEREUQCSA-N 0 3 240.372 2.610 20 0 BFADHN CC[C@H](O)CN(CC)Cc1ccc(Cl)cc1 ZINC000338936907 386183115 /nfs/dbraw/zinc/18/31/15/386183115.db2.gz RMYHBYWPDJIJNG-ZDUSSCGKSA-N 0 3 241.762 2.933 20 0 BFADHN CC(C)=CCC[C@H](C)N[C@@H](C)c1ccc(=O)[nH]n1 ZINC000296526837 386183215 /nfs/dbraw/zinc/18/32/15/386183215.db2.gz QFZHYUOWQWNNTP-RYUDHWBXSA-N 0 3 249.358 2.555 20 0 BFADHN CCc1cc(OC)ccc1CN1CC(C)C1 ZINC000338951774 386183870 /nfs/dbraw/zinc/18/38/70/386183870.db2.gz BDMIKFIVJPCHTB-UHFFFAOYSA-N 0 3 219.328 2.709 20 0 BFADHN CCC[C@H](O)CN1CCc2sccc2[C@H]1C ZINC000296565544 386183840 /nfs/dbraw/zinc/18/38/40/386183840.db2.gz PZSYFZVPUZIYKF-MNOVXSKESA-N 0 3 239.384 2.828 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H](C)CC(C)(C)O ZINC000296567161 386184405 /nfs/dbraw/zinc/18/44/05/386184405.db2.gz SRCQIWCLJVGGSD-NWDGAFQWSA-N 0 3 236.359 2.590 20 0 BFADHN CCC1(N[C@@H](C)c2ccc(F)cn2)CC1 ZINC000296556203 386184882 /nfs/dbraw/zinc/18/48/82/386184882.db2.gz RXDDZZBFLONNQQ-VIFPVBQESA-N 0 3 208.280 2.814 20 0 BFADHN CCC[C@@H](O)CN1CCc2sccc2[C@@H]1C ZINC000296565548 386185885 /nfs/dbraw/zinc/18/58/85/386185885.db2.gz PZSYFZVPUZIYKF-WDEREUQCSA-N 0 3 239.384 2.828 20 0 BFADHN O[C@H]1CCC[C@@H](CNCc2ccc(Cl)o2)C1 ZINC000164668537 386188141 /nfs/dbraw/zinc/18/81/41/386188141.db2.gz COZYRPWXRFAMIA-ZJUUUORDSA-N 0 3 243.734 2.574 20 0 BFADHN CCc1ncc(CN2CCC[C@H]2C(C)C)cn1 ZINC000414375197 386188814 /nfs/dbraw/zinc/18/88/14/386188814.db2.gz YBZGAZMFRCNPSM-ZDUSSCGKSA-N 0 3 233.359 2.659 20 0 BFADHN C[C@H](CC(=O)OC(C)(C)C)N[C@@H]1CCC[C@@H]1F ZINC000340525557 386126003 /nfs/dbraw/zinc/12/60/03/386126003.db2.gz NEGJAPMPYBMDEG-OUAUKWLOSA-N 0 3 245.338 2.587 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@H]1c1ccco1)c1cnn(C)c1 ZINC000414333774 386127180 /nfs/dbraw/zinc/12/71/80/386127180.db2.gz HTDKVMFPWLUNFJ-JHJVBQTASA-N 0 3 245.326 2.610 20 0 BFADHN COCC[C@H](C)N[C@@H](C)c1ncc(C)s1 ZINC000124711552 386136885 /nfs/dbraw/zinc/13/68/85/386136885.db2.gz GNLVGDVMQQBSBO-WPRPVWTQSA-N 0 3 228.361 2.527 20 0 BFADHN CCC[C@@](C)(O)CN[C@H](C)c1cncc(C)c1 ZINC000274653092 386137163 /nfs/dbraw/zinc/13/71/63/386137163.db2.gz VHYCIIJEAIPAIN-TZMCWYRMSA-N 0 3 236.359 2.592 20 0 BFADHN CCCc1ccc(CN(C)[C@@H]2CCOC2)cc1 ZINC000353421169 386139730 /nfs/dbraw/zinc/13/97/30/386139730.db2.gz ZFBRQSLVXBRMRP-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cccc(C)c1F ZINC000296149356 386141849 /nfs/dbraw/zinc/14/18/49/386141849.db2.gz VVLIATFUBAMTLI-GHMZBOCLSA-N 0 3 225.307 2.647 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CC[C@H](O)[C@H](C)C2)o1 ZINC000190050543 386142324 /nfs/dbraw/zinc/14/23/24/386142324.db2.gz FEYTWYNAKMSVRB-OXHZDVMGSA-N 0 3 249.354 2.606 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1NCc1ccoc1C ZINC000414356672 386143038 /nfs/dbraw/zinc/14/30/38/386143038.db2.gz GBAFUZLHYHQJKY-QWHCGFSZSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1ccnc(CN2CCC[C@@H]2C2CCCC2)n1 ZINC000334470702 386143673 /nfs/dbraw/zinc/14/36/73/386143673.db2.gz FYOJJVNNRYUBIK-CQSZACIVSA-N 0 3 245.370 2.940 20 0 BFADHN CCc1ccc(CN(C)C2CCC2)nc1 ZINC000339006124 386204058 /nfs/dbraw/zinc/20/40/58/386204058.db2.gz UHNWKMJSBVRBLY-UHFFFAOYSA-N 0 3 204.317 2.628 20 0 BFADHN CCC[C@@H](NC[C@@](C)(O)C1CC1)c1ccccn1 ZINC000346978949 386205021 /nfs/dbraw/zinc/20/50/21/386205021.db2.gz NSHCIDQWHBYBJL-UKRRQHHQSA-N 0 3 248.370 2.673 20 0 BFADHN CCC[C@H]1CCCN(Cc2cccnc2N)C1 ZINC000296835853 386205637 /nfs/dbraw/zinc/20/56/37/386205637.db2.gz SJULABQXGZVUGF-LBPRGKRZSA-N 0 3 233.359 2.676 20 0 BFADHN COCc1ccc(CN2CCC23CCC3)cc1 ZINC000339015025 386213521 /nfs/dbraw/zinc/21/35/21/386213521.db2.gz WLQLYJJPRNNPBJ-UHFFFAOYSA-N 0 3 231.339 2.961 20 0 BFADHN COC(CN([C@H](C)c1ccccc1)C1CC1)OC ZINC000275513637 386216610 /nfs/dbraw/zinc/21/66/10/386216610.db2.gz XSJOMJNDOQPJLA-GFCCVEGCSA-N 0 3 249.354 2.831 20 0 BFADHN CO[C@@H]1CN(Cc2csc(C)c2)CC[C@H]1C ZINC000297029538 386219984 /nfs/dbraw/zinc/21/99/84/386219984.db2.gz XITWNPLPMTZOJM-ZWNOBZJWSA-N 0 3 239.384 2.913 20 0 BFADHN Cc1cc(C)cc(CN2CC[C@H](O)C(C)(C)C2)c1 ZINC000275538575 386220366 /nfs/dbraw/zinc/22/03/66/386220366.db2.gz YIYKRDILHKLWNM-HNNXBMFYSA-N 0 3 247.382 2.896 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3c2OCO3)C[C@H]1C ZINC000353898430 386221159 /nfs/dbraw/zinc/22/11/59/386221159.db2.gz KCQXXPQWJAEDNJ-VXGBXAGGSA-N 0 3 247.338 2.893 20 0 BFADHN C[C@H](O)C1CCN(C/C=C/c2ccccc2)CC1 ZINC000225914348 386228047 /nfs/dbraw/zinc/22/80/47/386228047.db2.gz HIHVBUTYGIGRCQ-GPAKFWEMSA-N 0 3 245.366 2.793 20 0 BFADHN CCc1ccc([C@H](C)NCC[C@H](C)CCO)o1 ZINC000297163780 386231465 /nfs/dbraw/zinc/23/14/65/386231465.db2.gz CZYDECUTRAYJQL-RYUDHWBXSA-N 0 3 239.359 2.901 20 0 BFADHN CC(C)Cn1nccc1CN(C)[C@@H](C)C1CC1 ZINC000648001696 386235726 /nfs/dbraw/zinc/23/57/26/386235726.db2.gz DTPJUTWPMROFDS-LBPRGKRZSA-N 0 3 235.375 2.769 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H](C)C2CC2)s1 ZINC000125210498 386236217 /nfs/dbraw/zinc/23/62/17/386236217.db2.gz QMWRMQHLFLWZFX-IUCAKERBSA-N 0 3 210.346 2.901 20 0 BFADHN CCCCC[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000275786928 386238158 /nfs/dbraw/zinc/23/81/58/386238158.db2.gz DDIJBEKAFYKPPX-ZDUSSCGKSA-N 0 3 244.342 2.674 20 0 BFADHN CC(C)CCC[C@H](C)N[C@@H]1CNCCC1(F)F ZINC000415001972 386238955 /nfs/dbraw/zinc/23/89/55/386238955.db2.gz MWJHDBRZRNGPRL-NWDGAFQWSA-N 0 3 248.361 2.788 20 0 BFADHN CCC[C@@H](O)CNc1ccnc2ccccc21 ZINC000226231989 386240925 /nfs/dbraw/zinc/24/09/25/386240925.db2.gz AUWXEGSBLLRIHF-LLVKDONJSA-N 0 3 230.311 2.808 20 0 BFADHN Cc1ccc(C)c(CN2CC(C(C)(C)O)C2)c1 ZINC000297308088 386241248 /nfs/dbraw/zinc/24/12/48/386241248.db2.gz CCLYXHLHWSJRRO-UHFFFAOYSA-N 0 3 233.355 2.506 20 0 BFADHN CCC1CCC(N[C@H]2CNCCC2(F)F)CC1 ZINC000415002840 386246226 /nfs/dbraw/zinc/24/62/26/386246226.db2.gz NYSNPNZNBSZQCY-MCIGGMRASA-N 0 3 246.345 2.542 20 0 BFADHN Cc1ccc(CN2CC[C@@H](O)C(C)(C)C2)s1 ZINC000275857534 386246817 /nfs/dbraw/zinc/24/68/17/386246817.db2.gz IQCDFIYZIOHVRK-GFCCVEGCSA-N 0 3 239.384 2.649 20 0 BFADHN CC[C@@H](O)CN(C)C/C(C)=C\c1ccccc1 ZINC000339126557 386251379 /nfs/dbraw/zinc/25/13/79/386251379.db2.gz REAXJEYDJXKUNX-VSKPTYQZSA-N 0 3 233.355 2.793 20 0 BFADHN CC(C)c1ccc(NC(=O)/C=C/CN(C)C)cc1 ZINC000264625358 386254253 /nfs/dbraw/zinc/25/42/53/386254253.db2.gz MLMCRQUFHZHGQD-AATRIKPKSA-N 0 3 246.354 2.866 20 0 BFADHN C[C@H](CO)N[C@@H]1C[C@@H](C)Sc2sccc21 ZINC000336646204 386255020 /nfs/dbraw/zinc/25/50/20/386255020.db2.gz JSLGWWDDNCUDDV-NQMVMOMDSA-N 0 3 243.397 2.644 20 0 BFADHN C[C@H](CO)N[C@H]1C[C@@H](C)Sc2sccc21 ZINC000336646203 386255051 /nfs/dbraw/zinc/25/50/51/386255051.db2.gz JSLGWWDDNCUDDV-MRTMQBJTSA-N 0 3 243.397 2.644 20 0 BFADHN CN(C)c1ccccc1CN(C)CCCF ZINC000289057906 386257078 /nfs/dbraw/zinc/25/70/78/386257078.db2.gz QZCMODLDPFMRKA-UHFFFAOYSA-N 0 3 224.323 2.544 20 0 BFADHN CC(C)=CCC[C@H](C)[NH2+]Cc1cnc(C)[n-]c1=O ZINC000346297947 386192211 /nfs/dbraw/zinc/19/22/11/386192211.db2.gz OJYVGWPJGSPDPU-NSHDSACASA-N 0 3 249.358 2.715 20 0 BFADHN C1=C[C@H](N2CCSCC2)CCCCC1 ZINC000340279685 386194596 /nfs/dbraw/zinc/19/45/96/386194596.db2.gz QMQFEUUNPMKAKQ-LBPRGKRZSA-N 0 3 211.374 2.924 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1NC[C@H]1CCSC1 ZINC000288417568 386195004 /nfs/dbraw/zinc/19/50/04/386195004.db2.gz AUSJKOAXGIDCAQ-WDMOLILDSA-N 0 3 249.379 2.851 20 0 BFADHN Cc1ccc(CNC[C@@H](O)C2CC2)cc1Cl ZINC000235873813 386197739 /nfs/dbraw/zinc/19/77/39/386197739.db2.gz FKCAOLHJZFALCI-CYBMUJFWSA-N 0 3 239.746 2.509 20 0 BFADHN Cc1ccc(CNC[C@H](O)C2CC2)cc1Cl ZINC000235878605 386199172 /nfs/dbraw/zinc/19/91/72/386199172.db2.gz FKCAOLHJZFALCI-ZDUSSCGKSA-N 0 3 239.746 2.509 20 0 BFADHN C[C@@H](O)CC(C)(C)CNCc1ccc(Cl)o1 ZINC000164707875 386259311 /nfs/dbraw/zinc/25/93/11/386259311.db2.gz TXLNZNRRESIKQP-SECBINFHSA-N 0 3 245.750 2.820 20 0 BFADHN CC(C)(C)c1noc(-c2ccc3c(c2)CNC3)n1 ZINC000131233754 386200108 /nfs/dbraw/zinc/20/01/08/386200108.db2.gz RAULFGJAKNURGQ-UHFFFAOYSA-N 0 3 243.310 2.637 20 0 BFADHN CCCC1(CNCc2ccc(C)nn2)CCC1 ZINC000414376595 386200126 /nfs/dbraw/zinc/20/01/26/386200126.db2.gz IBKLWKIJQHBORU-UHFFFAOYSA-N 0 3 233.359 2.845 20 0 BFADHN CSCCCN1CCC[C@H]1c1cccnc1 ZINC000336663020 386281360 /nfs/dbraw/zinc/28/13/60/386281360.db2.gz CZAQBDQIBHNSJE-ZDUSSCGKSA-N 0 3 236.384 2.972 20 0 BFADHN CCc1cccc(CN2CC[C@@H](O)C(C)(C)C2)c1 ZINC000276174260 386281565 /nfs/dbraw/zinc/28/15/65/386281565.db2.gz FYQWTNFXSNHZCR-OAHLLOKOSA-N 0 3 247.382 2.842 20 0 BFADHN CC(C)N(Cc1ccc(F)cn1)C(C)C ZINC000276200306 386283392 /nfs/dbraw/zinc/28/33/92/386283392.db2.gz JJSZKBDEOHIIJK-UHFFFAOYSA-N 0 3 210.296 2.840 20 0 BFADHN Cc1oc2ccccc2c1CN(C)CCCCO ZINC000276205740 386285110 /nfs/dbraw/zinc/28/51/10/386285110.db2.gz AEZVXOCUYCRZMS-UHFFFAOYSA-N 0 3 247.338 2.946 20 0 BFADHN C[C@H](O)CN1CC[C@H](C)[C@@H]1c1cccc(F)c1 ZINC000297915840 386286890 /nfs/dbraw/zinc/28/68/90/386286890.db2.gz SRCBTFQJILHVCQ-COPLHBTASA-N 0 3 237.318 2.589 20 0 BFADHN CCN(CCC1CC1)Cc1cncc(F)c1 ZINC000347217822 386287997 /nfs/dbraw/zinc/28/79/97/386287997.db2.gz HIUNMCLEPMOXTP-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN CO[C@@H](C)CN[C@@H]1CCc2c1c(F)ccc2F ZINC000276252467 386290739 /nfs/dbraw/zinc/29/07/39/386290739.db2.gz WKYHBPUXNWRULA-QPUJVOFHSA-N 0 3 241.281 2.577 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1ccc(F)cn1 ZINC000276263797 386291817 /nfs/dbraw/zinc/29/18/17/386291817.db2.gz RGUHSRQVLLKMQL-SNVBAGLBSA-N 0 3 242.363 2.794 20 0 BFADHN C=Cn1cc(CN2CC(C)(C)C[C@@H]2C)cn1 ZINC000335263112 386291871 /nfs/dbraw/zinc/29/18/71/386291871.db2.gz SRHUFIVOUQTHFG-NSHDSACASA-N 0 3 219.332 2.604 20 0 BFADHN CCN(CCc1ccnn1C)Cc1cccs1 ZINC000276258289 386292177 /nfs/dbraw/zinc/29/21/77/386292177.db2.gz ARYFDRZRPXSMTF-UHFFFAOYSA-N 0 3 249.383 2.546 20 0 BFADHN CCOc1cccc(CN(C)[C@H]2C[C@@H](OC)C2)c1 ZINC000289377724 386293658 /nfs/dbraw/zinc/29/36/58/386293658.db2.gz MCSOTOBDXKVCIZ-OTVXOJSOSA-N 0 3 249.354 2.695 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H]1CCc2c1cccc2F ZINC000276269887 386294000 /nfs/dbraw/zinc/29/40/00/386294000.db2.gz GYOBJNRBYPRNMA-PKFCDNJMSA-N 0 3 237.318 2.826 20 0 BFADHN CNCc1nc(CCc2ccccc2)cs1 ZINC000416403805 386295587 /nfs/dbraw/zinc/29/55/87/386295587.db2.gz UBDHKCQKKFGYAI-UHFFFAOYSA-N 0 3 232.352 2.648 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1ccc(F)cn1 ZINC000276293702 386299007 /nfs/dbraw/zinc/29/90/07/386299007.db2.gz VYYYZXSGMJWIEH-GWCFXTLKSA-N 0 3 222.307 2.841 20 0 BFADHN CCCc1cc(N[C@@H](C)CO)c2ccccc2n1 ZINC000091485244 386299511 /nfs/dbraw/zinc/29/95/11/386299511.db2.gz IFRJALKBRSDBLS-NSHDSACASA-N 0 3 244.338 2.980 20 0 BFADHN CCSCCN1CCC[C@H]1c1cccnc1 ZINC000336660515 386299627 /nfs/dbraw/zinc/29/96/27/386299627.db2.gz AQPWTKPENRNUHD-ZDUSSCGKSA-N 0 3 236.384 2.972 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2CCc2cccnc2)c1 ZINC000265073387 386301508 /nfs/dbraw/zinc/30/15/08/386301508.db2.gz XFWOOVZPJTVOOB-HNNXBMFYSA-N 0 3 241.338 2.789 20 0 BFADHN CCc1ccc(CN(CC)C[C@@H](C)OC)nc1 ZINC000339208047 386301762 /nfs/dbraw/zinc/30/17/62/386301762.db2.gz QQPYRCVOHQHMNC-GFCCVEGCSA-N 0 3 236.359 2.501 20 0 BFADHN CCCC(=O)NC[C@H](N)c1ccc(C(C)C)cc1 ZINC000236720747 386306813 /nfs/dbraw/zinc/30/68/13/386306813.db2.gz LOPBGUFGEMNTDJ-AWEZNQCLSA-N 0 3 248.370 2.726 20 0 BFADHN CCC[C@H](C)N(C)Cc1ccc(F)cn1 ZINC000276358075 386306797 /nfs/dbraw/zinc/30/67/97/386306797.db2.gz LORVMDPWABKUGR-JTQLQIEISA-N 0 3 210.296 2.841 20 0 BFADHN COC(C)(C)CCN(C)Cc1occc1C ZINC000336673905 386307577 /nfs/dbraw/zinc/30/75/77/386307577.db2.gz OVZQHEDFXDQZIP-UHFFFAOYSA-N 0 3 225.332 2.835 20 0 BFADHN Cc1nc(C)c(CN(C)CC(C)C)s1 ZINC000336673856 386307765 /nfs/dbraw/zinc/30/77/65/386307765.db2.gz RCBOJRHSTGOAAU-UHFFFAOYSA-N 0 3 212.362 2.848 20 0 BFADHN c1csc(N2CCN(CCC3CC3)CC2)c1 ZINC000336669684 386312017 /nfs/dbraw/zinc/31/20/17/386312017.db2.gz VCJHUXBRFXEEOH-UHFFFAOYSA-N 0 3 236.384 2.670 20 0 BFADHN C[C@@H](CO)N(C)Cc1ccc(-c2ccccc2)o1 ZINC000289482789 386313682 /nfs/dbraw/zinc/31/36/82/386313682.db2.gz QRDWCMQVSKRLGX-LBPRGKRZSA-N 0 3 245.322 2.759 20 0 BFADHN CC[C@H]1CCN(Cc2ccc(OC)c(O)c2)C1 ZINC000091929624 386314480 /nfs/dbraw/zinc/31/44/80/386314480.db2.gz XAONSGLEUZFWSM-NSHDSACASA-N 0 3 235.327 2.633 20 0 BFADHN Cc1c[nH]nc1CNC[C@H](C)c1ccc(C)cc1 ZINC000289499967 386317831 /nfs/dbraw/zinc/31/78/31/386317831.db2.gz LXXGPVLPJMHOOF-LBPRGKRZSA-N 0 3 243.354 2.920 20 0 BFADHN CCSCCN1CCC[C@@H]1c1cc(C)no1 ZINC000336678247 386318386 /nfs/dbraw/zinc/31/83/86/386318386.db2.gz OEPSBZWMKLGUGE-LLVKDONJSA-N 0 3 240.372 2.873 20 0 BFADHN Cc1c[nH]nc1CNCC1CCC(C)CC1 ZINC000309747175 386318836 /nfs/dbraw/zinc/31/88/36/386318836.db2.gz FXAQWFXZEIMZFM-UHFFFAOYSA-N 0 3 221.348 2.634 20 0 BFADHN CCC[C@H](N)C(=O)N[C@@H](C)c1cc(C)cc(C)c1 ZINC000236901881 386323449 /nfs/dbraw/zinc/32/34/49/386323449.db2.gz WHODAIWQWGARAV-JSGCOSHPSA-N 0 3 248.370 2.608 20 0 BFADHN CC(=O)CCN1Cc2ccccc2OC(C)(C)C1 ZINC000289098997 386262345 /nfs/dbraw/zinc/26/23/45/386262345.db2.gz VCDBTYVZMLABJH-UHFFFAOYSA-N 0 3 247.338 2.639 20 0 BFADHN CC(C)(O)C1CN(Cc2ccc3occc3c2)C1 ZINC000297535516 386264515 /nfs/dbraw/zinc/26/45/15/386264515.db2.gz ZRHQSGNBKSMXOM-UHFFFAOYSA-N 0 3 245.322 2.636 20 0 BFADHN CSCCN(C)[C@@H]1C[C@H]1c1ccccc1 ZINC000336651248 386266040 /nfs/dbraw/zinc/26/60/40/386266040.db2.gz UVABGKJSPQCJTP-QWHCGFSZSA-N 0 3 221.369 2.837 20 0 BFADHN COC[C@H](C)N(C)Cc1ccc2occc2c1 ZINC000289143826 386267053 /nfs/dbraw/zinc/26/70/53/386267053.db2.gz PQBVQOGEXUHWAC-NSHDSACASA-N 0 3 233.311 2.900 20 0 BFADHN CC(C)C1(CNCc2ccccn2)CC1 ZINC000165597932 386269841 /nfs/dbraw/zinc/26/98/41/386269841.db2.gz DQTACMYJOZKJNL-UHFFFAOYSA-N 0 3 204.317 2.607 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccnn1CC(C)C ZINC000648001877 386270110 /nfs/dbraw/zinc/27/01/10/386270110.db2.gz PTGGFVFLTHMDHT-GFCCVEGCSA-N 0 3 223.364 2.769 20 0 BFADHN CC[C@@]1(O)CCN(Cc2ccc(Cl)cc2)C1 ZINC000347250045 386277415 /nfs/dbraw/zinc/27/74/15/386277415.db2.gz OWUVODLCYIVCCG-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1ccc(F)cn1 ZINC000276132574 386277719 /nfs/dbraw/zinc/27/77/19/386277719.db2.gz BKEKPPZEFVKRAM-JTQLQIEISA-N 0 3 210.296 2.697 20 0 BFADHN CC[C@H]1CC[C@H](N[C@H]2CCn3ccnc32)CC1 ZINC000453250568 386278512 /nfs/dbraw/zinc/27/85/12/386278512.db2.gz ZSGZETHRKMLSGP-AVGNSLFASA-N 0 3 233.359 2.886 20 0 BFADHN CN(CC[C@@H]1CCCO1)Cc1cccs1 ZINC000276132810 386278824 /nfs/dbraw/zinc/27/88/24/386278824.db2.gz LWGDZGJKWONXRN-NSHDSACASA-N 0 3 225.357 2.749 20 0 BFADHN C[C@@H]1C[C@@H](c2cccnc2)N(C[C@H]2CCCO2)C1 ZINC000347250020 386278903 /nfs/dbraw/zinc/27/89/03/386278903.db2.gz ORAVUHFYTXEPKE-YUELXQCFSA-N 0 3 246.354 2.644 20 0 BFADHN CCc1cccc(CN2CC[C@H](O)C(C)(C)C2)c1 ZINC000276174256 386280217 /nfs/dbraw/zinc/28/02/17/386280217.db2.gz FYQWTNFXSNHZCR-HNNXBMFYSA-N 0 3 247.382 2.842 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H](C)C1(C)CC1 ZINC000164836524 386341616 /nfs/dbraw/zinc/34/16/16/386341616.db2.gz UOSXHTJFCBPAEG-LBPRGKRZSA-N 0 3 235.375 2.817 20 0 BFADHN CCN(CCc1nc2ccccc2n1C)C1CC1 ZINC000265464621 386342635 /nfs/dbraw/zinc/34/26/35/386342635.db2.gz AYAGUPGMJUZXDB-UHFFFAOYSA-N 0 3 243.354 2.600 20 0 BFADHN CCCN(C)CC(=O)N[C@@H](C)c1cccc(C)c1 ZINC000457042652 386345006 /nfs/dbraw/zinc/34/50/06/386345006.db2.gz NSQQWGVGJXIAOL-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN Cn1ccc(CN2CCC[C@H]2c2ccncc2)c1 ZINC000289706943 386345086 /nfs/dbraw/zinc/34/50/86/386345086.db2.gz GKWAEYZRZMEMNF-HNNXBMFYSA-N 0 3 241.338 2.757 20 0 BFADHN CCOC(=O)CCCCCN1CC[C@](C)(F)C1 ZINC000347351788 386345260 /nfs/dbraw/zinc/34/52/60/386345260.db2.gz KLVCAFOPIZONMZ-ZDUSSCGKSA-N 0 3 245.338 2.544 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC23CCCC3)o1 ZINC000335278447 386345849 /nfs/dbraw/zinc/34/58/49/386345849.db2.gz OHKBPRMMWSJECA-GFCCVEGCSA-N 0 3 220.316 2.795 20 0 BFADHN COC[C@H]1CCN1Cc1ccccc1SC ZINC000339293257 386348593 /nfs/dbraw/zinc/34/85/93/386348593.db2.gz LJJFBRWNCQLCGL-GFCCVEGCSA-N 0 3 237.368 2.629 20 0 BFADHN Cc1nc(C)c([C@H](C)N(C)CC[C@@H](C)O)s1 ZINC000336688849 386352104 /nfs/dbraw/zinc/35/21/04/386352104.db2.gz YWPBZXSAUKICCQ-SCZZXKLOSA-N 0 3 242.388 2.524 20 0 BFADHN CC(C)COCCN1CCc2ccccc2C1 ZINC000336689700 386354864 /nfs/dbraw/zinc/35/48/64/386354864.db2.gz BNPWIDJCSQCIBB-UHFFFAOYSA-N 0 3 233.355 2.717 20 0 BFADHN CC(C)C[C@@H](C)NCc1ncccc1N(C)C ZINC000289803913 386359231 /nfs/dbraw/zinc/35/92/31/386359231.db2.gz MLCYKVMZNCIKTK-GFCCVEGCSA-N 0 3 235.375 2.672 20 0 BFADHN CC(C)N(CCOCC1CC1)Cc1ccco1 ZINC000336691107 386359442 /nfs/dbraw/zinc/35/94/42/386359442.db2.gz HZVBMHZYDUVJAN-UHFFFAOYSA-N 0 3 237.343 2.917 20 0 BFADHN Cc1cnn([C@H]2CCN(Cc3ccccc3)C2)c1 ZINC000289838275 386361345 /nfs/dbraw/zinc/36/13/45/386361345.db2.gz KCDRQETWSQXLMM-HNNXBMFYSA-N 0 3 241.338 2.639 20 0 BFADHN FCCCCN1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289872275 386364553 /nfs/dbraw/zinc/36/45/53/386364553.db2.gz LWQKGKDHLWPEIW-NSHDSACASA-N 0 3 245.729 2.533 20 0 BFADHN COCCCCCN(C)Cc1cccc(C)n1 ZINC000347367657 386367773 /nfs/dbraw/zinc/36/77/73/386367773.db2.gz GAFXZFCIPYJTAC-UHFFFAOYSA-N 0 3 236.359 2.639 20 0 BFADHN C[C@H]1c2ccsc2CCN1CC1(C)COC1 ZINC000336693834 386368149 /nfs/dbraw/zinc/36/81/49/386368149.db2.gz FAUQELUBZSKCPZ-JTQLQIEISA-N 0 3 237.368 2.704 20 0 BFADHN Cc1c[nH]nc1CN[C@@H](C)Cc1ccccc1F ZINC000289876843 386368685 /nfs/dbraw/zinc/36/86/85/386368685.db2.gz MCSWQYUTLHYCRP-NSHDSACASA-N 0 3 247.317 2.578 20 0 BFADHN C[C@H](CCC1CC1)NCc1ncccc1N(C)C ZINC000289888360 386368702 /nfs/dbraw/zinc/36/87/02/386368702.db2.gz SKOFQKVAYYVSNC-GFCCVEGCSA-N 0 3 247.386 2.816 20 0 BFADHN Cc1c[nH]nc1CN[C@H](C)Cc1ccccc1F ZINC000289876841 386369145 /nfs/dbraw/zinc/36/91/45/386369145.db2.gz MCSWQYUTLHYCRP-LLVKDONJSA-N 0 3 247.317 2.578 20 0 BFADHN Cc1ccc(C)c(NC(=O)[C@@H](N)CC(C)C)c1 ZINC000011961482 386370933 /nfs/dbraw/zinc/37/09/33/386370933.db2.gz HQCZCLPEZKUDDH-LBPRGKRZSA-N 0 3 234.343 2.615 20 0 BFADHN Cc1cn[nH]c1CNC1(c2ccccc2)CCC1 ZINC000289950382 386373220 /nfs/dbraw/zinc/37/32/20/386373220.db2.gz QCMHAIDPQVSDGW-UHFFFAOYSA-N 0 3 241.338 2.887 20 0 BFADHN CCc1cccc(CN(CC)CCOC)c1 ZINC000347382967 386374093 /nfs/dbraw/zinc/37/40/93/386374093.db2.gz VNVWDJNTAQCNNA-UHFFFAOYSA-N 0 3 221.344 2.717 20 0 BFADHN C[C@@H](NC[C@H](C)CO)c1nccc2ccccc21 ZINC000289929958 386374228 /nfs/dbraw/zinc/37/42/28/386374228.db2.gz VQBVQVHNHZCILY-NWDGAFQWSA-N 0 3 244.338 2.514 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCCC(F)(F)C1 ZINC000289934881 386374628 /nfs/dbraw/zinc/37/46/28/386374628.db2.gz UBJUZGAUXXMTNY-SNVBAGLBSA-N 0 3 243.301 2.633 20 0 BFADHN C[C@H](NCc1cnns1)[C@@H](C)c1ccccc1 ZINC000289968217 386377831 /nfs/dbraw/zinc/37/78/31/386377831.db2.gz IYRDUUHGKYJGKV-MNOVXSKESA-N 0 3 247.367 2.820 20 0 BFADHN CCCCCCN[C@@](C)(C(N)=O)c1ccccc1 ZINC000265870432 386381277 /nfs/dbraw/zinc/38/12/77/386381277.db2.gz VNTOFQUHIZREPK-OAHLLOKOSA-N 0 3 248.370 2.557 20 0 BFADHN CC(C)CCNC(=O)[C@H](C)N(C)CC(C)(C)C ZINC000339255997 386329171 /nfs/dbraw/zinc/32/91/71/386329171.db2.gz CDSXUFZIQWHOLJ-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@H](CO)N(C)Cc1cc(Cl)cc(Cl)c1 ZINC000289565936 386329516 /nfs/dbraw/zinc/32/95/16/386329516.db2.gz ZGIBSBOZLFYYBA-MRVPVSSYSA-N 0 3 248.153 2.806 20 0 BFADHN C[C@H](Cc1ccoc1)NCc1ccco1 ZINC000336773850 386336701 /nfs/dbraw/zinc/33/67/01/386336701.db2.gz ZHCZSLZDGPNEOR-SNVBAGLBSA-N 0 3 205.257 2.593 20 0 BFADHN Fc1ccccc1C1CN(C[C@@H]2CCCOC2)C1 ZINC000334490308 386337486 /nfs/dbraw/zinc/33/74/86/386337486.db2.gz NUNQRMVAVDXZAD-LBPRGKRZSA-N 0 3 249.329 2.652 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H](C)CC(C)C ZINC000188262847 386337945 /nfs/dbraw/zinc/33/79/45/386337945.db2.gz VRGWFNBDTOEIDO-CMPLNLGQSA-N 0 3 221.348 2.870 20 0 BFADHN Cc1ccc(CC[C@H](C)NCc2conc2C)o1 ZINC000339278929 386338092 /nfs/dbraw/zinc/33/80/92/386338092.db2.gz AWAXLOGODZJKKX-JTQLQIEISA-N 0 3 248.326 2.995 20 0 BFADHN C[C@@H](NCc1ncccc1N(C)C)C(C)(C)C ZINC000289659668 386338234 /nfs/dbraw/zinc/33/82/34/386338234.db2.gz GQOXPYJFPFNXEA-LLVKDONJSA-N 0 3 235.375 2.672 20 0 BFADHN Cc1c[nH]nc1CNCC(C)(C)c1cccs1 ZINC000289654166 386338282 /nfs/dbraw/zinc/33/82/82/386338282.db2.gz YMSSJCMJVDPLHM-UHFFFAOYSA-N 0 3 249.383 2.847 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCC[C@@](F)(CO)C2)C1 ZINC000347344069 386338369 /nfs/dbraw/zinc/33/83/69/386338369.db2.gz GGOAMDITKADJAH-RDBSUJKOSA-N 0 3 243.366 2.609 20 0 BFADHN C[C@H]1COCCN([C@H]2CCc3ccc(F)cc32)C1 ZINC000335276534 386339213 /nfs/dbraw/zinc/33/92/13/386339213.db2.gz FKRRFKBBUVMPTI-ABAIWWIYSA-N 0 3 249.329 2.781 20 0 BFADHN CCc1ccc(CN2CCC[C@]2(CC)CO)o1 ZINC000276512497 386339387 /nfs/dbraw/zinc/33/93/87/386339387.db2.gz ZZDVNLMJGMOPFV-CQSZACIVSA-N 0 3 237.343 2.579 20 0 BFADHN C[C@@H]1C[C@@H](c2cccc(F)c2)N(CCCO)C1 ZINC000266581815 386452607 /nfs/dbraw/zinc/45/26/07/386452607.db2.gz IFBFHWDYPXZOQC-RISCZKNCSA-N 0 3 237.318 2.591 20 0 BFADHN Cc1ccccc1N1CCN(CC2CC2)CC1 ZINC000155411660 386444183 /nfs/dbraw/zinc/44/41/83/386444183.db2.gz MHUCJJIYVVMGGV-UHFFFAOYSA-N 0 3 230.355 2.527 20 0 BFADHN CN(C)CCSc1ccc(F)cc1F ZINC000299384856 386445022 /nfs/dbraw/zinc/44/50/22/386445022.db2.gz HYDJOSBREFBAPS-UHFFFAOYSA-N 0 3 217.284 2.619 20 0 BFADHN C[C@H](F)CCNCc1c[nH]c(-c2ccccc2)n1 ZINC000339493665 386445155 /nfs/dbraw/zinc/44/51/55/386445155.db2.gz SEPHQUPNHRVPAN-NSHDSACASA-N 0 3 247.317 2.914 20 0 BFADHN C[C@H](F)CCNCc1cnc(-c2ccccc2)[nH]1 ZINC000339493665 386445163 /nfs/dbraw/zinc/44/51/63/386445163.db2.gz SEPHQUPNHRVPAN-NSHDSACASA-N 0 3 247.317 2.914 20 0 BFADHN C[C@H](NC1(CO)CC1)c1cc2ccccc2o1 ZINC000336719039 386448830 /nfs/dbraw/zinc/44/88/30/386448830.db2.gz RSGWFYPWWRQRRK-JTQLQIEISA-N 0 3 231.295 2.608 20 0 BFADHN C[C@@H](F)CCNCc1nccn1-c1ccccc1 ZINC000339485833 386449480 /nfs/dbraw/zinc/44/94/80/386449480.db2.gz RPCKYAPXAUXSJC-GFCCVEGCSA-N 0 3 247.317 2.710 20 0 BFADHN Cc1ccc(F)c(CCN[C@@H](C)c2cn[nH]c2)c1 ZINC000453338078 386449922 /nfs/dbraw/zinc/44/99/22/386449922.db2.gz FSUVYNKXBMBHKZ-NSHDSACASA-N 0 3 247.317 2.751 20 0 BFADHN CCN(CCCO)[C@H](C)c1ccc(F)c(F)c1 ZINC000299384977 386450362 /nfs/dbraw/zinc/45/03/62/386450362.db2.gz IBTRVOUWQURNHA-SNVBAGLBSA-N 0 3 243.297 2.730 20 0 BFADHN CCC1CCN(Cc2cccn2C)CC1 ZINC000298794205 386388076 /nfs/dbraw/zinc/38/80/76/386388076.db2.gz KVALATDGEOBSFH-UHFFFAOYSA-N 0 3 206.333 2.647 20 0 BFADHN CCN(CC[C@H]1CCCCO1)Cc1ccncc1 ZINC000347556619 386450980 /nfs/dbraw/zinc/45/09/80/386450980.db2.gz XTGHUOVMOWMIGZ-OAHLLOKOSA-N 0 3 248.370 2.863 20 0 BFADHN c1csc(CN[C@H]2CCC23CCC3)n1 ZINC000282099731 386393447 /nfs/dbraw/zinc/39/34/47/386393447.db2.gz INYWTGHEBKLVQQ-VIFPVBQESA-N 0 3 208.330 2.565 20 0 BFADHN c1ccc(C[C@H]2CCN2C[C@@H]2CCCCO2)cc1 ZINC000336704313 386393988 /nfs/dbraw/zinc/39/39/88/386393988.db2.gz IZRIELNWPRWQJB-CVEARBPZSA-N 0 3 245.366 2.873 20 0 BFADHN CC(C)=CCCN[C@@H](c1nccn1C)C1CC1 ZINC000276984781 386397841 /nfs/dbraw/zinc/39/78/41/386397841.db2.gz ZSHNRUKEDLLCTF-CYBMUJFWSA-N 0 3 233.359 2.817 20 0 BFADHN CCC[C@@]1(CO)CCN(Cc2ccsc2)C1 ZINC000290176799 386401969 /nfs/dbraw/zinc/40/19/69/386401969.db2.gz NKCIZGGNAHACBW-CYBMUJFWSA-N 0 3 239.384 2.733 20 0 BFADHN C[C@@H]1CCN(Cc2cnccn2)[C@H]2CCCC[C@H]12 ZINC000519335927 386412448 /nfs/dbraw/zinc/41/24/48/386412448.db2.gz JBJSFTMMFPNNNR-YUELXQCFSA-N 0 3 245.370 2.877 20 0 BFADHN c1cc2c(o1)CCCN(C[C@H]1CCC=CO1)C2 ZINC000290250164 386413560 /nfs/dbraw/zinc/41/35/60/386413560.db2.gz VQTWXEXFQSUHDU-CYBMUJFWSA-N 0 3 233.311 2.721 20 0 BFADHN c1coc(CN2CCC[C@@H](C3CC3)C2)c1 ZINC000290266962 386413630 /nfs/dbraw/zinc/41/36/30/386413630.db2.gz XIIHWWIKEPVYIM-GFCCVEGCSA-N 0 3 205.301 2.902 20 0 BFADHN Cc1ccoc1CNC[C@H](O)c1ccc(C)cc1 ZINC000266223295 386414236 /nfs/dbraw/zinc/41/42/36/386414236.db2.gz RTQDTLJJSZELKG-AWEZNQCLSA-N 0 3 245.322 2.720 20 0 BFADHN COC[C@H](C)CN1Cc2ccccc2OC[C@@H]1C ZINC000527355047 386414823 /nfs/dbraw/zinc/41/48/23/386414823.db2.gz HLSVIWWLBBWFIE-OLZOCXBDSA-N 0 3 249.354 2.552 20 0 BFADHN CC[C@@H](C)CN1CCCC[C@H]1c1n[nH]c(C)n1 ZINC000336709999 386420713 /nfs/dbraw/zinc/42/07/13/386420713.db2.gz KKXVWRJDRZGNQW-PWSUYJOCSA-N 0 3 236.363 2.686 20 0 BFADHN Cc1cn[nH]c1CN1CCc2ccccc2[C@@H]1C ZINC000290346607 386421153 /nfs/dbraw/zinc/42/11/53/386421153.db2.gz FBTRZKBQQPMGTN-LBPRGKRZSA-N 0 3 241.338 2.837 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@@H](C)[C@H]2C)nn1C ZINC000414430304 386424980 /nfs/dbraw/zinc/42/49/80/386424980.db2.gz DUMCXRZIKCPDBV-BNOWGMLFSA-N 0 3 249.402 2.890 20 0 BFADHN CCOCCN(Cc1cccs1)C(C)C ZINC000299191784 386425131 /nfs/dbraw/zinc/42/51/31/386425131.db2.gz FFMMDDXLMVRIOR-UHFFFAOYSA-N 0 3 227.373 2.995 20 0 BFADHN c1ncc(CN[C@@H]2[C@H]3Cc4ccccc4[C@@H]23)s1 ZINC000290366266 386425730 /nfs/dbraw/zinc/42/57/30/386425730.db2.gz HDPINVVSRQVRJT-BFHYXJOUSA-N 0 3 242.347 2.571 20 0 BFADHN CCC[C@H](CCO)CNCc1ccc(Cl)o1 ZINC000164953170 386428294 /nfs/dbraw/zinc/42/82/94/386428294.db2.gz NFCJKIZXIYLVGH-SNVBAGLBSA-N 0 3 245.750 2.821 20 0 BFADHN Cc1cn[nH]c1CN(C)[C@@H]1CCc2ccccc21 ZINC000290394703 386429379 /nfs/dbraw/zinc/42/93/79/386429379.db2.gz JUJVFTYZISWZMO-OAHLLOKOSA-N 0 3 241.338 2.837 20 0 BFADHN Cc1cn[nH]c1CN(C)[C@@H](C)c1ccccc1 ZINC000290411239 386430471 /nfs/dbraw/zinc/43/04/71/386430471.db2.gz LIWSHKMIHVLPNB-LBPRGKRZSA-N 0 3 229.327 2.911 20 0 BFADHN CSCCN1CCc2cccc(C)c2C1 ZINC000277385452 386430620 /nfs/dbraw/zinc/43/06/20/386430620.db2.gz YMEGZSKHDUCPNI-UHFFFAOYSA-N 0 3 221.369 2.716 20 0 BFADHN CCN(CCOC)[C@H](C)c1cccc(F)c1 ZINC000299429197 386452527 /nfs/dbraw/zinc/45/25/27/386452527.db2.gz UOWBMZMVEJDYNM-LLVKDONJSA-N 0 3 225.307 2.855 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1n[nH]cc1C ZINC000290422405 386433694 /nfs/dbraw/zinc/43/36/94/386433694.db2.gz MHWOZGZKWVDSQV-SNVBAGLBSA-N 0 3 209.337 2.586 20 0 BFADHN CC[C@@H](NCCSC(C)C)c1cnn(C)c1 ZINC000287216251 386528518 /nfs/dbraw/zinc/52/85/18/386528518.db2.gz XFSFLZJUGMFOLH-GFCCVEGCSA-N 0 3 241.404 2.602 20 0 BFADHN CCOC[C@H]1CCC[C@H]1NCc1ccco1 ZINC000336778484 386468907 /nfs/dbraw/zinc/46/89/07/386468907.db2.gz LMBUWUYHDXXQBX-DGCLKSJQSA-N 0 3 223.316 2.574 20 0 BFADHN CC(C)[C@H](O)CNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000227215027 386471522 /nfs/dbraw/zinc/47/15/22/386471522.db2.gz QAOUTRKIOCXLAZ-WCFLWFBJSA-N 0 3 237.343 2.510 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CC=CCC2)nn1 ZINC000414440997 386473848 /nfs/dbraw/zinc/47/38/48/386473848.db2.gz ZFYJWLLFDZGHFK-CYBMUJFWSA-N 0 3 231.343 2.573 20 0 BFADHN CCOc1ccc(OCCN2C[C@@H](C)[C@H]2C)cc1 ZINC000339573480 386474106 /nfs/dbraw/zinc/47/41/06/386474106.db2.gz IKCOBGGDOBKYGC-CHWSQXEVSA-N 0 3 249.354 2.804 20 0 BFADHN Cc1nnc([C@@H](C)N2CC[C@H](C(C)(C)C)C2)o1 ZINC000335365873 386477263 /nfs/dbraw/zinc/47/72/63/386477263.db2.gz BRJXHEHSVPMYNC-KOLCDFICSA-N 0 3 237.347 2.807 20 0 BFADHN Cc1ccc(CN2C[C@H](C)C[C@H](C)[C@H]2C)nn1 ZINC000414441926 386478835 /nfs/dbraw/zinc/47/88/35/386478835.db2.gz XATVYVVCJYPUTM-NTZNESFSSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1ccc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)nn1 ZINC000414441927 386479220 /nfs/dbraw/zinc/47/92/20/386479220.db2.gz XATVYVVCJYPUTM-WZRBSPASSA-N 0 3 233.359 2.651 20 0 BFADHN COc1cc(CN[C@@H]2CCC[C@H]2F)ccc1C ZINC000339586533 386480253 /nfs/dbraw/zinc/48/02/53/386480253.db2.gz JZNFTLWNXMHLKC-CHWSQXEVSA-N 0 3 237.318 2.984 20 0 BFADHN CCC[C@H]1CCCCN1Cc1n[nH]cc1C ZINC000356412328 386482033 /nfs/dbraw/zinc/48/20/33/386482033.db2.gz MLBKCQUSANJUIS-LBPRGKRZSA-N 0 3 221.348 2.873 20 0 BFADHN Cc1ccnc(CN2CCC[C@H]2CC(C)C)n1 ZINC000339585349 386487761 /nfs/dbraw/zinc/48/77/61/386487761.db2.gz WBZIQINQPOBHLT-ZDUSSCGKSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ccnc(CN2CCC[C@@H]2CC(C)C)n1 ZINC000339585348 386487857 /nfs/dbraw/zinc/48/78/57/386487857.db2.gz WBZIQINQPOBHLT-CYBMUJFWSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1occc1CN[C@@H]1CCC[C@H]1OC(F)F ZINC000414444464 386489630 /nfs/dbraw/zinc/48/96/30/386489630.db2.gz FWPVOQIMGYDMPJ-GHMZBOCLSA-N 0 3 245.269 2.838 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C[C@@](C)(O)C2)cc1 ZINC000417352686 386489949 /nfs/dbraw/zinc/48/99/49/386489949.db2.gz GUHPOUXRVZANMY-FPMFFAJLSA-N 0 3 219.328 2.559 20 0 BFADHN CC(C)[C@@H](N[C@H](C)c1nccs1)C(C)(C)O ZINC000336752122 386490416 /nfs/dbraw/zinc/49/04/16/386490416.db2.gz VLLHGECYSPMQDC-NXEZZACHSA-N 0 3 242.388 2.589 20 0 BFADHN Cc1ccc(CNCc2ccn(C)c2)cc1C ZINC000267069377 386490916 /nfs/dbraw/zinc/49/09/16/386490916.db2.gz IIPHJIQWXPOCSL-UHFFFAOYSA-N 0 3 228.339 2.932 20 0 BFADHN CCc1cccc(CN2C[C@H](C)N(C)[C@@H](C)C2)c1 ZINC000339620745 386492075 /nfs/dbraw/zinc/49/20/75/386492075.db2.gz FMOINRZFZSMWHI-KBPBESRZSA-N 0 3 246.398 2.773 20 0 BFADHN CCc1ccccc1CN1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000339623800 386493237 /nfs/dbraw/zinc/49/32/37/386493237.db2.gz RJWSUEJVSZHGIZ-OKILXGFUSA-N 0 3 246.398 2.773 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2C[C@@](C)(O)C2)c(C)s1 ZINC000417354562 386493631 /nfs/dbraw/zinc/49/36/31/386493631.db2.gz MGIQBEVTVCDPSD-XWIASGKRSA-N 0 3 239.384 2.929 20 0 BFADHN COc1cc(CN2CCC(OC)CC2)ccc1C ZINC000278166129 386495398 /nfs/dbraw/zinc/49/53/98/386495398.db2.gz FGFYJIMBOKKZJQ-UHFFFAOYSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@@]1(O)C[C@H](N[C@@H]2CCSc3ccccc32)C1 ZINC000417358187 386495613 /nfs/dbraw/zinc/49/56/13/386495613.db2.gz SRONQTZBLBNYIQ-QKCSRTOESA-N 0 3 249.379 2.727 20 0 BFADHN COC(=O)c1coc([C@@H](C)N[C@@H]2CC=CCC2)c1 ZINC000278150203 386495682 /nfs/dbraw/zinc/49/56/82/386495682.db2.gz AADSYXAPHBEJPL-ZYHUDNBSSA-N 0 3 249.310 2.826 20 0 BFADHN Cc1ccoc1CNC[C@@]1(C)CCCC[C@H]1O ZINC000267114479 386497711 /nfs/dbraw/zinc/49/77/11/386497711.db2.gz RYKCVLCZJFEHKZ-ZIAGYGMSSA-N 0 3 237.343 2.619 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccncc1)CC(C)C ZINC000417325622 386498524 /nfs/dbraw/zinc/49/85/24/386498524.db2.gz ACIPKEUNUOFUFL-JSGCOSHPSA-N 0 3 236.359 2.793 20 0 BFADHN CCOc1cccc(CNC(C)(C)CC)n1 ZINC000336780333 386529518 /nfs/dbraw/zinc/52/95/18/386529518.db2.gz QYULIACKUOPLLP-UHFFFAOYSA-N 0 3 222.332 2.759 20 0 BFADHN Cn1cc(CN[C@H]2CCC2(C)C)c(C(F)F)n1 ZINC000291013895 386498853 /nfs/dbraw/zinc/49/88/53/386498853.db2.gz FNDGIWWRNNTBQY-VIFPVBQESA-N 0 3 243.301 2.636 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)[C@@H](C)C2)cc1C ZINC000339629861 386499522 /nfs/dbraw/zinc/49/95/22/386499522.db2.gz UTVSVOJBKFZVJO-GASCZTMLSA-N 0 3 246.398 2.828 20 0 BFADHN C[C@H](NC[C@H]1CC12CC2)c1nccs1 ZINC000417334876 386503599 /nfs/dbraw/zinc/50/35/99/386503599.db2.gz HOTAVVFHOHVDTP-DTWKUNHWSA-N 0 3 208.330 2.594 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@H](C)[C@H]2C)co1 ZINC000647971396 386505673 /nfs/dbraw/zinc/50/56/73/386505673.db2.gz FFRFHPHIKSSPLF-OPQQBVKSSA-N 0 3 222.332 2.897 20 0 BFADHN Clc1ccc(CNCCC[C@@H]2CCOC2)o1 ZINC000401927591 386508649 /nfs/dbraw/zinc/50/86/49/386508649.db2.gz JBFJTOXUORKCFJ-SNVBAGLBSA-N 0 3 243.734 2.839 20 0 BFADHN c1coc(CN2CC[C@@H](c3cccnc3)C2)c1 ZINC000335444765 386509095 /nfs/dbraw/zinc/50/90/95/386509095.db2.gz VAWRZVWNBLOPPQ-CYBMUJFWSA-N 0 3 228.295 2.664 20 0 BFADHN CC(C)N(Cc1cccnc1)C[C@@H]1CC[C@@H](C)O1 ZINC000336784746 386511216 /nfs/dbraw/zinc/51/12/16/386511216.db2.gz WTMDRWJNXFHHHG-HIFRSBDPSA-N 0 3 248.370 2.860 20 0 BFADHN CCc1ccc(CN(CC)C[C@H](C)OC)o1 ZINC000291131747 386516116 /nfs/dbraw/zinc/51/61/16/386516116.db2.gz WIYGOTJRMWJRIV-NSHDSACASA-N 0 3 225.332 2.699 20 0 BFADHN Cc1scc(CN2CCC(CO)CC2)c1C ZINC000637892059 386519146 /nfs/dbraw/zinc/51/91/46/386519146.db2.gz GGMVYQFLYXNYED-UHFFFAOYSA-N 0 3 239.384 2.569 20 0 BFADHN Cc1ccc(CN(C)CCCCO)cc1Cl ZINC000278397863 386519632 /nfs/dbraw/zinc/51/96/32/386519632.db2.gz NQUHKERAGGSMNQ-UHFFFAOYSA-N 0 3 241.762 2.853 20 0 BFADHN Cc1cccc2ncc(CN(C)C(C)(C)C)n21 ZINC000335449487 386519482 /nfs/dbraw/zinc/51/94/82/386519482.db2.gz MVOSABLNMHRPQI-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN Cc1occc1CN(C(C)C)[C@@H]1CCOC1 ZINC000414448491 386523205 /nfs/dbraw/zinc/52/32/05/386523205.db2.gz AKWMSTFKPPWUJY-CYBMUJFWSA-N 0 3 223.316 2.587 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@H]2CCC[C@@H]2C1 ZINC000278445966 386526640 /nfs/dbraw/zinc/52/66/40/386526640.db2.gz MKMSCHSECLOKNM-CHWSQXEVSA-N 0 3 234.318 2.761 20 0 BFADHN COCC(C)(C)CN1CCc2sccc2C1 ZINC000426038421 386526610 /nfs/dbraw/zinc/52/66/10/386526610.db2.gz YDRMKUBFLRNHFH-UHFFFAOYSA-N 0 3 239.384 2.779 20 0 BFADHN c1cc(CN2CCNCc3ccccc32)cs1 ZINC000062818178 386527458 /nfs/dbraw/zinc/52/74/58/386527458.db2.gz RMJCYETXRRPMLQ-UHFFFAOYSA-N 0 3 244.363 2.858 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1Cc1cccc(Cl)c1 ZINC000399211017 386456104 /nfs/dbraw/zinc/45/61/04/386456104.db2.gz FZQXVVUEOPJBLA-MFKMUULPSA-N 0 3 239.746 2.685 20 0 BFADHN Cc1nocc1CNC[C@H]1C[C@@H]1c1ccccc1 ZINC000339510815 386456736 /nfs/dbraw/zinc/45/67/36/386456736.db2.gz BQRGSPJKKBKBIG-UKRRQHHQSA-N 0 3 242.322 2.876 20 0 BFADHN Cc1cnn(CCN(C)[C@H](C)c2cccs2)c1 ZINC000336724035 386456809 /nfs/dbraw/zinc/45/68/09/386456809.db2.gz ZCBWBLWATKIDOQ-GFCCVEGCSA-N 0 3 249.383 2.946 20 0 BFADHN CC[C@]1(C)CCCN(Cc2ccno2)C1 ZINC000266653853 386456965 /nfs/dbraw/zinc/45/69/65/386456965.db2.gz ZKYFUSUQWOMTFX-GFCCVEGCSA-N 0 3 208.305 2.687 20 0 BFADHN Cc1cccc(CNCc2ccnc(C)c2)c1 ZINC000391156290 386458065 /nfs/dbraw/zinc/45/80/65/386458065.db2.gz ATVXEFYFESGWEB-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN O[C@H](CNCc1ccsc1Cl)C1CCC1 ZINC000316140066 259689271 /nfs/dbraw/zinc/68/92/71/259689271.db2.gz IKTYRSSJGDKFGO-SNVBAGLBSA-N 0 3 245.775 2.652 20 0 BFADHN CC(C)(C)[C@H]1CCN(Cc2n[nH]c(C3CC3)n2)C1 ZINC000347578065 386459027 /nfs/dbraw/zinc/45/90/27/386459027.db2.gz CVICOASZOKANIS-NSHDSACASA-N 0 3 248.374 2.550 20 0 BFADHN CCc1ccc(CN(C)[C@H](CO)CC(C)C)o1 ZINC000290607515 386459845 /nfs/dbraw/zinc/45/98/45/386459845.db2.gz WHOPRHFDFSUNAS-LBPRGKRZSA-N 0 3 239.359 2.681 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@@H](C(C)(C)C)C1 ZINC000335286932 386460371 /nfs/dbraw/zinc/46/03/71/386460371.db2.gz LEOCGVXUAXQWAD-LLVKDONJSA-N 0 3 221.348 2.586 20 0 BFADHN C[C@@H](NCCCCO)c1nccc2ccccc21 ZINC000290654053 386464624 /nfs/dbraw/zinc/46/46/24/386464624.db2.gz KGXKPFAFGJUYGV-GFCCVEGCSA-N 0 3 244.338 2.658 20 0 BFADHN CCCCN(Cc1cncn1CC)C1CC1 ZINC000417701540 386574816 /nfs/dbraw/zinc/57/48/16/386574816.db2.gz ICKNCIPZIBOBPE-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN Cc1ccc2nc(CN3C[C@H](C)[C@H](C)C3)cn2c1 ZINC000267731480 386578661 /nfs/dbraw/zinc/57/86/61/386578661.db2.gz BKGMZJIFGUAFNW-BETUJISGSA-N 0 3 243.354 2.731 20 0 BFADHN CC(C)=CCN[C@H](C)c1cccc(CO)c1 ZINC000188453785 386580417 /nfs/dbraw/zinc/58/04/17/386580417.db2.gz SRGWVKQBLCMZAQ-GFCCVEGCSA-N 0 3 219.328 2.796 20 0 BFADHN CC[C@@H](NC[C@@H](C)CCO)c1ccccc1F ZINC000164068028 386582473 /nfs/dbraw/zinc/58/24/73/386582473.db2.gz AXVNIPPYANZZOB-SMDDNHRTSA-N 0 3 239.334 2.885 20 0 BFADHN CCN(Cc1ccc(C)nn1)CC(C)(C)C ZINC000414458317 386583808 /nfs/dbraw/zinc/58/38/08/386583808.db2.gz TZTRYOBERDZQHB-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN C[C@@H](O)CN(C)[C@@H](C)c1cc2ccccc2o1 ZINC000187721944 386584024 /nfs/dbraw/zinc/58/40/24/386584024.db2.gz PUAXHOPJRUEGHT-MNOVXSKESA-N 0 3 233.311 2.806 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cccnc1)CC(C)C ZINC000417365364 386586619 /nfs/dbraw/zinc/58/66/19/386586619.db2.gz MRWPDKDFPBBQNT-GXTWGEPZSA-N 0 3 236.359 2.793 20 0 BFADHN CCC[C@H](N[C@H](C)CO)c1ccsc1 ZINC000187738071 386588790 /nfs/dbraw/zinc/58/87/90/386588790.db2.gz RILSJOFCSMQIMV-KOLCDFICSA-N 0 3 213.346 2.560 20 0 BFADHN CCc1cc(NCCCCO)c2ccccc2n1 ZINC000278846965 386593131 /nfs/dbraw/zinc/59/31/31/386593131.db2.gz WXZGXNKWVOEWOI-UHFFFAOYSA-N 0 3 244.338 2.982 20 0 BFADHN CSC1(CN[C@@H](C)c2ccc(F)cn2)CC1 ZINC000228024265 386530939 /nfs/dbraw/zinc/53/09/39/386530939.db2.gz DVHJYCMDFAUHII-VIFPVBQESA-N 0 3 240.347 2.767 20 0 BFADHN CCC1(CNCc2cn(C)nc2C(F)F)CC1 ZINC000291299178 386533511 /nfs/dbraw/zinc/53/35/11/386533511.db2.gz WKPHHKOZWVNWMS-UHFFFAOYSA-N 0 3 243.301 2.638 20 0 BFADHN C[C@@H](O)CCN(C)[C@@H]1CCc2ccc(F)cc21 ZINC000267399788 386536757 /nfs/dbraw/zinc/53/67/57/386536757.db2.gz ZINGNIAYVSEVFT-QMTHXVAHSA-N 0 3 237.318 2.516 20 0 BFADHN Cc1occc1CN(CC1CCC1)[C@H](C)CO ZINC000414451376 386537856 /nfs/dbraw/zinc/53/78/56/386537856.db2.gz NKNSFAIXWCMRQI-LLVKDONJSA-N 0 3 237.343 2.571 20 0 BFADHN CCc1ccc([C@H](C)NCC2(CC)COC2)o1 ZINC000453387124 386540194 /nfs/dbraw/zinc/54/01/94/386540194.db2.gz WBVAJKXUTBMOGN-NSHDSACASA-N 0 3 237.343 2.919 20 0 BFADHN CN(C)CCN(C)Cc1ccc2ccccc2c1 ZINC000340664018 386547146 /nfs/dbraw/zinc/54/71/46/386547146.db2.gz VYGSSPOGLFPIQS-UHFFFAOYSA-N 0 3 242.366 2.833 20 0 BFADHN CCCCNCc1ccc(Br)cn1 ZINC000061862817 386550052 /nfs/dbraw/zinc/55/00/52/386550052.db2.gz SJNWYQFDJHAFTQ-UHFFFAOYSA-N 0 3 243.148 2.734 20 0 BFADHN C[C@@H](CN(C)Cc1cncn1C)c1ccccc1 ZINC000267569195 386554336 /nfs/dbraw/zinc/55/43/36/386554336.db2.gz CXEFORHIHPHTAL-ZDUSSCGKSA-N 0 3 243.354 2.656 20 0 BFADHN C1CC[C@H]2[C@H](C1)N1CCN2CC2CCC(CC2)C1 ZINC000339733495 386554886 /nfs/dbraw/zinc/55/48/86/386554886.db2.gz LGPVKTWHLCUERV-CKUJCDMFSA-N 0 3 248.414 2.735 20 0 BFADHN CC[C@@H](CSC)NCc1cn2ccccc2n1 ZINC000163865022 386556722 /nfs/dbraw/zinc/55/67/22/386556722.db2.gz YUFSQUCCKSBCQA-NSHDSACASA-N 0 3 249.383 2.566 20 0 BFADHN CCc1ccc(CNCc2cn(C)nc2C)s1 ZINC000123611779 386558925 /nfs/dbraw/zinc/55/89/25/386558925.db2.gz ZCHSKGXUUUXBTB-UHFFFAOYSA-N 0 3 249.383 2.642 20 0 BFADHN CC(C)N(Cc1cncn1C(C)C)C1CC1 ZINC000425323259 386561618 /nfs/dbraw/zinc/56/16/18/386561618.db2.gz ITKKTDIXWQALJW-UHFFFAOYSA-N 0 3 221.348 2.837 20 0 BFADHN CC(C)n1cncc1CN(C)[C@@H](C)C1CC1 ZINC000425324836 386563010 /nfs/dbraw/zinc/56/30/10/386563010.db2.gz LENOXKNRBLUFNR-NSHDSACASA-N 0 3 221.348 2.694 20 0 BFADHN C[C@H](N[C@H]1CC[C@H](F)C1)c1ccccn1 ZINC000336756469 386567663 /nfs/dbraw/zinc/56/76/63/386567663.db2.gz JLFGMNIHQZYEIH-DCAQKATOSA-N 0 3 208.280 2.623 20 0 BFADHN CC(C)C[C@@H](C)N1CCO[C@@]2(CCSC2)C1 ZINC000335409229 386571109 /nfs/dbraw/zinc/57/11/09/386571109.db2.gz RIHADPRFLXARKP-OLZOCXBDSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H](C)C[C@H](C)O)o1 ZINC000228414924 386571371 /nfs/dbraw/zinc/57/13/71/386571371.db2.gz PMSFPHIOLZLXCB-SCVCMEIPSA-N 0 3 225.332 2.646 20 0 BFADHN C[C@H]1OCCN(CCCC(C)(C)C)[C@H]1C ZINC000337152061 386620136 /nfs/dbraw/zinc/62/01/36/386620136.db2.gz KNAGTKKIBQLTKT-NWDGAFQWSA-N 0 3 213.365 2.922 20 0 BFADHN C[C@@H]1OCCN(CCCC(C)(C)C)[C@H]1C ZINC000337152062 386621536 /nfs/dbraw/zinc/62/15/36/386621536.db2.gz KNAGTKKIBQLTKT-RYUDHWBXSA-N 0 3 213.365 2.922 20 0 BFADHN CC[C@@H](C)N(C)Cc1cc(OC)cc(C)n1 ZINC000339815532 386656491 /nfs/dbraw/zinc/65/64/91/386656491.db2.gz CSWWZDZONDVNNL-LLVKDONJSA-N 0 3 222.332 2.629 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CSC[C@H]2C)c1 ZINC000336781955 386623059 /nfs/dbraw/zinc/62/30/59/386623059.db2.gz WELTYUSEYHJVCE-ZWNOBZJWSA-N 0 3 239.359 2.975 20 0 BFADHN CC1(C)C[C@H]1NCc1ccc(N2CCCC2)nc1 ZINC000268178930 386628098 /nfs/dbraw/zinc/62/80/98/386628098.db2.gz IAUUTAIPYXSKLD-CYBMUJFWSA-N 0 3 245.370 2.570 20 0 BFADHN C(CN1CCOC[C@H]1CC1CCC1)C1CC1 ZINC000339779668 386628575 /nfs/dbraw/zinc/62/85/75/386628575.db2.gz WYFNKNAWHSAPCJ-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN Cc1cc(CNCC(C)(C)C2=CCCC2)nn1C ZINC000414465192 386629628 /nfs/dbraw/zinc/62/96/28/386629628.db2.gz WREGISRBJIBLMX-UHFFFAOYSA-N 0 3 247.386 2.955 20 0 BFADHN Cc1oncc1CN1CC[C@H](C)C[C@H](C)C1 ZINC000129381782 386630540 /nfs/dbraw/zinc/63/05/40/386630540.db2.gz KEQHRWAOAHMDGI-QWRGUYRKSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC[C@@H](C)C[C@@H]1C ZINC000336646458 386632015 /nfs/dbraw/zinc/63/20/15/386632015.db2.gz BFFUGSOSLOWCRW-WCABBAIRSA-N 0 3 239.388 2.761 20 0 BFADHN CC(C)C[C@H](C)CN1CCOC[C@@H]1C1CC1 ZINC000337170418 386632818 /nfs/dbraw/zinc/63/28/18/386632818.db2.gz CTXCAIWBYKYORW-GXTWGEPZSA-N 0 3 225.376 2.779 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnc2c(C)cccn12 ZINC000179324307 386637997 /nfs/dbraw/zinc/63/79/97/386637997.db2.gz XNMOIHDCTAWKIG-GFCCVEGCSA-N 0 3 231.343 2.873 20 0 BFADHN CC[C@H](C)N(C)Cc1cnc2c(C)cccn12 ZINC000179324315 386638309 /nfs/dbraw/zinc/63/83/09/386638309.db2.gz XNMOIHDCTAWKIG-LBPRGKRZSA-N 0 3 231.343 2.873 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnn(C(C)(C)C)c1 ZINC000179325396 386638535 /nfs/dbraw/zinc/63/85/35/386638535.db2.gz LNWUWZSDZDYWDM-LLVKDONJSA-N 0 3 223.364 2.868 20 0 BFADHN C[C@@H](NC/C=C\c1ccc(F)cc1)c1cn[nH]c1 ZINC000339792205 386640188 /nfs/dbraw/zinc/64/01/88/386640188.db2.gz NIJWCLNZIYPGOQ-OTDNITJGSA-N 0 3 245.301 2.913 20 0 BFADHN COC(C)(C)[C@H](C)N[C@H]1COCc2ccccc21 ZINC000417368465 386644655 /nfs/dbraw/zinc/64/46/55/386644655.db2.gz QXPWARBIDOBBRH-FZMZJTMJSA-N 0 3 249.354 2.661 20 0 BFADHN CO[C@@H](C)CCN[C@@H](C)c1csc(C)n1 ZINC000269390803 386646785 /nfs/dbraw/zinc/64/67/85/386646785.db2.gz CNHYOUUGWJHXMN-IUCAKERBSA-N 0 3 228.361 2.527 20 0 BFADHN Cc1cc(CN2CCC(C(C)(C)C)CC2)n(C)n1 ZINC000417747727 386648854 /nfs/dbraw/zinc/64/88/54/386648854.db2.gz IXIIIFNFNONNJY-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN CC(C)CO[C@H]1CCN([C@H](C)c2cccnc2)C1 ZINC000268393163 386649904 /nfs/dbraw/zinc/64/99/04/386649904.db2.gz RGWDQEAKTVVLII-HIFRSBDPSA-N 0 3 248.370 2.890 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1cc(C)n(C)n1 ZINC000414472065 386650925 /nfs/dbraw/zinc/65/09/25/386650925.db2.gz RZNQBZULWWMPII-LBPRGKRZSA-N 0 3 235.375 2.953 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1c(C)cnn1C ZINC000453613821 386651664 /nfs/dbraw/zinc/65/16/64/386651664.db2.gz OZSPPLFJEDJCFB-UONOGXRCSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1cc(CN2CCC3(C2)CCCCC3)ncn1 ZINC000292336623 386652708 /nfs/dbraw/zinc/65/27/08/386652708.db2.gz CPIKAPDBYDVJBT-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN CC[C@H]1CCCN(Cc2cncn2CC)C1 ZINC000417729195 386603342 /nfs/dbraw/zinc/60/33/42/386603342.db2.gz LBMQEWZBKXBFRH-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN CC(C)C(=O)NCCN(C)CCCC(C)(C)C ZINC000337184075 386654922 /nfs/dbraw/zinc/65/49/22/386654922.db2.gz NYLCDRMGLMYBCH-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN Cc1ccc(F)c(CNC[C@H]2CCSC2)c1 ZINC000228731296 386607751 /nfs/dbraw/zinc/60/77/51/386607751.db2.gz IDAOSYPNWJZJFH-LLVKDONJSA-N 0 3 239.359 2.977 20 0 BFADHN Cc1nc2ccccc2nc1CNCC1(C)CC1 ZINC000638722759 386608277 /nfs/dbraw/zinc/60/82/77/386608277.db2.gz USTHVXPOSAIUPY-UHFFFAOYSA-N 0 3 241.338 2.828 20 0 BFADHN FCCCCN1CCOC[C@@H]1CC1CCC1 ZINC000339771944 386611507 /nfs/dbraw/zinc/61/15/07/386611507.db2.gz ODZCLQPTMPORIH-ZDUSSCGKSA-N 0 3 229.339 2.627 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1nccc(C)n1 ZINC000335494097 386613602 /nfs/dbraw/zinc/61/36/02/386613602.db2.gz VZVOHDKXOWVVBB-CYBMUJFWSA-N 0 3 233.359 2.940 20 0 BFADHN CC[C@H]1CN(CCCC(C)(C)C)CCO1 ZINC000337131443 386617803 /nfs/dbraw/zinc/61/78/03/386617803.db2.gz KNVSOTZICBRSTB-LBPRGKRZSA-N 0 3 213.365 2.924 20 0 BFADHN C[C@H](F)CCN1CCOC[C@H]1CC1CCC1 ZINC000339773478 386618068 /nfs/dbraw/zinc/61/80/68/386618068.db2.gz RYGXLMKDVFTLPG-WCQYABFASA-N 0 3 229.339 2.626 20 0 BFADHN CC(C)C[C@H](C)CN(C)Cc1ccnn1C ZINC000337219154 386660852 /nfs/dbraw/zinc/66/08/52/386660852.db2.gz BFNWSNFSYBWUAG-LBPRGKRZSA-N 0 3 223.364 2.534 20 0 BFADHN CC1(CN2CCCC[C@H]2C(N)=O)CCC1 ZINC000335521930 386661338 /nfs/dbraw/zinc/66/13/38/386661338.db2.gz FVKPXQKIRXGNNN-JTQLQIEISA-N 0 3 210.321 2.566 20 0 BFADHN CCCN(Cc1ccc(C)o1)[C@H]1CCN(C)C1 ZINC000648057213 386663739 /nfs/dbraw/zinc/66/37/39/386663739.db2.gz KUYCARLBRMMNNW-ZDUSSCGKSA-N 0 3 236.359 2.504 20 0 BFADHN CCC[C@@H](O)CNCc1ccc(SC)s1 ZINC000336738927 386664571 /nfs/dbraw/zinc/66/45/71/386664571.db2.gz RWPFCUVGOZMYBN-SECBINFHSA-N 0 3 245.413 2.721 20 0 BFADHN CC(C)(C)CCCN1CCO[C@H](C2CC2)C1 ZINC000337207488 386667525 /nfs/dbraw/zinc/66/75/25/386667525.db2.gz ORZHAJCPLOUPEY-ZDUSSCGKSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1CCN(Cc2cnc(C3CC3)nc2)[C@H](C)C1 ZINC000335528378 386672953 /nfs/dbraw/zinc/67/29/53/386672953.db2.gz NFGSVHXBVQFJFJ-VXGBXAGGSA-N 0 3 245.370 2.974 20 0 BFADHN Cc1ccc(CNC[C@H]2CCC[C@H]2C2CC2)nn1 ZINC000414477802 386676103 /nfs/dbraw/zinc/67/61/03/386676103.db2.gz CMENZAONSHPBTF-HIFRSBDPSA-N 0 3 245.370 2.701 20 0 BFADHN CCc1ccc([C@H](C)NCC[C@H](C)OC)o1 ZINC000268895316 386679548 /nfs/dbraw/zinc/67/95/48/386679548.db2.gz ZVRAPYLBLUTTHG-QWRGUYRKSA-N 0 3 225.332 2.918 20 0 BFADHN Cc1noc(CCCN(C)[C@H](C)c2ccco2)n1 ZINC000268685488 386681281 /nfs/dbraw/zinc/68/12/81/386681281.db2.gz PNUZIQBRKPBPKW-SNVBAGLBSA-N 0 3 249.314 2.597 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@H](C)[C@H]2C)cc1 ZINC000357003193 386681706 /nfs/dbraw/zinc/68/17/06/386681706.db2.gz NXVQAWCCRSFFIH-ZIAGYGMSSA-N 0 3 232.371 2.519 20 0 BFADHN CC[C@H]1C[C@@H](CN(C)Cc2ccoc2)CCO1 ZINC000357003635 386681935 /nfs/dbraw/zinc/68/19/35/386681935.db2.gz ZNPYTMCDMSGWOI-JSGCOSHPSA-N 0 3 237.343 2.917 20 0 BFADHN Cc1ccc(CN(C[C@@H](O)C(C)C)C2CC2)o1 ZINC000644990198 386656606 /nfs/dbraw/zinc/65/66/06/386656606.db2.gz HRCRWVHMAPSSOL-CQSZACIVSA-N 0 3 237.343 2.569 20 0 BFADHN CC[C@H]1CN(CC)CCN1Cc1ccoc1C ZINC000414473429 386657716 /nfs/dbraw/zinc/65/77/16/386657716.db2.gz VQDFCERDFUOBSF-AWEZNQCLSA-N 0 3 236.359 2.504 20 0 BFADHN C1=CC[C@H](CN2CCO[C@@H](C3CCC3)C2)CC1 ZINC000414473382 386658274 /nfs/dbraw/zinc/65/82/74/386658274.db2.gz QMMOHZXFDQCTLA-DZGCQCFKSA-N 0 3 235.371 2.844 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2cnccn2)[C@@H]1C ZINC000520358307 386658579 /nfs/dbraw/zinc/65/85/79/386658579.db2.gz NGTCJILCYNLFDL-UPJWGTAASA-N 0 3 233.359 2.639 20 0 BFADHN CC[C@@H]1CN(CC)CCN1Cc1ccoc1C ZINC000414473430 386658764 /nfs/dbraw/zinc/65/87/64/386658764.db2.gz VQDFCERDFUOBSF-CQSZACIVSA-N 0 3 236.359 2.504 20 0 BFADHN C[C@H](NCCF)c1cc2c(s1)CCC2 ZINC000336647090 386658788 /nfs/dbraw/zinc/65/87/88/386658788.db2.gz DZMQLNBTVIRCFB-QMMMGPOBSA-N 0 3 213.321 2.857 20 0 BFADHN Cc1cnccc1SCCN1CCCCC1 ZINC000292687713 386709020 /nfs/dbraw/zinc/70/90/20/386709020.db2.gz FUKFBPZWTQFYAC-UHFFFAOYSA-N 0 3 236.384 2.968 20 0 BFADHN CCn1ccc(CNC[C@@H]2CCC[C@@H](C)C2)n1 ZINC000414487913 386709495 /nfs/dbraw/zinc/70/94/95/386709495.db2.gz WBICDPXIPHKMHO-CHWSQXEVSA-N 0 3 235.375 2.819 20 0 BFADHN CC[C@H]1CCN1Cc1ccccc1NC(C)=O ZINC000292690280 386710609 /nfs/dbraw/zinc/71/06/09/386710609.db2.gz BJWSDZGPYNCWDA-ZDUSSCGKSA-N 0 3 232.327 2.629 20 0 BFADHN COc1cc(C)nc(CN(C)[C@H](C)C2CC2)c1 ZINC000339832756 386686094 /nfs/dbraw/zinc/68/60/94/386686094.db2.gz GNLLZRFBFUYQJS-LLVKDONJSA-N 0 3 234.343 2.629 20 0 BFADHN Cn1ccc(CN2CCOCC23CCCCC3)c1 ZINC000335470164 386688903 /nfs/dbraw/zinc/68/89/03/386688903.db2.gz AHLPRCWBYDXCHD-UHFFFAOYSA-N 0 3 248.370 2.560 20 0 BFADHN CC[C@H]1CCN1Cc1ccc(N(C)C(C)C)nc1 ZINC000292701189 386711627 /nfs/dbraw/zinc/71/16/27/386711627.db2.gz CIMAFGHBGFHOMI-AWEZNQCLSA-N 0 3 247.386 2.911 20 0 BFADHN Cc1c[nH]nc1CNCC(C)(C)c1ccccc1 ZINC000352838603 386689250 /nfs/dbraw/zinc/68/92/50/386689250.db2.gz FZOJTZIBXHMRLL-UHFFFAOYSA-N 0 3 243.354 2.786 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@@H]1CCCc2occc21 ZINC000192859072 386690825 /nfs/dbraw/zinc/69/08/25/386690825.db2.gz AYMHZOVQMFCQNX-UHTWSYAYSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1noc(C)c1CN(C)[C@@H](C)C1CCC1 ZINC000357025911 386693950 /nfs/dbraw/zinc/69/39/50/386693950.db2.gz QHIDHQPMUYDVTE-JTQLQIEISA-N 0 3 222.332 2.912 20 0 BFADHN CCc1ccc(CN2CCN(C)[C@@H](C)[C@@H]2C)cc1 ZINC000357076217 386711841 /nfs/dbraw/zinc/71/18/41/386711841.db2.gz WLXPZPKOKBFPNG-KBPBESRZSA-N 0 3 246.398 2.773 20 0 BFADHN C[C@@H](C1CCC1)N(C)Cc1cnc2ccccn12 ZINC000357030578 386695773 /nfs/dbraw/zinc/69/57/73/386695773.db2.gz FJOCAMDSIKQVFG-LBPRGKRZSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cc(C)nn2C)C1 ZINC000417770012 386696576 /nfs/dbraw/zinc/69/65/76/386696576.db2.gz DZGGMKWQDDJPJD-CQSZACIVSA-N 0 3 235.375 2.741 20 0 BFADHN CCn1ccc(CNCC2CCCCC2)n1 ZINC000414484008 386699011 /nfs/dbraw/zinc/69/90/11/386699011.db2.gz PGKHQOFUNQIBER-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CCc1nocc1CN1CCCC[C@H]1C ZINC000647956849 386699344 /nfs/dbraw/zinc/69/93/44/386699344.db2.gz LLWJFEWAIKGJCJ-SNVBAGLBSA-N 0 3 208.305 2.611 20 0 BFADHN CC1(C)C[C@@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000414488941 386700521 /nfs/dbraw/zinc/70/05/21/386700521.db2.gz ROCBZZSPTMQZPY-LBPRGKRZSA-N 0 3 231.299 2.558 20 0 BFADHN FC(F)O[C@H]1CCC[C@@H]1NCc1ccsc1 ZINC000337284748 386701050 /nfs/dbraw/zinc/70/10/50/386701050.db2.gz JRFMOXUTJVSXDG-UWVGGRQHSA-N 0 3 247.310 2.998 20 0 BFADHN C[C@H](CO)CN[C@H]1CC(C)(C)Cc2occc21 ZINC000268834085 386701111 /nfs/dbraw/zinc/70/11/11/386701111.db2.gz LHCULVBKIRRUDH-JQWIXIFHSA-N 0 3 237.343 2.511 20 0 BFADHN FC(F)O[C@H]1CCC[C@H]1NCc1ccsc1 ZINC000337284750 386701454 /nfs/dbraw/zinc/70/14/54/386701454.db2.gz JRFMOXUTJVSXDG-ZJUUUORDSA-N 0 3 247.310 2.998 20 0 BFADHN CCN(CC)Cc1ccnn1-c1ccc(F)cc1 ZINC000279554858 386701459 /nfs/dbraw/zinc/70/14/59/386701459.db2.gz DTMWPNDMUSTFHN-UHFFFAOYSA-N 0 3 247.317 2.853 20 0 BFADHN CCC[C@@H](NCc1ncccn1)C1CCC1 ZINC000324953019 386704196 /nfs/dbraw/zinc/70/41/96/386704196.db2.gz BYLOGUCLLSUUEZ-GFCCVEGCSA-N 0 3 219.332 2.535 20 0 BFADHN COc1cc(CN(C)[C@@H](C)C2CCC2)ccn1 ZINC000357050756 386704888 /nfs/dbraw/zinc/70/48/88/386704888.db2.gz YUXRKOYMOLLRNW-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN CCc1ccc(CN(CC)C[C@H]2CCOC2)nc1 ZINC000645077488 386704920 /nfs/dbraw/zinc/70/49/20/386704920.db2.gz JLSRTFNROFLUID-CQSZACIVSA-N 0 3 248.370 2.502 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C[C@H](C)C2)n(C)n1 ZINC000417776215 386708078 /nfs/dbraw/zinc/70/80/78/386708078.db2.gz AERGXCJPCNKPNY-RYUDHWBXSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1ccoc1CN(C)C[C@@H]1CCSC1 ZINC000292827554 386732407 /nfs/dbraw/zinc/73/24/07/386732407.db2.gz QSTHHVAUNVCVBA-NSHDSACASA-N 0 3 225.357 2.773 20 0 BFADHN COc1cc(C)ccc1CN[C@@H](C)[C@H](C)OC ZINC000269009296 386738380 /nfs/dbraw/zinc/73/83/80/386738380.db2.gz MBTUJXFSBKJNAN-RYUDHWBXSA-N 0 3 237.343 2.517 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1ccco1)C1CC1 ZINC000414496197 386738492 /nfs/dbraw/zinc/73/84/92/386738492.db2.gz DBQYOOGDRWKXCV-GWCFXTLKSA-N 0 3 223.316 2.573 20 0 BFADHN C[C@@H](NCc1ccon1)[C@H](C)c1ccccc1 ZINC000268888578 386713809 /nfs/dbraw/zinc/71/38/09/386713809.db2.gz CPLHKAMWJWGFOG-NWDGAFQWSA-N 0 3 230.311 2.956 20 0 BFADHN CN(Cc1ccoc1)C[C@H](O)C1CCCCC1 ZINC000352847938 386717151 /nfs/dbraw/zinc/71/71/51/386717151.db2.gz AVCDAGKMNUXHGP-AWEZNQCLSA-N 0 3 237.343 2.653 20 0 BFADHN CC(C)=CCC[C@@H](C)N[C@H](C)c1nncn1C ZINC000268905940 386717924 /nfs/dbraw/zinc/71/79/24/386717924.db2.gz NCVKPTVQJNAODM-VXGBXAGGSA-N 0 3 236.363 2.601 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](CCO)C(C)C)o1 ZINC000268894921 386718004 /nfs/dbraw/zinc/71/80/04/386718004.db2.gz NOPINUWFONNUQT-DGCLKSJQSA-N 0 3 239.359 2.900 20 0 BFADHN FC1(CN[C@@H]2CCO[C@H](c3ccccc3)C2)CC1 ZINC000526984890 386718301 /nfs/dbraw/zinc/71/83/01/386718301.db2.gz VLLMBKZIYWERCG-KGLIPLIRSA-N 0 3 249.329 2.998 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCCOC2)c(C)c1 ZINC000339866221 386721962 /nfs/dbraw/zinc/72/19/62/386721962.db2.gz NFVBZBPFKWWXQR-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN CCn1cncc1CN1CC[C@H](CC(C)C)C1 ZINC000417787682 386722844 /nfs/dbraw/zinc/72/28/44/386722844.db2.gz GESYEDVJHXUYOJ-CYBMUJFWSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1cnccc1CNC(C)(C)COC(C)C ZINC000396318011 386724625 /nfs/dbraw/zinc/72/46/25/386724625.db2.gz GVFBWVVPBFFWPM-UHFFFAOYSA-N 0 3 236.359 2.683 20 0 BFADHN COCCN(CC1(F)CC1)[C@@H]1CC[C@@H](C)C1 ZINC000526988046 386725187 /nfs/dbraw/zinc/72/51/87/386725187.db2.gz OJIGIPPRGLMZCH-VXGBXAGGSA-N 0 3 229.339 2.626 20 0 BFADHN Cc1ccc(-c2nn(C)cc2CN(C)C(C)C)o1 ZINC000647998795 386725600 /nfs/dbraw/zinc/72/56/00/386725600.db2.gz JXORAUSNIMKZLU-UHFFFAOYSA-N 0 3 247.342 2.829 20 0 BFADHN CN(Cc1cc2ccccc2o1)[C@H]1CCOC1 ZINC000268955240 386726793 /nfs/dbraw/zinc/72/67/93/386726793.db2.gz GPKXXOAMBYIRSO-LBPRGKRZSA-N 0 3 231.295 2.654 20 0 BFADHN CCc1ccc(CN(C)[C@@H]2CCCOC2)cc1 ZINC000339869073 386728013 /nfs/dbraw/zinc/72/80/13/386728013.db2.gz ZIPGPSSPDREHMK-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN Cc1ccn2cc(CN[C@@H](C)C3CCC3)nc2c1 ZINC000268954706 386729004 /nfs/dbraw/zinc/72/90/04/386729004.db2.gz YKSHWAGUVDNDJK-LBPRGKRZSA-N 0 3 243.354 2.921 20 0 BFADHN COC[C@H](C)N1Cc2ccccc2[C@@H]1C ZINC000334151679 386749010 /nfs/dbraw/zinc/74/90/10/386749010.db2.gz RQUSVXIENBTKAP-QWRGUYRKSA-N 0 3 205.301 2.598 20 0 BFADHN CCn1cncc1CN1CCCC(C)(C)CC1 ZINC000417796197 386754268 /nfs/dbraw/zinc/75/42/68/386754268.db2.gz RTIFLJCUTBRICI-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN C[C@H](CCNCc1cc[nH]n1)CC(C)(C)C ZINC000191117838 386754473 /nfs/dbraw/zinc/75/44/73/386754473.db2.gz HNXXOVXFWOEILP-LLVKDONJSA-N 0 3 223.364 2.962 20 0 BFADHN COCCN[C@H](C)c1csc(Cl)c1 ZINC000191108761 386754520 /nfs/dbraw/zinc/75/45/20/386754520.db2.gz VNPLPAMOKPEAEO-SSDOTTSWSA-N 0 3 219.737 2.699 20 0 BFADHN CC1(C)C[C@H](N[C@@H]2CCc3c2cccc3F)CO1 ZINC000396509579 386769781 /nfs/dbraw/zinc/76/97/81/386769781.db2.gz AOXQMKWIRRBDTG-IINYFYTJSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1nocc1CN(C)C[C@@H]1CC=CCC1 ZINC000352855211 386760703 /nfs/dbraw/zinc/76/07/03/386760703.db2.gz BGZHQBXFBAZIFD-GFCCVEGCSA-N 0 3 220.316 2.771 20 0 BFADHN CC(C)N(CC[C@H]1CCCO1)Cc1ccccn1 ZINC000527050667 386764287 /nfs/dbraw/zinc/76/42/87/386764287.db2.gz CPKAIBAQOAPDGL-OAHLLOKOSA-N 0 3 248.370 2.861 20 0 BFADHN CC[C@H]1CN(CC)CCN1[C@@H]1C=CCCC1 ZINC000334634812 386767823 /nfs/dbraw/zinc/76/78/23/386767823.db2.gz POFNTQFPNRVYMY-UONOGXRCSA-N 0 3 222.376 2.511 20 0 BFADHN C[C@@H](N[C@@H]1CSC1(C)C)c1ccccn1 ZINC000282975490 386791932 /nfs/dbraw/zinc/79/19/32/386791932.db2.gz DLLRCMKUBPSNLR-MWLCHTKSSA-N 0 3 222.357 2.626 20 0 BFADHN CC(C)[C@H](c1ccccc1Cl)N(C)CCO ZINC000340866623 386792297 /nfs/dbraw/zinc/79/22/97/386792297.db2.gz WKALBAOELFNTKE-CYBMUJFWSA-N 0 3 241.762 2.961 20 0 BFADHN CC[C@]1(C)COCCN1Cc1cccc(C)c1 ZINC000340868854 386792978 /nfs/dbraw/zinc/79/29/78/386792978.db2.gz JVDXJDQYTCGSTG-OAHLLOKOSA-N 0 3 233.355 2.996 20 0 BFADHN c1cncc([C@H](NC[C@H]2CCCO2)C2CCC2)c1 ZINC000280255499 386794345 /nfs/dbraw/zinc/79/43/45/386794345.db2.gz JGDIWMVMTMBEQR-HUUCEWRRSA-N 0 3 246.354 2.691 20 0 BFADHN CCn1nncc1CN[C@@H](C)CCCC(C)C ZINC000417840389 386795671 /nfs/dbraw/zinc/79/56/71/386795671.db2.gz MFERVVNZEBBSOI-LBPRGKRZSA-N 0 3 238.379 2.602 20 0 BFADHN C[C@@H](CO)CN[C@H](C)c1csc(Cl)c1 ZINC000191204321 386775520 /nfs/dbraw/zinc/77/55/20/386775520.db2.gz WYGXEQMZPUTHAT-HTQZYQBOSA-N 0 3 233.764 2.681 20 0 BFADHN CO[C@H](C)CNCc1ccc(F)cc1Cl ZINC000191221421 386777248 /nfs/dbraw/zinc/77/72/48/386777248.db2.gz LHWXNNBYZBKDJQ-MRVPVSSYSA-N 0 3 231.698 2.604 20 0 BFADHN Cc1cc(CN[C@H]2COC(C)(C)C2)ccc1F ZINC000396587761 386777207 /nfs/dbraw/zinc/77/72/07/386777207.db2.gz KWCFAMWOGSNQOD-GFCCVEGCSA-N 0 3 237.318 2.791 20 0 BFADHN CCn1cncc1CN1CC[C@@](C)(CC)C1 ZINC000417802652 386777990 /nfs/dbraw/zinc/77/79/90/386777990.db2.gz BBWYOGRYROGMGC-CYBMUJFWSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1cncc1CN1CCC(CC)(CC)C1 ZINC000417803640 386780197 /nfs/dbraw/zinc/78/01/97/386780197.db2.gz YNYJDIXMFATEEH-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CC(C)CC[C@@H](O)CN[C@@H](C)c1cccnc1 ZINC000280123788 386780884 /nfs/dbraw/zinc/78/08/84/386780884.db2.gz ACNBRMSUUPFAJL-GXTWGEPZSA-N 0 3 236.359 2.529 20 0 BFADHN CC1(C)C[C@H](NC/C=C/c2ccccc2)CO1 ZINC000396578726 386782631 /nfs/dbraw/zinc/78/26/31/386782631.db2.gz GVFZCMAKJILOIO-MRZGDXHCSA-N 0 3 231.339 2.857 20 0 BFADHN CCn1nnc(C)c1CNCCCC(C)(C)C ZINC000520724424 386782566 /nfs/dbraw/zinc/78/25/66/386782566.db2.gz HFAIBWRDXAINCN-UHFFFAOYSA-N 0 3 238.379 2.522 20 0 BFADHN C[C@@H](CC1CCCCC1)NCc1ncc[nH]1 ZINC000336667004 386785640 /nfs/dbraw/zinc/78/56/40/386785640.db2.gz FJFOIEKNSNHFON-NSHDSACASA-N 0 3 221.348 2.858 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@H](C)[C@@H](C)C2)nn1 ZINC000396595939 386786059 /nfs/dbraw/zinc/78/60/59/386786059.db2.gz YQTORHYVVZEQSW-GMXVVIOVSA-N 0 3 233.359 2.699 20 0 BFADHN CN(C)CCSCc1cc(C(C)(C)C)on1 ZINC000450867708 386788818 /nfs/dbraw/zinc/78/88/18/386788818.db2.gz LOCJFCARCAPNLY-UHFFFAOYSA-N 0 3 242.388 2.767 20 0 BFADHN Cc1cnc(CN[C@H]2C[C@H](C)C[C@H](C)C2)n1C ZINC000341006031 386817020 /nfs/dbraw/zinc/81/70/20/386817020.db2.gz GNNSCGOLIJFCQP-PJXYFTJBSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1sccc1CN(C)CCOCC1CC1 ZINC000293435916 386817120 /nfs/dbraw/zinc/81/71/20/386817120.db2.gz ABMQVIFXSQLGSF-UHFFFAOYSA-N 0 3 239.384 2.915 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccsc1C ZINC000293331317 386818533 /nfs/dbraw/zinc/81/85/33/386818533.db2.gz RRCXNENXCGZOED-DTWKUNHWSA-N 0 3 213.346 2.570 20 0 BFADHN CC(C)Cn1nccc1CN1CCCC1(C)C ZINC000648005257 386820938 /nfs/dbraw/zinc/82/09/38/386820938.db2.gz YSZUJLHLEWXVIV-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1cnc(CN2[C@H](C)C[C@H]3CCCC[C@@H]32)nc1 ZINC000340891131 386799437 /nfs/dbraw/zinc/79/94/37/386799437.db2.gz NBJQTLKMQBYGCE-MCIONIFRSA-N 0 3 245.370 2.938 20 0 BFADHN CCN(Cc1ccc(Cl)c(F)c1)[C@@H](C)CO ZINC000293336517 386803719 /nfs/dbraw/zinc/80/37/19/386803719.db2.gz GWOSCLAWNXVDNS-VIFPVBQESA-N 0 3 245.725 2.682 20 0 BFADHN CC[C@@H](NCc1ccnc(C)n1)[C@@H]1CC1(C)C ZINC000397671491 386807786 /nfs/dbraw/zinc/80/77/86/386807786.db2.gz QJOUDDIHACOKQH-QWHCGFSZSA-N 0 3 233.359 2.699 20 0 BFADHN CCC[C@H](CC)NC(=O)CN1[C@H](C)CC[C@@H]1C ZINC000344938856 386810277 /nfs/dbraw/zinc/81/02/77/386810277.db2.gz XKVZKPACKXIDBS-XQQFMLRXSA-N 0 3 240.391 2.554 20 0 BFADHN Cc1nocc1CNC[C@H]1CCC(F)(F)C1 ZINC000293320859 386812690 /nfs/dbraw/zinc/81/26/90/386812690.db2.gz QXORMAGTNYQDNO-VIFPVBQESA-N 0 3 230.258 2.508 20 0 BFADHN COCc1cccc(CN2C[C@H](C)[C@H]2C)c1 ZINC000293395929 386813451 /nfs/dbraw/zinc/81/34/51/386813451.db2.gz LCHDZRHRDUEBRD-NWDGAFQWSA-N 0 3 219.328 2.673 20 0 BFADHN CCCCN(CC)C(=O)CN(C)C[C@@H](C)CC ZINC000341003732 386814721 /nfs/dbraw/zinc/81/47/21/386814721.db2.gz AAFZPBXIAUXDMA-ZDUSSCGKSA-N 0 3 242.407 2.613 20 0 BFADHN C[C@H]1CSCCN1C[C@@H]1CCC(F)(F)C1 ZINC000334776119 386829145 /nfs/dbraw/zinc/82/91/45/386829145.db2.gz GINIUNBKDBCPCR-VHSXEESVSA-N 0 3 235.343 2.859 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC[C@@H](C)[C@H](C)C1 ZINC000336673377 386832238 /nfs/dbraw/zinc/83/22/38/386832238.db2.gz OSFDWFSLEVGIGS-FXPVBKGRSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1nc(C)c(CN2C[C@@H](C)[C@H]2C)s1 ZINC000293538894 386832553 /nfs/dbraw/zinc/83/25/53/386832553.db2.gz XUAYGCMWRSTKTC-VXNVDRBHSA-N 0 3 210.346 2.600 20 0 BFADHN COc1ncc([C@H](C)NC2CCC2)cc1Cl ZINC000336673543 386834305 /nfs/dbraw/zinc/83/43/05/386834305.db2.gz HVRLWZQZGPPYAF-QMMMGPOBSA-N 0 3 240.734 2.947 20 0 BFADHN C[C@H]1c2ccccc2CN1C[C@H]1CCCO1 ZINC000336471700 386839191 /nfs/dbraw/zinc/83/91/91/386839191.db2.gz QMMLWKRSAMIMEY-WCQYABFASA-N 0 3 217.312 2.742 20 0 BFADHN CCN(Cc1cncn1C(C)C)CC1CC1 ZINC000425339910 386839815 /nfs/dbraw/zinc/83/98/15/386839815.db2.gz UPXQXKDZGAWTSH-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN C(=C/c1ccncc1)\CNCc1ccsc1 ZINC000336674047 386840124 /nfs/dbraw/zinc/84/01/24/386840124.db2.gz OXCKCZVWOKEEGM-OWOJBTEDSA-N 0 3 230.336 2.946 20 0 BFADHN C[C@@H]1c2ccccc2CN1C[C@@H]1CCCO1 ZINC000336471701 386840151 /nfs/dbraw/zinc/84/01/51/386840151.db2.gz QMMLWKRSAMIMEY-YPMHNXCESA-N 0 3 217.312 2.742 20 0 BFADHN COC[C@H]1CCN1C[C@@H](C)c1ccccc1 ZINC000293571061 386840786 /nfs/dbraw/zinc/84/07/86/386840786.db2.gz MYJWLGHJVAAYID-TZMCWYRMSA-N 0 3 219.328 2.511 20 0 BFADHN Cc1ccncc1[C@H](C)NCCOC1CCCC1 ZINC000357777414 386842680 /nfs/dbraw/zinc/84/26/80/386842680.db2.gz JFQQNRBVQXJGLU-ZDUSSCGKSA-N 0 3 248.370 3.000 20 0 BFADHN Cc1cnc(CNC[C@H]2CCC[C@@H](C)C2)n1C ZINC000341123374 386843892 /nfs/dbraw/zinc/84/38/92/386843892.db2.gz MYOOXDARLGWQAW-YPMHNXCESA-N 0 3 235.375 2.644 20 0 BFADHN CCN(Cc1cncn1C(C)C)C1CCC1 ZINC000425334141 386847573 /nfs/dbraw/zinc/84/75/73/386847573.db2.gz GMFJOTFKPULGKZ-UHFFFAOYSA-N 0 3 221.348 2.838 20 0 BFADHN CC[C@@H](O)CCCNCc1cccc(C)c1F ZINC000398596905 386849577 /nfs/dbraw/zinc/84/95/77/386849577.db2.gz JHKIDIBKMZPKJV-CYBMUJFWSA-N 0 3 239.334 2.775 20 0 BFADHN CC[C@@H](NCc1cocn1)C1CCCC1 ZINC000395080447 386850021 /nfs/dbraw/zinc/85/00/21/386850021.db2.gz OLHUFPAQKFBBSR-GFCCVEGCSA-N 0 3 208.305 2.733 20 0 BFADHN Fc1cccc(CNCC2(C(F)F)CC2)c1 ZINC000336674825 386850095 /nfs/dbraw/zinc/85/00/95/386850095.db2.gz KQPZLWZRVADSFD-UHFFFAOYSA-N 0 3 229.245 2.961 20 0 BFADHN CC(C)=CCN[C@@H](c1nc[nH]n1)C1CCCCC1 ZINC000293634875 386851024 /nfs/dbraw/zinc/85/10/24/386851024.db2.gz KMDXFGHQQWHQLR-CYBMUJFWSA-N 0 3 248.374 2.982 20 0 BFADHN CC(C)=CC[NH2+][C@@H](c1nnc[n-]1)C1CCCCC1 ZINC000293634875 386851032 /nfs/dbraw/zinc/85/10/32/386851032.db2.gz KMDXFGHQQWHQLR-CYBMUJFWSA-N 0 3 248.374 2.982 20 0 BFADHN CC(C)=CCN[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000293634875 386851039 /nfs/dbraw/zinc/85/10/39/386851039.db2.gz KMDXFGHQQWHQLR-CYBMUJFWSA-N 0 3 248.374 2.982 20 0 BFADHN CC/C=C\CCN1CCOC[C@@]1(C)CC ZINC000341306374 386892320 /nfs/dbraw/zinc/89/23/20/386892320.db2.gz WBNDBEQBVMLDMH-FMFIFOJESA-N 0 3 211.349 2.844 20 0 BFADHN Cc1ccc2nc(CN[C@H]3CC[C@@H](C)C3)cn2c1 ZINC000341362423 386893183 /nfs/dbraw/zinc/89/31/83/386893183.db2.gz PTJVNGMPXLWOIV-YPMHNXCESA-N 0 3 243.354 2.921 20 0 BFADHN C[C@H](N[C@@H]1CCC(C)(C)C1)c1ccn(C)n1 ZINC000334791777 386855258 /nfs/dbraw/zinc/85/52/58/386855258.db2.gz HZUUZYLHHQRQOE-WDEREUQCSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@H](NC1CCC(C)CC1)c1ccn(C)n1 ZINC000334791042 386855424 /nfs/dbraw/zinc/85/54/24/386855424.db2.gz FDIDHAIXWFIGFY-CXQJBGSLSA-N 0 3 221.348 2.649 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H]1CCc2c1cccc2O ZINC000425344649 386856884 /nfs/dbraw/zinc/85/68/84/386856884.db2.gz JCZHYJKOIVTSFB-YRGRVCCFSA-N 0 3 249.379 2.863 20 0 BFADHN C[C@@H](NC1CCC2(CC2)CC1)c1ccn(C)n1 ZINC000334792356 386857752 /nfs/dbraw/zinc/85/77/52/386857752.db2.gz LVEHCAKFLHZPLN-LLVKDONJSA-N 0 3 233.359 2.794 20 0 BFADHN Cc1noc(C2CN(C[C@H]3CCCC[C@@H]3C)C2)n1 ZINC000334793320 386859531 /nfs/dbraw/zinc/85/95/31/386859531.db2.gz ZKYWLILNDJIKBL-CMPLNLGQSA-N 0 3 249.358 2.604 20 0 BFADHN CC[C@@H](O)[C@H]1CCCCN1Cc1cccc(C)n1 ZINC000357910833 386862258 /nfs/dbraw/zinc/86/22/58/386862258.db2.gz CPYQHDJVUMBWLI-HUUCEWRRSA-N 0 3 248.370 2.515 20 0 BFADHN C[C@@H](NC[C@]1(O)CCCC1(C)C)c1ccccn1 ZINC000293707003 386864625 /nfs/dbraw/zinc/86/46/25/386864625.db2.gz SBXPULYYECTFFH-IUODEOHRSA-N 0 3 248.370 2.673 20 0 BFADHN Cc1cnc(CN(C)[C@H]2CCCC[C@@H]2C)nc1 ZINC000335744928 386865135 /nfs/dbraw/zinc/86/51/35/386865135.db2.gz AJWSJSVQWHKDBZ-STQMWFEESA-N 0 3 233.359 2.796 20 0 BFADHN OC[C@@H]1C=C[C@H](N[C@H](c2ccccc2)C2CC2)C1 ZINC000341246467 386865512 /nfs/dbraw/zinc/86/55/12/386865512.db2.gz JLUKPAVSGAZQBA-UHOFOFEASA-N 0 3 243.350 2.664 20 0 BFADHN FC(F)(F)C1=CCN(CC2CCOCC2)CC1 ZINC000335748134 386871247 /nfs/dbraw/zinc/87/12/47/386871247.db2.gz UEPLSWQLHWRTKY-UHFFFAOYSA-N 0 3 249.276 2.607 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@@H](C(C)(C)C)C1 ZINC000335747535 386871479 /nfs/dbraw/zinc/87/14/79/386871479.db2.gz JOSSVTHTUQOATA-VXGBXAGGSA-N 0 3 233.359 2.906 20 0 BFADHN CCc1ccc(CNC[C@@H]2CCC[C@@H]2OC)o1 ZINC000341276400 386874659 /nfs/dbraw/zinc/87/46/59/386874659.db2.gz ZUTYMDDYLGIWQH-FZMZJTMJSA-N 0 3 237.343 2.747 20 0 BFADHN CCn1cncc1CN1C[C@H](C)CC(C)(C)C1 ZINC000418007651 386875006 /nfs/dbraw/zinc/87/50/06/386875006.db2.gz QHZDRVUFIJIIQD-GFCCVEGCSA-N 0 3 235.375 2.771 20 0 BFADHN CCc1ccc(CNC[C@@H]2CCC[C@H]2OC)o1 ZINC000341276404 386875570 /nfs/dbraw/zinc/87/55/70/386875570.db2.gz ZUTYMDDYLGIWQH-SMDDNHRTSA-N 0 3 237.343 2.747 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@@H]2CCC2(C)C)[nH]1 ZINC000331762901 386895509 /nfs/dbraw/zinc/89/55/09/386895509.db2.gz JONSUSRCBYVGKM-VHSXEESVSA-N 0 3 236.363 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H]2CCC2(C)C)[n-]1 ZINC000331762901 386895511 /nfs/dbraw/zinc/89/55/11/386895511.db2.gz JONSUSRCBYVGKM-VHSXEESVSA-N 0 3 236.363 2.767 20 0 BFADHN Cc1occc1CN1CCCSCC1 ZINC000334836269 386879204 /nfs/dbraw/zinc/87/92/04/386879204.db2.gz LLAMDANAYOPVII-UHFFFAOYSA-N 0 3 211.330 2.527 20 0 BFADHN Cc1occc1CN1CCOC[C@H](C2CCC2)C1 ZINC000334837338 386879416 /nfs/dbraw/zinc/87/94/16/386879416.db2.gz XEGXQMMWPKYQMD-OAHLLOKOSA-N 0 3 249.354 2.837 20 0 BFADHN Cc1ccc(CN2CCSCC[C@@H]2C)cn1 ZINC000335754673 386880902 /nfs/dbraw/zinc/88/09/02/386880902.db2.gz GGKOKBVCEZHZJR-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN CC1=CCCN([C@@H](C)c2cccnc2)C1 ZINC000335754031 386880992 /nfs/dbraw/zinc/88/09/92/386880992.db2.gz IHHQFIONOSESOF-LBPRGKRZSA-N 0 3 202.301 2.795 20 0 BFADHN CCC1CC(N[C@H](C)c2cnn(C)c2C)C1 ZINC000336739539 386883809 /nfs/dbraw/zinc/88/38/09/386883809.db2.gz PGSUSGWCZGKJKR-OIKLOGQESA-N 0 3 221.348 2.568 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2ccc(C)nn2)C1 ZINC000334840359 386884679 /nfs/dbraw/zinc/88/46/79/386884679.db2.gz FKXOREZTXFZOEV-AWEZNQCLSA-N 0 3 233.359 2.797 20 0 BFADHN CC(C)(C)N(CC[C@@H]1CCCCO1)CC(N)=O ZINC000330805491 386895024 /nfs/dbraw/zinc/89/50/24/386895024.db2.gz CAQYNKKFHAHIOV-NSHDSACASA-N 0 3 242.363 2.581 20 0 BFADHN C[C@@H]1C[C@@H](O)CN1Cc1cc2cc(F)ccc2o1 ZINC000334841013 386886971 /nfs/dbraw/zinc/88/69/71/386886971.db2.gz WNFYSVLAUBUBJB-BXKDBHETSA-N 0 3 249.285 2.527 20 0 BFADHN Cc1ccc(CN2CCCC[C@H]2C(C)C)nn1 ZINC000334841138 386887004 /nfs/dbraw/zinc/88/70/04/386887004.db2.gz NFKZUQHYTIKANE-AWEZNQCLSA-N 0 3 233.359 2.796 20 0 BFADHN CC(C)COc1ccccc1CN[C@@H]1CCOC1 ZINC000341512978 386915104 /nfs/dbraw/zinc/91/51/04/386915104.db2.gz SXDADMBWZWQQRA-CQSZACIVSA-N 0 3 249.354 2.600 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@H]2CCC2(C)C)[nH]1 ZINC000331762900 386895681 /nfs/dbraw/zinc/89/56/81/386895681.db2.gz JONSUSRCBYVGKM-UWVGGRQHSA-N 0 3 236.363 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@H]2CCC2(C)C)[n-]1 ZINC000331762900 386895683 /nfs/dbraw/zinc/89/56/83/386895683.db2.gz JONSUSRCBYVGKM-UWVGGRQHSA-N 0 3 236.363 2.767 20 0 BFADHN CCc1ncc(CN2CCC[C@H](C)[C@H]2C)cn1 ZINC000334847795 386895820 /nfs/dbraw/zinc/89/58/20/386895820.db2.gz KFRIHQAOKUCGLN-NWDGAFQWSA-N 0 3 233.359 2.659 20 0 BFADHN Cc1occc1CN1CCC(n2ccnc2)CC1 ZINC000334849546 386898458 /nfs/dbraw/zinc/89/84/58/386898458.db2.gz UFOFYOKWQBCBDR-UHFFFAOYSA-N 0 3 245.326 2.622 20 0 BFADHN CCc1c(C)nc2ccccc2c1NC[C@H](C)O ZINC000302078383 386900456 /nfs/dbraw/zinc/90/04/56/386900456.db2.gz ZCQSAPYBDKDRGO-JTQLQIEISA-N 0 3 244.338 2.898 20 0 BFADHN Cc1occc1CN1CCC(CF)CC1 ZINC000334853750 386904083 /nfs/dbraw/zinc/90/40/83/386904083.db2.gz NNYZESXFNJDSFA-UHFFFAOYSA-N 0 3 211.280 2.770 20 0 BFADHN Cc1ccc2nccc(NCC3(C)COC3)c2c1 ZINC000302137054 386907111 /nfs/dbraw/zinc/90/71/11/386907111.db2.gz BSJOCTJWIHFNBV-UHFFFAOYSA-N 0 3 242.322 2.992 20 0 BFADHN Cc1ccc2nccc(N[C@H](C)[C@@H](C)CO)c2c1 ZINC000302166558 386908591 /nfs/dbraw/zinc/90/85/91/386908591.db2.gz RNUMQEBURBJLMY-NWDGAFQWSA-N 0 3 244.338 2.972 20 0 BFADHN CCn1cc(CN2CCCCC[C@@H]2C)cn1 ZINC000335766582 386908573 /nfs/dbraw/zinc/90/85/73/386908573.db2.gz ASMDEZYCRFMEMK-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2ccncc2Cl)C1 ZINC000335766044 386908698 /nfs/dbraw/zinc/90/86/98/386908698.db2.gz IUTSYEONMBKSTB-UWVGGRQHSA-N 0 3 224.735 2.965 20 0 BFADHN CC(C)=CCN1CCC(c2c[nH]cn2)CC1 ZINC000334857634 386909084 /nfs/dbraw/zinc/90/90/84/386909084.db2.gz QVLOJHZLEZTKLA-UHFFFAOYSA-N 0 3 219.332 2.555 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@@H](C3CC3)C2)cn1 ZINC000335768260 386911381 /nfs/dbraw/zinc/91/13/81/386911381.db2.gz LMKBVKYMKSWVQK-WCQYABFASA-N 0 3 231.343 2.578 20 0 BFADHN COC1(CNCc2ccc(Cl)o2)CCCC1 ZINC000336762447 386926427 /nfs/dbraw/zinc/92/64/27/386926427.db2.gz LIPUNHBEJJPXQM-UHFFFAOYSA-N 0 3 243.734 2.982 20 0 BFADHN Cc1ccc(CN2CCCCC[C@H]2C)nn1 ZINC000334868682 386928135 /nfs/dbraw/zinc/92/81/35/386928135.db2.gz QZGZNEYCZLDDKA-GFCCVEGCSA-N 0 3 219.332 2.550 20 0 BFADHN Cc1ccc(CN2CCCCC[C@@H]2C)nn1 ZINC000334868683 386928935 /nfs/dbraw/zinc/92/89/35/386928935.db2.gz QZGZNEYCZLDDKA-LBPRGKRZSA-N 0 3 219.332 2.550 20 0 BFADHN Cc1ccnc(CN2CC[C@@H](C)C[C@@H](C)C2)n1 ZINC000334816646 386943361 /nfs/dbraw/zinc/94/33/61/386943361.db2.gz UZVOMDIXHGFUOW-VXGBXAGGSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1CCO[C@@H]1C1CC1 ZINC000358372646 386943829 /nfs/dbraw/zinc/94/38/29/386943829.db2.gz WRWWHOZKCFHENU-TUKIKUTGSA-N 0 3 246.354 2.608 20 0 BFADHN CC[C@@H](COC)N[C@H](c1cccnc1)C1CC1 ZINC000358380124 386945221 /nfs/dbraw/zinc/94/52/21/386945221.db2.gz XBCXETAJGURNMU-KBPBESRZSA-N 0 3 234.343 2.547 20 0 BFADHN FC(F)(F)CCN1C[C@H]2CCCC[C@H]21 ZINC000368231642 386953295 /nfs/dbraw/zinc/95/32/95/386953295.db2.gz MXUHTCKDSFRCOR-RKDXNWHRSA-N 0 3 207.239 2.813 20 0 BFADHN FC1(F)CC(CN2CCOCC3(CCC3)C2)C1 ZINC000334823558 386954241 /nfs/dbraw/zinc/95/42/41/386954241.db2.gz JGKSLPCJRUVBBX-UHFFFAOYSA-N 0 3 245.313 2.534 20 0 BFADHN C[C@@H](N[C@H]1CC2CCC1CC2)c1ccn(C)n1 ZINC000334823692 386955295 /nfs/dbraw/zinc/95/52/95/386955295.db2.gz KAABAZHQYGKODZ-NPZBDFSRSA-N 0 3 233.359 2.649 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CCCCCF ZINC000341834397 386970701 /nfs/dbraw/zinc/97/07/01/386970701.db2.gz JTIGNXDXPYXNSI-NEPJUHHUSA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@@H](NCCN1CCC1)c1ccccc1F ZINC000352931549 386972362 /nfs/dbraw/zinc/97/23/62/386972362.db2.gz WSXBPTAJSUDLQU-CQSZACIVSA-N 0 3 236.334 2.572 20 0 BFADHN CN(Cc1ccc(C(F)(F)F)cn1)CC1CC1 ZINC000341834292 386972389 /nfs/dbraw/zinc/97/23/89/386972389.db2.gz RUCZTZLFKRAVHB-UHFFFAOYSA-N 0 3 244.260 2.942 20 0 BFADHN Cc1ccc(CN2CC([C@@H]3CCOC3)C2)cc1C ZINC000368442426 386973649 /nfs/dbraw/zinc/97/36/49/386973649.db2.gz PEBQFMZSDQJAQS-OAHLLOKOSA-N 0 3 245.366 2.772 20 0 BFADHN Cc1sccc1CN1CCN(C)[C@H](C)[C@@H]1C ZINC000352966069 386976056 /nfs/dbraw/zinc/97/60/56/386976056.db2.gz ZOYWVGCWVJVJIK-MNOVXSKESA-N 0 3 238.400 2.581 20 0 BFADHN CC(C)(C)[C@@H]1CCN(Cc2ccccc2)C[C@H]1O ZINC000352998763 386986563 /nfs/dbraw/zinc/98/65/63/386986563.db2.gz FBVLIWYLKUVCDQ-HUUCEWRRSA-N 0 3 247.382 2.916 20 0 BFADHN CC(C)[C@H]1CN(CCC(C)(C)C)CCO1 ZINC000341907621 386988224 /nfs/dbraw/zinc/98/82/24/386988224.db2.gz YEPPZGRWMZOETP-GFCCVEGCSA-N 0 3 213.365 2.779 20 0 BFADHN CCC[C@@]1(CO)CCN(Cc2cccs2)C1 ZINC000368571674 386988679 /nfs/dbraw/zinc/98/86/79/386988679.db2.gz FNNVWULCCNMVLN-CYBMUJFWSA-N 0 3 239.384 2.733 20 0 BFADHN CCC[C@@H](C)NCc1ccc(C)c(C)n1 ZINC000314752746 386997163 /nfs/dbraw/zinc/99/71/63/386997163.db2.gz IZEMQUXYRDOGML-LLVKDONJSA-N 0 3 206.333 2.977 20 0 BFADHN CCCn1cc(CNCCc2cccs2)cn1 ZINC000116912293 386998205 /nfs/dbraw/zinc/99/82/05/386998205.db2.gz SQNXLERWANJPOC-UHFFFAOYSA-N 0 3 249.383 2.687 20 0 BFADHN C[C@@H](NCC1=CCCOC1)c1ccc(F)cc1 ZINC000267194437 386998844 /nfs/dbraw/zinc/99/88/44/386998844.db2.gz LTDKNERXGXSHIM-LLVKDONJSA-N 0 3 235.302 2.823 20 0 BFADHN C/C(Cl)=C/CN1CC[C@H](C)C[C@@H]1[C@H](C)O ZINC000528476564 387008863 /nfs/dbraw/zinc/00/88/63/387008863.db2.gz UZZXIIUNLHIRHH-QJJQKGMXSA-N 0 3 231.767 2.610 20 0 BFADHN CN(C)CCSCCCCOC(C)(C)C ZINC000352898752 386948695 /nfs/dbraw/zinc/94/86/95/386948695.db2.gz FHOPTIMEOIURBZ-UHFFFAOYSA-N 0 3 233.421 2.877 20 0 BFADHN CC(C)Cn1nccc1CN1[C@H](C)CC[C@H]1C ZINC000648013772 386951188 /nfs/dbraw/zinc/95/11/88/386951188.db2.gz QWCKMPOGCJCSKF-CHWSQXEVSA-N 0 3 235.375 2.912 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H]1c2ccccc2O[C@H]1C ZINC000425380325 387031665 /nfs/dbraw/zinc/03/16/65/387031665.db2.gz LQOITIBNUHNAQP-BNQQVVLKSA-N 0 3 249.379 2.992 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H]1c2ccccc2O[C@@H]1C ZINC000425380339 387032205 /nfs/dbraw/zinc/03/22/05/387032205.db2.gz LQOITIBNUHNAQP-QEGRKFQGSA-N 0 3 249.379 2.992 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CC[C@](C)(CC)C1 ZINC000399494362 387032498 /nfs/dbraw/zinc/03/24/98/387032498.db2.gz VXYVTELBPYTWKZ-JSGCOSHPSA-N 0 3 241.375 2.840 20 0 BFADHN CO[C@@H](CN(C)Cc1ccc(C)cc1)C1CC1 ZINC000425383187 387034988 /nfs/dbraw/zinc/03/49/88/387034988.db2.gz KFHAQPGHDOOTGG-HNNXBMFYSA-N 0 3 233.355 2.852 20 0 BFADHN C[C@H](N(C)Cc1cncc(F)c1)C1(C)CC1 ZINC000353062312 387035546 /nfs/dbraw/zinc/03/55/46/387035546.db2.gz ASVQOUIFMRKXRK-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1Cc2ccc(F)cc2[C@H]1N[C@H]1C[C@@](C)(O)C1 ZINC000417406532 387044132 /nfs/dbraw/zinc/04/41/32/387044132.db2.gz RLNDWBWYLAZXFS-MOUXYMJCSA-N 0 3 249.329 2.562 20 0 BFADHN COCC[C@H](C)NCc1sccc1Cl ZINC000193073775 387045067 /nfs/dbraw/zinc/04/50/67/387045067.db2.gz XYNWQFRZNBWBDV-QMMMGPOBSA-N 0 3 233.764 2.916 20 0 BFADHN CCc1nocc1CN1C[C@H](C)[C@H](C)[C@H]1C ZINC000418119666 387067555 /nfs/dbraw/zinc/06/75/55/387067555.db2.gz GZENSCDPTSDKBB-GARJFASQSA-N 0 3 222.332 2.713 20 0 BFADHN CCCc1cccc(CN[C@H]2C=C[C@@H](CO)C2)c1 ZINC000359019089 387045630 /nfs/dbraw/zinc/04/56/30/387045630.db2.gz ZBQNYXAMNDMJSI-CVEARBPZSA-N 0 3 245.366 2.666 20 0 BFADHN Cc1occc1CN[C@@H](CC(C)C)CN(C)C ZINC000321241934 387057327 /nfs/dbraw/zinc/05/73/27/387057327.db2.gz MYVXYDMCPJMHEU-AWEZNQCLSA-N 0 3 238.375 2.654 20 0 BFADHN c1c2cccnc2oc1CN1CCCSCC1 ZINC000336222185 387061274 /nfs/dbraw/zinc/06/12/74/387061274.db2.gz JJXDCDSKGVGNQP-UHFFFAOYSA-N 0 3 248.351 2.767 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CC[C@H]1SC ZINC000425372104 387011405 /nfs/dbraw/zinc/01/14/05/387011405.db2.gz RRILMPOYWBQABM-VXGBXAGGSA-N 0 3 240.372 2.529 20 0 BFADHN CC[C@@H](C)N1CCN(C)C2(CCCCC2)C1 ZINC000514612113 387014850 /nfs/dbraw/zinc/01/48/50/387014850.db2.gz OXTASXHOPZXLBS-CYBMUJFWSA-N 0 3 224.392 2.735 20 0 BFADHN CC(C)C[C@H](N[C@H]1C[C@@](C)(O)C1)c1ccccn1 ZINC000417398824 387016511 /nfs/dbraw/zinc/01/65/11/387016511.db2.gz HVMZRWJBBBPCSL-AEGPPILISA-N 0 3 248.370 2.672 20 0 BFADHN COC1(CCNCc2ccoc2C)CCC1 ZINC000320950424 387019246 /nfs/dbraw/zinc/01/92/46/387019246.db2.gz MQPQSNFRRMNRBF-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN Cc1occc1CN1CCC[C@@H](n2cccn2)C1 ZINC000334880266 387023563 /nfs/dbraw/zinc/02/35/63/387023563.db2.gz QIADKJYGWBWHLB-CQSZACIVSA-N 0 3 245.326 2.622 20 0 BFADHN C[C@H](CSc1nc2ccccc2o1)N(C)C ZINC000358854574 387023644 /nfs/dbraw/zinc/02/36/44/387023644.db2.gz PERPZIJJIXZUDM-SECBINFHSA-N 0 3 236.340 2.870 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000228081706 387066198 /nfs/dbraw/zinc/06/61/98/387066198.db2.gz FEASERDYIKBQDC-MRBYEJRBSA-N 0 3 237.343 2.652 20 0 BFADHN CS[C@H]1CC[C@H]1N[C@@H]1CCCC[C@H]1F ZINC000425369375 387027034 /nfs/dbraw/zinc/02/70/34/387027034.db2.gz LXPVRHAURXPXHL-DBIOUOCHSA-N 0 3 217.353 2.751 20 0 BFADHN CC(C)n1nccc1CN1CC[C@@H](C2CC2)C1 ZINC000369101327 387028407 /nfs/dbraw/zinc/02/84/07/387028407.db2.gz WFCAROZNUAXRJL-CYBMUJFWSA-N 0 3 233.359 2.696 20 0 BFADHN CCCc1csc(CN[C@@H](C)C2CC2)n1 ZINC000336763991 387029439 /nfs/dbraw/zinc/02/94/39/387029439.db2.gz PMKQVISIYUFOSG-VIFPVBQESA-N 0 3 224.373 2.984 20 0 BFADHN CC[C@H](NCCCCO)c1ccccc1OC ZINC000193277234 387074583 /nfs/dbraw/zinc/07/45/83/387074583.db2.gz JDGZGYHUNGOGNJ-ZDUSSCGKSA-N 0 3 237.343 2.508 20 0 BFADHN CCn1cncc1CN(CC1CC1)CC1CCC1 ZINC000418046571 387075668 /nfs/dbraw/zinc/07/56/68/387075668.db2.gz PCWPJXSLYSCBEW-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1cncc1CN(C)[C@@H]1CCCC[C@H]1C ZINC000418047156 387075887 /nfs/dbraw/zinc/07/58/87/387075887.db2.gz RVNKYHPPHNRWAH-TZMCWYRMSA-N 0 3 235.375 2.914 20 0 BFADHN CS[C@H]1CCN([C@@H](C)c2cccnc2)C1 ZINC000336254661 387077250 /nfs/dbraw/zinc/07/72/50/387077250.db2.gz GFLVWEODQNXTAR-JQWIXIFHSA-N 0 3 222.357 2.580 20 0 BFADHN CCC[C@@H](C)N[C@H](C)c1ccncc1OC ZINC000188773149 387080147 /nfs/dbraw/zinc/08/01/47/387080147.db2.gz NGLFVQKQBLSVFW-GHMZBOCLSA-N 0 3 222.332 2.929 20 0 BFADHN CC[C@H](C)N[C@H]1C[C@@H](C)N(c2ccccc2)C1=O ZINC000246380957 387080613 /nfs/dbraw/zinc/08/06/13/387080613.db2.gz KAYVNWWJSFXQOO-SCRDCRAPSA-N 0 3 246.354 2.569 20 0 BFADHN Cc1cc(CN2C[C@H](C(C)C)[C@H]2C(C)C)n(C)n1 ZINC000418073774 387085060 /nfs/dbraw/zinc/08/50/60/387085060.db2.gz NASMGSCFGZTLJO-HUUCEWRRSA-N 0 3 249.402 2.841 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@@H](C)C[C@H](C)O)s1 ZINC000228269029 387086025 /nfs/dbraw/zinc/08/60/25/387086025.db2.gz QJCLHIXNYAKGIQ-CIUDSAMLSA-N 0 3 242.388 2.570 20 0 BFADHN CC[C@@H](C)[C@@H](O)CNCc1sccc1Cl ZINC000193100773 387087413 /nfs/dbraw/zinc/08/74/13/387087413.db2.gz HZJCFDDGBYVCEV-SCZZXKLOSA-N 0 3 247.791 2.898 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(C)nc2C)C[C@H]1C ZINC000336257592 387087342 /nfs/dbraw/zinc/08/73/42/387087342.db2.gz OYEGRJMUQXUTMM-ABAIWWIYSA-N 0 3 248.370 2.555 20 0 BFADHN CC[C@H](C)[C@H](O)CNCc1sccc1Cl ZINC000193100761 387088109 /nfs/dbraw/zinc/08/81/09/387088109.db2.gz HZJCFDDGBYVCEV-WCBMZHEXSA-N 0 3 247.791 2.898 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(C)nc2C)C[C@H]1C ZINC000336257593 387088381 /nfs/dbraw/zinc/08/83/81/387088381.db2.gz OYEGRJMUQXUTMM-IAQYHMDHSA-N 0 3 248.370 2.555 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cc(C)nn1C ZINC000418079627 387089121 /nfs/dbraw/zinc/08/91/21/387089121.db2.gz LGFODNYQJDBHEJ-GFCCVEGCSA-N 0 3 223.364 2.739 20 0 BFADHN CCCOc1ccc(CN(C)CCOC)cc1 ZINC000119370795 387131277 /nfs/dbraw/zinc/13/12/77/387131277.db2.gz RBDVLWCGTLJVTD-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN CCOCC(C)(C)CNCc1ccoc1C ZINC000321317978 387095573 /nfs/dbraw/zinc/09/55/73/387095573.db2.gz XOIOTBJDQQYPCL-UHFFFAOYSA-N 0 3 225.332 2.740 20 0 BFADHN Cc1ncc(CNC[C@@H]2C[C@@H]2c2ccccc2)o1 ZINC000418090175 387095957 /nfs/dbraw/zinc/09/59/57/387095957.db2.gz NHWDPUZPYXJRPQ-DZGCQCFKSA-N 0 3 242.322 2.876 20 0 BFADHN Cc1ncc(CNC[C@H]2C[C@H]2c2ccccc2)o1 ZINC000418090177 387096131 /nfs/dbraw/zinc/09/61/31/387096131.db2.gz NHWDPUZPYXJRPQ-HIFRSBDPSA-N 0 3 242.322 2.876 20 0 BFADHN CCCCCN(CC(=O)N(C)C)[C@@H](C)CCC ZINC000067984031 387097197 /nfs/dbraw/zinc/09/71/97/387097197.db2.gz DZHIRUUNFNBZQH-ZDUSSCGKSA-N 0 3 242.407 2.755 20 0 BFADHN Cc1ccnc(NCCN(C)C2CC2)c1Cl ZINC000336765945 387097642 /nfs/dbraw/zinc/09/76/42/387097642.db2.gz ORFGGYZDKSXLAI-UHFFFAOYSA-N 0 3 239.750 2.550 20 0 BFADHN COCC1(C)CN(C[C@H]2C[C@@H]2c2ccccc2)C1 ZINC000418090575 387098411 /nfs/dbraw/zinc/09/84/11/387098411.db2.gz NZMFRPAYXRZBMA-HUUCEWRRSA-N 0 3 245.366 2.758 20 0 BFADHN C[C@H](F)CCN1CCCC[C@H]1c1cnn(C)c1 ZINC000352352467 387100287 /nfs/dbraw/zinc/10/02/87/387100287.db2.gz JBWJBZLELRQIME-AAEUAGOBSA-N 0 3 239.338 2.695 20 0 BFADHN CC(C)[C@H](O)CNCc1sccc1Cl ZINC000193108004 387101027 /nfs/dbraw/zinc/10/10/27/387101027.db2.gz YRRGMADNVGPODD-SECBINFHSA-N 0 3 233.764 2.508 20 0 BFADHN C[C@@H](NCCCn1ccnc1)c1ccccc1F ZINC000020506273 387103379 /nfs/dbraw/zinc/10/33/79/387103379.db2.gz MHHLYLOQTLTWJE-GFCCVEGCSA-N 0 3 247.317 2.763 20 0 BFADHN CCC[C@@H]([NH2+][C@H](C)c1nnc(C)[n-]1)C1CCC1 ZINC000392466600 387103424 /nfs/dbraw/zinc/10/34/24/387103424.db2.gz QYGOJDURXAWJFU-BXKDBHETSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1cncc(CN2CCCC[C@@H]2C[C@@H](C)O)c1 ZINC000228669797 387104647 /nfs/dbraw/zinc/10/46/47/387104647.db2.gz KKJRRMMFAOCYDE-UKRRQHHQSA-N 0 3 248.370 2.515 20 0 BFADHN C[C@H]1CCCN(CCOCC(F)(F)F)CC1 ZINC000068827996 387108193 /nfs/dbraw/zinc/10/81/93/387108193.db2.gz UCRFLTRWTGJTLO-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H]1COCc2ccccc21 ZINC000418097810 387108494 /nfs/dbraw/zinc/10/84/94/387108494.db2.gz AABPLVLANXFJOL-IUODEOHRSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccc(OC)c(CNC[C@@H]2CC23CC3)c1 ZINC000418099951 387131828 /nfs/dbraw/zinc/13/18/28/387131828.db2.gz QKCIFAJWXSZHFG-LBPRGKRZSA-N 0 3 247.338 2.594 20 0 BFADHN CCn1cncc1CN1CC(C)(C)C[C@H]1C ZINC000334949732 387112358 /nfs/dbraw/zinc/11/23/58/387112358.db2.gz CLQSAHKHYDVKIY-LLVKDONJSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1cncc1CN1CC(C)(C)C[C@@H]1C ZINC000334949733 387112517 /nfs/dbraw/zinc/11/25/17/387112517.db2.gz CLQSAHKHYDVKIY-NSHDSACASA-N 0 3 221.348 2.523 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@@H](C)[C@H]2C)sc1C ZINC000336766569 387112920 /nfs/dbraw/zinc/11/29/20/387112920.db2.gz SCVBQOTTWUGSKW-SOCHQFKDSA-N 0 3 224.373 2.894 20 0 BFADHN CC(C)(C)[C@@H](CO)NCc1cc2ccccc2o1 ZINC000193113069 387115702 /nfs/dbraw/zinc/11/57/02/387115702.db2.gz JLPYOOFQBVBCHZ-CQSZACIVSA-N 0 3 247.338 2.929 20 0 BFADHN COC[C@@H](CC(C)C)N[C@@H](C)c1cccnc1 ZINC000359519936 387116477 /nfs/dbraw/zinc/11/64/77/387116477.db2.gz BURYRLUUIRSNQL-GXTWGEPZSA-N 0 3 236.359 2.793 20 0 BFADHN CCCn1cc(CN2CCCC3(CC3)C2)cn1 ZINC000186606374 387116677 /nfs/dbraw/zinc/11/66/77/387116677.db2.gz MSVLQOXBUYITCW-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN CO[C@@H](CNCc1ccccc1)CC(C)C ZINC000418101567 387116875 /nfs/dbraw/zinc/11/68/75/387116875.db2.gz QCVMVGCKVCQRKN-CQSZACIVSA-N 0 3 221.344 2.837 20 0 BFADHN CSCCN1C[C@H](C)C[C@@H]1c1cccnc1 ZINC000370446760 387117586 /nfs/dbraw/zinc/11/75/86/387117586.db2.gz HBOLASREBQSLDW-DGCLKSJQSA-N 0 3 236.384 2.828 20 0 BFADHN OC1CCN(Cc2csc3ccccc23)CC1 ZINC000070164824 387117846 /nfs/dbraw/zinc/11/78/46/387117846.db2.gz SFZUJXSWJWRBAE-UHFFFAOYSA-N 0 3 247.363 2.858 20 0 BFADHN CO[C@H](CNCc1cccc(F)c1)CC(C)C ZINC000418101606 387118601 /nfs/dbraw/zinc/11/86/01/387118601.db2.gz QHFFPTMNSCKRCH-AWEZNQCLSA-N 0 3 239.334 2.976 20 0 BFADHN CC[C@](C)(O)CN[C@@H](C)c1cccc(F)c1F ZINC000127474417 387119262 /nfs/dbraw/zinc/11/92/62/387119262.db2.gz ADFHDZKFMPNWAC-ZANVPECISA-N 0 3 243.297 2.776 20 0 BFADHN c1nn2ccccc2c1CNCc1ccccc1 ZINC000071354877 387119824 /nfs/dbraw/zinc/11/98/24/387119824.db2.gz UWMWGHDKAIEAHQ-UHFFFAOYSA-N 0 3 237.306 2.624 20 0 BFADHN COc1cccc(CNCCOC2CCCC2)c1 ZINC000070167996 387120471 /nfs/dbraw/zinc/12/04/71/387120471.db2.gz DAFRFEMRJFIPME-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN C[C@@H](CO)[C@@H](C)NCc1sccc1Cl ZINC000193120750 387122362 /nfs/dbraw/zinc/12/23/62/387122362.db2.gz GKGIKQCYIICTPV-JGVFFNPUSA-N 0 3 233.764 2.508 20 0 BFADHN C1CCC(C2CCN([C@H]3CCOC3)CC2)C1 ZINC000370526623 387123598 /nfs/dbraw/zinc/12/35/98/387123598.db2.gz FJWFEMUXGFXBEC-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@H](N)C(=O)N1C[C@H](C(C)(C)C)c2ccccc21 ZINC000335029959 387125215 /nfs/dbraw/zinc/12/52/15/387125215.db2.gz LSFYFADLHNBXPK-JQWIXIFHSA-N 0 3 246.354 2.510 20 0 BFADHN CN(Cc1ccc(C(F)F)cc1)C1CC(O)C1 ZINC000359574417 387125692 /nfs/dbraw/zinc/12/56/92/387125692.db2.gz BMMLRIDIVLDGCM-UHFFFAOYSA-N 0 3 241.281 2.579 20 0 BFADHN CC[C@@H](C)CN1CCNCc2ccccc21 ZINC000062818248 387144770 /nfs/dbraw/zinc/14/47/70/387144770.db2.gz HPFOSSXFKGKCRX-GFCCVEGCSA-N 0 3 218.344 2.642 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CC(COc2ccccc2)C1 ZINC000459632914 387147201 /nfs/dbraw/zinc/14/72/01/387147201.db2.gz OKNPFKZQVDDSAC-TZMCWYRMSA-N 0 3 231.339 2.653 20 0 BFADHN CCOc1cccc(CNCCC=C(C)C)n1 ZINC000359702408 387150788 /nfs/dbraw/zinc/15/07/88/387150788.db2.gz YJCIDWSYUCSLSM-UHFFFAOYSA-N 0 3 234.343 2.926 20 0 BFADHN CC[C@H](F)CN[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000353185432 387151676 /nfs/dbraw/zinc/15/16/76/387151676.db2.gz UCVURBTVOCZUOV-CABZTGNLSA-N 0 3 235.306 2.962 20 0 BFADHN FC(F)Oc1cccc(CN2CCCC2)c1 ZINC000057960268 387152554 /nfs/dbraw/zinc/15/25/54/387152554.db2.gz XHHNZNCDIYEBRY-UHFFFAOYSA-N 0 3 227.254 2.884 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2cncc(F)c2)[C@H]1C ZINC000418128322 387157535 /nfs/dbraw/zinc/15/75/35/387157535.db2.gz SJTCLXYLTQWVDT-MXWKQRLJSA-N 0 3 222.307 2.697 20 0 BFADHN CC(C)[C@H](CO)NCc1cccc(Cl)c1F ZINC000229238499 387161364 /nfs/dbraw/zinc/16/13/64/387161364.db2.gz YULAFPNQVQPLGO-NSHDSACASA-N 0 3 245.725 2.586 20 0 BFADHN Cc1ccc(CN2CCC[C@H]2[C@H]2CCCO2)nc1 ZINC000336335914 387161882 /nfs/dbraw/zinc/16/18/82/387161882.db2.gz TZJYGULSFVISQL-LSDHHAIUSA-N 0 3 246.354 2.533 20 0 BFADHN CC(C)n1cc(CN2C[C@@H](C)[C@@H](C)[C@H]2C)cn1 ZINC000418133687 387161970 /nfs/dbraw/zinc/16/19/70/387161970.db2.gz NNTVEKUDXNFIIU-JHJVBQTASA-N 0 3 235.375 2.940 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1)c1nccc2ccccc21 ZINC000353240796 387163388 /nfs/dbraw/zinc/16/33/88/387163388.db2.gz KIZZDYAPOFMPCG-DGCLKSJQSA-N 0 3 242.322 2.674 20 0 BFADHN c1cnn(CCN2CCC[C@H]3CCCC[C@H]32)c1 ZINC000336338813 387163449 /nfs/dbraw/zinc/16/34/49/387163449.db2.gz FAJOHUDLMFDKIP-ZIAGYGMSSA-N 0 3 233.359 2.538 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H](C)[C@H](C)OC ZINC000161259095 387163512 /nfs/dbraw/zinc/16/35/12/387163512.db2.gz UHJPPLTUHNKPDV-TUAOUCFPSA-N 0 3 237.343 2.769 20 0 BFADHN C[C@@H]1CN(Cc2cn3ccccc3n2)[C@@H](C)[C@H]1C ZINC000418142821 387164363 /nfs/dbraw/zinc/16/43/63/387164363.db2.gz ZYDCTOFVPCOLTJ-AGIUHOORSA-N 0 3 243.354 2.811 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2cn3ccccc3n2)C[C@H]1C ZINC000418142829 387164550 /nfs/dbraw/zinc/16/45/50/387164550.db2.gz ZYDCTOFVPCOLTJ-JHJVBQTASA-N 0 3 243.354 2.811 20 0 BFADHN CCC1(N[C@@H](C)c2ccnc(OC)c2)CC1 ZINC000571776085 387169558 /nfs/dbraw/zinc/16/95/58/387169558.db2.gz QZIRVSPLXNIUQA-JTQLQIEISA-N 0 3 220.316 2.683 20 0 BFADHN Cc1ccncc1CN1C[C@H](C)S[C@@H](C)C1 ZINC000336340513 387170794 /nfs/dbraw/zinc/17/07/94/387170794.db2.gz JBDRRUUNLOGJIN-RYUDHWBXSA-N 0 3 236.384 2.716 20 0 BFADHN Cc1ccncc1CN1C[C@@H](C)S[C@H](C)C1 ZINC000336340515 387170844 /nfs/dbraw/zinc/17/08/44/387170844.db2.gz JBDRRUUNLOGJIN-VXGBXAGGSA-N 0 3 236.384 2.716 20 0 BFADHN CSCCCCNCc1cn2ccccc2n1 ZINC000229308379 387170957 /nfs/dbraw/zinc/17/09/57/387170957.db2.gz QCBGQABTDGHOPQ-UHFFFAOYSA-N 0 3 249.383 2.567 20 0 BFADHN Cc1ccc(CN2C[C@@H]3[C@H](C2)C3(C)C)c(C)n1 ZINC000187082810 387171100 /nfs/dbraw/zinc/17/11/00/387171100.db2.gz FESHBACJGLPZKB-OKILXGFUSA-N 0 3 230.355 2.786 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2cncs2)[C@H]1C ZINC000418136465 387171723 /nfs/dbraw/zinc/17/17/23/387171723.db2.gz RWJWFDZPHVKMTE-BBBLOLIVSA-N 0 3 210.346 2.619 20 0 BFADHN Cn1nccc1[C@H]1CCCCN1CCCCF ZINC000367150792 387175566 /nfs/dbraw/zinc/17/55/66/387175566.db2.gz JLMLXTDQVXYVFY-CYBMUJFWSA-N 0 3 239.338 2.697 20 0 BFADHN CCC[C@H](C)[C@H](CC)N[C@H](C)c1ncnn1C ZINC000353306091 387175615 /nfs/dbraw/zinc/17/56/15/387175615.db2.gz PJOFDYCWIRGFBV-TUAOUCFPSA-N 0 3 238.379 2.681 20 0 BFADHN Cc1nc(CN2CC[C@H]3CCC[C@@H]32)oc1C ZINC000336346097 387175933 /nfs/dbraw/zinc/17/59/33/387175933.db2.gz XXJOQKQDRYLUOL-NEPJUHHUSA-N 0 3 220.316 2.666 20 0 BFADHN C[C@@H]1CCCC[C@H]1N(C)Cc1n[nH]c(C2CC2)n1 ZINC000120016919 387177035 /nfs/dbraw/zinc/17/70/35/387177035.db2.gz OCUVZFBMFGMDPR-ZYHUDNBSSA-N 0 3 248.374 2.693 20 0 BFADHN C[C@@H]1CCCC[C@H]1[N@H+](C)Cc1nnc(C2CC2)[n-]1 ZINC000120016919 387177038 /nfs/dbraw/zinc/17/70/38/387177038.db2.gz OCUVZFBMFGMDPR-ZYHUDNBSSA-N 0 3 248.374 2.693 20 0 BFADHN C[C@@H]1CCCC[C@H]1[N@@H+](C)Cc1nnc(C2CC2)[n-]1 ZINC000120016919 387177041 /nfs/dbraw/zinc/17/70/41/387177041.db2.gz OCUVZFBMFGMDPR-ZYHUDNBSSA-N 0 3 248.374 2.693 20 0 BFADHN CC(C)[C@H](O)CN(C)[C@@H](C)c1cccs1 ZINC000284408137 387189140 /nfs/dbraw/zinc/18/91/40/387189140.db2.gz WWGLPSNUEHQQBB-WDEREUQCSA-N 0 3 227.373 2.758 20 0 BFADHN Cc1sccc1CN1CCC[C@@]12CCOC2 ZINC000353389185 387191001 /nfs/dbraw/zinc/19/10/01/387191001.db2.gz PLJJAHSZXUQGAF-ZDUSSCGKSA-N 0 3 237.368 2.811 20 0 BFADHN COC[C@H](NCc1cc(Cl)cs1)C1CC1 ZINC000193176402 387134324 /nfs/dbraw/zinc/13/43/24/387134324.db2.gz PTPGFUOXARBVHG-NSHDSACASA-N 0 3 245.775 2.916 20 0 BFADHN CCN(CCOC)CCO[C@H]1CCCC[C@@H]1C ZINC000353444930 387202463 /nfs/dbraw/zinc/20/24/63/387202463.db2.gz HGKPKHZSISIYTC-KBPBESRZSA-N 0 3 243.391 2.550 20 0 BFADHN Cc1cnc(CN2CCCC[C@H]2C(C)C)cn1 ZINC000336372761 387204060 /nfs/dbraw/zinc/20/40/60/387204060.db2.gz BCIUIUOIQDLNEL-AWEZNQCLSA-N 0 3 233.359 2.796 20 0 BFADHN C[C@H](CC1CCCCC1)NCc1ncc[nH]1 ZINC000193275029 387204175 /nfs/dbraw/zinc/20/41/75/387204175.db2.gz FJFOIEKNSNHFON-LLVKDONJSA-N 0 3 221.348 2.858 20 0 BFADHN C[C@H](N[C@H]1CCc2c1cccc2F)[C@H]1CCCO1 ZINC000251638818 387207458 /nfs/dbraw/zinc/20/74/58/387207458.db2.gz RUQTZRXFDGLGOR-NZVBXONLSA-N 0 3 249.329 2.970 20 0 BFADHN CC(C)n1cc(CN2CCC[C@H]2C2CC2)cn1 ZINC000371420306 387207891 /nfs/dbraw/zinc/20/78/91/387207891.db2.gz VMLQWLDWSWCHCD-AWEZNQCLSA-N 0 3 233.359 2.838 20 0 BFADHN CN(C/C=C\c1ccccc1)CC1(O)CCC1 ZINC000353475326 387209485 /nfs/dbraw/zinc/20/94/85/387209485.db2.gz XCPWWHPFGZGMET-UITAMQMPSA-N 0 3 231.339 2.547 20 0 BFADHN CC[C@@H](CN[C@H](C)c1ccccc1OC)OC ZINC000290036095 387210295 /nfs/dbraw/zinc/21/02/95/387210295.db2.gz DEIUKTUQYZPBAI-NEPJUHHUSA-N 0 3 237.343 2.771 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)CC(C)(C)O ZINC000353469499 387210331 /nfs/dbraw/zinc/21/03/31/387210331.db2.gz FQFWCGZGKVHUOV-PLNGDYQASA-N 0 3 237.318 2.542 20 0 BFADHN CCc1nn(C)cc1CNCc1sccc1C ZINC000092374788 387211415 /nfs/dbraw/zinc/21/14/15/387211415.db2.gz BEKHMCQRXDXFEC-UHFFFAOYSA-N 0 3 249.383 2.642 20 0 BFADHN C[C@]1(NCc2coc3ccccc23)CCOC1 ZINC000120230321 387213982 /nfs/dbraw/zinc/21/39/82/387213982.db2.gz USCFOKGCGCTRES-AWEZNQCLSA-N 0 3 231.295 2.701 20 0 BFADHN CN(C[C@@H]1CCCO1)[C@H]1CCc2ccc(F)cc21 ZINC000187832402 387214939 /nfs/dbraw/zinc/21/49/39/387214939.db2.gz JSGFFFSZGBQENS-ZFWWWQNUSA-N 0 3 249.329 2.924 20 0 BFADHN CCCCN(C)CCN[C@H](C)c1cc(C)ccn1 ZINC000353520501 387219845 /nfs/dbraw/zinc/21/98/45/387219845.db2.gz PCYKPLNLAJDHOQ-CQSZACIVSA-N 0 3 249.402 2.773 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CCCC[C@H]2CO)c1 ZINC000353515283 387220933 /nfs/dbraw/zinc/22/09/33/387220933.db2.gz DJZNVQZSROEISU-IHRRRGAJSA-N 0 3 248.370 2.592 20 0 BFADHN CCOc1cccc(CNC[C@@H](OC)C2CC2)c1 ZINC000292871931 387222955 /nfs/dbraw/zinc/22/29/55/387222955.db2.gz BMASSTYYAOHZDN-OAHLLOKOSA-N 0 3 249.354 2.600 20 0 BFADHN CC[C@@H](C)CN1CCC[C@@H]1c1nc(C)no1 ZINC000353541433 387226334 /nfs/dbraw/zinc/22/63/34/387226334.db2.gz HQHWWKSLPPHOGI-MWLCHTKSSA-N 0 3 223.320 2.561 20 0 BFADHN Cc1ccc(F)cc1CN[C@]1(C)CCO[C@@H]1C ZINC000230150329 387233267 /nfs/dbraw/zinc/23/32/67/387233267.db2.gz DWAGQEWVVZXWLD-BXUZGUMPSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H]1OCC[C@]1(C)NCc1ccc(F)cc1F ZINC000230151728 387235669 /nfs/dbraw/zinc/23/56/69/387235669.db2.gz JWZNSZYHJGHKMT-ZANVPECISA-N 0 3 241.281 2.622 20 0 BFADHN Cc1ccc(CN[C@]2(C)CCO[C@@H]2C)cc1F ZINC000230154898 387235773 /nfs/dbraw/zinc/23/57/73/387235773.db2.gz QVCDDLGAYQHALG-BXUZGUMPSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H]1OCC[C@]1(C)NCc1cc(F)cc(F)c1 ZINC000230153735 387236161 /nfs/dbraw/zinc/23/61/61/387236161.db2.gz VFFUARJDQXABHY-ZANVPECISA-N 0 3 241.281 2.622 20 0 BFADHN Cc1ccc(CN[C@]2(C)CCO[C@H]2C)cc1F ZINC000230154906 387236658 /nfs/dbraw/zinc/23/66/58/387236658.db2.gz QVCDDLGAYQHALG-SMDDNHRTSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H]1OCC[C@@]1(C)NCc1cccc(F)c1F ZINC000230152727 387236953 /nfs/dbraw/zinc/23/69/53/387236953.db2.gz IRMVDEDUOUMQLX-NOZJJQNGSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1cc(C)c(CN2CCN(C)C[C@@H]2C)c(C)c1 ZINC000353585498 387236914 /nfs/dbraw/zinc/23/69/14/387236914.db2.gz UANBTYIUENJNEY-HNNXBMFYSA-N 0 3 246.398 2.748 20 0 BFADHN C[C@H](O)C(C)(C)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000252750058 387240545 /nfs/dbraw/zinc/24/05/45/387240545.db2.gz VJRSAVRNDHCWLI-JFGNBEQYSA-N 0 3 237.343 2.652 20 0 BFADHN COC[C@@H](C)NCc1ccc(CSC)cc1 ZINC000285137096 387242409 /nfs/dbraw/zinc/24/24/09/387242409.db2.gz OHPYQOQMWVOIGB-LLVKDONJSA-N 0 3 239.384 2.674 20 0 BFADHN CCCCN(C)CCNC(=O)[C@H](C)C(C)(C)C ZINC000360213816 387246499 /nfs/dbraw/zinc/24/64/99/387246499.db2.gz RHVKMPHCNOAHSM-LBPRGKRZSA-N 0 3 242.407 2.517 20 0 BFADHN CC[C@@H](NC[C@@H](O)C(C)C)c1nc(C)cs1 ZINC000292884262 387248145 /nfs/dbraw/zinc/24/81/45/387248145.db2.gz IPLZKOCNHVKDMG-GHMZBOCLSA-N 0 3 242.388 2.509 20 0 BFADHN CCc1nc(CN[C@H](C)[C@@H]2C[C@H]2C)cs1 ZINC000321480908 387250610 /nfs/dbraw/zinc/25/06/10/387250610.db2.gz JAUVBUMKEWGDDD-FXPVBKGRSA-N 0 3 224.373 2.840 20 0 BFADHN Cc1occc1CN(C)[C@@H]1CCSC1 ZINC000336362589 387195467 /nfs/dbraw/zinc/19/54/67/387195467.db2.gz IHRGFOOVHOTBOU-LLVKDONJSA-N 0 3 211.330 2.525 20 0 BFADHN CC[C@H](NC[C@@H](C)C(F)(F)F)c1cnn(C)c1 ZINC000359963138 387201339 /nfs/dbraw/zinc/20/13/39/387201339.db2.gz JEWFDWVTIAAHKX-SCZZXKLOSA-N 0 3 249.280 2.659 20 0 BFADHN CCN(CCOC)CCO[C@@H]1CCCC[C@H]1C ZINC000353444933 387201853 /nfs/dbraw/zinc/20/18/53/387201853.db2.gz HGKPKHZSISIYTC-ZIAGYGMSSA-N 0 3 243.391 2.550 20 0 BFADHN CC(C)=CCC[C@@H](C)N[C@H]1CNCCC1(F)F ZINC000423467193 387314902 /nfs/dbraw/zinc/31/49/02/387314902.db2.gz DIAUWOBGJKPKIZ-NEPJUHHUSA-N 0 3 246.345 2.708 20 0 BFADHN Cc1cc(CN[C@H]2CCO[C@@H]2C2CC2)ccc1F ZINC000230315866 387261179 /nfs/dbraw/zinc/26/11/79/387261179.db2.gz OZBDNUDYWAUMCE-LSDHHAIUSA-N 0 3 249.329 2.791 20 0 BFADHN CCC[C@@]1(NCc2ccc(CC)o2)CCOC1 ZINC000285343745 387262084 /nfs/dbraw/zinc/26/20/84/387262084.db2.gz AJOQGVRYCHLBTD-CQSZACIVSA-N 0 3 237.343 2.891 20 0 BFADHN C[C@H](N[C@@H](C)C1CC1)c1c(F)cncc1F ZINC000285372883 387263370 /nfs/dbraw/zinc/26/33/70/387263370.db2.gz CDCIJCKMEWSYOE-YUMQZZPRSA-N 0 3 226.270 2.809 20 0 BFADHN CCC[C@@H](C(=O)OCC)N(CC)CC1CCC1 ZINC000230322669 387263895 /nfs/dbraw/zinc/26/38/95/387263895.db2.gz VWOFJGIJBJXIAK-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN CCC[C@@]1(NCc2cccc(OC)c2)CCOC1 ZINC000285428470 387265949 /nfs/dbraw/zinc/26/59/49/387265949.db2.gz FBJMHCYTHTZQCJ-OAHLLOKOSA-N 0 3 249.354 2.744 20 0 BFADHN Cc1ccnc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)n1 ZINC000360340430 387267567 /nfs/dbraw/zinc/26/75/67/387267567.db2.gz ZQEBFBXZTUUFKO-KGYLQXTDSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1nccc(C)n1 ZINC000360340193 387267595 /nfs/dbraw/zinc/26/75/95/387267595.db2.gz XZMSMBJPCXSXSH-STQMWFEESA-N 0 3 233.359 2.843 20 0 BFADHN CC(C)C[C@H]1CCCCN1Cc1ccn(C)n1 ZINC000353746397 387268215 /nfs/dbraw/zinc/26/82/15/387268215.db2.gz KKQIYJRENKSKCV-CQSZACIVSA-N 0 3 235.375 2.821 20 0 BFADHN CCOCCNCc1ccc2occc2c1 ZINC000285491374 387268967 /nfs/dbraw/zinc/26/89/67/387268967.db2.gz PHSVJTDLKRVGKP-UHFFFAOYSA-N 0 3 219.284 2.559 20 0 BFADHN Cc1n[nH]c(C)c1CN1CC(C)(C)[C@@H]1C(C)C ZINC000353750015 387269912 /nfs/dbraw/zinc/26/99/12/387269912.db2.gz XOVZNDWWYMWITO-ZDUSSCGKSA-N 0 3 235.375 2.893 20 0 BFADHN C[C@@H](NCC1CCC1)c1c(F)cncc1F ZINC000285508243 387271286 /nfs/dbraw/zinc/27/12/86/387271286.db2.gz DFKUKHCZOUPEKX-MRVPVSSYSA-N 0 3 226.270 2.811 20 0 BFADHN CCC[C@]1(NCc2ccc(F)cc2)CCOC1 ZINC000285592555 387273620 /nfs/dbraw/zinc/27/36/20/387273620.db2.gz PBVFBHUHSJUPRK-AWEZNQCLSA-N 0 3 237.318 2.875 20 0 BFADHN CCOC[C@@H](C)NCc1ccc2[nH]c(C)cc2c1 ZINC000353791874 387274080 /nfs/dbraw/zinc/27/40/80/387274080.db2.gz GIPHAMUDPCNLQM-GFCCVEGCSA-N 0 3 246.354 2.991 20 0 BFADHN Cn1ccnc1[C@@H](N[C@@H]1CCC12CCC2)C1CC1 ZINC000353811707 387279469 /nfs/dbraw/zinc/27/94/69/387279469.db2.gz FNLBBWJDKLAAAJ-OLZOCXBDSA-N 0 3 245.370 2.794 20 0 BFADHN Cc1ccc(CCCN2CC[C@@](C)(F)C2)cn1 ZINC000353822413 387280077 /nfs/dbraw/zinc/28/00/77/387280077.db2.gz FFQZTDUKWNJPLZ-CQSZACIVSA-N 0 3 236.334 2.757 20 0 BFADHN CN(Cc1ccc(Cl)o1)[C@H]1CC[C@H](O)CC1 ZINC000336456317 387282336 /nfs/dbraw/zinc/28/23/36/387282336.db2.gz HIVROJURJKSADS-MGCOHNPYSA-N 0 3 243.734 2.668 20 0 BFADHN COc1c(O)cccc1CN[C@@]1(C)CC=CCC1 ZINC000459745468 387283015 /nfs/dbraw/zinc/28/30/15/387283015.db2.gz FNSAYGVAKZIMLQ-HNNXBMFYSA-N 0 3 247.338 2.989 20 0 BFADHN CCOc1ccc(CN2CCOC[C@@H](C)C2)cc1 ZINC000372216524 387283250 /nfs/dbraw/zinc/28/32/50/387283250.db2.gz LJPOYXOADQLVBU-ZDUSSCGKSA-N 0 3 249.354 2.554 20 0 BFADHN CC[C@]1(C)CCN([C@@H](C)c2cnccn2)C1 ZINC000336458262 387283342 /nfs/dbraw/zinc/28/33/42/387283342.db2.gz XDZHMSJXGHDLEO-WCQYABFASA-N 0 3 219.332 2.660 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(OC(C)C)cc2)C1 ZINC000353854262 387285206 /nfs/dbraw/zinc/28/52/06/387285206.db2.gz ITFAQTMTJYHBEJ-OAHLLOKOSA-N 0 3 249.354 2.695 20 0 BFADHN CCN(CCC(C)(C)O)Cc1cccc(F)c1 ZINC000360446537 387286766 /nfs/dbraw/zinc/28/67/66/387286766.db2.gz LGKUVHOYELCEFE-UHFFFAOYSA-N 0 3 239.334 2.809 20 0 BFADHN CN(Cc1ccn(C)n1)C1CCC(C)(C)CC1 ZINC000353882000 387289290 /nfs/dbraw/zinc/28/92/90/387289290.db2.gz OESXNKHVIWGYND-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(SC)cc2)C1 ZINC000353882006 387290127 /nfs/dbraw/zinc/29/01/27/387290127.db2.gz OHUBNEOASCXKAC-GFCCVEGCSA-N 0 3 237.368 2.629 20 0 BFADHN Cc1nnsc1CN1CCCC[C@H]1C(C)C ZINC000572291457 387318311 /nfs/dbraw/zinc/31/83/11/387318311.db2.gz ODNUTIFRAQYWCU-NSHDSACASA-N 0 3 239.388 2.857 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]2C2CCC2)nc1 ZINC000336467014 387294900 /nfs/dbraw/zinc/29/49/00/387294900.db2.gz IXVJREGWLFMXOD-CYBMUJFWSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1nnsc1CN1CCCC[C@@H]1C(C)C ZINC000572291456 387318418 /nfs/dbraw/zinc/31/84/18/387318418.db2.gz ODNUTIFRAQYWCU-LLVKDONJSA-N 0 3 239.388 2.857 20 0 BFADHN CCCCN1CCc2ccc(O)cc2C1 ZINC000336468228 387296753 /nfs/dbraw/zinc/29/67/53/387296753.db2.gz VXPMYXHMTDQTJE-UHFFFAOYSA-N 0 3 205.301 2.550 20 0 BFADHN CCCCOC1CCN(Cc2ccccn2)CC1 ZINC000353948239 387301355 /nfs/dbraw/zinc/30/13/55/387301355.db2.gz SJPCHCDEZMLUQZ-UHFFFAOYSA-N 0 3 248.370 2.863 20 0 BFADHN CCc1ccccc1CN1CCOCC[C@@H]1C ZINC000372463270 387304809 /nfs/dbraw/zinc/30/48/09/387304809.db2.gz LBAZAXKAWBWKPV-ZDUSSCGKSA-N 0 3 233.355 2.860 20 0 BFADHN CCCn1cc(CN2CCCC(C)(C)C2)cn1 ZINC000121135922 387304906 /nfs/dbraw/zinc/30/49/06/387304906.db2.gz XRMZUXPZYCONLY-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN Cn1cccc1CN1CC[C@H]1Cc1ccccc1 ZINC000353975570 387305418 /nfs/dbraw/zinc/30/54/18/387305418.db2.gz VLJWRIWTRDOFCL-HNNXBMFYSA-N 0 3 240.350 2.842 20 0 BFADHN CC[C@H](COC)NCc1csc(C)c1 ZINC000285994941 387308202 /nfs/dbraw/zinc/30/82/02/387308202.db2.gz TUJCNXVPAPRQQU-LLVKDONJSA-N 0 3 213.346 2.571 20 0 BFADHN C[C@@H](c1ccccc1)[C@H](O)CNCc1ccoc1 ZINC000514931988 387308558 /nfs/dbraw/zinc/30/85/58/387308558.db2.gz MLGJAEAHUFBVCG-SWLSCSKDSA-N 0 3 245.322 2.534 20 0 BFADHN CC[C@H](O)CCNCc1sccc1Cl ZINC000193153541 387384101 /nfs/dbraw/zinc/38/41/01/387384101.db2.gz BRALOBAAFIVHDF-QMMMGPOBSA-N 0 3 233.764 2.652 20 0 BFADHN CO[C@]1(C)CCCN(Cc2ccc(C)cn2)CC1 ZINC000336539551 387361429 /nfs/dbraw/zinc/36/14/29/387361429.db2.gz LVBVCAYQSIOCQS-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN CCC[C@H](N[C@H]1CNCCC1(F)F)C(C)(C)C ZINC000423481393 387363719 /nfs/dbraw/zinc/36/37/19/387363719.db2.gz OILBXDTWSHTIAY-QWRGUYRKSA-N 0 3 248.361 2.788 20 0 BFADHN CCCCCN1CCC[C@](O)(C(F)(F)F)C1 ZINC000354396701 387369703 /nfs/dbraw/zinc/36/97/03/387369703.db2.gz MFSVPDMLAOKMMW-SNVBAGLBSA-N 0 3 239.281 2.566 20 0 BFADHN c1csc(CN2CC[C@H]3CCC[C@H]32)n1 ZINC000336547949 387371110 /nfs/dbraw/zinc/37/11/10/387371110.db2.gz FSVUOFHHQMQZRH-NXEZZACHSA-N 0 3 208.330 2.518 20 0 BFADHN Fc1cccc2c1CCC[C@H]2NC1CSC1 ZINC000648606040 387376576 /nfs/dbraw/zinc/37/65/76/387376576.db2.gz SEGCERRPZGPYNJ-CYBMUJFWSA-N 0 3 237.343 2.908 20 0 BFADHN C[C@@H](CCc1ccsc1)NCc1ccn(C)n1 ZINC000360974957 387377585 /nfs/dbraw/zinc/37/75/85/387377585.db2.gz LCPXJXLQTIAUPW-NSHDSACASA-N 0 3 249.383 2.593 20 0 BFADHN C[C@H](CF)N[C@@H](C)CCc1ccccc1 ZINC000287174612 387379048 /nfs/dbraw/zinc/37/90/48/387379048.db2.gz JTWJTBWKBCYLIB-NWDGAFQWSA-N 0 3 209.308 2.955 20 0 BFADHN CC[C@H]1CCCCCN1Cc1ccno1 ZINC000336495492 387320029 /nfs/dbraw/zinc/32/00/29/387320029.db2.gz NTIPVRPLVDQHFI-NSHDSACASA-N 0 3 208.305 2.829 20 0 BFADHN COC[C@@H]1CCN1Cc1coc2ccccc12 ZINC000354056531 387321306 /nfs/dbraw/zinc/32/13/06/387321306.db2.gz JEOOPSAAABIRCX-LBPRGKRZSA-N 0 3 231.295 2.654 20 0 BFADHN Cc1ccc(CN2CCSC[C@@H](C)C2)nc1 ZINC000336496549 387322716 /nfs/dbraw/zinc/32/27/16/387322716.db2.gz RQIBLNJVMJUJDG-LBPRGKRZSA-N 0 3 236.384 2.575 20 0 BFADHN C[C@H](NCCCc1ccncc1)c1ccccn1 ZINC000360611757 387323116 /nfs/dbraw/zinc/32/31/16/387323116.db2.gz NZXOQTHESVSQDK-ZDUSSCGKSA-N 0 3 241.338 2.760 20 0 BFADHN CCC[C@H](C)[C@H](CC)N[C@@H]1CNCCC1(F)F ZINC000423479028 387326369 /nfs/dbraw/zinc/32/63/69/387326369.db2.gz NROCJDGLWYQPPT-SDDRHHMPSA-N 0 3 248.361 2.788 20 0 BFADHN CCCn1cc(CN2CC[C@H](C3CC3)C2)cn1 ZINC000354077586 387327953 /nfs/dbraw/zinc/32/79/53/387327953.db2.gz FQNBETBVAPUGPL-AWEZNQCLSA-N 0 3 233.359 2.525 20 0 BFADHN CC(C)C1CN(Cc2cc3cccnc3o2)C1 ZINC000648562471 387330678 /nfs/dbraw/zinc/33/06/78/387330678.db2.gz OVHLUDNSDIOHQP-UHFFFAOYSA-N 0 3 230.311 2.916 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N[C@@H]1CNCCC1(F)F ZINC000423491542 387335241 /nfs/dbraw/zinc/33/52/41/387335241.db2.gz ZJSGWCFZOMLVGI-GRYCIOLGSA-N 0 3 246.345 2.542 20 0 BFADHN CCC[C@@H](N[C@@H]1CNCCC1(F)F)C1CCC1 ZINC000423490717 387336719 /nfs/dbraw/zinc/33/67/19/387336719.db2.gz YBQIUSIVGKAASF-VXGBXAGGSA-N 0 3 246.345 2.542 20 0 BFADHN CCN(Cc1occc1C)C[C@@H](C)COC ZINC000515139202 387339801 /nfs/dbraw/zinc/33/98/01/387339801.db2.gz DJMJLQCQTDBTNW-LLVKDONJSA-N 0 3 225.332 2.692 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCCCCCO)o1 ZINC000192908029 387339944 /nfs/dbraw/zinc/33/99/44/387339944.db2.gz AFVDIUDRBWMJMQ-WCQYABFASA-N 0 3 237.343 2.655 20 0 BFADHN Cc1cccc(CN2CC([C@H]3CCOC3)C2)c1F ZINC000648563012 387341775 /nfs/dbraw/zinc/34/17/75/387341775.db2.gz GKNIPLDMHVMUII-ZDUSSCGKSA-N 0 3 249.329 2.602 20 0 BFADHN C[C@H](c1ccccc1)[C@H](C)NCc1cnccn1 ZINC000515213702 387349947 /nfs/dbraw/zinc/34/99/47/387349947.db2.gz DTENBCURJPAQCB-STQMWFEESA-N 0 3 241.338 2.758 20 0 BFADHN CCOc1ccccc1CN(CC)[C@@H]1CCOC1 ZINC000361248529 387425147 /nfs/dbraw/zinc/42/51/47/387425147.db2.gz CPDTWWCBVNMSSF-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CCCCN(C)C(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000122033537 387461433 /nfs/dbraw/zinc/46/14/33/387461433.db2.gz GQPPBLKITCPPQK-AVGNSLFASA-N 0 3 240.391 2.506 20 0 BFADHN CC(C)(CO)CCNCc1cscc1Cl ZINC000648674205 387430134 /nfs/dbraw/zinc/43/01/34/387430134.db2.gz UIKVWQJLJYFLHU-UHFFFAOYSA-N 0 3 247.791 2.900 20 0 BFADHN c1coc(CN2CC[C@H](Oc3ccccc3)C2)c1 ZINC000374260864 387435075 /nfs/dbraw/zinc/43/50/75/387435075.db2.gz YOJSHQZHLFDBSU-HNNXBMFYSA-N 0 3 243.306 2.933 20 0 BFADHN c1cc(CN2CC[C@H](Oc3ccccc3)C2)c[nH]1 ZINC000374259744 387435691 /nfs/dbraw/zinc/43/56/91/387435691.db2.gz RPFCWNPFSMGAMS-HNNXBMFYSA-N 0 3 242.322 2.668 20 0 BFADHN Cc1ccoc1CN1CCS[C@@H](C)[C@H]1C ZINC000271178404 387441124 /nfs/dbraw/zinc/44/11/24/387441124.db2.gz KLINVSKUDKSORY-MNOVXSKESA-N 0 3 225.357 2.914 20 0 BFADHN Cc1cc(C)cc(CN2CCOC[C@@H](C)C2)c1 ZINC000374338406 387442906 /nfs/dbraw/zinc/44/29/06/387442906.db2.gz CUGSASYNSBLPRK-AWEZNQCLSA-N 0 3 233.355 2.772 20 0 BFADHN Cc1cc(CN2CCOC[C@@H](C)C2)c(C)s1 ZINC000374365979 387444568 /nfs/dbraw/zinc/44/45/68/387444568.db2.gz MFXWSVRHXRDGII-JTQLQIEISA-N 0 3 239.384 2.833 20 0 BFADHN Cc1c(CN2CC3(CCC3)[C@H]2C(C)C)cnn1C ZINC000336600421 387445604 /nfs/dbraw/zinc/44/56/04/387445604.db2.gz UNGLPJUSTZZKCL-CQSZACIVSA-N 0 3 247.386 2.739 20 0 BFADHN C[C@H](N[C@@H]1CCSC1)c1ccc(Cl)nc1 ZINC000271505029 387446204 /nfs/dbraw/zinc/44/62/04/387446204.db2.gz NUZOQCPTIHDAGL-WCBMZHEXSA-N 0 3 242.775 2.891 20 0 BFADHN CC(C)[C@@H](N)C(=O)NCC[C@@H](C)CC(C)(C)C ZINC000423931604 387452569 /nfs/dbraw/zinc/45/25/69/387452569.db2.gz YYSJAIFMMJNJLO-VXGBXAGGSA-N 0 3 242.407 2.548 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccncn1)C1CCCC1 ZINC000395802627 387452800 /nfs/dbraw/zinc/45/28/00/387452800.db2.gz ARZQOICJLSMUKC-MNOVXSKESA-N 0 3 219.332 2.706 20 0 BFADHN CCO[C@@H]1COCC[C@H]1N[C@@H](C)c1ccccc1 ZINC000354959506 387454218 /nfs/dbraw/zinc/45/42/18/387454218.db2.gz LPFPJXRBUYQQCC-NWANDNLSSA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@@H](C)CCNCc1cc(C)ns1 ZINC000404368504 387386767 /nfs/dbraw/zinc/38/67/67/387386767.db2.gz QECIVJZWCACCQU-SECBINFHSA-N 0 3 212.362 2.977 20 0 BFADHN CCN(Cc1c(C)cnn1C)CC(C)(C)C ZINC000361016508 387389721 /nfs/dbraw/zinc/38/97/21/387389721.db2.gz IOWHLIOEKYCODN-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN Cc1ccncc1CN(C)[C@@H]1C=CCCC1 ZINC000336568869 387393533 /nfs/dbraw/zinc/39/35/33/387393533.db2.gz GRGKCFNNNKCOSH-CQSZACIVSA-N 0 3 216.328 2.931 20 0 BFADHN Cc1ccc(NC(=O)C(C)C(F)(F)F)cn1 ZINC000336579457 387401260 /nfs/dbraw/zinc/40/12/60/387401260.db2.gz YOJNQCGJERRFKS-ZETCQYMHSA-N 0 3 232.205 2.527 20 0 BFADHN CC1(C)COCCN1C[C@@H]1C[C@H]1c1ccccc1 ZINC000352653749 387404149 /nfs/dbraw/zinc/40/41/49/387404149.db2.gz DYSOVEJZBWNRCJ-GJZGRUSLSA-N 0 3 245.366 2.901 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](C)C2)cn1 ZINC000121774030 387405136 /nfs/dbraw/zinc/40/51/36/387405136.db2.gz UNHDWZDXFYSRRB-LLVKDONJSA-N 0 3 204.317 2.622 20 0 BFADHN CC(C)n1nccc1CNC[C@@H](C)C(F)(F)F ZINC000354632905 387406540 /nfs/dbraw/zinc/40/65/40/387406540.db2.gz NGLYIOVOXDRADT-SECBINFHSA-N 0 3 249.280 2.752 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)C[C@H](C)C2)cn1 ZINC000121781070 387406964 /nfs/dbraw/zinc/40/69/64/387406964.db2.gz XWPSYVMYXXZUHC-RYUDHWBXSA-N 0 3 218.344 2.868 20 0 BFADHN CCC[C@H](N)C(=O)N(CC)[C@H]1CCCC[C@H]1C ZINC000423745529 387407755 /nfs/dbraw/zinc/40/77/55/387407755.db2.gz PTDHBTSLXCLGMN-AGIUHOORSA-N 0 3 240.391 2.541 20 0 BFADHN CCc1cccc(F)c1CNCCOC(C)C ZINC000354669138 387414304 /nfs/dbraw/zinc/41/43/04/387414304.db2.gz KCQUYTSZVAZZNU-UHFFFAOYSA-N 0 3 239.334 2.903 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@H](C)CC1CCCCC1 ZINC000423764963 387415727 /nfs/dbraw/zinc/41/57/27/387415727.db2.gz SZCUIHMOBGTJSL-DGCLKSJQSA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@H]1CCCN1Cc1cccc2c1OCO2 ZINC000352655111 387419015 /nfs/dbraw/zinc/41/90/15/387419015.db2.gz PGTVLPUFDLHZIP-LBPRGKRZSA-N 0 3 233.311 2.790 20 0 BFADHN CC(C)N(Cc1ccc(F)c(F)c1)C[C@H](C)O ZINC000303983341 387419738 /nfs/dbraw/zinc/41/97/38/387419738.db2.gz HBKGQRDGXVGCFE-JTQLQIEISA-N 0 3 243.297 2.556 20 0 BFADHN CC(C)c1nnc(C[NH2+]C[C@H](C)C(C)(C)C)[n-]1 ZINC000648670242 387420769 /nfs/dbraw/zinc/42/07/69/387420769.db2.gz AKHUYSWALUUHER-JTQLQIEISA-N 0 3 238.379 2.700 20 0 BFADHN CC(C)c1nnc(CNC[C@H](C)C(C)(C)C)[nH]1 ZINC000648670242 387420774 /nfs/dbraw/zinc/42/07/74/387420774.db2.gz AKHUYSWALUUHER-JTQLQIEISA-N 0 3 238.379 2.700 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CCC[C@H]3C[C@]32C)[n-]1 ZINC000648670271 387421859 /nfs/dbraw/zinc/42/18/59/387421859.db2.gz YXOUNQTVKAVJAX-MISXGVKJSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCC[C@H]3C[C@]32C)[nH]1 ZINC000648670271 387421863 /nfs/dbraw/zinc/42/18/63/387421863.db2.gz YXOUNQTVKAVJAX-MISXGVKJSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@@H]1CCCC[C@H]1O ZINC000516140981 387461243 /nfs/dbraw/zinc/46/12/43/387461243.db2.gz OSSNTROPMFLBBK-FRRDWIJNSA-N 0 3 237.343 2.824 20 0 BFADHN CCN(C/C=C/c1ccccc1)[C@H]1CCOC1 ZINC000361239653 387422200 /nfs/dbraw/zinc/42/22/00/387422200.db2.gz WTQOAFKKOCPSJY-PPYMECGQSA-N 0 3 231.339 2.811 20 0 BFADHN CCCCN(C)C(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000122033050 387461288 /nfs/dbraw/zinc/46/12/88/387461288.db2.gz GQPPBLKITCPPQK-XQQFMLRXSA-N 0 3 240.391 2.506 20 0 BFADHN COc1c(O)cccc1CN1CC[C@H]2CCC[C@H]21 ZINC000336598652 387422956 /nfs/dbraw/zinc/42/29/56/387422956.db2.gz YFUPAQNAJODRAQ-DGCLKSJQSA-N 0 3 247.338 2.775 20 0 BFADHN CC(C)(C)CCN1CCC[C@@H]1c1ncc[nH]1 ZINC000374855681 387494767 /nfs/dbraw/zinc/49/47/67/387494767.db2.gz ITHOUHJFYPQTSC-LLVKDONJSA-N 0 3 221.348 2.983 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H](C)c1ccncn1 ZINC000395889739 387501621 /nfs/dbraw/zinc/50/16/21/387501621.db2.gz KKVYPUWFRNZFBD-MNOVXSKESA-N 0 3 207.321 2.562 20 0 BFADHN Fc1ccc(CN(CC2CC2)C2CCC2)nc1 ZINC000361581917 387502679 /nfs/dbraw/zinc/50/26/79/387502679.db2.gz HVQXHLXUWDKWQL-UHFFFAOYSA-N 0 3 234.318 2.985 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1ccc2c(n1)CCC2 ZINC000648077037 387504496 /nfs/dbraw/zinc/50/44/96/387504496.db2.gz XGPMNFLYIXWZKA-GWCFXTLKSA-N 0 3 248.395 2.554 20 0 BFADHN c1nc(CN2CCCC[C@@H]2C2CCC2)co1 ZINC000648078087 387504705 /nfs/dbraw/zinc/50/47/05/387504705.db2.gz ZYHWBGNOPSQJJM-CYBMUJFWSA-N 0 3 220.316 2.829 20 0 BFADHN Cc1c[nH]nc1CN1CCCC[C@@H]1C1CCC1 ZINC000648077839 387505484 /nfs/dbraw/zinc/50/54/84/387505484.db2.gz LPUDQXJUXIGRPS-CQSZACIVSA-N 0 3 233.359 2.873 20 0 BFADHN CC[C@@H](NCc1cnccc1OC)C1CCC1 ZINC000324717859 387512994 /nfs/dbraw/zinc/51/29/94/387512994.db2.gz FVDIWKSVVPUQHF-CYBMUJFWSA-N 0 3 234.343 2.759 20 0 BFADHN CC(C)N1CCN(CCCC2CCCC2)CC1 ZINC000361639823 387515060 /nfs/dbraw/zinc/51/50/60/387515060.db2.gz QKVAATOQLOKBOY-UHFFFAOYSA-N 0 3 238.419 2.983 20 0 BFADHN COc1ccccc1[C@@H](C)NCCC1(CO)CC1 ZINC000395940792 387515796 /nfs/dbraw/zinc/51/57/96/387515796.db2.gz PAQCAZSKKNFOSC-GFCCVEGCSA-N 0 3 249.354 2.508 20 0 BFADHN FC1(CNC[C@H]2CCCC2(F)F)CC1 ZINC000516538554 387520405 /nfs/dbraw/zinc/52/04/05/387520405.db2.gz SHEHJCMGQQBSOU-MRVPVSSYSA-N 0 3 207.239 2.514 20 0 BFADHN C[C@@H]1CCN(Cc2ccc3c(n2)CCC3)[C@@H]1C ZINC000516547743 387520971 /nfs/dbraw/zinc/52/09/71/387520971.db2.gz RXFBCPFAYVBBCA-VXGBXAGGSA-N 0 3 230.355 2.801 20 0 BFADHN C[C@@H](CN[C@H](C)c1nccn1C)CC(F)(F)F ZINC000396953986 387521582 /nfs/dbraw/zinc/52/15/82/387521582.db2.gz PJSYCXAUGZNJDR-RKDXNWHRSA-N 0 3 249.280 2.659 20 0 BFADHN FC(F)C1CCN(CCc2ccccn2)CC1 ZINC000361691380 387521828 /nfs/dbraw/zinc/52/18/28/387521828.db2.gz CQCOLYNDAUKPTK-UHFFFAOYSA-N 0 3 240.297 2.601 20 0 BFADHN CC1(C)C[C@@H](NCc2ccccc2Cl)CO1 ZINC000396958277 387521953 /nfs/dbraw/zinc/52/19/53/387521953.db2.gz DOBWVNFZYSUZHF-LLVKDONJSA-N 0 3 239.746 2.997 20 0 BFADHN CCO[C@H]1C[C@@H](NCCF)C12CCCCC2 ZINC000304124651 387523817 /nfs/dbraw/zinc/52/38/17/387523817.db2.gz GILZZGBEHNHVAM-NEPJUHHUSA-N 0 3 229.339 2.673 20 0 BFADHN C[C@@H](CN[C@H]1CCn2ccnc21)CC(F)(F)F ZINC000396982228 387526629 /nfs/dbraw/zinc/52/66/29/387526629.db2.gz WPOCOSBIRIYYPL-BDAKNGLRSA-N 0 3 247.264 2.506 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)CC1(O)CCC1 ZINC000355375093 387528307 /nfs/dbraw/zinc/52/83/07/387528307.db2.gz ZGYXROLAERGQRO-DUXPYHPUSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H](C)C(C)(C)C2)n1 ZINC000355508303 387544244 /nfs/dbraw/zinc/54/42/44/387544244.db2.gz KIZKCVIAKJRMOA-LLVKDONJSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H](C)C(C)(C)C2)n1 ZINC000355508303 387544248 /nfs/dbraw/zinc/54/42/48/387544248.db2.gz KIZKCVIAKJRMOA-LLVKDONJSA-N 0 3 248.370 2.964 20 0 BFADHN FC1(CNC[C@H]2CCC3(CCCCC3)O2)CC1 ZINC000527243572 387544558 /nfs/dbraw/zinc/54/45/58/387544558.db2.gz OBRGNDUPJPYSEM-GFCCVEGCSA-N 0 3 241.350 2.960 20 0 BFADHN CCC[C@](C)(N)C(=O)N1Cc2ccccc2[C@H]1C ZINC000648085688 387537032 /nfs/dbraw/zinc/53/70/32/387537032.db2.gz TVYRCRGTJHIQOZ-ABAIWWIYSA-N 0 3 246.354 2.607 20 0 BFADHN CCOC[C@H]1CCC[C@@H]1NCc1cccc(C)n1 ZINC000527223523 387540624 /nfs/dbraw/zinc/54/06/24/387540624.db2.gz ATLFMOHJDBKXAM-HIFRSBDPSA-N 0 3 248.370 2.685 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cnccc1OC ZINC000287590705 387462659 /nfs/dbraw/zinc/46/26/59/387462659.db2.gz CTVMLUTUKJKULF-GHMZBOCLSA-N 0 3 222.332 2.614 20 0 BFADHN CCC[C@H](CCO)CN[C@H](C)c1ccco1 ZINC000122045858 387462788 /nfs/dbraw/zinc/46/27/88/387462788.db2.gz VGZNKZBQTQCWNC-VXGBXAGGSA-N 0 3 225.332 2.729 20 0 BFADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1cncnc1 ZINC000516118198 387465395 /nfs/dbraw/zinc/46/53/95/387465395.db2.gz USSUYTKQOOQPMX-KBPBESRZSA-N 0 3 233.359 2.877 20 0 BFADHN CC[C@H]1CCCC[C@H]1N(C)Cc1cncnc1 ZINC000516118200 387467140 /nfs/dbraw/zinc/46/71/40/387467140.db2.gz USSUYTKQOOQPMX-UONOGXRCSA-N 0 3 233.359 2.877 20 0 BFADHN Clc1ccsc1CN[C@H]1CCCOC1 ZINC000278499523 387541836 /nfs/dbraw/zinc/54/18/36/387541836.db2.gz NHQDXRDXOICEDD-QMMMGPOBSA-N 0 3 231.748 2.670 20 0 BFADHN CCN(CCC1CC1)Cc1cccc(OC)n1 ZINC000355053939 387469551 /nfs/dbraw/zinc/46/95/51/387469551.db2.gz IORAHJXMXXVDDJ-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@@H](C)CN[C@@H](C)c1cc(OC)ncc1F ZINC000421895649 387469926 /nfs/dbraw/zinc/46/99/26/387469926.db2.gz YTXSCSCZWDTJQK-ZJUUUORDSA-N 0 3 240.322 2.926 20 0 BFADHN CCc1ccc(CN2[C@H](C)C[C@H]2C)nc1 ZINC000336632343 387485064 /nfs/dbraw/zinc/48/50/64/387485064.db2.gz ZAGLLWQCHRDEBS-GHMZBOCLSA-N 0 3 204.317 2.627 20 0 BFADHN CC[C@H](C)CNC(=O)c1cccc(CN(C)C)c1 ZINC000421910163 387485450 /nfs/dbraw/zinc/48/54/50/387485450.db2.gz HTBNUCGDNPIOFP-LBPRGKRZSA-N 0 3 248.370 2.524 20 0 BFADHN Cc1ccc(C[C@H](C)NCc2n[nH]cc2C)s1 ZINC000352801723 387491824 /nfs/dbraw/zinc/49/18/24/387491824.db2.gz SEDSHMPLHGCJKD-JTQLQIEISA-N 0 3 249.383 2.809 20 0 BFADHN CC(=O)c1ccccc1OCCN1CC[C@@H](C)C1 ZINC000040719101 387582976 /nfs/dbraw/zinc/58/29/76/387582976.db2.gz FWFCBOBMCRLZRY-GFCCVEGCSA-N 0 3 247.338 2.610 20 0 BFADHN CC(C)CO[C@H]1CCN(CCC(F)(F)F)C1 ZINC000355886148 387589093 /nfs/dbraw/zinc/58/90/93/387589093.db2.gz BPQHZQIFHUUQSV-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN Cc1cnc([C@@H](C)N2C[C@@H]3CCCC[C@@H]3C2)cn1 ZINC000428219709 387591695 /nfs/dbraw/zinc/59/16/95/387591695.db2.gz GAWQKMVDGXRRBA-MCIONIFRSA-N 0 3 245.370 2.968 20 0 BFADHN CCOCc1ccccc1CNCC1(F)CC1 ZINC000527514774 387591725 /nfs/dbraw/zinc/59/17/25/387591725.db2.gz ZRJHHKQSUWOFHN-UHFFFAOYSA-N 0 3 237.318 2.815 20 0 BFADHN COC1(C)CN(C[C@H]2C(C)=CCC[C@H]2C)C1 ZINC000362314277 387593612 /nfs/dbraw/zinc/59/36/12/387593612.db2.gz YEFVZYUBTOXXIY-OLZOCXBDSA-N 0 3 223.360 2.700 20 0 BFADHN FC1(CN[C@H]2CCCN(c3ccccc3)C2)CC1 ZINC000527521674 387593737 /nfs/dbraw/zinc/59/37/37/387593737.db2.gz OTJRFRLZSCNSST-ZDUSSCGKSA-N 0 3 248.345 2.747 20 0 BFADHN COc1cc([C@H](C)NCCSC)ccc1F ZINC000152577250 387594098 /nfs/dbraw/zinc/59/40/98/387594098.db2.gz HIQHQQRTYIYINS-VIFPVBQESA-N 0 3 243.347 2.848 20 0 BFADHN COC1(C)CN(C[C@H]2CCCC[C@H]2C)C1 ZINC000362308279 387594391 /nfs/dbraw/zinc/59/43/91/387594391.db2.gz GWBLBQDJKOYTRS-VXGBXAGGSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H](O)C(C)C)cc1F ZINC000123858021 387595155 /nfs/dbraw/zinc/59/51/55/387595155.db2.gz XPVFZVUTFDNYMN-SMDDNHRTSA-N 0 3 239.334 2.802 20 0 BFADHN COC1(C)CN(C[C@@H]2CCCC[C@H]2C)C1 ZINC000362308276 387595374 /nfs/dbraw/zinc/59/53/74/387595374.db2.gz GWBLBQDJKOYTRS-NEPJUHHUSA-N 0 3 211.349 2.533 20 0 BFADHN CO[C@@H](CN1CCC(F)(F)C[C@@H]1C)C1CC1 ZINC000528765151 387595565 /nfs/dbraw/zinc/59/55/65/387595565.db2.gz APDXWSDUCHODCS-ONGXEEELSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@H](NC1C[C@@H](C)O[C@H](C)C1)c1ccccn1 ZINC000122781375 387597105 /nfs/dbraw/zinc/59/71/05/387597105.db2.gz PYDHUZPPFMEPOH-UTUOFQBUSA-N 0 3 234.343 2.688 20 0 BFADHN CCC[C@](C)(CO)NCc1cc(F)cc(F)c1 ZINC000305360628 387600919 /nfs/dbraw/zinc/60/09/19/387600919.db2.gz MLVUDUXBLPOPRE-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN CC[C@H](C)CNC(=O)Nc1cccc(CNC)c1 ZINC000422565623 387602722 /nfs/dbraw/zinc/60/27/22/387602722.db2.gz NDQPMSNRELWKHM-NSHDSACASA-N 0 3 249.358 2.574 20 0 BFADHN CC[C@@H](C)CNC(=O)Nc1ccccc1CNC ZINC000422565821 387604132 /nfs/dbraw/zinc/60/41/32/387604132.db2.gz NVUWNHQRNPDWMU-LLVKDONJSA-N 0 3 249.358 2.574 20 0 BFADHN COC[C@H](C)N1CCC(C)=C(c2ccco2)C1 ZINC000367220880 387605793 /nfs/dbraw/zinc/60/57/93/387605793.db2.gz ZGWZJORYMGNRGU-LBPRGKRZSA-N 0 3 235.327 2.794 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1ccnc(C)c1 ZINC000527538005 387606266 /nfs/dbraw/zinc/60/62/66/387606266.db2.gz ARGDGVMQQROSMX-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN Cc1cc(CN2CCC[C@H]2[C@@H]2CCCO2)ccn1 ZINC000527539793 387609191 /nfs/dbraw/zinc/60/91/91/387609191.db2.gz PJBOHIDCIIPVQD-GJZGRUSLSA-N 0 3 246.354 2.533 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1ccnc(C)c1 ZINC000527540773 387611356 /nfs/dbraw/zinc/61/13/56/387611356.db2.gz XCBUDJLEFNOHMB-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN COC[C@H]1CCCN(Cc2ccnc(C)c2)CC1 ZINC000527540709 387611451 /nfs/dbraw/zinc/61/14/51/387611451.db2.gz VEIYUIGCXZDKDE-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN CC[C@H]1CN(CC2(SC)CCC2)C[C@@H](C)O1 ZINC000356058838 387612591 /nfs/dbraw/zinc/61/25/91/387612591.db2.gz PSTKIUTXIYNHDX-NEPJUHHUSA-N 0 3 243.416 2.771 20 0 BFADHN Cc1cnc([C@@H](C)NCCc2ccncc2)s1 ZINC000124389798 387615032 /nfs/dbraw/zinc/61/50/32/387615032.db2.gz GZMDYIVAMCHILI-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cnc([C@H](C)NCCc2ccncc2)s1 ZINC000124390001 387615129 /nfs/dbraw/zinc/61/51/29/387615129.db2.gz GZMDYIVAMCHILI-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1c[nH]nc1CN1C[C@H](C)CCC[C@H]1C ZINC000356124194 387617923 /nfs/dbraw/zinc/61/79/23/387617923.db2.gz PBXDOVGAPLWGMJ-ZYHUDNBSSA-N 0 3 221.348 2.729 20 0 BFADHN CN(Cc1c[nH]nc1C(C)(C)C)CC1CC1 ZINC000122934065 387618626 /nfs/dbraw/zinc/61/86/26/387618626.db2.gz OTRKCVIZDJOYRS-UHFFFAOYSA-N 0 3 221.348 2.549 20 0 BFADHN Cc1noc(C)c1CN1CC[C@H](C)[C@H](C)C1 ZINC000122961762 387621830 /nfs/dbraw/zinc/62/18/30/387621830.db2.gz KDUAHWUNNSCQKK-VHSXEESVSA-N 0 3 222.332 2.769 20 0 BFADHN C(C1CCCCC1)N1CCO[C@H](C2CC2)C1 ZINC000362513476 387622525 /nfs/dbraw/zinc/62/25/25/387622525.db2.gz VJMILQMSYWEJSH-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@@H](NCC1=CCCOC1)c1ccccc1 ZINC000124625879 387625830 /nfs/dbraw/zinc/62/58/30/387625830.db2.gz WBJQHHYETXHLDP-GFCCVEGCSA-N 0 3 217.312 2.684 20 0 BFADHN Cc1n[nH]c(C)c1CN1CC[C@@H](C)C(C)(C)C1 ZINC000355515885 387545986 /nfs/dbraw/zinc/54/59/86/387545986.db2.gz RAZMIXOWCXUFRJ-SNVBAGLBSA-N 0 3 235.375 2.895 20 0 BFADHN CC1CCC(C)(CNCc2ccn(C)n2)CC1 ZINC000527239896 387548870 /nfs/dbraw/zinc/54/88/70/387548870.db2.gz JKJFNSCNPBJCJH-UHFFFAOYSA-N 0 3 235.375 2.726 20 0 BFADHN Fc1ccc(CN2CCC3(CCCC3)C2)nc1 ZINC000375505834 387549131 /nfs/dbraw/zinc/54/91/31/387549131.db2.gz IIRVDUBVMJCEBR-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN CCCn1cc(CNCc2ccccc2C)cn1 ZINC000116912339 387549632 /nfs/dbraw/zinc/54/96/32/387549632.db2.gz ZJRPFYMPLAIRRG-UHFFFAOYSA-N 0 3 243.354 2.891 20 0 BFADHN Cc1ccc(NC(=O)C2=CCC2)c(CN(C)C)c1 ZINC000355568823 387552230 /nfs/dbraw/zinc/55/22/30/387552230.db2.gz AZGKYWFGFDVLMM-UHFFFAOYSA-N 0 3 244.338 2.715 20 0 BFADHN CC[C@@H](NCC(=O)NC(C)C)c1ccc(C)cc1 ZINC000035133265 387554848 /nfs/dbraw/zinc/55/48/48/387554848.db2.gz KFTVVPZAXQMWJH-CQSZACIVSA-N 0 3 248.370 2.560 20 0 BFADHN C[C@H](C(=O)OC(C)(C)C)N1C[C@@H](C)[C@H](C)[C@H]1C ZINC000399589194 387557503 /nfs/dbraw/zinc/55/75/03/387557503.db2.gz KWPONCJRKVDTOJ-WRWGMCAJSA-N 0 3 241.375 2.693 20 0 BFADHN Cc1ccc(C)c([C@@H](C)NCc2ccnn2C)c1 ZINC000037103508 387560865 /nfs/dbraw/zinc/56/08/65/387560865.db2.gz CVKSMSZZOJIYEK-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN CCOCCN[C@@H](CC)c1ccccc1OC ZINC000037269052 387562221 /nfs/dbraw/zinc/56/22/21/387562221.db2.gz GFYIZEMMDXSZSM-ZDUSSCGKSA-N 0 3 237.343 2.772 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCC[C@H](C)O)CCCO2 ZINC000305142358 387562609 /nfs/dbraw/zinc/56/26/09/387562609.db2.gz QQFWCXGHHSTDSS-GXTWGEPZSA-N 0 3 249.354 2.569 20 0 BFADHN CCc1ccc([C@H](C)NCC[C@H](O)C(C)C)o1 ZINC000305140630 387563225 /nfs/dbraw/zinc/56/32/25/387563225.db2.gz MGNSTGQDTFKHCY-AAEUAGOBSA-N 0 3 239.359 2.900 20 0 BFADHN Cc1ccc([C@@H](C)NCCc2cccnc2)o1 ZINC000037717748 387564419 /nfs/dbraw/zinc/56/44/19/387564419.db2.gz PCQPTKBLJRPLBC-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN C[C@@H](NC[C@@H]1CCOC1)c1c(F)cccc1F ZINC000037738044 387564700 /nfs/dbraw/zinc/56/47/00/387564700.db2.gz LBODGQZZGWOPGN-ZJUUUORDSA-N 0 3 241.281 2.652 20 0 BFADHN Cc1cncc(CN2CCCC[C@@H]2C[C@H](C)O)c1 ZINC000279739268 387568351 /nfs/dbraw/zinc/56/83/51/387568351.db2.gz KKJRRMMFAOCYDE-DZGCQCFKSA-N 0 3 248.370 2.515 20 0 BFADHN c1nc2ccccn2c1CN1CC[C@@H]2CCC[C@H]21 ZINC000426456479 387568507 /nfs/dbraw/zinc/56/85/07/387568507.db2.gz FDQPAJFYPRKPOO-GXTWGEPZSA-N 0 3 241.338 2.709 20 0 BFADHN C[C@H](Cc1ccc(Cl)cc1)NCCF ZINC000281163877 387572043 /nfs/dbraw/zinc/57/20/43/387572043.db2.gz VXOVHFJHWBMPFI-SECBINFHSA-N 0 3 215.699 2.830 20 0 BFADHN Cc1ccc(CN[C@@H](CO)CCC(C)(C)C)o1 ZINC000355729505 387573346 /nfs/dbraw/zinc/57/33/46/387573346.db2.gz LRWLSZAMACXTBG-GFCCVEGCSA-N 0 3 239.359 2.865 20 0 BFADHN c1cnn(CCNc2ccnc3ccccc32)c1 ZINC000042541737 387633115 /nfs/dbraw/zinc/63/31/15/387633115.db2.gz ZQOWYUXKROOSTJ-UHFFFAOYSA-N 0 3 238.294 2.543 20 0 BFADHN Cc1cccc([C@@H](C)NCCn2cccn2)c1 ZINC000042539535 387633702 /nfs/dbraw/zinc/63/37/02/387633702.db2.gz DUSWXJZHAVXHKP-CYBMUJFWSA-N 0 3 229.327 2.542 20 0 BFADHN CCN(C)Cc1ccccc1OC(C)C ZINC000124883524 387635675 /nfs/dbraw/zinc/63/56/75/387635675.db2.gz ZRTDYIDSQHQILT-UHFFFAOYSA-N 0 3 207.317 2.926 20 0 BFADHN CC(C)c1ncc2c(n1)CCN(CCC1CC1)C2 ZINC000527619342 387639268 /nfs/dbraw/zinc/63/92/68/387639268.db2.gz LYJLCLNPWOARIT-UHFFFAOYSA-N 0 3 245.370 2.758 20 0 BFADHN c1cc(CN[C@@H]2CC[C@@H](c3ccccc3)C2)n[nH]1 ZINC000362639429 387644285 /nfs/dbraw/zinc/64/42/85/387644285.db2.gz MOKYBKVDRUYRNT-ZIAGYGMSSA-N 0 3 241.338 2.836 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@@H]1NCc1nccn1C ZINC000430730902 387644739 /nfs/dbraw/zinc/64/47/39/387644739.db2.gz KIWOHNROFQDOFP-UPJWGTAASA-N 0 3 235.375 2.725 20 0 BFADHN CNCc1ccccc1NC(=O)[C@]12C[C@H]1CCC2 ZINC000422738515 387645509 /nfs/dbraw/zinc/64/55/09/387645509.db2.gz JNAMNTXTHHLPLB-IUODEOHRSA-N 0 3 244.338 2.535 20 0 BFADHN CCC[C@H](O)CN[C@@H](CCC)c1ccccn1 ZINC000305746122 387645609 /nfs/dbraw/zinc/64/56/09/387645609.db2.gz VSFDJKJERHQMBO-STQMWFEESA-N 0 3 236.359 2.673 20 0 BFADHN Cc1cccc(CN[C@@H](C)[C@@H]2C[C@H]2C2CC2)n1 ZINC000527639192 387647886 /nfs/dbraw/zinc/64/78/86/387647886.db2.gz GGVXQZIWXCIJAR-CQDKDKBSSA-N 0 3 230.355 2.914 20 0 BFADHN Cc1ccoc1CN(CCO)[C@H]1CC[C@H](C)C1 ZINC000356368920 387649843 /nfs/dbraw/zinc/64/98/43/387649843.db2.gz PTLJZDXJHPZWQY-AAEUAGOBSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1ccc(SCCN2CCCCC2)nc1 ZINC000125343293 387652973 /nfs/dbraw/zinc/65/29/73/387652973.db2.gz NHDYWDUQUATFDN-UHFFFAOYSA-N 0 3 236.384 2.968 20 0 BFADHN Cc1c[nH]nc1CN1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000356402471 387653238 /nfs/dbraw/zinc/65/32/38/387653238.db2.gz YHOGLQMABRZKLQ-SCVCMEIPSA-N 0 3 221.348 2.585 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@H](C)C(C)(C)C1 ZINC000356404477 387653932 /nfs/dbraw/zinc/65/39/32/387653932.db2.gz BSNDBMCUHCSPFA-NSHDSACASA-N 0 3 221.348 2.586 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CCCOCC2)s1 ZINC000125408411 387654394 /nfs/dbraw/zinc/65/43/94/387654394.db2.gz OOUVIDOCEVTRLO-WDEREUQCSA-N 0 3 240.372 2.671 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1n[nH]cc1C ZINC000356412325 387655133 /nfs/dbraw/zinc/65/51/33/387655133.db2.gz MLBKCQUSANJUIS-GFCCVEGCSA-N 0 3 221.348 2.873 20 0 BFADHN C[C@@H](N[C@H]1CCCc2c[nH]nc21)[C@@H]1C[C@H]1C1CC1 ZINC000527673838 387655489 /nfs/dbraw/zinc/65/54/89/387655489.db2.gz KBHIVHFASNCERP-HYNSBDGHSA-N 0 3 245.370 2.811 20 0 BFADHN COCc1ccc(CN2CC(C)=C[C@H](C)C2)o1 ZINC000430866556 387656802 /nfs/dbraw/zinc/65/68/02/387656802.db2.gz QTCHHKJEOCVDLF-NSHDSACASA-N 0 3 235.327 2.824 20 0 BFADHN Cc1c[nH]nc1CN1C[C@H](C)CC(C)(C)C1 ZINC000356445519 387658983 /nfs/dbraw/zinc/65/89/83/387658983.db2.gz ZPPFPNCPZOEFIY-SNVBAGLBSA-N 0 3 221.348 2.586 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccc(OC)nc1 ZINC000362839778 387664338 /nfs/dbraw/zinc/66/43/38/387664338.db2.gz RGKVXSZPBHJOIT-ZDUSSCGKSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1ccccc1CN[C@@H](C)c1cnccc1N ZINC000422768287 387667031 /nfs/dbraw/zinc/66/70/31/387667031.db2.gz RYSWHFUZOMGANG-LBPRGKRZSA-N 0 3 241.338 2.653 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)n2ccnc21)[C@@H]1C[C@H]1C1CC1 ZINC000527716036 387671531 /nfs/dbraw/zinc/67/15/31/387671531.db2.gz JGTHZYIDJLHJDL-ZZEGJQGJSA-N 0 3 245.370 2.913 20 0 BFADHN Cc1ncc(CN[C@H](C)[C@@H]2C[C@H]2C2CC2)o1 ZINC000527722328 387676318 /nfs/dbraw/zinc/67/63/18/387676318.db2.gz WDVRTPXHLPUCEC-JCOFBHIZSA-N 0 3 220.316 2.507 20 0 BFADHN CCCCN(C)CCNC(=O)[C@@H](C)[C@H](C)CC ZINC000356591273 387679720 /nfs/dbraw/zinc/67/97/20/387679720.db2.gz IUTKGVWLHPTXQP-OLZOCXBDSA-N 0 3 242.407 2.517 20 0 BFADHN CC1(C)CCC[C@H]1CCNCc1cc[nH]n1 ZINC000527731372 387681022 /nfs/dbraw/zinc/68/10/22/387681022.db2.gz ZAMJAGOVSKMWQG-NSHDSACASA-N 0 3 221.348 2.716 20 0 BFADHN Cc1cccc2[nH]c(CN3C[C@@H]4C[C@@H]4C3)cc21 ZINC000628411494 387681414 /nfs/dbraw/zinc/68/14/14/387681414.db2.gz HAEDKYOJMJUQMK-TXEJJXNPSA-N 0 3 226.323 2.928 20 0 BFADHN c1cnc(CNCC(C2CCC2)C2CCC2)cn1 ZINC000527735462 387683187 /nfs/dbraw/zinc/68/31/87/387683187.db2.gz MXESEQIUAAUDDS-UHFFFAOYSA-N 0 3 245.370 2.783 20 0 BFADHN CC[C@@H](F)CN1CC[C@@H](Nc2ccccc2)C1 ZINC000440771886 387686969 /nfs/dbraw/zinc/68/69/69/387686969.db2.gz SLLONVZNDRCIOZ-TZMCWYRMSA-N 0 3 236.334 2.921 20 0 BFADHN CCOC[C@H](C)NCc1ccc(SC)cc1 ZINC000044690252 387688025 /nfs/dbraw/zinc/68/80/25/387688025.db2.gz SIFRKJUPJJIJFW-NSHDSACASA-N 0 3 239.384 2.923 20 0 BFADHN CCOC[C@H](C)NCc1cccc2cccnc21 ZINC000044690115 387688314 /nfs/dbraw/zinc/68/83/14/387688314.db2.gz YHCACYITFLCEHP-LBPRGKRZSA-N 0 3 244.338 2.749 20 0 BFADHN CCCCOCCCNCc1occc1C ZINC000126606821 387691611 /nfs/dbraw/zinc/69/16/11/387691611.db2.gz JOVTTWZLLSYGQR-UHFFFAOYSA-N 0 3 225.332 2.884 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1cc(C)oc1C ZINC000044690608 387692090 /nfs/dbraw/zinc/69/20/90/387692090.db2.gz ZSADHOMFVZOJTP-MWLCHTKSSA-N 0 3 225.332 2.972 20 0 BFADHN c1ncc(CN2CCC3(CCC3)CC2)s1 ZINC000367681920 387744151 /nfs/dbraw/zinc/74/41/51/387744151.db2.gz DVYCFVNXDPDHPL-UHFFFAOYSA-N 0 3 222.357 2.909 20 0 BFADHN FCCCCN1CC2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000377761386 387747185 /nfs/dbraw/zinc/74/71/85/387747185.db2.gz PQLVGBWVCCKRSL-QWHCGFSZSA-N 0 3 241.350 2.770 20 0 BFADHN COc1cc(CN2CCOCC[C@H]2C)ccc1C ZINC000367710832 387747370 /nfs/dbraw/zinc/74/73/70/387747370.db2.gz WINLECMHAJUPMP-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN COc1cc(CN2CCOCC[C@@H]2C)ccc1C ZINC000367710833 387747644 /nfs/dbraw/zinc/74/76/44/387747644.db2.gz WINLECMHAJUPMP-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1C[C@H]1C)c1ncccc1F ZINC000527827795 387747883 /nfs/dbraw/zinc/74/78/83/387747883.db2.gz JMOIYSAPSYXWEQ-RCWTZXSCSA-N 0 3 222.307 2.916 20 0 BFADHN C[C@H](NCc1cn2ccccc2n1)[C@@H]1CC12CC2 ZINC000527847332 387752457 /nfs/dbraw/zinc/75/24/57/387752457.db2.gz OHYICVVFQZTAPH-AAEUAGOBSA-N 0 3 241.338 2.613 20 0 BFADHN CCC[C@]1(CO)CCN(Cc2ccc(C)o2)C1 ZINC000377853244 387753090 /nfs/dbraw/zinc/75/30/90/387753090.db2.gz YHIYYTWCVNXFSA-AWEZNQCLSA-N 0 3 237.343 2.573 20 0 BFADHN Fc1ccc(CN2CCC[C@@H](C3CC3)C2)cn1 ZINC000377886118 387754165 /nfs/dbraw/zinc/75/41/65/387754165.db2.gz YLQTUQHSXPGBAY-CYBMUJFWSA-N 0 3 234.318 2.843 20 0 BFADHN CC[C@@H](F)CN1CCC[C@@H]1c1cccn1C ZINC000440788328 387697965 /nfs/dbraw/zinc/69/79/65/387697965.db2.gz ZGTCIPUYBMSYDC-DGCLKSJQSA-N 0 3 224.323 2.910 20 0 BFADHN CCC(C)(C)NC(=O)CN1[C@H](C)CCC1(C)C ZINC000452006031 387699200 /nfs/dbraw/zinc/69/92/00/387699200.db2.gz NLPMTNADCHYVJQ-LLVKDONJSA-N 0 3 240.391 2.554 20 0 BFADHN c1ccc2[nH]c(CN3C[C@@H]4CCC[C@H]4C3)nc2c1 ZINC000126924799 387699923 /nfs/dbraw/zinc/69/99/23/387699923.db2.gz MNCDYXNQDHZEEN-RYUDHWBXSA-N 0 3 241.338 2.795 20 0 BFADHN Cc1ccc(F)cc1CN[C@@]12C[C@@H]1COC2(C)C ZINC000527762657 387700145 /nfs/dbraw/zinc/70/01/45/387700145.db2.gz DTPHHDZLBDBNPU-DOMZBBRYSA-N 0 3 249.329 2.791 20 0 BFADHN CCc1ccc(CN[C@@]23C[C@@H]2COC3(C)C)cc1 ZINC000527763988 387700439 /nfs/dbraw/zinc/70/04/39/387700439.db2.gz YBTRFKCJYORARY-ZBFHGGJFSA-N 0 3 245.366 2.906 20 0 BFADHN Cc1ccc(CNCCc2ccccc2)nc1 ZINC000126938114 387701093 /nfs/dbraw/zinc/70/10/93/387701093.db2.gz FQCXUGGIOCZYAB-UHFFFAOYSA-N 0 3 226.323 2.722 20 0 BFADHN Cc1ccc(CNCCOC2CCCCC2)nc1 ZINC000127009582 387703852 /nfs/dbraw/zinc/70/38/52/387703852.db2.gz ZLPCWDOOMDWDBJ-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN COC[C@@H]1CCCCN1CCOc1ccccc1 ZINC000452028908 387704441 /nfs/dbraw/zinc/70/44/41/387704441.db2.gz HWIIVRIRIWCZRL-AWEZNQCLSA-N 0 3 249.354 2.566 20 0 BFADHN CC(C)CCCNCc1cc(C(F)(F)F)n[nH]1 ZINC000440805527 387706185 /nfs/dbraw/zinc/70/61/85/387706185.db2.gz GMDCGWXBIURCJR-UHFFFAOYSA-N 0 3 249.280 2.954 20 0 BFADHN Cc1cc(CN2C[C@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)no1 ZINC000452049561 387713318 /nfs/dbraw/zinc/71/33/18/387713318.db2.gz SWZBGHBMQUECJU-PAPYEOQZSA-N 0 3 246.354 2.851 20 0 BFADHN Fc1ccc(CN2CCC[C@@H]2[C@@H]2CCCO2)cc1 ZINC000367447671 387713407 /nfs/dbraw/zinc/71/34/07/387713407.db2.gz KMZKKZTXHWADSB-CABCVRRESA-N 0 3 249.329 2.969 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1cncc(C)c1 ZINC000452051146 387714319 /nfs/dbraw/zinc/71/43/19/387714319.db2.gz KWTRBRXMBCZHQL-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN COC[C@@H](C)CN[C@H](C)c1cccc(F)c1F ZINC000127469621 387716101 /nfs/dbraw/zinc/71/61/01/387716101.db2.gz VGELUTSQWLQDLP-VHSXEESVSA-N 0 3 243.297 2.898 20 0 BFADHN CN(C/C=C/c1ccc(Cl)cc1)CCCO ZINC000440947912 387716166 /nfs/dbraw/zinc/71/61/66/387716166.db2.gz ZYYLVFFNQDEQJX-DUXPYHPUSA-N 0 3 239.746 2.667 20 0 BFADHN Cc1cnc(CN2C[C@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)o1 ZINC000452055258 387716180 /nfs/dbraw/zinc/71/61/80/387716180.db2.gz TZVBUWFJWPCZAE-UKTARXLSSA-N 0 3 246.354 2.851 20 0 BFADHN CC(C)CCCN[C@@H](c1nccn1C)C1CC1 ZINC000127537997 387719634 /nfs/dbraw/zinc/71/96/34/387719634.db2.gz RURVNHCIQCNUOL-CYBMUJFWSA-N 0 3 235.375 2.897 20 0 BFADHN c1cncc(CN2CCC3(CCC3)CC2)c1 ZINC000367524252 387720821 /nfs/dbraw/zinc/72/08/21/387720821.db2.gz BDNGQYAIMZPCPC-UHFFFAOYSA-N 0 3 216.328 2.848 20 0 BFADHN CCCCNC(=O)C[C@H](c1ccccc1)N(C)C ZINC000431629044 387721466 /nfs/dbraw/zinc/72/14/66/387721466.db2.gz BPALTSYGQNHAAH-CQSZACIVSA-N 0 3 248.370 2.596 20 0 BFADHN CCC[C@H](C)CN[C@@H]1Cc2ccccc2NC1=O ZINC000527799558 387723423 /nfs/dbraw/zinc/72/34/23/387723423.db2.gz KJMDRHVZDOGLBJ-SMDDNHRTSA-N 0 3 246.354 2.576 20 0 BFADHN CC(C)CCCN[C@H]1Cc2ccccc2NC1=O ZINC000527801616 387723466 /nfs/dbraw/zinc/72/34/66/387723466.db2.gz SWRVIORSFGNKCD-AWEZNQCLSA-N 0 3 246.354 2.576 20 0 BFADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1NCc1ccc(F)cc1 ZINC000527800731 387723691 /nfs/dbraw/zinc/72/36/91/387723691.db2.gz DUPZLFCPSMSDLT-DEKYYXRVSA-N 0 3 237.318 2.727 20 0 BFADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1NCc1ccsc1 ZINC000527802743 387725152 /nfs/dbraw/zinc/72/51/52/387725152.db2.gz QXICQIOJUWSELY-KLBPJQLPSA-N 0 3 225.357 2.650 20 0 BFADHN CC[C@H]1CCCN(Cc2ccc(CO)o2)CC1 ZINC000128840663 387755708 /nfs/dbraw/zinc/75/57/08/387755708.db2.gz NSKXBVPSQIJJCF-LBPRGKRZSA-N 0 3 237.343 2.784 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCN2C2CCCC2)o1 ZINC000527811526 387731861 /nfs/dbraw/zinc/73/18/61/387731861.db2.gz XRVKVCYJDSRHFJ-AWEZNQCLSA-N 0 3 248.370 2.695 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H](C)CCOC ZINC000356854826 387733717 /nfs/dbraw/zinc/73/37/17/387733717.db2.gz UXWKQSHSUGBIBX-NWDGAFQWSA-N 0 3 236.359 2.720 20 0 BFADHN CCc1cccnc1[C@@H](C)NC1CCC(O)CC1 ZINC000356848906 387733737 /nfs/dbraw/zinc/73/37/37/387733737.db2.gz PHHSCDIQGHNQAN-LMWSTFAQSA-N 0 3 248.370 2.598 20 0 BFADHN CCc1cccnc1[C@H](C)NCC1(OC)CCC1 ZINC000356855945 387733770 /nfs/dbraw/zinc/73/37/70/387733770.db2.gz VXDLWTPMBHIGCU-LBPRGKRZSA-N 0 3 248.370 2.864 20 0 BFADHN Cc1ccoc1CNC[C@H]1CCN1C1CCCC1 ZINC000527811560 387734952 /nfs/dbraw/zinc/73/49/52/387734952.db2.gz XZPWWBSFDVFUPN-CQSZACIVSA-N 0 3 248.370 2.695 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@H](O)C2(C)C)cc1C ZINC000128099021 387737847 /nfs/dbraw/zinc/73/78/47/387737847.db2.gz DEPBRCOTRIZDAF-KBPBESRZSA-N 0 3 233.355 2.552 20 0 BFADHN CCc1cnc(CN[C@H](C)[C@H]2CC23CC3)s1 ZINC000527868702 387739465 /nfs/dbraw/zinc/73/94/65/387739465.db2.gz SVNKEOWGQYEIJF-MWLCHTKSSA-N 0 3 236.384 2.984 20 0 BFADHN Cc1cc(C)cc(CN[C@H]2C[C@H](O)C2(C)C)c1 ZINC000128145896 387739772 /nfs/dbraw/zinc/73/97/72/387739772.db2.gz AQGBRJZDJDGZJX-KBPBESRZSA-N 0 3 233.355 2.552 20 0 BFADHN Cc1cc(CN2CCOCC[C@H]2C)c(C)s1 ZINC000367659620 387740009 /nfs/dbraw/zinc/74/00/09/387740009.db2.gz HYQFNWZAKDLRAH-SNVBAGLBSA-N 0 3 239.384 2.976 20 0 BFADHN CC(C)n1ccc(CN2CCC[C@@H]2C2CC2)n1 ZINC000377699522 387740739 /nfs/dbraw/zinc/74/07/39/387740739.db2.gz WGXQCAIPIAWFBK-CQSZACIVSA-N 0 3 233.359 2.838 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccs1)[C@@H]1CC12CC2 ZINC000527907871 387763691 /nfs/dbraw/zinc/76/36/91/387763691.db2.gz JASYTKIEAGFXGD-UTLUCORTSA-N 0 3 222.357 2.982 20 0 BFADHN CCCc1ncc(CN[C@@H](C)[C@H]2CC23CC3)o1 ZINC000527907233 387764104 /nfs/dbraw/zinc/76/41/04/387764104.db2.gz UUJXUXCVHDTZAR-CMPLNLGQSA-N 0 3 234.343 2.905 20 0 BFADHN CCn1nncc1CN[C@H]1CCCC12CCCC2 ZINC000527912639 387766470 /nfs/dbraw/zinc/76/64/70/387766470.db2.gz FYYXOZJQQRRCHX-ZDUSSCGKSA-N 0 3 248.374 2.501 20 0 BFADHN C[C@H](NCC1(O)CCC1)c1ccccc1Cl ZINC000129374497 387768100 /nfs/dbraw/zinc/76/81/00/387768100.db2.gz JJGQKVREMRVONI-JTQLQIEISA-N 0 3 239.746 2.906 20 0 BFADHN CCCCNC(=O)C(C)(C)[C@H](N)c1ccccc1 ZINC000422909691 387769112 /nfs/dbraw/zinc/76/91/12/387769112.db2.gz OSICVMKIGLWCNQ-CYBMUJFWSA-N 0 3 248.370 2.629 20 0 BFADHN C(=C/c1ccccc1)\CCN1C[C@H]2CC[C@@H](C1)O2 ZINC000450453996 387774957 /nfs/dbraw/zinc/77/49/57/387774957.db2.gz QUHGUKHEJAHXNA-POTOTVBWSA-N 0 3 243.350 2.953 20 0 BFADHN COc1cc(CN2CCC3(CCCC3)C2)ccn1 ZINC000378298261 387775261 /nfs/dbraw/zinc/77/52/61/387775261.db2.gz XSUHNKFEFVVNOT-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN C[C@@H](NCC[C@@H]1CCCOC1)c1ccccn1 ZINC000527932334 387794968 /nfs/dbraw/zinc/79/49/68/387794968.db2.gz SFYCRQXBNSZFCW-OLZOCXBDSA-N 0 3 234.343 2.549 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C ZINC000528005185 387801442 /nfs/dbraw/zinc/80/14/42/387801442.db2.gz SFSGEAVWZQLCOU-AIUMHDJVSA-N 0 3 248.370 2.853 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1[C@@H](C)[C@H](C)O[C@H]1C ZINC000528005192 387802702 /nfs/dbraw/zinc/80/27/02/387802702.db2.gz SFSGEAVWZQLCOU-CXOVXGEYSA-N 0 3 248.370 2.853 20 0 BFADHN C[C@@H](NCC[C@H]1CC=CCC1)c1cnccn1 ZINC000527987245 387804242 /nfs/dbraw/zinc/80/42/42/387804242.db2.gz SNUQKYQCFYSOEJ-OLZOCXBDSA-N 0 3 231.343 2.874 20 0 BFADHN CC(C)O[C@@]1(C)C[C@H]1N[C@@H](C)c1cccc(O)c1 ZINC000527996837 387805543 /nfs/dbraw/zinc/80/55/43/387805543.db2.gz GIZZXNYFSMHBQX-GLQYFDAESA-N 0 3 249.354 2.999 20 0 BFADHN CC(C)O[C@@]1(C)C[C@H]1N[C@@H](C)c1ccncc1 ZINC000527998229 387805699 /nfs/dbraw/zinc/80/56/99/387805699.db2.gz KTMRPAKVIQBQKO-YUTCNCBUSA-N 0 3 234.343 2.688 20 0 BFADHN CCOc1ccc(CN(C)[C@H](C)COC)cc1 ZINC000193901588 387808487 /nfs/dbraw/zinc/80/84/87/387808487.db2.gz PBJMVMDABZOVQH-GFCCVEGCSA-N 0 3 237.343 2.552 20 0 BFADHN CSC1(CN[C@H](C)c2ccco2)CC1 ZINC000193819929 387809077 /nfs/dbraw/zinc/80/90/77/387809077.db2.gz MZEMPNOHZHMHHP-SECBINFHSA-N 0 3 211.330 2.826 20 0 BFADHN CCOc1ncccc1CN[C@@H](C)[C@@H]1CC12CC2 ZINC000527854980 387758273 /nfs/dbraw/zinc/75/82/73/387758273.db2.gz ZRKMNKRBXOGQKJ-AAEUAGOBSA-N 0 3 246.354 2.759 20 0 BFADHN CCc1noc(C)c1CN[C@H](C)[C@H]1CC12CC2 ZINC000527904919 387759828 /nfs/dbraw/zinc/75/98/28/387759828.db2.gz XOUWMVQACKHNJD-BXKDBHETSA-N 0 3 234.343 2.824 20 0 BFADHN CNC(=O)[C@H](C)N[C@H](C)c1cccc(C(C)C)c1 ZINC000527902975 387760893 /nfs/dbraw/zinc/76/08/93/387760893.db2.gz PEMCUZQDVWZEHE-NEPJUHHUSA-N 0 3 248.370 2.595 20 0 BFADHN Cc1cnc([C@@H](C)N2C[C@@H]3CCC[C@@H]3C2)o1 ZINC000356987247 387761595 /nfs/dbraw/zinc/76/15/95/387761595.db2.gz PEQAPMAKSRSZTD-UTUOFQBUSA-N 0 3 220.316 2.776 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1cccc(OC)c1 ZINC000135742361 387823385 /nfs/dbraw/zinc/82/33/85/387823385.db2.gz SAVCOKDOQKNCFJ-WCQYABFASA-N 0 3 237.343 2.507 20 0 BFADHN C[C@H]1COC(C)(C)CN1CC1(C)CCC1 ZINC000432802055 387823469 /nfs/dbraw/zinc/82/34/69/387823469.db2.gz BENMBDCANQUOQE-NSHDSACASA-N 0 3 211.349 2.676 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1cccc(OC)c1 ZINC000135742321 387823777 /nfs/dbraw/zinc/82/37/77/387823777.db2.gz SAVCOKDOQKNCFJ-AAEUAGOBSA-N 0 3 237.343 2.507 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1ccnc(C)n1 ZINC000054790419 387828768 /nfs/dbraw/zinc/82/87/68/387828768.db2.gz OFHSDDRFGWSVCG-GXTWGEPZSA-N 0 3 233.359 2.843 20 0 BFADHN C[C@H](NCC1COC1)c1csc(Cl)c1 ZINC000528026960 387832107 /nfs/dbraw/zinc/83/21/07/387832107.db2.gz RTNACMFWKHWILJ-ZETCQYMHSA-N 0 3 231.748 2.699 20 0 BFADHN C[C@@H](NCC1COC1)c1ccc2ccccc2n1 ZINC000528028269 387832639 /nfs/dbraw/zinc/83/26/39/387832639.db2.gz URFVCLFQWKXXAE-LLVKDONJSA-N 0 3 242.322 2.532 20 0 BFADHN CCOC(=O)CN(CC(C)C)CC1(C)CCC1 ZINC000432933084 387842694 /nfs/dbraw/zinc/84/26/94/387842694.db2.gz LYLLFKHXSLHLDC-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN COc1ccnc(CN[C@H]2CC3CCC2CC3)c1 ZINC000433008128 387848925 /nfs/dbraw/zinc/84/89/25/387848925.db2.gz XHXLLILJENHTCI-QOZQQMKHSA-N 0 3 246.354 2.759 20 0 BFADHN Cc1cccc(CCNCc2ccoc2)c1 ZINC000062103854 387850833 /nfs/dbraw/zinc/85/08/33/387850833.db2.gz QBMITXSRNVJGLS-UHFFFAOYSA-N 0 3 215.296 2.920 20 0 BFADHN CC[C@H](C(=O)N[C@@H](C)CCC=C(C)C)N(C)C ZINC000452538372 387851155 /nfs/dbraw/zinc/85/11/55/387851155.db2.gz CJGJJYFMBXIZQJ-QWHCGFSZSA-N 0 3 240.391 2.578 20 0 BFADHN CC[C@@H](C(=O)N[C@@H](C)CCC=C(C)C)N(C)C ZINC000452538373 387852228 /nfs/dbraw/zinc/85/22/28/387852228.db2.gz CJGJJYFMBXIZQJ-STQMWFEESA-N 0 3 240.391 2.578 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1CCO[C@H]1C1CC1 ZINC000235753046 387856673 /nfs/dbraw/zinc/85/66/73/387856673.db2.gz QNARQBPQVXEBIW-CABCVRRESA-N 0 3 249.329 2.791 20 0 BFADHN CCCN(C)CC(=O)N[C@@H](C)CCC=C(C)C ZINC000452554914 387857518 /nfs/dbraw/zinc/85/75/18/387857518.db2.gz YCYFVURRNFWRPF-ZDUSSCGKSA-N 0 3 240.391 2.579 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc3ccccc3[nH]2)[C@H](C)O1 ZINC000648438105 387867645 /nfs/dbraw/zinc/86/76/45/387867645.db2.gz NOVAKBYPCQOZEY-JRPNMDOOSA-N 0 3 244.338 2.823 20 0 BFADHN Cc1ccccc1[C@H](CO)NCC1=CCCC1 ZINC000645852278 387869054 /nfs/dbraw/zinc/86/90/54/387869054.db2.gz OCEHSQDYSVABPN-HNNXBMFYSA-N 0 3 231.339 2.728 20 0 BFADHN CCN(CC(=O)Nc1cccc(C)c1C)C(C)C ZINC000194095919 387870108 /nfs/dbraw/zinc/87/01/08/387870108.db2.gz ZRIOAWORTDHXJV-UHFFFAOYSA-N 0 3 248.370 2.972 20 0 BFADHN Cc1ccnc(NC[C@@H]2CCN2C2CCCC2)c1 ZINC000528209134 387871663 /nfs/dbraw/zinc/87/16/63/387871663.db2.gz LVPRKUMMXYYTDD-AWEZNQCLSA-N 0 3 245.370 2.819 20 0 BFADHN COC(=O)[C@H](C)N(CC1(C)CCC1)C1CCC1 ZINC000432787784 387819383 /nfs/dbraw/zinc/81/93/83/387819383.db2.gz IJYSBAFYZMEBGD-NSHDSACASA-N 0 3 239.359 2.593 20 0 BFADHN Cc1cc(C)c(CNCc2cnn(C)c2)cc1C ZINC000041159355 177861588 /nfs/dbraw/zinc/86/15/88/177861588.db2.gz IXNOULNYLHWWLT-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN C[C@@H]1CN(CC2CC(C)(C)C2)[C@H](C)CO1 ZINC000528340812 387894797 /nfs/dbraw/zinc/89/47/97/387894797.db2.gz NPOZDQLCVQJCDI-GHMZBOCLSA-N 0 3 211.349 2.532 20 0 BFADHN CCCCN(C)Cc1cc(CO)ccc1F ZINC000528336909 387894925 /nfs/dbraw/zinc/89/49/25/387894925.db2.gz HVFXLBYPSUZLRP-UHFFFAOYSA-N 0 3 225.307 2.550 20 0 BFADHN CSC(C)(C)CN[C@@H](C)c1cncs1 ZINC000154242375 387897543 /nfs/dbraw/zinc/89/75/43/387897543.db2.gz OVTRHVWMMXDDEC-QMMMGPOBSA-N 0 3 230.402 2.935 20 0 BFADHN CC(C)(C)c1ccc(CN[C@H]2CCOC2)cc1 ZINC000076680246 387878943 /nfs/dbraw/zinc/87/89/43/387878943.db2.gz XJSMKDQQXHSKIH-AWEZNQCLSA-N 0 3 233.355 2.863 20 0 BFADHN Fc1ccc(NC[C@H]2CCN2C2CCCC2)nc1 ZINC000528212266 387880653 /nfs/dbraw/zinc/88/06/53/387880653.db2.gz SGHLKNVWORVKEF-CYBMUJFWSA-N 0 3 249.333 2.650 20 0 BFADHN CCC[C@H](NC(=O)CN(C)CCC)C(C)(C)C ZINC000452664420 387884916 /nfs/dbraw/zinc/88/49/16/387884916.db2.gz TVWKFSYGLWTCFI-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN c1c2ccccc2oc1CN[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000152969581 387887888 /nfs/dbraw/zinc/88/78/88/387887888.db2.gz YNBZCMJVRDSZGS-KYOSRNDESA-N 0 3 243.306 2.842 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cc(CO)ccc1F ZINC000528345794 387903785 /nfs/dbraw/zinc/90/37/85/387903785.db2.gz SKPDFVABXPXLIT-LLVKDONJSA-N 0 3 239.334 2.794 20 0 BFADHN CCCC[C@@H](N)C(=O)NCCCCC(C)(C)C ZINC000236739215 387905678 /nfs/dbraw/zinc/90/56/78/387905678.db2.gz XZIIDXDENMRNFC-GFCCVEGCSA-N 0 3 242.407 2.837 20 0 BFADHN CC[C@H]1CN(CC2CC(C)(C)C2)CCO1 ZINC000528347742 387906946 /nfs/dbraw/zinc/90/69/46/387906946.db2.gz COPMXXTWODAHQG-LBPRGKRZSA-N 0 3 211.349 2.533 20 0 BFADHN CC[C@](C)(O)CN(C)Cc1cc(F)cc(F)c1 ZINC000444706772 387912420 /nfs/dbraw/zinc/91/24/20/387912420.db2.gz MZFUKUNVRGYLLH-ZDUSSCGKSA-N 0 3 243.297 2.558 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1C[C@H]1C1CCC1 ZINC000424189864 387912907 /nfs/dbraw/zinc/91/29/07/387912907.db2.gz FCKVPLYHQGWGOG-WCQYABFASA-N 0 3 220.316 2.570 20 0 BFADHN c1cc(CN(C2CC2)C2CCCC2)on1 ZINC000532959285 387914251 /nfs/dbraw/zinc/91/42/51/387914251.db2.gz CNPIUAWSVXQROY-UHFFFAOYSA-N 0 3 206.289 2.582 20 0 BFADHN Cc1ccc2nc(CN3C[C@H](C)C[C@H]3C)cn2c1 ZINC000532972636 387916169 /nfs/dbraw/zinc/91/61/69/387916169.db2.gz SZFDPUBWAZIELQ-CHWSQXEVSA-N 0 3 243.354 2.873 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccsc1)c1cnn(C)c1 ZINC000044500440 387931257 /nfs/dbraw/zinc/93/12/57/387931257.db2.gz KLKAYXPUTNWGDA-WDEREUQCSA-N 0 3 249.383 2.763 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2)[C@@H](C)[C@H](C)O1 ZINC000438375076 387931841 /nfs/dbraw/zinc/93/18/41/387931841.db2.gz SPNGLEIOMAFFMI-RDBSUJKOSA-N 0 3 233.355 2.727 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1cccc(F)c1F ZINC000222720740 387934010 /nfs/dbraw/zinc/93/40/10/387934010.db2.gz IRHHCTNMIDXXOM-ZJUUUORDSA-N 0 3 241.281 2.794 20 0 BFADHN c1ccc2c(c1)CN(CCC[C@H]1CCOC1)C2 ZINC000645800245 387935530 /nfs/dbraw/zinc/93/55/30/387935530.db2.gz XNGCFJBQQCISQQ-ZDUSSCGKSA-N 0 3 231.339 2.819 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CCC[C@H](C2CC2)C1 ZINC000424197086 387922111 /nfs/dbraw/zinc/92/21/11/387922111.db2.gz BJXDQAGTTNHCNK-QWHCGFSZSA-N 0 3 233.359 2.777 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C(C)C)C2)cn1 ZINC000438323788 387922413 /nfs/dbraw/zinc/92/24/13/387922413.db2.gz JMEQPLNHVSSJMC-CQSZACIVSA-N 0 3 248.370 2.958 20 0 BFADHN CC(C)N(CC(=O)Nc1ccccc1)CC1CC1 ZINC000533037138 387924609 /nfs/dbraw/zinc/92/46/09/387924609.db2.gz XIKANVWYUHAGLB-UHFFFAOYSA-N 0 3 246.354 2.746 20 0 BFADHN COc1cccc(CN(CC2CC2)C(C)C)n1 ZINC000533036694 387925650 /nfs/dbraw/zinc/92/56/50/387925650.db2.gz FQQQBQIQALUPKX-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1cc(CN2CCC[C@H](C(C)C)C2)nn1C ZINC000444773850 387927150 /nfs/dbraw/zinc/92/71/50/387927150.db2.gz TWOPWJBZIQZKER-ZDUSSCGKSA-N 0 3 235.375 2.597 20 0 BFADHN CC(C)C[C@@H]1COCCN1CC1=CCCC1 ZINC000645802745 387941833 /nfs/dbraw/zinc/94/18/33/387941833.db2.gz KQMSJCASKMTGRF-CQSZACIVSA-N 0 3 223.360 2.844 20 0 BFADHN CC[C@H]1CCCN(Cc2cccnc2N)CC1 ZINC000079397183 387943936 /nfs/dbraw/zinc/94/39/36/387943936.db2.gz STXHLPGHIUSUIQ-LBPRGKRZSA-N 0 3 233.359 2.676 20 0 BFADHN CSc1ccc(CN(C)CC[C@@H](C)O)s1 ZINC000438857345 387959913 /nfs/dbraw/zinc/95/99/13/387959913.db2.gz NKDARJHIKCEEHD-SECBINFHSA-N 0 3 245.413 2.673 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2C[C@H]3OCCC[C@H]23)c1 ZINC000438917825 387963379 /nfs/dbraw/zinc/96/33/79/387963379.db2.gz IQPHGOACWNMMAE-BPLDGKMQSA-N 0 3 249.329 2.791 20 0 BFADHN C[C@@H]1COCC[C@@H]1N[C@H]1C[C@]1(F)c1ccccc1 ZINC000424313779 387965322 /nfs/dbraw/zinc/96/53/22/387965322.db2.gz BNZBSBPAZZEFCJ-UNQGMJICSA-N 0 3 249.329 2.638 20 0 BFADHN C[C@@H]1COCC[C@@H]1N[C@H]1C[C@@]1(F)c1ccccc1 ZINC000424313783 387965522 /nfs/dbraw/zinc/96/55/22/387965522.db2.gz BNZBSBPAZZEFCJ-UQOMUDLDSA-N 0 3 249.329 2.638 20 0 BFADHN CCc1ccccc1CN1CCN(C)[C@@H](C)[C@@H]1C ZINC000445814549 387969879 /nfs/dbraw/zinc/96/98/79/387969879.db2.gz ZGHAJUBLAUWTNE-KBPBESRZSA-N 0 3 246.398 2.773 20 0 BFADHN c1cncc([C@H](NCCOCC2CC2)C2CC2)c1 ZINC000445816521 387970779 /nfs/dbraw/zinc/97/07/79/387970779.db2.gz WMDMKTXFVKEMNP-OAHLLOKOSA-N 0 3 246.354 2.549 20 0 BFADHN C[C@H](O)CCN1CC[C@H](C)C[C@@H]1c1ccco1 ZINC000438991565 387970844 /nfs/dbraw/zinc/97/08/44/387970844.db2.gz OGPYXCADTINPCA-RWMBFGLXSA-N 0 3 237.343 2.824 20 0 BFADHN C[C@H](O)CCN1CC[C@@H](C)C[C@H]1c1ccco1 ZINC000438991562 387971304 /nfs/dbraw/zinc/97/13/04/387971304.db2.gz OGPYXCADTINPCA-AGIUHOORSA-N 0 3 237.343 2.824 20 0 BFADHN CSCCCCNCc1ncc(C)s1 ZINC000235032280 135045095 /nfs/dbraw/zinc/04/50/95/135045095.db2.gz NKKXNOFEYKAPAK-UHFFFAOYSA-N 0 3 230.402 2.684 20 0 BFADHN COC(C)(C)CNCc1cc(Cl)cs1 ZINC000158968454 387976251 /nfs/dbraw/zinc/97/62/51/387976251.db2.gz KKWXRJDUSAIWJD-UHFFFAOYSA-N 0 3 233.764 2.916 20 0 BFADHN C[C@H](N[C@@H]1C[C@]1(F)c1ccccc1)[C@@H]1CCOC1 ZINC000424333113 387977228 /nfs/dbraw/zinc/97/72/28/387977228.db2.gz XLEBLJOZFXLFCI-MXYBEHONSA-N 0 3 249.329 2.638 20 0 BFADHN CC[C@H](NCc1cc(F)ccc1F)C(C)(C)O ZINC000293188614 387977323 /nfs/dbraw/zinc/97/73/23/387977323.db2.gz XCBUJOWQGQRPBB-LBPRGKRZSA-N 0 3 243.297 2.604 20 0 BFADHN CC[C@@H](C(=O)OC)N1CCCC2(CCCC2)C1 ZINC000445865101 387977532 /nfs/dbraw/zinc/97/75/32/387977532.db2.gz CWNVFNOQSIJTQL-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN Cn1cc2c(n1)CCC[C@H]2N[C@@H]1C[C@H]1C1CCC1 ZINC000424334518 387978120 /nfs/dbraw/zinc/97/81/20/387978120.db2.gz VRNAAXMVYGVGKH-NJZAAPMLSA-N 0 3 245.370 2.576 20 0 BFADHN C[C@@H](c1ccccc1F)N1CCC[C@](C)(O)C1 ZINC000245882435 387989890 /nfs/dbraw/zinc/98/98/90/387989890.db2.gz IJFZSAIELLDGTR-FZMZJTMJSA-N 0 3 237.318 2.734 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H](C)C(C)(C)C ZINC000453127083 387991728 /nfs/dbraw/zinc/99/17/28/387991728.db2.gz SROFKECHSMLACU-QWRGUYRKSA-N 0 3 223.364 2.988 20 0 BFADHN CCO[C@H](CCN[C@@H](C)c1cn[nH]c1)C(C)C ZINC000453127838 387991812 /nfs/dbraw/zinc/99/18/12/387991812.db2.gz WIBOQCRRBAKREF-WCQYABFASA-N 0 3 239.363 2.512 20 0 BFADHN C[C@H]1N(CC2=CCCC2)CCOC1(C)C ZINC000645815770 387994338 /nfs/dbraw/zinc/99/43/38/387994338.db2.gz QCJONPCZCJVZCD-LLVKDONJSA-N 0 3 209.333 2.596 20 0 BFADHN COC(=O)c1coc([C@@H](C)N[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000424351995 387985504 /nfs/dbraw/zinc/98/55/04/387985504.db2.gz NQLFRKRKPOJSBK-MWGHHZFTSA-N 0 3 249.310 2.515 20 0 BFADHN CC[C@H](NCCOCC1CC1)c1ccncc1 ZINC000453107348 387986580 /nfs/dbraw/zinc/98/65/80/387986580.db2.gz ISPAINKGOYLDDE-AWEZNQCLSA-N 0 3 234.343 2.549 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H](C)n2ccnc21)C1(C)CC1 ZINC000453163646 387999593 /nfs/dbraw/zinc/99/95/93/387999593.db2.gz OTDPBTJFRMNFNE-GMTAPVOTSA-N 0 3 219.332 2.667 20 0 BFADHN CCC[C@H]([NH2+]Cc1cnc(C)[n-]c1=O)C1CCC1 ZINC000453189327 388004438 /nfs/dbraw/zinc/00/44/38/388004438.db2.gz CYTMPNCGPHHFPT-ZDUSSCGKSA-N 0 3 249.358 2.549 20 0 BFADHN CSC(C)(C)CN[C@@H](C)c1ccccn1 ZINC000159270685 388004830 /nfs/dbraw/zinc/00/48/30/388004830.db2.gz HIBAPJDHOVEJBZ-JTQLQIEISA-N 0 3 224.373 2.874 20 0 BFADHN CCc1noc(C)c1CNC1(C2CC2)CC1 ZINC000309663567 388006595 /nfs/dbraw/zinc/00/65/95/388006595.db2.gz SHHPTRYIYBVYKL-UHFFFAOYSA-N 0 3 220.316 2.578 20 0 BFADHN COc1cccc([C@@H](C)N2CCC[C@](C)(O)C2)c1 ZINC000246075619 388007427 /nfs/dbraw/zinc/00/74/27/388007427.db2.gz ZXMVEENJYHKQCF-DOMZBBRYSA-N 0 3 249.354 2.603 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2CCOCC2CC2)c1 ZINC000159330149 388008535 /nfs/dbraw/zinc/00/85/35/388008535.db2.gz LQAKLAYEJSLWPE-AWEZNQCLSA-N 0 3 234.343 2.578 20 0 BFADHN OCC[C@@H](N[C@H](C1CC1)C1CCC1)c1ccco1 ZINC000453202729 388010159 /nfs/dbraw/zinc/01/01/59/388010159.db2.gz UGYYSCWLMCULKR-HIFRSBDPSA-N 0 3 249.354 2.871 20 0 BFADHN C[C@@H](c1ccco1)N(C[C@H]1CCCO1)C1CC1 ZINC000246114714 388010586 /nfs/dbraw/zinc/01/05/86/388010586.db2.gz SWTNPRVDGKSWEW-WCQYABFASA-N 0 3 235.327 2.984 20 0 BFADHN CCC[C@@H](CN[C@H](CC)c1ccncc1)OC ZINC000453249413 388024641 /nfs/dbraw/zinc/02/46/41/388024641.db2.gz MFLRYVSCHWEEEP-UONOGXRCSA-N 0 3 236.359 2.937 20 0 BFADHN C[C@@H]1CCC[C@H](CN[C@@H]2CCn3ccnc32)[C@@H]1C ZINC000453221654 388014206 /nfs/dbraw/zinc/01/42/06/388014206.db2.gz PVBTXVNMBTXWPB-AAVRWANBSA-N 0 3 247.386 2.990 20 0 BFADHN CC[C@@H](NC[C@H](OC)C1CC1)c1ccncc1 ZINC000453235506 388019324 /nfs/dbraw/zinc/01/93/24/388019324.db2.gz XPZJSLISGFHZNF-KGLIPLIRSA-N 0 3 234.343 2.547 20 0 BFADHN COC[C@@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC000246261334 388026001 /nfs/dbraw/zinc/02/60/01/388026001.db2.gz UTZTYSXQYXQFJG-QWHCGFSZSA-N 0 3 234.343 2.501 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCC(F)(F)C2)C[C@H](C)O1 ZINC000450388949 388023167 /nfs/dbraw/zinc/02/31/67/388023167.db2.gz ITSJXLNGMLWRSE-SDDRHHMPSA-N 0 3 247.329 2.921 20 0 BFADHN CC(C)[C@H](C)NC(=O)CN(C)[C@H](C)C(C)(C)C ZINC000533249068 388038138 /nfs/dbraw/zinc/03/81/38/388038138.db2.gz JTMKNBVCDOAIQT-NWDGAFQWSA-N 0 3 242.407 2.514 20 0 BFADHN COC[C@@H](NCc1cccc(O)c1)C1CCCC1 ZINC000446818510 388038619 /nfs/dbraw/zinc/03/86/19/388038619.db2.gz RDYZXTDDXYXDPD-OAHLLOKOSA-N 0 3 249.354 2.687 20 0 BFADHN C[C@H](N(C)Cc1cccnc1)C(C)(C)C ZINC000533249573 388039478 /nfs/dbraw/zinc/03/94/78/388039478.db2.gz FIWSSFMERBIUKS-NSHDSACASA-N 0 3 206.333 2.948 20 0 BFADHN CC[C@H](F)CN[C@H]1CCCC[C@@H]1n1cccn1 ZINC000440501669 388044580 /nfs/dbraw/zinc/04/45/80/388044580.db2.gz FVDFCQOUYNYIHC-AVGNSLFASA-N 0 3 239.338 2.705 20 0 BFADHN CC/C=C\CN[C@H](C)c1cccc(CO)c1 ZINC000453316281 388043708 /nfs/dbraw/zinc/04/37/08/388043708.db2.gz JUWFOMDIWGQUPZ-QMAVJUDZSA-N 0 3 219.328 2.796 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1ccncc1F)OC ZINC000453261008 388028763 /nfs/dbraw/zinc/02/87/63/388028763.db2.gz YKGUYZNDGPZOIR-QWRGUYRKSA-N 0 3 240.322 2.686 20 0 BFADHN CC[C@H](N[C@@H](C)c1nccn1CC)C1CC1 ZINC000453343700 388052183 /nfs/dbraw/zinc/05/21/83/388052183.db2.gz ILSPRFZNWYAEFW-JQWIXIFHSA-N 0 3 221.348 2.742 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H]1COCC1(C)C ZINC000453343848 388053988 /nfs/dbraw/zinc/05/39/88/388053988.db2.gz DIZRFTZVULRZNW-AAEUAGOBSA-N 0 3 248.370 2.720 20 0 BFADHN CCn1cc([C@@H](C)N[C@@H]2CCC23CCC3)cn1 ZINC000453349933 388054930 /nfs/dbraw/zinc/05/49/30/388054930.db2.gz GQDYOYOKHFRANC-DGCLKSJQSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1c(CNCc2ccc(C)cc2C)cnn1C ZINC000160308043 388057777 /nfs/dbraw/zinc/05/77/77/388057777.db2.gz OTWWJYJOZVCVND-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN C[C@H](NCc1cnc(C2CC2)o1)C1(C)CC1 ZINC000453374186 388062186 /nfs/dbraw/zinc/06/21/86/388062186.db2.gz VUQATCBFUUPEMY-VIFPVBQESA-N 0 3 220.316 2.830 20 0 BFADHN CC[C@H](F)CN[C@H](CCCO)c1ccccc1 ZINC000440604972 388062705 /nfs/dbraw/zinc/06/27/05/388062705.db2.gz VQARNVVRLLQGDG-UONOGXRCSA-N 0 3 239.334 2.838 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C1CC1)c1ccc(F)cn1 ZINC000453375782 388064855 /nfs/dbraw/zinc/06/48/55/388064855.db2.gz UAIZNHSUHPPNIE-GAFUQQFSSA-N 0 3 234.318 2.917 20 0 BFADHN CCc1ccc([C@@H](C)NCC2(CC)COC2)o1 ZINC000453387122 388066778 /nfs/dbraw/zinc/06/67/78/388066778.db2.gz WBVAJKXUTBMOGN-LLVKDONJSA-N 0 3 237.343 2.919 20 0 BFADHN CCC(CC)N(CCOC)C[C@@H](F)CC ZINC000440689375 388067658 /nfs/dbraw/zinc/06/76/58/388067658.db2.gz CSCZDGGTWWWGSS-NSHDSACASA-N 0 3 219.344 2.872 20 0 BFADHN c1cn2c(n1)[C@@H](NCC1(C3CCC3)CCC1)CC2 ZINC000453387558 388067775 /nfs/dbraw/zinc/06/77/75/388067775.db2.gz FKSHRYPEAVKQIH-ZDUSSCGKSA-N 0 3 245.370 2.888 20 0 BFADHN CCCc1ncc(CN[C@H]2CCC2(C)C)o1 ZINC000453384858 388068095 /nfs/dbraw/zinc/06/80/95/388068095.db2.gz NJGRBTKFGKXBAB-NSHDSACASA-N 0 3 222.332 2.905 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@H](C)c1ccncn1 ZINC000453405595 388075192 /nfs/dbraw/zinc/07/51/92/388075192.db2.gz BVOVDOGCCJTAEG-MRVWCRGKSA-N 0 3 233.359 2.952 20 0 BFADHN CCC[C@H](N[C@@H](CC)C(=O)NC)c1ccccc1 ZINC000453406007 388075288 /nfs/dbraw/zinc/07/52/88/388075288.db2.gz OYQHPNMTRVHBMC-KBPBESRZSA-N 0 3 248.370 2.642 20 0 BFADHN CCn1ccnc1CNC1C[C@H](C)C[C@@H](C)C1 ZINC000084461398 388077541 /nfs/dbraw/zinc/07/75/41/388077541.db2.gz HKEKORWPSMQZHD-VXGBXAGGSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1cc([C@H](C)N[C@H](CO)CC(F)F)c(C)o1 ZINC000447599903 388080969 /nfs/dbraw/zinc/08/09/69/388080969.db2.gz LIYJNYMKXJSGSJ-WPRPVWTQSA-N 0 3 247.285 2.563 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@@H](C)c1nccn1C ZINC000453449832 388083194 /nfs/dbraw/zinc/08/31/94/388083194.db2.gz QPOOATVCJYZEBK-OUCADQQQSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1c[nH]nc1CNCC(C)(C)C1=CCCC1 ZINC000453585805 388087461 /nfs/dbraw/zinc/08/74/61/388087461.db2.gz UHYZZVNKFUXBIK-UHFFFAOYSA-N 0 3 233.359 2.944 20 0 BFADHN CCC1CN(Cc2ccc(OC)cc2)C1 ZINC000453602303 388090080 /nfs/dbraw/zinc/09/00/80/388090080.db2.gz IXWJTHNWPCVIPM-UHFFFAOYSA-N 0 3 205.301 2.537 20 0 BFADHN CCCn1nc(C)c(CN2CC(CC)C2)c1C ZINC000453605276 388091562 /nfs/dbraw/zinc/09/15/62/388091562.db2.gz NWAOLGXNWBOMLN-UHFFFAOYSA-N 0 3 235.375 2.752 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1ccncc1 ZINC000453614573 388092002 /nfs/dbraw/zinc/09/20/02/388092002.db2.gz PIUXFMFVXOQVKC-KBPBESRZSA-N 0 3 218.344 2.996 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1conc1C ZINC000453614695 388092231 /nfs/dbraw/zinc/09/22/31/388092231.db2.gz QJOJSACYAFFYNE-CHWSQXEVSA-N 0 3 222.332 2.897 20 0 BFADHN CCC1CN(Cc2cc(C)ccc2OC)C1 ZINC000453611153 388092741 /nfs/dbraw/zinc/09/27/41/388092741.db2.gz ZMCOOHRKRORHLQ-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1cn(C)nc1C ZINC000453619008 388093354 /nfs/dbraw/zinc/09/33/54/388093354.db2.gz VYGDNBGSXRLYPM-ZIAGYGMSSA-N 0 3 235.375 2.643 20 0 BFADHN CN1CCCC(C)(C)[C@H]1CNc1ccccn1 ZINC000447809156 388093889 /nfs/dbraw/zinc/09/38/89/388093889.db2.gz XJZFVLYOBFYRRF-GFCCVEGCSA-N 0 3 233.359 2.614 20 0 BFADHN CCc1ccc(CN(C)[C@@H]2CCSC2)o1 ZINC000130548682 388098326 /nfs/dbraw/zinc/09/83/26/388098326.db2.gz FMKSXRUCNFVQRU-SNVBAGLBSA-N 0 3 225.357 2.779 20 0 BFADHN CCOc1ccccc1[C@H](C)NCC[C@@H](C)O ZINC000085981342 388098573 /nfs/dbraw/zinc/09/85/73/388098573.db2.gz WYXWGIXBXOJWBT-NEPJUHHUSA-N 0 3 237.343 2.507 20 0 BFADHN CC[C@@H](C)N1CCN(CC2=CCCC2)CC1 ZINC000645925636 388107488 /nfs/dbraw/zinc/10/74/88/388107488.db2.gz HTCRCOWANMVLBW-CYBMUJFWSA-N 0 3 222.376 2.513 20 0 BFADHN Cc1ccc(CN[C@@H]2CS[C@H](C)C2)o1 ZINC000086935837 388111078 /nfs/dbraw/zinc/11/10/78/388111078.db2.gz IORXTXJNYXHFRQ-ZJUUUORDSA-N 0 3 211.330 2.572 20 0 BFADHN Cc1ccc(CN[C@H]2CS[C@@H](C)C2)o1 ZINC000086935834 388111318 /nfs/dbraw/zinc/11/13/18/388111318.db2.gz IORXTXJNYXHFRQ-VHSXEESVSA-N 0 3 211.330 2.572 20 0 BFADHN CC[C@H](F)CN[C@H]1CCCC[C@H]1n1cccn1 ZINC000440501671 388044828 /nfs/dbraw/zinc/04/48/28/388044828.db2.gz FVDFCQOUYNYIHC-RWMBFGLXSA-N 0 3 239.338 2.705 20 0 BFADHN CC/C=C\CN[C@H](C)c1cnc(C)nc1C ZINC000453321144 388045718 /nfs/dbraw/zinc/04/57/18/388045718.db2.gz NSQSHIUHTFEELX-JYESYGNLSA-N 0 3 219.332 2.710 20 0 BFADHN CC[C@H](F)CN1CCSC(C)(C)CC1 ZINC000440508624 388046762 /nfs/dbraw/zinc/04/67/62/388046762.db2.gz CNBMJHYMNCPEML-JTQLQIEISA-N 0 3 219.369 2.952 20 0 BFADHN CCOC(=O)C[C@@](C)(NC[C@H](F)CC)C(C)C ZINC000440504451 388046846 /nfs/dbraw/zinc/04/68/46/388046846.db2.gz WXLJFOTTXXVLTO-DGCLKSJQSA-N 0 3 247.354 2.692 20 0 BFADHN CCn1ccnc1CN[C@H]1CCC[C@@H]1C1CC1 ZINC000453324722 388046798 /nfs/dbraw/zinc/04/67/98/388046798.db2.gz QUXYWKRAHWDCEH-OLZOCXBDSA-N 0 3 233.359 2.571 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@@H]1C1CC1 ZINC000453324721 388046917 /nfs/dbraw/zinc/04/69/17/388046917.db2.gz QUXYWKRAHWDCEH-CHWSQXEVSA-N 0 3 233.359 2.571 20 0 BFADHN CCn1ccnc1CN[C@H](C)CCC(F)(F)F ZINC000453322357 388046969 /nfs/dbraw/zinc/04/69/69/388046969.db2.gz PHSNGKILHQQTDY-SECBINFHSA-N 0 3 249.280 2.724 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1CCC[C@H]1OC ZINC000453334636 388050325 /nfs/dbraw/zinc/05/03/25/388050325.db2.gz XISTWLTVXCAJRG-IACUBPJLSA-N 0 3 248.370 2.862 20 0 BFADHN CCc1nnc(CN[C@H]2CCCC[C@@H]2C)s1 ZINC000162368913 388158110 /nfs/dbraw/zinc/15/81/10/388158110.db2.gz KKRHLRDSEJHIBY-UWVGGRQHSA-N 0 3 239.388 2.769 20 0 BFADHN CCc1nnc(CN[C@H]2CCCC[C@H]2C)s1 ZINC000162369146 388158510 /nfs/dbraw/zinc/15/85/10/388158510.db2.gz KKRHLRDSEJHIBY-ZJUUUORDSA-N 0 3 239.388 2.769 20 0 BFADHN COCC1CCC(N[C@@H](C)c2ccon2)CC1 ZINC000449234653 388160251 /nfs/dbraw/zinc/16/02/51/388160251.db2.gz CKWMHWCXLIIZSS-UNXYVOJBSA-N 0 3 238.331 2.530 20 0 BFADHN C[C@@H](CF)N[C@H]1CS[C@@H](C(C)(C)C)C1 ZINC000646215156 388166092 /nfs/dbraw/zinc/16/60/92/388166092.db2.gz GZBPWVABYHPZHS-IVZWLZJFSA-N 0 3 219.369 2.854 20 0 BFADHN C[C@@H](CF)N[C@@H](C)CCc1n[nH]c2ccccc12 ZINC000646215408 388166857 /nfs/dbraw/zinc/16/68/57/388166857.db2.gz XMLOLTIKPYNKOD-QWRGUYRKSA-N 0 3 249.333 2.832 20 0 BFADHN Cc1cc(CN2CCC[C@@]23CCOC3)cs1 ZINC000449311886 388171462 /nfs/dbraw/zinc/17/14/62/388171462.db2.gz OFEUNWTXALBWRL-ZDUSSCGKSA-N 0 3 237.368 2.811 20 0 BFADHN CCN(Cc1csc(C)c1)[C@@H]1CCOC1 ZINC000449338651 388175660 /nfs/dbraw/zinc/17/56/60/388175660.db2.gz WDUDWYRRNZXUQL-GFCCVEGCSA-N 0 3 225.357 2.667 20 0 BFADHN CCN(Cc1csc(C)c1)[C@H]1CCOC1 ZINC000449338652 388176154 /nfs/dbraw/zinc/17/61/54/388176154.db2.gz WDUDWYRRNZXUQL-LBPRGKRZSA-N 0 3 225.357 2.667 20 0 BFADHN Cc1cccc(CCN2CCC[C@H]2CF)c1 ZINC000449343182 388176743 /nfs/dbraw/zinc/17/67/43/388176743.db2.gz IKZAJRVQZKXINK-AWEZNQCLSA-N 0 3 221.319 2.971 20 0 BFADHN CCCC[C@H](C)[C@H](C)[NH2+]Cc1nnc(CC)[n-]1 ZINC000449348715 388178963 /nfs/dbraw/zinc/17/89/63/388178963.db2.gz NRGMQSXHUHUZBT-QWRGUYRKSA-N 0 3 238.379 2.672 20 0 BFADHN CCCC[C@H](C)[C@H](C)NCc1nnc(CC)[nH]1 ZINC000449348715 388178965 /nfs/dbraw/zinc/17/89/65/388178965.db2.gz NRGMQSXHUHUZBT-QWRGUYRKSA-N 0 3 238.379 2.672 20 0 BFADHN c1ccc([C@H]2C[C@@H]2CN(C2CC2)C2COC2)cc1 ZINC000449351738 388180109 /nfs/dbraw/zinc/18/01/09/388180109.db2.gz QSEXCEGHDRGHPC-CZUORRHYSA-N 0 3 243.350 2.653 20 0 BFADHN CCc1ccc(CNCCC(C)(F)F)cn1 ZINC000449354966 388180856 /nfs/dbraw/zinc/18/08/56/388180856.db2.gz TZIOADDEBHBFHG-UHFFFAOYSA-N 0 3 228.286 2.779 20 0 BFADHN CCn1cc([C@H](C)NC2CC(C(C)C)C2)cn1 ZINC000488482130 388182203 /nfs/dbraw/zinc/18/22/03/388182203.db2.gz RQFKCHGWNPHMDI-DCBWTQNWSA-N 0 3 235.375 2.988 20 0 BFADHN CC[C@@H](C)c1nnc([C@H](C)[NH2+]C2CCCC2)[n-]1 ZINC000488501164 388183219 /nfs/dbraw/zinc/18/32/19/388183219.db2.gz FPZVPMQGZSAWHE-ZJUUUORDSA-N 0 3 236.363 2.911 20 0 BFADHN CC[C@@H](C)c1nnc([C@H](C)NC2CCCC2)[nH]1 ZINC000488501164 388183222 /nfs/dbraw/zinc/18/32/22/388183222.db2.gz FPZVPMQGZSAWHE-ZJUUUORDSA-N 0 3 236.363 2.911 20 0 BFADHN CCC[C@@H](CNCc1ccc(CC)nc1)OC ZINC000449372814 388185482 /nfs/dbraw/zinc/18/54/82/388185482.db2.gz AQPXMBKRSKYGOW-AWEZNQCLSA-N 0 3 236.359 2.549 20 0 BFADHN CC[C@@H](F)CN1CC[C@@H](c2cccnc2)C1 ZINC000645983469 388116406 /nfs/dbraw/zinc/11/64/06/388116406.db2.gz XYPOBYSLWFVLDS-CHWSQXEVSA-N 0 3 222.307 2.619 20 0 BFADHN CO[C@@H](C)CNC1(c2cccc(F)c2)CCC1 ZINC000646002022 388122739 /nfs/dbraw/zinc/12/27/39/388122739.db2.gz HFCUOFTXAQWPIO-NSHDSACASA-N 0 3 237.318 2.829 20 0 BFADHN CC(C)[C@H](C)NC(=O)CN(C)CCC(C)(C)C ZINC000646027081 388126999 /nfs/dbraw/zinc/12/69/99/388126999.db2.gz AYYUREMIAVLBCA-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN CCN(CC)C(=O)[C@H](C)N(C)CCC(C)(C)C ZINC000646027281 388127043 /nfs/dbraw/zinc/12/70/43/388127043.db2.gz CGCCAAVYOKSYLJ-LBPRGKRZSA-N 0 3 242.407 2.611 20 0 BFADHN Fc1ccccc1C1(NC[C@H]2CCCO2)CCC1 ZINC000646026216 388127058 /nfs/dbraw/zinc/12/70/58/388127058.db2.gz DSAKHQCPZOQSJM-GFCCVEGCSA-N 0 3 249.329 2.974 20 0 BFADHN CC(C)N(C)C(=O)[C@H](C)N(C)CCC(C)(C)C ZINC000646027854 388127077 /nfs/dbraw/zinc/12/70/77/388127077.db2.gz JPLZUYQNNPIWDK-LBPRGKRZSA-N 0 3 242.407 2.610 20 0 BFADHN CCCCNC(=O)[C@H](C)N(C)CCC(C)(C)C ZINC000646027630 388127468 /nfs/dbraw/zinc/12/74/68/388127468.db2.gz UAVGKSDJGZVLRK-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN COc1cc(CNC2CC(C)(F)C2)ccc1C ZINC000449374884 388186149 /nfs/dbraw/zinc/18/61/49/388186149.db2.gz CWAMMABBPQDDAC-UHFFFAOYSA-N 0 3 237.318 2.984 20 0 BFADHN CCN(Cc1ccncc1F)C1CCCC1 ZINC000449012137 388128830 /nfs/dbraw/zinc/12/88/30/388128830.db2.gz YVUJJFYVJWOQBW-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN C[C@@H]1C[C@H]1CN[C@H]1CCOc2c(F)cccc21 ZINC000488538293 388186148 /nfs/dbraw/zinc/18/61/48/388186148.db2.gz BTPIJBYSZJKXHY-NRUUGDAUSA-N 0 3 235.302 2.895 20 0 BFADHN CCN(C)Cc1ccc2c(c1)OCCCCO2 ZINC000449009659 388128932 /nfs/dbraw/zinc/12/89/32/388128932.db2.gz OVAFAWRIOBTASJ-UHFFFAOYSA-N 0 3 235.327 2.690 20 0 BFADHN c1cc2cccc(CN3CCSCC3)c2o1 ZINC000449010270 388128993 /nfs/dbraw/zinc/12/89/93/388128993.db2.gz RQBVCRYQPRFEIF-UHFFFAOYSA-N 0 3 233.336 2.982 20 0 BFADHN CCSCCCNCc1cnc(C)s1 ZINC000164020283 388129728 /nfs/dbraw/zinc/12/97/28/388129728.db2.gz RHHRJWYHMAIGFD-UHFFFAOYSA-N 0 3 230.402 2.684 20 0 BFADHN COc1cc(F)cc(CN2C[C@@H](C)[C@H](C)C2)c1 ZINC000449027513 388132164 /nfs/dbraw/zinc/13/21/64/388132164.db2.gz ISIRGPYDUPCIGY-GHMZBOCLSA-N 0 3 237.318 2.922 20 0 BFADHN CC[C@@H](CO)N(C)Cc1coc2ccccc12 ZINC000449374697 388186319 /nfs/dbraw/zinc/18/63/19/388186319.db2.gz BXHUHDWOJSBWQF-LBPRGKRZSA-N 0 3 233.311 2.636 20 0 BFADHN CC(C)CCN[C@H](CCO)c1ccccc1F ZINC000646067326 388135504 /nfs/dbraw/zinc/13/55/04/388135504.db2.gz UNCLPXUHSPKOIC-CQSZACIVSA-N 0 3 239.334 2.885 20 0 BFADHN Cc1nocc1CN1CC[C@@H](c2ccco2)C1 ZINC000646086503 388139409 /nfs/dbraw/zinc/13/94/09/388139409.db2.gz JIQXXZMXYRPQAJ-LLVKDONJSA-N 0 3 232.283 2.566 20 0 BFADHN CCc1nocc1CN1CC[C@@H](c2ccco2)C1 ZINC000646087529 388139979 /nfs/dbraw/zinc/13/99/79/388139979.db2.gz YKVGIBHNIQYCAT-LLVKDONJSA-N 0 3 246.310 2.820 20 0 BFADHN COCCNC1(c2cccc(Cl)c2)CCC1 ZINC000646094704 388141348 /nfs/dbraw/zinc/14/13/48/388141348.db2.gz MYZGOIKLHLQZPB-UHFFFAOYSA-N 0 3 239.746 2.955 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1cccc(F)c1 ZINC000164066004 388144981 /nfs/dbraw/zinc/14/49/81/388144981.db2.gz QNQOMPVUJAFQSA-NXEZZACHSA-N 0 3 211.280 2.511 20 0 BFADHN C[C@H]1CN(CC2=CCCC2)CC2(CCC2)O1 ZINC000646117441 388145937 /nfs/dbraw/zinc/14/59/37/388145937.db2.gz ZZPXKNDLRIXHRM-LBPRGKRZSA-N 0 3 221.344 2.740 20 0 BFADHN c1cc(CNC2CCC2)n(C2CCCC2)n1 ZINC000449128155 388146386 /nfs/dbraw/zinc/14/63/86/388146386.db2.gz YIPQGDANAIMMIK-UHFFFAOYSA-N 0 3 219.332 2.640 20 0 BFADHN C[C@@H](NC[C@H](O)C(C)(C)C)c1cccc(F)c1 ZINC000164091906 388151856 /nfs/dbraw/zinc/15/18/56/388151856.db2.gz YWVAFVZNHYIBKB-MFKMUULPSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2C2CCC2)nn1C ZINC000646139604 388153397 /nfs/dbraw/zinc/15/33/97/388153397.db2.gz ZPDJRMXIRWMJAP-HNNXBMFYSA-N 0 3 247.386 2.883 20 0 BFADHN CCc1nc(CN2CCCC[C@H]2C2CCC2)n[nH]1 ZINC000646140119 388154865 /nfs/dbraw/zinc/15/48/65/388154865.db2.gz UXVFUGWGURWLMS-LBPRGKRZSA-N 0 3 248.374 2.522 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@H]1CCCc2c[nH]nc21 ZINC000449188431 388154952 /nfs/dbraw/zinc/15/49/52/388154952.db2.gz LSKAYXPQHMHNHI-MFKMUULPSA-N 0 3 245.326 2.601 20 0 BFADHN CS[C@H](C)CNCc1cc2cccnc2o1 ZINC000449184487 388155175 /nfs/dbraw/zinc/15/51/75/388155175.db2.gz GENYDXFPAYNBAN-SECBINFHSA-N 0 3 236.340 2.669 20 0 BFADHN Clc1ncccc1CN[C@@H]1CCCSC1 ZINC000165244408 388251758 /nfs/dbraw/zinc/25/17/58/388251758.db2.gz ALPDEUVOWHWDMV-SNVBAGLBSA-N 0 3 242.775 2.720 20 0 BFADHN CCC1(CNCc2nc(C)cs2)CC1 ZINC000165464941 388251765 /nfs/dbraw/zinc/25/17/65/388251765.db2.gz UCOWBEDQCQGKFH-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN CS[C@H](CO)[C@H](C)N[C@@H](C)c1cccs1 ZINC000168006423 388256192 /nfs/dbraw/zinc/25/61/92/388256192.db2.gz SWMRGCNJDMEGIP-ATZCPNFKSA-N 0 3 245.413 2.511 20 0 BFADHN Cc1ccc(CNC[C@]2(C)CCCS2)nc1 ZINC000087782630 256532015 /nfs/dbraw/zinc/53/20/15/256532015.db2.gz AJBARGZUPRFODA-ZDUSSCGKSA-N 0 3 236.384 2.765 20 0 BFADHN CCc1ccc(CN2CC[C@](C)(COC)C2)cn1 ZINC000449398169 388193950 /nfs/dbraw/zinc/19/39/50/388193950.db2.gz XJSZUMMMELJDKQ-HNNXBMFYSA-N 0 3 248.370 2.502 20 0 BFADHN c1cc2cc(CNCC3=CCOCC3)ccc2o1 ZINC000449404037 388195560 /nfs/dbraw/zinc/19/55/60/388195560.db2.gz SYIVOLYANIIIOZ-UHFFFAOYSA-N 0 3 243.306 2.869 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CCC[C@@H](C)C2)[n-]1 ZINC000449405002 388195934 /nfs/dbraw/zinc/19/59/34/388195934.db2.gz HNSXHSPGQWSUNJ-MNOVXSKESA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CCC[C@@H](C)C2)[nH]1 ZINC000449405002 388195937 /nfs/dbraw/zinc/19/59/37/388195937.db2.gz HNSXHSPGQWSUNJ-MNOVXSKESA-N 0 3 236.363 2.596 20 0 BFADHN CCCC(CCC)[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000449406162 388196027 /nfs/dbraw/zinc/19/60/27/388196027.db2.gz TYIXDWFSCYGXQZ-UHFFFAOYSA-N 0 3 238.379 2.987 20 0 BFADHN CCCC(CCC)NCc1nnc(C(C)C)[nH]1 ZINC000449406162 388196029 /nfs/dbraw/zinc/19/60/29/388196029.db2.gz TYIXDWFSCYGXQZ-UHFFFAOYSA-N 0 3 238.379 2.987 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H](C)CC(C)(C)C)[n-]1 ZINC000449405172 388196490 /nfs/dbraw/zinc/19/64/90/388196490.db2.gz IUPDDRVHOGECEY-JTQLQIEISA-N 0 3 238.379 2.842 20 0 BFADHN CC(C)c1nnc(CN[C@@H](C)CC(C)(C)C)[nH]1 ZINC000449405172 388196493 /nfs/dbraw/zinc/19/64/93/388196493.db2.gz IUPDDRVHOGECEY-JTQLQIEISA-N 0 3 238.379 2.842 20 0 BFADHN C[C@H]1CCC[C@H](C[NH2+]Cc2nnc(C3CC3)[n-]2)C1 ZINC000449406059 388196846 /nfs/dbraw/zinc/19/68/46/388196846.db2.gz RXPJVIFVOMGIAZ-QWRGUYRKSA-N 0 3 248.374 2.598 20 0 BFADHN C[C@H]1CCC[C@H](CNCc2nnc(C3CC3)[nH]2)C1 ZINC000449406059 388196848 /nfs/dbraw/zinc/19/68/48/388196848.db2.gz RXPJVIFVOMGIAZ-QWRGUYRKSA-N 0 3 248.374 2.598 20 0 BFADHN COCCN(C)[C@H](C)c1ccc2c(c1)CCC2 ZINC000488819771 388196953 /nfs/dbraw/zinc/19/69/53/388196953.db2.gz PROBVQWBXSAYMT-GFCCVEGCSA-N 0 3 233.355 2.815 20 0 BFADHN CCN(CC[C@H](C)c1ccccc1)C1COC1 ZINC000449422717 388200448 /nfs/dbraw/zinc/20/04/48/388200448.db2.gz FAUAWUYKPHWTSN-ZDUSSCGKSA-N 0 3 233.355 2.901 20 0 BFADHN CCN(C[C@@H]1C[C@@H]1c1ccccc1)C1COC1 ZINC000449421991 388201236 /nfs/dbraw/zinc/20/12/36/388201236.db2.gz BYCWGFHQKUUWHP-DZGCQCFKSA-N 0 3 231.339 2.511 20 0 BFADHN Fc1cccc(CN2CC[C@@H]2C2CC2)c1 ZINC000449424949 388201542 /nfs/dbraw/zinc/20/15/42/388201542.db2.gz LBFCHXIZSUUWOF-CYBMUJFWSA-N 0 3 205.276 2.810 20 0 BFADHN CC[C@H](NCc1ccc(C)s1)[C@H](O)C(F)F ZINC000449428740 388202515 /nfs/dbraw/zinc/20/25/15/388202515.db2.gz YPOZAYIVGHUTBQ-UWVGGRQHSA-N 0 3 249.326 2.551 20 0 BFADHN COc1ccc(CN2CC[C@H]2C2CC2)c(OC)c1 ZINC000449428132 388202635 /nfs/dbraw/zinc/20/26/35/388202635.db2.gz UGFYDOJJDSFPAJ-AWEZNQCLSA-N 0 3 247.338 2.688 20 0 BFADHN CCOC[C@@H](C)N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000449427337 388202709 /nfs/dbraw/zinc/20/27/09/388202709.db2.gz RXSRXEWBRFXUOW-YRGRVCCFSA-N 0 3 249.354 2.913 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1ncc(C)n1C ZINC000449432276 388204767 /nfs/dbraw/zinc/20/47/67/388204767.db2.gz GPFCQAZSKDVORK-QWHCGFSZSA-N 0 3 235.375 2.644 20 0 BFADHN Fc1ccc(/C=C\CNC[C@H]2CCCO2)cc1 ZINC000449435719 388205466 /nfs/dbraw/zinc/20/54/66/388205466.db2.gz ANVSAHACIMWKDD-NPPUXFBVSA-N 0 3 235.302 2.608 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1n[nH]cc1C ZINC000449435149 388205566 /nfs/dbraw/zinc/20/55/66/388205566.db2.gz VDDXWZRWIMIKFT-RYUDHWBXSA-N 0 3 221.348 2.634 20 0 BFADHN C[C@H](NCc1nccn1C)[C@H]1CCCC[C@H]1C ZINC000449434828 388205663 /nfs/dbraw/zinc/20/56/63/388205663.db2.gz SVLZQWAKMUGYOI-AGIUHOORSA-N 0 3 235.375 2.725 20 0 BFADHN Fc1ccc2oc(CNC[C@H]3CCCO3)cc2c1 ZINC000449440193 388207274 /nfs/dbraw/zinc/20/72/74/388207274.db2.gz YZIYICULJWBQOB-GFCCVEGCSA-N 0 3 249.285 2.841 20 0 BFADHN FCC1(NC/C=C/c2ccccc2)CCOCC1 ZINC000449445302 388207962 /nfs/dbraw/zinc/20/79/62/388207962.db2.gz HWJKVIGHDSFRML-QPJJXVBHSA-N 0 3 249.329 2.808 20 0 BFADHN COc1ccccc1CN1CC[C@@H](SC)C1 ZINC000449446223 388208236 /nfs/dbraw/zinc/20/82/36/388208236.db2.gz PBJLJKHWPWBRCO-GFCCVEGCSA-N 0 3 237.368 2.633 20 0 BFADHN C[C@@]12CN(Cc3cncs3)C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000449448455 388208442 /nfs/dbraw/zinc/20/84/42/388208442.db2.gz HNJUXUHPBFMSTJ-WVWOOGAGSA-N 0 3 246.379 2.787 20 0 BFADHN Cc1ccc(CNC2(CF)CCOCC2)s1 ZINC000449446319 388208583 /nfs/dbraw/zinc/20/85/83/388208583.db2.gz QOIBIUGYVZMYIH-UHFFFAOYSA-N 0 3 243.347 2.665 20 0 BFADHN C[C@@]12CN(Cc3cncs3)C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000449448456 388208644 /nfs/dbraw/zinc/20/86/44/388208644.db2.gz HNJUXUHPBFMSTJ-XWUBHJNHSA-N 0 3 246.379 2.787 20 0 BFADHN COc1ncc(CNC2CC(C)C2)cc1Cl ZINC000449462120 388211340 /nfs/dbraw/zinc/21/13/40/388211340.db2.gz SPQRKHDQIMOGAS-UHFFFAOYSA-N 0 3 240.734 2.632 20 0 BFADHN CCC[C@@H](NCc1cocn1)C(C)(C)C ZINC000449466864 388211672 /nfs/dbraw/zinc/21/16/72/388211672.db2.gz HYWMOQVGWHAIEN-LLVKDONJSA-N 0 3 210.321 2.979 20 0 BFADHN CC/C=C\CNCc1ccc(-c2cc[nH]n2)o1 ZINC000449462768 388211743 /nfs/dbraw/zinc/21/17/43/388211743.db2.gz VTHXVECDGYHUTL-ARJAWSKDSA-N 0 3 231.299 2.726 20 0 BFADHN CCC[C@H](NCc1cc[nH]n1)C(C)(C)C ZINC000449473056 388213805 /nfs/dbraw/zinc/21/38/05/388213805.db2.gz QNVDSGCJTYEMBO-NSHDSACASA-N 0 3 209.337 2.714 20 0 BFADHN CC[C@@](C)(CNCc1cc2cccnc2o1)OC ZINC000449479799 388215526 /nfs/dbraw/zinc/21/55/26/388215526.db2.gz WFHCCUGTXMTWSD-AWEZNQCLSA-N 0 3 248.326 2.733 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(C)nc2)C[C@@H]1C ZINC000449493325 388217981 /nfs/dbraw/zinc/21/79/81/388217981.db2.gz DQCSBKHJWYVUAP-WFASDCNBSA-N 0 3 248.370 2.637 20 0 BFADHN CC(C)[C@@H]1C[C@@H](N[C@H]2CCC[C@H]2F)CS1 ZINC000518147934 256560041 /nfs/dbraw/zinc/56/00/41/256560041.db2.gz KBKCCZLAHYSBJM-WYUUTHIRSA-N 0 3 231.380 2.997 20 0 BFADHN CCc1nocc1CN1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000449535768 388226239 /nfs/dbraw/zinc/22/62/39/388226239.db2.gz HZJRVFMTMLAJCY-DZGCQCFKSA-N 0 3 246.354 2.857 20 0 BFADHN c1cc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)ccn1 ZINC000449534668 388226570 /nfs/dbraw/zinc/22/65/70/388226570.db2.gz GWBCSJCVWNGDBB-LSDHHAIUSA-N 0 3 228.339 2.702 20 0 BFADHN Cc1n[nH]c(C)c1CN1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000449540659 388226784 /nfs/dbraw/zinc/22/67/84/388226784.db2.gz NEAUIFBSBQGGSI-LSDHHAIUSA-N 0 3 245.370 2.647 20 0 BFADHN CCc1cccc(F)c1CN[C@@H](CC)[C@@H](C)O ZINC000449543477 388228331 /nfs/dbraw/zinc/22/83/31/388228331.db2.gz OKTFHVLCBLJHGE-YGRLFVJLSA-N 0 3 239.334 2.637 20 0 BFADHN COc1cc(C)nc(CNC[C@@H]2C[C@H]2C(C)C)c1 ZINC000449551157 388230983 /nfs/dbraw/zinc/23/09/83/388230983.db2.gz FIJOHGMCISIPTJ-WFASDCNBSA-N 0 3 248.370 2.780 20 0 BFADHN Cc1c[nH]nc1CN1C[C@@H](C(C)C)[C@H]1C(C)C ZINC000449559979 388231573 /nfs/dbraw/zinc/23/15/73/388231573.db2.gz ZZNQRNAQXGXOGK-GXTWGEPZSA-N 0 3 235.375 2.831 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1cccc2c1OCO2 ZINC000449557967 388231610 /nfs/dbraw/zinc/23/16/10/388231610.db2.gz WWRMTQCBRJYBEB-STQMWFEESA-N 0 3 247.338 2.797 20 0 BFADHN Cc1ncc(CN2C[C@H](C(C)C)[C@H]2C(C)C)cn1 ZINC000449559866 388231638 /nfs/dbraw/zinc/23/16/38/388231638.db2.gz ZMVQJGILEIAUOI-HUUCEWRRSA-N 0 3 247.386 2.897 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CCC[C@@H]1C ZINC000449562585 388232799 /nfs/dbraw/zinc/23/27/99/388232799.db2.gz MEFVCKMDEXNRAW-SRVKXCTJSA-N 0 3 221.348 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)NCC1(C)CCC1 ZINC000449562919 388233078 /nfs/dbraw/zinc/23/30/78/388233078.db2.gz PGWVIMQPWCFFIM-NSHDSACASA-N 0 3 221.348 2.744 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H](C)Cc1ccoc1 ZINC000449567475 388235125 /nfs/dbraw/zinc/23/51/25/388235125.db2.gz WBKZCUKVLBCFTJ-VXGBXAGGSA-N 0 3 247.342 2.778 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H](C)Cc1ccoc1 ZINC000449567473 388235201 /nfs/dbraw/zinc/23/52/01/388235201.db2.gz WBKZCUKVLBCFTJ-NWDGAFQWSA-N 0 3 247.342 2.778 20 0 BFADHN CCC[C@H](C)CN[C@H]1C[C@@H](C)n2ccnc21 ZINC000449571744 388236121 /nfs/dbraw/zinc/23/61/21/388236121.db2.gz LZZBOBRZQRMFAM-TUAOUCFPSA-N 0 3 221.348 2.915 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H]1C[C@@H](C)n2ccnc21 ZINC000449570460 388236343 /nfs/dbraw/zinc/23/63/43/388236343.db2.gz JOXINJPBRXHQRC-WYUUTHIRSA-N 0 3 219.332 2.667 20 0 BFADHN CC[C@H](N[C@H]1CCOC1)c1ccc(F)cc1 ZINC000087416052 256572744 /nfs/dbraw/zinc/57/27/44/256572744.db2.gz QFJGOXKKFLTDCA-STQMWFEESA-N 0 3 223.291 2.655 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@@H]2C2CCC2)o1 ZINC000449608540 388241290 /nfs/dbraw/zinc/24/12/90/388241290.db2.gz IHNMGTZCUPHYKF-CHWSQXEVSA-N 0 3 234.343 2.905 20 0 BFADHN C[C@@H](O)CN1[C@@H](C)Cc2cc(F)ccc2[C@@H]1C ZINC000459370429 388242704 /nfs/dbraw/zinc/24/27/04/388242704.db2.gz IMKOKCJRWMSDBX-AXFHLTTASA-N 0 3 237.318 2.514 20 0 BFADHN CCCc1ncc(CNC(CC)CC)o1 ZINC000449614609 388243229 /nfs/dbraw/zinc/24/32/29/388243229.db2.gz WDMLAQKUQXQYCT-UHFFFAOYSA-N 0 3 210.321 2.905 20 0 BFADHN CCCc1ncc(CN[C@@H]2CC[C@H](C)C2)o1 ZINC000449611980 388243472 /nfs/dbraw/zinc/24/34/72/388243472.db2.gz IYDPYWQMVRFIJX-WDEREUQCSA-N 0 3 222.332 2.905 20 0 BFADHN CC[C@H](C)N1CCN(Cc2occc2C)CC1 ZINC000449623768 388244344 /nfs/dbraw/zinc/24/43/44/388244344.db2.gz KRILRRIJTNERIQ-ZDUSSCGKSA-N 0 3 236.359 2.504 20 0 BFADHN CCSCCN1CCOCC12CCCCC2 ZINC000449626766 388245153 /nfs/dbraw/zinc/24/51/53/388245153.db2.gz XSPDVPRZOFJDON-UHFFFAOYSA-N 0 3 243.416 2.775 20 0 BFADHN CC[C@H](O)CCCNCc1ccc(Cl)o1 ZINC000164691428 388245485 /nfs/dbraw/zinc/24/54/85/388245485.db2.gz NYDLWMSCBJDVFY-VIFPVBQESA-N 0 3 231.723 2.574 20 0 BFADHN FC(F)(F)CCN1CCSC[C@@H]1C1CC1 ZINC000451651100 388287599 /nfs/dbraw/zinc/28/75/99/388287599.db2.gz WCIBGLGDGSKARM-SECBINFHSA-N 0 3 239.306 2.766 20 0 BFADHN CC[C@H](F)CN1CCSC[C@@H]1C1CC1 ZINC000451659402 388288927 /nfs/dbraw/zinc/28/89/27/388288927.db2.gz ZITOONNXWZUDAU-WDEREUQCSA-N 0 3 217.353 2.562 20 0 BFADHN O[C@@H]1CC[C@@H]2CN([C@H]3C=CCCC3)CC[C@@H]2C1 ZINC000451710048 388291010 /nfs/dbraw/zinc/29/10/10/388291010.db2.gz PCSBCJNRMPPESM-APIJFGDWSA-N 0 3 235.371 2.578 20 0 BFADHN OCC[C@H]1CCC[C@@H]1NCc1ccc(Cl)o1 ZINC000336663530 388262164 /nfs/dbraw/zinc/26/21/64/388262164.db2.gz DBTDNXUJUDVOKB-KOLCDFICSA-N 0 3 243.734 2.574 20 0 BFADHN CC(C)(C)CC[C@H](CO)NCc1ccco1 ZINC000336663659 388262504 /nfs/dbraw/zinc/26/25/04/388262504.db2.gz KWBFKEQDHTWJHO-LLVKDONJSA-N 0 3 225.332 2.556 20 0 BFADHN OCCN[C@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000451349232 388263953 /nfs/dbraw/zinc/26/39/53/388263953.db2.gz ATLCGMRLHKTHKM-LBPRGKRZSA-N 0 3 243.709 2.512 20 0 BFADHN Cc1cc(CN2C[C@@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)no1 ZINC000451361082 388264507 /nfs/dbraw/zinc/26/45/07/388264507.db2.gz GGBAVRHVVOXPCL-OSRDXIQISA-N 0 3 244.338 2.627 20 0 BFADHN O[C@]1(C(F)F)CCN(CCCC2CCCC2)C1 ZINC000451423171 388272317 /nfs/dbraw/zinc/27/23/17/388272317.db2.gz DPDNPTNLFOKEQJ-CYBMUJFWSA-N 0 3 247.329 2.659 20 0 BFADHN CC[C@H](F)CN[C@H]1C[C@](C)(OC)C1(C)C ZINC000451495651 388277973 /nfs/dbraw/zinc/27/79/73/388277973.db2.gz TUZTWSXMVKEFDK-NHCYSSNCSA-N 0 3 217.328 2.528 20 0 BFADHN CCSc1cccc(CNC[C@H](C)OC)c1 ZINC000276155754 388346826 /nfs/dbraw/zinc/34/68/26/388346826.db2.gz FRYDPUNYZWBGQW-NSHDSACASA-N 0 3 239.384 2.923 20 0 BFADHN COC[C@H](NCCCC(C)C)c1ccco1 ZINC000276182547 388347012 /nfs/dbraw/zinc/34/70/12/388347012.db2.gz IGAXXYQTPFLBAL-LBPRGKRZSA-N 0 3 225.332 2.993 20 0 BFADHN CCSCCNCc1cc(F)cc(OC)c1 ZINC000276193331 388347400 /nfs/dbraw/zinc/34/74/00/388347400.db2.gz JKBIYUCGNDSVKG-UHFFFAOYSA-N 0 3 243.347 2.677 20 0 BFADHN CC[C@H](CO)N[C@H](C)c1csc(Cl)c1 ZINC000276331776 388348457 /nfs/dbraw/zinc/34/84/57/388348457.db2.gz IROIDFOSAGFQMV-VXNVDRBHSA-N 0 3 233.764 2.823 20 0 BFADHN CCC(O)(CC)CCN[C@@H](C)c1cncs1 ZINC000276671726 388351211 /nfs/dbraw/zinc/35/12/11/388351211.db2.gz PIHILSJTABCZOZ-JTQLQIEISA-N 0 3 242.388 2.735 20 0 BFADHN CC[C@H](O)CNCc1ccc(C)c(Cl)c1 ZINC000234066696 388353234 /nfs/dbraw/zinc/35/32/34/388353234.db2.gz GPVMTKBXYXQJJW-NSHDSACASA-N 0 3 227.735 2.509 20 0 BFADHN CC(C)[C@@H]1C[C@@H](NCc2ccco2)CS1 ZINC000277254524 388353790 /nfs/dbraw/zinc/35/37/90/388353790.db2.gz OIGIUNAJSSVJPW-PWSUYJOCSA-N 0 3 225.357 2.899 20 0 BFADHN Clc1ccsc1CNC[C@H]1CCCOC1 ZINC000278329957 388354884 /nfs/dbraw/zinc/35/48/84/388354884.db2.gz FSOXTBNRPVFZDI-SECBINFHSA-N 0 3 245.775 2.918 20 0 BFADHN F[C@@H]1CCN([C@@H]2CCc3ccccc32)C1 ZINC000648721635 388355430 /nfs/dbraw/zinc/35/54/30/388355430.db2.gz XBLLTDNADZXKFK-DGCLKSJQSA-N 0 3 205.276 2.718 20 0 BFADHN CCc1ncc(CN[C@@H](C)CC2CCC2)o1 ZINC000648789526 388357827 /nfs/dbraw/zinc/35/78/27/388357827.db2.gz JZUYZVAPWLHZML-JTQLQIEISA-N 0 3 222.332 2.905 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCNc3ccccc32)CO1 ZINC000572642018 388363227 /nfs/dbraw/zinc/36/32/27/388363227.db2.gz IXTCBRKENCMEDA-XUJVJEKNSA-N 0 3 246.354 2.700 20 0 BFADHN CC[C@H](NCCc1cn[nH]c1)c1ccccc1F ZINC000289913420 388364527 /nfs/dbraw/zinc/36/45/27/388364527.db2.gz UNNXYPMFAPMACM-AWEZNQCLSA-N 0 3 247.317 2.832 20 0 BFADHN C/C(=C/c1ccccc1)CN1CC[C@@H](O)[C@H]1C ZINC000648800842 388373465 /nfs/dbraw/zinc/37/34/65/388373465.db2.gz VIDVGYQSWQSNGN-DUAKTUNXSA-N 0 3 231.339 2.545 20 0 BFADHN CCOCCNC(C)(C)c1ccccc1F ZINC000292245432 388379620 /nfs/dbraw/zinc/37/96/20/388379620.db2.gz XFDDOPHECHUQRE-UHFFFAOYSA-N 0 3 225.307 2.687 20 0 BFADHN CC(C)C[C@H](N)C(=O)NCC(C)(C)CC(C)C ZINC000236675996 388381130 /nfs/dbraw/zinc/38/11/30/388381130.db2.gz WSSYAJHKYCZRSH-LBPRGKRZSA-N 0 3 242.407 2.548 20 0 BFADHN CSCc1cnc(CNCC2CCC2)s1 ZINC000293707943 388381597 /nfs/dbraw/zinc/38/15/97/388381597.db2.gz XPDLZPHUNLEZEZ-UHFFFAOYSA-N 0 3 242.413 2.896 20 0 BFADHN CC(C)[C@@H](O)CN(C)Cc1ccc(Cl)s1 ZINC000292514479 388381902 /nfs/dbraw/zinc/38/19/02/388381902.db2.gz DHYGXJJZCWLTPL-JTQLQIEISA-N 0 3 247.791 2.850 20 0 BFADHN CO[C@@H](CNCc1cc(Cl)cs1)C1CC1 ZINC000293001703 388385428 /nfs/dbraw/zinc/38/54/28/388385428.db2.gz NCJOGUCMYWURJG-NSHDSACASA-N 0 3 245.775 2.916 20 0 BFADHN CO[C@H](CNCc1ccc(F)cc1C)C1CC1 ZINC000293038959 388386420 /nfs/dbraw/zinc/38/64/20/388386420.db2.gz QNAHCONKLMIUBZ-CQSZACIVSA-N 0 3 237.318 2.649 20 0 BFADHN C[C@@H](C(=O)Nc1cccc(CN)c1)C1CCCC1 ZINC000646578102 388387195 /nfs/dbraw/zinc/38/71/95/388387195.db2.gz JUZOFMRIPDGDNY-LLVKDONJSA-N 0 3 246.354 2.910 20 0 BFADHN C[C@H]1CCCC[C@@H]1C(=O)Nc1cccc(CN)c1 ZINC000646578911 388388239 /nfs/dbraw/zinc/38/82/39/388388239.db2.gz JFXJSOPQHPLZTL-FZMZJTMJSA-N 0 3 246.354 2.910 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@H](O)C1CCC1 ZINC000316078390 388406210 /nfs/dbraw/zinc/40/62/10/388406210.db2.gz APUKGKDNYIFROV-FZMZJTMJSA-N 0 3 249.354 2.507 20 0 BFADHN CCC[C@@H](C)CC(=O)Nc1cccc(CN)c1 ZINC000646579694 388388681 /nfs/dbraw/zinc/38/86/81/388388681.db2.gz NEXLMMKRUQTZKM-LLVKDONJSA-N 0 3 234.343 2.910 20 0 BFADHN O[C@@H](CN[C@@H]1CCc2c1cccc2F)C1CCC1 ZINC000316188438 388406545 /nfs/dbraw/zinc/40/65/45/388406545.db2.gz NXEQAJUDJQAESX-CABCVRRESA-N 0 3 249.329 2.564 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC(F)(F)C2)on1 ZINC000300966823 388395588 /nfs/dbraw/zinc/39/55/88/388395588.db2.gz QFCLHAWVYOZORK-SECBINFHSA-N 0 3 230.258 2.508 20 0 BFADHN CC[C@@H](NC[C@H]1CCCO1)c1ccsc1 ZINC000294197416 388396970 /nfs/dbraw/zinc/39/69/70/388396970.db2.gz GWZNZMSJXOMALU-VXGBXAGGSA-N 0 3 225.357 2.968 20 0 BFADHN CN(CC1(F)CC1)[C@@H]1CCc2ccccc2C1 ZINC000525978409 388442311 /nfs/dbraw/zinc/44/23/11/388442311.db2.gz XBTLZBVHSUBLCI-CQSZACIVSA-N 0 3 233.330 2.978 20 0 BFADHN C[C@@H]1C[C@@H](NCC2CCCC2)c2nccn21 ZINC000334474446 388445633 /nfs/dbraw/zinc/44/56/33/388445633.db2.gz MRXFNZNEZLYLIU-ZYHUDNBSSA-N 0 3 219.332 2.669 20 0 BFADHN CC[C@@](C)(CNCc1ccc(F)cc1)OC ZINC000322750722 388445901 /nfs/dbraw/zinc/44/59/01/388445901.db2.gz DHDMJZMTUMEALW-ZDUSSCGKSA-N 0 3 225.307 2.730 20 0 BFADHN Cc1ncc(CNC2C[C@H](C)C[C@@H](C)C2)o1 ZINC000334723095 388447574 /nfs/dbraw/zinc/44/75/74/388447574.db2.gz DLYPIJWMFXEFGV-NXEZZACHSA-N 0 3 222.332 2.897 20 0 BFADHN CC(C)(CNCc1cncnc1)C1=CCCC1 ZINC000308752694 388448999 /nfs/dbraw/zinc/44/89/99/388448999.db2.gz CYUXURIQIGQHJD-UHFFFAOYSA-N 0 3 231.343 2.703 20 0 BFADHN C[C@]1(NCc2cn3ccccc3n2)CC=CCC1 ZINC000335242037 388449295 /nfs/dbraw/zinc/44/92/95/388449295.db2.gz TUVONCMASAMCRP-HNNXBMFYSA-N 0 3 241.338 2.923 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCOC2)cc(C)c1O ZINC000335321462 388450100 /nfs/dbraw/zinc/45/01/00/388450100.db2.gz LXGHVADQSUOJDS-CQSZACIVSA-N 0 3 249.354 2.620 20 0 BFADHN CC[C@H](C)CC(=O)Nc1cc(C)cc(CN)c1 ZINC000322917474 388450242 /nfs/dbraw/zinc/45/02/42/388450242.db2.gz LBSGGJUIOIYXIJ-JTQLQIEISA-N 0 3 234.343 2.828 20 0 BFADHN FC1(CNCc2cccc3cccnc32)CC1 ZINC000525990856 388453093 /nfs/dbraw/zinc/45/30/93/388453093.db2.gz ZCBOMJDKEHCCCE-UHFFFAOYSA-N 0 3 230.286 2.827 20 0 BFADHN COc1ncccc1CN(C(C)C)C1CCC1 ZINC000176461124 388453686 /nfs/dbraw/zinc/45/36/86/388453686.db2.gz JOQXGVQEGXVQSG-UHFFFAOYSA-N 0 3 234.343 2.853 20 0 BFADHN CC1(C)CC(NCc2cnn3ccccc23)C1 ZINC000335944807 388455626 /nfs/dbraw/zinc/45/56/26/388455626.db2.gz BKCIWFYTLCWORZ-UHFFFAOYSA-N 0 3 229.327 2.613 20 0 BFADHN CCN(C)Cc1ccc(SC)s1 ZINC000336634646 388458752 /nfs/dbraw/zinc/45/87/52/388458752.db2.gz GSMATPBNVSKTER-UHFFFAOYSA-N 0 3 201.360 2.922 20 0 BFADHN Cc1nnsc1CNC[C@H]1CCC[C@H](C)C1 ZINC000336691544 388463327 /nfs/dbraw/zinc/46/33/27/388463327.db2.gz WWTVMZATAWOPRG-ONGXEEELSA-N 0 3 239.388 2.762 20 0 BFADHN CC(C)[C@H](C)N[C@@H](c1nccn1C)C1CC1 ZINC000336699610 388464533 /nfs/dbraw/zinc/46/45/33/388464533.db2.gz ZVACWGCQQWFISR-CMPLNLGQSA-N 0 3 221.348 2.505 20 0 BFADHN CC(C)n1cc(CN[C@@H]2CCC[C@H](C)C2)nn1 ZINC000336718716 388467412 /nfs/dbraw/zinc/46/74/12/388467412.db2.gz VCDZDLJUQMKHHO-NWDGAFQWSA-N 0 3 236.363 2.527 20 0 BFADHN CC(C)n1cc(CN[C@@H]2CCC[C@@H](C)C2)nn1 ZINC000336718718 388467708 /nfs/dbraw/zinc/46/77/08/388467708.db2.gz VCDZDLJUQMKHHO-VXGBXAGGSA-N 0 3 236.363 2.527 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccs1)[C@@H]1CCCOC1 ZINC000336723960 388468562 /nfs/dbraw/zinc/46/85/62/388468562.db2.gz BAPTWGQLSLKEKT-OUAUKWLOSA-N 0 3 240.372 2.609 20 0 BFADHN Cc1ccoc1CN[C@@H]1[C@H]2CCCO[C@H]2C1(C)C ZINC000336793179 388470750 /nfs/dbraw/zinc/47/07/50/388470750.db2.gz VSQYVVIKEMMYMW-MRVWCRGKSA-N 0 3 249.354 2.881 20 0 BFADHN Cc1cc(Cl)cc(CNC2(CO)CCC2)c1 ZINC000339306224 388481730 /nfs/dbraw/zinc/48/17/30/388481730.db2.gz UYNXDPCHAIIHCV-UHFFFAOYSA-N 0 3 239.746 2.653 20 0 BFADHN CC(C)[C@@H]1N(Cc2cn[nH]c2)CC12CCCC2 ZINC000648873554 388477120 /nfs/dbraw/zinc/47/71/20/388477120.db2.gz BFCKNXUKZAZGFD-ZDUSSCGKSA-N 0 3 233.359 2.810 20 0 BFADHN Cc1nccn1CCCN[C@@H](C)c1ccoc1 ZINC000165638489 388407358 /nfs/dbraw/zinc/40/73/58/388407358.db2.gz HBSCMXUWWSNXQS-NSHDSACASA-N 0 3 233.315 2.525 20 0 BFADHN CC/C=C/CNCc1ccccc1NC(C)=O ZINC000295086140 388409420 /nfs/dbraw/zinc/40/94/20/388409420.db2.gz QYISUPGICVISED-QPJJXVBHSA-N 0 3 232.327 2.701 20 0 BFADHN COC[C@H](N[C@@H](C)c1cccc(O)c1)C(C)C ZINC000086697301 388409535 /nfs/dbraw/zinc/40/95/35/388409535.db2.gz SCBBPHAADILIHO-FZMZJTMJSA-N 0 3 237.343 2.714 20 0 BFADHN C=Cn1cc(CNCC2=CCCCC2)cn1 ZINC000295159604 388409839 /nfs/dbraw/zinc/40/98/39/388409839.db2.gz SBKYHXGSOLNVSL-UHFFFAOYSA-N 0 3 217.316 2.574 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc(F)ccc2F)[C@H](C)O1 ZINC000295228549 388409991 /nfs/dbraw/zinc/40/99/91/388409991.db2.gz RQJNPSTVKFOFGR-VYUIOLGVSA-N 0 3 241.281 2.620 20 0 BFADHN C[C@@H]1C[C@@H](C)N(CCOc2ccccc2F)C1 ZINC000524892941 388413250 /nfs/dbraw/zinc/41/32/50/388413250.db2.gz TWNNTTOSPMVUIX-VXGBXAGGSA-N 0 3 237.318 2.935 20 0 BFADHN CC[C@@H](NCc1snnc1C)[C@@H]1CC1(C)C ZINC000397570191 388479017 /nfs/dbraw/zinc/47/90/17/388479017.db2.gz BPVJOOYLDDISHY-VHSXEESVSA-N 0 3 239.388 2.761 20 0 BFADHN CC[C@@H](CNCc1csc(C)c1)OC ZINC000296098459 388416511 /nfs/dbraw/zinc/41/65/11/388416511.db2.gz RQBQMKSECRUKPD-NSHDSACASA-N 0 3 213.346 2.571 20 0 BFADHN Cc1nc(C)c(CN2C3CCC2CC3)s1 ZINC000525120212 388416647 /nfs/dbraw/zinc/41/66/47/388416647.db2.gz CFHBZBVKQKQFGE-UHFFFAOYSA-N 0 3 222.357 2.887 20 0 BFADHN C[C@]1(O)CCN([C@H]2CCCc3ccc(F)cc32)C1 ZINC000245536277 388421924 /nfs/dbraw/zinc/42/19/24/388421924.db2.gz ZJKFZSQDKIBUMG-GJZGRUSLSA-N 0 3 249.329 2.660 20 0 BFADHN CC/C=C/CN[C@H](C)c1cncc(F)c1 ZINC000296721233 388424953 /nfs/dbraw/zinc/42/49/53/388424953.db2.gz NNDBUTYEMICWFV-ORAHPGNNSA-N 0 3 208.280 2.838 20 0 BFADHN CCc1cnc(CN2C[C@H](C)C[C@H]2CC)o1 ZINC000525307391 388425675 /nfs/dbraw/zinc/42/56/75/388425675.db2.gz LRXUKABNMMNMPN-GHMZBOCLSA-N 0 3 222.332 2.857 20 0 BFADHN CCOC(=O)[C@H](CC)N1CC[C@@H](CC(C)C)C1 ZINC000307803515 388426536 /nfs/dbraw/zinc/42/65/36/388426536.db2.gz CPLQJUQAUWVRBQ-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN Cc1ccc([C@H](C)NCC[C@@H](C)CCO)o1 ZINC000297446065 388429842 /nfs/dbraw/zinc/42/98/42/388429842.db2.gz WQDVHCNWKUFMOL-PWSUYJOCSA-N 0 3 225.332 2.647 20 0 BFADHN CCCc1nc(C)c(CNC2(C3CC3)CC2)o1 ZINC000573184201 388429866 /nfs/dbraw/zinc/42/98/66/388429866.db2.gz ZLAPDNZHGDEKTH-UHFFFAOYSA-N 0 3 234.343 2.968 20 0 BFADHN C[C@@H](NCC(C)(C)C(C)(C)O)c1ccco1 ZINC000393587089 388434346 /nfs/dbraw/zinc/43/43/46/388434346.db2.gz BDTNRZYPKNEGLV-SNVBAGLBSA-N 0 3 225.332 2.727 20 0 BFADHN CO[C@@H]1C[C@H](NCc2ccccc2F)C12CCC2 ZINC000308378547 388437293 /nfs/dbraw/zinc/43/72/93/388437293.db2.gz VEGNFNSJQILQCB-UONOGXRCSA-N 0 3 249.329 2.873 20 0 BFADHN COc1ccc(CN2[C@@H](C)C[C@@H]2C)cc1 ZINC000548425063 388537321 /nfs/dbraw/zinc/53/73/21/388537321.db2.gz OTBBCQVJEZQHGJ-QWRGUYRKSA-N 0 3 205.301 2.678 20 0 BFADHN CO[C@H]1CCN(C/C=C(/C)Cl)CC1(C)C ZINC000528522066 388537399 /nfs/dbraw/zinc/53/73/99/388537399.db2.gz RATFYHSHDDUXPZ-VQNWOSHQSA-N 0 3 231.767 2.876 20 0 BFADHN C[C@H](O)[C@@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC000136848953 388550381 /nfs/dbraw/zinc/55/03/81/388550381.db2.gz CWIGGPGBZRDYPQ-YORMDBTCSA-N 0 3 245.366 2.793 20 0 BFADHN C/C(Cl)=C/CN1CCCO[C@@H](C(C)C)C1 ZINC000528513674 388538100 /nfs/dbraw/zinc/53/81/00/388538100.db2.gz DUJCKVAIXZRGIA-DKRCXCIFSA-N 0 3 231.767 2.876 20 0 BFADHN Cn1ncc2c1CCCN(CC1CC(C)(C)C1)C2 ZINC000528520731 388540714 /nfs/dbraw/zinc/54/07/14/388540714.db2.gz MLNUCASKUYLXIM-UHFFFAOYSA-N 0 3 247.386 2.605 20 0 BFADHN C/C(Cl)=C/CN1CCC[C@@]2(CCOC2)C1 ZINC000528517872 388541028 /nfs/dbraw/zinc/54/10/28/388541028.db2.gz IUASFGCVBPIYLJ-CTPBAPBTSA-N 0 3 229.751 2.632 20 0 BFADHN C/C(Cl)=C/CN1CCCC[C@@H]1C1(O)CC1 ZINC000528519082 388541454 /nfs/dbraw/zinc/54/14/54/388541454.db2.gz ILLRXLNKWJDJCH-GULOHRGCSA-N 0 3 229.751 2.508 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC(C)(C)O2)C[C@H](C)S1 ZINC000548484827 388541714 /nfs/dbraw/zinc/54/17/14/388541714.db2.gz JHBAQEZBFTWIBA-TUAOUCFPSA-N 0 3 243.416 2.770 20 0 BFADHN CC1(C)C[C@H]1CNCc1ccc2c(n1)CCC2 ZINC000517221208 388541861 /nfs/dbraw/zinc/54/18/61/388541861.db2.gz AWSQCDMXAIXRDF-LBPRGKRZSA-N 0 3 230.355 2.706 20 0 BFADHN CO[C@]1(C)C[C@@H](NC/C=C(/C)Cl)C1(C)C ZINC000528523951 388542516 /nfs/dbraw/zinc/54/25/16/388542516.db2.gz WGRAOHMZWPQLJN-KPGZOYMPSA-N 0 3 231.767 2.922 20 0 BFADHN CC[C@H]1CN(CC)CCN1C/C=C(\C)Cl ZINC000528522026 388542772 /nfs/dbraw/zinc/54/27/72/388542772.db2.gz VCKBXWWDMWZQLK-BCMYLCSRSA-N 0 3 230.783 2.545 20 0 BFADHN Cc1ccc(CN2C3CCC2CC3)c(C)n1 ZINC000548485034 388543061 /nfs/dbraw/zinc/54/30/61/388543061.db2.gz BRXUBJGETGECRI-UHFFFAOYSA-N 0 3 216.328 2.825 20 0 BFADHN C[C@@H](NCc1cc(CO)ccc1F)C(C)(C)C ZINC000528535178 388545367 /nfs/dbraw/zinc/54/53/67/388545367.db2.gz RZGSGUQLOVOICS-SNVBAGLBSA-N 0 3 239.334 2.842 20 0 BFADHN CCCN(C)CC(=O)NC(C)(C)CC(C)(C)C ZINC000517343795 388546965 /nfs/dbraw/zinc/54/69/65/388546965.db2.gz XELRPFQJULSXNA-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN COCCC1CCN(Cc2cnccc2C)CC1 ZINC000528577192 388547885 /nfs/dbraw/zinc/54/78/85/388547885.db2.gz JRWOFQXQFFTAGC-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN CO[C@H](CNCc1cscc1Cl)C1CC1 ZINC000378681180 388482517 /nfs/dbraw/zinc/48/25/17/388482517.db2.gz WJOHZBPSXVUIMB-LLVKDONJSA-N 0 3 245.775 2.916 20 0 BFADHN Cc1nocc1CN[C@H](C)CCC1CC1 ZINC000339357922 388482753 /nfs/dbraw/zinc/48/27/53/388482753.db2.gz WBJHBJZDIAJMRS-SECBINFHSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H](F)CCNCc1ccc(F)c(F)c1 ZINC000339481784 388484302 /nfs/dbraw/zinc/48/43/02/388484302.db2.gz BULDDTZYCJQHRM-QMMMGPOBSA-N 0 3 217.234 2.803 20 0 BFADHN Cc1c[nH]nc1CNC1CC(C(C)(C)C)C1 ZINC000339465640 388484386 /nfs/dbraw/zinc/48/43/86/388484386.db2.gz NMTPEWXEMVACRQ-UHFFFAOYSA-N 0 3 221.348 2.632 20 0 BFADHN COC(C)(C)CNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000135233559 388485554 /nfs/dbraw/zinc/48/55/54/388485554.db2.gz VZIOLLASKYXTLY-CMPLNLGQSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@@H](NCc1cn2ccsc2n1)[C@H]1CC1(C)C ZINC000397665143 388488519 /nfs/dbraw/zinc/48/85/19/388488519.db2.gz HXKIJWFTLRMFFI-MWLCHTKSSA-N 0 3 249.383 2.920 20 0 BFADHN C[C@@H](NCc1cn2ccsc2n1)[C@@H]1CC1(C)C ZINC000397665139 388488843 /nfs/dbraw/zinc/48/88/43/388488843.db2.gz HXKIJWFTLRMFFI-KOLCDFICSA-N 0 3 249.383 2.920 20 0 BFADHN COc1ccccc1[C@@H](C)NCC1=CCOCC1 ZINC000397707214 388493034 /nfs/dbraw/zinc/49/30/34/388493034.db2.gz NGORNIJQAJFGMQ-GFCCVEGCSA-N 0 3 247.338 2.693 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCC2=CCOCC2)o1 ZINC000397722097 388494707 /nfs/dbraw/zinc/49/47/07/388494707.db2.gz WUNAWGJPOCZNHM-BXUZGUMPSA-N 0 3 247.338 2.839 20 0 BFADHN Cc1cc(C)cc(CNCC2=CCCOC2)c1 ZINC000342293430 388497308 /nfs/dbraw/zinc/49/73/08/388497308.db2.gz GKAUOWKJJBVQOH-UHFFFAOYSA-N 0 3 231.339 2.740 20 0 BFADHN Cn1nccc1CNCCCC1CCCCC1 ZINC000343284076 388500014 /nfs/dbraw/zinc/50/00/14/388500014.db2.gz OTBJBUZQBJKPHM-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN CCc1ccc(CN[C@H]2CS[C@@H](C)C2)o1 ZINC000397801550 388500144 /nfs/dbraw/zinc/50/01/44/388500144.db2.gz LTAKZOKYOZKAHB-VHSXEESVSA-N 0 3 225.357 2.826 20 0 BFADHN Cc1cccc(CN[C@H]2CSC[C@@H]2C)c1F ZINC000397808344 388500910 /nfs/dbraw/zinc/50/09/10/388500910.db2.gz XVZHYEZJLGXWPZ-JQWIXIFHSA-N 0 3 239.359 2.975 20 0 BFADHN CN(C)C1(CNCc2ccccc2Cl)CC1 ZINC000397816523 388502071 /nfs/dbraw/zinc/50/20/71/388502071.db2.gz CJCFPJPUSCIJDM-UHFFFAOYSA-N 0 3 238.762 2.524 20 0 BFADHN CC[C@@H](NCc1cocn1)[C@H]1CC1(C)C ZINC000397821774 388503018 /nfs/dbraw/zinc/50/30/18/388503018.db2.gz UWILQBMGVJVIDN-GHMZBOCLSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1C[C@@H](Nc2ccnc3ccccc32)CS1 ZINC000397855767 388506255 /nfs/dbraw/zinc/50/62/55/388506255.db2.gz MATDDKIRMHCOFA-WDEREUQCSA-N 0 3 244.363 2.963 20 0 BFADHN Clc1ccccc1CNCC1=CCOCC1 ZINC000397860970 388507169 /nfs/dbraw/zinc/50/71/69/388507169.db2.gz DXVOEQZDGOQQKQ-UHFFFAOYSA-N 0 3 237.730 2.776 20 0 BFADHN Cc1ccc([C@@H](C)NCC2=CCOCC2)o1 ZINC000397871298 388507900 /nfs/dbraw/zinc/50/79/00/388507900.db2.gz JCDBBHUTJNTEGA-LLVKDONJSA-N 0 3 221.300 2.585 20 0 BFADHN CO[C@@H](CNC/C=C\c1ccccc1)C(C)C ZINC000344729531 388509023 /nfs/dbraw/zinc/50/90/23/388509023.db2.gz NAUKYZLLMVFIDP-XMELGKRDSA-N 0 3 233.355 2.960 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCCC[C@H]2C)o1 ZINC000397899724 388509953 /nfs/dbraw/zinc/50/99/53/388509953.db2.gz WDEQQKIVUXKNHB-PWSUYJOCSA-N 0 3 222.332 2.899 20 0 BFADHN COc1cc(F)cc(CN[C@H](C)CSC)c1 ZINC000312275481 388510477 /nfs/dbraw/zinc/51/04/77/388510477.db2.gz PFALBKXXRPCNRS-SECBINFHSA-N 0 3 243.347 2.675 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CCCOC1)c1ccccn1 ZINC000356784541 388550802 /nfs/dbraw/zinc/55/08/02/388550802.db2.gz SKGITNZBELSQRZ-AVGNSLFASA-N 0 3 234.343 2.547 20 0 BFADHN CCC1(CN[C@H]2CCn3ccnc32)CCCC1 ZINC000345343436 388512075 /nfs/dbraw/zinc/51/20/75/388512075.db2.gz HCUJVPLSZQDCBV-LBPRGKRZSA-N 0 3 233.359 2.888 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H](C)n2ccnc21)C(C)C ZINC000345349566 388512094 /nfs/dbraw/zinc/51/20/94/388512094.db2.gz GYTGYJYBQWAEOG-SDDRHHMPSA-N 0 3 221.348 2.913 20 0 BFADHN CCC1(CN[C@@H]2CCn3ccnc32)CCCC1 ZINC000345343434 388512317 /nfs/dbraw/zinc/51/23/17/388512317.db2.gz HCUJVPLSZQDCBV-GFCCVEGCSA-N 0 3 233.359 2.888 20 0 BFADHN CC(C)CCCN[C@@H]1C[C@H](C)n2ccnc21 ZINC000345345051 388512837 /nfs/dbraw/zinc/51/28/37/388512837.db2.gz BOXKHCRPQMAXKF-NWDGAFQWSA-N 0 3 221.348 2.915 20 0 BFADHN CCC1(CN[C@@H]2C[C@@H](C)n3ccnc32)CC1 ZINC000345357651 388513196 /nfs/dbraw/zinc/51/31/96/388513196.db2.gz PPWCLJYBOMXBLL-GHMZBOCLSA-N 0 3 219.332 2.669 20 0 BFADHN CSCCCCN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000345363791 388513440 /nfs/dbraw/zinc/51/34/40/388513440.db2.gz WNBJMCJMVPUEGQ-GHMZBOCLSA-N 0 3 239.388 2.622 20 0 BFADHN CCN(Cc1ccoc1)CC1CCC(=O)CC1 ZINC000649280581 388513515 /nfs/dbraw/zinc/51/35/15/388513515.db2.gz XWGXHKDCLLMZFM-UHFFFAOYSA-N 0 3 235.327 2.861 20 0 BFADHN CCCCOCCN1CCC[C@@H]1c1ccc[nH]1 ZINC000088496107 256811069 /nfs/dbraw/zinc/81/10/69/256811069.db2.gz ONIVYVWVGSEWCL-CQSZACIVSA-N 0 3 236.359 2.968 20 0 BFADHN CC1(C)CC(CN2CCN(C3CCC3)CC2)C1 ZINC000528382146 388518124 /nfs/dbraw/zinc/51/81/24/388518124.db2.gz OXUWEEOEKYNVDN-UHFFFAOYSA-N 0 3 236.403 2.593 20 0 BFADHN C[C@H](N(C)Cc1ccc(F)c(F)c1)C(C)(C)O ZINC000347751943 388523122 /nfs/dbraw/zinc/52/31/22/388523122.db2.gz RGENLYSPXCAXCY-VIFPVBQESA-N 0 3 243.297 2.556 20 0 BFADHN CCCCOCCN1CCC[C@H]1c1ccc[nH]1 ZINC000088496110 388531118 /nfs/dbraw/zinc/53/11/18/388531118.db2.gz ONIVYVWVGSEWCL-AWEZNQCLSA-N 0 3 236.359 2.968 20 0 BFADHN CO[C@@H]1CCN(C/C=C(/C)Cl)[C@H](C)C1 ZINC000528491404 388533838 /nfs/dbraw/zinc/53/38/38/388533838.db2.gz JGRNPHRMJFMXLL-MTUFZTHRSA-N 0 3 217.740 2.628 20 0 BFADHN CCOc1ccccc1OCCN1[C@H](C)C[C@@H]1C ZINC000548424440 388536680 /nfs/dbraw/zinc/53/66/80/388536680.db2.gz IUPRKQQGLFXYOS-BETUJISGSA-N 0 3 249.354 2.947 20 0 BFADHN COc1cccc(CNCC2CC(C)(C)C2)n1 ZINC000517065697 388537146 /nfs/dbraw/zinc/53/71/46/388537146.db2.gz YLYSGADGZKWGGV-UHFFFAOYSA-N 0 3 234.343 2.616 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1nccs1 ZINC000185632733 388589246 /nfs/dbraw/zinc/58/92/46/388589246.db2.gz YWHOAULQLPOZEQ-VHSXEESVSA-N 0 3 210.346 2.764 20 0 BFADHN Cc1oncc1CN1CCC[C@@H](C)[C@@H]1C ZINC000189034314 388617257 /nfs/dbraw/zinc/61/72/57/388617257.db2.gz QGLDKUAEJFQMDN-ZJUUUORDSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(F)ccc1CN1C[C@H](C)[C@H]1C ZINC000549924130 388595499 /nfs/dbraw/zinc/59/54/99/388595499.db2.gz SVVWXOPFLVGVCH-WDEREUQCSA-N 0 3 207.292 2.974 20 0 BFADHN C[C@H](CN[C@@H]1C[C@H](C)n2ccnc21)C1CC1 ZINC000379202609 388597318 /nfs/dbraw/zinc/59/73/18/388597318.db2.gz ZBSNEPIQWJHNOA-JFGNBEQYSA-N 0 3 219.332 2.525 20 0 BFADHN CN1CCN(C2CCCC2)[C@@H](c2ccccc2)C1 ZINC000245706662 388599728 /nfs/dbraw/zinc/59/97/28/388599728.db2.gz YSDINOJYQDCGDE-MRXNPFEDSA-N 0 3 244.382 2.918 20 0 BFADHN CCn1nccc1CN1C[C@H](C)CC[C@H]1C ZINC000245730715 388601792 /nfs/dbraw/zinc/60/17/92/388601792.db2.gz JRVPJNYXQRKVLD-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN CCc1nn(C)cc1CNCc1cc(C)cs1 ZINC000379276710 388604754 /nfs/dbraw/zinc/60/47/54/388604754.db2.gz OYDLKYWBURGRQC-UHFFFAOYSA-N 0 3 249.383 2.642 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@H](C)CCC1CC1 ZINC000187526248 388609292 /nfs/dbraw/zinc/60/92/92/388609292.db2.gz WWJJEKFQIQNJJD-DGCLKSJQSA-N 0 3 239.359 2.593 20 0 BFADHN CC[C@H](C)CN(CC)[C@H](C)C(=O)N[C@@H](C)CC ZINC000245896655 388609350 /nfs/dbraw/zinc/60/93/50/388609350.db2.gz SPJLYLRBQWXPGW-RWMBFGLXSA-N 0 3 242.407 2.658 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@@H](C)CCC1CC1 ZINC000187526230 388609733 /nfs/dbraw/zinc/60/97/33/388609733.db2.gz WWJJEKFQIQNJJD-WCQYABFASA-N 0 3 239.359 2.593 20 0 BFADHN CC(C)=CCCNCc1cccc2c1OCO2 ZINC000359702104 388556844 /nfs/dbraw/zinc/55/68/44/388556844.db2.gz WJIFDIWBIYASER-UHFFFAOYSA-N 0 3 233.311 2.861 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1cccnc1C)OC ZINC000362975800 388562790 /nfs/dbraw/zinc/56/27/90/388562790.db2.gz HCLCBRHOMIZAHU-BXUZGUMPSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1cc([C@H](C)NCC(C2CC2)C2CC2)nn1C ZINC000548791683 388563570 /nfs/dbraw/zinc/56/35/70/388563570.db2.gz BFNZLFJOJSRNQG-NSHDSACASA-N 0 3 247.386 2.815 20 0 BFADHN COc1cc(C)nc(CNC[C@@H](C)C(C)C)c1 ZINC000214090799 388566488 /nfs/dbraw/zinc/56/64/88/388566488.db2.gz NJXHWEIIIVQQJM-LLVKDONJSA-N 0 3 236.359 2.780 20 0 BFADHN Cc1cnc(CNC2[C@@H](C)CCC[C@@H]2C)o1 ZINC000182959842 388568969 /nfs/dbraw/zinc/56/89/69/388568969.db2.gz GTJWEJQCSWFSNS-UWVGGRQHSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1nnc(CN2CC[C@H](C)[C@H]3CCCC[C@H]32)o1 ZINC000548938466 388569062 /nfs/dbraw/zinc/56/90/62/388569062.db2.gz XCHMOHAUCRZORA-CYZMBNFOSA-N 0 3 249.358 2.779 20 0 BFADHN FC(F)n1ccnc1CN[C@H]1CCC[C@H]2C[C@H]21 ZINC000548973524 388569659 /nfs/dbraw/zinc/56/96/59/388569659.db2.gz TWAOBVSKOFDZKF-AEJSXWLSSA-N 0 3 241.285 2.556 20 0 BFADHN Cc1ccccc1CN1CC[C@H](OC(F)F)C1 ZINC000549081326 388572122 /nfs/dbraw/zinc/57/21/22/388572122.db2.gz PUQAPERQYKDBDA-LBPRGKRZSA-N 0 3 241.281 2.809 20 0 BFADHN CCc1ccc([C@H](COC)NC2CC(C)C2)o1 ZINC000184367128 388576860 /nfs/dbraw/zinc/57/68/60/388576860.db2.gz KJURITAERHBSQJ-XIVSLSHWSA-N 0 3 237.343 2.918 20 0 BFADHN CC[C@H](O)CN1CCc2cccc(F)c2[C@@H]1C ZINC000549464346 388581126 /nfs/dbraw/zinc/58/11/26/388581126.db2.gz WREKPFAXSXMDGE-JQWIXIFHSA-N 0 3 237.318 2.516 20 0 BFADHN CCC[C@H](O)CN1CCCc2sccc2C1 ZINC000649302161 388584096 /nfs/dbraw/zinc/58/40/96/388584096.db2.gz HFKNFYFTYOIYKZ-LBPRGKRZSA-N 0 3 239.384 2.657 20 0 BFADHN CCOCCN(C)[C@H](C)Cc1ccsc1 ZINC000081598637 388585378 /nfs/dbraw/zinc/58/53/78/388585378.db2.gz TULCGOUKAQYAOX-LLVKDONJSA-N 0 3 227.373 2.647 20 0 BFADHN Cc1cnc([C@@H](C)NC[C@H]2CCSC2)s1 ZINC000224460026 388665388 /nfs/dbraw/zinc/66/53/88/388665388.db2.gz KRNHDNNROWZFOA-NXEZZACHSA-N 0 3 242.413 2.855 20 0 BFADHN Cc1ccc(C)c([C@@H](C)NCc2cncn2C)c1 ZINC000112338106 388666284 /nfs/dbraw/zinc/66/62/84/388666284.db2.gz KAGYGQVGEHJZBE-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN c1cncc(CN2CCC[C@H]3CCC[C@H]32)c1 ZINC000247536707 388666497 /nfs/dbraw/zinc/66/64/97/388666497.db2.gz OSNUXHPOSXFHNI-ZIAGYGMSSA-N 0 3 216.328 2.846 20 0 BFADHN Oc1cccc2c1CCC[C@H]2NCc1cc[nH]c1 ZINC000191660403 388666822 /nfs/dbraw/zinc/66/68/22/388666822.db2.gz LVYDAOYNNOQDSR-CQSZACIVSA-N 0 3 242.322 2.888 20 0 BFADHN CC1=CC[C@@H](N[C@H](CO)c2cccc(F)c2)CC1 ZINC000552118001 388670184 /nfs/dbraw/zinc/67/01/84/388670184.db2.gz UFRJVEGPXPFUPY-HUUCEWRRSA-N 0 3 249.329 2.948 20 0 BFADHN Cc1cccc(CN2CCN3CCCC[C@H]3C2)c1 ZINC000248331558 388675473 /nfs/dbraw/zinc/67/54/73/388675473.db2.gz DZGYYOWYMJTOIF-INIZCTEOSA-N 0 3 244.382 2.665 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1C)c1cscn1 ZINC000161590880 388678305 /nfs/dbraw/zinc/67/83/05/388678305.db2.gz ICLWUDPZOXEHOT-GUBZILKMSA-N 0 3 210.346 2.982 20 0 BFADHN c1[nH]nc2c1CN(CCCC1CCCC1)CC2 ZINC000125842411 388679262 /nfs/dbraw/zinc/67/92/62/388679262.db2.gz WKEOBGIKUPQAQX-UHFFFAOYSA-N 0 3 233.359 2.738 20 0 BFADHN CO[C@H](C)CN([C@H](C)c1ccco1)C1CC1 ZINC000248455247 388681193 /nfs/dbraw/zinc/68/11/93/388681193.db2.gz ZRJIKVASZHSWMA-GHMZBOCLSA-N 0 3 223.316 2.840 20 0 BFADHN CCc1nnc(CN2[C@H](C)C[C@H]3CCCC[C@H]32)[nH]1 ZINC000248561907 388683951 /nfs/dbraw/zinc/68/39/51/388683951.db2.gz JQKKMYLEXDGBJP-IJLUTSLNSA-N 0 3 248.374 2.520 20 0 BFADHN CCc1nnc(C[N@@H+]2[C@H](C)C[C@H]3CCCC[C@H]32)[n-]1 ZINC000248561907 388683955 /nfs/dbraw/zinc/68/39/55/388683955.db2.gz JQKKMYLEXDGBJP-IJLUTSLNSA-N 0 3 248.374 2.520 20 0 BFADHN CCc1nnc(C[N@H+]2[C@H](C)C[C@H]3CCCC[C@H]32)[n-]1 ZINC000248561907 388683957 /nfs/dbraw/zinc/68/39/57/388683957.db2.gz JQKKMYLEXDGBJP-IJLUTSLNSA-N 0 3 248.374 2.520 20 0 BFADHN C[C@H](CF)N[C@H](C)Cc1ccc(F)cc1 ZINC000288445733 388626974 /nfs/dbraw/zinc/62/69/74/388626974.db2.gz MKEOERGQHOPGJQ-NXEZZACHSA-N 0 3 213.271 2.704 20 0 BFADHN CC[C@@]1(C)CCCN(CCOCC(F)F)C1 ZINC000189475974 388634979 /nfs/dbraw/zinc/63/49/79/388634979.db2.gz JCPUYLXQXULOAE-LBPRGKRZSA-N 0 3 235.318 2.780 20 0 BFADHN c1ccc2nc(CN[C@H]3CCCOC3)ccc2c1 ZINC000109546873 388640407 /nfs/dbraw/zinc/64/04/07/388640407.db2.gz KSIZEVXAWPDKAY-AWEZNQCLSA-N 0 3 242.322 2.503 20 0 BFADHN CC(C)(C)CC(=O)Nc1cccc2c1CCNC2 ZINC000037372741 388642964 /nfs/dbraw/zinc/64/29/64/388642964.db2.gz KGSVFSXHPIOVPM-UHFFFAOYSA-N 0 3 246.354 2.707 20 0 BFADHN Cc1nnc([C@H](C)N2CC[C@H](C)C[C@@H](C)C2)o1 ZINC000246596770 388645669 /nfs/dbraw/zinc/64/56/69/388645669.db2.gz GYBDOVMJOUKSLT-AXFHLTTASA-N 0 3 237.347 2.807 20 0 BFADHN Cc1nn(C)cc1CN[C@H](C)Cc1ccsc1 ZINC000125991396 388685187 /nfs/dbraw/zinc/68/51/87/388685187.db2.gz MZRBIHSNDDWVOW-SNVBAGLBSA-N 0 3 249.383 2.511 20 0 BFADHN CC(=O)c1ccccc1OCCN(C)CC1CC1 ZINC000037903012 388649759 /nfs/dbraw/zinc/64/97/59/388649759.db2.gz PNICIFFMAZEIBX-UHFFFAOYSA-N 0 3 247.338 2.610 20 0 BFADHN CC1(C)CN(CCc2cccnc2)CC(C)(C)O1 ZINC000190546611 388653096 /nfs/dbraw/zinc/65/30/96/388653096.db2.gz RWSIAFDGPJROHR-UHFFFAOYSA-N 0 3 248.370 2.514 20 0 BFADHN Cc1cnccc1CCN[C@@H](C)c1ccoc1 ZINC000537944190 388654966 /nfs/dbraw/zinc/65/49/66/388654966.db2.gz RHTCJCDFJXXEFE-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cccc(C)c2)C1 ZINC000078846831 388685551 /nfs/dbraw/zinc/68/55/51/388685551.db2.gz QAMNQOSUKFHNRY-OAHLLOKOSA-N 0 3 233.355 2.996 20 0 BFADHN C[C@H](NC[C@]1(C)CCCC[C@H]1O)c1ccoc1 ZINC000247004174 388656241 /nfs/dbraw/zinc/65/62/41/388656241.db2.gz KBGSPFNPUQOZRD-YUTCNCBUSA-N 0 3 237.343 2.871 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@H](C)[C@H]2C)o1 ZINC000248587800 388685789 /nfs/dbraw/zinc/68/57/89/388685789.db2.gz YGWXJLOVYNRDRU-MVWJERBFSA-N 0 3 222.332 2.897 20 0 BFADHN CCO[C@H]1CCCN(Cc2cccc(C)c2)C1 ZINC000078846833 388685759 /nfs/dbraw/zinc/68/57/59/388685759.db2.gz QAMNQOSUKFHNRY-HNNXBMFYSA-N 0 3 233.355 2.996 20 0 BFADHN CCC(CC)NC(=O)[C@@H](C)N(C)C[C@H](C)CC ZINC000247454105 388663651 /nfs/dbraw/zinc/66/36/51/388663651.db2.gz DVCRUAIHXCXCIQ-VXGBXAGGSA-N 0 3 242.407 2.658 20 0 BFADHN C[C@H]1Oc2ccccc2[C@H]1NCC1CC1 ZINC000288492701 388737748 /nfs/dbraw/zinc/73/77/48/388737748.db2.gz HKJWQDVYKCZCKR-RNCFNFMXSA-N 0 3 203.285 2.508 20 0 BFADHN CCN(Cc1cc(OC)cc(OC)c1)C1CC1 ZINC000194997200 388748852 /nfs/dbraw/zinc/74/88/52/388748852.db2.gz JRAKTDDMNSVGIH-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN CC(C)N(C[C@@H]1COc2ccccc2O1)C1CC1 ZINC000642634436 388752846 /nfs/dbraw/zinc/75/28/46/388752846.db2.gz DSXDHRZCOLKGSH-CYBMUJFWSA-N 0 3 247.338 2.699 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1cc(C)ccn1 ZINC000552259755 388687489 /nfs/dbraw/zinc/68/74/89/388687489.db2.gz USQQFDOJJOBOOC-IPYPFGDCSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1ccc(C(C)(C)CN(C)[C@@H]2CCOC2)cc1 ZINC000552281209 388689812 /nfs/dbraw/zinc/68/98/12/388689812.db2.gz MBLUZMWBDYUKBQ-OAHLLOKOSA-N 0 3 247.382 2.993 20 0 BFADHN CC[C@H](C)N(C)Cc1ccccc1OC ZINC000195003154 388692969 /nfs/dbraw/zinc/69/29/69/388692969.db2.gz BQURCLREJYZZTB-NSHDSACASA-N 0 3 207.317 2.926 20 0 BFADHN C=Cn1cc(CN2CCC[C@H]3CCC[C@H]32)cn1 ZINC000248828519 388695303 /nfs/dbraw/zinc/69/53/03/388695303.db2.gz GWYBLIJLNFOFJI-ZIAGYGMSSA-N 0 3 231.343 2.748 20 0 BFADHN COc1ncccc1CN1[C@@H](C)CCC[C@@H]1C ZINC000193342116 388701554 /nfs/dbraw/zinc/70/15/54/388701554.db2.gz WCOFGDLDYLCZFW-RYUDHWBXSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@H](C)CN(C)Cc1cccnc1OC ZINC000193343348 388701607 /nfs/dbraw/zinc/70/16/07/388701607.db2.gz CPMVEPMRMPCUDS-NSHDSACASA-N 0 3 222.332 2.568 20 0 BFADHN CCC[C@@H](C)CN(C)C(C)(C)C(=O)OCC ZINC000552425278 388706693 /nfs/dbraw/zinc/70/66/93/388706693.db2.gz MGQQTNVIIDFPJZ-LLVKDONJSA-N 0 3 229.364 2.696 20 0 BFADHN CC1(CN2CCOC[C@H]2CC2CCC2)CC1 ZINC000552424052 388706916 /nfs/dbraw/zinc/70/69/16/388706916.db2.gz FSSOJTSGJXVHOV-CYBMUJFWSA-N 0 3 223.360 2.678 20 0 BFADHN Cc1oncc1CN(C)[C@@H]1CCCC[C@H]1C ZINC000249095070 388707997 /nfs/dbraw/zinc/70/79/97/388707997.db2.gz FMOLPMXWCRJVES-ZWNOBZJWSA-N 0 3 222.332 2.994 20 0 BFADHN CCCCCN(CCO)Cc1ccc(CC)o1 ZINC000126880196 388708555 /nfs/dbraw/zinc/70/85/55/388708555.db2.gz BSORSQDTPAPXHS-UHFFFAOYSA-N 0 3 239.359 2.827 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1O)c1ccc(F)c(F)c1 ZINC000249106696 388709426 /nfs/dbraw/zinc/70/94/26/388709426.db2.gz JNNPWLWXKSPSMO-ZEGGKSINSA-N 0 3 241.281 2.529 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CC[C@@H](O)[C@H](C)C1 ZINC000249577622 388728003 /nfs/dbraw/zinc/72/80/03/388728003.db2.gz PXWHIOPISOLULV-UHIISALHSA-N 0 3 237.318 2.589 20 0 BFADHN CCCn1cc(CN(C)CC2CCC2)cn1 ZINC000127784322 388732285 /nfs/dbraw/zinc/73/22/85/388732285.db2.gz GYJJRUWORXCCJQ-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCOCCCCN[C@H](C)c1cscn1 ZINC000127826748 388732338 /nfs/dbraw/zinc/73/23/38/388732338.db2.gz OWJRKQMAKNAENC-SNVBAGLBSA-N 0 3 228.361 2.610 20 0 BFADHN CCCCN(C)CCN[C@@H](C)c1cscn1 ZINC000127850398 388732853 /nfs/dbraw/zinc/73/28/53/388732853.db2.gz SJNFNKGXCWHRIP-NSHDSACASA-N 0 3 241.404 2.526 20 0 BFADHN Cc1oncc1CN(C)[C@@H](C)C1(C)CC1 ZINC000128869655 388800703 /nfs/dbraw/zinc/80/07/03/388800703.db2.gz GTYPIYADHFUTKF-JTQLQIEISA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ccsc1CN[C@H](C)c1cn[nH]c1 ZINC000229884807 388804079 /nfs/dbraw/zinc/80/40/79/388804079.db2.gz FONCACZGCNDQRG-SECBINFHSA-N 0 3 221.329 2.630 20 0 BFADHN CC[C@@H](CSC)NCc1ccc(C)nc1 ZINC000156497903 388810795 /nfs/dbraw/zinc/81/07/95/388810795.db2.gz DFTWBHNQGVBBQG-LBPRGKRZSA-N 0 3 224.373 2.621 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2ccon2)[C@H]1C ZINC000252185706 388762230 /nfs/dbraw/zinc/76/22/30/388762230.db2.gz QTVIQXXVASGCQR-SCVCMEIPSA-N 0 3 208.305 2.589 20 0 BFADHN COCC1(N[C@H](C)c2cc(C)ccc2OC)CC1 ZINC000539652012 388770293 /nfs/dbraw/zinc/77/02/93/388770293.db2.gz BTZWFIGNRXKULW-GFCCVEGCSA-N 0 3 249.354 2.833 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccncc2C)C[C@@H]1C ZINC000648741408 388773196 /nfs/dbraw/zinc/77/31/96/388773196.db2.gz AWBRSXKMXVJFAP-DZGCQCFKSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1ncsc1CNC1CC(C)(C)C1 ZINC000306495640 135373748 /nfs/dbraw/zinc/37/37/48/135373748.db2.gz QIDIUPPQNMQXPP-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN CC[C@H]1CCCN(Cc2cnoc2C)CC1 ZINC000128666553 388783158 /nfs/dbraw/zinc/78/31/58/388783158.db2.gz GVKFIHOIQPUEBJ-LBPRGKRZSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1ncc(CNCCCc2cccs2)cn1 ZINC000539853918 388785553 /nfs/dbraw/zinc/78/55/53/388785553.db2.gz HPVUGTHONFLMSO-UHFFFAOYSA-N 0 3 247.367 2.569 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1ccsc1 ZINC000229380505 388787422 /nfs/dbraw/zinc/78/74/22/388787422.db2.gz JUXFTSAMSVFZBL-UTLUCORTSA-N 0 3 213.346 2.822 20 0 BFADHN c1nc([C@@H]2CCCO2)sc1CNC1CCC1 ZINC000270188913 388789860 /nfs/dbraw/zinc/78/98/60/388789860.db2.gz HDVAUPMJVJOION-NSHDSACASA-N 0 3 238.356 2.637 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cnoc2C)C1 ZINC000128747684 388790780 /nfs/dbraw/zinc/79/07/80/388790780.db2.gz UCMBAHIAKREJDW-ZDUSSCGKSA-N 0 3 222.332 2.995 20 0 BFADHN CCCN(CCN(C)C)C(=O)CC(CC)CC ZINC000128761243 388791234 /nfs/dbraw/zinc/79/12/34/388791234.db2.gz FUFQENQAYLDTNC-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN C[C@H](NCc1cc[nH]n1)[C@@H]1C[C@H]1c1ccccc1 ZINC000555232520 388843755 /nfs/dbraw/zinc/84/37/55/388843755.db2.gz GWGMCMNBMBHODZ-CQDKDKBSSA-N 0 3 241.338 2.692 20 0 BFADHN CC(=O)Nc1cccc(CN2C3CCC2CC3)c1 ZINC000523518308 388845244 /nfs/dbraw/zinc/84/52/44/388845244.db2.gz KRNUCBGPUDOTPG-UHFFFAOYSA-N 0 3 244.338 2.772 20 0 BFADHN CCc1cnc(CNC2CC(C)C2)s1 ZINC000230144368 388815827 /nfs/dbraw/zinc/81/58/27/388815827.db2.gz VDRKBWVCVKCOTJ-UHFFFAOYSA-N 0 3 210.346 2.594 20 0 BFADHN CC[C@H](C)N(C)Cc1ccc(Cl)cn1 ZINC000554497553 388816295 /nfs/dbraw/zinc/81/62/95/388816295.db2.gz SMDDLCZDXGKEKI-VIFPVBQESA-N 0 3 212.724 2.965 20 0 BFADHN C[C@@H]1OCC[C@]1(C)NCc1ccc(Cl)o1 ZINC000230151027 388817447 /nfs/dbraw/zinc/81/74/47/388817447.db2.gz HWJVPKRNHZTWRJ-KWQFWETISA-N 0 3 229.707 2.590 20 0 BFADHN CCSCC[C@H](C)N(C)Cc1cnoc1C ZINC000129107510 388818182 /nfs/dbraw/zinc/81/81/82/388818182.db2.gz UDPOXEINZGIOAZ-JTQLQIEISA-N 0 3 242.388 2.947 20 0 BFADHN CC[C@H](C)N1CCc2ccc(F)cc2C1 ZINC000146704847 388820474 /nfs/dbraw/zinc/82/04/74/388820474.db2.gz ZCXOMUPFXOJMBB-JTQLQIEISA-N 0 3 207.292 2.982 20 0 BFADHN CCN(Cc1ccccc1OC)C[C@@H]1CCCO1 ZINC000069071786 388823043 /nfs/dbraw/zinc/82/30/43/388823043.db2.gz QLLRSUQOOLROAE-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1c(CN2CCC[C@H]3CCCC[C@H]32)cnn1C ZINC000093480711 388840774 /nfs/dbraw/zinc/84/07/74/388840774.db2.gz LSFIJOFMSVKEOJ-UKRRQHHQSA-N 0 3 247.386 2.883 20 0 BFADHN C[C@@H]1CN(CC[C@H]2CCC[C@H](C)C2)CCO1 ZINC000555643152 388858441 /nfs/dbraw/zinc/85/84/41/388858441.db2.gz VQTZONGJDCOZBS-BFHYXJOUSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1CN(C/C=C\c2ccccc2)C[C@H](C)N1C ZINC000555665867 388858477 /nfs/dbraw/zinc/85/84/77/388858477.db2.gz DBRBLVAUXVPMBD-LEQAYGSTSA-N 0 3 244.382 2.724 20 0 BFADHN CCc1nc(C)c(CN2C3CCC2CC3)o1 ZINC000555645084 388858763 /nfs/dbraw/zinc/85/87/63/388858763.db2.gz FGTJGHPRIWIKJC-UHFFFAOYSA-N 0 3 220.316 2.672 20 0 BFADHN CC[C@H]1CCCC[C@H]1N(C)Cc1cnccn1 ZINC000555986546 388864181 /nfs/dbraw/zinc/86/41/81/388864181.db2.gz NHKPBWWXNMXXDS-GXTWGEPZSA-N 0 3 233.359 2.877 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1cnccn1 ZINC000555986548 388864903 /nfs/dbraw/zinc/86/49/03/388864903.db2.gz NHKPBWWXNMXXDS-OCCSQVGLSA-N 0 3 233.359 2.877 20 0 BFADHN FC(F)(F)C[C@H]1CCN(CC2=CCCOC2)C1 ZINC000556044914 388865710 /nfs/dbraw/zinc/86/57/10/388865710.db2.gz AFCSQJXKUZUGPD-SNVBAGLBSA-N 0 3 249.276 2.607 20 0 BFADHN C[C@@H](NCCOC[C@H]1CCCO1)c1ccccc1 ZINC000129512434 388849586 /nfs/dbraw/zinc/84/95/86/388849586.db2.gz BEJKLUOFMKHBSR-UKRRQHHQSA-N 0 3 249.354 2.533 20 0 BFADHN CC(C)O[C@@H]1CCN(Cc2ccccc2)C1 ZINC000564916781 388898168 /nfs/dbraw/zinc/89/81/68/388898168.db2.gz SFDZTWNRHFBHIC-CQSZACIVSA-N 0 3 219.328 2.686 20 0 BFADHN Cc1nnsc1CN1CC2(CCC2)C[C@H]1C ZINC000565043298 388911510 /nfs/dbraw/zinc/91/15/10/388911510.db2.gz FYMNAGWNAUIKTQ-SECBINFHSA-N 0 3 237.372 2.611 20 0 BFADHN C[C@H](NCc1noc2ccccc21)[C@H]1C[C@H]1C ZINC000565218838 388926600 /nfs/dbraw/zinc/92/66/00/388926600.db2.gz RWFXYDRDWFIZOA-SCVCMEIPSA-N 0 3 230.311 2.962 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(OC)cc1CC ZINC000565527564 388951527 /nfs/dbraw/zinc/95/15/27/388951527.db2.gz XBJAFHJZZOCBCX-LSDHHAIUSA-N 0 3 249.354 2.525 20 0 BFADHN Cc1cc2cc(CN[C@@H]3C[C@H]3CO)oc2cc1C ZINC000565577313 388955078 /nfs/dbraw/zinc/95/50/78/388955078.db2.gz YERSNNZPXVXICK-GXTWGEPZSA-N 0 3 245.322 2.520 20 0 BFADHN Cn1ccc(CN[C@H]2CCC[C@@H]2C(C)(C)C)n1 ZINC000565938940 388979528 /nfs/dbraw/zinc/97/95/28/388979528.db2.gz YXDHYAGFNCJMSB-STQMWFEESA-N 0 3 235.375 2.725 20 0 BFADHN CO[C@@H](CNCc1cccc(F)c1F)C(C)C ZINC000266614074 388996431 /nfs/dbraw/zinc/99/64/31/388996431.db2.gz ISXKKGIOVVJNBS-LBPRGKRZSA-N 0 3 243.297 2.725 20 0 BFADHN CC[C@](C)(NCCCCCO)c1nccs1 ZINC000266723506 388997364 /nfs/dbraw/zinc/99/73/64/388997364.db2.gz IEGBSMLGPLTWIA-LBPRGKRZSA-N 0 3 242.388 2.521 20 0 BFADHN CCOCCN[C@H]1C[C@@H](C)c2ccccc21 ZINC000289136763 388999663 /nfs/dbraw/zinc/99/96/63/388999663.db2.gz FIRFRJKKWKVLSO-RISCZKNCSA-N 0 3 219.328 2.861 20 0 BFADHN CC[C@](C)(NCCC(C)(C)O)c1nccs1 ZINC000267437454 388999820 /nfs/dbraw/zinc/99/98/20/388999820.db2.gz OANYHOVZDLTUBX-LBPRGKRZSA-N 0 3 242.388 2.519 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CCCCO1)c1cccnc1 ZINC000271913188 389027755 /nfs/dbraw/zinc/02/77/55/389027755.db2.gz BNOUBPQKBNDPJK-OBJOEFQTSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@H]2CCCCO2)o1 ZINC000271961103 389028467 /nfs/dbraw/zinc/02/84/67/389028467.db2.gz GEKHCFUWWZFQAW-WCQYABFASA-N 0 3 223.316 2.635 20 0 BFADHN COCC[C@H](N[C@@H](C)C1CC1)c1ccco1 ZINC000272623131 389032993 /nfs/dbraw/zinc/03/29/93/389032993.db2.gz YNGIDCXOSDCGDN-JQWIXIFHSA-N 0 3 223.316 2.745 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccc(C)o1)C(C)C ZINC000268886872 389005991 /nfs/dbraw/zinc/00/59/91/389005991.db2.gz CHVFSRIQXNNWPN-YPMHNXCESA-N 0 3 225.332 2.910 20 0 BFADHN CC[C@H]1CN(Cc2ccccc2Cl)C[C@H]1O ZINC000270902370 389015653 /nfs/dbraw/zinc/01/56/53/389015653.db2.gz MRTOUXNHMNYZNN-GXFFZTMASA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H](CSC(C)(C)C)N[C@H](C)c1cn[nH]c1 ZINC000271514178 389021292 /nfs/dbraw/zinc/02/12/92/389021292.db2.gz NNLRWHLWTIDKBX-NXEZZACHSA-N 0 3 241.404 2.981 20 0 BFADHN CC[C@@H](C)CN[C@H](CCOC)c1ccco1 ZINC000272546567 389033114 /nfs/dbraw/zinc/03/31/14/389033114.db2.gz LTMWPDAMAYGZQT-VXGBXAGGSA-N 0 3 225.332 2.993 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2CCCSC2)c1 ZINC000274602849 389047984 /nfs/dbraw/zinc/04/79/84/389047984.db2.gz LWVBGNJUWNCBJU-AAEUAGOBSA-N 0 3 236.384 2.936 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1ccc(Cl)cn1 ZINC000274873632 389049344 /nfs/dbraw/zinc/04/93/44/389049344.db2.gz GEKYZNDLEMNTKQ-KOLCDFICSA-N 0 3 240.734 2.565 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1ccc(Cl)cn1 ZINC000274822456 389049513 /nfs/dbraw/zinc/04/95/13/389049513.db2.gz BVWLTOCNVMGVMN-GXSJLCMTSA-N 0 3 240.734 2.565 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1ccc(Cl)cn1 ZINC000274909455 389050324 /nfs/dbraw/zinc/05/03/24/389050324.db2.gz MVUBJRRLFURCIN-ONGXEEELSA-N 0 3 242.750 2.811 20 0 BFADHN COC[C@@H](C)CN[C@@H](C)c1ccc(Cl)cn1 ZINC000274942810 389051598 /nfs/dbraw/zinc/05/15/98/389051598.db2.gz TVDWVQCMODNUOK-UWVGGRQHSA-N 0 3 242.750 2.668 20 0 BFADHN CC[C@H]1[C@@H](C)CC[N@H+]1Cc1nc(C)ccc1[O-] ZINC000274973207 389052500 /nfs/dbraw/zinc/05/25/00/389052500.db2.gz WNENJYCVOASPSY-GWCFXTLKSA-N 0 3 234.343 2.716 20 0 BFADHN CC[C@H]1[C@@H](C)CC[N@@H+]1Cc1nc(C)ccc1[O-] ZINC000274973207 389052497 /nfs/dbraw/zinc/05/24/97/389052497.db2.gz WNENJYCVOASPSY-GWCFXTLKSA-N 0 3 234.343 2.716 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1ccc(F)c(C)c1 ZINC000272967643 389036963 /nfs/dbraw/zinc/03/69/63/389036963.db2.gz ONWNNPNKBVMGMT-WDEREUQCSA-N 0 3 225.307 2.820 20 0 BFADHN CCCCN[C@H](CCOC)c1ccco1 ZINC000273281419 389038645 /nfs/dbraw/zinc/03/86/45/389038645.db2.gz OASSYOFZVSULPZ-LLVKDONJSA-N 0 3 211.305 2.747 20 0 BFADHN CCSCCN[C@H]1CCCc2occc21 ZINC000273692395 389043191 /nfs/dbraw/zinc/04/31/91/389043191.db2.gz IKEIJQIDYXSUQL-NSHDSACASA-N 0 3 225.357 3.000 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1ccc(OC)cn1 ZINC000338315817 171127642 /nfs/dbraw/zinc/12/76/42/171127642.db2.gz LEVYFDDGFLBZGG-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc2c(c1)CN(C[C@H]1C[C@@H]1C)CC2 ZINC000488294952 533260451 /nfs/dbraw/zinc/26/04/51/533260451.db2.gz MPLWAOSCCYZJTJ-WCQYABFASA-N 0 3 231.339 2.709 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2ccc(F)cc2C)C1 ZINC000510092666 533290815 /nfs/dbraw/zinc/29/08/15/533290815.db2.gz SVDRIGICQJWACQ-OKILXGFUSA-N 0 3 237.318 2.743 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2cc(C)sc2C)C1 ZINC000510119123 533291410 /nfs/dbraw/zinc/29/14/10/533291410.db2.gz JISUAGXNVBKFLJ-BETUJISGSA-N 0 3 239.384 2.974 20 0 BFADHN C/C(=C/c1ccccc1)CN1CC[C@H](C)[C@H](O)C1 ZINC000349358670 533391228 /nfs/dbraw/zinc/39/12/28/533391228.db2.gz YGQZYICLCGXDFL-PXXUHZIESA-N 0 3 245.366 2.793 20 0 BFADHN COC(=O)CCN(C)C/C(C)=C/c1ccccc1 ZINC000505273694 533407293 /nfs/dbraw/zinc/40/72/93/533407293.db2.gz PSBTVZVDWKGVCG-ACCUITESSA-N 0 3 247.338 2.585 20 0 BFADHN C/C(=C\c1ccccc1)CN[C@@H]1C=C[C@@H](CO)C1 ZINC000347779828 533411111 /nfs/dbraw/zinc/41/11/11/533411111.db2.gz QALVNEXWDGUKHP-LXUUZPSESA-N 0 3 243.350 2.617 20 0 BFADHN CN(C)Cc1cccc(NC(=O)c2ccoc2)c1 ZINC000047453760 322827241 /nfs/dbraw/zinc/82/72/41/322827241.db2.gz ZHZZNQZNJYRPOZ-UHFFFAOYSA-N 0 3 244.294 2.594 20 0 BFADHN CC1(C)C[C@@H]1NCc1ccncc1Cl ZINC000084084931 322832456 /nfs/dbraw/zinc/83/24/56/322832456.db2.gz HUXJFWVCJYGRGN-JTQLQIEISA-N 0 3 210.708 2.623 20 0 BFADHN CC[C@H](C)CN1CCN(c2cnccc2C)CC1 ZINC000340595309 132222201 /nfs/dbraw/zinc/22/22/01/132222201.db2.gz KBMMFSAEVHNTQE-ZDUSSCGKSA-N 0 3 247.386 2.558 20 0 BFADHN CC1(CN2CCN(c3ccccc3)CC2)CCC1 ZINC000336794970 132296550 /nfs/dbraw/zinc/29/65/50/132296550.db2.gz GZFGSPAJVCFKJH-UHFFFAOYSA-N 0 3 244.382 2.999 20 0 BFADHN Cc1cc(N2CCO[C@H](C)C2)c2ccccc2n1 ZINC000041873342 132350704 /nfs/dbraw/zinc/35/07/04/132350704.db2.gz FIJBROCUEBXAGH-GFCCVEGCSA-N 0 3 242.322 2.768 20 0 BFADHN CCOc1ccccc1N1CCN(C(C)C)CC1 ZINC000072816385 132397261 /nfs/dbraw/zinc/39/72/61/132397261.db2.gz CMNVRFOBQDKZKO-UHFFFAOYSA-N 0 3 248.370 2.616 20 0 BFADHN Cn1c(CN[C@H]2CC[C@@H](F)C2)nc2ccccc21 ZINC000334502698 133656837 /nfs/dbraw/zinc/65/68/37/133656837.db2.gz LAMSPWWCIKVCNO-MNOVXSKESA-N 0 3 247.317 2.554 20 0 BFADHN Cc1cccc(CN2CCCO[C@@H](CF)C2)c1 ZINC000334648351 133723781 /nfs/dbraw/zinc/72/37/81/133723781.db2.gz WNAYRTIZJZGKDC-AWEZNQCLSA-N 0 3 237.318 2.555 20 0 BFADHN CC[C@H](C(=O)NC1CCCCC1)N(CC)CC ZINC000345606141 133784242 /nfs/dbraw/zinc/78/42/42/133784242.db2.gz KXCKWKCOFBITKK-CYBMUJFWSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC23CCCC3)nn1 ZINC000335262969 133813969 /nfs/dbraw/zinc/81/39/69/133813969.db2.gz DKQOJSQRQDKHGQ-CYBMUJFWSA-N 0 3 231.343 2.597 20 0 BFADHN Cc1ccccc1CN1C[C@H](C)N(C)C[C@@H]1C ZINC000351937429 134014772 /nfs/dbraw/zinc/01/47/72/134014772.db2.gz UIUHTZNPAPQERW-KBPBESRZSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1ccccc1CN1C[C@@H](C)N(C)C[C@H]1C ZINC000351937432 134014793 /nfs/dbraw/zinc/01/47/93/134014793.db2.gz UIUHTZNPAPQERW-ZIAGYGMSSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)C[C@@H]2C)cc1 ZINC000351943285 134015147 /nfs/dbraw/zinc/01/51/47/134015147.db2.gz FNZHSIUHQGMIAN-KGLIPLIRSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1cccc(C)c1CN1C[C@H](C)N(C)C[C@H]1C ZINC000351946191 134015554 /nfs/dbraw/zinc/01/55/54/134015554.db2.gz YSIVQTVEJZBDBQ-LSDHHAIUSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1nocc1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000335940781 134017034 /nfs/dbraw/zinc/01/70/34/134017034.db2.gz QAOHABKBBNTQLO-ZWNOBZJWSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1cccc(CN2C[C@H](C)N(C)C[C@@H]2C)c1 ZINC000351958439 134017671 /nfs/dbraw/zinc/01/76/71/134017671.db2.gz KKRWBZKYIYABOK-KBPBESRZSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1cccc(CN2C[C@H](C)N(C)C[C@H]2C)c1 ZINC000351958441 134017727 /nfs/dbraw/zinc/01/77/27/134017727.db2.gz KKRWBZKYIYABOK-UONOGXRCSA-N 0 3 232.371 2.519 20 0 BFADHN C[C@H](F)CCN1CC(C)(C)[C@H]1[C@H]1CCCO1 ZINC000351959935 134018555 /nfs/dbraw/zinc/01/85/55/134018555.db2.gz SUJXWWKCTWCUBB-QJPTWQEYSA-N 0 3 229.339 2.624 20 0 BFADHN Cc1nccc(CN2CCC[C@H](C(C)C)C2)n1 ZINC000335945378 134019775 /nfs/dbraw/zinc/01/97/75/134019775.db2.gz IIIPYTFCCMLKEF-ZDUSSCGKSA-N 0 3 233.359 2.653 20 0 BFADHN C[C@H]1OCC[C@@H]1NC1(c2ccc(F)cc2)CC1 ZINC000335960502 134026539 /nfs/dbraw/zinc/02/65/39/134026539.db2.gz JZUGCDXXKAVRLM-MFKMUULPSA-N 0 3 235.302 2.582 20 0 BFADHN Cc1nocc1CN1CC(C)(C)[C@H]2CCC[C@H]21 ZINC000335970486 134029718 /nfs/dbraw/zinc/02/97/18/134029718.db2.gz QTCAYQURIZBVHJ-QWHCGFSZSA-N 0 3 234.343 2.994 20 0 BFADHN Cc1nocc1CN1CC(C)(C)[C@@H]2CCC[C@@H]21 ZINC000335970485 134029864 /nfs/dbraw/zinc/02/98/64/134029864.db2.gz QTCAYQURIZBVHJ-OLZOCXBDSA-N 0 3 234.343 2.994 20 0 BFADHN C[C@@H]1CN(C)CC[C@H]1Nc1cc(C2CC2)ccn1 ZINC000336003189 134048283 /nfs/dbraw/zinc/04/82/83/134048283.db2.gz CNURTXJPUFRDEY-BXUZGUMPSA-N 0 3 245.370 2.711 20 0 BFADHN Cc1cc(CN(C)C2(C)CCCC2)on1 ZINC000336006561 134049358 /nfs/dbraw/zinc/04/93/58/134049358.db2.gz YROKLMBOPVHSKN-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN Cc1c[nH]nc1CN(C)[C@H]1CCCC[C@H]1C ZINC000336012096 134051793 /nfs/dbraw/zinc/05/17/93/134051793.db2.gz YUNUPSFOKVFATC-MFKMUULPSA-N 0 3 221.348 2.729 20 0 BFADHN C[C@@H](F)CCN(C)CCOc1ccccc1F ZINC000352090947 134061546 /nfs/dbraw/zinc/06/15/46/134061546.db2.gz FZOJMBHUBXCGBC-LLVKDONJSA-N 0 3 243.297 2.884 20 0 BFADHN C[C@H]1CN(C/C=C/c2ccncc2)C[C@H](C)S1 ZINC000336107173 134082914 /nfs/dbraw/zinc/08/29/14/134082914.db2.gz IUVBLZUICCBEKH-GFSGRHGLSA-N 0 3 248.395 2.921 20 0 BFADHN C[C@@H]1CN(C/C=C/c2ccncc2)C[C@H](C)S1 ZINC000336107171 134083082 /nfs/dbraw/zinc/08/30/82/134083082.db2.gz IUVBLZUICCBEKH-AYIQIARFSA-N 0 3 248.395 2.921 20 0 BFADHN Fc1cncc(CN[C@@H]2CCCC(F)(F)C2)c1 ZINC000336107989 134085495 /nfs/dbraw/zinc/08/54/95/134085495.db2.gz CJUXAVCVSRKBFL-LLVKDONJSA-N 0 3 244.260 2.888 20 0 BFADHN Fc1cncc(CN[C@H]2CCCC(F)(F)C2)c1 ZINC000336107990 134085560 /nfs/dbraw/zinc/08/55/60/134085560.db2.gz CJUXAVCVSRKBFL-NSHDSACASA-N 0 3 244.260 2.888 20 0 BFADHN CC(C)c1nc(CN2CCC[C@@H]3CCC[C@H]32)n[nH]1 ZINC000336110013 134086065 /nfs/dbraw/zinc/08/60/65/134086065.db2.gz HVKREMCKUFUHQC-NWDGAFQWSA-N 0 3 248.374 2.693 20 0 BFADHN Cc1cc(CN2C[C@H](C)CC(C)(C)C2)ncn1 ZINC000336111046 134086290 /nfs/dbraw/zinc/08/62/90/134086290.db2.gz NOIPWIVLVSLYQJ-LLVKDONJSA-N 0 3 233.359 2.653 20 0 BFADHN CCc1nc(C)c(CN2CCC[C@@H](C)C2)o1 ZINC000336115487 134088204 /nfs/dbraw/zinc/08/82/04/134088204.db2.gz HXOYYTWTEDTARQ-SNVBAGLBSA-N 0 3 222.332 2.777 20 0 BFADHN C[C@H](NC1CCC(F)(F)CC1)c1cnccn1 ZINC000336119805 134089864 /nfs/dbraw/zinc/08/98/64/134089864.db2.gz HIXMHSIXFYECCC-VIFPVBQESA-N 0 3 241.285 2.705 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]3CCCC[C@@H]32)cn1 ZINC000336132112 134094452 /nfs/dbraw/zinc/09/44/52/134094452.db2.gz RFKMLMFOLYOZTG-ZFWWWQNUSA-N 0 3 245.370 2.940 20 0 BFADHN Cc1cnc(CN2CCC[C@H]3CCCC[C@H]32)cn1 ZINC000336132111 134094837 /nfs/dbraw/zinc/09/48/37/134094837.db2.gz RFKMLMFOLYOZTG-UKRRQHHQSA-N 0 3 245.370 2.940 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCCN(C)CC1 ZINC000336134081 134095576 /nfs/dbraw/zinc/09/55/76/134095576.db2.gz CXWNQQAFUIZZPR-LBPRGKRZSA-N 0 3 236.334 2.524 20 0 BFADHN CN(Cc1ccc2c(c1)CCC2)[C@@H]1CCCOC1 ZINC000352186597 134099547 /nfs/dbraw/zinc/09/95/47/134099547.db2.gz NDNSTXNPHOZOOZ-MRXNPFEDSA-N 0 3 245.366 2.786 20 0 BFADHN c1cc(-c2n[nH]cc2CN2CCCC2)cs1 ZINC000336144397 134101828 /nfs/dbraw/zinc/10/18/28/134101828.db2.gz LAIBTMVHFHOUAS-UHFFFAOYSA-N 0 3 233.340 2.734 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2ccno2)C1 ZINC000336184042 134120660 /nfs/dbraw/zinc/12/06/60/134120660.db2.gz WUGSVDCJVZEYLY-LLVKDONJSA-N 0 3 208.305 2.543 20 0 BFADHN C[C@]1(F)CCN(C[C@H]2CCC(F)(F)C2)C1 ZINC000336198528 134127638 /nfs/dbraw/zinc/12/76/38/134127638.db2.gz KINAJWLKTGDTLK-UWVGGRQHSA-N 0 3 221.266 2.856 20 0 BFADHN C[C@@H]1CN(CC2CC(F)(F)C2)C[C@@H](C2CC2)O1 ZINC000336204223 134131760 /nfs/dbraw/zinc/13/17/60/134131760.db2.gz SWUCBJWGKXVAIE-SKDRFNHKSA-N 0 3 245.313 2.531 20 0 BFADHN c1c2cccnc2oc1CN1CC[C@@H]1C1CC1 ZINC000336216162 134136508 /nfs/dbraw/zinc/13/65/08/134136508.db2.gz GBKSVSHTCGIWRH-CYBMUJFWSA-N 0 3 228.295 2.812 20 0 BFADHN COC1CC(N[C@@H]2C[C@@H](C)Oc3ccccc32)C1 ZINC000336215425 134136740 /nfs/dbraw/zinc/13/67/40/134136740.db2.gz LWPHSORTWYZQMB-MLCFOIATSA-N 0 3 247.338 2.666 20 0 BFADHN Cc1nc2ccccn2c1CN1CC[C@H]1C1CC1 ZINC000336216408 134137397 /nfs/dbraw/zinc/13/73/97/134137397.db2.gz YQXIYZPHAUEWLI-ZDUSSCGKSA-N 0 3 241.338 2.627 20 0 BFADHN CCn1cncc1CN[C@@H](C)c1cccs1 ZINC000085183040 134224998 /nfs/dbraw/zinc/22/49/98/134224998.db2.gz IAXKABKCJJNZEB-JTQLQIEISA-N 0 3 235.356 2.815 20 0 BFADHN COc1cccc(CN2C[C@@H](C)C[C@H](C)C2)n1 ZINC000172006908 134263953 /nfs/dbraw/zinc/26/39/53/134263953.db2.gz KWKIJUUTERZWLA-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN Cc1cccc([C@@H](C)NCc2c[nH]cn2)c1C ZINC000172038929 134264460 /nfs/dbraw/zinc/26/44/60/134264460.db2.gz XDOSYLKUOQINMJ-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1cccc([C@@H](C)NCc2cnc[nH]2)c1C ZINC000172038929 134264461 /nfs/dbraw/zinc/26/44/61/134264461.db2.gz XDOSYLKUOQINMJ-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1cc([C@H](C)NCCc2ccn(C)n2)c(C)o1 ZINC000219300361 134281544 /nfs/dbraw/zinc/28/15/44/134281544.db2.gz IJFUPCJVUGFYFQ-NSHDSACASA-N 0 3 247.342 2.523 20 0 BFADHN CCCC[C@@H](CC)CC(=O)N(C)CCN(C)C ZINC000176373033 134291446 /nfs/dbraw/zinc/29/14/46/134291446.db2.gz RRPPFOGWPNORJG-CYBMUJFWSA-N 0 3 242.407 2.613 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cccnc1OC ZINC000176451984 134291604 /nfs/dbraw/zinc/29/16/04/134291604.db2.gz LZHHLMVMFLLSDR-CYBMUJFWSA-N 0 3 234.343 2.855 20 0 BFADHN Cn1cncc1CN1CCC2(C1)CCCCC2 ZINC000177155829 134295943 /nfs/dbraw/zinc/29/59/43/134295943.db2.gz BAKIWQQMJLAGOM-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN COc1cccc(CN2C[C@@H]3CCCC[C@H]3C2)n1 ZINC000177570881 134300350 /nfs/dbraw/zinc/30/03/50/134300350.db2.gz HBWLHDVHJNYRKN-STQMWFEESA-N 0 3 246.354 2.712 20 0 BFADHN CCOc1ccc2c(c1)[C@@H](NCC1CC1)CCO2 ZINC000177834476 134302984 /nfs/dbraw/zinc/30/29/84/134302984.db2.gz VCDRLFUVUHSXPG-AWEZNQCLSA-N 0 3 247.338 2.909 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1CCOc1ccccc1F ZINC000177890586 134304315 /nfs/dbraw/zinc/30/43/15/134304315.db2.gz KLTGDIRFRYNOSL-NWDGAFQWSA-N 0 3 237.318 2.935 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)[C@@H](C)C2)on1 ZINC000220670478 134311547 /nfs/dbraw/zinc/31/15/47/134311547.db2.gz PCEGXEWXPVPPGH-JBLDHEPKSA-N 0 3 222.332 2.897 20 0 BFADHN CSCCN1CCC[C@H]1c1cccc(C)n1 ZINC000178689318 134312825 /nfs/dbraw/zinc/31/28/25/134312825.db2.gz QNXJORQDGPEXRQ-ZDUSSCGKSA-N 0 3 236.384 2.890 20 0 BFADHN CC(C)CN1CCN(C)C[C@@H]1c1ccccc1 ZINC000179296830 134319624 /nfs/dbraw/zinc/31/96/24/134319624.db2.gz NGLINAIWOIJEAQ-OAHLLOKOSA-N 0 3 232.371 2.631 20 0 BFADHN C[C@@]1(NCc2cccc(Cl)c2)CCCOC1 ZINC000221369366 134320121 /nfs/dbraw/zinc/32/01/21/134320121.db2.gz XYQHMQMFOJEWMX-CYBMUJFWSA-N 0 3 239.746 2.999 20 0 BFADHN C[C@]1(NCc2ccccc2Cl)CCCOC1 ZINC000221340203 134320491 /nfs/dbraw/zinc/32/04/91/134320491.db2.gz ITMZHPDLPFKBHB-ZDUSSCGKSA-N 0 3 239.746 2.999 20 0 BFADHN C[C@@]1(NCc2cccc(F)c2F)CCCOC1 ZINC000221357080 134320519 /nfs/dbraw/zinc/32/05/19/134320519.db2.gz UAFVWEUOHGEXQJ-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN Cc1cc(CN[C@]2(C)CCCOC2)ccc1F ZINC000221350969 134320531 /nfs/dbraw/zinc/32/05/31/134320531.db2.gz RHUIVYDRDBETPR-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN C[C@]1(NCc2ccc(F)c(F)c2)CCCOC1 ZINC000221369836 134323379 /nfs/dbraw/zinc/32/33/79/134323379.db2.gz KPPGSRILYSOTOX-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN Cc1nc(CCNCc2ccsc2)cs1 ZINC000221822097 134328169 /nfs/dbraw/zinc/32/81/69/134328169.db2.gz AALWRIHOXWZRMK-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@]2(CCOC2)C1 ZINC000180239856 134328573 /nfs/dbraw/zinc/32/85/73/134328573.db2.gz IIDRANYCFHSFHH-HNNXBMFYSA-N 0 3 249.329 2.747 20 0 BFADHN CCCn1cc(CN(C)[C@H](C)C2(C)CC2)cn1 ZINC000180534221 134329835 /nfs/dbraw/zinc/32/98/35/134329835.db2.gz ZJKPNKBUIAYGRK-GFCCVEGCSA-N 0 3 235.375 2.914 20 0 BFADHN CCCn1cc(CN(C)CCC2CC2)cn1 ZINC000180787113 134331774 /nfs/dbraw/zinc/33/17/74/134331774.db2.gz ZULAUIBYEGDRIZ-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN C[C@H](N[C@H]1CCO[C@@H]1C1CC1)c1ccsc1 ZINC000222678711 134333715 /nfs/dbraw/zinc/33/37/15/134333715.db2.gz UYSBKMCUBLPEKG-TVYUQYBPSA-N 0 3 237.368 2.966 20 0 BFADHN c1ccc2c(c1)SC[C@H]2N[C@H]1CCSC1 ZINC000223733787 134339379 /nfs/dbraw/zinc/33/93/79/134339379.db2.gz QWOROPZHSPGZTJ-GXSJLCMTSA-N 0 3 237.393 2.929 20 0 BFADHN COc1ccc(CNCC2(C)CC2)c(OC)c1 ZINC000224177947 134342125 /nfs/dbraw/zinc/34/21/25/134342125.db2.gz SDSXXGSBPLJGET-UHFFFAOYSA-N 0 3 235.327 2.594 20 0 BFADHN CO[C@@H](C)CNCc1ccc(C2CC2)cc1 ZINC000223892846 134343207 /nfs/dbraw/zinc/34/32/07/134343207.db2.gz NAWMMIXDPMSFED-NSHDSACASA-N 0 3 219.328 2.689 20 0 BFADHN C[C@@H](NCC1(O)CCC1)c1cccc(F)c1F ZINC000223946455 134343649 /nfs/dbraw/zinc/34/36/49/134343649.db2.gz UGMZYQWYJGTLMX-SECBINFHSA-N 0 3 241.281 2.530 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@H]3CCCC[C@@H]32)no1 ZINC000182504842 134345246 /nfs/dbraw/zinc/34/52/46/134345246.db2.gz BXZDXLRJPCBFPD-GDLCADMTSA-N 0 3 234.343 2.994 20 0 BFADHN Cc1csc([C@H](C)NC[C@@H]2CCSC2)n1 ZINC000224430238 134347363 /nfs/dbraw/zinc/34/73/63/134347363.db2.gz BTNSTEPMJDZFRP-UWVGGRQHSA-N 0 3 242.413 2.855 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](O)C(F)F)s1 ZINC000224483116 134347942 /nfs/dbraw/zinc/34/79/42/134347942.db2.gz NKVFHYIMNSCPJL-VXNVDRBHSA-N 0 3 249.326 2.587 20 0 BFADHN FCCCN1CC[C@H](Oc2cccc(F)c2)C1 ZINC000183375212 134350935 /nfs/dbraw/zinc/35/09/35/134350935.db2.gz VXGGDEABKUWLCX-ZDUSSCGKSA-N 0 3 241.281 2.638 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1nccn1C ZINC000226915817 134371766 /nfs/dbraw/zinc/37/17/66/134371766.db2.gz GBMSKSCKLANYGG-VOAKCMCISA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H](N[C@H]1CCO[C@H](C2CC2)C1)c1ccoc1 ZINC000185323231 134379792 /nfs/dbraw/zinc/37/97/92/134379792.db2.gz NDNODDRABPVWQK-BPNCWPANSA-N 0 3 235.327 2.888 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1CC(=O)Nc1ccccc1 ZINC000185648480 134387725 /nfs/dbraw/zinc/38/77/25/134387725.db2.gz UBXCMDNWGFNYCX-GXTWGEPZSA-N 0 3 246.354 2.746 20 0 BFADHN CC(C)C1(CNCc2cccc3c2OCO3)CC1 ZINC000227832845 134389820 /nfs/dbraw/zinc/38/98/20/134389820.db2.gz JUZNQXQGMSCKPQ-UHFFFAOYSA-N 0 3 247.338 2.941 20 0 BFADHN CSC1(CNCc2occc2C)CC1 ZINC000227950230 134399450 /nfs/dbraw/zinc/39/94/50/134399450.db2.gz ANWQYPMOQMVELH-UHFFFAOYSA-N 0 3 211.330 2.573 20 0 BFADHN CCOc1ncccc1CN[C@@H](C)C1(C)CC1 ZINC000151212360 134461957 /nfs/dbraw/zinc/46/19/57/134461957.db2.gz GJWZFWQZOBPALO-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN CCc1nc(CNC(C)(C)CC)cs1 ZINC000054918132 134773748 /nfs/dbraw/zinc/77/37/48/134773748.db2.gz YIWPXRUUDBDBCM-UHFFFAOYSA-N 0 3 212.362 2.984 20 0 BFADHN CCc1nc(CN[C@@H](C)C(C)C)cs1 ZINC000054917954 134773981 /nfs/dbraw/zinc/77/39/81/134773981.db2.gz HCXRQQLODVLHPX-VIFPVBQESA-N 0 3 212.362 2.840 20 0 BFADHN C[C@@H](CCCC(F)(F)F)NCc1nccn1C ZINC000163425057 134985363 /nfs/dbraw/zinc/98/53/63/134985363.db2.gz USAGGXZLIIJQQK-VIFPVBQESA-N 0 3 249.280 2.631 20 0 BFADHN CCc1nnc(CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)o1 ZINC000248433052 135009862 /nfs/dbraw/zinc/00/98/62/135009862.db2.gz QNWRGFDHSBSPJB-SRVKXCTJSA-N 0 3 249.358 2.643 20 0 BFADHN C[C@H](O)CN(Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000248659001 135018399 /nfs/dbraw/zinc/01/83/99/135018399.db2.gz URIWUPUVFIBYQX-UHIISALHSA-N 0 3 249.354 2.748 20 0 BFADHN C[C@H](c1ccncc1)N(C)CC[C@H]1CCCO1 ZINC000248878718 135024397 /nfs/dbraw/zinc/02/43/97/135024397.db2.gz WMYKFNBZGDJYIH-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@H](c1ccccn1)N1CCSC[C@H](C)C1 ZINC000248985222 135029955 /nfs/dbraw/zinc/02/99/55/135029955.db2.gz GFMVJLFVLSZUMI-VXGBXAGGSA-N 0 3 236.384 2.828 20 0 BFADHN CCC(=O)CCN1CCS[C@H]2CCCC[C@H]21 ZINC000248982391 135030027 /nfs/dbraw/zinc/03/00/27/135030027.db2.gz FVOWZGKGTUEDSE-OLZOCXBDSA-N 0 3 241.400 2.716 20 0 BFADHN C[C@H]1CC[C@H](NCc2c(Cl)cnn2C)CC1 ZINC000249077926 135032220 /nfs/dbraw/zinc/03/22/20/135032220.db2.gz BWJWFMSGPIVTOD-MGCOHNPYSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@H]2CC[C@@H](O)C2)o1 ZINC000249098994 135032804 /nfs/dbraw/zinc/03/28/04/135032804.db2.gz PDPDPGSJRAADSX-CZXHOFHRSA-N 0 3 249.354 2.654 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1nccnc1C ZINC000249189226 135036301 /nfs/dbraw/zinc/03/63/01/135036301.db2.gz MXWDDJRVLRZCJP-HOSYDEDBSA-N 0 3 221.348 2.870 20 0 BFADHN CCN(Cc1nnc(C)o1)[C@H]1CCCC[C@H]1C ZINC000249380337 135037802 /nfs/dbraw/zinc/03/78/02/135037802.db2.gz OYVVJOUFMLBSGE-PWSUYJOCSA-N 0 3 237.347 2.779 20 0 BFADHN C[C@H](c1cnccn1)N1CCCC[C@H](C)C1 ZINC000249409428 135038560 /nfs/dbraw/zinc/03/85/60/135038560.db2.gz DXYNLTHQGSTHDZ-NWDGAFQWSA-N 0 3 219.332 2.660 20 0 BFADHN C[C@@H](c1ccco1)N(C)CC[C@H]1CCCO1 ZINC000249293215 135042115 /nfs/dbraw/zinc/04/21/15/135042115.db2.gz MOSUPDFVHSIFER-NWDGAFQWSA-N 0 3 223.316 2.842 20 0 BFADHN CSC1(CNCc2nc(C)c(C)s2)CC1 ZINC000235029945 135045358 /nfs/dbraw/zinc/04/53/58/135045358.db2.gz ZJPVWYQXFQMVCS-UHFFFAOYSA-N 0 3 242.413 2.745 20 0 BFADHN COc1cccc([C@@H](C)N(C)C[C@H]2CCCO2)c1 ZINC000249843009 135046215 /nfs/dbraw/zinc/04/62/15/135046215.db2.gz ZBBRTQRKURLNPF-IUODEOHRSA-N 0 3 249.354 2.867 20 0 BFADHN CCCCN(CC)C(=O)CN1CCCC1(C)C ZINC000077483706 135067285 /nfs/dbraw/zinc/06/72/85/135067285.db2.gz ZMBINDCUMQTPBS-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@H](N[C@H]1CSc2ccccc21)[C@H]1CCCO1 ZINC000251480313 135069926 /nfs/dbraw/zinc/06/99/26/135069926.db2.gz FOFRFIDEXLCCLK-WCFLWFBJSA-N 0 3 249.379 2.991 20 0 BFADHN C[C@@H]1CCN(Cc2ccccn2)[C@H](C)C1 ZINC000077657085 135071340 /nfs/dbraw/zinc/07/13/40/135071340.db2.gz ZYUATDAADHKWLK-VXGBXAGGSA-N 0 3 204.317 2.702 20 0 BFADHN CC[C@H]1CC[C@H](NCc2cccnc2OC)C1 ZINC000078005461 135089750 /nfs/dbraw/zinc/08/97/50/135089750.db2.gz QDSMFKPXAMUNFS-AAEUAGOBSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@H]1CSc2ccccc21 ZINC000252685401 135097184 /nfs/dbraw/zinc/09/71/84/135097184.db2.gz IBPZFUZVUMUPQD-DLOVCJGASA-N 0 3 235.352 2.600 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@H](C)c1ccc(C)o1 ZINC000252713393 135100309 /nfs/dbraw/zinc/10/03/09/135100309.db2.gz PBAWMTLADUHCGK-WCQGTBRESA-N 0 3 225.332 2.646 20 0 BFADHN CCC(CC)CN1CCN2CCCC[C@H]2C1 ZINC000252727700 135102482 /nfs/dbraw/zinc/10/24/82/135102482.db2.gz NDWKUAMACYIHPC-AWEZNQCLSA-N 0 3 224.392 2.593 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(F)c(F)c2)CS1 ZINC000086935627 135103763 /nfs/dbraw/zinc/10/37/63/135103763.db2.gz TXVZHJMFFROQTP-WPRPVWTQSA-N 0 3 243.322 2.948 20 0 BFADHN C[C@H]1CCN(Cc2ccncc2)C[C@H]1C ZINC000252799416 135110558 /nfs/dbraw/zinc/11/05/58/135110558.db2.gz XGAVGDVRDAMZGF-NWDGAFQWSA-N 0 3 204.317 2.560 20 0 BFADHN CC[C@H](COC)NCc1cscc1C ZINC000087309461 135122054 /nfs/dbraw/zinc/12/20/54/135122054.db2.gz WWCVHZQYGOMGRH-LLVKDONJSA-N 0 3 213.346 2.571 20 0 BFADHN C[C@@H](NC[C@H]1CCCS1)c1ccco1 ZINC000087667559 135162590 /nfs/dbraw/zinc/16/25/90/135162590.db2.gz MMMVJMWUQTUABU-NXEZZACHSA-N 0 3 211.330 2.826 20 0 BFADHN Cc1ncc(CNC[C@H]2CCCCS2)s1 ZINC000087683426 135164699 /nfs/dbraw/zinc/16/46/99/135164699.db2.gz HMLAXZYKCJPJBO-SNVBAGLBSA-N 0 3 242.413 2.827 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@@H]1CCC[C@H](C)CC1 ZINC000261542202 135182733 /nfs/dbraw/zinc/18/27/33/135182733.db2.gz NRXYENOKQDEHFA-SCRDCRAPSA-N 0 3 240.391 2.589 20 0 BFADHN C[C@@H](CNCc1ccc(Cl)o1)N(C)C1CC1 ZINC000163904979 135208317 /nfs/dbraw/zinc/20/83/17/135208317.db2.gz BFUUOYHYGVSHCF-VIFPVBQESA-N 0 3 242.750 2.505 20 0 BFADHN OCc1cccc(CNCc2cccs2)c1 ZINC000163907991 135208607 /nfs/dbraw/zinc/20/86/07/135208607.db2.gz SOIVAWRAALZRMC-UHFFFAOYSA-N 0 3 233.336 2.530 20 0 BFADHN CC[C@@H](C)[C@](C)(O)CNCc1ccc(Cl)o1 ZINC000164002788 135212537 /nfs/dbraw/zinc/21/25/37/135212537.db2.gz LTCQVRKUDWHHMQ-BXKDBHETSA-N 0 3 245.750 2.820 20 0 BFADHN COC1CCC(N[C@H](C)c2ccco2)CC1 ZINC000164240540 135217071 /nfs/dbraw/zinc/21/70/71/135217071.db2.gz CLVIGYCAGGFZKL-VOMCLLRMSA-N 0 3 223.316 2.888 20 0 BFADHN COc1cc(CN[C@@H]2C[C@H]2C(F)F)ccc1C ZINC000342229251 135253454 /nfs/dbraw/zinc/25/34/54/135253454.db2.gz MCURDNLLHSKZEE-GHMZBOCLSA-N 0 3 241.281 2.747 20 0 BFADHN COCc1ccc(CNCCC2(F)CCC2)o1 ZINC000342227208 135253721 /nfs/dbraw/zinc/25/37/21/135253721.db2.gz YEJBLPLTQDQZGQ-UHFFFAOYSA-N 0 3 241.306 2.798 20 0 BFADHN COc1cc(CN[C@H]2C[C@@H]2C(F)F)ccc1C ZINC000342229256 135254121 /nfs/dbraw/zinc/25/41/21/135254121.db2.gz MCURDNLLHSKZEE-QWRGUYRKSA-N 0 3 241.281 2.747 20 0 BFADHN CCCN1CCNC[C@H]1c1cccc(Cl)c1 ZINC000261833495 135256529 /nfs/dbraw/zinc/25/65/29/135256529.db2.gz ZAASXWBJYQDIIB-ZDUSSCGKSA-N 0 3 238.762 2.696 20 0 BFADHN F[C@@H]1CC[C@H](NCc2ccc(-c3cc[nH]n3)o2)C1 ZINC000342289222 135256753 /nfs/dbraw/zinc/25/67/53/135256753.db2.gz LVIWVEBDTMPWDO-ZJUUUORDSA-N 0 3 249.289 2.650 20 0 BFADHN CCn1nc(C)c(CN[C@H]2CC[C@H](F)C2)c1C ZINC000342272302 135260648 /nfs/dbraw/zinc/26/06/48/135260648.db2.gz DRLNLQGYSRNGCN-RYUDHWBXSA-N 0 3 239.338 2.500 20 0 BFADHN CCC[C@@](C)(N)C(=O)NCC(C)(C)CC(C)C ZINC000262154949 135282409 /nfs/dbraw/zinc/28/24/09/135282409.db2.gz SONVCWHQLDNADP-CQSZACIVSA-N 0 3 242.407 2.692 20 0 BFADHN CCC1CC(N[C@@H](C)c2ccncc2OC)C1 ZINC000342573319 135291431 /nfs/dbraw/zinc/29/14/31/135291431.db2.gz HACNKUGBUXXOGI-UNXYVOJBSA-N 0 3 234.343 2.929 20 0 BFADHN CC/C=C/CCN[C@@H](CO)c1cccc(F)c1 ZINC000342642545 135300535 /nfs/dbraw/zinc/30/05/35/135300535.db2.gz QRTILYACUASDLX-XGACYXMMSA-N 0 3 237.318 2.805 20 0 BFADHN C[C@H](CCC(C)(C)C)N[C@H](C)c1ncnn1C ZINC000342597200 135301348 /nfs/dbraw/zinc/30/13/48/135301348.db2.gz CWPGHSWGEZULED-GHMZBOCLSA-N 0 3 238.379 2.681 20 0 BFADHN CSCC[C@H](C)N(C)Cc1cccc(C)n1 ZINC000342606925 135302781 /nfs/dbraw/zinc/30/27/81/135302781.db2.gz QPIYGYVRLODKLL-LBPRGKRZSA-N 0 3 238.400 2.963 20 0 BFADHN C[C@@H](CCc1ccccc1)CN1CCN(C)CC1 ZINC000342681116 135304663 /nfs/dbraw/zinc/30/46/63/135304663.db2.gz PFCNHHFZLUAAJA-HNNXBMFYSA-N 0 3 246.398 2.503 20 0 BFADHN CCC1CC(NCc2cccc(OC)c2OC)C1 ZINC000342664597 135308443 /nfs/dbraw/zinc/30/84/43/135308443.db2.gz JTBKSVRPGRBKMR-UHFFFAOYSA-N 0 3 249.354 2.982 20 0 BFADHN CCCN(CC)Cc1cn2ccccc2n1 ZINC000342737923 135309655 /nfs/dbraw/zinc/30/96/55/135309655.db2.gz BDRLOAMCJBKLSA-UHFFFAOYSA-N 0 3 217.316 2.566 20 0 BFADHN CCC1CC(NCc2cn3cc(C)ccc3n2)C1 ZINC000342719316 135314346 /nfs/dbraw/zinc/31/43/46/135314346.db2.gz ARGNISGHTHRGML-UHFFFAOYSA-N 0 3 243.354 2.921 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@H](C)CCC(C)(C)C ZINC000262714021 135314636 /nfs/dbraw/zinc/31/46/36/135314636.db2.gz SZSOYEBQPBDIFE-RISCZKNCSA-N 0 3 242.407 2.835 20 0 BFADHN CCC[C@](C)(N)C(=O)NCCCCC(C)(C)C ZINC000262703197 135314896 /nfs/dbraw/zinc/31/48/96/135314896.db2.gz KHBVUKKDQSBNDY-AWEZNQCLSA-N 0 3 242.407 2.837 20 0 BFADHN Cc1cnc(CN2[C@H](C)C[C@H]3CCCC[C@H]32)n1C ZINC000342780950 135317514 /nfs/dbraw/zinc/31/75/14/135317514.db2.gz DOHIXJFRSMGAGM-MRVWCRGKSA-N 0 3 247.386 2.882 20 0 BFADHN Cc1cnc(CN2CCC[C@H]2C(C)(C)C)n1C ZINC000342785742 135318364 /nfs/dbraw/zinc/31/83/64/135318364.db2.gz SGLCXZNCXRRFAA-LBPRGKRZSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C)C[C@H](C)C2)n1C ZINC000342799830 135321158 /nfs/dbraw/zinc/32/11/58/135321158.db2.gz ORBWIQVRLBSPJD-NEPJUHHUSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)n1C ZINC000342800700 135321682 /nfs/dbraw/zinc/32/16/82/135321682.db2.gz UACIGJFTQCQOEZ-MDZLAQPJSA-N 0 3 235.375 2.595 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)n1C ZINC000342800701 135321931 /nfs/dbraw/zinc/32/19/31/135321931.db2.gz UACIGJFTQCQOEZ-NQBHXWOUSA-N 0 3 235.375 2.595 20 0 BFADHN CCCC(=O)N(CCC)CCN1CCCCC1 ZINC000342802347 135322172 /nfs/dbraw/zinc/32/21/72/135322172.db2.gz LGAGFADPDGNXJW-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN C[C@@H](O)CN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000334168139 135324166 /nfs/dbraw/zinc/32/41/66/135324166.db2.gz AYKZGZTYNYYDSS-ZYHUDNBSSA-N 0 3 239.746 2.510 20 0 BFADHN Cc1nocc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC000334167944 135324782 /nfs/dbraw/zinc/32/47/82/135324782.db2.gz AKKUMZWSVZGVIY-RYUDHWBXSA-N 0 3 220.316 2.605 20 0 BFADHN CCN(Cc1cccc2nccn21)C1CCC1 ZINC000342941772 135337514 /nfs/dbraw/zinc/33/75/14/135337514.db2.gz XSGVDDLEDBEIRT-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN Fc1ccc2c(c1)[C@H](N1CCCOCC1)CC2 ZINC000334291147 135340743 /nfs/dbraw/zinc/34/07/43/135340743.db2.gz DAFNTOKRWKQGEP-CQSZACIVSA-N 0 3 235.302 2.535 20 0 BFADHN Cc1nccc(CN2CCC[C@@H]3CCC[C@H]32)n1 ZINC000334304871 135347922 /nfs/dbraw/zinc/34/79/22/135347922.db2.gz CPNPWZBHGXEERW-GXTWGEPZSA-N 0 3 231.343 2.550 20 0 BFADHN CCCN1CCN(CCC)[C@@H]2CCCC[C@H]21 ZINC000263606085 135360937 /nfs/dbraw/zinc/36/09/37/135360937.db2.gz QLWLQPCFOSNUKV-ZIAGYGMSSA-N 0 3 224.392 2.735 20 0 BFADHN CCCCCn1cc(CNC(C)C)c(C)n1 ZINC000080075108 135366049 /nfs/dbraw/zinc/36/60/49/135366049.db2.gz AMBIICHFBYHWOK-UHFFFAOYSA-N 0 3 223.364 2.880 20 0 BFADHN Cc1csc([C@H](C)NCCc2cccnc2)n1 ZINC000080312089 135369245 /nfs/dbraw/zinc/36/92/45/135369245.db2.gz KZMXKHDJMPDPHB-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1ccc(F)cc1CN1CCOC[C@H](C)C1 ZINC000334360307 135372294 /nfs/dbraw/zinc/37/22/94/135372294.db2.gz YRGCQOFXXMZUJN-LLVKDONJSA-N 0 3 237.318 2.602 20 0 BFADHN Cc1ccc(F)cc1CN1CCOC[C@@H](C)C1 ZINC000334360308 135372708 /nfs/dbraw/zinc/37/27/08/135372708.db2.gz YRGCQOFXXMZUJN-NSHDSACASA-N 0 3 237.318 2.602 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CC2(C)C)s1 ZINC000080693999 135383933 /nfs/dbraw/zinc/38/39/33/135383933.db2.gz AOYQIZTYHMCZKY-SNVBAGLBSA-N 0 3 210.346 2.648 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCC(C)(C)C2)nn1C ZINC000334400233 135389571 /nfs/dbraw/zinc/38/95/71/135389571.db2.gz MWYYWBGOJRAQBR-RYUDHWBXSA-N 0 3 235.375 2.958 20 0 BFADHN c1cc2c(c(CN[C@@H]3CC=CCC3)c1)OCO2 ZINC000076770920 135391231 /nfs/dbraw/zinc/39/12/31/135391231.db2.gz KLOZDEKKCWJMQN-GFCCVEGCSA-N 0 3 231.295 2.614 20 0 BFADHN Cc1nccc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)n1 ZINC000078464629 135393789 /nfs/dbraw/zinc/39/37/89/135393789.db2.gz GNXOSIMELFAZIQ-COPLHBTASA-N 0 3 233.359 2.699 20 0 BFADHN COC[C@H](NCc1cc(C)ccc1F)C1CC1 ZINC000345029639 135469227 /nfs/dbraw/zinc/46/92/27/135469227.db2.gz OWTGFVUQXXSHPQ-AWEZNQCLSA-N 0 3 237.318 2.649 20 0 BFADHN C[C@H](N[C@H]1CCCC1(C)C)c1nccn1C ZINC000086873065 135498050 /nfs/dbraw/zinc/49/80/50/135498050.db2.gz VNGJENXSEHCIKO-QWRGUYRKSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1nc(CN[C@@H](C)C(C)C)sc1C ZINC000087375470 135506328 /nfs/dbraw/zinc/50/63/28/135506328.db2.gz NMGVAUQHBMXCEU-QMMMGPOBSA-N 0 3 212.362 2.894 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1ccsc1 ZINC000191651153 135539058 /nfs/dbraw/zinc/53/90/58/135539058.db2.gz JUXFTSAMSVFZBL-LPEHRKFASA-N 0 3 213.346 2.822 20 0 BFADHN CC(C)[C@@H](NCCO)c1ccccc1Cl ZINC000091265655 135561004 /nfs/dbraw/zinc/56/10/04/135561004.db2.gz PTKVZFCDAMSOEF-GFCCVEGCSA-N 0 3 227.735 2.619 20 0 BFADHN C[C@H](CCO)CN[C@@H](C)c1ccc(F)cc1F ZINC000097439377 135631446 /nfs/dbraw/zinc/63/14/46/135631446.db2.gz VHEQUMJUIHXSME-ZJUUUORDSA-N 0 3 243.297 2.634 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2C=C[C@@H](CO)C2)cc1 ZINC000345061986 135881954 /nfs/dbraw/zinc/88/19/54/135881954.db2.gz OYDRRZJKEALHPJ-JGGQBBKZSA-N 0 3 245.366 2.837 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2C=C[C@H](CO)C2)cc1 ZINC000345061987 135882195 /nfs/dbraw/zinc/88/21/95/135882195.db2.gz OYDRRZJKEALHPJ-NOLJZWGESA-N 0 3 245.366 2.837 20 0 BFADHN Cc1ccc(CN[C@H]2Cc3cccc(O)c3C2)o1 ZINC000335283019 136001159 /nfs/dbraw/zinc/00/11/59/136001159.db2.gz PHOTYOHRPAHGBX-LBPRGKRZSA-N 0 3 243.306 2.551 20 0 BFADHN Clc1ccccc1CN[C@@H]1CC12CC2 ZINC000335284143 136001440 /nfs/dbraw/zinc/00/14/40/136001440.db2.gz DFANPCANHDKWKH-LLVKDONJSA-N 0 3 207.704 2.982 20 0 BFADHN CC(C)OC(=O)c1cccc(CN2CCCC2)c1 ZINC000347762841 136008443 /nfs/dbraw/zinc/00/84/43/136008443.db2.gz ZJJTXCJIVDCCNF-UHFFFAOYSA-N 0 3 247.338 2.848 20 0 BFADHN Cc1ncc(CN[C@H]2C[C@H]2C2CCCCC2)cn1 ZINC000347770808 136008851 /nfs/dbraw/zinc/00/88/51/136008851.db2.gz HVMJVPKALFZFFO-GJZGRUSLSA-N 0 3 245.370 2.843 20 0 BFADHN CCC1(CC)CCN(Cc2c(C)cnn2C)C1 ZINC000347776882 136009381 /nfs/dbraw/zinc/00/93/81/136009381.db2.gz ARVWGQONLOUSCA-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CCc1ccc(CN(C)C[C@H]2CCCOC2)cn1 ZINC000347785550 136009986 /nfs/dbraw/zinc/00/99/86/136009986.db2.gz QLXYBAVPCVKAPJ-CQSZACIVSA-N 0 3 248.370 2.502 20 0 BFADHN CCc1ccc(C[NH2+]Cc2ncccc2[O-])cc1 ZINC000347901258 136015464 /nfs/dbraw/zinc/01/54/64/136015464.db2.gz DJDZVRZNSUISFF-UHFFFAOYSA-N 0 3 242.322 2.639 20 0 BFADHN Cc1ccncc1CCCN1CC[C@](C)(F)C1 ZINC000347914638 136018207 /nfs/dbraw/zinc/01/82/07/136018207.db2.gz HMGAAPUBQHOSFK-AWEZNQCLSA-N 0 3 236.334 2.757 20 0 BFADHN FC(F)n1ccnc1CN[C@H]1CC[C@H]1C1CC1 ZINC000348058254 136024163 /nfs/dbraw/zinc/02/41/63/136024163.db2.gz DNKOZQZDPJIQGS-UWVGGRQHSA-N 0 3 241.285 2.556 20 0 BFADHN CN(Cc1cccc(C(F)F)c1)C1CC(O)C1 ZINC000348039310 136028563 /nfs/dbraw/zinc/02/85/63/136028563.db2.gz UMZOZTFUOMGFJG-UHFFFAOYSA-N 0 3 241.281 2.579 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cnc(C)cn1 ZINC000335313931 136031672 /nfs/dbraw/zinc/03/16/72/136031672.db2.gz ZHBZDDZDGFVKEB-AAEUAGOBSA-N 0 3 219.332 2.548 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1cccc(OC)c1OC ZINC000348101613 136036236 /nfs/dbraw/zinc/03/62/36/136036236.db2.gz IIUPZHNCDAZYTC-YPMHNXCESA-N 0 3 249.354 2.982 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1nccn1CC(C)C ZINC000348105560 136036694 /nfs/dbraw/zinc/03/66/94/136036694.db2.gz GIRPZHLHGXIFLH-STQMWFEESA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1cc(OC)ccc1OC ZINC000348107979 136036869 /nfs/dbraw/zinc/03/68/69/136036869.db2.gz WGEDMJAHHNCIDQ-FZMZJTMJSA-N 0 3 249.354 2.982 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1cc(OC)ccc1OC ZINC000348107977 136036928 /nfs/dbraw/zinc/03/69/28/136036928.db2.gz WGEDMJAHHNCIDQ-BXUZGUMPSA-N 0 3 249.354 2.982 20 0 BFADHN CCc1ccc(CN2CCC(C)(OC)CC2)cn1 ZINC000348135242 136038555 /nfs/dbraw/zinc/03/85/55/136038555.db2.gz SGYAGYZWPUVVOH-UHFFFAOYSA-N 0 3 248.370 2.645 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+]C[C@H](C)CC(C)C)[n-]1 ZINC000348138042 136039107 /nfs/dbraw/zinc/03/91/07/136039107.db2.gz ROZIBFHXYWPAAY-GHMZBOCLSA-N 0 3 238.379 2.700 20 0 BFADHN CCc1nnc([C@@H](C)NC[C@H](C)CC(C)C)[nH]1 ZINC000348138042 136039108 /nfs/dbraw/zinc/03/91/08/136039108.db2.gz ROZIBFHXYWPAAY-GHMZBOCLSA-N 0 3 238.379 2.700 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]1C(F)F)c1cncc(F)c1 ZINC000348151714 136039776 /nfs/dbraw/zinc/03/97/76/136039776.db2.gz ODOOMOYPJVIQMF-JMOVZRAMSA-N 0 3 230.233 2.525 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1nnc(C)n1C1CC1 ZINC000348159224 136041047 /nfs/dbraw/zinc/04/10/47/136041047.db2.gz JLAQNDXNNUUHMC-YPMHNXCESA-N 0 3 248.374 2.590 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1C[C@@H]1C(F)F ZINC000348192163 136049061 /nfs/dbraw/zinc/04/90/61/136049061.db2.gz XFVJFPNWBPSVKB-WDEREUQCSA-N 0 3 229.245 2.877 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H]2C(F)F)cc1 ZINC000348193070 136050287 /nfs/dbraw/zinc/05/02/87/136050287.db2.gz ZQRJWGPNTZONNC-WDEREUQCSA-N 0 3 211.255 2.738 20 0 BFADHN Cc1cnc([C@H](C)N2CCC(C)(C)CC2)cn1 ZINC000335332890 136054528 /nfs/dbraw/zinc/05/45/28/136054528.db2.gz FZVJNAFYUHDNBH-LBPRGKRZSA-N 0 3 233.359 2.968 20 0 BFADHN Cc1ccccc1CN1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000348207366 136054884 /nfs/dbraw/zinc/05/48/84/136054884.db2.gz VNAVAMWBVSHYFY-CZUORRHYSA-N 0 3 245.366 2.994 20 0 BFADHN CCOCCCN1CC[C@H](C(F)(F)F)[C@@H]1C ZINC000348244642 136056296 /nfs/dbraw/zinc/05/62/96/136056296.db2.gz IIXUVWFVUPTQKK-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN CCCCCN1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000348228303 136060485 /nfs/dbraw/zinc/06/04/85/136060485.db2.gz MEAQZBXVSHAFJA-AAEUAGOBSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)CCN1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000348231763 136060807 /nfs/dbraw/zinc/06/08/07/136060807.db2.gz PKTNTPBGWYLJPB-YPMHNXCESA-N 0 3 211.349 2.532 20 0 BFADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1CCCF ZINC000348288537 136061916 /nfs/dbraw/zinc/06/19/16/136061916.db2.gz WMXHWOZTNQKRHZ-SFYZADRCSA-N 0 3 213.218 2.619 20 0 BFADHN C[C@@H]1[C@H](C(F)(F)F)CCN1CCCF ZINC000348288535 136061985 /nfs/dbraw/zinc/06/19/85/136061985.db2.gz WMXHWOZTNQKRHZ-HTQZYQBOSA-N 0 3 213.218 2.619 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCc1ccccn1 ZINC000348289021 136062658 /nfs/dbraw/zinc/06/26/58/136062658.db2.gz SJQDMJVZICBWAP-ZDUSSCGKSA-N 0 3 241.338 2.712 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2C[C@H]2C(F)F)c1 ZINC000348299105 136063223 /nfs/dbraw/zinc/06/32/23/136063223.db2.gz DNPMFQGNZOMQOD-XXILOJSOSA-N 0 3 241.281 2.999 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2C[C@H]2C(F)F)cn1 ZINC000348300281 136063695 /nfs/dbraw/zinc/06/36/95/136063695.db2.gz ITJRAOSOBNISNJ-JMJZKYOTSA-N 0 3 243.301 2.768 20 0 BFADHN Cc1cnc(CCN2[C@@H](C)C[C@@H]2C)c(C)c1 ZINC000335349080 136067977 /nfs/dbraw/zinc/06/79/77/136067977.db2.gz DPTHSQYWLAWZII-STQMWFEESA-N 0 3 218.344 2.724 20 0 BFADHN COC(=O)c1cccc(CN2CCC23CCC3)c1 ZINC000348324060 136069974 /nfs/dbraw/zinc/06/99/74/136069974.db2.gz IVVOAVFGVOFJPU-UHFFFAOYSA-N 0 3 245.322 2.602 20 0 BFADHN CC1(C)C[C@H](N[C@H]2CCc3c2cccc3O)CO1 ZINC000335358076 136074821 /nfs/dbraw/zinc/07/48/21/136074821.db2.gz IQFSCWBPFFHMEI-GWCFXTLKSA-N 0 3 247.338 2.537 20 0 BFADHN CCc1ccc(CN2CC[C@H](OC)C[C@H]2C)cn1 ZINC000348333899 136075434 /nfs/dbraw/zinc/07/54/34/136075434.db2.gz CMGBKSWEELNGQJ-DOMZBBRYSA-N 0 3 248.370 2.643 20 0 BFADHN Cc1cnn(C)c1CN(CC1CCC1)C(C)C ZINC000348401725 136076583 /nfs/dbraw/zinc/07/65/83/136076583.db2.gz DSJQJUJFIYFMKQ-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1csc(CN[C@H]2CCC[C@H](F)C2)n1 ZINC000335370882 136083113 /nfs/dbraw/zinc/08/31/13/136083113.db2.gz AUETUCGZAMSKRK-UWVGGRQHSA-N 0 3 228.336 2.822 20 0 BFADHN F[C@H]1CCC[C@H](NCc2cn3ccccc3n2)C1 ZINC000335371832 136083412 /nfs/dbraw/zinc/08/34/12/136083412.db2.gz IVWBCCGADVTCBK-RYUDHWBXSA-N 0 3 247.317 2.705 20 0 BFADHN F[C@@H]1CCC[C@H](NCc2cncs2)C1 ZINC000335372747 136084078 /nfs/dbraw/zinc/08/40/78/136084078.db2.gz OYYJWAROLKALAD-BDAKNGLRSA-N 0 3 214.309 2.513 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCC[C@H](F)C1 ZINC000335373387 136084304 /nfs/dbraw/zinc/08/43/04/136084304.db2.gz SAWVETQBQJZTON-QWRGUYRKSA-N 0 3 226.295 2.662 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCC[C@@H](F)C1 ZINC000335373385 136084367 /nfs/dbraw/zinc/08/43/67/136084367.db2.gz SAWVETQBQJZTON-GHMZBOCLSA-N 0 3 226.295 2.662 20 0 BFADHN Cc1ccc(CN[C@H]2CCC[C@@H](F)C2)nc1 ZINC000335374711 136085311 /nfs/dbraw/zinc/08/53/11/136085311.db2.gz ZNCUEKIPHISRGU-NEPJUHHUSA-N 0 3 222.307 2.760 20 0 BFADHN CC1(F)CC(NCc2ccc3c[nH]nc3c2)C1 ZINC000335376786 136086004 /nfs/dbraw/zinc/08/60/04/136086004.db2.gz UWQRZNGWPNKHOW-UHFFFAOYSA-N 0 3 233.290 2.543 20 0 BFADHN C[C@H]1CCN(Cc2c[nH]nc2-c2ccsc2)C1 ZINC000335385284 136088485 /nfs/dbraw/zinc/08/84/85/136088485.db2.gz DWNMSPKCZLWTBJ-JTQLQIEISA-N 0 3 247.367 2.980 20 0 BFADHN C[C@@H](c1ccccc1)N1CC(C)(C(N)=O)C1 ZINC000335388902 136090129 /nfs/dbraw/zinc/09/01/29/136090129.db2.gz LVYLUEWQVRGIJO-JTQLQIEISA-N 0 3 218.300 2.605 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cccc3ccoc32)CO1 ZINC000335390092 136090730 /nfs/dbraw/zinc/09/07/30/136090730.db2.gz LUSMUUGTLZRLSM-ZWNOBZJWSA-N 0 3 231.295 2.700 20 0 BFADHN C[C@H]1C[C@@H](NCc2cc3cc(F)ccc3o2)CO1 ZINC000335393702 136091873 /nfs/dbraw/zinc/09/18/73/136091873.db2.gz ULDVMRCYWKDMLQ-JOYOIKCWSA-N 0 3 249.285 2.839 20 0 BFADHN Cc1ccc(CN2CC(C)(C(N)=O)C2)cc1C ZINC000335396820 136092902 /nfs/dbraw/zinc/09/29/02/136092902.db2.gz TZLYSLCNGXCGRN-UHFFFAOYSA-N 0 3 232.327 2.661 20 0 BFADHN CCC1CCN([C@@H](C)c2cnc(C)cn2)CC1 ZINC000335399584 136093809 /nfs/dbraw/zinc/09/38/09/136093809.db2.gz FZSPSDYGJAXUIA-LBPRGKRZSA-N 0 3 233.359 2.968 20 0 BFADHN C[C@@H]1CCN(CC2CC(F)(F)C2)[C@@H](C(N)=O)C1 ZINC000335586153 136101621 /nfs/dbraw/zinc/10/16/21/136101621.db2.gz MCDQEEMCBBTSDG-PSASIEDQSA-N 0 3 246.301 2.667 20 0 BFADHN CCc1cc(N2CC[C@@H](OC)[C@H](C)C2)ccn1 ZINC000335588197 136102795 /nfs/dbraw/zinc/10/27/95/136102795.db2.gz IJKDWRUHXOQVLT-BXUZGUMPSA-N 0 3 234.343 2.505 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1ccncc1F ZINC000335582241 136112995 /nfs/dbraw/zinc/11/29/95/136112995.db2.gz SBEWWESGQJRHEX-ZYHUDNBSSA-N 0 3 222.307 2.984 20 0 BFADHN CCN(C/C=C\c1ccc(F)cc1)C[C@H](C)O ZINC000348709857 136116935 /nfs/dbraw/zinc/11/69/35/136116935.db2.gz BTIWPRQMRXDBTI-RXNFCKPNSA-N 0 3 237.318 2.542 20 0 BFADHN CCc1ccc(CN[C@H]2CCCC[C@H]2OC)o1 ZINC000150850497 397733368 /nfs/dbraw/zinc/73/33/68/397733368.db2.gz BTKRAMYGYMJUIV-UONOGXRCSA-N 0 3 237.343 2.889 20 0 BFADHN Cc1nocc1CN[C@@H]1CCC(C)(C)C1 ZINC000336466345 397763825 /nfs/dbraw/zinc/76/38/25/397763825.db2.gz LVXGAPXYCFPWGX-LLVKDONJSA-N 0 3 208.305 2.651 20 0 BFADHN CSCCCN(C)Cc1ccc(Cl)nc1 ZINC000174592208 397764298 /nfs/dbraw/zinc/76/42/98/397764298.db2.gz NFOGNXMPVIBLJV-UHFFFAOYSA-N 0 3 244.791 2.920 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1ccn(C)n1 ZINC000168986683 397805963 /nfs/dbraw/zinc/80/59/63/397805963.db2.gz CZJWPYAQSLGSHF-AAEUAGOBSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H]1C[C@H](NCC2(C)CCC2)c2nccn21 ZINC000335769221 397826652 /nfs/dbraw/zinc/82/66/52/397826652.db2.gz CMSYCEFVIRGKPV-MNOVXSKESA-N 0 3 219.332 2.669 20 0 BFADHN OCC[C@H]1CCCN1Cc1sccc1Cl ZINC000308880384 397841388 /nfs/dbraw/zinc/84/13/88/397841388.db2.gz ORWDAPSDNPXYQC-SECBINFHSA-N 0 3 245.775 2.748 20 0 BFADHN Cc1cc(CNC[C@H]2CC[C@H](C)O2)cs1 ZINC000394578338 397847613 /nfs/dbraw/zinc/84/76/13/397847613.db2.gz XNLWNFOHOMHOFP-JOYOIKCWSA-N 0 3 225.357 2.714 20 0 BFADHN CO[C@@](C)(CN[C@H](C)c1cccc(O)c1)C1CC1 ZINC000311091779 397889874 /nfs/dbraw/zinc/88/98/74/397889874.db2.gz YZWQTNJYGJKJRM-ABAIWWIYSA-N 0 3 249.354 2.858 20 0 BFADHN COc1cccc(CNCC[C@H](C)F)c1F ZINC000382003069 397859197 /nfs/dbraw/zinc/85/91/97/397859197.db2.gz KFTSUAOBLMKMEP-VIFPVBQESA-N 0 3 229.270 2.672 20 0 BFADHN C/C=C/C[C@H](CO)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000185822965 397874696 /nfs/dbraw/zinc/87/46/96/397874696.db2.gz UMMWCYAXTBELTJ-UBNAVKRYSA-N 0 3 249.354 2.820 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1C[C@@H](C)[C@H]1C ZINC000390102242 397879253 /nfs/dbraw/zinc/87/92/53/397879253.db2.gz ALOVIZFHVFWEFG-KZFFXBSXSA-N 0 3 222.332 2.987 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1C[C@H](C)[C@H]1C ZINC000390102238 397879274 /nfs/dbraw/zinc/87/92/74/397879274.db2.gz ALOVIZFHVFWEFG-KIKITERTSA-N 0 3 222.332 2.987 20 0 BFADHN CCc1ncc(CN2C[C@H](C)C[C@@H]2CC)cn1 ZINC000414393736 397927307 /nfs/dbraw/zinc/92/73/07/397927307.db2.gz JFJRQNBXYDFYBZ-YPMHNXCESA-N 0 3 233.359 2.659 20 0 BFADHN CSC1(CN2CC[C@](C)(F)C2)CCC1 ZINC000356109535 397927395 /nfs/dbraw/zinc/92/73/95/397927395.db2.gz ACCWLUQNTLXBMB-JTQLQIEISA-N 0 3 217.353 2.706 20 0 BFADHN CC[C@@H](F)CN1CCC([C@H]2CCCO2)CC1 ZINC000440494856 397929420 /nfs/dbraw/zinc/92/94/20/397929420.db2.gz JSWRSYSLTVQULG-CHWSQXEVSA-N 0 3 229.339 2.626 20 0 BFADHN CN([C@H](CO)c1ccccc1)[C@H]1C=CCCC1 ZINC000264980013 397930560 /nfs/dbraw/zinc/93/05/60/397930560.db2.gz KIVUDBNTXUTHFF-LSDHHAIUSA-N 0 3 231.339 2.761 20 0 BFADHN CCCc1ccc(CN2CC[C@@H](C)[C@H](O)C2)cc1 ZINC000357573613 397938703 /nfs/dbraw/zinc/93/87/03/397938703.db2.gz SRPHDTMCDVMLPR-CZUORRHYSA-N 0 3 247.382 2.842 20 0 BFADHN C[C@H](COCC1CC1)N[C@@H](C)c1ccncc1 ZINC000650134934 397939744 /nfs/dbraw/zinc/93/97/44/397939744.db2.gz HRJHFJGRSNLFEO-NEPJUHHUSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@@H](N[C@@H](C)COCC1CC1)c1cccnc1 ZINC000650135577 397941094 /nfs/dbraw/zinc/94/10/94/397941094.db2.gz WALUKODFTBTTNP-NWDGAFQWSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@@H](NCCOCC1CCC1)c1cccnc1 ZINC000360505852 397966272 /nfs/dbraw/zinc/96/62/72/397966272.db2.gz WKIZRBDELZVIQN-GFCCVEGCSA-N 0 3 234.343 2.549 20 0 BFADHN CCOC(=O)CCNC1(c2ccccc2C)CC1 ZINC000592140054 397945886 /nfs/dbraw/zinc/94/58/86/397945886.db2.gz WNVUXYSGBYOZMP-UHFFFAOYSA-N 0 3 247.338 2.527 20 0 BFADHN Cc1cc(CNCCC(C)(C)C2CC2)nn1C ZINC000414452584 397948059 /nfs/dbraw/zinc/94/80/59/397948059.db2.gz AMCABRWPGXEXNF-UHFFFAOYSA-N 0 3 235.375 2.644 20 0 BFADHN CC[C@@H]([NH2+]Cc1cnc(C)[n-]c1=O)C1CCCC1 ZINC000449231503 397952481 /nfs/dbraw/zinc/95/24/81/397952481.db2.gz DVPOKHMCLVDVKY-CYBMUJFWSA-N 0 3 249.358 2.549 20 0 BFADHN Cc1n[nH]cc1CN1C[C@H](C(C)C)[C@H]1C(C)C ZINC000449556596 397955898 /nfs/dbraw/zinc/95/58/98/397955898.db2.gz SJONUAZHCMFAMD-ZIAGYGMSSA-N 0 3 235.375 2.831 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3C[C@@H](O)CC[C@@H]3C2)o1 ZINC000449556634 397955963 /nfs/dbraw/zinc/95/59/63/397955963.db2.gz SQZHZTXNXCZTFW-MCIONIFRSA-N 0 3 249.354 2.571 20 0 BFADHN COc1c(O)cccc1CNC[C@@H]1C[C@H]1C(C)C ZINC000449555012 397956371 /nfs/dbraw/zinc/95/63/71/397956371.db2.gz QEURKHLASGLADN-STQMWFEESA-N 0 3 249.354 2.783 20 0 BFADHN Cc1cnc(CNC[C@@H]2C[C@H]2C(C)C)s1 ZINC000449556497 397956396 /nfs/dbraw/zinc/95/63/96/397956396.db2.gz SVTHIXCFDRJOGN-QWRGUYRKSA-N 0 3 224.373 2.833 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1cccc2nccn21 ZINC000414524635 397960145 /nfs/dbraw/zinc/96/01/45/397960145.db2.gz KGJYCYRITDYICR-GFCCVEGCSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccnn1C)c1ccccc1 ZINC000192244679 397892303 /nfs/dbraw/zinc/89/23/03/397892303.db2.gz XYTAFNDZSRYDTF-NEPJUHHUSA-N 0 3 229.327 2.832 20 0 BFADHN CCOCCN[C@H]1CCCNc2ccccc21 ZINC000192687461 397895341 /nfs/dbraw/zinc/89/53/41/397895341.db2.gz HOFOEAYCEIPXFK-AWEZNQCLSA-N 0 3 234.343 2.560 20 0 BFADHN CC(C)(C)[C@@H]1C[C@H](NCc2ccccn2)CCO1 ZINC000270665600 397962210 /nfs/dbraw/zinc/96/22/10/397962210.db2.gz PGZDPHAGODRXPW-OCCSQVGLSA-N 0 3 248.370 2.765 20 0 BFADHN C[C@@H](C(=O)Nc1ccccc1)N(C)CC1CCC1 ZINC000111296807 397907634 /nfs/dbraw/zinc/90/76/34/397907634.db2.gz RTRVURVIMUNNGD-LBPRGKRZSA-N 0 3 246.354 2.746 20 0 BFADHN C[C@@H]1CCC[C@H](C)[C@H]1NCc1cc2n(n1)CCC2 ZINC000649573546 397962934 /nfs/dbraw/zinc/96/29/34/397962934.db2.gz HFWVFDMJUILCDK-JYAVWHMHSA-N 0 3 247.386 2.744 20 0 BFADHN C[C@@H]1CCC[C@@H](C)C1NCc1cc2n(n1)CCC2 ZINC000649573548 397963170 /nfs/dbraw/zinc/96/31/70/397963170.db2.gz HFWVFDMJUILCDK-VXGBXAGGSA-N 0 3 247.386 2.744 20 0 BFADHN CC[C@@H](N[C@@H](C)COC)c1ccsc1 ZINC000382167976 397916219 /nfs/dbraw/zinc/91/62/19/397916219.db2.gz VQRGZLIMDAFKBE-GXSJLCMTSA-N 0 3 213.346 2.824 20 0 BFADHN Cc1ccoc1CN[C@@H](CO)CCC(C)(C)C ZINC000355686625 397917325 /nfs/dbraw/zinc/91/73/25/397917325.db2.gz ZKLNRGAXFGVLJD-GFCCVEGCSA-N 0 3 239.359 2.865 20 0 BFADHN CSCCN1CC[C@H](c2cccc(F)c2)C1 ZINC000264294769 397918007 /nfs/dbraw/zinc/91/80/07/397918007.db2.gz SKUZXPYZGYLWJN-LBPRGKRZSA-N 0 3 239.359 2.978 20 0 BFADHN Fc1ccc(CN2CCC[C@H]2C2CC2)nc1 ZINC000364913316 397919577 /nfs/dbraw/zinc/91/95/77/397919577.db2.gz GAYDHQXBGAOOLC-ZDUSSCGKSA-N 0 3 220.291 2.595 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cc(OC)ccn1 ZINC000264689058 397924030 /nfs/dbraw/zinc/92/40/30/397924030.db2.gz DBVUYPYODOYHHD-LLVKDONJSA-N 0 3 222.332 2.568 20 0 BFADHN CC(C)N(Cc1cc2n(n1)CCCC2)C(C)C ZINC000649572684 397964468 /nfs/dbraw/zinc/96/44/68/397964468.db2.gz FHHMEKJZVKQETJ-UHFFFAOYSA-N 0 3 235.375 2.838 20 0 BFADHN CCc1nc(CN[C@H](CC)C2CC2)cs1 ZINC000156474057 397925365 /nfs/dbraw/zinc/92/53/65/397925365.db2.gz AFLPHUHBGSENRK-LLVKDONJSA-N 0 3 224.373 2.984 20 0 BFADHN Cc1ccc(CNCC[C@H]2CCO[C@H](C)C2)o1 ZINC000273094196 397991122 /nfs/dbraw/zinc/99/11/22/397991122.db2.gz TZGQRJHSBJIXLA-OLZOCXBDSA-N 0 3 237.343 2.883 20 0 BFADHN CCC[C@H](NCCOCC1CC1)c1cccnc1 ZINC000273601758 397998186 /nfs/dbraw/zinc/99/81/86/397998186.db2.gz SBSLQXRDXJQMMC-HNNXBMFYSA-N 0 3 248.370 2.939 20 0 BFADHN C[C@H]1CCC(C)(C)N1Cc1cc2n(n1)CCCC2 ZINC000649623875 398008308 /nfs/dbraw/zinc/00/83/08/398008308.db2.gz GKZGFVSRKSNLJW-LBPRGKRZSA-N 0 3 247.386 2.982 20 0 BFADHN CC(C)O[C@@H]1CCCN(CCc2ccccn2)C1 ZINC000649698210 398046938 /nfs/dbraw/zinc/04/69/38/398046938.db2.gz UNNVANCJUVOEJQ-OAHLLOKOSA-N 0 3 248.370 2.514 20 0 BFADHN COCCNC1(c2ccccc2Cl)CCC1 ZINC000649643092 398018536 /nfs/dbraw/zinc/01/85/36/398018536.db2.gz ZDLPHANYSQKTME-UHFFFAOYSA-N 0 3 239.746 2.955 20 0 BFADHN CC[C@H](N[C@@H](C)C[S@](C)=O)c1cccs1 ZINC000184295943 398023085 /nfs/dbraw/zinc/02/30/85/398023085.db2.gz NEYYBLRXBNDUNK-YHAQOWFVSA-N 0 3 245.413 2.556 20 0 BFADHN Cc1cc(CN2CCC23CCCC3)on1 ZINC000649656133 398024483 /nfs/dbraw/zinc/02/44/83/398024483.db2.gz ALXAORIEZXXFCI-UHFFFAOYSA-N 0 3 206.289 2.502 20 0 BFADHN COc1cncc(CN2CCC23CCCC3)c1 ZINC000649658514 398028165 /nfs/dbraw/zinc/02/81/65/398028165.db2.gz OAAUZDLBRTVZQZ-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN Cc1ccoc1CN[C@@H](C)C[C@H]1CCCO1 ZINC000336732878 398029072 /nfs/dbraw/zinc/02/90/72/398029072.db2.gz GGORWNGARIPMSN-NWDGAFQWSA-N 0 3 223.316 2.635 20 0 BFADHN COCCN(C)[C@H](C)CSc1ccccc1 ZINC000649664269 398030160 /nfs/dbraw/zinc/03/01/60/398030160.db2.gz BFJUDRPTXCKIMM-GFCCVEGCSA-N 0 3 239.384 2.745 20 0 BFADHN CN(Cc1ccccc1F)[C@H]1CCCC[C@@H]1O ZINC000042237355 398031384 /nfs/dbraw/zinc/03/13/84/398031384.db2.gz UPINMPWXKOKCEI-KBPBESRZSA-N 0 3 237.318 2.561 20 0 BFADHN Cc1cncc(CN2CCC[C@@H](OC(C)C)C2)c1 ZINC000649702862 398050532 /nfs/dbraw/zinc/05/05/32/398050532.db2.gz PAEYXWPOXJOFMO-OAHLLOKOSA-N 0 3 248.370 2.779 20 0 BFADHN Cc1ccncc1CN1CCC[C@@H](OC(C)C)C1 ZINC000649702687 398049678 /nfs/dbraw/zinc/04/96/78/398049678.db2.gz KWNBFGZASQBDLV-OAHLLOKOSA-N 0 3 248.370 2.779 20 0 BFADHN COC[C@H](N[C@@H](C)c1ccccc1)[C@@H]1CCCO1 ZINC000278597295 398040690 /nfs/dbraw/zinc/04/06/90/398040690.db2.gz CTOUMSUAAGTTQQ-QEJZJMRPSA-N 0 3 249.354 2.531 20 0 BFADHN CC(C)CCN1CCC[C@H]1c1cc[nH]n1 ZINC000278765279 398041388 /nfs/dbraw/zinc/04/13/88/398041388.db2.gz YEELCBPQZQBUID-LBPRGKRZSA-N 0 3 207.321 2.593 20 0 BFADHN Cc1cccc([C@H](C)N[C@@H]2CO[C@H](C)C2)c1 ZINC000401820031 397969217 /nfs/dbraw/zinc/96/92/17/397969217.db2.gz JOVDHDAOUDCXOW-DYEKYZERSA-N 0 3 219.328 2.823 20 0 BFADHN c1cncc(C2=CCCN(CCC3CC3)C2)c1 ZINC000451023972 397969818 /nfs/dbraw/zinc/96/98/18/397969818.db2.gz MNLSRTLEDRLMSP-UHFFFAOYSA-N 0 3 228.339 2.971 20 0 BFADHN FC(F)(F)Oc1ccccc1CNC1CC1 ZINC000022141741 397972595 /nfs/dbraw/zinc/97/25/95/397972595.db2.gz VSXMTWWWJCDGIN-UHFFFAOYSA-N 0 3 231.217 2.837 20 0 BFADHN Cc1ccc([C@H](NCC[C@H](C)O)C2CCC2)o1 ZINC000582659326 398042047 /nfs/dbraw/zinc/04/20/47/398042047.db2.gz YBSLKKBZMRJXCV-IINYFYTJSA-N 0 3 237.343 2.790 20 0 BFADHN COC(=O)CCN[C@H](C)c1cccc(C)c1C ZINC000271777702 397974656 /nfs/dbraw/zinc/97/46/56/397974656.db2.gz GFUGFBICFGGGRD-GFCCVEGCSA-N 0 3 235.327 2.517 20 0 BFADHN CC(C)C[C@@H]1COCCN1CC(C)(C)C ZINC000093340353 397974881 /nfs/dbraw/zinc/97/48/81/397974881.db2.gz SQGIGWADISATKL-GFCCVEGCSA-N 0 3 213.365 2.779 20 0 BFADHN CO[C@@H]1CCN(CCC(F)(F)F)CC1(C)C ZINC000451552432 397975823 /nfs/dbraw/zinc/97/58/23/397975823.db2.gz MXIKPGSKWPAUNP-SECBINFHSA-N 0 3 239.281 2.686 20 0 BFADHN CO[C@H]1CCN(CCC(F)(F)F)CC1(C)C ZINC000451552433 397976102 /nfs/dbraw/zinc/97/61/02/397976102.db2.gz MXIKPGSKWPAUNP-VIFPVBQESA-N 0 3 239.281 2.686 20 0 BFADHN CCO[C@@H]1CCN([C@@H](C)c2ccccn2)C[C@H]1C ZINC000451550009 397976629 /nfs/dbraw/zinc/97/66/29/397976629.db2.gz WIMHGNZFVPKRJG-VNHYZAJKSA-N 0 3 248.370 2.890 20 0 BFADHN CC[C@H](COC)NC1(c2cccc(OC)c2)CC1 ZINC000272297502 397977870 /nfs/dbraw/zinc/97/78/70/397977870.db2.gz QTWFWJBZESVKPF-CYBMUJFWSA-N 0 3 249.354 2.699 20 0 BFADHN CN(Cc1cc2n(n1)CCCC2)C1(C)CCCC1 ZINC000649595039 397982379 /nfs/dbraw/zinc/98/23/79/397982379.db2.gz YUCLJIAXEOHTOX-UHFFFAOYSA-N 0 3 247.386 2.984 20 0 BFADHN c1c(CN(CC2CC2)C2CCC2)nn2c1CCC2 ZINC000649595937 397983491 /nfs/dbraw/zinc/98/34/91/397983491.db2.gz DVZKEFWGBCHYJJ-UHFFFAOYSA-N 0 3 245.370 2.594 20 0 BFADHN CN(Cc1cc2n(n1)CCC2)[C@@H]1CCC(C)(C)C1 ZINC000649596378 397984909 /nfs/dbraw/zinc/98/49/09/397984909.db2.gz UBNMCJDZLGBOFH-CQSZACIVSA-N 0 3 247.386 2.840 20 0 BFADHN CCC1(CO)CCN(C/C=C(/C)Cl)CC1 ZINC000528428718 397986608 /nfs/dbraw/zinc/98/66/08/397986608.db2.gz DTLQYRQHFOHVLN-WCIBSUBMSA-N 0 3 231.767 2.614 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCN(c2ccccc2F)CC1 ZINC000488311310 398060080 /nfs/dbraw/zinc/06/00/80/398060080.db2.gz ITRCBXWFKCRVST-OLZOCXBDSA-N 0 3 248.345 2.604 20 0 BFADHN CC[C@@H]1CN(CCC(C)(C)OC)[C@H](CC)CO1 ZINC000649718933 398061366 /nfs/dbraw/zinc/06/13/66/398061366.db2.gz JHYMDRKESVAVPR-CHWSQXEVSA-N 0 3 243.391 2.691 20 0 BFADHN Cc1cccnc1[C@H]1CCC[C@@H](NCCF)C1 ZINC000281670211 398061794 /nfs/dbraw/zinc/06/17/94/398061794.db2.gz QTNKFAZEBXWFAO-QWHCGFSZSA-N 0 3 236.334 2.975 20 0 BFADHN COc1ccc(CN2[C@H](C)CCC2(C)C)nc1 ZINC000650287673 398064472 /nfs/dbraw/zinc/06/44/72/398064472.db2.gz NKLNTJXXNUBHEH-LLVKDONJSA-N 0 3 234.343 2.853 20 0 BFADHN C[C@H](N[C@@H](CCO)c1ccco1)C(C)(C)C ZINC000186544513 398067809 /nfs/dbraw/zinc/06/78/09/398067809.db2.gz LHMKWKBKCWTTDY-QWRGUYRKSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@@H](CO)N(C)Cc1cc2ccccc2s1 ZINC000282818804 398069512 /nfs/dbraw/zinc/06/95/12/398069512.db2.gz PUOZECCDMIYQJM-JTQLQIEISA-N 0 3 235.352 2.714 20 0 BFADHN CCc1ccc([C@H](COC)NC[C@@H]2C[C@H]2C)o1 ZINC000488438397 398072239 /nfs/dbraw/zinc/07/22/39/398072239.db2.gz GEOPJFHJUZOYGI-MDZLAQPJSA-N 0 3 237.343 2.775 20 0 BFADHN Cc1nnsc1CNCCCC(C)(C)C ZINC000384187178 398072459 /nfs/dbraw/zinc/07/24/59/398072459.db2.gz SXEQWRVBZMWRBK-UHFFFAOYSA-N 0 3 227.377 2.762 20 0 BFADHN CC[C@@H]1CN([C@H]2C=CCCC2)[C@H](C)CO1 ZINC000649733041 398074061 /nfs/dbraw/zinc/07/40/61/398074061.db2.gz FAAGPUMZCVSKTI-FRRDWIJNSA-N 0 3 209.333 2.594 20 0 BFADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1cccnc1 ZINC000088169862 398075069 /nfs/dbraw/zinc/07/50/69/398075069.db2.gz DNWVGCBTMXXCPS-CKYFFXLPSA-N 0 3 222.357 2.626 20 0 BFADHN CC[C@@H]1CN(CC2=CCCC2)[C@@H](C)CO1 ZINC000649737250 398075786 /nfs/dbraw/zinc/07/57/86/398075786.db2.gz UURTYMBQHQETHP-WCQYABFASA-N 0 3 209.333 2.596 20 0 BFADHN CC[C@@H]1CN(CCCc2ccncc2)[C@@H](C)CO1 ZINC000649735229 398076869 /nfs/dbraw/zinc/07/68/69/398076869.db2.gz HWRFZBACSOMDIS-DZGCQCFKSA-N 0 3 248.370 2.514 20 0 BFADHN CN(Cc1cc2ccccc2o1)[C@@H]1CCC[C@H]1O ZINC000286504344 398080837 /nfs/dbraw/zinc/08/08/37/398080837.db2.gz MODMEZYYKPPNAC-ZIAGYGMSSA-N 0 3 245.322 2.778 20 0 BFADHN C[C@H](CCO)N[C@@H](C)c1ccc(Cl)s1 ZINC000121310806 398085264 /nfs/dbraw/zinc/08/52/64/398085264.db2.gz XQTZOIKVTDYOQV-SFYZADRCSA-N 0 3 233.764 2.823 20 0 BFADHN CC(C)[C@H](N)c1cn([C@H](C)c2ccccc2)nn1 ZINC000384447523 398089197 /nfs/dbraw/zinc/08/91/97/398089197.db2.gz LASNVPGQYIDOGC-RISCZKNCSA-N 0 3 244.342 2.543 20 0 BFADHN CCN(CCOc1ccccc1)[C@H]1CCCOC1 ZINC000649758906 398089263 /nfs/dbraw/zinc/08/92/63/398089263.db2.gz AIGDGESNKHICRL-AWEZNQCLSA-N 0 3 249.354 2.566 20 0 BFADHN CC[C@H]1CCN1Cc1n[nH]c2ccccc21 ZINC000644843442 398096122 /nfs/dbraw/zinc/09/61/22/398096122.db2.gz APJNZBJTHFXGRM-JTQLQIEISA-N 0 3 215.300 2.547 20 0 BFADHN CC[C@H]1CCN1Cc1[nH]nc2ccccc21 ZINC000644843442 398096123 /nfs/dbraw/zinc/09/61/23/398096123.db2.gz APJNZBJTHFXGRM-JTQLQIEISA-N 0 3 215.300 2.547 20 0 BFADHN CC[C@H](C(=O)N[C@H](C)C(C)(C)C)N(CC)CC ZINC000565063892 398054147 /nfs/dbraw/zinc/05/41/47/398054147.db2.gz YYQFGALJHOCBPV-VXGBXAGGSA-N 0 3 242.407 2.658 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cccnc1OC ZINC000128945507 398099197 /nfs/dbraw/zinc/09/91/97/398099197.db2.gz YDTJXAWMACTDRL-WCQYABFASA-N 0 3 234.343 2.759 20 0 BFADHN CC(C)CCC(=O)Nc1ccc2c(c1)CNCC2 ZINC000069052753 398100488 /nfs/dbraw/zinc/10/04/88/398100488.db2.gz CGMKHKXJCVJJOP-UHFFFAOYSA-N 0 3 246.354 2.707 20 0 BFADHN CO[C@H](C)CNCc1cc(F)cc(Cl)c1 ZINC000223958193 398100723 /nfs/dbraw/zinc/10/07/23/398100723.db2.gz HGJGGLMCGCEWDE-MRVPVSSYSA-N 0 3 231.698 2.604 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(Cl)o2)[C@@H](C)O1 ZINC000384766169 398104785 /nfs/dbraw/zinc/10/47/85/398104785.db2.gz OPPWZHBGEOAIBZ-MRTMQBJTSA-N 0 3 229.707 2.589 20 0 BFADHN CCC(CC)CN(CC)CC(=O)N[C@@H](C)CC ZINC000051824426 398105413 /nfs/dbraw/zinc/10/54/13/398105413.db2.gz JJTYZELBDBMMSO-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1oncc1CN1CCCC(C)(C)CC1 ZINC000128716969 398097287 /nfs/dbraw/zinc/09/72/87/398097287.db2.gz TXUZTYCMMRFQON-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Fc1cncc(CNC2(C3CCC3)CC2)c1 ZINC000649810787 398117739 /nfs/dbraw/zinc/11/77/39/398117739.db2.gz ZEWGILAZQFTANJ-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN Cc1cnc([C@@H](C)NC2(C3CCC3)CC2)cn1 ZINC000649811439 398119023 /nfs/dbraw/zinc/11/90/23/398119023.db2.gz RMGCPVQBACYUBK-LLVKDONJSA-N 0 3 231.343 2.768 20 0 BFADHN C[C@H](O)CCCN(C)[C@@H](C)c1ccccc1F ZINC000062017182 398110558 /nfs/dbraw/zinc/11/05/58/398110558.db2.gz ZSXLKMXQFZFXDJ-RYUDHWBXSA-N 0 3 239.334 2.980 20 0 BFADHN COc1ncccc1CN1CCC[C@H](C)[C@H]1C ZINC000193357164 398110882 /nfs/dbraw/zinc/11/08/82/398110882.db2.gz XIDWLHSUIWYAHY-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CNC2(C3CCC3)CC2)c1 ZINC000649807952 398112810 /nfs/dbraw/zinc/11/28/10/398112810.db2.gz LFINUADILCSUIR-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN Cc1ncc(CNC2(C3CCC3)CC2)s1 ZINC000649808202 398112937 /nfs/dbraw/zinc/11/29/37/398112937.db2.gz VUBOTLXBEDUOFR-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@H]2C)on1 ZINC000309119418 398114786 /nfs/dbraw/zinc/11/47/86/398114786.db2.gz SJVBYUUCANRUKP-MWLCHTKSSA-N 0 3 208.305 2.509 20 0 BFADHN CCC1(CNCc2cc(C)no2)CCC1 ZINC000389601002 398114893 /nfs/dbraw/zinc/11/48/93/398114893.db2.gz UMDSEZLJLOYSAD-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN C[C@@H]1CN(CCCCCF)C2(CCC2)CO1 ZINC000649822031 398123622 /nfs/dbraw/zinc/12/36/22/398123622.db2.gz XDGHBEZKTBWBQL-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cnc(C)o1 ZINC000397919278 398124321 /nfs/dbraw/zinc/12/43/21/398124321.db2.gz MMTLPYPMYMWTIR-JQWIXIFHSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1ncc(CNC2CC(C(C)C)C2)o1 ZINC000397917470 398124364 /nfs/dbraw/zinc/12/43/64/398124364.db2.gz LKBDRZQEODUBAX-UHFFFAOYSA-N 0 3 208.305 2.507 20 0 BFADHN C[C@H]1CN(CC2=CCCC2)C2(CCC2)CO1 ZINC000649820954 398125165 /nfs/dbraw/zinc/12/51/65/398125165.db2.gz STTUHBPGIDUSHQ-LBPRGKRZSA-N 0 3 221.344 2.740 20 0 BFADHN Cc1ccc2nc(CNC(C)(C)C)cn2c1 ZINC000263758936 398125273 /nfs/dbraw/zinc/12/52/73/398125273.db2.gz KOVFYUDCVUDESM-UHFFFAOYSA-N 0 3 217.316 2.531 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cncn2C)cc1C ZINC000263840346 398126541 /nfs/dbraw/zinc/12/65/41/398126541.db2.gz GNJGJWBGVQHIHN-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN CCN(Cc1conc1C)CC(C)(C)C ZINC000294059335 398121964 /nfs/dbraw/zinc/12/19/64/398121964.db2.gz XNRVOLCHWRKUGM-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@@H](O)CC2)o1 ZINC000294111232 398122886 /nfs/dbraw/zinc/12/28/86/398122886.db2.gz SQSPXJYVFOETMT-BZPMIXESSA-N 0 3 249.354 2.750 20 0 BFADHN c1[nH]c2nccnc2c1CNCC1=CCCCC1 ZINC000295050796 398132174 /nfs/dbraw/zinc/13/21/74/398132174.db2.gz FSLGKMTWBOLVAZ-UHFFFAOYSA-N 0 3 242.326 2.548 20 0 BFADHN COC[C@@H](C)N1CCc2ccccc2[C@H](C)C1 ZINC000649835109 398132867 /nfs/dbraw/zinc/13/28/67/398132867.db2.gz ZHOQSMQHWDPJLP-CHWSQXEVSA-N 0 3 233.355 2.683 20 0 BFADHN C[C@@H](NCC1(O)CCCCC1)c1ccoc1 ZINC000087290410 322878996 /nfs/dbraw/zinc/87/89/96/322878996.db2.gz CCOQTZBTAMVXBP-LLVKDONJSA-N 0 3 223.316 2.625 20 0 BFADHN CN(Cc1coc2ccccc12)C[C@@H](O)C1CC1 ZINC000685461151 487529696 /nfs/dbraw/zinc/52/96/96/487529696.db2.gz RHRWAGWGSKCEDR-CQSZACIVSA-N 0 3 245.322 2.636 20 0 BFADHN Cc1oc2ccccc2c1CN(C)C1(CO)CC1 ZINC000668801091 487563599 /nfs/dbraw/zinc/56/35/99/487563599.db2.gz KZFPYKBKSANGSN-UHFFFAOYSA-N 0 3 245.322 2.698 20 0 BFADHN CCOc1ccccc1CCNCC1(F)CC1 ZINC000526978041 487565570 /nfs/dbraw/zinc/56/55/70/487565570.db2.gz LJEFSJBMOBQYQZ-UHFFFAOYSA-N 0 3 237.318 2.720 20 0 BFADHN C[C@@H](NCC[C@H](C)O)c1ccc(Cl)s1 ZINC000082994103 176965902 /nfs/dbraw/zinc/96/59/02/176965902.db2.gz RBRMLIRALPRZBT-JGVFFNPUSA-N 0 3 233.764 2.823 20 0 BFADHN CCOCCCN1CCC[C@H]1c1ccccn1 ZINC000674370759 487566281 /nfs/dbraw/zinc/56/62/81/487566281.db2.gz BBJUDRBRSAMNHY-AWEZNQCLSA-N 0 3 234.343 2.645 20 0 BFADHN CC[C@@H](C(=O)NC[C@H](C)C(C)C)N(CC)CC ZINC000530821411 322895018 /nfs/dbraw/zinc/89/50/18/322895018.db2.gz MZDUKKKYFDXVTJ-STQMWFEESA-N 0 3 242.407 2.515 20 0 BFADHN CC[C@@H](CN[C@H](C)c1ccc(C)nc1C)OC ZINC000685664576 487572251 /nfs/dbraw/zinc/57/22/51/487572251.db2.gz PMUMVJGDSIZYKI-YPMHNXCESA-N 0 3 236.359 2.774 20 0 BFADHN C[C@@H](CC1CCC1)NCc1ncccc1N(C)C ZINC000685660811 487572526 /nfs/dbraw/zinc/57/25/26/487572526.db2.gz VEHXEFHLXZAENU-LBPRGKRZSA-N 0 3 247.386 2.816 20 0 BFADHN CC(C)OCCCN(C)[C@H](C)c1cccnc1 ZINC000119562452 167212096 /nfs/dbraw/zinc/21/20/96/167212096.db2.gz BNZFXZAPUPKTCW-CYBMUJFWSA-N 0 3 236.359 2.890 20 0 BFADHN C[C@@H](NCC(C)(C)C(C)(C)O)c1ccoc1 ZINC000393628159 487576657 /nfs/dbraw/zinc/57/66/57/487576657.db2.gz ZECPZLHZUCEHKY-SNVBAGLBSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@@H](C(C)C)CC1 ZINC000685837510 487583478 /nfs/dbraw/zinc/58/34/78/487583478.db2.gz HWQNIRIJQNZICX-CYBMUJFWSA-N 0 3 235.375 2.976 20 0 BFADHN CC(C)OCCN[C@@H](C)c1ccc(F)cc1 ZINC000042223830 167243333 /nfs/dbraw/zinc/24/33/33/167243333.db2.gz YGNNPQJSQANXQP-NSHDSACASA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2nccn2C)CC1 ZINC000086908970 487586187 /nfs/dbraw/zinc/58/61/87/487586187.db2.gz QBQBJPSGYLRQLD-OLZOCXBDSA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)OC[C@@H](C)NCc1cccs1 ZINC000308640509 167257308 /nfs/dbraw/zinc/25/73/08/167257308.db2.gz DQBVOCCNWXGHMF-SNVBAGLBSA-N 0 3 213.346 2.651 20 0 BFADHN CCc1nn(C)cc1CN1CC(C)(C)[C@H]1C1CC1 ZINC000685849931 487588185 /nfs/dbraw/zinc/58/81/85/487588185.db2.gz PMWJILPJQVEPJD-CQSZACIVSA-N 0 3 247.386 2.603 20 0 BFADHN CN(Cc1cc2ccccc2[nH]1)CC1(C)COC1 ZINC000674505449 487590014 /nfs/dbraw/zinc/59/00/14/487590014.db2.gz HOAPFRJHIYQELV-UHFFFAOYSA-N 0 3 244.338 2.636 20 0 BFADHN CC(C)[C@H](N[C@H](C)c1nccn1C)C1CC1 ZINC000324390468 487593920 /nfs/dbraw/zinc/59/39/20/487593920.db2.gz MYQQSZUYPLDQOX-PWSUYJOCSA-N 0 3 221.348 2.505 20 0 BFADHN CC(C)(NCc1cnc2ccccc2n1)C1CC1 ZINC000232526198 487602393 /nfs/dbraw/zinc/60/23/93/487602393.db2.gz DAASMEHOFWELHW-UHFFFAOYSA-N 0 3 241.338 2.908 20 0 BFADHN CC(C)SCCN1CCOC(C)(C)[C@@H]1C ZINC000336712128 167325403 /nfs/dbraw/zinc/32/54/03/167325403.db2.gz USDAZZTWLJEHTN-NSHDSACASA-N 0 3 231.405 2.627 20 0 BFADHN CC(C)SCCN1C[C@@H](C)O[C@@H](C)[C@H]1C ZINC000338365246 167328591 /nfs/dbraw/zinc/32/85/91/167328591.db2.gz LODMKQOASRBBIM-UTUOFQBUSA-N 0 3 231.405 2.626 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1cccc(F)c1 ZINC000178318608 487609491 /nfs/dbraw/zinc/60/94/91/487609491.db2.gz SAOPGEGFXPYRTJ-JXJLXUTGSA-N 0 3 235.302 2.796 20 0 BFADHN CC(C)SCCN1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000368476562 167329300 /nfs/dbraw/zinc/32/93/00/167329300.db2.gz DUDNMWGGHUYKKU-YPMHNXCESA-N 0 3 243.416 2.627 20 0 BFADHN CC(C)SCCN1C[C@H](C)OC(C)(C)C1 ZINC000336662348 167329316 /nfs/dbraw/zinc/32/93/16/167329316.db2.gz CJYSMBGFLXOIAV-NSHDSACASA-N 0 3 231.405 2.627 20 0 BFADHN CC(C)OC1CC(N(C)CC2=CCSC2)C1 ZINC000662265491 409559047 /nfs/dbraw/zinc/55/90/47/409559047.db2.gz CPRAIIPYQWJOON-UHFFFAOYSA-N 0 3 241.400 2.547 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CC2(C)C)cn1 ZINC000662342936 409653737 /nfs/dbraw/zinc/65/37/37/409653737.db2.gz GORCRGIJMHKGCC-CYBMUJFWSA-N 0 3 218.344 2.868 20 0 BFADHN C[C@@H]1CN(CC2CCCC2)C[C@@](C)(C(F)F)O1 ZINC000662359005 409672700 /nfs/dbraw/zinc/67/27/00/409672700.db2.gz HDJPILRBOXYEPR-MFKMUULPSA-N 0 3 247.329 2.921 20 0 BFADHN CC[C@H](C)N1CCN(CCC=C(C)C)CC1 ZINC000660740063 409689255 /nfs/dbraw/zinc/68/92/55/409689255.db2.gz ZIAIMCAQFGBVMX-AWEZNQCLSA-N 0 3 224.392 2.759 20 0 BFADHN CCCC(C)(C)NC(=O)c1cccc2c1CNC2 ZINC000662550953 409752366 /nfs/dbraw/zinc/75/23/66/409752366.db2.gz MIQFMCSRAMBSBB-UHFFFAOYSA-N 0 3 246.354 2.598 20 0 BFADHN C([C@@H]1CC[C@H]2C[C@H]2C1)N1CCO[C@H](C2CCC2)C1 ZINC000660797137 409757934 /nfs/dbraw/zinc/75/79/34/409757934.db2.gz MFOLAHQCSXKGLC-BVUBDWEXSA-N 0 3 249.398 2.924 20 0 BFADHN CC(C)SCCN[C@@H](C)c1cccnc1 ZINC000283030986 167332633 /nfs/dbraw/zinc/33/26/33/167332633.db2.gz HRDCSJCGYWMVNU-NSHDSACASA-N 0 3 224.373 2.874 20 0 BFADHN COc1cc(CN2C[C@H](C)[C@H](C)[C@@H]2C)sn1 ZINC000660825919 409804311 /nfs/dbraw/zinc/80/43/11/409804311.db2.gz YIRSRPIGBACTGH-GUBZILKMSA-N 0 3 240.372 2.628 20 0 BFADHN CCCC(C)(C)NCc1snnc1C ZINC000308590791 409886719 /nfs/dbraw/zinc/88/67/19/409886719.db2.gz VHXMTMXYFZVKCF-UHFFFAOYSA-N 0 3 213.350 2.515 20 0 BFADHN CSc1cccc(CN(C)[C@@H](C)CCO)c1 ZINC000660920984 409968480 /nfs/dbraw/zinc/96/84/80/409968480.db2.gz YTLUCAPMKXCXJT-NSHDSACASA-N 0 3 239.384 2.611 20 0 BFADHN C[C@@H]1CCN(Cc2ncccn2)[C@H]2CCCC[C@H]12 ZINC000558828984 410047785 /nfs/dbraw/zinc/04/77/85/410047785.db2.gz NNDFOVGVGLXATA-MCIONIFRSA-N 0 3 245.370 2.877 20 0 BFADHN Cc1ccsc1CN[C@H](C)COCC1CC1 ZINC000651981643 410121900 /nfs/dbraw/zinc/12/19/00/410121900.db2.gz VTDGJZJRDZFORS-LLVKDONJSA-N 0 3 239.384 2.961 20 0 BFADHN COC1(CN2CC3(CCC3(F)F)C2)CCCC1 ZINC000336449042 410239492 /nfs/dbraw/zinc/23/94/92/410239492.db2.gz ISRSWPKDZYQSCV-UHFFFAOYSA-N 0 3 245.313 2.677 20 0 BFADHN CC[C@@H](C)N(CC)CC(=O)Nc1ccccc1 ZINC000661108483 410259859 /nfs/dbraw/zinc/25/98/59/410259859.db2.gz ZOQAOQNUJXITKT-GFCCVEGCSA-N 0 3 234.343 2.746 20 0 BFADHN CC[C@@H](C)N(CC)Cc1cc(C)nc(C)n1 ZINC000661103574 410221084 /nfs/dbraw/zinc/22/10/84/410221084.db2.gz HFFDGVHFAXCLQC-LLVKDONJSA-N 0 3 221.348 2.714 20 0 BFADHN CC1(CN2CCO[C@](C)(C3CC3)C2)CCC1 ZINC000661173635 410320709 /nfs/dbraw/zinc/32/07/09/410320709.db2.gz ZNUOFOBQRXRODT-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN FC1(CNCC[C@@H]2CCc3ccccc3N2)CC1 ZINC000650720923 410358629 /nfs/dbraw/zinc/35/86/29/410358629.db2.gz HGYFCFGEFCYZLJ-ZDUSSCGKSA-N 0 3 248.345 2.895 20 0 BFADHN Cn1ccc(CN2CCCC3(CCCC3)CC2)n1 ZINC000661230925 410380875 /nfs/dbraw/zinc/38/08/75/410380875.db2.gz HAWHFGPYOMJWKP-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN C[C@@H](NCc1ccon1)[C@H]1CC2CCC1CC2 ZINC000394247096 410781791 /nfs/dbraw/zinc/78/17/91/410781791.db2.gz XLCQUZIFWLVOFD-MLCFOIATSA-N 0 3 234.343 2.979 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1ccccn1 ZINC000651176216 410851010 /nfs/dbraw/zinc/85/10/10/410851010.db2.gz LKPSGJFDQGWMMW-NSHDSACASA-N 0 3 206.333 2.996 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1cc(C)on1 ZINC000651201669 410861210 /nfs/dbraw/zinc/86/12/10/410861210.db2.gz FUKPRNOFWLJERB-JTQLQIEISA-N 0 3 210.321 2.897 20 0 BFADHN CC(C)C(N[C@H]1CCn2ccnc21)C(C)C ZINC000651273066 410893931 /nfs/dbraw/zinc/89/39/31/410893931.db2.gz ONQPQBPPPRURGN-NSHDSACASA-N 0 3 221.348 2.598 20 0 BFADHN Cc1nc(CN2CC[C@@H](C3CCCCC3)C2)n[nH]1 ZINC000661578950 410909904 /nfs/dbraw/zinc/90/99/04/410909904.db2.gz SYSQKEHHTZAZBX-CYBMUJFWSA-N 0 3 248.374 2.515 20 0 BFADHN CC(C)NCc1cc(Cl)ccc1F ZINC000036947905 167116101 /nfs/dbraw/zinc/11/61/01/167116101.db2.gz XHRFSSTXKBRQEZ-UHFFFAOYSA-N 0 3 201.672 2.977 20 0 BFADHN CC(C)NCc1cnn(Cc2ccc(F)cc2)c1 ZINC000054719649 167120732 /nfs/dbraw/zinc/12/07/32/167120732.db2.gz VINZKWKEIAFWJM-UHFFFAOYSA-N 0 3 247.317 2.569 20 0 BFADHN CC(C)NCc1ccncc1OCC(F)(F)F ZINC000134991846 167121525 /nfs/dbraw/zinc/12/15/25/167121525.db2.gz VJEIETCHACNRCS-UHFFFAOYSA-N 0 3 248.248 2.521 20 0 BFADHN CC(C)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000287151684 167131384 /nfs/dbraw/zinc/13/13/84/167131384.db2.gz STWYPKBUBVHUCA-LBPRGKRZSA-N 0 3 229.327 2.931 20 0 BFADHN CC(C)N[C@H](C)c1nccn1-c1ccccc1 ZINC000287151682 167138499 /nfs/dbraw/zinc/13/84/99/167138499.db2.gz STWYPKBUBVHUCA-GFCCVEGCSA-N 0 3 229.327 2.931 20 0 BFADHN c1nc(CN[C@@H](C2CC2)C2CCCC2)co1 ZINC000651298186 410907535 /nfs/dbraw/zinc/90/75/35/410907535.db2.gz DZAHXEHYPXAZSR-CYBMUJFWSA-N 0 3 220.316 2.733 20 0 BFADHN CC(C)OCCN1CC[C@@H]1Cc1ccccc1 ZINC000336692857 167233701 /nfs/dbraw/zinc/23/37/01/167233701.db2.gz IVMAFNCYJPSKCT-OAHLLOKOSA-N 0 3 233.355 2.728 20 0 BFADHN CC(C)OCCNCc1ccccc1Cl ZINC000042222341 167241388 /nfs/dbraw/zinc/24/13/88/167241388.db2.gz RYMRHSIIGRLPEG-UHFFFAOYSA-N 0 3 227.735 2.855 20 0 BFADHN CC(C)OCCNCc1cc2ccccc2o1 ZINC000168951592 167241996 /nfs/dbraw/zinc/24/19/96/167241996.db2.gz SMLPBHUQLJPMQJ-UHFFFAOYSA-N 0 3 233.311 2.947 20 0 BFADHN CC(C)OCCN[C@@H](C)c1cccs1 ZINC000042224737 167243714 /nfs/dbraw/zinc/24/37/14/167243714.db2.gz ICWPXMCEOUPZKX-JTQLQIEISA-N 0 3 213.346 2.824 20 0 BFADHN CC(C)OCCN[C@@H]1CCCNc2ccccc21 ZINC000193283353 167243814 /nfs/dbraw/zinc/24/38/14/167243814.db2.gz QXLSRIULMSCUOG-OAHLLOKOSA-N 0 3 248.370 2.948 20 0 BFADHN CC(C)OCCN[C@@H](C)c1ccc(Cl)nc1 ZINC000134949118 167244317 /nfs/dbraw/zinc/24/43/17/167244317.db2.gz ZHRFQXWTULVNEJ-JTQLQIEISA-N 0 3 242.750 2.811 20 0 BFADHN CC(C)OCCN[C@@H](c1cccnc1)C1CCC1 ZINC000280820512 167244931 /nfs/dbraw/zinc/24/49/31/167244931.db2.gz KBJDMFMUSDIGKO-OAHLLOKOSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)OCCN[C@H](C)c1ccccc1F ZINC000042224731 167245032 /nfs/dbraw/zinc/24/50/32/167245032.db2.gz PSCDAMNPMGJJTB-LLVKDONJSA-N 0 3 225.307 2.901 20 0 BFADHN CC(C)OCCN[C@@H](C)c1ccc2c(c1)CCO2 ZINC000163946172 167245067 /nfs/dbraw/zinc/24/50/67/167245067.db2.gz VOLKHKMJLDWOOG-LBPRGKRZSA-N 0 3 249.354 2.697 20 0 BFADHN CC(C)OCCN[C@H]1CCCc2ccc(O)cc21 ZINC000232680752 167246077 /nfs/dbraw/zinc/24/60/77/167246077.db2.gz ZHUARFNZDCGEKH-HNNXBMFYSA-N 0 3 249.354 2.784 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H]1CCc2c1cccc2O ZINC000337329162 167265739 /nfs/dbraw/zinc/26/57/39/167265739.db2.gz FNLSQSIJWHNIEI-SMDDNHRTSA-N 0 3 249.354 2.783 20 0 BFADHN CC(C)O[C@@H]1C[C@@H](NCc2ccccn2)C1(C)C ZINC000274320149 167281147 /nfs/dbraw/zinc/28/11/47/167281147.db2.gz WZARBPQLFYYFTO-ZIAGYGMSSA-N 0 3 248.370 2.763 20 0 BFADHN CC(C)O[C@H]1C[C@H](NCc2ccccn2)C1(C)C ZINC000274320145 167283055 /nfs/dbraw/zinc/28/30/55/167283055.db2.gz WZARBPQLFYYFTO-KBPBESRZSA-N 0 3 248.370 2.763 20 0 BFADHN CC(C)Oc1ccc(CN(C)[C@@H]2CCOC2)cc1 ZINC000264439851 167292580 /nfs/dbraw/zinc/29/25/80/167292580.db2.gz QKQVQCOPGGBUIR-CQSZACIVSA-N 0 3 249.354 2.695 20 0 BFADHN CC(C)Oc1ccc(CN[C@H]2CCO[C@@H]2C)cc1 ZINC000120078736 167293983 /nfs/dbraw/zinc/29/39/83/167293983.db2.gz SEIJMCOPBAWGTR-DOMZBBRYSA-N 0 3 249.354 2.741 20 0 BFADHN CC(C)Oc1ccc(CN[C@@H]2CCO[C@H]2C)cc1 ZINC000120078647 167294053 /nfs/dbraw/zinc/29/40/53/167294053.db2.gz SEIJMCOPBAWGTR-SWLSCSKDSA-N 0 3 249.354 2.741 20 0 BFADHN c1nc(CN2CC[C@@H](C3CCCCC3)C2)c[nH]1 ZINC000661579004 410913998 /nfs/dbraw/zinc/91/39/98/410913998.db2.gz VJDBSFBBIWPXFP-CYBMUJFWSA-N 0 3 233.359 2.812 20 0 BFADHN c1ncc(CN2CC[C@@H](C3CCCCC3)C2)[nH]1 ZINC000661579004 410914002 /nfs/dbraw/zinc/91/40/02/410914002.db2.gz VJDBSFBBIWPXFP-CYBMUJFWSA-N 0 3 233.359 2.812 20 0 BFADHN CC(C)Oc1cccc(CN(C)[C@@H]2CCOC2)c1 ZINC000106882415 167304565 /nfs/dbraw/zinc/30/45/65/167304565.db2.gz FFEBZAUVWAOTCX-CQSZACIVSA-N 0 3 249.354 2.695 20 0 BFADHN CC(C)Oc1cccc(CNC2CC2)c1 ZINC000032010557 167306300 /nfs/dbraw/zinc/30/63/00/167306300.db2.gz GYDDMJDVGNVUMV-UHFFFAOYSA-N 0 3 205.301 2.726 20 0 BFADHN CC(C)Oc1cccc([C@@H](C)N[C@@H]2CCOC2)c1 ZINC000104060876 167309043 /nfs/dbraw/zinc/30/90/43/167309043.db2.gz DKRSTGLGDYNPJP-TZMCWYRMSA-N 0 3 249.354 2.913 20 0 BFADHN CC(C)[C@@H](C)N[C@@H]1COc2ccc(F)cc21 ZINC000309718951 167383743 /nfs/dbraw/zinc/38/37/43/167383743.db2.gz ZXLRLCFYLHHXFY-BXKDBHETSA-N 0 3 223.291 2.893 20 0 BFADHN Cc1nocc1CN[C@@H](C)Cc1ccc(F)cc1 ZINC000397850218 410935752 /nfs/dbraw/zinc/93/57/52/410935752.db2.gz GTQNUFAVQHHZSE-JTQLQIEISA-N 0 3 248.301 2.843 20 0 BFADHN CC(C)c1ccc(CN[C@H](CO)CCF)cc1 ZINC000652014845 410950134 /nfs/dbraw/zinc/95/01/34/410950134.db2.gz LZRSNAFASZLVNN-AWEZNQCLSA-N 0 3 239.334 2.620 20 0 BFADHN Cc1ncc([C@@H](C)NCCC(C)(C)CO)s1 ZINC000651390983 410976110 /nfs/dbraw/zinc/97/61/10/410976110.db2.gz YGDALMCOVJZLFD-SECBINFHSA-N 0 3 242.388 2.511 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H](C)CC(C)(C)O)s1 ZINC000651411730 410992421 /nfs/dbraw/zinc/99/24/21/410992421.db2.gz LWYFUOGPFQGLLU-DTWKUNHWSA-N 0 3 242.388 2.652 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2COCC2(C)C)c(C)n1 ZINC000651463738 411048672 /nfs/dbraw/zinc/04/86/72/411048672.db2.gz XIMKNFSGTRDFBI-OCCSQVGLSA-N 0 3 248.370 2.774 20 0 BFADHN CC(C)[C@](C)(O)CNCc1cc2ccccc2o1 ZINC000304074318 167535888 /nfs/dbraw/zinc/53/58/88/167535888.db2.gz WNGVQLNJZNKENB-OAHLLOKOSA-N 0 3 247.338 2.929 20 0 BFADHN CCc1cc(N2CC[C@@]3(CC3(F)F)C2)ccn1 ZINC000651488724 411068990 /nfs/dbraw/zinc/06/89/90/411068990.db2.gz CATVOHRRSXCSEY-GFCCVEGCSA-N 0 3 238.281 2.880 20 0 BFADHN C[C@@H](N[C@H](CO)CCF)c1ccc(Cl)cc1 ZINC000652024781 411080059 /nfs/dbraw/zinc/08/00/59/411080059.db2.gz LSBSPMLLVRSTGM-SKDRFNHKSA-N 0 3 245.725 2.711 20 0 BFADHN CCc1cccc(CNCc2c(C)cnn2C)c1 ZINC000652032974 411083179 /nfs/dbraw/zinc/08/31/79/411083179.db2.gz QPXNVVDFPRYOJS-UHFFFAOYSA-N 0 3 243.354 2.581 20 0 BFADHN CN(Cc1cc2cnccc2o1)[C@@H]1CCSC1 ZINC000651613013 411130530 /nfs/dbraw/zinc/13/05/30/411130530.db2.gz KLVVDCDFJZMDHW-LLVKDONJSA-N 0 3 248.351 2.765 20 0 BFADHN CN(Cc1cccc2c1CCC2)C[C@H]1CCCO1 ZINC000651612538 411130541 /nfs/dbraw/zinc/13/05/41/411130541.db2.gz TXCKRSQIOBIHOC-OAHLLOKOSA-N 0 3 245.366 2.786 20 0 BFADHN c1c(CN2C[C@H]3CCC[C@@H]3C2)onc1C1CC1 ZINC000659998677 411163154 /nfs/dbraw/zinc/16/31/54/411163154.db2.gz RDJBFZWNEQEZCG-VXGBXAGGSA-N 0 3 232.327 2.784 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1cc2cnccc2o1 ZINC000657907077 411163288 /nfs/dbraw/zinc/16/32/88/411163288.db2.gz GARPJWIJAIHZOW-JQWIXIFHSA-N 0 3 248.326 2.639 20 0 BFADHN CCCCOCCNCc1cc2cnccc2o1 ZINC000651691876 411136934 /nfs/dbraw/zinc/13/69/34/411136934.db2.gz KDDFWMFXQPBEQR-UHFFFAOYSA-N 0 3 248.326 2.734 20 0 BFADHN COC[C@H](NCc1cc2cnccc2o1)C(C)C ZINC000651715581 411138249 /nfs/dbraw/zinc/13/82/49/411138249.db2.gz RXBKEYXMMVQSEQ-ZDUSSCGKSA-N 0 3 248.326 2.588 20 0 BFADHN COC[C@@H](NCc1cc2cnccc2o1)C(C)C ZINC000651715580 411138295 /nfs/dbraw/zinc/13/82/95/411138295.db2.gz RXBKEYXMMVQSEQ-CYBMUJFWSA-N 0 3 248.326 2.588 20 0 BFADHN CCc1cccc(CNCc2cc(C)[nH]n2)c1 ZINC000651719989 411138626 /nfs/dbraw/zinc/13/86/26/411138626.db2.gz MCQPIRKAAOCMET-UHFFFAOYSA-N 0 3 229.327 2.570 20 0 BFADHN COC[C@@H](CC(C)(C)C)N[C@H](C)c1cn[nH]c1 ZINC000651746120 411140911 /nfs/dbraw/zinc/14/09/11/411140911.db2.gz HAUQVCYGDMHVBR-ZYHUDNBSSA-N 0 3 239.363 2.512 20 0 BFADHN CC[C@@]1(C)CCC[C@H]1NCc1nnc(C)s1 ZINC000651758204 411141618 /nfs/dbraw/zinc/14/16/18/411141618.db2.gz SHVURZFUQLETQA-PWSUYJOCSA-N 0 3 239.388 2.905 20 0 BFADHN COC[C@@H](CC(C)(C)C)NCc1cncs1 ZINC000651762029 411142238 /nfs/dbraw/zinc/14/22/38/411142238.db2.gz VNZNHSABAXJCCQ-SNVBAGLBSA-N 0 3 242.388 2.684 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1cccc(CC)c1 ZINC000651786692 411143732 /nfs/dbraw/zinc/14/37/32/411143732.db2.gz IUFDLYHJCOBCOM-UONOGXRCSA-N 0 3 219.328 2.516 20 0 BFADHN CC[C@@]1(C)CCC[C@H]1NCc1snnc1C ZINC000651816002 411145075 /nfs/dbraw/zinc/14/50/75/411145075.db2.gz YBQHBBKXJAWRNG-NEPJUHHUSA-N 0 3 239.388 2.905 20 0 BFADHN C[C@H]1C[C@H](NCc2cc3cnccc3o2)CS1 ZINC000651830773 411146616 /nfs/dbraw/zinc/14/66/16/411146616.db2.gz MQPIEPDEDATXOH-ONGXEEELSA-N 0 3 248.351 2.811 20 0 BFADHN c1cc2c(c(CN3CCC34CCCC4)c1)OCO2 ZINC000651874256 411148370 /nfs/dbraw/zinc/14/83/70/411148370.db2.gz RQZCNHDQAGKEGY-UHFFFAOYSA-N 0 3 245.322 2.934 20 0 BFADHN CC(C)[C@H](C)N[C@H](c1ccccc1F)[C@@H](C)O ZINC000651892151 411149073 /nfs/dbraw/zinc/14/90/73/411149073.db2.gz HTCONGKYNPWKET-WDMOLILDSA-N 0 3 239.334 2.882 20 0 BFADHN C[C@@H]1CCN(Cc2cc(C3CC3)no2)[C@H]1C ZINC000659984500 411156210 /nfs/dbraw/zinc/15/62/10/411156210.db2.gz MISXYYUDGYFYGO-ZJUUUORDSA-N 0 3 220.316 2.782 20 0 BFADHN C[C@H]1C[C@H](C)N1CCc1noc2ccccc21 ZINC000653650500 411156463 /nfs/dbraw/zinc/15/64/63/411156463.db2.gz CHIRKVPXFBFCMH-QWRGUYRKSA-N 0 3 230.311 2.853 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cc(C)nc(C)n2)C1 ZINC000660000560 411164543 /nfs/dbraw/zinc/16/45/43/411164543.db2.gz XYYBWNFSNDJCFE-AWEZNQCLSA-N 0 3 233.359 2.715 20 0 BFADHN CN(Cc1ccccc1C1CC1)C1(CO)CC1 ZINC000653673366 411164612 /nfs/dbraw/zinc/16/46/12/411164612.db2.gz VJVBRTFUOGHIIO-UHFFFAOYSA-N 0 3 231.339 2.521 20 0 BFADHN CCN(Cc1ccccc1OC)[C@@H]1CCCOC1 ZINC000651948856 411159844 /nfs/dbraw/zinc/15/98/44/411159844.db2.gz YIYNWDGNGFEMMK-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CCc1cccc(CN[C@@H]2COC(C)(C)C2)c1 ZINC000652033462 411087919 /nfs/dbraw/zinc/08/79/19/411087919.db2.gz UWOOHOUELNSLEM-AWEZNQCLSA-N 0 3 233.355 2.906 20 0 BFADHN CCC1(CC)CCN(Cc2cc(OC)no2)C1 ZINC000659995262 411160937 /nfs/dbraw/zinc/16/09/37/411160937.db2.gz ATHZDDGOTUTBGK-UHFFFAOYSA-N 0 3 238.331 2.695 20 0 BFADHN CC(C)n1nccc1CNC1CC2(CCC2)C1 ZINC000652042093 411092029 /nfs/dbraw/zinc/09/20/29/411092029.db2.gz FLXUSKMFQJYGAP-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cc(Cl)cc(CN(C)[C@H](C)CCO)c1 ZINC000652050015 411097247 /nfs/dbraw/zinc/09/72/47/411097247.db2.gz UAXMUBCRQFRXOF-LLVKDONJSA-N 0 3 241.762 2.851 20 0 BFADHN C[C@H](CCO)N(C)Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000652047751 411099175 /nfs/dbraw/zinc/09/91/75/411099175.db2.gz WOIRDMYGJDLBMR-WZRBSPASSA-N 0 3 237.343 2.606 20 0 BFADHN C[C@@H](CCO)N(C)Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000652047750 411099707 /nfs/dbraw/zinc/09/97/07/411099707.db2.gz WOIRDMYGJDLBMR-NTZNESFSSA-N 0 3 237.343 2.606 20 0 BFADHN FC1(F)C[C@@]12CCN(Cc1ccco1)C2 ZINC000652062925 411103584 /nfs/dbraw/zinc/10/35/84/411103584.db2.gz ZWSQPBUPKRACLC-SNVBAGLBSA-N 0 3 213.227 2.511 20 0 BFADHN C[C@@H](Cc1ccoc1)N[C@@H]1CCCn2nccc21 ZINC000657905457 411162603 /nfs/dbraw/zinc/16/26/03/411162603.db2.gz YXHMACUPTRDUMS-WCQYABFASA-N 0 3 245.326 2.532 20 0 BFADHN COC1(CN[C@H](C)c2ccoc2)CCCC1 ZINC000309523554 411162583 /nfs/dbraw/zinc/16/25/83/411162583.db2.gz ULLSCGHQDCEFFG-LLVKDONJSA-N 0 3 223.316 2.889 20 0 BFADHN C[C@@H](N[C@H]1CCN(C(C)(C)C)C1)c1ccccn1 ZINC000658092295 411242349 /nfs/dbraw/zinc/24/23/49/411242349.db2.gz PJJYCCPJVGNPLQ-OLZOCXBDSA-N 0 3 247.386 2.605 20 0 BFADHN C[C@@H](N[C@@H]1CCN(C(C)(C)C)C1)c1ccccn1 ZINC000658092294 411242688 /nfs/dbraw/zinc/24/26/88/411242688.db2.gz PJJYCCPJVGNPLQ-CHWSQXEVSA-N 0 3 247.386 2.605 20 0 BFADHN CC[C@@](C)(CO)N[C@H](C)c1cc2cnccc2o1 ZINC000657955204 411180491 /nfs/dbraw/zinc/18/04/91/411180491.db2.gz FPRMUYIHYBEKAH-YGRLFVJLSA-N 0 3 248.326 2.639 20 0 BFADHN c1cc(CN2CCCCCC2)nc2c1CCC2 ZINC000656398443 411243249 /nfs/dbraw/zinc/24/32/49/411243249.db2.gz JEFCXZRCWVSLAQ-UHFFFAOYSA-N 0 3 230.355 2.946 20 0 BFADHN CC(C)CN(Cc1cn2ccccc2n1)C1CC1 ZINC000058517802 411183765 /nfs/dbraw/zinc/18/37/65/411183765.db2.gz DQOJPKFZTRNJDJ-UHFFFAOYSA-N 0 3 243.354 2.955 20 0 BFADHN Cc1nccnc1[C@@H](C)NC1(C2CCC2)CC1 ZINC000652272260 411184274 /nfs/dbraw/zinc/18/42/74/411184274.db2.gz GDGSJISRKNJXQP-LLVKDONJSA-N 0 3 231.343 2.768 20 0 BFADHN C[C@H](c1ccccc1F)N1C[C@H]2COC[C@@]2(C)C1 ZINC000661697493 411185204 /nfs/dbraw/zinc/18/52/04/411185204.db2.gz DINLOYHRGBNNOJ-TYNCELHUSA-N 0 3 249.329 2.855 20 0 BFADHN C[C@@H](CC(F)(F)F)N[C@@H]1CCCn2nccc21 ZINC000657977313 411185648 /nfs/dbraw/zinc/18/56/48/411185648.db2.gz YRGSBQJTOYFTDZ-DTWKUNHWSA-N 0 3 247.264 2.649 20 0 BFADHN CCOc1ccc(CNC(C)(C)CF)cc1 ZINC000336779893 411187507 /nfs/dbraw/zinc/18/75/07/411187507.db2.gz PRVCFGUUKJRQKO-UHFFFAOYSA-N 0 3 225.307 2.923 20 0 BFADHN C[C@H](COCC1CC1)N[C@H](C)c1ccco1 ZINC000652286071 411188122 /nfs/dbraw/zinc/18/81/22/411188122.db2.gz LWGSAHVMNKGOER-GHMZBOCLSA-N 0 3 223.316 2.745 20 0 BFADHN C[C@@H](N[C@@H](C)COCC1CC1)c1cncs1 ZINC000652285834 411188220 /nfs/dbraw/zinc/18/82/20/411188220.db2.gz GTBOLXOHLLLTOB-VHSXEESVSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@H](COCC1CC1)N[C@@H](C)c1ccco1 ZINC000652286072 411188459 /nfs/dbraw/zinc/18/84/59/411188459.db2.gz LWGSAHVMNKGOER-MNOVXSKESA-N 0 3 223.316 2.745 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1ccc(C(F)F)nc1 ZINC000657984219 411189062 /nfs/dbraw/zinc/18/90/62/411189062.db2.gz CFEXEDVOLDMMFF-RKDXNWHRSA-N 0 3 244.285 2.705 20 0 BFADHN CC(C)=CCCN[C@@H]1CCCn2ccnc21 ZINC000655759719 411190472 /nfs/dbraw/zinc/19/04/72/411190472.db2.gz GIKDPNFVSACSFQ-GFCCVEGCSA-N 0 3 219.332 2.664 20 0 BFADHN CC(C)C1CC(N[C@H]2CCCn3nccc32)C1 ZINC000657991279 411191700 /nfs/dbraw/zinc/19/17/00/411191700.db2.gz UIGWRYIYAUGXHK-BPCQOVAHSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H](CO)CCF)c2ccccc21 ZINC000652300924 411192199 /nfs/dbraw/zinc/19/21/99/411192199.db2.gz OIIXUEMXTIWGFU-UHIISALHSA-N 0 3 237.318 2.545 20 0 BFADHN CC[C@H](N[C@H](CO)CCF)c1ccsc1 ZINC000652300505 411192332 /nfs/dbraw/zinc/19/23/32/411192332.db2.gz FYGJQWQNUNCHBU-QWRGUYRKSA-N 0 3 231.336 2.509 20 0 BFADHN CCCC(CCC)N[C@@H]1C[C@H](C)n2ncnc21 ZINC000658098022 411244396 /nfs/dbraw/zinc/24/43/96/411244396.db2.gz LKZGDFBPRSDJTQ-CMPLNLGQSA-N 0 3 236.363 2.842 20 0 BFADHN C[C@H](NCCF)c1cc2ccncc2s1 ZINC000658004949 411195136 /nfs/dbraw/zinc/19/51/36/411195136.db2.gz YFOWOVRAZZKRLN-QMMMGPOBSA-N 0 3 224.304 2.916 20 0 BFADHN Cc1ccc(C)c([C@@H](C)N[C@@H](CO)CCF)c1 ZINC000652306009 411196208 /nfs/dbraw/zinc/19/62/08/411196208.db2.gz MWGLMNUFKROJQL-CHWSQXEVSA-N 0 3 239.334 2.675 20 0 BFADHN Cc1cc(C)cc([C@H](C)N[C@@H](CO)CCF)c1 ZINC000652306153 411196227 /nfs/dbraw/zinc/19/62/27/411196227.db2.gz PKHJPAIBWCLUDI-GXTWGEPZSA-N 0 3 239.334 2.675 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](CO)CCF)s1 ZINC000652306460 411196280 /nfs/dbraw/zinc/19/62/80/411196280.db2.gz WKKFKUXOJLHUPZ-UWVGGRQHSA-N 0 3 245.363 2.682 20 0 BFADHN C[C@@H](CO)N(C)Cc1cccc(C(C)(C)C)c1 ZINC000660126241 411244789 /nfs/dbraw/zinc/24/47/89/411244789.db2.gz UDOSBIMANSCYOJ-LBPRGKRZSA-N 0 3 235.371 2.797 20 0 BFADHN Cc1csc(CN[C@H]2CCCn3nccc32)c1 ZINC000658026655 411203323 /nfs/dbraw/zinc/20/33/23/411203323.db2.gz GZMCNRBIDPLCKD-LBPRGKRZSA-N 0 3 247.367 2.878 20 0 BFADHN CC[C@H](NCc1cccnc1C)[C@H]1CCCCO1 ZINC000653873709 411204202 /nfs/dbraw/zinc/20/42/02/411204202.db2.gz VPWRUAJKYOVGAZ-LSDHHAIUSA-N 0 3 248.370 2.827 20 0 BFADHN CCc1ncc(CN[C@@H]2CCCSCC2)o1 ZINC000658027228 411204213 /nfs/dbraw/zinc/20/42/13/411204213.db2.gz ASKBDBFAPSONSJ-SNVBAGLBSA-N 0 3 240.372 2.612 20 0 BFADHN CCn1ccnc1CN[C@@H]1[C@@H]2CCC[C@@H]2C1(C)C ZINC000658029339 411206197 /nfs/dbraw/zinc/20/61/97/411206197.db2.gz ZLYWWVQEHZRJJP-MBNYWOFBSA-N 0 3 247.386 2.817 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc2cnccc2o1)[C@H](C)O ZINC000658029196 411206223 /nfs/dbraw/zinc/20/62/23/411206223.db2.gz HTCCSTNOCWQSSF-NHCYSSNCSA-N 0 3 248.326 2.638 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H]1CCCn2nccc21 ZINC000658033742 411207621 /nfs/dbraw/zinc/20/76/21/411207621.db2.gz AAYXFJHTAAEOPY-JHJVBQTASA-N 0 3 233.359 2.742 20 0 BFADHN c1nc(C2CC2)ncc1CN1CCC[C@H]1C1CC1 ZINC000656433227 411245783 /nfs/dbraw/zinc/24/57/83/411245783.db2.gz SPRMWKBOFHWVGO-AWEZNQCLSA-N 0 3 243.354 2.728 20 0 BFADHN CC[C@@H](O)CN1CC[C@@H](C)C[C@H]1c1ccco1 ZINC000247486844 411209987 /nfs/dbraw/zinc/20/99/87/411209987.db2.gz KQFICROVTNLLKK-UPJWGTAASA-N 0 3 237.343 2.824 20 0 BFADHN CCCCCC(=O)N(C)[C@@H]1CCN(C)[C@@H](C)C1 ZINC000247488052 411210378 /nfs/dbraw/zinc/21/03/78/411210378.db2.gz UCALHJDSLSSLGH-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN Cn1ccnc1CN1CCC[C@H]1CC1CCCC1 ZINC000653892226 411210984 /nfs/dbraw/zinc/21/09/84/411210984.db2.gz CYFPWQZRKRYZJE-AWEZNQCLSA-N 0 3 247.386 2.965 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCC(C)(C)C2)c2ncnn21 ZINC000658102941 411246016 /nfs/dbraw/zinc/24/60/16/411246016.db2.gz SGSQQXQDVFZVMX-GRYCIOLGSA-N 0 3 248.374 2.842 20 0 BFADHN CC[C@@H]1CCC[C@H](N[C@H]2C[C@H](C)n3ncnc32)C1 ZINC000658102589 411246044 /nfs/dbraw/zinc/24/60/44/411246044.db2.gz PUJBRWKXOOOXTC-RNJOBUHISA-N 0 3 248.374 2.842 20 0 BFADHN C[C@@H]1C[C@@H](NC2CCC3(CC3)CC2)c2ncnn21 ZINC000658103212 411246085 /nfs/dbraw/zinc/24/60/85/411246085.db2.gz UCAHLMRVQNPFCP-ZYHUDNBSSA-N 0 3 246.358 2.596 20 0 BFADHN c1cc(CN2CCC[C@H]2CC2CCCC2)n[nH]1 ZINC000653893396 411211741 /nfs/dbraw/zinc/21/17/41/411211741.db2.gz ZZWYBAQWTADESK-AWEZNQCLSA-N 0 3 233.359 2.954 20 0 BFADHN Cn1nccc1CN1CCC[C@@H]1CC1CCCC1 ZINC000653892813 411211821 /nfs/dbraw/zinc/21/18/21/411211821.db2.gz NJXWIYBKJZNZFJ-CQSZACIVSA-N 0 3 247.386 2.965 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@@H]1N[C@@H]1C[C@H](C)n2ncnc21 ZINC000658101836 411246160 /nfs/dbraw/zinc/24/61/60/411246160.db2.gz MWHFDUJFQUXLHW-KXNHARMFSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@@H](O)CN(Cc1ccc(Cl)s1)C1CC1 ZINC000653896410 411213514 /nfs/dbraw/zinc/21/35/14/411213514.db2.gz RWAJURGVTDZMTR-MRVPVSSYSA-N 0 3 245.775 2.747 20 0 BFADHN C[C@H](CC1CCCC1)N[C@@H]1C[C@H](C)n2ncnc21 ZINC000658102939 411246413 /nfs/dbraw/zinc/24/64/13/411246413.db2.gz SEULQVWHURPHNL-NTZNESFSSA-N 0 3 248.374 2.842 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1cc2cnccc2o1 ZINC000658043689 411216435 /nfs/dbraw/zinc/21/64/35/411216435.db2.gz RDHCNIQQNLUUOR-SCVCMEIPSA-N 0 3 246.310 2.656 20 0 BFADHN C[C@@H]1CCCC[C@H]1N[C@H]1CCCn2ccnc21 ZINC000655680992 411223070 /nfs/dbraw/zinc/22/30/70/411223070.db2.gz WBZSAJQUZYKMOB-UPJWGTAASA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H]1CC[C@H](NCc2ccco2)CS1 ZINC000655683556 411225694 /nfs/dbraw/zinc/22/56/94/411225694.db2.gz HBLRDCSGRGHPMQ-UWVGGRQHSA-N 0 3 211.330 2.653 20 0 BFADHN C[C@@H](NC[C@@H]1CCN1C(C)(C)C)c1ccccn1 ZINC000658059198 411225823 /nfs/dbraw/zinc/22/58/23/411225823.db2.gz VXRYLIMNLQUJFW-OLZOCXBDSA-N 0 3 247.386 2.605 20 0 BFADHN COC1CC(CCN[C@H](C)c2ccco2)C1 ZINC000658060122 411227245 /nfs/dbraw/zinc/22/72/45/411227245.db2.gz JSNGPZVSRQTDCY-VOMCLLRMSA-N 0 3 223.316 2.745 20 0 BFADHN CSCCNCc1ccc(Cl)s1 ZINC000049857745 411247298 /nfs/dbraw/zinc/24/72/98/411247298.db2.gz CNRSMUQWJSSBIJ-UHFFFAOYSA-N 0 3 221.778 2.854 20 0 BFADHN C[C@@H](O)CN(Cc1coc2ccccc12)C1CC1 ZINC000656277307 411228256 /nfs/dbraw/zinc/22/82/56/411228256.db2.gz BOQSSODXVDDYTK-LLVKDONJSA-N 0 3 245.322 2.778 20 0 BFADHN CC(C)C[C@H]1CCCCN1Cc1cn(C)cn1 ZINC000660103248 411231535 /nfs/dbraw/zinc/23/15/35/411231535.db2.gz XZKWQXITUAPATK-CQSZACIVSA-N 0 3 235.375 2.821 20 0 BFADHN COc1cc(Cl)c(CNC2CC2)cc1OC ZINC000113413546 411234480 /nfs/dbraw/zinc/23/44/80/411234480.db2.gz JHJUNNIZWRPUFM-UHFFFAOYSA-N 0 3 241.718 2.609 20 0 BFADHN Cc1cc([C@H](C)N[C@H](CO)C2CCC2)oc1C ZINC000658087869 411238390 /nfs/dbraw/zinc/23/83/90/411238390.db2.gz WUECGCHVZXSJKO-GXFFZTMASA-N 0 3 237.343 2.708 20 0 BFADHN C[C@@H]1CC[C@@H](NC/C=C\CO)c2ccccc21 ZINC000658136990 411261722 /nfs/dbraw/zinc/26/17/22/411261722.db2.gz YYLSQDQSHBRPDC-XWNLZXIHSA-N 0 3 231.339 2.763 20 0 BFADHN Cc1ccc([C@H](NC/C=C/CO)C2CC2)cc1 ZINC000658140076 411264846 /nfs/dbraw/zinc/26/48/46/411264846.db2.gz RGNLHMJIQOKMBU-FAAWYNLUSA-N 0 3 231.339 2.584 20 0 BFADHN Cc1ccc([C@@H](NC/C=C\CO)C2CC2)cc1 ZINC000658140079 411265053 /nfs/dbraw/zinc/26/50/53/411265053.db2.gz RGNLHMJIQOKMBU-MPNWYJEXSA-N 0 3 231.339 2.584 20 0 BFADHN Cc1nc(CN2CC[C@H](C3CC3)C2)c(C)o1 ZINC000660157580 411268892 /nfs/dbraw/zinc/26/88/92/411268892.db2.gz UXUYPDZQMCONGN-LBPRGKRZSA-N 0 3 220.316 2.523 20 0 BFADHN CC[C@H](N)c1cn([C@]2(C)CCCC[C@@H]2C)nn1 ZINC000656459612 411269275 /nfs/dbraw/zinc/26/92/75/411269275.db2.gz WGCTUDHKCQHZKY-GMXVVIOVSA-N 0 3 236.363 2.613 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)C2(CO)CC2)c(C)o1 ZINC000658156859 411270240 /nfs/dbraw/zinc/27/02/40/411270240.db2.gz WOQWWPTUHGXERW-PWSUYJOCSA-N 0 3 237.343 2.708 20 0 BFADHN C[C@H](N[C@H]1CCCn2ccnc21)C1CCCC1 ZINC000655716475 411271192 /nfs/dbraw/zinc/27/11/92/411271192.db2.gz UDWZMGPYQVQVQM-AAEUAGOBSA-N 0 3 233.359 2.886 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H](C)C1(CO)CC1 ZINC000658159143 411272380 /nfs/dbraw/zinc/27/23/80/411272380.db2.gz HIMUSFGGYWFUFK-NWDGAFQWSA-N 0 3 249.354 2.507 20 0 BFADHN c1c(CNC2CCCC2)nnn1CC1CCCC1 ZINC000656451120 411273814 /nfs/dbraw/zinc/27/38/14/411273814.db2.gz LPNLNPUVJQQSLT-UHFFFAOYSA-N 0 3 248.374 2.501 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](C)c2ccc(C)o2)C1 ZINC000658161250 411274257 /nfs/dbraw/zinc/27/42/57/411274257.db2.gz JBKYKDUPZOVGQS-SRVKXCTJSA-N 0 3 223.316 2.806 20 0 BFADHN CC(C)c1cccc(CN2C[C@H](C)[C@H](O)C2)c1 ZINC000660166537 411274507 /nfs/dbraw/zinc/27/45/07/411274507.db2.gz SXWZYBHGCLWLRF-SWLSCSKDSA-N 0 3 233.355 2.623 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccsc1)C1(CO)CC1 ZINC000658161688 411274841 /nfs/dbraw/zinc/27/48/41/411274841.db2.gz UGKZHMDIYKVYIF-VHSXEESVSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@H]1CN(Cc2cccc(C(C)(C)C)c2)C[C@H]1O ZINC000660167426 411275092 /nfs/dbraw/zinc/27/50/92/411275092.db2.gz ZIZZSGRZKBAQNT-SWLSCSKDSA-N 0 3 247.382 2.797 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H](C)c2ccc(C)nc2C)C1 ZINC000658163406 411275975 /nfs/dbraw/zinc/27/59/75/411275975.db2.gz OFMDLTKOWVXEGP-MGPQQGTHSA-N 0 3 248.370 2.917 20 0 BFADHN C[C@@H](NCCO[C@@H]1CC1(F)F)c1cccs1 ZINC000658164253 411277246 /nfs/dbraw/zinc/27/72/46/411277246.db2.gz CSQACFHQXOFUFB-PSASIEDQSA-N 0 3 247.310 2.823 20 0 BFADHN Cc1ccc([C@@H](C)NCCO[C@H]2CC2(F)F)o1 ZINC000658167048 411277932 /nfs/dbraw/zinc/27/79/32/411277932.db2.gz RQSHVSVWBCZHLU-KOLCDFICSA-N 0 3 245.269 2.663 20 0 BFADHN c1cn2c(n1)[C@@H](NCC(C1CC1)C1CC1)CCC2 ZINC000655718055 411278481 /nfs/dbraw/zinc/27/84/81/411278481.db2.gz IUMPHIPVEWDBDP-AWEZNQCLSA-N 0 3 245.370 2.744 20 0 BFADHN COc1cc([C@@H](C)N[C@@]2(C)CC2(C)C)ccn1 ZINC000658304878 411328438 /nfs/dbraw/zinc/32/84/38/411328438.db2.gz QNDOTUBKYBHIQU-YGRLFVJLSA-N 0 3 234.343 2.929 20 0 BFADHN CCn1cc(CN[C@@H](C)CCCC(C)C)nn1 ZINC000658178019 411283844 /nfs/dbraw/zinc/28/38/44/411283844.db2.gz ZKUAIQMZNPYQDC-LBPRGKRZSA-N 0 3 238.379 2.602 20 0 BFADHN COCC(C)(C)CN1CC(CC(F)(F)F)C1 ZINC000656688111 411328693 /nfs/dbraw/zinc/32/86/93/411328693.db2.gz HISWXKSHPHGCEC-UHFFFAOYSA-N 0 3 239.281 2.543 20 0 BFADHN C[C@@H]1C[C@@H](NCCC(C)(C)F)c2nccn21 ZINC000658190913 411287063 /nfs/dbraw/zinc/28/70/63/411287063.db2.gz HQUSDSIVELGATH-NXEZZACHSA-N 0 3 225.311 2.617 20 0 BFADHN CC(C)[C@@H](N[C@H](C)c1cc2n(n1)CCC2)C1CC1 ZINC000658305360 411328948 /nfs/dbraw/zinc/32/89/48/411328948.db2.gz ZJMRZBJPWAQWAU-IAQYHMDHSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@@H](NCC[C@@]1(C)CC1(F)F)c1cnccn1 ZINC000656689558 411329093 /nfs/dbraw/zinc/32/90/93/411329093.db2.gz RLXGJTNZMNBFJD-KOLCDFICSA-N 0 3 241.285 2.563 20 0 BFADHN CCC1(CN[C@H]2CCCn3ccnc32)CCC1 ZINC000655720619 411289338 /nfs/dbraw/zinc/28/93/38/411289338.db2.gz AZLUZZTWBYVRCE-LBPRGKRZSA-N 0 3 233.359 2.888 20 0 BFADHN Cc1ncc([C@H](C)NCCc2ccoc2)c(C)n1 ZINC000658197450 411290166 /nfs/dbraw/zinc/29/01/66/411290166.db2.gz GZMFMCSKDNURAX-JTQLQIEISA-N 0 3 245.326 2.580 20 0 BFADHN COc1cc([C@H](C)NCCc2ccoc2)ccn1 ZINC000658201926 411290903 /nfs/dbraw/zinc/29/09/03/411290903.db2.gz RRGPOMVJUXIQEP-NSHDSACASA-N 0 3 246.310 2.577 20 0 BFADHN C/C=C/C[C@H](CO)NCc1ccc(Cl)s1 ZINC000185822682 411291584 /nfs/dbraw/zinc/29/15/84/411291584.db2.gz DUIUWIMUZSNVJS-GKQMSVHHSA-N 0 3 245.775 2.818 20 0 BFADHN CCN1CC[C@@](C)(c2cccc(F)c2)C1 ZINC000649663243 411292024 /nfs/dbraw/zinc/29/20/24/411292024.db2.gz BAWXZEKHJXNCFX-CYBMUJFWSA-N 0 3 207.292 2.809 20 0 BFADHN C/C=C/C[C@H](CO)NCc1cccc(Cl)c1 ZINC000185822817 411292125 /nfs/dbraw/zinc/29/21/25/411292125.db2.gz UTCYKGSVTVPXGD-YWVDXFKGSA-N 0 3 239.746 2.757 20 0 BFADHN C[C@@H](NC[C@H]1CCC[C@@H](C)O1)c1ccoc1 ZINC000658217563 411296620 /nfs/dbraw/zinc/29/66/20/411296620.db2.gz FPMIWISYHOQHSS-NQBHXWOUSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ccc(F)cn2)CS1 ZINC000655723589 411297293 /nfs/dbraw/zinc/29/72/93/411297293.db2.gz LXGYUUMNKWEOKY-SKDRFNHKSA-N 0 3 240.347 2.594 20 0 BFADHN C[C@H](NCC[C@@]1(C)CC1(F)F)c1nccn1C ZINC000658218412 411297695 /nfs/dbraw/zinc/29/76/95/411297695.db2.gz DFODGQSMTAWRMM-ONGXEEELSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@H](NC[C@]1(C)CC1(F)F)c1ccccn1 ZINC000658217983 411297810 /nfs/dbraw/zinc/29/78/10/411297810.db2.gz IEXRLJZBJPHENF-ONGXEEELSA-N 0 3 226.270 2.778 20 0 BFADHN CC1(C)CC(NCc2ccc3cc[nH]c3n2)C1 ZINC000353639677 167889235 /nfs/dbraw/zinc/88/92/35/167889235.db2.gz DQCOGOFFOFMFIK-UHFFFAOYSA-N 0 3 229.327 2.841 20 0 BFADHN C[C@H](NCC[C@@]1(C)CC1(F)F)c1ccn(C)n1 ZINC000658218990 411298699 /nfs/dbraw/zinc/29/86/99/411298699.db2.gz GLZCDCJXKXMQPG-ONGXEEELSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@H](NCCCC1CCC1)c1ccncn1 ZINC000658220464 411300364 /nfs/dbraw/zinc/30/03/64/411300364.db2.gz HIXWDPASGJDKRH-NSHDSACASA-N 0 3 219.332 2.708 20 0 BFADHN CCC[C@H](C)NCc1nccc(OC)c1F ZINC000658227812 411304781 /nfs/dbraw/zinc/30/47/81/411304781.db2.gz JYABUZUFEDEIOD-VIFPVBQESA-N 0 3 226.295 2.508 20 0 BFADHN CCC(CC)Cn1cc2c(n1)[C@@H](N)CCC2 ZINC000656601561 411306856 /nfs/dbraw/zinc/30/68/56/411306856.db2.gz ZIPZERUOSYEABK-LBPRGKRZSA-N 0 3 221.348 2.655 20 0 BFADHN CC1(C)CCC[C@@H](N[C@H]2CCn3ccnc32)C1 ZINC000335953853 167905252 /nfs/dbraw/zinc/90/52/52/167905252.db2.gz BOGDKQLSRKQKDI-NEPJUHHUSA-N 0 3 233.359 2.886 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2C[C@@H](O)C23CCC3)o1 ZINC000658254422 411316042 /nfs/dbraw/zinc/31/60/42/411316042.db2.gz NKUZSEQIVAFAHN-ZLKJLUDKSA-N 0 3 249.354 2.796 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@@H](O)C23CCC3)oc1C ZINC000658254026 411316220 /nfs/dbraw/zinc/31/62/20/411316220.db2.gz XPLABZZRUDRGAR-LEWSCRJBSA-N 0 3 249.354 2.851 20 0 BFADHN c1ccc(CC2CN(CC3=CCCOC3)C2)cc1 ZINC000656651790 411316651 /nfs/dbraw/zinc/31/66/51/411316651.db2.gz GOJCDFVUQOREPP-UHFFFAOYSA-N 0 3 243.350 2.508 20 0 BFADHN Cc1nsc(C)c1CN1CC[C@H]2C[C@H]2C1 ZINC000661965953 411332364 /nfs/dbraw/zinc/33/23/64/411332364.db2.gz CMIAWOIZBYXIND-QWRGUYRKSA-N 0 3 222.357 2.602 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NC[C@H]1C(C)(C)C1(F)F ZINC000658280140 411320647 /nfs/dbraw/zinc/32/06/47/411320647.db2.gz GLXRZCBCKSDROS-XCBNKYQSSA-N 0 3 243.301 2.660 20 0 BFADHN C[C@@H](NC[C@@H]1CCN1C(C)(C)C)c1cccnc1 ZINC000656675640 411321351 /nfs/dbraw/zinc/32/13/51/411321351.db2.gz HOZQVECBOVIXHN-OCCSQVGLSA-N 0 3 247.386 2.605 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)CCO1 ZINC000659839583 411323432 /nfs/dbraw/zinc/32/34/32/411323432.db2.gz YXDFIYMAZICUDE-YIYPIFLZSA-N 0 3 223.360 2.533 20 0 BFADHN CC(C)=CCCNCc1cc2n(n1)CCCC2 ZINC000655765862 411248904 /nfs/dbraw/zinc/24/89/04/411248904.db2.gz KEFKLYBYGXEMOO-UHFFFAOYSA-N 0 3 233.359 2.665 20 0 BFADHN Cc1ccc(-n2cc([C@H](N)C(C)(C)C)nn2)cc1 ZINC000656433019 411249803 /nfs/dbraw/zinc/24/98/03/411249803.db2.gz GRUIODQRLVYHDS-ZDUSSCGKSA-N 0 3 244.342 2.622 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1C[C@@H](C)[C@@H]1C ZINC000658119893 411250101 /nfs/dbraw/zinc/25/01/01/411250101.db2.gz QSYBRACDMQPFDP-NTZNESFSSA-N 0 3 249.354 2.838 20 0 BFADHN CC[C@H](C)CCN[C@@H](C)c1nccnc1C ZINC000658121383 411250950 /nfs/dbraw/zinc/25/09/50/411250950.db2.gz PNWFPEFHFWBCGW-QWRGUYRKSA-N 0 3 221.348 2.872 20 0 BFADHN CC[C@H](C)CCN[C@H](C)c1ccncn1 ZINC000658122404 411251093 /nfs/dbraw/zinc/25/10/93/411251093.db2.gz FUIXOWWDVVSRKN-WDEREUQCSA-N 0 3 207.321 2.563 20 0 BFADHN CC[C@H](C)CCN[C@H](C)c1nccn1CC ZINC000658121853 411251302 /nfs/dbraw/zinc/25/13/02/411251302.db2.gz RBPPRXDPBCXVEU-NWDGAFQWSA-N 0 3 223.364 2.990 20 0 BFADHN Cc1ccoc1CN[C@@H]1CC[C@@H](C)SC1 ZINC000655769374 411251326 /nfs/dbraw/zinc/25/13/26/411251326.db2.gz HBCDDBHAMYRBNH-GHMZBOCLSA-N 0 3 225.357 2.962 20 0 BFADHN CCC[C@H](C)NCc1c(OC)cccc1OC ZINC000658122861 411251417 /nfs/dbraw/zinc/25/14/17/411251417.db2.gz WWOUYYIAZXLDFP-NSHDSACASA-N 0 3 237.343 2.982 20 0 BFADHN COc1cccc(OC)c1CN[C@H](C)C(C)C ZINC000658121753 411251502 /nfs/dbraw/zinc/25/15/02/411251502.db2.gz QNGVSPJFHUCWFM-LLVKDONJSA-N 0 3 237.343 2.838 20 0 BFADHN CC[C@H](C)CCN[C@H](C)c1ccn(C)n1 ZINC000658123553 411252401 /nfs/dbraw/zinc/25/24/01/411252401.db2.gz KOLHGYBZOKBVBB-WDEREUQCSA-N 0 3 209.337 2.507 20 0 BFADHN CN(Cc1cccc2c1OCO2)CC1CCC1 ZINC000127780478 411252454 /nfs/dbraw/zinc/25/24/54/411252454.db2.gz GKLFPKCOHXSLPI-UHFFFAOYSA-N 0 3 233.311 2.647 20 0 BFADHN Cc1ccncc1CCN[C@H](C)c1ccoc1 ZINC000658127208 411254196 /nfs/dbraw/zinc/25/41/96/411254196.db2.gz TUXDNJNZJQGRSX-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN C[C@H]1CCCC[C@H]1CN1CCc2n[nH]cc2C1 ZINC000660137240 411254397 /nfs/dbraw/zinc/25/43/97/411254397.db2.gz PPTZCCJBQRIJOT-RYUDHWBXSA-N 0 3 233.359 2.594 20 0 BFADHN CC(C)[C@H](NC/C=C\CO)c1ccccc1 ZINC000658132597 411256076 /nfs/dbraw/zinc/25/60/76/411256076.db2.gz AAMXIFBHLLKACQ-AFNCTOJWSA-N 0 3 219.328 2.522 20 0 BFADHN C[C@@H](N[C@]1(C)CC1(C)C)c1cc2n(n1)CCC2 ZINC000658301734 411326710 /nfs/dbraw/zinc/32/67/10/411326710.db2.gz JQTAXONCXZFBTD-QMTHXVAHSA-N 0 3 233.359 2.669 20 0 BFADHN CCc1ccc([C@H](CC)NC/C=C/CO)cc1 ZINC000658132895 411256913 /nfs/dbraw/zinc/25/69/13/411256913.db2.gz JUOZUCILVMWWBF-NFAHFFEMSA-N 0 3 233.355 2.838 20 0 BFADHN c1cn2c(n1)[C@@H](N[C@H](C1CC1)C1CCC1)CCC2 ZINC000655774670 411257630 /nfs/dbraw/zinc/25/76/30/411257630.db2.gz DPFFIANSHVIXBK-KBPBESRZSA-N 0 3 245.370 2.886 20 0 BFADHN Cc1ccc(F)c(CN2C[C@@H]3COC[C@@]3(C)C2)c1 ZINC000661708052 411257885 /nfs/dbraw/zinc/25/78/85/411257885.db2.gz LNWDOJCTOSDEET-UKRRQHHQSA-N 0 3 249.329 2.602 20 0 BFADHN C[C@@H](NC/C=C\CO)c1ccc(Cl)c(F)c1 ZINC000658133303 411258521 /nfs/dbraw/zinc/25/85/21/411258521.db2.gz DJTGXNAELHIOCV-PKRMOACSSA-N 0 3 243.709 2.678 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2cc3n(n2)CCCC3)C1 ZINC000655708066 411258639 /nfs/dbraw/zinc/25/86/39/411258639.db2.gz IXMJFFKCNKZPTO-CHWSQXEVSA-N 0 3 247.386 2.888 20 0 BFADHN CC[C@@H](NC/C=C/CO)c1cccc(C)c1 ZINC000658134483 411259834 /nfs/dbraw/zinc/25/98/34/411259834.db2.gz ABLVKGGVXKNLKF-ISZGNANSSA-N 0 3 219.328 2.584 20 0 BFADHN CCC[C@@H](NC/C=C\CO)c1ccc(OC)cc1 ZINC000658136013 411260466 /nfs/dbraw/zinc/26/04/66/411260466.db2.gz VTFJWWMCLCNKNH-FOSCPCJNSA-N 0 3 249.354 2.675 20 0 BFADHN CC1(C)CC[C@H](NC/C=C\CO)c2ccccc21 ZINC000658136164 411260592 /nfs/dbraw/zinc/26/05/92/411260592.db2.gz GSOFRARZGGURGR-YVACAVLKSA-N 0 3 245.366 2.937 20 0 BFADHN CCC[C@@H](NC/C=C/CO)c1ccc(OC)cc1 ZINC000658136014 411260772 /nfs/dbraw/zinc/26/07/72/411260772.db2.gz VTFJWWMCLCNKNH-MBVDDHJVSA-N 0 3 249.354 2.675 20 0 BFADHN CC[C@H](N[C@@H](C(C)C)C1CC1)c1ccn(C)n1 ZINC000658299555 411326903 /nfs/dbraw/zinc/32/69/03/411326903.db2.gz GJXUKVMTELESLY-JSGCOSHPSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@@H]3COC[C@H]3C2)c1 ZINC000662031756 411349334 /nfs/dbraw/zinc/34/93/34/411349334.db2.gz URSLRKIVRXPHRB-TZMCWYRMSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@H]2COC[C@@H]2C1 ZINC000662034108 411349615 /nfs/dbraw/zinc/34/96/15/411349615.db2.gz LSOLDXJKAARHCI-JSGCOSHPSA-N 0 3 249.329 2.602 20 0 BFADHN Cn1cnc(CN2CCC[C@H]2CC(C)(C)C)c1 ZINC000659861206 411352095 /nfs/dbraw/zinc/35/20/95/411352095.db2.gz RDLNMKHKEFBKHN-ZDUSSCGKSA-N 0 3 235.375 2.821 20 0 BFADHN CC[C@H](N[C@H]1C[C@H](O)C1)c1ccc(Cl)cc1 ZINC000658351589 411354116 /nfs/dbraw/zinc/35/41/16/411354116.db2.gz PAFNZWMQYMPQGC-AVGNSLFASA-N 0 3 239.746 2.904 20 0 BFADHN CCC(CC)N(Cc1cc(OC)no1)C1CC1 ZINC000659871283 411356322 /nfs/dbraw/zinc/35/63/22/411356322.db2.gz QJQHYPIZTYANKX-UHFFFAOYSA-N 0 3 238.331 2.836 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCC2(COC2)C1 ZINC000662075972 411358170 /nfs/dbraw/zinc/35/81/70/411358170.db2.gz PCFNVIXCBLAZGA-NSHDSACASA-N 0 3 235.302 2.609 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@H]2CC3CCC2CC3)[n-]1 ZINC000660626833 411359169 /nfs/dbraw/zinc/35/91/69/411359169.db2.gz ZPFDKODOQKJDDY-YYJSSNLHSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)(N)C(=O)Nc1ccc2c(c1)C=CCCC2 ZINC000658955030 411363806 /nfs/dbraw/zinc/36/38/06/411363806.db2.gz DPXOONOQENTNMQ-UHFFFAOYSA-N 0 3 244.338 2.712 20 0 BFADHN CC[C@H](C)CNc1ccc([C@@H]2CNCCO2)cc1 ZINC000656794215 411364119 /nfs/dbraw/zinc/36/41/19/411364119.db2.gz XRDKFOCKIJNCSG-WFASDCNBSA-N 0 3 248.370 2.806 20 0 BFADHN CC[C@H](C)CCNC(=O)Nc1cccc(CN)c1 ZINC000659018577 411367616 /nfs/dbraw/zinc/36/76/16/411367616.db2.gz PJDBPXRWDQHJJX-NSHDSACASA-N 0 3 249.358 2.703 20 0 BFADHN CCc1cc(CCCN2CC[C@@H]3C[C@@H]3C2)on1 ZINC000661966425 411333935 /nfs/dbraw/zinc/33/39/35/411333935.db2.gz HXCMLCZLDQXZCR-VXGBXAGGSA-N 0 3 234.343 2.511 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCCc2ccc(O)cc21 ZINC000658330680 411338554 /nfs/dbraw/zinc/33/85/54/411338554.db2.gz FXTKJFWIQRYESK-ZNMIVQPWSA-N 0 3 247.338 2.537 20 0 BFADHN Cn1cnc(CN2CCC[C@@H]2C2CCCCC2)c1 ZINC000659844620 411338793 /nfs/dbraw/zinc/33/87/93/411338793.db2.gz CYFFQJKHPFJMGV-OAHLLOKOSA-N 0 3 247.386 2.965 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCc2c1cccc2F ZINC000658331917 411339518 /nfs/dbraw/zinc/33/95/18/411339518.db2.gz NFOPVWXOFIGCMO-MCIONIFRSA-N 0 3 235.302 2.580 20 0 BFADHN CCC[C@H](CC)NCc1cc2n(n1)CCCC2 ZINC000655729074 411372388 /nfs/dbraw/zinc/37/23/88/411372388.db2.gz DTDZHUUXPMZCQF-LBPRGKRZSA-N 0 3 235.375 2.888 20 0 BFADHN CC[C@H](N[C@@H]1C[C@]1(C)OC)c1ccc(OC)cc1 ZINC000658334221 411342334 /nfs/dbraw/zinc/34/23/34/411342334.db2.gz FNSZDFDVKSOAEK-ZNMIVQPWSA-N 0 3 249.354 2.913 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1ccccc1C ZINC000658334835 411343154 /nfs/dbraw/zinc/34/31/54/411343154.db2.gz UXSRAQUZEGSQCB-BNOWGMLFSA-N 0 3 219.328 2.823 20 0 BFADHN CC1(C)[C@H](Nc2ccnc3ccccc32)C[C@@H]1O ZINC000129005168 168002589 /nfs/dbraw/zinc/00/25/89/168002589.db2.gz XHJMVPIRFNPKGE-KGLIPLIRSA-N 0 3 242.322 2.806 20 0 BFADHN C[C@@H](N[C@@H](C)CC1CCC1)c1nccn1C ZINC000658338444 411346253 /nfs/dbraw/zinc/34/62/53/411346253.db2.gz YEEZWSPRLSWTKO-WDEREUQCSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H]1C(C)(C)C)c1nccn1C ZINC000658338719 411346364 /nfs/dbraw/zinc/34/63/64/411346364.db2.gz JVWKDZILYCSBER-SRVKXCTJSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](C)CC[C@@H]1C)c1nccn1C ZINC000658340205 411347331 /nfs/dbraw/zinc/34/73/31/411347331.db2.gz ZJYPYOBZQBPRTD-XQHKEYJVSA-N 0 3 235.375 2.895 20 0 BFADHN CC1(CNCc2ccc(Cl)c(F)c2)COC1 ZINC000082694142 168044001 /nfs/dbraw/zinc/04/40/01/168044001.db2.gz RNLJDHKNDOXYGY-UHFFFAOYSA-N 0 3 243.709 2.605 20 0 BFADHN CC(C)=CCCN[C@H](C)c1nnc2ccccn21 ZINC000660256090 411348021 /nfs/dbraw/zinc/34/80/21/411348021.db2.gz DSMPNBQOMBLNGN-GFCCVEGCSA-N 0 3 244.342 2.736 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@H]3COC[C@H]3C2)c1 ZINC000662031753 411348169 /nfs/dbraw/zinc/34/81/69/411348169.db2.gz URSLRKIVRXPHRB-GXTWGEPZSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1ccc([C@@H](N[C@H]2C[C@H](O)C2)C2CCC2)o1 ZINC000658347825 411348380 /nfs/dbraw/zinc/34/83/80/411348380.db2.gz HSEIGBPOYQNANP-OBJOEFQTSA-N 0 3 235.327 2.542 20 0 BFADHN Cc1cccnc1CN1CCC(C)=C(C)C1 ZINC000280868338 168084605 /nfs/dbraw/zinc/08/46/05/168084605.db2.gz NPSAPGCYRBDYNK-UHFFFAOYSA-N 0 3 216.328 2.932 20 0 BFADHN CC1=C(C)CN(CCc2cccnc2)CC1 ZINC000280781303 168084785 /nfs/dbraw/zinc/08/47/85/168084785.db2.gz QAOVZYUMVFOYOU-UHFFFAOYSA-N 0 3 216.328 2.666 20 0 BFADHN CC1=C(C)CN(CCO[C@H]2CCCCO2)CC1 ZINC000361619848 168085369 /nfs/dbraw/zinc/08/53/69/168085369.db2.gz OQFMCYKHDGZRPC-AWEZNQCLSA-N 0 3 239.359 2.572 20 0 BFADHN Cc1ncsc1CN1CCC=C(C)C1 ZINC000281880016 168100994 /nfs/dbraw/zinc/10/09/94/168100994.db2.gz VLZBXEHTKWHKNO-UHFFFAOYSA-N 0 3 208.330 2.604 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CN1CCOCC12CC2 ZINC000338994855 168102079 /nfs/dbraw/zinc/10/20/79/168102079.db2.gz CWKCALUFRPBNDJ-ZIAGYGMSSA-N 0 3 235.371 2.844 20 0 BFADHN c1ccc2c(CCN3CCCCC3)noc2c1 ZINC000653490027 411380090 /nfs/dbraw/zinc/38/00/90/411380090.db2.gz QGQKQAYOCHXCHF-UHFFFAOYSA-N 0 3 230.311 2.856 20 0 BFADHN CC1=CCN(C[C@](C)(O)c2ccccc2)CC1 ZINC000270322240 168115707 /nfs/dbraw/zinc/11/57/07/168115707.db2.gz KJJYEVJGKWCXDJ-HNNXBMFYSA-N 0 3 231.339 2.546 20 0 BFADHN CC1=CCN([C@@H](C)c2cccnc2)CC1 ZINC000270391684 168117972 /nfs/dbraw/zinc/11/79/72/168117972.db2.gz YFLNRKRFILMBFC-LBPRGKRZSA-N 0 3 202.301 2.795 20 0 BFADHN CC1=CC[C@H](N[C@@H](c2nncn2C)C(C)C)CC1 ZINC000294250328 168121598 /nfs/dbraw/zinc/12/15/98/168121598.db2.gz AIFDEZNEAPKPCO-QWHCGFSZSA-N 0 3 248.374 2.601 20 0 BFADHN CC1=CC[C@H](N[C@H](CCO)c2ccco2)CC1 ZINC000285210957 168121778 /nfs/dbraw/zinc/12/17/78/168121778.db2.gz OMBBNSCCVYTSTL-QWHCGFSZSA-N 0 3 235.327 2.792 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN2CCOC[C@H]2C)C1 ZINC000356097198 168128400 /nfs/dbraw/zinc/12/84/00/168128400.db2.gz ZUTJLJIIUNLDNC-IACUBPJLSA-N 0 3 223.360 2.700 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN2CCO[C@@H](C)C2)C1 ZINC000354317494 168129977 /nfs/dbraw/zinc/12/99/77/168129977.db2.gz GVWKDLLEATYQIS-UBHSHLNASA-N 0 3 223.360 2.700 20 0 BFADHN CCCCCNC(=O)CN[C@@H](C)c1ccccc1 ZINC000243401810 411381916 /nfs/dbraw/zinc/38/19/16/411381916.db2.gz MNMWMIQUOCJKSL-ZDUSSCGKSA-N 0 3 248.370 2.644 20 0 BFADHN C[C@H](NC1CC(C)C1)c1ccncc1F ZINC000308848814 168146770 /nfs/dbraw/zinc/14/67/70/168146770.db2.gz NHVYMGZDGHKBQX-KYHHOPLUSA-N 0 3 208.280 2.670 20 0 BFADHN CC1CCC(CN2CCO[C@@H](C3CC3)C2)CC1 ZINC000362516926 168153104 /nfs/dbraw/zinc/15/31/04/168153104.db2.gz UWTXVKDTNCOEHN-SSDMNJCBSA-N 0 3 237.387 2.924 20 0 BFADHN CC1CN(C/C=C\c2ccc(F)cc2)C1 ZINC000338959931 168190760 /nfs/dbraw/zinc/19/07/60/168190760.db2.gz YZTLNPHQXOIHMV-IHWYPQMZSA-N 0 3 205.276 2.791 20 0 BFADHN CC1CN(Cc2coc3ccccc23)C1 ZINC000338955289 168190968 /nfs/dbraw/zinc/19/09/68/168190968.db2.gz SYVBUFKATZMNPZ-UHFFFAOYSA-N 0 3 201.269 2.885 20 0 BFADHN CCC(=O)CCCN(C)Cc1cccs1 ZINC000232841883 168199480 /nfs/dbraw/zinc/19/94/80/168199480.db2.gz PTIQXCHZVNBAIM-UHFFFAOYSA-N 0 3 225.357 2.939 20 0 BFADHN CCC(=O)CCCN1CCc2ccccc2C1 ZINC000232871672 168200822 /nfs/dbraw/zinc/20/08/22/168200822.db2.gz BLOCXYWIKOKWCX-UHFFFAOYSA-N 0 3 231.339 2.804 20 0 BFADHN CCC(=O)CCN(C)CCc1ccccc1 ZINC000190191470 168201455 /nfs/dbraw/zinc/20/14/55/168201455.db2.gz UJTJAWPULXDZGS-UHFFFAOYSA-N 0 3 219.328 2.530 20 0 BFADHN Cc1ncncc1[C@H](C)NC1CC2(CCC2)C1 ZINC000655780796 411389860 /nfs/dbraw/zinc/38/98/60/411389860.db2.gz JOJNXACPHQOUTD-NSHDSACASA-N 0 3 231.343 2.768 20 0 BFADHN CCC(=O)CCN1CCCc2ccccc2C1 ZINC000190224336 168203762 /nfs/dbraw/zinc/20/37/62/168203762.db2.gz HPQJOMBJAGGSTN-UHFFFAOYSA-N 0 3 231.339 2.804 20 0 BFADHN CCC(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000028004003 168214148 /nfs/dbraw/zinc/21/41/48/168214148.db2.gz PCMUWYHREXSXFM-UHFFFAOYSA-N 0 3 246.354 2.524 20 0 in-vitro BFADHN CC[C@H]1CN(CCC=C(C)C)C[C@H](C)O1 ZINC000659919034 411382479 /nfs/dbraw/zinc/38/24/79/411382479.db2.gz NXOORIFKQRAHTA-STQMWFEESA-N 0 3 211.349 2.842 20 0 BFADHN CCN(Cc1cc(C2CC2)no1)CC1CC1 ZINC000659919742 411382957 /nfs/dbraw/zinc/38/29/57/411382957.db2.gz FLAHBMHPOZTOOU-UHFFFAOYSA-N 0 3 220.316 2.784 20 0 BFADHN CCC(C)(C)CCN1C[C@H](C)O[C@@H](C)C1 ZINC000348926515 168276582 /nfs/dbraw/zinc/27/65/82/168276582.db2.gz IFYXDYGIBPDJJP-RYUDHWBXSA-N 0 3 213.365 2.922 20 0 BFADHN CCC(C)(C)CCN1C[C@H]2CC[C@@H](C1)O2 ZINC000342168088 168276627 /nfs/dbraw/zinc/27/66/27/168276627.db2.gz ZYQKGGZZSOPFLN-TXEJJXNPSA-N 0 3 211.349 2.676 20 0 BFADHN CCC(C)(C)CN[C@H]1C[C@H](C)n2ccnc21 ZINC000345365625 168282215 /nfs/dbraw/zinc/28/22/15/168282215.db2.gz YSZZBVCCKHJPNC-QWRGUYRKSA-N 0 3 221.348 2.915 20 0 BFADHN CCC(C)(C)CN[C@@H](C)c1cc(C)n(C)n1 ZINC000336751153 168282403 /nfs/dbraw/zinc/28/24/03/168282403.db2.gz BZBUONINXZZPKK-NSHDSACASA-N 0 3 223.364 2.815 20 0 BFADHN CCC(C)(C)NC(=O)C[C@H]1NCc2ccccc21 ZINC000659277352 411387508 /nfs/dbraw/zinc/38/75/08/411387508.db2.gz UCIDLKUBLHMWJP-CYBMUJFWSA-N 0 3 246.354 2.526 20 0 BFADHN CCC(C)(C)NC(=O)CN1CCCCC[C@@H]1C ZINC000358407758 168289790 /nfs/dbraw/zinc/28/97/90/168289790.db2.gz FPYYFPVXFHDVJE-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CCC(C)(C)NC(=O)[C@H](C)N1CCCCCC1 ZINC000310085087 168295537 /nfs/dbraw/zinc/29/55/37/168295537.db2.gz MVTHREZUICTZEC-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CCC(C)(C)N[C@H](C)C(=O)Nc1ccsc1 ZINC000273206047 168303198 /nfs/dbraw/zinc/30/31/98/168303198.db2.gz KQMIGCIWLJBQIC-SECBINFHSA-N 0 3 240.372 2.853 20 0 BFADHN CCC(C)(CC)NC(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000360226344 168307103 /nfs/dbraw/zinc/30/71/03/168307103.db2.gz WSIOEBRGFRVVMK-RYUDHWBXSA-N 0 3 240.391 2.554 20 0 BFADHN CCc1nc([C@@H](C)N2CCC[C@H](CC)C2)n[nH]1 ZINC000659824513 411464368 /nfs/dbraw/zinc/46/43/68/411464368.db2.gz DFKJFECFGUEZDK-MNOVXSKESA-N 0 3 236.363 2.550 20 0 BFADHN CCc1nc([C@H](C)N2CCC[C@@H](CC)C2)n[nH]1 ZINC000659824516 411464383 /nfs/dbraw/zinc/46/43/83/411464383.db2.gz DFKJFECFGUEZDK-WDEREUQCSA-N 0 3 236.363 2.550 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1nc(C)c[nH]1 ZINC000657305302 411456280 /nfs/dbraw/zinc/45/62/80/411456280.db2.gz MQWWZLFXAVZLHN-VXGBXAGGSA-N 0 3 221.348 2.777 20 0 BFADHN Fc1c(CNCC2CC2)cccc1OC1CC1 ZINC000657304167 411456536 /nfs/dbraw/zinc/45/65/36/411456536.db2.gz KVQOPENKAYRUGH-UHFFFAOYSA-N 0 3 235.302 2.867 20 0 BFADHN CCc1ccc(CN[C@@H]2CO[C@@H](CC)C2)s1 ZINC000657310691 411459180 /nfs/dbraw/zinc/45/91/80/411459180.db2.gz QXVBREWFMXNMEE-QWRGUYRKSA-N 0 3 239.384 2.968 20 0 BFADHN Cc1ccccc1CN(C)[C@H]1COC(C)(C)C1 ZINC000659813157 411460536 /nfs/dbraw/zinc/46/05/36/411460536.db2.gz QBWYNBWUBUESAV-CQSZACIVSA-N 0 3 233.355 2.994 20 0 BFADHN C[C@H]1CN(CCCC2CCC2)[C@@H](C)CO1 ZINC000659820761 411460670 /nfs/dbraw/zinc/46/06/70/411460670.db2.gz BTDUPNLROGTQIU-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)Cc2ccccc2C)n1 ZINC000657319157 411460816 /nfs/dbraw/zinc/46/08/16/411460816.db2.gz UBCXUBUJCKPLAB-GFCCVEGCSA-N 0 3 243.354 2.747 20 0 BFADHN CC(C)C1(CN[C@@H]2CCCn3ccnc32)CC1 ZINC000655731941 411399100 /nfs/dbraw/zinc/39/91/00/411399100.db2.gz WNXLKIZFVBYOCM-GFCCVEGCSA-N 0 3 233.359 2.744 20 0 BFADHN CCC(=O)CCCN1CCC[C@@H](OC(C)C)C1 ZINC000404511825 411399552 /nfs/dbraw/zinc/39/95/52/411399552.db2.gz DIBWVQAIIMYDQL-CQSZACIVSA-N 0 3 241.375 2.635 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccc(C)cc2C)CO1 ZINC000657315387 411461421 /nfs/dbraw/zinc/46/14/21/411461421.db2.gz SNXSLXLAUOQAMV-HUUCEWRRSA-N 0 3 233.355 2.961 20 0 BFADHN Cc1occc1CNCCN1CCCC1(C)C ZINC000414465716 411421834 /nfs/dbraw/zinc/42/18/34/411421834.db2.gz YHJDYGOQGDOBKD-UHFFFAOYSA-N 0 3 236.359 2.552 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1CC[C@H](OC)C1 ZINC000267693501 411421853 /nfs/dbraw/zinc/42/18/53/411421853.db2.gz SNGPGBNRQQQJCG-UONOGXRCSA-N 0 3 237.318 2.998 20 0 BFADHN CC[C@@H](NCc1cn2cc(C)ccc2n1)C1CC1 ZINC000268867105 411423629 /nfs/dbraw/zinc/42/36/29/411423629.db2.gz KUFLQUBAMOMCLA-CQSZACIVSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)[C@@H]2CO)cs1 ZINC000653587598 411426766 /nfs/dbraw/zinc/42/67/66/411426766.db2.gz JTYYIWNOWWDGSF-MFKMUULPSA-N 0 3 239.384 2.649 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2cncc(C)c2)C1(C)C ZINC000271596261 411432059 /nfs/dbraw/zinc/43/20/59/411432059.db2.gz YYPNSKHIFNVSBV-ZFWWWQNUSA-N 0 3 248.370 2.683 20 0 BFADHN CCCCN(CC)[C@@H](C)c1n[nH]c(CC)n1 ZINC000659816836 411463041 /nfs/dbraw/zinc/46/30/41/411463041.db2.gz VNNDGRFPJLTIJV-JTQLQIEISA-N 0 3 224.352 2.550 20 0 BFADHN CCC1(CC)[C@@H](N[C@@H](C)CF)C[C@@H]1OC ZINC000894600438 583490107 /nfs/dbraw/zinc/49/01/07/583490107.db2.gz HBTOOKNWSLUVFE-DCAQKATOSA-N 0 3 217.328 2.528 20 0 BFADHN c1cn2c(n1)[C@H](NCC1(C3CC3)CCC1)CCC2 ZINC000655736728 411442895 /nfs/dbraw/zinc/44/28/95/411442895.db2.gz HGVHMNVNAIRKAB-CYBMUJFWSA-N 0 3 245.370 2.888 20 0 BFADHN CO[C@H](CN(C)Cc1ccsc1)C1CCC1 ZINC000659821976 411463725 /nfs/dbraw/zinc/46/37/25/411463725.db2.gz QZBMOKXQTZZBHT-CYBMUJFWSA-N 0 3 239.384 2.995 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccccc2Cl)CO1 ZINC000657285929 411444138 /nfs/dbraw/zinc/44/41/38/411444138.db2.gz QMGUTKLAQMIPNR-VXGBXAGGSA-N 0 3 239.746 2.997 20 0 BFADHN Cc1cncc(CN[C@@H]2CC[C@@H](C)SC2)c1 ZINC000655738590 411444490 /nfs/dbraw/zinc/44/44/90/411444490.db2.gz YIDQTSFCVXPLPY-DGCLKSJQSA-N 0 3 236.384 2.764 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CCC[C@H](C)[C@H]2C)n1 ZINC000657285339 411444585 /nfs/dbraw/zinc/44/45/85/411444585.db2.gz DJBPAPMACNOCAL-MVWJERBFSA-N 0 3 221.348 2.632 20 0 BFADHN CCN(Cc1ccc(F)c(C)c1)C[C@H](C)OC ZINC000361015624 169906691 /nfs/dbraw/zinc/90/66/91/169906691.db2.gz CJFXDHLLTAUMSH-LBPRGKRZSA-N 0 3 239.334 2.991 20 0 BFADHN CC[C@H]1CCCN(Cc2cc(C)nc(C)n2)C1 ZINC000659825624 411463909 /nfs/dbraw/zinc/46/39/09/411463909.db2.gz WKPOZDKYKBAJMN-ZDUSSCGKSA-N 0 3 233.359 2.715 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H]2CCc3cc(F)ccc32)CO1 ZINC000657334410 411463953 /nfs/dbraw/zinc/46/39/53/411463953.db2.gz ZMGJCPYXTLSXQO-GZBFAFLISA-N 0 3 249.329 2.970 20 0 BFADHN Cc1cccc2[nH]c(CNC[C@H]3CCCO3)cc21 ZINC000657291494 411452005 /nfs/dbraw/zinc/45/20/05/411452005.db2.gz VQIGATZURLKQKH-CYBMUJFWSA-N 0 3 244.338 2.745 20 0 BFADHN CCOc1ccc(CNC[C@@H]2CCCO2)c(C)c1 ZINC000657290359 411452109 /nfs/dbraw/zinc/45/21/09/411452109.db2.gz NBXPBLYKLVHNPH-HNNXBMFYSA-N 0 3 249.354 2.662 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2ccccc2)CO1 ZINC000657290735 411452349 /nfs/dbraw/zinc/45/23/49/411452349.db2.gz PVRXENAYTLZKCF-KWCYVHTRSA-N 0 3 219.328 2.905 20 0 BFADHN CCc1ccc([C@@H](C)NCCN2CC=CCC2)o1 ZINC000281155339 411452859 /nfs/dbraw/zinc/45/28/59/411452859.db2.gz OTSDWBYAVHMKQR-CYBMUJFWSA-N 0 3 248.370 2.755 20 0 BFADHN Cc1c[nH]c(CNC[C@H](C)c2ccccc2)n1 ZINC000657291890 411453694 /nfs/dbraw/zinc/45/36/94/411453694.db2.gz YZZAXEIMWUTALX-NSHDSACASA-N 0 3 229.327 2.611 20 0 BFADHN CN(CCO[C@H]1CC1(F)F)Cc1cccs1 ZINC000659827884 411467239 /nfs/dbraw/zinc/46/72/39/411467239.db2.gz TXYYTWCWJYWEKE-JTQLQIEISA-N 0 3 247.310 2.604 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cn(C2CCC2)nn1 ZINC000657328821 411467410 /nfs/dbraw/zinc/46/74/10/411467410.db2.gz FBSWSYCYNZFTHH-WDEREUQCSA-N 0 3 236.363 2.527 20 0 BFADHN COc1cc(CN(CC(C)C)CC(C)C)on1 ZINC000659835673 411470109 /nfs/dbraw/zinc/47/01/09/411470109.db2.gz ODHAKXIONRMTEX-UHFFFAOYSA-N 0 3 240.347 2.797 20 0 BFADHN CC1(NCc2cn(C3CCC3)nn2)CCCCC1 ZINC000657345175 411470269 /nfs/dbraw/zinc/47/02/69/411470269.db2.gz JDXMVCGOJHHSMK-UHFFFAOYSA-N 0 3 248.374 2.816 20 0 BFADHN CC[C@H]1CN(CCCC2CCC2)CCO1 ZINC000659838621 411470345 /nfs/dbraw/zinc/47/03/45/411470345.db2.gz QJSRVGYURVTEGT-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H]2CCc3c2cccc3F)CO1 ZINC000657336754 411471434 /nfs/dbraw/zinc/47/14/34/411471434.db2.gz WBLLOJPDQNTRBV-HFAKWTLXSA-N 0 3 249.329 2.970 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCSCC1 ZINC000659842509 411473154 /nfs/dbraw/zinc/47/31/54/411473154.db2.gz AYLVXKUMWHRZOF-VIFPVBQESA-N 0 3 223.332 2.717 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H]2CCc3ccc(F)cc32)CO1 ZINC000657353912 411474169 /nfs/dbraw/zinc/47/41/69/411474169.db2.gz LBPQKRVXKRXWOJ-GZBFAFLISA-N 0 3 249.329 2.970 20 0 BFADHN Cc1c[nH]c(CNC2CC(c3ccccc3)C2)n1 ZINC000657351433 411475933 /nfs/dbraw/zinc/47/59/33/411475933.db2.gz OLHSZMQGDWZUCA-UHFFFAOYSA-N 0 3 241.338 2.754 20 0 BFADHN CCC1CCN(CCO[C@@H]2CC2(F)F)CC1 ZINC000659848570 411476228 /nfs/dbraw/zinc/47/62/28/411476228.db2.gz KELFACZNOLXXQR-LLVKDONJSA-N 0 3 233.302 2.533 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccccn1)c1ccccn1 ZINC000655838050 411533048 /nfs/dbraw/zinc/53/30/48/411533048.db2.gz ZHMWBKFDMRQXQV-QWHCGFSZSA-N 0 3 241.338 2.758 20 0 BFADHN COCC1(CNCc2cc(C)ccc2OC)CC1 ZINC000655838212 411533053 /nfs/dbraw/zinc/53/30/53/411533053.db2.gz KSLBOHRJUZEVQC-UHFFFAOYSA-N 0 3 249.354 2.520 20 0 BFADHN CCN(Cc1cc(C)nc(C)n1)[C@H](C)C(C)C ZINC000659856287 411478574 /nfs/dbraw/zinc/47/85/74/411478574.db2.gz BKQQNTGQMPCOME-GFCCVEGCSA-N 0 3 235.375 2.960 20 0 BFADHN C[C@@H]1CN(CCC2CCC2)CC(C)(C)O1 ZINC000659855484 411479101 /nfs/dbraw/zinc/47/91/01/411479101.db2.gz FSMYQILEGKBWFV-LLVKDONJSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)C(C)(C)NCc1cn(C2CCC2)nn1 ZINC000657357122 411479314 /nfs/dbraw/zinc/47/93/14/411479314.db2.gz XXUIKOSADYGTKU-UHFFFAOYSA-N 0 3 236.363 2.527 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2C(C)C)c(C)o1 ZINC000659856912 411479731 /nfs/dbraw/zinc/47/97/31/411479731.db2.gz DSEHUVBGWUKBRK-CYBMUJFWSA-N 0 3 222.332 2.912 20 0 BFADHN CC/C=C/CN[C@@H](C)c1cccc(CO)c1 ZINC000296384424 411480625 /nfs/dbraw/zinc/48/06/25/411480625.db2.gz JUWFOMDIWGQUPZ-ITKZLYELSA-N 0 3 219.328 2.796 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2c(C)noc2C)CO1 ZINC000657366139 411481066 /nfs/dbraw/zinc/48/10/66/411481066.db2.gz HINREDOZGBKICJ-JFUSQASVSA-N 0 3 238.331 2.510 20 0 BFADHN COc1cc(CN(C)CC2CCCC2)sn1 ZINC000659860641 411481906 /nfs/dbraw/zinc/48/19/06/411481906.db2.gz AFUOJVDNEUBBQX-UHFFFAOYSA-N 0 3 240.372 2.774 20 0 BFADHN CC[C@@H](NCc1nc(C)c[nH]1)[C@@H]1CC1(C)C ZINC000657494065 411533307 /nfs/dbraw/zinc/53/33/07/411533307.db2.gz KQLOMQFNDVMAIT-WDEREUQCSA-N 0 3 221.348 2.632 20 0 BFADHN Cc1cc(CN2CCC(C)(C)CC2)nc(C)n1 ZINC000659871369 411482842 /nfs/dbraw/zinc/48/28/42/411482842.db2.gz SJNFMBSLBRSHQO-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN Cc1c[nH]c(CNCCc2cc(C)cc(C)c2)n1 ZINC000657373497 411483023 /nfs/dbraw/zinc/48/30/23/411483023.db2.gz XISZOYUUZFWTJL-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN CC(C)C[C@@H]1CCN(Cc2ccc(N)nc2)C1 ZINC000307780105 411485377 /nfs/dbraw/zinc/48/53/77/411485377.db2.gz BCQKQRJACVJMQB-LBPRGKRZSA-N 0 3 233.359 2.532 20 0 BFADHN Cc1c[nH]c(CNC2C[C@@H](C)C[C@H](C)C2)n1 ZINC000657372670 411485679 /nfs/dbraw/zinc/48/56/79/411485679.db2.gz WHNJMEWATCFJTB-UWVGGRQHSA-N 0 3 221.348 2.632 20 0 BFADHN Cc1c[nH]c(CNC2C[C@H](C)C[C@@H](C)C2)n1 ZINC000657372669 411486148 /nfs/dbraw/zinc/48/61/48/411486148.db2.gz WHNJMEWATCFJTB-NXEZZACHSA-N 0 3 221.348 2.632 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1cc2n(n1)CCC2 ZINC000657377903 411486717 /nfs/dbraw/zinc/48/67/17/411486717.db2.gz RKVQULICWIYGLE-VXGBXAGGSA-N 0 3 235.375 2.744 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1cc2n(n1)CCC2 ZINC000657377901 411486999 /nfs/dbraw/zinc/48/69/99/411486999.db2.gz RKVQULICWIYGLE-NWDGAFQWSA-N 0 3 235.375 2.744 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1nc(C)c[nH]1 ZINC000657377978 411487463 /nfs/dbraw/zinc/48/74/63/411487463.db2.gz SNMGPQOWOCPXBG-VHSXEESVSA-N 0 3 209.337 2.632 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)[C@H](C)c2ccccc2)n1 ZINC000657385765 411488910 /nfs/dbraw/zinc/48/89/10/411488910.db2.gz ZFCDYIBYIWRJHO-QWHCGFSZSA-N 0 3 243.354 3.000 20 0 BFADHN CCC1(NCc2nccn2C(C)C)CCC1 ZINC000657384836 411489148 /nfs/dbraw/zinc/48/91/48/411489148.db2.gz QVKVDVHPGNYPLW-UHFFFAOYSA-N 0 3 221.348 2.886 20 0 BFADHN CCC(C)(C)N(C)Cc1cc(OC)ns1 ZINC000660074016 411533733 /nfs/dbraw/zinc/53/37/33/411533733.db2.gz LUXMCVZXOQRGQH-UHFFFAOYSA-N 0 3 228.361 2.772 20 0 BFADHN C[C@H](Cc1ccccn1)N[C@H](C)c1ccco1 ZINC000655839730 411533764 /nfs/dbraw/zinc/53/37/64/411533764.db2.gz YYRWRBJEBWXZLZ-VXGBXAGGSA-N 0 3 230.311 2.956 20 0 BFADHN CO[C@H](CN1Cc2ccccc2C1)C1CCC1 ZINC000659905043 411492836 /nfs/dbraw/zinc/49/28/36/411492836.db2.gz SNNGDLZHYSERSL-OAHLLOKOSA-N 0 3 231.339 2.817 20 0 BFADHN CC(C)(C)CCC(=O)Nc1cccc(CN)c1 ZINC000301637356 411494849 /nfs/dbraw/zinc/49/48/49/411494849.db2.gz PTPOZGCGVIUDQD-UHFFFAOYSA-N 0 3 234.343 2.910 20 0 BFADHN CCc1nocc1CN1[C@H](C)CCC[C@@H]1C ZINC000659910981 411495362 /nfs/dbraw/zinc/49/53/62/411495362.db2.gz OTNHPHTZCIWVQH-PHIMTYICSA-N 0 3 222.332 3.000 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1CCO[C@H]1CC1(F)F ZINC000659911502 411496692 /nfs/dbraw/zinc/49/66/92/411496692.db2.gz QUVGRUSZEAYJMP-MXWKQRLJSA-N 0 3 233.302 2.674 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1CCO[C@@H]1CC1(F)F ZINC000659911499 411496899 /nfs/dbraw/zinc/49/68/99/411496899.db2.gz QUVGRUSZEAYJMP-GMTAPVOTSA-N 0 3 233.302 2.674 20 0 BFADHN CCn1cc(CN(C)C[C@@H]2CC[C@H]3C[C@H]3C2)cn1 ZINC000659927737 411500032 /nfs/dbraw/zinc/50/00/32/411500032.db2.gz ILVVMDDGTYAXOV-VHDGCEQUSA-N 0 3 247.386 2.771 20 0 BFADHN CC[C@H](CC(F)F)CN1CCOC[C@H]1CC ZINC000659935134 411502952 /nfs/dbraw/zinc/50/29/52/411502952.db2.gz VLHMVTUTHXEAQM-GHMZBOCLSA-N 0 3 235.318 2.779 20 0 BFADHN CC[C@@H]1COCCN1C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000659935196 411503082 /nfs/dbraw/zinc/50/30/82/411503082.db2.gz WQXUGUUTLHYTKJ-YIYPIFLZSA-N 0 3 223.360 2.533 20 0 BFADHN CC[C@@H]1CCCN(Cc2cc(OC)no2)CC1 ZINC000659935735 411503333 /nfs/dbraw/zinc/50/33/33/411503333.db2.gz MCTGLCCDURHEEV-LLVKDONJSA-N 0 3 238.331 2.695 20 0 BFADHN C[C@H](Cc1ccoc1)NCc1ccoc1 ZINC000336746355 411505255 /nfs/dbraw/zinc/50/52/55/411505255.db2.gz BVMAEHVICCFVFR-SNVBAGLBSA-N 0 3 205.257 2.593 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@@H](C)[C@H]2C)cs1 ZINC000306175042 411508635 /nfs/dbraw/zinc/50/86/35/411508635.db2.gz MCFMBYXCSIICAQ-SOCHQFKDSA-N 0 3 210.346 2.586 20 0 BFADHN C[C@@H](CCC1CC1)NCc1cn(C2CCC2)nn1 ZINC000657437201 411509357 /nfs/dbraw/zinc/50/93/57/411509357.db2.gz HEGWHTQALMRZQJ-NSHDSACASA-N 0 3 248.374 2.671 20 0 BFADHN FC1(F)C[C@H]1OCCN1C2CCCC1CCC2 ZINC000659955875 411510573 /nfs/dbraw/zinc/51/05/73/411510573.db2.gz FISBSBKWGVTIDF-HTAVTVPLSA-N 0 3 245.313 2.818 20 0 BFADHN c1c(CNC2CCC3(CC3)CC2)nn2c1CCC2 ZINC000657450542 411511483 /nfs/dbraw/zinc/51/14/83/411511483.db2.gz QTMNMROUDJDVPH-UHFFFAOYSA-N 0 3 245.370 2.642 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2cscc2C)CO1 ZINC000657451237 411511604 /nfs/dbraw/zinc/51/16/04/411511604.db2.gz LKOYMIRHLPWACG-VXGBXAGGSA-N 0 3 225.357 2.714 20 0 BFADHN Cc1c[nH]c(CN[C@@H](C)CCCC(F)(F)F)n1 ZINC000657446432 411513839 /nfs/dbraw/zinc/51/38/39/411513839.db2.gz HBQLHUNVFOKOIL-QMMMGPOBSA-N 0 3 249.280 2.929 20 0 BFADHN Cc1cc(CN(C(C)C)C2CCC2)nc(C)n1 ZINC000660079855 411534810 /nfs/dbraw/zinc/53/48/10/411534810.db2.gz RPCMIZOCKCCNHM-UHFFFAOYSA-N 0 3 233.359 2.856 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1cn(C2CCC2)nn1 ZINC000657455874 411516067 /nfs/dbraw/zinc/51/60/67/411516067.db2.gz NBNBCWGQRWHZAT-NWDGAFQWSA-N 0 3 248.374 2.529 20 0 BFADHN CCc1nc([C@@H](C)N(CC)C[C@@H](C)CC)n[nH]1 ZINC000659984231 411518948 /nfs/dbraw/zinc/51/89/48/411518948.db2.gz FZGHTUXRKFMDHT-WDEREUQCSA-N 0 3 238.379 2.796 20 0 BFADHN CCc1nnc([C@@H](C)[N@@H+](CC)C[C@@H](C)CC)[n-]1 ZINC000659984231 411518949 /nfs/dbraw/zinc/51/89/49/411518949.db2.gz FZGHTUXRKFMDHT-WDEREUQCSA-N 0 3 238.379 2.796 20 0 BFADHN CCc1nnc([C@@H](C)[N@H+](CC)C[C@@H](C)CC)[n-]1 ZINC000659984231 411518950 /nfs/dbraw/zinc/51/89/50/411518950.db2.gz FZGHTUXRKFMDHT-WDEREUQCSA-N 0 3 238.379 2.796 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1ccc(F)c(F)c1F ZINC000657465695 411520018 /nfs/dbraw/zinc/52/00/18/411520018.db2.gz DTNQEBGWPRXNOQ-XVKPBYJWSA-N 0 3 229.245 2.992 20 0 BFADHN Cc1nc(CN2CC[C@@H](C)[C@@H]2C)c(C)o1 ZINC000659984659 411520015 /nfs/dbraw/zinc/52/00/15/411520015.db2.gz QLFHBTCBBYNRSK-BDAKNGLRSA-N 0 3 208.305 2.522 20 0 BFADHN COCC[C@@H](C)NCc1cccc(C)c1OC ZINC000655809579 411522668 /nfs/dbraw/zinc/52/26/68/411522668.db2.gz APUDNBISNUFQNG-GFCCVEGCSA-N 0 3 237.343 2.518 20 0 BFADHN COc1c(C)cccc1CN[C@H]1CCOC1(C)C ZINC000655810011 411522819 /nfs/dbraw/zinc/52/28/19/411522819.db2.gz APLUFMUZTWAQCK-ZDUSSCGKSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1c[nH]c(CNCC(C)(C)C(C)(C)C)n1 ZINC000657473604 411523040 /nfs/dbraw/zinc/52/30/40/411523040.db2.gz OTHZODYISXBWJL-UHFFFAOYSA-N 0 3 223.364 2.880 20 0 BFADHN CC1(NCc2nc3c(s2)CCC3)CC=CC1 ZINC000655813871 411523678 /nfs/dbraw/zinc/52/36/78/411523678.db2.gz CMUUJKOLRUQBEJ-UHFFFAOYSA-N 0 3 234.368 2.830 20 0 BFADHN Cc1ccc(CNC2(C)CC=CC2)nc1 ZINC000655815154 411523716 /nfs/dbraw/zinc/52/37/16/411523716.db2.gz XEMPDGBDTMMUMJ-UHFFFAOYSA-N 0 3 202.301 2.588 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1nc(C)c[nH]1 ZINC000657478821 411525939 /nfs/dbraw/zinc/52/59/39/411525939.db2.gz OUEZVUYQGIOVJH-NSHDSACASA-N 0 3 221.348 2.943 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1nc(C)c[nH]1 ZINC000657478020 411526055 /nfs/dbraw/zinc/52/60/55/411526055.db2.gz CAMQPRMZIUCWAY-NWDGAFQWSA-N 0 3 221.348 2.634 20 0 BFADHN CC[C@H](CC(F)F)CN1CCO[C@H](C)[C@@H]1C ZINC000660011587 411527711 /nfs/dbraw/zinc/52/77/11/411527711.db2.gz PDCBJCXKQWIBKK-HBNTYKKESA-N 0 3 235.318 2.777 20 0 BFADHN C[C@H]1OCCN(CCCC2CCC2)[C@H]1C ZINC000660011052 411527758 /nfs/dbraw/zinc/52/77/58/411527758.db2.gz NGYMDIPKVQTPAJ-NWDGAFQWSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@H]1C[C@H](N[C@@H]2C[C@H]2c2ccccc2F)CO1 ZINC000657488060 411530997 /nfs/dbraw/zinc/53/09/97/411530997.db2.gz KFWIPBPDQOEWLS-TZQJONAQSA-N 0 3 249.329 2.839 20 0 BFADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1cn(C)cn1 ZINC000660085185 411535296 /nfs/dbraw/zinc/53/52/96/411535296.db2.gz QENXKSBMNBOYCZ-GXTWGEPZSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CCC[C@@H]2C2CC2)n1 ZINC000657491280 411531772 /nfs/dbraw/zinc/53/17/72/411531772.db2.gz WYDLPAAZJYIIQA-QWHCGFSZSA-N 0 3 233.359 2.634 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CCC[C@H]2C2CC2)n1 ZINC000657491282 411531796 /nfs/dbraw/zinc/53/17/96/411531796.db2.gz WYDLPAAZJYIIQA-STQMWFEESA-N 0 3 233.359 2.634 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1c(C2CC2)cnn1C ZINC000657488840 411531967 /nfs/dbraw/zinc/53/19/67/411531967.db2.gz SZFVYRHGKCYNIJ-TZMCWYRMSA-N 0 3 247.386 2.822 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCN(C(C)C)CC1 ZINC000659825469 411464770 /nfs/dbraw/zinc/46/47/70/411464770.db2.gz AZIRIGQLNMFETL-LBPRGKRZSA-N 0 3 248.361 2.694 20 0 BFADHN CN(CCOc1ccccc1)[C@H]1COC(C)(C)C1 ZINC000659826255 411465403 /nfs/dbraw/zinc/46/54/03/411465403.db2.gz KMEPZDOGSMJJIQ-CYBMUJFWSA-N 0 3 249.354 2.565 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cnc2ccccc2n1 ZINC000659826460 411465619 /nfs/dbraw/zinc/46/56/19/411465619.db2.gz KTMQVFKWADVODL-LLVKDONJSA-N 0 3 241.338 2.860 20 0 BFADHN CC(C)N1CCN(CCCC2CCC2)CC1 ZINC000659827986 411466398 /nfs/dbraw/zinc/46/63/98/411466398.db2.gz ZZVFGSUQYKGBJG-UHFFFAOYSA-N 0 3 224.392 2.593 20 0 BFADHN CC(C)n1ccnc1CN[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000657501526 411538649 /nfs/dbraw/zinc/53/86/49/411538649.db2.gz YHZSQKZHUOZKJD-RWMBFGLXSA-N 0 3 233.359 2.742 20 0 BFADHN CCN1CC[C@H](N[C@H](C)c2ccccc2F)C1 ZINC000336888278 170022205 /nfs/dbraw/zinc/02/22/05/170022205.db2.gz FBLUXWQMAUMQJP-NEPJUHHUSA-N 0 3 236.334 2.571 20 0 BFADHN CCN1C[C@@H](C)N(Cc2ccc(C)o2)C[C@@H]1C ZINC000353814366 170028570 /nfs/dbraw/zinc/02/85/70/170028570.db2.gz ZTTIHSDJQOAICV-NWDGAFQWSA-N 0 3 236.359 2.503 20 0 BFADHN CCN1C[C@@H](C)N(CCSC(C)C)C[C@H]1C ZINC000338290141 170028675 /nfs/dbraw/zinc/02/86/75/170028675.db2.gz VLKIIRRKQHIIJX-CHWSQXEVSA-N 0 3 244.448 2.543 20 0 BFADHN CCN1C[C@H](N[C@@H]2CCCc3occc32)[C@@H](C)C1 ZINC000360047173 170037408 /nfs/dbraw/zinc/03/74/08/170037408.db2.gz SZMWNNWSBQKQOB-YUTCNCBUSA-N 0 3 248.370 2.587 20 0 BFADHN CCc1ccc(CNCCC2CC(OC)C2)o1 ZINC000657527868 411541154 /nfs/dbraw/zinc/54/11/54/411541154.db2.gz GRIZRTQJJVFNEH-UHFFFAOYSA-N 0 3 237.343 2.747 20 0 BFADHN CN(Cc1cccnc1)C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000660124515 411541688 /nfs/dbraw/zinc/54/16/88/411541688.db2.gz AVNDJOKXWLNILT-BTTYYORXSA-N 0 3 216.328 2.560 20 0 BFADHN C[C@H](N[C@H]1CCCN2CCCC[C@@H]12)c1ccco1 ZINC000655849968 411536591 /nfs/dbraw/zinc/53/65/91/411536591.db2.gz RVDWNCVMRNVINP-IHRRRGAJSA-N 0 3 248.370 2.947 20 0 BFADHN CC[C@H](NCc1cccc(O)c1)[C@H]1CCCCO1 ZINC000655855030 411537960 /nfs/dbraw/zinc/53/79/60/411537960.db2.gz AHYJASIYNLCAKB-LSDHHAIUSA-N 0 3 249.354 2.830 20 0 BFADHN c1ccc2c(CN[C@H]3CCCSC3)noc2c1 ZINC000655854648 411538303 /nfs/dbraw/zinc/53/83/03/411538303.db2.gz MLLQJWKUPNLZKQ-JTQLQIEISA-N 0 3 248.351 2.813 20 0 BFADHN CCNCc1cscc1Br ZINC000086555359 170131106 /nfs/dbraw/zinc/13/11/06/170131106.db2.gz CIRUAIFWOBVVBF-UHFFFAOYSA-N 0 3 220.135 2.620 20 0 BFADHN OC[C@H](NCc1sccc1Cl)C1CCC1 ZINC000657559748 411551053 /nfs/dbraw/zinc/55/10/53/411551053.db2.gz PXMANXIVDSOINC-JTQLQIEISA-N 0 3 245.775 2.652 20 0 BFADHN Cc1ccoc1CN[C@H]1CCN(C(C)(C)C)C1 ZINC000657561077 411551269 /nfs/dbraw/zinc/55/12/69/411551269.db2.gz CYZYWDKUZPNGGI-LBPRGKRZSA-N 0 3 236.359 2.550 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1coc(C)n1 ZINC000660168518 411551337 /nfs/dbraw/zinc/55/13/37/411551337.db2.gz DEYHKTQYQBQQFE-CABZTGNLSA-N 0 3 208.305 2.746 20 0 BFADHN Cc1ccc(CN[C@H]2CCN(C(C)(C)C)C2)o1 ZINC000657561069 411551395 /nfs/dbraw/zinc/55/13/95/411551395.db2.gz CULBGKACYHENCT-LBPRGKRZSA-N 0 3 236.359 2.550 20 0 BFADHN CC(C)(C)CNCc1cnc(C(F)(F)F)nc1 ZINC000657550600 411551817 /nfs/dbraw/zinc/55/18/17/411551817.db2.gz PLINRRWIVOKPOY-UHFFFAOYSA-N 0 3 247.264 2.631 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cccc(O)c1)C1CCC1 ZINC000660191116 411552296 /nfs/dbraw/zinc/55/22/96/411552296.db2.gz IRAVVCWDCFUCKF-NHYWBVRUSA-N 0 3 249.354 2.858 20 0 BFADHN CCNCc1csc(Br)c1 ZINC000035251379 170130007 /nfs/dbraw/zinc/13/00/07/170130007.db2.gz ZTDJYQMRPQDOLP-UHFFFAOYSA-N 0 3 220.135 2.620 20 0 BFADHN CCNCc1csc(-c2ccsc2)n1 ZINC000040419304 170130626 /nfs/dbraw/zinc/13/06/26/170130626.db2.gz WMSLTXURNQGQTB-UHFFFAOYSA-N 0 3 224.354 2.981 20 0 BFADHN C[C@@H]1C[C@H](NC[C@H]2CCC[C@@H](C)C2)c2ncnn21 ZINC000657569743 411553662 /nfs/dbraw/zinc/55/36/62/411553662.db2.gz OVTYXNFRSMPENB-NDBYEHHHSA-N 0 3 248.374 2.700 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@H]2CCC[C@@H](C)C2)c2ncnn21 ZINC000657569741 411553699 /nfs/dbraw/zinc/55/36/99/411553699.db2.gz OVTYXNFRSMPENB-FVCCEPFGSA-N 0 3 248.374 2.700 20 0 BFADHN Cc1ccncc1CCNCc1ccccc1F ZINC000657588712 411555401 /nfs/dbraw/zinc/55/54/01/411555401.db2.gz VJYFRODBTYYKDV-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN CCN[C@@H](c1ccc(OC)cc1F)C1CC1 ZINC000036766975 170148279 /nfs/dbraw/zinc/14/82/79/170148279.db2.gz CUHBZBSLOZNLDO-CYBMUJFWSA-N 0 3 223.291 2.895 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2C[C@H](C)C[C@H](C)C2)n1 ZINC000657589576 411555706 /nfs/dbraw/zinc/55/57/06/411555706.db2.gz MFJUUHLDTJINOP-PJXYFTJBSA-N 0 3 235.375 2.880 20 0 BFADHN CC1(C)C[C@@H](NCc2cccc3c2CCC3)CO1 ZINC000657531630 411544595 /nfs/dbraw/zinc/54/45/95/411544595.db2.gz MXWVMCMXOHEUHS-CQSZACIVSA-N 0 3 245.366 2.832 20 0 BFADHN Cc1noc(C)c1CNC[C@H](C)c1ccccn1 ZINC000657532622 411545256 /nfs/dbraw/zinc/54/52/56/411545256.db2.gz KWARWODUIXLODN-JTQLQIEISA-N 0 3 245.326 2.580 20 0 BFADHN COc1ccc(CN[C@@]23C[C@@H]2CCC3)c(OC)c1 ZINC000657549029 411546729 /nfs/dbraw/zinc/54/67/29/411546729.db2.gz ZEAXIXBSPXZTGO-WFASDCNBSA-N 0 3 247.338 2.736 20 0 BFADHN Fc1ccc(CN[C@]23C[C@H]2CCC3)cc1F ZINC000657548814 411546806 /nfs/dbraw/zinc/54/68/06/411546806.db2.gz LZMMERHYWTXYCZ-ZWNOBZJWSA-N 0 3 223.266 2.997 20 0 BFADHN COc1ccc(F)c(CN[C@@]23C[C@@H]2CCC3)c1 ZINC000657551682 411547664 /nfs/dbraw/zinc/54/76/64/411547664.db2.gz LFPPKPXTUHJDBI-FZMZJTMJSA-N 0 3 235.302 2.867 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@]12C[C@H]1CCC2 ZINC000657551653 411547788 /nfs/dbraw/zinc/54/77/88/411547788.db2.gz KYZUBUHLUHIOEZ-ZIAGYGMSSA-N 0 3 233.359 2.805 20 0 BFADHN Cc1ccc2nc(CN[C@@]34C[C@@H]3CCC4)cn2c1 ZINC000657553670 411549066 /nfs/dbraw/zinc/54/90/66/411549066.db2.gz VKYLNRQOCZGUOD-WFASDCNBSA-N 0 3 241.338 2.675 20 0 BFADHN C([C@@H]1CC[C@H]2C[C@H]2C1)N1CCOC2(CCC2)C1 ZINC000660158010 411549184 /nfs/dbraw/zinc/54/91/84/411549184.db2.gz VMIHBPXUKTXEEM-HZSPNIEDSA-N 0 3 235.371 2.678 20 0 BFADHN CC(C)Cn1nccc1CN[C@]12C[C@H]1CCC2 ZINC000657554465 411549288 /nfs/dbraw/zinc/54/92/88/411549288.db2.gz ZMIRCPQXZZCPJL-TZMCWYRMSA-N 0 3 233.359 2.571 20 0 BFADHN CCN(Cc1ccncc1)C[C@@H](OC)C1CCC1 ZINC000660162275 411549790 /nfs/dbraw/zinc/54/97/90/411549790.db2.gz UQDLTLGRJCFAHH-OAHLLOKOSA-N 0 3 248.370 2.719 20 0 BFADHN Fc1ccccc1CN[C@@H]1COC2(CCC2)C1 ZINC000657630159 411566404 /nfs/dbraw/zinc/56/64/04/411566404.db2.gz VTUOARJPFOLCII-LBPRGKRZSA-N 0 3 235.302 2.627 20 0 BFADHN c1csc(CN[C@@H]2COC3(CCC3)C2)c1 ZINC000657629772 411566569 /nfs/dbraw/zinc/56/65/69/411566569.db2.gz IXCVOJLLUZIGLV-JTQLQIEISA-N 0 3 223.341 2.549 20 0 BFADHN COc1cc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)on1 ZINC000660304622 411566681 /nfs/dbraw/zinc/56/66/81/411566681.db2.gz FZXLQISGBZNIAX-JBLDHEPKSA-N 0 3 238.331 2.598 20 0 BFADHN COc1cc(CN2CCCC[C@@H](C)C2)sn1 ZINC000660309683 411566796 /nfs/dbraw/zinc/56/67/96/411566796.db2.gz IDGUQYWOEVUXCX-SNVBAGLBSA-N 0 3 240.372 2.774 20 0 BFADHN CC[C@H](C)CCNCc1cn2ccccc2n1 ZINC000657585904 411557478 /nfs/dbraw/zinc/55/74/78/411557478.db2.gz CEHQNBNLAUNPIF-LBPRGKRZSA-N 0 3 231.343 2.860 20 0 BFADHN CC[C@H](C)CCNCc1nccn1C(C)C ZINC000657587824 411558010 /nfs/dbraw/zinc/55/80/10/411558010.db2.gz YKLMPAINCWGAHF-LBPRGKRZSA-N 0 3 223.364 2.990 20 0 BFADHN CC[C@@H](C)CCNCc1nccn1C(C)C ZINC000657587823 411558029 /nfs/dbraw/zinc/55/80/29/411558029.db2.gz YKLMPAINCWGAHF-GFCCVEGCSA-N 0 3 223.364 2.990 20 0 BFADHN CO[C@H](CN[C@H](C)c1cnccc1C)C1CCC1 ZINC000660243613 411558443 /nfs/dbraw/zinc/55/84/43/411558443.db2.gz YDGLHVLDSCDPLW-IUODEOHRSA-N 0 3 248.370 2.856 20 0 BFADHN CCc1ccc(CNCCc2cnccc2C)o1 ZINC000657588302 411558643 /nfs/dbraw/zinc/55/86/43/411558643.db2.gz FKAUFIXNTQZQMV-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN Cc1cc(Cl)cc(C)c1CNC/C=C\CO ZINC000657593352 411559282 /nfs/dbraw/zinc/55/92/82/411559282.db2.gz GJSQNSOKDPXFNJ-ARJAWSKDSA-N 0 3 239.746 2.595 20 0 BFADHN COC[C@H](NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccco1 ZINC000660250507 411559547 /nfs/dbraw/zinc/55/95/47/411559547.db2.gz HVAYWAHVXPGJJM-MQYQWHSLSA-N 0 3 249.354 2.993 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CC=CCC2)nc(C)n1 ZINC000660248701 411559557 /nfs/dbraw/zinc/55/95/57/411559557.db2.gz SKWZOHVPNZYTIY-CQSZACIVSA-N 0 3 245.370 2.882 20 0 BFADHN OC/C=C/CNCc1cccc(C2CCCC2)c1 ZINC000657593791 411560107 /nfs/dbraw/zinc/56/01/07/411560107.db2.gz KVGAXKCSZBSIJW-ONEGZZNKSA-N 0 3 245.366 2.982 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1C[C@@H](C)CC(C)C ZINC000304184367 170257718 /nfs/dbraw/zinc/25/77/18/170257718.db2.gz REGMKMFYFAJBNY-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN OC/C=C/CNCc1ccc(C2CCCC2)cc1 ZINC000657594544 411560185 /nfs/dbraw/zinc/56/01/85/411560185.db2.gz RXPLCUGDMWVKJN-ONEGZZNKSA-N 0 3 245.366 2.982 20 0 BFADHN COC[C@H](NC[C@@H]1C[C@H]2C[C@H]2C1)c1ccco1 ZINC000660251803 411560309 /nfs/dbraw/zinc/56/03/09/411560309.db2.gz QWBFVHLMVHNUBI-RNJOBUHISA-N 0 3 235.327 2.603 20 0 BFADHN c1c(CNC(C2CC2)C2CC2)onc1C1CC1 ZINC000660253034 411560357 /nfs/dbraw/zinc/56/03/57/411560357.db2.gz OMNOKMYCHMWTQJ-UHFFFAOYSA-N 0 3 232.327 2.830 20 0 BFADHN C[C@H](NCc1ccc([C@H]2C[C@H]2C)o1)C1(CO)CC1 ZINC000657602568 411560698 /nfs/dbraw/zinc/56/06/98/411560698.db2.gz JRALHGREKGSRLO-MDZLAQPJSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@H](NC/C=C\CO)c1ccc(Cl)cc1 ZINC000657595237 411560895 /nfs/dbraw/zinc/56/08/95/411560895.db2.gz YVRCBGFKENXXAZ-ZMWSMAPVSA-N 0 3 225.719 2.539 20 0 BFADHN CCOC(=O)[C@H](CC)N(C)C[C@@H]1CC=CCC1 ZINC000354080524 170271461 /nfs/dbraw/zinc/27/14/61/170271461.db2.gz AEOHKWKKFWOOEO-OLZOCXBDSA-N 0 3 239.359 2.616 20 0 BFADHN CCOC(=O)[C@H](CC)N(C)C[C@H]1CC=CCC1 ZINC000354080526 170271506 /nfs/dbraw/zinc/27/15/06/170271506.db2.gz AEOHKWKKFWOOEO-STQMWFEESA-N 0 3 239.359 2.616 20 0 BFADHN Cc1cn[nH]c1CNc1nccc(C)c1C1CC1 ZINC000657611688 411563137 /nfs/dbraw/zinc/56/31/37/411563137.db2.gz CUBGHFZGQQHTGM-UHFFFAOYSA-N 0 3 242.326 2.911 20 0 BFADHN CCOC(=O)[C@H]1CCCN1C[C@H](C)CC(C)C ZINC000304184360 170281634 /nfs/dbraw/zinc/28/16/34/170281634.db2.gz REGMKMFYFAJBNY-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cc(OC)no1 ZINC000660276650 411563901 /nfs/dbraw/zinc/56/39/01/411563901.db2.gz RLFCXCTZNCEBTJ-LLVKDONJSA-N 0 3 238.331 2.838 20 0 BFADHN OCC[C@H](NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccco1 ZINC000660296827 411565323 /nfs/dbraw/zinc/56/53/23/411565323.db2.gz HBJIJENCNWSVIX-MQYQWHSLSA-N 0 3 249.354 2.729 20 0 BFADHN Cc1c[nH]nc1CNCCc1ccc(C)c(C)c1 ZINC000657626900 411565613 /nfs/dbraw/zinc/56/56/13/411565613.db2.gz SBYWTOQKYTXKDA-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2cc(C)cc(C)c2)CO1 ZINC000657626494 411565757 /nfs/dbraw/zinc/56/57/57/411565757.db2.gz XNEHRGVRPAKEML-HUUCEWRRSA-N 0 3 233.355 2.961 20 0 BFADHN COc1ccc(F)c(CNCCc2ccoc2)c1 ZINC000657653761 411570501 /nfs/dbraw/zinc/57/05/01/411570501.db2.gz OSZWZWAIBPEXSD-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN CCOC(=O)c1coc(CNCCC(C)C)c1 ZINC000353935106 170304650 /nfs/dbraw/zinc/30/46/50/170304650.db2.gz VCFPMUHUMCAJQE-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN CCc1nocc1CNC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000657658224 411572066 /nfs/dbraw/zinc/57/20/66/411572066.db2.gz WBZPBQJGAIXYAK-MDZLAQPJSA-N 0 3 234.343 2.763 20 0 BFADHN CCc1nnc([C@H](C)N[C@H]2CCCC2(C)C)[nH]1 ZINC000660366009 411572607 /nfs/dbraw/zinc/57/26/07/411572607.db2.gz BFSBOJMBDYTIPS-UWVGGRQHSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@@H](C)N[C@@H]2CCCC2(C)C)[nH]1 ZINC000660366008 411572609 /nfs/dbraw/zinc/57/26/09/411572609.db2.gz BFSBOJMBDYTIPS-NXEZZACHSA-N 0 3 236.363 2.596 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1cncs1 ZINC000166987203 170313993 /nfs/dbraw/zinc/31/39/93/170313993.db2.gz BABCMCPGENLQNW-VIFPVBQESA-N 0 3 228.361 2.609 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1cncs1 ZINC000166987291 170314029 /nfs/dbraw/zinc/31/40/29/170314029.db2.gz BABCMCPGENLQNW-SECBINFHSA-N 0 3 228.361 2.609 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1cccc(O)c1 ZINC000159299974 170314095 /nfs/dbraw/zinc/31/40/95/170314095.db2.gz SPJOAWMZBCTJEP-NSHDSACASA-N 0 3 237.343 2.858 20 0 BFADHN Cc1cc(CN2CCC[C@H](C(C)C)C2)nc(C)n1 ZINC000660369869 411572961 /nfs/dbraw/zinc/57/29/61/411572961.db2.gz CVMWYMWZTMAGLO-AWEZNQCLSA-N 0 3 247.386 2.961 20 0 BFADHN CCc1ccc(CNC[C@H]2CCC[C@H](C)O2)o1 ZINC000657667233 411573240 /nfs/dbraw/zinc/57/32/40/411573240.db2.gz PYMPUPBXEOWNQP-WCQYABFASA-N 0 3 237.343 2.889 20 0 BFADHN CCOC1(CNCc2cncs2)CCCC1 ZINC000292961731 170319344 /nfs/dbraw/zinc/31/93/44/170319344.db2.gz LMXKFGJLQKSFJN-UHFFFAOYSA-N 0 3 240.372 2.582 20 0 BFADHN COCCN(CCC=C(C)C)Cc1cccnc1 ZINC000660368125 411573317 /nfs/dbraw/zinc/57/33/17/411573317.db2.gz QTQMWXZYLZKHOP-UHFFFAOYSA-N 0 3 248.370 2.886 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CCCC2CCC2)n1 ZINC000657667570 411573406 /nfs/dbraw/zinc/57/34/06/411573406.db2.gz SFRCHQVRNDJWQW-UHFFFAOYSA-N 0 3 234.343 2.766 20 0 BFADHN CCOC1CC(CCNCc2ccc(C)cn2)C1 ZINC000353208752 170323101 /nfs/dbraw/zinc/32/31/01/170323101.db2.gz LFTWAXHVSBABAC-UHFFFAOYSA-N 0 3 248.370 2.685 20 0 BFADHN CCOC1CC(CCNCc2ccc(C)o2)C1 ZINC000188781619 170323916 /nfs/dbraw/zinc/32/39/16/170323916.db2.gz OEOQARRWMRUIEF-UHFFFAOYSA-N 0 3 237.343 2.883 20 0 BFADHN CCOC1CC(CN(CC)Cc2ccccn2)C1 ZINC000286283586 170324398 /nfs/dbraw/zinc/32/43/98/170324398.db2.gz FRNUWOLUDSUQPY-UHFFFAOYSA-N 0 3 248.370 2.719 20 0 BFADHN CCOC1CC(N(C)Cc2occc2C)C1 ZINC000290480924 170328011 /nfs/dbraw/zinc/32/80/11/170328011.db2.gz OABOQUXJPZVCOY-UHFFFAOYSA-N 0 3 223.316 2.587 20 0 BFADHN CCOC1CC(N(C)Cc2ccc(OC)cc2)C1 ZINC000278688200 170328050 /nfs/dbraw/zinc/32/80/50/170328050.db2.gz SAKQGPCESVPPNV-UHFFFAOYSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1ccoc1CNC[C@H]1CCC[C@H](C)O1 ZINC000657668357 411574005 /nfs/dbraw/zinc/57/40/05/411574005.db2.gz UITZCOASPJLOMY-NWDGAFQWSA-N 0 3 223.316 2.635 20 0 BFADHN c1nc2c(s1)CN(C[C@@H]1CC[C@H]3C[C@H]3C1)CC2 ZINC000660374240 411574284 /nfs/dbraw/zinc/57/42/84/411574284.db2.gz FXPMNHYAHFYURV-GRYCIOLGSA-N 0 3 248.395 2.937 20 0 BFADHN Cc1ccc(CNCC[C@@]2(C)CC2(F)F)nc1 ZINC000657670200 411574675 /nfs/dbraw/zinc/57/46/75/411574675.db2.gz KYZPMPCNCJUZAV-LBPRGKRZSA-N 0 3 240.297 2.915 20 0 BFADHN CCOCC(C)(C)CNCc1ncc(C)s1 ZINC000296082211 170351741 /nfs/dbraw/zinc/35/17/41/170351741.db2.gz QPQOGTRFDTYDCY-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN CCOCC(C)(C)CN[C@@H](C)c1ccco1 ZINC000290167826 170352263 /nfs/dbraw/zinc/35/22/63/170352263.db2.gz DQIJGEJTQZKFAT-NSHDSACASA-N 0 3 225.332 2.993 20 0 BFADHN CCOCC(C)(C)NCc1cccc(OC)c1 ZINC000293388262 170354496 /nfs/dbraw/zinc/35/44/96/170354496.db2.gz WSQXKOIOQKBVAK-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN CCC[C@H](C)NC(=O)[C@H](C)[C@H](N)c1ccccc1 ZINC000131620005 411575727 /nfs/dbraw/zinc/57/57/27/411575727.db2.gz URVGRAWCKIBPNX-SCRDCRAPSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1cc(CN2CCCC[C@@H](C)C2)nc(C)n1 ZINC000660311440 411567936 /nfs/dbraw/zinc/56/79/36/411567936.db2.gz VZVFHGIQRFAFEY-LLVKDONJSA-N 0 3 233.359 2.715 20 0 BFADHN CCC[C@H](C)CNCc1nccc(OC)c1F ZINC000657672756 411575903 /nfs/dbraw/zinc/57/59/03/411575903.db2.gz CXNNCGFSCYIFPY-JTQLQIEISA-N 0 3 240.322 2.755 20 0 BFADHN COc1cc(C)nc(CNCCC(C)(C)F)c1 ZINC000657645378 411568552 /nfs/dbraw/zinc/56/85/52/411568552.db2.gz UCJVRLNNDKWRBH-UHFFFAOYSA-N 0 3 240.322 2.626 20 0 BFADHN CCOCCCCN[C@H](C)c1ccco1 ZINC000165143768 170369962 /nfs/dbraw/zinc/36/99/62/170369962.db2.gz WWHQTGWGXQIVJE-LLVKDONJSA-N 0 3 211.305 2.747 20 0 BFADHN CCOCCCN(C)[C@@H](C)c1ccccn1 ZINC000119780687 170370814 /nfs/dbraw/zinc/37/08/14/170370814.db2.gz BPQPPKHUTWSHKX-LBPRGKRZSA-N 0 3 222.332 2.501 20 0 BFADHN CCc1cnc(CNCCC(C)(C)F)s1 ZINC000657645332 411568936 /nfs/dbraw/zinc/56/89/36/411568936.db2.gz SAMUDCLOFATBJR-UHFFFAOYSA-N 0 3 230.352 2.933 20 0 BFADHN CC(C)n1nccc1CNCCC(C)(C)F ZINC000657645232 411569091 /nfs/dbraw/zinc/56/90/91/411569091.db2.gz OKUQMVMWHQAAJP-UHFFFAOYSA-N 0 3 227.327 2.692 20 0 BFADHN CCOCCCN1Cc2ccc(C)cc2C1 ZINC000361598894 170375973 /nfs/dbraw/zinc/37/59/73/170375973.db2.gz AQBFOYATBSQOCR-UHFFFAOYSA-N 0 3 219.328 2.737 20 0 BFADHN CC(C)Cn1nccc1CNCCC(C)(C)F ZINC000657645733 411569234 /nfs/dbraw/zinc/56/92/34/411569234.db2.gz HCNGHJIDQLWPAL-UHFFFAOYSA-N 0 3 241.354 2.767 20 0 BFADHN CCOCCCNC1(c2cccc(F)c2)CC1 ZINC000341854911 170380892 /nfs/dbraw/zinc/38/08/92/170380892.db2.gz IRJITYGXHIMILI-UHFFFAOYSA-N 0 3 237.318 2.831 20 0 BFADHN CCOCCCN[C@@H](C)c1ccc(Cl)cn1 ZINC000274865489 170381235 /nfs/dbraw/zinc/38/12/35/170381235.db2.gz DRPMVERRICZOER-JTQLQIEISA-N 0 3 242.750 2.812 20 0 BFADHN CCOCCCN[C@@](C)(CC)c1nccs1 ZINC000336779249 170382309 /nfs/dbraw/zinc/38/23/09/170382309.db2.gz NRRUYIBDDOEECU-LBPRGKRZSA-N 0 3 242.388 2.785 20 0 BFADHN COc1ccc(C)cc1CNCCc1ccoc1 ZINC000657652162 411569890 /nfs/dbraw/zinc/56/98/90/411569890.db2.gz DWSQICALSSHXIN-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN CCOCCN(C)CCCC(=O)c1ccccc1 ZINC000158078703 170388149 /nfs/dbraw/zinc/38/81/49/170388149.db2.gz MPEHSSJXXJEPEL-UHFFFAOYSA-N 0 3 249.354 2.618 20 0 BFADHN CCOCCN(C)CCc1ccccc1C ZINC000295813601 170390111 /nfs/dbraw/zinc/39/01/11/170390111.db2.gz XEJNHHQKUBWCAV-UHFFFAOYSA-N 0 3 221.344 2.506 20 0 BFADHN CCOCCN(CC)Cc1cc(F)cc(F)c1 ZINC000092537554 170394565 /nfs/dbraw/zinc/39/45/65/170394565.db2.gz VEUBPZJXQCPXMF-UHFFFAOYSA-N 0 3 243.297 2.823 20 0 BFADHN CCOCCN1CCC[C@H]1COc1ccccc1 ZINC000113508913 170399293 /nfs/dbraw/zinc/39/92/93/170399293.db2.gz JEXNNAZQHQHKOB-AWEZNQCLSA-N 0 3 249.354 2.566 20 0 BFADHN FCCOCCNCc1ccc(F)c(Cl)c1 ZINC000663342354 411630548 /nfs/dbraw/zinc/63/05/48/411630548.db2.gz ZCSRNJYVDDHJEP-UHFFFAOYSA-N 0 3 249.688 2.555 20 0 BFADHN CC[C@H](NCc1coc(C)n1)[C@@H]1CC1(C)C ZINC000660824711 411647128 /nfs/dbraw/zinc/64/71/28/411647128.db2.gz PWFKHCZSBARLJQ-RYUDHWBXSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@@]1(C)CN(CCC2CCC2)CCO1 ZINC000660377789 411576278 /nfs/dbraw/zinc/57/62/78/411576278.db2.gz GOJOLZVECYQBSW-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN Cc1ccc(CNC[C@H]2CCC[C@H](C)O2)o1 ZINC000657666348 411578004 /nfs/dbraw/zinc/57/80/04/411578004.db2.gz SRNYNTIBJKNJAL-CMPLNLGQSA-N 0 3 223.316 2.635 20 0 BFADHN COc1cccc(CNCCCC2CCC2)n1 ZINC000657666378 411578012 /nfs/dbraw/zinc/57/80/12/411578012.db2.gz JJCWJOOJOJKUGQ-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN CCOC[C@@H](C)NCc1sccc1Cl ZINC000308585006 170432363 /nfs/dbraw/zinc/43/23/63/170432363.db2.gz LSPPIHPLNGCPBW-MRVPVSSYSA-N 0 3 233.764 2.916 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1coc(C)n1 ZINC000660409848 411580505 /nfs/dbraw/zinc/58/05/05/411580505.db2.gz ICPJABVLWLVZQQ-JQWIXIFHSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@H](O)C23CCC3)cc1F ZINC000657704174 411583999 /nfs/dbraw/zinc/58/39/99/411583999.db2.gz DKEQATVINOYIQA-UONOGXRCSA-N 0 3 249.329 2.527 20 0 BFADHN Cn1cnc(CN2CCC[C@H](C(C)(C)C)C2)c1 ZINC000660453333 411587069 /nfs/dbraw/zinc/58/70/69/411587069.db2.gz JYDLVFDXMAJCFT-LBPRGKRZSA-N 0 3 235.375 2.678 20 0 BFADHN CCc1ncc(CN[C@@H]2[C@@H]3CCC[C@@H]32)s1 ZINC000657733805 411587073 /nfs/dbraw/zinc/58/70/73/411587073.db2.gz OZNJELVGEYFMHW-PEGIJTEDSA-N 0 3 222.357 2.594 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1C(C)(C)C1(F)F ZINC000657737170 411588267 /nfs/dbraw/zinc/58/82/67/411588267.db2.gz IORHTTCQSRETSB-SNVBAGLBSA-N 0 3 244.285 2.672 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@H](C)[C@H]2C)nc(C)n1 ZINC000660826143 411645755 /nfs/dbraw/zinc/64/57/55/411645755.db2.gz ZYUZAWZRIMANMQ-ZMLRMANQSA-N 0 3 233.359 2.570 20 0 BFADHN CC(C)[C@@H](NCc1cc2n(n1)CCCC2)C1CC1 ZINC000657757099 411590121 /nfs/dbraw/zinc/59/01/21/411590121.db2.gz MLLREQJVUJTAOS-OAHLLOKOSA-N 0 3 247.386 2.744 20 0 BFADHN CN(CCCO)Cc1cccc(C(C)(C)C)c1 ZINC000660476902 411590572 /nfs/dbraw/zinc/59/05/72/411590572.db2.gz LGDBZGRYRLMZTR-UHFFFAOYSA-N 0 3 235.371 2.798 20 0 BFADHN Cc1ccc2nc(CN[C@@]3(C)CC3(C)C)cn2c1 ZINC000657761936 411591247 /nfs/dbraw/zinc/59/12/47/411591247.db2.gz VQLDTOLLCWVPOB-HNNXBMFYSA-N 0 3 243.354 2.921 20 0 BFADHN CC1(C)C[C@]1(C)NCc1nc2c(s1)CCC2 ZINC000657762134 411591456 /nfs/dbraw/zinc/59/14/56/411591456.db2.gz WFPVRTUVCLONPC-ZDUSSCGKSA-N 0 3 236.384 2.910 20 0 BFADHN CCN(C)c1ccc(CN[C@@]2(C)CC2(C)C)cn1 ZINC000657761416 411591746 /nfs/dbraw/zinc/59/17/46/411591746.db2.gz KJEPUOUMJLQTIP-HNNXBMFYSA-N 0 3 247.386 2.816 20 0 BFADHN CC(C)n1nccc1CNCC1=CCCC1 ZINC000657749844 411592216 /nfs/dbraw/zinc/59/22/16/411592216.db2.gz PIMMXHGXOJISAB-UHFFFAOYSA-N 0 3 219.332 2.664 20 0 BFADHN c1nc2ccccn2c1CNCC1=CCCC1 ZINC000657748993 411592391 /nfs/dbraw/zinc/59/23/91/411592391.db2.gz PIWXCDCYFADGRK-UHFFFAOYSA-N 0 3 227.311 2.534 20 0 BFADHN COc1cccc(CNCC2=CCCC2)c1OC ZINC000657748101 411592554 /nfs/dbraw/zinc/59/25/54/411592554.db2.gz DPLJISOWYXMSGZ-UHFFFAOYSA-N 0 3 247.338 2.904 20 0 BFADHN CCc1ncc(CNC2(CC(C)C)CC2)cn1 ZINC000657774047 411593405 /nfs/dbraw/zinc/59/34/05/411593405.db2.gz ATWIBTOWOVCNRC-UHFFFAOYSA-N 0 3 233.359 2.707 20 0 BFADHN CO[C@H](CNCc1ccncc1)C1CCCCC1 ZINC000657783209 411594774 /nfs/dbraw/zinc/59/47/74/411594774.db2.gz RYOGFPGSBDEIIO-OAHLLOKOSA-N 0 3 248.370 2.767 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cc2cc(F)ccc2o1 ZINC000657788210 411596236 /nfs/dbraw/zinc/59/62/36/411596236.db2.gz KWPVEKJZUNOHOD-KGLIPLIRSA-N 0 3 249.285 2.839 20 0 BFADHN CC1(C)C[C@@H](N2CCC=C(c3ccco3)C2)CO1 ZINC000660534441 411596857 /nfs/dbraw/zinc/59/68/57/411596857.db2.gz SNHRVRIIGUSWCZ-CYBMUJFWSA-N 0 3 247.338 2.936 20 0 BFADHN C1=CCC(CN2CCCC[C@@H]2c2cc[nH]n2)C1 ZINC000660533395 411596914 /nfs/dbraw/zinc/59/69/14/411596914.db2.gz SHCPVGRGCUCJQO-CQSZACIVSA-N 0 3 231.343 2.903 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(F)c2N)C[C@@H]1C ZINC000130233433 411597010 /nfs/dbraw/zinc/59/70/10/411597010.db2.gz GOTHHAPNWSAKAV-MNOVXSKESA-N 0 3 236.334 2.886 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCN(CC)C[C@H]1C ZINC000660539676 411597121 /nfs/dbraw/zinc/59/71/21/411597121.db2.gz VQVNWBNVGRQYLW-NEPJUHHUSA-N 0 3 248.361 2.694 20 0 BFADHN CC(C)n1cc(CNCCCc2ccco2)cn1 ZINC000657780692 411597354 /nfs/dbraw/zinc/59/73/54/411597354.db2.gz XHFGVYKPDKVPHG-UHFFFAOYSA-N 0 3 247.342 2.779 20 0 BFADHN CC[C@H]1C[C@H](NCc2cccc(OC)c2C)CO1 ZINC000657804046 411600519 /nfs/dbraw/zinc/60/05/19/411600519.db2.gz VCTVRMAFJSDGJT-KBPBESRZSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H]1CN(Cc2cccc(N)c2)CCC1(F)F ZINC000660566257 411600867 /nfs/dbraw/zinc/60/08/67/411600867.db2.gz OZLODEYEDMEQTJ-SNVBAGLBSA-N 0 3 240.297 2.746 20 0 BFADHN C[C@H]1CN([C@@H]2COC(C)(C)C2)CCC1(F)F ZINC000660565678 411601037 /nfs/dbraw/zinc/60/10/37/411601037.db2.gz GXSMJZIQQNPOAW-UWVGGRQHSA-N 0 3 233.302 2.531 20 0 BFADHN COCCCN[C@H](C)c1cc2cnccc2o1 ZINC000657816384 411605368 /nfs/dbraw/zinc/60/53/68/411605368.db2.gz IQDHOFPWGZEEFK-SNVBAGLBSA-N 0 3 234.299 2.515 20 0 BFADHN Cc1ccccc1CN[C@@H]1CCCn2nccc21 ZINC000657818466 411606240 /nfs/dbraw/zinc/60/62/40/411606240.db2.gz BJHLERQOXYRXDO-CQSZACIVSA-N 0 3 241.338 2.816 20 0 BFADHN Cc1cc(CN2CCC(C3CC3)CC2)nc(C)n1 ZINC000660609761 411606602 /nfs/dbraw/zinc/60/66/02/411606602.db2.gz FXAUEMCSKJVUFM-UHFFFAOYSA-N 0 3 245.370 2.715 20 0 BFADHN CCc1nocc1CN[C@@H]1CC2CCC1CC2 ZINC000660626402 411609260 /nfs/dbraw/zinc/60/92/60/411609260.db2.gz WTSCRBKTSDWIOP-QIMFLAQGSA-N 0 3 234.343 2.905 20 0 BFADHN C[C@H]1CN(CCOCc2ccccc2)[C@H]1C ZINC000660640964 411610998 /nfs/dbraw/zinc/61/09/98/411610998.db2.gz TZSPYFPRZLIDNE-STQMWFEESA-N 0 3 219.328 2.543 20 0 BFADHN C[C@@H]1CN(CCOCc2ccccc2)[C@@H]1C ZINC000660640956 411611104 /nfs/dbraw/zinc/61/11/04/411611104.db2.gz TZSPYFPRZLIDNE-CHWSQXEVSA-N 0 3 219.328 2.543 20 0 BFADHN Cc1nc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)co1 ZINC000660643969 411611193 /nfs/dbraw/zinc/61/11/93/411611193.db2.gz YKDPKJNKANRFFF-OLZOCXBDSA-N 0 3 234.343 2.994 20 0 BFADHN CN(CCC1CCC1)Cc1ccccn1 ZINC000660680968 411617271 /nfs/dbraw/zinc/61/72/71/411617271.db2.gz YNROKENIBOUMRX-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CC[C@H](CC(F)F)CN1CC[C@@H](F)C1 ZINC000660693478 411617692 /nfs/dbraw/zinc/61/76/92/411617692.db2.gz XSRIWTKLFZNBFO-RKDXNWHRSA-N 0 3 209.255 2.712 20 0 BFADHN Cn1cnc(CN2CC[C@H](CC(C)(C)C)C2)c1 ZINC000660756588 411621061 /nfs/dbraw/zinc/62/10/61/411621061.db2.gz BTHRNXMCGZJDFG-GFCCVEGCSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@@H]1CCC(C)(C)N1Cc1cncs1 ZINC000660756057 411621363 /nfs/dbraw/zinc/62/13/63/411621363.db2.gz XDSKMPMORCFYDP-SECBINFHSA-N 0 3 210.346 2.906 20 0 BFADHN Cc1ccc(C)c([C@H](C)NCCOCCF)c1 ZINC000663311646 411621527 /nfs/dbraw/zinc/62/15/27/411621527.db2.gz ZIWGYEIVKCXFMY-ZDUSSCGKSA-N 0 3 239.334 2.940 20 0 BFADHN C[C@H](NCCOCCF)c1ccc(F)c(F)c1 ZINC000663311278 411621637 /nfs/dbraw/zinc/62/16/37/411621637.db2.gz TWCQBZOWCVTCPI-VIFPVBQESA-N 0 3 247.260 2.602 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2ccccn2)C12CCC2 ZINC000087708845 170491493 /nfs/dbraw/zinc/49/14/93/170491493.db2.gz DVQVKGSTKTYOGE-UONOGXRCSA-N 0 3 246.354 2.519 20 0 BFADHN CC[C@@H](C)[N@H+](CC)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000661105780 411711724 /nfs/dbraw/zinc/71/17/24/411711724.db2.gz ZSNKTZFLPUHRKL-SNVBAGLBSA-N 0 3 249.358 2.680 20 0 BFADHN CC[C@@H](C)[N@@H+](CC)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000661105780 411711725 /nfs/dbraw/zinc/71/17/25/411711725.db2.gz ZSNKTZFLPUHRKL-SNVBAGLBSA-N 0 3 249.358 2.680 20 0 BFADHN Cc1cccc2c1CC[C@@H]2NCCOCCF ZINC000663462368 411664934 /nfs/dbraw/zinc/66/49/34/411664934.db2.gz BYHOPNSENZYJKC-AWEZNQCLSA-N 0 3 237.318 2.558 20 0 BFADHN Cc1ccc([C@H](C)NCCOCCF)s1 ZINC000663464509 411665109 /nfs/dbraw/zinc/66/51/09/411665109.db2.gz RHPLQYHIRRQTGN-JTQLQIEISA-N 0 3 231.336 2.693 20 0 BFADHN CC(C)=CCCN1CCO[C@]2(CCSC2)C1 ZINC000660898710 411665782 /nfs/dbraw/zinc/66/57/82/411665782.db2.gz JIMNISYWTXKPEY-CYBMUJFWSA-N 0 3 241.400 2.551 20 0 BFADHN CCc1ccc([C@H](C)NCCOCCF)cc1 ZINC000663463404 411665849 /nfs/dbraw/zinc/66/58/49/411665849.db2.gz MNGSLUPHGKAMFZ-LBPRGKRZSA-N 0 3 239.334 2.886 20 0 BFADHN CC(C)=CCCN1C[C@H](C)OCC12CCC2 ZINC000660920259 411672917 /nfs/dbraw/zinc/67/29/17/411672917.db2.gz MWFNTNPSMSLMAA-ZDUSSCGKSA-N 0 3 223.360 2.986 20 0 BFADHN CCOc1ccc(CNC[C@H](CC)OC)cc1 ZINC000289792594 170545865 /nfs/dbraw/zinc/54/58/65/170545865.db2.gz LNCKEAGKVMCDMD-ZDUSSCGKSA-N 0 3 237.343 2.600 20 0 BFADHN Cc1ccc(CN2C[C@@H]3CCCC[C@@H]32)cn1 ZINC000660935265 411685015 /nfs/dbraw/zinc/68/50/15/411685015.db2.gz ZEIBPSCATWVCGW-KBPBESRZSA-N 0 3 216.328 2.764 20 0 BFADHN CCc1ccc(CCN(C)Cc2cnc[nH]2)cc1 ZINC000661084672 411696042 /nfs/dbraw/zinc/69/60/42/411696042.db2.gz GPJOXMOAQPLNBR-UHFFFAOYSA-N 0 3 243.354 2.647 20 0 BFADHN CN(CCCc1cccc(F)c1)Cc1cnc[nH]1 ZINC000661088524 411698656 /nfs/dbraw/zinc/69/86/56/411698656.db2.gz LAGROQGCMUZJQF-UHFFFAOYSA-N 0 3 247.317 2.613 20 0 BFADHN CN(Cc1c[nH]cn1)C[C@@H]1C[C@H]1c1ccccc1 ZINC000661089510 411698876 /nfs/dbraw/zinc/69/88/76/411698876.db2.gz BODKDBVCOPIJDX-ZFWWWQNUSA-N 0 3 241.338 2.645 20 0 BFADHN CN(Cc1cnc[nH]1)C[C@@H]1C[C@H]1c1ccccc1 ZINC000661089510 411698877 /nfs/dbraw/zinc/69/88/77/411698877.db2.gz BODKDBVCOPIJDX-ZFWWWQNUSA-N 0 3 241.338 2.645 20 0 BFADHN CCc1ccccc1CCN(C)Cc1cnc[nH]1 ZINC000661089600 411700352 /nfs/dbraw/zinc/70/03/52/411700352.db2.gz CWHWXDLHVVJCHS-UHFFFAOYSA-N 0 3 243.354 2.647 20 0 BFADHN C[C@@]1(C2CC2)CN(CCCCCF)CCO1 ZINC000661173325 411728858 /nfs/dbraw/zinc/72/88/58/411728858.db2.gz XDCOAADBSFXPKP-ZDUSSCGKSA-N 0 3 229.339 2.627 20 0 BFADHN CCOc1cccc(CN[C@@H](C)[C@H](C)OC)c1 ZINC000129089511 170579130 /nfs/dbraw/zinc/57/91/30/170579130.db2.gz MPPRGMFQJWOXHK-RYUDHWBXSA-N 0 3 237.343 2.598 20 0 BFADHN c1nc(CN2CCCC3(CCCC3)CC2)c[nH]1 ZINC000661231821 411737841 /nfs/dbraw/zinc/73/78/41/411737841.db2.gz SYVNKYVFZSIUPF-UHFFFAOYSA-N 0 3 233.359 2.956 20 0 BFADHN c1ncc(CN2CCCC3(CCCC3)CC2)[nH]1 ZINC000661231821 411737846 /nfs/dbraw/zinc/73/78/46/411737846.db2.gz SYVNKYVFZSIUPF-UHFFFAOYSA-N 0 3 233.359 2.956 20 0 BFADHN Cn1nccc1CN1CCCC2(CCCC2)CC1 ZINC000661230597 411737900 /nfs/dbraw/zinc/73/79/00/411737900.db2.gz CGSKGRMSMARZCG-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN CCOC1CC(CN[C@H](C)c2cscn2)C1 ZINC000661413603 411770338 /nfs/dbraw/zinc/77/03/38/411770338.db2.gz FNZVGQNGVKWJTC-KPPDAEKUSA-N 0 3 240.372 2.609 20 0 BFADHN CCSCCN[C@@H](COC)c1ccccc1 ZINC000181701867 170637364 /nfs/dbraw/zinc/63/73/64/170637364.db2.gz ISGQEWQAFVMZJT-ZDUSSCGKSA-N 0 3 239.384 2.717 20 0 BFADHN CC(C)=CCCN1CC2(CCC2)OC[C@@H]1C ZINC000661463599 411781272 /nfs/dbraw/zinc/78/12/72/411781272.db2.gz REZQVRZAQHTEDJ-ZDUSSCGKSA-N 0 3 223.360 2.986 20 0 BFADHN CC(C)CCN1CC2(CCC2)OC[C@@H]1C ZINC000661464638 411783085 /nfs/dbraw/zinc/78/30/85/411783085.db2.gz APHUUJVZZOHONP-LBPRGKRZSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@H](O)CN[C@H](c1ccccc1F)C(C)C ZINC000661484881 411784693 /nfs/dbraw/zinc/78/46/93/411784693.db2.gz NFJAMJXLJXFTLR-FZMZJTMJSA-N 0 3 239.334 2.883 20 0 BFADHN CCCCN[C@@H](COC)c1cccc(OC)c1 ZINC000661476246 411785082 /nfs/dbraw/zinc/78/50/82/411785082.db2.gz BAXPUYCHPVOQFW-AWEZNQCLSA-N 0 3 237.343 2.772 20 0 BFADHN c1coc(C2CCN(CCOCC3CC3)CC2)c1 ZINC000661162223 411723456 /nfs/dbraw/zinc/72/34/56/411723456.db2.gz MBJSNCYUNHKQIG-UHFFFAOYSA-N 0 3 249.354 2.886 20 0 BFADHN CCOCCCN1CCC(c2ccco2)CC1 ZINC000661162092 411724889 /nfs/dbraw/zinc/72/48/89/411724889.db2.gz GLIKXIYEYAVGCD-UHFFFAOYSA-N 0 3 237.343 2.886 20 0 BFADHN Cn1cncc1CN1CC[C@H](C2CCCCC2)C1 ZINC000661577598 411807079 /nfs/dbraw/zinc/80/70/79/411807079.db2.gz CWLKHAZRFKIACJ-AWEZNQCLSA-N 0 3 247.386 2.822 20 0 BFADHN Cc1ccc(CCN(C)CC2=CCCOC2)cc1 ZINC000661656212 411831337 /nfs/dbraw/zinc/83/13/37/411831337.db2.gz QATSWBJZSPCSPL-UHFFFAOYSA-N 0 3 245.366 2.816 20 0 BFADHN Cc1cc(CN(C)CC2=CCCOC2)cs1 ZINC000661653820 411831702 /nfs/dbraw/zinc/83/17/02/411831702.db2.gz UMRPHXXZMSIUDH-UHFFFAOYSA-N 0 3 237.368 2.835 20 0 BFADHN CCN1CCC[C@@H]1c1ccc(OC)c(F)c1 ZINC000661662544 411832117 /nfs/dbraw/zinc/83/21/17/411832117.db2.gz ZIQHFWKCWSURQG-GFCCVEGCSA-N 0 3 223.291 2.991 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](CCF)C2)nc1 ZINC000661691713 411841293 /nfs/dbraw/zinc/84/12/93/411841293.db2.gz WWNUJXIMHCUNND-ZDUSSCGKSA-N 0 3 236.334 2.962 20 0 BFADHN CC[C@@H](C)[C@@H](O)CNCc1cc(Cl)cs1 ZINC000193100860 170782812 /nfs/dbraw/zinc/78/28/12/170782812.db2.gz JGPUKTLAOKQIPG-KCJUWKMLSA-N 0 3 247.791 2.898 20 0 BFADHN C[C@@H](c1ccncc1)N1CC[C@@H](C)O[C@@H](C)C1 ZINC000661859245 411904122 /nfs/dbraw/zinc/90/41/22/411904122.db2.gz RTKDOTBUPYBKHR-AGIUHOORSA-N 0 3 234.343 2.642 20 0 BFADHN Fc1cccc(F)c1CCN1CC[C@@H]2C[C@@H]2C1 ZINC000661966513 411924079 /nfs/dbraw/zinc/92/40/79/411924079.db2.gz JHBLHZXRHHAKNM-GHMZBOCLSA-N 0 3 237.293 2.849 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1cc(C)c(C)o1 ZINC000310029620 170820642 /nfs/dbraw/zinc/82/06/42/170820642.db2.gz QSFDHUMEADDFSH-PWSUYJOCSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@H]2C[C@H]2C1 ZINC000661972388 411928749 /nfs/dbraw/zinc/92/87/49/411928749.db2.gz PZKZJHRLCMILRW-AAEUAGOBSA-N 0 3 219.303 2.976 20 0 BFADHN Fc1cccc(CN2CC[C@H]3C[C@H]3C2)c1 ZINC000661977894 411931880 /nfs/dbraw/zinc/93/18/80/411931880.db2.gz MNTZWGCKWBGATH-RYUDHWBXSA-N 0 3 205.276 2.668 20 0 BFADHN C[C@H]1COC2(CCCC2)CN1CCCCF ZINC000661979468 411933731 /nfs/dbraw/zinc/93/37/31/411933731.db2.gz XSVQXTWFHPCJTH-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN CC(C)=CCN1CCOC(C2CC2)(C2CC2)C1 ZINC000661993285 411937198 /nfs/dbraw/zinc/93/71/98/411937198.db2.gz JISCYQCNDFHXNC-UHFFFAOYSA-N 0 3 235.371 2.844 20 0 BFADHN Cc1cc(CN[C@@H](C)C2CCC(F)CC2)on1 ZINC000661998316 411937202 /nfs/dbraw/zinc/93/72/02/411937202.db2.gz JHVZDRXBISFYFR-UNXYVOJBSA-N 0 3 240.322 2.989 20 0 BFADHN Cc1cc(CN[C@H](C)C2CCC(F)CC2)on1 ZINC000661998317 411937318 /nfs/dbraw/zinc/93/73/18/411937318.db2.gz JHVZDRXBISFYFR-VOMCLLRMSA-N 0 3 240.322 2.989 20 0 BFADHN CC[C@@H](COC)NCc1ccc(F)c(C)c1 ZINC000070657877 170847657 /nfs/dbraw/zinc/84/76/57/170847657.db2.gz JWNHIHKRCDZVDL-LBPRGKRZSA-N 0 3 225.307 2.649 20 0 BFADHN c1cc(C2CCN([C@H]3C=CCCC3)CC2)no1 ZINC000662047104 411966295 /nfs/dbraw/zinc/96/62/95/411966295.db2.gz YPNZDEYVSPEVJX-ZDUSSCGKSA-N 0 3 232.327 2.963 20 0 BFADHN c1ccc(SCCN2CCC3(COC3)C2)cc1 ZINC000662076182 411975793 /nfs/dbraw/zinc/97/57/93/411975793.db2.gz WJDJDKWVTSPENG-UHFFFAOYSA-N 0 3 249.379 2.501 20 0 BFADHN Clc1ccc(CN2CCC3(COC3)C2)cc1 ZINC000662074911 411977251 /nfs/dbraw/zinc/97/72/51/411977251.db2.gz SLYROTMUONJMID-UHFFFAOYSA-N 0 3 237.730 2.562 20 0 BFADHN CCC(C)(C)CCN1CCc2nccnc2C1 ZINC000662119252 411990929 /nfs/dbraw/zinc/99/09/29/411990929.db2.gz SXAKLCOLVPBMNP-UHFFFAOYSA-N 0 3 233.359 2.661 20 0 BFADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1cncs1 ZINC000662127936 411994767 /nfs/dbraw/zinc/99/47/67/411994767.db2.gz HUBSWNIMRMIRCP-FGWVZKOKSA-N 0 3 222.357 2.906 20 0 BFADHN C[C@@H]1CN(C/C=C\c2ccccc2)C[C@@]1(C)CO ZINC000662136192 411999239 /nfs/dbraw/zinc/99/92/39/411999239.db2.gz OKKWSSFULROBGR-SYTIVJCGSA-N 0 3 245.366 2.650 20 0 BFADHN Cc1ccc(CN(C)CCc2cncs2)nc1 ZINC000664657121 412006078 /nfs/dbraw/zinc/00/60/78/412006078.db2.gz OIYRIWWPLMLMOM-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN Cn1nccc1CN1CCCC[C@H]1CC1CCC1 ZINC000662155688 412010856 /nfs/dbraw/zinc/01/08/56/412010856.db2.gz HMVVMTQZLOIAPS-AWEZNQCLSA-N 0 3 247.386 2.965 20 0 BFADHN Cn1cnc(CN2CCCC[C@@H]2CC2CCC2)c1 ZINC000662157145 412011067 /nfs/dbraw/zinc/01/10/67/412011067.db2.gz OGTUPNZAFOUMSB-OAHLLOKOSA-N 0 3 247.386 2.965 20 0 BFADHN CCCCC[C@H]1CCCCN1CC(=O)N(C)C ZINC000662157247 412011202 /nfs/dbraw/zinc/01/12/02/412011202.db2.gz VBYYDOBDZYPCCG-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CCC[C@H](C)N[C@H](CO)c1ccc(F)cc1F ZINC000662163359 412013254 /nfs/dbraw/zinc/01/32/54/412013254.db2.gz OSFAUGBSKKIEIS-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1nc(CN(CCC2CC2)CC2CC2)co1 ZINC000662178088 412021212 /nfs/dbraw/zinc/02/12/12/412021212.db2.gz RFUDBGZTOXHGDO-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1nn(C)cc1CN1CCC[C@@H](C2CCC2)C1 ZINC000662041901 411960607 /nfs/dbraw/zinc/96/06/07/411960607.db2.gz DRMFRDMTWMAEQL-CQSZACIVSA-N 0 3 247.386 2.741 20 0 BFADHN CCn1cc(CN2CCC[C@@H](C3CCC3)C2)cn1 ZINC000662045544 411963442 /nfs/dbraw/zinc/96/34/42/411963442.db2.gz YMDHJWHRXKUALC-OAHLLOKOSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1nnc([C@@H](C)[N@H+](CCC2CC2)CC2CC2)[n-]1 ZINC000662181236 412023452 /nfs/dbraw/zinc/02/34/52/412023452.db2.gz XVDUCTAHKRXJFS-SNVBAGLBSA-N 0 3 248.374 2.686 20 0 BFADHN Cc1nnc([C@@H](C)[N@@H+](CCC2CC2)CC2CC2)[n-]1 ZINC000662181236 412023457 /nfs/dbraw/zinc/02/34/57/412023457.db2.gz XVDUCTAHKRXJFS-SNVBAGLBSA-N 0 3 248.374 2.686 20 0 BFADHN Fc1cccc(F)c1CNC1CC=CC1 ZINC000132542163 412033172 /nfs/dbraw/zinc/03/31/72/412033172.db2.gz MVBJOSXINZOBIA-UHFFFAOYSA-N 0 3 209.239 2.773 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CCCc2n[nH]cc2C1 ZINC000664861586 412034070 /nfs/dbraw/zinc/03/40/70/412034070.db2.gz URVFCXGRZNHJRC-OLZOCXBDSA-N 0 3 247.386 2.984 20 0 BFADHN CCC(CC)CN1CCCc2n[nH]cc2C1 ZINC000664861031 412034416 /nfs/dbraw/zinc/03/44/16/412034416.db2.gz LJPSNANGDYFJOU-UHFFFAOYSA-N 0 3 221.348 2.594 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cc(C)on1 ZINC000132684102 170991257 /nfs/dbraw/zinc/99/12/57/170991257.db2.gz GCMOPDHSWQOSCW-PWSUYJOCSA-N 0 3 208.305 2.651 20 0 BFADHN CN(CC1=CCSC1)C[C@H]1CCC(C)(C)O1 ZINC000662262682 412054108 /nfs/dbraw/zinc/05/41/08/412054108.db2.gz CHNQSJGBBZOIKR-GFCCVEGCSA-N 0 3 241.400 2.549 20 0 BFADHN CC[C@@H]1CCN(Cc2cnc3ccc(C)cn23)C1 ZINC000091930472 171010720 /nfs/dbraw/zinc/01/07/20/171010720.db2.gz WQAURDYSXBAVSS-CYBMUJFWSA-N 0 3 243.354 2.875 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc(F)nc2C)C1 ZINC000278393154 171010986 /nfs/dbraw/zinc/01/09/86/171010986.db2.gz DYRIABSPAGWPSA-LLVKDONJSA-N 0 3 222.307 2.761 20 0 BFADHN CC[C@@H]1CCN(Cc2cn(C)nc2C(F)F)C1 ZINC000274454531 171011330 /nfs/dbraw/zinc/01/13/30/171011330.db2.gz FYTJPXMBRYSFHJ-SECBINFHSA-N 0 3 243.301 2.590 20 0 BFADHN CC[C@@H]1CCN(C[C@H](O)c2ccccc2F)C1 ZINC000125544973 171011372 /nfs/dbraw/zinc/01/13/72/171011372.db2.gz YXRYGRSIEWYBLB-RISCZKNCSA-N 0 3 237.318 2.591 20 0 BFADHN CC[C@@H]1CCN(Cc2ccncc2Cl)C1 ZINC000125484739 171012053 /nfs/dbraw/zinc/01/20/53/171012053.db2.gz JOENNJOCTWDRHY-SNVBAGLBSA-N 0 3 224.735 2.967 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc2c(c1)ncn2C ZINC000292784137 171024825 /nfs/dbraw/zinc/02/48/25/171024825.db2.gz LUJUBPKUNJBFEF-GFCCVEGCSA-N 0 3 229.327 2.558 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cncc(OC)c1 ZINC000290041633 171037411 /nfs/dbraw/zinc/03/74/11/171037411.db2.gz URXSBVVOKMZBCJ-DGCLKSJQSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cccc(OC)n1 ZINC000271584627 171038873 /nfs/dbraw/zinc/03/88/73/171038873.db2.gz SKCXGDHADSYHAP-DGCLKSJQSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1cn2ccsc2n1 ZINC000310040031 171043893 /nfs/dbraw/zinc/04/38/93/171043893.db2.gz XNWDKWDWNMIUKG-KOLCDFICSA-N 0 3 235.356 2.674 20 0 BFADHN CN(CC1=CCSC1)[C@@H]1C=CCCC1 ZINC000662268833 412061284 /nfs/dbraw/zinc/06/12/84/412061284.db2.gz MWSNMGRYEMNIOP-GFCCVEGCSA-N 0 3 209.358 2.700 20 0 BFADHN CC[C@@H]1CN(C/C=C/c2ccc(F)cc2)C[C@H]1O ZINC000271390800 171073995 /nfs/dbraw/zinc/07/39/95/171073995.db2.gz TVJAPZNTLBGSOJ-PRXHZCDDSA-N 0 3 249.329 2.542 20 0 BFADHN CC[C@@H]1CN(C[C@@H](O)CCC(C)C)CCS1 ZINC000361276245 171084504 /nfs/dbraw/zinc/08/45/04/171084504.db2.gz DKSDOIZLCAHHKZ-QWHCGFSZSA-N 0 3 245.432 2.611 20 0 BFADHN CC[C@@H]1CN(Cc2ccc(Cl)cc2)C[C@H]1O ZINC000270949247 171088013 /nfs/dbraw/zinc/08/80/13/171088013.db2.gz SOPXRZKWYKKFGM-DGCLKSJQSA-N 0 3 239.746 2.543 20 0 BFADHN CC[C@@H]1C[C@@H](C)C[N@@H+]1Cc1nc(C)ccc1[O-] ZINC000353919848 171116940 /nfs/dbraw/zinc/11/69/40/171116940.db2.gz KIZZLSGAICSKNU-ZYHUDNBSSA-N 0 3 234.343 2.716 20 0 BFADHN CC[C@@H]1C[C@@H](C)C[N@H+]1Cc1nc(C)ccc1[O-] ZINC000353919848 171116942 /nfs/dbraw/zinc/11/69/42/171116942.db2.gz KIZZLSGAICSKNU-ZYHUDNBSSA-N 0 3 234.343 2.716 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CCC12CCCC2 ZINC000668281606 487621736 /nfs/dbraw/zinc/62/17/36/487621736.db2.gz ZRFXUOSOFLPNLO-UHFFFAOYSA-N 0 3 245.370 2.816 20 0 BFADHN CC[C@@](C)(O)CNCc1cc(F)cc(Cl)c1 ZINC000168263277 171183694 /nfs/dbraw/zinc/18/36/94/171183694.db2.gz XMAGFEYMMWLTSK-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@]1(C)CCN([C@H](C)c2cnccn2)C1 ZINC000336458263 171202688 /nfs/dbraw/zinc/20/26/88/171202688.db2.gz XDZHMSJXGHDLEO-YPMHNXCESA-N 0 3 219.332 2.660 20 0 BFADHN CC[C@@]1(C)CN(CC2CC(F)(F)C2)CCO1 ZINC000336264117 171203124 /nfs/dbraw/zinc/20/31/24/171203124.db2.gz QRWJJGVLLWNKJE-NSHDSACASA-N 0 3 233.302 2.533 20 0 BFADHN CC[C@H](C(=O)NC1(CC)CCC1)N(CC)CC ZINC000359887098 171227299 /nfs/dbraw/zinc/22/72/99/171227299.db2.gz YLLKDGYMVJHQNS-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CC[C@H](C(=O)N[C@@H](C)CC(C)C)N(CC)CC ZINC000337863018 171231810 /nfs/dbraw/zinc/23/18/10/171231810.db2.gz NNIAWKSHSMZCEE-QWHCGFSZSA-N 0 3 242.407 2.658 20 0 BFADHN CC[C@H](C(=O)N[C@H](C)CC(C)C)N(CC)CC ZINC000337863016 171232567 /nfs/dbraw/zinc/23/25/67/171232567.db2.gz NNIAWKSHSMZCEE-CHWSQXEVSA-N 0 3 242.407 2.658 20 0 BFADHN CC[C@H](C)C(=O)Nc1ccccc1CCN(C)C ZINC000275594927 171255596 /nfs/dbraw/zinc/25/55/96/171255596.db2.gz GCBXDQRNBBVGEB-LBPRGKRZSA-N 0 3 248.370 2.775 20 0 BFADHN CC[C@H](C)CN(C)C(=O)C[C@@H](N)c1ccccc1 ZINC000037173415 171270021 /nfs/dbraw/zinc/27/00/21/171270021.db2.gz RRYXTVZFOFMYKH-GXTWGEPZSA-N 0 3 248.370 2.581 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@@H](C3CC3)C2)ncn1 ZINC000678604221 487621936 /nfs/dbraw/zinc/62/19/36/487621936.db2.gz ASYFQENQDJPTMP-KGLIPLIRSA-N 0 3 245.370 2.843 20 0 BFADHN CC[C@H](C)CN(C)Cc1ccc(COC)o1 ZINC000091796033 171274203 /nfs/dbraw/zinc/27/42/03/171274203.db2.gz XHBRFEIGUJDIIY-NSHDSACASA-N 0 3 225.332 2.904 20 0 BFADHN CC[C@H](C)CN(C)Cc1ccnn1C(C)C ZINC000337169739 171274724 /nfs/dbraw/zinc/27/47/24/171274724.db2.gz DTRSSWLADSTCTI-LBPRGKRZSA-N 0 3 223.364 2.942 20 0 BFADHN CC[C@H](C)CN(C)Cc1cc(OC)cc(C)n1 ZINC000270178413 171275020 /nfs/dbraw/zinc/27/50/20/171275020.db2.gz AEFUBUXRGZHHNA-NSHDSACASA-N 0 3 236.359 2.877 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cc(C)ncn1 ZINC000292645328 171277923 /nfs/dbraw/zinc/27/79/23/171277923.db2.gz ZVSSDBYMWIWRFQ-NSHDSACASA-N 0 3 221.348 2.653 20 0 BFADHN CC[C@H](C)CN1CCN(C)Cc2ccccc21 ZINC000336713309 171280720 /nfs/dbraw/zinc/28/07/20/171280720.db2.gz PERZNSPSGXBAIU-ZDUSSCGKSA-N 0 3 232.371 2.985 20 0 BFADHN CC[C@H](C)CN1CCC[C@@H]1c1nc(C)no1 ZINC000353541431 171280947 /nfs/dbraw/zinc/28/09/47/171280947.db2.gz HQHWWKSLPPHOGI-GXSJLCMTSA-N 0 3 223.320 2.561 20 0 BFADHN CC[C@H](C)CN1CCN(C)CC12CCCCC2 ZINC000122611204 171281688 /nfs/dbraw/zinc/28/16/88/171281688.db2.gz WWPBYHYTYGHKJL-AWEZNQCLSA-N 0 3 238.419 2.983 20 0 BFADHN CC[C@H](C)CN1CCOc2cc(O)ccc2C1 ZINC000285346087 171281732 /nfs/dbraw/zinc/28/17/32/171281732.db2.gz AOORITPJQDXCOU-NSHDSACASA-N 0 3 235.327 2.633 20 0 BFADHN CC[C@H](C)CN1C[C@]2(CCCO2)[C@@H]1C1CC1 ZINC000354717434 171282540 /nfs/dbraw/zinc/28/25/40/171282540.db2.gz YNAVBBBWDJIOKX-FPMFFAJLSA-N 0 3 223.360 2.676 20 0 BFADHN CC[C@H](C)CNCc1nccn1CC(F)(F)F ZINC000357420088 171285542 /nfs/dbraw/zinc/28/55/42/171285542.db2.gz NLBQIZAOQJRTKQ-VIFPVBQESA-N 0 3 249.280 2.581 20 0 BFADHN CC[C@H](C)CN[C@@H](c1nccn1C)C1CC1 ZINC000310041767 171286658 /nfs/dbraw/zinc/28/66/58/171286658.db2.gz YYMHXKMBECWVDA-CMPLNLGQSA-N 0 3 221.348 2.507 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1ccc(CO)o1 ZINC000083863656 171295488 /nfs/dbraw/zinc/29/54/88/171295488.db2.gz PCKXWKRCTCDZSO-WDEREUQCSA-N 0 3 225.332 2.686 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N[C@@H](C)c1nncn1C ZINC000037017117 171296048 /nfs/dbraw/zinc/29/60/48/171296048.db2.gz PPKVXQGNNGPQGM-SDDRHHMPSA-N 0 3 238.379 2.681 20 0 BFADHN CC[C@H](C)N(C)C(=O)[C@H](C)[C@@H](N)c1ccccc1 ZINC000226823616 171303874 /nfs/dbraw/zinc/30/38/74/171303874.db2.gz JDYSIKVLFLDPJX-OUCADQQQSA-N 0 3 248.370 2.579 20 0 BFADHN CC[C@H](C)N(C)Cc1c(C)nc2sccn12 ZINC000271097178 171308756 /nfs/dbraw/zinc/30/87/56/171308756.db2.gz ZVYZFMCTIKLFHB-VIFPVBQESA-N 0 3 237.372 2.935 20 0 BFADHN CC[C@H](C)N(CC)C(=O)C[C@H](N)c1ccccc1 ZINC000037113252 171312026 /nfs/dbraw/zinc/31/20/26/171312026.db2.gz AVGMAZZGEJYCPF-JSGCOSHPSA-N 0 3 248.370 2.724 20 0 BFADHN CC[C@H](C)NC(=O)[C@H](C)N[C@H](C)c1ccccc1 ZINC000104426599 171334548 /nfs/dbraw/zinc/33/45/48/171334548.db2.gz MSSIIAVFAOYPGJ-XQQFMLRXSA-N 0 3 248.370 2.640 20 0 BFADHN CC[C@H](C)NCc1c(F)cc(F)cc1F ZINC000083348903 171341859 /nfs/dbraw/zinc/34/18/59/171341859.db2.gz YPJWNQNFOXLCRO-ZETCQYMHSA-N 0 3 217.234 2.992 20 0 BFADHN CC[C@H](C)NCc1ccc2c(n1)CCCC2 ZINC000278531995 171342782 /nfs/dbraw/zinc/34/27/82/171342782.db2.gz UGCTZVRNEPUGAM-NSHDSACASA-N 0 3 218.344 2.849 20 0 BFADHN CC[C@H](C)NCc1ccc(Br)o1 ZINC000019881947 171342873 /nfs/dbraw/zinc/34/28/73/171342873.db2.gz NYDXKLUJCGFWDV-ZETCQYMHSA-N 0 3 232.121 2.930 20 0 BFADHN CC[C@H](C)NCc1cc(F)c(F)cc1F ZINC000083348899 171343453 /nfs/dbraw/zinc/34/34/53/171343453.db2.gz FIWVJXOUKSQSBJ-ZETCQYMHSA-N 0 3 217.234 2.992 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ncnn1C(C)(C)C ZINC000337413711 171365403 /nfs/dbraw/zinc/36/54/03/171365403.db2.gz RZOOGGHPIJZRJN-WDEREUQCSA-N 0 3 238.379 2.557 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cn2ccccc2n1 ZINC000042556009 171365699 /nfs/dbraw/zinc/36/56/99/171365699.db2.gz UYXDASGBXIFJPH-NWDGAFQWSA-N 0 3 231.343 2.859 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cc(C)nc(C)n1 ZINC000336748525 171365764 /nfs/dbraw/zinc/36/57/64/171365764.db2.gz YANXVISRIADZTP-GXSJLCMTSA-N 0 3 221.348 2.618 20 0 BFADHN CC[C@H](C)[C@@H](C)[NH2+]Cc1nc(C)ccc1[O-] ZINC000082424848 171365881 /nfs/dbraw/zinc/36/58/81/171365881.db2.gz JSVGWVZOYSNMTD-GXSJLCMTSA-N 0 3 222.332 2.620 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cc(OC)ccn1 ZINC000273357026 171365896 /nfs/dbraw/zinc/36/58/96/171365896.db2.gz VZIWGERSBSXQCV-WDEREUQCSA-N 0 3 222.332 2.614 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@@H](C)c1cncs1)OC ZINC000294142908 171369099 /nfs/dbraw/zinc/36/90/99/171369099.db2.gz GQDBMBYHTYZIJC-GARJFASQSA-N 0 3 242.388 2.855 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)NCC(C)(C)CC(C)C ZINC000237060348 171371529 /nfs/dbraw/zinc/37/15/29/171371529.db2.gz RKTVYXDSYCAHIS-NWDGAFQWSA-N 0 3 242.407 2.548 20 0 BFADHN CC[C@H](C)[C@@H](O)CNCc1cc(Cl)cs1 ZINC000193100872 171378352 /nfs/dbraw/zinc/37/83/52/171378352.db2.gz JGPUKTLAOKQIPG-KWQFWETISA-N 0 3 247.791 2.898 20 0 BFADHN CCc1nc(C)c(CN2CC3CC2(C)C3)o1 ZINC000662386012 412137827 /nfs/dbraw/zinc/13/78/27/412137827.db2.gz PSTXOVWHUIRBGX-UHFFFAOYSA-N 0 3 220.316 2.530 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cc(OC)cc(C)n1 ZINC000214102646 171389289 /nfs/dbraw/zinc/38/92/89/171389289.db2.gz JEEKFEYPVVUHJE-JQWIXIFHSA-N 0 3 236.359 2.923 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cc(F)ncc1F ZINC000296584378 171389404 /nfs/dbraw/zinc/38/94/04/171389404.db2.gz XDVXBNIRXAIDJW-IUCAKERBSA-N 0 3 228.286 2.884 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ccc(C(=O)OC)o1 ZINC000042554272 171389998 /nfs/dbraw/zinc/38/99/98/171389998.db2.gz JWFARXGDKRJMSK-UWVGGRQHSA-N 0 3 239.315 2.590 20 0 BFADHN CC[C@H](C)[C@H](CN[C@@H](C)c1ccccn1)OC ZINC000294152804 171393233 /nfs/dbraw/zinc/39/32/33/171393233.db2.gz HQKAROFAJOXROS-OBJOEFQTSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](C)[C@H](CNCc1scnc1C)OC ZINC000294138028 171393612 /nfs/dbraw/zinc/39/36/12/171393612.db2.gz GCVWQTAFFZYMCO-ONGXEEELSA-N 0 3 242.388 2.602 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)N[C@@H](C)c1ccccc1C ZINC000040854699 171395294 /nfs/dbraw/zinc/39/52/94/171395294.db2.gz WDVRWUAUJILCPA-JKOKRWQUSA-N 0 3 248.370 2.546 20 0 BFADHN CC[C@H](c1ccccc1)N1CC[C@@H](F)[C@H](N)C1 ZINC000662323816 412103048 /nfs/dbraw/zinc/10/30/48/412103048.db2.gz VNPHPKLNZMCMIF-MGPQQGTHSA-N 0 3 236.334 2.509 20 0 BFADHN c1n[nH]cc1CN1CC2CC1(c1ccccc1)C2 ZINC000662332064 412106102 /nfs/dbraw/zinc/10/61/02/412106102.db2.gz SXIKHPAQDNIESR-UHFFFAOYSA-N 0 3 239.322 2.531 20 0 BFADHN COCC1(NCc2cccnc2C)CCCCC1 ZINC000662339228 412107784 /nfs/dbraw/zinc/10/77/84/412107784.db2.gz CXQITABRCNPXKH-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CC[C@@H](O)CN[C@]1(c2ccccc2)CC1(C)C ZINC000662340934 412109579 /nfs/dbraw/zinc/10/95/79/412109579.db2.gz QDYRESJIODMXHJ-HIFRSBDPSA-N 0 3 233.355 2.672 20 0 BFADHN CN(C)Cc1cccc(-c2cnsc2)c1 ZINC000665143391 412110070 /nfs/dbraw/zinc/11/00/70/412110070.db2.gz ANOMOOZHTMWFSM-UHFFFAOYSA-N 0 3 218.325 2.872 20 0 BFADHN CN(Cc1cccnc1)C[C@H]1CC1(C)C ZINC000662345583 412113442 /nfs/dbraw/zinc/11/34/42/412113442.db2.gz JQOJXHVEVOTAGF-GFCCVEGCSA-N 0 3 204.317 2.560 20 0 BFADHN CN(Cc1cnc2ccccn12)C[C@H]1CC1(C)C ZINC000662346498 412115943 /nfs/dbraw/zinc/11/59/43/412115943.db2.gz WUGBQNBVRYQAPA-GFCCVEGCSA-N 0 3 243.354 2.812 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CC2(C)C)nc1 ZINC000662346648 412116489 /nfs/dbraw/zinc/11/64/89/412116489.db2.gz YAZHIBAJWDFPKI-GFCCVEGCSA-N 0 3 218.344 2.868 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000662346332 412117369 /nfs/dbraw/zinc/11/73/69/412117369.db2.gz UXZKCZKSUXEJRJ-CYBMUJFWSA-N 0 3 248.370 2.921 20 0 BFADHN COc1cccc(CN(C)C[C@@H]2CC2(C)C)n1 ZINC000662347040 412118886 /nfs/dbraw/zinc/11/88/86/412118886.db2.gz XXCNNELNZIVEQU-NSHDSACASA-N 0 3 234.343 2.568 20 0 BFADHN C[C@@H]1CN(CCC2CCC2)C[C@@](C)(C(F)F)O1 ZINC000662357700 412121763 /nfs/dbraw/zinc/12/17/63/412121763.db2.gz DHWNBPIEIOWCID-MFKMUULPSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@H]1CN(CC2CC=CC2)C[C@](C)(C(F)F)O1 ZINC000662359415 412122754 /nfs/dbraw/zinc/12/27/54/412122754.db2.gz ZEWLFDFNGRQYHZ-GXFFZTMASA-N 0 3 245.313 2.697 20 0 BFADHN CC[C@H](NC)C(=O)Nc1cccc(Cl)c1C ZINC000662366994 412126233 /nfs/dbraw/zinc/12/62/33/412126233.db2.gz BBUUWSNIOFFDJI-JTQLQIEISA-N 0 3 240.734 2.585 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1ccc(C)c(Cl)c1 ZINC000662366548 412126391 /nfs/dbraw/zinc/12/63/91/412126391.db2.gz YKXCEHWXHLHOFF-LLVKDONJSA-N 0 3 240.734 2.585 20 0 BFADHN NCc1cccc(NC(=O)[C@@H]2CCC[C@H]3C[C@H]32)c1 ZINC000662392919 412142789 /nfs/dbraw/zinc/14/27/89/412142789.db2.gz NYIXFHKFJBLPDF-IACUBPJLSA-N 0 3 244.338 2.520 20 0 BFADHN Cc1c(-c2cc(CN(C)C)ccc2F)cnn1C ZINC000665195488 412128830 /nfs/dbraw/zinc/12/88/30/412128830.db2.gz DRVDZYFFLCLZSC-UHFFFAOYSA-N 0 3 247.317 2.596 20 0 BFADHN C[C@@]1(C(F)F)CN(CC2CCCCC2)CCO1 ZINC000662375336 412129391 /nfs/dbraw/zinc/12/93/91/412129391.db2.gz PCAUJVGSPBPOLH-ZDUSSCGKSA-N 0 3 247.329 2.923 20 0 BFADHN COc1ccccc1OCCN1CC2CC1(C)C2 ZINC000662382329 412133263 /nfs/dbraw/zinc/13/32/63/412133263.db2.gz RZNAAUNPAZIQAU-UHFFFAOYSA-N 0 3 247.338 2.558 20 0 BFADHN CC[C@H](NCC(=O)N(CC)CC)c1ccccc1 ZINC000051628257 171516591 /nfs/dbraw/zinc/51/65/91/171516591.db2.gz SKHTYXZZXOOJMT-AWEZNQCLSA-N 0 3 248.370 2.596 20 0 BFADHN CC[C@H](CO)N[C@@H](CC)c1ccccc1F ZINC000135095558 171546860 /nfs/dbraw/zinc/54/68/60/171546860.db2.gz HMMDMPBTHQYAGX-MFKMUULPSA-N 0 3 225.307 2.637 20 0 BFADHN CC[C@H](O)CCCN[C@@H](C)c1cc(C)ccn1 ZINC000353634713 171556022 /nfs/dbraw/zinc/55/60/22/171556022.db2.gz WLBNSBHEERBPNP-STQMWFEESA-N 0 3 236.359 2.592 20 0 BFADHN Cc1ncc([C@@H](C)NCc2cccc(N)c2)s1 ZINC000665234036 412171447 /nfs/dbraw/zinc/17/14/47/412171447.db2.gz VWISEOCARVADHB-SECBINFHSA-N 0 3 247.367 2.885 20 0 BFADHN Cc1cc(CN2CC[C@@H](C3CCC3)C2)on1 ZINC000665286191 412231883 /nfs/dbraw/zinc/23/18/83/412231883.db2.gz GXDJMFBVOKSDNH-GFCCVEGCSA-N 0 3 220.316 2.605 20 0 BFADHN COc1cc(CN2CC[C@@H](C3CCC3)C2)ccn1 ZINC000665288027 412233183 /nfs/dbraw/zinc/23/31/83/412233183.db2.gz AVJJPIRQVQIGFL-CQSZACIVSA-N 0 3 246.354 2.712 20 0 BFADHN CCCCC[C@@H]1CCCCN1C(=O)[C@@H](N)CC ZINC000662932061 412348185 /nfs/dbraw/zinc/34/81/85/412348185.db2.gz HHLPXGZITPNMBS-OLZOCXBDSA-N 0 3 240.391 2.685 20 0 BFADHN CCc1ccc(CNCC(CC)(CC)CO)o1 ZINC000229209012 171804917 /nfs/dbraw/zinc/80/49/17/171804917.db2.gz ZUDGERCMPIAYHN-UHFFFAOYSA-N 0 3 239.359 2.730 20 0 BFADHN CCc1cnc(CNC[C@H](C)CC)s1 ZINC000093077418 171914030 /nfs/dbraw/zinc/91/40/30/171914030.db2.gz WJXVGHWLNRIFFP-SECBINFHSA-N 0 3 212.362 2.841 20 0 BFADHN Fc1cnccc1CN[C@@H]1CCC[C@@H](F)C1 ZINC000335388716 487623781 /nfs/dbraw/zinc/62/37/81/487623781.db2.gz XEIYBDIRXJWOGD-GHMZBOCLSA-N 0 3 226.270 2.591 20 0 BFADHN CCc1nc([C@H](C)NCCCCCO)cs1 ZINC000184717285 172006317 /nfs/dbraw/zinc/00/63/17/172006317.db2.gz HIRLUOBUSVFTCR-JTQLQIEISA-N 0 3 242.388 2.519 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CCCC[C@H]2C)[n-]1 ZINC000358240431 172006835 /nfs/dbraw/zinc/00/68/35/172006835.db2.gz RATQETNJGLXZLB-OUAUKWLOSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1ncc(CN[C@@H]2CSC2(C)C)s1 ZINC000282821824 172018821 /nfs/dbraw/zinc/01/88/21/172018821.db2.gz NKHLMJYYZVGWMO-SECBINFHSA-N 0 3 242.413 2.689 20 0 BFADHN CCc1nn(C)c(CC)c1CN(CC)CC1CC1 ZINC000125682167 172038166 /nfs/dbraw/zinc/03/81/66/172038166.db2.gz CHKRVRDFTHEUOP-UHFFFAOYSA-N 0 3 249.402 2.777 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CCC12CCC2 ZINC000353666975 172038329 /nfs/dbraw/zinc/03/83/29/172038329.db2.gz BBDJICJNDKEMMR-UHFFFAOYSA-N 0 3 247.386 2.673 20 0 BFADHN CCc1noc(C)c1CNC[C@H](C)CC ZINC000292875694 172079287 /nfs/dbraw/zinc/07/92/87/172079287.db2.gz DOYVPQHOXBTVJG-SECBINFHSA-N 0 3 210.321 2.681 20 0 BFADHN CCc1nnc(CN[C@H](CC)C(C)C)s1 ZINC000336734474 172052931 /nfs/dbraw/zinc/05/29/31/172052931.db2.gz NDNAOQONAXEREM-SECBINFHSA-N 0 3 227.377 2.625 20 0 BFADHN CCc1noc(C)c1CN(C)[C@H](C)CC ZINC000336650852 172075446 /nfs/dbraw/zinc/07/54/46/172075446.db2.gz UBQFKPXZMBWRCH-SECBINFHSA-N 0 3 210.321 2.776 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1C[C@@H](C)[C@@H]1C ZINC000340440106 172078494 /nfs/dbraw/zinc/07/84/94/172078494.db2.gz ODSCREYBWIOVRW-VYUIOLGVSA-N 0 3 222.332 2.680 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1C[C@H](C)[C@H]1C ZINC000340440101 172078999 /nfs/dbraw/zinc/07/89/99/172078999.db2.gz ODSCREYBWIOVRW-IGJMFERPSA-N 0 3 222.332 2.680 20 0 BFADHN CCc1noc(C)c1CN[C@H](C)C1(C)CC1 ZINC000340440549 172079152 /nfs/dbraw/zinc/07/91/52/172079152.db2.gz DIIRZIXYPJTYDN-SNVBAGLBSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CC[C@H]1CC ZINC000340440929 172080399 /nfs/dbraw/zinc/08/03/99/172080399.db2.gz UZQIBDQUSMIMGR-MFKMUULPSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CCC12CCC2 ZINC000340442078 172081203 /nfs/dbraw/zinc/08/12/03/172081203.db2.gz MQNYRVXOWRDUMD-ZDUSSCGKSA-N 0 3 234.343 2.968 20 0 BFADHN CCc1noc(CN2CCC[C@H]2C2CCCC2)n1 ZINC000122662662 172085463 /nfs/dbraw/zinc/08/54/63/172085463.db2.gz HDYOVGPEZNRMGS-LBPRGKRZSA-N 0 3 249.358 2.787 20 0 BFADHN CCc1nocc1CN(C)[C@H]1CC[C@H](C)C1 ZINC000339604944 172094891 /nfs/dbraw/zinc/09/48/91/172094891.db2.gz JQOWZSPXMHZCKW-JQWIXIFHSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1nocc1CN(C)[C@H]1CC[C@@H](C)C1 ZINC000339604945 172096042 /nfs/dbraw/zinc/09/60/42/172096042.db2.gz JQOWZSPXMHZCKW-PWSUYJOCSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1nocc1CNC1CCC(F)(F)CC1 ZINC000339328855 172097774 /nfs/dbraw/zinc/09/77/74/172097774.db2.gz SXZJIMCYVDCBBT-UHFFFAOYSA-N 0 3 244.285 2.905 20 0 BFADHN CCc1nocc1CNCC1(SC)CCC1 ZINC000339382259 172098571 /nfs/dbraw/zinc/09/85/71/172098571.db2.gz SFBBXMBHDBMMAT-UHFFFAOYSA-N 0 3 240.372 2.612 20 0 BFADHN CCc1nocc1CNCCc1ccccc1F ZINC000339163179 172099592 /nfs/dbraw/zinc/09/95/92/172099592.db2.gz CDEODLGJKPBKNR-UHFFFAOYSA-N 0 3 248.301 2.708 20 0 BFADHN CCc1nocc1CNCCCc1ccccc1 ZINC000339172188 172100121 /nfs/dbraw/zinc/10/01/21/172100121.db2.gz NXWMSPSPVBDSAV-UHFFFAOYSA-N 0 3 244.338 2.959 20 0 BFADHN CCc1nocc1CN[C@@H]1CCC1(C)C ZINC000339401569 172101011 /nfs/dbraw/zinc/10/10/11/172101011.db2.gz WIXCDBPOSDRLDB-LLVKDONJSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1nocc1CN[C@H]1CCC(C)(C)C1 ZINC000339329685 172101122 /nfs/dbraw/zinc/10/11/22/172101122.db2.gz IBHZZQJJKGAARQ-NSHDSACASA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nocc1CN[C@@H]1CCC(C)(C)C1 ZINC000339329683 172101790 /nfs/dbraw/zinc/10/17/90/172101790.db2.gz IBHZZQJJKGAARQ-LLVKDONJSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nocc1CN[C@@H]1CC12CCCC2 ZINC000339371651 172102388 /nfs/dbraw/zinc/10/23/88/172102388.db2.gz JOEFOIMXYNTKDO-GFCCVEGCSA-N 0 3 220.316 2.659 20 0 BFADHN CCc1ccc(NC(=O)[C@@H](N)C(C)C)cc1CC ZINC000183037917 487624009 /nfs/dbraw/zinc/62/40/09/487624009.db2.gz DQKMMFGDRMNQEJ-AWEZNQCLSA-N 0 3 248.370 2.733 20 0 BFADHN CCc1oc2ccccc2c1CN[C@H](CC)CO ZINC000035017765 172112624 /nfs/dbraw/zinc/11/26/24/172112624.db2.gz YLXIVOIOMIUOPE-LLVKDONJSA-N 0 3 247.338 2.856 20 0 BFADHN CCn1cc(CN(C)[C@@H]2CC[C@@H](C)C2)cn1 ZINC000338995186 172162506 /nfs/dbraw/zinc/16/25/06/172162506.db2.gz BNRBLNFISJUXBK-DGCLKSJQSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1cc(CN2CCC[C@@H](C3CC3)C2)cn1 ZINC000368144154 172163957 /nfs/dbraw/zinc/16/39/57/172163957.db2.gz XCLURKUTPCLIQS-CQSZACIVSA-N 0 3 233.359 2.525 20 0 BFADHN CCn1cc(CN2CCC[C@H]2C(C)(C)C)cn1 ZINC000353439931 172165917 /nfs/dbraw/zinc/16/59/17/172165917.db2.gz XOPUHILDLSYHNK-ZDUSSCGKSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1cc(CN[C@H](C)c2ccccc2)cn1 ZINC000050539333 172174665 /nfs/dbraw/zinc/17/46/65/172174665.db2.gz RPKBOPPTSMHCEL-GFCCVEGCSA-N 0 3 229.327 2.754 20 0 BFADHN CCn1cc([C@H](C)NCC2(C(F)F)CC2)cn1 ZINC000359001613 172196489 /nfs/dbraw/zinc/19/64/89/172196489.db2.gz MOSFFOOTWMSHRC-VIFPVBQESA-N 0 3 243.301 2.599 20 0 BFADHN CCn1ccnc1CN[C@H]1CCCC[C@@H]1C ZINC000051920894 172223715 /nfs/dbraw/zinc/22/37/15/172223715.db2.gz SLKCYVQSHSHWNU-RYUDHWBXSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccnc1CN[C@@H](C)CCc1ccco1 ZINC000051921197 172224101 /nfs/dbraw/zinc/22/41/01/172224101.db2.gz PSNBCEHVQKNXEV-LBPRGKRZSA-N 0 3 247.342 2.607 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC2CCC1CC2 ZINC000336658594 172224113 /nfs/dbraw/zinc/22/41/13/172224113.db2.gz OIWKNDICQKFBET-WXRRBKDZSA-N 0 3 233.359 2.571 20 0 BFADHN CCn1ccnc1[C@H](C)NCCc1cccs1 ZINC000295989646 172228785 /nfs/dbraw/zinc/22/87/85/172228785.db2.gz NSBVNLYRAXENKP-NSHDSACASA-N 0 3 249.383 2.858 20 0 BFADHN CCn1ccnc1[C@H](C)NCCc1ccsc1 ZINC000296214824 172229377 /nfs/dbraw/zinc/22/93/77/172229377.db2.gz ZPJXZBKMNPUYPH-NSHDSACASA-N 0 3 249.383 2.858 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1CC=CCC1 ZINC000295200004 172230064 /nfs/dbraw/zinc/23/00/64/172230064.db2.gz KCBRGWZMTGIURL-QWHCGFSZSA-N 0 3 233.359 2.910 20 0 BFADHN CCn1cnnc1CN[C@@H]1CCCC12CCCC2 ZINC000336887697 172236907 /nfs/dbraw/zinc/23/69/07/172236907.db2.gz DJPVGIVIZNZVNA-GFCCVEGCSA-N 0 3 248.374 2.501 20 0 BFADHN CCn1nc(C)c(CN2CC=C(C)CC2)c1C ZINC000271155225 172245851 /nfs/dbraw/zinc/24/58/51/172245851.db2.gz BEJLRWLVJDXAJM-UHFFFAOYSA-N 0 3 233.359 2.672 20 0 BFADHN CCn1nc(C)c(CN2CCC=C(C)C2)c1C ZINC000281929946 172246133 /nfs/dbraw/zinc/24/61/33/172246133.db2.gz ZSAMJTXSCCVTBQ-UHFFFAOYSA-N 0 3 233.359 2.672 20 0 BFADHN CCn1nccc1CN([C@H](C)C1CC1)C1CC1 ZINC000122192620 172263829 /nfs/dbraw/zinc/26/38/29/172263829.db2.gz FDIJSKWIJZHSCQ-LLVKDONJSA-N 0 3 233.359 2.666 20 0 BFADHN CCn1nccc1CN1CC(C)(C)[C@H]2CCC[C@H]21 ZINC000354580667 172265721 /nfs/dbraw/zinc/26/57/21/172265721.db2.gz OBSZRGGLGWASRF-UONOGXRCSA-N 0 3 247.386 2.914 20 0 BFADHN CCn1nccc1CN(CC1CC1)CC1CC1 ZINC000127611728 172266014 /nfs/dbraw/zinc/26/60/14/172266014.db2.gz QYFJWVOGSPTXQQ-UHFFFAOYSA-N 0 3 233.359 2.525 20 0 BFADHN CCn1nccc1CN[C@@H]1CCCC(F)(F)C1 ZINC000338198611 172272207 /nfs/dbraw/zinc/27/22/07/172272207.db2.gz UAZICCVSSCZQSJ-SNVBAGLBSA-N 0 3 243.301 2.571 20 0 BFADHN CCn1ncnc1CN[C@H]1CCCC12CCCC2 ZINC000340375770 172283801 /nfs/dbraw/zinc/28/38/01/172283801.db2.gz UXRIHSSWMDXDOE-LBPRGKRZSA-N 0 3 248.374 2.501 20 0 BFADHN CN(C)CCSCCCc1ccsc1 ZINC000360954255 172475356 /nfs/dbraw/zinc/47/53/56/172475356.db2.gz PFMLQRXMHCLKIQ-UHFFFAOYSA-N 0 3 229.414 2.976 20 0 BFADHN CN(C)Cc1ccc(NC(=O)[C@H]2CC2(C)C)cc1 ZINC000269704570 172501525 /nfs/dbraw/zinc/50/15/25/172501525.db2.gz NQSLPZUSRWCUNC-CYBMUJFWSA-N 0 3 246.354 2.733 20 0 BFADHN CN(CCC(C)(C)O)Cc1ccc(Cl)cc1 ZINC000081675942 172672788 /nfs/dbraw/zinc/67/27/88/172672788.db2.gz IQULCGFAMWZDCO-UHFFFAOYSA-N 0 3 241.762 2.933 20 0 BFADHN CN(Cc1ccnc(Cl)c1)[C@H]1CCSC1 ZINC000052709269 172897347 /nfs/dbraw/zinc/89/73/47/172897347.db2.gz GYRLFAWUBTXDPT-JTQLQIEISA-N 0 3 242.775 2.672 20 0 BFADHN CN1CCC[C@H](Nc2ccc3ncsc3c2)C1 ZINC000076691956 173046177 /nfs/dbraw/zinc/04/61/77/173046177.db2.gz ADDHCTRBTLAWLF-NSHDSACASA-N 0 3 247.367 2.802 20 0 BFADHN CNCc1ccccc1NC(=O)C1CCCC1 ZINC000036280510 173390645 /nfs/dbraw/zinc/39/06/45/173390645.db2.gz VXXIVRGAKOOUJC-UHFFFAOYSA-N 0 3 232.327 2.535 20 0 BFADHN CNCc1nc(-c2cccc(OC)c2)cs1 ZINC000003710571 173394344 /nfs/dbraw/zinc/39/43/44/173394344.db2.gz QNBOQFWKXYBHNI-UHFFFAOYSA-N 0 3 234.324 2.538 20 0 BFADHN COC(=O)c1ccccc1CNC1CCCCC1 ZINC000037414378 173899482 /nfs/dbraw/zinc/89/94/82/173899482.db2.gz RKUJCSUOFDQZBM-UHFFFAOYSA-N 0 3 247.338 2.896 20 0 BFADHN COC1(CN[C@@H]2CCC[C@H]2F)CCCCC1 ZINC000339589386 174003091 /nfs/dbraw/zinc/00/30/91/174003091.db2.gz GGEJBTQDIKYIFS-VXGBXAGGSA-N 0 3 229.339 2.816 20 0 BFADHN COC1(CN[C@@H](C)c2cccc(O)c2)CCC1 ZINC000193851189 174003750 /nfs/dbraw/zinc/00/37/50/174003750.db2.gz NZJVFMMINYOYDI-NSHDSACASA-N 0 3 235.327 2.612 20 0 BFADHN COC1CCC(NCc2ccc(Cl)o2)CC1 ZINC000309937316 174022689 /nfs/dbraw/zinc/02/26/89/174022689.db2.gz AMFVNNBVVFXUDK-UHFFFAOYSA-N 0 3 243.734 2.980 20 0 BFADHN COC1CCC(N[C@H]2COc3ccccc32)CC1 ZINC000130066054 174025296 /nfs/dbraw/zinc/02/52/96/174025296.db2.gz ZDLPGRVGFCUXCA-YIZWMMSDSA-N 0 3 247.338 2.667 20 0 BFADHN COCC(C)(C)CNCc1ccc(Cl)o1 ZINC000336711092 174072724 /nfs/dbraw/zinc/07/27/24/174072724.db2.gz UIVOCGDPXJOIDI-UHFFFAOYSA-N 0 3 231.723 2.695 20 0 BFADHN COCC(C)(C)CNCc1nc(C)c(C)s1 ZINC000336672454 174074992 /nfs/dbraw/zinc/07/49/92/174074992.db2.gz SSLMOSRAMVWXJQ-UHFFFAOYSA-N 0 3 242.388 2.522 20 0 BFADHN COCC(C)(C)CN[C@H](C)c1ccco1 ZINC000293282611 174076472 /nfs/dbraw/zinc/07/64/72/174076472.db2.gz CYIYEWXPYXNUOH-SNVBAGLBSA-N 0 3 211.305 2.603 20 0 BFADHN COCC(C)(C)N(C)Cc1cccc(C(C)=O)c1 ZINC000295189705 174077873 /nfs/dbraw/zinc/07/78/73/174077873.db2.gz KRCUBSMYXFLWOJ-UHFFFAOYSA-N 0 3 249.354 2.746 20 0 BFADHN COCC(C)(C)NCc1cc2ccccc2o1 ZINC000066996036 174083058 /nfs/dbraw/zinc/08/30/58/174083058.db2.gz QZTBSESYUXQXLP-UHFFFAOYSA-N 0 3 233.311 2.947 20 0 BFADHN COCC1(C)CCN(Cc2cccs2)CC1 ZINC000354779897 174092597 /nfs/dbraw/zinc/09/25/97/174092597.db2.gz DDPGWHJFSCCAPM-UHFFFAOYSA-N 0 3 239.384 2.997 20 0 BFADHN COCC1(C)CCN(Cc2ncccc2C)CC1 ZINC000358741115 174092963 /nfs/dbraw/zinc/09/29/63/174092963.db2.gz DKYKLGHBFJLHBD-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN COCC1(CCNCc2ccco2)CCC1 ZINC000292937224 174094684 /nfs/dbraw/zinc/09/46/84/174094684.db2.gz JNDHGXHHVALGGD-UHFFFAOYSA-N 0 3 223.316 2.576 20 0 BFADHN COCC1(CNCc2ccc(Cl)o2)CCC1 ZINC000309729182 174102904 /nfs/dbraw/zinc/10/29/04/174102904.db2.gz DXLZUQVDUJNJLD-UHFFFAOYSA-N 0 3 243.734 2.839 20 0 BFADHN COCC1(NCc2ccc(C)cc2)CCC1 ZINC000284080299 174109234 /nfs/dbraw/zinc/10/92/34/174109234.db2.gz RBNHLHPXWRAYMZ-UHFFFAOYSA-N 0 3 219.328 2.654 20 0 BFADHN COCC1(NCc2cc(F)cc(F)c2)CCC1 ZINC000284199849 174109538 /nfs/dbraw/zinc/10/95/38/174109538.db2.gz YWVDXZRYFALHKX-UHFFFAOYSA-N 0 3 241.281 2.624 20 0 BFADHN COCC1(NCc2ccc(C)cc2C)CCC1 ZINC000341240295 174109775 /nfs/dbraw/zinc/10/97/75/174109775.db2.gz UIMKTAUGTHBVTP-UHFFFAOYSA-N 0 3 233.355 2.962 20 0 BFADHN COCC1(NC/C=C\c2ccc(F)cc2)CCC1 ZINC000341369390 174109830 /nfs/dbraw/zinc/10/98/30/174109830.db2.gz XWPGYIQNLHGZDO-RQOWECAXSA-N 0 3 249.329 2.998 20 0 BFADHN COCC1(NCc2ccccc2Cl)CCC1 ZINC000309661262 174109972 /nfs/dbraw/zinc/10/99/72/174109972.db2.gz RKDFPWLVZWIBQI-UHFFFAOYSA-N 0 3 239.746 2.999 20 0 BFADHN COCC1=CCN(CCc2ccccc2F)CC1 ZINC000187613590 174115244 /nfs/dbraw/zinc/11/52/44/174115244.db2.gz WIULBYKNZYQELY-UHFFFAOYSA-N 0 3 249.329 2.647 20 0 BFADHN COCC1=CCN(Cc2cccc(C)c2)CC1 ZINC000185876337 174116537 /nfs/dbraw/zinc/11/65/37/174116537.db2.gz BRWTZVQTSCGWII-UHFFFAOYSA-N 0 3 231.339 2.774 20 0 BFADHN COCC1=CCN(Cc2cccs2)CC1 ZINC000187617911 174117730 /nfs/dbraw/zinc/11/77/30/174117730.db2.gz UKVMCIJVXROREW-UHFFFAOYSA-N 0 3 223.341 2.527 20 0 BFADHN COCCC1(CN[C@@H](C)c2ccccn2)CC1 ZINC000090536029 174156347 /nfs/dbraw/zinc/15/63/47/174156347.db2.gz NZQIYHJWKVNZIR-LBPRGKRZSA-N 0 3 234.343 2.549 20 0 BFADHN COCCCCCN(C)Cc1cnccc1C ZINC000361413502 174175521 /nfs/dbraw/zinc/17/55/21/174175521.db2.gz QPQUWHQWJRBPTF-UHFFFAOYSA-N 0 3 236.359 2.639 20 0 BFADHN COCCCCCN1CCc2ccsc2C1 ZINC000132549695 174179378 /nfs/dbraw/zinc/17/93/78/174179378.db2.gz ZPFXKEDKBYBEGT-UHFFFAOYSA-N 0 3 239.384 2.923 20 0 BFADHN COCCCCCN[C@@H](C)c1ccc(F)cn1 ZINC000092743091 174182684 /nfs/dbraw/zinc/18/26/84/174182684.db2.gz OBSZOOHMFQNTFF-NSHDSACASA-N 0 3 240.322 2.688 20 0 BFADHN COCCCCCN[C@H](C)c1nccs1 ZINC000093403402 174183989 /nfs/dbraw/zinc/18/39/89/174183989.db2.gz FSJGWWUDPRHKDU-SNVBAGLBSA-N 0 3 228.361 2.610 20 0 BFADHN COCCCCCN[C@H](C)c1ncc(C)s1 ZINC000184985202 174184023 /nfs/dbraw/zinc/18/40/23/174184023.db2.gz UXHCTXQLAULZAX-LLVKDONJSA-N 0 3 242.388 2.919 20 0 BFADHN COCCCCCN[C@H](C)c1ccco1 ZINC000122741496 174184300 /nfs/dbraw/zinc/18/43/00/174184300.db2.gz IGIYPSGCRMPATF-LLVKDONJSA-N 0 3 211.305 2.747 20 0 BFADHN COCCCCN(C)Cc1nc2ccccc2o1 ZINC000156230095 174188685 /nfs/dbraw/zinc/18/86/85/174188685.db2.gz AXOOFMKMKHAHNC-UHFFFAOYSA-N 0 3 248.326 2.686 20 0 BFADHN COCCCCN1CCC[C@H]1c1cc(C)no1 ZINC000336685359 174192457 /nfs/dbraw/zinc/19/24/57/174192457.db2.gz FQEWKQOJJPGNEV-LBPRGKRZSA-N 0 3 238.331 2.547 20 0 BFADHN COCCCCN1Cc2ccccc2[C@@H]1C ZINC000336660726 174195247 /nfs/dbraw/zinc/19/52/47/174195247.db2.gz BEALAQGCMNOSCJ-LBPRGKRZSA-N 0 3 219.328 2.990 20 0 BFADHN COCCCCN[C@@H](C)c1ncc(C)s1 ZINC000126690415 174202346 /nfs/dbraw/zinc/20/23/46/174202346.db2.gz VBHFLMUHMYZSMN-JTQLQIEISA-N 0 3 228.361 2.529 20 0 BFADHN COCCCCN[C@H](C)c1csc(C)n1 ZINC000044657375 174203546 /nfs/dbraw/zinc/20/35/46/174203546.db2.gz USIRFBAAGYQSKG-SECBINFHSA-N 0 3 228.361 2.529 20 0 BFADHN COCCCN(C)CCSc1ccccc1 ZINC000079618643 174216343 /nfs/dbraw/zinc/21/63/43/174216343.db2.gz CEAIMVYWQZSHFC-UHFFFAOYSA-N 0 3 239.384 2.747 20 0 BFADHN COCCCN(C)Cc1ccc(F)c(C)c1 ZINC000092537146 174218372 /nfs/dbraw/zinc/21/83/72/174218372.db2.gz UHXYIUKRFMIFQG-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN COCCCN(C)Cc1ccc(C)s1 ZINC000076342188 174218599 /nfs/dbraw/zinc/21/85/99/174218599.db2.gz IQWIYGFILKAPDC-UHFFFAOYSA-N 0 3 213.346 2.525 20 0 BFADHN COCCCN(C)Cc1ccc(F)cc1C ZINC000092537240 174218622 /nfs/dbraw/zinc/21/86/22/174218622.db2.gz WAUTXSLOZRSRFB-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN COCCCN(C)Cc1cc(F)cc(Cl)c1 ZINC000362515505 174218668 /nfs/dbraw/zinc/21/86/68/174218668.db2.gz HKNLLGUUCFRTTQ-UHFFFAOYSA-N 0 3 245.725 2.947 20 0 BFADHN COCCCNCc1cc(Cl)ccc1F ZINC000083358394 174246725 /nfs/dbraw/zinc/24/67/25/174246725.db2.gz FSIOOEWXLHTBCH-UHFFFAOYSA-N 0 3 231.698 2.605 20 0 BFADHN COCCCNCc1cc(F)cc(Cl)c1 ZINC000083358393 174246743 /nfs/dbraw/zinc/24/67/43/174246743.db2.gz XJAANGZMMKYXJL-UHFFFAOYSA-N 0 3 231.698 2.605 20 0 BFADHN COCCCNCc1cccc(Cl)c1F ZINC000083358392 174247824 /nfs/dbraw/zinc/24/78/24/174247824.db2.gz FRPSHIXUHZUZKK-UHFFFAOYSA-N 0 3 231.698 2.605 20 0 BFADHN COCCCNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000020142243 174248502 /nfs/dbraw/zinc/24/85/02/174248502.db2.gz CFDZIWKQWDPJGU-CMPLNLGQSA-N 0 3 223.316 2.529 20 0 BFADHN COCCCN[C@@H](C)c1ccc2ccccc2n1 ZINC000178109110 174248987 /nfs/dbraw/zinc/24/89/87/174248987.db2.gz BTNSWKQVPZAMFV-LBPRGKRZSA-N 0 3 244.338 2.922 20 0 BFADHN COCCCN[C@@H](c1nc(C)cs1)C1CC1 ZINC000181613417 174249672 /nfs/dbraw/zinc/24/96/72/174249672.db2.gz XSQIOZSNEHMZNA-LLVKDONJSA-N 0 3 240.372 2.529 20 0 BFADHN COCCCN[C@H]1CCc2c1c(F)ccc2F ZINC000269844406 174251684 /nfs/dbraw/zinc/25/16/84/174251684.db2.gz OBZSIGRASSAESP-LBPRGKRZSA-N 0 3 241.281 2.578 20 0 BFADHN COCCN(C)Cc1cccc(C(F)F)c1 ZINC000279318370 174281452 /nfs/dbraw/zinc/28/14/52/174281452.db2.gz FRVBRNDDDNNOIT-UHFFFAOYSA-N 0 3 229.270 2.702 20 0 BFADHN COCCN(C)[C@@H]1CCCC[C@@H]1C(F)(F)F ZINC000361579329 174281943 /nfs/dbraw/zinc/28/19/43/174281943.db2.gz VLEDLNKGISRXRG-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN COCCN([C@H](C)c1ccccc1)C1CC1 ZINC000299391834 174285630 /nfs/dbraw/zinc/28/56/30/174285630.db2.gz RLBYNSNNGXTEKU-GFCCVEGCSA-N 0 3 219.328 2.858 20 0 BFADHN COCCN1CC[C@H](C)[C@H]1c1cccc(F)c1 ZINC000175146333 174312783 /nfs/dbraw/zinc/31/27/83/174312783.db2.gz JZHPOTHQXJPMES-FZMZJTMJSA-N 0 3 237.318 2.855 20 0 BFADHN COCCN1C[C@@H](C)C[C@@H]1c1ccccc1F ZINC000075900408 174317104 /nfs/dbraw/zinc/31/71/04/174317104.db2.gz AJKPVQJOYZIDLI-SMDDNHRTSA-N 0 3 237.318 2.855 20 0 BFADHN COCCN[C@@H](C)c1ccc2c(c1)CCCC2 ZINC000020085626 174337681 /nfs/dbraw/zinc/33/76/81/174337681.db2.gz WLGBJQHDQWEWSL-LBPRGKRZSA-N 0 3 233.355 2.862 20 0 BFADHN COCCN[C@@H](C)c1ccc(SC)cc1 ZINC000019881798 174338407 /nfs/dbraw/zinc/33/84/07/174338407.db2.gz YOPIWSRSXNUTPM-JTQLQIEISA-N 0 3 225.357 2.706 20 0 BFADHN COCCN[C@@H](C)c1ccc(Cl)s1 ZINC000035232621 174338538 /nfs/dbraw/zinc/33/85/38/174338538.db2.gz KGBGKYNDDLPEIM-ZETCQYMHSA-N 0 3 219.737 2.699 20 0 BFADHN COCCN[C@H](CCC(C)C)c1ccoc1 ZINC000336763634 174340050 /nfs/dbraw/zinc/34/00/50/174340050.db2.gz MLYKXOLKNODLJP-CYBMUJFWSA-N 0 3 225.332 2.993 20 0 BFADHN COCCN[C@H](C)c1ccc(SC)cc1 ZINC000019881800 174340194 /nfs/dbraw/zinc/34/01/94/174340194.db2.gz YOPIWSRSXNUTPM-SNVBAGLBSA-N 0 3 225.357 2.706 20 0 BFADHN COCC[C@@H](C)N[C@@H](C)c1ccc(C)o1 ZINC000045020859 174383879 /nfs/dbraw/zinc/38/38/79/174383879.db2.gz NFXDHBPQSLXLFV-KOLCDFICSA-N 0 3 211.305 2.664 20 0 BFADHN COCC[C@@H](C)N[C@@H](C)c1cc(C)c(C)o1 ZINC000282140559 174384731 /nfs/dbraw/zinc/38/47/31/174384731.db2.gz KOGQYYJFJQDMMI-MNOVXSKESA-N 0 3 225.332 2.972 20 0 BFADHN COCC[C@@H](C)N[C@@H](C)c1csc(C)n1 ZINC000081705671 174385082 /nfs/dbraw/zinc/38/50/82/174385082.db2.gz AFBAOLGPXFENEZ-BDAKNGLRSA-N 0 3 228.361 2.527 20 0 BFADHN COCC[C@@H](C)N[C@H](C)c1cc(C)c(C)o1 ZINC000282140556 174385373 /nfs/dbraw/zinc/38/53/73/174385373.db2.gz KOGQYYJFJQDMMI-GHMZBOCLSA-N 0 3 225.332 2.972 20 0 BFADHN COCC[C@@H](C)N[C@@H]1COc2c1ccc(C)c2C ZINC000267974814 174385633 /nfs/dbraw/zinc/38/56/33/174385633.db2.gz CYMUWFGEKMBHNZ-BXUZGUMPSA-N 0 3 249.354 2.752 20 0 BFADHN COCC[C@@H](C)N[C@H]1COc2c1ccc(C)c2C ZINC000267974816 174386237 /nfs/dbraw/zinc/38/62/37/174386237.db2.gz CYMUWFGEKMBHNZ-RISCZKNCSA-N 0 3 249.354 2.752 20 0 BFADHN COCC[C@@H]1CCC[C@@H]1N[C@H](C)c1ccon1 ZINC000337464975 174397637 /nfs/dbraw/zinc/39/76/37/174397637.db2.gz LRUGRFJSUFALJV-MDZLAQPJSA-N 0 3 238.331 2.530 20 0 BFADHN COC[C@H](N[C@@H]1C[C@H](C)O[C@H]1C)c1ccccc1 ZINC000295261441 174586205 /nfs/dbraw/zinc/58/62/05/174586205.db2.gz BSBKWZRTMGOEPG-VIRABCJISA-N 0 3 249.354 2.530 20 0 BFADHN CO[C@@H](C)CN[C@@H]1CCCOc2ccccc21 ZINC000188122217 174705633 /nfs/dbraw/zinc/70/56/33/174705633.db2.gz DWDMRTIWJKGCGO-WCQYABFASA-N 0 3 235.327 2.525 20 0 BFADHN CO[C@H](C)CNC1(c2cccc(Cl)c2)CC1 ZINC000182438011 174834160 /nfs/dbraw/zinc/83/41/60/174834160.db2.gz DNLYTGYDABOXFI-SNVBAGLBSA-N 0 3 239.746 2.954 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC000182418884 174834685 /nfs/dbraw/zinc/83/46/85/174834685.db2.gz KDHSDOHPEWLPAL-BDAKNGLRSA-N 0 3 229.270 2.650 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H]1CCc2ccc(F)cc21 ZINC000341491453 174844296 /nfs/dbraw/zinc/84/42/96/174844296.db2.gz UKXRKXNAEKKIPK-GPCCPHFNSA-N 0 3 237.318 2.826 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1sc(C)nc1C ZINC000132497882 174845440 /nfs/dbraw/zinc/84/54/40/174845440.db2.gz OZASNAWQMOZHEU-NQMVMOMDSA-N 0 3 242.388 2.834 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H]1CCCc2c(O)cccc21 ZINC000285457862 174852440 /nfs/dbraw/zinc/85/24/40/174852440.db2.gz ZSVFANKQBXGMGI-WDMOLILDSA-N 0 3 249.354 2.783 20 0 BFADHN CO[C@H](CN[C@@H]1CCCc2occc21)C1CC1 ZINC000293678513 174868144 /nfs/dbraw/zinc/86/81/44/174868144.db2.gz KCVUQNHRPBUEQJ-TZMCWYRMSA-N 0 3 235.327 2.672 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccco1)C(C)(C)C ZINC000122208866 174868231 /nfs/dbraw/zinc/86/82/31/174868231.db2.gz LJDXMRGWPOCWEQ-CMPLNLGQSA-N 0 3 225.332 2.991 20 0 BFADHN COc1cc([C@@H](C)NCCCCF)c(F)cn1 ZINC000361006037 175014303 /nfs/dbraw/zinc/01/43/03/175014303.db2.gz ZMZAGLHTFHUTOT-SECBINFHSA-N 0 3 244.285 2.630 20 0 BFADHN COc1cc([C@@H](C)N[C@@H]2CC2(C)C)c(F)cn1 ZINC000287720496 175014497 /nfs/dbraw/zinc/01/44/97/175014497.db2.gz OPPSNNRHVHYXRD-LDYMZIIASA-N 0 3 238.306 2.678 20 0 BFADHN COc1cc([C@H](C)N[C@@H](C)C2CC2)c(F)cn1 ZINC000286881153 175016072 /nfs/dbraw/zinc/01/60/72/175016072.db2.gz IGJILTRYKMFPER-IUCAKERBSA-N 0 3 238.306 2.678 20 0 BFADHN COc1cc2c(cc1CNCC1CC1)O[C@H](C)C2 ZINC000098012844 175021394 /nfs/dbraw/zinc/02/13/94/175021394.db2.gz WLFDMENMNSMEGD-SNVBAGLBSA-N 0 3 247.338 2.518 20 0 BFADHN COc1ccc(C(=O)CCN2CC[C@H](C)C2)cc1 ZINC000286169296 175053697 /nfs/dbraw/zinc/05/36/97/175053697.db2.gz YNCXNMARXMUUQL-LBPRGKRZSA-N 0 3 247.338 2.610 20 0 BFADHN COc1ccc(C(=O)CCN(C)CC2CC2)cc1 ZINC000285950055 175053935 /nfs/dbraw/zinc/05/39/35/175053935.db2.gz KBEQXZOOXITIGI-UHFFFAOYSA-N 0 3 247.338 2.610 20 0 BFADHN COc1ccc(C)cc1CNC1CCC1 ZINC000052207960 175075021 /nfs/dbraw/zinc/07/50/21/175075021.db2.gz NBUWMOVNPXRVPH-UHFFFAOYSA-N 0 3 205.301 2.646 20 0 BFADHN COc1ccc(C)cc1CNC[C@H](OC)C1CC1 ZINC000339529170 175075868 /nfs/dbraw/zinc/07/58/68/175075868.db2.gz WMEMVTSLOSQVDE-HNNXBMFYSA-N 0 3 249.354 2.518 20 0 BFADHN COc1ccc(C)cc1CNC[C@H]1CCCCO1 ZINC000042815253 175076030 /nfs/dbraw/zinc/07/60/30/175076030.db2.gz IOCGWZMIIXDLKS-CQSZACIVSA-N 0 3 249.354 2.662 20 0 BFADHN COc1ccc(C)cc1CNCC[C@@H](C)F ZINC000339493628 175076349 /nfs/dbraw/zinc/07/63/49/175076349.db2.gz RFGQWPAVTYYOOG-LLVKDONJSA-N 0 3 225.307 2.841 20 0 BFADHN COc1ccc(CN(C)[C@@H]2CCCOC2)cc1C ZINC000336733607 175096324 /nfs/dbraw/zinc/09/63/24/175096324.db2.gz UOARDRVZJHONEO-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)[C@@H](OC)C2)cc1 ZINC000188317784 175101202 /nfs/dbraw/zinc/10/12/02/175101202.db2.gz SDQTUCACVSWLCR-DOMZBBRYSA-N 0 3 249.354 2.552 20 0 BFADHN COc1ccc(CN2CC[C@H](OC)C[C@H]2C)cc1 ZINC000281836388 175102872 /nfs/dbraw/zinc/10/28/72/175102872.db2.gz YDVSTGLRELMZMV-DOMZBBRYSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccc(CNC(C)C)c(OC(F)F)c1 ZINC000040904212 175105498 /nfs/dbraw/zinc/10/54/98/175105498.db2.gz IDVMBSPOOZRGPI-UHFFFAOYSA-N 0 3 245.269 2.795 20 0 BFADHN COc1ccc(F)c(CNC[C@H](C)SC)c1 ZINC000293344688 175125745 /nfs/dbraw/zinc/12/57/45/175125745.db2.gz SPDLPZPGCQEGSE-VIFPVBQESA-N 0 3 243.347 2.675 20 0 BFADHN COc1ccc(F)cc1CNC[C@H]1CC1(C)C ZINC000310541888 175129486 /nfs/dbraw/zinc/12/94/86/175129486.db2.gz RDGKCXMDTOYCGL-LLVKDONJSA-N 0 3 237.318 2.970 20 0 BFADHN COc1ccc(F)cc1CN[C@H]1CC[C@H]1C ZINC000336651066 175130166 /nfs/dbraw/zinc/13/01/66/175130166.db2.gz HUVDPRKYFXHNNS-SKDRFNHKSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)cc1[C@H](C)NC(C)C ZINC000037089971 175133381 /nfs/dbraw/zinc/13/33/81/175133381.db2.gz FEISPMBICKOKJM-VIFPVBQESA-N 0 3 211.280 2.893 20 0 BFADHN COc1ccc(F)cc1[C@H](C)NC[C@H](C)OC ZINC000182426886 175133517 /nfs/dbraw/zinc/13/35/17/175133517.db2.gz OSFCJAVZYUKAPF-UWVGGRQHSA-N 0 3 241.306 2.520 20 0 BFADHN COc1ccc(OC)c(CNC2CC(C)C2)c1 ZINC000080249394 175165153 /nfs/dbraw/zinc/16/51/53/175165153.db2.gz RQWLCLOTAIFBNP-UHFFFAOYSA-N 0 3 235.327 2.592 20 0 BFADHN COc1ccc(OC)c(CNC2(C(C)C)CC2)c1 ZINC000292958982 175165349 /nfs/dbraw/zinc/16/53/49/175165349.db2.gz JJSGLZMAOQZFJN-UHFFFAOYSA-N 0 3 249.354 2.982 20 0 BFADHN COc1ccc([C@@H](C)NC[C@H](C)OC)c(F)c1 ZINC000191204909 175177056 /nfs/dbraw/zinc/17/70/56/175177056.db2.gz GIRJNGODTOIEBH-VHSXEESVSA-N 0 3 241.306 2.520 20 0 BFADHN COc1ccc([C@@H](C)NCC[C@H](C)F)cc1O ZINC000340467845 175177389 /nfs/dbraw/zinc/17/73/89/175177389.db2.gz QXFNVBLQCWOFMP-VHSXEESVSA-N 0 3 241.306 2.800 20 0 BFADHN COc1ccc([C@@H](C)NCc2[nH]ncc2C)cc1 ZINC000290012891 175177469 /nfs/dbraw/zinc/17/74/69/175177469.db2.gz UOJKARRMSBYNEH-LLVKDONJSA-N 0 3 245.326 2.578 20 0 BFADHN COc1ccc([C@@H](C)N[C@H](C)c2cn[nH]c2)cc1 ZINC000222512299 175177765 /nfs/dbraw/zinc/17/77/65/175177765.db2.gz ZYFBHFXTLQLBEK-GHMZBOCLSA-N 0 3 245.326 2.830 20 0 BFADHN COc1ccc([C@H](C)NC[C@H]2CCCCO2)cc1 ZINC000042812196 175188537 /nfs/dbraw/zinc/18/85/37/175188537.db2.gz PTOVNFURPBANBF-SWLSCSKDSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccc([C@H](C)N[C@@H](C)c2cn[nH]c2)cc1 ZINC000222512240 175189606 /nfs/dbraw/zinc/18/96/06/175189606.db2.gz ZYFBHFXTLQLBEK-QWRGUYRKSA-N 0 3 245.326 2.830 20 0 BFADHN COc1ccc2c(c1)C1(CC1)CN(CC1CC1)C2 ZINC000377727940 175207127 /nfs/dbraw/zinc/20/71/27/175207127.db2.gz SHIKPIGWGMPEGY-UHFFFAOYSA-N 0 3 243.350 2.952 20 0 BFADHN COc1cccc(C2(N[C@@H](C)[C@@H](C)OC)CC2)c1 ZINC000272202024 175248161 /nfs/dbraw/zinc/24/81/61/175248161.db2.gz JCRWARUTSJUXDH-NWDGAFQWSA-N 0 3 249.354 2.697 20 0 BFADHN COc1cccc(CN(C(C)C)C(C)C)n1 ZINC000183064919 175253679 /nfs/dbraw/zinc/25/36/79/175253679.db2.gz KFDXHVFGADHSAT-UHFFFAOYSA-N 0 3 222.332 2.709 20 0 BFADHN COc1cccc(CN2CCC(C)(OC)CC2)c1 ZINC000271683276 175257250 /nfs/dbraw/zinc/25/72/50/175257250.db2.gz CXMWRFMAPCNXLQ-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN COc1cccc(CN2CCC23CCCCC3)n1 ZINC000365815039 175257442 /nfs/dbraw/zinc/25/74/42/175257442.db2.gz KGPLBCRQVHGPQU-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN COc1cccc(CN2C[C@@H](C)[C@@H]2C)c1 ZINC000293433012 175260126 /nfs/dbraw/zinc/26/01/26/175260126.db2.gz NZUNDYDFLQTHBO-MNOVXSKESA-N 0 3 205.301 2.535 20 0 BFADHN COc1cccc(CNCCC(C)(F)F)c1 ZINC000294250963 175263722 /nfs/dbraw/zinc/26/37/22/175263722.db2.gz PZANFVJVMDTCQF-UHFFFAOYSA-N 0 3 229.270 2.830 20 0 BFADHN COc1cccc(CNCCCC(C)(F)F)n1 ZINC000295580200 175264864 /nfs/dbraw/zinc/26/48/64/175264864.db2.gz BJNJNPNRTCGESZ-UHFFFAOYSA-N 0 3 244.285 2.615 20 0 BFADHN COc1cccc(CN[C@H]2CC[C@H]2C)c1OC ZINC000310221111 175268292 /nfs/dbraw/zinc/26/82/92/175268292.db2.gz XCFAQZQIJGXWKJ-PWSUYJOCSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc(Cl)c1CNCC1CC1 ZINC000118447367 175273356 /nfs/dbraw/zinc/27/33/56/175273356.db2.gz OXEBJIQMSZHKBV-UHFFFAOYSA-N 0 3 225.719 2.848 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1CC[C@H]1C ZINC000353140462 175281430 /nfs/dbraw/zinc/28/14/30/175281430.db2.gz PMLCFYJHULUCDK-ZYHUDNBSSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1CC[C@@H](C)C1 ZINC000352735085 175281588 /nfs/dbraw/zinc/28/15/88/175281588.db2.gz ANQLPGIXZZWBFG-VXGBXAGGSA-N 0 3 249.354 2.982 20 0 BFADHN COc1cccc(OC)c1CNC1CCCC1 ZINC000037927321 175282019 /nfs/dbraw/zinc/28/20/19/175282019.db2.gz YJMQFVXTXFYFNU-UHFFFAOYSA-N 0 3 235.327 2.736 20 0 BFADHN COc1cccc([C@H](C)NCC2=CCCOC2)c1 ZINC000269267465 175292698 /nfs/dbraw/zinc/29/26/98/175292698.db2.gz DXRDLVYPRCSNOG-LBPRGKRZSA-N 0 3 247.338 2.693 20 0 BFADHN COc1cccc([C@H](C)NCc2cccn2C)c1 ZINC000050595926 175293648 /nfs/dbraw/zinc/29/36/48/175293648.db2.gz JIXRAHPWSVVJSI-LBPRGKRZSA-N 0 3 244.338 2.885 20 0 BFADHN COc1cccc([C@H](C)N[C@H]2CCO[C@@H]2C)c1 ZINC000120349831 175293777 /nfs/dbraw/zinc/29/37/77/175293777.db2.gz MLYOAUDXSKMBEP-WDMOLILDSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccccc1/C=C/CN(C)C1CC1 ZINC000153775691 175314213 /nfs/dbraw/zinc/31/42/13/175314213.db2.gz HDTUHYJNHOEPNX-FNORWQNLSA-N 0 3 217.312 2.803 20 0 BFADHN COc1ccccc1/C=C/CN[C@H](C)[C@@H](C)OC ZINC000289417957 175314866 /nfs/dbraw/zinc/31/48/66/175314866.db2.gz BBTVLLYTWCGZON-SKFMMRCFSA-N 0 3 249.354 2.721 20 0 BFADHN COc1ccccc1CN(C)CCCCF ZINC000285878771 175324545 /nfs/dbraw/zinc/32/45/45/175324545.db2.gz FNFNYZVVYWNGLM-UHFFFAOYSA-N 0 3 225.307 2.877 20 0 BFADHN COc1ccccc1CN1CC=C(C)CC1 ZINC000271192176 175325669 /nfs/dbraw/zinc/32/56/69/175325669.db2.gz PVVRUXRWJUWATK-UHFFFAOYSA-N 0 3 217.312 2.847 20 0 BFADHN COc1ccccc1CNC1(C(C)C)CC1 ZINC000293009865 175329328 /nfs/dbraw/zinc/32/93/28/175329328.db2.gz NWAIJVRUCKCGHE-UHFFFAOYSA-N 0 3 219.328 2.973 20 0 BFADHN COc1ccccc1C[C@H](C)N(C)CCC(C)=O ZINC000088198596 175333168 /nfs/dbraw/zinc/33/31/68/175333168.db2.gz VNHLTYFTQYNNQI-LBPRGKRZSA-N 0 3 249.354 2.537 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1CCCOC1 ZINC000109543785 175345657 /nfs/dbraw/zinc/34/56/57/175345657.db2.gz BBPPYHKBPFJJGD-VXGBXAGGSA-N 0 3 235.327 2.525 20 0 BFADHN COc1ccccc1[C@H](C)NCC[C@@H](C)OC ZINC000268893079 175351044 /nfs/dbraw/zinc/35/10/44/175351044.db2.gz ZHDGJUKUFRURGU-NEPJUHHUSA-N 0 3 237.343 2.771 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1CCCOC1 ZINC000109543789 175351578 /nfs/dbraw/zinc/35/15/78/175351578.db2.gz BBPPYHKBPFJJGD-RYUDHWBXSA-N 0 3 235.327 2.525 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000296672428 175351873 /nfs/dbraw/zinc/35/18/73/175351873.db2.gz HBONSDDBMWVOTK-GFQSEFKGSA-N 0 3 249.354 2.912 20 0 BFADHN COc1ccnc(CN2CCC[C@H](C)[C@H]2C)c1 ZINC000266034673 175357638 /nfs/dbraw/zinc/35/76/38/175357638.db2.gz TZRPHPQNTWWMRR-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN2CCC(C3CC3)CC2)c1 ZINC000368334152 175358335 /nfs/dbraw/zinc/35/83/35/175358335.db2.gz VMAIMQNQBAWFFH-UHFFFAOYSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ccnc(CN2CCC[C@H](C3CC3)C2)c1 ZINC000289365045 175358580 /nfs/dbraw/zinc/35/85/80/175358580.db2.gz HTNRDCPBPVGMQA-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ccnc(CN2[C@@H](C)CCC[C@@H]2C)c1 ZINC000108918351 175359694 /nfs/dbraw/zinc/35/96/94/175359694.db2.gz UPLDEVUTRROVGW-RYUDHWBXSA-N 0 3 234.343 2.853 20 0 BFADHN COc1ccnc(CN2CC[C@H](CC(C)C)C2)c1 ZINC000342102196 175360543 /nfs/dbraw/zinc/36/05/43/175360543.db2.gz SEYMFMPKHPMREB-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccncc1CN1C[C@H](C)CC1(C)C ZINC000287911408 175369375 /nfs/dbraw/zinc/36/93/75/175369375.db2.gz VNEQLPYZOBRHQX-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CN1CC[C@@H](C)C[C@@H]1C ZINC000287902289 175369703 /nfs/dbraw/zinc/36/97/03/175369703.db2.gz UYGVGQXGQPDLJX-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CN[C@@H]1CCC[C@@H](C)C1 ZINC000292723583 175371589 /nfs/dbraw/zinc/37/15/89/175371589.db2.gz PMDSZEIVPAVNFS-DGCLKSJQSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccncc1CNC1CCC(C)CC1 ZINC000292744269 175372471 /nfs/dbraw/zinc/37/24/71/175372471.db2.gz QTYJRSJWQVJGIY-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccsc1CN(C)CCCSC ZINC000281278924 175376754 /nfs/dbraw/zinc/37/67/54/175376754.db2.gz OHRVSZFEMROZMX-UHFFFAOYSA-N 0 3 245.413 2.942 20 0 BFADHN COc1ccsc1CNCCC(C)(F)F ZINC000294657105 175378303 /nfs/dbraw/zinc/37/83/03/175378303.db2.gz ADJGXDXBXNEKBY-UHFFFAOYSA-N 0 3 235.299 2.892 20 0 BFADHN COc1ccsc1[C@@H](C)NC[C@H]1CCCO1 ZINC000186078386 175379801 /nfs/dbraw/zinc/37/98/01/175379801.db2.gz BHZHVJGMOSHYNY-NXEZZACHSA-N 0 3 241.356 2.586 20 0 BFADHN COc1ccsc1[C@@H](C)NCCC(C)(C)O ZINC000274763338 175380177 /nfs/dbraw/zinc/38/01/77/175380177.db2.gz FGZMGFVSDVOUTA-SECBINFHSA-N 0 3 243.372 2.568 20 0 BFADHN COc1cncc(CN2CCCCCCC2)c1 ZINC000289265912 175384325 /nfs/dbraw/zinc/38/43/25/175384325.db2.gz OREGTBODBUAZPT-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN COc1cncc(CN2CCC[C@H](C)[C@@H]2C)c1 ZINC000290179165 175385526 /nfs/dbraw/zinc/38/55/26/175385526.db2.gz FEMPZMKWGBTGTE-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN2CC[C@H](C)C[C@H]2C)c1 ZINC000290269196 175385895 /nfs/dbraw/zinc/38/58/95/175385895.db2.gz LHFAOVCRJUQZCX-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN CSC[C@H](C)NCc1ccc(Cl)o1 ZINC000125016484 175524282 /nfs/dbraw/zinc/52/42/82/175524282.db2.gz OKTMHGHFSUOWPK-ZETCQYMHSA-N 0 3 219.737 2.774 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1cnn(C(C)C)c1 ZINC000090726870 175544329 /nfs/dbraw/zinc/54/43/29/175544329.db2.gz CWDZRBWLKGXOCL-QWRGUYRKSA-N 0 3 241.404 2.866 20 0 BFADHN CS[C@@H](CNCc1ccccn1)C(C)(C)C ZINC000309873925 175546563 /nfs/dbraw/zinc/54/65/63/175546563.db2.gz RTOFOXDCHNNUDH-LBPRGKRZSA-N 0 3 238.400 2.949 20 0 BFADHN CS[C@H](C)CNCc1cccc(F)c1F ZINC000159314603 175563012 /nfs/dbraw/zinc/56/30/12/175563012.db2.gz NMPYRUFVVGCEMG-MRVPVSSYSA-N 0 3 231.311 2.806 20 0 BFADHN C[C@@H](CCC1CC1)NCc1cncs1 ZINC000308655961 175661704 /nfs/dbraw/zinc/66/17/04/175661704.db2.gz OEUAXABOKJARRO-VIFPVBQESA-N 0 3 210.346 2.811 20 0 BFADHN C[C@@H](F)CCNCc1cc2ccccc2[nH]c1=O ZINC000339499477 175789506 /nfs/dbraw/zinc/78/95/06/175789506.db2.gz ZHUOOGCSJWUNFC-SNVBAGLBSA-N 0 3 248.301 2.778 20 0 BFADHN CCCN(Cc1ccnn1CCC)C(C)C ZINC000668295664 487631999 /nfs/dbraw/zinc/63/19/99/487631999.db2.gz WIYMANJWWKCJNI-UHFFFAOYSA-N 0 3 223.364 2.914 20 0 BFADHN C[C@@H](NCc1ccsc1Cl)[C@@H]1CCOC1 ZINC000309094109 175904773 /nfs/dbraw/zinc/90/47/73/175904773.db2.gz CDCBKRQNOOINEN-PSASIEDQSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@@H](N[C@@H]1CCCC(F)(F)C1)c1cnn(C)c1 ZINC000336320143 175913360 /nfs/dbraw/zinc/91/33/60/175913360.db2.gz AEUILDFOOXSAPC-MWLCHTKSSA-N 0 3 243.301 2.649 20 0 BFADHN C[C@@H](N[C@H]1CCCC1(C)C)c1ncc[nH]1 ZINC000124770958 175924413 /nfs/dbraw/zinc/92/44/13/175924413.db2.gz GPLPWTANGMXEBF-ZJUUUORDSA-N 0 3 207.321 2.639 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1ccsc1Cl ZINC000336646403 175945168 /nfs/dbraw/zinc/94/51/68/175945168.db2.gz CUCUOHAYUNJFNG-SSDOTTSWSA-N 0 3 233.764 2.651 20 0 BFADHN C[C@@H](c1ccccc1)N1CCN(C2CC2)CC1 ZINC000299319029 176022113 /nfs/dbraw/zinc/02/21/13/176022113.db2.gz HQVWZHIWNPCDOM-ZDUSSCGKSA-N 0 3 230.355 2.528 20 0 BFADHN C[C@@H](O)[C@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC000183159737 176025107 /nfs/dbraw/zinc/02/51/07/176025107.db2.gz KHVLBVFBPBDHCB-TUAOUCFPSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)CCCCO ZINC000062016081 176025591 /nfs/dbraw/zinc/02/55/91/176025591.db2.gz KZXQNAUOUGWAQX-NSHDSACASA-N 0 3 225.307 2.591 20 0 BFADHN C[C@@H](c1ccccn1)N(C)C[C@@H]1CCSC1 ZINC000278391532 176026077 /nfs/dbraw/zinc/02/60/77/176026077.db2.gz ANWOEKICHPLWOV-RYUDHWBXSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@@H](c1ccccn1)N1CCS[C@H](C)CC1 ZINC000192177394 176028187 /nfs/dbraw/zinc/02/81/87/176028187.db2.gz ZMSYGEXQTXWDFX-NEPJUHHUSA-N 0 3 236.384 2.970 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCc1ccncc1 ZINC000272346587 176029495 /nfs/dbraw/zinc/02/94/95/176029495.db2.gz JJHNJVXUJUYQDL-ZDUSSCGKSA-N 0 3 241.338 2.712 20 0 BFADHN C[C@@H](c1nc2ccccc2o1)N1CCCC1 ZINC000180937674 176039763 /nfs/dbraw/zinc/03/97/63/176039763.db2.gz NTPVPKPWPCUFIU-JTQLQIEISA-N 0 3 216.284 2.985 20 0 BFADHN C[C@@H]1CCCCCN1CCOCC(F)(F)F ZINC000336703790 176062797 /nfs/dbraw/zinc/06/27/97/176062797.db2.gz OBKOUAMCKCHMPM-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN C[C@@H]1CCCCN1CCc1cscn1 ZINC000283925022 176071427 /nfs/dbraw/zinc/07/14/27/176071427.db2.gz GNHNZEZQJTYNIZ-SNVBAGLBSA-N 0 3 210.346 2.560 20 0 BFADHN C[C@@H]1CCCCN1CCNc1ccc(F)cn1 ZINC000286186634 176071773 /nfs/dbraw/zinc/07/17/73/176071773.db2.gz QOVPULOZVRAPBN-LLVKDONJSA-N 0 3 237.322 2.507 20 0 BFADHN C[C@@H]1CCCCN1Cc1cnn(CC(F)F)c1 ZINC000295002740 176073139 /nfs/dbraw/zinc/07/31/39/176073139.db2.gz UXKMIQAEMRQXAL-SNVBAGLBSA-N 0 3 243.301 2.523 20 0 BFADHN C[C@@H]1CCCCN1Cc1cnc(N(C)C)s1 ZINC000271008206 176074446 /nfs/dbraw/zinc/07/44/46/176074446.db2.gz OFEKURQEHNAYBH-SNVBAGLBSA-N 0 3 239.388 2.584 20 0 BFADHN C[C@@H]1CN(CCO[C@H]2CCCC[C@H]2C)[C@@H]1C ZINC000339582286 176082469 /nfs/dbraw/zinc/08/24/69/176082469.db2.gz QOMSMYGKQJAGOZ-SYQHCUMBSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN[C@H]1CCn2ccnc21 ZINC000345359152 176085353 /nfs/dbraw/zinc/08/53/53/176085353.db2.gz XFBZCDRJWXHQAB-AGIUHOORSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H]1CCCC[C@H]1NCc1nccn1C(F)F ZINC000034938363 176088715 /nfs/dbraw/zinc/08/87/15/176088715.db2.gz SVPHTKLRSITRMB-NXEZZACHSA-N 0 3 243.301 2.947 20 0 BFADHN C[C@@H]1CCCN(Cc2cccc3nccn32)C1 ZINC000183458254 176100850 /nfs/dbraw/zinc/10/08/50/176100850.db2.gz XDBAWVQMISGILU-GFCCVEGCSA-N 0 3 229.327 2.566 20 0 BFADHN C[C@@H]1CCCN(Cc2cn3ccccc3n2)[C@@H]1C ZINC000172859045 176102186 /nfs/dbraw/zinc/10/21/86/176102186.db2.gz QYDSILZKZROXPH-CHWSQXEVSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1CCCN(Cc2cncs2)CC1 ZINC000092586152 176102246 /nfs/dbraw/zinc/10/22/46/176102246.db2.gz UZQBNYJFDXRIAV-SNVBAGLBSA-N 0 3 210.346 2.765 20 0 BFADHN C[C@@H]1CCCN1Cc1c[nH]nc1C(C)(C)C ZINC000124367448 176108848 /nfs/dbraw/zinc/10/88/48/176108848.db2.gz PBTORFUYEDEDJE-SNVBAGLBSA-N 0 3 221.348 2.692 20 0 BFADHN C[C@@H]1CCCN1Cc1ccnn1-c1ccccc1 ZINC000279998561 176110150 /nfs/dbraw/zinc/11/01/50/176110150.db2.gz UZPDLHJIPRMTHA-CYBMUJFWSA-N 0 3 241.338 2.857 20 0 BFADHN C[C@@H]1CCC[C@@H](C)C1NCc1ccon1 ZINC000088052381 176113450 /nfs/dbraw/zinc/11/34/50/176113450.db2.gz MBNUPUWIOKRPRC-NXEZZACHSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1Cc1cncc(F)c1 ZINC000274880012 176116000 /nfs/dbraw/zinc/11/60/00/176116000.db2.gz WHTNHHQMBFNTCW-GHMZBOCLSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@@H]1CCC[C@@H](CCN2CCN(C)C[C@H]2C)C1 ZINC000362483448 176117025 /nfs/dbraw/zinc/11/70/25/176117025.db2.gz HHVLEDLFIQNWPR-KFWWJZLASA-N 0 3 238.419 2.839 20 0 BFADHN C[C@@H]1CCC[C@@H](N[C@@H](CCO)c2ccco2)C1 ZINC000186523043 176124576 /nfs/dbraw/zinc/12/45/76/176124576.db2.gz MLZZYLKCQJLZSM-UPJWGTAASA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H]1CCC[C@@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000088727671 176131746 /nfs/dbraw/zinc/13/17/46/176131746.db2.gz YSRWUFCCILKNNP-SKDRFNHKSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@@H]1CCC[C@H](CN(C)Cc2cnccn2)C1 ZINC000092799710 176137767 /nfs/dbraw/zinc/13/77/67/176137767.db2.gz YDXRQMHARXWOMQ-OLZOCXBDSA-N 0 3 233.359 2.735 20 0 BFADHN C[C@@H]1CCC[C@H](CN[C@@H]2CCn3ccnc32)C1 ZINC000345342122 176139356 /nfs/dbraw/zinc/13/93/56/176139356.db2.gz FTNPXMMFNLQJIY-FRRDWIJNSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2cocn2)CC1 ZINC000277017305 176143971 /nfs/dbraw/zinc/14/39/71/176143971.db2.gz MGJLDGZURLKXMS-MNOVXSKESA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H]1CCC[C@H]1[NH2+]Cc1cc([O-])cc(F)c1 ZINC000159601163 176150773 /nfs/dbraw/zinc/15/07/73/176150773.db2.gz NZWCPGVNUSQVMH-NOZJJQNGSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@@H]1CCN(C/C=C/c2ccc(F)cc2)[C@@H]1CO ZINC000191180696 176166782 /nfs/dbraw/zinc/16/67/82/176166782.db2.gz XFWBYFVBGKPKDH-FKZRYSJHSA-N 0 3 249.329 2.542 20 0 BFADHN C[C@@H]1CCN(CCC(=O)c2ccc(F)cc2)C1 ZINC000040719112 176168886 /nfs/dbraw/zinc/16/88/86/176168886.db2.gz GEILMQVFGLYMLC-LLVKDONJSA-N 0 3 235.302 2.740 20 0 BFADHN C[C@@H]1CCN(Cc2cccnc2N)CC1(C)C ZINC000336264137 176176549 /nfs/dbraw/zinc/17/65/49/176176549.db2.gz SCJXDWIJHQEUKB-LLVKDONJSA-N 0 3 233.359 2.532 20 0 BFADHN C[C@@H]1C[C@H](C)CCN(Cc2ccon2)C1 ZINC000127602777 176178472 /nfs/dbraw/zinc/17/84/72/176178472.db2.gz FUEGEGPSHXVZLK-GHMZBOCLSA-N 0 3 208.305 2.543 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@@H](C)[C@@H](O)C1 ZINC000305770326 176181047 /nfs/dbraw/zinc/18/10/47/176181047.db2.gz XISYSEGFLOGENB-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2ccccn2)C1 ZINC000076149079 176197871 /nfs/dbraw/zinc/19/78/71/176197871.db2.gz QMNANPCPNJDXKS-VXGBXAGGSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1ccc2c(c1)OCCO2 ZINC000122944041 176200475 /nfs/dbraw/zinc/20/04/75/176200475.db2.gz MIYXHELJLZMRAE-VXGBXAGGSA-N 0 3 247.338 2.831 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC[C@@H]2c2ccc[nH]2)O1 ZINC000276447167 176203434 /nfs/dbraw/zinc/20/34/34/176203434.db2.gz JSNJXFFCDDBYRH-MBNYWOFBSA-N 0 3 234.343 2.719 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2c(Cl)cnn2C)C1 ZINC000309776208 176206441 /nfs/dbraw/zinc/20/64/41/176206441.db2.gz LTDQOSWQFOTZQO-NXEZZACHSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cocn2)[C@H](C)C1 ZINC000183936086 176213385 /nfs/dbraw/zinc/21/33/85/176213385.db2.gz RQPWLNFNPUJOSU-CKYFFXLPSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000353127397 176216911 /nfs/dbraw/zinc/21/69/11/176216911.db2.gz PGSURBLSNQEGJW-KOLCDFICSA-N 0 3 231.299 2.558 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1csc(C2CC2)n1 ZINC000336762130 176217344 /nfs/dbraw/zinc/21/73/44/176217344.db2.gz NVBZWGPVNSWBIW-KCJUWKMLSA-N 0 3 222.357 2.909 20 0 BFADHN C[C@H](c1ccncc1)N(C)C[C@H]1CC[C@@H](C)O1 ZINC000248982501 176224179 /nfs/dbraw/zinc/22/41/79/176224179.db2.gz VZGPFFKYALUGAI-YRGRVCCFSA-N 0 3 234.343 2.642 20 0 BFADHN C[C@@H]1CC[C@H](CN2CC[C@H]2Cc2ccccc2)O1 ZINC000336784217 176225678 /nfs/dbraw/zinc/22/56/78/176225678.db2.gz MFXUAIMWSCCNDW-VNQPRFMTSA-N 0 3 245.366 2.871 20 0 BFADHN C[C@@H]1CC[C@H](CNC2(c3ccc(F)cc3)CC2)O1 ZINC000354665752 176226885 /nfs/dbraw/zinc/22/68/85/176226885.db2.gz HUWVZKVMJYCIII-BXUZGUMPSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2ccn(C(F)F)n2)C1 ZINC000355602543 176227365 /nfs/dbraw/zinc/22/73/65/176227365.db2.gz VKCFMPGJXMMVSA-ZJUUUORDSA-N 0 3 243.301 2.804 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cocn2)[C@H](C)C1 ZINC000183936056 176233822 /nfs/dbraw/zinc/23/38/22/176233822.db2.gz RQPWLNFNPUJOSU-FOGDFJRCSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CN(CCCc2ccncc2)CC(C)(C)O1 ZINC000360434938 176288981 /nfs/dbraw/zinc/28/89/81/176288981.db2.gz BFYIPMWLIKYMAQ-CYBMUJFWSA-N 0 3 248.370 2.514 20 0 BFADHN C[C@@H]1CN(CCOc2ccc(F)cc2)[C@@H]1C ZINC000339578338 176292133 /nfs/dbraw/zinc/29/21/33/176292133.db2.gz BEJJOXXUMWRPSW-GHMZBOCLSA-N 0 3 223.291 2.545 20 0 BFADHN C[C@@H]1CN(Cc2ccc3occc3c2)CC[C@@H]1O ZINC000336568361 176305196 /nfs/dbraw/zinc/30/51/96/176305196.db2.gz ODCQOAQUMOMVTR-RISCZKNCSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@@H]1CN(Cc2ccccc2)CCN1CC1CC1 ZINC000353558645 176305644 /nfs/dbraw/zinc/30/56/44/176305644.db2.gz DHAFGCOVILDNBW-CQSZACIVSA-N 0 3 244.382 2.603 20 0 BFADHN C[C@@H]1COC[C@@H](C)N1C[C@@H]1CCCC(F)(F)C1 ZINC000338313496 176347431 /nfs/dbraw/zinc/34/74/31/176347431.db2.gz KKBUNPQJRFVUKW-IJLUTSLNSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1COc2ccccc2CN1CCCF ZINC000272915390 176347982 /nfs/dbraw/zinc/34/79/82/176347982.db2.gz IEEAVBDMOAVBPF-LLVKDONJSA-N 0 3 223.291 2.629 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1ccc(F)cc1F ZINC000285773267 176353501 /nfs/dbraw/zinc/35/35/01/176353501.db2.gz DNEXPKXTBLNYIF-PELKAZGASA-N 0 3 243.322 2.806 20 0 BFADHN C[C@H]1Oc2ccccc2[C@@H]1N[C@H]1CSC[C@H]1C ZINC000296062458 176354138 /nfs/dbraw/zinc/35/41/38/176354138.db2.gz PIRIJVJPHIYTAV-KOXOONSLSA-N 0 3 249.379 2.850 20 0 BFADHN C[C@@H]1CSC[C@@H]1N[C@H]1CCCc2occc21 ZINC000304407662 176354342 /nfs/dbraw/zinc/35/43/42/176354342.db2.gz IDBNCZZQEINKCN-USWWRNFRSA-N 0 3 237.368 2.998 20 0 BFADHN C[C@@H]1C[C@@H](NCC2CC(F)(F)C2)c2nccn21 ZINC000297191967 176375257 /nfs/dbraw/zinc/37/52/57/176375257.db2.gz FKQVGHZWLVVDJU-PSASIEDQSA-N 0 3 241.285 2.524 20 0 BFADHN C[C@@H]1C[C@@H](NC[C@H]2CC2(C)C)c2nccn21 ZINC000345361108 176376334 /nfs/dbraw/zinc/37/63/34/176376334.db2.gz USXZQLMKVDEAAY-GMTAPVOTSA-N 0 3 219.332 2.525 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cccc(F)c2F)CCO1 ZINC000219890621 176377268 /nfs/dbraw/zinc/37/72/68/176377268.db2.gz KWZPWRPUMPQFAR-KOLCDFICSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc(F)ccc2F)[C@@H](C)O1 ZINC000295228542 176377283 /nfs/dbraw/zinc/37/72/83/176377283.db2.gz RQJNPSTVKFOFGR-JRKPZEMJSA-N 0 3 241.281 2.620 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccccc2Cl)CCO1 ZINC000069857308 176377381 /nfs/dbraw/zinc/37/73/81/176377381.db2.gz GMCFWQJGODDPNA-PWSUYJOCSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CSc3ccccc32)CCO1 ZINC000300414691 176379234 /nfs/dbraw/zinc/37/92/34/176379234.db2.gz OOFXYOCBIYEGIU-MDZLAQPJSA-N 0 3 249.379 2.991 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CC(c2ccccc2F)C1 ZINC000361248547 176392441 /nfs/dbraw/zinc/39/24/41/176392441.db2.gz CVPIRUHJSMZWEJ-GHMZBOCLSA-N 0 3 219.303 2.881 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1ccc(F)cc1F ZINC000136256317 176397207 /nfs/dbraw/zinc/39/72/07/176397207.db2.gz HHLAPMCPYRMAKV-PSASIEDQSA-N 0 3 211.255 2.710 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCCC[C@H]2O)o1 ZINC000185168880 176407400 /nfs/dbraw/zinc/40/74/00/176407400.db2.gz ZZTOBKKQTSOJCO-YXCITZCRSA-N 0 3 249.354 2.796 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc(F)ccc2F)CCO1 ZINC000070362198 176422506 /nfs/dbraw/zinc/42/25/06/176422506.db2.gz ZWXKHUKEKOMEKM-BXKDBHETSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1nnc(CN[C@H]2CCCC23CCCCC3)[nH]1 ZINC000313030857 487634038 /nfs/dbraw/zinc/63/40/38/487634038.db2.gz BYJITRNOKLQRMH-LBPRGKRZSA-N 0 3 248.374 2.706 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000271488936 176481428 /nfs/dbraw/zinc/48/14/28/176481428.db2.gz OSMXRKDTEXJAES-FZZIBODNSA-N 0 3 235.302 2.580 20 0 BFADHN c1[nH]nc2c1CN(C[C@H]1CC=CCC1)CCC2 ZINC000668841860 487634153 /nfs/dbraw/zinc/63/41/53/487634153.db2.gz RYPOVLZSMOKPEC-LBPRGKRZSA-N 0 3 231.343 2.514 20 0 BFADHN CCn1nc(C)c(CN2C[C@@H]3CCCC[C@@H]32)c1C ZINC000668299372 487634967 /nfs/dbraw/zinc/63/49/67/487634967.db2.gz BTSPNVRVQQLHNF-ZFWWWQNUSA-N 0 3 247.386 2.894 20 0 BFADHN C[C@@H](O)CCN[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000082992794 176852451 /nfs/dbraw/zinc/85/24/51/176852451.db2.gz ZBRGDRZABONACI-BDAKNGLRSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H](NC[C@@]1(C)CCCC[C@@H]1O)c1ccccn1 ZINC000159302697 176864228 /nfs/dbraw/zinc/86/42/28/176864228.db2.gz JQJJLRGEAFXILE-AEGPPILISA-N 0 3 248.370 2.673 20 0 BFADHN C[C@H](NC[C@@](C)(O)C1CC1)c1ccccc1F ZINC000310126465 176864375 /nfs/dbraw/zinc/86/43/75/176864375.db2.gz JJQKHVCWEQXHJP-IINYFYTJSA-N 0 3 237.318 2.637 20 0 BFADHN C[C@H](NC[C@H]1CCC=CO1)c1cccc(O)c1 ZINC000050269042 176866847 /nfs/dbraw/zinc/86/68/47/176866847.db2.gz QWAVAVAIIJUARR-SMDDNHRTSA-N 0 3 233.311 2.736 20 0 BFADHN C[C@H](CO)[C@H](C)N[C@@H](C)c1c(F)cccc1F ZINC000131766049 176889264 /nfs/dbraw/zinc/88/92/64/176889264.db2.gz LICNYSKSKUDYKM-UTLUCORTSA-N 0 3 243.297 2.632 20 0 BFADHN C[C@H](N[C@@H]1CCc2ccccc21)c1cnn(C)c1 ZINC000044495172 176904559 /nfs/dbraw/zinc/90/45/59/176904559.db2.gz ZLVJLDIKHSHHEH-XHDPSFHLSA-N 0 3 241.338 2.758 20 0 BFADHN C[C@H](Nc1cccc(CN(C)C)c1)C1CC1 ZINC000036979883 176935311 /nfs/dbraw/zinc/93/53/11/176935311.db2.gz OWCVKKOPZUPWLG-NSHDSACASA-N 0 3 218.344 2.959 20 0 BFADHN CCOc1ccc(CN(C)[C@H](C)CC)nc1 ZINC000674862570 487636857 /nfs/dbraw/zinc/63/68/57/487636857.db2.gz DAAMUHFFAWBWQW-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN C[C@H](O)C[C@H]1CCCCN1Cc1ccsc1 ZINC000163115128 177001517 /nfs/dbraw/zinc/00/15/17/177001517.db2.gz QYXVDMJVLVROFJ-WCQYABFASA-N 0 3 239.384 2.874 20 0 BFADHN C[C@H](O)[C@H]1CCN(Cc2ccc(Cl)cc2)C1 ZINC000166082863 177016121 /nfs/dbraw/zinc/01/61/21/177016121.db2.gz NTOBFOXFDFAYTQ-JQWIXIFHSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H](c1ccc(Cl)cc1)N1CC[C@@](C)(O)C1 ZINC000267322613 177033397 /nfs/dbraw/zinc/03/33/97/177033397.db2.gz HKNXTVNHOKTNQM-ZWNOBZJWSA-N 0 3 239.746 2.858 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCC[C@@](C)(O)C1 ZINC000124361960 177034314 /nfs/dbraw/zinc/03/43/14/177034314.db2.gz QXKHWNQFQVYAIY-BXUZGUMPSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H](c1ccc(F)cc1)N(C)C[C@H]1CCCO1 ZINC000274275707 177034388 /nfs/dbraw/zinc/03/43/88/177034388.db2.gz IDQCOEJBRFKVKZ-BXUZGUMPSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@H](c1ccc(F)cc1)N(C)CC(C)(C)CO ZINC000336702915 177034497 /nfs/dbraw/zinc/03/44/97/177034497.db2.gz AQKOCPVZQNOTPN-LLVKDONJSA-N 0 3 239.334 2.837 20 0 BFADHN C[C@H](c1cccc(Cl)c1)N(C)C1CC(O)C1 ZINC000353484965 177035384 /nfs/dbraw/zinc/03/53/84/177035384.db2.gz LLDXWDZSBVURHL-UHEGKEBESA-N 0 3 239.746 2.856 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)CCOCC1CC1 ZINC000119593360 177036489 /nfs/dbraw/zinc/03/64/89/177036489.db2.gz NXSSECQKMCHMMM-GFCCVEGCSA-N 0 3 249.354 2.812 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCCN(C)CC1 ZINC000297776061 177036765 /nfs/dbraw/zinc/03/67/65/177036765.db2.gz GKZUONLFTHDWIJ-GFCCVEGCSA-N 0 3 236.334 2.524 20 0 BFADHN C[C@H](c1ccccc1F)N(C)CCC(C)(C)O ZINC000081666334 177041520 /nfs/dbraw/zinc/04/15/20/177041520.db2.gz ZLQCYAPMDCMVPQ-LLVKDONJSA-N 0 3 239.334 2.980 20 0 BFADHN C[C@H](c1ccccc1F)N1CCC[C@@H](O)CC1 ZINC000293001436 177041662 /nfs/dbraw/zinc/04/16/62/177041662.db2.gz CXSYEPDFYUMFRW-VXGBXAGGSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H](c1ccccn1)N1CCC(C)(C)C1 ZINC000177353865 177043551 /nfs/dbraw/zinc/04/35/51/177043551.db2.gz XQPSFTXEYRARQZ-LLVKDONJSA-N 0 3 204.317 2.875 20 0 BFADHN C[C@H](c1ccccn1)N1CC[C@]2(C1)CCCOC2 ZINC000341994768 177043757 /nfs/dbraw/zinc/04/37/57/177043757.db2.gz RNEXCSVVCNQJLX-HIFRSBDPSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@@H]1CCCC[C@H]1O ZINC000272514027 177044594 /nfs/dbraw/zinc/04/45/94/177044594.db2.gz KMKVJAVZUWVTRU-VHDGCEQUSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@@H]1CCCCO1 ZINC000174127680 177044825 /nfs/dbraw/zinc/04/48/25/177044825.db2.gz NCPILYPATVYHDK-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@H](c1ccco1)N(C[C@@H]1CCOC1)C1CC1 ZINC000336681215 177047144 /nfs/dbraw/zinc/04/71/44/177047144.db2.gz CMNONRCYBXQLEJ-NEPJUHHUSA-N 0 3 235.327 2.842 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@@H]1CCC=CO1 ZINC000193688285 177047400 /nfs/dbraw/zinc/04/74/00/177047400.db2.gz PQSGSUZOCOXASF-NEPJUHHUSA-N 0 3 221.300 2.965 20 0 BFADHN C[C@H](c1ccco1)N(CCn1ccnc1)C1CC1 ZINC000162847004 177047539 /nfs/dbraw/zinc/04/75/39/177047539.db2.gz QTPQNDBLPAANDP-GFCCVEGCSA-N 0 3 245.326 2.702 20 0 BFADHN C[C@H](c1ccco1)N(C[C@H]1CCOC1)C1CC1 ZINC000336681222 177048059 /nfs/dbraw/zinc/04/80/59/177048059.db2.gz CMNONRCYBXQLEJ-VXGBXAGGSA-N 0 3 235.327 2.842 20 0 BFADHN C[C@H](c1cnccn1)N1CCCCCCC1 ZINC000184397602 177051283 /nfs/dbraw/zinc/05/12/83/177051283.db2.gz GZMLUBWOWOTDDK-GFCCVEGCSA-N 0 3 219.332 2.804 20 0 BFADHN C[C@H](c1cnccn1)N1CCC(C2CC2)CC1 ZINC000366331240 177051290 /nfs/dbraw/zinc/05/12/90/177051290.db2.gz OGPRPSDDPCYCKM-LLVKDONJSA-N 0 3 231.343 2.660 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@H](c2ccco2)C1 ZINC000368366999 177052191 /nfs/dbraw/zinc/05/21/91/177052191.db2.gz KPMHRNAMPRPBHV-NEPJUHHUSA-N 0 3 243.310 2.620 20 0 BFADHN C[C@H](c1nc2ccccc2o1)N(C)CC(C)(C)O ZINC000181113993 177054486 /nfs/dbraw/zinc/05/44/86/177054486.db2.gz ZNJSORFWGLWOKK-SNVBAGLBSA-N 0 3 248.326 2.592 20 0 BFADHN C[C@@H](C(=O)OC(C)(C)C)N1CCCC[C@H](C)C1 ZINC000360605320 177070870 /nfs/dbraw/zinc/07/08/70/177070870.db2.gz QXZBSLGNANHVFU-RYUDHWBXSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H](c1cnccn1)N1CCCC[C@H](C)C1 ZINC000185261948 177071175 /nfs/dbraw/zinc/07/11/75/177071175.db2.gz DXYNLTHQGSTHDZ-RYUDHWBXSA-N 0 3 219.332 2.660 20 0 BFADHN C[C@H]1CCCCN1CCc1cscn1 ZINC000283925016 177076961 /nfs/dbraw/zinc/07/69/61/177076961.db2.gz GNHNZEZQJTYNIZ-JTQLQIEISA-N 0 3 210.346 2.560 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN1C[C@H]2CC[C@@H](C1)O2 ZINC000346190038 177080047 /nfs/dbraw/zinc/08/00/47/177080047.db2.gz VKZYMTMGGDHDEM-RFQIPJPRSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@H]1CCCC[C@@H]1NCc1cscn1 ZINC000040420499 177083736 /nfs/dbraw/zinc/08/37/36/177083736.db2.gz DTZDZMWQDKRMQF-ONGXEEELSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@H]1CCCC[C@@H]1OCCNCc1cc[nH]c1 ZINC000086251389 177084031 /nfs/dbraw/zinc/08/40/31/177084031.db2.gz SGCMLVAWZJCUAD-JSGCOSHPSA-N 0 3 236.359 2.700 20 0 BFADHN C[C@H]1CCCC[C@H]1CN(C)Cc1cnccn1 ZINC000183021192 177085228 /nfs/dbraw/zinc/08/52/28/177085228.db2.gz GPGDJXARLWWTDB-STQMWFEESA-N 0 3 233.359 2.735 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1ccncc1F ZINC000336227409 177101753 /nfs/dbraw/zinc/10/17/53/177101753.db2.gz BUMAHIWTVCCOBK-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CCC[C@@H](CO)N1Cc1cccc(F)c1 ZINC000269735642 177120804 /nfs/dbraw/zinc/12/08/04/177120804.db2.gz ZITQTDGFWHBTPI-FZMZJTMJSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2ncc(Cl)n2C)C1 ZINC000037255057 177122936 /nfs/dbraw/zinc/12/29/36/177122936.db2.gz ZYFDJXYUDNWXCP-VHSXEESVSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@H]1[C@H](NCc2cocn2)CCC[C@@H]1C ZINC000183158819 177123091 /nfs/dbraw/zinc/12/30/91/177123091.db2.gz NSQDWSBFENMCTL-HOSYDEDBSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1CCC[C@H](C)N1CCc1cscn1 ZINC000297431048 177131264 /nfs/dbraw/zinc/13/12/64/177131264.db2.gz HXFZQTSFNNVWHT-QWRGUYRKSA-N 0 3 224.373 2.949 20 0 BFADHN C[C@H]1CCC[C@H](CNCc2cocn2)C1 ZINC000179547753 177133003 /nfs/dbraw/zinc/13/30/03/177133003.db2.gz RXQACIBJVSTGFN-QWRGUYRKSA-N 0 3 208.305 2.591 20 0 BFADHN C[C@H]1CCC[C@H](CN(C)Cc2cnccn2)C1 ZINC000092799713 177133115 /nfs/dbraw/zinc/13/31/15/177133115.db2.gz YDXRQMHARXWOMQ-STQMWFEESA-N 0 3 233.359 2.735 20 0 BFADHN C[C@H]1CCC[C@H](CNCc2ccc(CO)o2)C1 ZINC000174301452 177133484 /nfs/dbraw/zinc/13/34/84/177133484.db2.gz OXBABKKESNTGDK-RYUDHWBXSA-N 0 3 237.343 2.688 20 0 BFADHN C[C@H]1CCN(Cc2ccc(Cl)cc2)[C@H]1CO ZINC000248883016 177163381 /nfs/dbraw/zinc/16/33/81/177163381.db2.gz DJSROQQSBJHYHM-GWCFXTLKSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H]1CCN(Cc2ccco2)C[C@@H]1n1ccnc1 ZINC000091960188 177165425 /nfs/dbraw/zinc/16/54/25/177165425.db2.gz YBAFJDQYUXMOON-JSGCOSHPSA-N 0 3 245.326 2.559 20 0 BFADHN C[C@H]1CCN(Cc2cnc(C(C)(C)C)nc2)C1 ZINC000284457482 177166657 /nfs/dbraw/zinc/16/66/57/177166657.db2.gz UUPQKLNMOVPQEE-NSHDSACASA-N 0 3 233.359 2.616 20 0 BFADHN C[C@H]1CCN(Cc2ccno2)CC1(C)C ZINC000273545584 177167066 /nfs/dbraw/zinc/16/70/66/177167066.db2.gz AEXFIGMCFXTUTA-JTQLQIEISA-N 0 3 208.305 2.543 20 0 BFADHN C[C@H]1CCN(Cc2ccnn2C2CCCC2)C1 ZINC000336221267 177167755 /nfs/dbraw/zinc/16/77/55/177167755.db2.gz VTAWPDCCTXOWOW-LBPRGKRZSA-N 0 3 233.359 2.840 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cn2ccccc2n1 ZINC000123128281 177186816 /nfs/dbraw/zinc/18/68/16/177186816.db2.gz FTCJSVMKFPUWJW-TXEJJXNPSA-N 0 3 229.327 2.707 20 0 BFADHN C[C@H]1CC[C@@H](CN(C)CCc2cccs2)O1 ZINC000188557449 177189677 /nfs/dbraw/zinc/18/96/77/177189677.db2.gz ALRJCHICEIHONS-RYUDHWBXSA-N 0 3 239.384 2.790 20 0 BFADHN C[C@H]1CC[C@@H](CNC2(c3ccccc3)CC2)O1 ZINC000276361156 177190699 /nfs/dbraw/zinc/19/06/99/177190699.db2.gz APHXYGGRSWQOBD-JSGCOSHPSA-N 0 3 231.339 2.833 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2cccc3nccn32)C1 ZINC000180310483 177197763 /nfs/dbraw/zinc/19/77/63/177197763.db2.gz GLAWIGCDIUYETO-STQMWFEESA-N 0 3 243.354 2.955 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2ccccn2)C1 ZINC000076149078 177197819 /nfs/dbraw/zinc/19/78/19/177197819.db2.gz QMNANPCPNJDXKS-RYUDHWBXSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@H]1CC[C@]2(CCN(CCOCC(F)F)C2)C1 ZINC000372749186 177207926 /nfs/dbraw/zinc/20/79/26/177207926.db2.gz LPXLNTKOYKQNCS-AAEUAGOBSA-N 0 3 247.329 2.780 20 0 BFADHN C[C@H]1CC[C@]2(CCN(Cc3ccno3)C2)C1 ZINC000336592892 177208013 /nfs/dbraw/zinc/20/80/13/177208013.db2.gz VTUOSLQDYSTGMA-AAEUAGOBSA-N 0 3 220.316 2.687 20 0 BFADHN C[C@H]1CN(CCCC(C)(C)C)C[C@H](C)O1 ZINC000337121976 177248271 /nfs/dbraw/zinc/24/82/71/177248271.db2.gz AJONRNMWCLRWDH-RYUDHWBXSA-N 0 3 213.365 2.922 20 0 BFADHN C[C@H]1CN(Cc2ccc(Cl)nc2)C[C@@H]1C ZINC000085697516 177262489 /nfs/dbraw/zinc/26/24/89/177262489.db2.gz ADKOUBFECYVERB-UWVGGRQHSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@H]1CN(Cc2cccc(Cl)n2)C[C@@H]1C ZINC000076111734 177264327 /nfs/dbraw/zinc/26/43/27/177264327.db2.gz MIUNIXTUVIODPL-UWVGGRQHSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@H]1CN(Cc2cccc3cc[nH]c32)C[C@H](C)O1 ZINC000269396874 177265026 /nfs/dbraw/zinc/26/50/26/177265026.db2.gz BZWYAUVUQGZEOY-RYUDHWBXSA-N 0 3 244.338 2.777 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CCOC[C@@H]1C ZINC000346227843 177292596 /nfs/dbraw/zinc/29/25/96/177292596.db2.gz YAQKJACJCRWTKS-AGIUHOORSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@H](c1cccnc1)N1CCSC[C@H](C)C1 ZINC000276860302 177303672 /nfs/dbraw/zinc/30/36/72/177303672.db2.gz KFFJEIZNUMAKBF-VXGBXAGGSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@@H]1C[C@H](C)[C@H](C)N(Cc2n[nH]c(C3CC3)n2)C1 ZINC000355750921 177314046 /nfs/dbraw/zinc/31/40/46/177314046.db2.gz VLTJVLGGDBFBLC-VWYCJHECSA-N 0 3 248.374 2.549 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2ccccn2)C1 ZINC000253604083 177314241 /nfs/dbraw/zinc/31/42/41/177314241.db2.gz SOTWCKWJWCCYKQ-TXEJJXNPSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cc(F)ccc2N)C1 ZINC000035308426 177315709 /nfs/dbraw/zinc/31/57/09/177315709.db2.gz MWLMIRGCTGHAOQ-PHIMTYICSA-N 0 3 236.334 2.886 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccccc2F)CN1C1CC1 ZINC000122325440 177332422 /nfs/dbraw/zinc/33/24/22/177332422.db2.gz OEWXNBPECYEIAV-WCQYABFASA-N 0 3 248.345 2.541 20 0 BFADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1cc[nH]n1 ZINC000127601390 177339393 /nfs/dbraw/zinc/33/93/93/177339393.db2.gz OJQAUEKLRVRNTD-GXTWGEPZSA-N 0 3 241.338 2.788 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCC2(C)COC2)o1 ZINC000083522965 177352118 /nfs/dbraw/zinc/35/21/18/177352118.db2.gz WLYMEUWXPGTFNM-JQWIXIFHSA-N 0 3 235.327 2.529 20 0 BFADHN C[C@@H]1CCN(CCc2cscn2)[C@@H](C)C1 ZINC000295113347 177356299 /nfs/dbraw/zinc/35/62/99/177356299.db2.gz VSEJMTUBTVBXBE-MNOVXSKESA-N 0 3 224.373 2.806 20 0 BFADHN C[C@H]1C[C@H](CCNCc2ccco2)CCO1 ZINC000273001257 177361504 /nfs/dbraw/zinc/36/15/04/177361504.db2.gz AARMTYANHKMJGI-NWDGAFQWSA-N 0 3 223.316 2.574 20 0 BFADHN C[C@H]1C[C@H](NC(C2CC2)C2CC2)c2nccn21 ZINC000345342802 177368285 /nfs/dbraw/zinc/36/82/85/177368285.db2.gz GHXCAPZRVJYTIH-CABZTGNLSA-N 0 3 231.343 2.667 20 0 BFADHN C[C@H]1C[C@H](NCc2cccc(F)c2F)CCO1 ZINC000219890725 177370035 /nfs/dbraw/zinc/37/00/35/177370035.db2.gz KWZPWRPUMPQFAR-GXSJLCMTSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H](CO)N[C@H]1C[C@H](C)Sc2sccc21 ZINC000135074598 177372069 /nfs/dbraw/zinc/37/20/69/177372069.db2.gz JSLGWWDDNCUDDV-WEDXCCLWSA-N 0 3 243.397 2.644 20 0 BFADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1cc[nH]n1 ZINC000127600905 177375652 /nfs/dbraw/zinc/37/56/52/177375652.db2.gz OJQAUEKLRVRNTD-JSGCOSHPSA-N 0 3 241.338 2.788 20 0 BFADHN C[C@H]1C[C@H]1CNCc1ccc(F)cc1F ZINC000136256430 177381545 /nfs/dbraw/zinc/38/15/45/177381545.db2.gz HHLAPMCPYRMAKV-WPRPVWTQSA-N 0 3 211.255 2.710 20 0 BFADHN C[C@H]1Cc2ccccc2CN1Cc1ccn(C)c1 ZINC000353663626 177389832 /nfs/dbraw/zinc/38/98/32/177389832.db2.gz DKOJRNZNRVUOPB-ZDUSSCGKSA-N 0 3 240.350 2.972 20 0 BFADHN C[C@]1(NCc2ccc3ncccc3c2)CCOC1 ZINC000120123376 177470694 /nfs/dbraw/zinc/47/06/94/177470694.db2.gz QHPMUPMKIXIAAC-HNNXBMFYSA-N 0 3 242.322 2.503 20 0 BFADHN CC[C@@H](N[C@H](C)c1nccn1C)C1CCC1 ZINC000324708940 487640196 /nfs/dbraw/zinc/64/01/96/487640196.db2.gz WDKJZUBVLPWTKW-ZYHUDNBSSA-N 0 3 221.348 2.649 20 0 BFADHN COc1cccc(CNC2(C)CCC2)c1F ZINC000183861412 487640470 /nfs/dbraw/zinc/64/04/70/487640470.db2.gz FGYWPTRCMWPYIQ-UHFFFAOYSA-N 0 3 223.291 2.867 20 0 BFADHN CC[C@@H]1CCN(C[C@@H]2COc3ccccc3O2)C1 ZINC000674875797 487640775 /nfs/dbraw/zinc/64/07/75/487640775.db2.gz SUSJVUGTFAUWPN-CHWSQXEVSA-N 0 3 247.338 2.558 20 0 BFADHN C[C@@H](CO)CN1CCC[C@H]1c1cccc(F)c1 ZINC000678044180 487642311 /nfs/dbraw/zinc/64/23/11/487642311.db2.gz NNZYHNNCLWYGKG-RISCZKNCSA-N 0 3 237.318 2.591 20 0 BFADHN Cc1cc(CN(C)CCCCO)ccc1Cl ZINC000471805288 225084356 /nfs/dbraw/zinc/08/43/56/225084356.db2.gz RJYGGXNFNWSHJH-UHFFFAOYSA-N 0 3 241.762 2.853 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCOC2(CCCCC2)C1 ZINC000488340836 225379832 /nfs/dbraw/zinc/37/98/32/225379832.db2.gz ATFSNHYUAPFNSJ-QWHCGFSZSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCN(c2cccs2)CC1 ZINC000488362548 225386964 /nfs/dbraw/zinc/38/69/64/225386964.db2.gz ZZFFFRCZXPNEQC-NEPJUHHUSA-N 0 3 236.384 2.526 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@H](C(C)C)C2)cc1 ZINC000488001030 225341158 /nfs/dbraw/zinc/34/11/58/225341158.db2.gz HNYWTVUSZCQBQQ-INIZCTEOSA-N 0 3 246.398 2.767 20 0 BFADHN Cc1ncsc1CN(C)C[C@@H]1C[C@H]1C ZINC000488291857 225361350 /nfs/dbraw/zinc/36/13/50/225361350.db2.gz DDZSMOFBGYAZLQ-SCZZXKLOSA-N 0 3 210.346 2.539 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCOC[C@H]1c1ccccc1 ZINC000488300675 225365326 /nfs/dbraw/zinc/36/53/26/225365326.db2.gz RWBRQNQRAYNJAN-SNPRPXQTSA-N 0 3 231.339 2.716 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H](C)COC(C)C ZINC000669682264 487645613 /nfs/dbraw/zinc/64/56/13/487645613.db2.gz YZIKMTWJKOAOHR-ZJUUUORDSA-N 0 3 240.347 2.756 20 0 BFADHN C[C@H]1CSCCN1CCCOC(C)(C)C ZINC000674908381 487646635 /nfs/dbraw/zinc/64/66/35/487646635.db2.gz ALWJAPWFYBOFAR-NSHDSACASA-N 0 3 231.405 2.629 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H](C)COC(C)C ZINC000669684307 487647359 /nfs/dbraw/zinc/64/73/59/487647359.db2.gz YTOOXCVPQNOONH-CHWSQXEVSA-N 0 3 236.359 2.854 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CCCOC(C)(C)C ZINC000674902642 487648166 /nfs/dbraw/zinc/64/81/66/487648166.db2.gz KRTBQBTVXJUEIC-OLZOCXBDSA-N 0 3 243.391 2.691 20 0 BFADHN COCCN(C)Cc1cc(C)cc(Cl)c1 ZINC000507115461 226365447 /nfs/dbraw/zinc/36/54/47/226365447.db2.gz HJEQQAFBSMJQNJ-UHFFFAOYSA-N 0 3 227.735 2.727 20 0 BFADHN COCCN(C/C=C\c1ccccc1)C1CC1 ZINC000507157054 226366371 /nfs/dbraw/zinc/36/63/71/226366371.db2.gz ACVKWYLSUXFZGR-YVMONPNESA-N 0 3 231.339 2.811 20 0 BFADHN CCc1nocc1CN(C)[C@@H](C)C(C)C ZINC000507194393 226367141 /nfs/dbraw/zinc/36/71/41/226367141.db2.gz XWHKHVZOTUBQAF-JTQLQIEISA-N 0 3 210.321 2.713 20 0 BFADHN CCn1cc([C@H](C)N[C@H](C)[C@@H]2CC2(F)F)cn1 ZINC000666228064 487656165 /nfs/dbraw/zinc/65/61/65/487656165.db2.gz LUELLQRGMNHPQU-NGZCFLSTSA-N 0 3 243.301 2.597 20 0 BFADHN COC(=O)[C@@H]1CCCCCN1CC1(C)CCC1 ZINC000590113171 487656062 /nfs/dbraw/zinc/65/60/62/487656062.db2.gz XQYHSPDDSLBCBO-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1ccnc(CN2CCSCC[C@@H]2C)c1 ZINC000671627822 487656723 /nfs/dbraw/zinc/65/67/23/487656723.db2.gz QGGGFHDDVKZBOT-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN CCO[C@H]1CCCN(Cc2ccoc2C)C1 ZINC000666292575 487662233 /nfs/dbraw/zinc/66/22/33/487662233.db2.gz RGCFWYWXXJHCIP-ZDUSSCGKSA-N 0 3 223.316 2.589 20 0 BFADHN CCCCN1CC(c2nc3ccccc3[nH]2)C1 ZINC000675023148 487664252 /nfs/dbraw/zinc/66/42/52/487664252.db2.gz GIVYUNDZQJZTCV-UHFFFAOYSA-N 0 3 229.327 2.762 20 0 BFADHN CCc1ccc([C@H](C)NCCC2CC(O)C2)o1 ZINC000432410275 487668295 /nfs/dbraw/zinc/66/82/95/487668295.db2.gz NEAKOIOUVBPADS-UNXYVOJBSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1O)c1ccccc1Cl ZINC000308998715 229289624 /nfs/dbraw/zinc/28/96/24/229289624.db2.gz NJCPVTBKZLWDLG-XXILOJSOSA-N 0 3 225.719 2.514 20 0 BFADHN Cc1ccc(CN2CC[C@@H](c3ccco3)C2)cn1 ZINC000680935049 487675084 /nfs/dbraw/zinc/67/50/84/487675084.db2.gz UMJGORKOIIWBJC-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCOCC1CCC1 ZINC000680937914 487675500 /nfs/dbraw/zinc/67/55/00/487675500.db2.gz CHRGKPXMKHGPNC-CYBMUJFWSA-N 0 3 248.370 2.857 20 0 BFADHN Clc1cnccc1CNC[C@@H]1CCCS1 ZINC000087669153 487677133 /nfs/dbraw/zinc/67/71/33/487677133.db2.gz SUVBQGGXWIBYSD-JTQLQIEISA-N 0 3 242.775 2.720 20 0 BFADHN CC(C)(C)c1cccc(CN2CCC(O)CC2)c1 ZINC000205775938 487678789 /nfs/dbraw/zinc/67/87/89/487678789.db2.gz LGBUBCPJLHESIP-UHFFFAOYSA-N 0 3 247.382 2.941 20 0 BFADHN CO[C@H](CNC/C=C\c1ccncc1)CC(C)C ZINC000418103748 487683886 /nfs/dbraw/zinc/68/38/86/487683886.db2.gz WGSAUXYFXYXAIU-XVWMLYKFSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)[C@H](C)C2)ncn1 ZINC000680972506 487684872 /nfs/dbraw/zinc/68/48/72/487684872.db2.gz GMRRBQSMZCMJOE-DMDPSCGWSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cccc(CNC[C@]2(C)CCCS2)n1 ZINC000087793739 487687366 /nfs/dbraw/zinc/68/73/66/487687366.db2.gz JDTZKIIBKBAUJU-ZDUSSCGKSA-N 0 3 236.384 2.765 20 0 BFADHN Cc1cccc(CNC[C@@]2(C)CCCS2)n1 ZINC000087793740 487687685 /nfs/dbraw/zinc/68/76/85/487687685.db2.gz JDTZKIIBKBAUJU-CYBMUJFWSA-N 0 3 236.384 2.765 20 0 BFADHN CCC1(CNCc2ncnn2C(C)C)CCC1 ZINC000233064449 487691113 /nfs/dbraw/zinc/69/11/13/487691113.db2.gz SIWCGQYMYOELOJ-UHFFFAOYSA-N 0 3 236.363 2.529 20 0 BFADHN CCCCNCc1ccc(F)c(F)c1F ZINC000083348907 487697993 /nfs/dbraw/zinc/69/79/93/487697993.db2.gz XVQOFNNZXGUDIE-UHFFFAOYSA-N 0 3 217.234 2.994 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)nn1 ZINC000396595999 262806529 /nfs/dbraw/zinc/80/65/29/262806529.db2.gz YSDZPUBPCXAHMB-SCDSUCTJSA-N 0 3 233.359 2.699 20 0 BFADHN COc1ccc(CCCNCC2(F)CC2)cc1 ZINC000527229268 262833956 /nfs/dbraw/zinc/83/39/56/262833956.db2.gz OBPUIFUYTRDTMM-UHFFFAOYSA-N 0 3 237.318 2.720 20 0 BFADHN C[C@H](NCc1ccco1)[C@@H]1C[C@H]1C1CC1 ZINC000527628888 262865570 /nfs/dbraw/zinc/86/55/70/262865570.db2.gz GOCPRIIRBRCQPD-XDTLVQLUSA-N 0 3 205.301 2.804 20 0 BFADHN FC(F)C1(CNCc2ccncc2Cl)CC1 ZINC000527699787 262873828 /nfs/dbraw/zinc/87/38/28/262873828.db2.gz GKVCGGVOYGPARX-UHFFFAOYSA-N 0 3 246.688 2.870 20 0 BFADHN Cn1ccnc1CNCC(C1CCC1)C1CCC1 ZINC000527737590 262874464 /nfs/dbraw/zinc/87/44/64/262874464.db2.gz SJBOMIRPJGXBLP-UHFFFAOYSA-N 0 3 247.386 2.726 20 0 BFADHN Cc1ncc(CN[C@@H](C)[C@@H]2C[C@H]2C2CC2)o1 ZINC000527722325 262876208 /nfs/dbraw/zinc/87/62/08/262876208.db2.gz WDVRTPXHLPUCEC-HJIKLVIJSA-N 0 3 220.316 2.507 20 0 BFADHN CCc1cnc(CNCC2CC=CC2)s1 ZINC000527745192 262879615 /nfs/dbraw/zinc/87/96/15/262879615.db2.gz OSJIYFKPQJTPRG-UHFFFAOYSA-N 0 3 222.357 2.761 20 0 BFADHN Cc1cccc(CN[C@@]23C[C@@H]2COC3(C)C)c1 ZINC000527757326 262879837 /nfs/dbraw/zinc/87/98/37/262879837.db2.gz DFZMJZKPJBEURO-HIFRSBDPSA-N 0 3 231.339 2.652 20 0 BFADHN Cc1ccoc1CN[C@@H](C)[C@H]1CC12CC2 ZINC000527901564 262893412 /nfs/dbraw/zinc/89/34/12/262893412.db2.gz KYMUBWFHGJPRLY-WDEREUQCSA-N 0 3 205.301 2.866 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](c1ccccn1)C1CCC1 ZINC000527966092 262900859 /nfs/dbraw/zinc/90/08/59/262900859.db2.gz KPBMJALIVSOTHZ-JMSVASOKSA-N 0 3 248.370 2.936 20 0 BFADHN CC[C@H](NCc1nnc(C)s1)[C@@H]1CC1(C)C ZINC000397705718 262930362 /nfs/dbraw/zinc/93/03/62/262930362.db2.gz RJVIFOPXVOZUNR-UWVGGRQHSA-N 0 3 239.388 2.761 20 0 BFADHN COCC1(C)CCN(C/C=C(\C)Cl)CC1 ZINC000528430304 262946688 /nfs/dbraw/zinc/94/66/88/262946688.db2.gz IZBZEMDHZCWZPE-NYYWCZLTSA-N 0 3 231.767 2.878 20 0 BFADHN CN(Cc1cc2ccccc2s1)C1(CO)CC1 ZINC000671727555 487710178 /nfs/dbraw/zinc/71/01/78/487710178.db2.gz KIYPVZRBDXZTBX-UHFFFAOYSA-N 0 3 247.363 2.858 20 0 BFADHN Cc1cc(CN2CCC3(CCC3)CC2)on1 ZINC000671728746 487711461 /nfs/dbraw/zinc/71/14/61/487711461.db2.gz CKGQUEXQRKBINQ-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN CCC1(CC)CN(Cc2cn[nH]c2)CCS1 ZINC000681081880 487717327 /nfs/dbraw/zinc/71/73/27/487717327.db2.gz OPZUHBDNKITENP-UHFFFAOYSA-N 0 3 239.388 2.517 20 0 BFADHN CN(CCCOC(C)(C)C)Cc1ccoc1 ZINC000674904026 487719823 /nfs/dbraw/zinc/71/98/23/487719823.db2.gz JYXLUTOVPHUJFW-UHFFFAOYSA-N 0 3 225.332 2.917 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN(C)Cc2c[nH]cn2)C1 ZINC000668422317 487727106 /nfs/dbraw/zinc/72/71/06/487727106.db2.gz YBLLJAPUAPUGCY-AAEUAGOBSA-N 0 3 233.359 2.834 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN(C)Cc2cnc[nH]2)C1 ZINC000668422317 487727108 /nfs/dbraw/zinc/72/71/08/487727108.db2.gz YBLLJAPUAPUGCY-AAEUAGOBSA-N 0 3 233.359 2.834 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1cccc(F)c1F ZINC000379466498 487734102 /nfs/dbraw/zinc/73/41/02/487734102.db2.gz XYHIOUOIHYZEAA-KCJUWKMLSA-N 0 3 243.322 2.948 20 0 BFADHN CC(C)n1ncnc1CNC1(C)CCCCC1 ZINC000088591145 487737167 /nfs/dbraw/zinc/73/71/67/487737167.db2.gz WVMFNNYHOJBNKG-UHFFFAOYSA-N 0 3 236.363 2.671 20 0 BFADHN CN(C)c1ccc(CN2CCCC3(CC3)C2)cn1 ZINC000681167998 487740680 /nfs/dbraw/zinc/74/06/80/487740680.db2.gz PSKMGRJESJIKFW-UHFFFAOYSA-N 0 3 245.370 2.524 20 0 BFADHN CC(C)CN1CCN(CCCC2CCC2)CC1 ZINC000659810407 487741215 /nfs/dbraw/zinc/74/12/15/487741215.db2.gz NDLOTMZEDKZREK-UHFFFAOYSA-N 0 3 238.419 2.840 20 0 BFADHN C[C@H](N[C@H]1CC1(C)C)c1ccc2[nH]c(=O)oc2c1 ZINC000666201869 487755377 /nfs/dbraw/zinc/75/53/77/487755377.db2.gz WFPUTAIWMVJICU-UFBFGSQYSA-N 0 3 246.310 2.983 20 0 BFADHN CC(C)(NCc1ccncc1F)C1CCC1 ZINC000449521858 487756776 /nfs/dbraw/zinc/75/67/76/487756776.db2.gz SSQWWGYIMFOSRM-UHFFFAOYSA-N 0 3 222.307 2.889 20 0 BFADHN C[C@H]1N(CCCOC(C)(C)C)CCOC1(C)C ZINC000675640635 487758164 /nfs/dbraw/zinc/75/81/64/487758164.db2.gz JLEFEEFGCKQMGO-GFCCVEGCSA-N 0 3 243.391 2.691 20 0 BFADHN OC[C@H](NCC1=CCCC1)c1cccc(F)c1 ZINC000645851469 487759187 /nfs/dbraw/zinc/75/91/87/487759187.db2.gz NXXUDQGQNXHFKD-AWEZNQCLSA-N 0 3 235.302 2.559 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1cnccn1)c1ccsc1 ZINC000675785743 487775960 /nfs/dbraw/zinc/77/59/60/487775960.db2.gz XRYVFPQIIAYPCC-WDEREUQCSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@@H](N[C@@H](C)CC(C)(C)C)c1ncc[nH]1 ZINC000385241099 487776553 /nfs/dbraw/zinc/77/65/53/487776553.db2.gz VEDRXDMADRFSJQ-VHSXEESVSA-N 0 3 209.337 2.885 20 0 BFADHN CCC[C@@H](N[C@H](C)CCOC)c1ccccn1 ZINC000675816571 487781177 /nfs/dbraw/zinc/78/11/77/487781177.db2.gz PCGUWQMNDDFRCZ-TZMCWYRMSA-N 0 3 236.359 2.937 20 0 BFADHN CCC[C@H](N[C@H](CC)COC)c1ccccn1 ZINC000675815779 487781437 /nfs/dbraw/zinc/78/14/37/487781437.db2.gz FEFQHLFTGGKRAG-OCCSQVGLSA-N 0 3 236.359 2.937 20 0 BFADHN Cn1ccnc1[C@H](NCCC1(C)CC1)C1CC1 ZINC000359006288 487783741 /nfs/dbraw/zinc/78/37/41/487783741.db2.gz RRLRVRSZCZHECX-GFCCVEGCSA-N 0 3 233.359 2.651 20 0 BFADHN COc1cccc(CN[C@@H](C)CC2CCC2)n1 ZINC000675822098 487783320 /nfs/dbraw/zinc/78/33/20/487783320.db2.gz WGHHGIHZVGJRAP-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1ccncc1F ZINC000459655420 487787897 /nfs/dbraw/zinc/78/78/97/487787897.db2.gz IAJQSQVVLCDXFN-DGCLKSJQSA-N 0 3 222.307 2.745 20 0 BFADHN COCCC1CN(Cc2ccc(F)cc2C)C1 ZINC000668472199 487797805 /nfs/dbraw/zinc/79/78/05/487797805.db2.gz FRWAPKGVMRDLCC-UHFFFAOYSA-N 0 3 237.318 2.602 20 0 BFADHN CC(C)C1(c2ccccc2)CN([C@@H](C)[C@H](C)O)C1 ZINC000414192450 487798621 /nfs/dbraw/zinc/79/86/21/487798621.db2.gz TZCCIWPCDDQGLO-KBPBESRZSA-N 0 3 247.382 2.665 20 0 BFADHN C[C@@H](CN[C@H](C)c1ncc[nH]1)CC(F)(F)F ZINC000414251450 487801472 /nfs/dbraw/zinc/80/14/72/487801472.db2.gz ABMDMYNTZGQTQD-HTQZYQBOSA-N 0 3 235.253 2.649 20 0 BFADHN CN(CCOC(C)(C)C)[C@H]1C=CCCC1 ZINC000676042352 487804173 /nfs/dbraw/zinc/80/41/73/487804173.db2.gz WCRHSOOWVWOBNV-LBPRGKRZSA-N 0 3 211.349 2.842 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1C[C@H](C)O[C@H]1C ZINC000385139150 487805641 /nfs/dbraw/zinc/80/56/41/487805641.db2.gz LUYLIHCYNAKUOZ-PRLGWJNPSA-N 0 3 238.331 2.508 20 0 BFADHN C[C@H]1CCCN1Cc1cc2cnccc2o1 ZINC000651638060 487806696 /nfs/dbraw/zinc/80/66/96/487806696.db2.gz KWRNULBGJFYDPB-JTQLQIEISA-N 0 3 216.284 2.812 20 0 BFADHN C[C@H]1COCCCN1Cc1cccc2c1CCC2 ZINC000651642976 487809450 /nfs/dbraw/zinc/80/94/50/487809450.db2.gz MOOYHPIPSQDPNQ-ZDUSSCGKSA-N 0 3 245.366 2.786 20 0 BFADHN Cc1ncc(CN[C@@H](C)[C@@H]2CC2(F)F)s1 ZINC000666445873 487811097 /nfs/dbraw/zinc/81/10/97/487811097.db2.gz YEIZWTWFPOJQLJ-RCOVLWMOSA-N 0 3 232.299 2.585 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2CCSC2)c1 ZINC000274663950 487813598 /nfs/dbraw/zinc/81/35/98/487813598.db2.gz WVFYUUPISNIDLL-JQWIXIFHSA-N 0 3 222.357 2.546 20 0 BFADHN CC1(C)COC[C@@H]1N[C@H]1CCc2c1cccc2F ZINC000313020535 322942835 /nfs/dbraw/zinc/94/28/35/322942835.db2.gz DZUAPVHXLALKAC-KBPBESRZSA-N 0 3 249.329 2.828 20 0 BFADHN CCn1cc(CN2CC[C@H](C3CCC3)C2)cn1 ZINC000666488039 487819448 /nfs/dbraw/zinc/81/94/48/487819448.db2.gz DYJSKDMICNKVKW-AWEZNQCLSA-N 0 3 233.359 2.525 20 0 BFADHN CCn1nccc1CN1CC[C@@H](C2CCC2)C1 ZINC000666487944 487819634 /nfs/dbraw/zinc/81/96/34/487819634.db2.gz WRZAMYTYLJRNRL-CYBMUJFWSA-N 0 3 233.359 2.525 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1COCC1(C)C ZINC000313049004 322945084 /nfs/dbraw/zinc/94/50/84/322945084.db2.gz ZEVUVUDDMMUNRT-CQSZACIVSA-N 0 3 249.354 2.518 20 0 BFADHN CN(Cc1cccc2c1CCC2)[C@H]1CCCOC1 ZINC000651804574 487829603 /nfs/dbraw/zinc/82/96/03/487829603.db2.gz LKBKQJWLBZVZLS-HNNXBMFYSA-N 0 3 245.366 2.786 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1ccoc1 ZINC000252710883 487830319 /nfs/dbraw/zinc/83/03/19/487830319.db2.gz HXKFGWILPLQZJX-RAIGVLPGSA-N 0 3 223.316 2.888 20 0 BFADHN CC[C@@H]1CN([C@@H]2CCc3ccccc32)CCO1 ZINC000681657382 487831235 /nfs/dbraw/zinc/83/12/35/487831235.db2.gz DBCNEEYAOYFKNB-UKRRQHHQSA-N 0 3 231.339 2.785 20 0 BFADHN C[C@H](NCc1ccnc(N)c1)c1ccsc1 ZINC000309310824 487833346 /nfs/dbraw/zinc/83/33/46/487833346.db2.gz WXQHRFRBGJEBIH-VIFPVBQESA-N 0 3 233.340 2.576 20 0 BFADHN Cc1ccc(CN[C@@H]2COCC2(C)C)cc1 ZINC000313071697 322947307 /nfs/dbraw/zinc/94/73/07/322947307.db2.gz FLSKLVCNUBPJMN-CYBMUJFWSA-N 0 3 219.328 2.510 20 0 BFADHN CO[C@@H](CC(C)C)CN1CCC(F)(F)CC1 ZINC000842159371 588004810 /nfs/dbraw/zinc/00/48/10/588004810.db2.gz OILIAYFRNWFNNL-NSHDSACASA-N 0 3 235.318 2.779 20 0 BFADHN CCc1ncc(CNC2CC(C)(C)C2)s1 ZINC000395184091 487841248 /nfs/dbraw/zinc/84/12/48/487841248.db2.gz PXZXCZKPUVNDTD-UHFFFAOYSA-N 0 3 224.373 2.984 20 0 BFADHN CC[C@H](NCc1cc[nH]n1)C(C)(C)CC ZINC000872035943 589858438 /nfs/dbraw/zinc/85/84/38/589858438.db2.gz ZYVDDSBJLQGTQT-NSHDSACASA-N 0 3 209.337 2.714 20 0 BFADHN CCOc1ccc(CN2CC[C@H]3CCC[C@@H]32)nc1 ZINC000681710513 487847256 /nfs/dbraw/zinc/84/72/56/487847256.db2.gz TUHQZKYROWXEAF-DOMZBBRYSA-N 0 3 246.354 2.855 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cc2ccc(C)cc2[nH]1 ZINC000668150848 487851943 /nfs/dbraw/zinc/85/19/43/487851943.db2.gz KFFDWNLOKKFKAK-LBPRGKRZSA-N 0 3 246.354 2.943 20 0 BFADHN COC(C)(C)C[C@@H](C)NCc1ccncc1F ZINC000449170484 487855346 /nfs/dbraw/zinc/85/53/46/487855346.db2.gz RDPIAAWSUFUFQN-SNVBAGLBSA-N 0 3 240.322 2.514 20 0 BFADHN CC(C)(C)C[C@@H]1C[C@H]1NCc1cccnc1 ZINC000651912122 487860260 /nfs/dbraw/zinc/86/02/60/487860260.db2.gz MDDICEGPGNDQMQ-QWHCGFSZSA-N 0 3 218.344 2.996 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H](C)c1cnc(C)s1 ZINC000666178411 487863138 /nfs/dbraw/zinc/86/31/38/487863138.db2.gz MWIYXDOFSNVMMG-IEBDPFPHSA-N 0 3 240.372 2.670 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000507506271 487874544 /nfs/dbraw/zinc/87/45/44/487874544.db2.gz FWFOBRKFXFDRSM-ASEORRQLSA-N 0 3 246.354 2.615 20 0 BFADHN C[C@H]1CCN(CCCCCF)C[C@@H]1F ZINC000671242867 487877532 /nfs/dbraw/zinc/87/75/32/487877532.db2.gz SFVHXWATQJQGQT-QWRGUYRKSA-N 0 3 205.292 2.806 20 0 BFADHN c1ccc([C@H]2CCN2[C@H]2CCCOC2)cc1 ZINC000676627062 487878824 /nfs/dbraw/zinc/87/88/24/487878824.db2.gz MIIPNWZTOITORL-UONOGXRCSA-N 0 3 217.312 2.612 20 0 BFADHN CC[C@@H](O)[C@@H]1CCCCN1Cc1ccc(C)cn1 ZINC000676614282 487878565 /nfs/dbraw/zinc/87/85/65/487878565.db2.gz RHOIXHMBIWQHAH-LSDHHAIUSA-N 0 3 248.370 2.515 20 0 BFADHN C[C@H](CN[C@H](CCO)c1ccco1)C(C)(C)C ZINC000670269253 487881387 /nfs/dbraw/zinc/88/13/87/487881387.db2.gz INYLMEXSHFAEDQ-VXGBXAGGSA-N 0 3 239.359 2.975 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1cnn(C)c1 ZINC000652241698 487888222 /nfs/dbraw/zinc/88/82/22/487888222.db2.gz WPZLURPEZMPXNH-NTZNESFSSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ncc([C@@H](C)N2CC[C@@H](C3CC3)C2)c(C)n1 ZINC000682071737 487893245 /nfs/dbraw/zinc/89/32/45/487893245.db2.gz ITFKBYCVEZUQPG-BXUZGUMPSA-N 0 3 245.370 2.886 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2N1CCO[C@@H](C2CC2)C1 ZINC000682072525 487894260 /nfs/dbraw/zinc/89/42/60/487894260.db2.gz JHFRMBUXLMSFQH-JKSUJKDBSA-N 0 3 243.350 2.785 20 0 BFADHN CCCN(C[C@](O)(CC)C(F)(F)F)C1CC1 ZINC000929515572 646165536 /nfs/dbraw/zinc/16/55/36/646165536.db2.gz GNZLBMXBSNKXKK-SNVBAGLBSA-N 0 3 239.281 2.564 20 0 BFADHN CC[C@H]1CCN([C@@H](C)c2cnc(C)nc2C)C1 ZINC000682073296 487896807 /nfs/dbraw/zinc/89/68/07/487896807.db2.gz AKLXNZLOFIGUDV-AAEUAGOBSA-N 0 3 233.359 2.886 20 0 BFADHN CCOc1ccccc1CN1CCCOC[C@H]1C ZINC000676710320 487897875 /nfs/dbraw/zinc/89/78/75/487897875.db2.gz BYQCNCRTXRPHBC-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1ncc([C@@H](C)N(C)CCC2CC2)c(C)n1 ZINC000682076818 487900476 /nfs/dbraw/zinc/90/04/76/487900476.db2.gz MOJFQRLPLDWFNK-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cc(C)cc(CN2CCCOC[C@H]2C)c1 ZINC000676713792 487902515 /nfs/dbraw/zinc/90/25/15/487902515.db2.gz RNCQEIARPKKRGY-CQSZACIVSA-N 0 3 233.355 2.914 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@@]2(C1)CCCOC2 ZINC000671254354 487911483 /nfs/dbraw/zinc/91/14/83/487911483.db2.gz FFSJVGNOSNKINA-UKRRQHHQSA-N 0 3 246.354 2.645 20 0 BFADHN CC1(C)CCC[C@@H](NCc2ncc[nH]2)CC1 ZINC000083435891 487912943 /nfs/dbraw/zinc/91/29/43/487912943.db2.gz OHYZSFBTCJNNBF-LLVKDONJSA-N 0 3 221.348 2.858 20 0 BFADHN COC[C@H](C)CN(Cc1ccc(C)o1)C1CC1 ZINC000682150733 487914499 /nfs/dbraw/zinc/91/44/99/487914499.db2.gz KVHYPAZRTRDSMK-LLVKDONJSA-N 0 3 237.343 2.835 20 0 BFADHN Cc1ccc(CN[C@@H](C)CCO)c(Cl)c1 ZINC000235088466 487919102 /nfs/dbraw/zinc/91/91/02/487919102.db2.gz ZQFBIPAJIWMBAK-JTQLQIEISA-N 0 3 227.735 2.509 20 0 BFADHN Cc1cc(C)cc(CN2CCc3cn[nH]c3C2)c1 ZINC000676798643 487932297 /nfs/dbraw/zinc/93/22/97/487932297.db2.gz VBVBRYDMDXIVFY-UHFFFAOYSA-N 0 3 241.338 2.585 20 0 BFADHN Cc1ccccc1[C@H](C)N1CCc2cn[nH]c2C1 ZINC000676796245 487933467 /nfs/dbraw/zinc/93/34/67/487933467.db2.gz GIXASHZEZHJPBX-LBPRGKRZSA-N 0 3 241.338 2.837 20 0 BFADHN C[C@H]1COCCCN1CCCCC(F)(F)F ZINC000676893281 487943317 /nfs/dbraw/zinc/94/33/17/487943317.db2.gz CWTGLUAVOLFDPI-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN Cc1ccc2oc(CN[C@H]3CCOC3)cc2c1 ZINC000676906366 487945152 /nfs/dbraw/zinc/94/51/52/487945152.db2.gz UCUYMHASFDKELQ-LBPRGKRZSA-N 0 3 231.295 2.620 20 0 BFADHN CCn1cncc1CN(CCC(C)C)C1CC1 ZINC000417696455 487946092 /nfs/dbraw/zinc/94/60/92/487946092.db2.gz GTFSWFJCXODUPU-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1cnc(C)o1 ZINC000666165854 487948092 /nfs/dbraw/zinc/94/80/92/487948092.db2.gz VYEHRKGHPBIQAQ-VIFPVBQESA-N 0 3 210.321 2.897 20 0 BFADHN C[C@H](c1ccncc1)N(C)Cc1ccc(O)cc1 ZINC000682407003 487950477 /nfs/dbraw/zinc/95/04/77/487950477.db2.gz LKDKMWSGQWRBEX-GFCCVEGCSA-N 0 3 242.322 2.980 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1COC(C)(C)C1 ZINC000396542652 487953584 /nfs/dbraw/zinc/95/35/84/487953584.db2.gz OTZUEZULDIHRHZ-ZDUSSCGKSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)(C)CCCCNCc1cnccn1 ZINC000569446278 322966199 /nfs/dbraw/zinc/96/61/99/322966199.db2.gz POARRLHDLBGJJA-UHFFFAOYSA-N 0 3 221.348 2.783 20 0 BFADHN C[C@H](NC[C@H]1CC1(C)C)c1cscn1 ZINC000222051198 322978288 /nfs/dbraw/zinc/97/82/88/322978288.db2.gz FLGOQLAXGVIHOP-DTWKUNHWSA-N 0 3 210.346 2.840 20 0 BFADHN CCO[C@@H]1C[C@H]1NC/C(C)=C\c1ccccc1 ZINC000559817028 322979949 /nfs/dbraw/zinc/97/99/49/322979949.db2.gz OBWGOWQFRIBUAE-PUCGFSNJSA-N 0 3 231.339 2.857 20 0 BFADHN COC[C@H](N[C@@H](C)c1cc(C)ccn1)C(C)C ZINC000560525802 322989064 /nfs/dbraw/zinc/98/90/64/322989064.db2.gz YTWOPFCGJMXWMB-JSGCOSHPSA-N 0 3 236.359 2.712 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@@H](C)C2)c(F)c1 ZINC000566336775 322989101 /nfs/dbraw/zinc/98/91/01/322989101.db2.gz JLCANKBPCSEXDO-QWRGUYRKSA-N 0 3 237.318 2.922 20 0 BFADHN Cc1cc(OC[C@@H]2CCCO2)c(C)c(C)n1 ZINC000566476673 323000565 /nfs/dbraw/zinc/00/05/65/323000565.db2.gz FUYAHSPECJHUGY-LBPRGKRZSA-N 0 3 221.300 2.565 20 0 BFADHN CCC(CC)N(CCOC)Cc1cnoc1C ZINC000128496570 323007256 /nfs/dbraw/zinc/00/72/56/323007256.db2.gz ZCBCZLGCOYEGGW-UHFFFAOYSA-N 0 3 240.347 2.620 20 0 BFADHN COc1ccncc1CN(C)[C@@H](C)C(C)(C)C ZINC000561843215 323007855 /nfs/dbraw/zinc/00/78/55/323007855.db2.gz GMHGAYLRCAXLPY-NSHDSACASA-N 0 3 236.359 2.957 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCC2(C1)Oc1ccccc1O2 ZINC000561986553 323009920 /nfs/dbraw/zinc/00/99/20/323009920.db2.gz KETBFSYEERGQLE-NEPJUHHUSA-N 0 3 245.322 2.516 20 0 BFADHN CCCC[C@H](C)N(C)CC(=O)NC(C)(C)CC ZINC000562058751 323011424 /nfs/dbraw/zinc/01/14/24/323011424.db2.gz QECCYLRKFLBLOS-LBPRGKRZSA-N 0 3 242.407 2.802 20 0 BFADHN COc1c(C)cc(CN(C)[C@H]2CCOC2)cc1C ZINC000151172401 323012364 /nfs/dbraw/zinc/01/23/64/323012364.db2.gz XTDRUBVMGXSSRR-AWEZNQCLSA-N 0 3 249.354 2.533 20 0 BFADHN COC[C@H](C)CN1CC(c2ccccc2F)C1 ZINC000569638692 323016003 /nfs/dbraw/zinc/01/60/03/323016003.db2.gz XYDPEDFDSUYKMM-LLVKDONJSA-N 0 3 237.318 2.507 20 0 BFADHN C[C@@H]1COCCN1C[C@@H]1CCCC(C)(C)C1 ZINC000562488741 323021823 /nfs/dbraw/zinc/02/18/23/323021823.db2.gz AHQKQEITUXOETK-CHWSQXEVSA-N 0 3 225.376 2.924 20 0 BFADHN CCC[C@H](N[C@H]1C[C@@H]1OCC)c1cccnc1 ZINC000562498681 323022040 /nfs/dbraw/zinc/02/20/40/323022040.db2.gz CYMVUANACYFNHI-IHRRRGAJSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1c(CN(C)C[C@@H]2CCCC[C@@H]2C)cnn1C ZINC000562508748 323022266 /nfs/dbraw/zinc/02/22/66/323022266.db2.gz NZPLXQRKZVZJCB-JSGCOSHPSA-N 0 3 249.402 2.987 20 0 BFADHN C[C@H](NCc1ccno1)[C@H]1CCCC[C@H]1C ZINC000562543123 323023542 /nfs/dbraw/zinc/02/35/42/323023542.db2.gz KVOXTDMOAIEJOI-MDZLAQPJSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H](F)CCN(C)Cc1cccc(O)c1 ZINC000562852906 323032043 /nfs/dbraw/zinc/03/20/43/323032043.db2.gz JDIXCZJRQWDESQ-SNVBAGLBSA-N 0 3 211.280 2.572 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@@H](O)C2(C)C)s1 ZINC000163398519 323035449 /nfs/dbraw/zinc/03/54/49/323035449.db2.gz OIGHXOIABZWWTM-NWDGAFQWSA-N 0 3 239.384 2.560 20 0 BFADHN FC1(F)CCC[C@H]1CNCc1cccnc1 ZINC000394482922 323038636 /nfs/dbraw/zinc/03/86/36/323038636.db2.gz MMENMHSCWWTYQN-NSHDSACASA-N 0 3 226.270 2.607 20 0 BFADHN Cc1cc(CNCC(C2CC2)C2CC2)no1 ZINC000569788352 323040735 /nfs/dbraw/zinc/04/07/35/323040735.db2.gz ZPWYVAXBYNJSAP-UHFFFAOYSA-N 0 3 220.316 2.509 20 0 BFADHN CCc1ncc(CN[C@@H](C(C)C)C2CC2)o1 ZINC000569901360 323044063 /nfs/dbraw/zinc/04/40/63/323044063.db2.gz FYBGJBAZANJZBJ-ZDUSSCGKSA-N 0 3 222.332 2.761 20 0 BFADHN N[C@@H]1CCCc2cn(CC3CCCCC3)nc21 ZINC000569960763 323045830 /nfs/dbraw/zinc/04/58/30/323045830.db2.gz NWULTZDNYUFFSJ-CYBMUJFWSA-N 0 3 233.359 2.800 20 0 BFADHN CCC[C@H](C)[C@@H](CO)N[C@H](C)c1ccco1 ZINC000570100149 323049708 /nfs/dbraw/zinc/04/97/08/323049708.db2.gz JMVBNTFMKKLGJD-QJPTWQEYSA-N 0 3 225.332 2.727 20 0 BFADHN CCN(CC(C)C)[C@@H](C)C(=O)NC(C)(C)CC ZINC000153767061 323053382 /nfs/dbraw/zinc/05/33/82/323053382.db2.gz GSEZUXZYOISTNH-LBPRGKRZSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1ccc(CN2CCC(C)(C)C2)nc1 ZINC000154139798 323055677 /nfs/dbraw/zinc/05/56/77/323055677.db2.gz IJLASKRVPBPEHO-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN COC1(CN2CCC[C@@H](F)C2)CCCCC1 ZINC000570403077 323058634 /nfs/dbraw/zinc/05/86/34/323058634.db2.gz HVTZZBCFGQINND-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN C[C@@H](N[C@H](C)C1CC1)c1cn2ccccc2n1 ZINC000570580644 323063812 /nfs/dbraw/zinc/06/38/12/323063812.db2.gz ABFOKLJGSZQQFS-GHMZBOCLSA-N 0 3 229.327 2.783 20 0 BFADHN CC(C)n1ccc(CN[C@H]2CCCC23CC3)n1 ZINC000570739401 323069115 /nfs/dbraw/zinc/06/91/15/323069115.db2.gz AQYZEIMYHGNVGH-ZDUSSCGKSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H](O)[C@@H](CC)NCc1ccc(F)cc1F ZINC000313092725 323081206 /nfs/dbraw/zinc/08/12/06/323081206.db2.gz BNPXGTGJWZUBAD-CHWSQXEVSA-N 0 3 243.297 2.604 20 0 BFADHN COc1cccc(CN(C)C(C)(C)C)c1OC ZINC000516651630 323081252 /nfs/dbraw/zinc/08/12/52/323081252.db2.gz BGYLCRBYTXZGEF-UHFFFAOYSA-N 0 3 237.343 2.934 20 0 BFADHN CC(C)(NCC[C@@H]1CCCO1)c1nccs1 ZINC000189674662 323084079 /nfs/dbraw/zinc/08/40/79/323084079.db2.gz ZFPCSBCIQFPQQS-JTQLQIEISA-N 0 3 240.372 2.537 20 0 BFADHN c1ccc([C@H]2CCCN2C[C@@H]2CCCCO2)nc1 ZINC000531201429 323085227 /nfs/dbraw/zinc/08/52/27/323085227.db2.gz OQHAXYJFRDIKCO-DZGCQCFKSA-N 0 3 246.354 2.788 20 0 BFADHN COCCCCN1CCC[C@@H]1c1ccccn1 ZINC000531440425 323089781 /nfs/dbraw/zinc/08/97/81/323089781.db2.gz QPDIYOHGUDZNND-CQSZACIVSA-N 0 3 234.343 2.645 20 0 BFADHN CCC1(NCc2ncc(C)s2)CCOCC1 ZINC000394733406 323096332 /nfs/dbraw/zinc/09/63/32/323096332.db2.gz PSJONMNUHDIFTK-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN COC[C@H](CC(C)C)N[C@@H]1CCCC[C@H]1F ZINC000393473711 323097997 /nfs/dbraw/zinc/09/79/97/323097997.db2.gz OCQHHJVQEMURIS-YNEHKIRRSA-N 0 3 231.355 2.918 20 0 BFADHN c1cc(CN2C3CCC2CC3)c2c(c1)OCCO2 ZINC000534331666 323117183 /nfs/dbraw/zinc/11/71/83/323117183.db2.gz HRKJDXUHXYWUQI-UHFFFAOYSA-N 0 3 245.322 2.585 20 0 BFADHN CCCN(CC)Cc1c(C)nsc1C ZINC000563355534 323120459 /nfs/dbraw/zinc/12/04/59/323120459.db2.gz MXCXCZOINBTKSF-UHFFFAOYSA-N 0 3 212.362 2.992 20 0 BFADHN C/C=C/C[C@H](CO)NCc1cc(C)sc1C ZINC000309940445 323122345 /nfs/dbraw/zinc/12/23/45/323122345.db2.gz BLYMMTPEZOSBTH-MUBLQREKSA-N 0 3 239.384 2.782 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1noc2ccccc21 ZINC000563428818 323125023 /nfs/dbraw/zinc/12/50/23/323125023.db2.gz IZJHGSCDNSBZTE-BXKDBHETSA-N 0 3 248.351 2.669 20 0 BFADHN Cc1nc(CNCCc2cccc(C)c2)[nH]c1C ZINC000563441062 323125939 /nfs/dbraw/zinc/12/59/39/323125939.db2.gz VHNYRFVYJLUOAB-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN COCCN[C@@H]1c2cccc(F)c2CC[C@@H]1C ZINC000152098628 323126274 /nfs/dbraw/zinc/12/62/74/323126274.db2.gz VFIXTCPQDICEPH-HZMBPMFUSA-N 0 3 237.318 2.685 20 0 BFADHN OCC[C@H](N[C@H]1CCCC12CC2)c1ccco1 ZINC000563444851 323126930 /nfs/dbraw/zinc/12/69/30/323126930.db2.gz ROALWDRPPDJVGR-AAEUAGOBSA-N 0 3 235.327 2.625 20 0 BFADHN Cc1nsc(C)c1CN(C)C1CCC1 ZINC000563629480 323132599 /nfs/dbraw/zinc/13/25/99/323132599.db2.gz NOBSHDXYIOSKME-UHFFFAOYSA-N 0 3 210.346 2.744 20 0 BFADHN COc1ncccc1CCN[C@H](C)c1ccoc1 ZINC000571129411 323136162 /nfs/dbraw/zinc/13/61/62/323136162.db2.gz OQILGKHSWSELHW-LLVKDONJSA-N 0 3 246.310 2.577 20 0 BFADHN Cc1nnsc1CN1C[C@@H](C)CCC[C@@H]1C ZINC000571527538 323147002 /nfs/dbraw/zinc/14/70/02/323147002.db2.gz YNSXNYKIMJUTOV-UWVGGRQHSA-N 0 3 239.388 2.857 20 0 BFADHN Cc1occc1CN1CCC(OC(C)C)CC1 ZINC000571531250 323147231 /nfs/dbraw/zinc/14/72/31/323147231.db2.gz GLRRGTRDDDEHJW-UHFFFAOYSA-N 0 3 237.343 2.977 20 0 BFADHN Cc1cccc([C@H](C)CNCc2cnccn2)c1 ZINC000571524445 323147435 /nfs/dbraw/zinc/14/74/35/323147435.db2.gz FZWHVGWCUBCOEJ-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@H]1CCCCN1Cc1ccc2c(c1)OCCO2 ZINC000129751810 323152768 /nfs/dbraw/zinc/15/27/68/323152768.db2.gz IWYXPYRJECIXIL-LBPRGKRZSA-N 0 3 247.338 2.832 20 0 BFADHN FCCCN1CCC[C@H]1c1ccccn1 ZINC000531969530 323159992 /nfs/dbraw/zinc/15/99/92/323159992.db2.gz ZXGYGHBQJCPNKQ-LBPRGKRZSA-N 0 3 208.280 2.578 20 0 BFADHN COc1cc2c(cc1CN(C)C(C)C)O[C@@H](C)C2 ZINC000535063049 323164988 /nfs/dbraw/zinc/16/49/88/323164988.db2.gz MNPQCXDRKINERD-NSHDSACASA-N 0 3 249.354 2.859 20 0 BFADHN CC1(C)CCC[C@H](CN2CCOCC2)C1 ZINC000535064728 323165036 /nfs/dbraw/zinc/16/50/36/323165036.db2.gz ABEJUZGVVUBVMK-LBPRGKRZSA-N 0 3 211.349 2.535 20 0 BFADHN C[C@@H]1CCN(Cc2cnn(C)c2)[C@@H]2CCCC[C@@H]12 ZINC000531988676 323165097 /nfs/dbraw/zinc/16/50/97/323165097.db2.gz CBANYYLCHHMWKM-VHDGCEQUSA-N 0 3 247.386 2.821 20 0 BFADHN COc1cc2c(cc1CN1CCCC1)O[C@@H](C)C2 ZINC000535064820 323165099 /nfs/dbraw/zinc/16/50/99/323165099.db2.gz KNWAWBMBVMQNRE-NSHDSACASA-N 0 3 247.338 2.614 20 0 BFADHN C[C@@H](Cc1ccncc1)N[C@@H](C)c1ccoc1 ZINC000531993223 323165976 /nfs/dbraw/zinc/16/59/76/323165976.db2.gz IAKFUPTZAARKJE-RYUDHWBXSA-N 0 3 230.311 2.956 20 0 BFADHN C[C@H](Cc1ccncc1)N[C@H](C)c1ccoc1 ZINC000531993225 323166125 /nfs/dbraw/zinc/16/61/25/323166125.db2.gz IAKFUPTZAARKJE-VXGBXAGGSA-N 0 3 230.311 2.956 20 0 BFADHN FC1(CN[C@H]2CCCC(F)(F)C2)CC1 ZINC000306320869 323172823 /nfs/dbraw/zinc/17/28/23/323172823.db2.gz WNGJFPPXEPJFQK-QMMMGPOBSA-N 0 3 207.239 2.656 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1ccncc1Cl ZINC000306774883 323173202 /nfs/dbraw/zinc/17/32/02/323173202.db2.gz WCCLMZFQZWYCJJ-KWQFWETISA-N 0 3 210.708 2.623 20 0 BFADHN Cc1nnsc1CN[C@@]1(C)CCCC[C@H]1C ZINC000308704691 323177175 /nfs/dbraw/zinc/17/71/75/323177175.db2.gz FULFXAGNWCXSPG-SKDRFNHKSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1ccc([C@H](C)CNCc2cnccn2)cc1 ZINC000563869912 323182060 /nfs/dbraw/zinc/18/20/60/323182060.db2.gz UCNWENMEEOGUGY-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@H](CNCC1(F)CC1)c1ccccc1 ZINC000308995784 323183991 /nfs/dbraw/zinc/18/39/91/323183991.db2.gz MMGHPIZNSCQOAD-LLVKDONJSA-N 0 3 207.292 2.882 20 0 BFADHN COC1CC(N[C@@H](c2ccccn2)C2CCC2)C1 ZINC000529643938 323185859 /nfs/dbraw/zinc/18/58/59/323185859.db2.gz LJHYZKLTEYMUPV-SSDMNJCBSA-N 0 3 246.354 2.690 20 0 BFADHN CCN(CC)Cc1cc2c(cc1OC)C[C@H](C)O2 ZINC000535778360 323190945 /nfs/dbraw/zinc/19/09/45/323190945.db2.gz MHSUYHFRZDIQCR-NSHDSACASA-N 0 3 249.354 2.860 20 0 BFADHN Cc1ccc(CN(C[C@@H]2CCOC2)C2CC2)o1 ZINC000051967650 323191825 /nfs/dbraw/zinc/19/18/25/323191825.db2.gz SPRRXKMMRBADAH-LBPRGKRZSA-N 0 3 235.327 2.589 20 0 BFADHN CC[C@@H](NCc1cc[nH]n1)C1CCCCC1 ZINC000052335840 323192751 /nfs/dbraw/zinc/19/27/51/323192751.db2.gz BDEMWLWEEJOPAX-CYBMUJFWSA-N 0 3 221.348 2.858 20 0 BFADHN CCC[C@H]1CN(CCC(C)(C)C)CCO1 ZINC000536179343 323196441 /nfs/dbraw/zinc/19/64/41/323196441.db2.gz IAHVEYPEMCIWHC-LBPRGKRZSA-N 0 3 213.365 2.924 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2cccnc2)o1 ZINC000536180526 323196467 /nfs/dbraw/zinc/19/64/67/323196467.db2.gz YQGOQNJBINOPNP-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN C[C@@H]1CCC[C@H](CCNCc2cnccn2)C1 ZINC000070538101 323197202 /nfs/dbraw/zinc/19/72/02/323197202.db2.gz IDGXIHHGVXHHPZ-CHWSQXEVSA-N 0 3 233.359 2.783 20 0 BFADHN CCc1ncc(CN2C3CCC2CC3)s1 ZINC000536627913 323198849 /nfs/dbraw/zinc/19/88/49/323198849.db2.gz ITQKGDWMIOBYLO-UHFFFAOYSA-N 0 3 222.357 2.832 20 0 BFADHN CC(C)(C)n1cc(CN2C3CCC2CC3)cn1 ZINC000536633329 323199012 /nfs/dbraw/zinc/19/90/12/323199012.db2.gz SWUMJSLVHIESSM-UHFFFAOYSA-N 0 3 233.359 2.765 20 0 BFADHN CN[C@@H](CC(C)(C)C)C(=O)N(C)c1ccccc1 ZINC000395731658 323239842 /nfs/dbraw/zinc/23/98/42/323239842.db2.gz ZHDPVCFJXQKURP-ZDUSSCGKSA-N 0 3 248.370 2.674 20 0 BFADHN C[C@H](NCCC(C)(C)C)c1ccncn1 ZINC000395925363 323267678 /nfs/dbraw/zinc/26/76/78/323267678.db2.gz VRHZRQPXZSJPHA-JTQLQIEISA-N 0 3 207.321 2.563 20 0 BFADHN C[C@@H](CN[C@H](C)c1ccncn1)c1ccccc1 ZINC000395911527 323262903 /nfs/dbraw/zinc/26/29/03/323262903.db2.gz PCOJRJTZFBYLNV-QWHCGFSZSA-N 0 3 241.338 2.931 20 0 BFADHN CCC(C)(C)CN[C@H](C)c1ccncn1 ZINC000395913127 323265911 /nfs/dbraw/zinc/26/59/11/323265911.db2.gz PZQNRJTXAXLKEU-SNVBAGLBSA-N 0 3 207.321 2.563 20 0 BFADHN CC(C)CC[C@@H](C)N[C@@H](C)c1ccncn1 ZINC000395923355 323267639 /nfs/dbraw/zinc/26/76/39/323267639.db2.gz UTMNAVVXVLMMKG-NEPJUHHUSA-N 0 3 221.348 2.952 20 0 BFADHN C[C@@H](N(C)Cc1ccccn1)C(C)(C)C ZINC000532489135 323268524 /nfs/dbraw/zinc/26/85/24/323268524.db2.gz NNIBVNGDTJWGKJ-LLVKDONJSA-N 0 3 206.333 2.948 20 0 BFADHN Cc1ccncc1CN1CCC(OC(C)C)CC1 ZINC000532632707 323284763 /nfs/dbraw/zinc/28/47/63/323284763.db2.gz GWGZKQLOKCOHPX-UHFFFAOYSA-N 0 3 248.370 2.779 20 0 BFADHN CS[C@H]1CCCCN(CC2(F)CC2)C1 ZINC000526972188 323308385 /nfs/dbraw/zinc/30/83/85/323308385.db2.gz BBHDZDAUWYUSIA-JTQLQIEISA-N 0 3 217.353 2.706 20 0 BFADHN Fc1ccccc1CNC[C@H]1CC12CC2 ZINC000418099195 323349836 /nfs/dbraw/zinc/34/98/36/323349836.db2.gz PRTSFHSTWLHYHV-LLVKDONJSA-N 0 3 205.276 2.715 20 0 BFADHN CC[C@@H](CCO)NCc1sccc1Cl ZINC000193121809 323349993 /nfs/dbraw/zinc/34/99/93/323349993.db2.gz IDYRIAVHSWQVCQ-QMMMGPOBSA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@@H](N[C@@H](C)c1n[nH]c(C)n1)c1ccccc1 ZINC000392387624 323379092 /nfs/dbraw/zinc/37/90/92/323379092.db2.gz RHEUBFZUSNSJBS-GXFFZTMASA-N 0 3 244.342 2.915 20 0 BFADHN CC(C)n1ccc(CN[C@H]2CCC2(C)C)n1 ZINC000309401010 323380773 /nfs/dbraw/zinc/38/07/73/323380773.db2.gz HGHUMTDGJHRYDF-LBPRGKRZSA-N 0 3 221.348 2.742 20 0 BFADHN COCC1(N[C@H](C)c2ccc(F)cc2)CC1 ZINC000309404788 323381268 /nfs/dbraw/zinc/38/12/68/323381268.db2.gz ASJFSUFRINMQSW-SNVBAGLBSA-N 0 3 223.291 2.655 20 0 BFADHN COc1c(C)cnc(CN2C3CCC2CC3)c1C ZINC000532916401 323390531 /nfs/dbraw/zinc/39/05/31/323390531.db2.gz ONWHTQKJHIVREN-UHFFFAOYSA-N 0 3 246.354 2.834 20 0 BFADHN CO[C@@H]1CCC[C@@H]1CN[C@H](C)c1cscn1 ZINC000309558812 323425383 /nfs/dbraw/zinc/42/53/83/323425383.db2.gz KKVWCRXOLJBRFF-CKYFFXLPSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@H](NCCCC1CC1)c1ccccn1 ZINC000161708245 323560639 /nfs/dbraw/zinc/56/06/39/323560639.db2.gz XITJBQFUCIFANM-NSHDSACASA-N 0 3 204.317 2.922 20 0 BFADHN CC1(C)OC[C@@H]2C[C@@]21NC/C=C\c1ccccc1 ZINC000527765606 323684399 /nfs/dbraw/zinc/68/43/99/323684399.db2.gz JNQRMCQFBKIHPQ-ZJIBPLLGSA-N 0 3 243.350 2.857 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NC/C=C\c1ccccc1 ZINC000527783619 323690928 /nfs/dbraw/zinc/69/09/28/323690928.db2.gz NEGQPILSOITEFI-FZXWVIKQSA-N 0 3 231.339 2.857 20 0 BFADHN CCc1ncc(CN[C@H](C)[C@@H]2CC23CC3)o1 ZINC000527907400 323717129 /nfs/dbraw/zinc/71/71/29/323717129.db2.gz XNCGXAUGVHPRQS-KOLCDFICSA-N 0 3 220.316 2.515 20 0 BFADHN C[C@@H](NCC1CC=CC1)c1cn2ccccc2n1 ZINC000527953043 323727099 /nfs/dbraw/zinc/72/70/99/323727099.db2.gz WIAKSCRXBCPWIQ-GFCCVEGCSA-N 0 3 241.338 2.951 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2C[C@]2(C)OC(C)C)c1 ZINC000528006769 323746970 /nfs/dbraw/zinc/74/69/70/323746970.db2.gz OPKWKSHERXJNAD-CFVMTHIKSA-N 0 3 248.370 2.997 20 0 BFADHN c1ccc(N2CCN(C3CCCC3)CC2)cc1 ZINC000120077167 323978308 /nfs/dbraw/zinc/97/83/08/323978308.db2.gz RGAFELHHIMSKFQ-UHFFFAOYSA-N 0 3 230.355 2.751 20 0 BFADHN CC(C)[C@@H]1CCCC[C@H]1NCc1ccon1 ZINC000122095006 324027250 /nfs/dbraw/zinc/02/72/50/324027250.db2.gz AODNGICAMNETGT-QWHCGFSZSA-N 0 3 222.332 2.979 20 0 BFADHN CCC[C@@H](CCO)CN[C@@H](C)c1ccoc1 ZINC000122059162 324028833 /nfs/dbraw/zinc/02/88/33/324028833.db2.gz OULXCTQULGNCQX-RYUDHWBXSA-N 0 3 225.332 2.729 20 0 BFADHN CC[C@H](NC1(COC)CC1)c1ccc(OC)cc1 ZINC000537447788 324072663 /nfs/dbraw/zinc/07/26/63/324072663.db2.gz NQCKKKYOVNIKDT-AWEZNQCLSA-N 0 3 249.354 2.915 20 0 BFADHN CC1(C)CCN1Cc1ccc2c[nH]nc2c1 ZINC000537634974 324091237 /nfs/dbraw/zinc/09/12/37/324091237.db2.gz LDIXFPVGHKTIGF-UHFFFAOYSA-N 0 3 215.300 2.547 20 0 BFADHN Cc1cccn2c(CN3C[C@@H](C)C[C@@H]3C)cnc12 ZINC000537618208 324092403 /nfs/dbraw/zinc/09/24/03/324092403.db2.gz PYYJJDGXCWLPQF-AAEUAGOBSA-N 0 3 243.354 2.873 20 0 BFADHN CC(C)[C@H]1CCN(Cc2cccc3c2OCO3)C1 ZINC000537709042 324098824 /nfs/dbraw/zinc/09/88/24/324098824.db2.gz CMPIYZULIQACLM-LBPRGKRZSA-N 0 3 247.338 2.893 20 0 BFADHN Cc1nc(CCN[C@@H](C)c2ccccn2)c(C)o1 ZINC000537882249 324107345 /nfs/dbraw/zinc/10/73/45/324107345.db2.gz GTFSCOJCLWMBFQ-JTQLQIEISA-N 0 3 245.326 2.580 20 0 BFADHN Cc1nc(CCN[C@H](C)c2ccccn2)c(C)o1 ZINC000537882294 324107364 /nfs/dbraw/zinc/10/73/64/324107364.db2.gz GTFSCOJCLWMBFQ-SNVBAGLBSA-N 0 3 245.326 2.580 20 0 BFADHN OCCCN1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000459575744 324148685 /nfs/dbraw/zinc/14/86/85/324148685.db2.gz KNXPSRDHPHEQEJ-HNNXBMFYSA-N 0 3 245.366 2.986 20 0 BFADHN Cc1ccc(CCN2CCO[C@H](C(C)C)C2)cc1 ZINC000481531406 324152827 /nfs/dbraw/zinc/15/28/27/324152827.db2.gz VBUTVNFKPANIGB-INIZCTEOSA-N 0 3 247.382 2.894 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCC[C@@H](C)C2)nn1C ZINC000487404111 324153860 /nfs/dbraw/zinc/15/38/60/324153860.db2.gz HIRCMIVWMYHAPI-RISCZKNCSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cccc2ncc(CN(C)[C@@H](C)C3CC3)n21 ZINC000507440893 324170918 /nfs/dbraw/zinc/17/09/18/324170918.db2.gz KIGAGNRTMHLNCZ-LBPRGKRZSA-N 0 3 243.354 2.873 20 0 BFADHN CCn1c2ccccc2nc1CNCC1(C)CC1 ZINC000224182446 324214776 /nfs/dbraw/zinc/21/47/76/324214776.db2.gz URAJZIWOFIDYQR-UHFFFAOYSA-N 0 3 243.354 2.946 20 0 BFADHN COC[C@H](C)N(C)Cc1ccc2cc[nH]c2c1 ZINC000529525551 324324722 /nfs/dbraw/zinc/32/47/22/324324722.db2.gz WLMFNNALMMKSOQ-NSHDSACASA-N 0 3 232.327 2.635 20 0 BFADHN Cc1csc(CN[C@H](C)C2CC(F)(F)C2)n1 ZINC000529530332 324326490 /nfs/dbraw/zinc/32/64/90/324326490.db2.gz DITGSLCPPVJYIC-MRVPVSSYSA-N 0 3 246.326 2.975 20 0 BFADHN Cc1cc(CN[C@H](C)C2CC(F)(F)C2)no1 ZINC000529531983 324327282 /nfs/dbraw/zinc/32/72/82/324327282.db2.gz NYNVLMLDVLKVBZ-MRVPVSSYSA-N 0 3 230.258 2.506 20 0 BFADHN CN(Cc1ccc2cc[nH]c2c1)[C@H]1CCCOC1 ZINC000529564599 324344273 /nfs/dbraw/zinc/34/42/73/324344273.db2.gz GFYUSQHCUPNYTP-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1ncc(CN[C@H](C)C2CC(F)(F)C2)o1 ZINC000529596862 324358316 /nfs/dbraw/zinc/35/83/16/324358316.db2.gz DPVXDCGFGBCSFP-SSDOTTSWSA-N 0 3 230.258 2.506 20 0 BFADHN CC[C@@H](C)CN1CC(Cc2ccco2)C1 ZINC000529650343 324371534 /nfs/dbraw/zinc/37/15/34/324371534.db2.gz ZROOZBNWSSWJFP-LLVKDONJSA-N 0 3 207.317 2.800 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1cccnc1 ZINC000037229070 324460149 /nfs/dbraw/zinc/46/01/49/324460149.db2.gz RFQJHDQHVYPGPP-XBFCOCLRSA-N 0 3 234.343 2.690 20 0 BFADHN CCOc1ccc([C@@H](C)NC2(COC)CC2)cc1 ZINC000538550112 324714993 /nfs/dbraw/zinc/71/49/93/324714993.db2.gz SCJDTQJUBMSFQC-GFCCVEGCSA-N 0 3 249.354 2.915 20 0 BFADHN C[C@H](NCCCF)c1cc(F)cc(F)c1 ZINC000127016440 324738445 /nfs/dbraw/zinc/73/84/45/324738445.db2.gz UQZSSLDKOJMZEJ-QMMMGPOBSA-N 0 3 217.234 2.975 20 0 BFADHN C1=C[C@@H](N2CCN(C3CCCC3)CC2)CCC1 ZINC000066492546 324756554 /nfs/dbraw/zinc/75/65/54/324756554.db2.gz CIGLIUNSYJUGDQ-CQSZACIVSA-N 0 3 234.387 2.655 20 0 BFADHN CCCCCNC(=O)c1cccc(CN(C)C)c1 ZINC000128525821 324849439 /nfs/dbraw/zinc/84/94/39/324849439.db2.gz YRFWHDXRHARBJK-UHFFFAOYSA-N 0 3 248.370 2.668 20 0 BFADHN CCOc1ccccc1[C@@H](C)NC1(COC)CC1 ZINC000540749613 324915936 /nfs/dbraw/zinc/91/59/36/324915936.db2.gz JDNQATJBWVDQSL-GFCCVEGCSA-N 0 3 249.354 2.915 20 0 BFADHN COc1c(O)cccc1CN1C2CCC1CC2 ZINC000540914012 324929694 /nfs/dbraw/zinc/92/96/94/324929694.db2.gz JYEBFTYYWZCTPT-UHFFFAOYSA-N 0 3 233.311 2.528 20 0 BFADHN C[C@H](NCCOC[C@@H]1CCCO1)c1ccccc1 ZINC000129511745 324956212 /nfs/dbraw/zinc/95/62/12/324956212.db2.gz BEJKLUOFMKHBSR-ZFWWWQNUSA-N 0 3 249.354 2.533 20 0 BFADHN C[C@@H](Cc1ccsc1)N1CCSCC1 ZINC000542130851 325009919 /nfs/dbraw/zinc/00/99/19/325009919.db2.gz VMMBJMURDBSAPL-JTQLQIEISA-N 0 3 227.398 2.728 20 0 BFADHN CC(C)CN1CCO[C@H](CCc2ccccc2)C1 ZINC000542629888 325037607 /nfs/dbraw/zinc/03/76/07/325037607.db2.gz UXZZSFNKSDFGSM-MRXNPFEDSA-N 0 3 247.382 2.976 20 0 BFADHN Cc1cc(Cl)ccc1CN[C@@H]1CCOC1 ZINC000130333174 325042474 /nfs/dbraw/zinc/04/24/74/325042474.db2.gz CPMXUFTYGGDICH-GFCCVEGCSA-N 0 3 225.719 2.527 20 0 BFADHN CCCCCN[C@H](CO)c1ccccc1OC ZINC000542910829 325062192 /nfs/dbraw/zinc/06/21/92/325062192.db2.gz MRIALFKUUYOMOS-CYBMUJFWSA-N 0 3 237.343 2.508 20 0 BFADHN Cc1ccc(CCN(C)CCOCC2CC2)cc1 ZINC000130752981 325084052 /nfs/dbraw/zinc/08/40/52/325084052.db2.gz MQIRWOPTDJSXST-UHFFFAOYSA-N 0 3 247.382 2.896 20 0 BFADHN C[C@H](NC1(C2CC2)CC1)C(=O)Nc1ccccc1 ZINC000543452832 325089635 /nfs/dbraw/zinc/08/96/35/325089635.db2.gz WKYKOIWYVKOJKH-NSHDSACASA-N 0 3 244.338 2.546 20 0 BFADHN CCc1ccc([C@H](CO)N[C@H]2C=CCCC2)cc1 ZINC000543809655 325115498 /nfs/dbraw/zinc/11/54/98/325115498.db2.gz JBHDOTUGDDIQSC-HOTGVXAUSA-N 0 3 245.366 2.981 20 0 BFADHN Cc1ccccc1[C@@H](CO)N[C@@H]1C=CCCC1 ZINC000543839414 325116649 /nfs/dbraw/zinc/11/66/49/325116649.db2.gz KHRYVEADUVPWQE-UKRRQHHQSA-N 0 3 231.339 2.727 20 0 BFADHN CC[C@@H](CCO)NCc1cccc(Cl)c1F ZINC000131139337 325120808 /nfs/dbraw/zinc/12/08/08/325120808.db2.gz FQWZIVRPSHDXDW-JTQLQIEISA-N 0 3 245.725 2.730 20 0 BFADHN C[C@H]1CN(Cc2cccc3c[nH]nc32)CCS1 ZINC000131350260 325140790 /nfs/dbraw/zinc/14/07/90/325140790.db2.gz WIAIFUZIDUCNNY-JTQLQIEISA-N 0 3 247.367 2.500 20 0 BFADHN CC[C@H](NCCCC1CC1)c1nccn1C ZINC000132261304 325208109 /nfs/dbraw/zinc/20/81/09/325208109.db2.gz TZYUGPNAANNOHM-LBPRGKRZSA-N 0 3 221.348 2.651 20 0 BFADHN CCCCN(CC)[C@@H](C)C(=O)NC(CC)CC ZINC000132649252 325232154 /nfs/dbraw/zinc/23/21/54/325232154.db2.gz JTPDEJAPNDMWOJ-LBPRGKRZSA-N 0 3 242.407 2.802 20 0 BFADHN COC1([C@@H](C)N[C@@H](C)c2ccoc2)CCC1 ZINC000564304721 325291929 /nfs/dbraw/zinc/29/19/29/325291929.db2.gz AANHMUYUIPCQTB-WDEREUQCSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@@H](NCCCF)c1ccnn1-c1ccccc1 ZINC000546689575 325301144 /nfs/dbraw/zinc/30/11/44/325301144.db2.gz OFUDCIMGPAONIK-GFCCVEGCSA-N 0 3 247.317 2.883 20 0 BFADHN Cc1ccc(CNC(C)(C)c2cnn(C)c2)s1 ZINC000546703216 325303055 /nfs/dbraw/zinc/30/30/55/325303055.db2.gz JTTPSJSDBUKSFL-UHFFFAOYSA-N 0 3 249.383 2.815 20 0 BFADHN CCCCN(C)CCNCc1ccc(CC)o1 ZINC000133666186 325307486 /nfs/dbraw/zinc/30/74/86/325307486.db2.gz UFZCOQCYEANDOM-UHFFFAOYSA-N 0 3 238.375 2.664 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)CCC[C@@H]2C)o1 ZINC000075767272 325310707 /nfs/dbraw/zinc/31/07/07/325310707.db2.gz NQBMZFBCJWXFAU-QWRGUYRKSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@H]1CN(CCOC(C)(C)C)CCS1 ZINC000076073111 325329560 /nfs/dbraw/zinc/32/95/60/325329560.db2.gz CEBLCIIJDKNEMV-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN CCc1ccccc1NC(=O)[C@H](C)N(CC)CC ZINC000076653114 325351900 /nfs/dbraw/zinc/35/19/00/325351900.db2.gz MSDLWJIIFQQSLG-LBPRGKRZSA-N 0 3 248.370 2.918 20 0 BFADHN CCc1ccccc1NC(=O)[C@@H](C)N(CC)CC ZINC000076653113 325352073 /nfs/dbraw/zinc/35/20/73/325352073.db2.gz MSDLWJIIFQQSLG-GFCCVEGCSA-N 0 3 248.370 2.918 20 0 BFADHN CCCCC(=O)N(CC)C[C@@H]1CCCN1CC ZINC000547475476 325360711 /nfs/dbraw/zinc/36/07/11/325360711.db2.gz PVWTUJYNVFCQJB-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@H](N[C@@H]1C=C[C@H](CO)C1)c1ccccc1 ZINC000134647114 325369766 /nfs/dbraw/zinc/36/97/66/325369766.db2.gz OEGJRDZTLROCFD-CFVMTHIKSA-N 0 3 231.339 2.664 20 0 BFADHN COC1(CNCc2ccccc2Cl)CCC1 ZINC000134662308 325370563 /nfs/dbraw/zinc/37/05/63/325370563.db2.gz UUGGICITUUIYMJ-UHFFFAOYSA-N 0 3 239.746 2.999 20 0 BFADHN COc1ccccc1OCCN1[C@@H](C)C[C@@H]1C ZINC000547768569 325394377 /nfs/dbraw/zinc/39/43/77/325394377.db2.gz ASYIGDIPINCPLR-RYUDHWBXSA-N 0 3 235.327 2.557 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1cn2ccccc2n1 ZINC000548713411 325475085 /nfs/dbraw/zinc/47/50/85/325475085.db2.gz FWOVDAUECRQEJQ-WDEREUQCSA-N 0 3 249.383 2.736 20 0 BFADHN CCc1ccc(CN2CCC3(CCO3)CC2)o1 ZINC000548875498 325490473 /nfs/dbraw/zinc/49/04/73/325490473.db2.gz URGFLSDLGDUWAH-UHFFFAOYSA-N 0 3 235.327 2.597 20 0 BFADHN CC1=CC[C@@H](N[C@@H](CO)c2cccc(F)c2)CC1 ZINC000552117998 325597377 /nfs/dbraw/zinc/59/73/77/325597377.db2.gz UFRJVEGPXPFUPY-CABCVRRESA-N 0 3 249.329 2.948 20 0 BFADHN CC(C)(C)n1cc(CN2C[C@H]3CCC[C@@H]3C2)cn1 ZINC000093443566 325744800 /nfs/dbraw/zinc/74/48/00/325744800.db2.gz VKXNZADGTCDRTG-ZIAGYGMSSA-N 0 3 247.386 2.870 20 0 BFADHN CC[C@@H](NCc1ncccc1F)C1CCC1 ZINC000556149110 325781064 /nfs/dbraw/zinc/78/10/64/325781064.db2.gz GXPSQKVPBPHYOW-GFCCVEGCSA-N 0 3 222.307 2.889 20 0 BFADHN CCC[C@H](NCc1ncccn1)[C@H]1CC1(C)C ZINC000565245886 325886437 /nfs/dbraw/zinc/88/64/37/325886437.db2.gz LUKDIPUAQKFORX-NEPJUHHUSA-N 0 3 233.359 2.781 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)Cc1ccccc1F ZINC000565474523 325914872 /nfs/dbraw/zinc/91/48/72/325914872.db2.gz GAJQKEFBYUIHNK-DDTOSNHZSA-N 0 3 237.318 2.524 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](C)n2ccnc21)C(C)(C)C ZINC000566348410 326035572 /nfs/dbraw/zinc/03/55/72/326035572.db2.gz DXMVYTMJEUUWHA-AXFHLTTASA-N 0 3 221.348 2.913 20 0 BFADHN Cc1nc(CNC[C@@H](C)CC(F)(F)F)[nH]c1C ZINC000566498938 326058985 /nfs/dbraw/zinc/05/89/85/326058985.db2.gz JXMOLMPYASPRGX-ZETCQYMHSA-N 0 3 249.280 2.705 20 0 BFADHN Cc1ncc([C@@H](C)NC2CC(C)(C)C2)c(C)n1 ZINC000566503473 326060000 /nfs/dbraw/zinc/06/00/00/326060000.db2.gz LBQUBLOCIUSTAS-SNVBAGLBSA-N 0 3 233.359 2.933 20 0 BFADHN Cc1nnsc1CN1CCC[C@H]1C1CCC1 ZINC000566682976 326074001 /nfs/dbraw/zinc/07/40/01/326074001.db2.gz YSFAOVFNVSJSGV-NSHDSACASA-N 0 3 237.372 2.611 20 0 BFADHN F[C@@H]1CCCN(CC2Cc3ccccc3C2)C1 ZINC000566802147 326084405 /nfs/dbraw/zinc/08/44/05/326084405.db2.gz XSNDLSAIHIMDFC-OAHLLOKOSA-N 0 3 233.330 2.835 20 0 BFADHN CCCc1ncc(CN[C@@H]2CCC[C@H]3C[C@H]32)o1 ZINC000566895851 326091855 /nfs/dbraw/zinc/09/18/55/326091855.db2.gz BULJZAVPZXQNEZ-CYZMBNFOSA-N 0 3 234.343 2.905 20 0 BFADHN CCN(Cc1ccnn1CC)C1CCCC1 ZINC000153672399 326106153 /nfs/dbraw/zinc/10/61/53/326106153.db2.gz ALSNDNGNWBNOGG-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN FC(F)[C@H]1C[C@@H]1NCc1ccnc2ccccc12 ZINC000567079589 326112594 /nfs/dbraw/zinc/11/25/94/326112594.db2.gz PYLVWJITZYRDHK-AAEUAGOBSA-N 0 3 248.276 2.978 20 0 BFADHN Cc1nc(CCN2CC[C@H](C)[C@H](C)C2)cs1 ZINC000154409412 326143049 /nfs/dbraw/zinc/14/30/49/326143049.db2.gz NDLJTXCKPOWHSE-WDEREUQCSA-N 0 3 238.400 2.972 20 0 BFADHN CCc1nn(C)c(CC)c1CN(C)[C@@H](C)CC ZINC000154580108 326151874 /nfs/dbraw/zinc/15/18/74/326151874.db2.gz QFIIUBYWTCCKSP-NSHDSACASA-N 0 3 237.391 2.775 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)C1CCCCC1 ZINC000154665274 326156469 /nfs/dbraw/zinc/15/64/69/326156469.db2.gz YJCTZUOROCSHEK-UHFFFAOYSA-N 0 3 235.375 2.801 20 0 BFADHN Fc1ccc(F)c(CCNCC2(F)CC2)c1 ZINC000309557819 326159304 /nfs/dbraw/zinc/15/93/04/326159304.db2.gz IHPPCJMQOQQISQ-UHFFFAOYSA-N 0 3 229.245 2.599 20 0 BFADHN Cc1cc(CNCc2ccncc2C)cc(C)n1 ZINC000567374501 326177248 /nfs/dbraw/zinc/17/72/48/326177248.db2.gz IWETZSJEEGMLIF-UHFFFAOYSA-N 0 3 241.338 2.692 20 0 BFADHN CC[C@H]1CCC[C@@H](CNCc2ccno2)C1 ZINC000310022717 326180380 /nfs/dbraw/zinc/18/03/80/326180380.db2.gz OCTGYZZNVDLMMH-NWDGAFQWSA-N 0 3 222.332 2.981 20 0 BFADHN C[C@H]1CN([C@@H]2C=CCCC2)CC2(CCC2)O1 ZINC000567499293 326204724 /nfs/dbraw/zinc/20/47/24/326204724.db2.gz QRHNEJACNRKPLG-QWHCGFSZSA-N 0 3 221.344 2.739 20 0 BFADHN C[C@@H]1c2cccn2CCN1CC1(C)CC1 ZINC000567602549 326227088 /nfs/dbraw/zinc/22/70/88/326227088.db2.gz AVFNPNOHJBTNSN-LLVKDONJSA-N 0 3 204.317 2.665 20 0 BFADHN OC[C@H]1CCCN(Cc2coc3ccccc23)C1 ZINC000156404014 326231650 /nfs/dbraw/zinc/23/16/50/326231650.db2.gz HYPHWDCOOBOUIB-LBPRGKRZSA-N 0 3 245.322 2.637 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cnc3ccccn23)C1 ZINC000157330534 326268379 /nfs/dbraw/zinc/26/83/79/326268379.db2.gz LQNHMMITHSSMBK-STQMWFEESA-N 0 3 243.354 2.812 20 0 BFADHN c1cc2c(c(CN3CCCCCC3)c1)OCO2 ZINC000157372333 326271473 /nfs/dbraw/zinc/27/14/73/326271473.db2.gz UBWTVHHWUIBTOC-UHFFFAOYSA-N 0 3 233.311 2.791 20 0 BFADHN C[C@H]1[C@H](c2ccccc2)C[C@@H]1NCc1ccno1 ZINC000567888528 326276608 /nfs/dbraw/zinc/27/66/08/326276608.db2.gz IPOPODGTNLRQEP-GLQYFDAESA-N 0 3 242.322 2.956 20 0 BFADHN CC(C)(C)OCCNCc1ccsc1 ZINC000157548704 326282150 /nfs/dbraw/zinc/28/21/50/326282150.db2.gz QLOFINPQTUFTSW-UHFFFAOYSA-N 0 3 213.346 2.653 20 0 BFADHN C[C@H]1CCCN(Cc2cccc3c2OCO3)C1 ZINC000157681529 326290540 /nfs/dbraw/zinc/29/05/40/326290540.db2.gz WLOPYSWLUNPDNP-NSHDSACASA-N 0 3 233.311 2.647 20 0 BFADHN CC[C@@H]1CCCN(Cc2snnc2C)CC1 ZINC000567990295 326292679 /nfs/dbraw/zinc/29/26/79/326292679.db2.gz QRDZEXAWQDPYMC-LLVKDONJSA-N 0 3 239.388 2.859 20 0 BFADHN C[C@@H]1CCCC[C@H]1OCCSCCN(C)C ZINC000157823417 326297220 /nfs/dbraw/zinc/29/72/20/326297220.db2.gz BDCDZKFHNLSZIL-CHWSQXEVSA-N 0 3 245.432 2.877 20 0 BFADHN CCCCN(C)Cc1cnn(CCC)c1 ZINC000158159365 326310333 /nfs/dbraw/zinc/31/03/33/326310333.db2.gz VRMIIXJLSGEJGO-UHFFFAOYSA-N 0 3 209.337 2.525 20 0 BFADHN Cc1ccc([C@@H](C)NCCCc2cnn(C)c2)o1 ZINC000158368996 326316076 /nfs/dbraw/zinc/31/60/76/326316076.db2.gz STVAXTUXPRYZSQ-GFCCVEGCSA-N 0 3 247.342 2.605 20 0 BFADHN CCC[C@H](NCCN(CC)CC)c1ccccn1 ZINC000158458585 326323163 /nfs/dbraw/zinc/32/31/63/326323163.db2.gz LPBHQJBNHFGAQQ-AWEZNQCLSA-N 0 3 249.402 2.854 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@@H]1C[C@H]1c1ccco1 ZINC000568238102 326332439 /nfs/dbraw/zinc/33/24/39/326332439.db2.gz MZWNZQFFEXIJGP-DMDPSCGWSA-N 0 3 243.310 2.585 20 0 BFADHN CC1(c2ccccc2)CC(NCc2ccno2)C1 ZINC000568269973 326338087 /nfs/dbraw/zinc/33/80/87/326338087.db2.gz HRWXZVGDPKULEQ-UHFFFAOYSA-N 0 3 242.322 2.885 20 0 BFADHN COc1cc(F)cc(CN[C@@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000568274820 326339572 /nfs/dbraw/zinc/33/95/72/326339572.db2.gz HYRVWGRJJVOXIK-BTTYYORXSA-N 0 3 235.302 2.722 20 0 BFADHN CCc1ncc(CN[C@H]2CCCC23CC3)o1 ZINC000568277629 326340167 /nfs/dbraw/zinc/34/01/67/326340167.db2.gz ZRIKWEDBUSXNBX-NSHDSACASA-N 0 3 220.316 2.659 20 0 BFADHN CCCn1cc(CN2CCCC[C@H]2C)cn1 ZINC000158935603 326346116 /nfs/dbraw/zinc/34/61/16/326346116.db2.gz FTMZMLQORVZMES-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN CCOc1cccc(CN2CCCC[C@H]2C)n1 ZINC000158936524 326346177 /nfs/dbraw/zinc/34/61/77/326346177.db2.gz IADNQNOWLDZWOE-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN C[C@H]1CCCCN1Cc1cccc2c1OCO2 ZINC000158928513 326346228 /nfs/dbraw/zinc/34/62/28/326346228.db2.gz WFCYKMPZXDQQCQ-NSHDSACASA-N 0 3 233.311 2.790 20 0 BFADHN Cc1ncccc1CN1CCCC[C@@H]1C ZINC000158947509 326346639 /nfs/dbraw/zinc/34/66/39/326346639.db2.gz LJECZRQJKRDQKG-NSHDSACASA-N 0 3 204.317 2.764 20 0 BFADHN C[C@@H](NCc1cc[nH]n1)[C@H]1CCCC[C@H]1C ZINC000568355057 326348506 /nfs/dbraw/zinc/34/85/06/326348506.db2.gz HISRKIVSJGEOGC-WZRBSPASSA-N 0 3 221.348 2.714 20 0 BFADHN CC(C)N(Cc1ccnn1C)C1CCCC1 ZINC000159138481 326348777 /nfs/dbraw/zinc/34/87/77/326348777.db2.gz NGEPNIJKIFASOB-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CCO[C@H]1C[C@@H]1NC1CC(c2ccccc2F)C1 ZINC000568471135 326357911 /nfs/dbraw/zinc/35/79/11/326357911.db2.gz GSEXKWMCPAPCCG-HBGGEXNNSA-N 0 3 249.329 2.839 20 0 BFADHN Cc1cc(C)cc(NC(=O)CN(C)C(C)(C)C)c1 ZINC000568507966 326358888 /nfs/dbraw/zinc/35/88/88/326358888.db2.gz MEPWKZDEBKCLSG-UHFFFAOYSA-N 0 3 248.370 2.972 20 0 BFADHN COCC1(NCc2cccc3ccoc32)CC1 ZINC000569194551 326394372 /nfs/dbraw/zinc/39/43/72/326394372.db2.gz RGGGTRSCSNXTRI-UHFFFAOYSA-N 0 3 231.295 2.701 20 0 BFADHN CCC[C@@H](NCc1cnccn1)C1CCC1 ZINC000324889621 326419429 /nfs/dbraw/zinc/41/94/29/326419429.db2.gz FZZONSGGTDFZET-CYBMUJFWSA-N 0 3 219.332 2.535 20 0 BFADHN COC(C)(C)CCN1CCOc2ccccc2C1 ZINC000682519265 487975179 /nfs/dbraw/zinc/97/51/79/487975179.db2.gz NLPAKTMXVJKIAJ-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN CCC(CC)CN(CC)Cc1cncnc1 ZINC000119798744 487981724 /nfs/dbraw/zinc/98/17/24/487981724.db2.gz AKPFGFZWPRHZBF-UHFFFAOYSA-N 0 3 221.348 2.735 20 0 BFADHN Cc1cc(CN2C[C@H]3COC[C@]3(C)C2)ccc1F ZINC000668596761 487982845 /nfs/dbraw/zinc/98/28/45/487982845.db2.gz MBISBFPDTXLJQS-ZFWWWQNUSA-N 0 3 249.329 2.602 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2cnc(C)s2)C1 ZINC000666149221 487983710 /nfs/dbraw/zinc/98/37/10/487983710.db2.gz YZPCUJFAEYJZQZ-INTQDDNPSA-N 0 3 240.372 2.670 20 0 BFADHN CC[C@H]1CCN([C@H](C)c2cnc(C)nc2C)C1 ZINC000682073299 487988255 /nfs/dbraw/zinc/98/82/55/487988255.db2.gz AKLXNZLOFIGUDV-YPMHNXCESA-N 0 3 233.359 2.886 20 0 BFADHN c1ccc2c(c1)CC[C@H]2N1CCOC2(CCC2)C1 ZINC000682075108 487989766 /nfs/dbraw/zinc/98/97/66/487989766.db2.gz XSMNZIHBLZLUKS-OAHLLOKOSA-N 0 3 243.350 2.929 20 0 BFADHN Cc1cc(CN[C@@H]2CCOC2)ccc1Cl ZINC000134656363 487992556 /nfs/dbraw/zinc/99/25/56/487992556.db2.gz OHWBQRCICAEJHZ-LLVKDONJSA-N 0 3 225.719 2.527 20 0 BFADHN CCN1CCN([C@@H](C)c2ccccc2)C[C@@H]1C ZINC000677193064 487997243 /nfs/dbraw/zinc/99/72/43/487997243.db2.gz VEFIBWDGWUZZRL-KBPBESRZSA-N 0 3 232.371 2.774 20 0 BFADHN CCN1CCN([C@H](C)c2ccccc2)C[C@H]1C ZINC000677193067 487997133 /nfs/dbraw/zinc/99/71/33/487997133.db2.gz VEFIBWDGWUZZRL-ZIAGYGMSSA-N 0 3 232.371 2.774 20 0 BFADHN CCN1CCN([C@@H](C)c2ccccc2)C[C@H]1C ZINC000677193065 487997474 /nfs/dbraw/zinc/99/74/74/487997474.db2.gz VEFIBWDGWUZZRL-KGLIPLIRSA-N 0 3 232.371 2.774 20 0 BFADHN CCN1CCN(Cc2cc(C)ccc2C)C[C@H]1C ZINC000677194415 487997764 /nfs/dbraw/zinc/99/77/64/487997764.db2.gz IHUDJFQDYKEUEM-OAHLLOKOSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1ccc2nc(CNC[C@H](C)C3CC3)cn2c1 ZINC000671190068 487997634 /nfs/dbraw/zinc/99/76/34/487997634.db2.gz RXPIDIKGMUQAJZ-LBPRGKRZSA-N 0 3 243.354 2.778 20 0 BFADHN CCN1CCN(Cc2ccccc2C)C[C@H]1C ZINC000677195425 487998510 /nfs/dbraw/zinc/99/85/10/487998510.db2.gz UCJBZUZYEVYPNW-CQSZACIVSA-N 0 3 232.371 2.521 20 0 BFADHN C[C@@H](NCc1ccccc1F)[C@H]1CC1(F)F ZINC000666445084 488011656 /nfs/dbraw/zinc/01/16/56/488011656.db2.gz OTHONWLRGFVFIV-PSASIEDQSA-N 0 3 229.245 2.959 20 0 BFADHN Cc1ccoc1CN[C@@H](C)[C@@H]1CC1(F)F ZINC000666445018 488012135 /nfs/dbraw/zinc/01/21/35/488012135.db2.gz NXUURJBXTZCTIA-IUCAKERBSA-N 0 3 215.243 2.721 20 0 BFADHN C[C@H](NCc1ccccc1F)[C@H]1CC1(F)F ZINC000666445086 488011477 /nfs/dbraw/zinc/01/14/77/488011477.db2.gz OTHONWLRGFVFIV-WCBMZHEXSA-N 0 3 229.245 2.959 20 0 BFADHN Cc1occc1CN[C@@H](C)[C@H]1CC1(F)F ZINC000666445560 488012619 /nfs/dbraw/zinc/01/26/19/488012619.db2.gz VMKYLGLLUSYUNL-OIBJUYFYSA-N 0 3 215.243 2.721 20 0 BFADHN Cc1cccnc1CN[C@H](C)[C@H]1CC1(F)F ZINC000666445398 488012777 /nfs/dbraw/zinc/01/27/77/488012777.db2.gz SXICCSXIXRDEGW-NXEZZACHSA-N 0 3 226.270 2.523 20 0 BFADHN Cc1ccc(CNCC(C)(C)CO)c(Cl)c1 ZINC000235770881 488014155 /nfs/dbraw/zinc/01/41/55/488014155.db2.gz ROLPMLHDZJDFST-UHFFFAOYSA-N 0 3 241.762 2.757 20 0 BFADHN CCCN(Cc1c(C2CC2)cnn1C)CC1CC1 ZINC000667462704 488015099 /nfs/dbraw/zinc/01/50/99/488015099.db2.gz RAFUYIHRGLEYCR-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN COc1ccc(CNCC2=CCCC2)cc1OC ZINC000401161164 488016889 /nfs/dbraw/zinc/01/68/89/488016889.db2.gz OSJCQSLWZWPFBP-UHFFFAOYSA-N 0 3 247.338 2.904 20 0 BFADHN CCC[C@H](CNCc1ccccc1)OC ZINC000293797211 488017288 /nfs/dbraw/zinc/01/72/88/488017288.db2.gz XYJOCSOPKKHHMA-CYBMUJFWSA-N 0 3 207.317 2.591 20 0 BFADHN CN(Cc1ccnn1CC1CC1)C1CCCC1 ZINC000667491967 488026113 /nfs/dbraw/zinc/02/61/13/488026113.db2.gz OSHZUVTWTPPBEE-UHFFFAOYSA-N 0 3 233.359 2.668 20 0 BFADHN O[C@@H]1CCN(Cc2cccc(C3CCCC3)c2)C1 ZINC000667495592 488027436 /nfs/dbraw/zinc/02/74/36/488027436.db2.gz PHAZRWAJRYFPFE-MRXNPFEDSA-N 0 3 245.366 2.911 20 0 BFADHN CCN(Cc1cc(COC)cs1)C1CC1 ZINC000667500844 488030452 /nfs/dbraw/zinc/03/04/52/488030452.db2.gz CLSNWADXDGCSEJ-UHFFFAOYSA-N 0 3 225.357 2.879 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1ccnn1CC1CC1 ZINC000667502708 488031312 /nfs/dbraw/zinc/03/13/12/488031312.db2.gz MZNHFVVUSYFQPZ-HNNXBMFYSA-N 0 3 247.386 2.914 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1ccnn1CC1CC1 ZINC000667502709 488031709 /nfs/dbraw/zinc/03/17/09/488031709.db2.gz MZNHFVVUSYFQPZ-OAHLLOKOSA-N 0 3 247.386 2.914 20 0 BFADHN CCC[C@H](C)N(C)Cc1ccnn1CCC ZINC000667506088 488033523 /nfs/dbraw/zinc/03/35/23/488033523.db2.gz ALMMTLSNMJCRJA-LBPRGKRZSA-N 0 3 223.364 2.914 20 0 BFADHN CCCn1nccc1CN(CC)CC(C)C ZINC000667503846 488033756 /nfs/dbraw/zinc/03/37/56/488033756.db2.gz ZHJNGZMPTXOBBD-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN Cc1ncc(CN(C)[C@H](C)C(C)(C)C)n1C ZINC000667517658 488035907 /nfs/dbraw/zinc/03/59/07/488035907.db2.gz ALCZMNTWOSVEEM-SNVBAGLBSA-N 0 3 223.364 2.595 20 0 BFADHN C[C@@H]1CCN(C[C@H](O)CC2CCCC2)C[C@H]1F ZINC000682772685 488035980 /nfs/dbraw/zinc/03/59/80/488035980.db2.gz QHNPONMQPDQEPQ-MRVWCRGKSA-N 0 3 243.366 2.608 20 0 BFADHN C[C@@H]1CCN(C[C@H](O)C2CCCCC2)C[C@H]1F ZINC000682772891 488037601 /nfs/dbraw/zinc/03/76/01/488037601.db2.gz UDHCPRIWCBHJPZ-BNOWGMLFSA-N 0 3 243.366 2.608 20 0 BFADHN CC(C)c1cccc2c1CCN(C[C@@H](C)CO)C2 ZINC000682778538 488038917 /nfs/dbraw/zinc/03/89/17/488038917.db2.gz JKWOYVVBZPMOON-CYBMUJFWSA-N 0 3 247.382 2.797 20 0 BFADHN CCCc1cccc(CN(C)C[C@@H](O)C2CC2)c1 ZINC000685461340 488039139 /nfs/dbraw/zinc/03/91/39/488039139.db2.gz JVWPIXSKYJOJTR-MRXNPFEDSA-N 0 3 247.382 2.842 20 0 BFADHN CCn1ccc(CN(C)C[C@H]2CCCCO2)c1 ZINC000682778580 488039574 /nfs/dbraw/zinc/03/95/74/488039574.db2.gz DPPIAOHIPBDDOO-CQSZACIVSA-N 0 3 236.359 2.509 20 0 BFADHN CC(C)N1CCN(c2ccccc2F)C[C@@H]1C ZINC000682778214 488039369 /nfs/dbraw/zinc/03/93/69/488039369.db2.gz WUWRTSRYOXXNKI-LBPRGKRZSA-N 0 3 236.334 2.745 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCC[C@@H]1CCOC1 ZINC000682805611 488043528 /nfs/dbraw/zinc/04/35/28/488043528.db2.gz JGBAOJQAOBXCCG-UONOGXRCSA-N 0 3 248.370 2.891 20 0 BFADHN c1nc2n(c1CN1CCC[C@H]3CCC[C@H]31)CCC2 ZINC000667555994 488045006 /nfs/dbraw/zinc/04/50/06/488045006.db2.gz VLRJGKFDLCEJHZ-TZMCWYRMSA-N 0 3 245.370 2.594 20 0 BFADHN c1nc2n(c1CN1CCC[C@H]3CCC[C@@H]31)CCC2 ZINC000667555993 488045294 /nfs/dbraw/zinc/04/52/94/488045294.db2.gz VLRJGKFDLCEJHZ-OCCSQVGLSA-N 0 3 245.370 2.594 20 0 BFADHN CCN(Cc1cnc2n1CCC2)[C@@H](C)C(C)C ZINC000667561006 488046311 /nfs/dbraw/zinc/04/63/11/488046311.db2.gz IRDHNWGQGDNSBI-LBPRGKRZSA-N 0 3 235.375 2.696 20 0 BFADHN CCCn1nccc1CN(C)C(C1CC1)C1CC1 ZINC000667565552 488047686 /nfs/dbraw/zinc/04/76/86/488047686.db2.gz ZEZXGCROKSNOTA-UHFFFAOYSA-N 0 3 247.386 2.914 20 0 BFADHN CC1(C)CCCN1Cc1ccnn1CC1CC1 ZINC000667569731 488049993 /nfs/dbraw/zinc/04/99/93/488049993.db2.gz RFWLWRWNCRPTGT-UHFFFAOYSA-N 0 3 233.359 2.668 20 0 BFADHN CCC1(C)CCN(Cc2cnc(C)n2C)CC1 ZINC000667569858 488050611 /nfs/dbraw/zinc/05/06/11/488050611.db2.gz UTZGHJLTPALEEJ-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CC(C)C[C@@H]1CCN(Cc2cnc3n2CCC3)C1 ZINC000667621150 488061508 /nfs/dbraw/zinc/06/15/08/488061508.db2.gz ADUOTIWYGGHJPX-ZDUSSCGKSA-N 0 3 247.386 2.697 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C3CC3)C2)nc(C)n1 ZINC000660560082 488064320 /nfs/dbraw/zinc/06/43/20/488064320.db2.gz JPENFBREUJZAHU-CQSZACIVSA-N 0 3 245.370 2.715 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2cc(C)no2)C1 ZINC000661859725 488065118 /nfs/dbraw/zinc/06/51/18/488065118.db2.gz DUNAKJOWDYIWQR-VXGBXAGGSA-N 0 3 222.332 2.994 20 0 BFADHN c1cc(CN2C[C@@H]3CCC[C@H]3C2)n(CC2CC2)n1 ZINC000667643002 488068181 /nfs/dbraw/zinc/06/81/81/488068181.db2.gz QOHFQNKDZVAQAY-KBPBESRZSA-N 0 3 245.370 2.525 20 0 BFADHN CCCn1nccc1CN1CCC2(CCC2)C1 ZINC000667644990 488068866 /nfs/dbraw/zinc/06/88/66/488068866.db2.gz ZYLGVEXVLAPFQI-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN CCOc1ccc(CN2CC[C@H](OC)C2)c(C)c1 ZINC000667649476 488070393 /nfs/dbraw/zinc/07/03/93/488070393.db2.gz UTUNZJLPSAEHOA-HNNXBMFYSA-N 0 3 249.354 2.614 20 0 BFADHN CO[C@H](CN1CC=CCC1)c1ccccc1 ZINC000670085363 488074054 /nfs/dbraw/zinc/07/40/54/488074054.db2.gz PHIXHILKDQRKGQ-CQSZACIVSA-N 0 3 217.312 2.636 20 0 BFADHN COCC1(CN2CCC[C@](C)(F)C2)CCC1 ZINC000451112195 488074537 /nfs/dbraw/zinc/07/45/37/488074537.db2.gz HKOTWOBIKYWNOE-LBPRGKRZSA-N 0 3 229.339 2.627 20 0 BFADHN CC1(C)CN([C@@H]2CCCOC2)Cc2ccccc21 ZINC000677562474 488075225 /nfs/dbraw/zinc/07/52/25/488075225.db2.gz DHMJYFAPHKYBMT-CQSZACIVSA-N 0 3 245.366 2.959 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnc(C)n2C)CC1 ZINC000667655412 488076237 /nfs/dbraw/zinc/07/62/37/488076237.db2.gz FFXXZFOPBXCIQA-CYBMUJFWSA-N 0 3 235.375 2.741 20 0 BFADHN c1cc(CN2CC[C@H](C3CC3)C2)n(CC2CC2)n1 ZINC000667681920 488084324 /nfs/dbraw/zinc/08/43/24/488084324.db2.gz VKFDZWMLHDDMTN-AWEZNQCLSA-N 0 3 245.370 2.525 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2cc(C)ccn2)C1 ZINC000683009453 488089010 /nfs/dbraw/zinc/08/90/10/488089010.db2.gz OLZGDFXLGKVDJJ-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1CCO[C@H](C)C1 ZINC000092328112 488089369 /nfs/dbraw/zinc/08/93/69/488089369.db2.gz SHHUZAYGCUIDJB-VDDIYKPWSA-N 0 3 238.331 2.510 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1c(C2CC2)cnn1C ZINC000667693661 488090298 /nfs/dbraw/zinc/09/02/98/488090298.db2.gz RKGCCSRCNHFMIX-WDEREUQCSA-N 0 3 233.359 2.528 20 0 BFADHN C[C@@H]1CCN(Cc2ccnn2CC2CC2)[C@@H]1C ZINC000667693695 488090904 /nfs/dbraw/zinc/09/09/04/488090904.db2.gz SHIBCNDDNSYCLL-VXGBXAGGSA-N 0 3 233.359 2.523 20 0 BFADHN CC[C@H]1CCN(Cc2ccnn2CC2CC2)C1 ZINC000667697940 488091688 /nfs/dbraw/zinc/09/16/88/488091688.db2.gz SBRVTBUZZUQODS-LBPRGKRZSA-N 0 3 233.359 2.525 20 0 BFADHN Cc1sccc1CN[C@@H]1C[C@H](C)O[C@@H]1C ZINC000384761794 488093865 /nfs/dbraw/zinc/09/38/65/488093865.db2.gz OEEHFGDDKXSNOC-YGOYTEALSA-N 0 3 225.357 2.712 20 0 BFADHN CCCn1nccc1CN(C)CCC1CC1 ZINC000667709213 488096101 /nfs/dbraw/zinc/09/61/01/488096101.db2.gz WGVZVFSYPRYEHK-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN Cc1ccnc(CN2CC[C@H](C)[C@H](C)C2)c1 ZINC000683019683 488096679 /nfs/dbraw/zinc/09/66/79/488096679.db2.gz PHOGOUATHHDDDD-QWHCGFSZSA-N 0 3 218.344 2.868 20 0 BFADHN c1cnc(CNCC2CCCCCC2)cn1 ZINC000389459528 488097574 /nfs/dbraw/zinc/09/75/74/488097574.db2.gz JKOFHTFQCHAPLD-UHFFFAOYSA-N 0 3 219.332 2.537 20 0 BFADHN Cc1nn(C(C)C)cc1CN1CC[C@H]2C[C@H]2C1 ZINC000668677093 488100856 /nfs/dbraw/zinc/10/08/56/488100856.db2.gz IXNSLQUTNQQJGQ-STQMWFEESA-N 0 3 233.359 2.614 20 0 BFADHN CC(C)(C)c1ncc(CN2CC[C@H]3C[C@H]3C2)cn1 ZINC000668677498 488101812 /nfs/dbraw/zinc/10/18/12/488101812.db2.gz QHVLVRJAXNBGSP-STQMWFEESA-N 0 3 245.370 2.616 20 0 BFADHN c1c2cnccc2oc1CN1CC[C@H]2C[C@H]2C1 ZINC000668678977 488105720 /nfs/dbraw/zinc/10/57/20/488105720.db2.gz WCFQBTFGAMWOPS-JQWIXIFHSA-N 0 3 228.295 2.670 20 0 BFADHN CC(C)OCCCN1CC2(C1)CC(F)(F)C2 ZINC000677730890 488108873 /nfs/dbraw/zinc/10/88/73/488108873.db2.gz HLLVZSKCMXVAPW-UHFFFAOYSA-N 0 3 233.302 2.533 20 0 BFADHN C[C@@H](c1ccncc1)N1CCSC[C@@H](C)C1 ZINC000683101909 488108956 /nfs/dbraw/zinc/10/89/56/488108956.db2.gz PLLCWHGCNQIYAB-RYUDHWBXSA-N 0 3 236.384 2.828 20 0 BFADHN CCCn1nccc1CNCCc1ccsc1 ZINC000160117255 488109050 /nfs/dbraw/zinc/10/90/50/488109050.db2.gz ISGGXBKYESWJBK-UHFFFAOYSA-N 0 3 249.383 2.687 20 0 BFADHN Cc1noc([C@@H](C)N2CC[C@H](C(C)(C)C)C2)n1 ZINC000680110777 487537690 /nfs/dbraw/zinc/53/76/90/487537690.db2.gz LWEOWTWHAHCISG-KOLCDFICSA-N 0 3 237.347 2.807 20 0 BFADHN C[C@H]1C[C@H]1CNC(C)(C)C(=O)Nc1ccccc1 ZINC000683109560 488111956 /nfs/dbraw/zinc/11/19/56/488111956.db2.gz KYPKHFLFVWKHSX-RYUDHWBXSA-N 0 3 246.354 2.649 20 0 BFADHN CCC[C@H](CC)NCc1cn(C2CCC2)nn1 ZINC000657349226 488115515 /nfs/dbraw/zinc/11/55/15/488115515.db2.gz OIKFEJQJZJGQGN-NSHDSACASA-N 0 3 236.363 2.671 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@H](C3CC3)C2)cc1F ZINC000667769927 488115663 /nfs/dbraw/zinc/11/56/63/488115663.db2.gz KAKRKSBIUSWTIZ-HIFRSBDPSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1cc(CN[C@H]2CO[C@@H](C3CC3)C2)ccc1F ZINC000667770919 488116635 /nfs/dbraw/zinc/11/66/35/488116635.db2.gz LIYCJGLWBFOTEU-UKRRQHHQSA-N 0 3 249.329 2.791 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@@H]1CO[C@H](C2CC2)C1 ZINC000667781304 488118567 /nfs/dbraw/zinc/11/85/67/488118567.db2.gz FTIHDBILUQYTLQ-WHOFXGATSA-N 0 3 247.338 2.814 20 0 BFADHN C1=C(CNCc2ccc3c(n2)CCC3)CCC1 ZINC000668690416 488120727 /nfs/dbraw/zinc/12/07/27/488120727.db2.gz QUISKIQQCJSINL-UHFFFAOYSA-N 0 3 228.339 2.770 20 0 BFADHN COc1cccc(CNC[C@H]2C[C@H]2C)c1F ZINC000166961170 488125975 /nfs/dbraw/zinc/12/59/75/488125975.db2.gz UFLCKWFDRDDRBS-MWLCHTKSSA-N 0 3 223.291 2.580 20 0 BFADHN C[C@@H](O)C1(NCc2ccc(C3CC3)cc2)CC1 ZINC000668699303 488129897 /nfs/dbraw/zinc/12/98/97/488129897.db2.gz ACVOXRLKFFRDPV-LLVKDONJSA-N 0 3 231.339 2.567 20 0 BFADHN CCC(C)(C)CNCc1cn2cccc(F)c2n1 ZINC000683205401 488130454 /nfs/dbraw/zinc/13/04/54/488130454.db2.gz QLOCXRMSXSXIJI-UHFFFAOYSA-N 0 3 249.333 2.999 20 0 BFADHN COCC1(N(C)Cc2ccc(C)c(C)c2)CC1 ZINC000683221037 488132549 /nfs/dbraw/zinc/13/25/49/488132549.db2.gz GGPKACRZODRKBQ-UHFFFAOYSA-N 0 3 233.355 2.914 20 0 BFADHN COCC(C)(C)CN1CCCc2occc2C1 ZINC000685477145 487538039 /nfs/dbraw/zinc/53/80/39/487538039.db2.gz JVNZPIFKJRHPJX-UHFFFAOYSA-N 0 3 237.343 2.700 20 0 BFADHN CCCn1nccc1CN1C[C@H](C)[C@H](C)[C@@H]1C ZINC000668242892 487540473 /nfs/dbraw/zinc/54/04/73/487540473.db2.gz NMKHTHYZZHEJND-AVGNSLFASA-N 0 3 235.375 2.769 20 0 BFADHN CCC(C)(C)[C@@H](C)N[C@H](C)c1cnccn1 ZINC000658113708 487544405 /nfs/dbraw/zinc/54/44/05/487544405.db2.gz IXLDAEXBRSCZSS-GHMZBOCLSA-N 0 3 221.348 2.952 20 0 BFADHN CO[C@H](C)CN(Cc1oc(C)nc1C)C(C)C ZINC000685565126 487547334 /nfs/dbraw/zinc/54/73/34/487547334.db2.gz SQRPLGHBALISEQ-SNVBAGLBSA-N 0 3 240.347 2.537 20 0 BFADHN CCC(C)(C)[C@@H](C)N[C@H](C)c1nccn1C ZINC000658114796 487547775 /nfs/dbraw/zinc/54/77/75/487547775.db2.gz RBKMONSCFJJYHB-GHMZBOCLSA-N 0 3 223.364 2.895 20 0 BFADHN CCC(C)(C)[C@@H](C)N[C@@H](C)c1nccn1C ZINC000658114799 487547713 /nfs/dbraw/zinc/54/77/13/487547713.db2.gz RBKMONSCFJJYHB-WDEREUQCSA-N 0 3 223.364 2.895 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H](C)[C@@H](C)C2)o1 ZINC000685570342 487551253 /nfs/dbraw/zinc/55/12/53/487551253.db2.gz JYMSTKXMOWQMIY-UWVGGRQHSA-N 0 3 222.332 2.769 20 0 BFADHN Cc1ncsc1CN1CC[C@@H](C(F)F)C1 ZINC000685570154 487551563 /nfs/dbraw/zinc/55/15/63/487551563.db2.gz VXNJSTZDMQODSF-MRVPVSSYSA-N 0 3 232.299 2.539 20 0 BFADHN Fc1cccc([C@@H]2CCN([C@H]3CCCOC3)C2)c1 ZINC000674301622 487553936 /nfs/dbraw/zinc/55/39/36/487553936.db2.gz UBFQGYBSPOVRQU-HIFRSBDPSA-N 0 3 249.329 2.794 20 0 BFADHN c1coc([C@@H]2CCCN2[C@@H]2CCCOC2)c1 ZINC000674302866 487556235 /nfs/dbraw/zinc/55/62/35/487556235.db2.gz RNUGJNXBHWRIEN-NEPJUHHUSA-N 0 3 221.300 2.596 20 0 BFADHN CC[C@H](C)[C@@H](C)N(Cc1cncnc1)C1CC1 ZINC000119552322 487556413 /nfs/dbraw/zinc/55/64/13/487556413.db2.gz WCHMVFBKNAXVJV-NWDGAFQWSA-N 0 3 233.359 2.876 20 0 BFADHN CCN(Cc1cnc2n1CCC2)C1CC(C)(C)C1 ZINC000668241010 487533169 /nfs/dbraw/zinc/53/31/69/487533169.db2.gz YYPWGDVQRCTUQT-UHFFFAOYSA-N 0 3 247.386 2.840 20 0 BFADHN CC(C)CCN[C@@H](CO)c1ccc(F)cc1F ZINC000662160925 488140095 /nfs/dbraw/zinc/14/00/95/488140095.db2.gz JRZFGWYBIWHMGK-ZDUSSCGKSA-N 0 3 243.297 2.634 20 0 BFADHN CC[C@@H](c1ccccc1)N1CCO[C@@H](C)C1 ZINC000339583464 487471397 /nfs/dbraw/zinc/47/13/97/487471397.db2.gz XAAHDLYMPYMKLZ-JSGCOSHPSA-N 0 3 219.328 2.858 20 0 BFADHN CCn1cncc1CNC(C)(C)CC(F)(F)F ZINC000669559490 487471643 /nfs/dbraw/zinc/47/16/43/487471643.db2.gz JLJRKKNFCBFQHQ-UHFFFAOYSA-N 0 3 249.280 2.724 20 0 BFADHN CO[C@@H](C)CNC1(c2ccc(F)cc2)CCC1 ZINC000679853939 487472321 /nfs/dbraw/zinc/47/23/21/487472321.db2.gz IWNWSWXIQMXYJY-NSHDSACASA-N 0 3 237.318 2.829 20 0 BFADHN Cc1ccc(CNCCC[C@H](C)O)c(Cl)c1 ZINC000227716401 487482029 /nfs/dbraw/zinc/48/20/29/487482029.db2.gz HSJBYIMMYDBHOV-NSHDSACASA-N 0 3 241.762 2.899 20 0 BFADHN CC(C)C[C@@H](N)C(=O)Nc1ccccc1Cl ZINC000019516835 487484735 /nfs/dbraw/zinc/48/47/35/487484735.db2.gz UZMLIXARJODYGP-SNVBAGLBSA-N 0 3 240.734 2.652 20 0 BFADHN CN[C@@H](C)C(=O)Nc1ccc2c(c1)C=CCCC2 ZINC000659366866 487495450 /nfs/dbraw/zinc/49/54/50/487495450.db2.gz DQLQLAHZXVOFDI-NSHDSACASA-N 0 3 244.338 2.583 20 0 BFADHN CCn1cncc1CN1CCC2(CCC2)CC1 ZINC000669567342 487497160 /nfs/dbraw/zinc/49/71/60/487497160.db2.gz YWJJHWPSDAAPNR-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN CCCCN(CCO)[C@H](C)c1ccc(C)o1 ZINC000674005277 487497187 /nfs/dbraw/zinc/49/71/87/487497187.db2.gz CEFXRDZMHHPVQO-GFCCVEGCSA-N 0 3 225.332 2.743 20 0 BFADHN Cc1ccc(CN[C@H](C)C(C)(C)O)c(Cl)c1 ZINC000393987433 487497493 /nfs/dbraw/zinc/49/74/93/487497493.db2.gz IXNPBUWPLCKRDQ-SNVBAGLBSA-N 0 3 241.762 2.897 20 0 BFADHN CC(C)c1cccc(CN2CC(CCO)C2)c1 ZINC000668231972 487499715 /nfs/dbraw/zinc/49/97/15/487499715.db2.gz VWOXARNQTFWVTO-UHFFFAOYSA-N 0 3 233.355 2.624 20 0 BFADHN CC1(CN2CC[C@@H]2c2cccc(F)c2)COC1 ZINC000674011558 487500433 /nfs/dbraw/zinc/50/04/33/487500433.db2.gz VSIVKDMYRDVVIE-CYBMUJFWSA-N 0 3 235.302 2.609 20 0 BFADHN Cc1cccc(NC(=O)C(C)C(F)(F)F)c1O ZINC000674017592 487502199 /nfs/dbraw/zinc/50/21/99/487502199.db2.gz GPHGJLVHXMRFSR-SSDOTTSWSA-N 0 3 247.216 2.838 20 0 BFADHN c1cc2cnccc2c(CN2CC=CCC2)c1 ZINC000680000872 487513533 /nfs/dbraw/zinc/51/35/33/487513533.db2.gz RBFQWTRUBJJDEF-UHFFFAOYSA-N 0 3 224.307 2.997 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)c1F ZINC000295794461 487514654 /nfs/dbraw/zinc/51/46/54/487514654.db2.gz SGCGBUFGSWDWLQ-UPJWGTAASA-N 0 3 235.302 2.544 20 0 BFADHN C[C@@H](c1ccccc1)[N@H+](C)Cc1ncccc1[O-] ZINC000685408150 487515987 /nfs/dbraw/zinc/51/59/87/487515987.db2.gz BWESFIIQCSZNFX-LBPRGKRZSA-N 0 3 242.322 2.980 20 0 BFADHN C[C@@H](c1ccccc1)[N@@H+](C)Cc1ncccc1[O-] ZINC000685408150 487515990 /nfs/dbraw/zinc/51/59/90/487515990.db2.gz BWESFIIQCSZNFX-LBPRGKRZSA-N 0 3 242.322 2.980 20 0 BFADHN OCCCN(Cc1ccc2occc2c1)C1CC1 ZINC000685417994 487518849 /nfs/dbraw/zinc/51/88/49/487518849.db2.gz WQKBXKJZEZRTKD-UHFFFAOYSA-N 0 3 245.322 2.780 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@H](O)C2(C)C)c(C)n1 ZINC000681743848 487520243 /nfs/dbraw/zinc/52/02/43/487520243.db2.gz VNROGBCKKHZLMJ-YUTCNCBUSA-N 0 3 248.370 2.508 20 0 BFADHN OCc1ccc(CN2CCC3(CCC3)CC2)o1 ZINC000685444139 487524607 /nfs/dbraw/zinc/52/46/07/487524607.db2.gz GHDDHVDWSDGPJC-UHFFFAOYSA-N 0 3 235.327 2.538 20 0 BFADHN CCn1cc(CN[C@H](C)CCC(C)(C)C)nn1 ZINC000404447251 488161137 /nfs/dbraw/zinc/16/11/37/488161137.db2.gz FYNTVNDUWQDRHM-LLVKDONJSA-N 0 3 238.379 2.602 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1C1CC1)c1nccs1 ZINC000379944233 488162153 /nfs/dbraw/zinc/16/21/53/488162153.db2.gz CWKMHWHDCDTCFS-INTQDDNPSA-N 0 3 222.357 2.982 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccsc1 ZINC000178319143 487609914 /nfs/dbraw/zinc/60/99/14/487609914.db2.gz SMHQGABZOWIVRZ-GMNPVEAJSA-N 0 3 223.341 2.719 20 0 BFADHN Cc1ccc(CN2C[C@@H]3CCCC[C@@H]32)o1 ZINC000668299972 487636067 /nfs/dbraw/zinc/63/60/67/487636067.db2.gz ZDSYRZMWISPYRD-AAEUAGOBSA-N 0 3 205.301 2.962 20 0 BFADHN CCC[C@@H](N[C@@H](CC)COC)c1ccccn1 ZINC000675815777 487780699 /nfs/dbraw/zinc/78/06/99/487780699.db2.gz FEFQHLFTGGKRAG-GXTWGEPZSA-N 0 3 236.359 2.937 20 0 BFADHN CCCc1cccc(CNCc2cc(C)[nH]n2)c1 ZINC000681366836 487785624 /nfs/dbraw/zinc/78/56/24/487785624.db2.gz GABJPUXDMDSQTL-UHFFFAOYSA-N 0 3 243.354 2.960 20 0 BFADHN c1ccc2c(CN[C@H]3CC34CCCC4)n[nH]c2c1 ZINC000683205178 488130315 /nfs/dbraw/zinc/13/03/15/488130315.db2.gz FHJPWRNGTOYTPX-AWEZNQCLSA-N 0 3 241.338 2.985 20 0 BFADHN c1nc2ccccn2c1CN[C@H]1CC12CCCC2 ZINC000683206012 488130380 /nfs/dbraw/zinc/13/03/80/488130380.db2.gz SOFITKZMLNVSRR-ZDUSSCGKSA-N 0 3 241.338 2.757 20 0 BFADHN Fc1ccc2oc(CNC3CCCC3)nc2c1 ZINC000085203001 488136153 /nfs/dbraw/zinc/13/61/53/488136153.db2.gz MDOYMKBGFVQQAA-UHFFFAOYSA-N 0 3 234.274 2.999 20 0 BFADHN Cc1cc(Cl)ccc1CNC1([C@@H](C)O)CC1 ZINC000668702695 488138641 /nfs/dbraw/zinc/13/86/41/488138641.db2.gz OOOQMYMFYHGWNZ-SNVBAGLBSA-N 0 3 239.746 2.651 20 0 BFADHN CCc1ccccc1CN[C@@H]1CO[C@@H](C2CC2)C1 ZINC000667874747 488145710 /nfs/dbraw/zinc/14/57/10/488145710.db2.gz OHIUIGGHMLXMQM-JKSUJKDBSA-N 0 3 245.366 2.906 20 0 BFADHN CCc1ccccc1CN[C@@H]1CO[C@H](C2CC2)C1 ZINC000667874745 488145937 /nfs/dbraw/zinc/14/59/37/488145937.db2.gz OHIUIGGHMLXMQM-HOTGVXAUSA-N 0 3 245.366 2.906 20 0 BFADHN Cc1c[nH]nc1CN1CCCC[C@@H]1C(C)C ZINC000335828615 488147374 /nfs/dbraw/zinc/14/73/74/488147374.db2.gz LOADJYGLUGMCIK-CYBMUJFWSA-N 0 3 221.348 2.729 20 0 BFADHN CCCn1nccc1CNC1(C(C)C)CC1 ZINC000379734697 488148056 /nfs/dbraw/zinc/14/80/56/488148056.db2.gz FQXFFQHMNYAECG-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1csc(CCNCc2ccoc2C)n1 ZINC000321650636 488148228 /nfs/dbraw/zinc/14/82/28/488148228.db2.gz VQGPSBUHLCGMKW-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN C[C@H](c1ccc(F)cc1)N(C)C[C@H](O)C1CC1 ZINC000677892645 488151162 /nfs/dbraw/zinc/15/11/62/488151162.db2.gz PSZYGLKTLJWSIC-YGRLFVJLSA-N 0 3 237.318 2.589 20 0 BFADHN CCOc1ccc(CN2CC[C@H](C3CC3)C2)nc1 ZINC000677904877 488153050 /nfs/dbraw/zinc/15/30/50/488153050.db2.gz SKBZLYXXJGIJLB-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN CCc1nn(C)cc1CN1CC2(CCC2)C[C@H]1C ZINC000683364453 488153499 /nfs/dbraw/zinc/15/34/99/488153499.db2.gz XEALFAHBKDYFLU-GFCCVEGCSA-N 0 3 247.386 2.747 20 0 BFADHN CCn1nccc1CN1CCC[C@@H](C2CCC2)C1 ZINC000668718095 488160635 /nfs/dbraw/zinc/16/06/35/488160635.db2.gz IRKCLNQPSJHDFE-CQSZACIVSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)C3(CCC3)C2)n1C ZINC000667952906 488167183 /nfs/dbraw/zinc/16/71/83/488167183.db2.gz KURIJFGVDGBSPZ-LBPRGKRZSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1ncc(CN2CC[C@@]3(CC[C@H](C)C3)C2)n1C ZINC000667964703 488169724 /nfs/dbraw/zinc/16/97/24/488169724.db2.gz ODTVWIPHVXFEHA-SWLSCSKDSA-N 0 3 247.386 2.741 20 0 BFADHN CC(C)(CNCc1cncs1)C(F)(F)F ZINC000380158660 488176166 /nfs/dbraw/zinc/17/61/66/488176166.db2.gz ZXSCNYIKDYHMDI-UHFFFAOYSA-N 0 3 238.278 2.821 20 0 BFADHN C[C@@H]1CCN(Cc2cnn3c2CCC3)CC1(C)C ZINC000667987967 488177290 /nfs/dbraw/zinc/17/72/90/488177290.db2.gz WWCJTOVNYWIFLD-GFCCVEGCSA-N 0 3 247.386 2.697 20 0 BFADHN CCOc1ccc(CN2CC[C@H](C)[C@@H](C)C2)nc1 ZINC000678045588 488181162 /nfs/dbraw/zinc/18/11/62/488181162.db2.gz HTWHLRTUJCADBH-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H]1CCCCN(Cc2ccnn2CC2CC2)C1 ZINC000668002704 488181354 /nfs/dbraw/zinc/18/13/54/488181354.db2.gz ZPKXMCUQGNLHHN-CYBMUJFWSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@@H](NCCCF)c1cc2cnccc2o1 ZINC000657925023 488182320 /nfs/dbraw/zinc/18/23/20/488182320.db2.gz LRBVFOSIQDZKPR-SECBINFHSA-N 0 3 222.263 2.838 20 0 BFADHN CC[C@](C)(CN[C@H]1CCCc2cccnc21)OC ZINC000322540522 488190036 /nfs/dbraw/zinc/19/00/36/488190036.db2.gz SMSGJNPNWAYQMR-DZGCQCFKSA-N 0 3 248.370 2.864 20 0 BFADHN CCN1CCC2(CCc3ccccc3O2)CC1 ZINC000231230167 488190998 /nfs/dbraw/zinc/19/09/98/488190998.db2.gz VWQRONDKTAFPOB-UHFFFAOYSA-N 0 3 231.339 2.866 20 0 BFADHN Cc1cscc1CN[C@@H]1CO[C@H](C2CC2)C1 ZINC000668076176 488197894 /nfs/dbraw/zinc/19/78/94/488197894.db2.gz IVYPKPBHGKRNLU-STQMWFEESA-N 0 3 237.368 2.714 20 0 BFADHN CN(Cc1cnc2n1CCC2)C1(C)CCCC1 ZINC000668081443 488199131 /nfs/dbraw/zinc/19/91/31/488199131.db2.gz YQZZRXLRGFBKDQ-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN CN(Cc1cn2cccc(F)c2n1)C1CCCC1 ZINC000678128104 488202196 /nfs/dbraw/zinc/20/21/96/488202196.db2.gz LYFOHCYMKGVRSI-UHFFFAOYSA-N 0 3 247.317 2.848 20 0 BFADHN C[C@H]1CCCC[C@H]1N(C)Cc1cnc2n1CCC2 ZINC000668115640 488205738 /nfs/dbraw/zinc/20/57/38/488205738.db2.gz DIFPZZIFJZVBLL-GXTWGEPZSA-N 0 3 247.386 2.840 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1nn(C)c2ccccc12 ZINC000678144140 488206262 /nfs/dbraw/zinc/20/62/62/488206262.db2.gz DPLFIKYESCAFLU-NSHDSACASA-N 0 3 243.354 2.804 20 0 BFADHN C[C@H]1CCN(CCN[C@@H]2CCCc3occc32)C1 ZINC000683691167 488207324 /nfs/dbraw/zinc/20/73/24/488207324.db2.gz VQFNZXDMIWMHMK-GXTWGEPZSA-N 0 3 248.370 2.588 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H]1CCCOc2ccccc21 ZINC000683693558 488207721 /nfs/dbraw/zinc/20/77/21/488207721.db2.gz FFNWNKRKNQFQEV-GZBFAFLISA-N 0 3 247.338 2.667 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H]1CCCc2ccccc21 ZINC000683694286 488208993 /nfs/dbraw/zinc/20/89/93/488208993.db2.gz RXKTUYUDMVKDNG-RBSFLKMASA-N 0 3 231.339 2.831 20 0 BFADHN CC1(NCc2nccs2)Cc2ccccc2C1 ZINC000678157643 488209309 /nfs/dbraw/zinc/20/93/09/488209309.db2.gz MXGPZDXIFQFCLK-UHFFFAOYSA-N 0 3 244.363 2.790 20 0 BFADHN CCN(CCc1cccs1)Cc1cn[nH]c1 ZINC000678166729 488210402 /nfs/dbraw/zinc/21/04/02/488210402.db2.gz FDCBLEIQARVNGP-UHFFFAOYSA-N 0 3 235.356 2.536 20 0 BFADHN CCC1CCN(Cc2ccc(N(C)C)nc2)CC1 ZINC000678196230 488217001 /nfs/dbraw/zinc/21/70/01/488217001.db2.gz XWUGGSJMRKMDRF-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN Cc1ncc(CN2CC(C)(C)[C@@H]2C(C)C)n1C ZINC000668190771 488218423 /nfs/dbraw/zinc/21/84/23/488218423.db2.gz NETFXJFVMAFOOQ-ZDUSSCGKSA-N 0 3 235.375 2.595 20 0 BFADHN CC(C)[C@H]1N(Cc2cnc3n2CCC3)CC1(C)C ZINC000668190403 488218506 /nfs/dbraw/zinc/21/85/06/488218506.db2.gz CHLBBTLKCKSPIM-CQSZACIVSA-N 0 3 247.386 2.696 20 0 BFADHN CN(CCc1ccccc1F)CC1=CCCOC1 ZINC000678212231 488218595 /nfs/dbraw/zinc/21/85/95/488218595.db2.gz UFGYLKSXVHNENG-UHFFFAOYSA-N 0 3 249.329 2.647 20 0 BFADHN CC(C)[C@@H]1N(Cc2cnn3c2CCC3)CC1(C)C ZINC000668190623 488218776 /nfs/dbraw/zinc/21/87/76/488218776.db2.gz JCZWODAICIUJTP-AWEZNQCLSA-N 0 3 247.386 2.696 20 0 BFADHN Cc1ccccc1NC(=O)CN(C)[C@@H](C)C1CC1 ZINC000078723136 488223419 /nfs/dbraw/zinc/22/34/19/488223419.db2.gz NNXODLRLNDLUHT-LBPRGKRZSA-N 0 3 246.354 2.664 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](n3ccnc3)C2)o1 ZINC000678234626 488223570 /nfs/dbraw/zinc/22/35/70/488223570.db2.gz HKDBMRIKLOEUIX-CYBMUJFWSA-N 0 3 245.326 2.622 20 0 BFADHN COCc1ccc(CN2CCC[C@H]2C2CC2)o1 ZINC000678239513 488223953 /nfs/dbraw/zinc/22/39/53/488223953.db2.gz HDLIMQAXWKEBDF-AWEZNQCLSA-N 0 3 235.327 2.800 20 0 BFADHN CCCn1cc(CN2CCC[C@@H]2C2CC2)cn1 ZINC000678239591 488224450 /nfs/dbraw/zinc/22/44/50/488224450.db2.gz JHJHUQXXYKQBRV-CQSZACIVSA-N 0 3 233.359 2.668 20 0 BFADHN c1cc2cc(CN3CC=CCC3)ccc2cn1 ZINC000683834875 488229756 /nfs/dbraw/zinc/22/97/56/488229756.db2.gz NDZXOEHJBJKLFW-UHFFFAOYSA-N 0 3 224.307 2.997 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1ccnn1CC1CC1 ZINC000668243016 490047243 /nfs/dbraw/zinc/04/72/43/490047243.db2.gz PZSZUXKAGYKDOH-FRRDWIJNSA-N 0 3 247.386 2.769 20 0 BFADHN CN(Cc1cn[nH]c1)C[C@@H]1C[C@@H]1c1ccccc1 ZINC000678266026 488230430 /nfs/dbraw/zinc/23/04/30/488230430.db2.gz JFBJOSAVUMKQCO-LSDHHAIUSA-N 0 3 241.338 2.645 20 0 BFADHN Cc1ccsc1CN1CCCOC[C@H]1C ZINC000678274953 488234418 /nfs/dbraw/zinc/23/44/18/488234418.db2.gz SBZNTZARAKUWBR-LLVKDONJSA-N 0 3 225.357 2.667 20 0 BFADHN C1=C(CN2C3CCCC2CCC3)COCC1 ZINC000678280042 488236277 /nfs/dbraw/zinc/23/62/77/488236277.db2.gz WHSVYTVAZMSROB-UHFFFAOYSA-N 0 3 221.344 2.740 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCC[C@H]1CCOC1 ZINC000683888734 488237018 /nfs/dbraw/zinc/23/70/18/488237018.db2.gz HBVSHYGHHKQALD-KGLIPLIRSA-N 0 3 248.370 2.891 20 0 BFADHN Cc1nc(C)c(CN2C[C@H]3CCC[C@@H]3C2)o1 ZINC000683897863 488239567 /nfs/dbraw/zinc/23/95/67/488239567.db2.gz HDVOXLLEFHZKHI-VXGBXAGGSA-N 0 3 220.316 2.523 20 0 BFADHN COC1CCN(Cc2cc3ccccc3[nH]2)CC1 ZINC000684019314 488255259 /nfs/dbraw/zinc/25/52/59/488255259.db2.gz CEWIAGQATSXJSR-UHFFFAOYSA-N 0 3 244.338 2.779 20 0 BFADHN CO[C@H]1CCCN(Cc2cc3ccccc3[nH]2)C1 ZINC000684021687 488256138 /nfs/dbraw/zinc/25/61/38/488256138.db2.gz ZQYJHBWCGHVHCG-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN COC[C@@H]1CCN(Cc2cc3ccccc3[nH]2)C1 ZINC000684022211 488256458 /nfs/dbraw/zinc/25/64/58/488256458.db2.gz GJFYLCOMACKFBE-GFCCVEGCSA-N 0 3 244.338 2.636 20 0 BFADHN Cc1cccc(C2=CCN(C[C@H](C)CO)CC2)c1 ZINC000678467603 488256977 /nfs/dbraw/zinc/25/69/77/488256977.db2.gz XCKCNIKYBGWIPV-AWEZNQCLSA-N 0 3 245.366 2.713 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2Cc3ccccc32)no1 ZINC000672556378 488260285 /nfs/dbraw/zinc/26/02/85/488260285.db2.gz LYVBPWWZXKJNHU-WCQYABFASA-N 0 3 242.322 2.974 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1c(C)cc(C)nc1OC ZINC000672603134 488261346 /nfs/dbraw/zinc/26/13/46/488261346.db2.gz AULLUNKXKQNWSS-JSGCOSHPSA-N 0 3 248.370 2.985 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1c(C)cc(C)nc1OC ZINC000672603133 488261418 /nfs/dbraw/zinc/26/14/18/488261418.db2.gz AULLUNKXKQNWSS-GXTWGEPZSA-N 0 3 248.370 2.985 20 0 BFADHN Cc1ccnc(CN2CCCC[C@@H]2C[C@@H](C)O)c1 ZINC000684105723 488266506 /nfs/dbraw/zinc/26/65/06/488266506.db2.gz BVBUPACMFOPZEN-UKRRQHHQSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1ccnc(CN2CCCC[C@H]2C[C@H](C)O)c1 ZINC000684105724 488266836 /nfs/dbraw/zinc/26/68/36/488266836.db2.gz BVBUPACMFOPZEN-ZFWWWQNUSA-N 0 3 248.370 2.515 20 0 BFADHN CC(C)(C)[C@@H]1CN(C2CCCC2)CCO1 ZINC000684103701 488266847 /nfs/dbraw/zinc/26/68/47/488266847.db2.gz RCEFXKMQYSNWAT-LBPRGKRZSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1c(CN(C)C[C@@H]2CCC[C@@H](C)C2)cnn1C ZINC000684107470 488267440 /nfs/dbraw/zinc/26/74/40/488267440.db2.gz HRTIJBIELONANH-TZMCWYRMSA-N 0 3 249.402 2.987 20 0 BFADHN CCC(CC)CN(C)Cc1cnn(C)c1C ZINC000684149160 488269724 /nfs/dbraw/zinc/26/97/24/488269724.db2.gz TXHBCPWKVHVFCV-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN C[C@@H](CN[C@@H](c1nccn1C)C1CC1)C1CC1 ZINC000678806486 488285609 /nfs/dbraw/zinc/28/56/09/488285609.db2.gz CUAWJNHQCSHDNY-GXFFZTMASA-N 0 3 233.359 2.507 20 0 BFADHN C(c1nc2c(s1)CCC2)N1C[C@@H]2CCC[C@H]2C1 ZINC000678829766 488288818 /nfs/dbraw/zinc/28/88/18/488288818.db2.gz BYFXFAAAOODKTJ-QWRGUYRKSA-N 0 3 248.395 2.864 20 0 BFADHN COc1ccc(CN(C)CCC(C)C)nc1 ZINC000678881108 488292508 /nfs/dbraw/zinc/29/25/08/488292508.db2.gz CXLQWYLEQJJCIP-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN CCOc1cccc(CNCCCC2CC2)n1 ZINC000678932939 488296340 /nfs/dbraw/zinc/29/63/40/488296340.db2.gz QZXDYJSISDJBMJ-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2cn(C)cn2)[C@H]1C ZINC000673608165 488297568 /nfs/dbraw/zinc/29/75/68/488297568.db2.gz PEVBHXNYQTZGBZ-AGIUHOORSA-N 0 3 235.375 2.582 20 0 BFADHN FC1(CN2CC[C@@H](c3ccco3)C2)CC1 ZINC000684360168 488300925 /nfs/dbraw/zinc/30/09/25/488300925.db2.gz QUBQTYZGIMKOMF-SNVBAGLBSA-N 0 3 209.264 2.571 20 0 BFADHN COCC1(N[C@H]2CCc3c2cccc3C)CC1 ZINC000679073547 488304289 /nfs/dbraw/zinc/30/42/89/488304289.db2.gz DPYNNLYFSNSQIE-AWEZNQCLSA-N 0 3 231.339 2.751 20 0 BFADHN CC[C@H](N[C@@H]1CCC(F)(F)C1)c1cnn(C)c1 ZINC000679072521 488306203 /nfs/dbraw/zinc/30/62/03/488306203.db2.gz XJECIBRNIYOOIK-MNOVXSKESA-N 0 3 243.301 2.649 20 0 BFADHN COc1cccc(CN2CC(C(C)C)C2)c1 ZINC000684407227 488307273 /nfs/dbraw/zinc/30/72/73/488307273.db2.gz AXJWZPCTVJFYGQ-UHFFFAOYSA-N 0 3 219.328 2.783 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1n[nH]c2ccccc21 ZINC000684411779 488309111 /nfs/dbraw/zinc/30/91/11/488309111.db2.gz NTYLJRNUJSCAFQ-AOOOYVTPSA-N 0 3 215.300 2.546 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1[nH]nc2ccccc21 ZINC000684411779 488309113 /nfs/dbraw/zinc/30/91/13/488309113.db2.gz NTYLJRNUJSCAFQ-AOOOYVTPSA-N 0 3 215.300 2.546 20 0 BFADHN C(=C\c1ccccc1)\CN1CC([C@H]2CCOC2)C1 ZINC000684416292 488310251 /nfs/dbraw/zinc/31/02/51/488310251.db2.gz YKFJTQNAQCCIFI-QZDDGCDVSA-N 0 3 243.350 2.668 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)CCCCO)CO2 ZINC000679354941 488344081 /nfs/dbraw/zinc/34/40/81/488344081.db2.gz OIHGHQBCTCFWDM-GXTWGEPZSA-N 0 3 249.354 2.569 20 0 BFADHN c1ccc2c(CN3CC=CCC3)ccnc2c1 ZINC000679357547 488344609 /nfs/dbraw/zinc/34/46/09/488344609.db2.gz ZLYMCGONCTYUNC-UHFFFAOYSA-N 0 3 224.307 2.997 20 0 BFADHN CCOCCN(C)Cc1nccc2ccccc21 ZINC000679422743 488351579 /nfs/dbraw/zinc/35/15/79/488351579.db2.gz AZWZDBVHURNINW-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN COCCCN1C[C@@H](C(F)(F)F)CC[C@H]1C ZINC000679545201 488356482 /nfs/dbraw/zinc/35/64/82/488356482.db2.gz JESDJQLZZFLEQC-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1nccn1C ZINC000120668066 490066621 /nfs/dbraw/zinc/06/66/21/490066621.db2.gz JNEAQVUOOSJZQW-OLZOCXBDSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1cn[nH]c1CNCc1ccc(C2CC2)cc1 ZINC000352871713 490106915 /nfs/dbraw/zinc/10/69/15/490106915.db2.gz OUTVERMTVVWVLG-UHFFFAOYSA-N 0 3 241.338 2.885 20 0 BFADHN CC1(C)CN(Cc2ccc(C3CC3)cc2)C[C@@H]1O ZINC000459506342 490154454 /nfs/dbraw/zinc/15/44/54/490154454.db2.gz PWXXEZFSEWDBHM-HNNXBMFYSA-N 0 3 245.366 2.767 20 0 BFADHN Cc1nc(C)c(CNCCc2ccccc2)o1 ZINC000311700461 490216604 /nfs/dbraw/zinc/21/66/04/490216604.db2.gz RTGSBJHDTPOYEH-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN COc1ccc(OC)c(CN2CC[C@H]3C[C@H]3C2)c1 ZINC000661972585 490243605 /nfs/dbraw/zinc/24/36/05/490243605.db2.gz LIXSVPGWBBUUCY-RYUDHWBXSA-N 0 3 247.338 2.546 20 0 BFADHN CC(C)(C)O[C@H]1C[C@H](NCc2cccc(O)c2)C1 ZINC000655844622 490261295 /nfs/dbraw/zinc/26/12/95/490261295.db2.gz XZBXMAISONZCFA-MQMHXKEQSA-N 0 3 249.354 2.828 20 0 BFADHN Cc1cncc([C@H](C)N[C@H](C)[C@H]2CCCCO2)c1 ZINC000274353530 490289013 /nfs/dbraw/zinc/28/90/13/490289013.db2.gz GWHWDERRNQUHJF-GZBFAFLISA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@@H](C)[C@H](CN(C)Cc1cccn1C)OC ZINC000638105453 490301516 /nfs/dbraw/zinc/30/15/16/490301516.db2.gz IMQVGYFMXYJWIZ-OCCSQVGLSA-N 0 3 238.375 2.518 20 0 BFADHN Cc1cnn(C)c1CN1CCC(C2CCC2)CC1 ZINC000668494469 490334512 /nfs/dbraw/zinc/33/45/12/490334512.db2.gz QIVOGQJSYIVDQA-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN CCN(Cc1ccnn1CC(C)C)CC1CC1 ZINC000648008591 490398506 /nfs/dbraw/zinc/39/85/06/490398506.db2.gz POGOCLWHGOHFAP-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN c1ccc([C@@H](NC2CCC2)c2cncnc2)cc1 ZINC000349512563 490398539 /nfs/dbraw/zinc/39/85/39/490398539.db2.gz IABFABGCLRUELB-OAHLLOKOSA-N 0 3 239.322 2.708 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@H]1C)c1ncc[nH]1 ZINC000124700798 490398480 /nfs/dbraw/zinc/39/84/80/490398480.db2.gz RFXRUNFWXBPFPB-WISYIIOYSA-N 0 3 221.348 2.885 20 0 BFADHN COC[C@@H](C)NCc1cccc(C(F)F)c1 ZINC000124736736 490402037 /nfs/dbraw/zinc/40/20/37/490402037.db2.gz USWAUPHEMQTJOP-SECBINFHSA-N 0 3 229.270 2.749 20 0 BFADHN COC[C@H]1CCCN1C/C=C\c1ccccc1 ZINC000222024505 490464837 /nfs/dbraw/zinc/46/48/37/490464837.db2.gz JJYVYTOAXNVRGE-HMZQOCAUSA-N 0 3 231.339 2.811 20 0 BFADHN CC[C@H](C)N(CC)C(=O)c1ccc2c(c1)CNC2 ZINC000131005352 490467347 /nfs/dbraw/zinc/46/73/47/490467347.db2.gz WKIVSFHNCYGOBB-NSHDSACASA-N 0 3 246.354 2.550 20 0 BFADHN Cc1ccc(CNC2CSC2)c(C)c1 ZINC000075133638 490515768 /nfs/dbraw/zinc/51/57/68/490515768.db2.gz ZTNDXGMKXYYWRC-UHFFFAOYSA-N 0 3 207.342 2.508 20 0 BFADHN Cc1ncc([C@@H](C)NC[C@H]2CCCCO2)s1 ZINC000126959608 490528980 /nfs/dbraw/zinc/52/89/80/490528980.db2.gz SKMHSFSQCNFXRU-MWLCHTKSSA-N 0 3 240.372 2.671 20 0 BFADHN COC[C@H](N[C@@H](C)c1cnc(C)s1)C(C)C ZINC000126985066 490531658 /nfs/dbraw/zinc/53/16/58/490531658.db2.gz QQTRZDYRFJJPHE-ONGXEEELSA-N 0 3 242.388 2.773 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@@H](C2CCC2)C1 ZINC000668719092 490543230 /nfs/dbraw/zinc/54/32/30/490543230.db2.gz UAIUVSYYBZVITA-CYBMUJFWSA-N 0 3 233.359 2.730 20 0 BFADHN CC(C)C[C@@H](C)CNCc1ccccn1 ZINC000300862612 490572244 /nfs/dbraw/zinc/57/22/44/490572244.db2.gz GQBHKOATXCQZQU-GFCCVEGCSA-N 0 3 206.333 2.853 20 0 BFADHN CN(Cc1cncnc1)C1CCC(C)(C)CC1 ZINC000128139414 490574280 /nfs/dbraw/zinc/57/42/80/490574280.db2.gz ZVGIOKGPWHDXMF-UHFFFAOYSA-N 0 3 233.359 2.877 20 0 BFADHN COc1ncccc1CN[C@@H](C)CC1CCC1 ZINC000128943141 490595571 /nfs/dbraw/zinc/59/55/71/490595571.db2.gz MXAQVUPAXUONME-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN C[C@H](N[C@@H]1CCS[C@@H]1C)c1ccco1 ZINC000220533856 490608485 /nfs/dbraw/zinc/60/84/85/490608485.db2.gz YBEIINBXARPBEK-IVZWLZJFSA-N 0 3 211.330 2.824 20 0 BFADHN COc1ccc(C)cc1CNC1CC=CC1 ZINC000131173204 490622793 /nfs/dbraw/zinc/62/27/93/490622793.db2.gz ATNNSZIUQMYFGM-UHFFFAOYSA-N 0 3 217.312 2.812 20 0 BFADHN Cc1cn2c(CNC3CC(C)C3)c(C)nc2s1 ZINC000080249235 490629871 /nfs/dbraw/zinc/62/98/71/490629871.db2.gz CFUQVOZZUZUCHV-UHFFFAOYSA-N 0 3 249.383 2.901 20 0 BFADHN C[C@H](N[C@H]1CCOC1)c1ccc2c(c1)CCC2 ZINC000131372077 490633325 /nfs/dbraw/zinc/63/33/25/490633325.db2.gz OURIDTAAOFPHLV-NHYWBVRUSA-N 0 3 231.339 2.615 20 0 BFADHN CC(C)C[C@H](CO)NCc1cscc1Cl ZINC000308852422 491062591 /nfs/dbraw/zinc/06/25/91/491062591.db2.gz OWBFLPUXXIMUBN-SNVBAGLBSA-N 0 3 247.791 2.898 20 0 BFADHN c1sc2ccccc2c1CN[C@H]1CCOC1 ZINC000087415959 491087522 /nfs/dbraw/zinc/08/75/22/491087522.db2.gz IPBBMXAGEYUCSN-NSHDSACASA-N 0 3 233.336 2.780 20 0 BFADHN Cc1nc([C@@H](C)NCCC[C@H](C)O)c(C)s1 ZINC000228033250 491088452 /nfs/dbraw/zinc/08/84/52/491088452.db2.gz AOKQNJPWXMJLFH-DTWKUNHWSA-N 0 3 242.388 2.572 20 0 BFADHN C[C@@H](N[C@@H](C)C(C)(C)O)c1ccc(F)c(F)c1 ZINC000087817652 491092524 /nfs/dbraw/zinc/09/25/24/491092524.db2.gz DPJUJVPXTRWAHU-BDAKNGLRSA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@H]1c2ccsc2CCN1C[C@@H](C)CO ZINC000120637474 491099845 /nfs/dbraw/zinc/09/98/45/491099845.db2.gz UOBDSTUDJOZUCB-PWSUYJOCSA-N 0 3 239.384 2.686 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H](C)[C@H]2C)o1 ZINC000685566122 491101121 /nfs/dbraw/zinc/10/11/21/491101121.db2.gz DQSOMWNNROBHMF-PSASIEDQSA-N 0 3 208.305 2.522 20 0 BFADHN Cc1ccc(CNCC(C)(C)CC(C)C)nn1 ZINC000414360589 491108054 /nfs/dbraw/zinc/10/80/54/491108054.db2.gz GTSHLSMKFFOJMX-UHFFFAOYSA-N 0 3 235.375 2.947 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1C[C@H]2CCC[C@@H]2C1 ZINC000638087391 491111836 /nfs/dbraw/zinc/11/18/36/491111836.db2.gz RYOOEFYLTUWHJE-MCIONIFRSA-N 0 3 245.370 2.603 20 0 BFADHN FC1(F)C[C@H]2CC[C@@H](C1)N2Cc1ccncc1 ZINC000625684999 491125601 /nfs/dbraw/zinc/12/56/01/491125601.db2.gz VJPYJYOOIAWQMG-TXEJJXNPSA-N 0 3 238.281 2.844 20 0 BFADHN Cc1ncc([C@H](C)NCCc2cccnc2)s1 ZINC000090402232 491125664 /nfs/dbraw/zinc/12/56/64/491125664.db2.gz IJQGDXLYFRACBK-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN CCCC1(CNCc2nccs2)CC1 ZINC000230157069 491127884 /nfs/dbraw/zinc/12/78/84/491127884.db2.gz OQWAKPWLOZGSDM-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN CC[C@@H]1CN(C)CCN1Cc1cccc(C)c1 ZINC000560614590 491129326 /nfs/dbraw/zinc/12/93/26/491129326.db2.gz GCGKNNDMVQXYTB-OAHLLOKOSA-N 0 3 232.371 2.521 20 0 BFADHN COC[C@H](N[C@@H](C)c1cnc(C)s1)C1CC1 ZINC000090718244 491129580 /nfs/dbraw/zinc/12/95/80/491129580.db2.gz ODOGVHWZFWAHMC-KWQFWETISA-N 0 3 240.372 2.527 20 0 BFADHN COC1CC(N[C@@H]2CSCc3ccccc32)C1 ZINC000230675562 491130487 /nfs/dbraw/zinc/13/04/87/491130487.db2.gz LUJSDDMDGLPLTO-ORHYLEIMSA-N 0 3 249.379 2.742 20 0 BFADHN CC(C)[C@H]1CCC[C@@H](NCc2ccon2)C1 ZINC000230995897 491131178 /nfs/dbraw/zinc/13/11/78/491131178.db2.gz CEYIIYAQBSKDGP-NWDGAFQWSA-N 0 3 222.332 2.979 20 0 BFADHN CCCCOCCN[C@@H]1CCCc2cccnc21 ZINC000091661258 491131309 /nfs/dbraw/zinc/13/13/09/491131309.db2.gz MEFCHXZYDQCMIW-CQSZACIVSA-N 0 3 248.370 2.865 20 0 BFADHN COC[C@@H]1CCCN1Cc1cc(C)ccc1F ZINC000091861563 491131730 /nfs/dbraw/zinc/13/17/30/491131730.db2.gz GVLHPHXXXMDAFI-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN COC[C@H]1CCCN1Cc1ccc(F)cc1C ZINC000091862387 491131734 /nfs/dbraw/zinc/13/17/34/491131734.db2.gz WULZSQXONFJFNM-CQSZACIVSA-N 0 3 237.318 2.745 20 0 BFADHN CC1(CNCc2ccon2)Cc2ccccc2C1 ZINC000634974587 491132045 /nfs/dbraw/zinc/13/20/45/491132045.db2.gz GAISUICZPPRYCF-UHFFFAOYSA-N 0 3 242.322 2.569 20 0 BFADHN CCn1cncc1CN1CCC[C@@H](C2CCC2)C1 ZINC000668719530 491132455 /nfs/dbraw/zinc/13/24/55/491132455.db2.gz XEKKTBAVWIYRBQ-CQSZACIVSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1ccc(N(C)C)nc1 ZINC000127784110 491132744 /nfs/dbraw/zinc/13/27/44/491132744.db2.gz CQLDSTFDICGFQN-QWHCGFSZSA-N 0 3 247.386 2.768 20 0 BFADHN CCN(CCCF)CCC(F)(F)F ZINC000308228628 491135181 /nfs/dbraw/zinc/13/51/81/491135181.db2.gz NWUVCBYSOCWKLS-UHFFFAOYSA-N 0 3 201.207 2.620 20 0 BFADHN C[C@H](NCc1cscc1Cl)[C@@H]1CCOC1 ZINC000309151278 491145656 /nfs/dbraw/zinc/14/56/56/491145656.db2.gz WJQRUOHOEYBPON-DTWKUNHWSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@H](CF)NCc1ccc2cnccc2c1 ZINC000309781558 491156457 /nfs/dbraw/zinc/15/64/57/491156457.db2.gz RHMMECXVKYPDKU-SNVBAGLBSA-N 0 3 218.275 2.682 20 0 BFADHN C/C=C/CN[C@H]1CCCc2c(O)cccc21 ZINC000309926935 491158250 /nfs/dbraw/zinc/15/82/50/491158250.db2.gz VULBSZKJJQYKCP-IBUXWKBASA-N 0 3 217.312 2.935 20 0 BFADHN CC[C@H](NCc1csc(C)n1)C1CC1 ZINC000083055845 491159561 /nfs/dbraw/zinc/15/95/61/491159561.db2.gz SDAZZKHBSRAYDC-NSHDSACASA-N 0 3 210.346 2.730 20 0 BFADHN CCn1cc([C@@H](C)N[C@H]2CCC(F)(F)C2)cn1 ZINC000310234322 491160904 /nfs/dbraw/zinc/16/09/04/491160904.db2.gz HNKJGDMVNJZUQL-KOLCDFICSA-N 0 3 243.301 2.741 20 0 BFADHN CC(C)[C@H]1CCCC[C@H]1NCc1cn(C)cn1 ZINC000310293758 491160982 /nfs/dbraw/zinc/16/09/82/491160982.db2.gz ADLRVXIOIJJFQE-ZIAGYGMSSA-N 0 3 235.375 2.725 20 0 BFADHN CCOc1ccc(CN(C)[C@H](C)C2CC2)nc1 ZINC000083596422 491162324 /nfs/dbraw/zinc/16/23/24/491162324.db2.gz HWSPODPYNKPBAI-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN Cn1nccc1CNCCCCc1ccccc1 ZINC000134294344 491162936 /nfs/dbraw/zinc/16/29/36/491162936.db2.gz AJMUBSGXMBDLSO-UHFFFAOYSA-N 0 3 243.354 2.533 20 0 BFADHN COc1cccc([C@H](C)N2CCC(OC)CC2)c1 ZINC000488106043 533768484 /nfs/dbraw/zinc/76/84/84/533768484.db2.gz LCGXQSHBNRXPIZ-LBPRGKRZSA-N 0 3 249.354 2.867 20 0 BFADHN C[C@H](NC1(C(=O)Nc2ccccc2)CC1)C1CC1 ZINC000494852143 533789096 /nfs/dbraw/zinc/78/90/96/533789096.db2.gz YNSRCGLKWDZAIO-NSHDSACASA-N 0 3 244.338 2.546 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cnc(C)nc1 ZINC000352024303 535510322 /nfs/dbraw/zinc/51/03/22/535510322.db2.gz FOMAFDSWXOFQNF-LLVKDONJSA-N 0 3 221.348 2.653 20 0 BFADHN CCOCCN(CC)Cc1cc(F)ccc1C ZINC000179997736 535586128 /nfs/dbraw/zinc/58/61/28/535586128.db2.gz OQWJNIUOAYITHV-UHFFFAOYSA-N 0 3 239.334 2.993 20 0 BFADHN CCC[C@H](C)CN1CCS[C@H]2COCC[C@@H]21 ZINC000180683558 535612522 /nfs/dbraw/zinc/61/25/22/535612522.db2.gz PDRGTZIKXGSUBA-AVGNSLFASA-N 0 3 243.416 2.629 20 0 BFADHN CCOCCN[C@H](C)c1ccc(C)c(F)c1 ZINC000087284265 535627011 /nfs/dbraw/zinc/62/70/11/535627011.db2.gz GWNNCAXHRATYFB-LLVKDONJSA-N 0 3 225.307 2.821 20 0 BFADHN C[C@H]1COC(C)(C)CN1[C@@H]1C=CCCC1 ZINC000488234750 534021589 /nfs/dbraw/zinc/02/15/89/534021589.db2.gz QJAKOMIHGGFIOW-NWDGAFQWSA-N 0 3 209.333 2.594 20 0 BFADHN CC(C)[N@H+](C)Cc1cc([O-])cc(Cl)c1 ZINC000891450374 582689575 /nfs/dbraw/zinc/68/95/75/582689575.db2.gz HISOGERWOFYZSC-UHFFFAOYSA-N 0 3 213.708 2.886 20 0 BFADHN CC(C)[N@@H+](C)Cc1cc([O-])cc(Cl)c1 ZINC000891450374 582689577 /nfs/dbraw/zinc/68/95/77/582689577.db2.gz HISOGERWOFYZSC-UHFFFAOYSA-N 0 3 213.708 2.886 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1nccn1CC(C)C ZINC000348105558 535823609 /nfs/dbraw/zinc/82/36/09/535823609.db2.gz GIRPZHLHGXIFLH-OLZOCXBDSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@H](N[C@@H]1CCOC1)c1cccc(F)c1 ZINC000164084830 535938995 /nfs/dbraw/zinc/93/89/95/535938995.db2.gz SCQOYUMFLSKVMJ-OLZOCXBDSA-N 0 3 223.291 2.655 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C)[C@@H]2C)o1 ZINC000891862590 582731027 /nfs/dbraw/zinc/73/10/27/582731027.db2.gz REDKFEGTAZMRGE-MNOVXSKESA-N 0 3 223.316 2.909 20 0 BFADHN CC[C@H](C)N(C)C(=O)[C@H](C)N1CCCCCC1 ZINC000172100235 536142354 /nfs/dbraw/zinc/14/23/54/536142354.db2.gz YKJUZWITXPANNH-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN CCc1ccc(CN2C[C@H]3CCC[C@@H]32)cn1 ZINC000335998551 536180438 /nfs/dbraw/zinc/18/04/38/536180438.db2.gz HIMIXTZCWYQSQZ-OCCSQVGLSA-N 0 3 216.328 2.628 20 0 BFADHN Cc1ccn2cc(CN[C@@H]3C[C@@H](C)[C@H]3C)nc2c1 ZINC000495089916 534365739 /nfs/dbraw/zinc/36/57/39/534365739.db2.gz ZBZGVRGVGJMZGP-YRGRVCCFSA-N 0 3 243.354 2.777 20 0 BFADHN CCc1[nH]nc(Cl)c1CN1C[C@H](C)[C@H](C)C1 ZINC000892068910 582784685 /nfs/dbraw/zinc/78/46/85/582784685.db2.gz WCHZALGPOMEBNO-DTORHVGOSA-N 0 3 241.766 2.713 20 0 BFADHN CC(C)CNCc1ncc(Br)s1 ZINC000085352199 519749033 /nfs/dbraw/zinc/74/90/33/519749033.db2.gz LOAXNMJIGDGKDE-UHFFFAOYSA-N 0 3 249.177 2.651 20 0 BFADHN CCOc1ccc(CN(C)CC(C)(C)OC)o1 ZINC000892227108 582809516 /nfs/dbraw/zinc/80/95/16/582809516.db2.gz ZXQBSQKSHLCIHZ-UHFFFAOYSA-N 0 3 241.331 2.535 20 0 BFADHN Cc1ccc2[nH]c(CNC[C@H]3C[C@@H]3C)nc2c1 ZINC000488434679 534501451 /nfs/dbraw/zinc/50/14/51/534501451.db2.gz JTWFMCDWPAJATL-WDEREUQCSA-N 0 3 229.327 2.617 20 0 BFADHN Cc1ccc2nc(CNC[C@H]3C[C@@H]3C)[nH]c2c1 ZINC000488434679 534501458 /nfs/dbraw/zinc/50/14/58/534501458.db2.gz JTWFMCDWPAJATL-WDEREUQCSA-N 0 3 229.327 2.617 20 0 BFADHN Cc1occc1CN(C)C[C@@H]1CCSC1 ZINC000494943152 534566236 /nfs/dbraw/zinc/56/62/36/534566236.db2.gz UHSZPQLQAAQHPA-NSHDSACASA-N 0 3 225.357 2.773 20 0 BFADHN Cc1ccc2c(c1)C[C@@H](N(C)CCF)CC2 ZINC001172840466 974955182 /nfs/dbraw/zinc/95/51/82/974955182.db2.gz ZIEXYQPGECBAEN-AWEZNQCLSA-N 0 3 221.319 2.754 20 0 BFADHN CC(=O)CCN1CCSC2(CCCCC2)C1 ZINC000175968051 534724647 /nfs/dbraw/zinc/72/46/47/534724647.db2.gz YUJTYSGNRNPVNE-UHFFFAOYSA-N 0 3 241.400 2.717 20 0 BFADHN Cc1cccc(CNC[C@@H](O)c2ccccc2)c1 ZINC000035111658 524433319 /nfs/dbraw/zinc/43/33/19/524433319.db2.gz HIGWJXIRANBZJL-MRXNPFEDSA-N 0 3 241.334 2.818 20 0 BFADHN CCCCCCNCc1ccnc(OC)c1F ZINC000892427688 582884813 /nfs/dbraw/zinc/88/48/13/582884813.db2.gz ULMNQCCFUHWCNA-UHFFFAOYSA-N 0 3 240.322 2.899 20 0 BFADHN COCCCC1(CNCc2ccco2)CCC1 ZINC000892429144 582885174 /nfs/dbraw/zinc/88/51/74/582885174.db2.gz FQUURXVOMCFCQA-UHFFFAOYSA-N 0 3 237.343 2.966 20 0 BFADHN CCCSC[C@@H](C)NCc1ccco1 ZINC000892429899 582885853 /nfs/dbraw/zinc/88/58/53/582885853.db2.gz UHWBCIZEVANUPW-SNVBAGLBSA-N 0 3 213.346 2.901 20 0 BFADHN C/C=C\c1ccc(NC(=O)/C=C\CN(C)C)cc1 ZINC000493727988 526338256 /nfs/dbraw/zinc/33/82/56/526338256.db2.gz RDFXDELFDRLZQS-PEPZGXQESA-N 0 3 244.338 2.776 20 0 BFADHN CC(C)C(CN[C@@H](C)c1ncc[nH]1)C(C)C ZINC000304439673 527589022 /nfs/dbraw/zinc/58/90/22/527589022.db2.gz VEIVAJVYFIIHRX-NSHDSACASA-N 0 3 223.364 2.989 20 0 BFADHN CCN(C/C=C/c1ccncc1)C[C@H]1CCCO1 ZINC000495150572 527871762 /nfs/dbraw/zinc/87/17/62/527871762.db2.gz KLIIEABBWJWJGY-SJDLMVEWSA-N 0 3 246.354 2.596 20 0 BFADHN CCCN(Cc1cccc(OCC)n1)C1CC1 ZINC000507233208 528141136 /nfs/dbraw/zinc/14/11/36/528141136.db2.gz PVRGROHSAHUARC-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCCN1CCN(Cc2cccc(C)c2C)CC1 ZINC000505255509 528247233 /nfs/dbraw/zinc/24/72/33/528247233.db2.gz TXXSJHIGMBUFRO-UHFFFAOYSA-N 0 3 246.398 2.831 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H]2C[C@H](C)[C@@H]2C)[n-]1 ZINC000495062856 528314685 /nfs/dbraw/zinc/31/46/85/528314685.db2.gz BBKYNVYUPZYDQU-XWLWVQCSSA-N 0 3 236.363 2.623 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@@H]2C[C@H](C)[C@@H]2C)[nH]1 ZINC000495062856 528314689 /nfs/dbraw/zinc/31/46/89/528314689.db2.gz BBKYNVYUPZYDQU-XWLWVQCSSA-N 0 3 236.363 2.623 20 0 BFADHN CC(C)C[C@H]1COCCN1CCc1ccccc1 ZINC000506213745 528600397 /nfs/dbraw/zinc/60/03/97/528600397.db2.gz FIBIBAVHIHTKCK-INIZCTEOSA-N 0 3 247.382 2.976 20 0 BFADHN CCCc1cccc(CN[C@@H]2C[C@@H](O)C2(C)C)c1 ZINC000494427363 528637375 /nfs/dbraw/zinc/63/73/75/528637375.db2.gz FIMUHXZEOOLWAE-HUUCEWRRSA-N 0 3 247.382 2.888 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H]1C[C@H](C)[C@H]1C ZINC000495079446 528733143 /nfs/dbraw/zinc/73/31/43/528733143.db2.gz ABPFRJOKJJEINP-YNEHKIRRSA-N 0 3 235.375 2.673 20 0 BFADHN CCCOc1ncccc1CNC[C@H]1C[C@H]1C ZINC000488414852 528793130 /nfs/dbraw/zinc/79/31/30/528793130.db2.gz RMGMGBKJWBYUBE-DGCLKSJQSA-N 0 3 234.343 2.616 20 0 BFADHN CC1(C)CC[C@@H](CNC/C=C/c2ccncc2)O1 ZINC000487579433 529024859 /nfs/dbraw/zinc/02/48/59/529024859.db2.gz WDJLDUSZHKFGHC-XGACYXMMSA-N 0 3 246.354 2.642 20 0 BFADHN CC[C@H]1CCCN(Cc2cc(C)n(C)n2)CC1 ZINC000487379792 529216963 /nfs/dbraw/zinc/21/69/63/529216963.db2.gz QHFKOEBCTUQCOW-ZDUSSCGKSA-N 0 3 235.375 2.741 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cccc(OC)n1 ZINC000466926368 529316101 /nfs/dbraw/zinc/31/61/01/529316101.db2.gz KOVQEJHAUVWUTK-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@H]1C[C@@]1(NCCOC)c1cccc(C)c1 ZINC000502533023 529321342 /nfs/dbraw/zinc/32/13/42/529321342.db2.gz XLCCWCXCQPQUOI-ZFWWWQNUSA-N 0 3 233.355 2.856 20 0 BFADHN CCCC[C@H](CC)CC(=O)N(C)CCN(C)C ZINC000176373054 534990709 /nfs/dbraw/zinc/99/07/09/534990709.db2.gz RRPPFOGWPNORJG-ZDUSSCGKSA-N 0 3 242.407 2.613 20 0 BFADHN CC(C)n1nccc1CN1CC[C@@H](C)[C@H]1C ZINC000334393126 535146811 /nfs/dbraw/zinc/14/68/11/535146811.db2.gz ZHOPOBZHJGFGLY-VXGBXAGGSA-N 0 3 221.348 2.694 20 0 BFADHN CC1(CNCc2c(F)cccc2F)CC1 ZINC000224168318 535151161 /nfs/dbraw/zinc/15/11/61/535151161.db2.gz OKICPUUVKBLJBX-UHFFFAOYSA-N 0 3 211.255 2.855 20 0 BFADHN CC(C)N1CC[C@@H](N(C)Cc2cccs2)C1 ZINC000342676889 535225460 /nfs/dbraw/zinc/22/54/60/535225460.db2.gz DFTNKMZGXULBQS-GFCCVEGCSA-N 0 3 238.400 2.663 20 0 BFADHN CC(C)[C@H]1CN(C)CCN1Cc1ccsc1 ZINC000184741836 535235764 /nfs/dbraw/zinc/23/57/64/535235764.db2.gz WZDNPFVFKQUSCN-CYBMUJFWSA-N 0 3 238.400 2.520 20 0 BFADHN CCOC1CC2(C1)CCN(C[C@@H](F)CC)C2 ZINC000353005341 535398225 /nfs/dbraw/zinc/39/82/25/535398225.db2.gz CHRMWDCYHTYZIL-HIFPTAJRSA-N 0 3 229.339 2.626 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1ncc(C)n1C ZINC000342768918 535409323 /nfs/dbraw/zinc/40/93/23/535409323.db2.gz NTFPMVJYLLQZOY-CYBMUJFWSA-N 0 3 235.375 2.883 20 0 BFADHN CC[C@@H](C)CN(CC(N)=O)[C@@H](C)c1ccccc1 ZINC000350952982 535494732 /nfs/dbraw/zinc/49/47/32/535494732.db2.gz UGGQSXZIWXFOLU-OLZOCXBDSA-N 0 3 248.370 2.581 20 0 BFADHN CCOc1ccccc1CN(C)C1CC(OC)C1 ZINC000352210483 535503265 /nfs/dbraw/zinc/50/32/65/535503265.db2.gz GMHVMHJIQMXREG-UHFFFAOYSA-N 0 3 249.354 2.695 20 0 BFADHN CCN1CCC[C@@H](n2ccc(C(F)(F)F)n2)C1 ZINC000182834564 535542246 /nfs/dbraw/zinc/54/22/46/535542246.db2.gz IZNQDTBFHPSBLX-SECBINFHSA-N 0 3 247.264 2.559 20 0 BFADHN CCN(CC)[C@@H](C)C(=O)Nc1cc(C)cc(C)c1 ZINC000079872078 535638502 /nfs/dbraw/zinc/63/85/02/535638502.db2.gz MPCMDWJYVNPBAC-ZDUSSCGKSA-N 0 3 248.370 2.972 20 0 BFADHN CCN(CC)[C@H](C)C(=O)N1[C@H](C)CCC[C@H]1C ZINC000249376690 535666563 /nfs/dbraw/zinc/66/65/63/535666563.db2.gz LERUZFMVMYFMIT-JHJVBQTASA-N 0 3 240.391 2.506 20 0 BFADHN CCN(CCc1nccs1)CC[C@@H](C)F ZINC000351751156 535759182 /nfs/dbraw/zinc/75/91/82/535759182.db2.gz GSOAKCMEWVHNNA-SNVBAGLBSA-N 0 3 230.352 2.756 20 0 BFADHN CC[C@@H](N[C@@H](C)CCOC)c1nccs1 ZINC000070717428 535807944 /nfs/dbraw/zinc/80/79/44/535807944.db2.gz UFJFATCFFRSLRW-VHSXEESVSA-N 0 3 228.361 2.609 20 0 BFADHN CC[C@@H](N[C@@H]1CCSC1)c1ccc(F)cn1 ZINC000226619547 535808546 /nfs/dbraw/zinc/80/85/46/535808546.db2.gz QMGLUQVHIIEKMA-GHMZBOCLSA-N 0 3 240.347 2.767 20 0 BFADHN CC[C@@H]1COCCN1C[C@H]1C[C@@H]1c1ccccc1 ZINC000352090836 535833624 /nfs/dbraw/zinc/83/36/24/535833624.db2.gz DDPXAHGFFHNJOI-BZUAXINKSA-N 0 3 245.366 2.901 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1C[C@H](C)[C@H](O)C1 ZINC000351828043 536060943 /nfs/dbraw/zinc/06/09/43/536060943.db2.gz NTRRJDOABYCPLH-ZLKJLUDKSA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1cn2c(cccc2C)n1 ZINC000348111290 536101290 /nfs/dbraw/zinc/10/12/90/536101290.db2.gz AJMFXTOWECLHDK-GXTWGEPZSA-N 0 3 243.354 2.921 20 0 BFADHN CO[C@@H]1CCN([C@H]2CCc3ccc(F)cc32)C1 ZINC000336090474 536692822 /nfs/dbraw/zinc/69/28/22/536692822.db2.gz ZLLHVVVAYSFUMH-OCCSQVGLSA-N 0 3 235.302 2.534 20 0 BFADHN COCCN(CCCCF)Cc1cccs1 ZINC000352096856 536835287 /nfs/dbraw/zinc/83/52/87/536835287.db2.gz LAXSFPAHGHWKJM-UHFFFAOYSA-N 0 3 245.363 2.946 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2C[C@@H]2C(F)F)cc1 ZINC000348275161 536904357 /nfs/dbraw/zinc/90/43/57/536904357.db2.gz MPHAIFWWPHWQRK-KPXOXKRLSA-N 0 3 241.281 2.999 20 0 BFADHN COc1cnccc1[C@H](C)N[C@H]1CCC1(C)C ZINC000342578436 537128179 /nfs/dbraw/zinc/12/81/79/537128179.db2.gz OQSXTBRDCAKVAS-GWCFXTLKSA-N 0 3 234.343 2.929 20 0 BFADHN CC(C)(CO)CNCc1cc2ccccc2o1 ZINC000124304472 537972878 /nfs/dbraw/zinc/97/28/78/537972878.db2.gz IDPLUTMMXVYQPE-UHFFFAOYSA-N 0 3 233.311 2.541 20 0 BFADHN CCc1ccc([C@H](COC)N[C@@H]2CC[C@H]2C)o1 ZINC000783243880 582953343 /nfs/dbraw/zinc/95/33/43/582953343.db2.gz PIZUPRSKHHIXPK-RTXFEEFZSA-N 0 3 237.343 2.918 20 0 BFADHN FC1(F)CCC(CN2CCCOCC2)CC1 ZINC000334787018 566398672 /nfs/dbraw/zinc/39/86/72/566398672.db2.gz KOCUKBLYYUMKSQ-UHFFFAOYSA-N 0 3 233.302 2.534 20 0 BFADHN COc1nccc(CN[C@@H]2CCC[C@H]2C)c1F ZINC000892946357 583015534 /nfs/dbraw/zinc/01/55/34/583015534.db2.gz YYBPSVQXQVFJMW-MWLCHTKSSA-N 0 3 238.306 2.508 20 0 BFADHN CCOc1ccc(CN[C@H]2CC=CCC2)o1 ZINC000893003955 583028345 /nfs/dbraw/zinc/02/83/45/583028345.db2.gz PKNTYWXFYWMBQX-NSHDSACASA-N 0 3 221.300 2.877 20 0 BFADHN COc1ccc(CN[C@H]2CCC(F)(F)C2)o1 ZINC000893153526 583058007 /nfs/dbraw/zinc/05/80/07/583058007.db2.gz TVWBMNHJNDTSEB-QMMMGPOBSA-N 0 3 231.242 2.566 20 0 BFADHN Cc1c(Cl)cccc1CNC[C@H]1CCCO1 ZINC000219668050 583175512 /nfs/dbraw/zinc/17/55/12/583175512.db2.gz RIXCCCSUQRGKQH-GFCCVEGCSA-N 0 3 239.746 2.917 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ocnc1C ZINC000893871410 583236887 /nfs/dbraw/zinc/23/68/87/583236887.db2.gz MXQFZRRYSRKYKV-LLVKDONJSA-N 0 3 208.305 2.748 20 0 BFADHN Cc1ccnc(N)c1CN(C)[C@H](C)C(C)(C)C ZINC000893952998 583261574 /nfs/dbraw/zinc/26/15/74/583261574.db2.gz PAGXWYOXSFQAOD-LLVKDONJSA-N 0 3 235.375 2.839 20 0 BFADHN COCCCN(C)C/C=C\c1cccc(F)c1 ZINC000893977230 583265922 /nfs/dbraw/zinc/26/59/22/583265922.db2.gz BXKYSTLOXOLLHH-DAXSKMNVSA-N 0 3 237.318 2.807 20 0 BFADHN CCC1(C)CCN(Cc2ocnc2C)CC1 ZINC000893986386 583268656 /nfs/dbraw/zinc/26/86/56/583268656.db2.gz AVTVIAYMEVHWQV-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN CCC(C)(C)CNCc1ccc(OC)o1 ZINC000894030092 583281955 /nfs/dbraw/zinc/28/19/55/583281955.db2.gz MVTRPXUNYXSXJN-UHFFFAOYSA-N 0 3 211.305 2.814 20 0 BFADHN C[C@@H](Nc1cc(N)ncc1Cl)C1CC1 ZINC000894039149 583283723 /nfs/dbraw/zinc/28/37/23/583283723.db2.gz QTESHYHPMNKJOK-ZCFIWIBFSA-N 0 3 211.696 2.528 20 0 BFADHN CC[C@@]1(C)CCN(Cc2[nH]nc(C)c2C)C1 ZINC000894087225 583298020 /nfs/dbraw/zinc/29/80/20/583298020.db2.gz VSWFLFQWSZMMOP-ZDUSSCGKSA-N 0 3 221.348 2.649 20 0 BFADHN CCOc1ccc(CNCC2(SC)CC2)o1 ZINC000894107808 583307140 /nfs/dbraw/zinc/30/71/40/583307140.db2.gz SNPWPIXVRURAKF-UHFFFAOYSA-N 0 3 241.356 2.664 20 0 BFADHN Cc1ccc2c(c1)CC[C@H]2NCCOCCF ZINC000853238531 588728199 /nfs/dbraw/zinc/72/81/99/588728199.db2.gz LAERIGIMRATDPA-CQSZACIVSA-N 0 3 237.318 2.558 20 0 BFADHN c1nncn1CN1CCC[C@H](C2CCCCC2)C1 ZINC000853610063 588750592 /nfs/dbraw/zinc/75/05/92/588750592.db2.gz PFUFPJFXYGHABX-AWEZNQCLSA-N 0 3 248.374 2.528 20 0 BFADHN C/C=C/CNCc1ccc(OCOCC)cc1 ZINC000858290941 582458843 /nfs/dbraw/zinc/45/88/43/582458843.db2.gz KEYBEDTVDVTPTG-HWKANZROSA-N 0 3 235.327 2.725 20 0 BFADHN c1csc(CCN2CCC[C@H]2c2cc[nH]n2)c1 ZINC000788008107 582535453 /nfs/dbraw/zinc/53/54/53/582535453.db2.gz IRXDHOMPMZUROC-ZDUSSCGKSA-N 0 3 247.367 2.851 20 0 BFADHN CCNCc1cc(-c2ccccn2)ccc1F ZINC000113300279 588830895 /nfs/dbraw/zinc/83/08/95/588830895.db2.gz NIGQEIIITQYONS-UHFFFAOYSA-N 0 3 230.286 2.997 20 0 BFADHN Nc1cc(N2CCCCCC2)c(Cl)cn1 ZINC000891387826 582675773 /nfs/dbraw/zinc/67/57/73/582675773.db2.gz HQHLBXLMUCSRCJ-UHFFFAOYSA-N 0 3 225.723 2.698 20 0 BFADHN Cn1cc(CN2CCCCCC2)c(C2CC2)n1 ZINC000891445541 582686910 /nfs/dbraw/zinc/68/69/10/582686910.db2.gz WNQHNXLDYGMDRP-UHFFFAOYSA-N 0 3 233.359 2.674 20 0 BFADHN CCn1cc(CN(C)[C@@H](C)C(C)C)c(C)n1 ZINC000891604317 582700969 /nfs/dbraw/zinc/70/09/69/582700969.db2.gz FLLKSJPCOWQBPI-LBPRGKRZSA-N 0 3 223.364 2.688 20 0 BFADHN CCN(Cc1cnn(C)c1C1CC1)CC(C)C ZINC000891619303 582702586 /nfs/dbraw/zinc/70/25/86/582702586.db2.gz CVDKPBBEJFOKLL-UHFFFAOYSA-N 0 3 235.375 2.775 20 0 BFADHN CCN(Cc1cn(C)nc1C1CC1)CC(C)C ZINC000891619556 582702660 /nfs/dbraw/zinc/70/26/60/582702660.db2.gz FPNRPTUXLQZDMD-UHFFFAOYSA-N 0 3 235.375 2.775 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cnn(C2CCC2)c1 ZINC000891644649 582704369 /nfs/dbraw/zinc/70/43/69/582704369.db2.gz YRNWFJUVIJMAFD-NSHDSACASA-N 0 3 233.359 2.838 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1ccnn1C1CCC1 ZINC000891643675 582705394 /nfs/dbraw/zinc/70/53/94/582705394.db2.gz NHWJIPSLVXDXFK-NSHDSACASA-N 0 3 233.359 2.838 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cccc2[nH]c(=O)oc21 ZINC000891644055 582705570 /nfs/dbraw/zinc/70/55/70/582705570.db2.gz RKEKAHZOXJNZOP-SECBINFHSA-N 0 3 246.310 2.764 20 0 BFADHN CC(C)CN(Cc1cnn(C)c1C1CC1)C1CC1 ZINC000891668276 582707749 /nfs/dbraw/zinc/70/77/49/582707749.db2.gz MQCGLWRBQNAOAJ-UHFFFAOYSA-N 0 3 247.386 2.918 20 0 BFADHN COc1ccc(CN(CC(C)C)C2CC2)o1 ZINC000891668575 582707990 /nfs/dbraw/zinc/70/79/90/582707990.db2.gz PZJIACHUTIERIS-UHFFFAOYSA-N 0 3 223.316 2.909 20 0 BFADHN CCn1cc(CN([C@@H](C)C2CC2)C2CC2)c(C)n1 ZINC000891669490 582708700 /nfs/dbraw/zinc/70/87/00/582708700.db2.gz WVKOIMNNQVYJIW-LBPRGKRZSA-N 0 3 247.386 2.974 20 0 BFADHN CC[C@H]1CCCN(Cc2ccc(OC)o2)C1 ZINC000891708037 582711844 /nfs/dbraw/zinc/71/18/44/582711844.db2.gz VLVVRFIKIWAZMK-NSHDSACASA-N 0 3 223.316 2.910 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccc(OC)o2)C1 ZINC000891708036 582712313 /nfs/dbraw/zinc/71/23/13/582712313.db2.gz VLVVRFIKIWAZMK-LLVKDONJSA-N 0 3 223.316 2.910 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCC(C)(C)CO)o1 ZINC000124320927 582718088 /nfs/dbraw/zinc/71/80/88/582718088.db2.gz KFJVDIDPZUWMQW-ZYHUDNBSSA-N 0 3 237.343 2.511 20 0 BFADHN COC1CCC(CN(C)Cc2ccoc2)CC1 ZINC000891779341 582718994 /nfs/dbraw/zinc/71/89/94/582718994.db2.gz IUGIOSNUMWBYIX-UHFFFAOYSA-N 0 3 237.343 2.917 20 0 BFADHN C[C@@H]1CCN(Cc2cnn(CC3CCC3)c2)C1 ZINC000891796085 582723275 /nfs/dbraw/zinc/72/32/75/582723275.db2.gz BODDGSLBAFDCQR-GFCCVEGCSA-N 0 3 233.359 2.525 20 0 BFADHN C[C@H]1CCCN(Cc2cn(C)nc2C2CC2)CC1 ZINC000891821126 582725832 /nfs/dbraw/zinc/72/58/32/582725832.db2.gz DPAIPEQIFKYBSF-LBPRGKRZSA-N 0 3 247.386 2.920 20 0 BFADHN COc1ccc2c(c1)nccc2N1CCCC1 ZINC000146394577 582726525 /nfs/dbraw/zinc/72/65/25/582726525.db2.gz HWCVAOLZJIPSPV-UHFFFAOYSA-N 0 3 228.295 2.844 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1cnn(C)c1C1CC1 ZINC000891863385 582731440 /nfs/dbraw/zinc/73/14/40/582731440.db2.gz WGPBXLOXATYIIF-NWDGAFQWSA-N 0 3 247.386 2.918 20 0 BFADHN c1nn(C2CCC2)cc1CN1CCC[C@H]1C1CC1 ZINC000891933175 582739920 /nfs/dbraw/zinc/73/99/20/582739920.db2.gz MFHXUXLNFMZTPO-HNNXBMFYSA-N 0 3 245.370 2.983 20 0 BFADHN CCC(CC)N(Cc1cnc(C)nc1)C1CC1 ZINC000535802479 582746693 /nfs/dbraw/zinc/74/66/93/582746693.db2.gz DTRSXLLLZJDMAO-UHFFFAOYSA-N 0 3 233.359 2.938 20 0 BFADHN CSC[C@H]1CCCN1C/C=C/Cl ZINC000135458439 582760057 /nfs/dbraw/zinc/76/00/57/582760057.db2.gz LLIOUOLWMJAIFA-HYYFJVDXSA-N 0 3 205.754 2.566 20 0 BFADHN Cc1cc(F)ncc1CN1CCC(C)(C)C1 ZINC000892022014 582763352 /nfs/dbraw/zinc/76/33/52/582763352.db2.gz RBKOVTCNAKXMGQ-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN Cc1cc(F)ncc1CN1CCC[C@H]1C ZINC000892020405 582763508 /nfs/dbraw/zinc/76/35/08/582763508.db2.gz WNILWAVIENNSFM-SNVBAGLBSA-N 0 3 208.280 2.513 20 0 BFADHN Cc1cc(CN2CCC[C@H]2C)cnc1F ZINC000892020361 582763698 /nfs/dbraw/zinc/76/36/98/582763698.db2.gz VJVYWWQFMBWCEL-SNVBAGLBSA-N 0 3 208.280 2.513 20 0 BFADHN CN(Cc1nccc2c1CCCC2)C1CCC1 ZINC000892059112 582778635 /nfs/dbraw/zinc/77/86/35/582778635.db2.gz VXXDLAHUZFCINY-UHFFFAOYSA-N 0 3 230.355 2.945 20 0 BFADHN Cc1cc(CN(C)C2CCC2)cnc1F ZINC000892058630 582778915 /nfs/dbraw/zinc/77/89/15/582778915.db2.gz AQILHLXVBQLXGJ-UHFFFAOYSA-N 0 3 208.280 2.513 20 0 BFADHN CCOc1ccc(CN(C)C2CCC2)o1 ZINC000892059201 582779344 /nfs/dbraw/zinc/77/93/44/582779344.db2.gz YZJXEMCAJXISNQ-UHFFFAOYSA-N 0 3 209.289 2.663 20 0 BFADHN C[C@@H]1CCN(Cc2cn3ccnc3s2)C[C@H]1C ZINC000892064919 582782441 /nfs/dbraw/zinc/78/24/41/582782441.db2.gz GVDAFUJQZSPSNO-GHMZBOCLSA-N 0 3 249.383 2.874 20 0 BFADHN C[C@@H]1CN(Cc2cccc3[nH]c(=O)oc32)C[C@H]1C ZINC000892068373 582783510 /nfs/dbraw/zinc/78/35/10/582783510.db2.gz LWYJIQSRPMFXQG-NXEZZACHSA-N 0 3 246.310 2.621 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2c3c(nn2C)CCC3)C1 ZINC000892070376 582785510 /nfs/dbraw/zinc/78/55/10/582785510.db2.gz SDDCJECAWOTSTK-VXGBXAGGSA-N 0 3 247.386 2.529 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2c3c(nn2C)CCC3)C1 ZINC000892070008 582785799 /nfs/dbraw/zinc/78/57/99/582785799.db2.gz SDDCJECAWOTSTK-RYUDHWBXSA-N 0 3 247.386 2.529 20 0 BFADHN CCN(Cc1ccc(OC)o1)C1CCC1 ZINC000892085644 582788155 /nfs/dbraw/zinc/78/81/55/582788155.db2.gz HSKYLIBSTWURJZ-UHFFFAOYSA-N 0 3 209.289 2.663 20 0 BFADHN Cc1cc(CN2C[C@@H](C)C[C@@H]2C)cnc1F ZINC000892104909 582792851 /nfs/dbraw/zinc/79/28/51/582792851.db2.gz UDNIMCSJBXJNRX-ONGXEEELSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1cc(CN2C[C@@H]3[C@H](C2)C3(C)C)cnc1F ZINC000892113380 582794458 /nfs/dbraw/zinc/79/44/58/582794458.db2.gz FNGVZMRUVYYMMO-TXEJJXNPSA-N 0 3 234.318 2.617 20 0 BFADHN COc1ccc(CN2CCC[C@@H]2CSC)o1 ZINC000892135400 582797955 /nfs/dbraw/zinc/79/79/55/582797955.db2.gz BLTNVLLOGWUTLK-SNVBAGLBSA-N 0 3 241.356 2.616 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccc(OC)o2)C1 ZINC000892147381 582801257 /nfs/dbraw/zinc/80/12/57/582801257.db2.gz WEJJUBSUSCACQX-CYBMUJFWSA-N 0 3 223.316 2.910 20 0 BFADHN CC[C@@]1(C)CCN(Cc2c3c(nn2C)CCC3)C1 ZINC000892144941 582801303 /nfs/dbraw/zinc/80/13/03/582801303.db2.gz FZNCHAMBWSAOHV-HNNXBMFYSA-N 0 3 247.386 2.531 20 0 BFADHN Cc1cc(F)ncc1CN1[C@H](C)CC[C@H]1C ZINC000892223804 582809458 /nfs/dbraw/zinc/80/94/58/582809458.db2.gz MPZXQSZZSJLXGU-GHMZBOCLSA-N 0 3 222.307 2.902 20 0 BFADHN Cc1cc(CN2[C@H](C)CC[C@H]2C)cnc1F ZINC000892223999 582810219 /nfs/dbraw/zinc/81/02/19/582810219.db2.gz OSUOTSPWSIHUHB-GHMZBOCLSA-N 0 3 222.307 2.902 20 0 BFADHN CCn1cc(CN2[C@H](C)CC[C@H]2C)c(C)n1 ZINC000892223546 582810249 /nfs/dbraw/zinc/81/02/49/582810249.db2.gz JOMMPVORGKIQRN-GHMZBOCLSA-N 0 3 221.348 2.584 20 0 BFADHN CC[C@H]1CCN(Cc2cnn(C3CCC3)c2)C1 ZINC000892222857 582810373 /nfs/dbraw/zinc/81/03/73/582810373.db2.gz CDPXAVOXJQUGGW-LBPRGKRZSA-N 0 3 233.359 2.840 20 0 BFADHN Cc1cc(CN(C)CCC2CC2)cnc1F ZINC000892236756 582810709 /nfs/dbraw/zinc/81/07/09/582810709.db2.gz VPULCDJKPZSDCK-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN c1cc2c(c(CN3[C@H]4C=CC[C@@H]3CC4)c1)OCC2 ZINC000892239335 582811315 /nfs/dbraw/zinc/81/13/15/582811315.db2.gz JKKCPAPXZQORJC-LSDHHAIUSA-N 0 3 241.334 2.914 20 0 BFADHN CC[C@@H]1COCCN1C[C@@H]1CCc2ccccc21 ZINC000780288988 582827118 /nfs/dbraw/zinc/82/71/18/582827118.db2.gz BFTPMIRYSXOLCJ-LSDHHAIUSA-N 0 3 245.366 2.827 20 0 BFADHN CCOc1ccc(CN[C@@H]2C=CCC2)cc1 ZINC000829347536 582856219 /nfs/dbraw/zinc/85/62/19/582856219.db2.gz KYUFCKDUWIECQG-CYBMUJFWSA-N 0 3 217.312 2.894 20 0 BFADHN CCOc1cccc(CN[C@@H]2C=CCC2)c1 ZINC000829346682 582857036 /nfs/dbraw/zinc/85/70/36/582857036.db2.gz ARZZYNGPFOZMDN-CYBMUJFWSA-N 0 3 217.312 2.894 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cnn(CC2CCC2)c1 ZINC000892403643 582877761 /nfs/dbraw/zinc/87/77/61/582877761.db2.gz PYJUYCHEQZIQDP-OAHLLOKOSA-N 0 3 247.386 2.914 20 0 BFADHN CCOc1ccc(CNCCC(C)C)o1 ZINC000892426553 582884310 /nfs/dbraw/zinc/88/43/10/582884310.db2.gz HRMFEFKQGJZRFA-UHFFFAOYSA-N 0 3 211.305 2.814 20 0 BFADHN CCOc1ccc(CNCCc2ccco2)o1 ZINC000892440533 582887477 /nfs/dbraw/zinc/88/74/77/582887477.db2.gz DLBWIKHTNWGIGI-UHFFFAOYSA-N 0 3 235.283 2.604 20 0 BFADHN CCC(CC)CNCc1ccnc(OC)c1F ZINC000892505663 582900783 /nfs/dbraw/zinc/90/07/83/582900783.db2.gz GIXLUPVKSLPHLH-UHFFFAOYSA-N 0 3 240.322 2.755 20 0 BFADHN COc1ccc(CN[C@@H]2CCC[C@H](C)C2)o1 ZINC000892510292 582901706 /nfs/dbraw/zinc/90/17/06/582901706.db2.gz JGIGHDUIOOLFCK-WDEREUQCSA-N 0 3 223.316 2.957 20 0 BFADHN CCc1onc(C)c1CNCCc1ccc(C)o1 ZINC000892562508 582914943 /nfs/dbraw/zinc/91/49/43/582914943.db2.gz FRACOIQJHANPQF-UHFFFAOYSA-N 0 3 248.326 2.779 20 0 BFADHN CC[C@H](N[C@@H](C)COC(C)=O)c1ccccc1 ZINC000892738953 582973021 /nfs/dbraw/zinc/97/30/21/582973021.db2.gz CEJSCVNJHIEROH-FZMZJTMJSA-N 0 3 235.327 2.679 20 0 BFADHN CC[C@@H](N[C@H](C)COC(C)=O)c1ccccc1 ZINC000892738952 582973117 /nfs/dbraw/zinc/97/31/17/582973117.db2.gz CEJSCVNJHIEROH-BXUZGUMPSA-N 0 3 235.327 2.679 20 0 BFADHN CCSCC[C@@H](C)NCc1ccc(OC)o1 ZINC000893064162 583039922 /nfs/dbraw/zinc/03/99/22/583039922.db2.gz MVTQOUMBRHRTQJ-SNVBAGLBSA-N 0 3 243.372 2.910 20 0 BFADHN CCCC1(CNCc2ccc(OC)cn2)CC1 ZINC000893124999 583053089 /nfs/dbraw/zinc/05/30/89/583053089.db2.gz BSAJXTGYKRXZIE-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN Cc1cc(C)nc(NCc2nccn2C2CC2)c1 ZINC000893202033 583069891 /nfs/dbraw/zinc/06/98/91/583069891.db2.gz BYOHJZPAVURFDS-UHFFFAOYSA-N 0 3 242.326 2.842 20 0 BFADHN CC(C)CCCNCc1cn(C)nc1Cl ZINC000856766043 588876223 /nfs/dbraw/zinc/87/62/23/588876223.db2.gz UQUUCNOIBBMKMC-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN Cn1cc(CNC[C@H]2CC=CCC2)c(Cl)n1 ZINC000856767426 588876265 /nfs/dbraw/zinc/87/62/65/588876265.db2.gz NUXBDSUJTXYRQY-JTQLQIEISA-N 0 3 239.750 2.519 20 0 BFADHN CC(C)CC[C@H](C)NCc1cn(C)nc1Cl ZINC000856763630 588876286 /nfs/dbraw/zinc/87/62/86/588876286.db2.gz GIYIUUZKSYKVIU-JTQLQIEISA-N 0 3 243.782 2.988 20 0 BFADHN CCc1nnc([C@@H](C)NCCCCC(C)C)[nH]1 ZINC000893268758 583081249 /nfs/dbraw/zinc/08/12/49/583081249.db2.gz YZDURRPBHZLHND-LLVKDONJSA-N 0 3 238.379 2.844 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+]CCCCC(C)C)[n-]1 ZINC000893268758 583081251 /nfs/dbraw/zinc/08/12/51/583081251.db2.gz YZDURRPBHZLHND-LLVKDONJSA-N 0 3 238.379 2.844 20 0 BFADHN CCOc1ccc(CN[C@H](CC)CSC)o1 ZINC000893279481 583083528 /nfs/dbraw/zinc/08/35/28/583083528.db2.gz NZPWEBXQGUCPRL-SNVBAGLBSA-N 0 3 243.372 2.910 20 0 BFADHN C[C@@H]1CSC[C@H]1N[C@@H]1CCCc2cccnc21 ZINC000397856382 583110123 /nfs/dbraw/zinc/11/01/23/583110123.db2.gz WRKBNHMGTPEYKN-RAIGVLPGSA-N 0 3 248.395 2.800 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1cn(C)nc1Cl ZINC000856783783 588877364 /nfs/dbraw/zinc/87/73/64/588877364.db2.gz HBMINULHIGUIEX-NXEZZACHSA-N 0 3 241.766 2.599 20 0 BFADHN Cn1cc(CNCC2CCCCC2)c(Cl)n1 ZINC000856764396 583123722 /nfs/dbraw/zinc/12/37/22/583123722.db2.gz YWPZZMDKGFEUFC-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN CCOc1cncc(CN[C@H]2CCC2(C)C)c1 ZINC000856789014 588877989 /nfs/dbraw/zinc/87/79/89/588877989.db2.gz YNQDACZIFJPFEB-ZDUSSCGKSA-N 0 3 234.343 2.759 20 0 BFADHN c1nn(C2CCC2)cc1CN1CCCC2(CC2)C1 ZINC000893663691 583190887 /nfs/dbraw/zinc/19/08/87/583190887.db2.gz KORSCSKBWRDTNH-UHFFFAOYSA-N 0 3 245.370 2.984 20 0 BFADHN Cn1cc(CN2CCCC3(CC3)C2)c(C2CC2)n1 ZINC000893664634 583191610 /nfs/dbraw/zinc/19/16/10/583191610.db2.gz TZJMXDBWRBBHEH-UHFFFAOYSA-N 0 3 245.370 2.674 20 0 BFADHN Cc1nccnc1CN1CCCC2(CCCC2)C1 ZINC000857040310 588883571 /nfs/dbraw/zinc/88/35/71/588883571.db2.gz QOYNEHUSLJUAIZ-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1ccc(OC)o1 ZINC000893675955 583196636 /nfs/dbraw/zinc/19/66/36/583196636.db2.gz IRUSYMMWUDHPSV-PWSUYJOCSA-N 0 3 223.316 2.909 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2cn(C)nc2Cl)C[C@H]1C ZINC000857046244 588884137 /nfs/dbraw/zinc/88/41/37/588884137.db2.gz ATEPDQIBWLHDPM-OPRDCNLKSA-N 0 3 241.766 2.550 20 0 BFADHN CC1(c2ccccc2)CN(C[C@H]2CCC=CO2)C1 ZINC000857057918 588884737 /nfs/dbraw/zinc/88/47/37/588884737.db2.gz GQABEIFEORCDHA-OAHLLOKOSA-N 0 3 243.350 2.953 20 0 BFADHN CCCN(C/C=C/c1cccc(F)c1)CCO ZINC000893893675 583245142 /nfs/dbraw/zinc/24/51/42/583245142.db2.gz FPHLMTMAKZGUFF-GQCTYLIASA-N 0 3 237.318 2.543 20 0 BFADHN Cc1noc2ncc(CN3C4CCC3CC4)cc12 ZINC000893917996 583246864 /nfs/dbraw/zinc/24/68/64/583246864.db2.gz FWSYJWDLXLUOCK-UHFFFAOYSA-N 0 3 243.310 2.658 20 0 BFADHN Cc1coc(CN2CCN(C3CCCC3)CC2)c1 ZINC000893922097 583248467 /nfs/dbraw/zinc/24/84/67/583248467.db2.gz ZUNWLVHBJJFADB-UHFFFAOYSA-N 0 3 248.370 2.648 20 0 BFADHN Cc1[nH]nc(CN(C)CC(C)(C)C)c1C ZINC000893969752 583263949 /nfs/dbraw/zinc/26/39/49/583263949.db2.gz XLOLSJNLCWOVLV-UHFFFAOYSA-N 0 3 209.337 2.504 20 0 BFADHN Cc1n[nH]c(CN(C2CC2)C2CCCC2)c1C ZINC000893929881 583250515 /nfs/dbraw/zinc/25/05/15/583250515.db2.gz ZDDFIPJJQRBYFD-UHFFFAOYSA-N 0 3 233.359 2.934 20 0 BFADHN Cc1ccnc(N)c1CN(CCC(C)C)C1CC1 ZINC000893928747 583250876 /nfs/dbraw/zinc/25/08/76/583250876.db2.gz YRRUALOIRVPHHQ-UHFFFAOYSA-N 0 3 247.386 2.983 20 0 BFADHN CCN(Cc1cc(C)cc(OC)c1OC)C1CC1 ZINC000893938896 583253478 /nfs/dbraw/zinc/25/34/78/583253478.db2.gz FBLUIXHZGPAQRX-UHFFFAOYSA-N 0 3 249.354 2.997 20 0 BFADHN Cc1noc2ncc(CN(C(C)C)C3CC3)cc12 ZINC000893953576 583261619 /nfs/dbraw/zinc/26/16/19/583261619.db2.gz FNLRVAHWIDAWJD-UHFFFAOYSA-N 0 3 245.326 2.904 20 0 BFADHN Cc1n[nH]c(CN(C)CC(C)(C)C)c1C ZINC000893969752 583263947 /nfs/dbraw/zinc/26/39/47/583263947.db2.gz XLOLSJNLCWOVLV-UHFFFAOYSA-N 0 3 209.337 2.504 20 0 BFADHN COc1cc(C)cc(CN(C)CC2CC2)c1OC ZINC000893966222 583264110 /nfs/dbraw/zinc/26/41/10/583264110.db2.gz KJNISOXWYFNVMH-UHFFFAOYSA-N 0 3 249.354 2.854 20 0 BFADHN Cc1n[nH]c(CN2CCC[C@@H](C)CC2)c1C ZINC000893978457 583266187 /nfs/dbraw/zinc/26/61/87/583266187.db2.gz STNLCSOGAZAJRL-SNVBAGLBSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1ncoc1CN1CCC[C@@H](C)CC1 ZINC000893978087 583266301 /nfs/dbraw/zinc/26/63/01/583266301.db2.gz OVMPBAHJEIOTSF-SNVBAGLBSA-N 0 3 208.305 2.605 20 0 BFADHN C[C@@H]1CN(C/C=C/c2cccc(F)c2)CCCO1 ZINC000893995844 583268073 /nfs/dbraw/zinc/26/80/73/583268073.db2.gz QKXQQESLVYTSNB-VUUYWXRKSA-N 0 3 249.329 2.950 20 0 BFADHN COc1ccc(CN2CCSCC[C@H]2C)o1 ZINC000893998942 583271489 /nfs/dbraw/zinc/27/14/89/583271489.db2.gz JGKFPEVTRDNNAA-SNVBAGLBSA-N 0 3 241.356 2.616 20 0 BFADHN COc1cc(C)cc(CN2CCC[C@@H]2C)c1OC ZINC000894021012 583274298 /nfs/dbraw/zinc/27/42/98/583274298.db2.gz KOTKSQODCUUOSC-LBPRGKRZSA-N 0 3 249.354 2.997 20 0 BFADHN Cc1noc2ncc(CN3CCC(C)(C)C3)cc12 ZINC000894020060 583275067 /nfs/dbraw/zinc/27/50/67/583275067.db2.gz LOTWOAICSOASHG-UHFFFAOYSA-N 0 3 245.326 2.763 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2ocnc2C)C1 ZINC000894032117 583277617 /nfs/dbraw/zinc/27/76/17/583277617.db2.gz DGKGEDLTDKIGPR-ZDUSSCGKSA-N 0 3 222.332 2.995 20 0 BFADHN C[C@H](O)CCN(C)C/C=C/c1cccc(F)c1 ZINC000894034021 583278878 /nfs/dbraw/zinc/27/88/78/583278878.db2.gz PCAGWJAPAGINOC-FUEXJSSKSA-N 0 3 237.318 2.542 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1CC12CCCC2 ZINC000894034108 583278898 /nfs/dbraw/zinc/27/88/98/583278898.db2.gz KLIJQTPAWOLDPK-CYBMUJFWSA-N 0 3 234.343 2.968 20 0 BFADHN CCC(C)(C)CNCc1ccnc(OC)c1F ZINC000894029952 583282203 /nfs/dbraw/zinc/28/22/03/583282203.db2.gz KATXFKPIOAWVCO-UHFFFAOYSA-N 0 3 240.322 2.755 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1ccc2oc(=O)oc2c1 ZINC000894047016 583287809 /nfs/dbraw/zinc/28/78/09/583287809.db2.gz ZJVPHOJTAORPRV-VHSXEESVSA-N 0 3 247.294 2.616 20 0 BFADHN C[C@@H]1CCN(Cc2ccc3oc(=O)oc3c2)[C@@H]1C ZINC000894086329 583295725 /nfs/dbraw/zinc/29/57/25/583295725.db2.gz VLTLRZUESIZOEE-NXEZZACHSA-N 0 3 247.294 2.616 20 0 BFADHN CCSCC[C@H](C)N(C)Cc1ocnc1C ZINC000894085999 583295732 /nfs/dbraw/zinc/29/57/32/583295732.db2.gz XSDHHTYVOPYREH-JTQLQIEISA-N 0 3 242.388 2.947 20 0 BFADHN CC[C@@]1(C)CCN(Cc2c(C)ccnc2N)C1 ZINC000894086164 583295766 /nfs/dbraw/zinc/29/57/66/583295766.db2.gz DEORHVCCYQTIKS-AWEZNQCLSA-N 0 3 233.359 2.594 20 0 BFADHN CSC[C@H]1CCCN1Cc1cc(C)co1 ZINC000894070438 583296871 /nfs/dbraw/zinc/29/68/71/583296871.db2.gz HEGZEBNMLCPOPM-LLVKDONJSA-N 0 3 225.357 2.915 20 0 BFADHN Cc1noc2ncc(CN(C)CCC3CC3)cc12 ZINC000894095034 583299667 /nfs/dbraw/zinc/29/96/67/583299667.db2.gz OGFNJHVYFBHBJH-UHFFFAOYSA-N 0 3 245.326 2.763 20 0 BFADHN Cc1noc2ncc(CN3[C@H](C)CC[C@@H]3C)cc12 ZINC000894096988 583301416 /nfs/dbraw/zinc/30/14/16/583301416.db2.gz YNZYGUXZNRVZKZ-AOOOYVTPSA-N 0 3 245.326 2.904 20 0 BFADHN CCOc1ccc(CNC[C@@H]2CCSC2)o1 ZINC000894120802 583310908 /nfs/dbraw/zinc/31/09/08/583310908.db2.gz SZVSHBQPXUSAFW-JTQLQIEISA-N 0 3 241.356 2.521 20 0 BFADHN CCOc1ccc(CNCCC2(C)CC2)o1 ZINC000894134389 583313514 /nfs/dbraw/zinc/31/35/14/583313514.db2.gz QCPYRUNUTMRVTP-UHFFFAOYSA-N 0 3 223.316 2.958 20 0 BFADHN CC(C)C1CN(C[C@@H]2C[C@]2(C)Br)C1 ZINC000894133737 583313667 /nfs/dbraw/zinc/31/36/67/583313667.db2.gz IKLIJZDXZATYMQ-QWRGUYRKSA-N 0 3 246.192 2.748 20 0 BFADHN CCCCCN(Cc1cncnc1)C(C)C ZINC000154349615 583329624 /nfs/dbraw/zinc/32/96/24/583329624.db2.gz STACBNSTWGGRMX-UHFFFAOYSA-N 0 3 221.348 2.877 20 0 BFADHN Cc1ncoc1CN[C@@H](C)Cc1ccccc1F ZINC000894199279 583336552 /nfs/dbraw/zinc/33/65/52/583336552.db2.gz LRWBSEDCHXMFNO-JTQLQIEISA-N 0 3 248.301 2.843 20 0 BFADHN C[C@@H]1C[C@H](NCc2noc3ccccc32)CS1 ZINC000877620857 583339407 /nfs/dbraw/zinc/33/94/07/583339407.db2.gz KJIJUHQQTIPQHQ-ZJUUUORDSA-N 0 3 248.351 2.811 20 0 BFADHN c1nc(CNCc2cc3c(s2)CCCC3)c[nH]1 ZINC000894206059 583347867 /nfs/dbraw/zinc/34/78/67/583347867.db2.gz DRLLYHYGUQWGMO-UHFFFAOYSA-N 0 3 247.367 2.640 20 0 BFADHN c1ncc(CNCc2cc3c(s2)CCCC3)[nH]1 ZINC000894206059 583347869 /nfs/dbraw/zinc/34/78/69/583347869.db2.gz DRLLYHYGUQWGMO-UHFFFAOYSA-N 0 3 247.367 2.640 20 0 BFADHN CC1(C)CC[C@@H](CN2CC[C@@H](C(F)F)C2)OC1 ZINC000878319808 583369613 /nfs/dbraw/zinc/36/96/13/583369613.db2.gz BCHFQHWZNVSIGU-MNOVXSKESA-N 0 3 247.329 2.779 20 0 BFADHN CC1=CCN(C[C@@H]2C[C@H]3CCC[C@@H]3O2)CC1 ZINC000878300538 583369803 /nfs/dbraw/zinc/36/98/03/583369803.db2.gz KGLPIEFROMMPQV-RDBSUJKOSA-N 0 3 221.344 2.596 20 0 BFADHN CN(Cc1cn(C)cn1)C1CCCCCCC1 ZINC000788012567 583375703 /nfs/dbraw/zinc/37/57/03/583375703.db2.gz LDNNAPOIJPDKRK-UHFFFAOYSA-N 0 3 235.375 2.965 20 0 BFADHN Cc1ncoc1CNCCCc1cccc(F)c1 ZINC000894230722 583384481 /nfs/dbraw/zinc/38/44/81/583384481.db2.gz MMFCKYQCMONVSZ-UHFFFAOYSA-N 0 3 248.301 2.845 20 0 BFADHN Cc1ncoc1CN[C@@H]1CC[C@H](C)C[C@H]1C ZINC000894261044 583399420 /nfs/dbraw/zinc/39/94/20/583399420.db2.gz PLLFXJJBBVXLSK-HOSYDEDBSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(F)ncc1CN[C@@H]1CSC1(C)C ZINC000894281936 583409396 /nfs/dbraw/zinc/40/93/96/583409396.db2.gz VZTFGBVEVNPZQA-SNVBAGLBSA-N 0 3 240.347 2.513 20 0 BFADHN Cc1ncoc1CN[C@H]1CCCC1(C)C ZINC000894326966 583423531 /nfs/dbraw/zinc/42/35/31/583423531.db2.gz BLVIPXSDHLUKRN-NSHDSACASA-N 0 3 208.305 2.651 20 0 BFADHN Cc1ncoc1CN[C@H]1CC2CCC1CC2 ZINC000894331967 583426155 /nfs/dbraw/zinc/42/61/55/583426155.db2.gz KZJDNQBXTQBJAY-MCIGGMRASA-N 0 3 220.316 2.651 20 0 BFADHN CCN1CCN(CCCCC(C)C)[C@@H](C)C1 ZINC000894405699 583450358 /nfs/dbraw/zinc/45/03/58/583450358.db2.gz LIVCRGDZCKJOGM-AWEZNQCLSA-N 0 3 226.408 2.839 20 0 BFADHN CCOc1ccc(CN[C@@H]2CSC[C@H]2C)o1 ZINC000894412349 583452001 /nfs/dbraw/zinc/45/20/01/583452001.db2.gz GMUVJGYMGBLQOQ-MWLCHTKSSA-N 0 3 241.356 2.519 20 0 BFADHN COC1(C)CCN(Cc2cc(C)co2)CC1 ZINC000894424949 583455128 /nfs/dbraw/zinc/45/51/28/583455128.db2.gz OFYVFNFLBQLTMR-UHFFFAOYSA-N 0 3 223.316 2.589 20 0 BFADHN COCC1(C)CCN(Cc2cc(C)co2)CC1 ZINC000894425066 583455964 /nfs/dbraw/zinc/45/59/64/583455964.db2.gz QPDQSAHNNYGFCD-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN Cc1ncoc1CN1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000894440104 583459761 /nfs/dbraw/zinc/45/97/61/583459761.db2.gz UGAXEUGIISNSEL-BXUZGUMPSA-N 0 3 234.343 2.995 20 0 BFADHN COc1nccc(CN[C@H]2CCC2(C)C)c1F ZINC000894445011 583460863 /nfs/dbraw/zinc/46/08/63/583460863.db2.gz LLANFKNQTUAFFG-JTQLQIEISA-N 0 3 238.306 2.508 20 0 BFADHN CCOc1ccc(CN[C@@H]2CCC2(C)C)o1 ZINC000894445581 583461079 /nfs/dbraw/zinc/46/10/79/583461079.db2.gz PLKZDQBSLBELHD-LLVKDONJSA-N 0 3 223.316 2.957 20 0 BFADHN CCOc1ccc(CN[C@H]2CCC2(C)C)o1 ZINC000894445582 583461263 /nfs/dbraw/zinc/46/12/63/583461263.db2.gz PLKZDQBSLBELHD-NSHDSACASA-N 0 3 223.316 2.957 20 0 BFADHN CCC1(CC)CCCN1Cc1ccc(OC)nn1 ZINC000880095075 583465421 /nfs/dbraw/zinc/46/54/21/583465421.db2.gz VHLUHHAMTAQIIZ-UHFFFAOYSA-N 0 3 249.358 2.640 20 0 BFADHN Cc1ncoc1CN[C@@H]1CC[C@@H](C)[C@H](C)C1 ZINC000894461025 583467209 /nfs/dbraw/zinc/46/72/09/583467209.db2.gz JTFUAEPXIHGWPX-CKYFFXLPSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@@H]1CN(C/C=C/c2cccc(F)c2)CC[C@@H]1O ZINC000894497744 583472865 /nfs/dbraw/zinc/47/28/65/583472865.db2.gz PTBNDIFEXYAAIQ-MOEUOMFESA-N 0 3 249.329 2.542 20 0 BFADHN CCn1cc(CN2CCC[C@@H](C3CC3)C2)c(C)n1 ZINC000894523120 583477779 /nfs/dbraw/zinc/47/77/79/583477779.db2.gz TVOSHPILWXUONH-CQSZACIVSA-N 0 3 247.386 2.833 20 0 BFADHN Cc1coc(CN2CC[C@H](C)C[C@@H]2[C@@H](C)O)c1 ZINC000894534222 583479153 /nfs/dbraw/zinc/47/91/53/583479153.db2.gz YPJLKTYQDQMBDK-ZKYQVNSYSA-N 0 3 237.343 2.569 20 0 BFADHN CSCc1cccc(CNCc2cnc[nH]2)c1 ZINC000894535472 583480050 /nfs/dbraw/zinc/48/00/50/583480050.db2.gz UELASUFMJQUGRI-UHFFFAOYSA-N 0 3 247.367 2.563 20 0 BFADHN Cc1ncoc1CN1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000894540426 583481192 /nfs/dbraw/zinc/48/11/92/583481192.db2.gz IIMFNIXUZDTVIR-SCVCMEIPSA-N 0 3 222.332 2.849 20 0 BFADHN C[C@H]1Cc2cc(CN3CC(C4CC4)C3)ccc2O1 ZINC000894565426 583483975 /nfs/dbraw/zinc/48/39/75/583483975.db2.gz IHVDRFXESBSHPX-NSHDSACASA-N 0 3 243.350 2.852 20 0 BFADHN C[C@]1(Br)C[C@@H]1CN1CC(C2CC2)C1 ZINC000894566634 583484950 /nfs/dbraw/zinc/48/49/50/583484950.db2.gz RJAKJQBZCPDKLZ-MNOVXSKESA-N 0 3 244.176 2.502 20 0 BFADHN CC[C@@H](Nc1cc(N)ncc1Cl)C1CC1 ZINC000894573111 583485737 /nfs/dbraw/zinc/48/57/37/583485737.db2.gz TWGSDOPIYZMIOL-SECBINFHSA-N 0 3 225.723 2.918 20 0 BFADHN C[C@H](CN1CCC(C)CC1)Nc1nccs1 ZINC000894574865 583486770 /nfs/dbraw/zinc/48/67/70/583486770.db2.gz KHQWTTZWJCGAEI-LLVKDONJSA-N 0 3 239.388 2.675 20 0 BFADHN CC1(C)CN(C/C=C/c2cccc(F)c2)C[C@H]1O ZINC000894595112 583493211 /nfs/dbraw/zinc/49/32/11/583493211.db2.gz GROXKEXFIXRMIE-YVARQFDVSA-N 0 3 249.329 2.542 20 0 BFADHN C[C@H](F)CC[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000894624230 583496202 /nfs/dbraw/zinc/49/62/02/583496202.db2.gz MZWUIJAHHOKWIC-QMMMGPOBSA-N 0 3 231.698 2.883 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN1C[C@H](C)[C@H]1C ZINC000894629216 583497268 /nfs/dbraw/zinc/49/72/68/583497268.db2.gz WGQNKVWQMRQIBO-CMPLNLGQSA-N 0 3 235.375 2.921 20 0 BFADHN Cc1ncoc1CNCC1C(C)(C)C1(C)C ZINC000894637475 583498294 /nfs/dbraw/zinc/49/82/94/583498294.db2.gz SIDPMXASDZRIIA-UHFFFAOYSA-N 0 3 222.332 2.755 20 0 BFADHN Cc1ncoc1CNC[C@H]1CCCC(F)(F)C1 ZINC000894665367 583502244 /nfs/dbraw/zinc/50/22/44/583502244.db2.gz MTEXRHITIFCUFG-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN Cc1cc(F)ncc1CNCCC(C)(F)F ZINC000894658858 583503945 /nfs/dbraw/zinc/50/39/45/583503945.db2.gz LNIWILNFQZWTDB-UHFFFAOYSA-N 0 3 232.249 2.664 20 0 BFADHN Cc1coc(CN2CCC3(CCOCC3)CC2)c1 ZINC000894693318 583507633 /nfs/dbraw/zinc/50/76/33/583507633.db2.gz UMCYKALNPNZURP-UHFFFAOYSA-N 0 3 249.354 2.981 20 0 BFADHN Cc1coc(CN2CC[C@]3(C2)CCCOC3)c1 ZINC000894699691 583509881 /nfs/dbraw/zinc/50/98/81/583509881.db2.gz VFVSQDKWFQSESX-AWEZNQCLSA-N 0 3 235.327 2.591 20 0 BFADHN CCCC[C@H](C)[C@@H](C)[NH2+][C@H](C)c1nnc(C)[n-]1 ZINC000894774543 583517801 /nfs/dbraw/zinc/51/78/01/583517801.db2.gz UPHMARFFLFJLEZ-HBNTYKKESA-N 0 3 238.379 2.979 20 0 BFADHN CC[C@]1(C)CCC[C@@H]1[NH2+][C@@H](C)c1nnc(C)[n-]1 ZINC000894775940 583518221 /nfs/dbraw/zinc/51/82/21/583518221.db2.gz ARRDCMOTSBJWDF-XHVZSJERSA-N 0 3 236.363 2.733 20 0 BFADHN CCc1onc(C)c1CNC[C@@H]1C[C@H]1C1CC1 ZINC000894779048 583519851 /nfs/dbraw/zinc/51/98/51/583519851.db2.gz SWQOFSPFRQMILY-RYUDHWBXSA-N 0 3 234.343 2.681 20 0 BFADHN Cc1ncoc1CN(C)C1CCC(F)(F)CC1 ZINC000894785691 583521264 /nfs/dbraw/zinc/52/12/64/583521264.db2.gz ZQKSCNLWLXGRLV-UHFFFAOYSA-N 0 3 244.285 2.993 20 0 BFADHN C=Cc1ccc(CCNCc2ocnc2C)cc1 ZINC000894811092 583523290 /nfs/dbraw/zinc/52/32/90/583523290.db2.gz YGZHMUVUAVTKQI-UHFFFAOYSA-N 0 3 242.322 2.958 20 0 BFADHN O=c1cc(CN2CC(C3CCCCC3)C2)cc[nH]1 ZINC000894825342 583526663 /nfs/dbraw/zinc/52/66/63/583526663.db2.gz MCAIUILRVSAFBT-UHFFFAOYSA-N 0 3 246.354 2.799 20 0 BFADHN CCN(C/C=C\c1cccc(F)c1)[C@H](C)CO ZINC000894832597 583528056 /nfs/dbraw/zinc/52/80/56/583528056.db2.gz WFXDLJGQACWQFL-SMYYYNMVSA-N 0 3 237.318 2.542 20 0 BFADHN CCC1(C)CN(C[C@@H]2C[C@]2(C)Br)C1 ZINC000894840920 583530018 /nfs/dbraw/zinc/53/00/18/583530018.db2.gz SHGJHFWQRWHSDM-ONGXEEELSA-N 0 3 246.192 2.892 20 0 BFADHN CCSc1cccc(CNCc2cnc[nH]2)c1 ZINC000894844153 583530470 /nfs/dbraw/zinc/53/04/70/583530470.db2.gz MZLDXPNNSPYAOS-UHFFFAOYSA-N 0 3 247.367 2.812 20 0 BFADHN Cc1coc(CN2CCOC[C@H](C3CCC3)C2)c1 ZINC000894849415 583532111 /nfs/dbraw/zinc/53/21/11/583532111.db2.gz KOHMNAPSCZCENB-CQSZACIVSA-N 0 3 249.354 2.837 20 0 BFADHN C[C@@H]1CN(Cc2cc3cnccc3o2)[C@@H]1C ZINC000894861237 583534351 /nfs/dbraw/zinc/53/43/51/583534351.db2.gz CIUDHSDNJRSZQO-NXEZZACHSA-N 0 3 216.284 2.668 20 0 BFADHN Cc1ncoc1CNC[C@@H]1C[C@H]1c1ccccc1 ZINC000894862218 583535352 /nfs/dbraw/zinc/53/53/52/583535352.db2.gz UKTBPNIOZPMCGB-KBPBESRZSA-N 0 3 242.322 2.876 20 0 BFADHN COCc1csc(CN2C[C@H](C)[C@H]2C)c1 ZINC000894862016 583535579 /nfs/dbraw/zinc/53/55/79/583535579.db2.gz RMKUGPAOSHSMFD-VHSXEESVSA-N 0 3 225.357 2.735 20 0 BFADHN C[C@@H]1CN(Cc2cccc3c2NCCC3)[C@@H]1C ZINC000894862472 583537017 /nfs/dbraw/zinc/53/70/17/583537017.db2.gz ZBSPINZQGYDLMM-VXGBXAGGSA-N 0 3 230.355 2.885 20 0 BFADHN O[C@H]1CCCN(C/C=C\c2cccc(F)c2)CC1 ZINC000894866552 583537988 /nfs/dbraw/zinc/53/79/88/583537988.db2.gz WDYJERCPLALVNU-PRSOIBJXSA-N 0 3 249.329 2.686 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1ocnc1C ZINC000894883438 583545728 /nfs/dbraw/zinc/54/57/28/583545728.db2.gz XLRRXVSGNCXNEH-NEPJUHHUSA-N 0 3 222.332 2.899 20 0 BFADHN COCC[C@H](C)CNCc1nc(C)c(C)s1 ZINC000894895871 583550263 /nfs/dbraw/zinc/55/02/63/583550263.db2.gz STRPZETVDQJOPT-VIFPVBQESA-N 0 3 242.388 2.522 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1CS[C@@H](C)C1 ZINC000894902790 583552356 /nfs/dbraw/zinc/55/23/56/583552356.db2.gz HOIYNISIKZIYQO-WPRPVWTQSA-N 0 3 240.372 2.529 20 0 BFADHN Cc1cc(CN[C@@H]2CS[C@H](C)C2)cnc1F ZINC000894903471 583552479 /nfs/dbraw/zinc/55/24/79/583552479.db2.gz LJROUSDYWIMUOG-KOLCDFICSA-N 0 3 240.347 2.513 20 0 BFADHN Cc1ncoc1CN1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000894906303 583553807 /nfs/dbraw/zinc/55/38/07/583553807.db2.gz IVOKAFQHLCKRNF-GXTWGEPZSA-N 0 3 232.327 2.603 20 0 BFADHN CCc1onc(C)c1CN[C@H](C)[C@H]1CC1(F)F ZINC000894915167 583555237 /nfs/dbraw/zinc/55/52/37/583555237.db2.gz JYVBJWZXTJCADC-PSASIEDQSA-N 0 3 244.285 2.679 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@H](C)[C@H]2C)o1 ZINC000894956538 583559127 /nfs/dbraw/zinc/55/91/27/583559127.db2.gz AEQNFZBLZHWMMU-GARJFASQSA-N 0 3 223.316 2.765 20 0 BFADHN CCC1(CC)CN(Cc2n[nH]c(C)c2C)C1 ZINC000894970665 583561298 /nfs/dbraw/zinc/56/12/98/583561298.db2.gz JXVRFBCHIGVTQY-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1coc(CN2CC[C@@H](OC(C)C)C2)c1 ZINC000895074388 583580538 /nfs/dbraw/zinc/58/05/38/583580538.db2.gz OIEHFTNDEIXGAP-GFCCVEGCSA-N 0 3 223.316 2.587 20 0 BFADHN CCC[C@H](CC)NCc1ncnn1C(C)C ZINC000083978006 583581608 /nfs/dbraw/zinc/58/16/08/583581608.db2.gz GTOIWKNYBAWSLI-NSHDSACASA-N 0 3 224.352 2.527 20 0 BFADHN Cc1noc2ncc(CN(C)C(C)(C)C)cc12 ZINC000895083004 583583341 /nfs/dbraw/zinc/58/33/41/583583341.db2.gz CIYUPOIUCXTRKI-UHFFFAOYSA-N 0 3 233.315 2.762 20 0 BFADHN FC1(F)CC[C@@H](N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000857858859 588914115 /nfs/dbraw/zinc/91/41/15/588914115.db2.gz BNAKHUYAPRKUIS-NXEZZACHSA-N 0 3 241.285 2.565 20 0 BFADHN FC1(F)CC[C@H](N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000857858866 588914464 /nfs/dbraw/zinc/91/44/64/588914464.db2.gz BNAKHUYAPRKUIS-VHSXEESVSA-N 0 3 241.285 2.565 20 0 BFADHN CN(Cc1cccc2[nH]c(=O)oc21)C(C)(C)C ZINC000895114506 583591739 /nfs/dbraw/zinc/59/17/39/583591739.db2.gz CKVKMDIJGGQKIK-UHFFFAOYSA-N 0 3 234.299 2.764 20 0 BFADHN CCOc1ccc(CN[C@H]2CCS[C@H]2C)o1 ZINC000895125094 583596954 /nfs/dbraw/zinc/59/69/54/583596954.db2.gz KKXRZOCPVWWKPT-ONGXEEELSA-N 0 3 241.356 2.662 20 0 BFADHN CCC(C)(C)CN[C@@H]1CCCc2c[nH]nc21 ZINC000857863838 588915003 /nfs/dbraw/zinc/91/50/03/588915003.db2.gz DGKYETIZRPCZEP-LLVKDONJSA-N 0 3 221.348 2.813 20 0 BFADHN CC1(C)CC(CN[C@@H]2CCCc3c[nH]nc32)C1 ZINC000857865567 588915084 /nfs/dbraw/zinc/91/50/84/588915084.db2.gz PLSDKBBERGOUQD-GFCCVEGCSA-N 0 3 233.359 2.813 20 0 BFADHN Nc1cc(N2CCC[C@H](CF)C2)c(Cl)cn1 ZINC000895132058 583600989 /nfs/dbraw/zinc/60/09/89/583600989.db2.gz OKVBWJGZCCBIEG-MRVPVSSYSA-N 0 3 243.713 2.503 20 0 BFADHN CCc1ccc(CN2CCCCC[C@@H]2CO)o1 ZINC000895152395 583606697 /nfs/dbraw/zinc/60/66/97/583606697.db2.gz UTSBILZFGCFWNU-GFCCVEGCSA-N 0 3 237.343 2.579 20 0 BFADHN F[C@@H]1CCCC[C@H]1N[C@@H]1CCCc2cn[nH]c21 ZINC000857869714 588916315 /nfs/dbraw/zinc/91/63/15/588916315.db2.gz REPPBZGYTMSSJT-IJLUTSLNSA-N 0 3 237.322 2.657 20 0 BFADHN CCCN(Cc1[nH]nc(C)c1C)C(C)C ZINC000895182193 583617718 /nfs/dbraw/zinc/61/77/18/583617718.db2.gz BYRDJZFZNPDOTE-UHFFFAOYSA-N 0 3 209.337 2.647 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000857869887 588916918 /nfs/dbraw/zinc/91/69/18/588916918.db2.gz AQZOSRQUFDIMSQ-SYEHKZFSSA-N 0 3 231.343 2.565 20 0 BFADHN COC[C@@H](CC(C)(C)C)NCc1ocnc1C ZINC000895189541 583619650 /nfs/dbraw/zinc/61/96/50/583619650.db2.gz VOJDRYWEIWEWIW-LLVKDONJSA-N 0 3 240.347 2.524 20 0 BFADHN COC1CCC(CN2CCC[C@@H](F)C2)CC1 ZINC000895191100 583621292 /nfs/dbraw/zinc/62/12/92/583621292.db2.gz HGPVFVNWRSJSQN-OTTFEQOBSA-N 0 3 229.339 2.626 20 0 BFADHN CSCC1(CCNCc2ocnc2C)CC1 ZINC000895248396 583632321 /nfs/dbraw/zinc/63/23/21/583632321.db2.gz OFAXLPLDOTVMEJ-UHFFFAOYSA-N 0 3 240.372 2.606 20 0 BFADHN CC[C@](C)(NCc1ocnc1C)C1CC1 ZINC000895277041 583635149 /nfs/dbraw/zinc/63/51/49/583635149.db2.gz CFXVLTJNXJTWFV-LBPRGKRZSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@@H](N[C@H]1CCCc2c[nH]nc21)C(C)(C)C ZINC000857872406 588917945 /nfs/dbraw/zinc/91/79/45/588917945.db2.gz MHLGEHKFNXHKFE-KOLCDFICSA-N 0 3 221.348 2.811 20 0 BFADHN CC1=NO[C@@H](CN[C@@H](C)Cc2cccc(C)c2)C1 ZINC000895301414 583641984 /nfs/dbraw/zinc/64/19/84/583641984.db2.gz IELPDAUUKHNMLB-SWLSCSKDSA-N 0 3 246.354 2.680 20 0 BFADHN c1coc(CCCN[C@H]2CCCc3c[nH]nc32)c1 ZINC000857873550 588918314 /nfs/dbraw/zinc/91/83/14/588918314.db2.gz YQSWKJKFNGHBQA-ZDUSSCGKSA-N 0 3 245.326 2.603 20 0 BFADHN CC1=NO[C@@H](CNC/C=C\c2ccc(F)cc2)C1 ZINC000895308944 583646790 /nfs/dbraw/zinc/64/67/90/583646790.db2.gz RRKMWTYFQYCLNZ-PYLYLYNFSA-N 0 3 248.301 2.593 20 0 BFADHN CC1=NO[C@@H](CNC2CC(c3ccccc3)C2)C1 ZINC000895307039 583647736 /nfs/dbraw/zinc/64/77/36/583647736.db2.gz NEIKJICDOSRDPO-YMAMQOFZSA-N 0 3 244.338 2.687 20 0 BFADHN CC[C@H](C)CCNCc1ccc(OC)cn1 ZINC000895333610 583652049 /nfs/dbraw/zinc/65/20/49/583652049.db2.gz JWTCXIXZYBOAPC-NSHDSACASA-N 0 3 222.332 2.616 20 0 BFADHN CC[C@@H](C)CCNCc1ccnc(OC)c1F ZINC000895333213 583652209 /nfs/dbraw/zinc/65/22/09/583652209.db2.gz ADZYCVJDIQNRAX-SNVBAGLBSA-N 0 3 240.322 2.755 20 0 BFADHN COC(=O)c1ccc(CN[C@@H]2C=CCC2)cc1C ZINC000895337364 583653748 /nfs/dbraw/zinc/65/37/48/583653748.db2.gz IYOYSLVLRMKOCT-CYBMUJFWSA-N 0 3 245.322 2.590 20 0 BFADHN Cc1noc(C)c1C[C@@H](C)NCc1ccoc1 ZINC000738439819 583661576 /nfs/dbraw/zinc/66/15/76/583661576.db2.gz NUUAJAGWPNXDQT-SECBINFHSA-N 0 3 234.299 2.605 20 0 BFADHN CN(C/C=C/c1cccc(F)c1)CC1(C)COC1 ZINC000895391733 583664047 /nfs/dbraw/zinc/66/40/47/583664047.db2.gz DEMLYQOGZLPIDC-GQCTYLIASA-N 0 3 249.329 2.807 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN1CC[C@H]2C[C@H]2C1 ZINC000895432380 583670894 /nfs/dbraw/zinc/67/08/94/583670894.db2.gz BIGRAJVAZANFSC-KBPBESRZSA-N 0 3 247.386 2.923 20 0 BFADHN Cc1coc(CN2CCC(C)(F)CC2)c1 ZINC000895447522 583676157 /nfs/dbraw/zinc/67/61/57/583676157.db2.gz NVXSQKBVNHLZCZ-UHFFFAOYSA-N 0 3 211.280 2.912 20 0 BFADHN Cc1ncoc1CNCC(C)(C)C(C)(F)F ZINC000895457014 583677131 /nfs/dbraw/zinc/67/71/31/583677131.db2.gz BYKGJOQJIQOPOX-UHFFFAOYSA-N 0 3 232.274 2.754 20 0 BFADHN Cc1coc(CN2CCC(C(C)(C)O)CC2)c1 ZINC000895460730 583678024 /nfs/dbraw/zinc/67/80/24/583678024.db2.gz UCJBSMWVQLOBTF-UHFFFAOYSA-N 0 3 237.343 2.571 20 0 BFADHN C1=C[C@H](CNCc2cc(C3CC3)no2)CC1 ZINC000895527189 583684514 /nfs/dbraw/zinc/68/45/14/583684514.db2.gz INSCPROJTKOLEW-JTQLQIEISA-N 0 3 218.300 2.608 20 0 BFADHN Clc1csc(CNC[C@H]2C=CCC2)n1 ZINC000895526457 583684622 /nfs/dbraw/zinc/68/46/22/583684622.db2.gz CCJFJXUTLIRAFB-QMMMGPOBSA-N 0 3 228.748 2.852 20 0 BFADHN CC1(C)C[C@@]1(C)CNCc1cc(F)ncc1F ZINC000895533761 583686160 /nfs/dbraw/zinc/68/61/60/583686160.db2.gz BLXCHFRQJIIKDM-ZDUSSCGKSA-N 0 3 240.297 2.886 20 0 BFADHN COc1ccsc1CN1CCC[C@H](CF)C1 ZINC000895543424 583688618 /nfs/dbraw/zinc/68/86/18/583688618.db2.gz AYRXNHKPHMOKBP-SNVBAGLBSA-N 0 3 243.347 2.938 20 0 BFADHN Cc1ncoc1CNCC[C@@H]1CCCC1(F)F ZINC000895544810 583689537 /nfs/dbraw/zinc/68/95/37/583689537.db2.gz NTNCKLHDNCWYNL-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN Cc1cccc(CN2CC[C@@H](CF)C2)c1F ZINC000895574716 583692971 /nfs/dbraw/zinc/69/29/71/583692971.db2.gz YSVOXMIWYVBZCL-NSHDSACASA-N 0 3 225.282 2.926 20 0 BFADHN C/C=C\CNCc1c(C)nn(C(C)C)c1C ZINC000895630433 583698152 /nfs/dbraw/zinc/69/81/52/583698152.db2.gz HGSRLFGQVQZBMA-SREVYHEPSA-N 0 3 221.348 2.747 20 0 BFADHN COc1ccc(CNC[C@]2(C)C[C@H]3C[C@H]3C2)nc1 ZINC000895631312 583698235 /nfs/dbraw/zinc/69/82/35/583698235.db2.gz OVCWHODUPDXZAQ-JJLQDPRZSA-N 0 3 246.354 2.616 20 0 BFADHN CC(C)(NCc1ccoc1)[C@@H](O)c1ccccc1 ZINC000895659494 583701855 /nfs/dbraw/zinc/70/18/55/583701855.db2.gz YIGAVJNJCPVRIY-AWEZNQCLSA-N 0 3 245.322 2.881 20 0 BFADHN FCCCN[C@@H]1CCc2ccc(Cl)nc21 ZINC000857908072 588923082 /nfs/dbraw/zinc/92/30/82/588923082.db2.gz UZRKPWIPJORVQF-SECBINFHSA-N 0 3 228.698 2.672 20 0 BFADHN COc1ccc(CN[C@]23C[C@H]2CCCC3)nc1 ZINC000895751803 583719895 /nfs/dbraw/zinc/71/98/95/583719895.db2.gz CITROTBASUAXBD-BXUZGUMPSA-N 0 3 232.327 2.513 20 0 BFADHN COc1ccc(CN[C@]23C[C@H]2CCCC3)o1 ZINC000895754733 583720672 /nfs/dbraw/zinc/72/06/72/583720672.db2.gz YVQVVHGURLKIFB-ZWNOBZJWSA-N 0 3 221.300 2.711 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@H]1C)c1ncco1 ZINC000895764755 583723634 /nfs/dbraw/zinc/72/36/34/583723634.db2.gz IUPVVVKVVPZAHP-OUAUKWLOSA-N 0 3 208.305 2.904 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNCc1cc(C2CC2)no1 ZINC000895784394 583727061 /nfs/dbraw/zinc/72/70/61/583727061.db2.gz HHROJDCASSXBKY-RCCPXBDUSA-N 0 3 246.354 2.934 20 0 BFADHN CCOc1ccc(CNCCC(C)(C)OC)o1 ZINC000895817714 583733930 /nfs/dbraw/zinc/73/39/30/583733930.db2.gz VNNKZHLQIOQRQM-UHFFFAOYSA-N 0 3 241.331 2.583 20 0 BFADHN COc1cc(F)cc(CN(C)CCCF)c1 ZINC000895896545 583743269 /nfs/dbraw/zinc/74/32/69/583743269.db2.gz XDRPCZNANRVYJA-UHFFFAOYSA-N 0 3 229.270 2.626 20 0 BFADHN CO[C@@H]1CC[C@@H](C)N(Cc2cc(C)oc2C)C1 ZINC000895926229 583747633 /nfs/dbraw/zinc/74/76/33/583747633.db2.gz BOPGBEYTKGTIPU-QMTHXVAHSA-N 0 3 237.343 2.896 20 0 BFADHN CCc1ccc(CN2C[C@@H](OC)CC[C@H]2C)o1 ZINC000895926556 583747659 /nfs/dbraw/zinc/74/76/59/583747659.db2.gz HYDRXTKQSULVNK-YPMHNXCESA-N 0 3 237.343 2.841 20 0 BFADHN COCC1CN(Cc2ccc3c(c2)CCCC3)C1 ZINC000895969686 583754962 /nfs/dbraw/zinc/75/49/62/583754962.db2.gz KLLMBRFOKHFQHO-UHFFFAOYSA-N 0 3 245.366 2.644 20 0 BFADHN COCC1CN(Cc2c(C)cc(C)cc2C)C1 ZINC000895970852 583756373 /nfs/dbraw/zinc/75/63/73/583756373.db2.gz HJKDJUVWZZQSST-UHFFFAOYSA-N 0 3 233.355 2.690 20 0 BFADHN C=C/C=C\CCNCc1cn(C)nc1C1CC1 ZINC000895995577 583761192 /nfs/dbraw/zinc/76/11/92/583761192.db2.gz JUTLNMJKTYSFNP-PLNGDYQASA-N 0 3 231.343 2.519 20 0 BFADHN c1cn(C2CC2)c(CNCC2(CC3CC3)CC2)n1 ZINC000895994474 583761677 /nfs/dbraw/zinc/76/16/77/583761677.db2.gz JKVHPFWDIQTXOY-UHFFFAOYSA-N 0 3 245.370 2.888 20 0 BFADHN C[C@H](NCC1(CC2CC2)CC1)c1ccn(C)n1 ZINC000883254218 583766990 /nfs/dbraw/zinc/76/69/90/583766990.db2.gz MVISYAFWRNGRFY-NSHDSACASA-N 0 3 233.359 2.651 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN(C)CCCF ZINC000896070233 583768152 /nfs/dbraw/zinc/76/81/52/583768152.db2.gz RHXFWEPQKKESSW-UHFFFAOYSA-N 0 3 241.354 2.872 20 0 BFADHN COc1ccc(CNCC[C@@H]2CC[C@@H]3C[C@@H]32)nc1 ZINC000896273046 583786696 /nfs/dbraw/zinc/78/66/96/583786696.db2.gz PEIQYTNUWKXHHR-YWPYICTPSA-N 0 3 246.354 2.616 20 0 BFADHN COc1ccc(CNC[C@@]23C[C@@H]2CCCC3)nc1 ZINC000896269000 583788246 /nfs/dbraw/zinc/78/82/46/583788246.db2.gz SSRNHGMCMVMERV-SWLSCSKDSA-N 0 3 246.354 2.760 20 0 BFADHN CC(C)(C)SCCNCc1ccnc(F)c1 ZINC000858125346 588934436 /nfs/dbraw/zinc/93/44/36/588934436.db2.gz AQMFHFKHFFFRSV-UHFFFAOYSA-N 0 3 242.363 2.842 20 0 BFADHN CC(C)(/C=C\Cl)NC[C@H]1CCC=CO1 ZINC000896748182 583830118 /nfs/dbraw/zinc/83/01/18/583830118.db2.gz UPMYHCHHOPPDGE-JYESYGNLSA-N 0 3 215.724 2.800 20 0 BFADHN COc1ccc(CNC[C@@H]2C[C@H]2C(F)(F)F)o1 ZINC000896858990 583841148 /nfs/dbraw/zinc/84/11/48/583841148.db2.gz BMAZLQXSGXQXMP-IONNQARKSA-N 0 3 249.232 2.576 20 0 BFADHN CSCC1CCN(Cc2ccn(C)c2)CC1 ZINC000896940297 583863057 /nfs/dbraw/zinc/86/30/57/583863057.db2.gz FNGSTRHJPDYYEJ-UHFFFAOYSA-N 0 3 238.400 2.600 20 0 BFADHN Cc1c[nH]nc1CN[C@H]1CC12CCCCC2 ZINC000858190464 588938285 /nfs/dbraw/zinc/93/82/85/588938285.db2.gz DRALHDOSHZNMJB-LBPRGKRZSA-N 0 3 219.332 2.531 20 0 BFADHN Cc1occc1CN1CCC(O)(C2CCC2)CC1 ZINC000897037090 583872509 /nfs/dbraw/zinc/87/25/09/583872509.db2.gz SQVZWBNHIWJJEP-UHFFFAOYSA-N 0 3 249.354 2.715 20 0 BFADHN CCO[C@H]1CCN(Cc2csc(C)c2C)C1 ZINC000897067335 583876411 /nfs/dbraw/zinc/87/64/11/583876411.db2.gz HNSXAXLXOFWOMG-ZDUSSCGKSA-N 0 3 239.384 2.976 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(CC)s2)C1 ZINC000897069672 583877255 /nfs/dbraw/zinc/87/72/55/583877255.db2.gz YGDAGVYSKLMPJE-NSHDSACASA-N 0 3 239.384 2.921 20 0 BFADHN CC(C)CC1(NCc2ccnc(F)c2)CC1 ZINC000858194256 588938424 /nfs/dbraw/zinc/93/84/24/588938424.db2.gz BKYBSMYGJCHJJF-UHFFFAOYSA-N 0 3 222.307 2.889 20 0 BFADHN CC1=CC[C@H](NCc2cc(C3CC3)n(C)n2)CC1 ZINC000897232090 583898772 /nfs/dbraw/zinc/89/87/72/583898772.db2.gz MTTVAHTVPBKFQL-ZDUSSCGKSA-N 0 3 245.370 2.886 20 0 BFADHN CC1(C)CCC[C@](CO)(NCc2ccoc2)C1 ZINC000858250792 588940686 /nfs/dbraw/zinc/94/06/86/588940686.db2.gz GVKJIUSOKUZCGH-AWEZNQCLSA-N 0 3 237.343 2.701 20 0 BFADHN CC[C@]1(C)CCC[C@H]1[NH2+]Cc1cncc([O-])c1 ZINC000897316119 583918001 /nfs/dbraw/zinc/91/80/01/583918001.db2.gz VWLVVCCKDNWYTI-ZIAGYGMSSA-N 0 3 234.343 2.846 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H]([NH2+]Cc2cncc([O-])c2)C1 ZINC000897314981 583918288 /nfs/dbraw/zinc/91/82/88/583918288.db2.gz FOKDJIMSKYTAHK-SUNKGSAMSA-N 0 3 234.343 2.702 20 0 BFADHN [O-]c1cncc(C[NH2+][C@H]2CCC[C@@H](C3CC3)C2)c1 ZINC000897316149 583918716 /nfs/dbraw/zinc/91/87/16/583918716.db2.gz WNZGFOYQCODRCS-KGLIPLIRSA-N 0 3 246.354 2.846 20 0 BFADHN Cc1csc(CNC[C@]2(C)C[C@H]3C[C@H]3C2)n1 ZINC000858291200 588942480 /nfs/dbraw/zinc/94/24/80/588942480.db2.gz QJFDCEPQKDUYQX-PTEHBNRSSA-N 0 3 236.384 2.977 20 0 BFADHN CC1=C[C@@H](C)CN(C[C@H](O)c2ccccc2F)C1 ZINC000797526705 583926276 /nfs/dbraw/zinc/92/62/76/583926276.db2.gz XIHPOMAQLRAOCI-ABAIWWIYSA-N 0 3 249.329 2.757 20 0 BFADHN c1ccc2c(c1)OCCC[C@H]2NC1CSC1 ZINC000393769436 583959642 /nfs/dbraw/zinc/95/96/42/583959642.db2.gz RXWRTSCDEBZYHS-GFCCVEGCSA-N 0 3 235.352 2.605 20 0 BFADHN c1cc(CNC[C@H]2CCN2C2CCCCC2)co1 ZINC000858221546 588939565 /nfs/dbraw/zinc/93/95/65/588939565.db2.gz KBYJCXIORHZDSN-OAHLLOKOSA-N 0 3 248.370 2.776 20 0 BFADHN CCc1nocc1CNCC(C)(C)C1CC1 ZINC000897438260 583983396 /nfs/dbraw/zinc/98/33/96/583983396.db2.gz UHNGXPDPRHPCEV-UHFFFAOYSA-N 0 3 222.332 2.763 20 0 BFADHN Cc1cc(CNC[C@H]2CC[C@H](C3CC3)O2)c(C)o1 ZINC000897510574 583998912 /nfs/dbraw/zinc/99/89/12/583998912.db2.gz WNTYMIJSMWHIKS-HUUCEWRRSA-N 0 3 249.354 2.944 20 0 BFADHN CC[C@@H]1CCCC[C@H]1Nc1ccnc(CO)c1 ZINC000858346264 588947965 /nfs/dbraw/zinc/94/79/65/588947965.db2.gz HEALYAYHSZNBFC-BXUZGUMPSA-N 0 3 234.343 2.955 20 0 BFADHN C[C@H](Cc1ccsc1)Nc1ccnc(CO)c1 ZINC000858360490 588948752 /nfs/dbraw/zinc/94/87/52/588948752.db2.gz PHDCMWQGTIAKSU-SNVBAGLBSA-N 0 3 248.351 2.679 20 0 BFADHN CCCCC[C@@H](C)Nc1ccnc(CO)c1 ZINC000858362249 588948790 /nfs/dbraw/zinc/94/87/90/588948790.db2.gz BDNFEUMZTGFOAF-LLVKDONJSA-N 0 3 222.332 2.955 20 0 BFADHN OC1(C2(CNCc3cccc(F)c3)CC2)CCC1 ZINC000897531000 584007863 /nfs/dbraw/zinc/00/78/63/584007863.db2.gz UYAAHSQPLYSOJV-UHFFFAOYSA-N 0 3 249.329 2.611 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1nn(C)cc1C(F)F ZINC000865808940 584031808 /nfs/dbraw/zinc/03/18/08/584031808.db2.gz VFWMQTOOWCWMET-SCZZXKLOSA-N 0 3 243.301 2.636 20 0 BFADHN OCc1cc(N[C@@H]2CC[C@@H]3CCC[C@H]3C2)ccn1 ZINC000858425351 588953810 /nfs/dbraw/zinc/95/38/10/588953810.db2.gz GTUGDNPBIDHANR-RWMBFGLXSA-N 0 3 246.354 2.955 20 0 BFADHN CCc1ccc(CNC2CC3(C2)CO[C@H](C)C3)o1 ZINC000897779782 584047468 /nfs/dbraw/zinc/04/74/68/584047468.db2.gz ARHDCNKIOUQOQS-XIKARTHZSA-N 0 3 249.354 2.889 20 0 BFADHN CC1(C)C[C@@H](NCc2nccs2)CCS1 ZINC000897800340 584049784 /nfs/dbraw/zinc/04/97/84/584049784.db2.gz PSWPGUOJUHWBIK-VIFPVBQESA-N 0 3 242.413 2.907 20 0 BFADHN CC1(C)C[C@@H](NCc2ccccn2)CCS1 ZINC000897799719 584050080 /nfs/dbraw/zinc/05/00/80/584050080.db2.gz KBICOBWDSIPYPI-NSHDSACASA-N 0 3 236.384 2.845 20 0 BFADHN Cc1csc(CN[C@@H]2C[C@H]3CCC[C@@H]32)n1 ZINC000897953302 584063837 /nfs/dbraw/zinc/06/38/37/584063837.db2.gz OPKOWPWMRZBAES-OUAUKWLOSA-N 0 3 222.357 2.730 20 0 BFADHN Cc1csc(CN[C@@H]2C[C@@H]3CCC[C@H]23)n1 ZINC000897953299 584063975 /nfs/dbraw/zinc/06/39/75/584063975.db2.gz OPKOWPWMRZBAES-GARJFASQSA-N 0 3 222.357 2.730 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]3CCC[C@H]32)sn1 ZINC000897954121 584063990 /nfs/dbraw/zinc/06/39/90/584063990.db2.gz AKKGEHVCHFYSMH-YUSALJHKSA-N 0 3 222.357 2.730 20 0 BFADHN CCOc1cccc(CN[C@@H]2C[C@H]3CCC[C@@H]32)n1 ZINC000897954869 584064661 /nfs/dbraw/zinc/06/46/61/584064661.db2.gz LVFUGIGRYJDBBL-KWCYVHTRSA-N 0 3 246.354 2.759 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]3CCC[C@H]32)nc1 ZINC000897954684 584064701 /nfs/dbraw/zinc/06/47/01/584064701.db2.gz JJOQZSFUUUGXHU-MRVWCRGKSA-N 0 3 216.328 2.668 20 0 BFADHN Cc1cnc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)s1 ZINC000897958116 584066338 /nfs/dbraw/zinc/06/63/38/584066338.db2.gz HKHYMZWEGZHSCK-HBNTYKKESA-N 0 3 222.357 2.730 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2C[C@H]3CCC[C@H]32)cc1F ZINC000897958382 584066450 /nfs/dbraw/zinc/06/64/50/584066450.db2.gz JAODGUPXUDBUHL-NQBHXWOUSA-N 0 3 235.302 2.810 20 0 BFADHN Fc1cc(CN[C@@H]2C[C@H]3CCC[C@H]32)c(F)cn1 ZINC000897958814 584066954 /nfs/dbraw/zinc/06/69/54/584066954.db2.gz NVMMEAADPPKZID-HLUHBDAQSA-N 0 3 238.281 2.638 20 0 BFADHN Cc1coc(CN[C@@H]2C[C@H]3CCC[C@H]32)c1 ZINC000897960356 584067825 /nfs/dbraw/zinc/06/78/25/584067825.db2.gz RDGWXYWLQQRZOH-RAIGVLPGSA-N 0 3 205.301 2.866 20 0 BFADHN Cc1ncoc1CNCC[C@@H]1CCC(F)(F)C1 ZINC000898014134 584074071 /nfs/dbraw/zinc/07/40/71/584074071.db2.gz ODVNKXMXLOHARD-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN c1cc(CNC[C@H]2CCC=CCCC2)n[nH]1 ZINC000898031493 584077307 /nfs/dbraw/zinc/07/73/07/584077307.db2.gz DMTABVLVGDXVRW-LBPRGKRZSA-N 0 3 219.332 2.636 20 0 BFADHN c1c[nH]c(CNC[C@@H]2CCC=CCCC2)n1 ZINC000898032015 584077748 /nfs/dbraw/zinc/07/77/48/584077748.db2.gz KQXRYUHHDZUWBI-GFCCVEGCSA-N 0 3 219.332 2.636 20 0 BFADHN CCC[C@@H](NCc1cn(C)cn1)[C@H]1CC1(C)C ZINC000898042255 584080730 /nfs/dbraw/zinc/08/07/30/584080730.db2.gz NHTIQVCCKAYMPF-CHWSQXEVSA-N 0 3 235.375 2.725 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CNCc1cn(C)cn1 ZINC000898043713 584081297 /nfs/dbraw/zinc/08/12/97/584081297.db2.gz YPVGDJYZHPDCAK-OCCSQVGLSA-N 0 3 233.359 2.502 20 0 BFADHN CC(C)C[C@H]1CCCN(c2ccnc(CO)c2)C1 ZINC000858444035 588955277 /nfs/dbraw/zinc/95/52/77/588955277.db2.gz IBTXYVFHPQVXGC-CYBMUJFWSA-N 0 3 248.370 2.836 20 0 BFADHN CCCC1(CNc2ccnc(CO)c2)CCC1 ZINC000858443300 588955295 /nfs/dbraw/zinc/95/52/95/588955295.db2.gz JBHTUPRSFXEMDP-UHFFFAOYSA-N 0 3 234.343 2.956 20 0 BFADHN C[C@H](NCc1ccc([C@H]2C[C@@H]2C)o1)C(C)(C)O ZINC000898127971 584084943 /nfs/dbraw/zinc/08/49/43/584084943.db2.gz AGIQAYOXOKKCTP-NHCYSSNCSA-N 0 3 237.343 2.652 20 0 BFADHN CC1(CNc2ccnc(CO)c2)CCCCC1 ZINC000858443607 588955671 /nfs/dbraw/zinc/95/56/71/588955671.db2.gz MNWCHYIERKJVPX-UHFFFAOYSA-N 0 3 234.343 2.956 20 0 BFADHN CSC1(CN2CC(Cc3ccoc3)C2)CC1 ZINC000898160199 584087550 /nfs/dbraw/zinc/08/75/50/584087550.db2.gz VGHHUHZPMAQLBC-UHFFFAOYSA-N 0 3 237.368 2.650 20 0 BFADHN CC[C@@H](C)CN1CC(Cc2ccoc2)C1 ZINC000898160752 584088259 /nfs/dbraw/zinc/08/82/59/584088259.db2.gz RXPOORGAHCOKPO-LLVKDONJSA-N 0 3 207.317 2.800 20 0 BFADHN O[C@H](CCNCc1ccccc1Cl)C1CC1 ZINC000898205233 584092040 /nfs/dbraw/zinc/09/20/40/584092040.db2.gz BVBSWPAXTLLQOP-CYBMUJFWSA-N 0 3 239.746 2.591 20 0 BFADHN O[C@H](CCNCc1sccc1Cl)C1CC1 ZINC000898198108 584097860 /nfs/dbraw/zinc/09/78/60/584097860.db2.gz NGZNUNAPEDTMDG-SNVBAGLBSA-N 0 3 245.775 2.652 20 0 BFADHN O[C@@H](CCNCc1cc(Cl)cs1)C1CC1 ZINC000898198462 584098062 /nfs/dbraw/zinc/09/80/62/584098062.db2.gz QZHCJRGGMSWLMR-NSHDSACASA-N 0 3 245.775 2.652 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2CC=CC[C@H]2C)n1 ZINC000898222004 584101640 /nfs/dbraw/zinc/10/16/40/584101640.db2.gz AZZKAOQNXWAEPA-VXGBXAGGSA-N 0 3 233.359 2.977 20 0 BFADHN c1cc([C@H](NC[C@H]2CCC=CO2)C2CC2)ccn1 ZINC000898322805 584106935 /nfs/dbraw/zinc/10/69/35/584106935.db2.gz RRADCRJAHMQPFM-HUUCEWRRSA-N 0 3 244.338 2.815 20 0 BFADHN CC1(C)COC[C@@H]1N[C@H](c1ccncc1)C1CC1 ZINC000898321872 584107156 /nfs/dbraw/zinc/10/71/56/584107156.db2.gz XCDFBXXHOYJFFZ-KBPBESRZSA-N 0 3 246.354 2.547 20 0 BFADHN CSCC(C)(C)[NH2+]Cc1ccc([O-])c(F)c1 ZINC000898305380 584108882 /nfs/dbraw/zinc/10/88/82/584108882.db2.gz ATKHMXRVXUFOJI-UHFFFAOYSA-N 0 3 243.347 2.763 20 0 BFADHN Cc1sccc1CN[C@H]1COC[C@@H]1C1CC1 ZINC000898380536 584114998 /nfs/dbraw/zinc/11/49/98/584114998.db2.gz PYUXEVXGWDVEHN-OLZOCXBDSA-N 0 3 237.368 2.571 20 0 BFADHN CC[C@@H](O)CCN[C@@H](c1ccccn1)C(C)C ZINC000866287089 584117517 /nfs/dbraw/zinc/11/75/17/584117517.db2.gz IMDJHXKJLNEWNB-TZMCWYRMSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H]1CC[C@]2(CCN(c3ccnc(CO)c3)C2)C1 ZINC000858478642 588958824 /nfs/dbraw/zinc/95/88/24/588958824.db2.gz WSSPHZSXBROQJL-DOMZBBRYSA-N 0 3 246.354 2.590 20 0 BFADHN CO[C@@H](CNC/C=C\Cl)Cc1ccccc1 ZINC000898429314 584132311 /nfs/dbraw/zinc/13/23/11/584132311.db2.gz MPLJPMPYVNBPDF-DMTLFAOVSA-N 0 3 239.746 2.586 20 0 BFADHN C[C@]1(Br)C[C@@H]1CNC/C=C/Cl ZINC000898429301 584132574 /nfs/dbraw/zinc/13/25/74/584132574.db2.gz MHSYWHSBKYDQMY-TZIBCRCASA-N 0 3 238.556 2.502 20 0 BFADHN COc1ccccc1C[C@@H](C)NC/C=C/Cl ZINC000898431929 584134089 /nfs/dbraw/zinc/13/40/89/584134089.db2.gz DEJWKVYWQXTTIT-AYLMVEPYSA-N 0 3 239.746 2.968 20 0 BFADHN CC(C)[C@@H]1C[C@H](NC/C=C\Cl)CS1 ZINC000898433972 584134868 /nfs/dbraw/zinc/13/48/68/584134868.db2.gz QKNSMBUARACALD-CMIOBCHKSA-N 0 3 219.781 2.859 20 0 BFADHN COC[C@@H](Cc1ccccc1)NC/C=C/Cl ZINC000898432498 584135073 /nfs/dbraw/zinc/13/50/73/584135073.db2.gz GFWSRLWQUUTJPC-OQHXTRMZSA-N 0 3 239.746 2.586 20 0 BFADHN Cl/C=C/CNC[C@@H]1CCOc2ccccc21 ZINC000898435764 584135662 /nfs/dbraw/zinc/13/56/62/584135662.db2.gz MLIMRNBEUFTPFE-KTROKBFUSA-N 0 3 237.730 2.895 20 0 BFADHN Cc1ccsc1-c1ccc(C2(O)CNC2)cc1 ZINC000899320177 584197031 /nfs/dbraw/zinc/19/70/31/584197031.db2.gz TZJBMOJYAQMIKO-UHFFFAOYSA-N 0 3 245.347 2.514 20 0 BFADHN CCCC[C@H](COC)N[C@H](C)c1ccns1 ZINC000858577877 588966235 /nfs/dbraw/zinc/96/62/35/588966235.db2.gz RYAZFYKESGYIOP-GHMZBOCLSA-N 0 3 242.388 2.999 20 0 BFADHN CCCC[C@H](COC)N[C@@H](C)c1ccns1 ZINC000858577880 588966354 /nfs/dbraw/zinc/96/63/54/588966354.db2.gz RYAZFYKESGYIOP-WDEREUQCSA-N 0 3 242.388 2.999 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](C)c1ccns1 ZINC000858575668 588967764 /nfs/dbraw/zinc/96/77/64/588967764.db2.gz CTKHKYFRNTVDDG-BBBLOLIVSA-N 0 3 210.346 2.982 20 0 BFADHN O=C(Nc1ccccc1)[C@H]1CC[C@@H]2CCCCN12 ZINC000899911219 584252858 /nfs/dbraw/zinc/25/28/58/584252858.db2.gz PAQUJACDRTZUGK-UONOGXRCSA-N 0 3 244.338 2.642 20 0 BFADHN C[C@@H](NC[C@H]1CCCC12CC2)c1ncc[nH]1 ZINC000858594966 588970199 /nfs/dbraw/zinc/97/01/99/588970199.db2.gz VGSHNSZDNCPRQI-GHMZBOCLSA-N 0 3 219.332 2.641 20 0 BFADHN CC(C)C[C@@](C)(CN)c1nc(-c2ccco2)no1 ZINC000900014665 584266230 /nfs/dbraw/zinc/26/62/30/584266230.db2.gz ADTSLAKHCFOIGC-ZDUSSCGKSA-N 0 3 249.314 2.592 20 0 BFADHN C[C@H](NC[C@@H]1CCCC12CC2)c1ncc[nH]1 ZINC000858594968 588970316 /nfs/dbraw/zinc/97/03/16/588970316.db2.gz VGSHNSZDNCPRQI-QWRGUYRKSA-N 0 3 219.332 2.641 20 0 BFADHN CC(C)(C)C[C@@H]1C[C@H]1Nc1ccnc(CO)c1 ZINC000858603889 588970636 /nfs/dbraw/zinc/97/06/36/588970636.db2.gz CZXLYEXGYOEJQY-GXFFZTMASA-N 0 3 234.343 2.811 20 0 BFADHN C[C@@H](N)c1cn(CCCC2CCCCC2)nn1 ZINC000900628039 584333511 /nfs/dbraw/zinc/33/35/11/584333511.db2.gz CGOKBDXCWJBBJX-LLVKDONJSA-N 0 3 236.363 2.658 20 0 BFADHN c1csc(-c2cc(CNC3CC3)on2)c1 ZINC000901386909 584436242 /nfs/dbraw/zinc/43/62/42/584436242.db2.gz GFCYJFHGSWHESK-UHFFFAOYSA-N 0 3 220.297 2.655 20 0 BFADHN CN[C@@H](C)c1cc(-c2cccs2)no1 ZINC000901388025 584436958 /nfs/dbraw/zinc/43/69/58/584436958.db2.gz QEPFOBINTBUJTA-ZETCQYMHSA-N 0 3 208.286 2.684 20 0 BFADHN Cc1ccc(-c2cc(CCN(C)C)on2)cc1 ZINC000901401828 584442881 /nfs/dbraw/zinc/44/28/81/584442881.db2.gz VUYPYBXKRDBDJX-UHFFFAOYSA-N 0 3 230.311 2.754 20 0 BFADHN CO[C@H](C)SCCN(C)Cc1ccccc1 ZINC000901547207 584464474 /nfs/dbraw/zinc/46/44/74/584464474.db2.gz JEMQYMIDNLTEID-LBPRGKRZSA-N 0 3 239.384 2.844 20 0 BFADHN CCn1cnc(CNCCC2=CCCCC2)c1 ZINC000902026286 584513166 /nfs/dbraw/zinc/51/31/66/584513166.db2.gz NWRCLHXFQKLNRD-UHFFFAOYSA-N 0 3 233.359 2.883 20 0 BFADHN CNc1ccc(C)cc1CNCCc1ccco1 ZINC000902036367 584514899 /nfs/dbraw/zinc/51/48/99/584514899.db2.gz SKBCSSXTVJCJSJ-UHFFFAOYSA-N 0 3 244.338 2.962 20 0 BFADHN CNc1ccc(C)cc1CNC[C@H]1CCCCO1 ZINC000902102962 584523025 /nfs/dbraw/zinc/52/30/25/584523025.db2.gz FBNCAVSHQOSZOY-CQSZACIVSA-N 0 3 248.370 2.695 20 0 BFADHN CCNc1ccccc1CNC[C@@H]1CCCCO1 ZINC000902104930 584523659 /nfs/dbraw/zinc/52/36/59/584523659.db2.gz UABGTKGCUDKIQT-AWEZNQCLSA-N 0 3 248.370 2.777 20 0 BFADHN CCn1cnc(CNC2(C)CCC(C)CC2)c1 ZINC000902225981 584541459 /nfs/dbraw/zinc/54/14/59/584541459.db2.gz ICISHWZUKNQAHJ-UHFFFAOYSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2cn(CC)cn2)C1 ZINC000902263701 584545072 /nfs/dbraw/zinc/54/50/72/584545072.db2.gz CJHZWKHJJOZVJU-QWHCGFSZSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2cn(CC)cn2)C1 ZINC000902263699 584545388 /nfs/dbraw/zinc/54/53/88/584545388.db2.gz CJHZWKHJJOZVJU-OLZOCXBDSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1cnc(CN[C@H](C)C2CCCC2)c1 ZINC000902244786 584546530 /nfs/dbraw/zinc/54/65/30/584546530.db2.gz ZMGUDEXEZOYXBZ-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN CNc1ccccc1CNCCC(F)(F)F ZINC000902291406 584548672 /nfs/dbraw/zinc/54/86/72/584548672.db2.gz QNADLNJOTPTOLR-UHFFFAOYSA-N 0 3 232.249 2.770 20 0 BFADHN CNc1ccc(C)cc1CNCCOC1CCC1 ZINC000902278139 584550752 /nfs/dbraw/zinc/55/07/52/584550752.db2.gz PMXUXOZWWUNLOE-UHFFFAOYSA-N 0 3 248.370 2.695 20 0 BFADHN CCNc1ccccc1CNC[C@]1(C)CCCO1 ZINC000902300973 584553748 /nfs/dbraw/zinc/55/37/48/584553748.db2.gz AGTYPFRPLXPULO-HNNXBMFYSA-N 0 3 248.370 2.777 20 0 BFADHN CNc1ccc(C)cc1CN[C@H](COC)C1CC1 ZINC000902402358 584587404 /nfs/dbraw/zinc/58/74/04/584587404.db2.gz BYHSJQVMLJUCLD-OAHLLOKOSA-N 0 3 248.370 2.551 20 0 BFADHN CC(C)CN(Cc1ccnc(F)c1)C1CC1 ZINC000859073708 588997098 /nfs/dbraw/zinc/99/70/98/588997098.db2.gz MQLUGOVZICIERC-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H](N[C@@H]1Cc2ccccc2[C@H]1N)c1ccco1 ZINC000902442027 584597445 /nfs/dbraw/zinc/59/74/45/584597445.db2.gz HADFXDBGAFSRJX-PSOPSSQASA-N 0 3 242.322 2.555 20 0 BFADHN CC(C)[C@H]1CCC[C@@H]1NCc1csc(N)n1 ZINC000902491998 584618048 /nfs/dbraw/zinc/61/80/48/584618048.db2.gz VAMJJUQCKAVWPJ-MNOVXSKESA-N 0 3 239.388 2.640 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2csc(N)n2)C1 ZINC000902492020 584618411 /nfs/dbraw/zinc/61/84/11/584618411.db2.gz VSLNMXKTOJPRCP-NXEZZACHSA-N 0 3 239.388 2.784 20 0 BFADHN CCC[C@@H](NCc1csc(N)n1)C1CCC1 ZINC000902499399 584619681 /nfs/dbraw/zinc/61/96/81/584619681.db2.gz JRBIJKGSBYSDFR-LLVKDONJSA-N 0 3 239.388 2.784 20 0 BFADHN CCOC[C@H](C)NCc1cc(C)ccc1NC ZINC000902499879 584619880 /nfs/dbraw/zinc/61/98/80/584619880.db2.gz KXJAURCTFMYUPQ-LBPRGKRZSA-N 0 3 236.359 2.551 20 0 BFADHN C[C@H]1CC(C)(C)C[C@@H]1NCc1csc(N)n1 ZINC000902498674 584620247 /nfs/dbraw/zinc/62/02/47/584620247.db2.gz IBTPWKQWTZYATR-WPRPVWTQSA-N 0 3 239.388 2.640 20 0 BFADHN Nc1nc(CNC2CCCCCCC2)cs1 ZINC000902488102 584627006 /nfs/dbraw/zinc/62/70/06/584627006.db2.gz JYZPUFQLUSXITK-UHFFFAOYSA-N 0 3 239.388 2.928 20 0 BFADHN CNc1ccccc1CN[C@H]1CSC1(C)C ZINC000902538665 584627942 /nfs/dbraw/zinc/62/79/42/584627942.db2.gz UFSBOWFVGJELRD-LBPRGKRZSA-N 0 3 236.384 2.712 20 0 BFADHN CCn1cnc(CNCC2C(C)(C)C2(C)C)c1 ZINC000902541153 584628787 /nfs/dbraw/zinc/62/87/87/584628787.db2.gz PHPHIJCJFGGYNJ-UHFFFAOYSA-N 0 3 235.375 2.675 20 0 BFADHN CC[C@]1(NCc2cc(C)ccc2NC)CCOC1 ZINC000902526712 584632745 /nfs/dbraw/zinc/63/27/45/584632745.db2.gz OSEASHRAAXYTNY-HNNXBMFYSA-N 0 3 248.370 2.695 20 0 BFADHN CNc1ccccc1CN[C@@H]1CS[C@H](C)C1 ZINC000902596553 584635601 /nfs/dbraw/zinc/63/56/01/584635601.db2.gz ONTINJPHJFCQOL-PWSUYJOCSA-N 0 3 236.384 2.712 20 0 BFADHN CCNc1ccccc1CNC[C@@H](OC)C1CC1 ZINC000902574351 584637579 /nfs/dbraw/zinc/63/75/79/584637579.db2.gz ARMVFHLLRBOORL-OAHLLOKOSA-N 0 3 248.370 2.633 20 0 BFADHN CNc1ccc(C)cc1CN[C@@H]1CCOC1(C)C ZINC000902578212 584638014 /nfs/dbraw/zinc/63/80/14/584638014.db2.gz OOOSKBOBLQLFAS-CQSZACIVSA-N 0 3 248.370 2.694 20 0 BFADHN CC[C@@H](NCc1cn(CC)cn1)C1CCCC1 ZINC000902584700 584639165 /nfs/dbraw/zinc/63/91/65/584639165.db2.gz OPALMAZMSKSXQT-CQSZACIVSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1cnc(CNC[C@H](C)CC(F)(F)F)c1 ZINC000902609406 584642730 /nfs/dbraw/zinc/64/27/30/584642730.db2.gz QQBQIEUIWMPXIU-SECBINFHSA-N 0 3 249.280 2.581 20 0 BFADHN CNc1ccc(C)cc1CN[C@@H]1CC12CC2 ZINC000902608154 584643164 /nfs/dbraw/zinc/64/31/64/584643164.db2.gz UHQHWZBSPMWPKP-CYBMUJFWSA-N 0 3 216.328 2.679 20 0 BFADHN CNc1ccccc1CNCC1=CCCC1 ZINC000902621695 584645410 /nfs/dbraw/zinc/64/54/10/584645410.db2.gz OVSXLLZKRXGEDC-UHFFFAOYSA-N 0 3 216.328 2.928 20 0 BFADHN CCn1cnc(CNCCC(C)(C)CC)c1 ZINC000902629561 584646325 /nfs/dbraw/zinc/64/63/25/584646325.db2.gz UXXWQFQDEHKPPV-UHFFFAOYSA-N 0 3 223.364 2.819 20 0 BFADHN CNc1ccc(C)cc1CN[C@@H]1C[C@H](C)O[C@@H]1C ZINC000902630590 584646783 /nfs/dbraw/zinc/64/67/83/584646783.db2.gz PPWOUKRPKXHFEF-YWPYICTPSA-N 0 3 248.370 2.692 20 0 BFADHN COc1ccc([C@@H](C)N[C@@H]2CO[C@H](C)C2)cc1C ZINC000902666408 584653394 /nfs/dbraw/zinc/65/33/94/584653394.db2.gz PJQYEVBEQLFHSG-BZPMIXESSA-N 0 3 249.354 2.832 20 0 BFADHN COCCN[C@@H]1C[C@H](C)Cc2cc(OC)ccc21 ZINC000902720954 584659793 /nfs/dbraw/zinc/65/97/93/584659793.db2.gz RAYCLBUUJHZHHT-IAQYHMDHSA-N 0 3 249.354 2.555 20 0 BFADHN CC(C)(O)c1ccc(CNC/C=C\Cl)cc1 ZINC000902722277 584660504 /nfs/dbraw/zinc/66/05/04/584660504.db2.gz UKDBTVZIHKJEPZ-BAQGIRSFSA-N 0 3 239.746 2.756 20 0 BFADHN CN(Cc1ccnc(F)c1)CC(C)(C)C ZINC000859116023 589004136 /nfs/dbraw/zinc/00/41/36/589004136.db2.gz MSQYTPHCTYKMJQ-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN OCC[C@@H](N[C@@H]1C[C@H]2CCC[C@H]2C1)c1ccco1 ZINC000902956661 584705688 /nfs/dbraw/zinc/70/56/88/584705688.db2.gz LYAYLYNKNFRKEJ-XJFOESAGSA-N 0 3 249.354 2.871 20 0 BFADHN F[C@@H]1CCCN(C[C@@H]2CCC(F)(F)C2)C1 ZINC000902993302 584711496 /nfs/dbraw/zinc/71/14/96/584711496.db2.gz SLQMVWCBHURUKU-NXEZZACHSA-N 0 3 221.266 2.856 20 0 BFADHN COc1ccncc1CN[C@@H]1C[C@H]2CCC[C@H]2C1 ZINC000902999944 584715365 /nfs/dbraw/zinc/71/53/65/584715365.db2.gz SLYRSPLNMNCICN-DABQJJPHSA-N 0 3 246.354 2.759 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2nccn2C)[C@H]1C ZINC000903088914 584725349 /nfs/dbraw/zinc/72/53/49/584725349.db2.gz MVUNMMWGOITTPB-UHXUPSOCSA-N 0 3 235.375 2.580 20 0 BFADHN CO[C@H](C)CCN[C@H](c1ccccn1)C1CC1 ZINC000903090306 584725918 /nfs/dbraw/zinc/72/59/18/584725918.db2.gz YKNGHHYWNWVBDP-RISCZKNCSA-N 0 3 234.343 2.547 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1CCCC12CC2 ZINC000903071530 584726280 /nfs/dbraw/zinc/72/62/80/584726280.db2.gz HKTNMYIQGVOXBE-NWDGAFQWSA-N 0 3 231.343 2.709 20 0 BFADHN C[C@H](O)C[C@H](C)CN[C@@H](c1ccccn1)C1CC1 ZINC000903099410 584726572 /nfs/dbraw/zinc/72/65/72/584726572.db2.gz HGHNMUFRBPAALL-SLEUVZQESA-N 0 3 248.370 2.529 20 0 BFADHN C[C@@H](CN[C@H](c1ccccn1)C1CC1)C[C@H](C)O ZINC000903099412 584726660 /nfs/dbraw/zinc/72/66/60/584726660.db2.gz HGHNMUFRBPAALL-XUJVJEKNSA-N 0 3 248.370 2.529 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2ccon2)[C@H]1C ZINC000903104886 584727986 /nfs/dbraw/zinc/72/79/86/584727986.db2.gz NEJPPWJMUGAOIT-MPPDQPJWSA-N 0 3 222.332 2.835 20 0 BFADHN CC(C)(CO)CCN[C@@H](c1ccccn1)C1CC1 ZINC000903178251 584733138 /nfs/dbraw/zinc/73/31/38/584733138.db2.gz VLEDDPBMBHKHCB-CQSZACIVSA-N 0 3 248.370 2.531 20 0 BFADHN C[C@H](CC(C)(C)O)N[C@H](c1ccccn1)C1CC1 ZINC000903178076 584733168 /nfs/dbraw/zinc/73/31/68/584733168.db2.gz DYZKBOFHRSZGGE-RISCZKNCSA-N 0 3 248.370 2.672 20 0 BFADHN CCOc1cccc([C@@H](C)NCCOC2CC2)c1 ZINC000903170540 584733926 /nfs/dbraw/zinc/73/39/26/584733926.db2.gz KRLQOAKHZPZSBO-GFCCVEGCSA-N 0 3 249.354 2.915 20 0 BFADHN c1ccc([C@H](N[C@H]2CC23CC3)C2CC2)nc1 ZINC000903193407 584733962 /nfs/dbraw/zinc/73/39/62/584733962.db2.gz WNLQHCZCVYIDHU-QWHCGFSZSA-N 0 3 214.312 2.675 20 0 BFADHN CC(C)[C@H]1CC[C@@H]1NCc1cc(N(C)C)ccn1 ZINC000903198844 584735116 /nfs/dbraw/zinc/73/51/16/584735116.db2.gz PYJKDHOUUARORD-CABCVRRESA-N 0 3 247.386 2.672 20 0 BFADHN CCC[C@H](O)CCN[C@@H](c1ccccn1)C1CC1 ZINC000903224854 584736104 /nfs/dbraw/zinc/73/61/04/584736104.db2.gz BVIQPZJEQSKUPY-DZGCQCFKSA-N 0 3 248.370 2.673 20 0 BFADHN C/C=C/CN[C@@H](C)c1cccc(COC(C)=O)c1 ZINC000903207922 584736430 /nfs/dbraw/zinc/73/64/30/584736430.db2.gz WRZFNGLNYLTXJX-ITKZLYELSA-N 0 3 247.338 2.976 20 0 BFADHN c1ccc([C@@H](NCC[C@H]2CCCO2)C2CC2)nc1 ZINC000903221529 584737675 /nfs/dbraw/zinc/73/76/75/584737675.db2.gz SDVHODYQBCCASU-HIFRSBDPSA-N 0 3 246.354 2.691 20 0 BFADHN c1cnc([C@@H](N[C@H]2CCC[C@@H]3C[C@@H]32)C2CC2)nc1 ZINC000903223612 584737726 /nfs/dbraw/zinc/73/77/26/584737726.db2.gz IADQVXDQJKUOHB-RFGFWPKPSA-N 0 3 243.354 2.706 20 0 BFADHN C[C@H](NCC(C)(C)C1CC1)c1cc2n(n1)CCC2 ZINC000903240309 584738110 /nfs/dbraw/zinc/73/81/10/584738110.db2.gz QVHNFJCXJPRHBF-NSHDSACASA-N 0 3 247.386 2.916 20 0 BFADHN CC(C)(CN[C@H]1CCCn2ccnc21)C1CC1 ZINC000903239521 584738183 /nfs/dbraw/zinc/73/81/83/584738183.db2.gz HAHNNWHMTIVMGA-LBPRGKRZSA-N 0 3 233.359 2.744 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)c(C)n1 ZINC000903254033 584739608 /nfs/dbraw/zinc/73/96/08/584739608.db2.gz OZDKZPLOGFYLDP-QYVMYWIUSA-N 0 3 245.370 2.789 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1cc2n(n1)CCC2 ZINC000903255643 584740015 /nfs/dbraw/zinc/74/00/15/584740015.db2.gz YJGHDYIGXPBMTR-KHTVDDERSA-N 0 3 245.370 2.524 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CCCC2(CC2)C1 ZINC000903279106 584742400 /nfs/dbraw/zinc/74/24/00/584742400.db2.gz KHQGMVOBYYOSKL-GXSJLCMTSA-N 0 3 235.331 2.751 20 0 BFADHN CC[C@H](N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1ccsc1 ZINC000903286366 584745544 /nfs/dbraw/zinc/74/55/44/584745544.db2.gz HXTQEGDBPROCPY-XNJGVTPASA-N 0 3 249.379 2.965 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1ccsc1 ZINC000903288742 584745660 /nfs/dbraw/zinc/74/56/60/584745660.db2.gz MKJVEFBEEQNBJW-NGSGHZHUSA-N 0 3 235.352 2.575 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1cccc(F)c1 ZINC000903289807 584746260 /nfs/dbraw/zinc/74/62/60/584746260.db2.gz TWQDRNHZEFQCPE-AACJMNEGSA-N 0 3 247.313 2.652 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@@H]3CCC[C@@H]23)nn1C ZINC000903319781 584746668 /nfs/dbraw/zinc/74/66/68/584746668.db2.gz XGWBPURBZWHYTF-CIQGVGRVSA-N 0 3 233.359 2.568 20 0 BFADHN CC[C@H](N[C@H](c1ncccn1)C1CC1)[C@@H]1C[C@H]1C ZINC000903323957 584747414 /nfs/dbraw/zinc/74/74/14/584747414.db2.gz PDMMYDFLRGHUEE-ZZVYKPCYSA-N 0 3 245.370 2.952 20 0 BFADHN C[C@@H](N[C@@H](C)c1cncs1)C1(Cl)CC1 ZINC000903310788 584748119 /nfs/dbraw/zinc/74/81/19/584748119.db2.gz LJZWTIXEZCYOOP-JGVFFNPUSA-N 0 3 230.764 2.954 20 0 BFADHN CCCCC1(N[C@@H](C)c2cnn(C)c2)CC1 ZINC000903310184 584748132 /nfs/dbraw/zinc/74/81/32/584748132.db2.gz ITGRYQMTZBRIOQ-NSHDSACASA-N 0 3 221.348 2.794 20 0 BFADHN CCCCC1(N[C@@H](C)c2ccn(C)n2)CC1 ZINC000903313518 584748577 /nfs/dbraw/zinc/74/85/77/584748577.db2.gz SAJPSHBYKMPUAX-NSHDSACASA-N 0 3 221.348 2.794 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2C[C@H]3CCC[C@@H]32)on1 ZINC000903316470 584749538 /nfs/dbraw/zinc/74/95/38/584749538.db2.gz IWKOOERVQZQENF-ZRUFSTJUSA-N 0 3 236.315 2.522 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H]2CCC[C@@H]21)c1nccn1C ZINC000903316523 584750002 /nfs/dbraw/zinc/75/00/02/584750002.db2.gz JPZZNFVYHZHRID-MROQNXINSA-N 0 3 233.359 2.649 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000903318233 584750655 /nfs/dbraw/zinc/75/06/55/584750655.db2.gz PHMDANSLFPCODE-FOUMNBMASA-N 0 3 231.343 2.565 20 0 BFADHN CCOC[C@H](C)N[C@@H](c1ccccn1)C1CC1 ZINC000903339811 584752199 /nfs/dbraw/zinc/75/21/99/584752199.db2.gz NCJQNBDZQXASOY-SMDDNHRTSA-N 0 3 234.343 2.547 20 0 BFADHN COC[C@H](N[C@@H](c1ccccn1)C1CC1)C1CC1 ZINC000903340677 584752294 /nfs/dbraw/zinc/75/22/94/584752294.db2.gz RUGDJCNXZMWHHK-LSDHHAIUSA-N 0 3 246.354 2.547 20 0 BFADHN C[C@@H](NCC[C@@H]1CCC(F)(F)C1)c1ncc[nH]1 ZINC000903341931 584752510 /nfs/dbraw/zinc/75/25/10/584752510.db2.gz VFLSDMOUJFHTKV-ZJUUUORDSA-N 0 3 243.301 2.886 20 0 BFADHN c1ccc([C@H](NC2CCC2)C2CC2)nc1 ZINC000903341560 584752625 /nfs/dbraw/zinc/75/26/25/584752625.db2.gz ZWHZJRDPPXRJKB-CYBMUJFWSA-N 0 3 202.301 2.675 20 0 BFADHN C[C@@H](NC[C@@H]1CCC=CCCC1)c1cnnn1C ZINC000903347037 584753049 /nfs/dbraw/zinc/75/30/49/584753049.db2.gz YXBBWYOWZMTKGI-CHWSQXEVSA-N 0 3 248.374 2.602 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@@H](c1ncccn1)C1CC1 ZINC000903326212 584753318 /nfs/dbraw/zinc/75/33/18/584753318.db2.gz XENIOJOIWNTLEL-MELADBBJSA-N 0 3 245.370 2.952 20 0 BFADHN CC[C@@H](N[C@H](c1ncccn1)C1CC1)C1CC1 ZINC000903327388 584753325 /nfs/dbraw/zinc/75/33/25/584753325.db2.gz SLOIUTPEFTWWDK-OLZOCXBDSA-N 0 3 231.343 2.706 20 0 BFADHN CCC[C@@H](NC1CC(CCO)C1)c1ccccn1 ZINC000903330260 584753891 /nfs/dbraw/zinc/75/38/91/584753891.db2.gz QVGFMZXJAGXOBA-SSDMNJCBSA-N 0 3 248.370 2.673 20 0 BFADHN CC(C)[C@H](C)N[C@H](c1ncccn1)C1CC1 ZINC000903329936 584754162 /nfs/dbraw/zinc/75/41/62/584754162.db2.gz GKHFMEWYDFEGEN-JQWIXIFHSA-N 0 3 219.332 2.562 20 0 BFADHN Cn1cnc(CN[C@H]2[C@@H]3CCCC[C@@H]3C2(C)C)c1 ZINC000903350500 584754783 /nfs/dbraw/zinc/75/47/83/584754783.db2.gz CAKHRENBCFDUPZ-RDBSUJKOSA-N 0 3 247.386 2.725 20 0 BFADHN CC[C@@H](NCc1cn(C)cn1)C(C)(C)CC ZINC000903350529 584754818 /nfs/dbraw/zinc/75/48/18/584754818.db2.gz CLDMZSXSWNBOBD-GFCCVEGCSA-N 0 3 223.364 2.725 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@@H]1NCc1cn(C)cn1 ZINC000903350343 584754951 /nfs/dbraw/zinc/75/49/51/584754951.db2.gz ARBJAQCRJGWXLU-BZPMIXESSA-N 0 3 235.375 2.725 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@@H](C)c1cncc(C)c1 ZINC000903332194 584755035 /nfs/dbraw/zinc/75/50/35/584755035.db2.gz QWGCCAFIJPUHAY-NWDGAFQWSA-N 0 3 236.359 2.854 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2COC[C@@H]2C)ccc1F ZINC000903396029 584757152 /nfs/dbraw/zinc/75/71/52/584757152.db2.gz OTBVEIIADLHRPQ-COPLHBTASA-N 0 3 237.318 2.820 20 0 BFADHN CCC[C@@H](NCC[C@@H](O)C1CC1)c1ccccn1 ZINC000903405958 584758179 /nfs/dbraw/zinc/75/81/79/584758179.db2.gz GNRGQYQXFFJGOA-UKRRQHHQSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H](NCC[C@@H](O)C1CC1)c1cccc(F)c1 ZINC000903404356 584758252 /nfs/dbraw/zinc/75/82/52/584758252.db2.gz JCVDPSZSQDMWAU-QMTHXVAHSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1ccc([C@H](C)NCC[C@H](O)C2CC2)c(C)n1 ZINC000903408291 584759300 /nfs/dbraw/zinc/75/93/00/584759300.db2.gz RUEMYBHLUQTMRZ-NHYWBVRUSA-N 0 3 248.370 2.510 20 0 BFADHN Cc1ncccc1CCN[C@@H](C)c1nccs1 ZINC000903414573 584759908 /nfs/dbraw/zinc/75/99/08/584759908.db2.gz BXWMVAQPIVAGEH-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN C[C@@H]1[C@@H](N[C@H](c2ccncc2)C2CC2)CO[C@H]1C ZINC000903429433 584761587 /nfs/dbraw/zinc/76/15/87/584761587.db2.gz QMWUZPIEABFQEQ-GVARAGBVSA-N 0 3 246.354 2.546 20 0 BFADHN COC1(OC)CC[C@@H]1NC1(C)C(C)(C)C1(C)C ZINC000903441696 584761804 /nfs/dbraw/zinc/76/18/04/584761804.db2.gz DCHINNRGZDHZGI-JTQLQIEISA-N 0 3 241.375 2.552 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2COC[C@@H]2C2CC2)c(C)o1 ZINC000903439204 584762531 /nfs/dbraw/zinc/76/25/31/584762531.db2.gz ZUHZOPGXYASACK-COLVAYQJSA-N 0 3 249.354 2.972 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2COC[C@H]2C2CC2)c(C)o1 ZINC000903439208 584762542 /nfs/dbraw/zinc/76/25/42/584762542.db2.gz ZUHZOPGXYASACK-NZVBXONLSA-N 0 3 249.354 2.972 20 0 BFADHN C[C@H](N[C@@H]1COC[C@@H]1C1CC1)c1ccsc1 ZINC000903438901 584762796 /nfs/dbraw/zinc/76/27/96/584762796.db2.gz YSFTZWFQKFJPRM-ZWKOPEQDSA-N 0 3 237.368 2.824 20 0 BFADHN C[C@H](NC1(C)C(C)(C)C1(C)C)c1ncc[nH]1 ZINC000903442908 584768179 /nfs/dbraw/zinc/76/81/79/584768179.db2.gz YFMUPGXYIAZDBG-VIFPVBQESA-N 0 3 221.348 2.885 20 0 BFADHN COc1cccc([C@H](C)NC/C=C/Cl)c1O ZINC000903445503 584769911 /nfs/dbraw/zinc/76/99/11/584769911.db2.gz URLPLBAKQUMOSZ-IYNCYZAOSA-N 0 3 241.718 2.804 20 0 BFADHN COC[C@H](NC/C=C\Cl)C1CCCC1 ZINC000903445742 584770382 /nfs/dbraw/zinc/77/03/82/584770382.db2.gz VCMBCGLFLQALMD-IBHLPDHGSA-N 0 3 217.740 2.534 20 0 BFADHN Cc1cc(C)cc(CNCc2cc(N)ccn2)c1 ZINC000903949667 584830387 /nfs/dbraw/zinc/83/03/87/584830387.db2.gz CYOBJSOVLWHUPC-UHFFFAOYSA-N 0 3 241.338 2.570 20 0 BFADHN Cc1ccc(C)c(CNCc2cc(N)ccn2)c1 ZINC000903950839 584830615 /nfs/dbraw/zinc/83/06/15/584830615.db2.gz RRYIIVPCFORKMK-UHFFFAOYSA-N 0 3 241.338 2.570 20 0 BFADHN CC(C)[C@H]1CCN(Cc2ccnc(F)c2)C1 ZINC000859162496 589012112 /nfs/dbraw/zinc/01/21/12/589012112.db2.gz FDJXHUFXDAKAHQ-LBPRGKRZSA-N 0 3 222.307 2.699 20 0 BFADHN FC(F)(F)[C@@H]1CCN(CC2CC(F)(F)C2)C1 ZINC000828529862 584903558 /nfs/dbraw/zinc/90/35/58/584903558.db2.gz WOEYHRXFPKNGDP-MRVPVSSYSA-N 0 3 243.219 2.916 20 0 BFADHN Fc1ccc(CCNCc2ccoc2)c(F)c1 ZINC000732672544 584939755 /nfs/dbraw/zinc/93/97/55/584939755.db2.gz RRHYDRPCFUMRDV-UHFFFAOYSA-N 0 3 237.249 2.890 20 0 BFADHN CCCCCCNC(=O)CN(C)C(C)(C)CC ZINC000733997237 584956009 /nfs/dbraw/zinc/95/60/09/584956009.db2.gz UZEWDYFFRAOQSC-UHFFFAOYSA-N 0 3 242.407 2.803 20 0 BFADHN Cc1nonc1CN1CCC[C@@H]1CC(C)(C)C ZINC000734147556 584957274 /nfs/dbraw/zinc/95/72/74/584957274.db2.gz RUFIEDHPCCRHPA-LLVKDONJSA-N 0 3 237.347 2.779 20 0 BFADHN Cc1nonc1CN1CCC[C@H]1C1CCCC1 ZINC000734145812 584957388 /nfs/dbraw/zinc/95/73/88/584957388.db2.gz BHVRQHAKCSHWTK-ZDUSSCGKSA-N 0 3 235.331 2.533 20 0 BFADHN Cc1nonc1CN1CCC[C@H]1CC(C)(C)C ZINC000734147560 584957478 /nfs/dbraw/zinc/95/74/78/584957478.db2.gz RUFIEDHPCCRHPA-NSHDSACASA-N 0 3 237.347 2.779 20 0 BFADHN CCCCCN(CN1C[C@@H](C)CC1=O)C(C)C ZINC000734829332 584969079 /nfs/dbraw/zinc/96/90/79/584969079.db2.gz JPBTWQIBWPGPKV-ZDUSSCGKSA-N 0 3 240.391 2.713 20 0 BFADHN CC(C)[C@H](CNCc1ccoc1)c1ccnn1C ZINC000788075816 584991719 /nfs/dbraw/zinc/99/17/19/584991719.db2.gz KVVRCTVQDKPZFX-ZDUSSCGKSA-N 0 3 247.342 2.543 20 0 BFADHN CC(C)[C@@H](CNCc1ccoc1)c1ccnn1C ZINC000788075810 584992097 /nfs/dbraw/zinc/99/20/97/584992097.db2.gz KVVRCTVQDKPZFX-CYBMUJFWSA-N 0 3 247.342 2.543 20 0 BFADHN C[C@H](COc1ccccc1)NCc1cccn1C ZINC000738429744 585045971 /nfs/dbraw/zinc/04/59/71/585045971.db2.gz GDIVGKBDKMOWSI-CYBMUJFWSA-N 0 3 244.338 2.582 20 0 BFADHN CC(C(=O)OCCN1CCCCC1)=C1CCC1 ZINC000838237366 585049853 /nfs/dbraw/zinc/04/98/53/585049853.db2.gz YSSINXJJQDWVTJ-UHFFFAOYSA-N 0 3 237.343 2.516 20 0 BFADHN O[C@H](CN1CCC[C@H]1c1ccc(F)cc1)C1CC1 ZINC000838783052 585069538 /nfs/dbraw/zinc/06/95/38/585069538.db2.gz GEMSQMHLDADVPJ-LSDHHAIUSA-N 0 3 249.329 2.734 20 0 BFADHN CCOC(=O)CC(C)(C)CN1CC=C(C)CC1 ZINC000838843941 585074227 /nfs/dbraw/zinc/07/42/27/585074227.db2.gz STXQJFGHDZQYNM-UHFFFAOYSA-N 0 3 239.359 2.618 20 0 BFADHN O[C@H](CNC1(c2ccc(F)cc2)CCC1)C1CC1 ZINC000838889615 585078523 /nfs/dbraw/zinc/07/85/23/585078523.db2.gz OZSRRIRLJMQUKO-CQSZACIVSA-N 0 3 249.329 2.565 20 0 BFADHN CCn1ncc(CNC2CCCCCCC2)n1 ZINC000838888552 585078629 /nfs/dbraw/zinc/07/86/29/585078629.db2.gz AMDRLGMXIIJMEX-UHFFFAOYSA-N 0 3 236.363 2.501 20 0 BFADHN C[C@@H](c1ccco1)N(C[C@@H](O)C1CC1)C1CC1 ZINC000838901290 585080260 /nfs/dbraw/zinc/08/02/60/585080260.db2.gz SFANGWABYZFSLM-GXFFZTMASA-N 0 3 235.327 2.576 20 0 BFADHN CCCC[C@H](CCC)NCc1cnn(CC)n1 ZINC000838974695 585083449 /nfs/dbraw/zinc/08/34/49/585083449.db2.gz LRPQJHDERJKHFU-LBPRGKRZSA-N 0 3 238.379 2.747 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1ccoc1 ZINC000839168526 585095614 /nfs/dbraw/zinc/09/56/14/585095614.db2.gz BTFDJZIWCJJQTL-ZDUSSCGKSA-N 0 3 223.316 2.671 20 0 BFADHN C[C@@H](C(=O)OC/C=C\Cl)N1[C@H](C)CC[C@@H]1C ZINC000741021105 585109115 /nfs/dbraw/zinc/10/91/15/585109115.db2.gz BPNZBLRTGDUDSN-SXWTUTOUSA-N 0 3 245.750 2.543 20 0 BFADHN CCCOC(=O)[C@@H](C)N1CCC[C@@H]1CC(C)C ZINC000741777540 585118171 /nfs/dbraw/zinc/11/81/71/585118171.db2.gz JKOQUJKFLQMDOA-CHWSQXEVSA-N 0 3 241.375 2.839 20 0 BFADHN CCCOC(=O)[C@@H](C)N1CCC[C@H]1C1CCC1 ZINC000741782849 585118381 /nfs/dbraw/zinc/11/83/81/585118381.db2.gz NMBLZVFSUUETON-YPMHNXCESA-N 0 3 239.359 2.593 20 0 BFADHN CCCOC(=O)[C@H](C)N1C[C@H](C)CCC[C@@H]1C ZINC000741795692 585119446 /nfs/dbraw/zinc/11/94/46/585119446.db2.gz SAICGRGSIUVVFJ-AGIUHOORSA-N 0 3 241.375 2.839 20 0 BFADHN CCCCC[C@@H](C)CC(=O)NC[C@@H](C)N(C)C ZINC000742532444 585127874 /nfs/dbraw/zinc/12/78/74/585127874.db2.gz MEFKQDKHKPQSPX-CHWSQXEVSA-N 0 3 242.407 2.659 20 0 BFADHN CN(CCCCCCCO)Cc1ccoc1 ZINC000743842976 585140209 /nfs/dbraw/zinc/14/02/09/585140209.db2.gz PBPJFEIMWRCEGM-UHFFFAOYSA-N 0 3 225.332 2.654 20 0 BFADHN Cc1cc([C@H]2CCCN2CCC2CC2)no1 ZINC000743839666 585140514 /nfs/dbraw/zinc/14/05/14/585140514.db2.gz QMTVQYVVTDEFKM-CYBMUJFWSA-N 0 3 220.316 2.920 20 0 BFADHN CCCCC[C@@H](C)NC(=O)CN(CC)CCC ZINC000746650913 585171555 /nfs/dbraw/zinc/17/15/55/585171555.db2.gz NLYUNJVQFYEQML-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN CC(C)[C@H]1OCCC[C@@H]1CNCc1ccoc1 ZINC000749294448 585201024 /nfs/dbraw/zinc/20/10/24/585201024.db2.gz IBSGDAXAKIJGSM-ZIAGYGMSSA-N 0 3 237.343 2.820 20 0 BFADHN Fc1cc(CN2CCC3(CC3)CC2)ccn1 ZINC000859216059 589020017 /nfs/dbraw/zinc/02/00/17/589020017.db2.gz DPFBIPKRHLRZTC-UHFFFAOYSA-N 0 3 220.291 2.597 20 0 BFADHN C[C@@H]1CCN(C[C@H](O)c2cccc(F)c2)[C@H]1C ZINC000752089671 585241728 /nfs/dbraw/zinc/24/17/28/585241728.db2.gz XKLYSLUUHXXRNA-SUNKGSAMSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1cccc(F)c1CN[C@H](C)[C@H]1CCCO1 ZINC000753663747 585265835 /nfs/dbraw/zinc/26/58/35/585265835.db2.gz NCAOOGXPEFWEPL-BXUZGUMPSA-N 0 3 237.318 2.791 20 0 BFADHN CCC(=O)CCN1CCc2c(C)cccc2C1 ZINC000753704008 585266686 /nfs/dbraw/zinc/26/66/86/585266686.db2.gz GBMOOCLATGZGJW-UHFFFAOYSA-N 0 3 231.339 2.722 20 0 BFADHN CCC(=O)CCN1CCc2cc(C)ccc2C1 ZINC000753772046 585268522 /nfs/dbraw/zinc/26/85/22/585268522.db2.gz MFRHNBDGZMKBGL-UHFFFAOYSA-N 0 3 231.339 2.722 20 0 BFADHN CC(=O)CCN(C)Cc1ccc(F)cc1C ZINC000753785273 585269057 /nfs/dbraw/zinc/26/90/57/585269057.db2.gz KZQNMWQUNOTTAX-UHFFFAOYSA-N 0 3 223.291 2.545 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2ccnc(N(C)C)c2)C1 ZINC000754052818 585276383 /nfs/dbraw/zinc/27/63/83/585276383.db2.gz ZZABATJAZUCWMV-OLZOCXBDSA-N 0 3 247.386 2.768 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccnc(N(C)C)c2)C1 ZINC000754061653 585276789 /nfs/dbraw/zinc/27/67/89/585276789.db2.gz MHLHTJHUHHJRMA-HNNXBMFYSA-N 0 3 247.386 2.770 20 0 BFADHN FC(F)(F)[C@H]1CCN(CCc2ccccn2)C1 ZINC000839535112 585329394 /nfs/dbraw/zinc/32/93/94/585329394.db2.gz WNIKUHFAPHSVOQ-JTQLQIEISA-N 0 3 244.260 2.508 20 0 BFADHN CC(C)[C@@H](NCCC1(O)CCC1)c1ccccn1 ZINC000839544870 585330103 /nfs/dbraw/zinc/33/01/03/585330103.db2.gz QCRGELUNLCDRFC-CQSZACIVSA-N 0 3 248.370 2.673 20 0 BFADHN CCCCCCN1CCCc2c(cnn2C)C1 ZINC000839627675 585332675 /nfs/dbraw/zinc/33/26/75/585332675.db2.gz LWNOGICLNHWXCJ-UHFFFAOYSA-N 0 3 235.375 2.749 20 0 BFADHN C[C@@H]1CC[C@H](C)N1C[C@@H](O)c1cccc(F)c1 ZINC000757860885 585346101 /nfs/dbraw/zinc/34/61/01/585346101.db2.gz JNOCSJROAWKKLG-UHIISALHSA-N 0 3 237.318 2.732 20 0 BFADHN CC(C)(C)[C@H]1CCN(Cc2ccoc2)C[C@@H]1O ZINC000757867816 585346135 /nfs/dbraw/zinc/34/61/35/585346135.db2.gz WGDFLVGALGBKKG-STQMWFEESA-N 0 3 237.343 2.509 20 0 BFADHN CC(C)[C@@H]1CCN1C[C@@H](O)c1cccc(F)c1 ZINC000759070208 585364025 /nfs/dbraw/zinc/36/40/25/585364025.db2.gz LQLVPGITSBGMNK-UONOGXRCSA-N 0 3 237.318 2.589 20 0 BFADHN CCCN(CCF)[C@@H]1C[C@H](OCC)C1(C)C ZINC000759342250 585369094 /nfs/dbraw/zinc/36/90/94/585369094.db2.gz GFNSPGYCTKPWAB-NEPJUHHUSA-N 0 3 231.355 2.872 20 0 BFADHN C[C@@H]1C[C@@H](c2ccccc2)CN1CCF ZINC000759341483 585369304 /nfs/dbraw/zinc/36/93/04/585369304.db2.gz GYLLRWDMEDZZMK-DGCLKSJQSA-N 0 3 207.292 2.834 20 0 BFADHN Cc1ccccc1C[C@@H]1CCCN1CCF ZINC000759343195 585369538 /nfs/dbraw/zinc/36/95/38/585369538.db2.gz RPFKGFUOPLLLEJ-AWEZNQCLSA-N 0 3 221.319 2.971 20 0 BFADHN CC[C@@H](Cc1ccccc1)N(C)CCF ZINC000759345959 585370328 /nfs/dbraw/zinc/37/03/28/585370328.db2.gz UWHIGCZINBPHPU-ZDUSSCGKSA-N 0 3 209.308 2.909 20 0 BFADHN CCN(CCF)[C@@H](C)Cc1ccc(OC)cc1 ZINC000759348773 585370671 /nfs/dbraw/zinc/37/06/71/585370671.db2.gz SSEINTSKRFBLQK-LBPRGKRZSA-N 0 3 239.334 2.918 20 0 BFADHN C[C@@H](c1ccco1)N(CCN1CCCC1)C1CC1 ZINC000760860178 585400197 /nfs/dbraw/zinc/40/01/97/585400197.db2.gz LQDVZIUVWXQTIB-ZDUSSCGKSA-N 0 3 248.370 2.901 20 0 BFADHN FC(F)(F)CCCCN1CC[C@H]2C[C@H]21 ZINC000761091539 585404288 /nfs/dbraw/zinc/40/42/88/585404288.db2.gz LAPZJYMNLSQZTG-DTWKUNHWSA-N 0 3 207.239 2.813 20 0 BFADHN C[C@H]1CN([C@H]2CCCc3ccccc32)CCN1C ZINC000761066138 585404740 /nfs/dbraw/zinc/40/47/40/585404740.db2.gz AWJULMDPFTWETN-BBRMVZONSA-N 0 3 244.382 2.700 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCN(C)[C@H](C)C1 ZINC000761077472 585406378 /nfs/dbraw/zinc/40/63/78/585406378.db2.gz SYVGBURXMGYRNE-VXGBXAGGSA-N 0 3 236.334 2.523 20 0 BFADHN Cc1ccccc1[C@@H](C)N1CCN(C)[C@H](C)C1 ZINC000761079852 585406412 /nfs/dbraw/zinc/40/64/12/585406412.db2.gz GBWLNAGSJRUOHE-ZIAGYGMSSA-N 0 3 232.371 2.692 20 0 BFADHN CCc1cccc(OCCN2CC[C@H]3C[C@H]32)c1 ZINC000761092153 585408298 /nfs/dbraw/zinc/40/82/98/585408298.db2.gz MKEBVIYZPDMQLW-DZGCQCFKSA-N 0 3 231.339 2.722 20 0 BFADHN C[C@@H]1CCCC[C@@H]1OCCN1CC[C@@H]2C[C@@H]21 ZINC000761097105 585408801 /nfs/dbraw/zinc/40/88/01/585408801.db2.gz SHNSBTSKUHIGON-MQYQWHSLSA-N 0 3 223.360 2.676 20 0 BFADHN CCOc1ccccc1OCCN1CC[C@@H]2C[C@@H]21 ZINC000761097050 585408825 /nfs/dbraw/zinc/40/88/25/585408825.db2.gz VAOPKKOXQJCOEG-OLZOCXBDSA-N 0 3 247.338 2.558 20 0 BFADHN OCCCCCCCN1CC2(C1)CC(F)(F)C2 ZINC000761115853 585410429 /nfs/dbraw/zinc/41/04/29/585410429.db2.gz ZHKZKVQVPHQPMW-UHFFFAOYSA-N 0 3 247.329 2.660 20 0 BFADHN CC(=O)Oc1ccc(CN2C3CCC2CC3)cc1 ZINC000762633409 585434503 /nfs/dbraw/zinc/43/45/03/585434503.db2.gz UVHQLCBOEDWZIW-UHFFFAOYSA-N 0 3 245.322 2.739 20 0 BFADHN CC[C@H](CSC)N(C)Cc1ccnc(F)c1 ZINC000859224029 589021950 /nfs/dbraw/zinc/02/19/50/589021950.db2.gz DMLDOJLJUGZHGE-LLVKDONJSA-N 0 3 242.363 2.794 20 0 BFADHN CCCCC[C@@H](C)NC(=O)C1(N(C)C)CCC1 ZINC000762971306 585440481 /nfs/dbraw/zinc/44/04/81/585440481.db2.gz HHFODDRKXKTYPG-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CCOC(=O)CCN1Cc2ccccc2[C@@H](C)C1 ZINC000763629703 585451216 /nfs/dbraw/zinc/45/12/16/585451216.db2.gz QZFIQHKWJPKUFI-LBPRGKRZSA-N 0 3 247.338 2.559 20 0 BFADHN COC[C@@H](C)Nc1ccnc2cc(OC)ccc21 ZINC000765450197 585486693 /nfs/dbraw/zinc/48/66/93/585486693.db2.gz NBFZFDKVXWEVNE-SNVBAGLBSA-N 0 3 246.310 2.690 20 0 BFADHN CN1CC[C@@H](N[C@H]2CC(C)(C)Cc3occc32)C1 ZINC000765772915 585492472 /nfs/dbraw/zinc/49/24/72/585492472.db2.gz XRHVKYPKQVNHSZ-YPMHNXCESA-N 0 3 248.370 2.587 20 0 BFADHN CN1CC[C@@H](N[C@@H]2CC(C)(C)Cc3occc32)C1 ZINC000765772910 585492508 /nfs/dbraw/zinc/49/25/08/585492508.db2.gz XRHVKYPKQVNHSZ-DGCLKSJQSA-N 0 3 248.370 2.587 20 0 BFADHN C[C@H](NCCNc1ccccc1)c1cncs1 ZINC000766515020 585503766 /nfs/dbraw/zinc/50/37/66/585503766.db2.gz LUTMMOPMTABKSU-NSHDSACASA-N 0 3 247.367 2.906 20 0 BFADHN CC/C=C/CCN1CCCC[C@@H]1C1OCCO1 ZINC000767314769 585516637 /nfs/dbraw/zinc/51/66/37/585516637.db2.gz ZJKRNECUWLVEGH-ITDFMYJTSA-N 0 3 239.359 2.570 20 0 BFADHN Cc1ccc([C@H](O)CNCc2cc(C)oc2C)o1 ZINC000767381006 585518859 /nfs/dbraw/zinc/51/88/59/585518859.db2.gz VOPHCANFUGSFEG-CYBMUJFWSA-N 0 3 249.310 2.621 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1c1cc(C)nc(N)n1 ZINC000767813346 585526359 /nfs/dbraw/zinc/52/63/59/585526359.db2.gz HHCUWDQFEGNPFM-PWSUYJOCSA-N 0 3 248.374 2.772 20 0 BFADHN CCCCC[C@H]1CCCN1c1cc(C)nc(N)n1 ZINC000767814683 585526431 /nfs/dbraw/zinc/52/64/31/585526431.db2.gz ONDNSCXCRHLCNP-LBPRGKRZSA-N 0 3 248.374 2.916 20 0 BFADHN CCN(C)Cc1cc2cccc(OC)c2o1 ZINC000768238500 585534476 /nfs/dbraw/zinc/53/44/76/585534476.db2.gz ZHMOLQMKYOZHAT-UHFFFAOYSA-N 0 3 219.284 2.893 20 0 BFADHN C[C@H](NCCNc1ccccc1)c1ccncc1 ZINC000768407886 585538135 /nfs/dbraw/zinc/53/81/35/585538135.db2.gz SZDAOFNIUJJIQZ-ZDUSSCGKSA-N 0 3 241.338 2.844 20 0 BFADHN Cc1cc(CN2CCCO[C@H](C)C2)c(C)o1 ZINC000769318057 585553767 /nfs/dbraw/zinc/55/37/67/585553767.db2.gz HNTOJMJILAJDBZ-LLVKDONJSA-N 0 3 223.316 2.507 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC1CC(C(F)F)C1 ZINC000769518498 585558495 /nfs/dbraw/zinc/55/84/95/585558495.db2.gz FRPRVLVRUDMTLD-OUBRSPPYSA-N 0 3 244.285 2.986 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NCCc1ccccc1 ZINC000769535973 585559070 /nfs/dbraw/zinc/55/90/70/585559070.db2.gz RKHPLHVMRLMBGY-CQSZACIVSA-N 0 3 241.338 2.619 20 0 BFADHN C[C@H](NC1CC(C(F)F)C1)c1cncc(F)c1 ZINC000769550806 585559346 /nfs/dbraw/zinc/55/93/46/585559346.db2.gz GAUPDOZDSNUQEN-JYPKXMCJSA-N 0 3 244.260 2.915 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@@H]1C[C@H]1c1ccccc1 ZINC000770346244 585573025 /nfs/dbraw/zinc/57/30/25/585573025.db2.gz DVBAOVZAHSFGCB-LSDHHAIUSA-N 0 3 241.338 2.706 20 0 BFADHN C[C@H](C(=O)OCCN1CCCCC1)C(C)(C)C ZINC000770376013 585573249 /nfs/dbraw/zinc/57/32/49/585573249.db2.gz URNYVHHCLUNSGT-GFCCVEGCSA-N 0 3 241.375 2.698 20 0 BFADHN CC(C)(C)/C=C\C(=O)OCCN1CCCCC1 ZINC000770368117 585573424 /nfs/dbraw/zinc/57/34/24/585573424.db2.gz ILRJEXQQDTXGME-FPLPWBNLSA-N 0 3 239.359 2.618 20 0 BFADHN c1cnc2ccc(CN3CC[C@H]4C[C@H]43)cc2c1 ZINC000770668104 585579315 /nfs/dbraw/zinc/57/93/15/585579315.db2.gz VUFDYQBVEKACTO-DZGCQCFKSA-N 0 3 224.307 2.829 20 0 BFADHN CCN(CC)CCOC(=O)CC1=CCCCC1 ZINC000772099523 585608517 /nfs/dbraw/zinc/60/85/17/585608517.db2.gz XHHHEFDQWFXWMB-UHFFFAOYSA-N 0 3 239.359 2.762 20 0 BFADHN CCN(CC)CCOC(=O)C[C@@H]1CC[C@@H](C)C1 ZINC000772185381 585609704 /nfs/dbraw/zinc/60/97/04/585609704.db2.gz GUSVNLANQAGCOV-CHWSQXEVSA-N 0 3 241.375 2.698 20 0 BFADHN CC[C@@H](C)[C@H](C)C(=O)OCCN(CC)CC ZINC000772256571 585611269 /nfs/dbraw/zinc/61/12/69/585611269.db2.gz NNRZXMITATYSTM-NEPJUHHUSA-N 0 3 229.364 2.554 20 0 BFADHN CCCOC(=O)[C@H](C)N1[C@H](CC)CC[C@@H]1C ZINC000772341117 585612190 /nfs/dbraw/zinc/61/21/90/585612190.db2.gz CFOYLKVCMAYOHQ-SDDRHHMPSA-N 0 3 227.348 2.591 20 0 BFADHN CCCOC(=O)[C@H](C)N1[C@H](C)CC[C@H]1CC ZINC000772341112 585613164 /nfs/dbraw/zinc/61/31/64/585613164.db2.gz CFOYLKVCMAYOHQ-GRYCIOLGSA-N 0 3 227.348 2.591 20 0 BFADHN CCN(CC)CCOC(=O)C(C)(CC)CC ZINC000772363801 585613279 /nfs/dbraw/zinc/61/32/79/585613279.db2.gz PUZVBEDGCAZYGC-UHFFFAOYSA-N 0 3 229.364 2.698 20 0 BFADHN CCC(CC)NCc1nc2cc(F)ccc2n1C ZINC000772999373 585629233 /nfs/dbraw/zinc/62/92/33/585629233.db2.gz JOTQSVKIGKBJLZ-UHFFFAOYSA-N 0 3 249.333 2.991 20 0 BFADHN CCC[C@H](C)NCc1nc2cc(F)ccc2n1C ZINC000773000522 585629293 /nfs/dbraw/zinc/62/92/93/585629293.db2.gz QGQNLGFGDBQPDF-JTQLQIEISA-N 0 3 249.333 2.991 20 0 BFADHN Cc1ncc(CCN[C@H](C)c2ccccn2)s1 ZINC000773083226 585630953 /nfs/dbraw/zinc/63/09/53/585630953.db2.gz UCAJMVJPYBOJSK-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1ccccc1C[C@@H](C)N(C)CCF ZINC000773936253 585646791 /nfs/dbraw/zinc/64/67/91/585646791.db2.gz WSUMREUXGCAKRY-GFCCVEGCSA-N 0 3 209.308 2.827 20 0 BFADHN c1ccc(C[C@H]2CCN2C[C@H]2CCC=CO2)cc1 ZINC000774901957 585668812 /nfs/dbraw/zinc/66/88/12/585668812.db2.gz BBILOEFRKJRFNJ-HZPDHXFCSA-N 0 3 243.350 2.996 20 0 BFADHN c1ccc(C[C@@H]2CCN2C[C@H]2CCC=CO2)cc1 ZINC000774901960 585669072 /nfs/dbraw/zinc/66/90/72/585669072.db2.gz BBILOEFRKJRFNJ-JKSUJKDBSA-N 0 3 243.350 2.996 20 0 BFADHN c1c2ccccc2[nH]c1CNC[C@@H]1CCC=CO1 ZINC000774989682 585670170 /nfs/dbraw/zinc/67/01/70/585670170.db2.gz JVYRNKAUGAOGQH-AWEZNQCLSA-N 0 3 242.322 2.950 20 0 BFADHN c1cncc([C@@H](NC[C@@H]2CCC=CO2)C2CC2)c1 ZINC000775040018 585670859 /nfs/dbraw/zinc/67/08/59/585670859.db2.gz ORDHRQSFIYQWAW-GJZGRUSLSA-N 0 3 244.338 2.815 20 0 BFADHN CCc1cnccc1[C@H](C)NC[C@H]1CCC=CO1 ZINC000775051956 585671058 /nfs/dbraw/zinc/67/10/58/585671058.db2.gz RKDIVRPRULINCF-GXTWGEPZSA-N 0 3 246.354 2.987 20 0 BFADHN FC(F)(F)C[C@@H]1CCN(C[C@@H]2CCC=CO2)C1 ZINC000775135699 585672602 /nfs/dbraw/zinc/67/26/02/585672602.db2.gz KZYVWODDVXMBIJ-QWRGUYRKSA-N 0 3 249.276 2.953 20 0 BFADHN CC(C)[C@H](NCC1(O)CCCC1)c1ccccn1 ZINC000775445040 585677953 /nfs/dbraw/zinc/67/79/53/585677953.db2.gz DYAMKMGLCUKYKZ-AWEZNQCLSA-N 0 3 248.370 2.673 20 0 BFADHN CC(C)[C@@H](NC[C@H]1CCCO1)c1ccccn1 ZINC000775447028 585677995 /nfs/dbraw/zinc/67/79/95/585677995.db2.gz VQOAMMWUZFMEMV-TZMCWYRMSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)[C@H](NC[C@H]1CCCCO1)c1ccccn1 ZINC000775447178 585678125 /nfs/dbraw/zinc/67/81/25/585678125.db2.gz XWGGADWESBTHNJ-HIFRSBDPSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)[C@@H](NCCOCC1CC1)c1ccccn1 ZINC000775445425 585678188 /nfs/dbraw/zinc/67/81/88/585678188.db2.gz GXFDNWUIMFCYRN-OAHLLOKOSA-N 0 3 248.370 2.795 20 0 BFADHN C[C@@H]1CCOCCN1CCCOc1ccccc1 ZINC000775568744 585679922 /nfs/dbraw/zinc/67/99/22/585679922.db2.gz WKPSXRDHEIVACN-CQSZACIVSA-N 0 3 249.354 2.566 20 0 BFADHN Cn1ccnc1[C@H](NCCC1CCC1)C1CC1 ZINC000775629612 585681940 /nfs/dbraw/zinc/68/19/40/585681940.db2.gz GZWACJBZGYJWLK-CYBMUJFWSA-N 0 3 233.359 2.651 20 0 BFADHN CCCCOC(=O)[C@H](C)N1CC[C@H](C)[C@@H]1CC ZINC000775784799 585684691 /nfs/dbraw/zinc/68/46/91/585684691.db2.gz HABPYRYGIGRACG-AVGNSLFASA-N 0 3 241.375 2.839 20 0 BFADHN CCN(Cc1ccc(OC)cn1)CC(C)C ZINC000775939176 585688248 /nfs/dbraw/zinc/68/82/48/585688248.db2.gz LKQFUBJXVXPOLM-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN Fc1cccn2cc(CNCCC3CCC3)nc12 ZINC000776251509 585694050 /nfs/dbraw/zinc/69/40/50/585694050.db2.gz JTEKNXLWPKNTCU-UHFFFAOYSA-N 0 3 247.317 2.753 20 0 BFADHN C[C@@H](N[C@H](C)c1cccc(CO)c1)c1ccc[nH]1 ZINC000776309517 585695571 /nfs/dbraw/zinc/69/55/71/585695571.db2.gz KQVIRKCSPKJLKO-VXGBXAGGSA-N 0 3 244.338 2.919 20 0 BFADHN Cc1ccccc1N1CCN([C@H](C)C2CC2)CC1 ZINC000777401409 585711381 /nfs/dbraw/zinc/71/13/81/585711381.db2.gz NUTVJFXCPBPSOO-CQSZACIVSA-N 0 3 244.382 2.916 20 0 BFADHN CC(C)CCN(CCC(C)C)CC1OCCO1 ZINC000777535507 585714393 /nfs/dbraw/zinc/71/43/93/585714393.db2.gz RVEYFXZBFWPBQS-UHFFFAOYSA-N 0 3 243.391 2.754 20 0 BFADHN C[C@@H](Cn1cccn1)NCc1ccc(CF)cc1 ZINC000777579657 585715143 /nfs/dbraw/zinc/71/51/43/585715143.db2.gz CRYZNBSMQFITRN-LBPRGKRZSA-N 0 3 247.317 2.531 20 0 BFADHN CC(C)[C@@H](C)[NH2+]Cc1nnc(-c2ccccc2)[n-]1 ZINC000777575467 585715771 /nfs/dbraw/zinc/71/57/71/585715771.db2.gz RYDYLRXBSKBOQX-LLVKDONJSA-N 0 3 244.342 2.606 20 0 BFADHN Cc1ccccc1[C@H](O)CN1CC2(C1)CCCC2 ZINC000777660372 585718257 /nfs/dbraw/zinc/71/82/57/585718257.db2.gz QKFLMQVJXLAYIA-OAHLLOKOSA-N 0 3 245.366 2.904 20 0 BFADHN C[C@H]1CCN(CCc2cccs2)C[C@@H]1F ZINC000778239232 585728694 /nfs/dbraw/zinc/72/86/94/585728694.db2.gz RJBMLVXXCCMGGI-JQWIXIFHSA-N 0 3 227.348 2.971 20 0 BFADHN CCN(CC)CCOC(=O)C[C@H]1C=CCCC1 ZINC000778400469 585733645 /nfs/dbraw/zinc/73/36/45/585733645.db2.gz VGMQBYMHAURLBQ-ZDUSSCGKSA-N 0 3 239.359 2.618 20 0 BFADHN O[C@@H](CN1CC=CCC1)c1ccc(Cl)cc1 ZINC000778966606 585743107 /nfs/dbraw/zinc/74/31/07/585743107.db2.gz KLKPRIXLIFXYQZ-ZDUSSCGKSA-N 0 3 237.730 2.635 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1ccc(F)nc1 ZINC000779170983 585746797 /nfs/dbraw/zinc/74/67/97/585746797.db2.gz FICOLCRKXJKRGY-ZYHUDNBSSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1c2ccccc2oc1CN[C@@H](C)CCO ZINC000779349150 585752295 /nfs/dbraw/zinc/75/22/95/585752295.db2.gz WCZDCJQANPLVIC-JTQLQIEISA-N 0 3 233.311 2.602 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1ccnc(F)c1 ZINC000859257918 589025268 /nfs/dbraw/zinc/02/52/68/589025268.db2.gz HVFWSNYRKIMBFM-ZYHUDNBSSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCc3ccccc32)CCO1 ZINC000780288160 585776833 /nfs/dbraw/zinc/77/68/33/585776833.db2.gz SITRHXBRQHQRSE-HUUCEWRRSA-N 0 3 245.366 2.827 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1C[C@H]1CCc2ccccc21 ZINC000780297628 585777105 /nfs/dbraw/zinc/77/71/05/585777105.db2.gz DRIKTNWVXYHSAX-VNHYZAJKSA-N 0 3 245.366 2.826 20 0 BFADHN CCN(Cc1nonc1C)[C@H]1CCCC[C@@H]1C ZINC000781924911 585805474 /nfs/dbraw/zinc/80/54/74/585805474.db2.gz RRNYHPLZGXIPJS-GWCFXTLKSA-N 0 3 237.347 2.779 20 0 BFADHN CC[C@H]1CCCN(Cc2ccnc(N(C)C)c2)C1 ZINC000782173768 585809635 /nfs/dbraw/zinc/80/96/35/585809635.db2.gz FFIPQCFHEQBTFY-ZDUSSCGKSA-N 0 3 247.386 2.770 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccnc(N(C)C)c1 ZINC000782175083 585809810 /nfs/dbraw/zinc/80/98/10/585809810.db2.gz PGBBYCUFFYYTRM-AWEZNQCLSA-N 0 3 247.386 2.912 20 0 BFADHN C[C@@H](C1CC1)N1CCc2cccc(F)c2C1 ZINC000782181673 585810310 /nfs/dbraw/zinc/81/03/10/585810310.db2.gz HKEDMWXWAWOKSS-JTQLQIEISA-N 0 3 219.303 2.982 20 0 BFADHN C[C@H](C1CC1)N1CCO[C@@H](Cc2ccccc2)C1 ZINC000782192106 585811507 /nfs/dbraw/zinc/81/15/07/585811507.db2.gz SFRVPKUONPPDFN-CJNGLKHVSA-N 0 3 245.366 2.728 20 0 BFADHN C[C@@H]1CN(CCc2cccs2)[C@@H](C)[C@@H](C)O1 ZINC000782619849 585822752 /nfs/dbraw/zinc/82/27/52/585822752.db2.gz FVABJGNOQJEJDX-GRYCIOLGSA-N 0 3 239.384 2.788 20 0 BFADHN Cc1cccc2nc(CN[C@H]3CC[C@@H]3C)cn21 ZINC000783239729 585831949 /nfs/dbraw/zinc/83/19/49/585831949.db2.gz MIEXTVUOALUAFZ-GWCFXTLKSA-N 0 3 229.327 2.531 20 0 BFADHN C[C@H]1CC[C@@H]1NC1(C(=O)Nc2ccccc2)CC1 ZINC000783292678 585833097 /nfs/dbraw/zinc/83/30/97/585833097.db2.gz BWPQHTOCQSOLOK-AAEUAGOBSA-N 0 3 244.338 2.546 20 0 BFADHN Cc1cncc([C@@H](C)NC[C@@H]2CCC=CO2)c1 ZINC000783308394 585833762 /nfs/dbraw/zinc/83/37/62/585833762.db2.gz DGKFCQFSHFMELZ-OCCSQVGLSA-N 0 3 232.327 2.733 20 0 BFADHN CCCC[C@@H](CC)CCN(CC)CC(=O)NC ZINC000783526845 585836161 /nfs/dbraw/zinc/83/61/61/585836161.db2.gz PJRILXUEYRQFAM-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CC(C)CCCN1CCCC[C@@H]1C1OCCO1 ZINC000783558828 585837024 /nfs/dbraw/zinc/83/70/24/585837024.db2.gz VNMGOTHBEIQWCQ-CYBMUJFWSA-N 0 3 241.375 2.650 20 0 BFADHN Cc1ccc2c(c1)nc(CN[C@@H]1CC[C@@H]1C)n2C ZINC000783677133 585839929 /nfs/dbraw/zinc/83/99/29/585839929.db2.gz UFJRFHCALIJMRV-NWDGAFQWSA-N 0 3 243.354 2.770 20 0 BFADHN COCc1ccc(CNC23CCC(CC2)C3)o1 ZINC000784644259 585865139 /nfs/dbraw/zinc/86/51/39/585865139.db2.gz SVGZGVYTCQGWGQ-UHFFFAOYSA-N 0 3 235.327 2.848 20 0 BFADHN Cc1ccc(CCNCc2ccc(F)nc2C)o1 ZINC000785417748 585872947 /nfs/dbraw/zinc/87/29/47/585872947.db2.gz KVHCLFXGAXTGOI-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN CCOC(CCN[C@@H](C)c1ccoc1)OCC ZINC000786968600 585899238 /nfs/dbraw/zinc/89/92/38/585899238.db2.gz FKTBTOQOKWFGMO-NSHDSACASA-N 0 3 241.331 2.719 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC=CO2)C[C@H](C)S1 ZINC000788446015 585923987 /nfs/dbraw/zinc/92/39/87/585923987.db2.gz NKDFGRBLUYDIHS-GRYCIOLGSA-N 0 3 227.373 2.505 20 0 BFADHN CCN(CCc1ccccn1)C[C@H]1CC[C@H](C)O1 ZINC000789335779 585940186 /nfs/dbraw/zinc/94/01/86/585940186.db2.gz LYONBZQLIIECKF-DZGCQCFKSA-N 0 3 248.370 2.514 20 0 BFADHN COCCN(C/C=C/Cl)[C@@H]1CC[C@H](C)C1 ZINC000792465791 586000806 /nfs/dbraw/zinc/00/08/06/586000806.db2.gz QDGHSLLEWISFEN-CXILLOQGSA-N 0 3 231.767 2.876 20 0 BFADHN Cc1cccc(OCCCNCC2(F)CC2)c1 ZINC000792893767 586006434 /nfs/dbraw/zinc/00/64/34/586006434.db2.gz KJVJGDGFEGAHSR-UHFFFAOYSA-N 0 3 237.318 2.856 20 0 BFADHN C[C@H](C[C@H](O)c1ccccc1)NCC1(F)CC1 ZINC000793032247 586008542 /nfs/dbraw/zinc/00/85/42/586008542.db2.gz KSMDVEQHPXJNTD-YPMHNXCESA-N 0 3 237.318 2.590 20 0 BFADHN O[C@@H](c1ccccc1)C1(CNCC2(F)CC2)CC1 ZINC000793020741 586008547 /nfs/dbraw/zinc/00/85/47/586008547.db2.gz HMESZGCLRCJCLJ-ZDUSSCGKSA-N 0 3 249.329 2.592 20 0 BFADHN C[C@@H]1[C@H](C(F)(F)F)CCN1C[C@@H]1CCC=CO1 ZINC000793230058 586014726 /nfs/dbraw/zinc/01/47/26/586014726.db2.gz DBOPKPGAZLZOPV-OUAUKWLOSA-N 0 3 249.276 2.952 20 0 BFADHN COC1(CN2CCC(F)(F)CC2)CCCC1 ZINC000793351147 586017308 /nfs/dbraw/zinc/01/73/08/586017308.db2.gz BSPUXWYLCNHOCR-UHFFFAOYSA-N 0 3 233.302 2.677 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CC23CCCC3)n(C)n1 ZINC000793559298 586023067 /nfs/dbraw/zinc/02/30/67/586023067.db2.gz ZYXDSCXZWYMAER-AAEUAGOBSA-N 0 3 233.359 2.712 20 0 BFADHN Cc1n[nH]cc1C1CCN(Cc2ccoc2)CC1 ZINC000793610311 586024095 /nfs/dbraw/zinc/02/40/95/586024095.db2.gz SWVAXUYCCMKPHU-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN CC[C@](C)(NCc1n[nH]c(C)n1)c1ccccc1 ZINC000794461554 586040064 /nfs/dbraw/zinc/04/00/64/586040064.db2.gz LGNJKLCILDSPGW-AWEZNQCLSA-N 0 3 244.342 2.528 20 0 BFADHN CC[C@H]1CCN1C[C@H](O)c1ccc(Cl)cc1 ZINC000795647548 586090195 /nfs/dbraw/zinc/09/01/95/586090195.db2.gz FBUHNXBPMBBNSS-STQMWFEESA-N 0 3 239.746 2.858 20 0 BFADHN C[C@H]1C[C@H](C)N1CC[C@@H](O)c1ccccc1 ZINC000796050204 586096932 /nfs/dbraw/zinc/09/69/32/586096932.db2.gz HYFYIQQJPOTVRB-SGMGOOAPSA-N 0 3 219.328 2.593 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2ccc(CF)cc2)C1 ZINC000796228419 586099217 /nfs/dbraw/zinc/09/92/17/586099217.db2.gz VDUMCLKUJZDWBJ-OKILXGFUSA-N 0 3 237.318 2.765 20 0 BFADHN COc1cccc(OC)c1CNCCC1CCC1 ZINC000796324274 586100690 /nfs/dbraw/zinc/10/06/90/586100690.db2.gz RIORFRZKKDYUSR-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN Cc1nccc(CN2CCCCCCC2)n1 ZINC000796438723 586103550 /nfs/dbraw/zinc/10/35/50/586103550.db2.gz FDYMPHLHNFIVFL-UHFFFAOYSA-N 0 3 219.332 2.551 20 0 BFADHN CCC[C@@]1(NCc2cc(C)oc2C)CCOC1 ZINC000796491560 586104970 /nfs/dbraw/zinc/10/49/70/586104970.db2.gz JFPOZSREYXROAQ-CQSZACIVSA-N 0 3 237.343 2.945 20 0 BFADHN CCOC1CC2(C1)CCN(C/C=C\Cl)C2 ZINC000796525201 586106048 /nfs/dbraw/zinc/10/60/48/586106048.db2.gz CBMYVMWYZQFBIC-HYXAFXHYSA-N 0 3 229.751 2.630 20 0 BFADHN CC(C)CC[C@H](O)CN1CCC(F)(F)CC1 ZINC000796542517 586106270 /nfs/dbraw/zinc/10/62/70/586106270.db2.gz WXOLXDYVCBINFV-NSHDSACASA-N 0 3 235.318 2.515 20 0 BFADHN CC(C)CCOCCN1CCC(F)(F)CC1 ZINC000796541103 586106404 /nfs/dbraw/zinc/10/64/04/586106404.db2.gz FAJWDHRTGBQGKN-UHFFFAOYSA-N 0 3 235.318 2.780 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc(CF)cc1 ZINC000796642685 586109423 /nfs/dbraw/zinc/10/94/23/586109423.db2.gz SJHGILYTVWEBLF-NSHDSACASA-N 0 3 225.307 2.623 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2CCC=C(C)C2)c1 ZINC000797999070 586135426 /nfs/dbraw/zinc/13/54/26/586135426.db2.gz OZBJFDRXIYDFQE-MRXNPFEDSA-N 0 3 245.366 2.989 20 0 BFADHN Cc1ccccc1[C@@H](O)CN1CCC=C(C)C1 ZINC000797996923 586135652 /nfs/dbraw/zinc/13/56/52/586135652.db2.gz ONMHBQKOAKYOMH-HNNXBMFYSA-N 0 3 231.339 2.680 20 0 BFADHN CO[C@H]1CCN(Cc2cc(C)oc2C)[C@H](C)C1 ZINC000799736541 586195355 /nfs/dbraw/zinc/19/53/55/586195355.db2.gz WBRXSRVKSKMJHK-YGRLFVJLSA-N 0 3 237.343 2.896 20 0 BFADHN CC(C)COCCN1CC[C@H](c2ccccn2)C1 ZINC000800384283 586214446 /nfs/dbraw/zinc/21/44/46/586214446.db2.gz WLMLNSPFDKFPAE-AWEZNQCLSA-N 0 3 248.370 2.544 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](CCO)C2CC2)oc1C ZINC000800482585 586222209 /nfs/dbraw/zinc/22/22/09/586222209.db2.gz VQMFOEAXWLXSML-GWCFXTLKSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@@H](C)NCCc2ccnn2C)oc1C ZINC000800489880 586222637 /nfs/dbraw/zinc/22/26/37/586222637.db2.gz FGCOMAYVLMGBQF-LLVKDONJSA-N 0 3 247.342 2.523 20 0 BFADHN Cc1cc([C@H](C)NCCc2ccnn2C)oc1C ZINC000800489881 586223041 /nfs/dbraw/zinc/22/30/41/586223041.db2.gz FGCOMAYVLMGBQF-NSHDSACASA-N 0 3 247.342 2.523 20 0 BFADHN C[C@H]1CN(CCC(=O)C2CC2)C[C@H](C)C1(F)F ZINC000859413560 589036072 /nfs/dbraw/zinc/03/60/72/589036072.db2.gz MXENVFCIYWCIBO-UWVGGRQHSA-N 0 3 245.313 2.579 20 0 BFADHN Fc1cccc(CN[C@@H]2CC[C@@H]2C2CCC2)n1 ZINC000800974705 586238428 /nfs/dbraw/zinc/23/84/28/586238428.db2.gz LYXRSJGGZVDLFC-CHWSQXEVSA-N 0 3 234.318 2.889 20 0 BFADHN CC(C)C(=O)CCN1CC[C@@H]1Cc1ccccc1 ZINC000808731577 586267319 /nfs/dbraw/zinc/26/73/19/586267319.db2.gz ABHQPRQLGUBKCO-OAHLLOKOSA-N 0 3 245.366 2.919 20 0 BFADHN C[C@@H]1[C@H](C)N(CCSC(C)(C)C)CCN1C ZINC000801939207 586281119 /nfs/dbraw/zinc/28/11/19/586281119.db2.gz BVXZWRGFTZESTA-NEPJUHHUSA-N 0 3 244.448 2.543 20 0 BFADHN CCCCCN(CN1CC[C@@H](C)C1=O)C(C)C ZINC000839964208 586304548 /nfs/dbraw/zinc/30/45/48/586304548.db2.gz PEDOKGOPGUPWCT-CYBMUJFWSA-N 0 3 240.391 2.713 20 0 BFADHN CCC(CC)CN(CC)CN1CC[C@@H](C)C1=O ZINC000839965042 586304709 /nfs/dbraw/zinc/30/47/09/586304709.db2.gz VCAXUGOJHCRCJO-GFCCVEGCSA-N 0 3 240.391 2.570 20 0 BFADHN CN1CCCC[C@H]1CCC(=O)OCC1CCC1 ZINC000803854665 586377299 /nfs/dbraw/zinc/37/72/99/586377299.db2.gz WSUUPZKBSJUQSL-ZDUSSCGKSA-N 0 3 239.359 2.594 20 0 BFADHN CCC(CC)OC(=O)CC[C@@H]1CCCCN1C ZINC000803858166 586377452 /nfs/dbraw/zinc/37/74/52/586377452.db2.gz CKOMIIMUIXLPLG-LBPRGKRZSA-N 0 3 241.375 2.983 20 0 BFADHN CC[C@H](C)CN(CC)CN1CCC(C)(C)C1=O ZINC000840021076 586382283 /nfs/dbraw/zinc/38/22/83/586382283.db2.gz ADJAKGFZKLRMEY-LBPRGKRZSA-N 0 3 240.391 2.570 20 0 BFADHN Cc1ccc([C@@H](C)CNCc2cn(C)cn2)cc1 ZINC000808391672 586470819 /nfs/dbraw/zinc/47/08/19/586470819.db2.gz PDVCROGZGKJJSV-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN Cc1ccc([C@H](C)CNCc2cn(C)cn2)cc1 ZINC000808391671 586471145 /nfs/dbraw/zinc/47/11/45/586471145.db2.gz PDVCROGZGKJJSV-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN CC(C)=CCOC(=O)CC[C@@H]1CCCCN1C ZINC000808550165 586479507 /nfs/dbraw/zinc/47/95/07/586479507.db2.gz GMSXNUFGSBTVMP-ZDUSSCGKSA-N 0 3 239.359 2.760 20 0 BFADHN CC[C@@H](CSC)N(C)CCC(=O)C(C)C ZINC000808743213 586486457 /nfs/dbraw/zinc/48/64/57/586486457.db2.gz WCZNSQCYORDONS-NSHDSACASA-N 0 3 231.405 2.675 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1ccc(F)nc1 ZINC000809768197 586518315 /nfs/dbraw/zinc/51/83/15/586518315.db2.gz DVBUNAOFNRXILD-CMPLNLGQSA-N 0 3 222.307 2.984 20 0 BFADHN CC[C@H](CSC)N(C)Cc1ccoc1 ZINC000809767389 586518459 /nfs/dbraw/zinc/51/84/59/586518459.db2.gz JRTOVHUOCUKTHH-LLVKDONJSA-N 0 3 213.346 2.853 20 0 BFADHN CO[C@@](C)(CNCc1cc(C)oc1C)C1CC1 ZINC000812773017 586584008 /nfs/dbraw/zinc/58/40/08/586584008.db2.gz UYCVBKFILOWMBH-AWEZNQCLSA-N 0 3 237.343 2.801 20 0 BFADHN Cc1cc(CN2CC[C@H](n3cccn3)C2)c(C)o1 ZINC000812780744 586584291 /nfs/dbraw/zinc/58/42/91/586584291.db2.gz QKJPLERWIQYGKO-AWEZNQCLSA-N 0 3 245.326 2.540 20 0 BFADHN FCCN1CCC[C@H](c2ccccc2)C1 ZINC000814641183 586626810 /nfs/dbraw/zinc/62/68/10/586626810.db2.gz CXLCAFMSBQHJKI-ZDUSSCGKSA-N 0 3 207.292 2.836 20 0 BFADHN CN(C)CCSCc1ccc(N(C)C)cc1 ZINC000814752870 586632438 /nfs/dbraw/zinc/63/24/38/586632438.db2.gz HSMNUDBPDFRXTB-UHFFFAOYSA-N 0 3 238.400 2.547 20 0 BFADHN C[C@@H]1CN(C[C@H](O)c2ccc(Cl)cc2)[C@@H]1C ZINC000815161708 586671338 /nfs/dbraw/zinc/67/13/38/586671338.db2.gz AYZVLCDYUJSEKY-BREBYQMCSA-N 0 3 239.746 2.714 20 0 BFADHN C[C@@H]1[C@@H](C)CN1C[C@H](O)c1ccc(Cl)cc1 ZINC000815161710 586671879 /nfs/dbraw/zinc/67/18/79/586671879.db2.gz AYZVLCDYUJSEKY-CWSCBRNRSA-N 0 3 239.746 2.714 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2C[C@H](C)[C@@H]2C)c1 ZINC000815162755 586672052 /nfs/dbraw/zinc/67/20/52/586672052.db2.gz IWNCPSIUDDHUBJ-KCQAQPDRSA-N 0 3 233.355 2.677 20 0 BFADHN Cc1ccccc1OCCN1C[C@H](C)[C@@H]1C ZINC000815165239 586672201 /nfs/dbraw/zinc/67/22/01/586672201.db2.gz YDHLYOLEFMXHLC-STQMWFEESA-N 0 3 219.328 2.714 20 0 BFADHN Cc1ccccc1OCCN1C[C@@H](C)[C@H]1C ZINC000815165236 586672525 /nfs/dbraw/zinc/67/25/25/586672525.db2.gz YDHLYOLEFMXHLC-CHWSQXEVSA-N 0 3 219.328 2.714 20 0 BFADHN Cc1ccccc1OCCN1C[C@@H](C)[C@@H]1C ZINC000815165237 586672587 /nfs/dbraw/zinc/67/25/87/586672587.db2.gz YDHLYOLEFMXHLC-OLZOCXBDSA-N 0 3 219.328 2.714 20 0 BFADHN Cc1cc(F)ccc1[C@@H](C)NC[C@H]1CCCO1 ZINC000815553657 586687519 /nfs/dbraw/zinc/68/75/19/586687519.db2.gz GRZDUQUQKOMYJB-DGCLKSJQSA-N 0 3 237.318 2.964 20 0 BFADHN Cc1cc(F)ccc1[C@@H](C)NCCn1cccn1 ZINC000815591150 586688074 /nfs/dbraw/zinc/68/80/74/586688074.db2.gz YQGXRYWMYCZYBE-GFCCVEGCSA-N 0 3 247.317 2.681 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@@H]1C=C[C@H](CO)C1 ZINC000815588919 586688472 /nfs/dbraw/zinc/68/84/72/586688472.db2.gz CRZYNZUYOOLWFI-SGMGOOAPSA-N 0 3 249.329 2.722 20 0 BFADHN CCCN(Cc1cnn(C)c1Cl)CC(C)C ZINC000816487966 586736041 /nfs/dbraw/zinc/73/60/41/586736041.db2.gz DPCCMXVOJLKRHA-UHFFFAOYSA-N 0 3 243.782 2.942 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@@H]2C)cc(C)c1O ZINC000816647424 586746869 /nfs/dbraw/zinc/74/68/69/586746869.db2.gz RXMLMYFCJHLUBC-RYUDHWBXSA-N 0 3 219.328 2.849 20 0 BFADHN CCc1noc(C)c1CNC1CC(C(F)F)C1 ZINC000816638760 586747247 /nfs/dbraw/zinc/74/72/47/586747247.db2.gz WZJBEPSNOCTUBR-UHFFFAOYSA-N 0 3 244.285 2.679 20 0 BFADHN C[C@@H]1CN(CC2=Cc3ccccc3OC2)[C@H]1C ZINC000816646341 586748087 /nfs/dbraw/zinc/74/80/87/586748087.db2.gz CDPFALZXRCYXNE-NEPJUHHUSA-N 0 3 229.323 2.803 20 0 BFADHN COc1cc(C)c(CN2C[C@@H](C)[C@H]2C)cc1OC ZINC000816647468 586749481 /nfs/dbraw/zinc/74/94/81/586749481.db2.gz SOROPPLHJIKGMM-VXGBXAGGSA-N 0 3 249.354 2.852 20 0 BFADHN C[C@@H]1CN(Cc2cc(-n3ccnc3)cs2)[C@H]1C ZINC000816649081 586751293 /nfs/dbraw/zinc/75/12/93/586751293.db2.gz SXNLPMJKLJIMIX-MNOVXSKESA-N 0 3 247.367 2.774 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@@H]2C)c(C)c1OC ZINC000816649261 586751652 /nfs/dbraw/zinc/75/16/52/586751652.db2.gz WMTOEJMRXIBQHM-JQWIXIFHSA-N 0 3 249.354 2.852 20 0 BFADHN COc1ccccc1CN1C[C@@H](C)[C@H]1C ZINC000816648739 586751848 /nfs/dbraw/zinc/75/18/48/586751848.db2.gz OKNNPMPSPFSMIB-GHMZBOCLSA-N 0 3 205.301 2.535 20 0 BFADHN COCCOc1ccccc1CN1C[C@@H](C)[C@@H]1C ZINC000816648830 586751857 /nfs/dbraw/zinc/75/18/57/586751857.db2.gz PSJNFWSDGASJDH-OLZOCXBDSA-N 0 3 249.354 2.552 20 0 BFADHN C[C@@H]1CN(Cc2cnc(-c3ccccc3)[nH]2)[C@@H]1C ZINC000816648560 586752165 /nfs/dbraw/zinc/75/21/65/586752165.db2.gz MDYASKNEKRYSDM-VXGBXAGGSA-N 0 3 241.338 2.917 20 0 BFADHN CCCc1ccc(CN2CC3(C2)CCOC3)cc1 ZINC000816670413 586753638 /nfs/dbraw/zinc/75/36/38/586753638.db2.gz UUIBSXUOGXFIKW-UHFFFAOYSA-N 0 3 245.366 2.861 20 0 BFADHN CC(C)[C@@H]1CN(Cc2ccoc2)CCCO1 ZINC000816675661 586754438 /nfs/dbraw/zinc/75/44/38/586754438.db2.gz HXKSMZOXAWVWPZ-ZDUSSCGKSA-N 0 3 223.316 2.527 20 0 BFADHN CC(=O)CCN1CCC[C@H](CC(F)(F)F)C1 ZINC000817281688 586792592 /nfs/dbraw/zinc/79/25/92/586792592.db2.gz NQLGLPGDIBWJGK-SNVBAGLBSA-N 0 3 237.265 2.630 20 0 BFADHN C[C@H](CN(C)CC[S@@](C)=O)C1CCCCC1 ZINC000859865743 589067762 /nfs/dbraw/zinc/06/77/62/589067762.db2.gz BYYBITKDLMZHAB-MLGOLLRUSA-N 0 3 245.432 2.513 20 0 BFADHN CCn1nc(C)c(CN[C@H](C)C(C)(C)CC)n1 ZINC000818347384 586886550 /nfs/dbraw/zinc/88/65/50/586886550.db2.gz ZKZQONKJRRHYAC-LLVKDONJSA-N 0 3 238.379 2.521 20 0 BFADHN CCc1noc(C)c1[C@@H](C)N[C@@H]1CC12CC2 ZINC000818355313 586888170 /nfs/dbraw/zinc/88/81/70/586888170.db2.gz CFNZAMNZTQEXJJ-LDYMZIIASA-N 0 3 220.316 2.749 20 0 BFADHN Clc1ccc2c(n1)CC[C@@H]2N[C@@H]1CC12CC2 ZINC000818357042 586888899 /nfs/dbraw/zinc/88/88/99/586888899.db2.gz UWXPJTCDVRHQRL-GXSJLCMTSA-N 0 3 234.730 2.864 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1ccnc(Cl)c1 ZINC000818356739 586888920 /nfs/dbraw/zinc/88/89/20/586888920.db2.gz QBOROCOGGUHVQC-SCZZXKLOSA-N 0 3 222.719 2.938 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2C[C@H]2C2CCC2)n(C)n1 ZINC000818356965 586888945 /nfs/dbraw/zinc/88/89/45/586888945.db2.gz SZYFTHRIIHTXKP-KGYLQXTDSA-N 0 3 233.359 2.568 20 0 BFADHN Clc1ccc2c(n1)CC[C@H]2N[C@H]1CC12CC2 ZINC000818357048 586889053 /nfs/dbraw/zinc/88/90/53/586889053.db2.gz UWXPJTCDVRHQRL-KOLCDFICSA-N 0 3 234.730 2.864 20 0 BFADHN CC(C)N(C(=O)c1cccc(CN)c1)C1CCC1 ZINC000818513522 586896173 /nfs/dbraw/zinc/89/61/73/586896173.db2.gz QTASMTBHZYNJGR-UHFFFAOYSA-N 0 3 246.354 2.548 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccc(F)cc1)c1ccc[nH]1 ZINC000819194754 586938213 /nfs/dbraw/zinc/93/82/13/586938213.db2.gz TXJPJLUWDROZLP-QMTHXVAHSA-N 0 3 248.301 2.538 20 0 BFADHN CC/C=C\CNC[C@H](O)c1cc(C)cc(C)c1 ZINC000819344953 586954856 /nfs/dbraw/zinc/95/48/56/586954856.db2.gz RLZHLORHTYYCHN-YVACAVLKSA-N 0 3 233.355 2.893 20 0 BFADHN Cc1cnc(CN2CCCC3(CC3)CC2)cn1 ZINC000860070619 589079255 /nfs/dbraw/zinc/07/92/55/589079255.db2.gz VTVKVMONZPMAHE-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN COc1cc([C@H](C)N[C@H]2CCCC2(C)C)on1 ZINC000820812223 587073900 /nfs/dbraw/zinc/07/39/00/587073900.db2.gz GCGNROVRXXMINQ-ONGXEEELSA-N 0 3 238.331 2.913 20 0 BFADHN CO[C@@H]1CC[C@H](N2CCc3sccc3C2)C1 ZINC000821348882 587110714 /nfs/dbraw/zinc/11/07/14/587110714.db2.gz RSIQGWCIFOQWAW-NWDGAFQWSA-N 0 3 237.368 2.674 20 0 BFADHN CCN(Cc1ccccc1C)C[C@@H]1CCC(=O)O1 ZINC000821349341 587110777 /nfs/dbraw/zinc/11/07/77/587110777.db2.gz WRKPOFVGLKBXQK-AWEZNQCLSA-N 0 3 247.338 2.523 20 0 BFADHN CO[C@@H]1CC[C@H](N(C)Cc2ccccc2F)C1 ZINC000821363585 587112059 /nfs/dbraw/zinc/11/20/59/587112059.db2.gz XPOOTALRHGHCIU-QWHCGFSZSA-N 0 3 237.318 2.825 20 0 BFADHN CO[C@@H]1CC[C@@H](N(C)Cc2ccccc2F)C1 ZINC000821363583 587112113 /nfs/dbraw/zinc/11/21/13/587112113.db2.gz XPOOTALRHGHCIU-CHWSQXEVSA-N 0 3 237.318 2.825 20 0 BFADHN CO[C@H]1CC[C@@H](N2CCc3ccc(F)cc3C2)C1 ZINC000821396632 587116338 /nfs/dbraw/zinc/11/63/38/587116338.db2.gz RXGCYNPTRWYBJM-CABCVRRESA-N 0 3 249.329 2.751 20 0 BFADHN Cc1cccc([C@@H](C)NC[C@H]2CCC(=O)O2)c1C ZINC000821402906 587117886 /nfs/dbraw/zinc/11/78/86/587117886.db2.gz XVDWKWGKXGATNV-CHWSQXEVSA-N 0 3 247.338 2.660 20 0 BFADHN CS[C@@H]1CCN(CCSC(F)(F)F)C1 ZINC000821679437 587133541 /nfs/dbraw/zinc/13/35/41/587133541.db2.gz NNTLFVNCFIKFTC-SSDOTTSWSA-N 0 3 245.335 2.677 20 0 BFADHN C[C@H]1C[C@H]1CNCc1ccnc(Cl)c1F ZINC000823654300 587234852 /nfs/dbraw/zinc/23/48/52/587234852.db2.gz TWILQFTXKZKFGD-CBAPKCEASA-N 0 3 228.698 2.620 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1ccnc(Cl)c1F ZINC000823654301 587234863 /nfs/dbraw/zinc/23/48/63/587234863.db2.gz TWILQFTXKZKFGD-IONNQARKSA-N 0 3 228.698 2.620 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1C[C@H](C)O[C@@H]1C ZINC000823949953 587247048 /nfs/dbraw/zinc/24/70/48/587247048.db2.gz ZQSYMJNCEPRACU-MISXGVKJSA-N 0 3 237.318 2.790 20 0 BFADHN C[C@H](NCc1ccon1)[C@@H]1CCCC[C@H]1C ZINC000823966360 587247382 /nfs/dbraw/zinc/24/73/82/587247382.db2.gz MDEZQHLDFBAPIT-NTZNESFSSA-N 0 3 222.332 2.979 20 0 BFADHN c1c2cccnc2oc1CNC[C@H]1CCC=CO1 ZINC000824231998 587261831 /nfs/dbraw/zinc/26/18/31/587261831.db2.gz UWCWBVWRUKTAOQ-GFCCVEGCSA-N 0 3 244.294 2.610 20 0 BFADHN FCCCCNCc1ccnc(Cl)c1F ZINC000824423022 587270087 /nfs/dbraw/zinc/27/00/87/587270087.db2.gz JKTRPGMUODNSGR-UHFFFAOYSA-N 0 3 234.677 2.713 20 0 BFADHN C[C@]1(F)CCCN(C[C@H]2CCC=CO2)C1 ZINC000824472445 587271433 /nfs/dbraw/zinc/27/14/33/587271433.db2.gz ROCNVBZMBJVKEQ-NEPJUHHUSA-N 0 3 213.296 2.503 20 0 BFADHN CC(F)(F)CCNCc1ccc(Cl)nc1 ZINC000824483216 587271600 /nfs/dbraw/zinc/27/16/00/587271600.db2.gz IZYHPLMPWQIQLS-UHFFFAOYSA-N 0 3 234.677 2.870 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN[C@H]1CCn2ccnc21 ZINC000824525413 587273184 /nfs/dbraw/zinc/27/31/84/587273184.db2.gz ACUQGYDENRLDMC-MJBXVCDLSA-N 0 3 245.370 2.910 20 0 BFADHN C[C@H](N[C@@H]1CCn2ccnc21)C1C(C)(C)C1(C)C ZINC000824526460 587273857 /nfs/dbraw/zinc/27/38/57/587273857.db2.gz LEPBSDHPFAQBIG-WDEREUQCSA-N 0 3 247.386 2.988 20 0 BFADHN COC[C@]1(C)CCN(Cc2cc(C)oc2C)C1 ZINC000824583255 587278084 /nfs/dbraw/zinc/27/80/84/587278084.db2.gz JYZJLTDQRVKBAT-CQSZACIVSA-N 0 3 237.343 2.755 20 0 BFADHN c1nc2n(c1CN1CCC3(CCCC3)C1)CCC2 ZINC000824782060 587287278 /nfs/dbraw/zinc/28/72/78/587287278.db2.gz DDGIPPPTUUZGGU-UHFFFAOYSA-N 0 3 245.370 2.595 20 0 BFADHN COCc1csc(CN2CCC2(C)C)c1 ZINC000824825571 587289246 /nfs/dbraw/zinc/28/92/46/587289246.db2.gz QWJTYDUWANEQPO-UHFFFAOYSA-N 0 3 225.357 2.879 20 0 BFADHN CC(C)N(Cc1cnn2c1CCC2)C1CCC1 ZINC000824855476 587290746 /nfs/dbraw/zinc/29/07/46/587290746.db2.gz LEIMSHSDHVHENU-UHFFFAOYSA-N 0 3 233.359 2.592 20 0 BFADHN Cc1nccc(CN2CCCCCCCC2)n1 ZINC000824982435 587296886 /nfs/dbraw/zinc/29/68/86/587296886.db2.gz FJNWFOJLWOCGHS-UHFFFAOYSA-N 0 3 233.359 2.941 20 0 BFADHN CCc1nc(CN[C@@H]2CCCC[C@@H]2C)co1 ZINC000825057828 587300998 /nfs/dbraw/zinc/30/09/98/587300998.db2.gz QHKDMIARIPKOPP-CMPLNLGQSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nc(CN[C@@H]2CCC[C@@H]2C)co1 ZINC000825057884 587301291 /nfs/dbraw/zinc/30/12/91/587301291.db2.gz RENCOVWOZDAOSM-GXSJLCMTSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1nc(CNC[C@H]2CC=CCC2)co1 ZINC000825058125 587301341 /nfs/dbraw/zinc/30/13/41/587301341.db2.gz SLMKEPJMPHFNJO-NSHDSACASA-N 0 3 220.316 2.683 20 0 BFADHN CCc1nc(CN[C@@H]2CCC[C@@H]3C[C@@]32C)co1 ZINC000825062009 587301493 /nfs/dbraw/zinc/30/14/93/587301493.db2.gz NDQOQICNIUWSHF-QKCSRTOESA-N 0 3 234.343 2.905 20 0 BFADHN COC[C@H]1CCCCN1Cc1cc(C)oc1C ZINC000825294242 587313376 /nfs/dbraw/zinc/31/33/76/587313376.db2.gz LMBGEKKDNKIRBQ-CQSZACIVSA-N 0 3 237.343 2.897 20 0 BFADHN CCc1nc(CN[C@H]2CCC[C@@H]3C[C@@H]32)co1 ZINC000826083715 587350588 /nfs/dbraw/zinc/35/05/88/587350588.db2.gz LZSNDCVNRGSHMV-USWWRNFRSA-N 0 3 220.316 2.515 20 0 BFADHN COCCN(CCF)C[C@@H]1C(C)=CCC[C@H]1C ZINC000827053887 587369174 /nfs/dbraw/zinc/36/91/74/587369174.db2.gz ILGKQSYOKQKWSP-ZIAGYGMSSA-N 0 3 243.366 2.897 20 0 BFADHN Cc1cc(CN2CCC[C@](O)(C3CC3)C2)c(C)o1 ZINC000827152078 587371420 /nfs/dbraw/zinc/37/14/20/587371420.db2.gz QFRFAHGNOBHTSP-OAHLLOKOSA-N 0 3 249.354 2.633 20 0 BFADHN CSC1(CN[C@H]2C[C@H](O)c3ccccc32)CC1 ZINC000827170774 587371926 /nfs/dbraw/zinc/37/19/26/587371926.db2.gz JROXOTAQGALLKX-STQMWFEESA-N 0 3 249.379 2.650 20 0 BFADHN FCC[C@H]1CCCN(Cc2ccoc2)C1 ZINC000827272338 587374571 /nfs/dbraw/zinc/37/45/71/587374571.db2.gz ZSQQXFFJKYGDAY-LLVKDONJSA-N 0 3 211.280 2.851 20 0 BFADHN CCN(CC)C[C@@]1(C)CC1(Cl)Cl ZINC000827349736 587378035 /nfs/dbraw/zinc/37/80/35/587378035.db2.gz MOMMUNNOVVKUQR-MRVPVSSYSA-N 0 3 210.148 2.912 20 0 BFADHN CN(C[C@@]1(C)CC1(Cl)Cl)C1CC1 ZINC000827415286 587380635 /nfs/dbraw/zinc/38/06/35/587380635.db2.gz DYCLANIWXWPQKC-MRVPVSSYSA-N 0 3 208.132 2.665 20 0 BFADHN Cc1cc(CN2CC[C@@H]3C[C@@H]3C2)c(C)o1 ZINC000827506484 587384435 /nfs/dbraw/zinc/38/44/35/587384435.db2.gz HNJFYXBEBVWSGU-DGCLKSJQSA-N 0 3 205.301 2.738 20 0 BFADHN CCCN(C)C[C@]1(C)CC1(Cl)Cl ZINC000827583874 587390614 /nfs/dbraw/zinc/39/06/14/587390614.db2.gz NGZQOSWIWZICAE-QMMMGPOBSA-N 0 3 210.148 2.912 20 0 BFADHN CC(C)CC(=O)CCN1C[C@@H](C)S[C@H](C)C1 ZINC000827947381 587412319 /nfs/dbraw/zinc/41/23/19/587412319.db2.gz QCQLOKYQZOOUGL-VXGBXAGGSA-N 0 3 243.416 2.818 20 0 BFADHN CC(C)CC(=O)CCN1CCCC2(CC2)C1 ZINC000828288142 587432047 /nfs/dbraw/zinc/43/20/47/587432047.db2.gz BFPRSBNNWMQSTK-UHFFFAOYSA-N 0 3 223.360 2.868 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@@H]3C[C@@H]32)c1 ZINC000828534027 587448879 /nfs/dbraw/zinc/44/88/79/587448879.db2.gz WVWWRYZGNYYGCD-MFKMUULPSA-N 0 3 205.276 2.728 20 0 BFADHN C[C@]1(F)CCCN(CCC[C@H]2CCOC2)C1 ZINC000828581585 587451464 /nfs/dbraw/zinc/45/14/64/587451464.db2.gz PDIAXISAFDXLLX-STQMWFEESA-N 0 3 229.339 2.627 20 0 BFADHN CCC[C@H](O)CN[C@@H](c1ccccn1)C(C)C ZINC000828694047 587457253 /nfs/dbraw/zinc/45/72/53/587457253.db2.gz YZHHOQPAMUHAIC-GXTWGEPZSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)[C@H](NCCC[C@H](C)O)c1ccccn1 ZINC000828693507 587457292 /nfs/dbraw/zinc/45/72/92/587457292.db2.gz URGRQYLSWXPSKT-JSGCOSHPSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H](NC[C@@]1(C)CC1(Cl)Cl)c1cn[nH]c1 ZINC000828857743 587466965 /nfs/dbraw/zinc/46/69/65/587466965.db2.gz GSZDNUVQHQHDKZ-VXNVDRBHSA-N 0 3 248.157 2.644 20 0 BFADHN CCCC1CCC(N(C)CC[S@@](C)=O)CC1 ZINC000829190598 587487347 /nfs/dbraw/zinc/48/73/47/587487347.db2.gz NKNHSQJBAUDNRO-SEEARECTSA-N 0 3 245.432 2.656 20 0 BFADHN CC(C)=CCN(C)C[C@]1(O)CCc2ccccc21 ZINC000829319705 587492533 /nfs/dbraw/zinc/49/25/33/587492533.db2.gz SLNJREKELCRMSY-MRXNPFEDSA-N 0 3 245.366 2.718 20 0 BFADHN CC(C)[C@@H](N[C@H]1C[C@@H](C)O[C@@H]1C)c1ccccn1 ZINC000830274917 587545003 /nfs/dbraw/zinc/54/50/03/587545003.db2.gz VOHKUBYAOCTWKF-RJZRQDKASA-N 0 3 248.370 2.934 20 0 BFADHN OC1CCC2(CC1)CCN(C/C=C\Cl)CC2 ZINC000830327377 587546540 /nfs/dbraw/zinc/54/65/40/587546540.db2.gz SYVIOAXAXDZURK-QPIMQUGISA-N 0 3 243.778 2.756 20 0 BFADHN CN(C[C@@H](O)c1c(F)cccc1F)C(C)(C)C ZINC000830544936 587557047 /nfs/dbraw/zinc/55/70/47/587557047.db2.gz AQXASEKYMQPAIE-LLVKDONJSA-N 0 3 243.297 2.729 20 0 BFADHN CO[C@]1(C)C[C@@H](NC/C=C/Cl)C1(C)C ZINC000831338503 587598933 /nfs/dbraw/zinc/59/89/33/587598933.db2.gz JIMPJDJNTMALTG-PFLKOTLJSA-N 0 3 217.740 2.532 20 0 BFADHN CCN(CC)C(=O)CN1CCCCCCCC1 ZINC000831920596 587636370 /nfs/dbraw/zinc/63/63/70/587636370.db2.gz OSERLVWNNNSMTI-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN C[C@H]1CC/C(=C/C(=O)Nc2cccc(CN)c2)C1 ZINC000832223795 587651919 /nfs/dbraw/zinc/65/19/19/587651919.db2.gz WCTSQWCFKWKAQE-AWPPVZKDSA-N 0 3 244.338 2.830 20 0 BFADHN Cc1nonc1CN[C@@H](C)[C@@H]1CCC[C@@H](C)C1 ZINC000832690858 587677720 /nfs/dbraw/zinc/67/77/20/587677720.db2.gz LRBDSNXDSZMOKY-JFGNBEQYSA-N 0 3 237.347 2.682 20 0 BFADHN CCN(CC)CCOC(=O)c1cc(C)ccc1C ZINC000002009215 587730941 /nfs/dbraw/zinc/73/09/41/587730941.db2.gz JLZJKXBWLNTZOY-UHFFFAOYSA-N 0 3 249.354 2.802 20 0 BFADHN Cc1cc(C)cc([C@@H](O)CN2CC[C@@H]2C2CC2)c1 ZINC000834382193 587753691 /nfs/dbraw/zinc/75/36/91/587753691.db2.gz GERIDPZGLQSLQN-CVEARBPZSA-N 0 3 245.366 2.821 20 0 BFADHN Cc1ccccc1[C@H](O)CN1CC[C@H]1C1CC1 ZINC000834383656 587753720 /nfs/dbraw/zinc/75/37/20/587753720.db2.gz VBDVCCHXWZIUPV-LSDHHAIUSA-N 0 3 231.339 2.513 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H](C)CCSC ZINC000834594048 587760376 /nfs/dbraw/zinc/76/03/76/587760376.db2.gz OXYYQVIKEVVPQM-GHMZBOCLSA-N 0 3 241.404 2.695 20 0 BFADHN Cc1cc(F)ccc1CNC[C@H](O)CC(C)C ZINC000101596276 587762105 /nfs/dbraw/zinc/76/21/05/587762105.db2.gz PJKKUFYONOQAMR-CQSZACIVSA-N 0 3 239.334 2.631 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H]1N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000834726766 587764821 /nfs/dbraw/zinc/76/48/21/587764821.db2.gz VRRCKMLWCBPYML-MLGHIDQZSA-N 0 3 233.359 2.913 20 0 BFADHN CCn1ccnc1[C@H](C)NC1[C@H](C)CC[C@H]1C ZINC000834726551 587764987 /nfs/dbraw/zinc/76/49/87/587764987.db2.gz RXSQIVXOERPOHU-UTUOFQBUSA-N 0 3 235.375 2.988 20 0 BFADHN CC[C@H](N[C@@H](C)c1cccnc1Cl)[C@H](C)O ZINC000834887671 587769646 /nfs/dbraw/zinc/76/96/46/587769646.db2.gz LAAZTGIWQFGURG-QXEWZRGKSA-N 0 3 242.750 2.545 20 0 BFADHN CCc1nc(CNC(C2CC2)C2CC2)co1 ZINC000834901167 587769931 /nfs/dbraw/zinc/76/99/31/587769931.db2.gz ZYKATXDWDDQQAH-UHFFFAOYSA-N 0 3 220.316 2.515 20 0 BFADHN CCc1nc(CN[C@@H]2CCC[C@@H]2CC)co1 ZINC000834901447 587769965 /nfs/dbraw/zinc/76/99/65/587769965.db2.gz VWDHXHKIGDBKOB-CMPLNLGQSA-N 0 3 222.332 2.905 20 0 BFADHN Cc1cc(CNCCc2ccc(C)cn2)c(C)o1 ZINC000835066322 587779893 /nfs/dbraw/zinc/77/98/93/587779893.db2.gz WBIWNJYLFIWYFQ-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN FC1(F)CC(CCNCc2ccoc2)C1 ZINC000835060067 587780046 /nfs/dbraw/zinc/78/00/46/587780046.db2.gz IKOAJDMATKXRAK-UHFFFAOYSA-N 0 3 215.243 2.805 20 0 BFADHN CCC(C)(C)OCCNCc1ccc(F)nc1 ZINC000835084959 587781574 /nfs/dbraw/zinc/78/15/74/587781574.db2.gz JEDMEHVTMDTJFM-UHFFFAOYSA-N 0 3 240.322 2.516 20 0 BFADHN CNCc1cccc(NC(=O)C=C2CCCC2)c1 ZINC000837303130 587864701 /nfs/dbraw/zinc/86/47/01/587864701.db2.gz WAFXAEBKEWWRMN-UHFFFAOYSA-N 0 3 244.338 2.845 20 0 BFADHN CC(C)N1CCCC[C@H]1COC(=O)C1CCC1 ZINC000837402210 587870818 /nfs/dbraw/zinc/87/08/18/587870818.db2.gz OQLXKBWMPFGVRO-ZDUSSCGKSA-N 0 3 239.359 2.593 20 0 BFADHN CC[C@H](NCc1cccnc1F)[C@@H]1C[C@@H]1C ZINC000840751287 587919686 /nfs/dbraw/zinc/91/96/86/587919686.db2.gz NCABSABRKBONSB-WCQGTBRESA-N 0 3 222.307 2.745 20 0 BFADHN C[C@@H](N[C@@H](C)c1cncs1)[C@H]1CC1(F)F ZINC000840879186 587927534 /nfs/dbraw/zinc/92/75/34/587927534.db2.gz LOECFQRIYAAOSJ-GJMOJQLCSA-N 0 3 232.299 2.837 20 0 BFADHN CO[C@H]1c2ccccc2C[C@H]1NCc1ccoc1 ZINC000840956206 587931525 /nfs/dbraw/zinc/93/15/25/587931525.db2.gz KTTDXVHXXQCICR-CABCVRRESA-N 0 3 243.306 2.682 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(Cl)nc2)CS1 ZINC000840957387 587931705 /nfs/dbraw/zinc/93/17/05/587931705.db2.gz AHRZYCCPAVMQOZ-SCZZXKLOSA-N 0 3 242.775 2.719 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1ccoc1)C1CC1 ZINC000840961281 587932286 /nfs/dbraw/zinc/93/22/86/587932286.db2.gz UMPYKIGSPBJNFD-GWCFXTLKSA-N 0 3 223.316 2.573 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H](O)c2ccco2)cc1 ZINC000104827328 588042500 /nfs/dbraw/zinc/04/25/00/588042500.db2.gz TUBUJHUUBTVABC-GXTWGEPZSA-N 0 3 245.322 2.972 20 0 BFADHN CCOc1cccc([C@H](C)N[C@@H]2CO[C@@H](C)C2)c1 ZINC000842674052 588051235 /nfs/dbraw/zinc/05/12/35/588051235.db2.gz UICIOFCOPHJMIK-OBJOEFQTSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1ccc(Cl)nc1 ZINC000842701229 588054117 /nfs/dbraw/zinc/05/41/17/588054117.db2.gz UGGZCAIJZFWVTC-WCABBAIRSA-N 0 3 240.734 2.563 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1ccc(Cl)nc1 ZINC000842701230 588054206 /nfs/dbraw/zinc/05/42/06/588054206.db2.gz UGGZCAIJZFWVTC-YWVKMMECSA-N 0 3 240.734 2.563 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1ccnc(Cl)c1 ZINC000842702321 588054631 /nfs/dbraw/zinc/05/46/31/588054631.db2.gz FWEWBQPPZGWLJI-YWVKMMECSA-N 0 3 240.734 2.563 20 0 BFADHN CC(C)(C)O[C@H]1C[C@@H](NCc2ccoc2)C1 ZINC000842761048 588060610 /nfs/dbraw/zinc/06/06/10/588060610.db2.gz RJNHKBCHVALOKF-TXEJJXNPSA-N 0 3 223.316 2.715 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1cccnc1Cl ZINC000842778127 588062584 /nfs/dbraw/zinc/06/25/84/588062584.db2.gz HKWGKMCFCQLILK-WCBMZHEXSA-N 0 3 242.775 2.719 20 0 BFADHN C[C@@H]1CC[C@H]1N[C@@H](CCO)c1ccccc1F ZINC000842923809 588071743 /nfs/dbraw/zinc/07/17/43/588071743.db2.gz KNSGJPQSAQCHMN-HONMWMINSA-N 0 3 237.318 2.637 20 0 BFADHN CCc1ccccc1-c1noc(C(C)(C)CN)n1 ZINC000843177641 588089784 /nfs/dbraw/zinc/08/97/84/588089784.db2.gz OVNCTPFTANRACG-UHFFFAOYSA-N 0 3 245.326 2.535 20 0 BFADHN Cc1cc(C)cc(-c2noc(C(C)(C)CN)n2)c1 ZINC000843186302 588090979 /nfs/dbraw/zinc/09/09/79/588090979.db2.gz NJFRNDHPHODNCP-UHFFFAOYSA-N 0 3 245.326 2.590 20 0 BFADHN CCCCCCN(C)CC(=O)N(C)C(C)(C)C ZINC000844091638 588166622 /nfs/dbraw/zinc/16/66/22/588166622.db2.gz RAGIASXQBZVMGX-UHFFFAOYSA-N 0 3 242.407 2.755 20 0 BFADHN CCn1ccc(CN[C@H]2CC3CCC2CC3)n1 ZINC000844332620 588185798 /nfs/dbraw/zinc/18/57/98/588185798.db2.gz VEVDGWXCSGEKHF-YIZWMMSDSA-N 0 3 233.359 2.571 20 0 BFADHN FC1(F)C[C@@]12CCCN(C[C@@H]1CCCCO1)C2 ZINC000844438624 588193132 /nfs/dbraw/zinc/19/31/32/588193132.db2.gz XVEOUSRINFLIBO-NWDGAFQWSA-N 0 3 245.313 2.677 20 0 BFADHN FC1(F)C[C@]12CCCN(Cc1cccnc1)C2 ZINC000844441116 588193848 /nfs/dbraw/zinc/19/38/48/588193848.db2.gz ZAQHMIJTAYTDHO-LBPRGKRZSA-N 0 3 238.281 2.703 20 0 BFADHN CC(=O)CCN1CCc2ccc(F)cc2[C@H](C)C1 ZINC000844468038 588197601 /nfs/dbraw/zinc/19/76/01/588197601.db2.gz HHODZISZAVWHSX-LLVKDONJSA-N 0 3 249.329 2.766 20 0 BFADHN c1cc(-c2noc([C@@H]3CC[C@H](C4CC4)N3)n2)co1 ZINC000844917012 588219249 /nfs/dbraw/zinc/21/92/49/588219249.db2.gz OLIKXVQEUUQFIB-MNOVXSKESA-N 0 3 245.282 2.533 20 0 BFADHN CC(C)(C)N1CC[C@@H]1COC(=O)CC1CCC1 ZINC000845737970 588243284 /nfs/dbraw/zinc/24/32/84/588243284.db2.gz BNTFBDZVAGHLLM-GFCCVEGCSA-N 0 3 239.359 2.593 20 0 BFADHN CC(C)(C)N1CC[C@@H]1COC(=O)C1CCCC1 ZINC000845741740 588243340 /nfs/dbraw/zinc/24/33/40/588243340.db2.gz YAFKCJLURJSPOF-GFCCVEGCSA-N 0 3 239.359 2.593 20 0 BFADHN C[C@H](O)[C@H](N[C@H]1CC[C@@H]1C)c1ccccc1F ZINC000846013116 588253417 /nfs/dbraw/zinc/25/34/17/588253417.db2.gz JXTXKHSSSXIZOS-NUZBWSBOSA-N 0 3 237.318 2.636 20 0 BFADHN FC1(CN2CCC[C@@]3(CC3(F)F)C2)CC1 ZINC000846104119 588256656 /nfs/dbraw/zinc/25/66/56/588256656.db2.gz FGXMXNMVDYRJSK-SECBINFHSA-N 0 3 219.250 2.610 20 0 BFADHN C[C@H](Cc1ccsc1)N1C[C@H]2[C@@H](C1)C2(F)F ZINC000846109466 588256837 /nfs/dbraw/zinc/25/68/37/588256837.db2.gz CUXVQAVDSZWIGU-IEBDPFPHSA-N 0 3 243.322 2.876 20 0 BFADHN Cc1cc(F)ccc1[C@@H](C)N[C@H](CO)CCF ZINC000846210012 588259755 /nfs/dbraw/zinc/25/97/55/588259755.db2.gz VMNWQIWUVVOCPI-PWSUYJOCSA-N 0 3 243.297 2.505 20 0 BFADHN Cc1cc([C@@H](C)NC2CC3(CCC3)C2)n(C)n1 ZINC000846210737 588260164 /nfs/dbraw/zinc/26/01/64/588260164.db2.gz CVUUTNBJAXOKJI-LLVKDONJSA-N 0 3 233.359 2.712 20 0 BFADHN c1csc(NC[C@@H]2CCCCN2C2CC2)n1 ZINC000846754425 588285703 /nfs/dbraw/zinc/28/57/03/588285703.db2.gz NRHYTFTUSFMVLU-NSHDSACASA-N 0 3 237.372 2.572 20 0 BFADHN CN(CC1(Br)CC1)C1CCCC1 ZINC000846767077 588286695 /nfs/dbraw/zinc/28/66/95/588286695.db2.gz NSCLOTSYYFFCEZ-UHFFFAOYSA-N 0 3 232.165 2.788 20 0 BFADHN C[C@H]1CCCCN1CC1(Br)CC1 ZINC000846769179 588287138 /nfs/dbraw/zinc/28/71/38/588287138.db2.gz YICOBDDTTJFAKJ-VIFPVBQESA-N 0 3 232.165 2.788 20 0 BFADHN Cc1coc(-c2ccc(CN(C)C)cc2)n1 ZINC000846780228 588288118 /nfs/dbraw/zinc/28/81/18/588288118.db2.gz RPGVDCDNQOEOEB-UHFFFAOYSA-N 0 3 216.284 2.712 20 0 BFADHN CC(C)(C)NCc1cnc(Cl)c(F)c1 ZINC000846796623 588288937 /nfs/dbraw/zinc/28/89/37/588288937.db2.gz KXKBMVNCOIDOGO-UHFFFAOYSA-N 0 3 216.687 2.762 20 0 BFADHN C[C@@H]1CC[C@H](C)N1CC1(Br)CC1 ZINC000846815484 588290928 /nfs/dbraw/zinc/29/09/28/588290928.db2.gz MLHHUEOLZWMRNK-DTORHVGOSA-N 0 3 232.165 2.787 20 0 BFADHN c1cc(CN2CCC3(CCC3)C2)cc2nonc12 ZINC000846814807 588291000 /nfs/dbraw/zinc/29/10/00/588291000.db2.gz GGRBFJJMTYQGMF-UHFFFAOYSA-N 0 3 243.310 2.599 20 0 BFADHN CCOC(CN(CC)[C@H]1C=CCCC1)OCC ZINC000846970999 588299147 /nfs/dbraw/zinc/29/91/47/588299147.db2.gz OVPCMXFYKHURPA-ZDUSSCGKSA-N 0 3 241.375 2.816 20 0 BFADHN CC/C(C)=C\C(=O)OC[C@@H](C)N1CCCCC1 ZINC000847963664 588345834 /nfs/dbraw/zinc/34/58/34/588345834.db2.gz TWJDNEVTKIIXEJ-KXXVWKPMSA-N 0 3 239.359 2.760 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@@H](C)Cc1ccco1 ZINC000848518939 588390440 /nfs/dbraw/zinc/39/04/40/588390440.db2.gz JVGNLBFVDOWSPR-JQWIXIFHSA-N 0 3 245.326 2.660 20 0 BFADHN CSCC[C@@H](C)N[C@@H](C)c1cncnc1C ZINC000848527512 588392895 /nfs/dbraw/zinc/39/28/95/588392895.db2.gz CBCZFWPOXVCJGI-KOLCDFICSA-N 0 3 239.388 2.577 20 0 BFADHN CSCC[C@H](C)N[C@H](C)c1cncnc1C ZINC000848527511 588393048 /nfs/dbraw/zinc/39/30/48/588393048.db2.gz CBCZFWPOXVCJGI-GXSJLCMTSA-N 0 3 239.388 2.577 20 0 BFADHN Cn1c(CNCCC2=CCCC2)cnc1Cl ZINC000848543600 588393471 /nfs/dbraw/zinc/39/34/71/588393471.db2.gz LHYRYVJILFIHCT-UHFFFAOYSA-N 0 3 239.750 2.664 20 0 BFADHN Cn1c(Cl)ncc1CNCC1=CCCCC1 ZINC000848554141 588396468 /nfs/dbraw/zinc/39/64/68/588396468.db2.gz WODDWBYXJLZABC-UHFFFAOYSA-N 0 3 239.750 2.664 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cnc(Cl)n1C ZINC000848880827 588416077 /nfs/dbraw/zinc/41/60/77/588416077.db2.gz BGYHTWUNSMEZBC-SECBINFHSA-N 0 3 229.755 2.551 20 0 BFADHN CC(C)CN(Cc1cnc(Cl)n1C)C(C)C ZINC000848882197 588416100 /nfs/dbraw/zinc/41/61/00/588416100.db2.gz MSZWYYNZOYZWPU-UHFFFAOYSA-N 0 3 243.782 2.940 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cnc(Cl)n1C ZINC000848904239 588418304 /nfs/dbraw/zinc/41/83/04/588418304.db2.gz OAHATEMXQRUCHU-ONGXEEELSA-N 0 3 241.766 2.694 20 0 BFADHN CN(CCC(C)(C)C)Cc1cnc(Cl)n1C ZINC000848919015 588420769 /nfs/dbraw/zinc/42/07/69/588420769.db2.gz DHXMYBUQLVDHPK-UHFFFAOYSA-N 0 3 243.782 2.942 20 0 BFADHN COc1c(C)cccc1CNC[C@H]1CCC=CO1 ZINC000848923294 588421389 /nfs/dbraw/zinc/42/13/89/588421389.db2.gz IHXZKUOIJDKCEP-CQSZACIVSA-N 0 3 247.338 2.786 20 0 BFADHN Cc1c[nH]c(CNC[C@@H](C)c2cccc(F)c2)n1 ZINC000850082108 588518925 /nfs/dbraw/zinc/51/89/25/588518925.db2.gz QCRVXXLTCIJLSN-SNVBAGLBSA-N 0 3 247.317 2.751 20 0 BFADHN CC[C@H]1C[C@H](NCc2c(C)cccc2F)CO1 ZINC000850159204 588522045 /nfs/dbraw/zinc/52/20/45/588522045.db2.gz AMYUNSMMFYJOCF-RYUDHWBXSA-N 0 3 237.318 2.791 20 0 BFADHN CC[C@H]1C[C@@H](NCc2c(C)cccc2F)CO1 ZINC000850159202 588522287 /nfs/dbraw/zinc/52/22/87/588522287.db2.gz AMYUNSMMFYJOCF-NEPJUHHUSA-N 0 3 237.318 2.791 20 0 BFADHN O[C@@H]1CC[C@H]1NCc1cccc(C2CCCC2)c1 ZINC000850332303 588537639 /nfs/dbraw/zinc/53/76/39/588537639.db2.gz BZUAJTAKNWNFIO-HZPDHXFCSA-N 0 3 245.366 2.957 20 0 BFADHN CC[C@@H](COCC1CC1)NCc1ccc(C)nc1 ZINC000850350854 588538067 /nfs/dbraw/zinc/53/80/67/588538067.db2.gz IMIHLDHSHVHEOK-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN CSCC1(CCNCc2ccoc2)CC1 ZINC000850355240 588538449 /nfs/dbraw/zinc/53/84/49/588538449.db2.gz BZZXICLDDGGQKC-UHFFFAOYSA-N 0 3 225.357 2.903 20 0 BFADHN Cc1cc(CNCCc2cnccc2C)c(C)o1 ZINC000850356405 588538704 /nfs/dbraw/zinc/53/87/04/588538704.db2.gz VSLLZCDHOYAPPD-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN COCCOc1ccccc1CN[C@@H]1C=CCC1 ZINC000850358752 588539079 /nfs/dbraw/zinc/53/90/79/588539079.db2.gz KSSMLBZSEOKPCC-CQSZACIVSA-N 0 3 247.338 2.520 20 0 BFADHN COCCOc1ccccc1CN[C@H]1C=CCC1 ZINC000850358751 588539353 /nfs/dbraw/zinc/53/93/53/588539353.db2.gz KSSMLBZSEOKPCC-AWEZNQCLSA-N 0 3 247.338 2.520 20 0 BFADHN COCCOc1ccc(CN[C@@H]2C=CCC2)cc1 ZINC000850358633 588539407 /nfs/dbraw/zinc/53/94/07/588539407.db2.gz HZOGCNIUTBVBOV-CQSZACIVSA-N 0 3 247.338 2.520 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@@H](C)O2)c(C)o1 ZINC000850379410 588542261 /nfs/dbraw/zinc/54/22/61/588542261.db2.gz OADHRNBRSWQZIC-YGRLFVJLSA-N 0 3 237.343 2.944 20 0 BFADHN CC[C@@H]1COC[C@@H]1NCc1ccc(C)cc1OC ZINC000850383835 588542488 /nfs/dbraw/zinc/54/24/88/588542488.db2.gz VLTIYSNLSSTQEM-OCCSQVGLSA-N 0 3 249.354 2.518 20 0 BFADHN CC[C@H]1COC[C@H]1NCc1cscc1Cl ZINC000850384001 588542838 /nfs/dbraw/zinc/54/28/38/588542838.db2.gz XQJVLIUCJBRSAW-GZMMTYOYSA-N 0 3 245.775 2.916 20 0 BFADHN Cc1nc(F)ccc1CNCC1=CCCC1 ZINC000850396169 588543851 /nfs/dbraw/zinc/54/38/51/588543851.db2.gz QXDSOIDGGIEMTM-UHFFFAOYSA-N 0 3 220.291 2.729 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000850419525 588544785 /nfs/dbraw/zinc/54/47/85/588544785.db2.gz CAUMRUZEEHXPBB-RYUDHWBXSA-N 0 3 249.354 2.697 20 0 BFADHN CCc1cc(CN[C@@H](C)C(C)(C)C(F)F)[nH]n1 ZINC000850434943 588546109 /nfs/dbraw/zinc/54/61/09/588546109.db2.gz DNNLFWLVEGYGFA-QMMMGPOBSA-N 0 3 245.317 2.742 20 0 BFADHN C[C@H](NCc1cccc(F)n1)C(C)(C)C(F)F ZINC000850448416 588547506 /nfs/dbraw/zinc/54/75/06/588547506.db2.gz SCXRLHDORNTAHF-QMMMGPOBSA-N 0 3 246.276 2.990 20 0 BFADHN C[C@H](NCc1cccnc1F)C(C)(C)C(F)F ZINC000850467588 588548503 /nfs/dbraw/zinc/54/85/03/588548503.db2.gz XOBHHTMJWKEZCC-QMMMGPOBSA-N 0 3 246.276 2.990 20 0 BFADHN CC1(C)[C@H]2CCC[C@H]2[C@@H]1N[C@H]1CCn2ccnc21 ZINC000850472044 588549160 /nfs/dbraw/zinc/54/91/60/588549160.db2.gz WISCFSBXBDDWDJ-VOAKCMCISA-N 0 3 245.370 2.742 20 0 BFADHN CC1(C)[C@H]2CCC[C@H]2[C@@H]1N[C@@H]1CCn2ccnc21 ZINC000850472045 588549234 /nfs/dbraw/zinc/54/92/34/588549234.db2.gz WISCFSBXBDDWDJ-XQHKEYJVSA-N 0 3 245.370 2.742 20 0 BFADHN CCC[C@@H](NCCN(C)OC)c1ccsc1 ZINC000850479761 588551065 /nfs/dbraw/zinc/55/10/65/588551065.db2.gz YTVNZVHXPUXGBH-GFCCVEGCSA-N 0 3 242.388 2.672 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@H](C)c1ncc[nH]1 ZINC000850502530 588552770 /nfs/dbraw/zinc/55/27/70/588552770.db2.gz SJRZMTNWTPKOMJ-ZJUUUORDSA-N 0 3 209.337 2.885 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1cccnc1N1CCCC1 ZINC000850502799 588552819 /nfs/dbraw/zinc/55/28/19/588552819.db2.gz ZULZFLNGDAYOSX-JSGCOSHPSA-N 0 3 245.370 2.570 20 0 BFADHN C[C@H](N[C@H]1C=CCC1)c1cccc(CO)c1 ZINC000850507501 588553283 /nfs/dbraw/zinc/55/32/83/588553283.db2.gz FRRZEZVLSFJCGD-FZMZJTMJSA-N 0 3 217.312 2.548 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@H]2C=CCC2)cn1 ZINC000850506446 588553366 /nfs/dbraw/zinc/55/33/66/588553366.db2.gz AYGPGYHPTBMBAO-YPMHNXCESA-N 0 3 219.332 2.833 20 0 BFADHN Cc1cncc([C@@H](C)N[C@H]2C=CCC2)c1 ZINC000850507278 588553545 /nfs/dbraw/zinc/55/35/45/588553545.db2.gz DWFSFLQHXAPKQA-YPMHNXCESA-N 0 3 202.301 2.759 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2C=CCC2)cn1 ZINC000850506445 588553612 /nfs/dbraw/zinc/55/36/12/588553612.db2.gz AYGPGYHPTBMBAO-WCQYABFASA-N 0 3 219.332 2.833 20 0 BFADHN CC1CC(N[C@H]2C[C@@H](O)c3ccccc32)C1 ZINC000850528008 588557245 /nfs/dbraw/zinc/55/72/45/588557245.db2.gz IJAWQQMMJUXJQK-GSNUKPDKSA-N 0 3 217.312 2.553 20 0 BFADHN CC1(C)C[C@@]1(C)N[C@@H]1CCCc2n[nH]cc21 ZINC000850554087 588560139 /nfs/dbraw/zinc/56/01/39/588560139.db2.gz BDNDEOWNNZSSMF-ZWNOBZJWSA-N 0 3 219.332 2.565 20 0 BFADHN Cc1cc([C@H](C)NC2(CC(C)C)CC2)n(C)n1 ZINC000850565802 588560813 /nfs/dbraw/zinc/56/08/13/588560813.db2.gz GYVKVOIFPOPYFD-LBPRGKRZSA-N 0 3 235.375 2.958 20 0 BFADHN CCOc1cccc([C@@H](C)N[C@@H]2C[C@]2(C)OC)c1 ZINC000850571470 588561225 /nfs/dbraw/zinc/56/12/25/588561225.db2.gz RFUPJJNGEJUEPO-DFBGVHRSSA-N 0 3 249.354 2.913 20 0 BFADHN CC(C)NCC(=O)N(C)C1CCCCCCC1 ZINC000851627069 588611852 /nfs/dbraw/zinc/61/18/52/588611852.db2.gz HBPJUWUKOADSPB-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN CCNCC(=O)Nc1ccc2c(c1)C=CCCC2 ZINC000851645326 588612978 /nfs/dbraw/zinc/61/29/78/588612978.db2.gz FKQIHHIYROCBBZ-UHFFFAOYSA-N 0 3 244.338 2.584 20 0 BFADHN CCN(CCOC(F)F)Cc1cccs1 ZINC000851715277 588615651 /nfs/dbraw/zinc/61/56/51/588615651.db2.gz ZMYDIZBIKYMEFI-UHFFFAOYSA-N 0 3 235.299 2.809 20 0 BFADHN C[C@H](c1ccccc1)N(C)CCOC(F)F ZINC000851717686 588616017 /nfs/dbraw/zinc/61/60/17/588616017.db2.gz UWFMUUIVXKNJOT-SNVBAGLBSA-N 0 3 229.270 2.919 20 0 BFADHN CO[C@H]1CC[C@@H](N(C)Cc2ccsc2)C1 ZINC000851716731 588616396 /nfs/dbraw/zinc/61/63/96/588616396.db2.gz QEOSAGBHADOGPT-NEPJUHHUSA-N 0 3 225.357 2.747 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cccc(F)n1 ZINC000851725183 588617587 /nfs/dbraw/zinc/61/75/87/588617587.db2.gz VMEVTCXBXWOXLU-SNVBAGLBSA-N 0 3 210.296 2.841 20 0 BFADHN CC(C)CN(Cc1cccc(F)n1)C1CC1 ZINC000851726927 588617891 /nfs/dbraw/zinc/61/78/91/588617891.db2.gz YTBALTIQOIURRR-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1ccccc1[C@H](C)N(C)C[C@H]1CCC(=O)O1 ZINC000851733992 588620023 /nfs/dbraw/zinc/62/00/23/588620023.db2.gz MADAXIBKZARTLS-QWHCGFSZSA-N 0 3 247.338 2.693 20 0 BFADHN CN(CCOC(F)F)CCc1ccc(F)cc1 ZINC000851736462 588620433 /nfs/dbraw/zinc/62/04/33/588620433.db2.gz QHJOIXNSEJIHMY-UHFFFAOYSA-N 0 3 247.260 2.539 20 0 BFADHN CCN(Cc1ccoc1)[C@@H]1CC[C@H](OC)C1 ZINC000851748057 588622120 /nfs/dbraw/zinc/62/21/20/588622120.db2.gz ZEILGKMCVHYZPN-OLZOCXBDSA-N 0 3 223.316 2.669 20 0 BFADHN FC(F)OCCN1CCCc2ccccc2C1 ZINC000851762797 588624416 /nfs/dbraw/zinc/62/44/16/588624416.db2.gz ZGDSTFUDSNEJGP-UHFFFAOYSA-N 0 3 241.281 2.674 20 0 BFADHN CC[C@H](C)CN(C)Cc1cccc(F)n1 ZINC000851766631 588625073 /nfs/dbraw/zinc/62/50/73/588625073.db2.gz IPDMSQKMFWHDPQ-JTQLQIEISA-N 0 3 210.296 2.699 20 0 BFADHN Fc1cccc(CN2CCC[C@H]3CCC[C@@H]32)n1 ZINC000851785113 588628244 /nfs/dbraw/zinc/62/82/44/588628244.db2.gz OUHWPKMEQPPEEP-YPMHNXCESA-N 0 3 234.318 2.985 20 0 BFADHN C[C@@H]1CCCN(Cc2cccc(F)n2)[C@H]1C ZINC000851786546 588628880 /nfs/dbraw/zinc/62/88/80/588628880.db2.gz YARGVCDNLGROKC-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@]1(C)CCCN(CCOC(F)F)C1 ZINC000851791061 588629296 /nfs/dbraw/zinc/62/92/96/588629296.db2.gz SGSJROYDVLDRQR-LLVKDONJSA-N 0 3 221.291 2.738 20 0 BFADHN C[C@@H]1CN(Cc2cccc(F)n2)C(C)(C)C1 ZINC000851799858 588630331 /nfs/dbraw/zinc/63/03/31/588630331.db2.gz UDNDTHCNJMITJE-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN CCC[C@@H]1CCCN(C[C@@H](O)c2ccccn2)C1 ZINC000851808505 588631628 /nfs/dbraw/zinc/63/16/28/588631628.db2.gz OBDRUUNTHLMBMP-UKRRQHHQSA-N 0 3 248.370 2.627 20 0 BFADHN CC(C)N(Cc1cccc(F)n1)CC1CC1 ZINC000851824391 588633607 /nfs/dbraw/zinc/63/36/07/588633607.db2.gz YYIFYFDPXSICFQ-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN CC(C)(C)[C@@H]1CCCC[C@@H]1NCc1cnon1 ZINC000851838316 588633963 /nfs/dbraw/zinc/63/39/63/588633963.db2.gz HMSGSDYNDHVHKY-NEPJUHHUSA-N 0 3 237.347 2.764 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(F)n2)C[C@H]1C ZINC000851886794 588640697 /nfs/dbraw/zinc/64/06/97/588640697.db2.gz SIJIIZCVHYTEEL-GHMZBOCLSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@H]1CCN(CCOC(F)F)CC12CCC2 ZINC000851889669 588641134 /nfs/dbraw/zinc/64/11/34/588641134.db2.gz OHPGSNRMJNIQKQ-JTQLQIEISA-N 0 3 233.302 2.738 20 0 BFADHN CC[C@](C)(NC[C@@H]1CCC(=O)O1)c1ccccc1 ZINC000851902379 588641689 /nfs/dbraw/zinc/64/16/89/588641689.db2.gz PTUUPUKNKCLBFM-ZFWWWQNUSA-N 0 3 247.338 2.607 20 0 BFADHN CC(C)c1cccc(CN2C[C@@H]3C[C@]3(CO)C2)c1 ZINC000851967743 588648650 /nfs/dbraw/zinc/64/86/50/588648650.db2.gz FBCALTSXOFAMGJ-JKSUJKDBSA-N 0 3 245.366 2.624 20 0 BFADHN Cc1cc(F)ccc1CN(C)CCOC(F)F ZINC000851982813 588651371 /nfs/dbraw/zinc/65/13/71/588651371.db2.gz OFPJVJHRSOQFBU-UHFFFAOYSA-N 0 3 247.260 2.805 20 0 BFADHN CO[C@@H](CN1CCC(F)(F)CC1)C1CCC1 ZINC000852001012 588653967 /nfs/dbraw/zinc/65/39/67/588653967.db2.gz CFYKBBMUXNARNU-NSHDSACASA-N 0 3 233.302 2.533 20 0 BFADHN CO[C@H](CN1CCC(F)(F)CC1)C1CCCC1 ZINC000852002461 588654430 /nfs/dbraw/zinc/65/44/30/588654430.db2.gz UVPSXYZZBYASHC-GFCCVEGCSA-N 0 3 247.329 2.923 20 0 BFADHN c1nonc1CN[C@H]1CCCCC12CCCC2 ZINC000852070681 588659494 /nfs/dbraw/zinc/65/94/94/588659494.db2.gz VIYVRHUPILIDBS-LBPRGKRZSA-N 0 3 235.331 2.662 20 0 BFADHN C[C@H]1CCC(C)(C)N1Cc1cccc(F)n1 ZINC000852148156 588667160 /nfs/dbraw/zinc/66/71/60/588667160.db2.gz PSMXQGOIXLNSNI-JTQLQIEISA-N 0 3 222.307 2.984 20 0 BFADHN CN(Cc1cccc(F)n1)C1CC(C)(C)C1 ZINC000852160514 588668290 /nfs/dbraw/zinc/66/82/90/588668290.db2.gz DUCOEISFDVXUFA-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN COC1([C@@H](C)NCC=C(Cl)Cl)CCC1 ZINC000852177114 588669508 /nfs/dbraw/zinc/66/95/08/588669508.db2.gz ZDJZOYACANENAA-MRVPVSSYSA-N 0 3 238.158 2.853 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2cccc(F)n2)[C@H]1C ZINC000852181618 588670235 /nfs/dbraw/zinc/67/02/35/588670235.db2.gz KXXPXYFIFGSJIX-MXWKQRLJSA-N 0 3 222.307 2.697 20 0 BFADHN C[C@@H](NCCCCCCCO)c1cscn1 ZINC000852418133 588681802 /nfs/dbraw/zinc/68/18/02/588681802.db2.gz PDZHPWRNVLFSAK-LLVKDONJSA-N 0 3 242.388 2.737 20 0 BFADHN C[C@@H]1COC2(CCC2)CN1CC1CC(F)(F)C1 ZINC000852445187 588682537 /nfs/dbraw/zinc/68/25/37/588682537.db2.gz WPLGDMJDUJNFRW-SNVBAGLBSA-N 0 3 245.313 2.675 20 0 BFADHN CC[C@H](CC(F)F)CN(CCF)CCOC ZINC000852478981 588683222 /nfs/dbraw/zinc/68/32/22/588683222.db2.gz BXKADUXJAVIDJZ-SNVBAGLBSA-N 0 3 241.297 2.586 20 0 BFADHN COCCN(CCF)C[C@H]1CCCC[C@@H]1C ZINC000852479879 588683246 /nfs/dbraw/zinc/68/32/46/588683246.db2.gz KAJCSZDXIQSYAD-QWHCGFSZSA-N 0 3 231.355 2.731 20 0 BFADHN COCCN(CCF)C[C@H]1CCC[C@H](C)C1 ZINC000852480807 588684221 /nfs/dbraw/zinc/68/42/21/588684221.db2.gz CSMFKQCSDWWILS-STQMWFEESA-N 0 3 231.355 2.731 20 0 BFADHN COCCN(CCF)CC1CCCCCC1 ZINC000852481312 588684332 /nfs/dbraw/zinc/68/43/32/588684332.db2.gz LIWZYQUVRSUXBJ-UHFFFAOYSA-N 0 3 231.355 2.875 20 0 BFADHN Fc1cc(CN2CC[C@H]3C[C@H]3C2)cnc1Cl ZINC000852635462 588693663 /nfs/dbraw/zinc/69/36/63/588693663.db2.gz UGIQIKKJSZGWQS-UWVGGRQHSA-N 0 3 240.709 2.716 20 0 BFADHN CCCCCC[C@@H](CC)CN(C)CC(=O)NC ZINC000852724574 588698120 /nfs/dbraw/zinc/69/81/20/588698120.db2.gz HKWACRPEPRPFQI-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CC(=O)CCN1CC2CC1(c1ccccc1)C2 ZINC000852762094 588700938 /nfs/dbraw/zinc/70/09/38/588700938.db2.gz YGQGDPGTAMFYDX-UHFFFAOYSA-N 0 3 229.323 2.587 20 0 BFADHN CC12CC(C1)CN2CCOc1cccc(F)c1 ZINC000852785153 588702460 /nfs/dbraw/zinc/70/24/60/588702460.db2.gz ALXYXHWDTPEFQA-UHFFFAOYSA-N 0 3 235.302 2.689 20 0 BFADHN Cc1c(CN2CCC[C@H](C)C2)ccnc1F ZINC000862211288 589194487 /nfs/dbraw/zinc/19/44/87/589194487.db2.gz GLDVUVZBPUJODY-JTQLQIEISA-N 0 3 222.307 2.761 20 0 BFADHN CCN(Cc1ccnc(F)c1C)C1CC1 ZINC000862221319 589194871 /nfs/dbraw/zinc/19/48/71/589194871.db2.gz SVMKPUUIXAOORK-UHFFFAOYSA-N 0 3 208.280 2.513 20 0 BFADHN CCCN(Cc1ccnc(F)c1C)C1CC1 ZINC000862218656 589194972 /nfs/dbraw/zinc/19/49/72/589194972.db2.gz AIDJLDMRLYXJGS-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN Cc1c(CN2CC[C@@H]2C(C)C)ccnc1F ZINC000862261520 589197413 /nfs/dbraw/zinc/19/74/13/589197413.db2.gz GPSFZGCRCDISAU-GFCCVEGCSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1c(CN2CC[C@@H](C)[C@@H]2C)ccnc1F ZINC000862251732 589197472 /nfs/dbraw/zinc/19/74/72/589197472.db2.gz MFAAROCSHSBERH-KOLCDFICSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1c(CN2C[C@@H](C)C[C@@H]2C)ccnc1F ZINC000862248650 589197607 /nfs/dbraw/zinc/19/76/07/589197607.db2.gz OUBWHNSEGUXATP-UWVGGRQHSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1c(F)nccc1CN[C@@H](C)Cc1ccco1 ZINC000862266717 589197939 /nfs/dbraw/zinc/19/79/39/589197939.db2.gz UJHIXOJDAJCCGC-JTQLQIEISA-N 0 3 248.301 2.843 20 0 BFADHN Cc1c(F)nccc1CNCCC(F)(F)F ZINC000862282770 589199704 /nfs/dbraw/zinc/19/97/04/589199704.db2.gz UOKGQWVJFAULRB-UHFFFAOYSA-N 0 3 236.212 2.571 20 0 BFADHN C[C@@H](NCc1cccc(F)n1)C1CC(F)(F)C1 ZINC000862291719 589200269 /nfs/dbraw/zinc/20/02/69/589200269.db2.gz RZKZUQIKEHOHKG-MRVPVSSYSA-N 0 3 244.260 2.744 20 0 BFADHN CSCCCN(C)Cc1ccnc(F)c1C ZINC000862354114 589202465 /nfs/dbraw/zinc/20/24/65/589202465.db2.gz KZZAAMDYBWGYLU-UHFFFAOYSA-N 0 3 242.363 2.714 20 0 BFADHN Cc1c[nH]c(CN2CCCCCCCC2)n1 ZINC000862387516 589207549 /nfs/dbraw/zinc/20/75/49/589207549.db2.gz LGNNFOOOUJLING-UHFFFAOYSA-N 0 3 221.348 2.874 20 0 BFADHN Fc1cc(CN2C[C@H](C3CC3)[C@H]2C2CC2)ccn1 ZINC000862387503 589207586 /nfs/dbraw/zinc/20/75/86/589207586.db2.gz LBCPXCQALUKBQU-UKRRQHHQSA-N 0 3 246.329 2.841 20 0 BFADHN C/C=C\CNCc1ccc2c(c1)COC(C)(C)O2 ZINC000862531486 589218402 /nfs/dbraw/zinc/21/84/02/589218402.db2.gz ZNZRRJQVFINTIM-PLNGDYQASA-N 0 3 247.338 2.997 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1ccns1 ZINC000862540036 589218584 /nfs/dbraw/zinc/21/85/84/589218584.db2.gz XONKZPHVIJBBFC-OYNCUSHFSA-N 0 3 228.386 2.688 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1cc(C)oc1C ZINC000119342095 589271952 /nfs/dbraw/zinc/27/19/52/589271952.db2.gz BQFXPQBUQZJOIX-CHWSQXEVSA-N 0 3 223.316 2.554 20 0 BFADHN Cc1cc(-c2ccc3c(c2)CCNC3)ccn1 ZINC000863694515 589311379 /nfs/dbraw/zinc/31/13/79/589311379.db2.gz OJEVMLAQNQXUIQ-UHFFFAOYSA-N 0 3 224.307 2.703 20 0 BFADHN Cc1ccc(CO)c(-c2ccc3c(c2)CNC3)c1 ZINC000863724946 589313071 /nfs/dbraw/zinc/31/30/71/589313071.db2.gz CSNFSNMHRZXEGM-UHFFFAOYSA-N 0 3 239.318 2.758 20 0 BFADHN COc1ccc(CN2CC[C@@H]3C[C@@H]32)cc1C ZINC000863996105 589333442 /nfs/dbraw/zinc/33/34/42/589333442.db2.gz PCPSSBOLZIXDGW-OLZOCXBDSA-N 0 3 217.312 2.598 20 0 BFADHN CC(C)[C@H]1N(Cc2c[nH]cn2)CC12CC=CC2 ZINC000864050087 589334819 /nfs/dbraw/zinc/33/48/19/589334819.db2.gz UHJXYAIDBDANNU-CYBMUJFWSA-N 0 3 231.343 2.586 20 0 BFADHN CC(C)[C@H]1N(Cc2cnc[nH]2)CC12CC=CC2 ZINC000864050087 589334820 /nfs/dbraw/zinc/33/48/20/589334820.db2.gz UHJXYAIDBDANNU-CYBMUJFWSA-N 0 3 231.343 2.586 20 0 BFADHN C[C@@]1(CCNCc2ccns2)C[C@@]1(F)Cl ZINC000864051724 589335329 /nfs/dbraw/zinc/33/53/29/589335329.db2.gz PYNHFTUVYBINTB-ZJUUUORDSA-N 0 3 248.754 2.937 20 0 BFADHN C[C@]1(CCNCc2ccns2)C[C@]1(F)Cl ZINC000864051723 589335352 /nfs/dbraw/zinc/33/53/52/589335352.db2.gz PYNHFTUVYBINTB-VHSXEESVSA-N 0 3 248.754 2.937 20 0 BFADHN C[C@@H]1CCC[C@@H](C2CN(Cc3cnc[nH]3)C2)C1 ZINC000864055130 589335556 /nfs/dbraw/zinc/33/55/56/589335556.db2.gz IPUWKAGTSRJPGC-VXGBXAGGSA-N 0 3 233.359 2.668 20 0 BFADHN CCCOC(=O)[C@H](C)N(CC)[C@@H](C)C(C)C ZINC000120255864 589397986 /nfs/dbraw/zinc/39/79/86/589397986.db2.gz RGWKHYFJYYEHNM-RYUDHWBXSA-N 0 3 229.364 2.695 20 0 BFADHN CCOCCNCc1cccc(Cl)c1C ZINC000865417127 589434716 /nfs/dbraw/zinc/43/47/16/589434716.db2.gz YXICLTBQLSNJNT-UHFFFAOYSA-N 0 3 227.735 2.775 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cn(C2CC2)cn1 ZINC000865429887 589435022 /nfs/dbraw/zinc/43/50/22/589435022.db2.gz IWZIABXIUQVREO-WDEREUQCSA-N 0 3 221.348 2.742 20 0 BFADHN CC1(NCc2cc3c(cc[nH]c3=O)o2)CCCC1 ZINC000865446584 589436687 /nfs/dbraw/zinc/43/66/87/589436687.db2.gz WJQRWOUUYSKBIP-UHFFFAOYSA-N 0 3 246.310 2.956 20 0 BFADHN CC(C)C(C)(C)NCc1cn(C2CC2)cn1 ZINC000865457284 589437613 /nfs/dbraw/zinc/43/76/13/589437613.db2.gz JTBGIFVJADSICG-UHFFFAOYSA-N 0 3 221.348 2.742 20 0 BFADHN CC1(CNCc2cnc(Cl)c(F)c2)CC1 ZINC000865481055 589439739 /nfs/dbraw/zinc/43/97/39/589439739.db2.gz KXQSWHBIVKMKKD-UHFFFAOYSA-N 0 3 228.698 2.764 20 0 BFADHN FC(F)(F)CCCNCc1cn(C2CC2)cn1 ZINC000865479308 589440002 /nfs/dbraw/zinc/44/00/02/589440002.db2.gz GCOOYKNDQMIDBP-UHFFFAOYSA-N 0 3 247.264 2.650 20 0 BFADHN CC1CC(NCc2cc(F)ccc2N(C)C)C1 ZINC000865481581 589440548 /nfs/dbraw/zinc/44/05/48/589440548.db2.gz RBDJCLXBCZKSRQ-UHFFFAOYSA-N 0 3 236.334 2.780 20 0 BFADHN Cc1c(Cl)cccc1CN[C@H]1CCOC1 ZINC000865508968 589441823 /nfs/dbraw/zinc/44/18/23/589441823.db2.gz UPRCXFVTMBNKCF-NSHDSACASA-N 0 3 225.719 2.527 20 0 BFADHN C[C@H](NCc1cc2c(cc[nH]c2=O)o1)C1CCC1 ZINC000865510247 589442303 /nfs/dbraw/zinc/44/23/03/589442303.db2.gz IRROKQOOZKIWDL-VIFPVBQESA-N 0 3 246.310 2.812 20 0 BFADHN CS[C@H](C)CNCc1cnc(Cl)c(F)c1 ZINC000865508931 589442525 /nfs/dbraw/zinc/44/25/25/589442525.db2.gz UIBPPFKLISMYCB-SSDOTTSWSA-N 0 3 248.754 2.715 20 0 BFADHN CCC(C)(C)CNCc1cn(C2CC2)cn1 ZINC000865543136 589444546 /nfs/dbraw/zinc/44/45/46/589444546.db2.gz GVJCLXDPUHPDDL-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN C[C@@H](F)CCNCc1cc(F)ccc1N(C)C ZINC000865579676 589448794 /nfs/dbraw/zinc/44/87/94/589448794.db2.gz BSLXTVWGJZNQIN-SNVBAGLBSA-N 0 3 242.313 2.729 20 0 BFADHN CC(C)(NCc1cn(C2CC2)cn1)C1CCC1 ZINC000865590626 589449591 /nfs/dbraw/zinc/44/95/91/589449591.db2.gz QSYNKLLDTFETQI-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H](C)CCNCc1cn(C2CC2)cn1 ZINC000865623839 589450274 /nfs/dbraw/zinc/45/02/74/589450274.db2.gz RSFKYOPRDVGOSC-LLVKDONJSA-N 0 3 221.348 2.744 20 0 BFADHN O[C@@H]1CC[C@H]1NCc1cccc(CC2CCC2)c1 ZINC000865608886 589451044 /nfs/dbraw/zinc/45/10/44/589451044.db2.gz DIPKNFDUQKLGAE-HZPDHXFCSA-N 0 3 245.366 2.642 20 0 BFADHN Fc1cc(CN[C@@H]2C[C@H]3C[C@H]3C2)cnc1Cl ZINC000865610165 589451134 /nfs/dbraw/zinc/45/11/34/589451134.db2.gz DGZPEQABFYJBTM-ILWJIGKKSA-N 0 3 240.709 2.762 20 0 BFADHN CC(C)(NCc1cccc(O)c1)[C@@H]1CCCCO1 ZINC000865746120 589460322 /nfs/dbraw/zinc/46/03/22/589460322.db2.gz LWVSHQIKBDIMCA-AWEZNQCLSA-N 0 3 249.354 2.830 20 0 BFADHN Cc1ccc(CNC(C)(C)[C@@H]2CCCCO2)cn1 ZINC000865746453 589460530 /nfs/dbraw/zinc/46/05/30/589460530.db2.gz NIVHOHWTYMMHIN-AWEZNQCLSA-N 0 3 248.370 2.827 20 0 BFADHN COC(C)(C)CCNCc1cc(C)oc1C ZINC000865755581 589461029 /nfs/dbraw/zinc/46/10/29/589461029.db2.gz YCIOJTQNHFNLND-UHFFFAOYSA-N 0 3 225.332 2.801 20 0 BFADHN c1cc(CNCCCCC2CC2)n(CC2CC2)n1 ZINC000865786189 589461986 /nfs/dbraw/zinc/46/19/86/589461986.db2.gz DLSOENDUZLZZPN-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN C[C@H]1CC[C@@H](NCc2nn(C)cc2C(F)F)C1 ZINC000865808180 589462582 /nfs/dbraw/zinc/46/25/82/589462582.db2.gz CMFXAZYIEXBMRS-DTWKUNHWSA-N 0 3 243.301 2.636 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2ccnn2C)cc1C ZINC000865839295 589464360 /nfs/dbraw/zinc/46/43/60/589464360.db2.gz KEBGITRHWFOJGW-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccc(CN[C@H](C)c2ccnn2C)cc1C ZINC000865839293 589464375 /nfs/dbraw/zinc/46/43/75/589464375.db2.gz KEBGITRHWFOJGW-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccc(F)cc1CN[C@]12CCC[C@H]1OCC2 ZINC000865859237 589465523 /nfs/dbraw/zinc/46/55/23/589465523.db2.gz RLYAAPMOIFMGOY-CABCVRRESA-N 0 3 249.329 2.935 20 0 BFADHN CC[C@H](C[C@H](C)O)NCc1cc(C)oc1C ZINC000865872057 589466223 /nfs/dbraw/zinc/46/62/23/589466223.db2.gz IOBALXZNCPJYFW-TVQRCGJNSA-N 0 3 225.332 2.536 20 0 BFADHN CC(C)[C@H](NC[C@@]1(C)CCCO1)c1ccccn1 ZINC000866282040 589484076 /nfs/dbraw/zinc/48/40/76/589484076.db2.gz WHMCWTPDAOAILZ-LSDHHAIUSA-N 0 3 248.370 2.937 20 0 BFADHN COC(C)(C)CN[C@H](c1ccccn1)C(C)C ZINC000866284845 589484455 /nfs/dbraw/zinc/48/44/55/589484455.db2.gz IGJWOHFGDONDIO-ZDUSSCGKSA-N 0 3 236.359 2.793 20 0 BFADHN C[C@@H]1CCc2ccc(F)cc2[C@@H]1N[C@@H]1CCOC1 ZINC000866311372 589485760 /nfs/dbraw/zinc/48/57/60/589485760.db2.gz ZMQJJMMTRLSNCS-WDBKCZKBSA-N 0 3 249.329 2.828 20 0 BFADHN CC(C)[C@@H](NCC1(CCO)CC1)c1ccccn1 ZINC000866342034 589486965 /nfs/dbraw/zinc/48/69/65/589486965.db2.gz APVVQIKWZAFCBU-CQSZACIVSA-N 0 3 248.370 2.531 20 0 BFADHN CC(C)[C@@H](NC[C@@H]1CCC[C@H]1O)c1ccccn1 ZINC000866369221 589488608 /nfs/dbraw/zinc/48/86/08/589488608.db2.gz JTRYACYBLVDYMA-NWANDNLSSA-N 0 3 248.370 2.529 20 0 BFADHN C[C@H]1CCc2ccc(F)cc2[C@@H]1N[C@@H]1CCOC1 ZINC000866380054 589489118 /nfs/dbraw/zinc/48/91/18/589489118.db2.gz ZMQJJMMTRLSNCS-PSOPSSQASA-N 0 3 249.329 2.828 20 0 BFADHN CC(C)[C@H](N[C@@H](C)C1(CO)CC1)c1ccccn1 ZINC000866388237 589489229 /nfs/dbraw/zinc/48/92/29/589489229.db2.gz DSBMHPMSLWCOAN-JSGCOSHPSA-N 0 3 248.370 2.529 20 0 BFADHN CC(C)[C@H](N[C@H](C)C1(CO)CC1)c1ccccn1 ZINC000866388238 589489247 /nfs/dbraw/zinc/48/92/47/589489247.db2.gz DSBMHPMSLWCOAN-OCCSQVGLSA-N 0 3 248.370 2.529 20 0 BFADHN C1=C[C@H](N[C@@H]2CCCc3ncccc32)CC1 ZINC000866389425 589489327 /nfs/dbraw/zinc/48/93/27/589489327.db2.gz SJTIFTSRPDUXLT-SMDDNHRTSA-N 0 3 214.312 2.767 20 0 BFADHN C1=C[C@H](N[C@H]2CCCc3ncccc32)CC1 ZINC000866389421 589489335 /nfs/dbraw/zinc/48/93/35/589489335.db2.gz SJTIFTSRPDUXLT-FZMZJTMJSA-N 0 3 214.312 2.767 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](c1ccccn1)C(C)C ZINC000866397355 589490063 /nfs/dbraw/zinc/49/00/63/589490063.db2.gz GTDCUFHUXFBTSV-ILXRZTDVSA-N 0 3 248.370 2.936 20 0 BFADHN CCC(C)(C)CCN[C@H](C)c1ncc[nH]1 ZINC000866428765 589492474 /nfs/dbraw/zinc/49/24/74/589492474.db2.gz FSZAZNRESIIWQL-SNVBAGLBSA-N 0 3 209.337 2.887 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@@H]1F)[C@H]1CCCCO1 ZINC000866452201 589494218 /nfs/dbraw/zinc/49/42/18/589494218.db2.gz YTGXCQPBKARJMG-WUHRBBMRSA-N 0 3 229.339 2.814 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2cccnc2)C1 ZINC000866486292 589496383 /nfs/dbraw/zinc/49/63/83/589496383.db2.gz CWEIYKQFXDRPQN-YUSALJHKSA-N 0 3 222.357 2.626 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2ccco2)C1 ZINC000866486258 589496537 /nfs/dbraw/zinc/49/65/37/589496537.db2.gz CCHDVZULWQVXQP-GUBZILKMSA-N 0 3 211.330 2.824 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2cccc(O)c2)C1 ZINC000866486962 589496570 /nfs/dbraw/zinc/49/65/70/589496570.db2.gz RYOKLLUSLWYLTQ-GAFUQQFSSA-N 0 3 237.368 2.937 20 0 BFADHN CC[C@H](NCc1nn(C)cc1C(F)F)C1CC1 ZINC000866563745 589500557 /nfs/dbraw/zinc/50/05/57/589500557.db2.gz QALXQBGAFUAHED-JTQLQIEISA-N 0 3 243.301 2.636 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@H]2CSC[C@H]2C1 ZINC000866581544 589501315 /nfs/dbraw/zinc/50/13/15/589501315.db2.gz BCCZIVYTQAIRHW-KWCYVHTRSA-N 0 3 248.395 2.828 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@@H]2CSC[C@H]2C1 ZINC000866581545 589501361 /nfs/dbraw/zinc/50/13/61/589501361.db2.gz BCCZIVYTQAIRHW-MRVWCRGKSA-N 0 3 248.395 2.828 20 0 BFADHN C[C@H](NCC[C@H](O)C1CCCC1)c1ccco1 ZINC000866636760 589506656 /nfs/dbraw/zinc/50/66/56/589506656.db2.gz OQOKOANXHWQAMO-AAEUAGOBSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H](NCC[C@@H](O)C1CCCC1)c1ccoc1 ZINC000866639299 589506934 /nfs/dbraw/zinc/50/69/34/589506934.db2.gz XRFRAHHBYGPMOK-BXUZGUMPSA-N 0 3 237.343 2.871 20 0 BFADHN CC(C)CN(CC(C)C)CN1CCSC1=O ZINC000122184609 589561671 /nfs/dbraw/zinc/56/16/71/589561671.db2.gz AYKMCLZFQZMGTH-UHFFFAOYSA-N 0 3 244.404 2.727 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)/C=C/c1ccccc1 ZINC000870553321 589674887 /nfs/dbraw/zinc/67/48/87/589674887.db2.gz ZNKHWWQTQWNMPN-PLSXKVAHSA-N 0 3 247.338 2.583 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)c1sccc1C ZINC000870551268 589675102 /nfs/dbraw/zinc/67/51/02/589675102.db2.gz FLBZKJFPGRIAKF-SNVBAGLBSA-N 0 3 241.356 2.554 20 0 BFADHN Cc1ccc([C@H](C)NCc2cn(C)nc2C)s1 ZINC000123619734 589757732 /nfs/dbraw/zinc/75/77/32/589757732.db2.gz GVQPKBUETZYIKH-NSHDSACASA-N 0 3 249.383 2.949 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H]1C)C(=O)Nc1ccc(C)cc1 ZINC000871885897 589828865 /nfs/dbraw/zinc/82/88/65/589828865.db2.gz UJPIHJYUVFWYNI-KWCYVHTRSA-N 0 3 246.354 2.710 20 0 BFADHN C[C@@H](NCCC[C@@H]1C=CCC1)c1cnccn1 ZINC000871932256 589834041 /nfs/dbraw/zinc/83/40/41/589834041.db2.gz SNXQGWPQFHXMEO-CHWSQXEVSA-N 0 3 231.343 2.874 20 0 BFADHN CC(C)CN[C@@H](C)c1cc(O)ccc1F ZINC000872016009 589855889 /nfs/dbraw/zinc/85/58/89/589855889.db2.gz BKADFGAOWXQBFN-VIFPVBQESA-N 0 3 211.280 2.838 20 0 BFADHN CC[C@H](C)[NH2+][C@@H](C)c1cccc([O-])c1F ZINC000872016491 589856253 /nfs/dbraw/zinc/85/62/53/589856253.db2.gz KAKICOZAKXMIBM-IUCAKERBSA-N 0 3 211.280 2.980 20 0 BFADHN COCCCCN[C@H](C)c1cc(O)ccc1F ZINC000872023387 589856802 /nfs/dbraw/zinc/85/68/02/589856802.db2.gz RLCWPMWLRLQZRV-SNVBAGLBSA-N 0 3 241.306 2.609 20 0 BFADHN CSCCC[NH2+][C@@H](C)c1cccc([O-])c1F ZINC000872039571 589859450 /nfs/dbraw/zinc/85/94/50/589859450.db2.gz TTZPRVJJPBAMBD-VIFPVBQESA-N 0 3 243.347 2.935 20 0 BFADHN CC[C@@H](NCc1ccn(C)n1)C(C)(C)CC ZINC000872054266 589862021 /nfs/dbraw/zinc/86/20/21/589862021.db2.gz RZYMTERZFHWIJG-GFCCVEGCSA-N 0 3 223.364 2.725 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1cccc(O)c1F ZINC000872073349 589863246 /nfs/dbraw/zinc/86/32/46/589863246.db2.gz PCUXKQSGZOKGHG-KXUCPTDWSA-N 0 3 241.306 2.605 20 0 BFADHN C[C@@H]([NH2+][C@@H]1C[C@H]2C[C@H]2C1)c1cccc([O-])c1F ZINC000872082477 589866339 /nfs/dbraw/zinc/86/63/39/589866339.db2.gz WRRNOEJVMONBBY-CHWFTXMASA-N 0 3 235.302 2.980 20 0 BFADHN C[C@@H](NCCC[C@@H]1C=CCC1)c1ccn(C)n1 ZINC000872107024 589872762 /nfs/dbraw/zinc/87/27/62/589872762.db2.gz NNFRZUZQPJQDPJ-CHWSQXEVSA-N 0 3 233.359 2.817 20 0 BFADHN C[C@H](NC[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1ccoc1 ZINC000872109115 589874492 /nfs/dbraw/zinc/87/44/92/589874492.db2.gz HOORTFMEYPGVGY-IMIFBBOLSA-N 0 3 235.327 2.888 20 0 BFADHN CCC[C@H](C)[C@H](CC)NCc1nonc1C ZINC000872137598 589875498 /nfs/dbraw/zinc/87/54/98/589875498.db2.gz ULTOHEQUWJGZOV-ONGXEEELSA-N 0 3 225.336 2.682 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000872129707 589877527 /nfs/dbraw/zinc/87/75/27/589877527.db2.gz ANXUMZSJNPTEKH-OJDJGZDQSA-N 0 3 245.370 2.870 20 0 BFADHN Cc1nonc1CN[C@H](CCC(C)C)C1CC1 ZINC000872137491 589879717 /nfs/dbraw/zinc/87/97/17/589879717.db2.gz UADPEOSGEFDFBP-GFCCVEGCSA-N 0 3 237.347 2.682 20 0 BFADHN Cc1nonc1CN[C@@H](CCC(C)C)C1CC1 ZINC000872137494 589879908 /nfs/dbraw/zinc/87/99/08/589879908.db2.gz UADPEOSGEFDFBP-LBPRGKRZSA-N 0 3 237.347 2.682 20 0 BFADHN OCc1cc(NCCC[C@H]2C=CCC2)ccn1 ZINC000872692683 589961971 /nfs/dbraw/zinc/96/19/71/589961971.db2.gz BVRVDFPBRZEUQI-LBPRGKRZSA-N 0 3 232.327 2.732 20 0 BFADHN CS[C@H]1CC[C@@H](NCc2cncs2)C1 ZINC000124338504 589977491 /nfs/dbraw/zinc/97/74/91/589977491.db2.gz KDIPVBBKCDOFLE-BDAKNGLRSA-N 0 3 228.386 2.517 20 0 BFADHN CCCNCc1c(F)cccc1OCC ZINC000873006571 589978820 /nfs/dbraw/zinc/97/88/20/589978820.db2.gz LPYQVOSHLIKTSP-UHFFFAOYSA-N 0 3 211.280 2.724 20 0 BFADHN CCCn1cc(CNCCC[C@H]2C=CCC2)nn1 ZINC000873153991 589987664 /nfs/dbraw/zinc/98/76/64/589987664.db2.gz OIQGEDQJJASMCO-ZDUSSCGKSA-N 0 3 248.374 2.524 20 0 BFADHN Cc1ncccc1CNCC1(OC(C)C)CCC1 ZINC000873166674 589989255 /nfs/dbraw/zinc/98/92/55/589989255.db2.gz IXWIQDYDNMMWIW-UHFFFAOYSA-N 0 3 248.370 2.827 20 0 BFADHN Cc1nc(F)ccc1CNC1(C)CC(F)(F)C1 ZINC000873197762 589991766 /nfs/dbraw/zinc/99/17/66/589991766.db2.gz RYVDXIQKDXTBPV-UHFFFAOYSA-N 0 3 244.260 2.807 20 0 BFADHN CCC[C@@H](CC)C(=O)N(CCC)CCN(C)C ZINC000874383013 590106274 /nfs/dbraw/zinc/10/62/74/590106274.db2.gz ZCEVMNNTERMWDZ-CYBMUJFWSA-N 0 3 242.407 2.613 20 0 BFADHN Cc1ccoc1CNCCc1cnc(C)s1 ZINC000125008345 590111965 /nfs/dbraw/zinc/11/19/65/590111965.db2.gz QHBYKOCLWUJETB-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN C[C@@H]1CCCN1Cc1cc2c(cc[nH]c2=O)o1 ZINC000876723195 590233547 /nfs/dbraw/zinc/23/35/47/590233547.db2.gz PHUWABXGZYJPSM-SECBINFHSA-N 0 3 232.283 2.518 20 0 BFADHN CCn1cc(CN(C)CC2(C)CCCC2)cn1 ZINC000876740645 590235207 /nfs/dbraw/zinc/23/52/07/590235207.db2.gz KICHBTSLYSUUFJ-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CSC(C)(C)CCN1CCO[C@H](C)[C@@H]1C ZINC000876738408 590235521 /nfs/dbraw/zinc/23/55/21/590235521.db2.gz CTBCHYJKAZOSSS-WDEREUQCSA-N 0 3 231.405 2.627 20 0 BFADHN CN(Cc1cc2c(cc[nH]c2=O)o1)C1CCC1 ZINC000876745845 590236235 /nfs/dbraw/zinc/23/62/35/590236235.db2.gz QPAKZRMRZJUNMI-UHFFFAOYSA-N 0 3 232.283 2.518 20 0 BFADHN CC(C)N(Cc1ccc(Cl)nn1)C1CCC1 ZINC000876757038 590239095 /nfs/dbraw/zinc/23/90/95/590239095.db2.gz AHLYVTFAZSHCBR-UHFFFAOYSA-N 0 3 239.750 2.893 20 0 BFADHN C[C@@H]1CCN(Cc2cc3c(cc[nH]c3=O)o2)[C@H]1C ZINC000876800746 590243450 /nfs/dbraw/zinc/24/34/50/590243450.db2.gz UTSYCUYARZHVAW-ZJUUUORDSA-N 0 3 246.310 2.764 20 0 BFADHN CC1(NCc2ccc(Cl)nn2)CCCCC1 ZINC000876897264 590254812 /nfs/dbraw/zinc/25/48/12/590254812.db2.gz AMTASYLFDURZMD-UHFFFAOYSA-N 0 3 239.750 2.942 20 0 BFADHN ClC1(Cl)C[C@H]1CNCc1ccoc1 ZINC000876961146 590261457 /nfs/dbraw/zinc/26/14/57/590261457.db2.gz CCCHJMJIGQZPGP-QMMMGPOBSA-N 0 3 220.099 2.563 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ccc(Cl)nn1 ZINC000876970078 590262536 /nfs/dbraw/zinc/26/25/36/590262536.db2.gz ILRWHAFZNRVFIN-RKDXNWHRSA-N 0 3 227.739 2.654 20 0 BFADHN CCCC(C)(C)NCc1cc(OC)cnc1F ZINC000877009604 590268786 /nfs/dbraw/zinc/26/87/86/590268786.db2.gz TZBIQYWHFFNBLZ-UHFFFAOYSA-N 0 3 240.322 2.898 20 0 BFADHN CCC[C@H](CC)NCc1cc(OC)cnc1F ZINC000877021001 590270019 /nfs/dbraw/zinc/27/00/19/590270019.db2.gz KVWDBTJRWCJRQW-NSHDSACASA-N 0 3 240.322 2.898 20 0 BFADHN Clc1csc(CNC2CCSCC2)n1 ZINC000877023152 590270646 /nfs/dbraw/zinc/27/06/46/590270646.db2.gz GCGKHUIRKGJVJR-UHFFFAOYSA-N 0 3 248.804 2.782 20 0 BFADHN Cc1cccc(F)c1CNCCC[C@@H]1CCO1 ZINC000877069033 590277154 /nfs/dbraw/zinc/27/71/54/590277154.db2.gz WCILQUOHERVMGF-GFCCVEGCSA-N 0 3 237.318 2.793 20 0 BFADHN CCC1(NCc2ccc(Cl)nn2)CCC1 ZINC000877079393 590279561 /nfs/dbraw/zinc/27/95/61/590279561.db2.gz DTCQYNDVYPJHNV-UHFFFAOYSA-N 0 3 225.723 2.552 20 0 BFADHN COc1cnc(F)c(CN[C@@H](C)CC(C)C)c1 ZINC000877111652 590287374 /nfs/dbraw/zinc/28/73/74/590287374.db2.gz KXLSEIKCGDKYOI-JTQLQIEISA-N 0 3 240.322 2.754 20 0 BFADHN CSC(C)(C)CCN1CCO[C@@H](C2CC2)C1 ZINC000877423468 590325551 /nfs/dbraw/zinc/32/55/51/590325551.db2.gz BEHCBFSRULSZBI-GFCCVEGCSA-N 0 3 243.416 2.629 20 0 BFADHN CC(C)=CCNCc1cccc(Cl)c1N ZINC000877471957 590334767 /nfs/dbraw/zinc/33/47/67/590334767.db2.gz FXKUHYYMEBBSHU-UHFFFAOYSA-N 0 3 224.735 2.978 20 0 BFADHN Nc1c(Cl)cccc1CNC1CC(F)(F)C1 ZINC000877476986 590336249 /nfs/dbraw/zinc/33/62/49/590336249.db2.gz SVASOAPACHBXSV-UHFFFAOYSA-N 0 3 246.688 2.810 20 0 BFADHN CC[C@@H](C)[C@@H](CN1CCC(F)(F)CC1)OC ZINC000877491310 590339618 /nfs/dbraw/zinc/33/96/18/590339618.db2.gz IPGSWPFBWBXVQD-GHMZBOCLSA-N 0 3 235.318 2.779 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1ccc(Cl)nn1 ZINC000877500724 590341622 /nfs/dbraw/zinc/34/16/22/590341622.db2.gz IFUNROWVAGLYOQ-UWVGGRQHSA-N 0 3 239.750 2.656 20 0 BFADHN C[C@@H](F)CCNCc1cccc(Cl)c1N ZINC000877552689 590355127 /nfs/dbraw/zinc/35/51/27/590355127.db2.gz VQRQAULXJUFLTL-MRVPVSSYSA-N 0 3 230.714 2.760 20 0 BFADHN CC[C@](C)(CN1CCC[C@@](C)(F)C1)OC ZINC000877557366 590357389 /nfs/dbraw/zinc/35/73/89/590357389.db2.gz KYTDKIAZWGLSMW-VXGBXAGGSA-N 0 3 217.328 2.626 20 0 BFADHN CCC[C@H](CN1CCC[C@](C)(F)C1)OC ZINC000877557721 590358378 /nfs/dbraw/zinc/35/83/78/590358378.db2.gz OYUBFVBKJVBUOI-NEPJUHHUSA-N 0 3 217.328 2.626 20 0 BFADHN CSC(C)(C)CCN1CC[C@H](F)C1 ZINC000877559529 590358543 /nfs/dbraw/zinc/35/85/43/590358543.db2.gz YOSZKSRKMGNWHI-VIFPVBQESA-N 0 3 205.342 2.562 20 0 BFADHN CSC(C)(C)CCN1CC[C@@H](F)C1 ZINC000877559528 590358917 /nfs/dbraw/zinc/35/89/17/590358917.db2.gz YOSZKSRKMGNWHI-SECBINFHSA-N 0 3 205.342 2.562 20 0 BFADHN ClC1(Cl)C[C@H]1CN1CC[C@H]1C1CC1 ZINC000877561478 590359596 /nfs/dbraw/zinc/35/95/96/590359596.db2.gz GMVHWEPFUVFBJD-IUCAKERBSA-N 0 3 220.143 2.665 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1nc(Cl)cs1 ZINC000877564689 590360819 /nfs/dbraw/zinc/36/08/19/590360819.db2.gz IZDYIBVTQMPEFN-SFYZADRCSA-N 0 3 230.764 2.932 20 0 BFADHN CC/C=C/CNC[C@H]1CC1(Cl)Cl ZINC000877564127 590361307 /nfs/dbraw/zinc/36/13/07/590361307.db2.gz ZHBFZQPUTWVXIM-MPJRPATESA-N 0 3 208.132 2.736 20 0 BFADHN CCc1nc(CNCC2(C)CCCC2)co1 ZINC000877571392 590362041 /nfs/dbraw/zinc/36/20/41/590362041.db2.gz XOVPVMZOWRXQFS-UHFFFAOYSA-N 0 3 222.332 2.907 20 0 BFADHN CC1(C)C[C@@H]1NCc1cccc(Cl)c1N ZINC000877588043 590369141 /nfs/dbraw/zinc/36/91/41/590369141.db2.gz KUQWVOGMYYDBHI-JTQLQIEISA-N 0 3 224.735 2.810 20 0 BFADHN C[C@H](CNCc1ccc(Cl)nn1)CC(F)F ZINC000877604044 590371849 /nfs/dbraw/zinc/37/18/49/590371849.db2.gz HBQBBTWFTNZQIX-ZETCQYMHSA-N 0 3 249.692 2.511 20 0 BFADHN C[C@H](NC1CSC1)c1cc2cnccc2o1 ZINC000877634379 590379486 /nfs/dbraw/zinc/37/94/86/590379486.db2.gz NSMREVDBWZMSDS-QMMMGPOBSA-N 0 3 234.324 2.594 20 0 BFADHN C[C@@H](NC1CSC1)c1cc2cnccc2o1 ZINC000877634378 590380140 /nfs/dbraw/zinc/38/01/40/590380140.db2.gz NSMREVDBWZMSDS-MRVPVSSYSA-N 0 3 234.324 2.594 20 0 BFADHN CSC1CCN(Cc2ccoc2)CC1 ZINC000877663752 590388767 /nfs/dbraw/zinc/38/87/67/590388767.db2.gz YPKNFMWIBGILHF-UHFFFAOYSA-N 0 3 211.330 2.607 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@@H]1F)[C@H]1CC1(F)F ZINC000877682676 590391032 /nfs/dbraw/zinc/39/10/32/590391032.db2.gz SINWJCGCYPMAHA-QCLAVDOMSA-N 0 3 221.266 2.900 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H]1CCCC[C@@H]1F ZINC000877682437 590391093 /nfs/dbraw/zinc/39/10/93/590391093.db2.gz LXPVRHAURXPXHL-ZRUFSTJUSA-N 0 3 217.353 2.751 20 0 BFADHN Cc1ccc(CNC2CC(C(F)F)C2)o1 ZINC000877697792 590393796 /nfs/dbraw/zinc/39/37/96/590393796.db2.gz LTQZSAPIGQPBEX-UHFFFAOYSA-N 0 3 215.243 2.721 20 0 BFADHN c1cc(CN2CC[C@@H]3CSC[C@H]3C2)cs1 ZINC000877742195 590408508 /nfs/dbraw/zinc/40/85/08/590408508.db2.gz XXAUIDLRKKSNQP-VXGBXAGGSA-N 0 3 239.409 2.933 20 0 BFADHN Cc1ccc(CN2C[C@H](O)CC(C)(C)C2)cc1 ZINC000877752424 590416172 /nfs/dbraw/zinc/41/61/72/590416172.db2.gz FZGCIVDQFLUGMK-CQSZACIVSA-N 0 3 233.355 2.588 20 0 BFADHN Cc1ccoc1CN1CCC(C(C)(C)O)CC1 ZINC000877753921 590416671 /nfs/dbraw/zinc/41/66/71/590416671.db2.gz PDSYFEVTTDCBGN-UHFFFAOYSA-N 0 3 237.343 2.571 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1noc2cc(F)ccc12 ZINC000877761687 590419135 /nfs/dbraw/zinc/41/91/35/590419135.db2.gz MRACYFVEDRROBO-DTWKUNHWSA-N 0 3 234.274 2.713 20 0 BFADHN Cn1ccc(CN2CC[C@@](C)(C(F)(F)F)C2)c1 ZINC000877811992 590427375 /nfs/dbraw/zinc/42/73/75/590427375.db2.gz DTIMGDDVXMHZDS-LLVKDONJSA-N 0 3 246.276 2.799 20 0 BFADHN C=C/C=C/CCN1CCN(CC/C=C/C=C)CC1 ZINC000877915075 590446805 /nfs/dbraw/zinc/44/68/05/590446805.db2.gz OESWFRKPVWWQCZ-KQQUZDAGSA-N 0 3 246.398 2.869 20 0 BFADHN CC(C)[C@H](O)CCN(C)Cc1cccs1 ZINC000877932327 590450287 /nfs/dbraw/zinc/45/02/87/590450287.db2.gz POJWRXMCWNUIPR-GFCCVEGCSA-N 0 3 227.373 2.587 20 0 BFADHN C=C/C=C\CCN1C[C@@H](C)OC(C)(C)C1 ZINC000877994432 590467628 /nfs/dbraw/zinc/46/76/28/590467628.db2.gz FYPBLIUQONHOLX-ZHRWSRJISA-N 0 3 209.333 2.618 20 0 BFADHN Cc1conc1CN1CC[C@@H](c2ccccc2)C1 ZINC000878015219 590471599 /nfs/dbraw/zinc/47/15/99/590471599.db2.gz ZXHYJCIHNISWFA-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN Cc1conc1CN1CCC[C@@H]1C(C)(C)C ZINC000878054514 590483949 /nfs/dbraw/zinc/48/39/49/590483949.db2.gz GVWDKIWCMMGBCZ-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC3(CCC3)O2)CCS1 ZINC000878055321 590484781 /nfs/dbraw/zinc/48/47/81/590484781.db2.gz OANAVLPLEWUXCQ-VXGBXAGGSA-N 0 3 241.400 2.525 20 0 BFADHN CN(CCCSC(C)(C)C)[C@@H]1CCOC1 ZINC000878084630 590490195 /nfs/dbraw/zinc/49/01/95/590490195.db2.gz WGTFKERONNRXFR-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H]2NCc1ccncc1 ZINC000126907158 590493987 /nfs/dbraw/zinc/49/39/87/590493987.db2.gz BXTGJHMNZQRSMA-HNNXBMFYSA-N 0 3 242.297 2.998 20 0 BFADHN C[C@@H]1CSCCN1C[C@@H]1CCC2(CCC2)O1 ZINC000878120366 590498761 /nfs/dbraw/zinc/49/87/61/590498761.db2.gz HGYAAWNSDKJCIR-NEPJUHHUSA-N 0 3 241.400 2.525 20 0 BFADHN C[C@@H]1CSCCN1C[C@@H]1CCCC(C)(C)O1 ZINC000878122292 590498987 /nfs/dbraw/zinc/49/89/87/590498987.db2.gz YZKFKHAAFMJTSN-NEPJUHHUSA-N 0 3 243.416 2.771 20 0 BFADHN Cc1conc1CN1C[C@H](C)CCC[C@H]1C ZINC000878128279 590500945 /nfs/dbraw/zinc/50/09/45/590500945.db2.gz AKCNKCXIFFFSBP-ZYHUDNBSSA-N 0 3 222.332 2.994 20 0 BFADHN CC1(C)CCN(CCCOC(F)(F)F)C1 ZINC000878149743 590506093 /nfs/dbraw/zinc/50/60/93/590506093.db2.gz USQMEWLWHZOHRA-UHFFFAOYSA-N 0 3 225.254 2.645 20 0 BFADHN CC(C)[C@@H](O)CCN1Cc2ccccc2[C@@H]1C ZINC000878158382 590508699 /nfs/dbraw/zinc/50/86/99/590508699.db2.gz ZWESPGDWSFFHPP-WFASDCNBSA-N 0 3 233.355 2.970 20 0 BFADHN C=C/C=C\CCN(C)Cc1cccc(O)c1 ZINC000878164779 590511357 /nfs/dbraw/zinc/51/13/57/590511357.db2.gz MZIZHKCVOKEHJW-PLNGDYQASA-N 0 3 217.312 2.956 20 0 BFADHN C=C/C=C/CCN(C)Cc1cccc(O)c1 ZINC000878164780 590512073 /nfs/dbraw/zinc/51/20/73/590512073.db2.gz MZIZHKCVOKEHJW-SNAWJCMRSA-N 0 3 217.312 2.956 20 0 BFADHN CC(C)[C@H](O)CCN1CC[C@@H]1c1ccccc1 ZINC000878166131 590512652 /nfs/dbraw/zinc/51/26/52/590512652.db2.gz MCOAXUYOEDPYNW-HUUCEWRRSA-N 0 3 233.355 2.840 20 0 BFADHN C=C/C=C/CCN(C)Cc1ccc(OC)c(O)c1 ZINC000878182385 590518138 /nfs/dbraw/zinc/51/81/38/590518138.db2.gz ICFQNKZXVJRPLP-AATRIKPKSA-N 0 3 247.338 2.965 20 0 BFADHN C=C/C=C/CCN1CCOC(C)(C)[C@@H]1C ZINC000878193665 590522098 /nfs/dbraw/zinc/52/20/98/590522098.db2.gz ACBXJZGPMIITMY-SYTKJHMZSA-N 0 3 209.333 2.618 20 0 BFADHN C[C@@H]1OCCN(CC2CC3(CCC3)C2)[C@H]1C ZINC000878197201 590522639 /nfs/dbraw/zinc/52/26/39/590522639.db2.gz KTECGSAHVRLQGJ-RYUDHWBXSA-N 0 3 223.360 2.676 20 0 BFADHN C=C/C=C\CCN(C)CCc1nccs1 ZINC000878296320 590536221 /nfs/dbraw/zinc/53/62/21/590536221.db2.gz DWRCTVQPEKJSAT-PLNGDYQASA-N 0 3 222.357 2.750 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2C[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000878294913 590536527 /nfs/dbraw/zinc/53/65/27/590536527.db2.gz UFYFMGQOYXATJX-QKGCVVFFSA-N 0 3 233.355 2.737 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2C[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000878294919 590536663 /nfs/dbraw/zinc/53/66/63/590536663.db2.gz UFYFMGQOYXATJX-SEBNEYGDSA-N 0 3 233.355 2.737 20 0 BFADHN CC1(C)CC[C@H](CN2CC[C@@H](C(F)F)C2)OC1 ZINC000878319807 590545531 /nfs/dbraw/zinc/54/55/31/590545531.db2.gz BCHFQHWZNVSIGU-GHMZBOCLSA-N 0 3 247.329 2.779 20 0 BFADHN CC1(C)CCC[C@H](CN2CC[C@@H](C(F)F)C2)O1 ZINC000878320469 590545593 /nfs/dbraw/zinc/54/55/93/590545593.db2.gz NFTPGQSAJPWQSL-GHMZBOCLSA-N 0 3 247.329 2.921 20 0 BFADHN CO[C@H]1C[C@@H](CN2CCc3cc(F)ccc3C2)C1 ZINC000878335986 590549447 /nfs/dbraw/zinc/54/94/47/590549447.db2.gz AZHMQDQSKGMQDY-WGRBQBNCSA-N 0 3 249.329 2.609 20 0 BFADHN C[C@H](NCCCSC(C)(C)C)c1cn[nH]c1 ZINC000878426727 590565080 /nfs/dbraw/zinc/56/50/80/590565080.db2.gz OATPFYIZRLIYPG-JTQLQIEISA-N 0 3 241.404 2.982 20 0 BFADHN C=C/C=C\CCN1CCO[C@](C)(CC)C1 ZINC000878484687 590578460 /nfs/dbraw/zinc/57/84/60/590578460.db2.gz VOAPXSUGNWKRKA-FMFIFOJESA-N 0 3 209.333 2.620 20 0 BFADHN Cc1conc1CN(CC1CCC1)C(C)C ZINC000878516847 590585544 /nfs/dbraw/zinc/58/55/44/590585544.db2.gz WROWFHOYOQKEAL-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN CC1=CCCN(C[C@@H]2C[C@@H]3CCC[C@@H]3O2)C1 ZINC000878548096 590589631 /nfs/dbraw/zinc/58/96/31/590589631.db2.gz GGIAEUCEQDEEHC-IHRRRGAJSA-N 0 3 221.344 2.596 20 0 BFADHN CC1=CCCN(C[C@@H]2C[C@H]3CCC[C@H]3O2)C1 ZINC000878548095 590589926 /nfs/dbraw/zinc/58/99/26/590589926.db2.gz GGIAEUCEQDEEHC-HZSPNIEDSA-N 0 3 221.344 2.596 20 0 BFADHN CC1=CCCN(CCCOC(F)(F)F)C1 ZINC000878548376 590590849 /nfs/dbraw/zinc/59/08/49/590590849.db2.gz KHJSBRVXPDGHSW-UHFFFAOYSA-N 0 3 223.238 2.565 20 0 BFADHN FC1(F)CCC[C@@H]1CCN(C1CC1)C1COC1 ZINC000878658089 590603537 /nfs/dbraw/zinc/60/35/37/590603537.db2.gz MSMFDYNZRTUWKV-SNVBAGLBSA-N 0 3 245.313 2.675 20 0 BFADHN CC[C@H](C)N1CCN(CCCC2CC2)CC1 ZINC000878683780 590609187 /nfs/dbraw/zinc/60/91/87/590609187.db2.gz IJXJSRURBGLTCW-ZDUSSCGKSA-N 0 3 224.392 2.593 20 0 BFADHN C=C/C=C/CCN1CCOC[C@H]1C1CCC1 ZINC000878763097 590620035 /nfs/dbraw/zinc/62/00/35/590620035.db2.gz SDSNBHWPYYRDGY-XGACYXMMSA-N 0 3 221.344 2.620 20 0 BFADHN CCN(CCSC)C[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000878771165 590620549 /nfs/dbraw/zinc/62/05/49/590620549.db2.gz DVXJXTLIHPXVDP-FRRDWIJNSA-N 0 3 243.416 2.629 20 0 BFADHN CCN(CCSC)C[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000878771166 590620654 /nfs/dbraw/zinc/62/06/54/590620654.db2.gz DVXJXTLIHPXVDP-RWMBFGLXSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1cccc(CN[C@@H](C)CC2CCOCC2)n1 ZINC000127331516 590621728 /nfs/dbraw/zinc/62/17/28/590621728.db2.gz GOUVEXOTYKHLKB-ZDUSSCGKSA-N 0 3 248.370 2.685 20 0 BFADHN CCSCC[C@@H](C)NCc1ccoc1 ZINC000127475824 590678178 /nfs/dbraw/zinc/67/81/78/590678178.db2.gz NNPNIUPQZWKYBU-SNVBAGLBSA-N 0 3 213.346 2.901 20 0 BFADHN CC1(C)CCC[C@H](CN(C2CC2)C2CC2)O1 ZINC000879036799 590682589 /nfs/dbraw/zinc/68/25/89/590682589.db2.gz AFSWBUYUGQMIJG-CYBMUJFWSA-N 0 3 223.360 2.961 20 0 BFADHN CSC1CCN(CCC(=O)CC(C)C)CC1 ZINC000879098887 590696586 /nfs/dbraw/zinc/69/65/86/590696586.db2.gz GJHMKPBFONMQSN-UHFFFAOYSA-N 0 3 243.416 2.819 20 0 BFADHN Cc1cc(CN[C@H](C)[C@]23C[C@H]2CCCC3)ncn1 ZINC000879266779 590725530 /nfs/dbraw/zinc/72/55/30/590725530.db2.gz ZDMDNJGJUGQPEK-NFAWXSAZSA-N 0 3 245.370 2.843 20 0 BFADHN CO[C@@H]1CC[C@@H](C)N(Cc2ccccc2F)C1 ZINC000879318474 590738381 /nfs/dbraw/zinc/73/83/81/590738381.db2.gz VDVNJWSRSICHMW-DGCLKSJQSA-N 0 3 237.318 2.825 20 0 BFADHN CO[C@H]1CC[C@@H](C)N(CCOc2ccccc2)C1 ZINC000879319812 590740794 /nfs/dbraw/zinc/74/07/94/590740794.db2.gz XZFVCRGBBFFQFM-HIFRSBDPSA-N 0 3 249.354 2.565 20 0 BFADHN CO[C@@H]1CC[C@H](C)N(Cc2cccs2)C1 ZINC000879320494 590741054 /nfs/dbraw/zinc/74/10/54/590741054.db2.gz GLSMACYKPSMWAB-WDEREUQCSA-N 0 3 225.357 2.747 20 0 BFADHN CC(C)CC(=O)CCN1CCC(C)(F)CC1 ZINC000879383369 590758652 /nfs/dbraw/zinc/75/86/52/590758652.db2.gz KLYJOCKYYJCQTQ-UHFFFAOYSA-N 0 3 229.339 2.816 20 0 BFADHN CC1(F)CCN(C[C@H](O)c2ccccc2)CC1 ZINC000879381167 590758717 /nfs/dbraw/zinc/75/87/17/590758717.db2.gz REBGYKOKAUJONU-ZDUSSCGKSA-N 0 3 237.318 2.544 20 0 BFADHN CC1(F)CCN(Cc2ccc3nonc3c2)CC1 ZINC000879382423 590758885 /nfs/dbraw/zinc/75/88/85/590758885.db2.gz JKQSEWQAJPIQAH-UHFFFAOYSA-N 0 3 249.289 2.547 20 0 BFADHN Cc1cncc(CNC(C)(C)[C@H]2CCCCO2)c1 ZINC000879405471 590761133 /nfs/dbraw/zinc/76/11/33/590761133.db2.gz CIKWXHMXJTZBKN-CQSZACIVSA-N 0 3 248.370 2.827 20 0 BFADHN C1=C[C@H](N2CC[C@H]3CSC[C@@H]3C2)CCC1 ZINC000879558827 590801362 /nfs/dbraw/zinc/80/13/62/590801362.db2.gz PCZPUNIPZGIHKB-AVGNSLFASA-N 0 3 223.385 2.780 20 0 BFADHN Cc1cccc(CN2CC[C@@H]3CSC[C@H]3C2)n1 ZINC000879558826 590803398 /nfs/dbraw/zinc/80/33/98/590803398.db2.gz LPKCMLLQTBYEJO-CHWSQXEVSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1cncc(CN2CC[C@@H]3CSC[C@@H]3C2)c1 ZINC000879564088 590804025 /nfs/dbraw/zinc/80/40/25/590804025.db2.gz GQTQLPGMKHIJKP-KGLIPLIRSA-N 0 3 248.395 2.575 20 0 BFADHN C[C@H](c1ccccn1)N1CCC(C(C)(C)O)CC1 ZINC000879627677 590839596 /nfs/dbraw/zinc/83/95/96/590839596.db2.gz OBGLTCDXZZFJDY-GFCCVEGCSA-N 0 3 248.370 2.626 20 0 BFADHN CC[C@H](C)N1Cc2cccnc2N2CCC[C@H]2C1 ZINC000879691548 590849745 /nfs/dbraw/zinc/84/97/45/590849745.db2.gz CGHVBPRDPJDTDH-JSGCOSHPSA-N 0 3 245.370 2.665 20 0 BFADHN CCC(O)(CC)C(C)(C)NCc1cncs1 ZINC000879699539 590852346 /nfs/dbraw/zinc/85/23/46/590852346.db2.gz RBTMTIUKULGIES-UHFFFAOYSA-N 0 3 242.388 2.562 20 0 BFADHN CCC[C@H](O)CN1CCC[C@H]1c1cncc(C)c1 ZINC000879751063 590862147 /nfs/dbraw/zinc/86/21/47/590862147.db2.gz DCDQSBQLEJNBQW-GJZGRUSLSA-N 0 3 248.370 2.688 20 0 BFADHN Cc1csc([C@H]2CCN(CCCF)C2)n1 ZINC000880008969 590908316 /nfs/dbraw/zinc/90/83/16/590908316.db2.gz YQPQJNBKAMVLRE-JTQLQIEISA-N 0 3 228.336 2.600 20 0 BFADHN CCC1(CC)CCCN1Cc1cc2n(n1)CCC2 ZINC000880093551 590922952 /nfs/dbraw/zinc/92/29/52/590922952.db2.gz AOVKGEPKXIMDJG-UHFFFAOYSA-N 0 3 247.386 2.984 20 0 BFADHN CCC1(CC)CCCN1Cc1ccon1 ZINC000880094895 590924914 /nfs/dbraw/zinc/92/49/14/590924914.db2.gz RFULZZBRJDWTAN-UHFFFAOYSA-N 0 3 208.305 2.829 20 0 BFADHN CCC1(CC)CCCN1Cc1cc(OC)no1 ZINC000880094176 590924969 /nfs/dbraw/zinc/92/49/69/590924969.db2.gz WBQVWSGCZDGRSC-UHFFFAOYSA-N 0 3 238.331 2.838 20 0 BFADHN FC[C@H]1CCCN(CCC(F)(F)F)C1 ZINC000880232997 590964327 /nfs/dbraw/zinc/96/43/27/590964327.db2.gz SPFPBWFZTAAFLN-MRVPVSSYSA-N 0 3 213.218 2.620 20 0 BFADHN FC[C@H]1CCCN(C[C@@H]2CC2(Cl)Cl)C1 ZINC000880230512 590962049 /nfs/dbraw/zinc/96/20/49/590962049.db2.gz LTAVINNFNLLCRR-BDAKNGLRSA-N 0 3 240.149 2.862 20 0 BFADHN Cc1ccncc1CN1CCC[C@@H](CF)C1 ZINC000880235674 590966353 /nfs/dbraw/zinc/96/63/53/590966353.db2.gz PNYDJIDRHDNFCM-LBPRGKRZSA-N 0 3 222.307 2.572 20 0 BFADHN Cc1ccncc1CN1CCC[C@H](CF)C1 ZINC000880235673 590967075 /nfs/dbraw/zinc/96/70/75/590967075.db2.gz PNYDJIDRHDNFCM-GFCCVEGCSA-N 0 3 222.307 2.572 20 0 BFADHN Fc1ccc(OCCN2CCC(F)CC2)cc1 ZINC000880242983 590976693 /nfs/dbraw/zinc/97/66/93/590976693.db2.gz BFJUFJXXLWYBEC-UHFFFAOYSA-N 0 3 241.281 2.638 20 0 BFADHN CC(C)=CCN1CCN(c2ccncc2)[C@@H](C)C1 ZINC000880261049 590980727 /nfs/dbraw/zinc/98/07/27/590980727.db2.gz TUOZAFAIBQRHPC-AWEZNQCLSA-N 0 3 245.370 2.558 20 0 BFADHN C[C@@]1(CN2CC[C@@H](CF)C2)CC1(Cl)Cl ZINC000880299806 590982432 /nfs/dbraw/zinc/98/24/32/590982432.db2.gz LPRFETRLGAPLRW-IUCAKERBSA-N 0 3 240.149 2.862 20 0 BFADHN FC[C@H]1CCN(CC[C@@H]2CC2(Cl)Cl)C1 ZINC000880300812 590984288 /nfs/dbraw/zinc/98/42/88/590984288.db2.gz XCQWKTPDYGZMIX-RKDXNWHRSA-N 0 3 240.149 2.862 20 0 BFADHN COc1ccc(CN2CC[C@@H](CF)C2)cc1F ZINC000880291827 590986257 /nfs/dbraw/zinc/98/62/57/590986257.db2.gz JQJPUPVQFTXCPC-NSHDSACASA-N 0 3 241.281 2.626 20 0 BFADHN Cc1ccc(OCCN2CC[C@H](CF)C2)cc1 ZINC000880292655 590987777 /nfs/dbraw/zinc/98/77/77/590987777.db2.gz SVLLXZSXDILCKF-CYBMUJFWSA-N 0 3 237.318 2.665 20 0 BFADHN COCc1cccc(CN2CC[C@@H](CF)C2)c1 ZINC000880297341 590991164 /nfs/dbraw/zinc/99/11/64/590991164.db2.gz MYWWEHDJLXBFAM-AWEZNQCLSA-N 0 3 237.318 2.624 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@H](CF)C1 ZINC000880298822 590992473 /nfs/dbraw/zinc/99/24/73/590992473.db2.gz KJYXBSWCPVFFCE-LLVKDONJSA-N 0 3 225.282 2.926 20 0 BFADHN Cc1ccc(CN2CC[C@@H](CF)C2)cc1F ZINC000880301125 590997189 /nfs/dbraw/zinc/99/71/89/590997189.db2.gz MNCZLECVOCXFML-LBPRGKRZSA-N 0 3 225.282 2.926 20 0 BFADHN C[C@]1(c2ccccc2)CCCN1Cc1cn[nH]c1 ZINC000880372132 591014216 /nfs/dbraw/zinc/01/42/16/591014216.db2.gz SPAKMJBIWXLING-OAHLLOKOSA-N 0 3 241.338 2.921 20 0 BFADHN CO[C@H](C)CN1CCc2ccsc2[C@@H]1C ZINC000880377382 591017141 /nfs/dbraw/zinc/01/71/41/591017141.db2.gz UHKCYDDYELMBSX-ZJUUUORDSA-N 0 3 225.357 2.702 20 0 BFADHN C[C@H]1c2sccc2CCN1C[C@H]1CCCO1 ZINC000880378176 591017344 /nfs/dbraw/zinc/01/73/44/591017344.db2.gz PMGJMJIZMWUPRC-CMPLNLGQSA-N 0 3 237.368 2.846 20 0 BFADHN C[C@@H]1CC[C@H](CO)N1CCCCC(F)(F)F ZINC000880442093 591043959 /nfs/dbraw/zinc/04/39/59/591043959.db2.gz RUMURNSYEFUGNT-NXEZZACHSA-N 0 3 239.281 2.564 20 0 BFADHN CC(C)c1cccc(CN2CC=C[C@H]2CO)c1 ZINC000880494756 591072766 /nfs/dbraw/zinc/07/27/66/591072766.db2.gz SDFHBJUSBZHHEH-HNNXBMFYSA-N 0 3 231.339 2.543 20 0 BFADHN Cc1ccncc1CN[C@@H]1CCC[C@]12CCCO2 ZINC000880503588 591078150 /nfs/dbraw/zinc/07/81/50/591078150.db2.gz COJBSNBQWHJGKT-CABCVRRESA-N 0 3 246.354 2.581 20 0 BFADHN C[C@H](CN1CCc2ncncc2C1)C(C)(C)C ZINC000880598668 591107968 /nfs/dbraw/zinc/10/79/68/591107968.db2.gz VGNNGOYAGMSIER-LLVKDONJSA-N 0 3 233.359 2.517 20 0 BFADHN C[C@H](N)c1cn(C[C@@H]2CCCCC2(C)C)nn1 ZINC000881366994 591196856 /nfs/dbraw/zinc/19/68/56/591196856.db2.gz PHWWOUNYGWQLLM-QWRGUYRKSA-N 0 3 236.363 2.514 20 0 BFADHN CC(C)C[C@@H]1CCCN1CN1CCSC1=O ZINC000129597082 591331151 /nfs/dbraw/zinc/33/11/51/591331151.db2.gz PASIGXZTKHKZGG-NSHDSACASA-N 0 3 242.388 2.623 20 0 BFADHN Cn1c(Cl)cnc1CNCCC(C)(C)C ZINC000129995718 591427540 /nfs/dbraw/zinc/42/75/40/591427540.db2.gz KEKJBKMGHSZNOJ-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN CCc1cc(N2CCC[C@@H](CF)C2)ccn1 ZINC000882803025 591443935 /nfs/dbraw/zinc/44/39/35/591443935.db2.gz APXQGGMQCSQDCK-NSHDSACASA-N 0 3 222.307 2.830 20 0 BFADHN Cc1nn(C)c(Cl)c1CNCCC1CCC1 ZINC000130332743 591458332 /nfs/dbraw/zinc/45/83/32/591458332.db2.gz VLNNHBSSWJBYEL-UHFFFAOYSA-N 0 3 241.766 2.662 20 0 BFADHN CC(C)CCNCc1nc(C(C)C)c[nH]1 ZINC000882878416 591459952 /nfs/dbraw/zinc/45/99/52/591459952.db2.gz CRCNPFOSQDAYAF-UHFFFAOYSA-N 0 3 209.337 2.669 20 0 BFADHN Cc1ccc([C@H](C)NCc2ccncc2N)s1 ZINC000882918252 591474459 /nfs/dbraw/zinc/47/44/59/591474459.db2.gz GWKBSECQXWRSTL-JTQLQIEISA-N 0 3 247.367 2.885 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCSC2)c(C)o1 ZINC000130586080 591486201 /nfs/dbraw/zinc/48/62/01/591486201.db2.gz PHESANOIVXXUHP-GFCCVEGCSA-N 0 3 225.357 2.834 20 0 BFADHN CC(C)c1c[nH]c(CN[C@H]2CCC[C@@H]2C)n1 ZINC000882987261 591495432 /nfs/dbraw/zinc/49/54/32/591495432.db2.gz XHLXMHQIZFDZLV-QWRGUYRKSA-N 0 3 221.348 2.811 20 0 BFADHN CC/C=C/CNCc1cccc2c1CCOC2 ZINC000883133032 591546840 /nfs/dbraw/zinc/54/68/40/591546840.db2.gz MVVUGRAHPHGZPF-ONEGZZNKSA-N 0 3 231.339 2.815 20 0 BFADHN CCC1(NCc2cccc(Cl)c2N)CC1 ZINC000883133054 591547482 /nfs/dbraw/zinc/54/74/82/591547482.db2.gz NOVGAJUIAAMSEM-UHFFFAOYSA-N 0 3 224.735 2.954 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1nc(C(C)C)c[nH]1 ZINC000883134523 591548283 /nfs/dbraw/zinc/54/82/83/591548283.db2.gz AEHXVLHAZYAFOD-MNOVXSKESA-N 0 3 221.348 2.669 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H]2C[C@@H]3CC[C@@H](C3)C2)[n-]1 ZINC000883208390 591573765 /nfs/dbraw/zinc/57/37/65/591573765.db2.gz FHXWABBBIKQREW-NNYUYHANSA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nnc([C@H](C)N[C@H]2C[C@@H]3CC[C@@H](C3)C2)[nH]1 ZINC000883208390 591573768 /nfs/dbraw/zinc/57/37/68/591573768.db2.gz FHXWABBBIKQREW-NNYUYHANSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H]2CC[C@@H](C2)C1)c1ccn(C)n1 ZINC000883228334 591578967 /nfs/dbraw/zinc/57/89/67/591578967.db2.gz LGBNEELHLDVJHZ-NDBYEHHHSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H]2CC[C@@H](C2)C1)c1ccn(C)n1 ZINC000883228333 591579084 /nfs/dbraw/zinc/57/90/84/591579084.db2.gz LGBNEELHLDVJHZ-FVCCEPFGSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@H]1CC[C@H](NCc2cnc3ccccc3n2)C1 ZINC000131268309 591579887 /nfs/dbraw/zinc/57/98/87/591579887.db2.gz JHQGFJUGIZRXER-RYUDHWBXSA-N 0 3 241.338 2.908 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCC3(CC3)C2)c2ncnn21 ZINC000883234610 591581723 /nfs/dbraw/zinc/58/17/23/591581723.db2.gz IQIPMEVLGMMJBZ-IJLUTSLNSA-N 0 3 246.358 2.596 20 0 BFADHN C[C@H](NC[C@H]1C=CCC1)c1cn2ccccc2n1 ZINC000883245243 591585776 /nfs/dbraw/zinc/58/57/76/591585776.db2.gz HYSIDLZSUZCTOO-STQMWFEESA-N 0 3 241.338 2.951 20 0 BFADHN CC(C)(CNCc1cscn1)CC(F)F ZINC000883252022 591592206 /nfs/dbraw/zinc/59/22/06/591592206.db2.gz NZZNUXODBWUGLA-UHFFFAOYSA-N 0 3 234.315 2.914 20 0 BFADHN CC1(C)C[C@]1(C)CNCc1ccncc1F ZINC000883252402 591593004 /nfs/dbraw/zinc/59/30/04/591593004.db2.gz GMKQJHNZESCFKS-CYBMUJFWSA-N 0 3 222.307 2.747 20 0 BFADHN CCC[C@H](C)CCNCc1cn(C(C)C)nn1 ZINC000883251348 591593113 /nfs/dbraw/zinc/59/31/13/591593113.db2.gz LNEZRDYJVSACEF-LBPRGKRZSA-N 0 3 238.379 2.775 20 0 BFADHN c1cn2c(n1)[C@H](NCC1(CC3CC3)CC1)CCC2 ZINC000883253958 591593850 /nfs/dbraw/zinc/59/38/50/591593850.db2.gz GQFLEFYTKQJOCP-CYBMUJFWSA-N 0 3 245.370 2.888 20 0 BFADHN C=C/C=C\CCNCc1cn(C)nc1C(F)F ZINC000883260028 591597438 /nfs/dbraw/zinc/59/74/38/591597438.db2.gz HTRFAKNPUYMPFL-PLNGDYQASA-N 0 3 241.285 2.580 20 0 BFADHN CC1(C)C[C@@]1(C)CN[C@@H]1CCCn2ccnc21 ZINC000883259860 591597622 /nfs/dbraw/zinc/59/76/22/591597622.db2.gz BHAMGRRQSBAOFK-RISCZKNCSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H](NCC(C)(C)CC(F)F)c1ncc[nH]1 ZINC000883259199 591597934 /nfs/dbraw/zinc/59/79/34/591597934.db2.gz FTQZNEWHNSBWMV-MRVPVSSYSA-N 0 3 231.290 2.742 20 0 BFADHN C=C/C=C\CCNCc1cccc(OCC)n1 ZINC000883261187 591598570 /nfs/dbraw/zinc/59/85/70/591598570.db2.gz TXJAHBNNAWOZLB-WAYWQWQTSA-N 0 3 232.327 2.702 20 0 BFADHN Cn1ccnc1[C@@H](NC[C@@]1(C)CC1(C)C)C1CC1 ZINC000883261227 591598903 /nfs/dbraw/zinc/59/89/03/591598903.db2.gz VHMFWCJLSGSJMZ-SWLSCSKDSA-N 0 3 247.386 2.897 20 0 BFADHN Cc1cc([C@@H](C)NC[C@]2(C)CC2(C)C)nn1C ZINC000883260996 591599147 /nfs/dbraw/zinc/59/91/47/591599147.db2.gz MYNPGLLRUDUBAV-RISCZKNCSA-N 0 3 235.375 2.815 20 0 BFADHN Cc1nocc1CNCC[C@H]1CCCC1(F)F ZINC000883264535 591599777 /nfs/dbraw/zinc/59/97/77/591599777.db2.gz DJBQFBFMMLDWGH-LLVKDONJSA-N 0 3 244.285 2.898 20 0 BFADHN C=C/C=C/CCN[C@@H](CC)c1nccn1C ZINC000883267376 591600627 /nfs/dbraw/zinc/60/06/27/591600627.db2.gz CGAWWEFRXIUECS-SYTKJHMZSA-N 0 3 219.332 2.593 20 0 BFADHN C=C/C=C/CCN[C@H](C)c1cncnc1C ZINC000883268578 591602049 /nfs/dbraw/zinc/60/20/49/591602049.db2.gz OJVWCQVXAFNIIC-MVIFTORASA-N 0 3 217.316 2.568 20 0 BFADHN c1nc(CN[C@@H]2C[C@@H]3CCCC[C@H]23)cn1C1CC1 ZINC000883319973 591614471 /nfs/dbraw/zinc/61/44/71/591614471.db2.gz WLHFHQFKCKRIGS-TUKIKUTGSA-N 0 3 245.370 2.886 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2C[C@@H](COC)C2)o1 ZINC000883320171 591614818 /nfs/dbraw/zinc/61/48/18/591614818.db2.gz CQVKFHQSOXCNJQ-SDDRHHMPSA-N 0 3 237.343 2.918 20 0 BFADHN CCc1nocc1CN[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC000883319766 591615004 /nfs/dbraw/zinc/61/50/04/591615004.db2.gz OIPVJRSKHGKGIW-VHRBIJSZSA-N 0 3 234.343 2.905 20 0 BFADHN COC[C@H]1C[C@@H](N[C@H](C)c2cnc(C)s2)C1 ZINC000883320754 591615065 /nfs/dbraw/zinc/61/50/65/591615065.db2.gz VGJQEZDUJQEENK-IEBDPFPHSA-N 0 3 240.372 2.527 20 0 BFADHN C[C@@H](NCc1cc(O)ccc1Cl)C1CC1 ZINC000883325366 591616349 /nfs/dbraw/zinc/61/63/49/591616349.db2.gz ZJWOGTSMTIPKGB-MRVPVSSYSA-N 0 3 225.719 2.934 20 0 BFADHN Clc1ccc(CNC2CCSCC2)nc1 ZINC000132984060 591754606 /nfs/dbraw/zinc/75/46/06/591754606.db2.gz QSDXSNRQZJAQEX-UHFFFAOYSA-N 0 3 242.775 2.720 20 0 BFADHN CC[C@@H]1CN(CCC(=O)C(C)(C)C)CCS1 ZINC000133182135 591776751 /nfs/dbraw/zinc/77/67/51/591776751.db2.gz GTFMCTTUPVMSST-LLVKDONJSA-N 0 3 243.416 2.819 20 0 BFADHN CN[C@@H](CC(C)C)C(=O)Nc1cc(C)ccc1C ZINC000884043827 591824203 /nfs/dbraw/zinc/82/42/03/591824203.db2.gz LCCQVLVLFPPPQC-AWEZNQCLSA-N 0 3 248.370 2.876 20 0 BFADHN CCOCCNCc1ccc(Cl)c(C)c1 ZINC000134652280 591944695 /nfs/dbraw/zinc/94/46/95/591944695.db2.gz WUBRTPNBQCDPBT-UHFFFAOYSA-N 0 3 227.735 2.775 20 0 BFADHN c1cc(CNCCC[C@H]2CCCCO2)co1 ZINC000135005455 591972471 /nfs/dbraw/zinc/97/24/71/591972471.db2.gz UADOTCPMPPZLBC-CYBMUJFWSA-N 0 3 223.316 2.719 20 0 BFADHN CC(C)CCCCCCNCc1cnnn1C ZINC000135843351 592064584 /nfs/dbraw/zinc/06/45/84/592064584.db2.gz NALTUVTWEALUER-UHFFFAOYSA-N 0 3 238.379 2.511 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1C(=O)c1cccc(CN)c1 ZINC000135929845 592082473 /nfs/dbraw/zinc/08/24/73/592082473.db2.gz JBLBCYGXYFEMTD-SMDDNHRTSA-N 0 3 246.354 2.548 20 0 BFADHN CC[C@H]1CC[C@H](C)N1C(=O)c1cccc(CN)c1 ZINC000135929877 592082599 /nfs/dbraw/zinc/08/25/99/592082599.db2.gz JBLBCYGXYFEMTD-FZMZJTMJSA-N 0 3 246.354 2.548 20 0 BFADHN CCCCNCc1ccc(OC)c(OC)c1F ZINC000885892122 592106303 /nfs/dbraw/zinc/10/63/03/592106303.db2.gz XQAQNJGLKFMWJQ-UHFFFAOYSA-N 0 3 241.306 2.733 20 0 BFADHN CCCCNCc1cc(C)cc(OC)c1OC ZINC000885892040 592106443 /nfs/dbraw/zinc/10/64/43/592106443.db2.gz VPOKCAIWAYQUPP-UHFFFAOYSA-N 0 3 237.343 2.902 20 0 BFADHN COc1cc(C)cc(CNC[C@H]2C[C@@H]2C)c1OC ZINC000885923245 592109082 /nfs/dbraw/zinc/10/90/82/592109082.db2.gz UVBVANVJPFJLAX-NWDGAFQWSA-N 0 3 249.354 2.758 20 0 BFADHN CN(Cc1ccccc1F)C[C@H]1C[C@@]12CCOC2 ZINC000885930950 592109960 /nfs/dbraw/zinc/10/99/60/592109960.db2.gz MQENKPKLKALBGC-UKRRQHHQSA-N 0 3 249.329 2.684 20 0 BFADHN C[C@@H]1CN(CC[C@@H]2C[C@H]2C2CC2)[C@H](C)CO1 ZINC000885940356 592111244 /nfs/dbraw/zinc/11/12/44/592111244.db2.gz HLXWHTINJGCXNU-OXHZDVMGSA-N 0 3 223.360 2.532 20 0 BFADHN Fc1cccc(/C=C/CN[C@H]2CCCOC2)c1 ZINC000885973197 592118683 /nfs/dbraw/zinc/11/86/83/592118683.db2.gz QBONVRRRAQDXGG-IWZWCOEHSA-N 0 3 235.302 2.608 20 0 BFADHN Fc1cccc(/C=C\CN[C@@H]2CCCOC2)c1 ZINC000885973196 592118818 /nfs/dbraw/zinc/11/88/18/592118818.db2.gz QBONVRRRAQDXGG-FWWGQDLDSA-N 0 3 235.302 2.608 20 0 BFADHN Cc1[nH]nc(CNC2(c3ccccc3)CC2)c1C ZINC000885977488 592118869 /nfs/dbraw/zinc/11/88/69/592118869.db2.gz IPZSLOVWSZDSET-UHFFFAOYSA-N 0 3 241.338 2.805 20 0 BFADHN Fc1cccc(/C=C/CN[C@@H]2CCCOC2)c1 ZINC000885973198 592119284 /nfs/dbraw/zinc/11/92/84/592119284.db2.gz QBONVRRRAQDXGG-JBQRSBCVSA-N 0 3 235.302 2.608 20 0 BFADHN CCN(Cc1ccoc1)C[C@@H]1C[C@]12CCOC2 ZINC000885981610 592120784 /nfs/dbraw/zinc/12/07/84/592120784.db2.gz JWCFDAKTBBPYMK-KBPBESRZSA-N 0 3 235.327 2.528 20 0 BFADHN CCN(Cc1ccoc1)C[C@@H]1C[C@@]12CCOC2 ZINC000885981612 592121185 /nfs/dbraw/zinc/12/11/85/592121185.db2.gz JWCFDAKTBBPYMK-UONOGXRCSA-N 0 3 235.327 2.528 20 0 BFADHN Cc1n[nH]c(CNCC=Cc2ccccc2)c1C ZINC000885981815 592121327 /nfs/dbraw/zinc/12/13/27/592121327.db2.gz ODZLWASXWMLRMR-RMKNXTFCSA-N 0 3 241.338 2.830 20 0 BFADHN Cc1[nH]nc(CNCC=Cc2ccccc2)c1C ZINC000885981815 592121328 /nfs/dbraw/zinc/12/13/28/592121328.db2.gz ODZLWASXWMLRMR-RMKNXTFCSA-N 0 3 241.338 2.830 20 0 BFADHN CCN(Cc1ccoc1)C[C@H]1C[C@]12CCOC2 ZINC000885981611 592121379 /nfs/dbraw/zinc/12/13/79/592121379.db2.gz JWCFDAKTBBPYMK-KGLIPLIRSA-N 0 3 235.327 2.528 20 0 BFADHN Cc1coc(CNC[C@@](C)(O)CCC(C)C)c1 ZINC000885997311 592125551 /nfs/dbraw/zinc/12/55/51/592125551.db2.gz RRLBJFGUCXFECL-AWEZNQCLSA-N 0 3 239.359 2.865 20 0 BFADHN CCSCC[C@@H](C)NCc1[nH]nc(C)c1C ZINC000886004244 592127296 /nfs/dbraw/zinc/12/72/96/592127296.db2.gz VWZGNKPNMCPVOK-SECBINFHSA-N 0 3 241.404 2.648 20 0 BFADHN CCSCC[C@H](C)NCc1[nH]nc(C)c1C ZINC000886004245 592127639 /nfs/dbraw/zinc/12/76/39/592127639.db2.gz VWZGNKPNMCPVOK-VIFPVBQESA-N 0 3 241.404 2.648 20 0 BFADHN COc1cc(C)cc(CNC2CC(C)C2)c1OC ZINC000886008271 592128911 /nfs/dbraw/zinc/12/89/11/592128911.db2.gz IPRJYYKFFFHDMV-UHFFFAOYSA-N 0 3 249.354 2.900 20 0 BFADHN COCC1(NC/C=C/c2cccc(F)c2)CC1 ZINC000886023978 592134695 /nfs/dbraw/zinc/13/46/95/592134695.db2.gz WJHANCVGVIFTDK-HWKANZROSA-N 0 3 235.302 2.608 20 0 BFADHN C[C@@H]1CCCN1CCSC(F)(F)F ZINC000886027963 592135865 /nfs/dbraw/zinc/13/58/65/592135865.db2.gz KRRLACWFYXLVIX-SSDOTTSWSA-N 0 3 213.268 2.724 20 0 BFADHN C[C@H]1OCCN(CC[C@@H]2C[C@H]2C2CC2)[C@@H]1C ZINC000886040887 592138774 /nfs/dbraw/zinc/13/87/74/592138774.db2.gz GJALNGLXADSKIO-OXHZDVMGSA-N 0 3 223.360 2.532 20 0 BFADHN C[C@@]1(NC/C=C/c2cccc(F)c2)CCOC1 ZINC000886047261 592140099 /nfs/dbraw/zinc/14/00/99/592140099.db2.gz ZDQVJZZWQQEZOQ-LYKUJDHUSA-N 0 3 235.302 2.608 20 0 BFADHN Cc1coc(CNC[C@]2(C)CCO[C@H]2C2CC2)c1 ZINC000886067091 592142769 /nfs/dbraw/zinc/14/27/69/592142769.db2.gz HESKFFXNBOGMHJ-GJZGRUSLSA-N 0 3 249.354 2.883 20 0 BFADHN CC1=CCN(C[C@@H]2CCC3(CCC3)CO2)CC1 ZINC000886087289 592144950 /nfs/dbraw/zinc/14/49/50/592144950.db2.gz RRMVYLLGRKBEBA-AWEZNQCLSA-N 0 3 235.371 2.988 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2C[C@@H]1CC[C@H](C2CC2)O1 ZINC000886083789 592145600 /nfs/dbraw/zinc/14/56/00/592145600.db2.gz NERJLFDBROQCFX-LJISPDSOSA-N 0 3 233.355 2.737 20 0 BFADHN Cc1coc(CNCC2(CO)CC3(CCC3)C2)c1 ZINC000886098263 592147202 /nfs/dbraw/zinc/14/72/02/592147202.db2.gz KWLOPPNFZABAOE-UHFFFAOYSA-N 0 3 249.354 2.620 20 0 BFADHN FC(F)(F)SCCN1CCCSCC1 ZINC000886092393 592147503 /nfs/dbraw/zinc/14/75/03/592147503.db2.gz VRCAKPMFWMQYEJ-UHFFFAOYSA-N 0 3 245.335 2.678 20 0 BFADHN C(CN1CCCSCC1)OC1CCCCC1 ZINC000886092388 592147573 /nfs/dbraw/zinc/14/75/73/592147573.db2.gz VLHHGQJAHXKGKI-UHFFFAOYSA-N 0 3 243.416 2.775 20 0 BFADHN COCC1(NC/C=C\c2cccc(F)c2)CCC1 ZINC000886109947 592156505 /nfs/dbraw/zinc/15/65/05/592156505.db2.gz VJSKJXPAPFNLRZ-UTCJRWHESA-N 0 3 249.329 2.998 20 0 BFADHN CC[C@H](CNC/C=C\c1cccc(F)c1)OC ZINC000886120581 592158273 /nfs/dbraw/zinc/15/82/73/592158273.db2.gz IOJWWLNINHNCGS-BRLPQQTGSA-N 0 3 237.318 2.854 20 0 BFADHN Cc1coc(CN[C@H]2C[C@@H](OC(C)C)C2)c1 ZINC000886142764 592162985 /nfs/dbraw/zinc/16/29/85/592162985.db2.gz KLMGHACIPROMMV-TXEJJXNPSA-N 0 3 223.316 2.634 20 0 BFADHN CCCC[C@@](C)(CO)NCc1cc(C)co1 ZINC000886151768 592163374 /nfs/dbraw/zinc/16/33/74/592163374.db2.gz SEHQSVRUDHHZAG-ZDUSSCGKSA-N 0 3 225.332 2.619 20 0 BFADHN Cc1coc(CN[C@@H]2C[C@H]2C2CCC2)c1 ZINC000886149617 592163469 /nfs/dbraw/zinc/16/34/69/592163469.db2.gz SZMCXDACQXVZEO-QWHCGFSZSA-N 0 3 205.301 2.866 20 0 BFADHN CC1(C)C[C@H](NC/C=C\c2cccc(F)c2)CO1 ZINC000886155920 592164829 /nfs/dbraw/zinc/16/48/29/592164829.db2.gz QZASOVVXJRUVAN-SDQPKGBYSA-N 0 3 249.329 2.996 20 0 BFADHN Cc1coc(CNC[C@@H]2C(C)(C)C2(F)F)c1 ZINC000886164119 592166317 /nfs/dbraw/zinc/16/63/17/592166317.db2.gz CAGQXAHHLYGYDW-SNVBAGLBSA-N 0 3 229.270 2.969 20 0 BFADHN c1c2ccccc2sc1CN[C@]12C[C@H]1COC2 ZINC000886200245 592175062 /nfs/dbraw/zinc/17/50/62/592175062.db2.gz DORJQDDRZXFVEK-FZMZJTMJSA-N 0 3 245.347 2.780 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC3(CCC3)CO2)[C@@H]1C ZINC000886218331 592178981 /nfs/dbraw/zinc/17/89/81/592178981.db2.gz SUQASPYVLWRFGK-JHJVBQTASA-N 0 3 223.360 2.676 20 0 BFADHN C[C@H](NCC[C@@H]1C[C@H]1C1CC1)c1ccn(C)n1 ZINC000886243349 592183912 /nfs/dbraw/zinc/18/39/12/592183912.db2.gz WEOWCQWSGXLVDV-UHTWSYAYSA-N 0 3 233.359 2.507 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNCc1cnc(C2CC2)o1 ZINC000886280549 592198158 /nfs/dbraw/zinc/19/81/58/592198158.db2.gz GNQUXTKZUDFILW-OJDJGZDQSA-N 0 3 246.354 2.934 20 0 BFADHN CC1(F)CCN(C[C@H]2CC[C@@H](C3CC3)O2)CC1 ZINC000886308647 592204545 /nfs/dbraw/zinc/20/45/45/592204545.db2.gz IODUTYURQLHTLJ-OLZOCXBDSA-N 0 3 241.350 2.768 20 0 BFADHN C[C@H](c1ccccc1F)N1C[C@@H]2C[C@@H]2C1 ZINC000886332582 592210760 /nfs/dbraw/zinc/21/07/60/592210760.db2.gz WLEJHOQBHKUOEG-MXWKQRLJSA-N 0 3 205.276 2.838 20 0 BFADHN C[C@H]1OCC[C@@H]1N[C@H]1CCc2c1cccc2F ZINC000886361764 592217857 /nfs/dbraw/zinc/21/78/57/592217857.db2.gz UZBFBKXCLNLDRG-IIMNLJJBSA-N 0 3 235.302 2.580 20 0 BFADHN CC(C)=CCN(C)[C@@H](CO)c1ccccc1C ZINC000886432405 592225239 /nfs/dbraw/zinc/22/52/39/592225239.db2.gz XNRDMHRPTRBORC-HNNXBMFYSA-N 0 3 233.355 2.926 20 0 BFADHN CC(C)=CCN[C@H](c1cnn(C)c1)C(C)C ZINC000886598649 592272727 /nfs/dbraw/zinc/27/27/27/592272727.db2.gz GSGLSOSQVOLVGY-ZDUSSCGKSA-N 0 3 221.348 2.673 20 0 BFADHN CC(C)[C@@H](NCCC(C)(F)F)c1cnn(C)c1 ZINC000886616306 592276227 /nfs/dbraw/zinc/27/62/27/592276227.db2.gz ZFVJFBSQHNNZFW-LLVKDONJSA-N 0 3 245.317 2.752 20 0 BFADHN CO[C@H](CN[C@@H]1CCc2cccnc21)CC(C)C ZINC000886626923 592281589 /nfs/dbraw/zinc/28/15/89/592281589.db2.gz OGEVNRJRQXGOHE-UONOGXRCSA-N 0 3 248.370 2.720 20 0 BFADHN c1cnc([C@H]2CCCN([C@@H]3C=CCCC3)C2)nc1 ZINC000886681126 592301995 /nfs/dbraw/zinc/30/19/95/592301995.db2.gz SLTRTLIUMHMQHU-UONOGXRCSA-N 0 3 243.354 2.765 20 0 BFADHN c1cn2c(n1)[C@H](NC1CCC=CCC1)CCC2 ZINC000886815779 592338628 /nfs/dbraw/zinc/33/86/28/592338628.db2.gz JRBUINKAQWDVII-CYBMUJFWSA-N 0 3 231.343 2.806 20 0 BFADHN CC(C)(NCCCCO)c1ccc(F)cc1F ZINC000886901394 592392521 /nfs/dbraw/zinc/39/25/21/592392521.db2.gz YUVBVNDNZWTSEJ-UHFFFAOYSA-N 0 3 243.297 2.562 20 0 BFADHN C[C@H]1CC[C@@H](CN[C@@H]2CCc3c2cccc3F)O1 ZINC000886927726 592396698 /nfs/dbraw/zinc/39/66/98/592396698.db2.gz UGZCCTIHCJNADH-ZIBATOQPSA-N 0 3 249.329 2.970 20 0 BFADHN COCc1cccc(CN(C)CCCF)c1 ZINC000887141526 592455266 /nfs/dbraw/zinc/45/52/66/592455266.db2.gz XSYIYIXGVRRYAW-UHFFFAOYSA-N 0 3 225.307 2.624 20 0 BFADHN CN(CCCF)C[C@H](O)c1ccc(Cl)cc1 ZINC000887141199 592455395 /nfs/dbraw/zinc/45/53/95/592455395.db2.gz JRNTYIDWIAIRQE-LBPRGKRZSA-N 0 3 245.725 2.665 20 0 BFADHN CN(CCCF)C[C@H]1CCCC(C)(C)O1 ZINC000887143508 592459410 /nfs/dbraw/zinc/45/94/10/592459410.db2.gz CLQZIYDEGGDAOF-LLVKDONJSA-N 0 3 217.328 2.626 20 0 BFADHN CSCCCN(C)CCC(=O)C(C)(C)C ZINC000300895895 592524605 /nfs/dbraw/zinc/52/46/05/592524605.db2.gz BUNMLZCCGZAEIX-UHFFFAOYSA-N 0 3 231.405 2.677 20 0 BFADHN CCOC1CN([C@@H](CC)C[C@H](C)CC)C1 ZINC001258789350 991766788 /nfs/dbraw/zinc/76/67/88/991766788.db2.gz ZPPBWOQLODDTTQ-NEPJUHHUSA-N 0 3 213.365 2.922 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CCCC(C)C)n1 ZINC000082420364 634409629 /nfs/dbraw/zinc/40/96/29/634409629.db2.gz QZTBSLGXXUAEMW-UHFFFAOYSA-N 0 3 222.332 2.621 20 0 BFADHN c1csc(CN[C@@H]2CCCc3n[nH]cc32)c1 ZINC000335603211 634496264 /nfs/dbraw/zinc/49/62/64/634496264.db2.gz UWFIKODUYGCPOD-LLVKDONJSA-N 0 3 233.340 2.638 20 0 BFADHN Cc1nn(C)c(CNC[C@H]2CC2(C)C)c1Cl ZINC000235973533 635593611 /nfs/dbraw/zinc/59/36/11/635593611.db2.gz PZHSKVFSBHXYKC-SECBINFHSA-N 0 3 241.766 2.518 20 0 BFADHN CCN(CC)Cc1cn(C)nc1C1CCCC1 ZINC000891437846 631254480 /nfs/dbraw/zinc/25/44/80/631254480.db2.gz CMVXGPNSJYOJNA-UHFFFAOYSA-N 0 3 235.375 2.920 20 0 BFADHN Cc1cccc(CNC2([C@H]3CCCCO3)CC2)n1 ZINC000933376693 631340514 /nfs/dbraw/zinc/34/05/14/631340514.db2.gz VIFQPGRARRBESN-CQSZACIVSA-N 0 3 246.354 2.581 20 0 BFADHN CC1CCN(Cc2cnn(C3CCC3)c2)CC1 ZINC000891454679 631366921 /nfs/dbraw/zinc/36/69/21/631366921.db2.gz PYVFDEKFDIZNLR-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN C[C@H]1CCCCN1Cc1ccnn1C1CCC1 ZINC000891457784 631369326 /nfs/dbraw/zinc/36/93/26/631369326.db2.gz ZINSOVVNQVNXBX-LBPRGKRZSA-N 0 3 233.359 2.983 20 0 BFADHN CCN(C)Cc1c(C)nn(C(C)C)c1C ZINC000891572890 631396558 /nfs/dbraw/zinc/39/65/58/631396558.db2.gz ZKMOKVHYGCBYHH-UHFFFAOYSA-N 0 3 209.337 2.533 20 0 BFADHN C/C=C/CN[C@H](C)c1ccnc(Cl)c1 ZINC000308506013 631412500 /nfs/dbraw/zinc/41/25/00/631412500.db2.gz ISNSDWXHFKGJFQ-CDAZIORVSA-N 0 3 210.708 2.962 20 0 BFADHN CCCCNCc1ccn(C2CCCC2)n1 ZINC000082867350 631416004 /nfs/dbraw/zinc/41/60/04/631416004.db2.gz WMNLKIANYZFBLM-UHFFFAOYSA-N 0 3 221.348 2.888 20 0 BFADHN OCC[C@H](NC/C=C/Cl)c1cccs1 ZINC000308535786 631421294 /nfs/dbraw/zinc/42/12/94/631421294.db2.gz DRJIPRDOVNCHCB-MAHOQKISSA-N 0 3 231.748 2.514 20 0 BFADHN Cc1cc(N[C@@H](C)CCC(C)C)nc(N)n1 ZINC000088430143 631490432 /nfs/dbraw/zinc/49/04/32/631490432.db2.gz FHXYZVIDOMBILS-VIFPVBQESA-N 0 3 222.336 2.604 20 0 BFADHN S=c1ncccn1CN1C[C@@H]2CCCC[C@H]2C1 ZINC000176202897 631652358 /nfs/dbraw/zinc/65/23/58/631652358.db2.gz PLANFFFHRJXRIY-RYUDHWBXSA-N 0 3 249.383 2.692 20 0 BFADHN CCCCC1(NCc2nc(C)c(C)[nH]2)CC1 ZINC000934201708 631729430 /nfs/dbraw/zinc/72/94/30/631729430.db2.gz ZGNSMILWFKOLEO-UHFFFAOYSA-N 0 3 221.348 2.839 20 0 BFADHN CCc1nocc1CN1CCCSC[C@@H]1C ZINC000934297240 631762806 /nfs/dbraw/zinc/76/28/06/631762806.db2.gz DMLPIIQCBDXARU-JTQLQIEISA-N 0 3 240.372 2.564 20 0 BFADHN CCCC[C@H](C)[C@H](C)NCc1ccon1 ZINC000308970823 631773746 /nfs/dbraw/zinc/77/37/46/631773746.db2.gz ZHDSVSALAMNMBY-QWRGUYRKSA-N 0 3 210.321 2.979 20 0 BFADHN C[C@H](N(C)Cc1c2c(nn1C)CCC2)C1(C)CC1 ZINC000892218498 631792442 /nfs/dbraw/zinc/79/24/42/631792442.db2.gz LBGYVDZNWLDYGF-NSHDSACASA-N 0 3 247.386 2.529 20 0 BFADHN c1cc2c(c(CN3CCCCC3)n1)CCCC2 ZINC000892245576 631810344 /nfs/dbraw/zinc/81/03/44/631810344.db2.gz CJBHJEPRINKILH-UHFFFAOYSA-N 0 3 230.355 2.946 20 0 BFADHN CSC1(CNCc2ncc[nH]2)CCCCC1 ZINC000235030510 631823308 /nfs/dbraw/zinc/82/33/08/631823308.db2.gz YDZRRFGXOZVWNV-UHFFFAOYSA-N 0 3 239.388 2.565 20 0 BFADHN C[C@@]1(C2CC2)COCCN1CCCCCF ZINC000934478781 631870042 /nfs/dbraw/zinc/87/00/42/631870042.db2.gz NGYVREYNVZHXPE-ZDUSSCGKSA-N 0 3 229.339 2.627 20 0 BFADHN Cc1cnc(CNC2CC(C(F)F)C2)s1 ZINC000309176163 631923038 /nfs/dbraw/zinc/92/30/38/631923038.db2.gz MSEVGKKXHUULAV-UHFFFAOYSA-N 0 3 232.299 2.585 20 0 BFADHN Cc1ncoc1CN[C@H](C)CCc1ccco1 ZINC000894143308 635713016 /nfs/dbraw/zinc/71/30/16/635713016.db2.gz SVGLUUUJZFXVKY-SNVBAGLBSA-N 0 3 234.299 2.687 20 0 BFADHN CC(C)n1cc([C@@H](C)NC2(C(C)C)CC2)nn1 ZINC000926486457 632124841 /nfs/dbraw/zinc/12/48/41/632124841.db2.gz GYUIMJUVTASDNN-LLVKDONJSA-N 0 3 236.363 2.698 20 0 BFADHN CC(C)n1cc([C@H](C)NC2(C(C)C)CC2)nn1 ZINC000926486458 632126346 /nfs/dbraw/zinc/12/63/46/632126346.db2.gz GYUIMJUVTASDNN-NSHDSACASA-N 0 3 236.363 2.698 20 0 BFADHN Clc1cccc2c1CC[C@H]2NCc1cnc[nH]1 ZINC000894203778 635748933 /nfs/dbraw/zinc/74/89/33/635748933.db2.gz FPFKFZKGIJBUGX-CYBMUJFWSA-N 0 3 247.729 2.840 20 0 BFADHN Cc1cc(CNC2CC3(C2)CCCC3)on1 ZINC000924960020 635807964 /nfs/dbraw/zinc/80/79/64/635807964.db2.gz NKPMPMFBORBBNY-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN Cc1cc(CN[C@H](C(C)C)C2CC2)no1 ZINC000308923021 632452558 /nfs/dbraw/zinc/45/25/58/632452558.db2.gz CQAOZXWIPZSCAN-GFCCVEGCSA-N 0 3 208.305 2.507 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccnc1)c1ccc[nH]1 ZINC000309555748 632492399 /nfs/dbraw/zinc/49/23/99/632492399.db2.gz JOZYIOSLWIENBV-WDEREUQCSA-N 0 3 215.300 2.822 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@@H](C)c2ccns2)C1 ZINC000926564702 632559979 /nfs/dbraw/zinc/55/99/79/632559979.db2.gz XXADJGRZWVDRGU-GARJFASQSA-N 0 3 240.372 2.750 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@H]1NCc1ccon1 ZINC000309625715 632587962 /nfs/dbraw/zinc/58/79/62/632587962.db2.gz FSLAPSAWUOZIEF-NQBHXWOUSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@@H]1NCc1ccon1 ZINC000235301432 632636607 /nfs/dbraw/zinc/63/66/07/632636607.db2.gz USCRXVHLGFHMBX-KOLCDFICSA-N 0 3 208.305 2.589 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000924525765 632670756 /nfs/dbraw/zinc/67/07/56/632670756.db2.gz GPIUORQPVPAMGD-MWGHHZFTSA-N 0 3 237.347 2.853 20 0 BFADHN Cc1nc(F)ccc1CN[C@H]1CSC1(C)C ZINC000309879351 632678079 /nfs/dbraw/zinc/67/80/79/632678079.db2.gz UTFQUTCNPJEOBU-JTQLQIEISA-N 0 3 240.347 2.513 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1cccc2c1OCO2 ZINC000926612866 632678084 /nfs/dbraw/zinc/67/80/84/632678084.db2.gz ATACXIMZTMFXBC-SKDRFNHKSA-N 0 3 231.295 2.618 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CCCC[C@@H]1C ZINC000924527653 632691054 /nfs/dbraw/zinc/69/10/54/632691054.db2.gz VASVHUSLSYQKMT-ATZCPNFKSA-N 0 3 223.320 2.607 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1ccnn1C1CCC1 ZINC000926615568 632696617 /nfs/dbraw/zinc/69/66/17/632696617.db2.gz PDUGZWXBIDXPPO-ZWNOBZJWSA-N 0 3 231.343 2.811 20 0 BFADHN C[C@@H]1CCC[C@@H](CCNCc2cn(C)cn2)C1 ZINC000310251713 632711223 /nfs/dbraw/zinc/71/12/23/632711223.db2.gz OEOYMRNALARCNU-OLZOCXBDSA-N 0 3 235.375 2.726 20 0 BFADHN C[C@H](CNCc1ccn(C)n1)C1CCCCC1 ZINC000310480185 632745030 /nfs/dbraw/zinc/74/50/30/632745030.db2.gz LEYOEZSYTTWPSX-GFCCVEGCSA-N 0 3 235.375 2.726 20 0 BFADHN c1ccc(CN[C@H]2CCOC23CCCCC3)nc1 ZINC000924532931 632752856 /nfs/dbraw/zinc/75/28/56/632752856.db2.gz YYJXISVCHKBVCA-AWEZNQCLSA-N 0 3 246.354 2.663 20 0 BFADHN CCOC(=O)/C=C\CN[C@@H](C)c1ccc(C)cc1 ZINC000310527598 632754121 /nfs/dbraw/zinc/75/41/21/632754121.db2.gz HIMXTRPPSYIMMJ-SZZPACECSA-N 0 3 247.338 2.765 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NC1CCCC1 ZINC000924533371 632754194 /nfs/dbraw/zinc/75/41/94/632754194.db2.gz BOXKNMGUDYENDE-NSHDSACASA-N 0 3 220.316 2.893 20 0 BFADHN CCCC[C@H](CC)CN[C@H](C)c1cnn(C)n1 ZINC000924537856 632775618 /nfs/dbraw/zinc/77/56/18/632775618.db2.gz PGUYXQIHFRHLIC-NEPJUHHUSA-N 0 3 238.379 2.682 20 0 BFADHN CC[C@H](C)[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000924537784 632776278 /nfs/dbraw/zinc/77/62/78/632776278.db2.gz NRHMKWWDPJXCGY-IUCAKERBSA-N 0 3 211.280 2.980 20 0 BFADHN C[C@H]1CC(C)(C)C[C@H]1NCc1ccon1 ZINC000235579729 632790197 /nfs/dbraw/zinc/79/01/97/632790197.db2.gz USCRXVHLGFHMBX-GXSJLCMTSA-N 0 3 208.305 2.589 20 0 BFADHN CSC1CC(NCc2noc3ccccc32)C1 ZINC000926671358 632799998 /nfs/dbraw/zinc/79/99/98/632799998.db2.gz AKHNNDFNYHCSKI-UHFFFAOYSA-N 0 3 248.351 2.811 20 0 BFADHN C#CCN1CCC(c2cc3ccccc3[nH]2)CC1 ZINC000065967029 632810662 /nfs/dbraw/zinc/81/06/62/632810662.db2.gz JFSOOXWUSGSHPD-UHFFFAOYSA-N 0 3 238.334 2.981 20 0 BFADHN CC[C@@H](C)CCN[C@H](C)c1cn(C(C)C)nn1 ZINC000926686413 632821114 /nfs/dbraw/zinc/82/11/14/632821114.db2.gz WAOYTFJWDRIMCP-VXGBXAGGSA-N 0 3 238.379 2.946 20 0 BFADHN CC[C@@H](N[C@H]1C=CCC1)c1cccc(OC)n1 ZINC000926690728 632825525 /nfs/dbraw/zinc/82/55/25/632825525.db2.gz QIPZLUSNZZCZOZ-NWDGAFQWSA-N 0 3 232.327 2.850 20 0 BFADHN CC[C@H](N[C@@H](C)c1cn(C(C)C)nn1)C1CC1 ZINC000926707778 632849223 /nfs/dbraw/zinc/84/92/23/632849223.db2.gz SMQTVPJQBSKUAB-JQWIXIFHSA-N 0 3 236.363 2.698 20 0 BFADHN CCN(CC(C)C)CN1C(=O)C(C)(C)C1(C)C ZINC000177681708 632860000 /nfs/dbraw/zinc/86/00/00/632860000.db2.gz DPKXYBNRLDGTSI-UHFFFAOYSA-N 0 3 240.391 2.569 20 0 BFADHN COc1ccc(CN[C@H](C)Cc2ccco2)o1 ZINC000892514712 632861976 /nfs/dbraw/zinc/86/19/76/632861976.db2.gz QBPZPVUXLCXCNA-SNVBAGLBSA-N 0 3 235.283 2.602 20 0 BFADHN CC(C)OC1CC(N[C@@H](C)c2cncs2)C1 ZINC000309304566 632915798 /nfs/dbraw/zinc/91/57/98/632915798.db2.gz AJJPTGDYYFDKBE-WHXUTIOJSA-N 0 3 240.372 2.750 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(Cl)c(F)c1 ZINC000393780101 633000421 /nfs/dbraw/zinc/00/04/21/633000421.db2.gz QMBMCGOOZXRQSH-VXGBXAGGSA-N 0 3 243.709 2.746 20 0 BFADHN CSCCCCCCNCc1cc(C)no1 ZINC000309409528 633029361 /nfs/dbraw/zinc/02/93/61/633029361.db2.gz KAGKXAMMEIFGKQ-UHFFFAOYSA-N 0 3 242.388 2.996 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)O)c1cccc(F)c1F ZINC000393971706 633044467 /nfs/dbraw/zinc/04/44/67/633044467.db2.gz IPJOGBQKRMWKIG-RKDXNWHRSA-N 0 3 243.297 2.775 20 0 BFADHN CCc1ccc(CNC[C@H]2CCC=CO2)o1 ZINC000309431635 633062838 /nfs/dbraw/zinc/06/28/38/633062838.db2.gz GJTURUWMRVMZBE-GFCCVEGCSA-N 0 3 221.300 2.624 20 0 BFADHN C[C@@H](N[C@H]1CCc2ccccc21)c1ccnnc1 ZINC000924591033 633115283 /nfs/dbraw/zinc/11/52/83/633115283.db2.gz UMONCUPUBONHHY-ABAIWWIYSA-N 0 3 239.322 2.815 20 0 BFADHN CCc1cc(CN[C@@H](C)c2cccs2)n[nH]1 ZINC000309470304 633118335 /nfs/dbraw/zinc/11/83/35/633118335.db2.gz QOQSNILTTYVUFL-VIFPVBQESA-N 0 3 235.356 2.884 20 0 BFADHN CC[C@H]([NH2+]Cc1ccc([O-])c(F)c1)C1CC1 ZINC000167965183 633135385 /nfs/dbraw/zinc/13/53/85/633135385.db2.gz SIZPWYQOBUYLPY-LBPRGKRZSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@H]([NH2+]Cc1ccc([O-])c(F)c1)C1(C)CC1 ZINC000167964922 633136046 /nfs/dbraw/zinc/13/60/46/633136046.db2.gz VZWJORNRTKSREY-VIFPVBQESA-N 0 3 223.291 2.810 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccsc1)c1ccoc1 ZINC000168035381 633137038 /nfs/dbraw/zinc/13/70/38/633137038.db2.gz GWMHVMZLFGDFSU-SKDRFNHKSA-N 0 3 237.324 2.725 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccsc1)c1ccoc1 ZINC000168035479 633137614 /nfs/dbraw/zinc/13/76/14/633137614.db2.gz GWMHVMZLFGDFSU-JOYOIKCWSA-N 0 3 237.324 2.725 20 0 BFADHN FC(F)[C@@H]1CN([C@H]2CC3CCC2CC3)CCO1 ZINC000926821517 633161712 /nfs/dbraw/zinc/16/17/12/633161712.db2.gz JIKLPHCYHSTORS-QQFIATSDSA-N 0 3 245.313 2.531 20 0 BFADHN C[C@@H](N[C@@H](CO)C1CC1)c1ccccc1Cl ZINC000309532605 633189593 /nfs/dbraw/zinc/18/95/93/633189593.db2.gz DNMRICZZEKDQAV-RNCFNFMXSA-N 0 3 239.746 2.762 20 0 BFADHN C[C@@H](N[C@H](C)c1cccnc1)c1ccc[nH]1 ZINC000309555741 633200148 /nfs/dbraw/zinc/20/01/48/633200148.db2.gz JOZYIOSLWIENBV-GHMZBOCLSA-N 0 3 215.300 2.822 20 0 BFADHN Cc1nonc1CN[C@H](C)C(C(C)C)C(C)C ZINC000926839012 633201966 /nfs/dbraw/zinc/20/19/66/633201966.db2.gz INSWOGCXCJFPQJ-LLVKDONJSA-N 0 3 239.363 2.784 20 0 BFADHN CCC[C@H](C)CCN[C@H]1C[C@@H](C)n2ncnc21 ZINC000926841331 633210852 /nfs/dbraw/zinc/21/08/52/633210852.db2.gz JGMZCVVUTMFOFX-TUAOUCFPSA-N 0 3 236.363 2.700 20 0 BFADHN COCC(C)(C)N(C)Cc1ccc(Cl)nc1 ZINC000309722751 633232858 /nfs/dbraw/zinc/23/28/58/633232858.db2.gz CHODOTVKLHASQJ-UHFFFAOYSA-N 0 3 242.750 2.592 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@H]1CC1(Cl)Cl ZINC000306447530 635965572 /nfs/dbraw/zinc/96/55/72/635965572.db2.gz UOSKLZGCQSPRBX-GJMOJQLCSA-N 0 3 208.132 2.663 20 0 BFADHN C[C@@H]1C[C@@H](C)N1C[C@H]1CC1(Cl)Cl ZINC000306447529 635965829 /nfs/dbraw/zinc/96/58/29/635965829.db2.gz UOSKLZGCQSPRBX-BWZBUEFSSA-N 0 3 208.132 2.663 20 0 BFADHN C[C@@H]1C[C@@H](C)N1C[C@@H]1CC1(Cl)Cl ZINC000306447696 635966355 /nfs/dbraw/zinc/96/63/55/635966355.db2.gz UOSKLZGCQSPRBX-PRJMDXOYSA-N 0 3 208.132 2.663 20 0 BFADHN C[C@@H]1CCC(C)(C)C[C@H]1N1CCc2n[nH]cc2C1 ZINC000895389170 636141313 /nfs/dbraw/zinc/14/13/13/636141313.db2.gz NOCPKDYBMDJVKG-BXUZGUMPSA-N 0 3 247.386 2.983 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1coc(C2CC2)n1 ZINC000309813331 633771464 /nfs/dbraw/zinc/77/14/64/633771464.db2.gz USPNZDBYUARKJU-SKDRFNHKSA-N 0 3 220.316 2.830 20 0 BFADHN C[C@H](CCC(C)(C)C)NCc1cn(C)cn1 ZINC000309598149 633963810 /nfs/dbraw/zinc/96/38/10/633963810.db2.gz WUAFVOJQLKXYDG-LLVKDONJSA-N 0 3 223.364 2.725 20 0 BFADHN CCCCOC(=O)[C@@H](C)N(C(C)C)C(C)C ZINC000183023376 633964872 /nfs/dbraw/zinc/96/48/72/633964872.db2.gz UXUWOTICVHMEGH-GFCCVEGCSA-N 0 3 229.364 2.837 20 0 BFADHN C[C@@H](CNCc1ccn(C)n1)C1CCCCC1 ZINC000310480186 634152511 /nfs/dbraw/zinc/15/25/11/634152511.db2.gz LEYOEZSYTTWPSX-LBPRGKRZSA-N 0 3 235.375 2.726 20 0 BFADHN CN(CCNCc1ccoc1)c1ccccc1 ZINC000053343539 634168546 /nfs/dbraw/zinc/16/85/46/634168546.db2.gz DOLLWTHDHGMJKE-UHFFFAOYSA-N 0 3 230.311 2.506 20 0 BFADHN Cc1ccc(OCCNCc2ccoc2)cc1 ZINC000053568131 634248333 /nfs/dbraw/zinc/24/83/33/634248333.db2.gz NYPKHHBVYVXVFL-UHFFFAOYSA-N 0 3 231.295 2.757 20 0 BFADHN COC/C(C)=C/CN1CCC(F)(F)C[C@H]1C ZINC000931256022 634289082 /nfs/dbraw/zinc/28/90/82/634289082.db2.gz WRVFTTFRFLZCDF-LLMHMKPQSA-N 0 3 233.302 2.699 20 0 BFADHN COC/C(C)=C\CN1CCC(F)(F)C[C@@H]1C ZINC000931256012 634290440 /nfs/dbraw/zinc/29/04/40/634290440.db2.gz WRVFTTFRFLZCDF-FGUAACIASA-N 0 3 233.302 2.699 20 0 BFADHN COC(=O)/C(C)=C/CN[C@@H](C)c1ccc(C)cc1 ZINC000223623666 635372824 /nfs/dbraw/zinc/37/28/24/635372824.db2.gz NEHZALPKWSESTA-SRXBQZRASA-N 0 3 247.338 2.765 20 0 BFADHN C[C@H](CCC(C)(C)C)N[C@@H](C)c1cnn(C)n1 ZINC000925099705 636008516 /nfs/dbraw/zinc/00/85/16/636008516.db2.gz YFDZTOORQZGHRM-MNOVXSKESA-N 0 3 238.379 2.681 20 0 BFADHN COCC1CN(Cc2ccc(C3CC3)cc2)C1 ZINC000895970431 636184938 /nfs/dbraw/zinc/18/49/38/636184938.db2.gz YFCIPCRATKJPGI-UHFFFAOYSA-N 0 3 231.339 2.642 20 0 BFADHN CCC(=CC(=O)N(CCN(C)C)C(C)C)CC ZINC000151785756 636271420 /nfs/dbraw/zinc/27/14/20/636271420.db2.gz KAUCHXDQLPNWJM-UHFFFAOYSA-N 0 3 240.391 2.531 20 0 BFADHN C[C@@H](NCc1ccc(F)cc1F)[C@@H]1CCCO1 ZINC000020205350 636284031 /nfs/dbraw/zinc/28/40/31/636284031.db2.gz IPGXLDPHCPMMHO-RNCFNFMXSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1ccoc1CN[C@H](C)C[C@H](O)c1ccco1 ZINC000305709766 636331150 /nfs/dbraw/zinc/33/11/50/636331150.db2.gz FMTAONDYNVFFBB-NEPJUHHUSA-N 0 3 249.310 2.783 20 0 BFADHN CC[C@H](N[C@H](C)c1ncco1)C1CCOCC1 ZINC000925199739 636379382 /nfs/dbraw/zinc/37/93/82/636379382.db2.gz VRUFKKVNHOLQEZ-PWSUYJOCSA-N 0 3 238.331 2.530 20 0 BFADHN C[C@@H](N[C@@H]1CCCc2[nH]ccc21)c1ccnnc1 ZINC000925223766 636416101 /nfs/dbraw/zinc/41/61/01/636416101.db2.gz CNISAHXLRILKCG-QMTHXVAHSA-N 0 3 242.326 2.533 20 0 BFADHN Cc1cc(NC[C@@H]2CCCC[C@H]2C)nc(N)n1 ZINC000109310883 636555747 /nfs/dbraw/zinc/55/57/47/636555747.db2.gz ZKQGZDBQIKAZQK-KOLCDFICSA-N 0 3 234.347 2.605 20 0 BFADHN C[C@H](CCC(C)(C)C)NC(=O)[C@@H](N)C(C)(C)C ZINC000237376263 636754786 /nfs/dbraw/zinc/75/47/86/636754786.db2.gz DFBLXRUUQTWGPR-GHMZBOCLSA-N 0 3 242.407 2.691 20 0 BFADHN CC(C)C1CCC(NCc2cc[nH]n2)CC1 ZINC000054556348 636863710 /nfs/dbraw/zinc/86/37/10/636863710.db2.gz PDBDPYDMUGYTIG-UHFFFAOYSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@@H](NCCC1CC=CC1)c1cc2n(n1)CCC2 ZINC000926951409 636969521 /nfs/dbraw/zinc/96/95/21/636969521.db2.gz ZEWKSVSLSQQDRG-GFCCVEGCSA-N 0 3 245.370 2.836 20 0 BFADHN C[C@@H](NC[C@]1(C)CCCCO1)c1ccco1 ZINC000927025328 636994997 /nfs/dbraw/zinc/99/49/97/636994997.db2.gz YCLJNWSAOOXDNR-YPMHNXCESA-N 0 3 223.316 2.889 20 0 BFADHN CN[C@H](C)c1cc(-c2cccc(F)c2)no1 ZINC000901400444 637252527 /nfs/dbraw/zinc/25/25/27/637252527.db2.gz VKVKFGNQBVJJFK-MRVPVSSYSA-N 0 3 220.247 2.761 20 0 BFADHN CC(C)NCc1cc(-c2ccccc2F)no1 ZINC000901400814 637252534 /nfs/dbraw/zinc/25/25/34/637252534.db2.gz YDBUAVPFMAWQSA-UHFFFAOYSA-N 0 3 234.274 2.979 20 0 BFADHN CCNCc1cc(-c2ccccc2F)no1 ZINC000901400407 637253172 /nfs/dbraw/zinc/25/31/72/637253172.db2.gz UOJXUYRNOJUOIU-UHFFFAOYSA-N 0 3 220.247 2.590 20 0 BFADHN CCC[C@@H](C)CCNCc1cn(CC)cn1 ZINC000902645792 637317716 /nfs/dbraw/zinc/31/77/16/637317716.db2.gz YQZOSTHWWCKCDG-GFCCVEGCSA-N 0 3 223.364 2.819 20 0 BFADHN COc1ccncc1-c1ccc(CN(C)C)s1 ZINC000904859352 637505041 /nfs/dbraw/zinc/50/50/41/637505041.db2.gz QRVRDIPVYQKSTJ-UHFFFAOYSA-N 0 3 248.351 2.880 20 0 BFADHN O[C@@H](CNC/C=C\Cl)c1cccc(Cl)c1 ZINC000905708216 637891837 /nfs/dbraw/zinc/89/18/37/637891837.db2.gz NHPJYTIVVPXFBF-SROOVFNMSA-N 0 3 246.137 2.716 20 0 BFADHN O[C@@H](CNC/C=C/Cl)c1cccc(Cl)c1 ZINC000905708210 637892454 /nfs/dbraw/zinc/89/24/54/637892454.db2.gz NHPJYTIVVPXFBF-DGXRNCNBSA-N 0 3 246.137 2.716 20 0 BFADHN CCC[C@@H](C)NC(=O)C(C)(C)N1CCCCC1 ZINC000905769549 637925609 /nfs/dbraw/zinc/92/56/09/637925609.db2.gz GEKCTBHOHWPDRN-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1cc(-c2cnc([C@@H]3CCCN3)nc2)cs1 ZINC000906159047 638066343 /nfs/dbraw/zinc/06/63/43/638066343.db2.gz PUGLWGJBNDXOEK-LBPRGKRZSA-N 0 3 245.351 2.938 20 0 BFADHN Cc1ccsc1-c1cnc([C@H]2CCCN2)nc1 ZINC000906166106 638081782 /nfs/dbraw/zinc/08/17/82/638081782.db2.gz XSMDFVVOWUFVHF-LLVKDONJSA-N 0 3 245.351 2.938 20 0 BFADHN Fc1ccc(-c2cnc([C@H]3CCCN3)nc2)s1 ZINC000906174286 638089076 /nfs/dbraw/zinc/08/90/76/638089076.db2.gz YGCHSRBSZJJQPL-SECBINFHSA-N 0 3 249.314 2.769 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2cn(C3CC3)nn2)[C@@H]1C ZINC000906295452 638134109 /nfs/dbraw/zinc/13/41/09/638134109.db2.gz CVHLVCIZDKSDPZ-GYSYKLTISA-N 0 3 248.374 2.527 20 0 BFADHN CC1(C)CCCC[C@@H]1NCc1cn(C2CC2)nn1 ZINC000906296436 638136637 /nfs/dbraw/zinc/13/66/37/638136637.db2.gz LUUOZZZYPOGLTA-ZDUSSCGKSA-N 0 3 248.374 2.671 20 0 BFADHN CCCC[NH2+][C@H](C)c1nnc([C@@H](C)CC)[n-]1 ZINC000906527785 638193173 /nfs/dbraw/zinc/19/31/73/638193173.db2.gz NIYOZKCPABZDPS-VHSXEESVSA-N 0 3 224.352 2.769 20 0 BFADHN CC1(O)CCN([C@H]2C[C@@H]2c2cccc(F)c2)CC1 ZINC000906590101 638212773 /nfs/dbraw/zinc/21/27/73/638212773.db2.gz GMQKJXYJXHLQKD-KGLIPLIRSA-N 0 3 249.329 2.528 20 0 BFADHN CCN1CCN(c2ccc(NC(C)C)cc2)CC1 ZINC000149406790 638407076 /nfs/dbraw/zinc/40/70/76/638407076.db2.gz VZDJMZUUDWLOKA-UHFFFAOYSA-N 0 3 247.386 2.649 20 0 BFADHN Cc1cc(NCc2ccccc2Cl)nc(N)n1 ZINC000043532075 638523756 /nfs/dbraw/zinc/52/37/56/638523756.db2.gz MGJJOAQCQIPYNT-UHFFFAOYSA-N 0 3 248.717 2.633 20 0 BFADHN Cc1cc(C)nc(CN2[C@H](C)C[C@H]2C)c1 ZINC000930274804 639679368 /nfs/dbraw/zinc/67/93/68/639679368.db2.gz YJGQUXXYZLGMPE-VXGBXAGGSA-N 0 3 204.317 2.681 20 0 BFADHN FC1(F)CCN(CCCc2cccnc2)CC1 ZINC000930292359 639683633 /nfs/dbraw/zinc/68/36/33/639683633.db2.gz GAIVZWOFSOJDMQ-UHFFFAOYSA-N 0 3 240.297 2.745 20 0 BFADHN CC(=O)C1CCN(Cc2ccccc2CF)CC1 ZINC000930625995 639829168 /nfs/dbraw/zinc/82/91/68/639829168.db2.gz LONVUYXWMSHLEJ-UHFFFAOYSA-N 0 3 249.329 2.957 20 0 BFADHN CCN(CCSC)[C@H](C)c1ccc(F)nc1 ZINC000930824136 639924892 /nfs/dbraw/zinc/92/48/92/639924892.db2.gz AMHOJSYEVRYAPH-SNVBAGLBSA-N 0 3 242.363 2.967 20 0 BFADHN CCN(Cc1cc(C)cc(C)n1)[C@H]1CCCOC1 ZINC000930853658 639949539 /nfs/dbraw/zinc/94/95/39/639949539.db2.gz WRFUVODOGKDGDP-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN CCCN(CC)C(=O)[C@H](N)C1CCCCCC1 ZINC000912262406 640006940 /nfs/dbraw/zinc/00/69/40/640006940.db2.gz UNOYNIQQAKXOSP-CYBMUJFWSA-N 0 3 240.391 2.543 20 0 BFADHN C[C@@H](c1ccc(F)nc1)N1CC2CC1(C)C2 ZINC000931081976 640061068 /nfs/dbraw/zinc/06/10/68/640061068.db2.gz WOFSAOWZLDKTBV-JBLZRFIASA-N 0 3 220.291 2.766 20 0 BFADHN C[C@@H](C1CC1)N1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000931081333 640061165 /nfs/dbraw/zinc/06/11/65/640061165.db2.gz ZEMZSLHJMJBKPK-VDDIYKPWSA-N 0 3 233.302 2.529 20 0 BFADHN COC[C@@H](CN1Cc2ccc(O)cc2C1)C(C)C ZINC000931165814 640083926 /nfs/dbraw/zinc/08/39/26/640083926.db2.gz PJNLGTOZUFREEW-CQSZACIVSA-N 0 3 249.354 2.626 20 0 BFADHN C[C@H](C1CC1)N1Cc2ccc(O)cc2C1 ZINC000931165473 640084548 /nfs/dbraw/zinc/08/45/48/640084548.db2.gz LHASDSQDKMNMNC-SECBINFHSA-N 0 3 203.285 2.506 20 0 BFADHN C[C@H](c1ncccn1)N1CCCC2(CC2)CC1 ZINC000931334324 640162767 /nfs/dbraw/zinc/16/27/67/640162767.db2.gz KUJIVSNHSUUGDB-GFCCVEGCSA-N 0 3 231.343 2.804 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2nn(C)cc2C)C1 ZINC000931337624 640165692 /nfs/dbraw/zinc/16/56/92/640165692.db2.gz LRMAKCZBWKFGRR-ISZGNANSSA-N 0 3 247.386 2.907 20 0 BFADHN C[C@@H]1CN(CC2CCCCC2)Cc2c[nH]nc21 ZINC000931456973 640213738 /nfs/dbraw/zinc/21/37/38/640213738.db2.gz ZVQWPTWIDYBGDX-LLVKDONJSA-N 0 3 233.359 2.909 20 0 BFADHN CCC[C@H](C)N1Cc2c[nH]nc2[C@H](C)C1 ZINC000931461831 640219844 /nfs/dbraw/zinc/21/98/44/640219844.db2.gz ZOKRFVXDWYDRCF-ZJUUUORDSA-N 0 3 207.321 2.517 20 0 BFADHN Nc1ccnc2c1CN(CCC1CCCC1)CC2 ZINC000931512135 640241555 /nfs/dbraw/zinc/24/15/55/640241555.db2.gz DWFDJPDWPMBNJW-UHFFFAOYSA-N 0 3 245.370 2.602 20 0 BFADHN C[C@H]1c2sccc2CCN1CCOC1CC1 ZINC000931696146 640320245 /nfs/dbraw/zinc/32/02/45/640320245.db2.gz YMAHYMSUVNJPGJ-JTQLQIEISA-N 0 3 237.368 2.846 20 0 BFADHN CC(C)(CNCc1cnccn1)c1ccccc1 ZINC000054766557 640407261 /nfs/dbraw/zinc/40/72/61/640407261.db2.gz PNHZPCJLEPGUPR-UHFFFAOYSA-N 0 3 241.338 2.544 20 0 BFADHN CC(C)CN1CCS[C@H](C(F)(F)F)C1 ZINC000932084531 640421822 /nfs/dbraw/zinc/42/18/22/640421822.db2.gz KARZSARVACGCPY-QMMMGPOBSA-N 0 3 227.295 2.622 20 0 BFADHN Cc1cc(NCC2CCC(C)CC2)nc(N)n1 ZINC000054982490 640426571 /nfs/dbraw/zinc/42/65/71/640426571.db2.gz OGWWJGUWTVZRGI-UHFFFAOYSA-N 0 3 234.347 2.605 20 0 BFADHN Cn1nccc1[C@H]1CCCN1CC1=CCCC1 ZINC000932260385 640460565 /nfs/dbraw/zinc/46/05/65/640460565.db2.gz IVGAOMJFCVNPGN-CQSZACIVSA-N 0 3 231.343 2.667 20 0 BFADHN Cc1cc(CN2CCO[C@H](C)CC2)cs1 ZINC000932337373 640480676 /nfs/dbraw/zinc/48/06/76/640480676.db2.gz XLSLQCPZCPJIEL-SNVBAGLBSA-N 0 3 225.357 2.667 20 0 BFADHN COc1cc(CN2CCO[C@@H](C)CC2)ccc1C ZINC000932343850 640487277 /nfs/dbraw/zinc/48/72/77/640487277.db2.gz ULLFZSQJDPAHLA-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCO[C@@H](C)CC1 ZINC000932346614 640490049 /nfs/dbraw/zinc/49/00/49/640490049.db2.gz WUFORTCPKSJUMU-NWDGAFQWSA-N 0 3 237.318 2.998 20 0 BFADHN CC[C@H](NCCOC)c1cc(F)ccc1OC ZINC000932468488 640540544 /nfs/dbraw/zinc/54/05/44/640540544.db2.gz JMEAOABFDQWUPV-LBPRGKRZSA-N 0 3 241.306 2.521 20 0 BFADHN Cc1ccc(N2CCN(C(C)C)CC2)c(C)c1 ZINC000166085451 640613876 /nfs/dbraw/zinc/61/38/76/640613876.db2.gz JIGJHZRDFZAVPJ-UHFFFAOYSA-N 0 3 232.371 2.834 20 0 BFADHN CCO[C@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC000932970178 640655489 /nfs/dbraw/zinc/65/54/89/640655489.db2.gz VCTGRMYYRPOUHI-AWEZNQCLSA-N 0 3 237.318 2.745 20 0 BFADHN CCO[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC000932980350 640660100 /nfs/dbraw/zinc/66/01/00/640660100.db2.gz WKJUBCKKDUTYLL-PPYMECGQSA-N 0 3 231.339 2.811 20 0 BFADHN CCC(CC)CN1CCc2c(ncn2CC)C1 ZINC000933252411 640689597 /nfs/dbraw/zinc/68/95/97/640689597.db2.gz BTWVULQNTJYKEG-UHFFFAOYSA-N 0 3 235.375 2.697 20 0 BFADHN C[C@H](N(C)Cc1ccc(Cl)nn1)C(C)(C)C ZINC000167402691 640717333 /nfs/dbraw/zinc/71/73/33/640717333.db2.gz ZLAOKCCOYNFHCK-VIFPVBQESA-N 0 3 241.766 2.996 20 0 BFADHN Cc1conc1CN1C[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000933703428 640747213 /nfs/dbraw/zinc/74/72/13/640747213.db2.gz IPMFDGKIGHRMQF-COMQUAJESA-N 0 3 244.338 2.627 20 0 BFADHN CCOCCCN(Cc1ccoc1)C1CC1 ZINC000934003611 640803381 /nfs/dbraw/zinc/80/33/81/640803381.db2.gz BUXLMFHAFPXOIC-UHFFFAOYSA-N 0 3 223.316 2.671 20 0 BFADHN Cc1ccc(F)c(CNC[C@H](O)CC(C)C)c1 ZINC000227286144 640825200 /nfs/dbraw/zinc/82/52/00/640825200.db2.gz MOHWXZMDROHIKU-CYBMUJFWSA-N 0 3 239.334 2.631 20 0 BFADHN CCC[C@H](N)c1cn(-c2cc(C)cc(C)c2)nn1 ZINC000229922633 640995391 /nfs/dbraw/zinc/99/53/91/640995391.db2.gz JZSBJSQWSMDCIH-ZDUSSCGKSA-N 0 3 244.342 2.684 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2ncc(Cl)n2C)C1 ZINC000230416298 641054365 /nfs/dbraw/zinc/05/43/65/641054365.db2.gz HSYRSZIAHLQUFZ-ZJUUUORDSA-N 0 3 241.766 2.599 20 0 BFADHN CO[C@@H]1CC[C@H]1N(C)Cc1c(C)cc(C)nc1C ZINC000934104646 641068623 /nfs/dbraw/zinc/06/86/23/641068623.db2.gz KKUDFDAXZYMMQH-HUUCEWRRSA-N 0 3 248.370 2.616 20 0 BFADHN C[C@@H](NC1COC(C)(C)OC1)c1cccs1 ZINC000230680843 641080184 /nfs/dbraw/zinc/08/01/84/641080184.db2.gz ZCIDWHSFPLZNKB-SECBINFHSA-N 0 3 241.356 2.550 20 0 BFADHN CCCN(Cc1cnc(Cl)cn1)C(C)C ZINC000231276273 641152336 /nfs/dbraw/zinc/15/23/36/641152336.db2.gz NLLTWSZSWHOWPQ-UHFFFAOYSA-N 0 3 227.739 2.750 20 0 BFADHN Cc1cccc([C@H](C)N2CCC3(C2)OCCO3)c1 ZINC000173326994 641168651 /nfs/dbraw/zinc/16/86/51/641168651.db2.gz HHWCEHQJUVZWOD-ZDUSSCGKSA-N 0 3 247.338 2.505 20 0 BFADHN C[C@@H]1CCSCCN1C/C=C\Cl ZINC000307943706 641197785 /nfs/dbraw/zinc/19/77/85/641197785.db2.gz FVRLGUHNZUQPHD-FIFYQCSRSA-N 0 3 205.754 2.566 20 0 BFADHN CSCC(C)(C)NCc1cccc(Cl)n1 ZINC000934675450 641244111 /nfs/dbraw/zinc/24/41/11/641244111.db2.gz QJTVRHOEZWMQGK-UHFFFAOYSA-N 0 3 244.791 2.966 20 0 BFADHN CC[C@@H](CSC)[NH2+]Cc1ccc([O-])c(F)c1 ZINC000232423532 641277429 /nfs/dbraw/zinc/27/74/29/641277429.db2.gz GPIJSCRKJTXUPV-JTQLQIEISA-N 0 3 243.347 2.763 20 0 BFADHN c1cc(CN[C@H]2CCC[C@@H](C3CC3)C2)no1 ZINC000088052779 641280698 /nfs/dbraw/zinc/28/06/98/641280698.db2.gz KEHBAYPSANWHOG-NEPJUHHUSA-N 0 3 220.316 2.733 20 0 BFADHN c1cc(CN[C@@H]2CCC[C@@H](C3CC3)C2)no1 ZINC000088052778 641281286 /nfs/dbraw/zinc/28/12/86/641281286.db2.gz KEHBAYPSANWHOG-VXGBXAGGSA-N 0 3 220.316 2.733 20 0 BFADHN C[C@H](O)[C@H](C)N[C@@H](C)c1ccccc1Cl ZINC000088162608 641319534 /nfs/dbraw/zinc/31/95/34/641319534.db2.gz TZFFNJJMAMXJGO-GUBZILKMSA-N 0 3 227.735 2.760 20 0 BFADHN C[C@H](NC[C@H](O)c1ccco1)c1ccc(F)cc1 ZINC000088264481 641330542 /nfs/dbraw/zinc/33/05/42/641330542.db2.gz RGZUABOQGNCFLO-GWCFXTLKSA-N 0 3 249.285 2.803 20 0 BFADHN CC(C)n1cc([C@H](C)NC[C@H]2CC2(C)C)nn1 ZINC000925394248 641424777 /nfs/dbraw/zinc/42/47/77/641424777.db2.gz VNJOFJQVEPGNRZ-WDEREUQCSA-N 0 3 236.363 2.556 20 0 BFADHN Clc1ncccc1CNC1CC=CC1 ZINC000151940478 641485481 /nfs/dbraw/zinc/48/54/81/641485481.db2.gz JYOGOOYHEVMPIH-UHFFFAOYSA-N 0 3 208.692 2.543 20 0 BFADHN Cc1cc(N[C@H](C)c2ccccc2C)nc(N)n1 ZINC000043533942 641566715 /nfs/dbraw/zinc/56/67/15/641566715.db2.gz MJLODHSHVSZIHX-LLVKDONJSA-N 0 3 242.326 2.849 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2COC[C@H]2C2CC2)c1 ZINC000914433826 641703816 /nfs/dbraw/zinc/70/38/16/641703816.db2.gz XFQZLWHDBUARMH-ZFWWWQNUSA-N 0 3 249.329 2.649 20 0 BFADHN CC(C)N(Cc1ccc(F)nc1)C1CC1 ZINC000189299872 641799085 /nfs/dbraw/zinc/79/90/85/641799085.db2.gz PVQKEKBSAXOQMA-UHFFFAOYSA-N 0 3 208.280 2.594 20 0 BFADHN CCN(CCc1ccccn1)Cc1ccco1 ZINC000915218866 641811540 /nfs/dbraw/zinc/81/15/40/641811540.db2.gz DQRPNKSSJLJWAJ-UHFFFAOYSA-N 0 3 230.311 2.739 20 0 BFADHN C[C@@H]1CC[C@@H](CNC2(c3ccccc3F)CC2)O1 ZINC000191055520 641898135 /nfs/dbraw/zinc/89/81/35/641898135.db2.gz AAJKMBVMAURUHU-NEPJUHHUSA-N 0 3 249.329 2.972 20 0 BFADHN Cc1occc1SC[C@H]1CCCN1C ZINC000917427020 642061521 /nfs/dbraw/zinc/06/15/21/642061521.db2.gz YTMHUGVIUYHDQT-SNVBAGLBSA-N 0 3 211.330 2.774 20 0 BFADHN Cc1occc1SCCN1CCCC1 ZINC000917425018 642061615 /nfs/dbraw/zinc/06/16/15/642061615.db2.gz IOUZHXVCXGEHCR-UHFFFAOYSA-N 0 3 211.330 2.776 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@@H](C)c1cc(CO)on1 ZINC000925471688 642387098 /nfs/dbraw/zinc/38/70/98/642387098.db2.gz AJMZLFSEOCUWPG-DCAQKATOSA-N 0 3 240.347 2.642 20 0 BFADHN C[C@@H](CN[C@@H]1C[C@@H](C)n2ncnc21)C(C)(C)C ZINC000668468296 642426696 /nfs/dbraw/zinc/42/66/96/642426696.db2.gz GHCPRAPNAOVECB-HBNTYKKESA-N 0 3 236.363 2.556 20 0 BFADHN CCC/C(C)=C\C(=O)OCCN1CCCCC1 ZINC000920216749 642454644 /nfs/dbraw/zinc/45/46/44/642454644.db2.gz PHPRBAIVHCNWIZ-SEYXRHQNSA-N 0 3 239.359 2.762 20 0 BFADHN CN(CC1CC1)Cn1cc(-c2ccccc2)cn1 ZINC000920256808 642461706 /nfs/dbraw/zinc/46/17/06/642461706.db2.gz GWPLRVNQFMDKKZ-UHFFFAOYSA-N 0 3 241.338 2.849 20 0 BFADHN CCCN(CC)Cc1ccc(OCC)o1 ZINC000891398481 642467091 /nfs/dbraw/zinc/46/70/91/642467091.db2.gz DCSVYXBUKSNPLA-UHFFFAOYSA-N 0 3 211.305 2.910 20 0 BFADHN CSC[C@H]1CCCN(C/C=C/Cl)C1 ZINC000308165648 642475815 /nfs/dbraw/zinc/47/58/15/642475815.db2.gz AWZNQJGYMMYTSX-GFAPAMAISA-N 0 3 219.781 2.814 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@H](C)CC ZINC000921474059 642633884 /nfs/dbraw/zinc/63/38/84/642633884.db2.gz LSAYNGLCNVFRFE-SSDOTTSWSA-N 0 3 215.728 2.514 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@H](C)C1CC1 ZINC000921495238 642628241 /nfs/dbraw/zinc/62/82/41/642628241.db2.gz WKGVHCPJFWYKIC-SSDOTTSWSA-N 0 3 227.739 2.514 20 0 BFADHN C[C@H](NCc1c(Cl)n[nH]c1C1CC1)C1CC1 ZINC000921494871 642628961 /nfs/dbraw/zinc/62/89/61/642628961.db2.gz PQPDAPXOVPNNLO-ZETCQYMHSA-N 0 3 239.750 2.829 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](O)CC(C)C)o1 ZINC000305111804 642642049 /nfs/dbraw/zinc/64/20/49/642642049.db2.gz GGLKYSYEZCNDQI-VXGBXAGGSA-N 0 3 239.359 2.900 20 0 BFADHN Cc1ccoc1CN[C@@H](C)C[C@@H](O)c1ccco1 ZINC000305709770 642676939 /nfs/dbraw/zinc/67/69/39/642676939.db2.gz FMTAONDYNVFFBB-NWDGAFQWSA-N 0 3 249.310 2.783 20 0 BFADHN CC[C@H](CNC1(c2ccccc2F)CC1)OC ZINC000419256203 642681751 /nfs/dbraw/zinc/68/17/51/642681751.db2.gz XJFFIBSWPNPEOG-LLVKDONJSA-N 0 3 237.318 2.829 20 0 BFADHN C[C@@H](C[C@H](O)c1ccccc1)NCc1ccco1 ZINC000305754515 642686555 /nfs/dbraw/zinc/68/65/55/642686555.db2.gz WHGQHBAGGNXQEH-WFASDCNBSA-N 0 3 245.322 2.881 20 0 BFADHN Fc1ccccc1C1(NC[C@@H]2CCCCO2)CC1 ZINC000179641515 642733308 /nfs/dbraw/zinc/73/33/08/642733308.db2.gz IFPFTPBYXWEWNF-LBPRGKRZSA-N 0 3 249.329 2.974 20 0 BFADHN Cc1nonc1CNC1CCCCCCC1 ZINC000069794528 642907471 /nfs/dbraw/zinc/90/74/71/642907471.db2.gz MMXKVNRAFAEJJL-UHFFFAOYSA-N 0 3 223.320 2.581 20 0 BFADHN CCCCCCN1CCN(C(C)=O)CC1(C)C ZINC000182211251 642972388 /nfs/dbraw/zinc/97/23/88/642972388.db2.gz JPDLETGNGIFLFR-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@@H](NCc1nonc1C)C1CCCCC1 ZINC000070261824 643008146 /nfs/dbraw/zinc/00/81/46/643008146.db2.gz NKMJWGUECUGAQF-GFCCVEGCSA-N 0 3 237.347 2.827 20 0 BFADHN C[C@H]1CCSCCN1C/C=C/Cl ZINC000306548328 643180878 /nfs/dbraw/zinc/18/08/78/643180878.db2.gz FVRLGUHNZUQPHD-LXOKAJLYSA-N 0 3 205.754 2.566 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cn1cccnc1=S ZINC000184973006 643255031 /nfs/dbraw/zinc/25/50/31/643255031.db2.gz RUOUXUPBVRODJD-GHMZBOCLSA-N 0 3 237.372 2.690 20 0 BFADHN CCCc1ccccc1NC(=O)[C@@H](N)CC1CC1 ZINC000306114682 643302849 /nfs/dbraw/zinc/30/28/49/643302849.db2.gz YBXUEVXCINSPQM-ZDUSSCGKSA-N 0 3 246.354 2.705 20 0 BFADHN c1cc(CN2CCC(C3CCOCC3)CC2)co1 ZINC000093705144 643340014 /nfs/dbraw/zinc/34/00/14/643340014.db2.gz PFSPCVFQRIZTMM-UHFFFAOYSA-N 0 3 249.354 2.918 20 0 BFADHN CCN(CCSC)Cc1ccc(F)nc1C ZINC000843437712 643366108 /nfs/dbraw/zinc/36/61/08/643366108.db2.gz VKNPWIVSVUPUTD-UHFFFAOYSA-N 0 3 242.363 2.714 20 0 BFADHN [O-]c1ccc(C[NH2+]C2CC3(CCC3)C2)cc1F ZINC000336251641 643390935 /nfs/dbraw/zinc/39/09/35/643390935.db2.gz DUPOKKZGAYWTLN-UHFFFAOYSA-N 0 3 235.302 2.954 20 0 BFADHN CCCCC[NH2+]Cc1ccc([O-])c(F)c1 ZINC000083346645 643437875 /nfs/dbraw/zinc/43/78/75/643437875.db2.gz BYPIYUWZXPIPEE-UHFFFAOYSA-N 0 3 211.280 2.811 20 0 BFADHN CC(C)CC[NH2+]Cc1ccc([O-])c(F)c1 ZINC000083346649 643438786 /nfs/dbraw/zinc/43/87/86/643438786.db2.gz YNPUQLBXRQOPIY-UHFFFAOYSA-N 0 3 211.280 2.667 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@@H]2C)sc1C ZINC000307593262 643516516 /nfs/dbraw/zinc/51/65/16/643516516.db2.gz HANXHLZJHXGNPR-OIBJUYFYSA-N 0 3 210.346 2.648 20 0 BFADHN Cc1cc(NC2CCCCCC2)nc(N)n1 ZINC000043532181 643528620 /nfs/dbraw/zinc/52/86/20/643528620.db2.gz HQZQSMNFKHFBLU-UHFFFAOYSA-N 0 3 220.320 2.502 20 0 BFADHN CC(=O)CCN(Cc1cccs1)C(C)C ZINC000050150469 643629360 /nfs/dbraw/zinc/62/93/60/643629360.db2.gz CBFLIKFZUXKCBB-UHFFFAOYSA-N 0 3 225.357 2.938 20 0 BFADHN C[C@@H](NC[C@@H]1CCC=CO1)c1ccco1 ZINC000050267929 643670973 /nfs/dbraw/zinc/67/09/73/643670973.db2.gz QMLZJAMHHBJBJF-MNOVXSKESA-N 0 3 207.273 2.623 20 0 BFADHN COC(CN(Cc1cccs1)C(C)C)OC ZINC000085351342 643748173 /nfs/dbraw/zinc/74/81/73/643748173.db2.gz TVMPKFNFRDYDOF-UHFFFAOYSA-N 0 3 243.372 2.577 20 0 BFADHN COc1cc(CNCc2ccccc2C)ccn1 ZINC000057395134 643758012 /nfs/dbraw/zinc/75/80/12/643758012.db2.gz FPBVPFFALPKTBJ-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN Cc1cnc(Cl)c(CN[C@H]2CCSC2)c1 ZINC000921794330 643791971 /nfs/dbraw/zinc/79/19/71/643791971.db2.gz SJMROUKYELPUNC-JTQLQIEISA-N 0 3 242.775 2.639 20 0 BFADHN CCC[C@H]1C[C@@H]1NCc1c(Cl)n[nH]c1CC ZINC000921808022 643799050 /nfs/dbraw/zinc/79/90/50/643799050.db2.gz XNUJZCCJWFSAGG-KWQFWETISA-N 0 3 241.766 2.904 20 0 BFADHN CC1(CNCc2c(Cl)n[nH]c2C2CC2)CC1 ZINC000921814174 643800878 /nfs/dbraw/zinc/80/08/78/643800878.db2.gz ONBXRDNWCCIARF-UHFFFAOYSA-N 0 3 239.750 2.830 20 0 BFADHN CCCNc1ccc(CNCc2c[nH]cn2)cc1 ZINC000922060883 643854642 /nfs/dbraw/zinc/85/46/42/643854642.db2.gz KYVQWVYZNDMUNH-UHFFFAOYSA-N 0 3 244.342 2.521 20 0 BFADHN CCCNc1ccc(CNCc2cnc[nH]2)cc1 ZINC000922060883 643854645 /nfs/dbraw/zinc/85/46/45/643854645.db2.gz KYVQWVYZNDMUNH-UHFFFAOYSA-N 0 3 244.342 2.521 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@@H]1CCC1(C)C ZINC000922128533 643868030 /nfs/dbraw/zinc/86/80/30/643868030.db2.gz JEJCTKMTTCKUQL-SNVBAGLBSA-N 0 3 241.766 2.904 20 0 BFADHN Cc1cnc(Cl)c(CN[C@H]2CC2(C)C)c1 ZINC000922184072 643896656 /nfs/dbraw/zinc/89/66/56/643896656.db2.gz XHRQOUDASJOJSS-JTQLQIEISA-N 0 3 224.735 2.932 20 0 BFADHN c1cc2c(c(CN[C@H]3CC34CC4)n1)CCCC2 ZINC000922197394 643898983 /nfs/dbraw/zinc/89/89/83/643898983.db2.gz HVTHFDMELBAAIS-AWEZNQCLSA-N 0 3 228.339 2.603 20 0 BFADHN Cc1cnc(Cl)c(CN[C@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000922260139 643926784 /nfs/dbraw/zinc/92/67/84/643926784.db2.gz VVXQHODRUBWJGA-GDNZZTSVSA-N 0 3 236.746 2.932 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000922256852 643928213 /nfs/dbraw/zinc/92/82/13/643928213.db2.gz ATAQXJMXWRKJSB-AKZRSSKFSA-N 0 3 239.750 2.514 20 0 BFADHN COC(OC)[C@H](C)NC/C(C)=C/c1ccccc1 ZINC000922311889 643966365 /nfs/dbraw/zinc/96/63/65/643966365.db2.gz MZSCROYAOANXOS-XSNHNAGMSA-N 0 3 249.354 2.687 20 0 BFADHN c1cc(CNCCC2(c3ccccc3)CC2)n[nH]1 ZINC000922450422 643997225 /nfs/dbraw/zinc/99/72/25/643997225.db2.gz QZHFSMVQEXFBGW-UHFFFAOYSA-N 0 3 241.338 2.621 20 0 BFADHN CC(C)(/C=C/Cl)NCc1cccc2nccn21 ZINC000922648540 644053102 /nfs/dbraw/zinc/05/31/02/644053102.db2.gz NAEBRYIRYHUIAS-VOTSOKGWSA-N 0 3 249.745 2.955 20 0 BFADHN CC(C)(/C=C\Cl)NCc1ccncc1 ZINC000922651926 644059782 /nfs/dbraw/zinc/05/97/82/644059782.db2.gz ZKAYLWWCDQUNIP-WAYWQWQTSA-N 0 3 210.708 2.702 20 0 BFADHN Cn1cc(CNCCC2CC=CC2)c(Cl)n1 ZINC000922735625 644078351 /nfs/dbraw/zinc/07/83/51/644078351.db2.gz SBBSMJKFIHJHEM-UHFFFAOYSA-N 0 3 239.750 2.519 20 0 BFADHN c1coc(CNC[C@@H]2CCCC3(CCC3)O2)c1 ZINC000922805857 644098996 /nfs/dbraw/zinc/09/89/96/644098996.db2.gz QVJGZQCADWCTHA-ZDUSSCGKSA-N 0 3 235.327 2.861 20 0 BFADHN Cc1ccc(CNC[C@]2(C)CCCCO2)o1 ZINC000922812394 644099755 /nfs/dbraw/zinc/09/97/55/644099755.db2.gz RNOYQOSQYAXJFH-ZDUSSCGKSA-N 0 3 223.316 2.637 20 0 BFADHN CCC[C@@H](O)CCNCc1ccc(SC)o1 ZINC000922819449 644108329 /nfs/dbraw/zinc/10/83/29/644108329.db2.gz CCHPRXYSIKJKIJ-SNVBAGLBSA-N 0 3 243.372 2.642 20 0 BFADHN CC[C@H]1C[C@@H](N[C@@H]2CCCc3cccnc32)CO1 ZINC000922874647 644122368 /nfs/dbraw/zinc/12/23/68/644122368.db2.gz AKJZLLUMAYFJTK-HZSPNIEDSA-N 0 3 246.354 2.616 20 0 BFADHN C[C@@H]1CCN(C/C=C/Cl)CCS1 ZINC000192176833 644135071 /nfs/dbraw/zinc/13/50/71/644135071.db2.gz BEZSTJYXLOLGDO-HDMKULJXSA-N 0 3 205.754 2.566 20 0 BFADHN CC[C@@H]1CCC[C@@H](Nc2cc(C)nc(N)n2)C1 ZINC000086485544 644140318 /nfs/dbraw/zinc/14/03/18/644140318.db2.gz UWCZHPHQUWDPAO-GHMZBOCLSA-N 0 3 234.347 2.748 20 0 BFADHN C[C@@H]1CN(Cc2ccncc2)C[C@H](C)C1(F)F ZINC000625647140 644166991 /nfs/dbraw/zinc/16/69/91/644166991.db2.gz DPOHSPHXZUSPKC-PHIMTYICSA-N 0 3 240.297 2.805 20 0 BFADHN CC[C@@H]1CCCN(c2ccnc(CO)c2)CC1 ZINC000079359313 644176406 /nfs/dbraw/zinc/17/64/06/644176406.db2.gz CZMHDSNSRNXUOS-GFCCVEGCSA-N 0 3 234.343 2.590 20 0 BFADHN CC1CCC(c2noc([C@@]3(C)CCCN3)n2)CC1 ZINC000079485631 644183090 /nfs/dbraw/zinc/18/30/90/644183090.db2.gz MIGFVPRLFWEZRJ-QIMFLAQGSA-N 0 3 249.358 2.962 20 0 BFADHN CCC(CC)CNCc1cc(C2CC2)n(C)n1 ZINC000922953589 644199058 /nfs/dbraw/zinc/19/90/58/644199058.db2.gz CLBPLMXUUSCCRQ-UHFFFAOYSA-N 0 3 235.375 2.823 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@]23C[C@H]2CCC3)n1 ZINC000922961559 644202478 /nfs/dbraw/zinc/20/24/78/644202478.db2.gz AKICPGQDSCVHDB-RISCZKNCSA-N 0 3 233.359 2.813 20 0 BFADHN Cc1c[nH]c(CNCC2CCC=CCC2)n1 ZINC000922966450 644202696 /nfs/dbraw/zinc/20/26/96/644202696.db2.gz TWDAXKPMDXBZNW-UHFFFAOYSA-N 0 3 219.332 2.554 20 0 BFADHN C[C@H]1CCC[C@@H](C[NH2+]Cc2cncc([O-])c2)C1 ZINC000922975248 644205772 /nfs/dbraw/zinc/20/57/72/644205772.db2.gz CKXHPTCMXFEHTH-NWDGAFQWSA-N 0 3 234.343 2.703 20 0 BFADHN C[C@@H]1CCC[C@H](C[NH2+]Cc2cncc([O-])c2)C1 ZINC000922975247 644206389 /nfs/dbraw/zinc/20/63/89/644206389.db2.gz CKXHPTCMXFEHTH-NEPJUHHUSA-N 0 3 234.343 2.703 20 0 BFADHN CC1(C)CCC[C@H]([NH2+]Cc2cncc([O-])c2)C1 ZINC000922975920 644207127 /nfs/dbraw/zinc/20/71/27/644207127.db2.gz OFXDQSNJXWDIBK-LBPRGKRZSA-N 0 3 234.343 2.846 20 0 BFADHN [O-]c1cncc(C[NH2+]C2CCC3(CC3)CC2)c1 ZINC000922976538 644211208 /nfs/dbraw/zinc/21/12/08/644211208.db2.gz ZKFSMTFBLYWACM-UHFFFAOYSA-N 0 3 232.327 2.600 20 0 BFADHN COc1ncccc1CN1CCCCCCC1 ZINC000193291874 644231224 /nfs/dbraw/zinc/23/12/24/644231224.db2.gz XZLQRELQXORJIB-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN C[C@@]1(NCc2cc[nH]c2)CCOc2ccccc21 ZINC000923023527 644235927 /nfs/dbraw/zinc/23/59/27/644235927.db2.gz BYIMUISNEMBTKF-OAHLLOKOSA-N 0 3 242.322 2.802 20 0 BFADHN CC1(C)CN(C[C@@H]2CCC=CO2)CC(C)(C)O1 ZINC000194832111 644349227 /nfs/dbraw/zinc/34/92/27/644349227.db2.gz XOGCTXIFYPEYLE-LBPRGKRZSA-N 0 3 239.359 2.569 20 0 BFADHN Cc1cc(NCC[C@@H]2CCC[C@H](C)C2)nc(N)n1 ZINC000083629994 644406154 /nfs/dbraw/zinc/40/61/54/644406154.db2.gz NOTDWXGLDLVLBE-JQWIXIFHSA-N 0 3 248.374 2.996 20 0 BFADHN C[C@H](NC[C@H](O)c1ccco1)c1cccc(F)c1 ZINC000088264585 644514721 /nfs/dbraw/zinc/51/47/21/644514721.db2.gz ILWZLRZAXVJUJD-GWCFXTLKSA-N 0 3 249.285 2.803 20 0 BFADHN CC[C@@]1(NCc2cccc(F)c2F)CCOC1 ZINC000383073077 644536117 /nfs/dbraw/zinc/53/61/17/644536117.db2.gz GKTUPUYOOXPUBE-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN CC[C@@H](C)CN(CC)CN1C[C@H](CC)CC1=O ZINC000245867597 644548437 /nfs/dbraw/zinc/54/84/37/644548437.db2.gz MHCJHGWNPUIMRU-CHWSQXEVSA-N 0 3 240.391 2.570 20 0 BFADHN C[C@@H](NCCC1=CCCC1)c1cnccn1 ZINC000090798500 644605298 /nfs/dbraw/zinc/60/52/98/644605298.db2.gz QEYMKCBGLNUZGS-LLVKDONJSA-N 0 3 217.316 2.628 20 0 BFADHN CCN(Cc1cc(C)oc1C)C[C@@H]1CCCO1 ZINC000092407622 644656655 /nfs/dbraw/zinc/65/66/55/644656655.db2.gz HBQPRAAREAAXIP-AWEZNQCLSA-N 0 3 237.343 2.897 20 0 BFADHN CCOC[C@H]1CCCN(Cc2ccoc2)C1 ZINC000093419428 644689860 /nfs/dbraw/zinc/68/98/60/644689860.db2.gz FUZSGKCFFJBNTD-LBPRGKRZSA-N 0 3 223.316 2.528 20 0 BFADHN Fc1ccccc1-c1cnc([C@H]2CCCN2)nc1 ZINC000094576921 644721268 /nfs/dbraw/zinc/72/12/68/644721268.db2.gz USMMSNHILLFHGZ-CYBMUJFWSA-N 0 3 243.285 2.707 20 0 BFADHN Fc1ccc(-c2cnc([C@H]3CCCN3)nc2)cc1 ZINC000094576931 644721471 /nfs/dbraw/zinc/72/14/71/644721471.db2.gz NPDHRNMPSPPRCK-CYBMUJFWSA-N 0 3 243.285 2.707 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccccc1)c1ccoc1 ZINC000094867807 644733573 /nfs/dbraw/zinc/73/35/73/644733573.db2.gz AFWBIUFUUJUUOS-BXUZGUMPSA-N 0 3 231.295 2.664 20 0 BFADHN C[C@H]1CCCN(Cc2ccc(F)nc2)[C@H]1C ZINC000250062431 644747703 /nfs/dbraw/zinc/74/77/03/644747703.db2.gz NDEQNPVOLUSJMP-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)Cc2ccco2)nn1C ZINC000800472844 644835027 /nfs/dbraw/zinc/83/50/27/644835027.db2.gz BYTRAAKJFPKWMF-PWSUYJOCSA-N 0 3 247.342 2.603 20 0 BFADHN CCCOC(=O)[C@H](C)N1CCCC(C)(C)CC1 ZINC000303895206 644855469 /nfs/dbraw/zinc/85/54/69/644855469.db2.gz KRVLVBUXRQFIIW-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)CC[C@@H](NCc1ccon1)C1CC1 ZINC000304294558 644887658 /nfs/dbraw/zinc/88/76/58/644887658.db2.gz HQCKIQJWDOAPET-CYBMUJFWSA-N 0 3 222.332 2.979 20 0 BFADHN Fc1cc(CNCCc2ccccc2)c(F)cn1 ZINC000809424797 644889716 /nfs/dbraw/zinc/88/97/16/644889716.db2.gz MDGAVQOIYSCPRL-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN CC(C)C1(NCc2cc(F)ncc2F)CC1 ZINC000824439995 645055931 /nfs/dbraw/zinc/05/59/31/645055931.db2.gz UJXFNVCQCPEWMM-UHFFFAOYSA-N 0 3 226.270 2.638 20 0 BFADHN CC[C@@H](C(=O)N1CCCCCCCC1)N(C)C ZINC000836922672 645181362 /nfs/dbraw/zinc/18/13/62/645181362.db2.gz FGUITEFYLXTNNP-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN COc1cc([C@@H](C)NC[C@@H]2C[C@H]2C(C)C)on1 ZINC000926532604 645256648 /nfs/dbraw/zinc/25/66/48/645256648.db2.gz BGVRRVQHVLEDGV-VWYCJHECSA-N 0 3 238.331 2.626 20 0 BFADHN C[C@H]1C[C@H](NC2(C3CCC3)CCC2)c2ncnn21 ZINC000926574247 645292993 /nfs/dbraw/zinc/29/29/93/645292993.db2.gz UGMVLJWAALPONQ-JQWIXIFHSA-N 0 3 246.358 2.596 20 0 BFADHN COc1ccnc([C@@H](C)N[C@@H]2C[C@H]2C2CCC2)c1 ZINC000926617372 645318161 /nfs/dbraw/zinc/31/81/61/645318161.db2.gz XTZSSLGNEWNVAU-RIEGTJTDSA-N 0 3 246.354 2.929 20 0 BFADHN CC[C@@H]1CN(CC2CC(F)(F)C2)[C@@H](CC)CO1 ZINC000844385372 645327508 /nfs/dbraw/zinc/32/75/08/645327508.db2.gz JODIOMDHTLIXDD-NWDGAFQWSA-N 0 3 247.329 2.921 20 0 BFADHN CC[C@@H](N[C@H]1COC[C@H]1C)c1ccccc1F ZINC000926663224 645344113 /nfs/dbraw/zinc/34/41/13/645344113.db2.gz VJGBWKDCQGWRDM-HONMWMINSA-N 0 3 237.318 2.901 20 0 BFADHN CC[C@H]1COC[C@@H]1NCc1cccc(C)c1OC ZINC000926665201 645344362 /nfs/dbraw/zinc/34/43/62/645344362.db2.gz WOZBIMUZCVYHBA-JSGCOSHPSA-N 0 3 249.354 2.518 20 0 BFADHN C[C@H](N[C@@]1(C)CC1(C)C)c1ccns1 ZINC000926722345 645383762 /nfs/dbraw/zinc/38/37/62/645383762.db2.gz SJFLKEOPNFDUEU-KWQFWETISA-N 0 3 210.346 2.982 20 0 BFADHN CC[C@H](C)CCN[C@@H](C)c1nn(CC)nc1C ZINC000926688985 645358216 /nfs/dbraw/zinc/35/82/16/645358216.db2.gz HSPQCTQIQYAYOY-QWRGUYRKSA-N 0 3 238.379 2.693 20 0 BFADHN C[C@@H](NC/C=C\CO)c1coc2ccccc12 ZINC000926690091 645360064 /nfs/dbraw/zinc/36/00/64/645360064.db2.gz VIVPXEWSQUNACY-DOGVGXBMSA-N 0 3 231.295 2.632 20 0 BFADHN C[C@H](N[C@H]1C=CCC1)c1cccc2c1OCO2 ZINC000926690767 645360179 /nfs/dbraw/zinc/36/01/79/645360179.db2.gz QNJOMOOTGSYJHD-QWRGUYRKSA-N 0 3 231.295 2.785 20 0 BFADHN CC(C)C(C(C)C)[C@@H](C)NCc1ncccn1 ZINC000926710213 645375146 /nfs/dbraw/zinc/37/51/46/645375146.db2.gz OOMWAASVWBUEHN-GFCCVEGCSA-N 0 3 235.375 2.883 20 0 BFADHN C[C@@H](N[C@]1(C)CC1(C)C)c1ccns1 ZINC000926722346 645383216 /nfs/dbraw/zinc/38/32/16/645383216.db2.gz SJFLKEOPNFDUEU-LDYMZIIASA-N 0 3 210.346 2.982 20 0 BFADHN C[C@H](NCC1SCCS1)c1ccns1 ZINC000926724431 645387559 /nfs/dbraw/zinc/38/75/59/645387559.db2.gz FYSKMYYICPTQBS-ZETCQYMHSA-N 0 3 246.426 2.600 20 0 BFADHN C/C=C\CN[C@@H](CC)c1cccc(OC)n1 ZINC000926764196 645411596 /nfs/dbraw/zinc/41/15/96/645411596.db2.gz AXMQQYBGOCWQRI-QZPNVGJNSA-N 0 3 220.316 2.707 20 0 BFADHN C/C=C/CN[C@H](CC)c1cccc(OC)n1 ZINC000926764194 645411694 /nfs/dbraw/zinc/41/16/94/645411694.db2.gz AXMQQYBGOCWQRI-DUMNWFOQSA-N 0 3 220.316 2.707 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@H](C)[C@H]1C)c1ccns1 ZINC000926764370 645412599 /nfs/dbraw/zinc/41/25/99/645412599.db2.gz UZWMFAGMVGJCCA-ULHKAFAUSA-N 0 3 240.372 2.606 20 0 BFADHN C/C=C/CN[C@@H](C)c1cc(O)cc(F)c1 ZINC000926769755 645415624 /nfs/dbraw/zinc/41/56/24/645415624.db2.gz KTWASXQTNIPFTF-NWALNABHSA-N 0 3 209.264 2.758 20 0 BFADHN C/C=C\CN[C@@H](C)c1cc(O)cc(F)c1 ZINC000926769856 645416981 /nfs/dbraw/zinc/41/69/81/645416981.db2.gz KTWASXQTNIPFTF-TYRPZCRBSA-N 0 3 209.264 2.758 20 0 BFADHN C[C@@H](NC1CCC=CCC1)c1ncco1 ZINC000926877765 645471067 /nfs/dbraw/zinc/47/10/67/645471067.db2.gz OUWGKNXVAHPVLQ-SNVBAGLBSA-N 0 3 206.289 2.824 20 0 BFADHN Cc1ccc(NCCN[C@@H](C)c2ccoc2)nc1 ZINC000927074037 645536936 /nfs/dbraw/zinc/53/69/36/645536936.db2.gz YUGUJGNYVFCXSC-LBPRGKRZSA-N 0 3 245.326 2.746 20 0 BFADHN Cn1nc(CN[C@@H]2CCC23CCC3)cc1C1CC1 ZINC000927106736 645549851 /nfs/dbraw/zinc/54/98/51/645549851.db2.gz DBKARUQWUBOTBP-CQSZACIVSA-N 0 3 245.370 2.720 20 0 BFADHN C[C@H](CCC1CC1)NCc1cc(C2CC2)n(C)n1 ZINC000927108322 645551844 /nfs/dbraw/zinc/55/18/44/645551844.db2.gz MNVHVLGPORZOID-LLVKDONJSA-N 0 3 247.386 2.966 20 0 BFADHN C[C@@H](CC1CC1)NCc1cc(C2CC2)n(C)n1 ZINC000927111552 645551920 /nfs/dbraw/zinc/55/19/20/645551920.db2.gz DPPZWYKGAGDVDP-JTQLQIEISA-N 0 3 233.359 2.576 20 0 BFADHN Cn1nc(CN[C@H]2CCCC2(C)C)cc1C1CC1 ZINC000927113149 645552136 /nfs/dbraw/zinc/55/21/36/645552136.db2.gz XLQMUMQJLHZEOF-AWEZNQCLSA-N 0 3 247.386 2.966 20 0 BFADHN C[C@H](NCc1cc(C2CC2)n(C)n1)C1CCC1 ZINC000927113582 645553555 /nfs/dbraw/zinc/55/35/55/645553555.db2.gz JWPFLELRGLVUEK-JTQLQIEISA-N 0 3 233.359 2.576 20 0 BFADHN Cc1cc([C@@H](C)NC[C@]23C[C@H]2CCC3)nn1C ZINC000927120863 645555904 /nfs/dbraw/zinc/55/59/04/645555904.db2.gz HTPJUBFUYXTNKA-BZPMIXESSA-N 0 3 233.359 2.569 20 0 BFADHN C[C@@H]1C[C@@H](NCC2CCC=CCC2)c2ncnn21 ZINC000927120512 645556300 /nfs/dbraw/zinc/55/63/00/645556300.db2.gz GHYJILMBXILJHU-DGCLKSJQSA-N 0 3 246.358 2.620 20 0 BFADHN C[C@H](NC[C@@H]1CC2(CCC2)CO1)c1ccoc1 ZINC000878422914 645813072 /nfs/dbraw/zinc/81/30/72/645813072.db2.gz OBQLGDLKCJFJQL-AAEUAGOBSA-N 0 3 235.327 2.889 20 0 BFADHN C[C@@H](CN1CCc2nc[nH]c2C1)CC(C)(C)C ZINC000878628831 645822180 /nfs/dbraw/zinc/82/21/80/645822180.db2.gz OQHPFQLMGBEMHV-LLVKDONJSA-N 0 3 235.375 2.840 20 0 BFADHN CC(C)c1c[nH]c(CNC2(C)CCCC2)n1 ZINC000882969079 645844704 /nfs/dbraw/zinc/84/47/04/645844704.db2.gz KCAPPGOJOAGCPT-UHFFFAOYSA-N 0 3 221.348 2.955 20 0 BFADHN CC(C)n1cc([C@@H](C)NCC2(C)CCC2)nn1 ZINC000925499188 645936867 /nfs/dbraw/zinc/93/68/67/645936867.db2.gz WTQFHWIAFQKJTN-LLVKDONJSA-N 0 3 236.363 2.700 20 0 BFADHN CC(C)n1cc([C@H](C)NCC2(C)CCC2)nn1 ZINC000925499289 645936941 /nfs/dbraw/zinc/93/69/41/645936941.db2.gz WTQFHWIAFQKJTN-NSHDSACASA-N 0 3 236.363 2.700 20 0 BFADHN CCCCN(C)Cc1c(C)noc1CC ZINC000929124557 645958783 /nfs/dbraw/zinc/95/87/83/645958783.db2.gz MWRYNMVRUUSTMK-UHFFFAOYSA-N 0 3 210.321 2.777 20 0 BFADHN CCC[C@@H](C)c1noc(C2(CN(C)C)CC2)n1 ZINC000923869505 645959763 /nfs/dbraw/zinc/95/97/63/645959763.db2.gz UJIPXVWNPQGIBD-SNVBAGLBSA-N 0 3 237.347 2.566 20 0 BFADHN CCN(CCOC1CC1)Cc1cccs1 ZINC000929135791 645964419 /nfs/dbraw/zinc/96/44/19/645964419.db2.gz TWHULLDFYJIHIW-UHFFFAOYSA-N 0 3 225.357 2.749 20 0 BFADHN Cc1cn(C)nc1CN(C)C1CCCCCC1 ZINC000929147295 645973437 /nfs/dbraw/zinc/97/34/37/645973437.db2.gz FXRFDLXYZPFCEB-UHFFFAOYSA-N 0 3 235.375 2.883 20 0 BFADHN CCc1ncc(CN(CC(C)C)C2CC2)o1 ZINC000929258029 646040728 /nfs/dbraw/zinc/04/07/28/646040728.db2.gz YTKPQMBEOBSJRO-UHFFFAOYSA-N 0 3 222.332 2.857 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CCCc1cccnc1 ZINC000929269667 646048350 /nfs/dbraw/zinc/04/83/50/646048350.db2.gz LGIXAIULOLMPPM-ZFWWWQNUSA-N 0 3 248.370 2.514 20 0 BFADHN CCCC[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000924532236 646050985 /nfs/dbraw/zinc/05/09/85/646050985.db2.gz TYYYZJXUDUXBMC-SECBINFHSA-N 0 3 211.280 2.982 20 0 BFADHN CC(C)C[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000924530845 646051528 /nfs/dbraw/zinc/05/15/28/646051528.db2.gz GXDIWHQHYFQLHT-SECBINFHSA-N 0 3 211.280 2.838 20 0 BFADHN CCC(CC)N[C@@H](C)c1cc(OC)no1 ZINC000924534082 646052423 /nfs/dbraw/zinc/05/24/23/646052423.db2.gz HYRRLQVRFHGWFG-QMMMGPOBSA-N 0 3 212.293 2.522 20 0 BFADHN CCC(CC)N[C@H](C)c1cc(OC)no1 ZINC000924534080 646052936 /nfs/dbraw/zinc/05/29/36/646052936.db2.gz HYRRLQVRFHGWFG-MRVPVSSYSA-N 0 3 212.293 2.522 20 0 BFADHN C([C@H]1CCCC2(CCC2)O1)N1CCSCC1 ZINC000929277519 646056485 /nfs/dbraw/zinc/05/64/85/646056485.db2.gz QSHLNPTYTOCNPF-GFCCVEGCSA-N 0 3 241.400 2.527 20 0 BFADHN CCn1nc(C)c([C@H](C)NC2CCCCC2)n1 ZINC000924543510 646063900 /nfs/dbraw/zinc/06/39/00/646063900.db2.gz RVUNVPKFHGGUIV-JTQLQIEISA-N 0 3 236.363 2.590 20 0 BFADHN Cc1cc(CN(C)CCC(C)C)c(C)nn1 ZINC000929292358 646066342 /nfs/dbraw/zinc/06/63/42/646066342.db2.gz ATNJNSQWXMKZRT-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2ccnnc2)c(C)c1 ZINC000924708778 646092871 /nfs/dbraw/zinc/09/28/71/646092871.db2.gz OUMATMMLHWVQEO-ZDUSSCGKSA-N 0 3 241.338 2.944 20 0 BFADHN C[C@H](NCc1ccc(Cl)nc1)C1(Cl)CC1 ZINC000924710692 646094006 /nfs/dbraw/zinc/09/40/06/646094006.db2.gz AUGIBDBUMUIYHS-QMMMGPOBSA-N 0 3 245.153 2.985 20 0 BFADHN CC(C)n1cc([C@H](C)NCCC(C)(C)C)nn1 ZINC000924794210 646107943 /nfs/dbraw/zinc/10/79/43/646107943.db2.gz ZLVVTJXNTDALRI-NSHDSACASA-N 0 3 238.379 2.946 20 0 BFADHN COc1cc([C@H](C)NCCC(C)(C)C)on1 ZINC000924794145 646107970 /nfs/dbraw/zinc/10/79/70/646107970.db2.gz YMFROYGKQONAAG-VIFPVBQESA-N 0 3 226.320 2.770 20 0 BFADHN CC(C)n1cc([C@@H](C)NCCC(C)(C)C)nn1 ZINC000924794209 646108072 /nfs/dbraw/zinc/10/80/72/646108072.db2.gz ZLVVTJXNTDALRI-LLVKDONJSA-N 0 3 238.379 2.946 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nn(CC)nc1C)C(C)C ZINC000924799372 646110727 /nfs/dbraw/zinc/11/07/27/646110727.db2.gz NBXITZQMUBJPDS-CMPLNLGQSA-N 0 3 238.379 2.692 20 0 BFADHN CC(C)n1cc([C@H](C)NC(C2CC2)C2CC2)nn1 ZINC000924801509 646111309 /nfs/dbraw/zinc/11/13/09/646111309.db2.gz FPVPVTGREXQYJF-JTQLQIEISA-N 0 3 248.374 2.698 20 0 BFADHN CC(C)n1cc([C@H](C)NC[C@H]2CC=CCC2)nn1 ZINC000924806686 646112721 /nfs/dbraw/zinc/11/27/21/646112721.db2.gz QQYKMGSJKALNNA-STQMWFEESA-N 0 3 248.374 2.866 20 0 BFADHN CCc1ncc(CN(C)CC2CCCC2)o1 ZINC000929411441 646112829 /nfs/dbraw/zinc/11/28/29/646112829.db2.gz IMPBFNZNJSALON-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@@H](C)C1CC1 ZINC000924827545 646115765 /nfs/dbraw/zinc/11/57/65/646115765.db2.gz JEWHJXAKIOAOLI-SKDRFNHKSA-N 0 3 234.343 2.996 20 0 BFADHN C[C@@H](c1ccc(F)nc1)N1CC[C@H](C)C1 ZINC000929461116 646122762 /nfs/dbraw/zinc/12/27/62/646122762.db2.gz FFTGUCDYKXFYMG-UWVGGRQHSA-N 0 3 208.280 2.624 20 0 BFADHN CCc1ncc(CN2CCCC2(C)C)o1 ZINC000929504198 646157839 /nfs/dbraw/zinc/15/78/39/646157839.db2.gz FENYPJGOWSGHIT-UHFFFAOYSA-N 0 3 208.305 2.611 20 0 BFADHN CSCCCN[C@H]1CCCc2oc(C)nc21 ZINC000925092755 646182674 /nfs/dbraw/zinc/18/26/74/646182674.db2.gz DHFRPEHBMUUNBJ-JTQLQIEISA-N 0 3 240.372 2.703 20 0 BFADHN CSC[C@@H](C)N[C@@H](C)c1c(C)noc1C ZINC000925097681 646184520 /nfs/dbraw/zinc/18/45/20/646184520.db2.gz SNEBUBZXAIIUNT-SFYZADRCSA-N 0 3 228.361 2.694 20 0 BFADHN CSC[C@H](C)N[C@H](C)c1ccns1 ZINC000925098061 646185022 /nfs/dbraw/zinc/18/50/22/646185022.db2.gz TWQLJWQCIKCIRL-JGVFFNPUSA-N 0 3 216.375 2.545 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2ccns2)C1(C)C ZINC000925238658 646215445 /nfs/dbraw/zinc/21/54/45/646215445.db2.gz XMRFGDDAIWDJAK-INTQDDNPSA-N 0 3 240.372 2.607 20 0 BFADHN COC(C)(C)C[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000925268309 646225477 /nfs/dbraw/zinc/22/54/77/646225477.db2.gz ARTBJCFBHRMERO-SECBINFHSA-N 0 3 241.306 2.607 20 0 BFADHN CCC[C@H](CC)N[C@H](C)c1nn(CC)nc1C ZINC000925351511 646251991 /nfs/dbraw/zinc/25/19/91/646251991.db2.gz KTQPUVNYYFOZIS-PWSUYJOCSA-N 0 3 238.379 2.836 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@H]2CCC[C@@H]2C)nn1 ZINC000925371308 646254538 /nfs/dbraw/zinc/25/45/38/646254538.db2.gz OSJXMJCSPLNMPM-SRVKXCTJSA-N 0 3 236.363 2.698 20 0 BFADHN CC(C)n1cc([C@H](C)NCCCC2CC2)nn1 ZINC000925438154 646284658 /nfs/dbraw/zinc/28/46/58/646284658.db2.gz VHTDZIZVLJSIKW-NSHDSACASA-N 0 3 236.363 2.700 20 0 BFADHN CCc1ncc(CN2C[C@@H](C)CC[C@@H]2C)o1 ZINC000929758185 646291456 /nfs/dbraw/zinc/29/14/56/646291456.db2.gz XEESBNUPJPFZFK-QWRGUYRKSA-N 0 3 222.332 2.857 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@@H]1C[C@H](C)n2ncnc21 ZINC000925479416 646292013 /nfs/dbraw/zinc/29/20/13/646292013.db2.gz YVEFDPLTJDHKNQ-FIQHERPVSA-N 0 3 236.363 2.698 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1nn(C)cc1C ZINC000929786698 646315875 /nfs/dbraw/zinc/31/58/75/646315875.db2.gz ZAYKFUNTDBHANC-LLVKDONJSA-N 0 3 223.364 2.597 20 0 BFADHN COC/C(C)=C\CN1CCC[C@@H]1c1ccccn1 ZINC000929813599 646332149 /nfs/dbraw/zinc/33/21/49/646332149.db2.gz ZTXBXLOVDLCLCV-JEMSNHSXSA-N 0 3 246.354 2.811 20 0 BFADHN Cc1nnsc1[C@H](C)N1CCC2(CCC2)C1 ZINC000929827329 646342459 /nfs/dbraw/zinc/34/24/59/646342459.db2.gz CBKGSONRUMKBAZ-JTQLQIEISA-N 0 3 237.372 2.784 20 0 BFADHN Fc1cccnc1CN[C@@H]1CC2CCC1CC2 ZINC000925596989 646350620 /nfs/dbraw/zinc/35/06/20/646350620.db2.gz FJOLUVHEYTXUOR-GCZXYKMCSA-N 0 3 234.318 2.889 20 0 BFADHN CS[C@@H](C)C[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000925600064 646353629 /nfs/dbraw/zinc/35/36/29/646353629.db2.gz DHYDSAKNLRWIDK-DTWKUNHWSA-N 0 3 243.347 2.933 20 0 BFADHN Cc1cccc([C@H]2CCCN2CCOC2CC2)n1 ZINC000929849480 646358996 /nfs/dbraw/zinc/35/89/96/646358996.db2.gz VSQCCVBJFHZVPR-OAHLLOKOSA-N 0 3 246.354 2.706 20 0 BFADHN CCc1ncc(CN2CCC[C@H]2CSC)o1 ZINC000929855595 646361753 /nfs/dbraw/zinc/36/17/53/646361753.db2.gz RUEYCYDZBQTNKU-JTQLQIEISA-N 0 3 240.372 2.564 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@H](C)C2CCC2)nn1 ZINC000925633982 646378444 /nfs/dbraw/zinc/37/84/44/646378444.db2.gz GIJBNROPWXQJAX-MNOVXSKESA-N 0 3 236.363 2.698 20 0 BFADHN COc1ccccc1C(C)(C)NCCOC1CC1 ZINC000929962929 646379217 /nfs/dbraw/zinc/37/92/17/646379217.db2.gz PLHOIKBMHSOBPF-UHFFFAOYSA-N 0 3 249.354 2.699 20 0 BFADHN COc1cc([C@H](C)N[C@@H](C)C2(C)CC2)on1 ZINC000925778629 646465322 /nfs/dbraw/zinc/46/53/22/646465322.db2.gz WCOSZONYFFTVCH-IUCAKERBSA-N 0 3 224.304 2.522 20 0 BFADHN CC[C@H]1COC[C@H]1NC1(c2ccccc2F)CC1 ZINC000925884422 646532552 /nfs/dbraw/zinc/53/25/52/646532552.db2.gz VORDDLMQNZBAPB-SMDDNHRTSA-N 0 3 249.329 2.829 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CC[C@H](C)[C@@H](C)C1 ZINC000926151855 646584133 /nfs/dbraw/zinc/58/41/33/646584133.db2.gz CISOYWZFXFECOD-QFOLPQNPSA-N 0 3 237.347 2.853 20 0 BFADHN COc1cc([C@@H](C)N[C@H](C)CCC2CC2)on1 ZINC000926196727 646592860 /nfs/dbraw/zinc/59/28/60/646592860.db2.gz RHDBCFPDHOVEKQ-NXEZZACHSA-N 0 3 238.331 2.913 20 0 BFADHN CC(C)C(C)(C)CN[C@@H]1C[C@H](C)n2ncnc21 ZINC000926242471 646602582 /nfs/dbraw/zinc/60/25/82/646602582.db2.gz HYCHJVPWAFBFHM-WDEREUQCSA-N 0 3 236.363 2.556 20 0 BFADHN CC(C)C(C)(C)CN[C@@H]1C[C@@H](C)n2ncnc21 ZINC000926242458 646603528 /nfs/dbraw/zinc/60/35/28/646603528.db2.gz HYCHJVPWAFBFHM-GHMZBOCLSA-N 0 3 236.363 2.556 20 0 BFADHN CCC(O)(CC)CCN[C@H](C)c1ccns1 ZINC000926253378 646605595 /nfs/dbraw/zinc/60/55/95/646605595.db2.gz CZSHAMHPOCGQFO-SNVBAGLBSA-N 0 3 242.388 2.735 20 0 BFADHN COc1cc([C@H](C)N[C@H]2CCC2(C)C)on1 ZINC000926423488 646635207 /nfs/dbraw/zinc/63/52/07/646635207.db2.gz LXECBXRFFRQOGH-WPRPVWTQSA-N 0 3 224.304 2.522 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@H](CCF)C2)[nH]1 ZINC000724778075 711612756 /nfs/dbraw/zinc/61/27/56/711612756.db2.gz QXGGFULACCNLAC-LBPRGKRZSA-N 0 3 239.338 2.598 20 0 BFADHN COc1ccccc1[C@@H](C)NCCOC(F)F ZINC000398221189 711709299 /nfs/dbraw/zinc/70/92/99/711709299.db2.gz PBTJDNABVQPDAM-SECBINFHSA-N 0 3 245.269 2.585 20 0 BFADHN CCCCCC[C@@H](C)NC(=O)[C@H](N)C1CCC1 ZINC000399078113 711743545 /nfs/dbraw/zinc/74/35/45/711743545.db2.gz OUFYCJAGEWCLSE-DGCLKSJQSA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@H]1COC[C@H]1NCc1c(C)cccc1F ZINC000925163126 711786166 /nfs/dbraw/zinc/78/61/66/711786166.db2.gz BUDAHMPRMXRDJD-SMDDNHRTSA-N 0 3 237.318 2.649 20 0 BFADHN Cc1cc(Cl)cc(CNC2CSC2)c1 ZINC000701973930 712033875 /nfs/dbraw/zinc/03/38/75/712033875.db2.gz UJWHVKBJECMGGS-UHFFFAOYSA-N 0 3 227.760 2.853 20 0 BFADHN COC(C)(C)[C@H](C)NCc1ccncc1Cl ZINC000704401106 712106676 /nfs/dbraw/zinc/10/66/76/712106676.db2.gz VEWRRIGJYBAUQC-VIFPVBQESA-N 0 3 242.750 2.638 20 0 BFADHN Cc1nc(CNCC[C@H]2CCSC2)cs1 ZINC000704864802 712119595 /nfs/dbraw/zinc/11/95/95/712119595.db2.gz GKFOCGQXPAUKLG-JTQLQIEISA-N 0 3 242.413 2.684 20 0 BFADHN CCc1ccc(CNCCc2ccns2)o1 ZINC000709815602 712228010 /nfs/dbraw/zinc/22/80/10/712228010.db2.gz ZCBRKQSGHFBMOK-UHFFFAOYSA-N 0 3 236.340 2.631 20 0 BFADHN Fc1ccccc1CNCCc1ccns1 ZINC000709813554 712228285 /nfs/dbraw/zinc/22/82/85/712228285.db2.gz OHSDHJKDOFRQCG-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN CC(=O)CCN1C[C@H](C(F)(F)F)CC[C@@H]1C ZINC000780384220 712416572 /nfs/dbraw/zinc/41/65/72/712416572.db2.gz FUHUOKWSERBFHA-WCBMZHEXSA-N 0 3 237.265 2.628 20 0 BFADHN Cn1ncc(CNC[C@]2(C)CC2(C)C)c1Cl ZINC000712453284 712430731 /nfs/dbraw/zinc/43/07/31/712430731.db2.gz VCZRALZACTWKPK-LBPRGKRZSA-N 0 3 241.766 2.599 20 0 BFADHN COC[C@H]1C[C@@H](N[C@H](C)c2nc(C)cs2)C1 ZINC000714482372 712491764 /nfs/dbraw/zinc/49/17/64/712491764.db2.gz VXNKEMUPBVGWKU-MXWKQRLJSA-N 0 3 240.372 2.527 20 0 BFADHN C[C@H](NC1CCCCCCC1)c1cn(C)nn1 ZINC000715873481 712538108 /nfs/dbraw/zinc/53/81/08/712538108.db2.gz COOYFWXJYOIIIZ-NSHDSACASA-N 0 3 236.363 2.579 20 0 BFADHN CCCC[C@@H](CC)CN[C@H](C)c1cn(C)nn1 ZINC000715903775 712541034 /nfs/dbraw/zinc/54/10/34/712541034.db2.gz TWFIXOPXQADUOH-VXGBXAGGSA-N 0 3 238.379 2.682 20 0 BFADHN CCCC[C@H](CC)CN[C@H](C)c1cn(C)nn1 ZINC000715903767 712541096 /nfs/dbraw/zinc/54/10/96/712541096.db2.gz TWFIXOPXQADUOH-NEPJUHHUSA-N 0 3 238.379 2.682 20 0 BFADHN CN(CCCF)CCC(=O)c1ccc(F)cc1 ZINC000716822694 712576784 /nfs/dbraw/zinc/57/67/84/712576784.db2.gz PCHQTCHKEJKUMM-UHFFFAOYSA-N 0 3 241.281 2.690 20 0 BFADHN CC[C@H](C[C@H](C)O)NCc1c(F)cccc1F ZINC000716856191 712578910 /nfs/dbraw/zinc/57/89/10/712578910.db2.gz ZSBOUFBHJBLATD-VHSXEESVSA-N 0 3 243.297 2.604 20 0 BFADHN c1nc(CNCC[C@@H]2CC[C@H]3C[C@H]32)cs1 ZINC000717043845 712624858 /nfs/dbraw/zinc/62/48/58/712624858.db2.gz BUSIBPLOLCMNQS-NHCYSSNCSA-N 0 3 222.357 2.669 20 0 BFADHN Cc1ncc(CNCC[C@@H]2CC[C@@H]3C[C@@H]32)o1 ZINC000717157431 712638066 /nfs/dbraw/zinc/63/80/66/712638066.db2.gz CSABUOHYRUSFIS-DMDPSCGWSA-N 0 3 220.316 2.509 20 0 BFADHN CCc1ccc(CNC[C@H]2CC(C)(C)CO2)o1 ZINC000717318312 712646520 /nfs/dbraw/zinc/64/65/20/712646520.db2.gz NQKINIYFSMAENT-CYBMUJFWSA-N 0 3 237.343 2.747 20 0 BFADHN C[C@H](NC[C@@H]1CC(C)(C)CO1)c1ccoc1 ZINC000717393874 712653770 /nfs/dbraw/zinc/65/37/70/712653770.db2.gz JNAHXZQTMPQTAU-JQWIXIFHSA-N 0 3 223.316 2.745 20 0 BFADHN C[C@@H](NC[C@@H]1CCC=CO1)c1ccoc1 ZINC000380109700 712687713 /nfs/dbraw/zinc/68/77/13/712687713.db2.gz NVMAJZPTAGAREC-PWSUYJOCSA-N 0 3 207.273 2.623 20 0 BFADHN Cc1nc(CNC2(C3CC3)CCC2)cs1 ZINC000717874846 712695855 /nfs/dbraw/zinc/69/58/55/712695855.db2.gz NFUHYQORTAAFPF-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN CC/C=C/C[NH2+]Cc1ccc([O-])c(F)c1 ZINC000384876683 712703961 /nfs/dbraw/zinc/70/39/61/712703961.db2.gz PSMSIVXRMPQAPS-ONEGZZNKSA-N 0 3 209.264 2.587 20 0 BFADHN Cc1coc(CNC[C@@]2(C)CC2(F)F)c1 ZINC000718057562 712740334 /nfs/dbraw/zinc/74/03/34/712740334.db2.gz IAAGRHVQCPRKCG-SNVBAGLBSA-N 0 3 215.243 2.723 20 0 BFADHN Clc1ncc(CN[C@H]2CCCSC2)s1 ZINC000718176100 712751534 /nfs/dbraw/zinc/75/15/34/712751534.db2.gz MXRUJLMCGASMNY-ZETCQYMHSA-N 0 3 248.804 2.782 20 0 BFADHN CSC1CCC(CNCc2cocn2)CC1 ZINC000720610691 712858338 /nfs/dbraw/zinc/85/83/38/712858338.db2.gz HNVXLEOJNQFCNH-UHFFFAOYSA-N 0 3 240.372 2.686 20 0 BFADHN C[C@@H](CCC1CC1)NCc1ncc(Cl)n1C ZINC000381151432 710605173 /nfs/dbraw/zinc/60/51/73/710605173.db2.gz PCINIHOHUXCHSB-VIFPVBQESA-N 0 3 241.766 2.742 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)C2(C)CC2)nn1C ZINC000381288684 710617267 /nfs/dbraw/zinc/61/72/67/710617267.db2.gz CKVXMZPQIDRXMJ-GHMZBOCLSA-N 0 3 221.348 2.568 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)C2(C)CC2)nn1C ZINC000381288687 710617412 /nfs/dbraw/zinc/61/74/12/710617412.db2.gz CKVXMZPQIDRXMJ-WDEREUQCSA-N 0 3 221.348 2.568 20 0 BFADHN CS[C@@H]1CCCC[C@H]1N[C@H](C)c1ncc[nH]1 ZINC000381399635 710632778 /nfs/dbraw/zinc/63/27/78/710632778.db2.gz QPYWOFVPPWAJKD-GMTAPVOTSA-N 0 3 239.388 2.735 20 0 BFADHN Cc1ccnc(CNC(C(C)C)C(C)C)n1 ZINC000381455876 710638572 /nfs/dbraw/zinc/63/85/72/710638572.db2.gz IIYJODJZBKXZOU-UHFFFAOYSA-N 0 3 221.348 2.555 20 0 BFADHN CC1(C)CC[C@H]1NCc1nc2c(s1)CCC2 ZINC000381471396 710641671 /nfs/dbraw/zinc/64/16/71/710641671.db2.gz MTPVUKSXFCQFFS-LLVKDONJSA-N 0 3 236.384 2.910 20 0 BFADHN CCOC[C@H](C)NCc1c(C)cccc1F ZINC000381774494 710707898 /nfs/dbraw/zinc/70/78/98/710707898.db2.gz WQEXRZHZTXWBEY-NSHDSACASA-N 0 3 225.307 2.649 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1cnn(C)c1Cl ZINC000382152261 710801354 /nfs/dbraw/zinc/80/13/54/710801354.db2.gz PZMNMCWFGVMDHA-ZJUUUORDSA-N 0 3 243.782 2.988 20 0 BFADHN Cc1cc(C)cc(-n2cc([C@@H](N)C(C)C)nn2)c1 ZINC000384464600 710915704 /nfs/dbraw/zinc/91/57/04/710915704.db2.gz ZFTXRECEPKTVRR-AWEZNQCLSA-N 0 3 244.342 2.540 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1ccn(C2CCCC2)n1 ZINC000383511173 710983014 /nfs/dbraw/zinc/98/30/14/710983014.db2.gz LHDZLJVHWOUJJI-BXUZGUMPSA-N 0 3 233.359 2.886 20 0 BFADHN CSC(C)(C)CCNCc1cc(C)no1 ZINC000385303158 711009751 /nfs/dbraw/zinc/00/97/51/711009751.db2.gz KYMDIJJOMQCOJQ-UHFFFAOYSA-N 0 3 228.361 2.604 20 0 BFADHN C[C@H](N(C)Cc1ccc(Cl)nn1)C1(C)CC1 ZINC000385601451 711015887 /nfs/dbraw/zinc/01/58/87/711015887.db2.gz FWTQHTYWZBCYBP-VIFPVBQESA-N 0 3 239.750 2.750 20 0 BFADHN CC(C)SCC[NH2+]Cc1ccc([O-])c(F)c1 ZINC000380742016 712902850 /nfs/dbraw/zinc/90/28/50/712902850.db2.gz SAZCDTHTTRKLMR-UHFFFAOYSA-N 0 3 243.347 2.763 20 0 BFADHN CC[C@@H]1CCC[C@H]([NH2+][C@H](C)c2nnc(C)[n-]2)C1 ZINC000392338560 711144568 /nfs/dbraw/zinc/14/45/68/711144568.db2.gz ADRYUCKCHJGWQA-JLLWLGSASA-N 0 3 236.363 2.733 20 0 BFADHN COC[C@H](N[C@@H]1CC[C@H]1C)c1ccc(C)o1 ZINC000390669726 711130616 /nfs/dbraw/zinc/13/06/16/711130616.db2.gz VCDXQVYAMCYLLG-JLLWLGSASA-N 0 3 223.316 2.664 20 0 BFADHN Cc1nnc([C@H](C)N[C@H](C)CC(C)(C)C)[nH]1 ZINC000392360946 711144488 /nfs/dbraw/zinc/14/44/88/711144488.db2.gz BFKCPMVYHKTWLI-BDAKNGLRSA-N 0 3 224.352 2.588 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C2C[C@@H](C)C[C@H](C)C2)[n-]1 ZINC000392380855 711144532 /nfs/dbraw/zinc/14/45/32/711144532.db2.gz LMNBUARREMHFQO-GUBZILKMSA-N 0 3 236.363 2.588 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CC[C@H](C)[C@@H](C)C2)[n-]1 ZINC000392373747 711144551 /nfs/dbraw/zinc/14/45/51/711144551.db2.gz NMGOYKNSRXSQEL-QFOLPQNPSA-N 0 3 236.363 2.588 20 0 BFADHN CCC(C)(C)CNCc1cnn(C)c1Cl ZINC000393488494 711160834 /nfs/dbraw/zinc/16/08/34/711160834.db2.gz RQMCFNXAFRYILD-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN Cc1nn(C)c(CN[C@H]2CCC2(C)C)c1Cl ZINC000393804528 711176218 /nfs/dbraw/zinc/17/62/18/711176218.db2.gz YGXZQUQPVOGJBN-JTQLQIEISA-N 0 3 241.766 2.660 20 0 BFADHN FC1(F)CC[C@H](N[C@H]2CCc3cccnc32)C1 ZINC000393842854 711177305 /nfs/dbraw/zinc/17/73/05/711177305.db2.gz AJVLJXRVLPAMPS-QWRGUYRKSA-N 0 3 238.281 2.846 20 0 BFADHN CC1(C)SC[C@H]1N[C@@H]1CCc2cccnc21 ZINC000393838293 711177450 /nfs/dbraw/zinc/17/74/50/711177450.db2.gz DYHJGRJTDKEFOP-GHMZBOCLSA-N 0 3 234.368 2.553 20 0 BFADHN C[C@@H](NCCCC1CC1)c1nnc2ccccn21 ZINC000394664431 711196541 /nfs/dbraw/zinc/19/65/41/711196541.db2.gz PLUPCELHHLNHDP-LLVKDONJSA-N 0 3 244.342 2.570 20 0 BFADHN CSCC[C@H](C)NCc1ncc(C)s1 ZINC000394723804 711196970 /nfs/dbraw/zinc/19/69/70/711196970.db2.gz HNYRICMEQTZFMG-QMMMGPOBSA-N 0 3 230.402 2.683 20 0 BFADHN COc1ccccc1[C@H](C)NCCOC(F)F ZINC000398221192 711405770 /nfs/dbraw/zinc/40/57/70/711405770.db2.gz PBTJDNABVQPDAM-VIFPVBQESA-N 0 3 245.269 2.585 20 0 BFADHN CC(C)SCCN[C@H]1CCc2cccnc21 ZINC000380848002 710592235 /nfs/dbraw/zinc/59/22/35/710592235.db2.gz XAOFMOMMHMCTCM-LBPRGKRZSA-N 0 3 236.384 2.800 20 0 BFADHN CCCOc1cccc(CNC2CSC2)c1 ZINC000381295305 710617040 /nfs/dbraw/zinc/61/70/40/710617040.db2.gz GDBRLTOSFPFWBG-UHFFFAOYSA-N 0 3 237.368 2.680 20 0 BFADHN CCCCC[C@@H](C)N[C@H](C)c1nnc(C)[nH]1 ZINC000392483780 711148407 /nfs/dbraw/zinc/14/84/07/711148407.db2.gz VWPPQLINVQREOM-NXEZZACHSA-N 0 3 224.352 2.733 20 0 BFADHN Cc1nonc1CN[C@H](C)[C@H]1CC2CCC1CC2 ZINC000394294060 711188280 /nfs/dbraw/zinc/18/82/80/711188280.db2.gz OQNIMEGCVQNDFJ-SARFZWSYSA-N 0 3 249.358 2.682 20 0 BFADHN Cc1nonc1CN[C@H](C)[C@@H]1CC2CCC1CC2 ZINC000394294059 711188779 /nfs/dbraw/zinc/18/87/79/711188779.db2.gz OQNIMEGCVQNDFJ-QWCHVHKLSA-N 0 3 249.358 2.682 20 0 BFADHN CCC[C@@H](NCc1ccon1)[C@@H]1CC1(C)C ZINC000397590313 711387382 /nfs/dbraw/zinc/38/73/82/711387382.db2.gz KBNXCWHIPWZAAU-NWDGAFQWSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H](COCC1CCCCC1)NCCF ZINC000932420832 711463205 /nfs/dbraw/zinc/46/32/05/711463205.db2.gz IIZOVVCOKCGTAL-NSHDSACASA-N 0 3 217.328 2.531 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCC2(C)C)o1 ZINC000382334006 711475078 /nfs/dbraw/zinc/47/50/78/711475078.db2.gz XKSQMJFBPNHKPR-NSHDSACASA-N 0 3 208.305 2.570 20 0 BFADHN Cc1cn(C)nc1CN[C@H](C)[C@@]12C[C@@H]1CCCC2 ZINC000723502020 711513556 /nfs/dbraw/zinc/51/35/56/711513556.db2.gz XZWVQALIZLJPDB-VNHYZAJKSA-N 0 3 247.386 2.787 20 0 BFADHN C[C@H](NCCOCC1CCCC1)c1ccccn1 ZINC000313311596 711514785 /nfs/dbraw/zinc/51/47/85/711514785.db2.gz XRIISBJSVJWRKN-ZDUSSCGKSA-N 0 3 248.370 2.939 20 0 BFADHN CO[C@H]1C[C@@H](NCc2cc(C)cc(C)n2)C1(C)C ZINC000723605584 711525103 /nfs/dbraw/zinc/52/51/03/711525103.db2.gz BYGSEWHRSBUGRY-KGLIPLIRSA-N 0 3 248.370 2.602 20 0 BFADHN Cc1cc(C)nc(CN[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)c1 ZINC000723659898 711532166 /nfs/dbraw/zinc/53/21/66/711532166.db2.gz OCCGUEKZPGSHEB-OSFYFWSMSA-N 0 3 248.370 2.600 20 0 BFADHN c1cc(CN[C@@H](C2CC2)C2CCCC2)no1 ZINC000723875643 711541560 /nfs/dbraw/zinc/54/15/60/711541560.db2.gz BVYGGLWOUKOPDZ-CYBMUJFWSA-N 0 3 220.316 2.733 20 0 BFADHN CCCn1cc([C@H](C)NCCC2CC=CC2)nn1 ZINC000724194723 711568175 /nfs/dbraw/zinc/56/81/75/711568175.db2.gz QZTIBFGMWMGIMY-LBPRGKRZSA-N 0 3 248.374 2.695 20 0 BFADHN CCCCC1(NCc2cc(C)nc(C)n2)CC1 ZINC000934201627 711574827 /nfs/dbraw/zinc/57/48/27/711574827.db2.gz XVUQGKLQXPUXII-UHFFFAOYSA-N 0 3 233.359 2.906 20 0 BFADHN Cc1nc(C)c(CN(C)CCC(C)C)[nH]1 ZINC000724774664 711611772 /nfs/dbraw/zinc/61/17/72/711611772.db2.gz MNBAEOWMILJRQA-UHFFFAOYSA-N 0 3 209.337 2.504 20 0 BFADHN Cc1nc(C)c(CN(C)CC(C)(C)C)[nH]1 ZINC000724775032 711611860 /nfs/dbraw/zinc/61/18/60/711611860.db2.gz SKQFEGPTTZBKBR-UHFFFAOYSA-N 0 3 209.337 2.504 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@H]3CCC[C@@H]32)[nH]1 ZINC000724780928 711613839 /nfs/dbraw/zinc/61/38/39/711613839.db2.gz SPBPYDHRHXOGFO-OCCSQVGLSA-N 0 3 233.359 2.791 20 0 BFADHN Cc1nc(C)c(CN[C@H](C)c2ccccc2)[nH]1 ZINC000724790005 711614443 /nfs/dbraw/zinc/61/44/43/711614443.db2.gz HMPDOHOLWLIUPY-SNVBAGLBSA-N 0 3 229.327 2.877 20 0 BFADHN CC1(C)CC1NC(=O)N1CC2CCCC(C2)C1 ZINC000344269060 711756528 /nfs/dbraw/zinc/75/65/28/711756528.db2.gz SPBTWRJGASITLU-SDDRHHMPSA-N 0 3 236.359 2.617 20 0 BFADHN Cc1ncoc1CN1CCC(C)(C2CC2)CC1 ZINC000894795698 711772284 /nfs/dbraw/zinc/77/22/84/711772284.db2.gz SSJYUDXGFWYOKZ-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN CC(C)C(CN1CCc2ncncc2C1)C(C)C ZINC000895723999 711781145 /nfs/dbraw/zinc/78/11/45/711781145.db2.gz LOJFYVAYVLZUGZ-UHFFFAOYSA-N 0 3 247.386 2.763 20 0 BFADHN C[C@@H](CN[C@H]1C[C@@H](C)n2ncnc21)C(C)(C)C ZINC000668468294 711812991 /nfs/dbraw/zinc/81/29/91/711812991.db2.gz GHCPRAPNAOVECB-AXFHLTTASA-N 0 3 236.363 2.556 20 0 BFADHN CSC(C)(C)CNCc1nc(C)c(C)s1 ZINC000229103060 711837731 /nfs/dbraw/zinc/83/77/31/711837731.db2.gz MELCLENSFBMLTC-UHFFFAOYSA-N 0 3 244.429 2.991 20 0 BFADHN CCC[C@H](N)c1cn(-c2ccc(C)c(F)c2)nn1 ZINC000229921236 711839464 /nfs/dbraw/zinc/83/94/64/711839464.db2.gz XCXBSLMXZTWHTD-LBPRGKRZSA-N 0 3 248.305 2.515 20 0 BFADHN COc1cncc(CNCc2cc(C)cs2)c1 ZINC000700058741 711984944 /nfs/dbraw/zinc/98/49/44/711984944.db2.gz TZUOHQZZRAGYHV-UHFFFAOYSA-N 0 3 248.351 2.750 20 0 BFADHN C[C@@H](CNCc1ccoc1)Oc1ccccc1 ZINC000700351189 711989748 /nfs/dbraw/zinc/98/97/48/711989748.db2.gz AOTZKOOECAHTEV-LBPRGKRZSA-N 0 3 231.295 2.837 20 0 BFADHN CCS[C@@H]1CCC[C@@H]1NCc1cnc(C)o1 ZINC000700848784 712008551 /nfs/dbraw/zinc/00/85/51/712008551.db2.gz PHDPJBCUDCPBGI-NWDGAFQWSA-N 0 3 240.372 2.747 20 0 BFADHN Cc1nc(CNCC[C@H]2CC2(F)F)sc1C ZINC000701948333 712033215 /nfs/dbraw/zinc/03/32/15/712033215.db2.gz URTOYZIDAQBMKK-VIFPVBQESA-N 0 3 246.326 2.895 20 0 BFADHN Cc1ncccc1CN[C@H](C)C1(Cl)CC1 ZINC000705527136 712133048 /nfs/dbraw/zinc/13/30/48/712133048.db2.gz GXMCHBYSSRNDTD-SNVBAGLBSA-N 0 3 224.735 2.640 20 0 BFADHN Cc1cc(NCC[C@@H]2CC=CCC2)nc(N)n1 ZINC000706919927 712158169 /nfs/dbraw/zinc/15/81/69/712158169.db2.gz DDDDSTIJLZGTTD-LLVKDONJSA-N 0 3 232.331 2.526 20 0 BFADHN CSC1CC(NCc2ccc(C)o2)C1 ZINC000706937365 712162316 /nfs/dbraw/zinc/16/23/16/712162316.db2.gz JZZXGAJGVJOQRG-UHFFFAOYSA-N 0 3 211.330 2.572 20 0 BFADHN Cc1ccc(C(=O)CCN2CC[C@@H]3C[C@@H]32)cc1 ZINC000707095941 712164559 /nfs/dbraw/zinc/16/45/59/712164559.db2.gz KCRXSJURCCIJAL-KGLIPLIRSA-N 0 3 229.323 2.662 20 0 BFADHN Cc1nonc1CN[C@@H]1C[C@H](C)CC(C)(C)C1 ZINC000708252568 712184992 /nfs/dbraw/zinc/18/49/92/712184992.db2.gz SJDKBQCGVSKVRC-GXSJLCMTSA-N 0 3 237.347 2.682 20 0 BFADHN C[C@@H](NC[C@@H]1CC(C)(C)CO1)c1ccco1 ZINC000708327185 712187066 /nfs/dbraw/zinc/18/70/66/712187066.db2.gz UNLDJRZXJFYRRZ-MNOVXSKESA-N 0 3 223.316 2.745 20 0 BFADHN CCC[C@@H]1CCCN(c2ccnc(CO)c2)C1 ZINC000708457546 712194759 /nfs/dbraw/zinc/19/47/59/712194759.db2.gz HVFGRSPKQMVVJP-GFCCVEGCSA-N 0 3 234.343 2.590 20 0 BFADHN OCc1cnccc1N1CC[C@@H](C2CCCC2)C1 ZINC000708870168 712202087 /nfs/dbraw/zinc/20/20/87/712202087.db2.gz NTKJVPFRCOUFCC-CYBMUJFWSA-N 0 3 246.354 2.590 20 0 BFADHN CCCCCCNCc1nc(C)c(C)[nH]1 ZINC000709057566 712206963 /nfs/dbraw/zinc/20/69/63/712206963.db2.gz YJGVSOXUJXFQEU-UHFFFAOYSA-N 0 3 209.337 2.696 20 0 BFADHN C[C@@H](NC[C@H]1CCCC1(F)F)c1cn(C)cn1 ZINC000709916813 712232812 /nfs/dbraw/zinc/23/28/12/712232812.db2.gz BSJWBSNQZINAKC-NXEZZACHSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@@H](NCCC1=CCCCC1)c1cn(C)cn1 ZINC000710012346 712240891 /nfs/dbraw/zinc/24/08/91/712240891.db2.gz ICHCGIYJNCTLOA-GFCCVEGCSA-N 0 3 233.359 2.961 20 0 BFADHN C[C@H](CCc1ccco1)N[C@H](C)c1cn(C)cn1 ZINC000710012872 712240978 /nfs/dbraw/zinc/24/09/78/712240978.db2.gz OBSPVVQILNTOCE-VXGBXAGGSA-N 0 3 247.342 2.685 20 0 BFADHN CCC[C@@H](N)c1cn(C[C@@H](C)C(C)(C)C)nn1 ZINC000710830108 712257454 /nfs/dbraw/zinc/25/74/54/712257454.db2.gz RIGQBURUUFLKIL-GHMZBOCLSA-N 0 3 238.379 2.760 20 0 BFADHN Cc1csc(CN[C@@]23C[C@@H]2CCCC3)n1 ZINC000710588967 712263500 /nfs/dbraw/zinc/26/35/00/712263500.db2.gz CZPNMIATIXJQME-JQWIXIFHSA-N 0 3 222.357 2.874 20 0 BFADHN CC[C@@H](N[C@H]1CCC1(OC)OC)c1ccccc1 ZINC000710984931 712271843 /nfs/dbraw/zinc/27/18/43/712271843.db2.gz ADIXYBGDIXCMTP-KGLIPLIRSA-N 0 3 249.354 2.879 20 0 BFADHN COC1(OC)CC[C@H]1N[C@H](C)c1ccc(C)cc1 ZINC000710988349 712272074 /nfs/dbraw/zinc/27/20/74/712272074.db2.gz LHIOLRCKZLHCSO-TZMCWYRMSA-N 0 3 249.354 2.797 20 0 BFADHN Cc1ccc(CNC[C@H]2CCC3(CCC3)O2)o1 ZINC000711454974 712304276 /nfs/dbraw/zinc/30/42/76/712304276.db2.gz DLFZORHHOFULBQ-CYBMUJFWSA-N 0 3 235.327 2.779 20 0 BFADHN C[C@H](NC[C@@H]1C=CCC1)c1cscn1 ZINC000711656957 712315280 /nfs/dbraw/zinc/31/52/80/712315280.db2.gz ULOPFOIVHGOCGO-VHSXEESVSA-N 0 3 208.330 2.760 20 0 BFADHN COc1ccccc1[C@@H](C)NCCOC1CC1 ZINC000711691937 712318071 /nfs/dbraw/zinc/31/80/71/712318071.db2.gz VJEVEDURUZSBLQ-LLVKDONJSA-N 0 3 235.327 2.525 20 0 BFADHN [O-]c1ccc(C[NH2+]C[C@@H]2C=CCC2)cc1F ZINC000711719977 712319505 /nfs/dbraw/zinc/31/95/05/712319505.db2.gz RKSQGROGVSDPBW-SNVBAGLBSA-N 0 3 221.275 2.587 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2nccn2C2CC2)C1 ZINC000711745076 712325535 /nfs/dbraw/zinc/32/55/35/712325535.db2.gz FPQDSZDMCQXIOC-VXGBXAGGSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2nccn2C2CC2)C1 ZINC000711745068 712325772 /nfs/dbraw/zinc/32/57/72/712325772.db2.gz FPQDSZDMCQXIOC-NEPJUHHUSA-N 0 3 233.359 2.744 20 0 BFADHN c1cn(C2CC2)c(CNCCC2CCCC2)n1 ZINC000711744650 712325954 /nfs/dbraw/zinc/32/59/54/712325954.db2.gz FBGGUEANGVBSIP-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN Cc1nc(CNC[C@@H]2C=CCC2)cs1 ZINC000711785704 712329989 /nfs/dbraw/zinc/32/99/89/712329989.db2.gz ITSDWJBBIGCDCI-SNVBAGLBSA-N 0 3 208.330 2.507 20 0 BFADHN c1csc(CCNCc2nccn2C2CC2)c1 ZINC000711819037 712332482 /nfs/dbraw/zinc/33/24/82/712332482.db2.gz XCDDIKIVSDAITC-UHFFFAOYSA-N 0 3 247.367 2.612 20 0 BFADHN COC(C)(C)CCNCc1c(F)cccc1F ZINC000711857114 712335692 /nfs/dbraw/zinc/33/56/92/712335692.db2.gz XRTATFDSKPANFC-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN Cc1nonc1CN[C@H](C1CCC1)C1CCCC1 ZINC000711897848 712338606 /nfs/dbraw/zinc/33/86/06/712338606.db2.gz WUSGAPYKDBEFQK-AWEZNQCLSA-N 0 3 249.358 2.827 20 0 BFADHN COC(C)(C)CCNCc1nc(C)c(C)s1 ZINC000711908398 712338872 /nfs/dbraw/zinc/33/88/72/712338872.db2.gz HOLFBPUXHNNTPY-UHFFFAOYSA-N 0 3 242.388 2.665 20 0 BFADHN CC(C)CCCNCc1cnn(C)c1Cl ZINC000378731082 712359505 /nfs/dbraw/zinc/35/95/05/712359505.db2.gz ATNWDAJNRYSZBM-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN CSCC[C@H](C)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000379141287 712370421 /nfs/dbraw/zinc/37/04/21/712370421.db2.gz FQSHTKCSBZRKMK-GARJFASQSA-N 0 3 239.388 2.620 20 0 BFADHN CC(C)N1CCN(CCSC(C)(C)C)CC1 ZINC000801911266 712428070 /nfs/dbraw/zinc/42/80/70/712428070.db2.gz IKXRKJKWPNVOGF-UHFFFAOYSA-N 0 3 244.448 2.544 20 0 BFADHN CC(C)n1ccnc1CNCC[C@@H]1C[C@H]1C1CC1 ZINC000712629402 712439239 /nfs/dbraw/zinc/43/92/39/712439239.db2.gz IDHCOFWZXFNOIG-KGLIPLIRSA-N 0 3 247.386 2.990 20 0 BFADHN Cc1cnc(CNCC[C@@H]2C[C@H]2C2CC2)s1 ZINC000712636531 712439293 /nfs/dbraw/zinc/43/92/93/712439293.db2.gz HMVRHEHKFPWCLG-NEPJUHHUSA-N 0 3 236.384 2.977 20 0 BFADHN C[C@H]1C[C@@H](NCC[C@@H]2C[C@H]2C2CC2)c2nccn21 ZINC000712693057 712448044 /nfs/dbraw/zinc/44/80/44/712448044.db2.gz AWFFNZNBKNAVMW-AHLTXXRQSA-N 0 3 245.370 2.915 20 0 BFADHN CC1(C)CC[C@@H](CNCc2ccoc2)OC1 ZINC000713608285 712466811 /nfs/dbraw/zinc/46/68/11/712466811.db2.gz WRPCOJFKUIIATH-LBPRGKRZSA-N 0 3 223.316 2.574 20 0 BFADHN CC1(C)CCC[C@H](CNCc2cncs2)O1 ZINC000714379890 712480224 /nfs/dbraw/zinc/48/02/24/712480224.db2.gz BWEIWWKWGMYXFA-SNVBAGLBSA-N 0 3 240.372 2.580 20 0 BFADHN CCCCC[C@@H](C)NCc1cn(CCC)nn1 ZINC000714459790 712490542 /nfs/dbraw/zinc/49/05/42/712490542.db2.gz OKUXDGURNNMVMS-GFCCVEGCSA-N 0 3 238.379 2.747 20 0 BFADHN CCCC[C@H](CCC)NCc1cn(CC)nn1 ZINC000714936621 712500267 /nfs/dbraw/zinc/50/02/67/712500267.db2.gz UICQZDSVPDIKPF-LBPRGKRZSA-N 0 3 238.379 2.747 20 0 BFADHN CCn1cc(CNC(C)(C)CC(C)(C)C)nn1 ZINC000714935800 712500357 /nfs/dbraw/zinc/50/03/57/712500357.db2.gz PGFKHGBUIRCTCW-UHFFFAOYSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1ccc(CNC[C@@H]2CC[C@@H](C3CC3)O2)o1 ZINC000715402448 712516903 /nfs/dbraw/zinc/51/69/03/712516903.db2.gz LZWKBZHRYJGFQW-KBPBESRZSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CC[C@@H](C2CC2)O1 ZINC000715406147 712517049 /nfs/dbraw/zinc/51/70/49/712517049.db2.gz YGVPENWQLCQXAN-STQMWFEESA-N 0 3 235.327 2.635 20 0 BFADHN Cc1ccc(CNC[C@H]2CC[C@H](C3CC3)O2)o1 ZINC000715402451 712517076 /nfs/dbraw/zinc/51/70/76/712517076.db2.gz LZWKBZHRYJGFQW-ZIAGYGMSSA-N 0 3 235.327 2.635 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H]2CCCC23CC3)nn1 ZINC000715885306 712539627 /nfs/dbraw/zinc/53/96/27/712539627.db2.gz RGWVBPVFRGRADL-WCQYABFASA-N 0 3 248.374 2.671 20 0 BFADHN CCCn1cc([C@H](C)N[C@H]2CCCC23CC3)nn1 ZINC000715885301 712539644 /nfs/dbraw/zinc/53/96/44/712539644.db2.gz RGWVBPVFRGRADL-AAEUAGOBSA-N 0 3 248.374 2.671 20 0 BFADHN CC(C)CC(C)(C)CN[C@H](C)c1cn(C)nn1 ZINC000715930341 712543588 /nfs/dbraw/zinc/54/35/88/712543588.db2.gz UNCZTMKIDDJHMX-LLVKDONJSA-N 0 3 238.379 2.538 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccns1)[C@@H]1CCCCO1 ZINC000716032584 712547360 /nfs/dbraw/zinc/54/73/60/712547360.db2.gz DMQKLLBDIDYWKI-VWYCJHECSA-N 0 3 240.372 2.751 20 0 BFADHN CSC1CC(N[C@H](C)c2ccns2)C1 ZINC000716034197 712547402 /nfs/dbraw/zinc/54/74/02/712547402.db2.gz PKUKHADFICRUJB-AFPNSQJFSA-N 0 3 228.386 2.688 20 0 BFADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1ccns1 ZINC000715976047 712551039 /nfs/dbraw/zinc/55/10/39/712551039.db2.gz HCXCGSFUWUIRNX-APPZFPTMSA-N 0 3 232.299 2.839 20 0 BFADHN Cn1ncc(CNCCCCC2CC2)c1Cl ZINC000716358497 712556238 /nfs/dbraw/zinc/55/62/38/712556238.db2.gz NRKKSFNWVNUGJY-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN Cc1[nH]nc(CN[C@H]2CCCc3occc32)c1C ZINC000716440133 712560659 /nfs/dbraw/zinc/56/06/59/712560659.db2.gz LPNNGPGICCYYNI-LBPRGKRZSA-N 0 3 245.326 2.787 20 0 BFADHN Cc1ccc(CNCc2[nH]nc(C)c2C)s1 ZINC000716452994 712561908 /nfs/dbraw/zinc/56/19/08/712561908.db2.gz ZFJZLHXFXWAVOU-UHFFFAOYSA-N 0 3 235.356 2.686 20 0 BFADHN Cc1[nH]nc(CNc2ccc3c(n2)CCC3)c1C ZINC000716458631 712562363 /nfs/dbraw/zinc/56/23/63/712562363.db2.gz HVDNEZGFIVQMPD-UHFFFAOYSA-N 0 3 242.326 2.522 20 0 BFADHN Cc1[nH]nc(CNCc2cccc(C)c2)c1C ZINC000716456776 712562379 /nfs/dbraw/zinc/56/23/79/712562379.db2.gz RXCYNPBQHNHCCT-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN Cc1n[nH]c(CN[C@@H](C)c2ccccc2)c1C ZINC000716456787 712562403 /nfs/dbraw/zinc/56/24/03/712562403.db2.gz SBPOCLGOVVOBRH-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1n[nH]c(CN[C@H](C)c2ccccc2)c1C ZINC000716456785 712562684 /nfs/dbraw/zinc/56/26/84/712562684.db2.gz SBPOCLGOVVOBRH-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1csc(CNC[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)n1 ZINC000716562992 712563840 /nfs/dbraw/zinc/56/38/40/712563840.db2.gz LCOMFVANAXELQJ-KLHWPWHYSA-N 0 3 236.384 2.833 20 0 BFADHN Cc1cnc(CNC[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)s1 ZINC000716635930 712567939 /nfs/dbraw/zinc/56/79/39/712567939.db2.gz HOUCGRNBLIGSJX-IXLVHKGHSA-N 0 3 236.384 2.833 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNCc1nccn1C1CC1 ZINC000716667097 712568915 /nfs/dbraw/zinc/56/89/15/712568915.db2.gz ZWUOYEWQPYBKCH-UVLXDEKHSA-N 0 3 245.370 2.600 20 0 BFADHN Cc1cc(-c2cccc([C@@H]3CNCCO3)c2)co1 ZINC000863722590 712619851 /nfs/dbraw/zinc/61/98/51/712619851.db2.gz GDAJVOOWNRGCGK-HNNXBMFYSA-N 0 3 243.306 2.916 20 0 BFADHN OC[C@@H]1C=CCN1Cc1ccc2ccccc2c1 ZINC000880484184 712633331 /nfs/dbraw/zinc/63/33/31/712633331.db2.gz MJMKWSCHKRGKHF-INIZCTEOSA-N 0 3 239.318 2.573 20 0 BFADHN Cc1ccc(F)c(CNC[C@@H]2CCC=CO2)c1 ZINC000379993056 712645110 /nfs/dbraw/zinc/64/51/10/712645110.db2.gz XPAZEXMRALDJTR-ZDUSSCGKSA-N 0 3 235.302 2.916 20 0 BFADHN CCC[C@@]1(NCc2cc(C)co2)CCOC1 ZINC000718031001 712736944 /nfs/dbraw/zinc/73/69/44/712736944.db2.gz QDEKFTZHCINQCC-CYBMUJFWSA-N 0 3 223.316 2.637 20 0 BFADHN Cc1coc(CNC[C@]2(C)CC2(F)F)c1 ZINC000718057560 712739972 /nfs/dbraw/zinc/73/99/72/712739972.db2.gz IAAGRHVQCPRKCG-JTQLQIEISA-N 0 3 215.243 2.723 20 0 BFADHN CCc1nnc(CNC2CCC=CCC2)s1 ZINC000718068909 712740910 /nfs/dbraw/zinc/74/09/10/712740910.db2.gz XHINFANRTGSJQF-UHFFFAOYSA-N 0 3 237.372 2.689 20 0 BFADHN Cc1coc(CN[C@H]2COc3ccccc3C2)c1 ZINC000718074599 712741327 /nfs/dbraw/zinc/74/13/27/712741327.db2.gz XRAZPQXXTUZHEP-CYBMUJFWSA-N 0 3 243.306 2.681 20 0 BFADHN Cc1cc(Cl)cc(CN[C@]23C[C@H]2COC3)c1 ZINC000718080742 712741723 /nfs/dbraw/zinc/74/17/23/712741723.db2.gz TWSYFULUMAOAFJ-AAEUAGOBSA-N 0 3 237.730 2.527 20 0 BFADHN CO[C@H]1C[C@H](NCc2cc(C)co2)C12CCC2 ZINC000718110510 712743322 /nfs/dbraw/zinc/74/33/22/712743322.db2.gz TWKHABCSTJXTAL-STQMWFEESA-N 0 3 235.327 2.635 20 0 BFADHN Cc1coc(CN[C@@H]2CCCSC2)c1 ZINC000718144658 712749130 /nfs/dbraw/zinc/74/91/30/712749130.db2.gz LACLIPNQJDPBAM-SNVBAGLBSA-N 0 3 211.330 2.573 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1cnc(Cl)s1 ZINC000718188263 712752856 /nfs/dbraw/zinc/75/28/56/712752856.db2.gz XHOPIVJRWZNQHR-SSDOTTSWSA-N 0 3 248.779 2.700 20 0 BFADHN CCC[C@H](N)c1cn(CCCC(C)(F)F)nn1 ZINC000718608895 712773360 /nfs/dbraw/zinc/77/33/60/712773360.db2.gz CCWZJOQHZJNRTB-VIFPVBQESA-N 0 3 246.305 2.513 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1cnc(Cl)s1 ZINC000718866700 712786908 /nfs/dbraw/zinc/78/69/08/712786908.db2.gz SJQMVGXDUFWVKN-JGVFFNPUSA-N 0 3 248.804 2.780 20 0 BFADHN CCCCCCN1CCN(c2ccccn2)CC1 ZINC000038222115 712828094 /nfs/dbraw/zinc/82/80/94/712828094.db2.gz DIHJNPPWFZVRBD-UHFFFAOYSA-N 0 3 247.386 2.784 20 0 BFADHN Cc1conc1CN[C@@H]1CCCC1(C)C ZINC000720345568 712832169 /nfs/dbraw/zinc/83/21/69/712832169.db2.gz QJVKRPUSLFFJHX-LLVKDONJSA-N 0 3 208.305 2.651 20 0 BFADHN CCC1(NCc2nocc2C)CCCC1 ZINC000720359075 712833956 /nfs/dbraw/zinc/83/39/56/712833956.db2.gz WIMHDDVDJWRBTF-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN Cc1conc1CN[C@@H]1CC[C@@H](C)C[C@H]1C ZINC000720409715 712840568 /nfs/dbraw/zinc/84/05/68/712840568.db2.gz MJIHDJRFZLDZPQ-CKYFFXLPSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1conc1CN[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000720420400 712841146 /nfs/dbraw/zinc/84/11/46/712841146.db2.gz NBFJJQQGZUVTRN-YUSALJHKSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ccc(CNC[C@@H]2C[C@@H]3CCC[C@@H]3O2)o1 ZINC000720818060 712868075 /nfs/dbraw/zinc/86/80/75/712868075.db2.gz GOSLVFVMRFLTLW-UBHSHLNASA-N 0 3 235.327 2.635 20 0 BFADHN Fc1ccccc1CNC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000720864515 712871345 /nfs/dbraw/zinc/87/13/45/712871345.db2.gz JYBHWRVRJVFMOB-OSAQELSMSA-N 0 3 249.329 2.873 20 0 BFADHN Cc1ccncc1CNC1(C)CC(F)(F)C1 ZINC000720948899 712881501 /nfs/dbraw/zinc/88/15/01/712881501.db2.gz GKCGFGIVCFMAPD-UHFFFAOYSA-N 0 3 226.270 2.667 20 0 BFADHN CN1CC=C(Nc2cc(Cl)ccc2N)CC1 ZINC001208844154 957183881 /nfs/dbraw/zinc/18/38/81/957183881.db2.gz NBAKALVKTUARNZ-UHFFFAOYSA-N 0 3 237.734 2.554 20 0 BFADHN C[C@H]1COCCN1CC1(C)CCCCC1 ZINC001208894770 957214381 /nfs/dbraw/zinc/21/43/81/957214381.db2.gz BQVFWBNROIZVKP-LBPRGKRZSA-N 0 3 211.349 2.678 20 0 BFADHN CC(C)c1ccc(N2C[C@@H](C)N(C)C[C@H]2C)nc1 ZINC001164636236 719206258 /nfs/dbraw/zinc/20/62/58/719206258.db2.gz JGTZZKFWHNVWBF-CHWSQXEVSA-N 0 3 247.386 2.734 20 0 BFADHN Cc1ccc(O[C@H]2CCNC[C@@H]2F)cc1Cl ZINC001218064418 959851530 /nfs/dbraw/zinc/85/15/30/959851530.db2.gz KYLXCQLGVDQBIA-RYUDHWBXSA-N 0 3 243.709 2.727 20 0 BFADHN COc1cccc(CN[C@@H](C)C2(Cl)CC2)c1 ZINC000695648129 960322194 /nfs/dbraw/zinc/32/21/94/960322194.db2.gz LRVLHYBYCSURQG-JTQLQIEISA-N 0 3 239.746 2.945 20 0 BFADHN Cc1ncoc1CN1CCCCC12CC2 ZINC001141053627 960848272 /nfs/dbraw/zinc/84/82/72/960848272.db2.gz JWIYILXYWNKHLI-UHFFFAOYSA-N 0 3 206.289 2.502 20 0 BFADHN CCCCc1ncc(CN(CC)C2CC2)[nH]1 ZINC001141066538 960854966 /nfs/dbraw/zinc/85/49/66/960854966.db2.gz IRAQUMNNPVIEFJ-UHFFFAOYSA-N 0 3 221.348 2.737 20 0 BFADHN CCN(CCOC)Cc1cc(C)cc(F)c1 ZINC001143580451 963161620 /nfs/dbraw/zinc/16/16/20/963161620.db2.gz KMLHTUGFNLOIBA-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN Cc1nnc(CN[C@@H]2CCCC3(CC3)C2)s1 ZINC000698372391 965267051 /nfs/dbraw/zinc/26/70/51/965267051.db2.gz YRFSOEYWSGJMRA-SNVBAGLBSA-N 0 3 237.372 2.659 20 0 BFADHN Cc1cc(CN2CCN(C)[C@H](C)C2)sc1C ZINC001233693909 965569724 /nfs/dbraw/zinc/56/97/24/965569724.db2.gz ZXSPDZMCBLMUID-LLVKDONJSA-N 0 3 238.400 2.501 20 0 BFADHN CC(=O)C1CCN(Cc2sccc2C)CC1 ZINC001204021426 966857237 /nfs/dbraw/zinc/85/72/37/966857237.db2.gz HIWDNZQWJWJJJR-UHFFFAOYSA-N 0 3 237.368 2.858 20 0 BFADHN CN(C)CCc1cccc(C(=O)C(F)(F)F)c1 ZINC001250157918 967448219 /nfs/dbraw/zinc/44/82/19/967448219.db2.gz RCMMVRYMEPMTEJ-UHFFFAOYSA-N 0 3 245.244 2.536 20 0 BFADHN CSCCc1nc2ccc(F)c(C)c2[nH]1 ZINC001250426635 967842751 /nfs/dbraw/zinc/84/27/51/967842751.db2.gz ORCPNRZMQVGWNV-UHFFFAOYSA-N 0 3 224.304 2.916 20 0 BFADHN C[C@@H]1CN(CCc2ccc(F)cc2)C[C@@H]1F ZINC001207512044 968810009 /nfs/dbraw/zinc/81/00/09/968810009.db2.gz ZPZOMMKEFHGVPM-MFKMUULPSA-N 0 3 225.282 2.658 20 0 BFADHN CN(C)Cc1ccnc(NC(C)(C)C2CC2)c1 ZINC001155068506 968872314 /nfs/dbraw/zinc/87/23/14/968872314.db2.gz ICXNMMIBKVLQOK-UHFFFAOYSA-N 0 3 233.359 2.744 20 0 BFADHN CC/C=C\CCCN1C[C@H]2CC[C@@H](C1)O2 ZINC001208128343 969024718 /nfs/dbraw/zinc/02/47/18/969024718.db2.gz CMDHJWHBQPEWEU-LGRKWKCASA-N 0 3 209.333 2.596 20 0 BFADHN Cc1cc(NC2=CCN(C)CC2)cc(Cl)n1 ZINC001208795118 969244378 /nfs/dbraw/zinc/24/43/78/969244378.db2.gz WUSMRDFGDYMBFZ-UHFFFAOYSA-N 0 3 237.734 2.675 20 0 BFADHN C[C@@H](CN(C)CCc1cccnc1)C(F)(F)F ZINC001208905526 969296461 /nfs/dbraw/zinc/29/64/61/969296461.db2.gz PLOVOUXFBSBQDI-JTQLQIEISA-N 0 3 246.276 2.754 20 0 BFADHN CCC(=O)C(C)(C)CN1CC2(C1)CC(F)(F)C2 ZINC001208916707 969299271 /nfs/dbraw/zinc/29/92/71/969299271.db2.gz VAXMLKUOXSWJMW-UHFFFAOYSA-N 0 3 245.313 2.723 20 0 BFADHN CCOC(C)(C)CN1CCc2sccc2C1 ZINC001209068543 969347167 /nfs/dbraw/zinc/34/71/67/969347167.db2.gz RSXSNTSQTHSQGX-UHFFFAOYSA-N 0 3 239.384 2.921 20 0 BFADHN F[C@H]1CN(CCc2cccs2)C[C@@H]2C[C@@H]21 ZINC001209222901 969409651 /nfs/dbraw/zinc/40/96/51/969409651.db2.gz PZGQJRRKAUYHFW-DLOVCJGASA-N 0 3 225.332 2.580 20 0 BFADHN c1ccc(OCCN2CC3(CCC3)C2)cc1 ZINC001209296154 969428725 /nfs/dbraw/zinc/42/87/25/969428725.db2.gz ZMXNQHMAVCZTEH-UHFFFAOYSA-N 0 3 217.312 2.551 20 0 BFADHN COC(C)(C)CCN(C)Cc1ccc(C)nc1 ZINC001209410965 969479578 /nfs/dbraw/zinc/47/95/78/969479578.db2.gz YLKYMPULPBRVBJ-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](C(F)F)C2)[nH]1 ZINC001209574591 969536309 /nfs/dbraw/zinc/53/63/09/969536309.db2.gz RGWRMCLWJFWZSI-SNVBAGLBSA-N 0 3 228.286 2.800 20 0 BFADHN C[C@@H](N)c1ccn(C2CCC(F)(F)CC2)n1 ZINC001254212432 969540415 /nfs/dbraw/zinc/54/04/15/969540415.db2.gz KRGJNNVPAIWXJY-MRVPVSSYSA-N 0 3 229.274 2.653 20 0 BFADHN CCCN(C)c1ncccc1CN1CCCC1 ZINC001156881699 970018480 /nfs/dbraw/zinc/01/84/80/970018480.db2.gz HWUSTESXWMJREI-UHFFFAOYSA-N 0 3 233.359 2.524 20 0 BFADHN Fc1ccc2c(c1)CN([C@H]1CCSC1)CC2 ZINC001255291738 970053277 /nfs/dbraw/zinc/05/32/77/970053277.db2.gz YPDLDTHEWKLCSJ-ZDUSSCGKSA-N 0 3 237.343 2.689 20 0 BFADHN CC(C)CCC[C@@H](C)N[C@@H]1COC[C@@H]1F ZINC001170073800 970167793 /nfs/dbraw/zinc/16/77/93/970167793.db2.gz NTSQFKMLKPGLJK-GRYCIOLGSA-N 0 3 217.328 2.528 20 0 BFADHN C[C@@H](CC(F)(F)F)N1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001255651500 970430967 /nfs/dbraw/zinc/43/09/67/970430967.db2.gz LGTVVVMCNTUDKU-XSPKLOCKSA-N 0 3 225.229 2.617 20 0 BFADHN CC(=O)[C@H]1CCCN([C@@H](C)CC(F)(F)F)C1 ZINC001255654816 970431600 /nfs/dbraw/zinc/43/16/00/970431600.db2.gz GYTBUOWLDKVLHG-WPRPVWTQSA-N 0 3 237.265 2.628 20 0 BFADHN C[C@@H](CC(F)(F)F)N(C)C[C@@H]1CCCCO1 ZINC001255657811 970440663 /nfs/dbraw/zinc/44/06/63/970440663.db2.gz KYHUYDLBJVBXER-UWVGGRQHSA-N 0 3 239.281 2.828 20 0 BFADHN CC(C)O[C@H]1CCN([C@H](C)CC(F)(F)F)C1 ZINC001255660372 970442899 /nfs/dbraw/zinc/44/28/99/970442899.db2.gz HQEBPJCKDJWJCT-ZJUUUORDSA-N 0 3 239.281 2.827 20 0 BFADHN CC[C@@H]1C[C@H](N2CC[C@](C)(F)[C@H](F)C2)CCO1 ZINC001170208636 970579925 /nfs/dbraw/zinc/57/99/25/970579925.db2.gz QEUFRSSDNQUUNY-LPWJVIDDSA-N 0 3 247.329 2.716 20 0 BFADHN CC(C)CC[C@H](C)NC1(c2cccnn2)CC1 ZINC001256354983 970747385 /nfs/dbraw/zinc/74/73/85/970747385.db2.gz QGUSGPUFZHYXQR-LBPRGKRZSA-N 0 3 233.359 2.880 20 0 BFADHN CCSC[C@H](C)NCc1cc(C)ccn1 ZINC001173285598 975244250 /nfs/dbraw/zinc/24/42/50/975244250.db2.gz YGHNATBJNTWNRV-NSHDSACASA-N 0 3 224.373 2.621 20 0 BFADHN FCc1ccccc1CN1CC=CCC1 ZINC001332729789 975274732 /nfs/dbraw/zinc/27/47/32/975274732.db2.gz NDACHDXCYDQPSD-UHFFFAOYSA-N 0 3 205.276 2.918 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1nn(C)cc1C ZINC001333343602 975683632 /nfs/dbraw/zinc/68/36/32/975683632.db2.gz VYETYZPMHFUWGD-CYBMUJFWSA-N 0 3 235.375 2.883 20 0 BFADHN CC(C)[C@H]1CCCC[C@H]1NCc1nncs1 ZINC001335780755 977281012 /nfs/dbraw/zinc/28/10/12/977281012.db2.gz MQNNCKZZYDYDJY-GHMZBOCLSA-N 0 3 239.388 2.843 20 0 BFADHN Cc1cccc(F)c1OC1CCN(C)CC1 ZINC001231033020 977439162 /nfs/dbraw/zinc/43/91/62/977439162.db2.gz BKMIVRMFQOCAAP-UHFFFAOYSA-N 0 3 223.291 2.607 20 0 BFADHN C[C@@H]1CCC[C@@H](C)[NH+]1Cc1cncc([O-])c1 ZINC001232040369 977866357 /nfs/dbraw/zinc/86/63/57/977866357.db2.gz VDMXYSBHUQXNKN-GHMZBOCLSA-N 0 3 220.316 2.550 20 0 BFADHN CCCC[N@@H+](CCC)Cc1cncc([O-])c1 ZINC001232043271 977869437 /nfs/dbraw/zinc/86/94/37/977869437.db2.gz QWMUSFNLHFINQF-UHFFFAOYSA-N 0 3 222.332 2.799 20 0 BFADHN CN(Cc1cnsc1)CC1CCCC1 ZINC001232230498 977962099 /nfs/dbraw/zinc/96/20/99/977962099.db2.gz LFOGOALDJVUKIZ-UHFFFAOYSA-N 0 3 210.346 2.765 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cc(F)ccn2)C1 ZINC001232493843 978146467 /nfs/dbraw/zinc/14/64/67/978146467.db2.gz DIBAQRFBZLBHOC-GHMZBOCLSA-N 0 3 222.307 2.699 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cc(F)ccn1 ZINC001232494831 978148273 /nfs/dbraw/zinc/14/82/73/978148273.db2.gz FVQZCHHDOQWMCU-CYBMUJFWSA-N 0 3 222.307 2.985 20 0 BFADHN CCCn1cnc(CN2CC[C@@H](CC)C2)c1 ZINC001232800139 978265401 /nfs/dbraw/zinc/26/54/01/978265401.db2.gz MIBNCQFESHXSIO-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN CC(=O)c1cc(O[C@@H](C)CN(C)C)ccc1C ZINC001234323206 978821787 /nfs/dbraw/zinc/82/17/87/978821787.db2.gz YPSRZQAAFQRQPC-NSHDSACASA-N 0 3 235.327 2.527 20 0 BFADHN CC/C=C\CCCCCN1CC[C@H]1NC ZINC001236164719 979420960 /nfs/dbraw/zinc/42/09/60/979420960.db2.gz BRGGJVTYFIPZNE-ZFDPJTLLSA-N 0 3 210.365 2.764 20 0 BFADHN Cc1cccc(CN2CCC[C@H]2CO)c1Cl ZINC001236851294 979759768 /nfs/dbraw/zinc/75/97/68/979759768.db2.gz CIQWOFOUCXLDCR-LBPRGKRZSA-N 0 3 239.746 2.605 20 0 BFADHN CCC[N@H+](CC)Cc1sc(=O)[n-]c1Cl ZINC001237160489 979824960 /nfs/dbraw/zinc/82/49/60/979824960.db2.gz IACBUGVVALERIK-UHFFFAOYSA-N 0 3 234.752 2.734 20 0 BFADHN CCC[N@@H+](CC)Cc1sc(=O)[n-]c1Cl ZINC001237160489 979824961 /nfs/dbraw/zinc/82/49/61/979824961.db2.gz IACBUGVVALERIK-UHFFFAOYSA-N 0 3 234.752 2.734 20 0 BFADHN [S-]c1ccoc1C[NH+]1C[C@@H]2CCC[C@H]2C1 ZINC001237183598 979833594 /nfs/dbraw/zinc/83/35/94/979833594.db2.gz SRGHLKYBIAMPOU-UWVGGRQHSA-N 0 3 223.341 2.800 20 0 BFADHN C[C@H]1CCN(Cc2ccnc(OC(F)F)c2)C1 ZINC001237610116 979974020 /nfs/dbraw/zinc/97/40/20/979974020.db2.gz DMHNKFXJJXDEQB-VIFPVBQESA-N 0 3 242.269 2.525 20 0 BFADHN COc1cc(C)cc(F)c1CN1CC[C@H](C)C1 ZINC001238588977 980292483 /nfs/dbraw/zinc/29/24/83/980292483.db2.gz RHFDIQRKRFUNQD-JTQLQIEISA-N 0 3 237.318 2.985 20 0 BFADHN CC(C)c1ccc(C2=CCCN(C)C2)cn1 ZINC001241570837 982822456 /nfs/dbraw/zinc/82/24/56/982822456.db2.gz OLOUQUGPWNSRFX-UHFFFAOYSA-N 0 3 216.328 2.924 20 0 BFADHN CC(C)CCN1CC[C@@](C)(F)[C@H](F)C1 ZINC001201613592 983377840 /nfs/dbraw/zinc/37/78/40/983377840.db2.gz SUQHLAMRDUQBGB-GHMZBOCLSA-N 0 3 205.292 2.805 20 0 BFADHN CCCCCCN1CCCCC12COC2 ZINC001201755232 983462774 /nfs/dbraw/zinc/46/27/74/983462774.db2.gz UXXWTIORLQRUOD-UHFFFAOYSA-N 0 3 211.349 2.822 20 0 BFADHN C[C@@]1(CF)CCN(CCC(F)(F)F)C1 ZINC001202308313 983619642 /nfs/dbraw/zinc/61/96/42/983619642.db2.gz ZPKLVEIFZGCBBK-QMMMGPOBSA-N 0 3 213.218 2.620 20 0 BFADHN FC1CCN(CCCC(F)(F)F)CC1 ZINC001202377563 983639258 /nfs/dbraw/zinc/63/92/58/983639258.db2.gz JWHDCDKHIKUAHE-UHFFFAOYSA-N 0 3 213.218 2.763 20 0 BFADHN CC[C@@H](CC(C)C)n1ccnc1[C@@H](C)N ZINC001172382705 974722120 /nfs/dbraw/zinc/72/21/20/974722120.db2.gz HANFKBRGMDTGBL-MNOVXSKESA-N 0 3 209.337 2.900 20 0 BFADHN CN1CCC[C@H](Oc2cc(F)c(F)c(F)c2)C1 ZINC001225910791 974725574 /nfs/dbraw/zinc/72/55/74/974725574.db2.gz GCQYAUWQALYHEM-QMMMGPOBSA-N 0 3 245.244 2.577 20 0 BFADHN CC[C@@H]1COCCN1[C@@H](C)CCOCC(C)C ZINC001172475340 974770952 /nfs/dbraw/zinc/77/09/52/974770952.db2.gz IFIAIGDBEOWFAS-UONOGXRCSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)COCC[C@H](C)N(C)Cc1ccno1 ZINC001172485511 974787763 /nfs/dbraw/zinc/78/77/63/974787763.db2.gz WLQBLASENPNMHY-LBPRGKRZSA-N 0 3 240.347 2.558 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCC[C@H](F)C2)o1 ZINC001331692434 974790056 /nfs/dbraw/zinc/79/00/56/974790056.db2.gz XKVPBZKTGHCYGS-WDEREUQCSA-N 0 3 226.295 2.662 20 0 BFADHN CC(C)OCC[C@@H](C)NCc1cccnc1F ZINC001172439088 974797141 /nfs/dbraw/zinc/79/71/41/974797141.db2.gz YAQKUAQUWOJCRS-LLVKDONJSA-N 0 3 240.322 2.514 20 0 BFADHN Cc1cnc(CN[C@@H](C)CCOC(C)C)s1 ZINC001172444794 974803859 /nfs/dbraw/zinc/80/38/59/974803859.db2.gz YTKNJZBPFDTBFB-JTQLQIEISA-N 0 3 242.388 2.745 20 0 BFADHN CC(C)COCC[C@H](C)n1ccnc1[C@@H](C)N ZINC001172455316 974819899 /nfs/dbraw/zinc/81/98/99/974819899.db2.gz QYDNDGLQRNWDJT-NWDGAFQWSA-N 0 3 239.363 2.527 20 0 BFADHN CC(C)COCC[C@H](C)N1C[C@@H](C)O[C@H](C)C1 ZINC001172467892 974829887 /nfs/dbraw/zinc/82/98/87/974829887.db2.gz NKNFITKDTTZQKA-BFHYXJOUSA-N 0 3 243.391 2.547 20 0 BFADHN CN(Cc1c(F)cc(O)cc1F)CC1CCC1 ZINC001144554798 972867062 /nfs/dbraw/zinc/86/70/62/972867062.db2.gz HWVYTGBHVGPFNL-UHFFFAOYSA-N 0 3 241.281 2.902 20 0 BFADHN CC(C)CC[C@@H](C)N1CC(N2CC[C@H](F)C2)C1 ZINC001256368740 970777444 /nfs/dbraw/zinc/77/74/44/970777444.db2.gz DEZQNSSGZLUOQY-OLZOCXBDSA-N 0 3 242.382 2.539 20 0 BFADHN CC(C)CC[C@H](C)N(C1CC1)C1COC1 ZINC001256371418 970779781 /nfs/dbraw/zinc/77/97/81/970779781.db2.gz NXWNNGZYNDFVNX-NSHDSACASA-N 0 3 211.349 2.674 20 0 BFADHN CC(C)CC[C@H](C)N1CCc2ncncc2C1 ZINC001256371701 970782423 /nfs/dbraw/zinc/78/24/23/970782423.db2.gz TVKFHPLUTJKVKB-LBPRGKRZSA-N 0 3 233.359 2.659 20 0 BFADHN CCN([C@H](C)CCC(C)C)[C@@H](C)C(=O)OC ZINC001256374849 970792349 /nfs/dbraw/zinc/79/23/49/970792349.db2.gz PAPPDPOXIUGDBW-NEPJUHHUSA-N 0 3 229.364 2.695 20 0 BFADHN [NH3+]Cc1cccc(-c2cccc([O-])c2F)c1 ZINC001243545052 970793200 /nfs/dbraw/zinc/79/32/00/970793200.db2.gz OVAJWNMRUYCETM-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN Cc1nc2ccc(N[C@@H]3CCOC[C@H]3C)cc2[nH]1 ZINC000305893637 970806392 /nfs/dbraw/zinc/80/63/92/970806392.db2.gz ZAWDVMCGTMCYTQ-BXKDBHETSA-N 0 3 245.326 2.708 20 0 BFADHN Cc1nc2ccc(N[C@@H]3CCOC[C@@H]3C)cc2[nH]1 ZINC000305893639 970807406 /nfs/dbraw/zinc/80/74/06/970807406.db2.gz ZAWDVMCGTMCYTQ-JOYOIKCWSA-N 0 3 245.326 2.708 20 0 BFADHN C[C@H](Cc1ccccn1)N1CCC[C@@](C)(F)C1 ZINC001256409630 970814085 /nfs/dbraw/zinc/81/40/85/970814085.db2.gz FDALJLGBOIOCAX-TZMCWYRMSA-N 0 3 236.334 2.837 20 0 BFADHN C[C@@H](Cc1ccccn1)N1CCC[C@@](C)(F)C1 ZINC001256409627 970815223 /nfs/dbraw/zinc/81/52/23/970815223.db2.gz FDALJLGBOIOCAX-GXTWGEPZSA-N 0 3 236.334 2.837 20 0 BFADHN CCOC1CCC(N2C[C@@H]3C[C@@H]3[C@H](F)C2)CC1 ZINC001256439850 970840165 /nfs/dbraw/zinc/84/01/65/970840165.db2.gz TWDHPEYHGRRVMC-FDZXDKCCSA-N 0 3 241.350 2.624 20 0 BFADHN Cc1nc(OC(C)C)ccc1Nc1nccn1C ZINC001215916776 970876979 /nfs/dbraw/zinc/87/69/79/970876979.db2.gz FSYYLKWAALNEFE-UHFFFAOYSA-N 0 3 246.314 2.654 20 0 BFADHN CCOC[C@@H](NCC=C(Cl)Cl)C(C)C ZINC000403265658 970900767 /nfs/dbraw/zinc/90/07/67/970900767.db2.gz ZBJRGBIKKDEOOR-SECBINFHSA-N 0 3 240.174 2.956 20 0 BFADHN CC(C)c1cc(Nc2nccn2C)ccc1N ZINC001216043058 970915692 /nfs/dbraw/zinc/91/56/92/970915692.db2.gz RUKKGEQHLNPTOW-UHFFFAOYSA-N 0 3 230.315 2.869 20 0 BFADHN CCCCC(=O)[C@H](C)N[C@H](C)Cc1ccncc1 ZINC001256724708 970947801 /nfs/dbraw/zinc/94/78/01/970947801.db2.gz BHVJKQPWMQUHJK-OLZOCXBDSA-N 0 3 248.370 2.750 20 0 BFADHN C[C@@H](Cc1ccncc1)NCOc1ccccc1 ZINC001256724417 970948750 /nfs/dbraw/zinc/94/87/50/970948750.db2.gz VIEYJRNTNRZYND-ZDUSSCGKSA-N 0 3 242.322 2.639 20 0 BFADHN C[C@@H](Cc1ccncc1)N1CCC[C@@](C)(F)C1 ZINC001256737020 970967446 /nfs/dbraw/zinc/96/74/46/970967446.db2.gz WHMHWKLWVJLQNI-GXTWGEPZSA-N 0 3 236.334 2.837 20 0 BFADHN CCC1CCC(N2CC(C)(OC)C2)CC1 ZINC001256870626 971008265 /nfs/dbraw/zinc/00/82/65/971008265.db2.gz MBCQCZZRNAZMRQ-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN c1cc2ccncc2c(C2=CNCCC2)c1 ZINC001243951699 971046130 /nfs/dbraw/zinc/04/61/30/971046130.db2.gz CHWBVRKHXUDBGX-UHFFFAOYSA-N 0 3 210.280 2.959 20 0 BFADHN c1cc(N2CCCCC2)ncc1C1=CNCCC1 ZINC001243952393 971053057 /nfs/dbraw/zinc/05/30/57/971053057.db2.gz LEEKAGYYDLBPBE-UHFFFAOYSA-N 0 3 243.354 2.796 20 0 BFADHN Cc1ncc(Nc2ccc(O)cc2Cl)n1C ZINC001216427812 971085088 /nfs/dbraw/zinc/08/50/88/971085088.db2.gz FLXDUACHMURPME-UHFFFAOYSA-N 0 3 237.690 2.831 20 0 BFADHN Cc1cnccc1Nc1cccc(Cl)c1N ZINC001216525439 971118414 /nfs/dbraw/zinc/11/84/14/971118414.db2.gz YDAABHWFRSKOQP-UHFFFAOYSA-N 0 3 233.702 2.791 20 0 BFADHN C[C@@H]1CCCCN1Cc1ccc(O)cc1F ZINC000404056698 971118646 /nfs/dbraw/zinc/11/86/46/971118646.db2.gz LCRRKJXRONXRRV-SNVBAGLBSA-N 0 3 223.291 2.906 20 0 BFADHN CC(C)c1ccc(N)c(-c2ccc(CN)nc2)c1 ZINC001244222369 971152523 /nfs/dbraw/zinc/15/25/23/971152523.db2.gz DHQJSSJDINHARH-UHFFFAOYSA-N 0 3 241.338 2.913 20 0 BFADHN CCC[C@@H](CC)N1CCn2cccc2C1 ZINC001257264109 971161242 /nfs/dbraw/zinc/16/12/42/971161242.db2.gz HUDNVARUXNUCQX-GFCCVEGCSA-N 0 3 206.333 2.882 20 0 BFADHN CCC[C@H](CC)N1CCCC[C@H]1C(=O)OCC ZINC001257264976 971164465 /nfs/dbraw/zinc/16/44/65/971164465.db2.gz XQIABEDNZLBXBY-STQMWFEESA-N 0 3 241.375 2.983 20 0 BFADHN CCC(CC)NCC(=O)c1cc(F)cc(F)c1 ZINC001257293818 971181567 /nfs/dbraw/zinc/18/15/67/971181567.db2.gz ITUGAWJXJWGXAB-UHFFFAOYSA-N 0 3 241.281 2.926 20 0 BFADHN CCC(CC)n1nc(CN)c2cc(OC)ccc21 ZINC001257293738 971182602 /nfs/dbraw/zinc/18/26/02/971182602.db2.gz HDGVWNOIGDCIML-UHFFFAOYSA-N 0 3 247.342 2.865 20 0 BFADHN CCC(CC)N1CCN(C)c2ncccc2C1 ZINC001257298576 971183892 /nfs/dbraw/zinc/18/38/92/971183892.db2.gz NJEMGCMDBJFLHS-UHFFFAOYSA-N 0 3 233.359 2.522 20 0 BFADHN CCC[C@H](C)NCC(=O)c1ccc(F)cc1 ZINC001257306669 971196515 /nfs/dbraw/zinc/19/65/15/971196515.db2.gz YSRDVPUGOBCIIZ-JTQLQIEISA-N 0 3 223.291 2.787 20 0 BFADHN CCC[C@@H](C)NCc1cc(OC2CCC2)ncn1 ZINC001257312110 971206897 /nfs/dbraw/zinc/20/68/97/971206897.db2.gz UKUZZPIAHYUNMK-LLVKDONJSA-N 0 3 249.358 2.686 20 0 BFADHN CCC[C@@H](C)N[C@@H]1CCc2ccccc2C1=O ZINC001257313367 971210012 /nfs/dbraw/zinc/21/00/12/971210012.db2.gz BMJSFPFLNBRREE-BXUZGUMPSA-N 0 3 231.339 2.962 20 0 BFADHN CCC[C@H](C)N1CCN(C)c2nc(C)ccc2C1 ZINC001257319367 971216167 /nfs/dbraw/zinc/21/61/67/971216167.db2.gz OAOJVTKYPSUOHQ-ZDUSSCGKSA-N 0 3 247.386 2.830 20 0 BFADHN CCC[C@H](C)N1CCc2cnc(OC)cc2C1 ZINC001257327076 971228719 /nfs/dbraw/zinc/22/87/19/971228719.db2.gz GKDADIVATOLSLT-NSHDSACASA-N 0 3 234.343 2.637 20 0 BFADHN CCC[C@@H](C)N1CC[C@@H](C)C[C@@H]1C(=O)OCC ZINC001257325593 971229500 /nfs/dbraw/zinc/22/95/00/971229500.db2.gz LUJCNHYYLXQBTN-JHJVBQTASA-N 0 3 241.375 2.839 20 0 BFADHN CC(=O)[C@@H](NC1CCCC1)c1ccccc1 ZINC001257342997 971256115 /nfs/dbraw/zinc/25/61/15/971256115.db2.gz VEAUONNWSOOEGG-CQSZACIVSA-N 0 3 217.312 2.849 20 0 BFADHN Cc1ccc2c(n1)N(C)CCN(C1CCCC1)C2 ZINC001257351483 971262069 /nfs/dbraw/zinc/26/20/69/971262069.db2.gz POVWFLLYVAWJJP-UHFFFAOYSA-N 0 3 245.370 2.584 20 0 BFADHN CCCCCC[C@H](C)N1CC(C(=O)OCC)C1 ZINC001257369279 971288179 /nfs/dbraw/zinc/28/81/79/971288179.db2.gz VPVUMEAMWGUFGQ-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CC[C@H](C)NCc1nc(Br)cs1 ZINC001257434861 971301716 /nfs/dbraw/zinc/30/17/16/971301716.db2.gz XGUJGVKCDIFQQO-LURJTMIESA-N 0 3 249.177 2.794 20 0 BFADHN CCCCCC[C@H](CC)n1nncc1CN ZINC001170589658 971295449 /nfs/dbraw/zinc/29/54/49/971295449.db2.gz YTJLPCDMHVVTTH-NSHDSACASA-N 0 3 224.352 2.658 20 0 BFADHN CCCC[C@@H](CC)NCc1ncc(C)cn1 ZINC001257408744 971298909 /nfs/dbraw/zinc/29/89/09/971298909.db2.gz KOPVLJQJOHJJIO-GFCCVEGCSA-N 0 3 221.348 2.843 20 0 BFADHN CC[C@@H](C)N[C@H](c1ccccc1)c1cccnn1 ZINC001257436977 971350537 /nfs/dbraw/zinc/35/05/37/971350537.db2.gz ZMKBSIWKTBWGFQ-IUODEOHRSA-N 0 3 241.338 2.954 20 0 BFADHN CCCc1cc(CN)nn1C1CCCCC1 ZINC001257452322 971373758 /nfs/dbraw/zinc/37/37/58/971373758.db2.gz QOIGHSFXVMYZIO-UHFFFAOYSA-N 0 3 221.348 2.800 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N(C)CC(=O)NCC ZINC001257478241 971402167 /nfs/dbraw/zinc/40/21/67/971402167.db2.gz RAWOBCYPZOGWQC-STQMWFEESA-N 0 3 242.407 2.659 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N(C)C1COC1 ZINC001257482158 971405335 /nfs/dbraw/zinc/40/53/35/971405335.db2.gz OTILCCMQBIPEEW-NEPJUHHUSA-N 0 3 213.365 2.922 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N[C@@H]1COC[C@@H]1F ZINC001257478847 971406372 /nfs/dbraw/zinc/40/63/72/971406372.db2.gz XNZWFLFDGCAHTC-MROQNXINSA-N 0 3 231.355 2.918 20 0 BFADHN CCCCC[C@H](C)N1CCC[C@@H]1C(=O)OC ZINC001257507948 971425059 /nfs/dbraw/zinc/42/50/59/971425059.db2.gz AIZXUVHALWWRBF-NWDGAFQWSA-N 0 3 227.348 2.593 20 0 BFADHN CCCCC[C@H](C)n1ncc2c1CCNC2 ZINC001257512920 971434742 /nfs/dbraw/zinc/43/47/42/971434742.db2.gz QHZZWTOBQLWXMI-NSHDSACASA-N 0 3 221.348 2.670 20 0 BFADHN CCCCC[C@H](C)N1CCOC2(CC2)C1 ZINC001257519193 971444815 /nfs/dbraw/zinc/44/48/15/971444815.db2.gz CWVUEHQVNIKHHM-LBPRGKRZSA-N 0 3 211.349 2.820 20 0 BFADHN Oc1cccc2c1CCN([C@@H]1CCCSC1)C2 ZINC001257667203 971467800 /nfs/dbraw/zinc/46/78/00/971467800.db2.gz ATAJQGOGVDLYBN-GFCCVEGCSA-N 0 3 249.379 2.646 20 0 BFADHN C[C@@H]1CC[C@H](OC[C@H]2COC(C)(C)N2)C[C@@H]1C ZINC001217872507 971468816 /nfs/dbraw/zinc/46/88/16/971468816.db2.gz XUPIGERQGFIMIH-VOAKCMCISA-N 0 3 241.375 2.552 20 0 BFADHN CC(C)CCC[C@@H](C)OC[C@@H]1COC(C)(C)N1 ZINC001217877152 971471181 /nfs/dbraw/zinc/47/11/81/971471181.db2.gz JCNPTOMZKVPEDW-CHWSQXEVSA-N 0 3 243.391 2.942 20 0 BFADHN CC[C@H]1CC[C@H](OC[C@@H]2COC(C)(C)N2)CC1 ZINC001217893243 971491958 /nfs/dbraw/zinc/49/19/58/971491958.db2.gz LTCHHXIDVIETOD-JHJVBQTASA-N 0 3 241.375 2.696 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)n1ccc(CN)n1 ZINC001258051426 971574889 /nfs/dbraw/zinc/57/48/89/971574889.db2.gz KOYILULMXOPGMZ-VXGBXAGGSA-N 0 3 223.364 2.975 20 0 BFADHN Cc1ccc(O[C@H]2CCNC[C@H]2F)c2c1CCC2 ZINC001218064654 971594224 /nfs/dbraw/zinc/59/42/24/971594224.db2.gz AHXOUJJHLGKZAG-HIFRSBDPSA-N 0 3 249.329 2.563 20 0 BFADHN F[C@@H]1CNCC[C@@H]1Oc1ccc2c(c1)CCCC2 ZINC001218064820 971595454 /nfs/dbraw/zinc/59/54/54/971595454.db2.gz PTIXOQRZRHUFLS-CABCVRRESA-N 0 3 249.329 2.644 20 0 BFADHN CC(C)c1cccc(O[C@H]2CCNC[C@H]2F)c1 ZINC001218064344 971595749 /nfs/dbraw/zinc/59/57/49/971595749.db2.gz JTYZHTBLPGWJPX-KGLIPLIRSA-N 0 3 237.318 2.889 20 0 BFADHN CCCc1cccc(O[C@H]2CCNC[C@@H]2F)c1 ZINC001218065069 971592845 /nfs/dbraw/zinc/59/28/45/971592845.db2.gz CRYSCTYAEGHPNF-KBPBESRZSA-N 0 3 237.318 2.718 20 0 BFADHN F[C@H]1CNCC[C@@H]1Oc1ccc2c(c1)CCCC2 ZINC001218064821 971593708 /nfs/dbraw/zinc/59/37/08/971593708.db2.gz PTIXOQRZRHUFLS-GJZGRUSLSA-N 0 3 249.329 2.644 20 0 BFADHN Cc1nc(CN[C@H]2C[C@@H]3[C@H](C2)C3(C)C)c(C)o1 ZINC001170713487 971625276 /nfs/dbraw/zinc/62/52/76/971625276.db2.gz OQIAYVMSOONUII-ZSBIGDGJSA-N 0 3 234.343 2.816 20 0 BFADHN CC1(C)[C@@H]2C[C@@H](N3Cc4cccnc4C3)C[C@@H]21 ZINC001170740523 971685638 /nfs/dbraw/zinc/68/56/38/971685638.db2.gz RTVHEJPLWHHPII-XYYAHUGASA-N 0 3 228.339 2.832 20 0 BFADHN C1=C[C@@H]2C[C@H]1C[C@H]2Oc1cccc2c1CNC2 ZINC001218294876 971695219 /nfs/dbraw/zinc/69/52/19/971695219.db2.gz PNKBTZKZYSNAJI-FIXISWKDSA-N 0 3 227.307 2.633 20 0 BFADHN CC1(C)[C@@H]2C[C@@H](N3C[C@@H]4C[C@@H]4[C@H](F)C3)C[C@@H]21 ZINC001170745010 971707740 /nfs/dbraw/zinc/70/77/40/971707740.db2.gz CXVDOGMPSNRDQV-MCDVFOBDSA-N 0 3 223.335 2.711 20 0 BFADHN CO[C@@H]1CCCC[C@H]1NCC=C(Cl)Cl ZINC000407048230 971713103 /nfs/dbraw/zinc/71/31/03/971713103.db2.gz AWYRAJVAMMUWHP-RKDXNWHRSA-N 0 3 238.158 2.853 20 0 BFADHN F[C@H]1CCCC[C@H]1Oc1cccc2c1CNC2 ZINC001218357230 971728005 /nfs/dbraw/zinc/72/80/05/971728005.db2.gz JGQCGJBJMGXQHW-GXTWGEPZSA-N 0 3 235.302 2.949 20 0 BFADHN Cc1nnc(CN[C@H]2CCC[C@@H]2C(C)C)s1 ZINC000309679036 971755662 /nfs/dbraw/zinc/75/56/62/971755662.db2.gz MRVWQIJZCOKZMN-MNOVXSKESA-N 0 3 239.388 2.761 20 0 BFADHN Cc1cc(F)cc(O[C@@H]2CNCCC2(F)F)c1 ZINC001218447749 971821998 /nfs/dbraw/zinc/82/19/98/971821998.db2.gz LMOBOVQPQGVWGP-LLVKDONJSA-N 0 3 245.244 2.510 20 0 BFADHN CN(C)C1(C(=O)N(C)c2ccccc2)CCCC1 ZINC001135495588 971901765 /nfs/dbraw/zinc/90/17/65/971901765.db2.gz KMCQYIHLCOLUGJ-UHFFFAOYSA-N 0 3 246.354 2.524 20 0 BFADHN NCc1ccc(-c2cccc3ccoc32)cn1 ZINC001245615525 971914251 /nfs/dbraw/zinc/91/42/51/971914251.db2.gz NDDQEHBKPULDHO-UHFFFAOYSA-N 0 3 224.263 2.954 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1CC[C@@H](F)C1 ZINC001172339133 974603344 /nfs/dbraw/zinc/60/33/44/974603344.db2.gz NXBDWJMEUXDQDK-SDDRHHMPSA-N 0 3 217.328 2.624 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1CCOCC1(C)C ZINC001172339348 974604784 /nfs/dbraw/zinc/60/47/84/974604784.db2.gz FHFUVTCLLAJDRK-STQMWFEESA-N 0 3 243.391 2.691 20 0 BFADHN c1c[nH]c(CN2CCC[C@H]3CCC[C@@H]32)c1 ZINC000190601503 972036275 /nfs/dbraw/zinc/03/62/75/972036275.db2.gz AYNNCUJUDJRKSO-YPMHNXCESA-N 0 3 204.317 2.779 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N1C[C@@H](C)OC[C@@H]1C ZINC001172348108 974617576 /nfs/dbraw/zinc/61/75/76/974617576.db2.gz OAXLZJHJEUEYJH-ZOBORPQBSA-N 0 3 243.391 2.689 20 0 BFADHN Clc1cccc2c1C[C@@H](N1CCC1)CC2 ZINC001170914292 972085974 /nfs/dbraw/zinc/08/59/74/972085974.db2.gz IQTMOVMHOYQUNC-NSHDSACASA-N 0 3 221.731 2.903 20 0 BFADHN CCOCC[C@H](C)NCc1c(F)cccc1F ZINC001172405803 974661087 /nfs/dbraw/zinc/66/10/87/974661087.db2.gz DTZUMBNWRQTRNG-JTQLQIEISA-N 0 3 243.297 2.870 20 0 BFADHN C[C@@H]1CCN(Cc2ccccn2)CCC1(F)F ZINC001204055301 972218899 /nfs/dbraw/zinc/21/88/99/972218899.db2.gz BBZFOXKGMWFPAZ-LLVKDONJSA-N 0 3 240.297 2.949 20 0 BFADHN CC[C@@H]1CCC[N@H+](Cc2ccc(O)cc2[O-])C1 ZINC000091919244 956869888 /nfs/dbraw/zinc/86/98/88/956869888.db2.gz MJDIFSKVOCDXMY-LLVKDONJSA-N 0 3 235.327 2.720 20 0 BFADHN CC[C@@H]1CCC[N@@H+](Cc2ccc(O)cc2[O-])C1 ZINC000091919244 956869895 /nfs/dbraw/zinc/86/98/95/956869895.db2.gz MJDIFSKVOCDXMY-LLVKDONJSA-N 0 3 235.327 2.720 20 0 BFADHN Cc1cccc2c1CN(CCCn1cccn1)C2 ZINC001208081856 956935801 /nfs/dbraw/zinc/93/58/01/956935801.db2.gz IPJCVOGVWFKBRB-UHFFFAOYSA-N 0 3 241.338 2.597 20 0 BFADHN CS[C@@H](C)CCN1CCc2ncsc2C1 ZINC001208146324 957013045 /nfs/dbraw/zinc/01/30/45/957013045.db2.gz DZABSOAEKHOFAJ-VIFPVBQESA-N 0 3 242.413 2.643 20 0 BFADHN Cc1cc(CN2CC[C@H]3OCC[C@H]32)cc(C)c1F ZINC001143374116 957040499 /nfs/dbraw/zinc/04/04/99/957040499.db2.gz LZMANVLINOZORJ-ZIAGYGMSSA-N 0 3 249.329 2.806 20 0 BFADHN CC(C)CCCN1CCN(c2cccnc2)CC1 ZINC001208735163 957148957 /nfs/dbraw/zinc/14/89/57/957148957.db2.gz VIAKTVVCJZFWAE-UHFFFAOYSA-N 0 3 247.386 2.640 20 0 BFADHN COc1cc(C)c(NC2=CCN(C)CC2)c(C)c1 ZINC001208855563 957180825 /nfs/dbraw/zinc/18/08/25/957180825.db2.gz CCXHKDBYBCSLTH-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN CN1CC=C(Nc2ccc3cccnc3c2)CC1 ZINC001208837020 957182084 /nfs/dbraw/zinc/18/20/84/957182084.db2.gz FASXKWLZERPWHX-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN CCN(Cc1cccnc1)C[C@H]1CCC=CO1 ZINC001208881674 957197163 /nfs/dbraw/zinc/19/71/63/957197163.db2.gz ZXQDXTHQBNHWPN-CQSZACIVSA-N 0 3 232.327 2.596 20 0 BFADHN CCC(=O)C(C)(C)CN(C)Cc1cccnc1C ZINC001208920363 957243201 /nfs/dbraw/zinc/24/32/01/957243201.db2.gz CERPDCZCOMGXSM-UHFFFAOYSA-N 0 3 248.370 2.827 20 0 BFADHN c1ccc([C@@H]2CCCN2Cc2ccnnc2)cc1 ZINC001139982967 957277006 /nfs/dbraw/zinc/27/70/06/957277006.db2.gz IQEBSWNBBCNTAZ-HNNXBMFYSA-N 0 3 239.322 2.814 20 0 BFADHN C[C@@H]1CCCCN1CCOC(=O)c1ccccc1 ZINC001209040107 957299065 /nfs/dbraw/zinc/29/90/65/957299065.db2.gz HRTMPOFRGYMYRJ-CYBMUJFWSA-N 0 3 247.338 2.718 20 0 BFADHN CSCC[C@@H](C)NCc1cc(F)ncc1F ZINC000823916197 972259977 /nfs/dbraw/zinc/25/99/77/972259977.db2.gz XIDHHPZJEIZMEQ-MRVPVSSYSA-N 0 3 246.326 2.591 20 0 BFADHN CSC[C@H](C)NCc1ccnc(Cl)c1F ZINC000823914257 972260233 /nfs/dbraw/zinc/26/02/33/972260233.db2.gz CEUNXUMHQGJMKY-ZETCQYMHSA-N 0 3 248.754 2.715 20 0 BFADHN Cc1cccc(CN2CC3CC(C3)C2)c1F ZINC001137678223 957382206 /nfs/dbraw/zinc/38/22/06/957382206.db2.gz CPUPVPWCDPLYIA-UHFFFAOYSA-N 0 3 219.303 2.976 20 0 BFADHN CC(=O)c1ccc2c(c1)CN(C[C@H]1C[C@@H]1C)CC2 ZINC001209433540 957468343 /nfs/dbraw/zinc/46/83/43/957468343.db2.gz UXBSCCBQBHIKSU-XHDPSFHLSA-N 0 3 243.350 2.903 20 0 BFADHN CN(C)C1(C)CN(Cc2ccc(Cl)s2)C1 ZINC001204442193 957468435 /nfs/dbraw/zinc/46/84/35/957468435.db2.gz KXDREVWRYMPPDD-UHFFFAOYSA-N 0 3 244.791 2.537 20 0 BFADHN CCOC1CCN(Cc2cc(C)oc2C)CC1 ZINC000091678469 957479188 /nfs/dbraw/zinc/47/91/88/957479188.db2.gz VMBNCTUJNXMRIZ-UHFFFAOYSA-N 0 3 237.343 2.897 20 0 BFADHN Cc1ccc(CN2CC[C@H]3CCC[C@@H]3C2)[nH]1 ZINC001209570600 957507760 /nfs/dbraw/zinc/50/77/60/957507760.db2.gz QHADVKVGIRYBBV-CHWSQXEVSA-N 0 3 218.344 2.945 20 0 BFADHN Cc1ncc(NC2=CCC(F)(F)CC2)n1C ZINC001210032377 957616229 /nfs/dbraw/zinc/61/62/29/957616229.db2.gz KPKPXHZMPPDASC-UHFFFAOYSA-N 0 3 227.258 2.844 20 0 BFADHN FC(F)(F)CCNCc1cccc2c1OCC2 ZINC000693120324 957684801 /nfs/dbraw/zinc/68/48/01/957684801.db2.gz BIPVGIFNGKOFTM-UHFFFAOYSA-N 0 3 245.244 2.664 20 0 BFADHN CC(C)c1nn(C)c(Cl)c1CNCC1CC1 ZINC000069676866 957722420 /nfs/dbraw/zinc/72/24/20/957722420.db2.gz AGEOEOFBXFERFW-UHFFFAOYSA-N 0 3 241.766 2.697 20 0 BFADHN C[C@H]1C[C@H](NCc2cscn2)CCS1 ZINC000888078001 957753657 /nfs/dbraw/zinc/75/36/57/957753657.db2.gz ZRFAUJYSAMRPKR-DTWKUNHWSA-N 0 3 228.386 2.517 20 0 BFADHN Cc1cnccc1Nc1ccc(C(C)(C)O)cc1 ZINC001210613895 957832270 /nfs/dbraw/zinc/83/22/70/957832270.db2.gz DSJUOLPQBFPDGU-UHFFFAOYSA-N 0 3 242.322 2.783 20 0 BFADHN COc1ncc(CN2CCCC[C@@H]2C)cc1C ZINC001136998670 972312353 /nfs/dbraw/zinc/31/23/53/972312353.db2.gz CTIHQLNAVZQBLH-LBPRGKRZSA-N 0 3 234.343 2.773 20 0 BFADHN Cc1ncc(CN2CCC(CC(C)C)CC2)n1C ZINC000824934206 972307475 /nfs/dbraw/zinc/30/74/75/972307475.db2.gz RKUYPGDJHZMFDP-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN CO[C@@H]1CCN(Cc2c[nH]c3cccc(F)c23)C1 ZINC001136979754 972310529 /nfs/dbraw/zinc/31/05/29/972310529.db2.gz PRVOYTZBYHRTHE-LLVKDONJSA-N 0 3 248.301 2.528 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2ccon2)C1 ZINC000088052384 958149292 /nfs/dbraw/zinc/14/92/92/958149292.db2.gz YJZKLRCVLLEWIP-JFGNBEQYSA-N 0 3 208.305 2.589 20 0 BFADHN CN(Cc1ncc(C(F)(F)F)[nH]1)C1CCCC1 ZINC001137016474 972326606 /nfs/dbraw/zinc/32/66/06/972326606.db2.gz YSLPPVCVBHBVLY-UHFFFAOYSA-N 0 3 247.264 2.803 20 0 BFADHN Cc1cc(Nc2ccc(CN)nc2)ncc1Cl ZINC001160402590 972353447 /nfs/dbraw/zinc/35/34/47/972353447.db2.gz WOARRPRWXGWBNA-UHFFFAOYSA-N 0 3 248.717 2.641 20 0 BFADHN Nc1cccc(F)c1CN1CCC12CCCC2 ZINC000707669672 958658510 /nfs/dbraw/zinc/65/85/10/958658510.db2.gz RUKWEWFXHNZCGF-UHFFFAOYSA-N 0 3 234.318 2.926 20 0 BFADHN Cc1cc(C)nc(NCc2ccccc2N)c1 ZINC000708050887 958948733 /nfs/dbraw/zinc/94/87/33/958948733.db2.gz UTSPIWHXHBHAOU-UHFFFAOYSA-N 0 3 227.311 2.893 20 0 BFADHN CCn1ccnc1CNC[C@]12C[C@H]1CCCC2 ZINC000693621738 959014016 /nfs/dbraw/zinc/01/40/16/959014016.db2.gz OWHCQQPPYOBDRA-OCCSQVGLSA-N 0 3 233.359 2.573 20 0 BFADHN Cc1ncc(Nc2ccc(Cl)cc2N)n1C ZINC001213650992 959060224 /nfs/dbraw/zinc/06/02/24/959060224.db2.gz UTKGOJBNJGUROA-UHFFFAOYSA-N 0 3 236.706 2.708 20 0 BFADHN Cc1nc(CN2CCC[C@H]3CCCC[C@H]32)c[nH]1 ZINC000724775818 959442773 /nfs/dbraw/zinc/44/27/73/959442773.db2.gz JJRUBYOFRRUCNZ-TZMCWYRMSA-N 0 3 233.359 2.873 20 0 BFADHN Cc1nc(CN2CCC[C@@H]3CCCC[C@@H]32)c[nH]1 ZINC000724775816 959443066 /nfs/dbraw/zinc/44/30/66/959443066.db2.gz JJRUBYOFRRUCNZ-JSGCOSHPSA-N 0 3 233.359 2.873 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCc3ccccc32)[nH]1 ZINC000724781000 959451491 /nfs/dbraw/zinc/45/14/91/959451491.db2.gz ZGYUVOOMQQVTKA-OAHLLOKOSA-N 0 3 241.338 2.837 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1ncccn1 ZINC001351317810 959592308 /nfs/dbraw/zinc/59/23/08/959592308.db2.gz ACGCIFWKPAIRDL-NDBYEHHHSA-N 0 3 233.359 2.952 20 0 BFADHN CC(C)CC[C@@H](C)OC[C@@H]1COC(C)(C)N1 ZINC001217869265 959691321 /nfs/dbraw/zinc/69/13/21/959691321.db2.gz BMUPNBAEOIOMHY-VXGBXAGGSA-N 0 3 229.364 2.552 20 0 BFADHN Cc1ccc([C@@H](C)OC[C@H]2COC(C)(C)N2)cc1 ZINC001217887829 959709981 /nfs/dbraw/zinc/70/99/81/959709981.db2.gz KCOVOGFJNTVBMT-OCCSQVGLSA-N 0 3 249.354 2.797 20 0 BFADHN C[C@@H]1CCC[C@H](OC[C@@H]2COC(C)(C)N2)[C@H]1C ZINC001217892540 959722325 /nfs/dbraw/zinc/72/23/25/959722325.db2.gz HDRMDCQLCCOEQC-XQHKEYJVSA-N 0 3 241.375 2.552 20 0 BFADHN CCCCCCNCc1nccn1CC ZINC000051921180 959755211 /nfs/dbraw/zinc/75/52/11/959755211.db2.gz FXWSMTYGBVUQNJ-UHFFFAOYSA-N 0 3 209.337 2.573 20 0 BFADHN CCC[C@H](C)[C@H](CC)OC[C@@H]1COC(C)(C)N1 ZINC001217923705 959767972 /nfs/dbraw/zinc/76/79/72/959767972.db2.gz DAPCMEYEVBTXGQ-XQQFMLRXSA-N 0 3 243.391 2.942 20 0 BFADHN CCC[C@H](C)[C@@H](CC)OC[C@H]1COC(C)(C)N1 ZINC001217923704 959769295 /nfs/dbraw/zinc/76/92/95/959769295.db2.gz DAPCMEYEVBTXGQ-RWMBFGLXSA-N 0 3 243.391 2.942 20 0 BFADHN Cc1c(Cl)cccc1O[C@H]1CCNC[C@@H]1F ZINC001218063680 959852578 /nfs/dbraw/zinc/85/25/78/959852578.db2.gz CCIDKCKBDLRFAT-JQWIXIFHSA-N 0 3 243.709 2.727 20 0 BFADHN CCOCC[C@H](C)N[C@H](C)c1nccs1 ZINC001172410755 974672656 /nfs/dbraw/zinc/67/26/56/974672656.db2.gz JJXHQCNZJGQFQB-VHSXEESVSA-N 0 3 228.361 2.609 20 0 BFADHN FC1(F)CCNC[C@H]1Oc1cccc(Cl)c1 ZINC001218446346 960112353 /nfs/dbraw/zinc/11/23/53/960112353.db2.gz AAKKAHSQWNVKSA-SNVBAGLBSA-N 0 3 247.672 2.716 20 0 BFADHN Cc1cc(C)nc(N[C@H]2CCO[C@@H](C)C2)c1 ZINC000309779973 960118839 /nfs/dbraw/zinc/11/88/39/960118839.db2.gz MRSASWLYGKDFKK-RYUDHWBXSA-N 0 3 220.316 2.678 20 0 BFADHN CN(C)c1ccncc1NC(=O)OC1CCCC1 ZINC000310753778 960201108 /nfs/dbraw/zinc/20/11/08/960201108.db2.gz MNBDEEKWDUELOZ-UHFFFAOYSA-N 0 3 249.314 2.639 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccnc1)C1(Cl)CC1 ZINC000695649172 960324640 /nfs/dbraw/zinc/32/46/40/960324640.db2.gz QBUAGMDYZGTJTR-VHSXEESVSA-N 0 3 224.735 2.892 20 0 BFADHN COC[C@H]1CCCCN1Cc1cc(C)cc(C)n1 ZINC000930664234 960330392 /nfs/dbraw/zinc/33/03/92/960330392.db2.gz FBHMKJRKMFSTAK-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CCO[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001140592656 960448447 /nfs/dbraw/zinc/44/84/47/960448447.db2.gz VCTGRMYYRPOUHI-CQSZACIVSA-N 0 3 237.318 2.745 20 0 BFADHN C[C@@H](NC1CC2(CC2(F)F)C1)c1nccs1 ZINC001327228005 972506052 /nfs/dbraw/zinc/50/60/52/972506052.db2.gz MAGIEKCGHOIGIY-ZNFPMYQNSA-N 0 3 244.310 2.982 20 0 BFADHN CC(=O)[C@@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001140782018 960562027 /nfs/dbraw/zinc/56/20/27/960562027.db2.gz ZTQASQUBSQCVSG-CYBMUJFWSA-N 0 3 235.302 2.545 20 0 BFADHN Cc1c[nH]c(CN(C)C[C@H]2CCCCO2)c1C ZINC001140860013 960636161 /nfs/dbraw/zinc/63/61/61/960636161.db2.gz JWUSYKXGMDZQNX-CYBMUJFWSA-N 0 3 236.359 2.632 20 0 BFADHN Cc1c[nH]c(CN2CCC3(CCCO3)CC2)c1C ZINC001140862781 960638376 /nfs/dbraw/zinc/63/83/76/960638376.db2.gz MGGZAOJMIKEJKY-UHFFFAOYSA-N 0 3 248.370 2.777 20 0 BFADHN CC(C)C1CCN(Cc2cnco2)CC1 ZINC001140932383 960707981 /nfs/dbraw/zinc/70/79/81/960707981.db2.gz MNYCNNBKMBLCEI-UHFFFAOYSA-N 0 3 208.305 2.543 20 0 BFADHN c1ncc(CN2C3CCCC2CCC3)o1 ZINC001140933876 960717067 /nfs/dbraw/zinc/71/70/67/960717067.db2.gz KSBZDHXKONCSGK-UHFFFAOYSA-N 0 3 206.289 2.582 20 0 BFADHN c1ncc(CN2CCC3(C2)CCCCC3)o1 ZINC001140935044 960727748 /nfs/dbraw/zinc/72/77/48/960727748.db2.gz KULVXGXMEHTDRY-UHFFFAOYSA-N 0 3 220.316 2.831 20 0 BFADHN C[C@H]1CCN1Cc1cccc(-c2ncccn2)c1 ZINC001140975687 960769399 /nfs/dbraw/zinc/76/93/99/960769399.db2.gz OCDNWOFRQBEVKX-LBPRGKRZSA-N 0 3 239.322 2.738 20 0 BFADHN c1coc(CN2CCC[C@H]3CCCC[C@H]32)n1 ZINC001141007673 960807675 /nfs/dbraw/zinc/80/76/75/960807675.db2.gz WBVHXSTUQOSJEU-VXGBXAGGSA-N 0 3 220.316 2.829 20 0 BFADHN Cc1ncoc1CN(C)CCc1cccc(C)c1 ZINC001141052642 960844335 /nfs/dbraw/zinc/84/43/35/960844335.db2.gz ANPOYANBSYZDDI-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN CCCCc1nc(CN(CC)CCC)c[nH]1 ZINC001141067058 960853400 /nfs/dbraw/zinc/85/34/00/960853400.db2.gz QFKVFKQVSNJFEP-UHFFFAOYSA-N 0 3 223.364 2.984 20 0 BFADHN CCCCc1nc(CN2CCCC23CC3)c[nH]1 ZINC001141066295 960855756 /nfs/dbraw/zinc/85/57/56/960855756.db2.gz BIWVXKRYSWTECN-UHFFFAOYSA-N 0 3 233.359 2.881 20 0 BFADHN C[C@H](N)c1cn(CC2CCC(C)(C)CC2)nn1 ZINC000310117159 960881772 /nfs/dbraw/zinc/88/17/72/960881772.db2.gz HIJCEBKBAVXZOA-JTQLQIEISA-N 0 3 236.363 2.514 20 0 BFADHN Cc1ccc(CN2CC[C@H]3OCC[C@@H]3C2)c(F)c1 ZINC001141196452 960951380 /nfs/dbraw/zinc/95/13/80/960951380.db2.gz QFQRHQNFINSIEP-UKRRQHHQSA-N 0 3 249.329 2.745 20 0 BFADHN C[C@@H](NCC1SCCS1)c1ccoc1 ZINC000692861413 960978334 /nfs/dbraw/zinc/97/83/34/960978334.db2.gz KGBPFIKEYWLQTK-MRVPVSSYSA-N 0 3 229.370 2.736 20 0 BFADHN CCc1ccccc1CN1CC(N2CCCC2)C1 ZINC001141226478 960982101 /nfs/dbraw/zinc/98/21/01/960982101.db2.gz CGLAZQFPWJAGMQ-UHFFFAOYSA-N 0 3 244.382 2.529 20 0 BFADHN CCCCN(C)Cc1ccc(COC(C)=O)o1 ZINC001141780475 961151536 /nfs/dbraw/zinc/15/15/36/961151536.db2.gz XSOWFTDAUOGAAX-UHFFFAOYSA-N 0 3 239.315 2.575 20 0 BFADHN c1cnc2c(c1)CCC[C@H]2NCCOC1CCC1 ZINC000690687444 961174481 /nfs/dbraw/zinc/17/44/81/961174481.db2.gz GOQXEXBANKBJAZ-CQSZACIVSA-N 0 3 246.354 2.618 20 0 BFADHN C[C@H](NCC1SCCS1)c1ccccn1 ZINC000692889340 961183550 /nfs/dbraw/zinc/18/35/50/961183550.db2.gz XOZHZHUOJUDXGQ-VIFPVBQESA-N 0 3 240.397 2.538 20 0 BFADHN CC(C)CN(C)Cc1[nH]cnc1C(C)(C)C ZINC001142209812 961343995 /nfs/dbraw/zinc/34/39/95/961343995.db2.gz VKQVGPOBRIWJGZ-UHFFFAOYSA-N 0 3 223.364 2.795 20 0 BFADHN COc1cc(F)c(C(C)C)cc1NC=N ZINC001167869014 961368616 /nfs/dbraw/zinc/36/86/16/961368616.db2.gz QQSFPXPTAZYCLX-UHFFFAOYSA-N 0 3 210.252 2.977 20 0 BFADHN Fc1ccc(F)c(CN[C@@H]2C[C@H]3C[C@H]3C2)c1F ZINC001167893637 961509300 /nfs/dbraw/zinc/50/93/00/961509300.db2.gz JTFCIQBNWICMCZ-AYMMMOKOSA-N 0 3 241.256 2.992 20 0 BFADHN Cc1nc([C@@H](C)N[C@H]2C[C@H]3C[C@H]3C2)cs1 ZINC001167899240 961536931 /nfs/dbraw/zinc/53/69/31/961536931.db2.gz YBRJEBVZNSNMAD-SNXWAXQRSA-N 0 3 222.357 2.901 20 0 BFADHN CC(C)P(CCNC(=O)C1CC1)C(C)C ZINC001142681844 961618956 /nfs/dbraw/zinc/61/89/56/961618956.db2.gz IUQRCOTWKXPMLN-UHFFFAOYSA-N 0 3 229.304 2.811 20 0 BFADHN CC(C)CN(CC(C)C)c1cccc(N)n1 ZINC000087727084 961730601 /nfs/dbraw/zinc/73/06/01/961730601.db2.gz VLVKIOXHRGVOLQ-UHFFFAOYSA-N 0 3 221.348 2.782 20 0 BFADHN COc1cc(C)c(CN2CCCOCC2)c(C)c1 ZINC001142930493 961830430 /nfs/dbraw/zinc/83/04/30/961830430.db2.gz KCRXDCYFPPVKJH-UHFFFAOYSA-N 0 3 249.354 2.534 20 0 BFADHN Cc1cc(NC2CCC3(CC3)CC2)nc(N)n1 ZINC000696220246 961874598 /nfs/dbraw/zinc/87/45/98/961874598.db2.gz VELWWOZPMCFLDT-UHFFFAOYSA-N 0 3 232.331 2.502 20 0 BFADHN Fc1ccc(CN2CC[C@H]3CCC[C@@H]3C2)cn1 ZINC001137736161 961876012 /nfs/dbraw/zinc/87/60/12/961876012.db2.gz BNZWOJWWNQLMBU-CHWSQXEVSA-N 0 3 234.318 2.843 20 0 BFADHN CCCCC(=O)N(C)CCN(C)CC=C(C)C ZINC001496658871 961882219 /nfs/dbraw/zinc/88/22/19/961882219.db2.gz LPWXLZQHFJKAFP-UHFFFAOYSA-N 0 3 240.391 2.533 20 0 BFADHN CCN1CCC[C@@H](Oc2cc(F)cc(F)c2)C1 ZINC001225226040 961888674 /nfs/dbraw/zinc/88/86/74/961888674.db2.gz FXXVUSXURKRJPR-GFCCVEGCSA-N 0 3 241.281 2.828 20 0 BFADHN c1ccc([C@@H]2CN([C@@H]3C[C@H]4C[C@H]4C3)CCO2)cc1 ZINC001167940181 962160943 /nfs/dbraw/zinc/16/09/43/962160943.db2.gz PHYRDSQOGCWBJW-FZKCQIBNSA-N 0 3 243.350 2.858 20 0 BFADHN CC(C)C[NH+](Cc1ccc([O-])cn1)CC(C)C ZINC000132488291 962435825 /nfs/dbraw/zinc/43/58/25/962435825.db2.gz SRSUHNPZJOKHKJ-UHFFFAOYSA-N 0 3 236.359 2.901 20 0 BFADHN C[N@@H+](Cc1ccc([O-])cn1)CC1CCCCC1 ZINC000133567404 962638316 /nfs/dbraw/zinc/63/83/16/962638316.db2.gz LMTGBRJIRONNER-UHFFFAOYSA-N 0 3 234.343 2.799 20 0 BFADHN C[N@H+](Cc1ccc([O-])cn1)CC1CCCCC1 ZINC000133567404 962638326 /nfs/dbraw/zinc/63/83/26/962638326.db2.gz LMTGBRJIRONNER-UHFFFAOYSA-N 0 3 234.343 2.799 20 0 BFADHN Cc1cc([C@H](C)NCCOC2CC2)c(C)o1 ZINC000711785750 962773238 /nfs/dbraw/zinc/77/32/38/962773238.db2.gz JKLPEAIVIHUKLV-JTQLQIEISA-N 0 3 223.316 2.726 20 0 BFADHN CN(C)c1cccc(NC(C)(C)C2CC2)n1 ZINC001155064457 962956306 /nfs/dbraw/zinc/95/63/06/962956306.db2.gz DZSHBVCYNGTREV-UHFFFAOYSA-N 0 3 219.332 2.748 20 0 BFADHN Cc1ccnc(N[C@H]2CNCc3ccsc32)c1 ZINC001155560001 963109264 /nfs/dbraw/zinc/10/92/64/963109264.db2.gz URRURFLBDNSFHF-NSHDSACASA-N 0 3 245.351 2.708 20 0 BFADHN Cc1cc(F)cc(CN2CCOC[C@H](C)C2)c1 ZINC001143580713 963171986 /nfs/dbraw/zinc/17/19/86/963171986.db2.gz SYUDVHVQETXUOW-GFCCVEGCSA-N 0 3 237.318 2.602 20 0 BFADHN Cc1ccc(N(C(=N)N)c2ccc(F)cc2)nc1 ZINC001167990109 963174033 /nfs/dbraw/zinc/17/40/33/963174033.db2.gz MWFCXGZUEAVKMA-UHFFFAOYSA-N 0 3 244.273 2.561 20 0 BFADHN C[C@@H]1CN(c2cc(CN(C)C)ccn2)C2(CC2)C1 ZINC001156425087 963343982 /nfs/dbraw/zinc/34/39/82/963343982.db2.gz KTUDEUOFWVEJSS-LBPRGKRZSA-N 0 3 245.370 2.522 20 0 BFADHN COc1ccnc(NCCc2ccsc2)c1 ZINC001156514775 963377572 /nfs/dbraw/zinc/37/75/72/963377572.db2.gz DKNQUOPDXHXDAR-UHFFFAOYSA-N 0 3 234.324 2.806 20 0 BFADHN Cc1cc(Cl)cnc1O[C@@H]1CCCN(C)C1 ZINC001227208331 963482439 /nfs/dbraw/zinc/48/24/39/963482439.db2.gz HZNMTRLDYWBQCA-LLVKDONJSA-N 0 3 240.734 2.516 20 0 BFADHN C[C@@H](CN(C)C)Oc1cccc2c1CCCC2=O ZINC000713983270 963570972 /nfs/dbraw/zinc/57/09/72/963570972.db2.gz LDNPKDNKIGFVPW-NSHDSACASA-N 0 3 247.338 2.535 20 0 BFADHN Cc1cc(Nc2ccncc2C)cc(C)c1O ZINC001211903893 963738013 /nfs/dbraw/zinc/73/80/13/963738013.db2.gz MJQKPJZZDCIXHH-UHFFFAOYSA-N 0 3 228.295 2.878 20 0 BFADHN Cc1nc2ccc(O[C@H]3CCCN(C)C3)cc2o1 ZINC001228339353 963758524 /nfs/dbraw/zinc/75/85/24/963758524.db2.gz VQIWMQSQWQPCKN-LBPRGKRZSA-N 0 3 246.310 2.609 20 0 BFADHN C[C@@H](N)c1ccn(-c2ccc(C(C)(C)C)cn2)n1 ZINC001158356018 963855528 /nfs/dbraw/zinc/85/55/28/963855528.db2.gz MHTJSUVFZPQKAL-SNVBAGLBSA-N 0 3 244.342 2.585 20 0 BFADHN C[C@@H](Cc1ccc(Cl)cc1)n1cncc1CN ZINC001168148625 963866977 /nfs/dbraw/zinc/86/69/77/963866977.db2.gz FVDBOMYRLRDTNE-JTQLQIEISA-N 0 3 249.745 2.799 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1CO[C@H](C)[C@H]1C ZINC000925261177 963876925 /nfs/dbraw/zinc/87/69/25/963876925.db2.gz SZVUQIVEGXSNBQ-YRGRVCCFSA-N 0 3 249.354 2.517 20 0 BFADHN C[C@H](N)c1ccn(C2CCC(C)CC2)n1 ZINC001168198933 963964367 /nfs/dbraw/zinc/96/43/67/963964367.db2.gz BSPIKUIRIDSFFO-YVNMAJEFSA-N 0 3 207.321 2.654 20 0 BFADHN Cn1cccc1C(=O)CN1CCCCCCCC1 ZINC000321789072 963967638 /nfs/dbraw/zinc/96/76/38/963967638.db2.gz URQPIKBVLGVVSL-UHFFFAOYSA-N 0 3 248.370 2.864 20 0 BFADHN CC(C)(C)Cc1cc(N=C2CCCNC2)on1 ZINC001159123594 964004251 /nfs/dbraw/zinc/00/42/51/964004251.db2.gz GUXHFFKGLRCSRM-UHFFFAOYSA-N 0 3 235.331 2.552 20 0 BFADHN Clc1cnc(N=C2CCCNC2)c(Cl)c1 ZINC001159171142 964006033 /nfs/dbraw/zinc/00/60/33/964006033.db2.gz VKMNEMIWUDXDKG-UHFFFAOYSA-N 0 3 244.125 2.678 20 0 BFADHN Cc1cccc2ccnc(NCC3CN(C)C3)c12 ZINC001159221958 964023455 /nfs/dbraw/zinc/02/34/55/964023455.db2.gz DEFWNSYNMYBFOQ-UHFFFAOYSA-N 0 3 241.338 2.517 20 0 BFADHN CC(C)c1ncsc1NC1=CNCCC1 ZINC001159416882 964080472 /nfs/dbraw/zinc/08/04/72/964080472.db2.gz LLHXIGCXIJWNGR-UHFFFAOYSA-N 0 3 223.345 2.903 20 0 BFADHN COc1ccc2c(c1)C[C@H](N1C[C@@H](F)C[C@H]1C)C2 ZINC001168263208 964097316 /nfs/dbraw/zinc/09/73/16/964097316.db2.gz NDLFTUNCVTZVHR-DDTOSNHZSA-N 0 3 249.329 2.595 20 0 BFADHN [O-]c1cc(O)ccc1C[NH+]1C[C@H]2CCCC[C@@H]2C1 ZINC000093441836 964129633 /nfs/dbraw/zinc/12/96/33/964129633.db2.gz XNAMVLWDPYBOQM-VXGBXAGGSA-N 0 3 247.338 2.720 20 0 BFADHN Cc1cnccc1Nc1c(N)cccc1Cl ZINC001159616562 964134657 /nfs/dbraw/zinc/13/46/57/964134657.db2.gz XBJLBVPCFBNMAY-UHFFFAOYSA-N 0 3 233.702 2.791 20 0 BFADHN Fc1cc(Cl)cc(F)c1N=C1CCCNC1 ZINC001159675453 964147503 /nfs/dbraw/zinc/14/75/03/964147503.db2.gz UIBXPVRWLDJXGR-UHFFFAOYSA-N 0 3 244.672 2.907 20 0 BFADHN Cc1cc(C)c(Nc2ccncc2CN)c(C)c1 ZINC001159706348 964157004 /nfs/dbraw/zinc/15/70/04/964157004.db2.gz AVGUBXVHLJPGLG-UHFFFAOYSA-N 0 3 241.338 2.631 20 0 BFADHN Cc1cc(C)c(Nc2ccncc2CN)cc1C ZINC001159782782 964194980 /nfs/dbraw/zinc/19/49/80/964194980.db2.gz AXRJVGZHVKCPTH-UHFFFAOYSA-N 0 3 241.338 2.631 20 0 BFADHN CCCN(CCC)C(=O)[C@@H](N)C1CCCCC1 ZINC001160856476 964419762 /nfs/dbraw/zinc/41/97/62/964419762.db2.gz OZTSTPJUVXHQIK-ZDUSSCGKSA-N 0 3 240.391 2.543 20 0 BFADHN Cc1nc(N[C@H]2CCC(C)(C)C2)cc(N(C)C)n1 ZINC001161033110 964489380 /nfs/dbraw/zinc/48/93/80/964489380.db2.gz ZIBRWFFHCXOOKE-NSHDSACASA-N 0 3 248.374 2.842 20 0 BFADHN Cc1ccc(F)c(N[C@H]2CCN(C3CCC3)C2)n1 ZINC001161175844 964551483 /nfs/dbraw/zinc/55/14/83/964551483.db2.gz MCUKSQVTMSFAAD-NSHDSACASA-N 0 3 249.333 2.568 20 0 BFADHN CCCCCC[C@@H](C)NCc1nccn1C ZINC000042222763 964720104 /nfs/dbraw/zinc/72/01/04/964720104.db2.gz MTEIEZXCJSKFLG-GFCCVEGCSA-N 0 3 223.364 2.869 20 0 BFADHN Cc1ccc(CNc2ccc(CN(C)C)cn2)o1 ZINC001161765371 964743425 /nfs/dbraw/zinc/74/34/25/964743425.db2.gz ORGFMMNEXYWMJW-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN [O-]c1ccc(C[N@@H+]2CC[C@H]3CCCC[C@H]3C2)nc1 ZINC000250095260 964767915 /nfs/dbraw/zinc/76/79/15/964767915.db2.gz SKWAKNJIFCXJTM-OLZOCXBDSA-N 0 3 246.354 2.799 20 0 BFADHN [O-]c1ccc(C[N@H+]2CC[C@H]3CCCC[C@H]3C2)nc1 ZINC000250095260 964767921 /nfs/dbraw/zinc/76/79/21/964767921.db2.gz SKWAKNJIFCXJTM-OLZOCXBDSA-N 0 3 246.354 2.799 20 0 BFADHN Cc1csc2ccc(-n3cnc(CN)c3)cc12 ZINC001162301785 964916821 /nfs/dbraw/zinc/91/68/21/964916821.db2.gz GDEADHLNSMXMDH-UHFFFAOYSA-N 0 3 243.335 2.854 20 0 BFADHN C1=C[C@H](N[C@H]2CCCc3cccnc32)CC1 ZINC000698263879 965156675 /nfs/dbraw/zinc/15/66/75/965156675.db2.gz DEGZTCNYJDDENN-STQMWFEESA-N 0 3 214.312 2.767 20 0 BFADHN FCC1CCN(Cc2cc3cc[nH]c3cn2)CC1 ZINC001231668582 965278344 /nfs/dbraw/zinc/27/83/44/965278344.db2.gz AHZTUSDKAOQEPQ-UHFFFAOYSA-N 0 3 247.317 2.744 20 0 BFADHN CCc1csc(CN2C[C@@H]3CCC[C@@H]3C2)n1 ZINC001232471040 965361358 /nfs/dbraw/zinc/36/13/58/965361358.db2.gz ZWGKWZYCKQAGGF-PHIMTYICSA-N 0 3 236.384 2.937 20 0 BFADHN COC1(C)CCN(Cc2cnc(C)c(C)c2)CC1 ZINC001233156764 965483469 /nfs/dbraw/zinc/48/34/69/965483469.db2.gz WBOCSROAGNPQGG-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1ncc(CNCC2=CCCC2)s1 ZINC000401111823 965490526 /nfs/dbraw/zinc/49/05/26/965490526.db2.gz JSFVDWJQZZQLJI-UHFFFAOYSA-N 0 3 208.330 2.651 20 0 BFADHN C[C@@H]1CCN1Cc1cc2cccnc2s1 ZINC001233346087 965492572 /nfs/dbraw/zinc/49/25/72/965492572.db2.gz QOPHVPWXWUJNTG-SECBINFHSA-N 0 3 218.325 2.891 20 0 BFADHN CC1(Nc2c[nH]cc3ccnc2-3)CCC1 ZINC001164032671 965709227 /nfs/dbraw/zinc/70/92/27/965709227.db2.gz MKIYBNUNXPJIIE-UHFFFAOYSA-N 0 3 201.273 2.917 20 0 BFADHN CC1(Nc2cncc3cc[nH]c32)CCC1 ZINC001164032671 965709228 /nfs/dbraw/zinc/70/92/28/965709228.db2.gz MKIYBNUNXPJIIE-UHFFFAOYSA-N 0 3 201.273 2.917 20 0 BFADHN CCCCCNCc1nc2c(s1)CCC2 ZINC000093052699 965731752 /nfs/dbraw/zinc/73/17/52/965731752.db2.gz BBEVKOOIJXFESE-UHFFFAOYSA-N 0 3 224.373 2.912 20 0 BFADHN Cc1nc2ccc(NC[C@H]3CC(C)(C)O3)cc2[nH]1 ZINC001168453379 965752128 /nfs/dbraw/zinc/75/21/28/965752128.db2.gz VQOWMNKICNLMMB-LLVKDONJSA-N 0 3 245.326 2.851 20 0 BFADHN Cc1c2[nH]cnc2ccc1NC[C@@H]1CC(C)(C)O1 ZINC001168457630 965760024 /nfs/dbraw/zinc/76/00/24/965760024.db2.gz ZRHPOPGWVRWWSA-JTQLQIEISA-N 0 3 245.326 2.851 20 0 BFADHN Clc1ncccc1CN1CC[C@H]2C[C@H]2C1 ZINC000699201978 965803906 /nfs/dbraw/zinc/80/39/06/965803906.db2.gz RMBVQLMKYSSLBW-ONGXEEELSA-N 0 3 222.719 2.577 20 0 BFADHN C[C@H](COc1ccccc1)NCc1ccns1 ZINC000699300691 965841367 /nfs/dbraw/zinc/84/13/67/965841367.db2.gz XRYFPNJRNPUHLE-LLVKDONJSA-N 0 3 248.351 2.700 20 0 BFADHN C[C@@H](Cc1cccc(F)c1)N1CC(C)C1 ZINC001168474471 965859998 /nfs/dbraw/zinc/85/99/98/965859998.db2.gz MKFDIXWLVREMGC-NSHDSACASA-N 0 3 207.292 2.708 20 0 BFADHN CC[C@H]1COC[C@H]1NCc1cc(C)ccc1OC ZINC000699529710 965944458 /nfs/dbraw/zinc/94/44/58/965944458.db2.gz UTDHSRJCFLHUSM-GXTWGEPZSA-N 0 3 249.354 2.518 20 0 BFADHN CN(C)C1CN(Cc2ccc(C(C)(C)C)cc2)C1 ZINC001204396593 966017956 /nfs/dbraw/zinc/01/79/56/966017956.db2.gz IETIJDUSAFMKDJ-UHFFFAOYSA-N 0 3 246.398 2.730 20 0 BFADHN C[C@H]1COC[C@@H]1NCc1cc2ccccc2o1 ZINC000699778279 966080783 /nfs/dbraw/zinc/08/07/83/966080783.db2.gz OZNVQAXWNQZKMP-GWCFXTLKSA-N 0 3 231.295 2.557 20 0 BFADHN C[C@]1(CF)CCN(Cc2cnc3ccccn23)C1 ZINC001203145787 966112808 /nfs/dbraw/zinc/11/28/08/966112808.db2.gz SSBSPKYLZUDYQK-CQSZACIVSA-N 0 3 247.317 2.516 20 0 BFADHN CC(C)Oc1ccccc1CN[C@@H]1COC[C@H]1C ZINC000699840000 966128719 /nfs/dbraw/zinc/12/87/19/966128719.db2.gz UHLYFWBHBKIFLH-TZMCWYRMSA-N 0 3 249.354 2.598 20 0 BFADHN COCC(C)(C)Nc1ccc2nc(C)[nH]c2c1 ZINC001166003168 966226944 /nfs/dbraw/zinc/22/69/44/966226944.db2.gz XOVGPXQVOUFZRE-UHFFFAOYSA-N 0 3 233.315 2.708 20 0 BFADHN Cc1cncc(CN2CCC3(CCCC3)CC2)n1 ZINC001203163903 966539413 /nfs/dbraw/zinc/53/94/13/966539413.db2.gz LVGSWAAAZLDSIN-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN CCc1cc(CN2CCC[C@H](CC)C2)on1 ZINC001203226522 966567981 /nfs/dbraw/zinc/56/79/81/966567981.db2.gz NVCXTRBLHDGGOL-NSHDSACASA-N 0 3 222.332 2.859 20 0 BFADHN CCOc1ccccc1CN1CC2CC(C2)C1 ZINC001203545979 966681542 /nfs/dbraw/zinc/68/15/42/966681542.db2.gz YNQYUXFYGVGRIQ-UHFFFAOYSA-N 0 3 231.339 2.927 20 0 BFADHN Cc1nc(CN2C[C@H]3CC[C@@H]2C3)ccc1F ZINC001237822989 966697729 /nfs/dbraw/zinc/69/77/29/966697729.db2.gz XWUHLQFCYTVUAH-CMPLNLGQSA-N 0 3 220.291 2.513 20 0 BFADHN Nc1ccc(Nc2ccnc(C3CC3)c2)c(F)n1 ZINC001203615489 966711688 /nfs/dbraw/zinc/71/16/88/966711688.db2.gz QCMZYNUTTRQTLZ-UHFFFAOYSA-N 0 3 244.273 2.819 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cc(O)ccc2C)C1 ZINC001238099914 966721961 /nfs/dbraw/zinc/72/19/61/966721961.db2.gz UKJUHTCGRNUZAU-OAHLLOKOSA-N 0 3 249.354 2.702 20 0 BFADHN Oc1cccc(CN2CC[C@@]3(C2)CCCCO3)c1 ZINC001203859484 966797107 /nfs/dbraw/zinc/79/71/07/966797107.db2.gz RFURYBOAZWZJPR-OAHLLOKOSA-N 0 3 247.338 2.537 20 0 BFADHN C[C@]1(CF)CCN(Cc2cccc(O)c2)C1 ZINC001203859511 966799371 /nfs/dbraw/zinc/79/93/71/966799371.db2.gz TZUKOACJCHSXRB-CYBMUJFWSA-N 0 3 223.291 2.574 20 0 BFADHN COc1ccc(CN2CC[C@H]2C)cc1C ZINC001203894414 966811580 /nfs/dbraw/zinc/81/15/80/966811580.db2.gz GXIUMRJTVDQVNY-LLVKDONJSA-N 0 3 205.301 2.598 20 0 BFADHN Cc1cccc(CN2CC(c3cccnc3)C2)c1 ZINC001203895547 966814231 /nfs/dbraw/zinc/81/42/31/966814231.db2.gz XVUZKVHFJYCPGQ-UHFFFAOYSA-N 0 3 238.334 2.989 20 0 BFADHN C[C@@H](NCC[C@H]1CC=CCC1)c1ncc[nH]1 ZINC000527980987 966838909 /nfs/dbraw/zinc/83/89/09/966838909.db2.gz QIVIVZNIIRRLCU-NEPJUHHUSA-N 0 3 219.332 2.807 20 0 BFADHN CC1(C)CN(Cc2ccc[nH]2)CCC1(F)F ZINC001204005347 966842130 /nfs/dbraw/zinc/84/21/30/966842130.db2.gz OZMKHYFXNYXAGK-UHFFFAOYSA-N 0 3 228.286 2.882 20 0 BFADHN c1c[nH]c(CN2CC[C@@H](Oc3ccccc3)C2)c1 ZINC001204010262 966848338 /nfs/dbraw/zinc/84/83/38/966848338.db2.gz LWOIFKBZDFCZIU-OAHLLOKOSA-N 0 3 242.322 2.668 20 0 BFADHN Cc1cccc(CN2CC[C@@]3(C2)CCCCO3)n1 ZINC001204067520 966875798 /nfs/dbraw/zinc/87/57/98/966875798.db2.gz VOGOZVRYZHJQSO-OAHLLOKOSA-N 0 3 246.354 2.535 20 0 BFADHN CCc1ccc(CN2CCC3(CCCO3)CC2)o1 ZINC001204393395 966984922 /nfs/dbraw/zinc/98/49/22/966984922.db2.gz WAWIJPHCNILZGE-UHFFFAOYSA-N 0 3 249.354 2.987 20 0 BFADHN CC(=O)n1cc(CN(C)C2CC2)c2ccccc21 ZINC001204406067 966990932 /nfs/dbraw/zinc/99/09/32/966990932.db2.gz HCKNHPNCHPPJKY-UHFFFAOYSA-N 0 3 242.322 2.896 20 0 BFADHN CN(C)Cc1cncc(-c2ccccc2F)c1 ZINC001239555795 966995866 /nfs/dbraw/zinc/99/58/66/966995866.db2.gz GFVPSMSBIGQQPU-UHFFFAOYSA-N 0 3 230.286 2.949 20 0 BFADHN CN(Cc1ccc(Cl)s1)[C@@H]1CCN(C)C1 ZINC001204445719 966999919 /nfs/dbraw/zinc/99/99/19/966999919.db2.gz PQJZGCXKBPTEMF-SECBINFHSA-N 0 3 244.791 2.537 20 0 BFADHN Cc1c(F)cccc1CN1CCCC2(COC2)C1 ZINC001204507774 967012905 /nfs/dbraw/zinc/01/29/05/967012905.db2.gz KCHXZBHKETXSLE-UHFFFAOYSA-N 0 3 249.329 2.747 20 0 BFADHN Cc1cc(CN2CCCO[C@@H](C)C2)oc1C ZINC001204532359 967031143 /nfs/dbraw/zinc/03/11/43/967031143.db2.gz WQXHFWKRPJFRGU-NSHDSACASA-N 0 3 223.316 2.507 20 0 BFADHN CCCCN(C)Cc1ccc(OC)c(C)n1 ZINC001249890008 967132258 /nfs/dbraw/zinc/13/22/58/967132258.db2.gz VMWABYCPXJJIDJ-UHFFFAOYSA-N 0 3 222.332 2.631 20 0 BFADHN COc1cc(CN(C)C(C)C)cnc1Cl ZINC001249913346 967148453 /nfs/dbraw/zinc/14/84/53/967148453.db2.gz WJGZGHSCDIPVAY-UHFFFAOYSA-N 0 3 228.723 2.584 20 0 BFADHN CN1CC=C(Cc2c(F)cccc2F)CC1 ZINC001249987089 967230917 /nfs/dbraw/zinc/23/09/17/967230917.db2.gz XJDBKCNSAPPWGY-UHFFFAOYSA-N 0 3 223.266 2.769 20 0 BFADHN CCOc1cc(CN2CCC23CCCC3)ccn1 ZINC001250001681 967260006 /nfs/dbraw/zinc/26/00/06/967260006.db2.gz BGQBYNYPSHHVRR-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN CCOc1cc(CN2C3CCC2CC3)ccn1 ZINC001250010337 967269813 /nfs/dbraw/zinc/26/98/13/967269813.db2.gz XBIJENDQJCSQEA-UHFFFAOYSA-N 0 3 232.327 2.607 20 0 BFADHN FCC1(N[C@H]2Cc3cccc(Cl)c3C2)CC1 ZINC001168675089 967275867 /nfs/dbraw/zinc/27/58/67/967275867.db2.gz CVTIORVNXNWKJA-JTQLQIEISA-N 0 3 239.721 2.899 20 0 BFADHN CN1CCC=C(CCC(=O)c2ccccc2)C1 ZINC001250022955 967279235 /nfs/dbraw/zinc/27/92/35/967279235.db2.gz IQGHBHSHPMHBLX-UHFFFAOYSA-N 0 3 229.323 2.911 20 0 BFADHN CCCn1cncc1CN1CCC[C@@H](C)C1 ZINC001205046893 967301415 /nfs/dbraw/zinc/30/14/15/967301415.db2.gz WJSOJWZBSHZHFR-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN Clc1cccc2c1C[C@@H](NCc1cnoc1)C2 ZINC001168688142 967355234 /nfs/dbraw/zinc/35/52/34/967355234.db2.gz BTARVXRNWRCNAN-NSHDSACASA-N 0 3 248.713 2.585 20 0 BFADHN Cc1cc(CN2CCC[C@@]3(CCO3)C2)ccc1F ZINC001205193055 967422163 /nfs/dbraw/zinc/42/21/63/967422163.db2.gz NIBDHAIZDIKYPW-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN [O-]c1cccc(CC[NH+]2CCCCC2)c1F ZINC001250241888 967551514 /nfs/dbraw/zinc/55/15/14/967551514.db2.gz MXOWDRSUUXKMOH-UHFFFAOYSA-N 0 3 223.291 2.560 20 0 BFADHN FC(F)(F)c1ccc(CN2C[C@@H]3C[C@@H]3C2)cn1 ZINC001205364320 967588955 /nfs/dbraw/zinc/58/89/55/967588955.db2.gz SGSVFHUVBSXPOY-AOOOYVTPSA-N 0 3 242.244 2.552 20 0 BFADHN CC[C@H]1CCN(Cc2cn(C3CC3)cn2)[C@@H]1C ZINC001205472289 967642547 /nfs/dbraw/zinc/64/25/47/967642547.db2.gz ZZOJFVAOROGYFG-NEPJUHHUSA-N 0 3 233.359 2.838 20 0 BFADHN CCO[C@@H]1CCN(Cc2cc(C)cc(OC)c2)C1 ZINC001143160556 967694906 /nfs/dbraw/zinc/69/49/06/967694906.db2.gz QHSWJZTVSDKOBW-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1cc(F)cc(CN2CCC[C@@]23CCOC3)c1 ZINC001143618738 967700548 /nfs/dbraw/zinc/70/05/48/967700548.db2.gz FFBHCBZGWAHSCJ-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN C/C=C/CO[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001205051764 967706353 /nfs/dbraw/zinc/70/63/53/967706353.db2.gz UYLZPAXPKRBQMS-PUWCWTCHSA-N 0 3 246.354 2.810 20 0 BFADHN CN(C)Cc1ccc(NC(C2CC2)C2CC2)nc1 ZINC001168742767 967715177 /nfs/dbraw/zinc/71/51/77/967715177.db2.gz QOADUFPAKUTLNL-UHFFFAOYSA-N 0 3 245.370 2.744 20 0 BFADHN CCN(Cc1ccncc1)C[C@@]1(C)CCCCO1 ZINC001205706414 967800593 /nfs/dbraw/zinc/80/05/93/967800593.db2.gz IBQLCCJLVSKSNE-OAHLLOKOSA-N 0 3 248.370 2.863 20 0 BFADHN Cc1cccnc1CN1CC[C@@](C)(CF)C1 ZINC001205770549 967817683 /nfs/dbraw/zinc/81/76/83/967817683.db2.gz MBBSOHYMLSRANX-ZDUSSCGKSA-N 0 3 222.307 2.572 20 0 BFADHN Cc1cccnc1CN1CC[C@]2(C1)CCCCO2 ZINC001205769747 967818485 /nfs/dbraw/zinc/81/84/85/967818485.db2.gz GQYFWIYVZMPVTC-HNNXBMFYSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1nc(CN2CCC[C@H](C)[C@@H](C)C2)co1 ZINC001206041550 968000354 /nfs/dbraw/zinc/00/03/54/968000354.db2.gz RHKDHXFPFGTSPS-QWRGUYRKSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1cc(F)cc(CN2C[C@H]3[C@H](CF)[C@H]3C2)c1 ZINC001143618938 968035175 /nfs/dbraw/zinc/03/51/75/968035175.db2.gz HAAYFGFLWUZJRO-ZSOGYDGISA-N 0 3 237.293 2.781 20 0 BFADHN CN(C)c1ccc2nc(CC3CC3)[nH]c2c1 ZINC001250573010 968091828 /nfs/dbraw/zinc/09/18/28/968091828.db2.gz QDXHUCGZEQDMBB-UHFFFAOYSA-N 0 3 215.300 2.581 20 0 BFADHN CSCCCNc1c[nH]cc2ccnc1-2 ZINC001163874939 968125568 /nfs/dbraw/zinc/12/55/68/968125568.db2.gz OQZSEGMZXHBWHO-UHFFFAOYSA-N 0 3 221.329 2.728 20 0 BFADHN Cc1cc(O)cc(-c2cc(N(C)C)ccn2)c1 ZINC001206204094 968130911 /nfs/dbraw/zinc/13/09/11/968130911.db2.gz CKBCKOLQDOAQDN-UHFFFAOYSA-N 0 3 228.295 2.829 20 0 BFADHN COc1cccnc1CN[C@H]1C[C@@H]2CCCC[C@@H]21 ZINC001206300143 968205138 /nfs/dbraw/zinc/20/51/38/968205138.db2.gz KGEVFKAUVTWUNY-AVGNSLFASA-N 0 3 246.354 2.759 20 0 BFADHN Cc1c2[nH]c(-c3ccncc3O)nc2ccc1F ZINC001250858540 968206662 /nfs/dbraw/zinc/20/66/62/968206662.db2.gz AFUKGEYOLQYDCC-UHFFFAOYSA-N 0 3 243.241 2.778 20 0 BFADHN C1=C[C@H](N[C@@H]2CCCc3occc32)CC1 ZINC000698326098 968326370 /nfs/dbraw/zinc/32/63/70/968326370.db2.gz GDZMJPXLHPVYSQ-CMPLNLGQSA-N 0 3 203.285 2.965 20 0 BFADHN COc1cnccc1CN1CC[C@H](C)C[C@H]1C ZINC001206473522 968328352 /nfs/dbraw/zinc/32/83/52/968328352.db2.gz QXLVOQRBUIAVLS-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cnccc1CN1CCC(C)(C)CC1 ZINC001206473261 968329648 /nfs/dbraw/zinc/32/96/48/968329648.db2.gz GVDBIJFSLKRXMQ-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cccnc1CN1CC[C@@H](C)C[C@@H]1C ZINC001206643869 968429120 /nfs/dbraw/zinc/42/91/20/968429120.db2.gz BWYHOCIBDZLKQR-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ccnc(CN2CCC[C@@H](F)CC2)c1 ZINC001206847947 968498671 /nfs/dbraw/zinc/49/86/71/968498671.db2.gz WXWDBRODRQZDRO-GFCCVEGCSA-N 0 3 222.307 2.714 20 0 BFADHN CCCC[C@H](O)CN[C@H](C)c1cc(C)ccn1 ZINC001252108807 968592711 /nfs/dbraw/zinc/59/27/11/968592711.db2.gz SNPHYFFNVWXGLH-OLZOCXBDSA-N 0 3 236.359 2.592 20 0 BFADHN CCc1nccc(CN2CCC(C)(C)CC2)n1 ZINC001207085825 968619081 /nfs/dbraw/zinc/61/90/81/968619081.db2.gz GTWAIFLSLWAZNI-UHFFFAOYSA-N 0 3 233.359 2.661 20 0 BFADHN CCc1nccc(CN2CCC(C(C)C)CC2)n1 ZINC001207093667 968625007 /nfs/dbraw/zinc/62/50/07/968625007.db2.gz ZACYHNRHPRUCAN-UHFFFAOYSA-N 0 3 247.386 2.907 20 0 BFADHN CC1=CC[C@H](CCN2C[C@@H]3C[C@H]2CO3)C1(C)C ZINC001207278807 968709914 /nfs/dbraw/zinc/70/99/14/968709914.db2.gz JBRDNCFMOLTYKY-RDBSUJKOSA-N 0 3 235.371 2.842 20 0 BFADHN Fc1ccccc1CCCN1CC[C@@]12CCOC2 ZINC001207497154 968806014 /nfs/dbraw/zinc/80/60/14/968806014.db2.gz VDJGGFZCXFABNE-HNNXBMFYSA-N 0 3 249.329 2.623 20 0 BFADHN Clc1cscc1CN[C@H]1C[C@@H]2COC[C@@H]21 ZINC001207570198 968819069 /nfs/dbraw/zinc/81/90/69/968819069.db2.gz ORRUNEYAHAYUDI-HDBBIHSSSA-N 0 3 243.759 2.526 20 0 BFADHN Clc1cscc1CN[C@@H]1C[C@@H]2COC[C@H]12 ZINC001207570201 968819849 /nfs/dbraw/zinc/81/98/49/968819849.db2.gz ORRUNEYAHAYUDI-POZPLHJXSA-N 0 3 243.759 2.526 20 0 BFADHN COc1ccc(CCCN2CC[C@H](F)C2)cc1 ZINC001207578105 968822496 /nfs/dbraw/zinc/82/24/96/968822496.db2.gz UHRHTRMGTIONCE-ZDUSSCGKSA-N 0 3 237.318 2.672 20 0 BFADHN COc1ccccc1[C@H](C)N(C)C[C@H]1CCCO1 ZINC001207887504 968911843 /nfs/dbraw/zinc/91/18/43/968911843.db2.gz KWTXMJXKKJWFOA-QWHCGFSZSA-N 0 3 249.354 2.867 20 0 BFADHN Cc1ccc([C@@H]2CCCN2C[C@H]2CCCO2)cn1 ZINC001207887386 968912420 /nfs/dbraw/zinc/91/24/20/968912420.db2.gz HUVWZICAJHWEGB-CABCVRRESA-N 0 3 246.354 2.706 20 0 BFADHN C[C@H](CN1CCCC(=O)CC1)c1ccccc1 ZINC001207904276 968925150 /nfs/dbraw/zinc/92/51/50/968925150.db2.gz WMYMJANYHGGGNA-CYBMUJFWSA-N 0 3 231.339 2.845 20 0 BFADHN CN(C)c1cc(F)cc(C2=CCN(C)CC2)c1 ZINC001241319299 968973296 /nfs/dbraw/zinc/97/32/96/968973296.db2.gz GXGNPFJPWJMEJF-UHFFFAOYSA-N 0 3 234.318 2.611 20 0 BFADHN COc1cc(C2=CCN(C)CC2)ccc1F ZINC001241319251 968973964 /nfs/dbraw/zinc/97/39/64/968973964.db2.gz FVFFBIHHQGOQHG-UHFFFAOYSA-N 0 3 221.275 2.553 20 0 BFADHN CN1CC=C(c2cncc3ccccc32)CC1 ZINC001241319409 968974574 /nfs/dbraw/zinc/97/45/74/968974574.db2.gz JESXYBMKHNKYFK-UHFFFAOYSA-N 0 3 224.307 2.954 20 0 BFADHN CN1CC=C(c2ccc3c(c2)CC=C3)CC1 ZINC001241326926 968982920 /nfs/dbraw/zinc/98/29/20/968982920.db2.gz BSTZSISMQDXESL-UHFFFAOYSA-N 0 3 211.308 2.975 20 0 BFADHN CCC[C@@H](O)CNCc1ccc(C)c(F)c1F ZINC001252801238 968985442 /nfs/dbraw/zinc/98/54/42/968985442.db2.gz WJGUDIBGISBQSA-LLVKDONJSA-N 0 3 243.297 2.524 20 0 BFADHN CC/C=C\CCCN1CCc2ncn(C)c2C1 ZINC001208137004 969039170 /nfs/dbraw/zinc/03/91/70/969039170.db2.gz DLZYKXIODYRARV-PLNGDYQASA-N 0 3 233.359 2.525 20 0 BFADHN CS[C@H](C)CCN1CC[C@](C)(F)[C@@H](F)C1 ZINC001208140273 969056749 /nfs/dbraw/zinc/05/67/49/969056749.db2.gz ZJJMODPSNDYFBP-VWYCJHECSA-N 0 3 237.359 2.900 20 0 BFADHN CN1CCC=C(c2cccc3ccncc32)C1 ZINC001241569802 969057913 /nfs/dbraw/zinc/05/79/13/969057913.db2.gz QLOAYOOOZRSFRE-UHFFFAOYSA-N 0 3 224.307 2.954 20 0 BFADHN COC(=O)c1ccc(C)c(C2=CCCN(C)C2)c1 ZINC001241570481 969061068 /nfs/dbraw/zinc/06/10/68/969061068.db2.gz KQZSLFDTIIFNTR-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN Cc1cc(C2=CCCN(C)C2)nc2[nH]ccc21 ZINC001241567334 969065005 /nfs/dbraw/zinc/06/50/05/969065005.db2.gz WQLOZEOSAXPJAT-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN Cc1ccc([C@@H](C)CCN2CC3(C2)CCOC3)o1 ZINC001208153370 969081702 /nfs/dbraw/zinc/08/17/02/969081702.db2.gz YJTMMYBKHSZXED-LBPRGKRZSA-N 0 3 249.354 2.804 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@@H]2OCCC[C@@H]2C1 ZINC001253182527 969102698 /nfs/dbraw/zinc/10/26/98/969102698.db2.gz QIFSNJFKBMAAFR-YUELXQCFSA-N 0 3 246.354 2.644 20 0 BFADHN CC1=C[C@H](C)[C@@H](CN(C)Cc2cnccn2)CC1 ZINC001208181357 969112501 /nfs/dbraw/zinc/11/25/01/969112501.db2.gz LOWASXDYWTTXFX-UONOGXRCSA-N 0 3 245.370 2.901 20 0 BFADHN CC1=C[C@H](C)[C@H](CN(C)Cc2cnccn2)CC1 ZINC001208181348 969114226 /nfs/dbraw/zinc/11/42/26/969114226.db2.gz LOWASXDYWTTXFX-KBPBESRZSA-N 0 3 245.370 2.901 20 0 BFADHN COc1cccc(-c2ccnc(CN)c2)c1Cl ZINC001241859313 969126948 /nfs/dbraw/zinc/12/69/48/969126948.db2.gz LESHNULMLUVYKD-UHFFFAOYSA-N 0 3 248.713 2.869 20 0 BFADHN CN1CC=C(Nc2ccc3ccccc3n2)CC1 ZINC001208720053 969202405 /nfs/dbraw/zinc/20/24/05/969202405.db2.gz XRWBMJRPVMPJSW-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN CN1CC=C(Nc2csc3ncccc23)CC1 ZINC001208717928 969202805 /nfs/dbraw/zinc/20/28/05/969202805.db2.gz HTANMPNYUNPXMJ-UHFFFAOYSA-N 0 3 245.351 2.928 20 0 BFADHN CCCCC[C@@H](O)CN[C@H]1CCc2cccnc21 ZINC001253553662 969226504 /nfs/dbraw/zinc/22/65/04/969226504.db2.gz KVTFGPIBDKVBRQ-KGLIPLIRSA-N 0 3 248.370 2.600 20 0 BFADHN CCCCC[C@H](O)CNC1(c2cccnc2)CC1 ZINC001253556730 969231054 /nfs/dbraw/zinc/23/10/54/969231054.db2.gz YTSZGAHXBDYVEH-AWEZNQCLSA-N 0 3 248.370 2.602 20 0 BFADHN CN1CC=C(Nc2cc3ccccc3cn2)CC1 ZINC001208741883 969232199 /nfs/dbraw/zinc/23/21/99/969232199.db2.gz PLAARULVDFRGTL-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN CN1CC=C(Nc2cccc(C3CCC3)n2)CC1 ZINC001208802458 969242790 /nfs/dbraw/zinc/24/27/90/969242790.db2.gz LRDOQWOMMHWZNB-UHFFFAOYSA-N 0 3 243.354 2.980 20 0 BFADHN CN1CC=C(Nc2ncccc2C2CC2)CC1 ZINC001208801877 969243938 /nfs/dbraw/zinc/24/39/38/969243938.db2.gz BSFRBPKDDZZAFX-UHFFFAOYSA-N 0 3 229.327 2.590 20 0 BFADHN CN1CCC(=Nc2scnc2C2CC2)CC1 ZINC001208838524 969247082 /nfs/dbraw/zinc/24/70/82/969247082.db2.gz PYGDJLGOKVLYFH-UHFFFAOYSA-N 0 3 235.356 2.652 20 0 BFADHN Cc1ccc(F)cc1NC1=CCN(C)CC1 ZINC001208837514 969248862 /nfs/dbraw/zinc/24/88/62/969248862.db2.gz KCSYHHNPRAATNX-UHFFFAOYSA-N 0 3 220.291 2.765 20 0 BFADHN Cc1ccc(NC2=CCN(C)CC2)cc1F ZINC001208839097 969249395 /nfs/dbraw/zinc/24/93/95/969249395.db2.gz WIGGGHFAQHGSFF-UHFFFAOYSA-N 0 3 220.291 2.765 20 0 BFADHN Cc1ccc(C)c(NC2=CCN(C)CC2)c1 ZINC001208837430 969251543 /nfs/dbraw/zinc/25/15/43/969251543.db2.gz ILOXAWWPQONDAX-UHFFFAOYSA-N 0 3 216.328 2.935 20 0 BFADHN Cc1cccc(NC2=CCN(C)CC2)c1 ZINC001208839078 969252336 /nfs/dbraw/zinc/25/23/36/969252336.db2.gz VTCGHCISKODYDI-UHFFFAOYSA-N 0 3 202.301 2.626 20 0 BFADHN COc1ccc(C)c(NC2=CCN(C)CC2)c1 ZINC001208836813 969252383 /nfs/dbraw/zinc/25/23/83/969252383.db2.gz BXECWQXWACKACI-UHFFFAOYSA-N 0 3 232.327 2.635 20 0 BFADHN CCOc1ccccc1NC1=CCN(C)CC1 ZINC001208843739 969254256 /nfs/dbraw/zinc/25/42/56/969254256.db2.gz GUWNBMMQKDZNNR-UHFFFAOYSA-N 0 3 232.327 2.717 20 0 BFADHN Cc1cccc(F)c1NC1=CCN(C)CC1 ZINC001208844642 969257294 /nfs/dbraw/zinc/25/72/94/969257294.db2.gz SJPZLWBYSIJUHV-UHFFFAOYSA-N 0 3 220.291 2.765 20 0 BFADHN CCCO[C@H]1CCN(C[C@@H](C)C(F)(F)F)C1 ZINC001208893448 969280300 /nfs/dbraw/zinc/28/03/00/969280300.db2.gz JQGCEUSUAQUTDP-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CCO[C@H]1CCCN(C[C@H](C)C(F)(F)F)C1 ZINC001208894494 969281876 /nfs/dbraw/zinc/28/18/76/969281876.db2.gz UQYBCICMZHFFQD-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN Cn1ncc2c1CN(CC1(C)CCCCC1)CC2 ZINC001208903572 969289932 /nfs/dbraw/zinc/28/99/32/969289932.db2.gz SJDIZSAHCNSSHV-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN CCC(=O)C(C)(C)CN(CC)Cc1cccnc1 ZINC001208916555 969299007 /nfs/dbraw/zinc/29/90/07/969299007.db2.gz MIHHJTRTHVFEMD-UHFFFAOYSA-N 0 3 248.370 2.909 20 0 BFADHN Fc1cc(F)cc(CCN2CCC3(CC3)C2)c1 ZINC001209131555 969354877 /nfs/dbraw/zinc/35/48/77/969354877.db2.gz ZXJSCHTZGHVAQC-UHFFFAOYSA-N 0 3 237.293 2.993 20 0 BFADHN C[C@@H]1C[C@H](F)CN1CCc1ccc2c(c1)CCO2 ZINC001209142536 969357049 /nfs/dbraw/zinc/35/70/49/969357049.db2.gz DLNYTFJWHCUZAP-RISCZKNCSA-N 0 3 249.329 2.596 20 0 BFADHN F[C@@H]1CCCCN(CCCc2cccnc2)C1 ZINC001209172268 969370537 /nfs/dbraw/zinc/37/05/37/969370537.db2.gz OQLVRWSYQIKGSA-CQSZACIVSA-N 0 3 236.334 2.838 20 0 BFADHN COC(=O)[C@@H]1CCCCCN1CCCC1CC1 ZINC001169154123 969471430 /nfs/dbraw/zinc/47/14/30/969471430.db2.gz UOCBYWKYTAPMBX-ZDUSSCGKSA-N 0 3 239.359 2.594 20 0 BFADHN NCc1cccc(N[C@@H]2CCCC(F)(F)C2)n1 ZINC001169218454 969486453 /nfs/dbraw/zinc/48/64/53/969486453.db2.gz KFBFXRRVDTZALS-SECBINFHSA-N 0 3 241.285 2.530 20 0 BFADHN CC(C)Oc1ccc(-n2ccc([C@@H](C)N)n2)cc1 ZINC001169231221 969503068 /nfs/dbraw/zinc/50/30/68/969503068.db2.gz GGARXJBRJMBFQV-LLVKDONJSA-N 0 3 245.326 2.679 20 0 BFADHN C[C@@H](N)c1ccn(-c2ccc3ccoc3c2)n1 ZINC001169233482 969512330 /nfs/dbraw/zinc/51/23/30/969512330.db2.gz QYMMSUZPHIGOEP-SECBINFHSA-N 0 3 227.267 2.638 20 0 BFADHN Cc1c[nH]c2cccc(-n3ccc([C@@H](C)N)n3)c12 ZINC001169233184 969513465 /nfs/dbraw/zinc/51/34/65/969513465.db2.gz NQDUPGSASNRPKH-SNVBAGLBSA-N 0 3 240.310 2.682 20 0 BFADHN Cc1ccc2[nH]cc(CN3CCC3)c2c1 ZINC001209490292 969526166 /nfs/dbraw/zinc/52/61/66/969526166.db2.gz VJDPCXIRVPGELH-UHFFFAOYSA-N 0 3 200.285 2.682 20 0 BFADHN CCN(Cc1c2ccccc2nn1C)C1CC1 ZINC001209538130 969534568 /nfs/dbraw/zinc/53/45/68/969534568.db2.gz MSJCTDNDTNVSEZ-UHFFFAOYSA-N 0 3 229.327 2.558 20 0 BFADHN Cc1nc(CNC2CCC(F)(F)CC2)c(C)o1 ZINC001254207348 969537464 /nfs/dbraw/zinc/53/74/64/969537464.db2.gz NMVFRQCLODVDDJ-UHFFFAOYSA-N 0 3 244.285 2.959 20 0 BFADHN CN(Cc1cncs1)CC1CC(F)(F)C1 ZINC001209549739 969537811 /nfs/dbraw/zinc/53/78/11/969537811.db2.gz FZOHVFDAOZMACT-UHFFFAOYSA-N 0 3 232.299 2.620 20 0 BFADHN Cc1ccc(CN2CCC(OC(C)C)CC2)[nH]1 ZINC001209572866 969555416 /nfs/dbraw/zinc/55/54/16/969555416.db2.gz IZZVNHMJKMFOCI-UHFFFAOYSA-N 0 3 236.359 2.713 20 0 BFADHN COc1cc(CN2CCCCC23CC3)ccn1 ZINC001209640480 969568870 /nfs/dbraw/zinc/56/88/70/969568870.db2.gz BSRZXYFPLKAHSC-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN Fc1ccc2c(CN3CCC3)c[nH]c2c1 ZINC001209688339 969577552 /nfs/dbraw/zinc/57/75/52/969577552.db2.gz DTTXWZXRLSGDRU-UHFFFAOYSA-N 0 3 204.248 2.513 20 0 BFADHN CC(C)OC1CN(C2CCC3(CC3)CC2)C1 ZINC001254445365 969634841 /nfs/dbraw/zinc/63/48/41/969634841.db2.gz LPHDZFXWOKOYQW-UHFFFAOYSA-N 0 3 223.360 2.818 20 0 BFADHN Cc1cc(CN(C)C2CCC3(CC3)CC2)n(C)n1 ZINC001254444230 969634966 /nfs/dbraw/zinc/63/49/66/969634966.db2.gz OTPUDBFOXRWURZ-UHFFFAOYSA-N 0 3 247.386 2.883 20 0 BFADHN Cc1cnccc1Nc1ccc(N(C)C)c(C)c1 ZINC001210039027 969771473 /nfs/dbraw/zinc/77/14/73/969771473.db2.gz HOQCQJVVXPFMGO-UHFFFAOYSA-N 0 3 241.338 2.930 20 0 BFADHN Cc1c(F)c(F)ccc1Nc1nccn1C ZINC001210128911 969805896 /nfs/dbraw/zinc/80/58/96/969805896.db2.gz CGQSTRDVTBNMAB-UHFFFAOYSA-N 0 3 223.226 2.750 20 0 BFADHN Cc1ncc(Nc2cccc([C@@H](C)O)c2)n1C ZINC001210508616 969918083 /nfs/dbraw/zinc/91/80/83/969918083.db2.gz OAEQVUQMVJABMT-SECBINFHSA-N 0 3 231.299 2.525 20 0 BFADHN Cc1cc2c(cn1)CN(C1CCSCC1)C2 ZINC001255094102 969933186 /nfs/dbraw/zinc/93/31/86/969933186.db2.gz OUCWVLXMDGIHON-UHFFFAOYSA-N 0 3 234.368 2.601 20 0 BFADHN CC(C)n1ccc(-c2cncc(CN(C)C)c2)n1 ZINC001242455034 969949228 /nfs/dbraw/zinc/94/92/28/969949228.db2.gz HDXLACJVGWSAJI-UHFFFAOYSA-N 0 3 244.342 2.588 20 0 BFADHN C[C@@H](N)c1nc2ccccc2n1[C@@H]1CCSC1 ZINC001255267222 970018560 /nfs/dbraw/zinc/01/85/60/970018560.db2.gz BSNPKRIIPZETLU-NXEZZACHSA-N 0 3 247.367 2.734 20 0 BFADHN CCCC[C@@H](C)N(C)c1nc(CN)ccc1C ZINC001255310302 970075760 /nfs/dbraw/zinc/07/57/60/970075760.db2.gz UMNGWJDXJCJIGN-GFCCVEGCSA-N 0 3 235.375 2.864 20 0 BFADHN CCCC[C@H](C)NC1(c2cccnn2)CC1 ZINC001255313744 970082841 /nfs/dbraw/zinc/08/28/41/970082841.db2.gz RDGTZUGZGRPQOB-NSHDSACASA-N 0 3 219.332 2.634 20 0 BFADHN CC(C)CCC[C@@H](C)n1ccc(CN)n1 ZINC001170061084 970114447 /nfs/dbraw/zinc/11/44/47/970114447.db2.gz HNWINBXJBRVQAM-LLVKDONJSA-N 0 3 209.337 2.729 20 0 BFADHN CC(C)CCC[C@H](C)n1ccc(CN)n1 ZINC001170061085 970116861 /nfs/dbraw/zinc/11/68/61/970116861.db2.gz HNWINBXJBRVQAM-NSHDSACASA-N 0 3 209.337 2.729 20 0 BFADHN CC(C)CCC[C@@H](C)N1CCOC[C@H]1C ZINC001170077293 970177794 /nfs/dbraw/zinc/17/77/94/970177794.db2.gz IGHWZGIPWJKPMX-CHWSQXEVSA-N 0 3 213.365 2.922 20 0 BFADHN CC(C)CCC[C@H](C)N1CCO[C@H](C)C1 ZINC001170078515 970182536 /nfs/dbraw/zinc/18/25/36/970182536.db2.gz SRBZQUNIAVAURY-QWHCGFSZSA-N 0 3 213.365 2.922 20 0 BFADHN CC(C)CCC[C@@H](C)N1CCO[C@H](C)C1 ZINC001170078513 970184456 /nfs/dbraw/zinc/18/44/56/970184456.db2.gz SRBZQUNIAVAURY-CHWSQXEVSA-N 0 3 213.365 2.922 20 0 BFADHN Cn1cncc1Nc1ccnc(C(C)(C)C)c1 ZINC001211246664 970194397 /nfs/dbraw/zinc/19/43/97/970194397.db2.gz KDQZLLWYCDBPNA-UHFFFAOYSA-N 0 3 230.315 2.856 20 0 BFADHN [O-]c1cc(-c2ccc3c(c2)CC[NH2+]C3)ccc1F ZINC001243048384 970207765 /nfs/dbraw/zinc/20/77/65/970207765.db2.gz GADLQHPNAOVDLK-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN Cc1cccc2c1[C@H](N[C@H]1CC[C@H](C)C1)C(=O)N2 ZINC001170098392 970274495 /nfs/dbraw/zinc/27/44/95/970274495.db2.gz QOMHRUMFEXNOIY-CHIMOYNISA-N 0 3 244.338 2.766 20 0 BFADHN Cn1ccnc1Nc1ccc2c(c1)CCCC2=O ZINC001213100232 970282468 /nfs/dbraw/zinc/28/24/68/970282468.db2.gz KYANBGIULGAMFO-UHFFFAOYSA-N 0 3 241.294 2.683 20 0 BFADHN C[C@H]1CC[C@@H](n2nc(CN)c3ccccc32)C1 ZINC001170106121 970303606 /nfs/dbraw/zinc/30/36/06/970303606.db2.gz UKPQGQINOMKRQO-WDEREUQCSA-N 0 3 229.327 2.856 20 0 BFADHN Cc1ccc(C(=O)CN[C@H]2CC[C@H](C)C2)cc1 ZINC001170109948 970321532 /nfs/dbraw/zinc/32/15/32/970321532.db2.gz TUMQIYHKBPJXPZ-JSGCOSHPSA-N 0 3 231.339 2.956 20 0 BFADHN Cc1ccccc1C1(N[C@H]2CC[C@H](C)C2)COC1 ZINC001170117713 970341569 /nfs/dbraw/zinc/34/15/69/970341569.db2.gz NGLPZCGQAUJVRY-JSGCOSHPSA-N 0 3 245.366 2.999 20 0 BFADHN C[C@H]1CC[C@@H](NCC(=O)c2cccc(F)c2)C1 ZINC001170117112 970341814 /nfs/dbraw/zinc/34/18/14/970341814.db2.gz IMUADKLIZFFNGP-GXFFZTMASA-N 0 3 235.302 2.787 20 0 BFADHN CC[C@@H](N[C@H](C)COC)c1ccc(C)o1 ZINC001255562545 970348483 /nfs/dbraw/zinc/34/84/83/970348483.db2.gz YVYCKXLFRWLDBV-MWLCHTKSSA-N 0 3 211.305 2.664 20 0 BFADHN C[C@@H]1CC[C@H](N2CCc3cnccc3C2)C1 ZINC001170131804 970395538 /nfs/dbraw/zinc/39/55/38/970395538.db2.gz KRJKNVSDXDIPFR-RISCZKNCSA-N 0 3 216.328 2.628 20 0 BFADHN Cc1ccc(CN[C@H](C)CC(F)(F)F)n1C ZINC001255634792 970410401 /nfs/dbraw/zinc/41/04/01/970410401.db2.gz FNIXKOKCVOOOQM-MRVPVSSYSA-N 0 3 234.265 2.764 20 0 BFADHN Cc1ccc(-c2cccc(CN(C)C)n2)cc1O ZINC001243228397 970425747 /nfs/dbraw/zinc/42/57/47/970425747.db2.gz GKELKSVUGFCJCS-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN C[C@@H]1CC[C@H](N2CCc3ccncc3C2)C1 ZINC001170154373 970475031 /nfs/dbraw/zinc/47/50/31/970475031.db2.gz YJGGNJVWHNZBJM-RISCZKNCSA-N 0 3 216.328 2.628 20 0 BFADHN Cc1ccc(C[C@@H](C)N[C@@H]2C[C@H]2F)cc1 ZINC001255777990 970483650 /nfs/dbraw/zinc/48/36/50/970483650.db2.gz PCRKULOQYSVSFV-RAIGVLPGSA-N 0 3 207.292 2.626 20 0 BFADHN CCN([C@H](C)Cc1ccc(C)cc1)C1COC1 ZINC001255794825 970497438 /nfs/dbraw/zinc/49/74/38/970497438.db2.gz ZIOFTHPCKBZKQA-CYBMUJFWSA-N 0 3 233.355 2.647 20 0 BFADHN C[C@@H](N)c1ccn([C@@H](C)Cc2ccccc2F)n1 ZINC001255835563 970509530 /nfs/dbraw/zinc/50/95/30/970509530.db2.gz DQRKAOVCVQFVGB-WDEREUQCSA-N 0 3 247.317 2.846 20 0 BFADHN C[C@@H](Cc1ccccc1F)N1CC[C@]12CCOC2 ZINC001255853221 970521475 /nfs/dbraw/zinc/52/14/75/970521475.db2.gz HSNHTWQIYXNBEI-SWLSCSKDSA-N 0 3 249.329 2.622 20 0 BFADHN C[C@@H](Cc1ccccc1F)N1CC[C@@]12CCOC2 ZINC001255853222 970522783 /nfs/dbraw/zinc/52/27/83/970522783.db2.gz HSNHTWQIYXNBEI-WFASDCNBSA-N 0 3 249.329 2.622 20 0 BFADHN C[C@H](N)c1ccn([C@@H](C)Cc2ccc(F)cc2)n1 ZINC001255861464 970525810 /nfs/dbraw/zinc/52/58/10/970525810.db2.gz YYMSGKHPIXEBFC-QWRGUYRKSA-N 0 3 247.317 2.846 20 0 BFADHN C[C@@H](N)c1ccn([C@H](C)Cc2ccc(F)cc2)n1 ZINC001255861462 970527921 /nfs/dbraw/zinc/52/79/21/970527921.db2.gz YYMSGKHPIXEBFC-GHMZBOCLSA-N 0 3 247.317 2.846 20 0 BFADHN C[C@@H](Cc1ccc(F)cc1)N(C)Cc1ccno1 ZINC001255877323 970539888 /nfs/dbraw/zinc/53/98/88/970539888.db2.gz FXESJUPDPJEMDQ-NSHDSACASA-N 0 3 248.301 2.877 20 0 BFADHN C[C@@H](Cc1ccc(F)cc1)N1CCCC12COC2 ZINC001255876641 970541101 /nfs/dbraw/zinc/54/11/01/970541101.db2.gz ZXWQDYVLZXAMBH-LBPRGKRZSA-N 0 3 249.329 2.622 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N(C)c1cccc(C)c1 ZINC000400755163 970624246 /nfs/dbraw/zinc/62/42/46/970624246.db2.gz FNCIDUVIGNXGCE-SMDDNHRTSA-N 0 3 248.370 2.721 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N[C@@H](C)c1ccccc1 ZINC000400785580 970626444 /nfs/dbraw/zinc/62/64/44/970626444.db2.gz RDEGDOANGDFRIH-OBJOEFQTSA-N 0 3 248.370 2.627 20 0 BFADHN CCC(=O)CN[C@@H](C)Cc1ccccc1Cl ZINC001170257164 970638003 /nfs/dbraw/zinc/63/80/03/970638003.db2.gz VCUCITHRYNCUBB-JTQLQIEISA-N 0 3 239.746 2.840 20 0 BFADHN CCC(=O)CN[C@H](C)Cc1ccccc1Cl ZINC001170257165 970641128 /nfs/dbraw/zinc/64/11/28/970641128.db2.gz VCUCITHRYNCUBB-SNVBAGLBSA-N 0 3 239.746 2.840 20 0 BFADHN C[C@@H](Cc1ccccc1Cl)N[C@@H]1C[C@H]1F ZINC001170255771 970667837 /nfs/dbraw/zinc/66/78/37/970667837.db2.gz YHQGGWICDUIFDJ-XXILOJSOSA-N 0 3 227.710 2.971 20 0 BFADHN CN1CC(CNc2ccc(F)c3ccccc23)C1 ZINC001170254460 970670017 /nfs/dbraw/zinc/67/00/17/970670017.db2.gz LPOOCOFSXRQLON-UHFFFAOYSA-N 0 3 244.313 2.952 20 0 BFADHN Cc1cnccc1Nc1ccc(CO)c(C)c1C ZINC001215233825 970676878 /nfs/dbraw/zinc/67/68/78/970676878.db2.gz OFAKLLDHRMYEDT-UHFFFAOYSA-N 0 3 242.322 2.665 20 0 BFADHN COc1cccc(C[C@@H](C)N(C)CCF)c1 ZINC001256171478 970685179 /nfs/dbraw/zinc/68/51/79/970685179.db2.gz VVVAKJXSQIZSHK-LLVKDONJSA-N 0 3 225.307 2.528 20 0 BFADHN Cc1c(O)cccc1CN(C)C[C@H]1CCCCO1 ZINC001144118825 972589057 /nfs/dbraw/zinc/58/90/57/972589057.db2.gz LXEGQUHSNKHFNZ-CQSZACIVSA-N 0 3 249.354 2.702 20 0 BFADHN c1nnc(CN2CCC[C@@H]2CCC2CCCC2)[nH]1 ZINC001327544976 972623990 /nfs/dbraw/zinc/62/39/90/972623990.db2.gz CKJMDMOWOTZRIP-CYBMUJFWSA-N 0 3 248.374 2.740 20 0 BFADHN C[C@H]1CCCN(Cc2n[nH]c3ccc(F)cc32)C1 ZINC001144187090 972675660 /nfs/dbraw/zinc/67/56/60/972675660.db2.gz VMZAWFVELKCKRL-JTQLQIEISA-N 0 3 247.317 2.934 20 0 BFADHN C[C@H]1CCCN(Cc2[nH]nc3ccc(F)cc32)C1 ZINC001144187090 972675666 /nfs/dbraw/zinc/67/56/66/972675666.db2.gz VMZAWFVELKCKRL-JTQLQIEISA-N 0 3 247.317 2.934 20 0 BFADHN CCCCCN(C)Cc1ccc(OC)cn1 ZINC001144419854 972813144 /nfs/dbraw/zinc/81/31/44/972813144.db2.gz KJAXIIUFGNTESO-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN COc1cc(CN2CC[C@@H]3C[C@@H]3C2)ccc1F ZINC001327935366 972818097 /nfs/dbraw/zinc/81/80/97/972818097.db2.gz XGIPUDGCRFOKTO-VXGBXAGGSA-N 0 3 235.302 2.676 20 0 BFADHN Fc1ccc(CCN2CC[C@@H]2C2CC2)c(F)c1 ZINC001327952395 972826543 /nfs/dbraw/zinc/82/65/43/972826543.db2.gz QZGTTZQZNGOZER-CQSZACIVSA-N 0 3 237.293 2.992 20 0 BFADHN C[C@H]1CN(Cc2ncn3ccccc23)C2(CC2)C1 ZINC001144625630 972889713 /nfs/dbraw/zinc/88/97/13/972889713.db2.gz QYEGDYQXCBGLQA-GFCCVEGCSA-N 0 3 241.338 2.709 20 0 BFADHN CC[C@H]1CCCN1Cc1ncn2ccccc12 ZINC001144623502 972893187 /nfs/dbraw/zinc/89/31/87/972893187.db2.gz XRXNNEFCNIUUGQ-LBPRGKRZSA-N 0 3 229.327 2.709 20 0 BFADHN CN(Cc1ccc2scnc2c1)[C@@H]1CCOC1 ZINC001144738958 972982120 /nfs/dbraw/zinc/98/21/20/972982120.db2.gz MUOSKWHOTCRGDZ-LLVKDONJSA-N 0 3 248.351 2.517 20 0 BFADHN CCCCC[C@H]1CCCCN1Cc1nnc[nH]1 ZINC001328438464 973058284 /nfs/dbraw/zinc/05/82/84/973058284.db2.gz YWSWOVMKNFUIFO-LBPRGKRZSA-N 0 3 236.363 2.740 20 0 BFADHN OCc1cnccc1N1CC[C@@H]2CCCC[C@@H]2C1 ZINC000126424142 973101838 /nfs/dbraw/zinc/10/18/38/973101838.db2.gz NJZHQIOIDGUUAS-QWHCGFSZSA-N 0 3 246.354 2.590 20 0 BFADHN CN1CC[C@H](OCc2cc3ccccc3o2)C1 ZINC001223640699 973208514 /nfs/dbraw/zinc/20/85/14/973208514.db2.gz MNEYXGWOEKSJCJ-LBPRGKRZSA-N 0 3 231.295 2.654 20 0 BFADHN Cc1cc(C)cc(N2CCCC3(CN(C)C3)C2)c1 ZINC001276920872 973287692 /nfs/dbraw/zinc/28/76/92/973287692.db2.gz GNKSCEMZOZTTKF-UHFFFAOYSA-N 0 3 244.382 2.835 20 0 BFADHN CCCCC(CCCC)N1CC[C@H]1NC ZINC001246899624 973375578 /nfs/dbraw/zinc/37/55/78/973375578.db2.gz QAYNNIIWEOREPF-ZDUSSCGKSA-N 0 3 212.381 2.987 20 0 BFADHN c1ncc(CN2CCC[C@H]3CCCC[C@H]32)cn1 ZINC000127633651 973487753 /nfs/dbraw/zinc/48/77/53/973487753.db2.gz DGXRYHLYKZKSHD-ZIAGYGMSSA-N 0 3 231.343 2.631 20 0 BFADHN COc1cc([C@@H](C)NC/C=C/C2CC2)ccn1 ZINC001329941774 974042222 /nfs/dbraw/zinc/04/22/22/974042222.db2.gz TVWHCZOKNYAMQO-PGLGOXFNSA-N 0 3 232.327 2.707 20 0 BFADHN CC1CN([C@@H]2CCc3cc(F)c(F)cc3C2)C1 ZINC001171476157 974087894 /nfs/dbraw/zinc/08/78/94/974087894.db2.gz IWXNLQWVHKYBSX-GFCCVEGCSA-N 0 3 237.293 2.774 20 0 BFADHN Cc1cccc2c1CC[C@H](N(C)C1(C)COC1)C2 ZINC001171574900 974108152 /nfs/dbraw/zinc/10/81/52/974108152.db2.gz RFVLUWCWTOJFRZ-AWEZNQCLSA-N 0 3 245.366 2.573 20 0 BFADHN Cc1ccc(CC[C@@H](C)n2ccc(CN)n2)cc1 ZINC001171657625 974124850 /nfs/dbraw/zinc/12/48/50/974124850.db2.gz JJOHRLSFYDWQLL-CYBMUJFWSA-N 0 3 243.354 2.844 20 0 BFADHN Cc1ccc(CC[C@@H](C)N2C[C@H]3C[C@@H](C2)O3)cc1 ZINC001171680036 974135116 /nfs/dbraw/zinc/13/51/16/974135116.db2.gz OQAPNLYPPVRIQU-BMFZPTHFSA-N 0 3 245.366 2.789 20 0 BFADHN Fc1cccc2c1C[C@@H](NCc1ccco1)C2 ZINC001171768191 974144857 /nfs/dbraw/zinc/14/48/57/974144857.db2.gz FKHIUCWAIQCZTQ-NSHDSACASA-N 0 3 231.270 2.676 20 0 BFADHN CC1CC(NCc2noc3ccc(F)cc23)C1 ZINC001330362852 974246526 /nfs/dbraw/zinc/24/65/26/974246526.db2.gz FZAZWEKREHJAHD-UHFFFAOYSA-N 0 3 234.274 2.855 20 0 BFADHN Oc1ccc(CN2CCCCCC2)c(F)c1 ZINC000258234853 974359359 /nfs/dbraw/zinc/35/93/59/974359359.db2.gz ZARUDLOMKMTUSY-UHFFFAOYSA-N 0 3 223.291 2.907 20 0 BFADHN Cc1scc(CN2CC[C@@]23CCOC3)c1C ZINC001330622690 974369314 /nfs/dbraw/zinc/36/93/14/974369314.db2.gz UXKJWGWIIZMCCP-ZDUSSCGKSA-N 0 3 237.368 2.730 20 0 BFADHN CC[C@@H](N[C@H]1COC(C)(C)C1)c1ncccc1C ZINC001172270683 974486910 /nfs/dbraw/zinc/48/69/10/974486910.db2.gz FZSYBSGRKNUZLH-CHWSQXEVSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@H](C)OCC[C@H](C)n1ccnc1[C@H](C)N ZINC001172318075 974526738 /nfs/dbraw/zinc/52/67/38/974526738.db2.gz XZPSBXKVWFJDSV-SRVKXCTJSA-N 0 3 239.363 2.669 20 0 BFADHN CC1(C)C[C@H](N2CC(c3ccccc3)C2)CO1 ZINC001172288018 974550597 /nfs/dbraw/zinc/55/05/97/974550597.db2.gz DXRAYGBGIZKJPQ-AWEZNQCLSA-N 0 3 231.339 2.653 20 0 BFADHN C[C@@H]1CC[C@H](N2CCc3c(cnn3C)C2)C[C@@H]1C ZINC001172379864 974586159 /nfs/dbraw/zinc/58/61/59/974586159.db2.gz PVLBKBSRGLGBTF-DYEKYZERSA-N 0 3 247.386 2.603 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N1C[C@H](C)O[C@@H](C)C1 ZINC001172351137 974621932 /nfs/dbraw/zinc/62/19/32/974621932.db2.gz BMXLHRZULDUHFT-RFGFWPKPSA-N 0 3 243.391 2.689 20 0 BFADHN C[C@@H]1CC[C@H](NCC(=O)c2cccnc2)C[C@H]1C ZINC001172363388 974636136 /nfs/dbraw/zinc/63/61/36/974636136.db2.gz HMHFNORFVQCHFI-BZPMIXESSA-N 0 3 246.354 2.679 20 0 BFADHN CCOC1CN([C@@H]2CC[C@H](C)[C@H](C)C2)C1 ZINC001172368682 974649422 /nfs/dbraw/zinc/64/94/22/974649422.db2.gz XEVNCBCPOLWTHF-QJPTWQEYSA-N 0 3 211.349 2.532 20 0 BFADHN CC[C@H](CC(C)C)N(CC)[C@@H](C)C(=O)OC ZINC001172404831 974657216 /nfs/dbraw/zinc/65/72/16/974657216.db2.gz MEWHZRORGILXMR-NWDGAFQWSA-N 0 3 229.364 2.695 20 0 BFADHN CCCOC1CN([C@@H](CC)CC(C)C)C1 ZINC001172408477 974668473 /nfs/dbraw/zinc/66/84/73/974668473.db2.gz UVGJFDBFKSDGCG-LBPRGKRZSA-N 0 3 213.365 2.922 20 0 BFADHN CCOCC[C@@H](C)Nc1cccc(F)c1CN ZINC001172409091 974671565 /nfs/dbraw/zinc/67/15/65/974671565.db2.gz PDPLTLGBSVUVAA-SNVBAGLBSA-N 0 3 240.322 2.511 20 0 BFADHN CCOCC[C@H](C)N1CCc2ncc(C)cc2C1 ZINC001172426869 974703163 /nfs/dbraw/zinc/70/31/63/974703163.db2.gz INYQMHDAZWDOEV-ZDUSSCGKSA-N 0 3 248.370 2.563 20 0 BFADHN CC[C@H](CC(C)C)n1nc(CN)cc1C ZINC001172384435 974728211 /nfs/dbraw/zinc/72/82/11/974728211.db2.gz HTGWOFMFBXFDDY-GFCCVEGCSA-N 0 3 209.337 2.647 20 0 BFADHN CN1CCC(Oc2ccc(F)c(F)c2F)CC1 ZINC001225950593 974742107 /nfs/dbraw/zinc/74/21/07/974742107.db2.gz FQZUKYNOLOENDG-UHFFFAOYSA-N 0 3 245.244 2.577 20 0 BFADHN Cc1ccc(F)cc1O[C@@H]1CCCN(C)C1 ZINC001225987577 974750769 /nfs/dbraw/zinc/75/07/69/974750769.db2.gz FIDMTVRUQPOMKI-GFCCVEGCSA-N 0 3 223.291 2.607 20 0 BFADHN CC[C@H](CC(C)C)N1CCc2nn(C)cc2C1 ZINC001172399815 974762716 /nfs/dbraw/zinc/76/27/16/974762716.db2.gz DKRNHFKPYGZTOR-CYBMUJFWSA-N 0 3 235.375 2.603 20 0 BFADHN CC(C)COCC[C@@H](C)N[C@@H](C)c1ccno1 ZINC001172469839 974766990 /nfs/dbraw/zinc/76/69/90/974766990.db2.gz VFZBJGPFMUZVDT-NEPJUHHUSA-N 0 3 240.347 2.776 20 0 BFADHN Cc1cnc(Cl)c(OC2CCN(C)CC2)c1 ZINC001226357393 974866710 /nfs/dbraw/zinc/86/67/10/974866710.db2.gz VNKVUYVUXLDLEA-UHFFFAOYSA-N 0 3 240.734 2.516 20 0 BFADHN Clc1ccc2c(c1)C[C@@H](NCc1cnco1)C2 ZINC001172708549 974925033 /nfs/dbraw/zinc/92/50/33/974925033.db2.gz ALMXFPGNFXUNMT-LBPRGKRZSA-N 0 3 248.713 2.585 20 0 BFADHN F[C@@H]1C[C@H]1N[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001172710876 974925472 /nfs/dbraw/zinc/92/54/72/974925472.db2.gz PKYXBIAMLPPCCZ-IJLUTSLNSA-N 0 3 225.694 2.507 20 0 BFADHN Cc1ccc2c(c1)C[C@@H](N1CC[C@@H](F)C1)CC2 ZINC001172827693 974949208 /nfs/dbraw/zinc/94/92/08/974949208.db2.gz MZNVVHVNRCELHH-CABCVRRESA-N 0 3 233.330 2.896 20 0 BFADHN Cc1ccc2c(c1)C[C@@H](NCc1ccon1)CC2 ZINC001172826912 974950111 /nfs/dbraw/zinc/95/01/11/974950111.db2.gz XVWRAPFCBAGAGJ-AWEZNQCLSA-N 0 3 242.322 2.630 20 0 BFADHN C[C@@H](COc1ccnc(N)c1)Oc1ccccc1 ZINC001226652178 974998191 /nfs/dbraw/zinc/99/81/91/974998191.db2.gz CHXKWSWKOSUKRY-NSHDSACASA-N 0 3 244.294 2.510 20 0 BFADHN C[C@H](COc1ccnc(N)c1)Oc1ccccc1 ZINC001226651813 974999966 /nfs/dbraw/zinc/99/99/66/974999966.db2.gz CHXKWSWKOSUKRY-LLVKDONJSA-N 0 3 244.294 2.510 20 0 BFADHN Nc1cc(O[C@@H]2CCc3ccccc32)ccn1 ZINC001226652989 975000750 /nfs/dbraw/zinc/00/07/50/975000750.db2.gz UCCZSQBEHBUTDR-CYBMUJFWSA-N 0 3 226.279 2.730 20 0 BFADHN Nc1cc(O[C@H]2CCc3ccccc32)ccn1 ZINC001226652990 975000900 /nfs/dbraw/zinc/00/09/00/975000900.db2.gz UCCZSQBEHBUTDR-ZDUSSCGKSA-N 0 3 226.279 2.730 20 0 BFADHN C[C@@H](Cc1ccccc1)Oc1ccnc(N)c1 ZINC001226655510 975002490 /nfs/dbraw/zinc/00/24/90/975002490.db2.gz HLYQMCLAYJQMFP-NSHDSACASA-N 0 3 228.295 2.674 20 0 BFADHN CC/C=C/N[C@@H]1COc2cccc(OC)c2C1 ZINC001173165940 975043220 /nfs/dbraw/zinc/04/32/20/975043220.db2.gz XCDFSXAIRCKRAR-VUQUXZKVSA-N 0 3 233.311 2.512 20 0 BFADHN Cc1c(F)cccc1O[C@H]1CN2CCC1CC2 ZINC001226773915 975073876 /nfs/dbraw/zinc/07/38/76/975073876.db2.gz IXQGIDTUVPZGPL-AWEZNQCLSA-N 0 3 235.302 2.607 20 0 BFADHN CCc1ccc(C[C@H](C)N[C@@H]2C[C@H]2F)cc1 ZINC001173236429 975074035 /nfs/dbraw/zinc/07/40/35/975074035.db2.gz WVGRHSGYHWTZRM-ZLKJLUDKSA-N 0 3 221.319 2.880 20 0 BFADHN CCc1ccc(C[C@@H](C)N2CC3(COC3)C2)cc1 ZINC001173252670 975093024 /nfs/dbraw/zinc/09/30/24/975093024.db2.gz NITYTGHMSBVMSW-CYBMUJFWSA-N 0 3 245.366 2.512 20 0 BFADHN CC[C@H]1CC[C@H](NC2(c3cccnn3)CC2)C1 ZINC001173263369 975117570 /nfs/dbraw/zinc/11/75/70/975117570.db2.gz KBJQTUGXVHCODW-RYUDHWBXSA-N 0 3 231.343 2.634 20 0 BFADHN CC[C@H]1CC[C@@H](N2CCC(=O)C[C@@H]2C)C1 ZINC001173286443 975246627 /nfs/dbraw/zinc/24/66/27/975246627.db2.gz GUCIMVJWIZDLHE-SDDRHHMPSA-N 0 3 209.333 2.619 20 0 BFADHN CCSC[C@@H](C)NC1(c2ccccn2)CC1 ZINC001173289518 975260145 /nfs/dbraw/zinc/26/01/45/975260145.db2.gz VTHXQOWDJSGREZ-LLVKDONJSA-N 0 3 236.384 2.802 20 0 BFADHN CC[C@H]1CC[C@@H](n2c(C)nc3c2CNCC3)C1 ZINC001173292007 975267848 /nfs/dbraw/zinc/26/78/48/975267848.db2.gz CXSCOYXKTIHGIC-NWDGAFQWSA-N 0 3 233.359 2.588 20 0 BFADHN OC1CN([C@@H]2CCCC3(CCCCC3)C2)C1 ZINC001173347214 975289108 /nfs/dbraw/zinc/28/91/08/975289108.db2.gz YMQONZHYXRXRKN-GFCCVEGCSA-N 0 3 223.360 2.556 20 0 BFADHN CCc1ccc(O[C@@H]2CCCN(C)C2)c(OC)c1 ZINC001227403689 975380518 /nfs/dbraw/zinc/38/05/18/975380518.db2.gz DYMDGXUMLSHYLH-CYBMUJFWSA-N 0 3 249.354 2.731 20 0 BFADHN Nc1c(Cl)cccc1CNC/C=C\C1CC1 ZINC001330621003 975587311 /nfs/dbraw/zinc/58/73/11/975587311.db2.gz IDRRSYZUXLGYRH-IHWYPQMZSA-N 0 3 236.746 2.978 20 0 BFADHN Cc1cn(C)nc1CN(C)C[C@H]1CC=CCC1 ZINC001333308008 975643627 /nfs/dbraw/zinc/64/36/27/975643627.db2.gz JXOMVDWJHBOQJA-ZDUSSCGKSA-N 0 3 233.359 2.517 20 0 BFADHN CCN(Cc1ccoc1)CC1(OC)CCC1 ZINC001333321014 975656903 /nfs/dbraw/zinc/65/69/03/975656903.db2.gz UBLVPMOGWNILFO-UHFFFAOYSA-N 0 3 223.316 2.671 20 0 BFADHN COC/C(C)=C/CN1CCc2cc(C)ccc2C1 ZINC001333460181 975795379 /nfs/dbraw/zinc/79/53/79/975795379.db2.gz FVOYGZVPGXCLFD-MKMNVTDBSA-N 0 3 245.366 2.946 20 0 BFADHN Cc1cnccc1Nc1ccc(F)c(F)c1 ZINC001173865044 975807370 /nfs/dbraw/zinc/80/73/70/975807370.db2.gz GJHNQXFNNDFCJO-UHFFFAOYSA-N 0 3 220.222 2.834 20 0 BFADHN COc1ccccc1Nc1ccncc1C ZINC001173839332 975871245 /nfs/dbraw/zinc/87/12/45/975871245.db2.gz SYSVPZVYJHFDCA-UHFFFAOYSA-N 0 3 214.268 2.564 20 0 BFADHN Cc1cc(Nc2ccccc2CN(C)C)n(C)n1 ZINC001173927455 975916099 /nfs/dbraw/zinc/91/60/99/975916099.db2.gz AYUJNSVLPXGPSV-UHFFFAOYSA-N 0 3 244.342 2.534 20 0 BFADHN Cc1cc2cc(N)ccc2n1-c1ccncc1 ZINC001173882825 975938803 /nfs/dbraw/zinc/93/88/03/975938803.db2.gz WAYKVKHSPXCYKS-UHFFFAOYSA-N 0 3 223.279 2.916 20 0 BFADHN CCN(C)c1ccc(Nc2ccncc2)cc1 ZINC001173885120 975979362 /nfs/dbraw/zinc/97/93/62/975979362.db2.gz JZUKNVNMSUCBHO-UHFFFAOYSA-N 0 3 227.311 2.703 20 0 BFADHN Cc1conc1Nc1ccccc1CN(C)C ZINC001173927560 976037995 /nfs/dbraw/zinc/03/79/95/976037995.db2.gz HMAMRVKXCVJHHM-UHFFFAOYSA-N 0 3 231.299 2.788 20 0 BFADHN Cc1ncncc1Nc1ccccc1CN(C)C ZINC001173928748 976041739 /nfs/dbraw/zinc/04/17/39/976041739.db2.gz CXUFRZNVNWMMRL-UHFFFAOYSA-N 0 3 242.326 2.590 20 0 BFADHN Cc1ncc(Nc2ccc3[nH]ccc3c2)n1C ZINC001173938209 976084573 /nfs/dbraw/zinc/08/45/73/976084573.db2.gz JNHQCBHCTPYRHN-UHFFFAOYSA-N 0 3 226.283 2.953 20 0 BFADHN [O-]c1ccc(C[NH2+][C@H]2CCC[C@@H](F)C2)cc1F ZINC001333835799 976110681 /nfs/dbraw/zinc/11/06/81/976110681.db2.gz XCYUICBNZLMLNA-MNOVXSKESA-N 0 3 241.281 2.902 20 0 BFADHN COc1cccc(Nc2ccncc2C)c1OC ZINC001174014241 976184445 /nfs/dbraw/zinc/18/44/45/976184445.db2.gz ZTEBJRZFBSVALE-UHFFFAOYSA-N 0 3 244.294 2.573 20 0 BFADHN FCc1ccccc1CN1CCOCC2(CC2)C1 ZINC001334035350 976232960 /nfs/dbraw/zinc/23/29/60/976232960.db2.gz SZIDXIOABBMRAY-UHFFFAOYSA-N 0 3 249.329 2.769 20 0 BFADHN COc1ccc(Nc2cnc(C)n2C)c(F)c1 ZINC001174145048 976379290 /nfs/dbraw/zinc/37/92/90/976379290.db2.gz KWKSQNGTRQLQKX-UHFFFAOYSA-N 0 3 235.262 2.620 20 0 BFADHN CCc1cc(Nc2ccc(OC)cc2F)ccn1 ZINC001174143720 976380901 /nfs/dbraw/zinc/38/09/01/976380901.db2.gz NLMNLNLIGKKVFQ-UHFFFAOYSA-N 0 3 246.285 2.957 20 0 BFADHN c1cn2cc(CN3CCC34CCCC4)sc2n1 ZINC001334286026 976424605 /nfs/dbraw/zinc/42/46/05/976424605.db2.gz NKXHWYHVXBFHBG-UHFFFAOYSA-N 0 3 247.367 2.914 20 0 BFADHN c1cc2ccc(O[C@@H]3CN4CCC3CC4)cc2o1 ZINC001228724678 976457534 /nfs/dbraw/zinc/45/75/34/976457534.db2.gz NLABDFCDPITGJP-OAHLLOKOSA-N 0 3 243.306 2.906 20 0 BFADHN CN1CCC(Oc2ccc3ccoc3c2)CC1 ZINC001228725110 976457800 /nfs/dbraw/zinc/45/78/00/976457800.db2.gz UYGXADOUGDGNGN-UHFFFAOYSA-N 0 3 231.295 2.906 20 0 BFADHN COC/C(C)=C\CN[C@H](COC)c1ccccc1 ZINC001334645852 976573740 /nfs/dbraw/zinc/57/37/40/976573740.db2.gz ZKHBLYPFYALFNU-UZGISAJGSA-N 0 3 249.354 2.556 20 0 BFADHN Cc1cnccc1Nc1cccnc1Cl ZINC001174536236 976817138 /nfs/dbraw/zinc/81/71/38/976817138.db2.gz ONYCFRIZXOSGQB-UHFFFAOYSA-N 0 3 219.675 2.604 20 0 BFADHN Cc1cnccc1Nc1scnc1C1CC1 ZINC001174537579 976820534 /nfs/dbraw/zinc/82/05/34/976820534.db2.gz LCFNAMJZQZWFDR-UHFFFAOYSA-N 0 3 231.324 2.889 20 0 BFADHN Cc1cnccc1Nc1cnc2cccc(C)n21 ZINC001174537300 976821200 /nfs/dbraw/zinc/82/12/00/976821200.db2.gz FUEHEIOSAXLJGT-UHFFFAOYSA-N 0 3 238.294 2.512 20 0 BFADHN Cc1cc(Nc2ccncc2C)ccc1O ZINC001174537309 976822013 /nfs/dbraw/zinc/82/20/13/976822013.db2.gz BHQIELQDLRVVRJ-UHFFFAOYSA-N 0 3 214.268 2.570 20 0 BFADHN Cc1cnccc1Nc1cccc([C@H](C)O)c1 ZINC001174538042 976823607 /nfs/dbraw/zinc/82/36/07/976823607.db2.gz ZOEBPVKQNYALCN-NSHDSACASA-N 0 3 228.295 2.609 20 0 BFADHN Cc1cnccc1Nc1c(F)ccc(F)c1F ZINC001174537952 976825303 /nfs/dbraw/zinc/82/53/03/976825303.db2.gz XLAPSTIBENZISY-UHFFFAOYSA-N 0 3 238.212 2.973 20 0 BFADHN Cc1cnccc1Nc1ccc(OC(C)C)nc1 ZINC001174538002 976826351 /nfs/dbraw/zinc/82/63/51/976826351.db2.gz WQOAUTSFHHPGJX-UHFFFAOYSA-N 0 3 243.310 2.738 20 0 BFADHN CC(=O)c1ccc(F)cc1Nc1ccncc1C ZINC001174538874 976828828 /nfs/dbraw/zinc/82/88/28/976828828.db2.gz QSGBIRCPVUIZQU-UHFFFAOYSA-N 0 3 244.269 2.897 20 0 BFADHN COCc1ccc(Nc2ccncc2C)cc1 ZINC001174539781 976829129 /nfs/dbraw/zinc/82/91/29/976829129.db2.gz RHUUWYPUNZLHTR-UHFFFAOYSA-N 0 3 228.295 2.702 20 0 BFADHN Cc1cc(F)c(Nc2ccncc2C)cc1O ZINC001174539718 976829140 /nfs/dbraw/zinc/82/91/40/976829140.db2.gz NORVGTACPIRPIO-UHFFFAOYSA-N 0 3 232.258 2.709 20 0 BFADHN Cc1cnccc1Nc1cccc2c1COC2 ZINC001174539179 976830112 /nfs/dbraw/zinc/83/01/12/976830112.db2.gz WOWZSOOOZJKCJX-UHFFFAOYSA-N 0 3 226.279 2.586 20 0 BFADHN Cc1cnccc1Nc1cccc(O)c1C ZINC001174539982 976831160 /nfs/dbraw/zinc/83/11/60/976831160.db2.gz VKJILUDSAIJJKS-UHFFFAOYSA-N 0 3 214.268 2.570 20 0 BFADHN FC(F)c1ccccc1-c1cnn2c1CNCC2 ZINC001335222872 976889408 /nfs/dbraw/zinc/88/94/08/976889408.db2.gz UISXCXUTUNQZPG-UHFFFAOYSA-N 0 3 249.264 2.591 20 0 BFADHN CC(=O)[C@H](C)Oc1cccc([C@@H](C)N(C)C)c1 ZINC001229628398 976918260 /nfs/dbraw/zinc/91/82/60/976918260.db2.gz UHPPTKRHLGGVBI-PWSUYJOCSA-N 0 3 235.327 2.666 20 0 BFADHN CN(C)Cc1ccccc1OC1CC(F)(F)C1 ZINC001229699376 976948421 /nfs/dbraw/zinc/94/84/21/976948421.db2.gz NFKLUFNDHHFYFK-UHFFFAOYSA-N 0 3 241.281 2.925 20 0 BFADHN F[C@H]1CCC[C@H](NCc2nccn2C2CC2)C1 ZINC001335539611 977129019 /nfs/dbraw/zinc/12/90/19/977129019.db2.gz GBERQGXYVRMFBZ-QWRGUYRKSA-N 0 3 237.322 2.588 20 0 BFADHN Cc1ncc(Nc2ccc(N(C)C)cc2)n1C ZINC001174879062 977146391 /nfs/dbraw/zinc/14/63/91/977146391.db2.gz YJKZDVCRIHHIOE-UHFFFAOYSA-N 0 3 230.315 2.538 20 0 BFADHN CCCCC[C@H](C)Oc1nncc2n[nH]cc21 ZINC001230895613 977360071 /nfs/dbraw/zinc/36/00/71/977360071.db2.gz HRKUDCAOOHQQOW-VIFPVBQESA-N 0 3 234.303 2.701 20 0 BFADHN CC[C@@H]1CCCC[C@H]1Oc1nncc2n[nH]cc21 ZINC001230896409 977363847 /nfs/dbraw/zinc/36/38/47/977363847.db2.gz QNUIEAJQRIJRDX-BXKDBHETSA-N 0 3 246.314 2.701 20 0 BFADHN C[C@H]1C[C@H](Oc2nncc3n[nH]cc32)C[C@@H](C)C1 ZINC001230897131 977364722 /nfs/dbraw/zinc/36/47/22/977364722.db2.gz ZJANYDDUVDSPDB-MYJAWHEDSA-N 0 3 246.314 2.556 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@H](Oc2nncc3n[nH]cc32)C1 ZINC001230896209 977365552 /nfs/dbraw/zinc/36/55/52/977365552.db2.gz OBNLUQXUJZYMOW-KBVBSXBZSA-N 0 3 246.314 2.556 20 0 BFADHN c1[nH]nc2cnnc(OC3CCCCCCC3)c12 ZINC001230901972 977371751 /nfs/dbraw/zinc/37/17/51/977371751.db2.gz WGLPLLDRWAYCKK-UHFFFAOYSA-N 0 3 246.314 2.845 20 0 BFADHN CCC[C@@H](C)[C@H](CC)Oc1nncc2n[nH]cc21 ZINC001230901755 977372147 /nfs/dbraw/zinc/37/21/47/977372147.db2.gz TXWQMKJZXBAAHR-SKDRFNHKSA-N 0 3 248.330 2.947 20 0 BFADHN CC[C@H](C)CC[C@H](C)Oc1nncc2n[nH]cc21 ZINC001230902116 977372512 /nfs/dbraw/zinc/37/25/12/977372512.db2.gz YSLWCPWASIRKOE-UWVGGRQHSA-N 0 3 248.330 2.947 20 0 BFADHN CCC[C@H](C)[C@H](CC)Oc1nncc2n[nH]cc21 ZINC001230901752 977372690 /nfs/dbraw/zinc/37/26/90/977372690.db2.gz TXWQMKJZXBAAHR-CABZTGNLSA-N 0 3 248.330 2.947 20 0 BFADHN CC[C@H](C)CC[C@@H](C)Oc1nncc2n[nH]cc21 ZINC001230902117 977374621 /nfs/dbraw/zinc/37/46/21/977374621.db2.gz YSLWCPWASIRKOE-VHSXEESVSA-N 0 3 248.330 2.947 20 0 BFADHN CN1CCc2ccc(Nc3cncs3)cc2C1 ZINC001175508252 977475218 /nfs/dbraw/zinc/47/52/18/977475218.db2.gz RFMNGONOSWGBPO-UHFFFAOYSA-N 0 3 245.351 2.875 20 0 BFADHN Cc1cc(Nc2ccncc2C)cc2c[nH]nc21 ZINC001175516754 977499072 /nfs/dbraw/zinc/49/90/72/977499072.db2.gz ZVBPFGUNTWTHJU-UHFFFAOYSA-N 0 3 238.294 2.740 20 0 BFADHN Cc1cccc2nc(OC3CCN(C)CC3)oc21 ZINC001231164376 977521311 /nfs/dbraw/zinc/52/13/11/977521311.db2.gz PGVXDKGQBWDFAB-UHFFFAOYSA-N 0 3 246.310 2.609 20 0 BFADHN CN1CCC=C(Nc2ccc(O)c(Cl)c2)C1 ZINC001175578262 977594758 /nfs/dbraw/zinc/59/47/58/977594758.db2.gz BTVKDAKNYWVKTK-UHFFFAOYSA-N 0 3 238.718 2.677 20 0 BFADHN C=Cc1ccccc1NC1=CCCN(C)C1 ZINC001175579228 977596286 /nfs/dbraw/zinc/59/62/86/977596286.db2.gz UVRJYMOGUOKHCX-UHFFFAOYSA-N 0 3 214.312 2.961 20 0 BFADHN Cc1ccc(N)c(NC2=CCCN(C)C2)c1C ZINC001175580030 977599908 /nfs/dbraw/zinc/59/99/08/977599908.db2.gz UNJKHPZBFRHZPL-UHFFFAOYSA-N 0 3 231.343 2.517 20 0 BFADHN CSc1ccc(Nc2ccncc2C)cn1 ZINC001175651459 977619151 /nfs/dbraw/zinc/61/91/51/977619151.db2.gz NMLVJYBDNBKLKO-UHFFFAOYSA-N 0 3 231.324 2.672 20 0 BFADHN CC(C)Oc1ccc(CN2CC[C@H](C)C2)cn1 ZINC001231532109 977629900 /nfs/dbraw/zinc/62/99/00/977629900.db2.gz PQUHDFYHXPIECF-LBPRGKRZSA-N 0 3 234.343 2.711 20 0 BFADHN CCCN(C)Cc1ccc(F)cc1OC ZINC001231601075 977649203 /nfs/dbraw/zinc/64/92/03/977649203.db2.gz DMBTVOFRJPBRJI-UHFFFAOYSA-N 0 3 211.280 2.676 20 0 BFADHN CCc1ccc(CN2CCC[C@H]3C[C@H]32)nc1 ZINC001231642911 977662172 /nfs/dbraw/zinc/66/21/72/977662172.db2.gz TXHUTDAYIPJADN-GXTWGEPZSA-N 0 3 216.328 2.628 20 0 BFADHN CCOc1ccc(CN2CCC(C)CC2)cn1 ZINC001231650135 977666182 /nfs/dbraw/zinc/66/61/82/977666182.db2.gz WLKHRLJOLMWTJJ-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1ccc([O-])c(C[NH+](C(C)C)C(C)C)n1 ZINC001231672042 977682468 /nfs/dbraw/zinc/68/24/68/977682468.db2.gz ZCUCVMOTLQYIAZ-UHFFFAOYSA-N 0 3 222.332 2.714 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@H]3CCC[C@@H]3C2)n1 ZINC001231671276 977686466 /nfs/dbraw/zinc/68/64/66/977686466.db2.gz RTBKBFRQUAEDCM-CHWSQXEVSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@H]3CCC[C@@H]3C2)n1 ZINC001231671276 977686474 /nfs/dbraw/zinc/68/64/74/977686474.db2.gz RTBKBFRQUAEDCM-CHWSQXEVSA-N 0 3 246.354 2.718 20 0 BFADHN COCc1ccc(CN2CCCC23CC3)o1 ZINC001231691954 977689534 /nfs/dbraw/zinc/68/95/34/977689534.db2.gz NFXJUDZKCKZUCO-UHFFFAOYSA-N 0 3 221.300 2.554 20 0 BFADHN COCc1ccc(CN2CCC[C@@H](F)CC2)o1 ZINC001231691695 977693870 /nfs/dbraw/zinc/69/38/70/977693870.db2.gz LBHPHTSMCVSCRT-LLVKDONJSA-N 0 3 241.306 2.750 20 0 BFADHN CCOc1ncccc1CN1CCC[C@@H]1CC ZINC001231765488 977731594 /nfs/dbraw/zinc/73/15/94/977731594.db2.gz VPYRBTMIIWAKRN-ZDUSSCGKSA-N 0 3 234.343 2.855 20 0 BFADHN CCOc1ncccc1CN(C)C(C)(C)C ZINC001231765264 977734329 /nfs/dbraw/zinc/73/43/29/977734329.db2.gz PVZQPHHHPPZBKI-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CCOc1ncccc1CN1CCCCCC1 ZINC001231760208 977737999 /nfs/dbraw/zinc/73/79/99/977737999.db2.gz GQYVKORLJKJITJ-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN CSc1ccccc1CN1C[C@@H]2CCO[C@@H]2C1 ZINC001231786093 977752419 /nfs/dbraw/zinc/75/24/19/977752419.db2.gz PXVHQHQGOLXCCF-WCQYABFASA-N 0 3 249.379 2.629 20 0 BFADHN CCCOc1ncccc1CN1C[C@H]2CC[C@@H]1C2 ZINC001231863837 977770788 /nfs/dbraw/zinc/77/07/88/977770788.db2.gz FFRWOOIJRQKOJA-GXTWGEPZSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1ncc(Nc2cccc3c2ccn3C)n1C ZINC001175865529 977790138 /nfs/dbraw/zinc/79/01/38/977790138.db2.gz KBMVNQPPYXSDJL-UHFFFAOYSA-N 0 3 240.310 2.964 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cnc(OC)cn1 ZINC001231938118 977805702 /nfs/dbraw/zinc/80/57/02/977805702.db2.gz QAHWYUQICSUYGO-ZDUSSCGKSA-N 0 3 249.358 2.640 20 0 BFADHN Cc1cc(F)ncc1CN1CCCC12CC2 ZINC001231979059 977815376 /nfs/dbraw/zinc/81/53/76/977815376.db2.gz IPQVTMPJSVODJQ-UHFFFAOYSA-N 0 3 220.291 2.658 20 0 BFADHN Cc1ncc(Nc2cc(C)c(N)c(C)c2)n1C ZINC001175933370 977819110 /nfs/dbraw/zinc/81/91/10/977819110.db2.gz XCKVROUADLPEDD-UHFFFAOYSA-N 0 3 230.315 2.671 20 0 BFADHN Cc1cc(CN2CCC(F)CC2)cc(C)n1 ZINC001231995452 977828140 /nfs/dbraw/zinc/82/81/40/977828140.db2.gz MDZQLWHNGOXEOD-UHFFFAOYSA-N 0 3 222.307 2.632 20 0 BFADHN Cc1cc(CN2CCC(CF)CC2)cc(C)n1 ZINC001232000013 977829408 /nfs/dbraw/zinc/82/94/08/977829408.db2.gz CZPMPAFKSBBWRA-UHFFFAOYSA-N 0 3 236.334 2.880 20 0 BFADHN Cc1cc(CN2C[C@@H]3C[C@H]2C[C@H]3F)cc(C)n1 ZINC001231999028 977831546 /nfs/dbraw/zinc/83/15/46/977831546.db2.gz KCCMNMXKMUFUBO-MELADBBJSA-N 0 3 234.318 2.631 20 0 BFADHN COc1cccc2[nH]cc(CN3CC[C@@H]3C)c21 ZINC001232035227 977863623 /nfs/dbraw/zinc/86/36/23/977863623.db2.gz ZABMHNNKUIJFCC-JTQLQIEISA-N 0 3 230.311 2.771 20 0 BFADHN C[N@@H+](Cc1cncc([O-])c1)CC1CCCCC1 ZINC001232044853 977869309 /nfs/dbraw/zinc/86/93/09/977869309.db2.gz OOHBDSBBXCLQAW-UHFFFAOYSA-N 0 3 234.343 2.799 20 0 BFADHN C[N@H+](Cc1cncc([O-])c1)CC1CCCCC1 ZINC001232044853 977869311 /nfs/dbraw/zinc/86/93/11/977869311.db2.gz OOHBDSBBXCLQAW-UHFFFAOYSA-N 0 3 234.343 2.799 20 0 BFADHN CCCC[N@H+](CCC)Cc1cncc([O-])c1 ZINC001232043271 977869433 /nfs/dbraw/zinc/86/94/33/977869433.db2.gz QWMUSFNLHFINQF-UHFFFAOYSA-N 0 3 222.332 2.799 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CCC[C@H]3CCC[C@H]32)c1 ZINC001232045008 977870259 /nfs/dbraw/zinc/87/02/59/977870259.db2.gz PRIYTJCEBHCKHX-TZMCWYRMSA-N 0 3 232.327 2.552 20 0 BFADHN [O-]c1cncc(C[N@H+]2CCC[C@H]3CCC[C@H]32)c1 ZINC001232045008 977870260 /nfs/dbraw/zinc/87/02/60/977870260.db2.gz PRIYTJCEBHCKHX-TZMCWYRMSA-N 0 3 232.327 2.552 20 0 BFADHN Cc1nc([C@H]2CCCN2CC2CCC2)[nH]c1C ZINC001176078546 977871001 /nfs/dbraw/zinc/87/10/01/977871001.db2.gz SCYVGPHYYRKZRY-CYBMUJFWSA-N 0 3 233.359 2.964 20 0 BFADHN CC[C@H]1CCCC[N@@H+]1Cc1cncc([O-])c1 ZINC001232044782 977872123 /nfs/dbraw/zinc/87/21/23/977872123.db2.gz WDFQBDDQAFDNHX-LBPRGKRZSA-N 0 3 220.316 2.552 20 0 BFADHN CC[C@H]1CCCC[N@H+]1Cc1cncc([O-])c1 ZINC001232044782 977872126 /nfs/dbraw/zinc/87/21/26/977872126.db2.gz WDFQBDDQAFDNHX-LBPRGKRZSA-N 0 3 220.316 2.552 20 0 BFADHN c1c[nH]c(Nc2cccnc2N2CCCCC2)n1 ZINC001176079049 977873542 /nfs/dbraw/zinc/87/35/42/977873542.db2.gz FLUHYNWJOWMMRE-UHFFFAOYSA-N 0 3 243.314 2.539 20 0 BFADHN Cc1cc(Cl)ncc1Nc1ncc[nH]1 ZINC001176079025 977874135 /nfs/dbraw/zinc/87/41/35/977874135.db2.gz CXPAZPJRIJIONV-UHFFFAOYSA-N 0 3 208.652 2.510 20 0 BFADHN c1c(CN2CCC3(CC3)C2)[nH]c2cccnc12 ZINC001232061900 977875770 /nfs/dbraw/zinc/87/57/70/977875770.db2.gz QZWOFJIUHMKQJM-UHFFFAOYSA-N 0 3 227.311 2.549 20 0 BFADHN Fc1cc2cc(Nc3ncc[nH]3)cnc2cc1F ZINC001176081976 977875945 /nfs/dbraw/zinc/87/59/45/977875945.db2.gz MURVBZDNNMWDLN-UHFFFAOYSA-N 0 3 246.220 2.980 20 0 BFADHN FC[C@H]1CCCN(Cc2cc3ncccc3[nH]2)C1 ZINC001232065070 977876388 /nfs/dbraw/zinc/87/63/88/977876388.db2.gz KXCOPWJSLLZLBX-LLVKDONJSA-N 0 3 247.317 2.744 20 0 BFADHN COc1cccc2ncc(Nc3ncc[nH]3)cc21 ZINC001176082608 977879561 /nfs/dbraw/zinc/87/95/61/977879561.db2.gz PFJDQSGGLAGEGF-UHFFFAOYSA-N 0 3 240.266 2.710 20 0 BFADHN c1c[nH]c(Nc2cccnc2C2CCC2)n1 ZINC001176082429 977879908 /nfs/dbraw/zinc/87/99/08/977879908.db2.gz KBWQYOJGXKOAIZ-UHFFFAOYSA-N 0 3 214.272 2.816 20 0 BFADHN COC(=O)c1cc(C)c(C)cc1Nc1ncc[nH]1 ZINC001176085993 977889453 /nfs/dbraw/zinc/88/94/53/977889453.db2.gz WDACWDGZRCYNPH-UHFFFAOYSA-N 0 3 245.282 2.557 20 0 BFADHN Nc1cccc(C(F)(F)F)c1Nc1ncc[nH]1 ZINC001176085848 977889518 /nfs/dbraw/zinc/88/95/18/977889518.db2.gz RNMFGNZVZOTKJX-UHFFFAOYSA-N 0 3 242.204 2.754 20 0 BFADHN Cc1cc(=O)c2ccc(Nc3ncc[nH]3)cc2o1 ZINC001176085952 977890471 /nfs/dbraw/zinc/89/04/71/977890471.db2.gz UZOSVSWXXFCUNK-UHFFFAOYSA-N 0 3 241.250 2.568 20 0 BFADHN Cc1cc(Nc2ncc(Cl)cc2[O-])cc(C)[nH+]1 ZINC001176054755 977905434 /nfs/dbraw/zinc/90/54/34/977905434.db2.gz LAPAYTBNYUPDGF-UHFFFAOYSA-N 0 3 249.701 2.618 20 0 BFADHN COC(C)(C)[C@H]1CCCN1Cc1ccns1 ZINC001232191766 977915093 /nfs/dbraw/zinc/91/50/93/977915093.db2.gz DXGHLMXHPJVMAY-LLVKDONJSA-N 0 3 240.372 2.533 20 0 BFADHN CC(C)(C)c1cc(CN2C[C@@H]3C[C@@H]3C2)ccn1 ZINC001232213657 977944723 /nfs/dbraw/zinc/94/47/23/977944723.db2.gz CITVALDVKDITTA-BETUJISGSA-N 0 3 230.355 2.831 20 0 BFADHN C[C@H]1CN(Cc2cnsc2)C2(CC2)C1 ZINC001232229624 977964476 /nfs/dbraw/zinc/96/44/76/977964476.db2.gz RFVHNOPDNMOARN-SECBINFHSA-N 0 3 208.330 2.518 20 0 BFADHN CC[C@H]1CCCCN1Cc1cnsc1 ZINC001232230470 977964686 /nfs/dbraw/zinc/96/46/86/977964686.db2.gz KKLSILSQCSYKDW-NSHDSACASA-N 0 3 210.346 2.908 20 0 BFADHN COCc1cccc(CN2CCC(C)(C)CC2)n1 ZINC001232256567 977979070 /nfs/dbraw/zinc/97/90/70/977979070.db2.gz WNFPNVLLUNUFDN-UHFFFAOYSA-N 0 3 248.370 2.850 20 0 BFADHN CCOc1ncc(CN2CCCCC2)cc1C ZINC001232302200 977991809 /nfs/dbraw/zinc/99/18/09/977991809.db2.gz ACQTZYVNYGHBKZ-UHFFFAOYSA-N 0 3 234.343 2.775 20 0 BFADHN CCOc1ncc(CN2CCCC23CC3)cc1C ZINC001232302274 977993030 /nfs/dbraw/zinc/99/30/30/977993030.db2.gz BEMQWJWPZIXXPW-UHFFFAOYSA-N 0 3 246.354 2.917 20 0 BFADHN C(=CNc1ccncc1)Cc1ccccc1 ZINC001176216170 977994134 /nfs/dbraw/zinc/99/41/34/977994134.db2.gz XVOMVPZIASDZNO-ONNFQVAWSA-N 0 3 210.280 2.672 20 0 BFADHN CCN(C)Cc1ccc(Br)nc1C ZINC001232320816 978004437 /nfs/dbraw/zinc/00/44/37/978004437.db2.gz DLYPZXAEDGANCP-UHFFFAOYSA-N 0 3 243.148 2.604 20 0 BFADHN CSc1cc(C)c(CN2CC3CC(C3)C2)cn1 ZINC001232349950 978031939 /nfs/dbraw/zinc/03/19/39/978031939.db2.gz KTDZWLOERAIWDV-UHFFFAOYSA-N 0 3 248.395 2.954 20 0 BFADHN CCOc1ccc(OC)cc1CN(C)CC1CC1 ZINC001232382936 978042867 /nfs/dbraw/zinc/04/28/67/978042867.db2.gz RAMDXLKJEKHRHB-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN CCN(C)Cc1cc(OC)ccc1OC(C)C ZINC001232387552 978048584 /nfs/dbraw/zinc/04/85/84/978048584.db2.gz OSWQXAGTVXEUOD-UHFFFAOYSA-N 0 3 237.343 2.934 20 0 BFADHN Cc1ccc(OC(C)C)c(CN(C)C)c1 ZINC001232394612 978049737 /nfs/dbraw/zinc/04/97/37/978049737.db2.gz UOWAHLRRZBIKGS-UHFFFAOYSA-N 0 3 207.317 2.844 20 0 BFADHN CCN(Cc1cn2cc(F)ccc2n1)C(C)C ZINC001232409133 978053031 /nfs/dbraw/zinc/05/30/31/978053031.db2.gz DWQQFEOEPUGHOD-UHFFFAOYSA-N 0 3 235.306 2.704 20 0 BFADHN Cc1ncc(CN(Cc2ccccc2)C2CC2)[nH]1 ZINC001232464525 978105826 /nfs/dbraw/zinc/10/58/26/978105826.db2.gz FXPCSCFGCUOQQO-UHFFFAOYSA-N 0 3 241.338 2.883 20 0 BFADHN Cc1nc(CN(C)CCc2ccc(F)cc2)c[nH]1 ZINC001232463670 978108883 /nfs/dbraw/zinc/10/88/83/978108883.db2.gz KYCVTXRDLTZILA-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN Fc1ccnc(CN2CC[C@@H]3CCC[C@@H]3C2)c1 ZINC001232492525 978142837 /nfs/dbraw/zinc/14/28/37/978142837.db2.gz FMXRCAMUGKKRDO-NWDGAFQWSA-N 0 3 234.318 2.843 20 0 BFADHN CC[C@H]1CCCN1Cc1cc(F)ccn1 ZINC001232496195 978146655 /nfs/dbraw/zinc/14/66/55/978146655.db2.gz PMYUDUOEBHDWGF-LBPRGKRZSA-N 0 3 208.280 2.595 20 0 BFADHN Fc1ccnc(CN2CCC[C@@H]3CCC[C@H]32)c1 ZINC001232496529 978151554 /nfs/dbraw/zinc/15/15/54/978151554.db2.gz VPMBLGRJOXFFFG-SMDDNHRTSA-N 0 3 234.318 2.985 20 0 BFADHN FCc1cccc(CN2C[C@H]3CC[C@@H]2C3)n1 ZINC001232539230 978165620 /nfs/dbraw/zinc/16/56/20/978165620.db2.gz KGPIQOJIGYQBLS-GXFFZTMASA-N 0 3 220.291 2.535 20 0 BFADHN CCc1ccc(CN2CC(N3CCCC3)C2)cc1 ZINC001204177644 978174115 /nfs/dbraw/zinc/17/41/15/978174115.db2.gz XYLUGCYPXJUPCN-UHFFFAOYSA-N 0 3 244.382 2.529 20 0 BFADHN C[C@@H]1CCN(Cc2cc3ccoc3cn2)C1 ZINC001232549846 978177524 /nfs/dbraw/zinc/17/75/24/978177524.db2.gz NOISCUMGOXVJKD-SNVBAGLBSA-N 0 3 216.284 2.670 20 0 BFADHN CCOc1ccnc(CN(CC)C(C)C)c1 ZINC001232580081 978185762 /nfs/dbraw/zinc/18/57/62/978185762.db2.gz SIVUKFLFWCLBCO-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CCOc1ccnc(CN2C[C@@H]3[C@H](C2)C3(C)C)c1 ZINC001232584903 978190949 /nfs/dbraw/zinc/19/09/49/978190949.db2.gz ROVCPZOQDSZYIG-OKILXGFUSA-N 0 3 246.354 2.568 20 0 BFADHN COc1cccc(C)c1CN(C)CCSC ZINC001232623844 978199249 /nfs/dbraw/zinc/19/92/49/978199249.db2.gz SSUGJYOAPHGIFU-UHFFFAOYSA-N 0 3 239.384 2.798 20 0 BFADHN CNc1ccccc1CN(C)C[C@H]1CCCCO1 ZINC001232672113 978226209 /nfs/dbraw/zinc/22/62/09/978226209.db2.gz YONGPUATHSKENE-CQSZACIVSA-N 0 3 248.370 2.729 20 0 BFADHN CC1CN(Cc2cccc3ncsc32)C1 ZINC001232734703 978257234 /nfs/dbraw/zinc/25/72/34/978257234.db2.gz WRCOWFIGJTZRKT-UHFFFAOYSA-N 0 3 218.325 2.748 20 0 BFADHN Cc1c(CN2CC3CC(C3)C2)ccnc1Cl ZINC001232753861 978260379 /nfs/dbraw/zinc/26/03/79/978260379.db2.gz BDUUPQGXTWYHRP-UHFFFAOYSA-N 0 3 236.746 2.885 20 0 BFADHN CCCn1cnc(CN2CCCC[C@@H](C)C2)c1 ZINC001232793873 978275152 /nfs/dbraw/zinc/27/51/52/978275152.db2.gz FHMVBYCCRFRTDV-CYBMUJFWSA-N 0 3 235.375 2.915 20 0 BFADHN CCCn1cnc(CN2C[C@H](C)C[C@H](C)C2)c1 ZINC001232801078 978289954 /nfs/dbraw/zinc/28/99/54/978289954.db2.gz ZYMCNTXBRXETJR-BETUJISGSA-N 0 3 235.375 2.771 20 0 BFADHN c1cn2c(cccc2CNCc2ccccc2)n1 ZINC001177086615 978295627 /nfs/dbraw/zinc/29/56/27/978295627.db2.gz MXMZTIVFTGDAFN-UHFFFAOYSA-N 0 3 237.306 2.624 20 0 BFADHN COc1cc(O)cc(CN2CC3CCC2CC3)c1 ZINC001232804423 978301290 /nfs/dbraw/zinc/30/12/90/978301290.db2.gz CYNDVVLIQYBKQL-UHFFFAOYSA-N 0 3 247.338 2.775 20 0 BFADHN CCN(C)Cc1cc(O)cc(Br)c1 ZINC001232996762 978394817 /nfs/dbraw/zinc/39/48/17/978394817.db2.gz JHLBYPPLNYBDPM-UHFFFAOYSA-N 0 3 244.132 2.606 20 0 BFADHN CCO[C@H]1CCCN(Cc2cnc(C)cc2C)C1 ZINC001233006312 978392984 /nfs/dbraw/zinc/39/29/84/978392984.db2.gz ASDWFGLNRMNYCI-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN C[C@@H]1CCCCN1Cc1cccc(O)c1F ZINC001233049231 978443336 /nfs/dbraw/zinc/44/33/36/978443336.db2.gz KXNOBZKMRHJKIR-SNVBAGLBSA-N 0 3 223.291 2.906 20 0 BFADHN Cc1cc(CN2CCCCC2)cnc1C ZINC001233155971 978476672 /nfs/dbraw/zinc/47/66/72/978476672.db2.gz LIOPFZDANAZVEI-UHFFFAOYSA-N 0 3 204.317 2.684 20 0 BFADHN CO[C@@H]1CCN(Cc2cnc(C)c(C)c2)[C@@H](C)C1 ZINC001233165108 978484343 /nfs/dbraw/zinc/48/43/43/978484343.db2.gz SUMSOJAESQCKLC-SWLSCSKDSA-N 0 3 248.370 2.698 20 0 BFADHN COC[C@@H]1CCCCN1Cc1ccc(C)c(O)c1 ZINC001233229670 978498215 /nfs/dbraw/zinc/49/82/15/978498215.db2.gz QNXKFDAVPIVIQF-AWEZNQCLSA-N 0 3 249.354 2.702 20 0 BFADHN Cc1ccc(CN2CCC(CF)CC2)cc1O ZINC001233233203 978503090 /nfs/dbraw/zinc/50/30/90/978503090.db2.gz CXJBUGVOUVCCDC-UHFFFAOYSA-N 0 3 237.318 2.882 20 0 BFADHN F[C@H]1CCCN(Cc2cnn3ccccc23)CC1 ZINC001233236369 978511715 /nfs/dbraw/zinc/51/17/15/978511715.db2.gz UVZTURDHSOFBHW-ZDUSSCGKSA-N 0 3 247.317 2.658 20 0 BFADHN CCn1ccc(CNCC2(C3CC3)CCC2)n1 ZINC001178272107 978534265 /nfs/dbraw/zinc/53/42/65/978534265.db2.gz DHQIHTIHALLKCY-UHFFFAOYSA-N 0 3 233.359 2.573 20 0 BFADHN Cc1cnsc1CN(C)C1CCCC1 ZINC001233320708 978557047 /nfs/dbraw/zinc/55/70/47/978557047.db2.gz KIOYFLYTCLACJH-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN Cc1ncc(CN2CCC[C@](C)(F)CC2)o1 ZINC001233364790 978572555 /nfs/dbraw/zinc/57/25/55/978572555.db2.gz CROBWXNSRBJZGE-LBPRGKRZSA-N 0 3 226.295 2.697 20 0 BFADHN CC(C)(C)CN1CCc2ncsc2C1 ZINC000438354664 978585494 /nfs/dbraw/zinc/58/54/94/978585494.db2.gz QHYWBJNBMWLWMM-UHFFFAOYSA-N 0 3 210.346 2.547 20 0 BFADHN CCCCN(C)Cc1cc(F)c(F)cc1N ZINC001233378214 978591764 /nfs/dbraw/zinc/59/17/64/978591764.db2.gz KXQVOULQDYVFFF-UHFFFAOYSA-N 0 3 228.286 2.779 20 0 BFADHN CN(Cc1cn2cccc(Cl)c2n1)CC1CC1 ZINC001233420043 978598570 /nfs/dbraw/zinc/59/85/70/978598570.db2.gz XHIZZQMRMANSBZ-UHFFFAOYSA-N 0 3 249.745 2.830 20 0 BFADHN CCCN(Cc1cncc(Cl)n1)CC1CC1 ZINC001233446137 978602795 /nfs/dbraw/zinc/60/27/95/978602795.db2.gz JKYJVQCKDOQPQP-UHFFFAOYSA-N 0 3 239.750 2.752 20 0 BFADHN Cc1c(O[C@@H]2CCN(C)C2)cnc2ccccc21 ZINC001233724301 978679494 /nfs/dbraw/zinc/67/94/94/978679494.db2.gz GFOBXHAEYKCOQV-GFCCVEGCSA-N 0 3 242.322 2.626 20 0 BFADHN CC(C)CC1=CC[C@@H](N2CCOCC2)CC1 ZINC001249925984 978685004 /nfs/dbraw/zinc/68/50/04/978685004.db2.gz FLFVPDCZRHXTRU-CQSZACIVSA-N 0 3 223.360 2.844 20 0 BFADHN O=C1CCC[C@H]2CN(Cc3ccccc3F)C[C@@H]12 ZINC001203360175 978728097 /nfs/dbraw/zinc/72/80/97/978728097.db2.gz UJACRHCDUYTKPD-WCQYABFASA-N 0 3 247.313 2.627 20 0 BFADHN Cc1occc1CNC[C@H]1OCc2ccccc21 ZINC001179798646 978755315 /nfs/dbraw/zinc/75/53/15/978755315.db2.gz CCCUFZVMACBHLD-OAHLLOKOSA-N 0 3 243.306 2.949 20 0 BFADHN C[C@H](CN(C)C[C@H]1CCCCO1)C(F)(F)F ZINC000441150448 978818138 /nfs/dbraw/zinc/81/81/38/978818138.db2.gz GEQVAIZZWVNBEI-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN CCN1CC[C@H](Oc2ccc(C)c(C(C)=O)c2)C1 ZINC001234321372 978821491 /nfs/dbraw/zinc/82/14/91/978821491.db2.gz JFNQYJLVPJJQLY-AWEZNQCLSA-N 0 3 247.338 2.671 20 0 BFADHN CN1CCc2c(cccc2O[C@@H]2C=CCC2)C1 ZINC001234421974 978831836 /nfs/dbraw/zinc/83/18/36/978831836.db2.gz GFGDSLJULWJEDZ-CYBMUJFWSA-N 0 3 229.323 2.772 20 0 BFADHN CCC[C@@H](C)NCC(=O)c1ccccc1 ZINC000722116139 978849981 /nfs/dbraw/zinc/84/99/81/978849981.db2.gz FYVFQRIPFDOGDX-LLVKDONJSA-N 0 3 205.301 2.648 20 0 BFADHN Cc1cc(OC2CCN(C)CC2)cc(Cl)n1 ZINC001234615692 978874226 /nfs/dbraw/zinc/87/42/26/978874226.db2.gz RVDMWFWUHINEAK-UHFFFAOYSA-N 0 3 240.734 2.516 20 0 BFADHN CCN(CN1C(=O)CC1(C)C)C1CCCCC1 ZINC001339941321 978945693 /nfs/dbraw/zinc/94/56/93/978945693.db2.gz BWXIEWHQWMEFNL-UHFFFAOYSA-N 0 3 238.375 2.609 20 0 BFADHN C[C@H]1CCCN(Cc2c(N)cccc2F)C1 ZINC000070961457 978946072 /nfs/dbraw/zinc/94/60/72/978946072.db2.gz JODCJMHWSFIHGX-JTQLQIEISA-N 0 3 222.307 2.640 20 0 BFADHN CCCN(CC)Cc1c(N)cccc1F ZINC000070961588 978950210 /nfs/dbraw/zinc/95/02/10/978950210.db2.gz FEXXXQPCGYWTKJ-UHFFFAOYSA-N 0 3 210.296 2.640 20 0 BFADHN COC[C@@H]1CN(CCCCC(C)C)C[C@@H](C)O1 ZINC001180985753 978987063 /nfs/dbraw/zinc/98/70/63/978987063.db2.gz OPGDNCZAUZCIGA-KGLIPLIRSA-N 0 3 243.391 2.548 20 0 BFADHN CCc1cccc(CN2CCCOC(C)(C)C2)n1 ZINC001235260699 979014194 /nfs/dbraw/zinc/01/41/94/979014194.db2.gz MRYALPBZVHQMOZ-UHFFFAOYSA-N 0 3 248.370 2.645 20 0 BFADHN CCCCN(C)Cc1ccnc(OCC)c1 ZINC001249998247 979018801 /nfs/dbraw/zinc/01/88/01/979018801.db2.gz OLHRUABSPQKBSO-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@@H]2CCCC[C@@H]2C1 ZINC001340431490 979020983 /nfs/dbraw/zinc/02/09/83/979020983.db2.gz GJKMIUYMRRNGSQ-UONOGXRCSA-N 0 3 247.386 2.741 20 0 BFADHN CCOc1cc(O)cc(CN2CC[C@@H](C)C2)c1 ZINC001235394289 979034905 /nfs/dbraw/zinc/03/49/05/979034905.db2.gz RJEJXGFDAYSLKX-LLVKDONJSA-N 0 3 235.327 2.633 20 0 BFADHN Cc1nc(F)ccc1CN1CCCC12CC2 ZINC001235810956 979155501 /nfs/dbraw/zinc/15/55/01/979155501.db2.gz OQAXLTMWJGTQLC-UHFFFAOYSA-N 0 3 220.291 2.658 20 0 BFADHN Cc1nc(F)ccc1CN1CCC[C@H]2C[C@H]21 ZINC001235820374 979159395 /nfs/dbraw/zinc/15/93/95/979159395.db2.gz NBNZDPSPGLOLGM-CMPLNLGQSA-N 0 3 220.291 2.513 20 0 BFADHN CCOc1cc(CN2C[C@@H](C)CC23CC3)ccn1 ZINC001250013701 979200168 /nfs/dbraw/zinc/20/01/68/979200168.db2.gz HQRQVWYBHNVVKN-LBPRGKRZSA-N 0 3 246.354 2.855 20 0 BFADHN CN[C@H]1CCN1CC[C@H](C)CC(C)(C)C ZINC001236169299 979424458 /nfs/dbraw/zinc/42/44/58/979424458.db2.gz ZBFIASQMEWTHCJ-NWDGAFQWSA-N 0 3 212.381 2.700 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)Nc1ccc(C(C)C)nc1 ZINC001341753015 979545912 /nfs/dbraw/zinc/54/59/12/979545912.db2.gz PBLVIIQACFNKAG-GWCFXTLKSA-N 0 3 249.358 2.517 20 0 BFADHN Cc1cc(CN2CC[C@@H](OC(C)C)C2)cc(C)n1 ZINC001182555244 979552585 /nfs/dbraw/zinc/55/25/85/979552585.db2.gz NVCJJXOQSCPVDM-OAHLLOKOSA-N 0 3 248.370 2.698 20 0 BFADHN Nc1ccnc2cc(-c3cncc(O)c3)ccc12 ZINC001236583208 979661075 /nfs/dbraw/zinc/66/10/75/979661075.db2.gz MMACQJNNJAXUCZ-UHFFFAOYSA-N 0 3 237.262 2.585 20 0 BFADHN Nc1cc[nH+]c2cc(-c3cncc([O-])c3)ccc12 ZINC001236583208 979661085 /nfs/dbraw/zinc/66/10/85/979661085.db2.gz MMACQJNNJAXUCZ-UHFFFAOYSA-N 0 3 237.262 2.585 20 0 BFADHN [O-]c1cncc(-c2ccc(C[NH+]3CCC3)cc2)c1 ZINC001236583860 979666789 /nfs/dbraw/zinc/66/67/89/979666789.db2.gz VUMDNJOQDASTCK-UHFFFAOYSA-N 0 3 240.306 2.660 20 0 BFADHN CCCN(C)Cc1cc(F)cc2c1OCCC2 ZINC001236615270 979680855 /nfs/dbraw/zinc/68/08/55/979680855.db2.gz FWWUPKXEOBCDIS-UHFFFAOYSA-N 0 3 237.318 2.993 20 0 BFADHN CC(C)N(C)Cc1cc(F)cc2c1OCCC2 ZINC001236617906 979681852 /nfs/dbraw/zinc/68/18/52/979681852.db2.gz SNVXDUNVGBRSGU-UHFFFAOYSA-N 0 3 237.318 2.991 20 0 BFADHN Cc1cc(Cl)cc(CN(C)CC2CC2)n1 ZINC001236637066 979684897 /nfs/dbraw/zinc/68/48/97/979684897.db2.gz VOGHNBXDORMEGA-UHFFFAOYSA-N 0 3 224.735 2.885 20 0 BFADHN COc1cc(F)c(C)cc1CN1C[C@@H]2C[C@@H]2C1 ZINC001250094386 979692629 /nfs/dbraw/zinc/69/26/29/979692629.db2.gz ZRJDSWLFPORAHJ-PHIMTYICSA-N 0 3 235.302 2.594 20 0 BFADHN C[C@@H]1CCCN1Cc1ccc(F)c(O)c1 ZINC001236701068 979698773 /nfs/dbraw/zinc/69/87/73/979698773.db2.gz BAMQWGBNGMZIOV-SECBINFHSA-N 0 3 209.264 2.516 20 0 BFADHN C[C@@H]1CCC[N@@H+]1Cc1ccc(F)c([O-])c1 ZINC001236701068 979698776 /nfs/dbraw/zinc/69/87/76/979698776.db2.gz BAMQWGBNGMZIOV-SECBINFHSA-N 0 3 209.264 2.516 20 0 BFADHN C[C@@H]1CCC[N@H+]1Cc1ccc(F)c([O-])c1 ZINC001236701068 979698779 /nfs/dbraw/zinc/69/87/79/979698779.db2.gz BAMQWGBNGMZIOV-SECBINFHSA-N 0 3 209.264 2.516 20 0 BFADHN Fc1cccnc1CNCCCc1ccco1 ZINC001183074917 979713672 /nfs/dbraw/zinc/71/36/72/979713672.db2.gz MJFDFUYFKREFQV-UHFFFAOYSA-N 0 3 234.274 2.536 20 0 BFADHN c1cc2cccc(CN3CC4(CSC4)C3)c2o1 ZINC001236743212 979722478 /nfs/dbraw/zinc/72/24/78/979722478.db2.gz PQBKLENFIOEBAR-UHFFFAOYSA-N 0 3 245.347 2.982 20 0 BFADHN CC(C)c1ccc(C[C@@H](C)CN2CCNC2)cc1 ZINC001236842852 979754638 /nfs/dbraw/zinc/75/46/38/979754638.db2.gz BGHBBTNPUIWYMY-CQSZACIVSA-N 0 3 246.398 2.851 20 0 BFADHN CC(C)c1cc(CN2CCNC2)ccc1Cl ZINC001236851047 979757545 /nfs/dbraw/zinc/75/75/45/979757545.db2.gz QFXLMWNPEDUGNV-UHFFFAOYSA-N 0 3 238.762 2.826 20 0 BFADHN Cc1ccc(CN2CCNC2)c(Cl)c1Cl ZINC001236849273 979758501 /nfs/dbraw/zinc/75/85/01/979758501.db2.gz FBJQGJVVKYPNAO-UHFFFAOYSA-N 0 3 245.153 2.665 20 0 BFADHN c1csc(-c2ccc(CN3CCNC3)cc2)c1 ZINC001236850377 979759417 /nfs/dbraw/zinc/75/94/17/979759417.db2.gz LDEBGIVKYSRPMF-UHFFFAOYSA-N 0 3 244.363 2.778 20 0 BFADHN COCC1CN(Cc2ccc(F)c3ccoc32)C1 ZINC001236890953 979783245 /nfs/dbraw/zinc/78/32/45/979783245.db2.gz OIHQWUWWBYMMHP-UHFFFAOYSA-N 0 3 249.285 2.650 20 0 BFADHN O[C@H]1CCCN(Cc2ccc(F)c3ccoc32)C1 ZINC001236889737 979784197 /nfs/dbraw/zinc/78/41/97/979784197.db2.gz FHXOHMUHFUCNAY-NSHDSACASA-N 0 3 249.285 2.529 20 0 BFADHN [S-]c1ccoc1C[NH+]1C2CCC1CC2 ZINC001237186200 979838267 /nfs/dbraw/zinc/83/82/67/979838267.db2.gz JHPGXOXGOZPTML-UHFFFAOYSA-N 0 3 209.314 2.695 20 0 BFADHN Cc1csc(CN2CCNC3=CCCC[C@H]32)c1 ZINC001237218187 979847998 /nfs/dbraw/zinc/84/79/98/979847998.db2.gz GDARCZPZZZKTCV-CQSZACIVSA-N 0 3 248.395 2.898 20 0 BFADHN Cc1cc(CN2CCNC3=CCCC[C@@H]32)oc1C ZINC001237218207 979848548 /nfs/dbraw/zinc/84/85/48/979848548.db2.gz GIMOETHCFUNUJI-HNNXBMFYSA-N 0 3 246.354 2.738 20 0 BFADHN Fc1ccc(CN2CCNC3=CCCC[C@@H]32)cc1 ZINC001237220849 979853283 /nfs/dbraw/zinc/85/32/83/979853283.db2.gz SSACJRXQRWWHQI-HNNXBMFYSA-N 0 3 246.329 2.667 20 0 BFADHN Cc1cc(CN2CCNC3=CCCC[C@H]32)cs1 ZINC001237230720 979855800 /nfs/dbraw/zinc/85/58/00/979855800.db2.gz UUJDOJHMNFELKY-CQSZACIVSA-N 0 3 248.395 2.898 20 0 BFADHN CC(C)c1cccc(CN2CCN3CC[C@@H]3C2)c1 ZINC001237458434 979903137 /nfs/dbraw/zinc/90/31/37/979903137.db2.gz ATBXSKCBUJMQLP-MRXNPFEDSA-N 0 3 244.382 2.700 20 0 BFADHN CCn1cncc1CN1CC[C@H](C)C[C@@H]1C ZINC001237590644 979950508 /nfs/dbraw/zinc/95/05/08/979950508.db2.gz RHJLKWJFNBXUNN-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@@H]1CCCN1Cc1ccnc(OC(F)F)c1 ZINC001237612581 979976771 /nfs/dbraw/zinc/97/67/71/979976771.db2.gz UZQPPXVALMYXPI-SECBINFHSA-N 0 3 242.269 2.667 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCc1ncccc1F ZINC001184264574 979994103 /nfs/dbraw/zinc/99/41/03/979994103.db2.gz FYEWCSSXRDUERC-ZYHUDNBSSA-N 0 3 220.291 2.665 20 0 BFADHN Cc1coc(CN2CCC[C@H]2C(C)C)n1 ZINC001237643476 979994979 /nfs/dbraw/zinc/99/49/79/979994979.db2.gz QFFSYRFUHWJSHH-NSHDSACASA-N 0 3 208.305 2.603 20 0 BFADHN CCCN(Cc1nc(C)co1)CC(C)C ZINC001237642576 979995499 /nfs/dbraw/zinc/99/54/99/979995499.db2.gz KUXRYOBDEFLHPS-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN CCC(CC)N(CC)Cc1nc(C)co1 ZINC001237648354 979997383 /nfs/dbraw/zinc/99/73/83/979997383.db2.gz GNFIMVUQPKWDJK-UHFFFAOYSA-N 0 3 210.321 2.994 20 0 BFADHN CCc1[nH]ncc1CN(Cc1ccco1)C1CC1 ZINC001237653353 980012503 /nfs/dbraw/zinc/01/25/03/980012503.db2.gz KGIBDYWKRNCCOQ-UHFFFAOYSA-N 0 3 245.326 2.730 20 0 BFADHN Cc1cc(CN2CCC2)ccc1OC(C)C ZINC001237719761 980039825 /nfs/dbraw/zinc/03/98/25/980039825.db2.gz XVCXJBRFNLHFHV-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN Cc1nc(CN2C[C@H]3CCC[C@@H]3C2)ccc1F ZINC001237812109 980058144 /nfs/dbraw/zinc/05/81/44/980058144.db2.gz AUHRXIMJDYWBBB-VXGBXAGGSA-N 0 3 234.318 2.761 20 0 BFADHN Cc1nc(CN2C[C@@H]3CCC[C@@H]3C2)ccc1F ZINC001237812107 980060196 /nfs/dbraw/zinc/06/01/96/980060196.db2.gz AUHRXIMJDYWBBB-TXEJJXNPSA-N 0 3 234.318 2.761 20 0 BFADHN Cc1nc(CN2C[C@@H]3[C@H](C2)C3(C)C)ccc1F ZINC001237819645 980068522 /nfs/dbraw/zinc/06/85/22/980068522.db2.gz KXPKZIQANLQULL-TXEJJXNPSA-N 0 3 234.318 2.617 20 0 BFADHN Cc1nc(CN2C[C@@H](C)CC23CC3)ccc1F ZINC001237823263 980069498 /nfs/dbraw/zinc/06/94/98/980069498.db2.gz IIMFTDZIHZMUGL-JTQLQIEISA-N 0 3 234.318 2.904 20 0 BFADHN Cc1nc(CN2CCC3(CCC3)C2)ccc1F ZINC001237822610 980072551 /nfs/dbraw/zinc/07/25/51/980072551.db2.gz USUXZJLGPUQVRK-UHFFFAOYSA-N 0 3 234.318 2.905 20 0 BFADHN CC(C)[C@@H]1C[C@H]1N[C@H]1C[C@H](C)n2ccnc21 ZINC001184453532 980086022 /nfs/dbraw/zinc/08/60/22/980086022.db2.gz WTZFTBBTCQMMDP-YFKTTZPYSA-N 0 3 219.332 2.523 20 0 BFADHN COc1ccoc1CN(C(C)C)C(C)C ZINC001237862880 980101946 /nfs/dbraw/zinc/10/19/46/980101946.db2.gz FQUOQIKBYJPRJK-UHFFFAOYSA-N 0 3 211.305 2.907 20 0 BFADHN COc1ccoc1CN1CCC[C@H](C)CC1 ZINC001237870081 980105517 /nfs/dbraw/zinc/10/55/17/980105517.db2.gz URMUXTZGKXPWJX-NSHDSACASA-N 0 3 223.316 2.910 20 0 BFADHN COc1ccoc1CN(C)C1CCCC1 ZINC001237872615 980108985 /nfs/dbraw/zinc/10/89/85/980108985.db2.gz WVFNKGKGUASRBL-UHFFFAOYSA-N 0 3 209.289 2.663 20 0 BFADHN C[NH+](C)CCCc1c(Cl)ccc([O-])c1F ZINC001250163613 980125169 /nfs/dbraw/zinc/12/51/69/980125169.db2.gz AVHOZHLPUOXNDD-UHFFFAOYSA-N 0 3 231.698 2.679 20 0 BFADHN CCCCN1CCC[C@H]1C(=O)Nc1ccccc1 ZINC000005597368 980130188 /nfs/dbraw/zinc/13/01/88/980130188.db2.gz JVKFQMGKLAOOKR-AWEZNQCLSA-N 0 3 246.354 2.890 20 0 BFADHN COc1c(C)cnc(CN2CCC3(CC3)C2)c1C ZINC001238173538 980207701 /nfs/dbraw/zinc/20/77/01/980207701.db2.gz PTYWUDYTQCNTHA-UHFFFAOYSA-N 0 3 246.354 2.693 20 0 BFADHN Cc1ncncc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001238176498 980208659 /nfs/dbraw/zinc/20/86/59/980208659.db2.gz YDSBPOCHSUOWGQ-JSGCOSHPSA-N 0 3 231.343 2.550 20 0 BFADHN COc1c(C)cnc(CN(C)C2CC(C)C2)c1C ZINC001238173041 980209555 /nfs/dbraw/zinc/20/95/55/980209555.db2.gz PAFORPPOCJAJFU-UHFFFAOYSA-N 0 3 248.370 2.937 20 0 BFADHN c1ncc(C2CC2)cc1CN1CCCC1 ZINC001238188312 980217433 /nfs/dbraw/zinc/21/74/33/980217433.db2.gz URPVOEDARNCNKY-UHFFFAOYSA-N 0 3 202.301 2.555 20 0 BFADHN COC1(C)CCN(Cc2ncc(C)cc2C)CC1 ZINC001238427825 980257749 /nfs/dbraw/zinc/25/77/49/980257749.db2.gz WUHUJLLZRCNMBJ-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN CC(C)Oc1cncc(CN2C[C@H]3CC[C@@H]2C3)c1 ZINC001238470777 980271199 /nfs/dbraw/zinc/27/11/99/980271199.db2.gz GGQVPIPSFYDBFG-GXTWGEPZSA-N 0 3 246.354 2.853 20 0 BFADHN COc1c(CN(C)CC2CC2)ccc(F)c1F ZINC001238474793 980277318 /nfs/dbraw/zinc/27/73/18/980277318.db2.gz LRDNSHFFBJFLFK-UHFFFAOYSA-N 0 3 241.281 2.815 20 0 BFADHN OC[C@@H]1CCN(Cc2ccc(F)c(C3CC3)c2)C1 ZINC001238500052 980280515 /nfs/dbraw/zinc/28/05/15/980280515.db2.gz CQIDSUCUHHFXFX-GFCCVEGCSA-N 0 3 249.329 2.517 20 0 BFADHN CCN(CC)Cc1cnc(F)c(OC(C)C)c1 ZINC001238590995 980294343 /nfs/dbraw/zinc/29/43/43/980294343.db2.gz URJSBPUTODJFMS-UHFFFAOYSA-N 0 3 240.322 2.850 20 0 BFADHN COc1cc(C)c(C)cc1CN1CC[C@@H](OC)C1 ZINC001238760597 980318361 /nfs/dbraw/zinc/31/83/61/980318361.db2.gz WONLDPZRCBRXOS-CQSZACIVSA-N 0 3 249.354 2.533 20 0 BFADHN CNCc1cc(-c2ccc(C3CC3)cc2)ncn1 ZINC001238832138 980332649 /nfs/dbraw/zinc/33/26/49/980332649.db2.gz RCZYEYIEOZKENA-UHFFFAOYSA-N 0 3 239.322 2.740 20 0 BFADHN c1cc(CN2CCCSCC2)n(C2CC2)c1 ZINC001344062021 980335389 /nfs/dbraw/zinc/33/53/89/980335389.db2.gz NYCQKVRLABSWRV-UHFFFAOYSA-N 0 3 236.384 2.762 20 0 BFADHN CCOCc1cncc(-c2nccc(N)c2C)c1 ZINC001238914003 980365554 /nfs/dbraw/zinc/36/55/54/980365554.db2.gz HLOYNUHGJCIIHU-UHFFFAOYSA-N 0 3 243.310 2.571 20 0 BFADHN c1nocc1-c1cncc(CN2CCCCC2)c1 ZINC001239184397 980491129 /nfs/dbraw/zinc/49/11/29/980491129.db2.gz OPWROKZGHVDKHZ-UHFFFAOYSA-N 0 3 243.310 2.723 20 0 BFADHN c1ccc(-c2ccc3c(c2)COC32CNC2)cc1 ZINC001239468076 980595329 /nfs/dbraw/zinc/59/53/29/980595329.db2.gz XFSZGLSBRMPSIG-UHFFFAOYSA-N 0 3 237.302 2.682 20 0 BFADHN Fc1ccc(-c2ccc3c(n2)CNCC3)cc1 ZINC001239472976 980604970 /nfs/dbraw/zinc/60/49/70/980604970.db2.gz IBKRDOTZQYCUCP-UHFFFAOYSA-N 0 3 228.270 2.533 20 0 BFADHN CSc1ccc(-c2ccnc(CN)c2)cc1 ZINC001239497745 980658013 /nfs/dbraw/zinc/65/80/13/980658013.db2.gz AVVAPFLZFSDAMO-UHFFFAOYSA-N 0 3 230.336 2.929 20 0 BFADHN CCc1nc(C)c(CN2CCC[C@@H](C)C2)[nH]1 ZINC001203234313 980790290 /nfs/dbraw/zinc/79/02/90/980790290.db2.gz LVNPPHNZWPOHCE-SNVBAGLBSA-N 0 3 221.348 2.512 20 0 BFADHN CN(C)/C=C/c1ccncc1-c1ccncc1 ZINC001239612850 980810855 /nfs/dbraw/zinc/81/08/55/980810855.db2.gz ZJLPSUILWDRNSM-UXBLZVDNSA-N 0 3 225.295 2.676 20 0 BFADHN CC(=O)c1cccc(-c2cc(N)ccn2)c1 ZINC001239633249 980820807 /nfs/dbraw/zinc/82/08/07/980820807.db2.gz QXQBFSHVRXHHLZ-UHFFFAOYSA-N 0 3 212.252 2.533 20 0 BFADHN F[C@@H]1CCC[C@H](N[C@H]2CCCn3ccnc32)C1 ZINC001346503935 980854481 /nfs/dbraw/zinc/85/44/81/980854481.db2.gz IOUZMSRDVBPSLE-WOPDTQHZSA-N 0 3 237.322 2.588 20 0 BFADHN CCc1ccccc1-c1cccc(CN)n1 ZINC001239743413 980891541 /nfs/dbraw/zinc/89/15/41/980891541.db2.gz SDVHOFCULOSBTR-UHFFFAOYSA-N 0 3 212.296 2.770 20 0 BFADHN COc1ccc(-c2cccc(CN)n2)c(C)c1 ZINC001239823015 980967959 /nfs/dbraw/zinc/96/79/59/980967959.db2.gz YNYQLSDLCVYHGS-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN CC(C)CCN(C)Cc1cccnc1N(C)C ZINC001347129013 980985591 /nfs/dbraw/zinc/98/55/91/980985591.db2.gz LCSRZXXPYYUJEL-UHFFFAOYSA-N 0 3 235.375 2.626 20 0 BFADHN CC(C)c1ccccc1-c1cc(CN)ncn1 ZINC001239864325 981004238 /nfs/dbraw/zinc/00/42/38/981004238.db2.gz AKKQZUAWQHYYGL-UHFFFAOYSA-N 0 3 227.311 2.726 20 0 BFADHN Cc1ccc(F)c(-c2ccnc(CN)c2)c1 ZINC001239964333 981066043 /nfs/dbraw/zinc/06/60/43/981066043.db2.gz JSKXUNFJPVWFSV-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN Cc1ccncc1-c1cc(CN(C)C)ccn1 ZINC001239974321 981077320 /nfs/dbraw/zinc/07/73/20/981077320.db2.gz OJKWQENRBRZGCD-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN NCc1ccc(-c2cccc3cnccc32)cn1 ZINC001240009464 981096794 /nfs/dbraw/zinc/09/67/94/981096794.db2.gz TVDJUTAGIOHZOS-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN c1n[nH]cc1-c1ccc(C2=NCCC2)cc1 ZINC001240242874 981218627 /nfs/dbraw/zinc/21/86/27/981218627.db2.gz YNTFCUUGPFYFSQ-UHFFFAOYSA-N 0 3 211.268 2.660 20 0 BFADHN Cc1cnc(-c2cccc3n[nH]cc32)cc1N ZINC001240311991 981280187 /nfs/dbraw/zinc/28/01/87/981280187.db2.gz VGWTXKAHEQDKCI-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN c1cc2c(nccc2-c2nccc3c2CCN3)[nH]1 ZINC001240411017 981359393 /nfs/dbraw/zinc/35/93/93/981359393.db2.gz MHJXCWZEPLJTSX-UHFFFAOYSA-N 0 3 236.278 2.593 20 0 BFADHN Cc1cc(F)cc(-c2ccc(CN)nc2)c1 ZINC001240594130 981491460 /nfs/dbraw/zinc/49/14/60/981491460.db2.gz CQUAKYGRCZCZMQ-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN COc1cc(-c2cc(N(C)C)ccn2)ccc1O ZINC001240611779 981510010 /nfs/dbraw/zinc/51/00/10/981510010.db2.gz GVTKVNGUJJQYOB-UHFFFAOYSA-N 0 3 244.294 2.529 20 0 BFADHN CCCN(CCCCCF)[C@@H](C)C(=O)OCC ZINC001194029493 981535942 /nfs/dbraw/zinc/53/59/42/981535942.db2.gz FWSQZCGIFFOZGD-LBPRGKRZSA-N 0 3 247.354 2.790 20 0 BFADHN Cc1cncc(-c2cc(CN(C)C)ccn2)c1 ZINC001240802332 981851638 /nfs/dbraw/zinc/85/16/38/981851638.db2.gz QHEUSZGDLSBNJE-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN CCC[C@@H](CC)NC(=O)CN(C)CC(C)(C)C ZINC001584139404 981854462 /nfs/dbraw/zinc/85/44/62/981854462.db2.gz VRERGCSMKUVLNM-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN C=Cc1cc(CN2CCCCC2)ccn1 ZINC001240828672 981867838 /nfs/dbraw/zinc/86/78/38/981867838.db2.gz YQARLSWCHYFVRK-UHFFFAOYSA-N 0 3 202.301 2.711 20 0 BFADHN Cc1cc(-c2cccc(C3=NCCC3)c2)n(C)n1 ZINC001240861330 981904231 /nfs/dbraw/zinc/90/42/31/981904231.db2.gz QMQJHRUWCHQSDO-UHFFFAOYSA-N 0 3 239.322 2.978 20 0 BFADHN Cc1ccccc1[C@@H](NCc1nnc[nH]1)C(C)C ZINC001350370416 981947106 /nfs/dbraw/zinc/94/71/06/981947106.db2.gz ASBCFRVSXKDXEY-AWEZNQCLSA-N 0 3 244.342 2.600 20 0 BFADHN Cc1ccc(F)cc1-c1ccc(CN)nc1 ZINC001240920117 981976684 /nfs/dbraw/zinc/97/66/84/981976684.db2.gz KONGSGFZJLTQEW-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN Nc1nc2cc(-c3cccc(O)c3)ccc2[nH]1 ZINC001240926176 981996844 /nfs/dbraw/zinc/99/68/44/981996844.db2.gz SJYJICGWSWKHOY-UHFFFAOYSA-N 0 3 225.251 2.518 20 0 BFADHN Nc1nc2ccc(-c3cccc(O)c3)cc2[nH]1 ZINC001240926176 981996862 /nfs/dbraw/zinc/99/68/62/981996862.db2.gz SJYJICGWSWKHOY-UHFFFAOYSA-N 0 3 225.251 2.518 20 0 BFADHN CN(C)/C=C/c1ccncc1-c1cccc(O)c1 ZINC001240926385 981997182 /nfs/dbraw/zinc/99/71/82/981997182.db2.gz RVLBWQRMQTTWMH-VQHVLOKHSA-N 0 3 240.306 2.987 20 0 BFADHN Cc1c[nH]c2ncc(-c3cc(N)ccn3)cc12 ZINC001240943771 982015931 /nfs/dbraw/zinc/01/59/31/982015931.db2.gz XKQAYBSTHGXSNI-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN NCc1cccc(-c2cc(F)c(F)c(F)c2)n1 ZINC001240977168 982055531 /nfs/dbraw/zinc/05/55/31/982055531.db2.gz RJWDLMKYWRDRKT-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN NCc1cccc(-c2ccc(F)c(F)c2F)n1 ZINC001240987498 982070393 /nfs/dbraw/zinc/07/03/93/982070393.db2.gz FHBBGGFQCUQZNG-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN NCc1ccc(-c2ccc(F)c(F)c2F)cn1 ZINC001240991115 982077280 /nfs/dbraw/zinc/07/72/80/982077280.db2.gz VEMCHLKJXLUJRI-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN C(=C\C1CC1)\CNCc1cnn(C2CCC2)c1 ZINC001350891428 982113558 /nfs/dbraw/zinc/11/35/58/982113558.db2.gz WDDPXYXXZVQSBT-IHWYPQMZSA-N 0 3 231.343 2.664 20 0 BFADHN Cc1ccc(-c2cc(N(C)C)cc[nH+]2)c([O-])c1 ZINC001241031041 982127636 /nfs/dbraw/zinc/12/76/36/982127636.db2.gz WAFFGZQCDXABPB-UHFFFAOYSA-N 0 3 228.295 2.829 20 0 BFADHN Cc1ccc(-c2cccc(CN(C)C)n2)c(O)c1 ZINC001241035625 982139404 /nfs/dbraw/zinc/13/94/04/982139404.db2.gz CWPIUPNKTSYMSC-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN CCOc1cccc(-c2ccnc(CN)c2)c1 ZINC001241116592 982229481 /nfs/dbraw/zinc/22/94/81/982229481.db2.gz YPQRXNGUOQPSQG-UHFFFAOYSA-N 0 3 228.295 2.606 20 0 BFADHN CCOc1ccc(C)cc1-c1cccc(CN)n1 ZINC001241145184 982272010 /nfs/dbraw/zinc/27/20/10/982272010.db2.gz KZYJZGKYOYTZIU-UHFFFAOYSA-N 0 3 242.322 2.914 20 0 BFADHN CC[C@H](C)[C@H](C(=O)OC)N(C)CCC(C)(C)C ZINC001195498869 982295252 /nfs/dbraw/zinc/29/52/52/982295252.db2.gz RGFWIXUKLINZKD-NWDGAFQWSA-N 0 3 243.391 2.942 20 0 BFADHN CCn1ccc(CN(C)C/C=C(\C)COC)c1 ZINC001351386773 982303195 /nfs/dbraw/zinc/30/31/95/982303195.db2.gz GNEMXPQXEKDNSC-AWNIVKPZSA-N 0 3 236.359 2.533 20 0 BFADHN C[C@H]1C[C@@H](CF)N(Cc2ccccc2)C1 ZINC001203302150 982341406 /nfs/dbraw/zinc/34/14/06/982341406.db2.gz MKYZEERGJKRJBC-AAEUAGOBSA-N 0 3 207.292 2.867 20 0 BFADHN CN(C)c1cccc(CN2CCC23CCCC3)n1 ZINC001195842388 982365334 /nfs/dbraw/zinc/36/53/34/982365334.db2.gz DXAIEXJRUSOTJB-UHFFFAOYSA-N 0 3 245.370 2.666 20 0 BFADHN CO[C@H]1CC[C@@H]1N(C)Cc1csc(C)c1 ZINC001351736058 982369231 /nfs/dbraw/zinc/36/92/31/982369231.db2.gz JFDOUXWFYJAIBX-RYUDHWBXSA-N 0 3 225.357 2.666 20 0 BFADHN C[C@]1(Br)C[C@@H]1CNCc1ccoc1 ZINC001351975385 982420587 /nfs/dbraw/zinc/42/05/87/982420587.db2.gz SLZZCSCQUFMJCO-ZJUUUORDSA-N 0 3 244.132 2.543 20 0 BFADHN [O-]c1ccc(F)c(-c2ccc3c(c2)C[NH2+]C3)c1F ZINC001241292903 982432739 /nfs/dbraw/zinc/43/27/39/982432739.db2.gz MULJLUODIRHNOP-UHFFFAOYSA-N 0 3 247.244 2.941 20 0 BFADHN Cc1ccc2c(c1)ncnc2C1=CCN(C)CC1 ZINC001241308969 982453067 /nfs/dbraw/zinc/45/30/67/982453067.db2.gz OHPLWNMQELMBOW-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Fc1ccc(CCN2C[C@H]3[C@@H](C2)C3(F)F)cc1 ZINC001352066746 982453440 /nfs/dbraw/zinc/45/34/40/982453440.db2.gz TXJACYYEURVLFA-TXEJJXNPSA-N 0 3 241.256 2.565 20 0 BFADHN Cc1nc2ccccc2c(C2=CCN(C)CC2)n1 ZINC001241309769 982456940 /nfs/dbraw/zinc/45/69/40/982456940.db2.gz YUHZHSQOARGAMV-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1ccc2nc(C3=CCN(C)CC3)ccc2n1 ZINC001241310389 982457994 /nfs/dbraw/zinc/45/79/94/982457994.db2.gz CVJPFDLHBQDRFR-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1cc(C2=CCN(C)CC2)nc(C(C)(C)C)n1 ZINC001241312586 982458588 /nfs/dbraw/zinc/45/85/88/982458588.db2.gz HJRRRNZZWAUXCK-UHFFFAOYSA-N 0 3 245.370 2.801 20 0 BFADHN Cc1cc2c(ccnc2C2=CCN(C)CC2)o1 ZINC001241312744 982460612 /nfs/dbraw/zinc/46/06/12/982460612.db2.gz LDRKPXLPNWDKIX-UHFFFAOYSA-N 0 3 228.295 2.855 20 0 BFADHN CN1CC=C(c2ccnc(C(F)(F)F)c2)CC1 ZINC001241319673 982480510 /nfs/dbraw/zinc/48/05/10/982480510.db2.gz QDVWBSVFQRCDLL-UHFFFAOYSA-N 0 3 242.244 2.819 20 0 BFADHN COC(=O)c1ccc(C)c(C2=CCN(C)CC2)c1 ZINC001241322672 982483996 /nfs/dbraw/zinc/48/39/96/982483996.db2.gz RCEQGOUXDNUMPJ-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN CCOc1cc(C)c(C2=CCN(C)CC2)cn1 ZINC001241328098 982487874 /nfs/dbraw/zinc/48/78/74/982487874.db2.gz XCEPRLWGIMSTFC-UHFFFAOYSA-N 0 3 232.327 2.508 20 0 BFADHN CCOc1cc(F)cc(C2=CCN(C)CC2)c1 ZINC001241330230 982491676 /nfs/dbraw/zinc/49/16/76/982491676.db2.gz LKWCEOOILOLYDX-UHFFFAOYSA-N 0 3 235.302 2.943 20 0 BFADHN CC(C)Oc1cncc(C2=CCN(C)CC2)c1 ZINC001241330060 982492282 /nfs/dbraw/zinc/49/22/82/982492282.db2.gz HMJBFZOZAGGVHM-UHFFFAOYSA-N 0 3 232.327 2.588 20 0 BFADHN CN1CC=C(c2ccc3c(c2)OC(C)(C)O3)CC1 ZINC001241330232 982492460 /nfs/dbraw/zinc/49/24/60/982492460.db2.gz LPDABJGDJGVSGJ-UHFFFAOYSA-N 0 3 245.322 2.913 20 0 BFADHN Cc1cnc2[nH]ccc2c1C1=CCN(C)CC1 ZINC001241333084 982500834 /nfs/dbraw/zinc/50/08/34/982500834.db2.gz RBPWNNMRPITOJQ-UHFFFAOYSA-N 0 3 227.311 2.542 20 0 BFADHN COc1c(C)cnc(CN[C@H]2C=CCCC2)c1C ZINC001352849285 982598259 /nfs/dbraw/zinc/59/82/59/982598259.db2.gz DXYDOHTZQGWPHI-ZDUSSCGKSA-N 0 3 246.354 2.905 20 0 BFADHN CN1CCC=C(c2cc3ccccc3cn2)C1 ZINC001241566410 982809827 /nfs/dbraw/zinc/80/98/27/982809827.db2.gz VQKISDARVADJAB-UHFFFAOYSA-N 0 3 224.307 2.954 20 0 BFADHN Cc1ccc2c(c1)ncnc2C1=CCCN(C)C1 ZINC001241566237 982810083 /nfs/dbraw/zinc/81/00/83/982810083.db2.gz WBONLGWTGFZYTR-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1cc(C2=CCCN(C)C2)ccc1F ZINC001241570162 982818858 /nfs/dbraw/zinc/81/88/58/982818858.db2.gz UVANZAGGALQIRP-UHFFFAOYSA-N 0 3 205.276 2.853 20 0 BFADHN COC(=O)c1cc(C)cc(C2=CCCN(C)C2)c1 ZINC001241570971 982823082 /nfs/dbraw/zinc/82/30/82/982823082.db2.gz ROGQIMNTQLKNNF-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN CCOC(=O)c1cccc(C2=CCCN(C)C2)c1 ZINC001241570977 982824822 /nfs/dbraw/zinc/82/48/22/982824822.db2.gz RVJKIKRRMUCXFB-UHFFFAOYSA-N 0 3 245.322 2.582 20 0 BFADHN CSc1cc(C)c(C2=CCCN(C)C2)cn1 ZINC001241572226 982826506 /nfs/dbraw/zinc/82/65/06/982826506.db2.gz UKWKOEDPTSAFMV-UHFFFAOYSA-N 0 3 234.368 2.831 20 0 BFADHN CN(C)Cc1cc(C2=CCCN(C)C2)ccc1F ZINC001241572125 982826533 /nfs/dbraw/zinc/82/65/33/982826533.db2.gz QSJGSLIZEMFQAY-UHFFFAOYSA-N 0 3 248.345 2.606 20 0 BFADHN Fc1ccc(CN2CCCC[C@]23CCOC3)cc1 ZINC001198762407 982832837 /nfs/dbraw/zinc/83/28/37/982832837.db2.gz ZEOUHPMYGFYPCJ-OAHLLOKOSA-N 0 3 249.329 2.971 20 0 BFADHN CCc1nc(C)c(CN(C)CC2CCC2)[nH]1 ZINC001203243847 982833989 /nfs/dbraw/zinc/83/39/89/982833989.db2.gz OZIOWURJDWKVPY-UHFFFAOYSA-N 0 3 221.348 2.512 20 0 BFADHN CCCOc1cccc(-c2cccc(CN)n2)c1 ZINC001241589285 982834172 /nfs/dbraw/zinc/83/41/72/982834172.db2.gz NCSWHMGIHFXGMD-UHFFFAOYSA-N 0 3 242.322 2.996 20 0 BFADHN COc1ccc(C)c(-c2cccc(CN)n2)c1 ZINC001241665188 982897190 /nfs/dbraw/zinc/89/71/90/982897190.db2.gz XVCSKHVDHGCZSK-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN Cc1[nH]nc2ccc(-c3cnc(N)c(C)c3)cc12 ZINC001241668511 982909247 /nfs/dbraw/zinc/90/92/47/982909247.db2.gz GITYSVINYJMNIJ-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN COc1ccc(C)c(-c2ccc(CN)nc2)c1 ZINC001241673349 982915673 /nfs/dbraw/zinc/91/56/73/982915673.db2.gz HWWSXYCKOMNFQO-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN Cc1cscc1-c1ccc2c(n1)OCCNC2 ZINC001241726088 983009618 /nfs/dbraw/zinc/00/96/18/983009618.db2.gz HAOBXDYWDJWZGD-UHFFFAOYSA-N 0 3 246.335 2.601 20 0 BFADHN Cc1cc(-c2cccc(CN(C)C)n2)cc(C)n1 ZINC001241991809 983180380 /nfs/dbraw/zinc/18/03/80/983180380.db2.gz RUZIUJBPCFCHMD-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN Cc1cc(-c2cncc(CN(C)C)c2)cc(C)n1 ZINC001241995033 983187989 /nfs/dbraw/zinc/18/79/89/983187989.db2.gz XMVMEQSJGMMPLF-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN c1cc2n(c1)CCN(CC1CCCCC1)C2 ZINC001201397508 983198803 /nfs/dbraw/zinc/19/88/03/983198803.db2.gz HSYRMDIZHBTADV-UHFFFAOYSA-N 0 3 218.344 2.884 20 0 BFADHN CC(C)(C)CN1CC[C@@](C)(F)[C@@H](F)C1 ZINC001201433073 983223064 /nfs/dbraw/zinc/22/30/64/983223064.db2.gz RUNRLAMMZJNVEC-GXSJLCMTSA-N 0 3 205.292 2.805 20 0 BFADHN FC1C[C@@H]2COC[C@H](C1)N2C[C@H]1CC=CCC1 ZINC001201423585 983223445 /nfs/dbraw/zinc/22/34/45/983223445.db2.gz PDOVNJBBTRBYGQ-FUDCBSFHSA-N 0 3 239.334 2.544 20 0 BFADHN CC(C)(C)CN1CCC(=O)[C@H]2CCCC[C@@H]21 ZINC001201426603 983224950 /nfs/dbraw/zinc/22/49/50/983224950.db2.gz NSNFLHSFMQIOSZ-RYUDHWBXSA-N 0 3 223.360 2.866 20 0 BFADHN C1=CC[C@H](CN2CC3(C2)CCCCO3)CC1 ZINC001201409883 983232087 /nfs/dbraw/zinc/23/20/87/983232087.db2.gz YWRPNILHFDBTBP-ZDUSSCGKSA-N 0 3 221.344 2.598 20 0 BFADHN CO[C@H](C)c1cccc(-c2ccc(CN)nc2)c1 ZINC001242031280 983244178 /nfs/dbraw/zinc/24/41/78/983244178.db2.gz ALNCEOVAWXKXQI-LLVKDONJSA-N 0 3 242.322 2.915 20 0 BFADHN Cc1cnc2c(c1)CN(CC(C)(C)C)CC2 ZINC001201441932 983246877 /nfs/dbraw/zinc/24/68/77/983246877.db2.gz PKRFLOQVKAJOBX-UHFFFAOYSA-N 0 3 218.344 2.794 20 0 BFADHN CCC(CC)CN1CCN(C)c2ncccc2C1 ZINC001201528618 983322867 /nfs/dbraw/zinc/32/28/67/983322867.db2.gz UXNRVAGRPNGXKW-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN F[C@@H]1CN(CCc2ccccc2)C[C@@H]2C[C@@H]21 ZINC001201597006 983326951 /nfs/dbraw/zinc/32/69/51/983326951.db2.gz QMZRAUUZOUGYKA-MELADBBJSA-N 0 3 219.303 2.519 20 0 BFADHN CCCC[C@@H](CC)CN1CC(N2CC(F)C2)C1 ZINC001201563472 983330551 /nfs/dbraw/zinc/33/05/51/983330551.db2.gz QOGCHRMKKPZRKQ-GFCCVEGCSA-N 0 3 242.382 2.541 20 0 BFADHN CCCC[C@H](CC)CN1CC(N2CC(F)C2)C1 ZINC001201563474 983332272 /nfs/dbraw/zinc/33/22/72/983332272.db2.gz QOGCHRMKKPZRKQ-LBPRGKRZSA-N 0 3 242.382 2.541 20 0 BFADHN Cc1cc(-c2cccc(CN(C)C)n2)ccc1O ZINC001242107440 983352291 /nfs/dbraw/zinc/35/22/91/983352291.db2.gz JEFBXNGYETVSIB-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN F[C@H]1CN(CCc2ccccc2)C[C@@H]2C[C@@H]21 ZINC001201595720 983360049 /nfs/dbraw/zinc/36/00/49/983360049.db2.gz QMZRAUUZOUGYKA-IHRRRGAJSA-N 0 3 219.303 2.519 20 0 BFADHN Cc1cc(C)cc(C[C@H](C)NCc2cc[nH]n2)c1 ZINC001200791822 983371028 /nfs/dbraw/zinc/37/10/28/983371028.db2.gz RJDAQTXMOHPMLU-ZDUSSCGKSA-N 0 3 243.354 2.747 20 0 BFADHN Cc1ccc2c(n1)N(C)CCN(CCC(C)C)C2 ZINC001201616607 983379215 /nfs/dbraw/zinc/37/92/15/983379215.db2.gz BHEMNRMKRMYILI-UHFFFAOYSA-N 0 3 247.386 2.688 20 0 BFADHN CC[C@@]12C[C@@H](CO1)N(CCCc1ccccc1)C2 ZINC001201674122 983388302 /nfs/dbraw/zinc/38/83/02/983388302.db2.gz WCKWNAQBLCUNCA-HOTGVXAUSA-N 0 3 245.366 2.873 20 0 BFADHN COc1ccc(-c2cncc3cc[nH]c32)cc1O ZINC001242172727 983390355 /nfs/dbraw/zinc/39/03/55/983390355.db2.gz DOHQZKWODNHNDK-UHFFFAOYSA-N 0 3 240.262 2.944 20 0 BFADHN CCCN1CCN(C2(c3ccccc3)CC2)CC1 ZINC001201647731 983401064 /nfs/dbraw/zinc/40/10/64/983401064.db2.gz NQYHGAAZELTPDA-UHFFFAOYSA-N 0 3 244.382 2.703 20 0 BFADHN C[C@H]1CCN(CCCc2ccccc2)CCC1=O ZINC001201652753 983404902 /nfs/dbraw/zinc/40/49/02/983404902.db2.gz INPRDRPQVBYLKH-AWEZNQCLSA-N 0 3 245.366 2.920 20 0 BFADHN NCc1cccc(C2=CCC(F)(F)CC2)n1 ZINC001242230872 983430684 /nfs/dbraw/zinc/43/06/84/983430684.db2.gz NUNKSMZOZKPDLA-UHFFFAOYSA-N 0 3 224.254 2.743 20 0 BFADHN CCCCCN1CCN(c2cccc(C)n2)CC1 ZINC001201725168 983431555 /nfs/dbraw/zinc/43/15/55/983431555.db2.gz IXULXIVRQIBTRH-UHFFFAOYSA-N 0 3 247.386 2.702 20 0 BFADHN CCCCCN(C)Cc1cnc2ccccn12 ZINC001201725586 983434567 /nfs/dbraw/zinc/43/45/67/983434567.db2.gz QXUMGKDVLRFKLY-UHFFFAOYSA-N 0 3 231.343 2.956 20 0 BFADHN CCCCCN1CCc2cnc(OC)cc2C1 ZINC001201744852 983449676 /nfs/dbraw/zinc/44/96/76/983449676.db2.gz PGDQJQUKYJAMBQ-UHFFFAOYSA-N 0 3 234.343 2.639 20 0 BFADHN CCCCCN1CCc2nc(Cl)ncc2C1 ZINC001201735190 983472677 /nfs/dbraw/zinc/47/26/77/983472677.db2.gz LPSCWLKNESPUHA-UHFFFAOYSA-N 0 3 239.750 2.678 20 0 BFADHN Cc1c(F)cc(-c2cccc(CN)n2)cc1F ZINC001242285711 983507375 /nfs/dbraw/zinc/50/73/75/983507375.db2.gz UVAQTOXDZJTXGP-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN CN1CCN(CC2CCCC2)Cc2cccnc21 ZINC001202166593 983579627 /nfs/dbraw/zinc/57/96/27/983579627.db2.gz CYMRUTCWPFQVTI-UHFFFAOYSA-N 0 3 245.370 2.524 20 0 BFADHN CC[C@H](C)[C@@H](C(=O)OC)N(C)CC1CCCC1 ZINC001202177849 983585676 /nfs/dbraw/zinc/58/56/76/983585676.db2.gz BJJFNYYXKDBMHI-AAEUAGOBSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1cccc(-c2cncc(CN(C)C)c2)c1O ZINC001242346737 983604291 /nfs/dbraw/zinc/60/42/91/983604291.db2.gz JEZOJTLXDJDKGW-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN C[C@]1(CF)CCN(CCC(F)(F)F)C1 ZINC001202308312 983620991 /nfs/dbraw/zinc/62/09/91/983620991.db2.gz ZPKLVEIFZGCBBK-MRVPVSSYSA-N 0 3 213.218 2.620 20 0 BFADHN [O-]c1c(F)cccc1-c1cccc2c1C[NH2+]CC2 ZINC001242350912 983622024 /nfs/dbraw/zinc/62/20/24/983622024.db2.gz ONFJUSBFYDONQI-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN C[NH2+]Cc1ccc(-c2cccc(F)c2[O-])cc1 ZINC001242352256 983627483 /nfs/dbraw/zinc/62/74/83/983627483.db2.gz DJUWFZVTUFPRHB-UHFFFAOYSA-N 0 3 231.270 2.918 20 0 BFADHN Cc1ccc(-c2cc(N(C)C)ccn2)cc1CO ZINC001242382762 983672890 /nfs/dbraw/zinc/67/28/90/983672890.db2.gz IQWYQFPFGRIJEY-UHFFFAOYSA-N 0 3 242.322 2.615 20 0 BFADHN Cc1cc(-c2ccc3c(n2)CNCC3)c(C)o1 ZINC001242405299 983695976 /nfs/dbraw/zinc/69/59/76/983695976.db2.gz YPTFILKJKMGLOO-UHFFFAOYSA-N 0 3 228.295 2.604 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2cnc(C)c(N)c2)n1 ZINC001242408698 983712069 /nfs/dbraw/zinc/71/20/69/983712069.db2.gz DDSGLHDKUAQJBK-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN CCSC1(CNCc2nc(C)cs2)CC1 ZINC000691800955 983768739 /nfs/dbraw/zinc/76/87/39/983768739.db2.gz OGHAWEKWMBTYQW-UHFFFAOYSA-N 0 3 242.413 2.827 20 0 BFADHN CC1CN(Cc2c[nH]c3c2cccc3F)C1 ZINC001137051645 983795681 /nfs/dbraw/zinc/79/56/81/983795681.db2.gz YVZZIMFORXSRSN-UHFFFAOYSA-N 0 3 218.275 2.759 20 0 BFADHN CC(C)n1nccc1-c1ccc2[nH]c(N)nc2c1 ZINC001242557074 983881334 /nfs/dbraw/zinc/88/13/34/983881334.db2.gz DIIXJKPRSAJXDT-UHFFFAOYSA-N 0 3 241.298 2.590 20 0 BFADHN CC(C)n1nccc1-c1ccc2nc(N)[nH]c2c1 ZINC001242557074 983881341 /nfs/dbraw/zinc/88/13/41/983881341.db2.gz DIIXJKPRSAJXDT-UHFFFAOYSA-N 0 3 241.298 2.590 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCc3ncsc3C2)C1 ZINC000339110535 983892841 /nfs/dbraw/zinc/89/28/41/983892841.db2.gz GOUFPUBBNRRCHD-NXEZZACHSA-N 0 3 222.357 2.690 20 0 BFADHN CCCCOC(=O)[C@@H](C)N1CCC[C@@H]1CCC ZINC001473859224 983896345 /nfs/dbraw/zinc/89/63/45/983896345.db2.gz DLQDGMVRMLUETR-OLZOCXBDSA-N 0 3 241.375 2.983 20 0 BFADHN CC(C)n1cc(CN2CC3CCC2CC3)cn1 ZINC001137079681 983917720 /nfs/dbraw/zinc/91/77/20/983917720.db2.gz ZWGUWJASELPGMT-UHFFFAOYSA-N 0 3 233.359 2.838 20 0 BFADHN Cc1csc(CN2C[C@@H](C)N(C)[C@@H](C)C2)c1 ZINC001137158234 984003087 /nfs/dbraw/zinc/00/30/87/984003087.db2.gz JKDDYIIDOXQXMN-TXEJJXNPSA-N 0 3 238.400 2.581 20 0 BFADHN CC(C)(O)c1ccc(-c2cc(N)ccn2)cc1 ZINC001242622823 984005302 /nfs/dbraw/zinc/00/53/02/984005302.db2.gz IREFDLYEBUQXRL-UHFFFAOYSA-N 0 3 228.295 2.558 20 0 BFADHN C[C@@H]1CCN1Cc1cc2ccccc2[nH]c1=O ZINC001137181526 984034001 /nfs/dbraw/zinc/03/40/01/984034001.db2.gz QAVHTTBPQRLOPV-SNVBAGLBSA-N 0 3 228.295 2.535 20 0 BFADHN c1c[nH]c(CN2C3CCCC2CCC3)c1 ZINC001204011107 984169538 /nfs/dbraw/zinc/16/95/38/984169538.db2.gz JLINIDZLMYVELG-UHFFFAOYSA-N 0 3 204.317 2.922 20 0 BFADHN Cc1ccc2nc(CN(C)C)ccc2c1 ZINC001137209687 984172469 /nfs/dbraw/zinc/17/24/69/984172469.db2.gz OVERUVXNJWJEOO-UHFFFAOYSA-N 0 3 200.285 2.605 20 0 BFADHN Clc1ccncc1CN1C[C@@H]2CCC[C@H]2C1 ZINC001137231290 984198261 /nfs/dbraw/zinc/19/82/61/984198261.db2.gz JJBVRKLRCYBBQA-QWRGUYRKSA-N 0 3 236.746 2.967 20 0 BFADHN CCCCC[C@H](O)CN[C@@H](C)c1ccccn1 ZINC001253549154 984201742 /nfs/dbraw/zinc/20/17/42/984201742.db2.gz NNEAIUWUSBLNGU-STQMWFEESA-N 0 3 236.359 2.673 20 0 BFADHN CCCCC[C@@H](O)CN[C@H]1COc2ccccc21 ZINC001253554244 984206284 /nfs/dbraw/zinc/20/62/84/984206284.db2.gz PHZPIKGQQIFYLE-OCCSQVGLSA-N 0 3 249.354 2.651 20 0 BFADHN c1csc(-c2ncccc2CN2CCCC2)n1 ZINC001242896007 984251620 /nfs/dbraw/zinc/25/16/20/984251620.db2.gz JQVDVJPYEPCSMY-UHFFFAOYSA-N 0 3 245.351 2.801 20 0 BFADHN COc1cc(C)ccc1-c1ccnc(CN)c1 ZINC001242980705 984351570 /nfs/dbraw/zinc/35/15/70/984351570.db2.gz DXHAEKFGSSPMQM-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN [NH3+]CCc1cccc(-c2ccc(F)c([O-])c2)c1 ZINC001243051621 984414280 /nfs/dbraw/zinc/41/42/80/984414280.db2.gz OWLIIRHYAYAEBI-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN Clc1ccc2c(c1)C[C@@H](NCc1cnoc1)C2 ZINC000718822524 984545753 /nfs/dbraw/zinc/54/57/53/984545753.db2.gz ATWXTSMAGXXSLG-ZDUSSCGKSA-N 0 3 248.713 2.585 20 0 BFADHN Cc1cnc(F)c(-c2cncc(CN(C)C)c2)c1 ZINC001243165430 984623245 /nfs/dbraw/zinc/62/32/45/984623245.db2.gz ATOUQDLXYUXDRQ-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN COc1cc(C)cc(-c2ccc(CN)nc2)c1 ZINC001243242662 984783299 /nfs/dbraw/zinc/78/32/99/984783299.db2.gz DIPCMJCLWXROKF-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN CCC[C@H](CC)N1CCN(C)c2ncccc2C1 ZINC001257262938 984862503 /nfs/dbraw/zinc/86/25/03/984862503.db2.gz OINWIMWTDMSBRA-AWEZNQCLSA-N 0 3 247.386 2.912 20 0 BFADHN CCC(CC)NC1(c2ccccc2C)COC1 ZINC001257292216 984871833 /nfs/dbraw/zinc/87/18/33/984871833.db2.gz VGAJTXKFMYZGIF-UHFFFAOYSA-N 0 3 233.355 2.999 20 0 BFADHN CCC[C@H](C)n1nc(CN)c2ccccc21 ZINC001257307657 984878619 /nfs/dbraw/zinc/87/86/19/984878619.db2.gz QYTSIOXPGJKVJJ-JTQLQIEISA-N 0 3 217.316 2.856 20 0 BFADHN CCC[C@H](C)NCC(=O)c1ccc(F)cc1F ZINC001257307427 984878818 /nfs/dbraw/zinc/87/88/18/984878818.db2.gz LXNOAFSZZRKJFR-VIFPVBQESA-N 0 3 241.281 2.926 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2cc[nH]c(=O)c2)n1 ZINC001243426366 984909307 /nfs/dbraw/zinc/90/93/07/984909307.db2.gz CDMVBIPVENPBJW-UHFFFAOYSA-N 0 3 225.251 2.639 20 0 BFADHN O=C1c2ccccc2CC[C@@H]1NC1CCCC1 ZINC001257349670 984920911 /nfs/dbraw/zinc/92/09/11/984920911.db2.gz WRNKVECWWSKXPA-AWEZNQCLSA-N 0 3 229.323 2.716 20 0 BFADHN CCCCCC[C@@H](C)N1CC[C@@](F)(CO)C1 ZINC001257363951 984929477 /nfs/dbraw/zinc/92/94/77/984929477.db2.gz SRBMHVJHYYWIJE-OLZOCXBDSA-N 0 3 231.355 2.752 20 0 BFADHN COC/C(C)=C/CN1CCC[C@@H]1c1ccncc1 ZINC000930109233 984947174 /nfs/dbraw/zinc/94/71/74/984947174.db2.gz GGQMUXDRYDEINZ-MYWXAZLQSA-N 0 3 246.354 2.811 20 0 BFADHN COC/C(C)=C\CN1CCC=C(c2ccco2)C1 ZINC000930442053 984955641 /nfs/dbraw/zinc/95/56/41/984955641.db2.gz MDNDHXPHMYMXKI-QPEQYQDCSA-N 0 3 247.338 2.961 20 0 BFADHN Nc1nc2cc(-c3ccc(F)c(N)c3)ccc2[nH]1 ZINC001243454964 984962501 /nfs/dbraw/zinc/96/25/01/984962501.db2.gz IVSJGVXENSLLKL-UHFFFAOYSA-N 0 3 242.257 2.533 20 0 BFADHN Nc1nc2ccc(-c3ccc(F)c(N)c3)cc2[nH]1 ZINC001243454964 984962505 /nfs/dbraw/zinc/96/25/05/984962505.db2.gz IVSJGVXENSLLKL-UHFFFAOYSA-N 0 3 242.257 2.533 20 0 BFADHN CC[C@H](C)N1CCCC(F)(F)[C@@H](F)C1 ZINC001257437609 985010963 /nfs/dbraw/zinc/01/09/63/985010963.db2.gz KLLCSYASYXQSSR-IUCAKERBSA-N 0 3 209.255 2.854 20 0 BFADHN CCCC[C@@H](CC)N1CCN(C(=O)CC)CC1 ZINC001257417252 984975222 /nfs/dbraw/zinc/97/52/22/984975222.db2.gz AVZVFHLMEOAJHL-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CCCC[C@H](CC)N1CCC(=O)[C@H](C)C1 ZINC001257418571 984979506 /nfs/dbraw/zinc/97/95/06/984979506.db2.gz NHYVQBCHISVIPF-NEPJUHHUSA-N 0 3 211.349 2.866 20 0 BFADHN CC[C@H](C)N1CCC[C@@H]1c1cc(C)on1 ZINC001257437043 985010455 /nfs/dbraw/zinc/01/04/55/985010455.db2.gz ANXSCBJCQDDJOG-JOYOIKCWSA-N 0 3 208.305 2.918 20 0 BFADHN CC[C@@H](C)N1CCC[C@@H]1c1cc(C)on1 ZINC001257437041 985011796 /nfs/dbraw/zinc/01/17/96/985011796.db2.gz ANXSCBJCQDDJOG-BXKDBHETSA-N 0 3 208.305 2.918 20 0 BFADHN CC[C@@H](C)N1CCc2nc(C)sc2C1 ZINC001257442245 985019128 /nfs/dbraw/zinc/01/91/28/985019128.db2.gz DRAWBEQDVLBEJO-MRVPVSSYSA-N 0 3 210.346 2.608 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N1C[C@@H](O)[C@@H](F)C1 ZINC001257475597 985093286 /nfs/dbraw/zinc/09/32/86/985093286.db2.gz GUEPFMHMMKXOCQ-QNWHQSFQSA-N 0 3 231.355 2.606 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N1C[C@@H](O)[C@@H](F)C1 ZINC001257475596 985094003 /nfs/dbraw/zinc/09/40/03/985094003.db2.gz GUEPFMHMMKXOCQ-MROQNXINSA-N 0 3 231.355 2.606 20 0 BFADHN C[NH+](C)Cc1ccnc(-c2cccc([O-])c2F)c1 ZINC001243546148 985094407 /nfs/dbraw/zinc/09/44/07/985094407.db2.gz KZICEYVKUXHYCR-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N1CC(C(=O)OC)C1 ZINC001257479072 985108115 /nfs/dbraw/zinc/10/81/15/985108115.db2.gz VYRFCGSSIRDLQW-NEPJUHHUSA-N 0 3 241.375 2.696 20 0 BFADHN Oc1cc(O)cc(CN2CC[C@H]3CCC[C@H]3C2)c1 ZINC001138325921 985211880 /nfs/dbraw/zinc/21/18/80/985211880.db2.gz YZXHFRTXUMBMDX-OLZOCXBDSA-N 0 3 247.338 2.720 20 0 BFADHN Cc1cnc(F)cc1-c1cc(CN(C)C)ccn1 ZINC001243785766 985339339 /nfs/dbraw/zinc/33/93/39/985339339.db2.gz ZKALCPMPFFRNIN-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN COC(=O)[C@H]1CCCN1[C@@H](C)CCC=C(C)C ZINC001258085671 985388824 /nfs/dbraw/zinc/38/88/24/985388824.db2.gz LMMCGAWPVBWQGW-QWHCGFSZSA-N 0 3 239.359 2.759 20 0 BFADHN CC(C)=CCC[C@H](C)N1CCOCC12CC2 ZINC001258096849 985394111 /nfs/dbraw/zinc/39/41/11/985394111.db2.gz VSYKLZHVNFOBMN-ZDUSSCGKSA-N 0 3 223.360 2.986 20 0 BFADHN CO[C@H]1CC=C(c2ccc3[nH]c(N)nc3c2)CC1 ZINC001243811157 985396993 /nfs/dbraw/zinc/39/69/93/985396993.db2.gz ZAZVHGWOSVMWHF-NSHDSACASA-N 0 3 243.310 2.727 20 0 BFADHN CO[C@H]1CC=C(c2ccc3nc(N)[nH]c3c2)CC1 ZINC001243811157 985397001 /nfs/dbraw/zinc/39/70/01/985397001.db2.gz ZAZVHGWOSVMWHF-NSHDSACASA-N 0 3 243.310 2.727 20 0 BFADHN C[C@H](N[C@@H]1COC[C@@H]1C)c1ccccc1F ZINC000688003074 985459807 /nfs/dbraw/zinc/45/98/07/985459807.db2.gz AMRNCVWRPRXREF-OUJBWJOFSA-N 0 3 223.291 2.511 20 0 BFADHN C[C@H](N[C@H]1COC[C@@H]1C)c1ccc(F)cc1F ZINC000688027510 985500111 /nfs/dbraw/zinc/50/01/11/985500111.db2.gz ZUNALMGNJRMLHE-RVBZMBCESA-N 0 3 241.281 2.650 20 0 BFADHN C[C@H](N[C@H]1COC[C@H]1C)c1cc(F)ccc1F ZINC000688026607 985500731 /nfs/dbraw/zinc/50/07/31/985500731.db2.gz VLLSHWNQRDKGPL-ZDMBXUJBSA-N 0 3 241.281 2.650 20 0 BFADHN FC1(F)CC2(CN(CCCC3CC3)C2)C1 ZINC000688058444 985540476 /nfs/dbraw/zinc/54/04/76/985540476.db2.gz PUKNYNYFDHDPMW-UHFFFAOYSA-N 0 3 215.287 2.908 20 0 BFADHN C[C@@H](Cc1ccco1)N1C[C@H](F)C[C@H]1C ZINC001258186551 985580853 /nfs/dbraw/zinc/58/08/53/985580853.db2.gz OSBYFDSNFASRIT-OUAUKWLOSA-N 0 3 211.280 2.643 20 0 BFADHN C[C@@H](Cc1ccco1)N1CCc2ccncc2C1 ZINC001258192100 985581174 /nfs/dbraw/zinc/58/11/74/985581174.db2.gz IDGQQCUKNJPWAD-LBPRGKRZSA-N 0 3 242.322 2.664 20 0 BFADHN CSCC[C@H](C)Nc1cccc(F)c1CN ZINC001258200093 985608150 /nfs/dbraw/zinc/60/81/50/985608150.db2.gz PMFSBMODJGVZJV-VIFPVBQESA-N 0 3 242.363 2.838 20 0 BFADHN C[C@H](N[C@H]1COC2(CCC2)C1)c1ccoc1 ZINC000688342243 985623593 /nfs/dbraw/zinc/62/35/93/985623593.db2.gz MHFJSLHXCCYQLW-CMPLNLGQSA-N 0 3 221.300 2.642 20 0 BFADHN c1cc(C2=CNCCC2)cc(N2CCCCC2)n1 ZINC001243949706 985641694 /nfs/dbraw/zinc/64/16/94/985641694.db2.gz PKKNJGMZPLVRDV-UHFFFAOYSA-N 0 3 243.354 2.796 20 0 BFADHN Cc1c2nc[nH]c2ccc1C1=CNCCC1 ZINC001243950539 985647535 /nfs/dbraw/zinc/64/75/35/985647535.db2.gz YNNGSWBNLUYTSC-UHFFFAOYSA-N 0 3 213.284 2.596 20 0 BFADHN CC(=O)Nc1cc(C)c(C)cc1C1=CNCCC1 ZINC001243951380 985656473 /nfs/dbraw/zinc/65/64/73/985656473.db2.gz VNQACAUFCMVCEO-UHFFFAOYSA-N 0 3 244.338 2.986 20 0 BFADHN COC(=O)c1cc(C)c(C2=CNCCC2)cc1C ZINC001243951913 985656616 /nfs/dbraw/zinc/65/66/16/985656616.db2.gz CQFPSVKGNOKWFE-UHFFFAOYSA-N 0 3 245.322 2.814 20 0 BFADHN CCOC(=O)c1cc(F)cc(C2=CNCCC2)c1 ZINC001243952753 985661210 /nfs/dbraw/zinc/66/12/10/985661210.db2.gz SUHSRDWYKBGQRG-UHFFFAOYSA-N 0 3 249.285 2.727 20 0 BFADHN Cc1nc2ccc(-c3ccnc(CN)c3)cc2o1 ZINC001243961491 985673217 /nfs/dbraw/zinc/67/32/17/985673217.db2.gz GVPXADKXPQZVMD-UHFFFAOYSA-N 0 3 239.278 2.657 20 0 BFADHN CSCC[C@@H](C)N1CCc2occc2C1 ZINC001258226093 985675199 /nfs/dbraw/zinc/67/51/99/985675199.db2.gz KJWQRSOEWGMSSD-SNVBAGLBSA-N 0 3 225.357 2.779 20 0 BFADHN Nc1ccnc(-c2ccc(-c3ncc[nH]3)cc2)c1 ZINC001243973728 985701362 /nfs/dbraw/zinc/70/13/62/985701362.db2.gz KXSFCFSTGHVCJW-UHFFFAOYSA-N 0 3 236.278 2.721 20 0 BFADHN CO[C@H]1CN([C@H](C)CC(C)(C)SC)C[C@@H]1F ZINC001258333813 985811939 /nfs/dbraw/zinc/81/19/39/985811939.db2.gz BCMAJDXZZUKRDW-VWYCJHECSA-N 0 3 249.395 2.575 20 0 BFADHN OC1(CN2CCC=C(Cl)C2)CCCCCC1 ZINC001460393849 985842264 /nfs/dbraw/zinc/84/22/64/985842264.db2.gz QHBVYTOMSNJABW-UHFFFAOYSA-N 0 3 243.778 2.900 20 0 BFADHN Clc1cc(CN2CCC[C@@H]3C[C@@H]32)ccn1 ZINC001138819381 985869106 /nfs/dbraw/zinc/86/91/06/985869106.db2.gz AFEFFUXNGUNDFF-MNOVXSKESA-N 0 3 222.719 2.719 20 0 BFADHN Cc1cc2cc(-c3ccc(CN)nc3)ccc2[nH]1 ZINC001244242273 985898628 /nfs/dbraw/zinc/89/86/28/985898628.db2.gz SUPZRHWGCYEQRD-UHFFFAOYSA-N 0 3 237.306 2.997 20 0 BFADHN COc1cc(CN(C)CC2CC=CC2)sn1 ZINC001460837551 985905965 /nfs/dbraw/zinc/90/59/65/985905965.db2.gz XSXAJSSJIWGZDN-UHFFFAOYSA-N 0 3 238.356 2.550 20 0 BFADHN Cc1c(N)ccnc1-c1cnccc1C1CC1 ZINC001244276100 985911194 /nfs/dbraw/zinc/91/11/94/985911194.db2.gz BWOIYWDCIXDZJI-UHFFFAOYSA-N 0 3 225.295 2.912 20 0 BFADHN Cc1cc(C2CC2)ccc1-c1cc(CN)ncn1 ZINC001244274931 985911220 /nfs/dbraw/zinc/91/12/20/985911220.db2.gz NDWMIJLHFUUBJO-UHFFFAOYSA-N 0 3 239.322 2.788 20 0 BFADHN CCc1cc(-c2cc(N(C)C)ccn2)ccc1N ZINC001244282017 985923189 /nfs/dbraw/zinc/92/31/89/985923189.db2.gz IJGPIUQQJXREKF-UHFFFAOYSA-N 0 3 241.338 2.959 20 0 BFADHN C[C@@H]1C[C@H](n2ccc(CN)n2)CC(C)(C)C1 ZINC001258687788 986011275 /nfs/dbraw/zinc/01/12/75/986011275.db2.gz UOCWVTSMZBBCBG-PWSUYJOCSA-N 0 3 221.348 2.729 20 0 BFADHN CCn1cnc(CNCCCCC(C)C)c1 ZINC001461230214 986024871 /nfs/dbraw/zinc/02/48/71/986024871.db2.gz OMACJWPCJPVAKB-UHFFFAOYSA-N 0 3 223.364 2.819 20 0 BFADHN CCC1(CNCc2cn3cccc(F)c3n2)CC1 ZINC001461269609 986031228 /nfs/dbraw/zinc/03/12/28/986031228.db2.gz QMFVPFFJQOUYAU-UHFFFAOYSA-N 0 3 247.317 2.753 20 0 BFADHN Cc1ccc(-c2cccc(CN(C)C)n2)c(N)c1 ZINC001244392816 986035512 /nfs/dbraw/zinc/03/55/12/986035512.db2.gz GQULYHKBVIKWOW-UHFFFAOYSA-N 0 3 241.338 2.701 20 0 BFADHN Cc1ccc(O)cc1-c1cc(CN(C)C)ccn1 ZINC001244447899 986098983 /nfs/dbraw/zinc/09/89/83/986098983.db2.gz BWGJWQUVVWIPNX-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN CCCC[C@@H](CCC)N1CC(=O)N(C)C[C@@H]1C ZINC001258767418 986148995 /nfs/dbraw/zinc/14/89/95/986148995.db2.gz QUXRTTKHDNKMDQ-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN Cl/C=C\CNCc1cccc2nsnc21 ZINC001461803987 986154565 /nfs/dbraw/zinc/15/45/65/986154565.db2.gz GCHIUZMJLFJFTF-DJWKRKHSSA-N 0 3 239.731 2.533 20 0 BFADHN Cl/C=C/CNCc1cccc2nsnc21 ZINC001461804008 986162756 /nfs/dbraw/zinc/16/27/56/986162756.db2.gz GCHIUZMJLFJFTF-GORDUTHDSA-N 0 3 239.731 2.533 20 0 BFADHN C/C=C(\NC=[NH2+])c1cc(C)c([O-])c(Cl)c1 ZINC001244530870 986231664 /nfs/dbraw/zinc/23/16/64/986231664.db2.gz BOZBMCIGWQRMRY-KMKOMSMNSA-N 0 3 224.691 2.911 20 0 BFADHN CCCn1cncc1CN(C(C)C)C1CC1 ZINC001461956288 986241624 /nfs/dbraw/zinc/24/16/24/986241624.db2.gz PLUGRIJTAXJZMQ-UHFFFAOYSA-N 0 3 221.348 2.666 20 0 BFADHN Cc1cc(C)c(N)c(-c2cc(N)c(C)cn2)c1 ZINC001244596618 986274744 /nfs/dbraw/zinc/27/47/44/986274744.db2.gz KZQBYERDBINIEE-UHFFFAOYSA-N 0 3 227.311 2.838 20 0 BFADHN CCCn1cncc1CN(C)C(C)(C)C ZINC001462372972 986368898 /nfs/dbraw/zinc/36/88/98/986368898.db2.gz GDCJGDDITBJTOC-UHFFFAOYSA-N 0 3 209.337 2.523 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CC[C@@H]3CCC[C@@H]32)[n-]1 ZINC001462273790 986334277 /nfs/dbraw/zinc/33/42/77/986334277.db2.gz OKMSFRGCMSDHHL-SRVKXCTJSA-N 0 3 248.374 2.596 20 0 BFADHN COc1nc(CN[C@@]2(C)CC2(C)C)ccc1C ZINC001462457934 986397311 /nfs/dbraw/zinc/39/73/11/986397311.db2.gz SOZIVKYNLIUBHM-AWEZNQCLSA-N 0 3 234.343 2.677 20 0 BFADHN CCOc1ccc(C)c(-c2cccc(CN)n2)c1 ZINC001244868556 986408871 /nfs/dbraw/zinc/40/88/71/986408871.db2.gz XITLEEMTNWCDGS-UHFFFAOYSA-N 0 3 242.322 2.914 20 0 BFADHN CC1(C)C[C@]1(C)CNCc1ncccc1F ZINC001462543957 986418339 /nfs/dbraw/zinc/41/83/39/986418339.db2.gz DOZXMIRBAZOTRQ-CYBMUJFWSA-N 0 3 222.307 2.747 20 0 BFADHN CCOc1ccc(CN(C)CC2CCC2)o1 ZINC001462635627 986470989 /nfs/dbraw/zinc/47/09/89/986470989.db2.gz MKTKORHOPIPBPK-UHFFFAOYSA-N 0 3 223.316 2.910 20 0 BFADHN Cc1c(O)cccc1-c1ccc2[nH]c(N)nc2c1 ZINC001244959418 986526696 /nfs/dbraw/zinc/52/66/96/986526696.db2.gz LXEKYEDZJHJNBI-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN Cc1c(O)cccc1-c1ccc2nc(N)[nH]c2c1 ZINC001244959418 986526709 /nfs/dbraw/zinc/52/67/09/986526709.db2.gz LXEKYEDZJHJNBI-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN NCc1cc(-c2cc(Cl)ccc2O)ccn1 ZINC001245052979 986610247 /nfs/dbraw/zinc/61/02/47/986610247.db2.gz ZDIUYMVZDMWLIV-UHFFFAOYSA-N 0 3 234.686 2.566 20 0 BFADHN NCc1cccc(-c2cc(F)ccc2Cl)n1 ZINC001245133297 986671867 /nfs/dbraw/zinc/67/18/67/986671867.db2.gz SCMLCZLVXQBRMY-UHFFFAOYSA-N 0 3 236.677 3.000 20 0 BFADHN Cc1c(CN2C[C@H]3CC[C@@H]2C3)nc2ccccn12 ZINC001137273088 986711983 /nfs/dbraw/zinc/71/19/83/986711983.db2.gz BQLXZLJFZBPHID-QWHCGFSZSA-N 0 3 241.338 2.627 20 0 BFADHN Cc1cnc(-c2cccc(Cl)c2N)cc1N ZINC001245177527 986717681 /nfs/dbraw/zinc/71/76/81/986717681.db2.gz FCXHWNCEFZBNSR-UHFFFAOYSA-N 0 3 233.702 2.875 20 0 BFADHN CCn1ncc2cc(-c3cc(N)ccn3)ccc21 ZINC001245235232 986756058 /nfs/dbraw/zinc/75/60/58/986756058.db2.gz ZBRXSOQZKFYNBF-UHFFFAOYSA-N 0 3 238.294 2.700 20 0 BFADHN C[C@@H]1C[C@@H](O)CCN1Cc1cc2ccccc2[nH]1 ZINC001137320013 986759939 /nfs/dbraw/zinc/75/99/39/986759939.db2.gz UMOWTYJOCDSQEJ-RISCZKNCSA-N 0 3 244.338 2.513 20 0 BFADHN Cc1cc(C)c(CN2CCC(F)CC2)[nH]1 ZINC001137323124 986771711 /nfs/dbraw/zinc/77/17/11/986771711.db2.gz YLRAGEAEBJCFED-UHFFFAOYSA-N 0 3 210.296 2.565 20 0 BFADHN Cc1cc(C)c(CN2CC[C@@]3(CC3(F)F)C2)[nH]1 ZINC001137329899 986787258 /nfs/dbraw/zinc/78/72/58/986787258.db2.gz UTJFHQRIYGIEEF-GFCCVEGCSA-N 0 3 240.297 2.863 20 0 BFADHN Cc1cc(C)c(CN2CC[C@]3(CC3(F)F)C2)[nH]1 ZINC001137329900 986788908 /nfs/dbraw/zinc/78/89/08/986788908.db2.gz UTJFHQRIYGIEEF-LBPRGKRZSA-N 0 3 240.297 2.863 20 0 BFADHN CC(C)(C)c1ccc(CN2CCCC2)[nH]1 ZINC001137370879 986834510 /nfs/dbraw/zinc/83/45/10/986834510.db2.gz SNPQGOCHJQVHGQ-UHFFFAOYSA-N 0 3 206.333 2.908 20 0 BFADHN Nc1nc2cc(-c3c[nH]c4cnccc34)ccc2[nH]1 ZINC001245395914 986835547 /nfs/dbraw/zinc/83/55/47/986835547.db2.gz OLZQGANDQZKLJX-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN Nc1nc2ccc(-c3c[nH]c4cnccc34)cc2[nH]1 ZINC001245395914 986835550 /nfs/dbraw/zinc/83/55/50/986835550.db2.gz OLZQGANDQZKLJX-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN CCN(CCOC)Cc1ccc(C(C)(C)C)[nH]1 ZINC001137370707 986835778 /nfs/dbraw/zinc/83/57/78/986835778.db2.gz PBRYYSIMYQXSQY-UHFFFAOYSA-N 0 3 238.375 2.781 20 0 BFADHN Cc1cc(CN2CC[C@@](C)(CF)C2)ccn1 ZINC001137408153 986884221 /nfs/dbraw/zinc/88/42/21/986884221.db2.gz AFNVLVFOIPSARN-ZDUSSCGKSA-N 0 3 222.307 2.572 20 0 BFADHN c1ncc(CN2CCC[C@@H]3CCCC[C@H]32)cn1 ZINC001137669165 986890356 /nfs/dbraw/zinc/89/03/56/986890356.db2.gz DGXRYHLYKZKSHD-UONOGXRCSA-N 0 3 231.343 2.631 20 0 BFADHN CCCC[C@H](C)NCc1nnc2cc(C)ccn21 ZINC001255310623 986901513 /nfs/dbraw/zinc/90/15/13/986901513.db2.gz ZXYQICNHYYCUSY-LBPRGKRZSA-N 0 3 246.358 2.706 20 0 BFADHN CCCC[C@@H](C)NCc1cncc(Cl)n1 ZINC001255314472 986906037 /nfs/dbraw/zinc/90/60/37/986906037.db2.gz KWGJSNCGNNSJQR-SECBINFHSA-N 0 3 227.739 2.798 20 0 BFADHN Cc1cc(F)c(-c2cccc(CN)n2)cc1F ZINC001245541635 986930865 /nfs/dbraw/zinc/93/08/65/986930865.db2.gz CZGSDZGFMFFWFV-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN [NH3+]Cc1ccc(-c2c([O-])cccc2F)cc1 ZINC001245652846 987007748 /nfs/dbraw/zinc/00/77/48/987007748.db2.gz GRYAVEPMQFCKEY-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN C[C@@H](CC(F)(F)F)NCc1ccnc(F)c1 ZINC001255641591 987037406 /nfs/dbraw/zinc/03/74/06/987037406.db2.gz KNUHGVKXJNYFGV-ZETCQYMHSA-N 0 3 236.212 2.651 20 0 BFADHN C[C@H](CC(F)(F)F)N1CCC[C@]2(CCO2)C1 ZINC001255654554 987043530 /nfs/dbraw/zinc/04/35/30/987043530.db2.gz FHRPAIORKAKYKR-ZJUUUORDSA-N 0 3 237.265 2.582 20 0 BFADHN Cc1nc(-c2ccc3nncn3c2)cc2[nH]ccc21 ZINC001245659869 987049238 /nfs/dbraw/zinc/04/92/38/987049238.db2.gz RRQNDPURFCAYLI-UHFFFAOYSA-N 0 3 249.277 2.581 20 0 BFADHN Cc1nc(Cl)ccc1-c1nccc(N)c1C ZINC001245767379 987077472 /nfs/dbraw/zinc/07/74/72/987077472.db2.gz DKFFGSQBSORJAD-UHFFFAOYSA-N 0 3 233.702 2.996 20 0 BFADHN Cc1nc(Cl)ccc1-c1ccc(CN)nc1 ZINC001245773680 987081135 /nfs/dbraw/zinc/08/11/35/987081135.db2.gz KCEQLCUHZNQZFR-UHFFFAOYSA-N 0 3 233.702 2.564 20 0 BFADHN Cc1nc(Cl)ccc1-c1ccnc(CN)c1 ZINC001245773603 987082296 /nfs/dbraw/zinc/08/22/96/987082296.db2.gz UJFUNBHUUVFOIW-UHFFFAOYSA-N 0 3 233.702 2.564 20 0 BFADHN CCOC1CCC(N2Cc3ccncc3C2)CC1 ZINC001256443035 987225276 /nfs/dbraw/zinc/22/52/76/987225276.db2.gz WBERPMYEYPUWEI-UHFFFAOYSA-N 0 3 246.354 2.745 20 0 BFADHN Cc1nonc1CN[C@@H]1CCCC(C)(C)CC1 ZINC000697620623 987362070 /nfs/dbraw/zinc/36/20/70/987362070.db2.gz MPIFWBGKAMIKCG-LLVKDONJSA-N 0 3 237.347 2.827 20 0 BFADHN c1ccc([C@H]2CCCCN2CC2=NOCC2)cc1 ZINC001473354889 987401021 /nfs/dbraw/zinc/40/10/21/987401021.db2.gz NHZMUSJKZHGNFX-OAHLLOKOSA-N 0 3 244.338 2.990 20 0 BFADHN C[C@@H]1CCC[C@@H](CN(C2CC2)C2COC2)C1 ZINC001473498006 987455701 /nfs/dbraw/zinc/45/57/01/987455701.db2.gz QRUMMSGYOXQLDT-VXGBXAGGSA-N 0 3 223.360 2.676 20 0 BFADHN COc1cc(CN2C3CCC2CC3)ccc1F ZINC001116437853 987572210 /nfs/dbraw/zinc/57/22/10/987572210.db2.gz VSXFKIVDWIBDOV-UHFFFAOYSA-N 0 3 235.302 2.961 20 0 BFADHN Cc1cc(CN2CC[C@H](c3ccccc3)C2)[nH]n1 ZINC001116439444 987574139 /nfs/dbraw/zinc/57/41/39/987574139.db2.gz AHXPNFTYMBHTSY-AWEZNQCLSA-N 0 3 241.338 2.708 20 0 BFADHN CCC[C@@H]1CCCN1Cc1cccc(F)n1 ZINC001473859357 987591097 /nfs/dbraw/zinc/59/10/97/987591097.db2.gz IIKASHVSJCLBMW-GFCCVEGCSA-N 0 3 222.307 2.985 20 0 BFADHN Cc1cccnc1[C@H](C)NCCOC(C)(C)C ZINC001473879294 987602114 /nfs/dbraw/zinc/60/21/14/987602114.db2.gz GSYFOHVMUFYMHI-LBPRGKRZSA-N 0 3 236.359 2.856 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)OCC[C@H]2C)c(C)n1 ZINC001473892553 987608922 /nfs/dbraw/zinc/60/89/22/987608922.db2.gz BPSXMXTWALOKJA-CHWSQXEVSA-N 0 3 248.370 2.698 20 0 BFADHN c1cc(CN[C@H]2C=CCCC2)c2c(c1)OCCO2 ZINC001474053195 987636457 /nfs/dbraw/zinc/63/64/57/987636457.db2.gz MSABBEJXMWIVDR-ZDUSSCGKSA-N 0 3 245.322 2.656 20 0 BFADHN CCn1ccnc1CNC[C@@H]1C[C@H]1C1CCCC1 ZINC001474301652 987684174 /nfs/dbraw/zinc/68/41/74/987684174.db2.gz NLBAHHJLIJSWKL-KBPBESRZSA-N 0 3 247.386 2.819 20 0 BFADHN CCN(CCC[C@H](C)O)Cc1ccccc1F ZINC001116738218 987690278 /nfs/dbraw/zinc/69/02/78/987690278.db2.gz ATFPILDNOYZNHZ-LBPRGKRZSA-N 0 3 239.334 2.809 20 0 BFADHN CN(Cc1cn(C2CC2)cn1)CC1CCCC1 ZINC001474333490 987692233 /nfs/dbraw/zinc/69/22/33/987692233.db2.gz MTRZMXCAXLEXBZ-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN C[C@H]1CN(Cc2cccn2C(F)F)C[C@@H]1C ZINC001474369124 987699922 /nfs/dbraw/zinc/69/99/22/987699922.db2.gz FRUYETXBVXEORD-UWVGGRQHSA-N 0 3 228.286 2.971 20 0 BFADHN Cc1ccc([C@@H](C)CN2CC(O)(C(C)C)C2)cc1 ZINC001474568069 987762330 /nfs/dbraw/zinc/76/23/30/987762330.db2.gz VEJVILMOPQPRKN-AWEZNQCLSA-N 0 3 247.382 2.801 20 0 BFADHN Fc1nccc(Cl)c1CN1CC[C@H]2C[C@H]2C1 ZINC001474639518 987788725 /nfs/dbraw/zinc/78/87/25/987788725.db2.gz HHPNIKJMOUEXKV-IUCAKERBSA-N 0 3 240.709 2.716 20 0 BFADHN Cc1nn(C(C)C)cc1CNC/C=C/C1CC1 ZINC001117706763 987869847 /nfs/dbraw/zinc/86/98/47/987869847.db2.gz OBKGHXKJRZZSDK-SNAWJCMRSA-N 0 3 233.359 2.828 20 0 BFADHN CCC1(O)CCN(Cc2cccn2C2CC2)CC1 ZINC001117743292 987885273 /nfs/dbraw/zinc/88/52/73/987885273.db2.gz BUJKRXKRZKTATA-UHFFFAOYSA-N 0 3 248.370 2.560 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CCC(F)(F)[C@H](N)C1 ZINC001246582571 988121475 /nfs/dbraw/zinc/12/14/75/988121475.db2.gz NVVZVWXCNVMCAT-VXGBXAGGSA-N 0 3 246.345 2.790 20 0 BFADHN COC(=O)c1cccc(CN2CC[C@@H]2C(C)C)c1 ZINC001322476434 988292248 /nfs/dbraw/zinc/29/22/48/988292248.db2.gz WOAGLHKRVDJCLV-CQSZACIVSA-N 0 3 247.338 2.704 20 0 BFADHN CN[C@@H]1CCN1[C@H]1CCC[C@@H](c2ccccc2)C1 ZINC001246894845 988663453 /nfs/dbraw/zinc/66/34/53/988663453.db2.gz CKICKJANZUVRGA-PMPSAXMXSA-N 0 3 244.382 2.964 20 0 BFADHN CN[C@H]1CCN1[C@H](C)Cc1cccc(Cl)c1 ZINC001246897180 988669312 /nfs/dbraw/zinc/66/93/12/988669312.db2.gz IKTVSVDLWFNDDP-ZWNOBZJWSA-N 0 3 238.762 2.522 20 0 BFADHN CN[C@H]1CCN1[C@@H]1CCC[C@@H](c2ccccc2)C1 ZINC001246901387 988673382 /nfs/dbraw/zinc/67/33/82/988673382.db2.gz CKICKJANZUVRGA-BZUAXINKSA-N 0 3 244.382 2.964 20 0 BFADHN CCCCN(Cc1cccnc1N(C)C)C1CC1 ZINC001324346013 988807568 /nfs/dbraw/zinc/80/75/68/988807568.db2.gz RBJDCRVTILKSQV-UHFFFAOYSA-N 0 3 247.386 2.912 20 0 BFADHN C(=C/C1CC1)\CNCc1cc2cccnc2o1 ZINC001324399959 988830288 /nfs/dbraw/zinc/83/02/88/988830288.db2.gz MMQMBNSWJLYCEC-HNQUOIGGSA-N 0 3 228.295 2.884 20 0 BFADHN C[C@@H](N)c1nc2cc(F)ccc2n1C(C)(C)C ZINC001247035898 988849252 /nfs/dbraw/zinc/84/92/52/988849252.db2.gz DXRQDWSMNHEPGZ-MRVPVSSYSA-N 0 3 235.306 2.950 20 0 BFADHN Cc1ncc([C@H](C)NC/C=C/C2CC2)c(C)n1 ZINC001331674981 988908751 /nfs/dbraw/zinc/90/87/51/988908751.db2.gz YIHULRXRHAOEAD-YEZKRMTDSA-N 0 3 231.343 2.710 20 0 BFADHN CC[C@H]1CCCN(Cc2cc(C)n[nH]2)CC1 ZINC001324746853 988958391 /nfs/dbraw/zinc/95/83/91/988958391.db2.gz OOAJCKAFNYRJHH-LBPRGKRZSA-N 0 3 221.348 2.730 20 0 BFADHN CO[C@H]1CN(Cc2cccn2C2CC2)CC[C@@H]1C ZINC001325118255 989091955 /nfs/dbraw/zinc/09/19/55/989091955.db2.gz UVNTXIOXMJBPAC-WFASDCNBSA-N 0 3 248.370 2.680 20 0 BFADHN c1ccc(-c2noc([C@H]3N[C@H]4CC[C@@H]3C4)n2)cc1 ZINC001248361169 989096591 /nfs/dbraw/zinc/09/65/91/989096591.db2.gz ZEKGVQVJJSWBME-WOPDTQHZSA-N 0 3 241.294 2.550 20 0 BFADHN CN(Cc1c2ccoc2ccc1F)CC1COC1 ZINC001248538048 989114603 /nfs/dbraw/zinc/11/46/03/989114603.db2.gz AFFSHULLSKRYJG-UHFFFAOYSA-N 0 3 249.285 2.650 20 0 BFADHN FCC1CCN(Cc2ccc3n2CCC3)CC1 ZINC001248823527 989181912 /nfs/dbraw/zinc/18/19/12/989181912.db2.gz AGFIWJBVGXBMPM-UHFFFAOYSA-N 0 3 236.334 2.616 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C(C)(C)C2)[nH]n1 ZINC001325435203 989186025 /nfs/dbraw/zinc/18/60/25/989186025.db2.gz PWWPAALFWVJFLA-SNVBAGLBSA-N 0 3 221.348 2.586 20 0 BFADHN C[C@H](NCCCC(F)F)c1cscn1 ZINC001119606571 989228218 /nfs/dbraw/zinc/22/82/18/989228218.db2.gz QMFJJAMOYVJZBO-ZETCQYMHSA-N 0 3 220.288 2.839 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1C)c1cn2c(n1)CCCC2 ZINC001119635933 989269824 /nfs/dbraw/zinc/26/98/24/989269824.db2.gz GMIXKNYWVDJFCL-GRYCIOLGSA-N 0 3 233.359 2.669 20 0 BFADHN COc1ccc2nc(CN3CCC[C@@H]3C)[nH]c2c1 ZINC001249411444 989276348 /nfs/dbraw/zinc/27/63/48/989276348.db2.gz YAKSVGVHLRXFED-JTQLQIEISA-N 0 3 245.326 2.556 20 0 BFADHN CC[C@H](C)c1nn(C)cc1CN1CCC[C@@H]2C[C@@H]21 ZINC001249410231 989277118 /nfs/dbraw/zinc/27/71/18/989277118.db2.gz QQYDERBLHSKAIB-SCRDCRAPSA-N 0 3 247.386 2.918 20 0 BFADHN c1cc2c(c(CN3CC[C@@]34CCOC4)c1)CCC2 ZINC001249459512 989280368 /nfs/dbraw/zinc/28/03/68/989280368.db2.gz XSHFTXFXZQVIJA-INIZCTEOSA-N 0 3 243.350 2.540 20 0 BFADHN CN(Cc1cc(C(C)(C)C)c[nH]1)C1CC1 ZINC001249476538 989290977 /nfs/dbraw/zinc/29/09/77/989290977.db2.gz WKPBPBJXPKIKGX-UHFFFAOYSA-N 0 3 206.333 2.906 20 0 BFADHN CO[C@H]1CCN(Cc2cc(F)cc3cc[nH]c32)C1 ZINC001249522705 989302271 /nfs/dbraw/zinc/30/22/71/989302271.db2.gz LNHYCSQQFSSCIO-ZDUSSCGKSA-N 0 3 248.301 2.528 20 0 BFADHN CCc1ncc(CN2CCC3(CC3)CC2)o1 ZINC001119691812 989321174 /nfs/dbraw/zinc/32/11/74/989321174.db2.gz RPOBDCONDXNZNE-UHFFFAOYSA-N 0 3 220.316 2.613 20 0 BFADHN COC(=O)c1cccc(CNC/C=C/C2CC2)c1 ZINC001326342953 989506739 /nfs/dbraw/zinc/50/67/39/989506739.db2.gz KMWCMEOFYHWQCY-HWKANZROSA-N 0 3 245.322 2.529 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)NC(C(C)C)C(C)C ZINC001122486323 990395819 /nfs/dbraw/zinc/39/58/19/990395819.db2.gz WYWFLIWXXHKBNY-RYUDHWBXSA-N 0 3 242.407 2.547 20 0 BFADHN CC(=O)Oc1cccc(CN2CCC[C@@H]3C[C@@H]32)c1 ZINC001138044977 990878391 /nfs/dbraw/zinc/87/83/91/990878391.db2.gz CDAJVOGWJKHBAN-HIFRSBDPSA-N 0 3 245.322 2.596 20 0 BFADHN CCOc1cccc(CN2CC([C@H](C)OC)C2)c1 ZINC001138102701 990882206 /nfs/dbraw/zinc/88/22/06/990882206.db2.gz YYIDNEFGGNQHMF-LBPRGKRZSA-N 0 3 249.354 2.552 20 0 BFADHN CCCCCN(C)Cc1cc(O)cc(O)c1 ZINC001138323127 990914980 /nfs/dbraw/zinc/91/49/80/990914980.db2.gz IAFKSTDIOPCKMR-UHFFFAOYSA-N 0 3 223.316 2.720 20 0 BFADHN C[C@H]1CCCN(Cc2cc(O)cc(O)c2)[C@H]1C ZINC001138328339 990918945 /nfs/dbraw/zinc/91/89/45/990918945.db2.gz GJNCPTWOJXZTPZ-QWRGUYRKSA-N 0 3 235.327 2.718 20 0 BFADHN CC[C@H]1CCCCN1Cc1cc(O)cc(O)c1 ZINC001138331183 990921302 /nfs/dbraw/zinc/92/13/02/990921302.db2.gz PYMOIVBOAJIWMA-LBPRGKRZSA-N 0 3 235.327 2.862 20 0 BFADHN CC[C@H]1CCCN(Cc2cc(O)cc(O)c2)C1 ZINC001138330936 990921875 /nfs/dbraw/zinc/92/18/75/990921875.db2.gz FKJRPYCHJLDMQM-NSHDSACASA-N 0 3 235.327 2.720 20 0 BFADHN Cc1c2ccccc2[nH]c1CN1CCCOCC1 ZINC001138396501 990936533 /nfs/dbraw/zinc/93/65/33/990936533.db2.gz BFONHAUFMBDXRW-UHFFFAOYSA-N 0 3 244.338 2.699 20 0 BFADHN COc1c(F)cc(CN(C)C(C)C)cc1F ZINC001138421075 990942622 /nfs/dbraw/zinc/94/26/22/990942622.db2.gz YLBSDVIJGCFONF-UHFFFAOYSA-N 0 3 229.270 2.814 20 0 BFADHN COc1cc(C)c(CN2CC[C@H]2C)cc1OC ZINC001138435741 990949055 /nfs/dbraw/zinc/94/90/55/990949055.db2.gz MDEZTAKHZFCZJF-LLVKDONJSA-N 0 3 235.327 2.606 20 0 BFADHN CC(C)C[C@H](C)C[C@@H](C)N1CCOCC1 ZINC001258062608 990957626 /nfs/dbraw/zinc/95/76/26/990957626.db2.gz KUPBVRJKBBEATB-QWHCGFSZSA-N 0 3 213.365 2.779 20 0 BFADHN CN(C)c1ccc(CN2CC(C)(C)C2)s1 ZINC001138490070 990958539 /nfs/dbraw/zinc/95/85/39/990958539.db2.gz ZNEDBBLOJPBTED-UHFFFAOYSA-N 0 3 224.373 2.656 20 0 BFADHN COCC1(NCc2ccc3scnc3c2)CC1 ZINC001308306532 990964083 /nfs/dbraw/zinc/96/40/83/990964083.db2.gz WGKDJGWZNBBJKR-UHFFFAOYSA-N 0 3 248.351 2.565 20 0 BFADHN CC(C)=CCC[C@H](C)n1cnc(CN)c1 ZINC001258077929 990977021 /nfs/dbraw/zinc/97/70/21/990977021.db2.gz OTHJWBLCUWTNRX-NSHDSACASA-N 0 3 207.321 2.649 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CCOC[C@@H]1C ZINC001258085291 990985937 /nfs/dbraw/zinc/98/59/37/990985937.db2.gz HJBHDHOZCWOFOY-OLZOCXBDSA-N 0 3 211.349 2.842 20 0 BFADHN CCCn1ncc(CN2CCC3(CC3)C2)c1C ZINC001138634435 990986641 /nfs/dbraw/zinc/98/66/41/990986641.db2.gz BTUZJZARSUPTNM-UHFFFAOYSA-N 0 3 233.359 2.587 20 0 BFADHN CC(C)=CCC[C@H](C)N1C[C@H](F)C[C@@H](CO)C1 ZINC001258085193 990989577 /nfs/dbraw/zinc/98/95/77/990989577.db2.gz GQLJXPXZIVYSKF-BFHYXJOUSA-N 0 3 243.366 2.774 20 0 BFADHN CC(C)=CCC[C@H](C)N1C[C@H](F)C[C@H](CO)C1 ZINC001258085197 990990138 /nfs/dbraw/zinc/99/01/38/990990138.db2.gz GQLJXPXZIVYSKF-MELADBBJSA-N 0 3 243.366 2.774 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CCO[C@H](C)C1 ZINC001258088721 990995259 /nfs/dbraw/zinc/99/52/59/990995259.db2.gz OAMHDARDAOWRIE-CHWSQXEVSA-N 0 3 211.349 2.842 20 0 BFADHN CCSc1ncc(CN2CCC[C@@H]3C[C@@H]32)cn1 ZINC001138658572 990996821 /nfs/dbraw/zinc/99/68/21/990996821.db2.gz VPUZOJZAQKGMAV-NEPJUHHUSA-N 0 3 249.383 2.573 20 0 BFADHN CCn1ccc(CN[C@H](C)[C@]23C[C@H]2CCCC3)n1 ZINC001308488424 991009942 /nfs/dbraw/zinc/00/99/42/991009942.db2.gz AWFZPHJZZQNWLH-NFAWXSAZSA-N 0 3 247.386 2.961 20 0 BFADHN c1cc2cc(CN3CC4CCC3CC4)cnc2[nH]1 ZINC001138740642 991028328 /nfs/dbraw/zinc/02/83/28/991028328.db2.gz FSSGISJGXMDWRA-UHFFFAOYSA-N 0 3 241.338 2.937 20 0 BFADHN C[C@@H](N)c1ccn(C2C[C@H](C)C[C@@H](C)C2)n1 ZINC001258152954 991037736 /nfs/dbraw/zinc/03/77/36/991037736.db2.gz DSMBUIKXSKNLOF-GMTAPVOTSA-N 0 3 221.348 2.900 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1cccnc1F ZINC001138791133 991052844 /nfs/dbraw/zinc/05/28/44/991052844.db2.gz QVVJJVXLARMCCZ-GFCCVEGCSA-N 0 3 222.307 2.841 20 0 BFADHN CCOC1CN(C2C[C@H](C)C[C@@H](C)C2)C1 ZINC001258164185 991055579 /nfs/dbraw/zinc/05/55/79/991055579.db2.gz RMQAMBQSEFEJJA-GHMZBOCLSA-N 0 3 211.349 2.532 20 0 BFADHN CCC1CCN(Cc2cccc3ccnn32)CC1 ZINC001138804727 991061793 /nfs/dbraw/zinc/06/17/93/991061793.db2.gz UEFCQEMWKUYKOA-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN C[C@H]1CCCN(Cc2cccc3ccnn32)C1 ZINC001138804430 991062345 /nfs/dbraw/zinc/06/23/45/991062345.db2.gz JDKGYWZWHRAIIR-LBPRGKRZSA-N 0 3 229.327 2.566 20 0 BFADHN CCCCCN(C)Cc1cccc2ccnn21 ZINC001138804821 991062578 /nfs/dbraw/zinc/06/25/78/991062578.db2.gz XVZMNXNZVWLWBY-UHFFFAOYSA-N 0 3 231.343 2.956 20 0 BFADHN FC[C@H]1CCCN(Cc2cccc3ccnn32)C1 ZINC001138806705 991063979 /nfs/dbraw/zinc/06/39/79/991063979.db2.gz OJENWSCUIOGFIW-GFCCVEGCSA-N 0 3 247.317 2.516 20 0 BFADHN F[C@@H]1CCCN(Cc2cccc3ccnn32)CC1 ZINC001138804525 991064350 /nfs/dbraw/zinc/06/43/50/991064350.db2.gz MSGOOIOLHBPNKA-GFCCVEGCSA-N 0 3 247.317 2.658 20 0 BFADHN CC(C)OC1CN(C2C[C@H](C)C[C@@H](C)C2)C1 ZINC001258171757 991070197 /nfs/dbraw/zinc/07/01/97/991070197.db2.gz SKNDCJFRSUZOOS-VXGBXAGGSA-N 0 3 225.376 2.920 20 0 BFADHN c1cc2cccc(CN3CCC4(CC4)CC3)n2n1 ZINC001138808981 991070645 /nfs/dbraw/zinc/07/06/45/991070645.db2.gz CMTFSATYGUYJSR-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN CC(=O)[C@@H]1CCN(Cc2ccc3occc3c2)C1 ZINC001138825176 991073873 /nfs/dbraw/zinc/07/38/73/991073873.db2.gz NYFIETMSZICGLU-CQSZACIVSA-N 0 3 243.306 2.844 20 0 BFADHN COc1nc(CN2CCCCCC2)ccc1C ZINC001308646940 991076208 /nfs/dbraw/zinc/07/62/08/991076208.db2.gz AORLTEKIXATDAI-UHFFFAOYSA-N 0 3 234.343 2.775 20 0 BFADHN CC(C)[C@H]1COCCN1[C@@H](C)Cc1ccco1 ZINC001258194164 991107071 /nfs/dbraw/zinc/10/70/71/991107071.db2.gz BYSKQZFSDOKNFH-GXTWGEPZSA-N 0 3 237.343 2.567 20 0 BFADHN C[C@H](Cc1ccco1)N1CCc2occc2C1 ZINC001258195361 991111382 /nfs/dbraw/zinc/11/13/82/991111382.db2.gz QCIQERJIHHPMSG-LLVKDONJSA-N 0 3 231.295 2.862 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2ncccc2F)C1 ZINC001308731559 991114093 /nfs/dbraw/zinc/11/40/93/991114093.db2.gz BHBALBLHHGKJAO-GHMZBOCLSA-N 0 3 222.307 2.747 20 0 BFADHN CSCC[C@@H](C)NCc1cnccc1Cl ZINC001258198903 991115147 /nfs/dbraw/zinc/11/51/47/991115147.db2.gz QQNXLQIFDYBCDH-SECBINFHSA-N 0 3 244.791 2.966 20 0 BFADHN CCOc1ccc(CN(C)C)cc1OCC ZINC001138970851 991115940 /nfs/dbraw/zinc/11/59/40/991115940.db2.gz LXVLIAVCZJVVPE-UHFFFAOYSA-N 0 3 223.316 2.546 20 0 BFADHN CSCC[C@H](C)n1nc(CN)c2ccccc21 ZINC001258202764 991120088 /nfs/dbraw/zinc/12/00/88/991120088.db2.gz ULQLJRWNBSZDBZ-JTQLQIEISA-N 0 3 249.383 2.809 20 0 BFADHN CCCn1cc(CN2CCC[C@H](F)CC2)cn1 ZINC001139037300 991127532 /nfs/dbraw/zinc/12/75/32/991127532.db2.gz JYPSLZJACATNPY-ZDUSSCGKSA-N 0 3 239.338 2.617 20 0 BFADHN CCCn1cc(CN2CCC[C@@H](F)CC2)cn1 ZINC001139037299 991127594 /nfs/dbraw/zinc/12/75/94/991127594.db2.gz JYPSLZJACATNPY-CYBMUJFWSA-N 0 3 239.338 2.617 20 0 BFADHN c1c2ccccc2oc1CN1C[C@@H]2CCO[C@@H]2C1 ZINC001139064788 991136254 /nfs/dbraw/zinc/13/62/54/991136254.db2.gz KDJIENXNNVAUMT-SWLSCSKDSA-N 0 3 243.306 2.654 20 0 BFADHN CN(C)C1(C)CN(Cc2cc3ccccc3o2)C1 ZINC001139065564 991138193 /nfs/dbraw/zinc/13/81/93/991138193.db2.gz YDFHLORACUQUOK-UHFFFAOYSA-N 0 3 244.338 2.569 20 0 BFADHN CSCC[C@H](C)N1CC[C@@](C)(F)[C@H](F)C1 ZINC001258216303 991146974 /nfs/dbraw/zinc/14/69/74/991146974.db2.gz WLHBIAKYMUKTAR-HBNTYKKESA-N 0 3 237.359 2.900 20 0 BFADHN CSCC[C@H](C)N1CCc2ccncc2C1 ZINC001258221902 991149405 /nfs/dbraw/zinc/14/94/05/991149405.db2.gz ISCRAKGMGTUCHO-NSHDSACASA-N 0 3 236.384 2.581 20 0 BFADHN CCN([C@@H](C)C(=O)OC)C1CCCCCCC1 ZINC001258240603 991186226 /nfs/dbraw/zinc/18/62/26/991186226.db2.gz AHFZIOXOIVBBFD-LBPRGKRZSA-N 0 3 241.375 2.983 20 0 BFADHN c1cc(CN2C[C@H]3CC[C@@H]2C3)cc2nsnc12 ZINC001139211407 991194415 /nfs/dbraw/zinc/19/44/15/991194415.db2.gz HXUBJPGIELMBBI-GXSJLCMTSA-N 0 3 245.351 2.676 20 0 BFADHN C[C@@H]1CCN(Cc2ccc3nsnc3c2)C1 ZINC001139210681 991196358 /nfs/dbraw/zinc/19/63/58/991196358.db2.gz RBVUCIDHESZSGF-SECBINFHSA-N 0 3 233.340 2.533 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CN1CCO[C@H](OC)C1 ZINC001308921312 991220658 /nfs/dbraw/zinc/22/06/58/991220658.db2.gz APQFWPPERIWDFO-MCIONIFRSA-N 0 3 241.375 2.508 20 0 BFADHN CN(Cc1c2c(nn1C)CCCC2)CC1CCC1 ZINC001308965724 991239264 /nfs/dbraw/zinc/23/92/64/991239264.db2.gz JAHXVFSGNHPNFM-UHFFFAOYSA-N 0 3 247.386 2.531 20 0 BFADHN CSC(C)(C)C[C@H](C)N1CCC(=O)[C@@H](C)C1 ZINC001258334369 991259019 /nfs/dbraw/zinc/25/90/19/991259019.db2.gz FLOYUGUZUHUSOC-QWRGUYRKSA-N 0 3 243.416 2.818 20 0 BFADHN CC(C)C1(O)CN(CC[C@@H]2CCC[C@H](C)C2)C1 ZINC001559806450 991267765 /nfs/dbraw/zinc/26/77/65/991267765.db2.gz WNYDVRLBWQAVOP-KBPBESRZSA-N 0 3 239.403 2.906 20 0 BFADHN CC1CC(N(C)Cc2cc(Cl)ccn2)C1 ZINC001139559893 991271164 /nfs/dbraw/zinc/27/11/64/991271164.db2.gz TUHZIVHPVNLKED-UHFFFAOYSA-N 0 3 224.735 2.965 20 0 BFADHN COc1ccncc1CN1CCC12CCCCC2 ZINC001139607096 991283764 /nfs/dbraw/zinc/28/37/64/991283764.db2.gz ZVJLATNZKNZPTL-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN Cc1cc(O)cc(C)c1CN1CCSCC1 ZINC001139709737 991330730 /nfs/dbraw/zinc/33/07/30/991330730.db2.gz JILGCHWIRYFWMU-UHFFFAOYSA-N 0 3 237.368 2.558 20 0 BFADHN CCC1(CN2CCc3c(F)cccc3C2)COC1 ZINC001202963695 991342913 /nfs/dbraw/zinc/34/29/13/991342913.db2.gz FMYLJKWMDNXNIL-UHFFFAOYSA-N 0 3 249.329 2.610 20 0 BFADHN COCc1ccc(CN2CCC(F)CC2)s1 ZINC001139824105 991379434 /nfs/dbraw/zinc/37/94/34/991379434.db2.gz OLNWBATYTVHPDL-UHFFFAOYSA-N 0 3 243.347 2.828 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1Cc1ccnc(F)c1 ZINC001139833854 991384957 /nfs/dbraw/zinc/38/49/57/991384957.db2.gz SCAUETPYBKPYMQ-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN CCCCC[C@@H](CC)N1CCN(C)C(=O)[C@H]1C ZINC001258445424 991388021 /nfs/dbraw/zinc/38/80/21/991388021.db2.gz HKXDKAYLZYCWMZ-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN CCCCC[C@@H](CC)N(C)[C@H](C)C(=O)OC ZINC001258447373 991392594 /nfs/dbraw/zinc/39/25/94/991392594.db2.gz ZIPHAKOGGMGPKX-VXGBXAGGSA-N 0 3 229.364 2.839 20 0 BFADHN COc1ccccc1C(=O)CN[C@H](C)CC1CC1 ZINC001258451328 991399545 /nfs/dbraw/zinc/39/95/45/991399545.db2.gz JXWQWQXGAOFGSI-LLVKDONJSA-N 0 3 247.338 2.656 20 0 BFADHN C[C@@H]1CCN1Cc1cc2ccccc2n1C ZINC001139868673 991407550 /nfs/dbraw/zinc/40/75/50/991407550.db2.gz UZLYDCDNHDCDFC-LLVKDONJSA-N 0 3 214.312 2.773 20 0 BFADHN CN(Cc1cc(CO)ccc1F)CC1CCC1 ZINC001560200888 991413461 /nfs/dbraw/zinc/41/34/61/991413461.db2.gz RONUGOXNCWMIMO-UHFFFAOYSA-N 0 3 237.318 2.550 20 0 BFADHN CN(Cc1cnc(Cl)nc1)CC(C)(C)C ZINC001139990232 991470787 /nfs/dbraw/zinc/47/07/87/991470787.db2.gz LPFAQRGMNZETMS-UHFFFAOYSA-N 0 3 227.739 2.608 20 0 BFADHN F[C@H]1CCN(C2CCN(c3ccccc3)CC2)C1 ZINC001258510934 991474075 /nfs/dbraw/zinc/47/40/75/991474075.db2.gz WARCILCXBGAQOC-ZDUSSCGKSA-N 0 3 248.345 2.699 20 0 BFADHN CC1(C)CC[C@@H](NC2(c3cccnn3)CC2)C1 ZINC001258595694 991487516 /nfs/dbraw/zinc/48/75/16/991487516.db2.gz IFNNSNSCKGBLGI-LLVKDONJSA-N 0 3 231.343 2.634 20 0 BFADHN CC1(C)CC[C@H](N2CCC(=O)[C@@H](F)CC2)C1 ZINC001258602483 991488649 /nfs/dbraw/zinc/48/86/49/991488649.db2.gz LIRIMKCUABIVQV-QWRGUYRKSA-N 0 3 227.323 2.568 20 0 BFADHN C1=CCN(Cc2ccccc2-c2cncnc2)C1 ZINC001140016451 991490474 /nfs/dbraw/zinc/49/04/74/991490474.db2.gz KKJOUTOOASGVLD-UHFFFAOYSA-N 0 3 237.306 2.515 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(O)cc2Cl)C1 ZINC001140081721 991514343 /nfs/dbraw/zinc/51/43/43/991514343.db2.gz AQAXSIOAQGDYPP-SECBINFHSA-N 0 3 225.719 2.887 20 0 BFADHN CC(C)OC1CN([C@H]2CCC(C)(C)C2)C1 ZINC001258607777 991525782 /nfs/dbraw/zinc/52/57/82/991525782.db2.gz PPOSCIPWHUAWSI-NSHDSACASA-N 0 3 211.349 2.674 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(F)n2)[C@@H](C)C1 ZINC001140134349 991537063 /nfs/dbraw/zinc/53/70/63/991537063.db2.gz SUPNESJLGDOSAT-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CN(Cc2cccc(F)n2)C2(CC2)C1 ZINC001140134050 991538549 /nfs/dbraw/zinc/53/85/49/991538549.db2.gz BQJSJIHEONQZSA-SNVBAGLBSA-N 0 3 220.291 2.595 20 0 BFADHN COc1cc(CN2CCC[C@H]3C[C@H]32)ccc1C ZINC001140161798 991546362 /nfs/dbraw/zinc/54/63/62/991546362.db2.gz BGKFJQXSLBWZTF-UONOGXRCSA-N 0 3 231.339 2.988 20 0 BFADHN CCn1ccc(CN2C[C@@H](C)CCC[C@H]2C)n1 ZINC001560687482 991554118 /nfs/dbraw/zinc/55/41/18/991554118.db2.gz MBNIGROQRDCCHF-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN CN1CCC[C@H](c2nnc(CC3CCCC3)o2)C1 ZINC001258640638 991583557 /nfs/dbraw/zinc/58/35/57/991583557.db2.gz OITQTJOOXQTDFX-LBPRGKRZSA-N 0 3 249.358 2.612 20 0 BFADHN Cc1cc(CN2CCCSCC2)cc(C)n1 ZINC001560744518 991584396 /nfs/dbraw/zinc/58/43/96/991584396.db2.gz OCHFRWXDHUTZRJ-UHFFFAOYSA-N 0 3 236.384 2.637 20 0 BFADHN CO[C@@H]1CN(Cc2cc(C)nc(C)c2)CC[C@@H]1C ZINC001560762306 991588628 /nfs/dbraw/zinc/58/86/28/991588628.db2.gz UWROLTPDSFPAHZ-XHDPSFHLSA-N 0 3 248.370 2.555 20 0 BFADHN CCC[C@@H](Cc1ccccc1)N1C[C@@H]2C[C@H]1CO2 ZINC001258663676 991600626 /nfs/dbraw/zinc/60/06/26/991600626.db2.gz WSCFZPYLKGWLTR-JYJNAYRXSA-N 0 3 245.366 2.871 20 0 BFADHN CCCCC[C@@H](CCC)N1CC(=O)N[C@@H](C)C1 ZINC001258668561 991609002 /nfs/dbraw/zinc/60/90/02/991609002.db2.gz WMBRYZVZGNMSKG-QWHCGFSZSA-N 0 3 240.391 2.556 20 0 BFADHN COC1(C)CN(C[C@@H](C)C2CCCCC2)C1 ZINC001560814815 991610716 /nfs/dbraw/zinc/61/07/16/991610716.db2.gz IVGBTJAOAGWGIR-GFCCVEGCSA-N 0 3 225.376 2.924 20 0 BFADHN CCCCC[C@@H](CCC)N1CCN(C#N)CC1 ZINC001258670423 991612628 /nfs/dbraw/zinc/61/26/28/991612628.db2.gz ARQDLYXTUBTRGZ-CQSZACIVSA-N 0 3 237.391 2.834 20 0 BFADHN CC[C@@H](CN1CC(O)(C(C)C)C1)c1ccccc1 ZINC001560875440 991632779 /nfs/dbraw/zinc/63/27/79/991632779.db2.gz PKGKAEPGZJZXDM-AWEZNQCLSA-N 0 3 247.382 2.883 20 0 BFADHN CCCN(C)Cc1nc(Cl)ccc1C ZINC001140441188 991637208 /nfs/dbraw/zinc/63/72/08/991637208.db2.gz HFJDZYVSTCKGAW-UHFFFAOYSA-N 0 3 212.724 2.885 20 0 BFADHN Cc1cc(CN(C)C2CC(F)(F)C2)ccc1O ZINC001140574944 991677088 /nfs/dbraw/zinc/67/70/88/991677088.db2.gz WPELZGISUFDSHL-UHFFFAOYSA-N 0 3 241.281 2.930 20 0 BFADHN C[C@H](Cc1cccc(Cl)c1)N[C@@H]1C[C@H]1F ZINC001258739492 991687567 /nfs/dbraw/zinc/68/75/67/991687567.db2.gz CGDSTLKJTSIFFB-GGZOMVNGSA-N 0 3 227.710 2.971 20 0 BFADHN C[C@H](Cc1cccc(Cl)c1)n1ccc(CN)n1 ZINC001258738531 991690251 /nfs/dbraw/zinc/69/02/51/991690251.db2.gz VIHDDAOBZJTNKY-SNVBAGLBSA-N 0 3 249.745 2.799 20 0 BFADHN CCCC[C@@H](CCC)N(C)[C@H](C)C(=O)OC ZINC001258767208 991691898 /nfs/dbraw/zinc/69/18/98/991691898.db2.gz PLMWCJHSQDQGCA-VXGBXAGGSA-N 0 3 229.364 2.839 20 0 BFADHN CCCC[C@H](CCC)N(CC)CC(=O)OC ZINC001258764449 991724525 /nfs/dbraw/zinc/72/45/25/991724525.db2.gz QXZWCHNZUGGLBO-LBPRGKRZSA-N 0 3 229.364 2.840 20 0 BFADHN CC[C@H](C[C@@H](C)CC)n1cnc(CN)c1 ZINC001258779958 991750049 /nfs/dbraw/zinc/75/00/49/991750049.db2.gz ZFLCDOCPSCSEMM-CMPLNLGQSA-N 0 3 209.337 2.729 20 0 BFADHN CCCCN(CN1C(=O)CC1(C)C)[C@H](C)CC ZINC001319002037 991756366 /nfs/dbraw/zinc/75/63/66/991756366.db2.gz XXAXKVPBXINZOR-GFCCVEGCSA-N 0 3 240.391 2.855 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)N1CCc2c(cnn2C)C1 ZINC001258796978 991785259 /nfs/dbraw/zinc/78/52/59/991785259.db2.gz IGMCZPCBUOMLHI-TZMCWYRMSA-N 0 3 249.402 2.993 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)N1CC2(CCO2)C1 ZINC001258798140 991794302 /nfs/dbraw/zinc/79/43/02/991794302.db2.gz RCRBJBDAKBNAJS-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN CO[C@H]1CN(C2CCC(C(C)C)CC2)C[C@@H]1F ZINC001258802909 991804891 /nfs/dbraw/zinc/80/48/91/991804891.db2.gz FQUPHXSJDNCUJK-HOAMVYINSA-N 0 3 243.366 2.870 20 0 BFADHN C[C@H]1CCC[C@@H](N2CC3(C2)CCCCO3)C1 ZINC001258819155 991835760 /nfs/dbraw/zinc/83/57/60/991835760.db2.gz OVTOZILWBVDPRK-QWHCGFSZSA-N 0 3 223.360 2.820 20 0 BFADHN COCCN(C)c1c(C)cnc2ccccc21 ZINC001319313946 991840367 /nfs/dbraw/zinc/84/03/67/991840367.db2.gz JLSXORZANHEYFB-UHFFFAOYSA-N 0 3 230.311 2.626 20 0 BFADHN CC(C)OC1CN([C@H]2CCC[C@H](C)C2)C1 ZINC001258828346 991854471 /nfs/dbraw/zinc/85/44/71/991854471.db2.gz LGZKCPCDSCYOQA-RYUDHWBXSA-N 0 3 211.349 2.674 20 0 BFADHN CC[C@H](Cc1ccccc1)N[C@@H]1C[C@H]1F ZINC001258839434 991872981 /nfs/dbraw/zinc/87/29/81/991872981.db2.gz ZLUMVNYDDGXRHK-JHJVBQTASA-N 0 3 207.292 2.708 20 0 BFADHN CC[C@H](Cc1ccccc1)N1CCO[C@@H](C)C1 ZINC001258845926 991878815 /nfs/dbraw/zinc/87/88/15/991878815.db2.gz IYNNLPPOHUDSDT-DZGCQCFKSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@@H](Cc1ccccc1)N(C1CC1)C1COC1 ZINC001258851472 991882292 /nfs/dbraw/zinc/88/22/92/991882292.db2.gz PWEFWEDPYOYUQN-AWEZNQCLSA-N 0 3 245.366 2.871 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCC[C@H](C)C2)[nH]n1 ZINC001319687360 991931018 /nfs/dbraw/zinc/93/10/18/991931018.db2.gz GMAVEXQKFMIKIN-GWCFXTLKSA-N 0 3 221.348 2.729 20 0 BFADHN Cc1ncc(CN2CC[C@@H]3CCCC[C@@H]3C2)cn1 ZINC001319682955 991932219 /nfs/dbraw/zinc/93/22/19/991932219.db2.gz ZULGVJLORMAASF-LSDHHAIUSA-N 0 3 245.370 2.797 20 0 BFADHN CCn1cc(CN2CC[C@@H]3CCCC[C@H]3C2)cn1 ZINC001319924754 992034973 /nfs/dbraw/zinc/03/49/73/992034973.db2.gz LXXZAYCICFHULK-GJZGRUSLSA-N 0 3 247.386 2.915 20 0 BFADHN CC1(C)CN(Cc2cccc3nccn32)C1(C)C ZINC001320638576 992286522 /nfs/dbraw/zinc/28/65/22/992286522.db2.gz REIDZBZVQNBBHP-UHFFFAOYSA-N 0 3 243.354 2.955 20 0 BFADHN Cc1cnc2ccccc2c1N[C@@H]1CCSC1 ZINC001321071895 992448348 /nfs/dbraw/zinc/44/83/48/992448348.db2.gz FSZNICLIRALOGW-LLVKDONJSA-N 0 3 244.363 2.883 20 0 BFADHN Cc1cnc2ccccc2c1N[C@H]1CCSC1 ZINC001321071899 992449602 /nfs/dbraw/zinc/44/96/02/992449602.db2.gz FSZNICLIRALOGW-NSHDSACASA-N 0 3 244.363 2.883 20 0 BFADHN CS[C@H]1CC[C@H](N(C)Cc2cnc(C)o2)C1 ZINC001261828497 992526670 /nfs/dbraw/zinc/52/66/70/992526670.db2.gz MHFPHBRMHUZHFE-JQWIXIFHSA-N 0 3 240.372 2.699 20 0 BFADHN COC[C@H](NCCCC(C)(F)F)c1ccco1 ZINC001262256730 992818842 /nfs/dbraw/zinc/81/88/42/992818842.db2.gz SNPAXNQOKAMHQI-JTQLQIEISA-N 0 3 247.285 2.992 20 0 BFADHN CCc1ncc(CN(CC)CCC2CC2)o1 ZINC001262259551 992822090 /nfs/dbraw/zinc/82/20/90/992822090.db2.gz NNGVIDFUVWCXRO-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN CC1=CCN(CCCC(F)(F)F)CC1 ZINC001262354123 992856010 /nfs/dbraw/zinc/85/60/10/992856010.db2.gz ZJOFVTLLEIQZAP-UHFFFAOYSA-N 0 3 207.239 2.981 20 0 BFADHN COc1cccc(F)c1CNCC(C)(C)C ZINC001262367220 992863417 /nfs/dbraw/zinc/86/34/17/992863417.db2.gz MQTBBVHDJIAGHI-UHFFFAOYSA-N 0 3 225.307 2.970 20 0 BFADHN C[C@@H]1CC(n2cnc3c2CNCC3)C[C@@H](C)C1 ZINC000379976420 993304334 /nfs/dbraw/zinc/30/43/34/993304334.db2.gz OHOBWRVIANDBRJ-QWRGUYRKSA-N 0 3 233.359 2.526 20 0 BFADHN [O-]c1ccc(C[N@@H+]2CCC3(CCCC3)C2)nc1 ZINC000383122567 993483113 /nfs/dbraw/zinc/48/31/13/993483113.db2.gz HDVBFQVIZLZBDB-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN [O-]c1ccc(C[N@H+]2CCC3(CCCC3)C2)nc1 ZINC000383122567 993483116 /nfs/dbraw/zinc/48/31/16/993483116.db2.gz HDVBFQVIZLZBDB-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN Oc1cc(F)cc(CN2CC3CCC2CC3)c1 ZINC000383715386 993511473 /nfs/dbraw/zinc/51/14/73/993511473.db2.gz UPOSCCILVYPMIF-UHFFFAOYSA-N 0 3 235.302 2.906 20 0 BFADHN CCN(CC)Cc1cc(Br)co1 ZINC000388379391 993733359 /nfs/dbraw/zinc/73/33/59/993733359.db2.gz JPFNIDVZIAHWLC-UHFFFAOYSA-N 0 3 232.121 2.884 20 0 BFADHN CCOC1CCC(NCc2cscn2)CC1 ZINC000701145816 993871970 /nfs/dbraw/zinc/87/19/70/993871970.db2.gz OBMJKZAACQAFKO-UHFFFAOYSA-N 0 3 240.372 2.580 20 0 BFADHN Fc1ccccc1CNC/C=C/C1CC1 ZINC000393487267 993960422 /nfs/dbraw/zinc/96/04/22/993960422.db2.gz LOXNHXHJZUTIFC-ONEGZZNKSA-N 0 3 205.276 2.882 20 0 BFADHN Cc1nc(C)c(CN(C)CCc2ccccc2)[nH]1 ZINC000724784584 994074006 /nfs/dbraw/zinc/07/40/06/994074006.db2.gz HBGUMBDBIFLROZ-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN COC[C@H](NCC1CC(C)C1)c1ccco1 ZINC001332864805 994372709 /nfs/dbraw/zinc/37/27/09/994372709.db2.gz ACBXJBUNZKCZSJ-MCIGGMRASA-N 0 3 223.316 2.603 20 0 BFADHN OCc1cc(N[C@@H]2C[C@H]2c2ccccc2)ccn1 ZINC001336891137 995116913 /nfs/dbraw/zinc/11/69/13/995116913.db2.gz CVVFYOUOCNTFOH-LSDHHAIUSA-N 0 3 240.306 2.542 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@@H]2[C@H](C1)C2(F)F ZINC001307597779 995412744 /nfs/dbraw/zinc/41/27/44/995412744.db2.gz QYKNEYPQWLPGBV-JLLWLGSASA-N 0 3 238.281 2.730 20 0 BFADHN CC(C)[C@H]1CN(CCCC2CC2)CCO1 ZINC001307608040 995414418 /nfs/dbraw/zinc/41/44/18/995414418.db2.gz HIIHHOAGAMJTFY-CYBMUJFWSA-N 0 3 211.349 2.533 20 0 BFADHN CC[C@@H]1C[C@H]1CN1C[C@H](C)O[C@@](C)(C(F)F)C1 ZINC001307947503 995505133 /nfs/dbraw/zinc/50/51/33/995505133.db2.gz UAXPYACMEAVZNA-SRRSOLGSSA-N 0 3 247.329 2.777 20 0 BFADHN CCC[C@H](C)NCc1c(Cl)ncn1CC ZINC001308206643 995598073 /nfs/dbraw/zinc/59/80/73/995598073.db2.gz OBPMQSVGLKVXEL-VIFPVBQESA-N 0 3 229.755 2.835 20 0 BFADHN COc1nsc(CNC[C@@H]2C[C@@H]2C)c1Cl ZINC001308200097 995598298 /nfs/dbraw/zinc/59/82/98/995598298.db2.gz CGHSWUVNFQIQND-BQBZGAKWSA-N 0 3 246.763 2.551 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CC[C@@H](CO)C2)o1 ZINC000296435461 398138334 /nfs/dbraw/zinc/13/83/34/398138334.db2.gz MBXHPDLPJSJHQX-TUAOUCFPSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ccc(F)cn1)C1CC1 ZINC000161434473 538915591 /nfs/dbraw/zinc/91/55/91/538915591.db2.gz FHPVWFBQCZLRJK-UWVGGRQHSA-N 0 3 222.307 2.917 20 0 BFADHN CCn1nccc1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000245506803 398139739 /nfs/dbraw/zinc/13/97/39/398139739.db2.gz OQVXVLKNZLYGTK-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN CCc1cc(N2CC[C@H](C)[C@@H](F)C2)ccn1 ZINC000649319792 398143251 /nfs/dbraw/zinc/14/32/51/398143251.db2.gz ALSRQAZHJLOBIU-GWCFXTLKSA-N 0 3 222.307 2.828 20 0 BFADHN COCC1CCN([C@@H](C)c2ccncc2)CC1 ZINC000602251315 349486618 /nfs/dbraw/zinc/48/66/18/349486618.db2.gz IBXVGWLVIVQHJQ-LBPRGKRZSA-N 0 3 234.343 2.501 20 0 BFADHN CCC(CC)(CNCc1ccncc1C)OC ZINC000296198696 168325475 /nfs/dbraw/zinc/32/54/75/168325475.db2.gz ZCPMCPHIJAVTCC-UHFFFAOYSA-N 0 3 236.359 2.685 20 0 BFADHN CO[C@H]1CCCC[C@H]1N[C@@H](C)c1cncs1 ZINC000123109739 398146136 /nfs/dbraw/zinc/14/61/36/398146136.db2.gz KGKJDJORZANRGY-AXFHLTTASA-N 0 3 240.372 2.751 20 0 BFADHN CCC(CC)(CO)CNCc1ccccc1F ZINC000161312209 168328469 /nfs/dbraw/zinc/32/84/69/168328469.db2.gz NBQBNUZACPYTCR-UHFFFAOYSA-N 0 3 239.334 2.714 20 0 BFADHN C[C@H](NC[C@H](O)C1CC1)c1cc2ccccc2o1 ZINC000071300023 398146383 /nfs/dbraw/zinc/14/63/83/398146383.db2.gz HSHMBGBCWFIOPG-GWCFXTLKSA-N 0 3 245.322 2.854 20 0 BFADHN C[C@H](NC[C@@H](O)C1CC1)c1cc2ccccc2o1 ZINC000071300026 398146414 /nfs/dbraw/zinc/14/64/14/398146414.db2.gz HSHMBGBCWFIOPG-GXFFZTMASA-N 0 3 245.322 2.854 20 0 BFADHN CCC(CC)(CO)NCc1cccc(F)c1F ZINC000053070602 168329722 /nfs/dbraw/zinc/32/97/22/168329722.db2.gz UHXCHSQFDCBYFD-UHFFFAOYSA-N 0 3 243.297 2.606 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1Cc1coc2ccccc12 ZINC000421377901 192322902 /nfs/dbraw/zinc/32/29/02/192322902.db2.gz SINFAZWXUWDZSE-YPMHNXCESA-N 0 3 245.322 2.778 20 0 BFADHN Cc1cc(CN2C[C@@H](C)C[C@H](CO)C2)cs1 ZINC000335609827 178006566 /nfs/dbraw/zinc/00/65/66/178006566.db2.gz FUOBNICYHUEFDN-JQWIXIFHSA-N 0 3 239.384 2.507 20 0 BFADHN Cc1cc(CN2[C@H](C)CCC[C@@H]2C)ncn1 ZINC000292394530 178009755 /nfs/dbraw/zinc/00/97/55/178009755.db2.gz ISGOVQKMKSAOQK-TXEJJXNPSA-N 0 3 219.332 2.548 20 0 BFADHN Cc1cc(CNC2CCC(C)CC2)no1 ZINC000044295982 178018762 /nfs/dbraw/zinc/01/87/62/178018762.db2.gz NMUCITNOFVEIAE-UHFFFAOYSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CNC2(C)CCCCC2)no1 ZINC000335157300 178018847 /nfs/dbraw/zinc/01/88/47/178018847.db2.gz CBWDQQWPJSZZQV-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN Cc1cc(CNC[C@@H](C)CC(C)C)on1 ZINC000290196777 178022532 /nfs/dbraw/zinc/02/25/32/178022532.db2.gz CPJWQYQVWVPIPS-JTQLQIEISA-N 0 3 210.321 2.755 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC(F)(F)C2)no1 ZINC000300863936 178023507 /nfs/dbraw/zinc/02/35/07/178023507.db2.gz KXXFIGBUGLYEAI-SECBINFHSA-N 0 3 230.258 2.508 20 0 BFADHN Cc1cc(CN[C@@H](C)CCC(C)C)on1 ZINC000044300499 178028748 /nfs/dbraw/zinc/02/87/48/178028748.db2.gz LBGNPWOWXQWEFO-JTQLQIEISA-N 0 3 210.321 2.897 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@@H]2CC2(C)C)on1 ZINC000309122632 178029394 /nfs/dbraw/zinc/02/93/94/178029394.db2.gz OLGHGCBSNCQBDQ-ONGXEEELSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1cc(CN[C@@H](CO)CC2CCC2)cs1 ZINC000296951245 178030807 /nfs/dbraw/zinc/03/08/07/178030807.db2.gz UAKZGRIKHUDXLD-CYBMUJFWSA-N 0 3 239.384 2.697 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccccc2C)n(C)n1 ZINC000089183317 178031666 /nfs/dbraw/zinc/03/16/66/178031666.db2.gz PEXQVDJNCSPHQQ-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN CC(C)[C@H](N[C@H](C)c1nccs1)C(C)(C)O ZINC000276951858 398172414 /nfs/dbraw/zinc/17/24/14/398172414.db2.gz VLLHGECYSPMQDC-ZJUUUORDSA-N 0 3 242.388 2.589 20 0 BFADHN COc1ncc(CNCC(C)(C)C(C)C)s1 ZINC000390462353 398172928 /nfs/dbraw/zinc/17/29/28/398172928.db2.gz CYPPRIPYTRRQAZ-UHFFFAOYSA-N 0 3 242.388 2.924 20 0 BFADHN Cc1cc(CN[C@@H]2CCO[C@H]2C2CC2)cs1 ZINC000336668254 178035278 /nfs/dbraw/zinc/03/52/78/178035278.db2.gz QZFJSGCWRDNQON-OLZOCXBDSA-N 0 3 237.368 2.714 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)nn1C ZINC000360340417 178035415 /nfs/dbraw/zinc/03/54/15/178035415.db2.gz ZJRULZMAOOQCMB-UHIISALHSA-N 0 3 235.375 2.643 20 0 BFADHN C[C@@H](N[C@@H]1CC1(C)C)c1ccncc1F ZINC000334243899 136215648 /nfs/dbraw/zinc/21/56/48/136215648.db2.gz RSLKMMLPPKDRQN-LDYMZIIASA-N 0 3 208.280 2.670 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1c(C)cc(C)cc1C ZINC000576218853 365525804 /nfs/dbraw/zinc/52/58/04/365525804.db2.gz MRJWKYZUPAWTBW-GJZGRUSLSA-N 0 3 233.355 2.879 20 0 BFADHN Cc1cc(CN[C@H]2CC3CCC2CC3)on1 ZINC000310035887 178038898 /nfs/dbraw/zinc/03/88/98/178038898.db2.gz UFKPPTNMRBKBGN-XIVSLSHWSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@@H]2CCCF)on1 ZINC000337994417 178040764 /nfs/dbraw/zinc/04/07/64/178040764.db2.gz KUSLQGWUYKDPEF-YPMHNXCESA-N 0 3 240.322 2.991 20 0 BFADHN CCc1cc(N2CCC[C@@H]3C[C@@H]32)ccn1 ZINC000649366148 398178989 /nfs/dbraw/zinc/17/89/89/398178989.db2.gz IDRUQVYERVBKJV-MFKMUULPSA-N 0 3 202.301 2.633 20 0 BFADHN Cc1ncsc1CN1CC[C@@]2(CC2(F)F)C1 ZINC000649908360 398183752 /nfs/dbraw/zinc/18/37/52/398183752.db2.gz MRVJARKOERIKRO-SNVBAGLBSA-N 0 3 244.310 2.683 20 0 BFADHN Cc1cccnc1CN1CC[C@@]2(CC2(F)F)C1 ZINC000649907737 398180956 /nfs/dbraw/zinc/18/09/56/398180956.db2.gz YXTODEQXYVYLJT-GFCCVEGCSA-N 0 3 238.281 2.621 20 0 BFADHN CC[C@H](NCc1ncc(C)s1)[C@@H]1C[C@@H]1C ZINC000322024362 398160118 /nfs/dbraw/zinc/16/01/18/398160118.db2.gz KYXXCTVMUVKHGW-GDPRMGEGSA-N 0 3 224.373 2.976 20 0 BFADHN Cc1cc(C[C@H](C)N[C@H](C)c2ccco2)[nH]n1 ZINC000090533001 178058624 /nfs/dbraw/zinc/05/86/24/178058624.db2.gz OCDSNAUILRJYBK-GXSJLCMTSA-N 0 3 233.315 2.593 20 0 BFADHN Cc1cc(C[C@H](C)N[C@H](C)c2ccco2)n[nH]1 ZINC000090533001 178058626 /nfs/dbraw/zinc/05/86/26/178058626.db2.gz OCDSNAUILRJYBK-GXSJLCMTSA-N 0 3 233.315 2.593 20 0 BFADHN CCOCCN[C@H](CC)c1cccc(OC)c1 ZINC000161901944 349513601 /nfs/dbraw/zinc/51/36/01/349513601.db2.gz XOSJKKLPQGGZEA-CQSZACIVSA-N 0 3 237.343 2.772 20 0 BFADHN Cc1cc(Cl)cc(CN(C)CCCCO)c1 ZINC000353152496 178063247 /nfs/dbraw/zinc/06/32/47/178063247.db2.gz XBGFOZUFLXCOGJ-UHFFFAOYSA-N 0 3 241.762 2.853 20 0 BFADHN Cc1cc(Cl)cc(CN2C[C@@H](O)C[C@@H]2C)c1 ZINC000353145078 178064350 /nfs/dbraw/zinc/06/43/50/178064350.db2.gz LIZAPXNILPFVKO-GWCFXTLKSA-N 0 3 239.746 2.604 20 0 BFADHN Cc1cc(Cl)ccc1CN(CCO)C1CC1 ZINC000136155837 178066445 /nfs/dbraw/zinc/06/64/45/178066445.db2.gz LFCIVALXCOPSIQ-UHFFFAOYSA-N 0 3 239.746 2.605 20 0 BFADHN Cc1cc(Cl)ccc1CN(C)[C@H]1CCOC1 ZINC000136187099 178067010 /nfs/dbraw/zinc/06/70/10/178067010.db2.gz ATRHJOYTGDDJSN-ZDUSSCGKSA-N 0 3 239.746 2.869 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1ccncc1 ZINC000453614574 398165735 /nfs/dbraw/zinc/16/57/35/398165735.db2.gz PIUXFMFVXOQVKC-KGLIPLIRSA-N 0 3 218.344 2.996 20 0 BFADHN Cc1cc(F)ccc1CCNCc1ccccn1 ZINC000094950458 178083611 /nfs/dbraw/zinc/08/36/11/178083611.db2.gz WPYGZAYIUKFLRZ-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN Cc1cc(F)ccc1CNCC1=CCCOC1 ZINC000288494789 178084716 /nfs/dbraw/zinc/08/47/16/178084716.db2.gz RFYBWIGQBZCCFS-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN Cc1cc(F)ccc1CN1[C@@H]2CC[C@H]1CC(O)C2 ZINC000126704449 178084742 /nfs/dbraw/zinc/08/47/42/178084742.db2.gz ABMMDOWXGOJFTQ-YIONKMFJSA-N 0 3 249.329 2.622 20 0 BFADHN CN(CC/C=C/c1ccccc1)Cc1cn[nH]c1 ZINC000649385710 398191853 /nfs/dbraw/zinc/19/18/53/398191853.db2.gz KODVECZGSOWLMH-WEVVVXLNSA-N 0 3 241.338 2.945 20 0 BFADHN Cc1cc(N(C)C(=O)C(C)C(F)(F)F)ccn1 ZINC000336406787 178092956 /nfs/dbraw/zinc/09/29/56/178092956.db2.gz GTWHFXSUSLBSMO-QMMMGPOBSA-N 0 3 246.232 2.551 20 0 BFADHN c1nc(CN[C@@H]2CC[C@@H]3CCC[C@@H]3C2)co1 ZINC000336692283 398192839 /nfs/dbraw/zinc/19/28/39/398192839.db2.gz XDSWICKPDIBISF-QJPTWQEYSA-N 0 3 220.316 2.733 20 0 BFADHN C[C@H](NCCCC(C)(C)C)c1ccncn1 ZINC000313281727 343981756 /nfs/dbraw/zinc/98/17/56/343981756.db2.gz LYSCFVZGNPJXRJ-NSHDSACASA-N 0 3 221.348 2.954 20 0 BFADHN C[C@@H]1C[C@@H](NCc2nn(C)c3ccccc23)[C@H]1C ZINC000385961192 398193137 /nfs/dbraw/zinc/19/31/37/398193137.db2.gz NXGGBKRSDPAMPV-NTZNESFSSA-N 0 3 243.354 2.707 20 0 BFADHN c1nc(CN[C@@H]2CC[C@H]3CCC[C@@H]3C2)co1 ZINC000336692279 398193195 /nfs/dbraw/zinc/19/31/95/398193195.db2.gz XDSWICKPDIBISF-IJLUTSLNSA-N 0 3 220.316 2.733 20 0 BFADHN CC[C@](C)(CNCc1ccc(F)cc1F)OC ZINC000322486689 398196094 /nfs/dbraw/zinc/19/60/94/398196094.db2.gz AGTYJFJKUQHXEP-CYBMUJFWSA-N 0 3 243.297 2.870 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2cc(C)ccn2)C1(C)C ZINC000649970680 398200125 /nfs/dbraw/zinc/20/01/25/398200125.db2.gz DHAFXOUAPIILJX-UKRRQHHQSA-N 0 3 248.370 2.683 20 0 BFADHN CC1(C)CCN(Cc2ccc3c(n2)CCC3)C1 ZINC000576243849 365536117 /nfs/dbraw/zinc/53/61/17/365536117.db2.gz GKXZSJRQDJSHPF-UHFFFAOYSA-N 0 3 230.355 2.802 20 0 BFADHN CCN1CCN(CCC2=CCCCC2)C[C@H]1C ZINC000649396023 398197888 /nfs/dbraw/zinc/19/78/88/398197888.db2.gz RZRTVOOZJMIYDE-CQSZACIVSA-N 0 3 236.403 2.903 20 0 BFADHN CC[C@@H](O)CN[C@H](C)c1ccc(Cl)c(F)c1 ZINC000162396098 538922169 /nfs/dbraw/zinc/92/21/69/538922169.db2.gz QIKYKHSBGZLNNA-PSASIEDQSA-N 0 3 245.725 2.901 20 0 BFADHN COCC[C@H](C)N1CCc2cc(F)ccc2C1 ZINC000271082978 538923541 /nfs/dbraw/zinc/92/35/41/538923541.db2.gz AFXXTLRCJRPRPH-NSHDSACASA-N 0 3 237.318 2.609 20 0 BFADHN CC[C@@H](F)CN[C@H](c1cccnc1)C1CC1 ZINC000336748482 398198740 /nfs/dbraw/zinc/19/87/40/398198740.db2.gz XXNFZDNQEDTJRK-OLZOCXBDSA-N 0 3 222.307 2.870 20 0 BFADHN Cc1cncc(CN2CC[C@@]3(CC3(F)F)C2)c1 ZINC000649908748 398184700 /nfs/dbraw/zinc/18/47/00/398184700.db2.gz VPJPDWUHSKUUKI-GFCCVEGCSA-N 0 3 238.281 2.621 20 0 BFADHN CN(C)CCSc1nccc2ccccc21 ZINC000647161553 398184889 /nfs/dbraw/zinc/18/48/89/398184889.db2.gz XUYHDTADBOSEBM-UHFFFAOYSA-N 0 3 232.352 2.889 20 0 BFADHN FC1(F)C[C@@]12CCN(CCOCC1CCC1)C2 ZINC000649908784 398185402 /nfs/dbraw/zinc/18/54/02/398185402.db2.gz WRFYAXILCFMWRB-GFCCVEGCSA-N 0 3 245.313 2.534 20 0 BFADHN Nc1c(F)cccc1CN1CCC2(CCC2)C1 ZINC000380573146 398201351 /nfs/dbraw/zinc/20/13/51/398201351.db2.gz JZRCIEWHBAXMKW-UHFFFAOYSA-N 0 3 234.318 2.784 20 0 BFADHN COc1ncccc1CNC[C@H](C)C(C)(C)C ZINC000162833600 538925325 /nfs/dbraw/zinc/92/53/25/538925325.db2.gz WPXGWXTYCWKYNJ-NSHDSACASA-N 0 3 236.359 2.862 20 0 BFADHN CC[C@H](CSC)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000293848674 398204896 /nfs/dbraw/zinc/20/48/96/398204896.db2.gz CLKLBWXJDWHALZ-GMTAPVOTSA-N 0 3 239.388 2.620 20 0 BFADHN CC(C)[C@H](CO)N[C@@H](C)c1ccc(F)c(F)c1 ZINC000092392958 398205249 /nfs/dbraw/zinc/20/52/49/398205249.db2.gz NTJHBOJZGPFGHI-ZANVPECISA-N 0 3 243.297 2.632 20 0 BFADHN CCC(CC)C(=O)Nc1cccc(CNC)c1 ZINC000036282707 168341309 /nfs/dbraw/zinc/34/13/09/168341309.db2.gz DLUPRNVRVIBSRL-UHFFFAOYSA-N 0 3 234.343 2.781 20 0 BFADHN COc1cc([C@@H](C)NC2CC2)ccc1F ZINC000084388429 398213846 /nfs/dbraw/zinc/21/38/46/398213846.db2.gz VFHMJYYUQBPHOA-MRVPVSSYSA-N 0 3 209.264 2.647 20 0 BFADHN Cc1ccncc1CN1CCCC[C@@H]1CC(N)=O ZINC000331032880 398215633 /nfs/dbraw/zinc/21/56/33/398215633.db2.gz WBLHVQVXWPVSHT-CYBMUJFWSA-N 0 3 247.342 2.670 20 0 BFADHN CO[C@@H](Cc1ccccc1)CN1CC=CCC1 ZINC000649417299 398216988 /nfs/dbraw/zinc/21/69/88/398216988.db2.gz WKKKJEWOVLKZLJ-HNNXBMFYSA-N 0 3 231.339 2.506 20 0 BFADHN Cc1cc(NCC2(C)COC2)c2ccccc2n1 ZINC000264927339 178156114 /nfs/dbraw/zinc/15/61/14/178156114.db2.gz VXPKLMPVPRZPEE-UHFFFAOYSA-N 0 3 242.322 2.992 20 0 BFADHN Cc1cc(NCC2(CO)CC2)c2ccccc2n1 ZINC000080687369 178157345 /nfs/dbraw/zinc/15/73/45/178157345.db2.gz GBPXXYZUMBDFCR-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN Cc1occc1CNCC(C)(C)[C@@H](O)C(C)C ZINC000414361399 398220293 /nfs/dbraw/zinc/22/02/93/398220293.db2.gz CCZWYGJLMFZSPZ-ZDUSSCGKSA-N 0 3 239.359 2.721 20 0 BFADHN CCc1ccc(CNCCc2cscn2)o1 ZINC000082626232 136221814 /nfs/dbraw/zinc/22/18/14/136221814.db2.gz OEAAARPQVCVKDO-UHFFFAOYSA-N 0 3 236.340 2.631 20 0 BFADHN c1ccc2nc(CNC3CCCC3)cnc2c1 ZINC000037186852 361737362 /nfs/dbraw/zinc/73/73/62/361737362.db2.gz QMHOOGIKDBEJNV-UHFFFAOYSA-N 0 3 227.311 2.662 20 0 BFADHN COc1ncc(CN[C@H]2CC23CCCC3)s1 ZINC000386984618 398223889 /nfs/dbraw/zinc/22/38/89/398223889.db2.gz CTSMAYQQIFZXSE-JTQLQIEISA-N 0 3 238.356 2.574 20 0 BFADHN Nc1c(F)cccc1CN[C@H]1CC12CCCC2 ZINC000386985121 398223917 /nfs/dbraw/zinc/22/39/17/398223917.db2.gz JCJIKROAXBTOTR-LBPRGKRZSA-N 0 3 234.318 2.830 20 0 BFADHN CCC(CC)CN(CC)Cc1cccnc1N ZINC000052726394 168351173 /nfs/dbraw/zinc/35/11/73/168351173.db2.gz ZFNYBIZPVNHAAS-UHFFFAOYSA-N 0 3 235.375 2.922 20 0 BFADHN CCOc1cccc(CNC[C@H](C)CC)n1 ZINC000421390424 192328165 /nfs/dbraw/zinc/32/81/65/192328165.db2.gz UQYKJPCBFPOMQB-LLVKDONJSA-N 0 3 222.332 2.616 20 0 BFADHN C/C(=C/c1ccccc1)CN1CC[C@@]12CCOC2 ZINC000421393071 192328008 /nfs/dbraw/zinc/32/80/08/192328008.db2.gz DDASSDWTZSFJSI-QBOMHBFWSA-N 0 3 243.350 2.955 20 0 BFADHN Cc1ccnc(CN2CCSC[C@@H]2C2CC2)c1 ZINC000650059243 398228949 /nfs/dbraw/zinc/22/89/49/398228949.db2.gz NALOFJXBSUVHCV-CQSZACIVSA-N 0 3 248.395 2.717 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@H]2C)s1 ZINC000076116398 398231894 /nfs/dbraw/zinc/23/18/94/398231894.db2.gz JPPGOLUGDZUALX-MRVPVSSYSA-N 0 3 210.346 2.744 20 0 BFADHN Cc1cc(C)c(CN2CC[C@@]23CCOC3)cc1C ZINC000421396178 192328901 /nfs/dbraw/zinc/32/89/01/192328901.db2.gz JYGLVUIIOHTDGO-INIZCTEOSA-N 0 3 245.366 2.977 20 0 BFADHN CN(Cc1cccc(C2CC2)c1)CC1(CO)CC1 ZINC000421395265 192329223 /nfs/dbraw/zinc/32/92/23/192329223.db2.gz PZCDZJGAKYBGSP-UHFFFAOYSA-N 0 3 245.366 2.768 20 0 BFADHN c1cc2c(cccc2CN2CC[C@@]23CCOC3)[nH]1 ZINC000421399060 192329608 /nfs/dbraw/zinc/32/96/08/192329608.db2.gz PKDQIBUPBXHEFP-HNNXBMFYSA-N 0 3 242.322 2.533 20 0 BFADHN CCc1ccc(CN[C@H]2CCO[C@H](CC)C2)o1 ZINC000421395124 192329871 /nfs/dbraw/zinc/32/98/71/192329871.db2.gz YEEOYUJKROGCMI-WCQYABFASA-N 0 3 237.343 2.889 20 0 BFADHN CCCN(Cc1cnc[nH]1)Cc1ccccc1 ZINC000179591587 259304340 /nfs/dbraw/zinc/30/43/40/259304340.db2.gz ZQKFGRGZWMOLQB-UHFFFAOYSA-N 0 3 229.327 2.822 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCCN2C)s1 ZINC000421399890 192330393 /nfs/dbraw/zinc/33/03/93/192330393.db2.gz BAKGECZTRKOMSY-GFCCVEGCSA-N 0 3 238.400 2.583 20 0 BFADHN C[C@H]1CCN(Cc2cccc(C3CC3)c2)C[C@H]1O ZINC000421359493 192332396 /nfs/dbraw/zinc/33/23/96/192332396.db2.gz WYTOHBAGHFFGAU-BLLLJJGKSA-N 0 3 245.366 2.767 20 0 BFADHN Cc1cc([C@@H](C)NCC2(C3CC3)CCC2)nn1C ZINC000337446281 178222295 /nfs/dbraw/zinc/22/22/95/178222295.db2.gz GTRCMTAUSQXJES-GFCCVEGCSA-N 0 3 247.386 2.959 20 0 BFADHN Cc1cc([C@@H](C)NCC(C)(C)CCO)oc1C ZINC000282393016 178222405 /nfs/dbraw/zinc/22/24/05/178222405.db2.gz XOLDIURLTQCHBG-LLVKDONJSA-N 0 3 239.359 2.956 20 0 BFADHN C[C@@H](NCC1(c2ccccc2)CC1)c1ncc[nH]1 ZINC000278841090 538934910 /nfs/dbraw/zinc/93/49/10/538934910.db2.gz DGRMXKTVYHGVME-GFCCVEGCSA-N 0 3 241.338 2.792 20 0 BFADHN Cc1cc([C@@H](C)NCCCC(C)(F)F)nn1C ZINC000297275705 178223854 /nfs/dbraw/zinc/22/38/54/178223854.db2.gz KZTXHLASAVAVFI-SNVBAGLBSA-N 0 3 245.317 2.815 20 0 BFADHN Cc1cc([C@@H](C)NCCC2(C)CC2)no1 ZINC000284885158 178224007 /nfs/dbraw/zinc/22/40/07/178224007.db2.gz BOKUYQCBONBUNG-SNVBAGLBSA-N 0 3 208.305 2.824 20 0 BFADHN Cc1cc([C@@H](C)NCCCn2cccn2)oc1C ZINC000282311994 178224137 /nfs/dbraw/zinc/22/41/37/178224137.db2.gz TXYJOZQXNITXCL-GFCCVEGCSA-N 0 3 247.342 2.834 20 0 BFADHN Cc1cc([C@@H](C)NCCC[C@H](C)CO)oc1C ZINC000282353271 178224570 /nfs/dbraw/zinc/22/45/70/178224570.db2.gz VVBWQPJDVXFKBA-CMPLNLGQSA-N 0 3 239.359 2.956 20 0 BFADHN Cc1cc([C@@H](C)NCCOCC2CC2)oc1C ZINC000282007745 178224682 /nfs/dbraw/zinc/22/46/82/178224682.db2.gz DGNNBDYTLMTAFY-LLVKDONJSA-N 0 3 237.343 2.974 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@H](O)C(C)C)oc1C ZINC000337390765 178224932 /nfs/dbraw/zinc/22/49/32/178224932.db2.gz HILLZXUWRNBQQT-YPMHNXCESA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](O)C(C)C)c(C)o1 ZINC000229972850 178227937 /nfs/dbraw/zinc/22/79/37/178227937.db2.gz BYUQGXNVVJREEZ-ZWNOBZJWSA-N 0 3 225.332 2.564 20 0 BFADHN Cc1nc(C)c(CNCC2(C)CCC2)o1 ZINC000382155796 361761582 /nfs/dbraw/zinc/76/15/82/361761582.db2.gz XTDDCDUALKKNPH-UHFFFAOYSA-N 0 3 208.305 2.571 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCCC[C@H]2O)c(C)o1 ZINC000226228641 178230425 /nfs/dbraw/zinc/23/04/25/178230425.db2.gz VLLQRUWKUUHPEI-LERXQTSPSA-N 0 3 237.343 2.851 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](CO)C(C)C)oc1C ZINC000336742016 178230780 /nfs/dbraw/zinc/23/07/80/178230780.db2.gz NWEDXXKTCJLOBO-PWSUYJOCSA-N 0 3 225.332 2.564 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)CCC2CC2)nn1C ZINC000337458867 178231514 /nfs/dbraw/zinc/23/15/14/178231514.db2.gz JVGIUWHWYGGYLW-CMPLNLGQSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCC[C@@H](C)C2)nn1C ZINC000337490321 178253638 /nfs/dbraw/zinc/25/36/38/178253638.db2.gz VQVJDHDJMKYNIH-KGYLQXTDSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cnc(CN(C)[C@@H]2CCC[C@@H](C)C2)cn1 ZINC000334494414 136226053 /nfs/dbraw/zinc/22/60/53/136226053.db2.gz RTTZPTGDXGUHAF-BXUZGUMPSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cc([C@H](C)NC2(C(C)C)CC2)nn1C ZINC000340476999 178244979 /nfs/dbraw/zinc/24/49/79/178244979.db2.gz JGSFFAALQCAWOK-NSHDSACASA-N 0 3 221.348 2.568 20 0 BFADHN CC1=C[C@H](C)CN(Cc2cccc3c2OCO3)C1 ZINC000430862017 398233582 /nfs/dbraw/zinc/23/35/82/398233582.db2.gz CLXYLWBHFYROSK-NSHDSACASA-N 0 3 245.322 2.813 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)Cn2cccn2)oc1C ZINC000337485959 178253772 /nfs/dbraw/zinc/25/37/72/178253772.db2.gz SMIIDLQJIJYXSW-RYUDHWBXSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@H](C)NCC2(CO)CCC2)oc1C ZINC000337461074 178247539 /nfs/dbraw/zinc/24/75/39/178247539.db2.gz KIUHHAUPJRBXCW-NSHDSACASA-N 0 3 237.343 2.710 20 0 BFADHN CC[C@H](O)CN1CC[C@H](C)C[C@@H]1c1ccco1 ZINC000076184228 398233782 /nfs/dbraw/zinc/23/37/82/398233782.db2.gz KQFICROVTNLLKK-RWMBFGLXSA-N 0 3 237.343 2.824 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](O)C(C)(C)C)c(C)o1 ZINC000302062923 178248087 /nfs/dbraw/zinc/24/80/87/178248087.db2.gz YPLOHTBCCWYOFL-GXFFZTMASA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](CO)C2CC2)c(C)o1 ZINC000353852747 178248862 /nfs/dbraw/zinc/24/88/62/178248862.db2.gz VSQSPRVBLCSZFR-GWCFXTLKSA-N 0 3 237.343 2.566 20 0 BFADHN COc1cc(C)nc(CN[C@@H](C)C2CCC2)c1 ZINC000165389270 538935448 /nfs/dbraw/zinc/93/54/48/538935448.db2.gz GXPGWDALEVDEDJ-NSHDSACASA-N 0 3 234.343 2.677 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCCSC2)no1 ZINC000290599390 178249244 /nfs/dbraw/zinc/24/92/44/178249244.db2.gz HDBIJOAKEPXSDW-QWRGUYRKSA-N 0 3 240.372 2.777 20 0 BFADHN Cc1cc([C@H](C)NCCn2ccnc2C)cs1 ZINC000294216206 178249315 /nfs/dbraw/zinc/24/93/15/178249315.db2.gz MYIMCTGQUBOUQK-NSHDSACASA-N 0 3 249.383 2.912 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CCCO2)oc1C ZINC000282155357 178249853 /nfs/dbraw/zinc/24/98/53/178249853.db2.gz LJWUIHLOXFCOGF-CMPLNLGQSA-N 0 3 223.316 2.726 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CC=CCC2)nn1C ZINC000281953449 178250489 /nfs/dbraw/zinc/25/04/89/178250489.db2.gz ARHKFGDXQNQOLF-STQMWFEESA-N 0 3 233.359 2.735 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](O)C(C)C)cs1 ZINC000294291212 178250527 /nfs/dbraw/zinc/25/05/27/178250527.db2.gz UGZNEPVXVRDGMH-JQWIXIFHSA-N 0 3 227.373 2.724 20 0 BFADHN Cc1cccc(Cl)c1CN1CC[C@H](O)[C@H]1C ZINC000650083663 398234095 /nfs/dbraw/zinc/23/40/95/398234095.db2.gz ZEOXRYNUKIFCCS-MFKMUULPSA-N 0 3 239.746 2.604 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC[C@H](C)C2)no1 ZINC000336754544 178256123 /nfs/dbraw/zinc/25/61/23/178256123.db2.gz IHGAAFGPXDFVKD-INTQDDNPSA-N 0 3 208.305 2.822 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CC3CCC2CC3)nn1C ZINC000337401396 178258354 /nfs/dbraw/zinc/25/83/54/178258354.db2.gz DCIYMYAOVPCSBD-CLTVBZQCSA-N 0 3 247.386 2.958 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCC[C@H]2C)no1 ZINC000282876754 178258804 /nfs/dbraw/zinc/25/88/04/178258804.db2.gz VLLULVBVKQFWEQ-MIMYLULJSA-N 0 3 208.305 2.822 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2COCC2(C)C)c(C)o1 ZINC000313007225 178259615 /nfs/dbraw/zinc/25/96/15/178259615.db2.gz RAENRYLIBLODNM-GWCFXTLKSA-N 0 3 237.343 2.972 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@H](O)C2(C)C)c(C)o1 ZINC000129348885 178259658 /nfs/dbraw/zinc/25/96/58/178259658.db2.gz HNFRKZGJBCTDBF-XDTLVQLUSA-N 0 3 237.343 2.706 20 0 BFADHN CN(C)Cc1cccc(-c2nccs2)c1 ZINC000649436556 398238286 /nfs/dbraw/zinc/23/82/86/398238286.db2.gz KJMWSKYVPWXJBB-UHFFFAOYSA-N 0 3 218.325 2.872 20 0 BFADHN Cc1coc(-c2cccc(CN(C)C)c2)n1 ZINC000649436510 398238609 /nfs/dbraw/zinc/23/86/09/398238609.db2.gz HWZLKGSWSUVNDO-UHFFFAOYSA-N 0 3 216.284 2.712 20 0 BFADHN CCC(CC)CN[C@@H](C)c1nnc2ccccn21 ZINC000035044320 168363083 /nfs/dbraw/zinc/36/30/83/168363083.db2.gz UQAJTPBAXCFUBU-NSHDSACASA-N 0 3 246.358 2.816 20 0 BFADHN C[C@]1(O)CCCN(Cc2ccc(F)cc2)CC1 ZINC000230377040 136228243 /nfs/dbraw/zinc/22/82/43/136228243.db2.gz KOCDIRLXNVVQQW-AWEZNQCLSA-N 0 3 237.318 2.563 20 0 BFADHN COc1cc([C@@H](C)N[C@@H]2C[C@H]2C2CCC2)ccn1 ZINC000576887530 398242899 /nfs/dbraw/zinc/24/28/99/398242899.db2.gz WHBMGJOJKPRBPC-DDTOSNHZSA-N 0 3 246.354 2.929 20 0 BFADHN Cc1cnc(CN[C@H]2CCC2(C)C)s1 ZINC000284576576 398245092 /nfs/dbraw/zinc/24/50/92/398245092.db2.gz YZXXEOFCJYGUCJ-VIFPVBQESA-N 0 3 210.346 2.730 20 0 BFADHN CCc1cccc(Cl)c1CNC[C@@H](O)CC ZINC000336775839 398240515 /nfs/dbraw/zinc/24/05/15/398240515.db2.gz CVAYJSCEURIKID-NSHDSACASA-N 0 3 241.762 2.763 20 0 BFADHN C[C@@H](O)[C@@H](C)N[C@@H](C)c1ccc(F)cc1Cl ZINC000336775828 398240653 /nfs/dbraw/zinc/24/06/53/398240653.db2.gz CUGWLBGBQCMKOP-HRDYMLBCSA-N 0 3 245.725 2.899 20 0 BFADHN C[C@@H](N[C@H]1COc2ccc(F)cc21)C1CC1 ZINC000309428231 398247835 /nfs/dbraw/zinc/24/78/35/398247835.db2.gz BAKKNKPBIZYGMF-PELKAZGASA-N 0 3 221.275 2.647 20 0 BFADHN Cc1cccc(C)c1CCN[C@@H](C)c1ncc[nH]1 ZINC000286172530 538943104 /nfs/dbraw/zinc/94/31/04/538943104.db2.gz PXSSHBJTYBXQFY-ZDUSSCGKSA-N 0 3 243.354 2.920 20 0 BFADHN CCC(CC)CN[C@H](C)c1nnc2ccccn21 ZINC000035044321 168365218 /nfs/dbraw/zinc/36/52/18/168365218.db2.gz UQAJTPBAXCFUBU-LLVKDONJSA-N 0 3 246.358 2.816 20 0 BFADHN CCCc1csc(CNCC[C@H](C)OC)n1 ZINC000336780044 398249961 /nfs/dbraw/zinc/24/99/61/398249961.db2.gz QFRVZPNQOIKEOE-JTQLQIEISA-N 0 3 242.388 2.610 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]1Cc1ccccc1)c1ncc[nH]1 ZINC000286174730 538943408 /nfs/dbraw/zinc/94/34/08/538943408.db2.gz QBDOEQIDUACGCN-FPMFFAJLSA-N 0 3 241.338 2.692 20 0 BFADHN Cc1cccc(CCN[C@@H](C)c2ncc[nH]2)c1 ZINC000286150744 538943475 /nfs/dbraw/zinc/94/34/75/538943475.db2.gz PKBNFMDZQNZDPC-LBPRGKRZSA-N 0 3 229.327 2.611 20 0 BFADHN C[C@H](NCC1(C)CCCC1)c1ncc[nH]1 ZINC000286277410 538944077 /nfs/dbraw/zinc/94/40/77/538944077.db2.gz VOXNTZGVKZEDFS-JTQLQIEISA-N 0 3 207.321 2.641 20 0 BFADHN Cc1ccc(-c2ccc(CNCCCO)o2)cc1 ZINC000237801224 178323707 /nfs/dbraw/zinc/32/37/07/178323707.db2.gz RJPIPLGQKFHVQY-UHFFFAOYSA-N 0 3 245.322 2.727 20 0 BFADHN Cc1ccc(-c2ccc(CNC[C@H](C)O)o2)cc1 ZINC000124997482 178324213 /nfs/dbraw/zinc/32/42/13/178324213.db2.gz LOVJWQRGZVIAIL-LBPRGKRZSA-N 0 3 245.322 2.725 20 0 BFADHN CC[C@@H](CN[C@@H]1CCc2ccc(F)cc21)OC ZINC000290227128 538946528 /nfs/dbraw/zinc/94/65/28/538946528.db2.gz RJUBWTJYZMZJKK-GXTWGEPZSA-N 0 3 237.318 2.828 20 0 BFADHN C[C@@]1(c2ccccc2)CCN(Cc2c[nH]cn2)C1 ZINC000334539285 136231043 /nfs/dbraw/zinc/23/10/43/136231043.db2.gz XYMXRBCVECJGKQ-OAHLLOKOSA-N 0 3 241.338 2.573 20 0 BFADHN C[C@@]1(c2ccccc2)CCN(Cc2cnc[nH]2)C1 ZINC000334539285 136231044 /nfs/dbraw/zinc/23/10/44/136231044.db2.gz XYMXRBCVECJGKQ-OAHLLOKOSA-N 0 3 241.338 2.573 20 0 BFADHN CC[C@@H](N[C@@H]1CC[C@H]1O)c1cccc(Cl)c1 ZINC000378759324 346826440 /nfs/dbraw/zinc/82/64/40/346826440.db2.gz AMHAKIQMOZACMZ-JHJVBQTASA-N 0 3 239.746 2.904 20 0 BFADHN C[C@H](N[C@H]1CCC[C@H]2C[C@H]21)c1nccs1 ZINC000378786466 346832514 /nfs/dbraw/zinc/83/25/14/346832514.db2.gz LNAHDTFCXSRSTK-MMWGEVLESA-N 0 3 222.357 2.982 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H]1C(F)F)c1cncc(F)c1 ZINC000378792060 346834090 /nfs/dbraw/zinc/83/40/90/346834090.db2.gz ODOOMOYPJVIQMF-UASFKTIASA-N 0 3 230.233 2.525 20 0 BFADHN CC(C)Cn1nccc1CN1CCC[C@H](C)C1 ZINC000647998666 398268816 /nfs/dbraw/zinc/26/88/16/398268816.db2.gz BTQYLOJTZXJHDG-ZDUSSCGKSA-N 0 3 235.375 2.771 20 0 BFADHN COc1ncncc1CN[C@H](C)C1CCCCC1 ZINC000647186897 398269055 /nfs/dbraw/zinc/26/90/55/398269055.db2.gz YQVWRNKXHIQJNH-LLVKDONJSA-N 0 3 249.358 2.544 20 0 BFADHN CN1CCC(Nc2nccc3sccc32)CC1 ZINC000035687769 538957257 /nfs/dbraw/zinc/95/72/57/538957257.db2.gz ZDTRVOSQCUBGON-UHFFFAOYSA-N 0 3 247.367 2.802 20 0 BFADHN CC(C)CC[C@H]1CCCN1Cc1cncnc1 ZINC000180203540 538957465 /nfs/dbraw/zinc/95/74/65/538957465.db2.gz JBYQGUOILZFIHP-CQSZACIVSA-N 0 3 233.359 2.877 20 0 BFADHN CCc1nn(C)cc1CN1CCCC(C)(C)C1 ZINC000179779765 538956262 /nfs/dbraw/zinc/95/62/62/538956262.db2.gz HWIYWSIQRDFXBL-UHFFFAOYSA-N 0 3 235.375 2.605 20 0 BFADHN Cc1cnn(C)c1CN[C@@H](C)c1cccc(C)c1 ZINC000394040488 259316543 /nfs/dbraw/zinc/31/65/43/259316543.db2.gz DPZBDCGLDBZMJJ-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Fc1cnccc1CNC[C@H]1CC=CCC1 ZINC000449104015 398274203 /nfs/dbraw/zinc/27/42/03/398274203.db2.gz DGBNFRHYAMFMBV-NSHDSACASA-N 0 3 220.291 2.667 20 0 BFADHN FC1(F)CC(CN2CCOC[C@H]2C2CCC2)C1 ZINC000335436786 136235100 /nfs/dbraw/zinc/23/51/00/136235100.db2.gz YRANHZDURSMZIB-LBPRGKRZSA-N 0 3 245.313 2.533 20 0 BFADHN CCSCC[C@H](C)N(C)Cc1cnc(C)o1 ZINC000600121904 342679595 /nfs/dbraw/zinc/67/95/95/342679595.db2.gz XQZNZVSEZVBETI-JTQLQIEISA-N 0 3 242.388 2.947 20 0 BFADHN CCSCC[C@H](C)NCc1cnc(C)o1 ZINC000600129564 342683149 /nfs/dbraw/zinc/68/31/49/342683149.db2.gz KNZQFSFLFKFNIY-VIFPVBQESA-N 0 3 228.361 2.604 20 0 BFADHN CO[C@@H]1CC[C@H](NCc2ccccc2Cl)C1 ZINC000190945390 398276399 /nfs/dbraw/zinc/27/63/99/398276399.db2.gz BXRYPISSXSWGAF-NWDGAFQWSA-N 0 3 239.746 2.997 20 0 BFADHN Cc1cnc(CN[C@H]2CCC[C@@H]3C[C@@H]32)s1 ZINC000378912331 346858117 /nfs/dbraw/zinc/85/81/17/346858117.db2.gz JUDMAWSWZDENLS-VWYCJHECSA-N 0 3 222.357 2.730 20 0 BFADHN CS[C@@H]1CCCC[C@H]1NCc1cnc(C)o1 ZINC000600174465 342695822 /nfs/dbraw/zinc/69/58/22/342695822.db2.gz VLVZVNNKKKUFMP-VXGBXAGGSA-N 0 3 240.372 2.747 20 0 BFADHN Cc1cccc(CCNCc2ccncc2F)c1 ZINC000449112259 398276543 /nfs/dbraw/zinc/27/65/43/398276543.db2.gz QDFPSEITWUWHTO-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN CC[C@@H](N[C@H](C)CO)c1ccc(Cl)s1 ZINC000166790541 361857620 /nfs/dbraw/zinc/85/76/20/361857620.db2.gz FSVFJCYHBQNKLI-HTQZYQBOSA-N 0 3 233.764 2.823 20 0 BFADHN CC(C)[C@H]1CC[C@@H](C)C[C@H]1NCc1cc[nH]n1 ZINC000037033960 538961435 /nfs/dbraw/zinc/96/14/35/538961435.db2.gz WYJVWVZUNWURJE-MRVWCRGKSA-N 0 3 235.375 2.960 20 0 BFADHN COC[C@@H](NC1(c2cccc(F)c2)CC1)C1CC1 ZINC000182220370 538962531 /nfs/dbraw/zinc/96/25/31/538962531.db2.gz DHUOATBYBCLTJP-CQSZACIVSA-N 0 3 249.329 2.829 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CNCc2ccno2)C1 ZINC000231885257 342741526 /nfs/dbraw/zinc/74/15/26/342741526.db2.gz LVVXCWTUTXTYCK-CMPLNLGQSA-N 0 3 220.316 2.757 20 0 BFADHN c1cc(CN[C@H]2CCC[C@H](C3CC3)C2)on1 ZINC000231885530 342741564 /nfs/dbraw/zinc/74/15/64/342741564.db2.gz AMUWFWUNTQFMCX-RYUDHWBXSA-N 0 3 220.316 2.733 20 0 BFADHN CC1=C[C@H](C)C[C@H](CNCc2ccno2)C1 ZINC000231885262 342741825 /nfs/dbraw/zinc/74/18/25/342741825.db2.gz LVVXCWTUTXTYCK-JQWIXIFHSA-N 0 3 220.316 2.757 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ccno2)C[C@@H]1C ZINC000231880522 342742385 /nfs/dbraw/zinc/74/23/85/342742385.db2.gz HFHQUSFLODWFCS-VWYCJHECSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H](Cc1ccccc1F)NCc1ccno1 ZINC000231881162 342742490 /nfs/dbraw/zinc/74/24/90/342742490.db2.gz UNOZNPWESUEJPH-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN c1cc(CNCC2CCCCCC2)on1 ZINC000231880671 342742604 /nfs/dbraw/zinc/74/26/04/342742604.db2.gz JJBIVSQPNQUNCZ-UHFFFAOYSA-N 0 3 208.305 2.735 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1ccno1 ZINC000231883305 342742841 /nfs/dbraw/zinc/74/28/41/342742841.db2.gz FKAOSMKLPLMFQH-WDEREUQCSA-N 0 3 210.321 2.979 20 0 BFADHN C[C@H](NC[C@@H]1CCCOC1)c1ccccc1F ZINC000038044036 538964623 /nfs/dbraw/zinc/96/46/23/538964623.db2.gz BMHFWSWLPQGIEF-RYUDHWBXSA-N 0 3 237.318 2.903 20 0 BFADHN CCN1CCN(CC(C)(C)c2ccccc2)CC1 ZINC000183452802 538967259 /nfs/dbraw/zinc/96/72/59/538967259.db2.gz QZARYDZAWKPQMC-UHFFFAOYSA-N 0 3 246.398 2.602 20 0 BFADHN CC(C)C(CN1CCO[C@@H](C)C1)C(C)C ZINC000583950481 342754171 /nfs/dbraw/zinc/75/41/71/342754171.db2.gz COLBHLAXMIQOPG-LBPRGKRZSA-N 0 3 213.365 2.635 20 0 BFADHN CCCCOCCNCc1ccsc1 ZINC000040506073 344002367 /nfs/dbraw/zinc/00/23/67/344002367.db2.gz PRCFVKGTDGGXEP-UHFFFAOYSA-N 0 3 213.346 2.654 20 0 BFADHN Cc1ccc(N[C@@H]2CO[C@H](C)C2)c(CN(C)C)c1 ZINC000647195213 398282178 /nfs/dbraw/zinc/28/21/78/398282178.db2.gz DSBXBRNCZRNDHW-OCCSQVGLSA-N 0 3 248.370 2.646 20 0 BFADHN CC(C)CCN(C)[C@H](C)c1cnccn1 ZINC000184458915 538968361 /nfs/dbraw/zinc/96/83/61/538968361.db2.gz BIDSDVKOJSJJGW-LLVKDONJSA-N 0 3 207.321 2.516 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCC2(CO)CCC2)o1 ZINC000184376240 538968707 /nfs/dbraw/zinc/96/87/07/538968707.db2.gz YDMICCBRTZZDDQ-YPMHNXCESA-N 0 3 249.354 2.655 20 0 BFADHN Fc1cnccc1CNCCC1=CCCC1 ZINC000449208369 398283371 /nfs/dbraw/zinc/28/33/71/398283371.db2.gz DIZULPNJSYVITR-UHFFFAOYSA-N 0 3 220.291 2.811 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@H]1NCc1cc[nH]n1 ZINC000309711791 342794731 /nfs/dbraw/zinc/79/47/31/342794731.db2.gz QWIUWAIUYMKWJQ-NQBHXWOUSA-N 0 3 221.348 2.714 20 0 BFADHN c1ccc2c(c1)ccnc2CNC[C@H]1CCCO1 ZINC000043512617 342795357 /nfs/dbraw/zinc/79/53/57/342795357.db2.gz GUPRVSQQFJJQFR-CYBMUJFWSA-N 0 3 242.322 2.503 20 0 BFADHN CCC(CC)N[C@H](C)c1nccnc1C ZINC000187677579 168392227 /nfs/dbraw/zinc/39/22/27/168392227.db2.gz CRPVRZPXUXDAHV-SNVBAGLBSA-N 0 3 207.321 2.624 20 0 BFADHN CCN1CCC[C@@]2(C1)OCc1ccccc12 ZINC000336568719 342811263 /nfs/dbraw/zinc/81/12/63/342811263.db2.gz UVEZYPWPPDFKIA-AWEZNQCLSA-N 0 3 217.312 2.528 20 0 BFADHN CCC(CC)N[C@H](C)c1nccn1CC ZINC000295180366 168392966 /nfs/dbraw/zinc/39/29/66/168392966.db2.gz HKSGZUWVIVMIKZ-SNVBAGLBSA-N 0 3 209.337 2.742 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCCN(C)C2)c(C)o1 ZINC000040672618 538972859 /nfs/dbraw/zinc/97/28/59/538972859.db2.gz FPXBZAMVDNAWJP-WCQYABFASA-N 0 3 236.359 2.641 20 0 BFADHN CCC[C@H]1CCCCN1CC(=O)N(C)C(C)C ZINC000348807640 136239291 /nfs/dbraw/zinc/23/92/91/136239291.db2.gz JRORVVZZWNCAMI-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN CCC(CC)N[C@H]1C[C@H](C)n2ccnc21 ZINC000294047030 168393494 /nfs/dbraw/zinc/39/34/94/168393494.db2.gz JCTKMMSVIJSJTO-ONGXEEELSA-N 0 3 207.321 2.667 20 0 BFADHN CC[C@]1(NCc2ccc(F)cc2F)CCOC1 ZINC000378664792 538973623 /nfs/dbraw/zinc/97/36/23/538973623.db2.gz ACUMKNWCFRILPM-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN O[C@H]1CCN(Cc2ccccc2F)C2(CCC2)C1 ZINC000335607430 136238812 /nfs/dbraw/zinc/23/88/12/136238812.db2.gz DGJMPGWSDNGAKN-ZDUSSCGKSA-N 0 3 249.329 2.705 20 0 BFADHN CC[C@H](C)N1CCc2c(cccc2NC(C)=O)C1 ZINC000186701307 538974399 /nfs/dbraw/zinc/97/43/99/538974399.db2.gz ZHZHYLVGQMKAGE-NSHDSACASA-N 0 3 246.354 2.802 20 0 BFADHN CCOc1ccc(CN[C@@]2(CC)CCOC2)cc1 ZINC000378832416 538974447 /nfs/dbraw/zinc/97/44/47/538974447.db2.gz SQGCOKBACAIAFV-HNNXBMFYSA-N 0 3 249.354 2.744 20 0 BFADHN COc1cccc(CN[C@@H](C)[C@@H]2CCCCO2)c1 ZINC000379252349 538976733 /nfs/dbraw/zinc/97/67/33/538976733.db2.gz GITDCMVSJIMVBA-WFASDCNBSA-N 0 3 249.354 2.742 20 0 BFADHN CN1CC[C@@H](c2nc(C3C(C)(C)C3(C)C)no2)C1 ZINC000624748078 342826610 /nfs/dbraw/zinc/82/66/10/342826610.db2.gz IGDJFEDKUJZOMD-SECBINFHSA-N 0 3 249.358 2.638 20 0 BFADHN CC[C@@H](CN[C@H](C)c1cnc(C)s1)OC ZINC000380207883 538987487 /nfs/dbraw/zinc/98/74/87/538987487.db2.gz XJELMTKEKTZCCQ-SCZZXKLOSA-N 0 3 228.361 2.527 20 0 BFADHN Fc1ccc(C2CC2)cc1CN[C@@H]1CCCOC1 ZINC000449374213 398292944 /nfs/dbraw/zinc/29/29/44/398292944.db2.gz YDVZNSWBDFLCJC-CQSZACIVSA-N 0 3 249.329 2.972 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1ncccn1 ZINC000192036324 538994045 /nfs/dbraw/zinc/99/40/45/538994045.db2.gz QNGKQMNDDPBLSB-GFCCVEGCSA-N 0 3 219.332 2.631 20 0 BFADHN CCCn1cc(CN[C@@H]2CCC(F)(F)C2)cn1 ZINC000379832770 538981203 /nfs/dbraw/zinc/98/12/03/538981203.db2.gz CBDFFSHSJDFQCJ-LLVKDONJSA-N 0 3 243.301 2.571 20 0 BFADHN CCC[C@@H](C)[C@@H](CC)NCc1cocn1 ZINC000379923085 538982067 /nfs/dbraw/zinc/98/20/67/538982067.db2.gz JDHHSRDYGASKFD-ZYHUDNBSSA-N 0 3 210.321 2.979 20 0 BFADHN Cc1cc(CN2CCC[C@@H](CC(C)C)C2)n(C)n1 ZINC000417819331 398293654 /nfs/dbraw/zinc/29/36/54/398293654.db2.gz WZLBMXMYTHMKLE-AWEZNQCLSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC[C@@H]2C)on1 ZINC000380996192 538993883 /nfs/dbraw/zinc/99/38/83/538993883.db2.gz MRNPWKPTFRPYMJ-CMPLNLGQSA-N 0 3 222.332 2.899 20 0 BFADHN C[C@]1(NC2CSC2)CCCc2ccccc21 ZINC000381463386 539000982 /nfs/dbraw/zinc/00/09/82/539000982.db2.gz LUXWAQMHHBFOMY-AWEZNQCLSA-N 0 3 233.380 2.943 20 0 BFADHN Cc1cccnc1[C@H](NC1CSC1)C(C)C ZINC000381454383 539001088 /nfs/dbraw/zinc/00/10/88/539001088.db2.gz HTNYSGOEHRBPRO-GFCCVEGCSA-N 0 3 236.384 2.792 20 0 BFADHN CCC[C@H](N[C@@H](C)COC)c1ccccn1 ZINC000381582908 539004341 /nfs/dbraw/zinc/00/43/41/539004341.db2.gz GCXXWJMGOKPUBV-AAEUAGOBSA-N 0 3 222.332 2.547 20 0 BFADHN CCO[C@@H](CN[C@H]1CCCOC1)c1ccccc1 ZINC000193424678 539005603 /nfs/dbraw/zinc/00/56/03/539005603.db2.gz OJUMQEXQBAGUKT-GJZGRUSLSA-N 0 3 249.354 2.533 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1ccc(C)cc1 ZINC000381702510 539005800 /nfs/dbraw/zinc/00/58/00/539005800.db2.gz IRGYVXZLYBDEDS-MRVWCRGKSA-N 0 3 219.328 2.823 20 0 BFADHN CCO[C@H](CN[C@@H]1CCCOC1)c1ccccc1 ZINC000193424665 539005804 /nfs/dbraw/zinc/00/58/04/539005804.db2.gz OJUMQEXQBAGUKT-HUUCEWRRSA-N 0 3 249.354 2.533 20 0 BFADHN FC(F)(F)c1ccccc1CNC1CSC1 ZINC000381836337 539007742 /nfs/dbraw/zinc/00/77/42/539007742.db2.gz OYDFKVOZFRRUTP-UHFFFAOYSA-N 0 3 247.285 2.910 20 0 BFADHN C[C@@H]1C[C@@H](Nc2ncc(Cl)s2)CCN1C ZINC000382442428 539009510 /nfs/dbraw/zinc/00/95/10/539009510.db2.gz WHDXQCZGBDAFTB-SFYZADRCSA-N 0 3 245.779 2.691 20 0 BFADHN C/C=C/CN[C@H]1CCc2ccc(OC)cc21 ZINC000384726509 344005240 /nfs/dbraw/zinc/00/52/40/344005240.db2.gz IIUXTZLYLZLBEN-XGACYXMMSA-N 0 3 217.312 2.848 20 0 BFADHN CC1(NCc2cocn2)CCC(F)(F)CC1 ZINC000381202049 538996233 /nfs/dbraw/zinc/99/62/33/538996233.db2.gz FLSLWRVDJPKAOB-UHFFFAOYSA-N 0 3 230.258 2.732 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CNCc2cocn2)C1 ZINC000381245405 538996935 /nfs/dbraw/zinc/99/69/35/538996935.db2.gz OEJOAGAWOSQZCQ-CMPLNLGQSA-N 0 3 220.316 2.757 20 0 BFADHN CO[C@@H]1CCC[C@H](NCc2ccc(Cl)o2)C1 ZINC000381331246 538998228 /nfs/dbraw/zinc/99/82/28/538998228.db2.gz TZDXPMYFWYPFQR-VHSXEESVSA-N 0 3 243.734 2.980 20 0 BFADHN CO[C@H]1CCC[C@H](NCc2ccc(Cl)o2)C1 ZINC000381331245 538998453 /nfs/dbraw/zinc/99/84/53/538998453.db2.gz TZDXPMYFWYPFQR-UWVGGRQHSA-N 0 3 243.734 2.980 20 0 BFADHN C[C@H](NCc1cocn1)C1C(C)(C)C1(C)C ZINC000381351550 538999006 /nfs/dbraw/zinc/99/90/06/538999006.db2.gz BOXGDJUSKUCCBN-VIFPVBQESA-N 0 3 222.332 2.835 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NCCC1(F)CCC1 ZINC000381435648 539000627 /nfs/dbraw/zinc/00/06/27/539000627.db2.gz CMRLABQFYQWCJW-SECBINFHSA-N 0 3 225.311 2.651 20 0 BFADHN CC(C)[C@](C)(CO)NCc1cscc1Cl ZINC000390010648 539020034 /nfs/dbraw/zinc/02/00/34/539020034.db2.gz ZDBJSYJLXKRDOZ-NSHDSACASA-N 0 3 247.791 2.898 20 0 BFADHN Cc1cc(CNC[C@@H](C)CC(C)C)ncn1 ZINC000390209526 539020372 /nfs/dbraw/zinc/02/03/72/539020372.db2.gz UPBMDNOFPWABFG-NSHDSACASA-N 0 3 221.348 2.557 20 0 BFADHN Cc1nnc(CN[C@@H]2CCC[C@@H](C)CC2)s1 ZINC000391860457 398296854 /nfs/dbraw/zinc/29/68/54/398296854.db2.gz XLJSWUBUZZGCEP-MWLCHTKSSA-N 0 3 239.388 2.905 20 0 BFADHN CC[C@@]1(NCc2cccc(Cl)c2)CCOC1 ZINC000390609320 539026593 /nfs/dbraw/zinc/02/65/93/539026593.db2.gz DPFVCLXZEDYDLR-CYBMUJFWSA-N 0 3 239.746 2.999 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1cc(C)oc1C)OC ZINC000290298641 398297195 /nfs/dbraw/zinc/29/71/95/398297195.db2.gz YPFDPMAHKLWELZ-JQWIXIFHSA-N 0 3 225.332 2.972 20 0 BFADHN Cc1nnsc1CNCC1(CC(C)C)CC1 ZINC000391926980 398298223 /nfs/dbraw/zinc/29/82/23/398298223.db2.gz KGSSQJNHBCXPBE-UHFFFAOYSA-N 0 3 239.388 2.762 20 0 BFADHN Cc1cnccc1CN[C@@H]1CCCC[C@H]1F ZINC000335632862 136241925 /nfs/dbraw/zinc/24/19/25/136241925.db2.gz ZSXYLZVOQKTLPI-CHWSQXEVSA-N 0 3 222.307 2.760 20 0 BFADHN OC[C@H](CC1CC1)NCc1sccc1Cl ZINC000389879506 539018110 /nfs/dbraw/zinc/01/81/10/539018110.db2.gz NJPYUOXAGXQIPF-VIFPVBQESA-N 0 3 245.775 2.652 20 0 BFADHN C[C@@H](N[C@H](CO)CC1CC1)c1ccsc1 ZINC000389889335 539018230 /nfs/dbraw/zinc/01/82/30/539018230.db2.gz UUSQBPLMWPKQFV-SKDRFNHKSA-N 0 3 225.357 2.560 20 0 BFADHN CC[C@@]1(NCc2ccc(Cl)o2)CCOC1 ZINC000389927585 539018801 /nfs/dbraw/zinc/01/88/01/539018801.db2.gz XHXMAXGMJGFVQT-LLVKDONJSA-N 0 3 229.707 2.592 20 0 BFADHN Fc1cccc2c1CC[C@H]2NC1CSC1 ZINC000389990598 539019206 /nfs/dbraw/zinc/01/92/06/539019206.db2.gz OBDFPAYEKIYZEB-GFCCVEGCSA-N 0 3 223.316 2.518 20 0 BFADHN C[C@@H](NCc1ccno1)[C@@H]1CC2CCC1CC2 ZINC000382047089 398300753 /nfs/dbraw/zinc/30/07/53/398300753.db2.gz FEXDTUCPCYVEJD-NPZBDFSRSA-N 0 3 234.343 2.979 20 0 BFADHN CCC[C@H](C)CCCN1CCCC[C@H]1C(N)=O ZINC000625068969 342848287 /nfs/dbraw/zinc/84/82/87/342848287.db2.gz QFVLCRAWPHUHGV-STQMWFEESA-N 0 3 240.391 2.543 20 0 BFADHN C[C@H](N(C)CC(=O)NCC(C)(C)C)C(C)(C)C ZINC000625079292 342849438 /nfs/dbraw/zinc/84/94/38/342849438.db2.gz UZPARLGFKBBGHQ-NSHDSACASA-N 0 3 242.407 2.515 20 0 BFADHN CCO[C@@H](CN(C)Cc1ccoc1)C1CC1 ZINC000625099995 342855674 /nfs/dbraw/zinc/85/56/74/342855674.db2.gz QBFBMRJSIVCZMQ-ZDUSSCGKSA-N 0 3 223.316 2.527 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1ccco1 ZINC000093558546 398311188 /nfs/dbraw/zinc/31/11/88/398311188.db2.gz JEUPOTAYQMLBAD-SNVBAGLBSA-N 0 3 213.346 2.853 20 0 BFADHN C[C@@H](NCC(C)(C)C(F)(F)F)c1cn[nH]c1 ZINC000309600661 398304187 /nfs/dbraw/zinc/30/41/87/398304187.db2.gz XNZLONHCSHLPQL-SSDOTTSWSA-N 0 3 235.253 2.649 20 0 BFADHN CC(C)CO[C@@H]1CCN(Cc2cccs2)C1 ZINC000091964222 398304265 /nfs/dbraw/zinc/30/42/65/398304265.db2.gz SSJFZQIQZOCRJG-GFCCVEGCSA-N 0 3 239.384 2.995 20 0 BFADHN C[C@]1(CN[C@H]2CCCn3ccnc32)C[C@H]2C[C@H]2C1 ZINC000624201293 342867303 /nfs/dbraw/zinc/86/73/03/342867303.db2.gz DVZUXNXDJCCYCD-SFDCQRBFSA-N 0 3 245.370 2.744 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1cncs1 ZINC000093558620 398311512 /nfs/dbraw/zinc/31/15/12/398311512.db2.gz FDQOEZUPYGLQGG-SECBINFHSA-N 0 3 230.402 2.717 20 0 BFADHN CCC[C@@H](C)CCCN(CCC)CC(=O)NC ZINC000625106304 342858956 /nfs/dbraw/zinc/85/89/56/342858956.db2.gz PRVFDMQHZDGYTK-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CC(C)(C)N1CC[C@@H]1CNc1ncccc1F ZINC000664142716 488185692 /nfs/dbraw/zinc/18/56/92/488185692.db2.gz MGRGNIUVTLPEPF-SNVBAGLBSA-N 0 3 237.322 2.505 20 0 BFADHN Cc1ccc(CN2CCOC[C@H](C)C2)s1 ZINC000336343302 398318136 /nfs/dbraw/zinc/31/81/36/398318136.db2.gz VMPXPGMKARWQBI-SNVBAGLBSA-N 0 3 225.357 2.525 20 0 BFADHN CCOCC(C)(C)NCc1c(C)nsc1C ZINC000584001950 342899035 /nfs/dbraw/zinc/89/90/35/342899035.db2.gz GCFLYQXVTXWXNR-UHFFFAOYSA-N 0 3 242.388 2.665 20 0 BFADHN c1cc(CNC2C3CC4CC(C3)CC2C4)no1 ZINC000148614812 398313573 /nfs/dbraw/zinc/31/35/73/398313573.db2.gz MUQNGNHQQLJJAN-UHFFFAOYSA-N 0 3 232.327 2.589 20 0 BFADHN CCN(C)CCNCc1ccc(C)cc1Cl ZINC000233440281 342905413 /nfs/dbraw/zinc/90/54/13/342905413.db2.gz CBSREMSIFGLWGC-UHFFFAOYSA-N 0 3 240.778 2.690 20 0 BFADHN COc1c(O)cccc1CN1CC[C@@H](C2CC2)C1 ZINC000352609267 398321965 /nfs/dbraw/zinc/32/19/65/398321965.db2.gz SGIWCBJANQKVBB-GFCCVEGCSA-N 0 3 247.338 2.633 20 0 BFADHN COCC(C)(C)CCCNCc1cc(C)no1 ZINC000631135511 342908184 /nfs/dbraw/zinc/90/81/84/342908184.db2.gz DMLHYILYNUPDNE-UHFFFAOYSA-N 0 3 240.347 2.525 20 0 BFADHN CCN(CCCC(=O)OC)Cc1cccs1 ZINC000042487897 398324065 /nfs/dbraw/zinc/32/40/65/398324065.db2.gz INFRBAWBPXCSJG-UHFFFAOYSA-N 0 3 241.356 2.523 20 0 BFADHN CC[C@@H](N)C(=O)N(C)c1cccc(C(C)C)c1 ZINC000129535582 344008770 /nfs/dbraw/zinc/00/87/70/344008770.db2.gz SPIIOQWWLBCFKB-CYBMUJFWSA-N 0 3 234.343 2.510 20 0 BFADHN COc1cc(C)ccc1[C@@H](C)NC1CC1 ZINC000037183830 342924019 /nfs/dbraw/zinc/92/40/19/342924019.db2.gz RFWCAWHFQLWESZ-SNVBAGLBSA-N 0 3 205.301 2.817 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCc3cc(F)ccc32)CO1 ZINC000402061800 259329602 /nfs/dbraw/zinc/32/96/02/259329602.db2.gz UOSORUFNWZZAGG-GAJTVXKRSA-N 0 3 235.302 2.580 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1Cc1ccccc1C ZINC000505642018 529413694 /nfs/dbraw/zinc/41/36/94/529413694.db2.gz VWIBSIDNGWPFLP-DZGCQCFKSA-N 0 3 233.355 2.994 20 0 BFADHN CCCN(Cc1ccnn1CC)CC(C)C ZINC000351610314 136248106 /nfs/dbraw/zinc/24/81/06/136248106.db2.gz XLSXFTFJUNJSMT-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cc(CO)ccc1F ZINC000625223264 342920420 /nfs/dbraw/zinc/92/04/20/342920420.db2.gz MPKSUMARYKWLMM-GHMZBOCLSA-N 0 3 237.318 2.691 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@H]1CO[C@H](C)C1 ZINC000401937381 259328983 /nfs/dbraw/zinc/32/89/83/259328983.db2.gz CRZCWWDBZVOMMR-FRRDWIJNSA-N 0 3 249.354 2.832 20 0 BFADHN C[C@@H]1C[C@H](C)[C@H](C)N(Cc2ccon2)C1 ZINC000351626227 136248917 /nfs/dbraw/zinc/24/89/17/136248917.db2.gz BVNRRMMQZPRWES-VWYCJHECSA-N 0 3 208.305 2.541 20 0 BFADHN COC[C@@H](C)NCc1ccc(C)cc1Cl ZINC000234688406 342933538 /nfs/dbraw/zinc/93/35/38/342933538.db2.gz VVRYAMKKHKIMNB-SNVBAGLBSA-N 0 3 227.735 2.773 20 0 BFADHN Cc1cc(Cl)cc(CN2CC[C@@](C)(O)C2)c1 ZINC000351722664 136249705 /nfs/dbraw/zinc/24/97/05/136249705.db2.gz WYWHKBWNPYZHBT-CYBMUJFWSA-N 0 3 239.746 2.605 20 0 BFADHN CC[C@H](C)C[C@H](CO)NCc1ccsc1 ZINC000647244430 398330542 /nfs/dbraw/zinc/33/05/42/398330542.db2.gz ZSIQIKDVIFVBJF-CMPLNLGQSA-N 0 3 227.373 2.635 20 0 BFADHN CCCCN(C)Cc1cc(Cl)cn1C ZINC000120009854 398336851 /nfs/dbraw/zinc/33/68/51/398336851.db2.gz VBJPDQBAKFZKHY-UHFFFAOYSA-N 0 3 214.740 2.910 20 0 BFADHN CCC[C@H](NCC[C@@H](O)CC)c1ccccn1 ZINC000305721731 398337070 /nfs/dbraw/zinc/33/70/70/398337070.db2.gz KAGOTIOJYWUGBY-STQMWFEESA-N 0 3 236.359 2.673 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C)[C@H](C)C2)cn1 ZINC000153919264 398338344 /nfs/dbraw/zinc/33/83/44/398338344.db2.gz KDIYQIFDPPYNIJ-VXGBXAGGSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1cc(-c2ccccc2C)n(CCN(C)C)n1 ZINC000634590428 342937575 /nfs/dbraw/zinc/93/75/75/342937575.db2.gz ZQKFSKUEHIBMJV-UHFFFAOYSA-N 0 3 243.354 2.729 20 0 BFADHN Cc1scc(CN[C@H]2CO[C@H](C)C2)c1C ZINC000401848307 398333733 /nfs/dbraw/zinc/33/37/33/398333733.db2.gz YFRCQCKAPZOGOF-PRHODGIISA-N 0 3 225.357 2.632 20 0 BFADHN CC/C=C\CNCc1cc(C(=O)OC)cs1 ZINC000602677600 349671048 /nfs/dbraw/zinc/67/10/48/349671048.db2.gz ZEGLDHQDMABNLP-PLNGDYQASA-N 0 3 239.340 2.591 20 0 BFADHN Clc1ccc(CNCCc2ccco2)o1 ZINC000037487196 342938476 /nfs/dbraw/zinc/93/84/76/342938476.db2.gz YOHNMKQPLJFJSF-UHFFFAOYSA-N 0 3 225.675 2.858 20 0 BFADHN Cc1ccc([C@H](C)NCc2cc[nH]c(=O)c2)cc1 ZINC000392655772 398334378 /nfs/dbraw/zinc/33/43/78/398334378.db2.gz XLYVQVCNKFUYHR-LBPRGKRZSA-N 0 3 242.322 2.946 20 0 BFADHN C[C@@H](NCc1cc[nH]c(=O)c1)c1ccsc1 ZINC000392769637 398335201 /nfs/dbraw/zinc/33/52/01/398335201.db2.gz VOSVHEANHBZCFU-SECBINFHSA-N 0 3 234.324 2.700 20 0 BFADHN Fc1cccc(F)c1CNCC[C@H]1CCCO1 ZINC000037988645 398335465 /nfs/dbraw/zinc/33/54/65/398335465.db2.gz XSGQHYIXVHXQCV-SNVBAGLBSA-N 0 3 241.281 2.624 20 0 BFADHN Clc1ccccc1CNCC[C@H]1CCCO1 ZINC000037988625 398335472 /nfs/dbraw/zinc/33/54/72/398335472.db2.gz IECHTMWLLFICMN-GFCCVEGCSA-N 0 3 239.746 2.999 20 0 BFADHN CCOc1ccccc1CNCC[C@H]1CCCO1 ZINC000037988685 398335485 /nfs/dbraw/zinc/33/54/85/398335485.db2.gz RBPUHTXRHAZISZ-CQSZACIVSA-N 0 3 249.354 2.744 20 0 BFADHN CCCC[C@H](C)NCc1snnc1C ZINC000336674843 346893700 /nfs/dbraw/zinc/89/37/00/346893700.db2.gz BSWQCPZQKSIWQE-QMMMGPOBSA-N 0 3 213.350 2.515 20 0 BFADHN C[C@H](Cc1ccc(O)cc1)NCc1cncs1 ZINC000133092378 398341427 /nfs/dbraw/zinc/34/14/27/398341427.db2.gz IJQOJVVIHBZBOE-SNVBAGLBSA-N 0 3 248.351 2.570 20 0 BFADHN C[C@@H](F)CCN(C)[C@H](C)c1ccccn1 ZINC000351751989 136251063 /nfs/dbraw/zinc/25/10/63/136251063.db2.gz BJPSTLGNNGTTKK-GHMZBOCLSA-N 0 3 210.296 2.823 20 0 BFADHN CCC[C@H](C)CN(C)Cc1ccncc1 ZINC000170594882 398343855 /nfs/dbraw/zinc/34/38/55/398343855.db2.gz KWPZKGDLAJUQOD-LBPRGKRZSA-N 0 3 206.333 2.950 20 0 BFADHN NCc1cccc(NC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)c1 ZINC000403463185 398343917 /nfs/dbraw/zinc/34/39/17/398343917.db2.gz MPYAFQPOLXXFKU-XQQFMLRXSA-N 0 3 244.338 2.520 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](CC)OC)o1 ZINC000289581262 398344178 /nfs/dbraw/zinc/34/41/78/398344178.db2.gz ALZQUHNWBGSUTL-CMPLNLGQSA-N 0 3 225.332 2.918 20 0 BFADHN CC[C@@H](O)CN1CCC[C@H]1c1ccc(F)cc1 ZINC000075595359 344014536 /nfs/dbraw/zinc/01/45/36/344014536.db2.gz IOCKVJISGYUFBU-KGLIPLIRSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H](F)CCN(Cc1cccnc1)C1CC1 ZINC000351755849 136252089 /nfs/dbraw/zinc/25/20/89/136252089.db2.gz JGWFLEIYVFMKDD-NSHDSACASA-N 0 3 222.307 2.794 20 0 BFADHN CCC[C@@H](NCc1nccn1C)C1CCCC1 ZINC000313399442 259334392 /nfs/dbraw/zinc/33/43/92/259334392.db2.gz RHDDTMQIDYIAHX-CYBMUJFWSA-N 0 3 235.375 2.869 20 0 BFADHN COC1CC(N(C)Cc2ccc(F)cc2C)C1 ZINC000352195709 536716347 /nfs/dbraw/zinc/71/63/47/536716347.db2.gz SVDRIGICQJWACQ-UHFFFAOYSA-N 0 3 237.318 2.743 20 0 BFADHN COC1CC(N(C)Cc2ccc(C)c(F)c2)C1 ZINC000348719233 536716536 /nfs/dbraw/zinc/71/65/36/536716536.db2.gz ZNZPCAXULJWAGA-UHFFFAOYSA-N 0 3 237.318 2.743 20 0 BFADHN CSCCN[C@@H](C)c1ccc(Cl)cn1 ZINC000308743374 346907517 /nfs/dbraw/zinc/90/75/17/346907517.db2.gz QBYRWERJTBTNRN-QMMMGPOBSA-N 0 3 230.764 2.749 20 0 BFADHN C[C@@H](NCc1ccccc1Cl)[C@H]1CCCO1 ZINC000020205223 342976809 /nfs/dbraw/zinc/97/68/09/342976809.db2.gz ITMBAKAJAUEDIZ-ZWNOBZJWSA-N 0 3 239.746 2.997 20 0 BFADHN COc1cccc(CN(C)CC[C@H](C)F)c1 ZINC000351852704 136257455 /nfs/dbraw/zinc/25/74/55/136257455.db2.gz KWSKUFHUYJGKNL-NSHDSACASA-N 0 3 225.307 2.875 20 0 BFADHN CCc1cc(CNC2CC(C(C)C)C2)on1 ZINC000381150641 344019855 /nfs/dbraw/zinc/01/98/55/344019855.db2.gz AOKMWFPKQZIBNZ-UHFFFAOYSA-N 0 3 222.332 2.761 20 0 BFADHN COC(C)(C)C[C@H](C)N[C@H](C)c1ccccn1 ZINC000020524548 342989785 /nfs/dbraw/zinc/98/97/85/342989785.db2.gz DNKHVQQPEOAPIO-NWDGAFQWSA-N 0 3 236.359 2.936 20 0 BFADHN Cc1n[nH]cc1CN[C@@H](C)c1cccs1 ZINC000037878790 342990797 /nfs/dbraw/zinc/99/07/97/342990797.db2.gz HNPWEOZKMCTINB-VIFPVBQESA-N 0 3 221.329 2.630 20 0 BFADHN CC[C@@]1(O)CCN(CCCCC(F)(F)F)C1 ZINC000495190782 529573723 /nfs/dbraw/zinc/57/37/23/529573723.db2.gz IUMBOEDCKCRQOG-SNVBAGLBSA-N 0 3 239.281 2.566 20 0 BFADHN CCc1c2ccccc2oc1[C@H](C)NCCO ZINC000020596969 342992217 /nfs/dbraw/zinc/99/22/17/342992217.db2.gz JMEKKGKJJAVTLO-JTQLQIEISA-N 0 3 233.311 2.638 20 0 BFADHN CCCN1CCN([C@@H](C)c2ccsc2)CC1 ZINC000185438262 534930684 /nfs/dbraw/zinc/93/06/84/534930684.db2.gz DSRLESOUWUFEHM-LBPRGKRZSA-N 0 3 238.400 2.837 20 0 BFADHN CSC1CCN(Cc2ccnc(C)c2)CC1 ZINC000602724736 349714300 /nfs/dbraw/zinc/71/43/00/349714300.db2.gz AFAPXOFBYMOHBX-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN CSC1CCN(Cc2ccc(C)nc2)CC1 ZINC000602726247 349715486 /nfs/dbraw/zinc/71/54/86/349715486.db2.gz PCOMTECWBOQAAX-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1ccc(CN[C@@H](C)CN(C)C)c(Cl)c1 ZINC000235111387 342989517 /nfs/dbraw/zinc/98/95/17/342989517.db2.gz JPJYTHDVQHOVLN-NSHDSACASA-N 0 3 240.778 2.688 20 0 BFADHN CSC1(CNCc2cccc(C)n2)CCC1 ZINC000235285734 343000889 /nfs/dbraw/zinc/00/08/89/343000889.db2.gz PRBIZJMWOUPHRV-UHFFFAOYSA-N 0 3 236.384 2.765 20 0 BFADHN CCN(C#N)CCN[C@H](c1ccccc1)C(C)C ZINC000602761662 349733338 /nfs/dbraw/zinc/73/33/38/349733338.db2.gz XNRFLHYMMAPGHI-HNNXBMFYSA-N 0 3 245.370 2.776 20 0 BFADHN CC(C)(CNCc1ccc(CO)o1)C1=CCCC1 ZINC000308754992 259340271 /nfs/dbraw/zinc/34/02/71/259340271.db2.gz KGSVTRUGZANNQQ-UHFFFAOYSA-N 0 3 249.354 2.998 20 0 BFADHN C[C@H]1CCN(Cc2cc3ccccc3o2)C[C@H]1O ZINC000335904250 136260324 /nfs/dbraw/zinc/26/03/24/136260324.db2.gz MJIZFDLINLQPAU-SMDDNHRTSA-N 0 3 245.322 2.636 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1ccc(Cl)o1 ZINC000049948838 343019384 /nfs/dbraw/zinc/01/93/84/343019384.db2.gz FHLATXKALBBXSP-NXEZZACHSA-N 0 3 229.707 2.590 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cnc(C)o1 ZINC000628287854 346920621 /nfs/dbraw/zinc/92/06/21/346920621.db2.gz PJUVVKBRQKMGTJ-JTQLQIEISA-N 0 3 210.321 2.994 20 0 BFADHN Cc1cccc(CN(C)CC[C@@H](C)F)n1 ZINC000351879150 136262233 /nfs/dbraw/zinc/26/22/33/136262233.db2.gz GZTFHAGNMHCNDU-SNVBAGLBSA-N 0 3 210.296 2.570 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@H](C)CO)c(Cl)c1 ZINC000235452393 343038043 /nfs/dbraw/zinc/03/80/43/343038043.db2.gz LQEOUVLUFQVJOM-GHMZBOCLSA-N 0 3 241.762 2.755 20 0 BFADHN C[C@@H]1CC[C@H](CN2C[C@@H](C)C(F)(F)[C@@H](C)C2)O1 ZINC000625655716 344025600 /nfs/dbraw/zinc/02/56/00/344025600.db2.gz WOFINQRNZDLOIP-WRWGMCAJSA-N 0 3 247.329 2.777 20 0 BFADHN Cc1cc(CN[C@@H]2CC(C)(C)C[C@@H]2C)no1 ZINC000235578669 343048265 /nfs/dbraw/zinc/04/82/65/343048265.db2.gz PFWLGXIJCMVIKV-JOYOIKCWSA-N 0 3 222.332 2.897 20 0 BFADHN CCc1ccc(CNCCC[C@H]2CCOC2)o1 ZINC000401922381 529652034 /nfs/dbraw/zinc/65/20/34/529652034.db2.gz FYFVWQAGJVENJI-LBPRGKRZSA-N 0 3 237.343 2.748 20 0 BFADHN Cc1ccc(CNC(C)(C)CCO)c(Cl)c1 ZINC000235769053 343058755 /nfs/dbraw/zinc/05/87/55/343058755.db2.gz QZDRKXJGDRSMHR-UHFFFAOYSA-N 0 3 241.762 2.899 20 0 BFADHN Cc1csc(CN[C@@H](C)C2(C)CC2)n1 ZINC000038090921 343059564 /nfs/dbraw/zinc/05/95/64/343059564.db2.gz JMFXBZMLMNPSDV-VIFPVBQESA-N 0 3 210.346 2.730 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1cccc(Cl)c1 ZINC000379917467 365696469 /nfs/dbraw/zinc/69/64/69/365696469.db2.gz DOQGLTLGRULUDL-NEPJUHHUSA-N 0 3 225.719 2.607 20 0 BFADHN Cc1ccc(CN[C@@]2(C)CCOC2)c(Cl)c1 ZINC000235857613 343064513 /nfs/dbraw/zinc/06/45/13/343064513.db2.gz POWFCXJZVOAZGM-ZDUSSCGKSA-N 0 3 239.746 2.917 20 0 BFADHN COc1ncc(CN[C@H](C)CCC2CC2)s1 ZINC000398195962 343085901 /nfs/dbraw/zinc/08/59/01/343085901.db2.gz CNHCAAMVEMRUQZ-SECBINFHSA-N 0 3 240.372 2.820 20 0 BFADHN CC[C@@H](NCc1ccc(C)cc1Cl)[C@@H](C)O ZINC000386692529 343091509 /nfs/dbraw/zinc/09/15/09/343091509.db2.gz KRQKBVFUCWQRDV-ZWNOBZJWSA-N 0 3 241.762 2.897 20 0 BFADHN CO[C@]1(C)CCCN(Cc2ccc(C)nc2)CC1 ZINC000336074785 536837230 /nfs/dbraw/zinc/83/72/30/536837230.db2.gz WZLHEKXBFNCHJH-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN CCO[C@@H]1CCCN(CCOc2ccccc2)C1 ZINC000076400930 343109422 /nfs/dbraw/zinc/10/94/22/343109422.db2.gz GDUQNQPJPOIBLT-OAHLLOKOSA-N 0 3 249.354 2.566 20 0 BFADHN CC[C@@H](C)n1cc(CNC2CCCCC2)nn1 ZINC000640939281 362077460 /nfs/dbraw/zinc/07/74/60/362077460.db2.gz QLESXOPRKFNXBT-LLVKDONJSA-N 0 3 236.363 2.671 20 0 BFADHN CCC[C@@H](C)n1cc(CNC2CCCC2)nn1 ZINC000640941810 362082601 /nfs/dbraw/zinc/08/26/01/362082601.db2.gz GDLFKOWLICXDOX-LLVKDONJSA-N 0 3 236.363 2.671 20 0 BFADHN CCC[C@H](C)n1cc(CNC2CCCC2)nn1 ZINC000640941811 362083329 /nfs/dbraw/zinc/08/33/29/362083329.db2.gz GDLFKOWLICXDOX-NSHDSACASA-N 0 3 236.363 2.671 20 0 BFADHN CCn1nc(C)c(CN(C)CCC(C)C)c1C ZINC000678137424 488203864 /nfs/dbraw/zinc/20/38/64/488203864.db2.gz NGOBLNDOLCIMPK-UHFFFAOYSA-N 0 3 237.391 2.998 20 0 BFADHN CC(C)[C@@H](C)NCc1nn(C)c2ccccc12 ZINC000112338978 343130016 /nfs/dbraw/zinc/13/00/16/343130016.db2.gz BVCWLBZLVBRFGH-LLVKDONJSA-N 0 3 231.343 2.707 20 0 BFADHN COCCC(C)(C)NCc1cc(F)ccc1F ZINC000344710225 365719604 /nfs/dbraw/zinc/71/96/04/365719604.db2.gz MDSAJUDQOQLRRI-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN Nc1ccc2c(c1)CC[C@H]2NCc1cncs1 ZINC000127997454 343179589 /nfs/dbraw/zinc/17/95/89/343179589.db2.gz OXGNJBMPUKVAGO-CYBMUJFWSA-N 0 3 245.351 2.502 20 0 BFADHN CC[C@H]1CCCCCN1CC(=O)NC(C)(C)C ZINC000150740009 136273595 /nfs/dbraw/zinc/27/35/95/136273595.db2.gz DKWRKAZTHVLEBF-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@H](NCc1cocn1)C1CCCCC1 ZINC000237521767 136272328 /nfs/dbraw/zinc/27/23/28/136272328.db2.gz FWRVQHOTZGDROC-JTQLQIEISA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2ccc(F)cc2F)O1 ZINC000128458839 343175032 /nfs/dbraw/zinc/17/50/32/343175032.db2.gz JGQSGPZJVAFRLE-SKDRFNHKSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1ccncc1Cl ZINC000177901932 136274392 /nfs/dbraw/zinc/27/43/92/136274392.db2.gz JZKCDKOHSKOLSW-VHSXEESVSA-N 0 3 224.735 2.965 20 0 BFADHN C[C@@H](NCc1ncccn1)C1CCCCCC1 ZINC000150264468 343197055 /nfs/dbraw/zinc/19/70/55/343197055.db2.gz AIQYVYPFIYSQRG-GFCCVEGCSA-N 0 3 233.359 2.925 20 0 BFADHN C[C@@H](NC(=O)C[C@@H](N)c1ccccc1)C(C)(C)C ZINC000158134139 343200746 /nfs/dbraw/zinc/20/07/46/343200746.db2.gz MOOMVYPEWOVXLM-DGCLKSJQSA-N 0 3 248.370 2.627 20 0 BFADHN C=Cc1ccc(CCNCc2cnc(C)o2)cc1 ZINC000628288592 346930540 /nfs/dbraw/zinc/93/05/40/346930540.db2.gz ONVKBBXEXUBWJA-UHFFFAOYSA-N 0 3 242.322 2.958 20 0 BFADHN CC[C@H](N)c1cn([C@@H](CC)c2ccccc2)nn1 ZINC000641011020 362125792 /nfs/dbraw/zinc/12/57/92/362125792.db2.gz BYSONVNONIXMDV-JSGCOSHPSA-N 0 3 244.342 2.687 20 0 BFADHN CC[C@H](N[C@H]1CO[C@H](C)C1)c1ccsc1 ZINC000402112827 349800756 /nfs/dbraw/zinc/80/07/56/349800756.db2.gz DXAQBPIDGJKXJF-JLLWLGSASA-N 0 3 225.357 2.966 20 0 BFADHN CC[C@@H](C)CC(=O)Nc1cccc2c1CCNC2 ZINC000167207255 343208624 /nfs/dbraw/zinc/20/86/24/343208624.db2.gz QZVKMCIKZSPZHO-LLVKDONJSA-N 0 3 246.354 2.707 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](CO)CC2CC2)c(C)o1 ZINC000683692435 488208016 /nfs/dbraw/zinc/20/80/16/488208016.db2.gz RHVLVSPJASRDFL-MFKMUULPSA-N 0 3 237.343 2.708 20 0 BFADHN CC[C@H](N[C@@H]1CO[C@@H](C)C1)c1ccsc1 ZINC000402112825 349800967 /nfs/dbraw/zinc/80/09/67/349800967.db2.gz DXAQBPIDGJKXJF-DLOVCJGASA-N 0 3 225.357 2.966 20 0 BFADHN CCO[C@@H](CN(C)[C@@H](C)c1ccncc1)C1CC1 ZINC000625272460 343190162 /nfs/dbraw/zinc/19/01/62/343190162.db2.gz SJQQVNQZFXURTD-WFASDCNBSA-N 0 3 248.370 2.890 20 0 BFADHN CCC[C@@H](O)CNCc1ccc(C(F)F)cc1 ZINC000134359357 343194535 /nfs/dbraw/zinc/19/45/35/343194535.db2.gz TTYKBYJEIZDVMC-GFCCVEGCSA-N 0 3 243.297 2.875 20 0 BFADHN Cc1ncsc1CNC[C@@H]1CCCCS1 ZINC000087684025 136282352 /nfs/dbraw/zinc/28/23/52/136282352.db2.gz WBRYNAJCADYVMI-JTQLQIEISA-N 0 3 242.413 2.827 20 0 BFADHN CC[C@H](NCCCF)c1nccs1 ZINC000088025008 136283607 /nfs/dbraw/zinc/28/36/07/136283607.db2.gz XOQPALNSSOCBFY-QMMMGPOBSA-N 0 3 202.298 2.543 20 0 BFADHN COC(=O)CCN[C@@H](C)c1cccc(Cl)c1 ZINC000034937610 343281361 /nfs/dbraw/zinc/28/13/61/343281361.db2.gz NIKRHNPLVUZBJC-VIFPVBQESA-N 0 3 241.718 2.554 20 0 BFADHN COC(=O)CCN[C@H](C)c1cc2ccccc2o1 ZINC000036805040 343324739 /nfs/dbraw/zinc/32/47/39/343324739.db2.gz ZVZGFABYNZXBSC-SNVBAGLBSA-N 0 3 247.294 2.647 20 0 BFADHN CCC[C@H](N)C(=O)Nc1ccc(C(C)C)cc1 ZINC000036872778 343325678 /nfs/dbraw/zinc/32/56/78/343325678.db2.gz JITZGFNOQXJNCT-ZDUSSCGKSA-N 0 3 234.343 2.876 20 0 BFADHN CCC[C@H](N)C(=O)Nc1cccc2ccccc21 ZINC000036872640 343326032 /nfs/dbraw/zinc/32/60/32/343326032.db2.gz CYYSHCQHJKLDGV-ZDUSSCGKSA-N 0 3 242.322 2.906 20 0 BFADHN C[C@H](N)C(=O)N[C@@H](CC(C)(C)C)c1ccccc1 ZINC000037004473 343330222 /nfs/dbraw/zinc/33/02/22/343330222.db2.gz BLHHQPZANORNJV-AAEUAGOBSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@@H](Cn1cccn1)NCc1cccc(Cl)c1 ZINC000035259049 343291313 /nfs/dbraw/zinc/29/13/13/343291313.db2.gz OENGACUOVQYVQA-NSHDSACASA-N 0 3 249.745 2.715 20 0 BFADHN C[C@H](Cn1cccn1)N[C@@H](C)c1ccccc1 ZINC000035276526 343292414 /nfs/dbraw/zinc/29/24/14/343292414.db2.gz GDAHFHSYUUFSMS-OLZOCXBDSA-N 0 3 229.327 2.622 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CC23CC3)c1 ZINC000335285767 136292933 /nfs/dbraw/zinc/29/29/33/136292933.db2.gz GDGRQAYVSWICMX-GFCCVEGCSA-N 0 3 205.276 2.776 20 0 BFADHN CCC[C@@H](CC)N[C@@H](C)c1nccn1C ZINC000070008225 343296631 /nfs/dbraw/zinc/29/66/31/343296631.db2.gz OVIYOYBLEJPYLA-WDEREUQCSA-N 0 3 209.337 2.649 20 0 BFADHN C[C@@H](NC[C@H](C)O)c1ccc(F)c(Cl)c1 ZINC000035601575 343298598 /nfs/dbraw/zinc/29/85/98/343298598.db2.gz LFWRALYVGAFSJY-JGVFFNPUSA-N 0 3 231.698 2.511 20 0 BFADHN C[C@H](CO)NC(c1ccccc1)c1ccccc1 ZINC000035602574 343299396 /nfs/dbraw/zinc/29/93/96/343299396.db2.gz JZKKJEWHYVFNQP-CYBMUJFWSA-N 0 3 241.334 2.746 20 0 BFADHN COC1CC(N(C)C/C=C\c2ccc(F)cc2)C1 ZINC000348714303 136294270 /nfs/dbraw/zinc/29/42/70/136294270.db2.gz YICJHSJJZAHGAR-ARJAWSKDSA-N 0 3 249.329 2.948 20 0 BFADHN CCC(CC)NCc1cc(C(=O)OC)oc1C ZINC000609101274 343302012 /nfs/dbraw/zinc/30/20/12/343302012.db2.gz LIOGBKZSWGBUTN-UHFFFAOYSA-N 0 3 239.315 2.653 20 0 BFADHN COc1cccc(CN2CC(C3CCC3)C2)c1 ZINC000348718922 136294518 /nfs/dbraw/zinc/29/45/18/136294518.db2.gz YNSFEBXAELHMPQ-UHFFFAOYSA-N 0 3 231.339 2.927 20 0 BFADHN Fc1cc(Cl)ccc1CNC[C@H]1CCCO1 ZINC000037927493 343361141 /nfs/dbraw/zinc/36/11/41/343361141.db2.gz NEKYBVSFXTUZRY-LLVKDONJSA-N 0 3 243.709 2.748 20 0 BFADHN CC[C@@H](C)NCc1ccn(-c2ccccc2)n1 ZINC000038065398 343368456 /nfs/dbraw/zinc/36/84/56/343368456.db2.gz OWRJIMCLIAFSOZ-GFCCVEGCSA-N 0 3 229.327 2.760 20 0 BFADHN C[C@@H](CNCc1c(F)cccc1Cl)N(C)C ZINC000038088333 343370099 /nfs/dbraw/zinc/37/00/99/343370099.db2.gz JUWIKYPAKBZGST-VIFPVBQESA-N 0 3 244.741 2.519 20 0 BFADHN C[C@H](CNCc1c(F)cccc1Cl)N(C)C ZINC000038088334 343370291 /nfs/dbraw/zinc/37/02/91/343370291.db2.gz JUWIKYPAKBZGST-SECBINFHSA-N 0 3 244.741 2.519 20 0 BFADHN FC(F)C[C@H]1COCCN1C[C@H]1CC=CCC1 ZINC000348786834 136300957 /nfs/dbraw/zinc/30/09/57/136300957.db2.gz WBILRPRKHGCTHA-RYUDHWBXSA-N 0 3 245.313 2.699 20 0 BFADHN COc1cc2c(cc1F)CN(CC1CCC1)CC2 ZINC000348792771 136301122 /nfs/dbraw/zinc/30/11/22/136301122.db2.gz CTVQYNDDDSCPTD-UHFFFAOYSA-N 0 3 249.329 2.993 20 0 BFADHN C[C@H](CN1CCCCC1)N[C@H](C)c1ccco1 ZINC000037154074 343336935 /nfs/dbraw/zinc/33/69/35/343336935.db2.gz OOXUHXYHEJIUJC-CHWSQXEVSA-N 0 3 236.359 2.805 20 0 BFADHN C[C@@H](N[C@@H](C)CN1CCCCC1)c1cccnc1 ZINC000037153979 343336961 /nfs/dbraw/zinc/33/69/61/343336961.db2.gz HXMNAEZOZMJULQ-UONOGXRCSA-N 0 3 247.386 2.607 20 0 BFADHN CC[C@@H](C)CN(C)C(=O)C[C@H](N)c1ccccc1 ZINC000037173412 343338004 /nfs/dbraw/zinc/33/80/04/343338004.db2.gz RRYXTVZFOFMYKH-OCCSQVGLSA-N 0 3 248.370 2.581 20 0 BFADHN CO[C@@H](C)CN(C)C/C=C\c1ccc(F)cc1 ZINC000348836526 136301861 /nfs/dbraw/zinc/30/18/61/136301861.db2.gz CVNVBRFJSCZDOM-RXNFCKPNSA-N 0 3 237.318 2.806 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc2occc2c1 ZINC000348836904 136302012 /nfs/dbraw/zinc/30/20/12/136302012.db2.gz FJTQVVYCEKDJHN-NSHDSACASA-N 0 3 233.311 2.900 20 0 BFADHN CCc1nc(CN[C@H]2CCC[C@@H]2C)cs1 ZINC000070830277 343341262 /nfs/dbraw/zinc/34/12/62/343341262.db2.gz ATYLUYASIBWHJR-ONGXEEELSA-N 0 3 224.373 2.984 20 0 BFADHN CCc1nc(CN[C@@H]2CCC[C@@H]2C)cs1 ZINC000070830275 343341401 /nfs/dbraw/zinc/34/14/01/343341401.db2.gz ATYLUYASIBWHJR-GXSJLCMTSA-N 0 3 224.373 2.984 20 0 BFADHN Cc1c2ccccc2oc1[C@H](C)N[C@@H](C)CO ZINC000037258276 343341598 /nfs/dbraw/zinc/34/15/98/343341598.db2.gz JIOAHGMGIHFPAL-ONGXEEELSA-N 0 3 233.311 2.773 20 0 BFADHN CC(C)C[C@@H](C)NCc1nccn1C(F)F ZINC000037264720 343342075 /nfs/dbraw/zinc/34/20/75/343342075.db2.gz WKCDEJLSPFQNGH-SECBINFHSA-N 0 3 231.290 2.802 20 0 BFADHN CCCC[C@H](C)N[C@H](C)c1nnc2ccccn21 ZINC000037281392 343342732 /nfs/dbraw/zinc/34/27/32/343342732.db2.gz FQVWCXCXAKUABY-NWDGAFQWSA-N 0 3 246.358 2.959 20 0 BFADHN CCNCc1cc(C)ccc1OC(F)F ZINC000070954879 343343040 /nfs/dbraw/zinc/34/30/40/343343040.db2.gz TXEBQDMDTSDDFI-UHFFFAOYSA-N 0 3 215.243 2.706 20 0 BFADHN FC(F)OC[C@@H]1CCN(Cc2ccsc2)C1 ZINC000348864477 136302741 /nfs/dbraw/zinc/30/27/41/136302741.db2.gz BIOLQTACQSBOPI-SECBINFHSA-N 0 3 247.310 2.809 20 0 BFADHN Cn1c(CNCC2CC2)nc2cc(Cl)ccc21 ZINC000037440240 343345539 /nfs/dbraw/zinc/34/55/39/343345539.db2.gz LPGBKWADFZRLIZ-UHFFFAOYSA-N 0 3 249.745 2.726 20 0 BFADHN Cc1ccc(CN2CCCO[C@H](CF)C2)s1 ZINC000335644390 136303972 /nfs/dbraw/zinc/30/39/72/136303972.db2.gz UUGBOVPEBZMQFQ-LLVKDONJSA-N 0 3 243.347 2.617 20 0 BFADHN CCOc1cccc(CN[C@@H](C)[C@@H]2CCCO2)c1 ZINC000037678466 343350923 /nfs/dbraw/zinc/35/09/23/343350923.db2.gz LAIIUNMUMULYKO-WFASDCNBSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C(C)C)C2)s1 ZINC000335674704 136317771 /nfs/dbraw/zinc/31/77/71/136317771.db2.gz HQDSZZHWPBLCFI-LLVKDONJSA-N 0 3 224.373 2.929 20 0 BFADHN CCn1ccnc1CNC1CC(C(C)(C)C)C1 ZINC000569910640 323044015 /nfs/dbraw/zinc/04/40/15/323044015.db2.gz YVKSHWOPLLPETH-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1cscc1CN[C@H]1COC(C)(C)C1 ZINC000335656551 136306372 /nfs/dbraw/zinc/30/63/72/136306372.db2.gz MXVJBVXHZXNADE-LLVKDONJSA-N 0 3 225.357 2.714 20 0 BFADHN Fc1ccccc1CN1CCC[C@H]2COCC[C@@H]21 ZINC000335668982 136312272 /nfs/dbraw/zinc/31/22/72/136312272.db2.gz KNOMQICCJSNKQT-ZFWWWQNUSA-N 0 3 249.329 2.827 20 0 BFADHN CC[C@H](N)c1cn([C@@H](C)c2cccc(C)c2)nn1 ZINC000641074918 362183817 /nfs/dbraw/zinc/18/38/17/362183817.db2.gz NVQQBLNNGAIKEB-AAEUAGOBSA-N 0 3 244.342 2.606 20 0 BFADHN O=C(Nc1ccc2c(c1)CNC2)C1CCCCC1 ZINC000335663070 136315807 /nfs/dbraw/zinc/31/58/07/136315807.db2.gz KYEJDUMFXTTXKB-UHFFFAOYSA-N 0 3 244.338 2.809 20 0 BFADHN Cc1cc(CN[C@H]2CO[C@H](C)C2)c(C)s1 ZINC000335664606 136316565 /nfs/dbraw/zinc/31/65/65/136316565.db2.gz UKIXXYGBNSAGHN-PRHODGIISA-N 0 3 225.357 2.632 20 0 BFADHN CC[C@@H](N)c1cn([C@H](C)c2ccccc2C)nn1 ZINC000641080212 362194721 /nfs/dbraw/zinc/19/47/21/362194721.db2.gz UGYXRHJPJMDPAP-DGCLKSJQSA-N 0 3 244.342 2.606 20 0 BFADHN CCCCOCCNCc1cnc(CC)s1 ZINC000040506998 343406338 /nfs/dbraw/zinc/40/63/38/343406338.db2.gz JGKORUSNBXQKCR-UHFFFAOYSA-N 0 3 242.388 2.612 20 0 BFADHN CC(C)CCCN1CCCN(CC(F)F)CC1 ZINC000349153131 136326913 /nfs/dbraw/zinc/32/69/13/136326913.db2.gz RPCIWCAWSYBEKO-UHFFFAOYSA-N 0 3 248.361 2.695 20 0 BFADHN CC[C@@H](NCc1cnn(C)c1)c1ccccc1 ZINC000041158871 343416328 /nfs/dbraw/zinc/41/63/28/343416328.db2.gz NKNVXUYIGIUWOZ-CQSZACIVSA-N 0 3 229.327 2.661 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CCC[C@@](F)(CO)C1 ZINC000349036515 136320155 /nfs/dbraw/zinc/32/01/55/136320155.db2.gz QPMBSKKSCJIFLM-RDBSUJKOSA-N 0 3 243.366 2.609 20 0 BFADHN Cc1ccc(CCCN2CCC[C@@H]2CF)cn1 ZINC000354523854 178528704 /nfs/dbraw/zinc/52/87/04/178528704.db2.gz VXDGXQDHRPXKCW-CQSZACIVSA-N 0 3 236.334 2.757 20 0 BFADHN CC1=CCCN(C/C=C/c2ccncc2)C1 ZINC000335723847 136346519 /nfs/dbraw/zinc/34/65/19/136346519.db2.gz WMJLSNAZWSCCAS-HWKANZROSA-N 0 3 214.312 2.747 20 0 BFADHN Cc1nc(CNC[C@@H]2CC[C@@H](C)C2)cs1 ZINC000230413557 343424996 /nfs/dbraw/zinc/42/49/96/343424996.db2.gz ODZSQNFENKDNBN-MWLCHTKSSA-N 0 3 224.373 2.977 20 0 BFADHN Cc1ccc([C@H](NC[C@@H](C)CO)C2CCC2)o1 ZINC000349450498 136352110 /nfs/dbraw/zinc/35/21/10/136352110.db2.gz LELXYKWFNPKKMR-QMTHXVAHSA-N 0 3 237.343 2.647 20 0 BFADHN Cc1ccc(NC(=O)C(C)C(F)(F)F)c(C)n1 ZINC000335685897 136330320 /nfs/dbraw/zinc/33/03/20/136330320.db2.gz IATHTQYVQSIDAR-SSDOTTSWSA-N 0 3 246.232 2.835 20 0 BFADHN C[C@@H]1CCCCCN1Cc1cncs1 ZINC000335707001 136336076 /nfs/dbraw/zinc/33/60/76/136336076.db2.gz BPACHRGDVCJGDY-SNVBAGLBSA-N 0 3 210.346 2.908 20 0 BFADHN Cc1ccsc1-c1noc([C@@H]2CCN(C)C2)n1 ZINC000335712177 136338027 /nfs/dbraw/zinc/33/80/27/136338027.db2.gz PBMZLSOZWWQOEW-SECBINFHSA-N 0 3 249.339 2.526 20 0 BFADHN Cc1n[nH]c(C)c1CN[C@@H]1CCCC(F)(F)C1 ZINC000335713504 136338230 /nfs/dbraw/zinc/33/82/30/136338230.db2.gz GIFOOISUTQEPPP-SNVBAGLBSA-N 0 3 243.301 2.694 20 0 BFADHN Cc1ncc2c(n1)CCN([C@H](C)CC(C)C)C2 ZINC000335715339 136339202 /nfs/dbraw/zinc/33/92/02/136339202.db2.gz FIXIWSMASUCDHD-LLVKDONJSA-N 0 3 233.359 2.578 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H](C)c1ccc(F)cc1 ZINC000349341918 136342058 /nfs/dbraw/zinc/34/20/58/136342058.db2.gz LWROSGBRTRZSAV-LAPROLRISA-N 0 3 237.318 2.803 20 0 BFADHN CCCN(C)Cc1ccc(OC)c(F)c1 ZINC000047702744 343445843 /nfs/dbraw/zinc/44/58/43/343445843.db2.gz IZSPWJSADXSAKI-UHFFFAOYSA-N 0 3 211.280 2.676 20 0 BFADHN Cc1ncc(CN2CC(C)(C)[C@H]2C(C)C)n1C ZINC000668190770 488218770 /nfs/dbraw/zinc/21/87/70/488218770.db2.gz NETFXJFVMAFOOQ-CYBMUJFWSA-N 0 3 235.375 2.595 20 0 BFADHN Cc1cnc(CN[C@H]2CCCCC2(C)C)nc1 ZINC000335851974 136355057 /nfs/dbraw/zinc/35/50/57/136355057.db2.gz GBQDLLPBAVARHB-LBPRGKRZSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1cnc(CN[C@@H]2CCCCC2(C)C)nc1 ZINC000335851973 136355196 /nfs/dbraw/zinc/35/51/96/136355196.db2.gz GBQDLLPBAVARHB-GFCCVEGCSA-N 0 3 233.359 2.843 20 0 BFADHN CC(C)n1ccc(CNC2CCCCC2)n1 ZINC000082866344 362242916 /nfs/dbraw/zinc/24/29/16/362242916.db2.gz UTLAVXYDJRFFPE-UHFFFAOYSA-N 0 3 221.348 2.886 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnn(CCC)c1 ZINC000350277376 136357276 /nfs/dbraw/zinc/35/72/76/136357276.db2.gz DIYGHEQSWGJYQP-GFCCVEGCSA-N 0 3 223.364 2.914 20 0 BFADHN CC[C@H]1C[C@H](CN(C)Cc2cccnc2)CCO1 ZINC000350457975 136360610 /nfs/dbraw/zinc/36/06/10/136360610.db2.gz JAIPYSVWKSPELF-HIFRSBDPSA-N 0 3 248.370 2.719 20 0 BFADHN CC[C@@H]1C[C@@H](CN(C)Cc2cccnc2)CCO1 ZINC000350457974 136360749 /nfs/dbraw/zinc/36/07/49/136360749.db2.gz JAIPYSVWKSPELF-DZGCQCFKSA-N 0 3 248.370 2.719 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](C)Cc2cnn(C)c2)o1 ZINC000350519385 136361871 /nfs/dbraw/zinc/36/18/71/136361871.db2.gz YXQBBIBRWCIYGT-ZYHUDNBSSA-N 0 3 247.342 2.603 20 0 BFADHN CSC1CCN([C@@H](C)c2ccncc2)CC1 ZINC000602919690 349809257 /nfs/dbraw/zinc/80/92/57/349809257.db2.gz FMVZUCPEBBNLJA-NSHDSACASA-N 0 3 236.384 2.970 20 0 BFADHN CCOc1cccc(CN(C(C)C)C2CC2)n1 ZINC000351001538 136366474 /nfs/dbraw/zinc/36/64/74/136366474.db2.gz KNFZKLKBZUFDNL-UHFFFAOYSA-N 0 3 234.343 2.853 20 0 BFADHN Cc1nc(CNC[C@H]2C[C@H]2C)sc1C ZINC000166918359 136367112 /nfs/dbraw/zinc/36/71/12/136367112.db2.gz UMHXTAYEXUXVEQ-GMSGAONNSA-N 0 3 210.346 2.506 20 0 BFADHN Oc1ccc2c(c1)CN(CCCCF)CC2 ZINC000351872952 136371101 /nfs/dbraw/zinc/37/11/01/136371101.db2.gz FRBLDWHAXCBBFK-UHFFFAOYSA-N 0 3 223.291 2.500 20 0 BFADHN CCN(Cc1cnc(C)o1)CC(C)(C)C ZINC000628289719 346941591 /nfs/dbraw/zinc/94/15/91/346941591.db2.gz OMEVFDUBQRCMSR-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ccoc1C(=O)OC ZINC000042554092 343442829 /nfs/dbraw/zinc/44/28/29/343442829.db2.gz NYSFIIRYMBDYLD-ZJUUUORDSA-N 0 3 239.315 2.590 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1nccn1C(F)F ZINC000042555862 343442845 /nfs/dbraw/zinc/44/28/45/343442845.db2.gz LWHOCFREZJXQDI-RKDXNWHRSA-N 0 3 231.290 2.802 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ccc(C(=O)OC)o1 ZINC000042554263 343443023 /nfs/dbraw/zinc/44/30/23/343443023.db2.gz JWFARXGDKRJMSK-NXEZZACHSA-N 0 3 239.315 2.590 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCC(=O)Nc1ccccc1 ZINC000042556101 343443053 /nfs/dbraw/zinc/44/30/53/343443053.db2.gz ZUFOLZQZWGNNEC-VXGBXAGGSA-N 0 3 234.343 2.649 20 0 BFADHN CC[C@@H](NCc1nccn1C(F)F)C(C)C ZINC000042589101 343444989 /nfs/dbraw/zinc/44/49/89/343444989.db2.gz ILGHBHGAXZZUEP-SECBINFHSA-N 0 3 231.290 2.802 20 0 BFADHN CSC1CCN([C@@H](C)c2cccnc2)CC1 ZINC000602920167 349810590 /nfs/dbraw/zinc/81/05/90/349810590.db2.gz MAXNVNMMCRBJCT-NSHDSACASA-N 0 3 236.384 2.970 20 0 BFADHN CSC1CCN([C@@H](C)c2ccccn2)CC1 ZINC000602922334 349812200 /nfs/dbraw/zinc/81/22/00/349812200.db2.gz HTRYLDWWXCPUMN-NSHDSACASA-N 0 3 236.384 2.970 20 0 BFADHN COCCN[C@@H]1CCCOc2c(C)cc(C)cc21 ZINC000152135407 536894313 /nfs/dbraw/zinc/89/43/13/536894313.db2.gz NKBBLGWGEKZCCI-CQSZACIVSA-N 0 3 249.354 2.753 20 0 BFADHN COC[C@H](C)NCc1ccc(Cl)c(F)c1 ZINC000043388248 343469340 /nfs/dbraw/zinc/46/93/40/343469340.db2.gz YQKFCSMHPUHQGW-QMMMGPOBSA-N 0 3 231.698 2.604 20 0 BFADHN C[C@H](NC1CC1)c1cncc(Br)c1 ZINC000570057798 323048688 /nfs/dbraw/zinc/04/86/88/323048688.db2.gz GVMLFIQXKDBEHA-ZETCQYMHSA-N 0 3 241.132 2.657 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]1c1ccccc1)c1cnn(C)c1 ZINC000044676446 343511640 /nfs/dbraw/zinc/51/16/40/343511640.db2.gz UVVFLFJJLJWBNS-NILFDRSVSA-N 0 3 241.338 2.627 20 0 BFADHN C[C@@H](N[C@H]1CCc2ccccc21)c1cnn(C)c1 ZINC000044495178 343503880 /nfs/dbraw/zinc/50/38/80/343503880.db2.gz ZLVJLDIKHSHHEH-ABAIWWIYSA-N 0 3 241.338 2.758 20 0 BFADHN CCN(CCOC)Cc1cccc(Cl)c1 ZINC000047958076 343568877 /nfs/dbraw/zinc/56/88/77/343568877.db2.gz QHONFLXHSKEESU-UHFFFAOYSA-N 0 3 227.735 2.808 20 0 BFADHN CC[C@@H]1CCCCN1C(=O)CN(C)C(C)(C)C ZINC000570208513 323052894 /nfs/dbraw/zinc/05/28/94/323052894.db2.gz XNQMIGVSNXMADI-GFCCVEGCSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1nccn1CCN[C@H](C)c1cccs1 ZINC000049330128 343595897 /nfs/dbraw/zinc/59/58/97/343595897.db2.gz LGJZOAOPSYWHMB-SNVBAGLBSA-N 0 3 235.356 2.604 20 0 BFADHN CCN(CC)Cc1ccc(OC)cc1F ZINC000379980177 365778196 /nfs/dbraw/zinc/77/81/96/365778196.db2.gz RZPLNYXZNNNTJO-UHFFFAOYSA-N 0 3 211.280 2.676 20 0 BFADHN C[C@H](N(C)Cc1cnc2cnccn12)C(C)(C)C ZINC000556802440 343580897 /nfs/dbraw/zinc/58/08/97/343580897.db2.gz MGOJVGZEIVDPOG-NSHDSACASA-N 0 3 246.358 2.596 20 0 BFADHN Cc1cccc([C@@H](C)NCc2ccc[nH]c2=O)c1 ZINC000624257599 343619840 /nfs/dbraw/zinc/61/98/40/343619840.db2.gz KCUZRCLTZCYSJN-GFCCVEGCSA-N 0 3 242.322 2.946 20 0 BFADHN Cc1nccn1CCN[C@H](C)c1ccc(F)cc1 ZINC000049505655 343600552 /nfs/dbraw/zinc/60/05/52/343600552.db2.gz FPUNWYIMNFDLQG-LLVKDONJSA-N 0 3 247.317 2.681 20 0 BFADHN CCN(CC)C(=O)CN[C@H](C)c1ccc(C)cc1 ZINC000049556801 343602450 /nfs/dbraw/zinc/60/24/50/343602450.db2.gz GRYKMTXHZBDVIM-CYBMUJFWSA-N 0 3 248.370 2.514 20 0 BFADHN Cc1ccccc1CN(C)CCOC(C)C ZINC000052894947 343641188 /nfs/dbraw/zinc/64/11/88/343641188.db2.gz JHUHOCDSSIDAAQ-UHFFFAOYSA-N 0 3 221.344 2.852 20 0 BFADHN C[C@@H](NCc1ccc[nH]c1=O)C(C)(C)C(F)F ZINC000624260377 343628751 /nfs/dbraw/zinc/62/87/51/343628751.db2.gz LRNUPYOIYZFBIS-MRVPVSSYSA-N 0 3 244.285 2.557 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1snnc1C ZINC000379973457 343662398 /nfs/dbraw/zinc/66/23/98/343662398.db2.gz CIKSVNBQPOSCQZ-NXEZZACHSA-N 0 3 225.361 2.515 20 0 BFADHN CC(C)OCCNCc1ccc(C(F)F)nc1 ZINC000631111410 343662828 /nfs/dbraw/zinc/66/28/28/343662828.db2.gz OTHKYZWSVDSBDY-UHFFFAOYSA-N 0 3 244.285 2.534 20 0 BFADHN CCN(Cc1ccnc(C#N)c1)CC(C)(C)C ZINC000379991900 343663970 /nfs/dbraw/zinc/66/39/70/343663970.db2.gz UEGGAGNSQHLZOF-UHFFFAOYSA-N 0 3 231.343 2.821 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1cnc(C2CC2)s1 ZINC000380101230 343679813 /nfs/dbraw/zinc/67/98/13/343679813.db2.gz LXLMOPABYPJLDW-KCJUWKMLSA-N 0 3 222.357 2.909 20 0 BFADHN Cn1cccc1CN1CCC2(CC2(F)F)CC1 ZINC000651953651 537799287 /nfs/dbraw/zinc/79/92/87/537799287.db2.gz QNAFITXSPHDWFV-UHFFFAOYSA-N 0 3 240.297 2.646 20 0 BFADHN CCOCCN1CCC[C@H]1c1cccs1 ZINC000057598261 343690561 /nfs/dbraw/zinc/69/05/61/343690561.db2.gz FDGADHDKHSXMCR-NSHDSACASA-N 0 3 225.357 2.922 20 0 BFADHN CC(C)OCCNCc1ccc2cnccc2c1 ZINC000232676322 537803815 /nfs/dbraw/zinc/80/38/15/537803815.db2.gz XFGKQBQPJLOZSS-UHFFFAOYSA-N 0 3 244.338 2.749 20 0 BFADHN CCC[C@@H](C)N(C)CC(=O)N1CCCCCC1 ZINC000048071115 343708216 /nfs/dbraw/zinc/70/82/16/343708216.db2.gz ILWJPCAZFFNURC-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@H](C)N[C@H]1CCCc2scnc21 ZINC000623849244 343688982 /nfs/dbraw/zinc/68/89/82/343688982.db2.gz ABFKFGHSCKZEJT-IUCAKERBSA-N 0 3 210.346 2.909 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1cccc(C)n1 ZINC000309094882 346962450 /nfs/dbraw/zinc/96/24/50/346962450.db2.gz DNHSEIHULHKOFA-DGCLKSJQSA-N 0 3 204.317 2.668 20 0 BFADHN CCOc1cccc(CN[C@H]2COCC2(C)C)c1 ZINC000312990339 343711325 /nfs/dbraw/zinc/71/13/25/343711325.db2.gz CMEHBWXAKZWWAD-AWEZNQCLSA-N 0 3 249.354 2.600 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1cnc(C)s1 ZINC000380265524 343711963 /nfs/dbraw/zinc/71/19/63/343711963.db2.gz TYUDKSDCYFMNNZ-UJNFCWOMSA-N 0 3 242.413 2.996 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H](C)c1cnc(C)s1 ZINC000380265522 343712031 /nfs/dbraw/zinc/71/20/31/343712031.db2.gz TYUDKSDCYFMNNZ-FXBDTBDDSA-N 0 3 242.413 2.996 20 0 BFADHN CC/C=C\CCN1C[C@@H](C)OC(C)(C)C1 ZINC000342274665 343728686 /nfs/dbraw/zinc/72/86/86/343728686.db2.gz OXWQJGAINBYRLN-ZHRWSRJISA-N 0 3 211.349 2.842 20 0 BFADHN CC(C)(C)OC(=O)CCN1CCC(C)(F)CC1 ZINC000602993040 349847998 /nfs/dbraw/zinc/84/79/98/349847998.db2.gz OCSMVXXPWFPXHT-UHFFFAOYSA-N 0 3 245.338 2.542 20 0 BFADHN COc1ccc(CNCC[C@@H](C)F)c(F)c1 ZINC000584177127 343769684 /nfs/dbraw/zinc/76/96/84/343769684.db2.gz LBTLBKCTFDBFRL-SECBINFHSA-N 0 3 229.270 2.672 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)[C@@H](OC)c1ccccc1 ZINC000584208303 343773914 /nfs/dbraw/zinc/77/39/14/343773914.db2.gz JYSUAQOJFSJNOB-SPWCGHHHSA-N 0 3 249.354 2.530 20 0 BFADHN OCCN[C@H]1CCc2c1cc(Cl)cc2Cl ZINC000089694174 343748695 /nfs/dbraw/zinc/74/86/95/343748695.db2.gz NXMAAWVCUDFNPS-NSHDSACASA-N 0 3 246.137 2.563 20 0 BFADHN CSCCNC(C)(C)c1nc(C)c(C)s1 ZINC000089913161 343748951 /nfs/dbraw/zinc/74/89/51/343748951.db2.gz JRPWBLBHXJRHSV-UHFFFAOYSA-N 0 3 244.429 2.948 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CO[C@@H](C)C2)cs1 ZINC000402135259 349863992 /nfs/dbraw/zinc/86/39/92/349863992.db2.gz KJDNPRDWSFXKGM-PEXQALLHSA-N 0 3 225.357 2.885 20 0 BFADHN Cc1ccc(F)c(CNC2(C)CCOCC2)c1 ZINC000178997654 346970774 /nfs/dbraw/zinc/97/07/74/346970774.db2.gz UINQSUXTIHMBEQ-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN CC(C)n1cc(CN2CCCC[C@H]2C)cn1 ZINC000179526992 346987879 /nfs/dbraw/zinc/98/78/79/346987879.db2.gz MRFHLFLJNUSBBU-GFCCVEGCSA-N 0 3 221.348 2.838 20 0 BFADHN CC[C@@H]1CCCN(Cc2cccc3nccn32)C1 ZINC000179763323 346996091 /nfs/dbraw/zinc/99/60/91/346996091.db2.gz HGQRSKSKJCOAQY-CYBMUJFWSA-N 0 3 243.354 2.956 20 0 BFADHN c1ccc2c(c1)SC[C@@H]2NCC1=CCCOC1 ZINC000334963971 192067557 /nfs/dbraw/zinc/06/75/57/192067557.db2.gz QXEMZGIFKLBTKF-ZDUSSCGKSA-N 0 3 247.363 2.770 20 0 BFADHN CCN(Cc1cccc(F)c1)C[C@H]1CCCO1 ZINC000066696000 343878617 /nfs/dbraw/zinc/87/86/17/343878617.db2.gz SAZXDBGMAHTYKH-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN Cc1ccc(CNC(C)C)c(O[C@H]2CCOC2)c1 ZINC000125778808 178602352 /nfs/dbraw/zinc/60/23/52/178602352.db2.gz JLVGCJKGFGUAHE-AWEZNQCLSA-N 0 3 249.354 2.661 20 0 BFADHN CCC[C@H](NCc1cncc(OC)n1)C1CCC1 ZINC000625467610 343872482 /nfs/dbraw/zinc/87/24/82/343872482.db2.gz HIYJOKGYARFEBA-ZDUSSCGKSA-N 0 3 249.358 2.544 20 0 BFADHN COCCCCCN[C@@H](C)c1nc(C)cs1 ZINC000184163153 537827479 /nfs/dbraw/zinc/82/74/79/537827479.db2.gz KCTPNGSIZBLBKY-NSHDSACASA-N 0 3 242.388 2.919 20 0 BFADHN C[C@@H]1C[C@@H](NC2CCCCC2)c2nccn21 ZINC000334468280 192067784 /nfs/dbraw/zinc/06/77/84/192067784.db2.gz FXCSKVJYBSIKLX-ZYHUDNBSSA-N 0 3 219.332 2.811 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](C)n2ccnc21)C(C)(C)C ZINC000345347648 343905412 /nfs/dbraw/zinc/90/54/12/343905412.db2.gz DXMVYTMJEUUWHA-MXWKQRLJSA-N 0 3 221.348 2.913 20 0 BFADHN c1csc(CN2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC000135131104 343941518 /nfs/dbraw/zinc/94/15/18/343941518.db2.gz YRDOECWSZXTSSH-PHIMTYICSA-N 0 3 222.357 2.765 20 0 BFADHN CC(C)N(C)Cc1ccc(F)c(F)c1N(C)C ZINC000628119284 343970580 /nfs/dbraw/zinc/97/05/80/343970580.db2.gz LCRRXXAJQDFIKC-UHFFFAOYSA-N 0 3 242.313 2.871 20 0 BFADHN CC1(C)C[C@H](NCc2ccccc2F)CCO1 ZINC000070344786 343950662 /nfs/dbraw/zinc/95/06/62/343950662.db2.gz SENAVENVWTXULL-GFCCVEGCSA-N 0 3 237.318 2.873 20 0 BFADHN C/C=C\CNCc1c[nH]nc1-c1cccs1 ZINC000384713977 343959784 /nfs/dbraw/zinc/95/97/84/343959784.db2.gz FFWJKWDAIPNWBL-IHWYPQMZSA-N 0 3 233.340 2.804 20 0 BFADHN C/C=C/CNCc1c[nH]nc1-c1cccs1 ZINC000384713979 343959862 /nfs/dbraw/zinc/95/98/62/343959862.db2.gz FFWJKWDAIPNWBL-NSCUHMNNSA-N 0 3 233.340 2.804 20 0 BFADHN CCS[C@@H]1CCCC[C@@H]1NCc1cn[nH]c1 ZINC000393802623 343963418 /nfs/dbraw/zinc/96/34/18/343963418.db2.gz NWHZFOKFRLQWGK-NWDGAFQWSA-N 0 3 239.388 2.564 20 0 BFADHN Cc1c[nH]c(CN(CC(C)C)CC(C)C)n1 ZINC000628118801 343969152 /nfs/dbraw/zinc/96/91/52/343969152.db2.gz LFRRCDNWVMGTAK-UHFFFAOYSA-N 0 3 223.364 2.832 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCCC(F)(F)CC2)O1 ZINC000625770188 344065747 /nfs/dbraw/zinc/06/57/47/344065747.db2.gz HSSGHLLQFVQUSX-MNOVXSKESA-N 0 3 233.302 2.675 20 0 BFADHN FC1(F)CCCN(C[C@H]2CCCCO2)CC1 ZINC000625766236 344068196 /nfs/dbraw/zinc/06/81/96/344068196.db2.gz OTPKXLMVWVSAMP-LLVKDONJSA-N 0 3 233.302 2.677 20 0 BFADHN FC1(F)CCCN(C[C@@H]2CCCCO2)CC1 ZINC000625766237 344068236 /nfs/dbraw/zinc/06/82/36/344068236.db2.gz OTPKXLMVWVSAMP-NSHDSACASA-N 0 3 233.302 2.677 20 0 BFADHN CC1(C)CCCN1C[C@H](O)c1ccccc1F ZINC000077382825 344068786 /nfs/dbraw/zinc/06/87/86/344068786.db2.gz KWVZBDLSPWGROD-ZDUSSCGKSA-N 0 3 237.318 2.734 20 0 BFADHN CCCCOCCN1CCCC(F)(F)CC1 ZINC000625768743 344068973 /nfs/dbraw/zinc/06/89/73/344068973.db2.gz PESAOAQZLVHJGW-UHFFFAOYSA-N 0 3 235.318 2.924 20 0 BFADHN CC[C@@H](N)C(=O)N[C@@H](c1ccccc1C)C(C)C ZINC000129826500 344070931 /nfs/dbraw/zinc/07/09/31/344070931.db2.gz VBTKOTBECWUCAM-ZIAGYGMSSA-N 0 3 248.370 2.546 20 0 BFADHN CC(C)(C)OC(=O)CCCN1CCCCC1 ZINC000130032705 344104174 /nfs/dbraw/zinc/10/41/74/344104174.db2.gz SYTANZMCWHATPK-UHFFFAOYSA-N 0 3 227.348 2.594 20 0 BFADHN CC1(C)CC(NCc2cnc3ccccc3n2)C1 ZINC000395182322 362337587 /nfs/dbraw/zinc/33/75/87/362337587.db2.gz OJBABKOINJRVRY-UHFFFAOYSA-N 0 3 241.338 2.908 20 0 BFADHN CC1(CN2CCO[C@@H](C(F)F)CC2)CCC1 ZINC000634734575 344116126 /nfs/dbraw/zinc/11/61/26/344116126.db2.gz JGPADPNJAGDQNA-SNVBAGLBSA-N 0 3 233.302 2.533 20 0 BFADHN CC[C@@H](N)C(=O)N[C@@H](CCC(C)C)C(C)(C)C ZINC000129869278 344080993 /nfs/dbraw/zinc/08/09/93/344080993.db2.gz OSYOVFYMDSLGJT-NEPJUHHUSA-N 0 3 242.407 2.691 20 0 BFADHN COc1c(C)cnc(CN(C2CC2)C2CC2)c1C ZINC000625838319 344090829 /nfs/dbraw/zinc/09/08/29/344090829.db2.gz ZFCSVIREVGRUDU-UHFFFAOYSA-N 0 3 246.354 2.834 20 0 BFADHN Cc1ccncc1CN(C1CC1)C1CC1 ZINC000625842733 344093714 /nfs/dbraw/zinc/09/37/14/344093714.db2.gz MDYCGOOUOQBQEY-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN COCc1ccc(CN(C2CC2)C2CC2)cc1 ZINC000625841231 344095038 /nfs/dbraw/zinc/09/50/38/344095038.db2.gz JPBDQXBQDGGVCP-UHFFFAOYSA-N 0 3 231.339 2.960 20 0 BFADHN Cc1ccc(CN[C@@]2(C)CCO[C@@H]2C)c(F)c1 ZINC000631112554 344170475 /nfs/dbraw/zinc/17/04/75/344170475.db2.gz WISOQFMDKBYUBJ-RISCZKNCSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ccc(CN[C@@]2(C)CCO[C@H]2C)c(F)c1 ZINC000631112547 344170688 /nfs/dbraw/zinc/17/06/88/344170688.db2.gz WISOQFMDKBYUBJ-FZMZJTMJSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ccc(CN[C@H]2CCO[C@H](C(C)C)C2)nc1 ZINC000357356413 178635605 /nfs/dbraw/zinc/63/56/05/178635605.db2.gz QCIVYWLHXHYIBS-ZFWWWQNUSA-N 0 3 248.370 2.683 20 0 BFADHN C/C=C\CN[C@@H](C)c1cnc2cc(C)nn2c1C ZINC000395267710 344136226 /nfs/dbraw/zinc/13/62/26/344136226.db2.gz ZQQDQJCWXCNUNJ-GZTOBOFZSA-N 0 3 244.342 2.573 20 0 BFADHN CC(C)CN(C)Cc1cnc(C2CC2)o1 ZINC000628129449 344157929 /nfs/dbraw/zinc/15/79/29/344157929.db2.gz BKAVPDCRPOSTBY-UHFFFAOYSA-N 0 3 208.305 2.640 20 0 BFADHN Cc1ncc(CN2CC[C@@H](c3ccccc3)C2)o1 ZINC000628136437 344211461 /nfs/dbraw/zinc/21/14/61/344211461.db2.gz BXRRZAOPNYLWBV-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN CC/C=C/CCN1CCO[C@@H](C(F)F)CC1 ZINC000634758475 344226483 /nfs/dbraw/zinc/22/64/83/344226483.db2.gz POVVKLPJFXUJHQ-PGLGOXFNSA-N 0 3 233.302 2.699 20 0 BFADHN OCc1ccc(CNC[C@@H]2CC3CCC2CC3)o1 ZINC000400028193 344230608 /nfs/dbraw/zinc/23/06/08/344230608.db2.gz PQSGJMOZOUREDE-BPCQOVAHSA-N 0 3 249.354 2.688 20 0 BFADHN FC(F)[C@@H]1CCN(CCC2CCC2)CCO1 ZINC000634759192 344232215 /nfs/dbraw/zinc/23/22/15/344232215.db2.gz DGEXGJOQXSBPKX-NSHDSACASA-N 0 3 233.302 2.533 20 0 BFADHN CC1(C)CC(CN2CCO[C@H](C(F)F)CC2)C1 ZINC000634759466 344233080 /nfs/dbraw/zinc/23/30/80/344233080.db2.gz JZXCUWWYUNCSDD-NSHDSACASA-N 0 3 247.329 2.779 20 0 BFADHN C/C=C\CN[C@H]1CCCc2cccnc21 ZINC000384855670 344184643 /nfs/dbraw/zinc/18/46/43/344184643.db2.gz CLKNLJPQTQBREG-DLGQBQFBSA-N 0 3 202.301 2.625 20 0 BFADHN CCC(CC)SCCCN1CCN(C)CC1 ZINC000130744995 344186339 /nfs/dbraw/zinc/18/63/39/344186339.db2.gz GOQAWPMUPPOZPZ-UHFFFAOYSA-N 0 3 244.448 2.546 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2C(C)C)[nH]c1C ZINC000628134373 344187700 /nfs/dbraw/zinc/18/77/00/344187700.db2.gz NHWCJHXMFIZEAE-GFCCVEGCSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1cnc(CN2[C@H](C)CC[C@H]2C)s1 ZINC000180542066 347032830 /nfs/dbraw/zinc/03/28/30/347032830.db2.gz NUUUPSBAEBRJMV-RKDXNWHRSA-N 0 3 210.346 2.824 20 0 BFADHN CCN(Cc1cnc(C)o1)C1CCCC1 ZINC000628135097 344193520 /nfs/dbraw/zinc/19/35/20/344193520.db2.gz MPPXASCJOVBBEA-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN Cc1n[nH]c(C)c1CNCc1cc(C)cc(C)c1 ZINC000588911718 344198076 /nfs/dbraw/zinc/19/80/76/344198076.db2.gz WYIUGAGVFRRROM-UHFFFAOYSA-N 0 3 243.354 2.933 20 0 BFADHN CCCCCN1CCO[C@H](C(F)F)CC1 ZINC000634753007 344204973 /nfs/dbraw/zinc/20/49/73/344204973.db2.gz WVOATZMPIWCRBO-JTQLQIEISA-N 0 3 221.291 2.533 20 0 BFADHN CCCCCN1CCO[C@@H](C(F)F)CC1 ZINC000634753008 344205027 /nfs/dbraw/zinc/20/50/27/344205027.db2.gz WVOATZMPIWCRBO-SNVBAGLBSA-N 0 3 221.291 2.533 20 0 BFADHN C[C@H](O)CN[C@@H]1CCCc2sc(Cl)cc21 ZINC000132264683 344295667 /nfs/dbraw/zinc/29/56/67/344295667.db2.gz UXHYUNZHNDAVQB-IONNQARKSA-N 0 3 245.775 2.749 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](C)COC)o1 ZINC000132005115 344249553 /nfs/dbraw/zinc/24/95/53/344249553.db2.gz LPUCWSGVIBXDNK-NXEZZACHSA-N 0 3 211.305 2.528 20 0 BFADHN Cc1ccc(CSCCN(C)C)cc1F ZINC000600528875 344258571 /nfs/dbraw/zinc/25/85/71/344258571.db2.gz IYPCCRUHMMYVSG-UHFFFAOYSA-N 0 3 227.348 2.929 20 0 BFADHN CC(C)C1(NCc2c3c(nn2C)CCCC3)CC1 ZINC000631143702 344271627 /nfs/dbraw/zinc/27/16/27/344271627.db2.gz HTNPHNBUHIBKBU-UHFFFAOYSA-N 0 3 247.386 2.577 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cnc(N(C)C)s1 ZINC000091796468 344285238 /nfs/dbraw/zinc/28/52/38/344285238.db2.gz GOWUHSOREXTMQX-SNVBAGLBSA-N 0 3 241.404 2.687 20 0 BFADHN CC(C)c1cnc(CNCC2CC2)s1 ZINC000132377000 344298349 /nfs/dbraw/zinc/29/83/49/344298349.db2.gz BNJHXWJLPAJPRM-UHFFFAOYSA-N 0 3 210.346 2.766 20 0 BFADHN C/C=C\CNCc1cnc(C)n1-c1ccccc1 ZINC000385068943 344314232 /nfs/dbraw/zinc/31/42/32/344314232.db2.gz VOKOSASNZISOFS-ARJAWSKDSA-N 0 3 241.338 2.846 20 0 BFADHN C[C@@H](CC(=O)N[C@@H](C)CN(C)C)CC(C)(C)C ZINC000672516612 488258360 /nfs/dbraw/zinc/25/83/60/488258360.db2.gz HDXMYXRZLAQGET-RYUDHWBXSA-N 0 3 242.407 2.515 20 0 BFADHN CC1(C)C[C@H](NCc2cccnc2)C(C)(C)O1 ZINC000094422779 344329171 /nfs/dbraw/zinc/32/91/71/344329171.db2.gz OXXOAVKVNLCLSE-LBPRGKRZSA-N 0 3 234.343 2.517 20 0 BFADHN CC1(C)C[C@@H](NCc2ccncc2)C(C)(C)O1 ZINC000094422777 344329348 /nfs/dbraw/zinc/32/93/48/344329348.db2.gz JLBRVMGICWJFLK-GFCCVEGCSA-N 0 3 234.343 2.517 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccc(C)o2)C1(C)C ZINC000084226267 344329735 /nfs/dbraw/zinc/32/97/35/344329735.db2.gz VEKYZLVYHPVZFC-STQMWFEESA-N 0 3 237.343 2.881 20 0 BFADHN C[C@H](N[C@H]1CSC1(C)C)c1ccoc1 ZINC000306745643 344423451 /nfs/dbraw/zinc/42/34/51/344423451.db2.gz BJNCWZUGNMKKMQ-WPRPVWTQSA-N 0 3 211.330 2.824 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](CC)c1cccc(OC)c1 ZINC000584271759 344373907 /nfs/dbraw/zinc/37/39/07/344373907.db2.gz SMOYRKGPPHIYFP-SOUVJXGZSA-N 0 3 249.354 2.913 20 0 BFADHN CC[C@@H]1CN([C@H]2C=CCCC2)C[C@@H](C)O1 ZINC000247704725 344385171 /nfs/dbraw/zinc/38/51/71/344385171.db2.gz RTZRHYGOINVGIJ-FRRDWIJNSA-N 0 3 209.333 2.594 20 0 BFADHN C[C@@H](c1cc2ccccc2o1)N(C)CCCO ZINC000044089880 344389121 /nfs/dbraw/zinc/38/91/21/344389121.db2.gz RKWZITAEKJXPTO-NSHDSACASA-N 0 3 233.311 2.808 20 0 BFADHN Cc1csc(CN[C@H]2CC[C@@H]2C(C)C)n1 ZINC000336765183 537846734 /nfs/dbraw/zinc/84/67/34/537846734.db2.gz QUULYYRYAVAWAW-MNOVXSKESA-N 0 3 224.373 2.976 20 0 BFADHN CC[C@@H](O)CN(C)Cc1ccc(Cl)cc1 ZINC000042439296 344453558 /nfs/dbraw/zinc/45/35/58/344453558.db2.gz ZSKKKIUDODFOAV-GFCCVEGCSA-N 0 3 227.735 2.543 20 0 BFADHN CS[C@@H]1CCC[C@H](NCc2cc(C)no2)C1 ZINC000381007837 344468194 /nfs/dbraw/zinc/46/81/94/344468194.db2.gz RCIDEUXGNXMVGD-CMPLNLGQSA-N 0 3 240.372 2.747 20 0 BFADHN Cc1ccc2c(c1)[C@@H](N[C@@H](C)CCO)CCCO2 ZINC000151952841 344470353 /nfs/dbraw/zinc/47/03/53/344470353.db2.gz WEZYMTPXMMVTEK-JSGCOSHPSA-N 0 3 249.354 2.569 20 0 BFADHN CCn1ccc(CN(C)CCCSC)c1 ZINC000616785286 344471714 /nfs/dbraw/zinc/47/17/14/344471714.db2.gz KWMSKBVAMSSCEF-UHFFFAOYSA-N 0 3 226.389 2.693 20 0 BFADHN CCN(Cc1cc(OC)ccn1)C1CCCC1 ZINC000106933566 344478273 /nfs/dbraw/zinc/47/82/73/344478273.db2.gz HDSNTFKMUKIFSW-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCC[C@H](N)C(=O)N[C@@H](CC)c1ccc(C)cc1 ZINC000037028314 344486817 /nfs/dbraw/zinc/48/68/17/344486817.db2.gz BVLUPFYOWBVERM-KBPBESRZSA-N 0 3 248.370 2.690 20 0 BFADHN Cc1ccsc1CNCCOCC1CCC1 ZINC000381496619 344512127 /nfs/dbraw/zinc/51/21/27/344512127.db2.gz BZVPKICGMOKEOQ-UHFFFAOYSA-N 0 3 239.384 2.963 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cocn2)CC(C)(C)C1 ZINC000381515932 344515258 /nfs/dbraw/zinc/51/52/58/344515258.db2.gz SGLMBYOPQJMIOX-GHMZBOCLSA-N 0 3 222.332 2.979 20 0 BFADHN CC(C)(C)CCN1CCS[C@@H]2COCC[C@@H]21 ZINC000135517454 344536670 /nfs/dbraw/zinc/53/66/70/344536670.db2.gz XGLSWWIENIYCAZ-NWDGAFQWSA-N 0 3 243.416 2.629 20 0 BFADHN CCN[C@H](COC)c1cccc(Cl)c1 ZINC000044569087 344543819 /nfs/dbraw/zinc/54/38/19/344543819.db2.gz QCDOECKWRFRIJC-LLVKDONJSA-N 0 3 213.708 2.637 20 0 BFADHN CCOCCCN(C)[C@@H](C)c1cccnc1 ZINC000119795664 344549793 /nfs/dbraw/zinc/54/97/93/344549793.db2.gz MDODFGDNBWXCRZ-LBPRGKRZSA-N 0 3 222.332 2.501 20 0 BFADHN CCn1cc(CN[C@H]2CC23CCCCC3)cn1 ZINC000395666762 344551405 /nfs/dbraw/zinc/55/14/05/344551405.db2.gz JGFDXEXTYFVNJQ-ZDUSSCGKSA-N 0 3 233.359 2.715 20 0 BFADHN CCC[C@H](NCCSC)c1ccccn1 ZINC000127521003 344557126 /nfs/dbraw/zinc/55/71/26/344557126.db2.gz JHHJLWNVZMBXMB-NSHDSACASA-N 0 3 224.373 2.875 20 0 BFADHN O=c1ccc([C@@H]2CCCN2CCC2CCC2)n[nH]1 ZINC000625994480 344659829 /nfs/dbraw/zinc/65/98/29/344659829.db2.gz SCONIKVOWQEWFB-ZDUSSCGKSA-N 0 3 247.342 2.509 20 0 BFADHN CC(C)C[C@H](C)CN1CCO[C@@H](CCF)C1 ZINC000626005837 344663738 /nfs/dbraw/zinc/66/37/38/344663738.db2.gz LKMWVJKFCFSWTR-STQMWFEESA-N 0 3 231.355 2.729 20 0 BFADHN CCN[C@@H](COC)c1ccc(Cl)cc1 ZINC000049684428 344681319 /nfs/dbraw/zinc/68/13/19/344681319.db2.gz JFPSDEJLDWIHNO-NSHDSACASA-N 0 3 213.708 2.637 20 0 BFADHN CC(C)(CCN1CCO[C@@H](CCF)C1)C1CC1 ZINC000626005497 344668479 /nfs/dbraw/zinc/66/84/79/344668479.db2.gz DGPHTDGLLPSODQ-ZDUSSCGKSA-N 0 3 243.366 2.873 20 0 BFADHN FCC[C@H]1CN(CC23CCC(CC2)C3)CCO1 ZINC000626005479 344668530 /nfs/dbraw/zinc/66/85/30/344668530.db2.gz DBBOEVKYNCKDIX-MOKVOYLWSA-N 0 3 241.350 2.627 20 0 BFADHN CCN(Cc1cnc(C)s1)CC1CC1 ZINC000112210582 344637442 /nfs/dbraw/zinc/63/74/42/344637442.db2.gz VFTLCHHVSCIYER-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN Fc1cccc(CN2CC[C@H]3OCCC[C@@H]3C2)c1 ZINC000152935196 344722488 /nfs/dbraw/zinc/72/24/88/344722488.db2.gz KOQWMPRLIXMVEL-UKRRQHHQSA-N 0 3 249.329 2.827 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H](C)c1ncccc1CC ZINC000349425719 344692372 /nfs/dbraw/zinc/69/23/72/344692372.db2.gz VJFNVMURLXWHLS-FAQJLRJSSA-N 0 3 248.370 2.622 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H](C)c1ncccc1CC ZINC000349425721 344692442 /nfs/dbraw/zinc/69/24/42/344692442.db2.gz VJFNVMURLXWHLS-UDGJJRABSA-N 0 3 248.370 2.622 20 0 BFADHN C[C@]12CCCC[C@H]1CN2CCOCC(F)F ZINC000626103897 344749555 /nfs/dbraw/zinc/74/95/55/344749555.db2.gz VWDFZLSRYOCWKO-JQWIXIFHSA-N 0 3 233.302 2.533 20 0 BFADHN CC(C)(C)NCc1nc2ccccc2o1 ZINC000082266836 344712354 /nfs/dbraw/zinc/71/23/54/344712354.db2.gz NAXLANZMLPBMLY-UHFFFAOYSA-N 0 3 204.273 2.716 20 0 BFADHN COC[C@@H](C)N1CC[C@H](c2cccc(F)c2)C1 ZINC000075717450 344777450 /nfs/dbraw/zinc/77/74/50/344777450.db2.gz JTHAOOCRTOACIH-YPMHNXCESA-N 0 3 237.318 2.650 20 0 BFADHN CCCN(C)C[C@H](O)c1cccc(Cl)c1 ZINC000075674773 344784087 /nfs/dbraw/zinc/78/40/87/344784087.db2.gz JSECPOMRCVVYLI-LBPRGKRZSA-N 0 3 227.735 2.715 20 0 BFADHN COc1ccc(F)cc1CN1CCC[C@@H]1C ZINC000076115362 344796919 /nfs/dbraw/zinc/79/69/19/344796919.db2.gz WTPACZJAFAGSRI-JTQLQIEISA-N 0 3 223.291 2.819 20 0 BFADHN Cc1cccc(NC(=O)[C@@H](C)N2CCC[C@@H]2C)c1 ZINC000076117871 344797681 /nfs/dbraw/zinc/79/76/81/344797681.db2.gz XPBGXZBTFZSLAI-QWHCGFSZSA-N 0 3 246.354 2.806 20 0 BFADHN CN(C)[C@@H](CNc1ccccn1)c1ccsc1 ZINC000076651387 344805318 /nfs/dbraw/zinc/80/53/18/344805318.db2.gz JOQWRQZYFVCYKS-LBPRGKRZSA-N 0 3 247.367 2.858 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnc(C)o2)C1 ZINC000628145439 344820930 /nfs/dbraw/zinc/82/09/30/344820930.db2.gz NEHILIHVZCLFQH-LLVKDONJSA-N 0 3 208.305 2.605 20 0 BFADHN CO[C@H]1CCCN(Cc2ccc3[nH]ccc3c2)C1 ZINC000628143608 344809337 /nfs/dbraw/zinc/80/93/37/344809337.db2.gz SSUYHDAACKCNIJ-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN CC(C)(C)[C@@H]1CCCN1Cc1ccon1 ZINC000077282403 344814840 /nfs/dbraw/zinc/81/48/40/344814840.db2.gz YCLOYWSSDORMCL-NSHDSACASA-N 0 3 208.305 2.685 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@@H]2C)on1 ZINC000077320229 344816233 /nfs/dbraw/zinc/81/62/33/344816233.db2.gz WHFVIDSMWUBERT-KOLCDFICSA-N 0 3 208.305 2.603 20 0 BFADHN OCC[C@@H](NCc1cccs1)c1ccccc1 ZINC000077468365 344819698 /nfs/dbraw/zinc/81/96/98/344819698.db2.gz WDKVJEHSQLZFMH-CQSZACIVSA-N 0 3 247.363 2.961 20 0 BFADHN CCC1CCN(Cc2cnc(C)o2)CC1 ZINC000628150506 344838323 /nfs/dbraw/zinc/83/83/23/344838323.db2.gz VDVWIZYZQQPIRX-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnc(C2CC2)o1 ZINC000628152944 344841855 /nfs/dbraw/zinc/84/18/55/344841855.db2.gz UGACCZJEOWWISA-LLVKDONJSA-N 0 3 220.316 2.926 20 0 BFADHN Cc1c[nH]c(CN2CCC[C@H]2C2CCCC2)n1 ZINC000628152517 344841146 /nfs/dbraw/zinc/84/11/46/344841146.db2.gz FEJQERMCZYOXMS-ZDUSSCGKSA-N 0 3 233.359 2.873 20 0 BFADHN Cc1nc(CN(C)C(C2CC2)C2CC2)[nH]c1C ZINC000628159282 344854665 /nfs/dbraw/zinc/85/46/65/344854665.db2.gz MIXBFRXKKCIHGH-UHFFFAOYSA-N 0 3 233.359 2.647 20 0 BFADHN CC1(C)CCCN1Cc1cnc(C2CC2)o1 ZINC000628160107 344859243 /nfs/dbraw/zinc/85/92/43/344859243.db2.gz JHQGQGVHVIBUCV-UHFFFAOYSA-N 0 3 220.316 2.926 20 0 BFADHN Cc1ncc(CN2CCC[C@H]3CCC[C@H]32)o1 ZINC000628154698 344844525 /nfs/dbraw/zinc/84/45/25/344844525.db2.gz DIXRTSICHGQBGZ-DGCLKSJQSA-N 0 3 220.316 2.748 20 0 BFADHN Cc1ncc(CN2CCC[C@H]3CCC[C@@H]32)o1 ZINC000628154700 344844569 /nfs/dbraw/zinc/84/45/69/344844569.db2.gz DIXRTSICHGQBGZ-YPMHNXCESA-N 0 3 220.316 2.748 20 0 BFADHN COC1(COc2cc(C)nc(C)c2C)CCC1 ZINC000583391606 344877887 /nfs/dbraw/zinc/87/78/87/344877887.db2.gz ZTTDPPDXUAISLB-UHFFFAOYSA-N 0 3 235.327 2.955 20 0 BFADHN CC[C@@H]([NH2+]Cc1nnc(C2CC2)[n-]1)[C@@H]1CC1(C)C ZINC000584040473 537873741 /nfs/dbraw/zinc/87/37/41/537873741.db2.gz BBCMINYRNUPWQU-WDEREUQCSA-N 0 3 248.374 2.596 20 0 BFADHN CC[C@@H](NCc1nnc(C2CC2)[nH]1)[C@@H]1CC1(C)C ZINC000584040473 537873745 /nfs/dbraw/zinc/87/37/45/537873745.db2.gz BBCMINYRNUPWQU-WDEREUQCSA-N 0 3 248.374 2.596 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cnc(C)o2)C1 ZINC000628185384 344935105 /nfs/dbraw/zinc/93/51/05/344935105.db2.gz XFMJDJFAZXAJQU-GFCCVEGCSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1ncc(CN2C[C@@H](C)CC[C@@H]2C)o1 ZINC000628179010 344913329 /nfs/dbraw/zinc/91/33/29/344913329.db2.gz LHENYMKZJGRLPD-UWVGGRQHSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cnc(C)o1 ZINC000628179178 344914070 /nfs/dbraw/zinc/91/40/70/344914070.db2.gz QFMLCICZNMZHRJ-MWLCHTKSSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@H](N[C@H](CO)C(C)C)c1ccccc1F ZINC000122558506 344962703 /nfs/dbraw/zinc/96/27/03/344962703.db2.gz IJHDQHQHWBGJEN-ZIAGYGMSSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1ccc(CNCC2=CCOCC2)c(F)c1 ZINC000631150152 344970820 /nfs/dbraw/zinc/97/08/20/344970820.db2.gz YFKHPEGULKSUSC-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN CC1(NCc2cnc(C3CC3)o2)CCCC1 ZINC000628200453 344971674 /nfs/dbraw/zinc/97/16/74/344971674.db2.gz NGYJXYHQNYXKED-UHFFFAOYSA-N 0 3 220.316 2.974 20 0 BFADHN Cc1ncc(CNCC2(c3ccccc3)CC2)o1 ZINC000628201691 344977387 /nfs/dbraw/zinc/97/73/87/344977387.db2.gz PXWRWXAQKUNYPT-UHFFFAOYSA-N 0 3 242.322 2.804 20 0 BFADHN Cc1c[nH]c(CN2CC[C@H](C)C[C@H](C)C2)n1 ZINC000628189707 344942229 /nfs/dbraw/zinc/94/22/29/344942229.db2.gz KNYWQEMBXQLAOB-QWRGUYRKSA-N 0 3 221.348 2.586 20 0 BFADHN Cc1ncc(CNc2cccc(CN(C)C)c2)o1 ZINC000628192298 344945359 /nfs/dbraw/zinc/94/53/59/344945359.db2.gz REVQDDWGIDKFLI-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN COCC1(NCc2csc(C)c2C)CC1 ZINC000631090875 344948537 /nfs/dbraw/zinc/94/85/37/344948537.db2.gz VEDATZDIUZUWPC-UHFFFAOYSA-N 0 3 225.357 2.634 20 0 BFADHN COCC1(N[C@H](C)c2ccc(C)s2)CC1 ZINC000309041100 323081774 /nfs/dbraw/zinc/08/17/74/323081774.db2.gz LHWFQPFWSXWGOE-SNVBAGLBSA-N 0 3 225.357 2.886 20 0 BFADHN COc1cccc(CNC[C@@H]2CC2(C)C)c1F ZINC000234990719 537883282 /nfs/dbraw/zinc/88/32/82/537883282.db2.gz GTOUPIOTNJCVQI-NSHDSACASA-N 0 3 237.318 2.970 20 0 BFADHN C[C@@H](O)[C@H](NC1CCCC1)c1ccccc1F ZINC000583445544 344987751 /nfs/dbraw/zinc/98/77/51/344987751.db2.gz QMMNMFAIDFMGPV-YGRLFVJLSA-N 0 3 237.318 2.780 20 0 BFADHN CCCCN(CCOC)Cc1ccc(C)nc1 ZINC000153887589 344993130 /nfs/dbraw/zinc/99/31/30/344993130.db2.gz FAQDAKLHUHIGNC-UHFFFAOYSA-N 0 3 236.359 2.639 20 0 BFADHN CCCN(C)Cc1cc2c(c(Cl)c1)OCO2 ZINC000069091931 362507787 /nfs/dbraw/zinc/50/77/87/362507787.db2.gz OUJPEYOEZUPPDU-UHFFFAOYSA-N 0 3 241.718 2.911 20 0 BFADHN CN(C)C1(CNc2cc(C(C)(C)C)ccn2)CC1 ZINC000641638843 362567782 /nfs/dbraw/zinc/56/77/82/362567782.db2.gz UUXCEQJNROUZLP-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN CC1(C)CCC[C@H]1CNCc1ccon1 ZINC000634982710 345164092 /nfs/dbraw/zinc/16/40/92/345164092.db2.gz SIMLYBSTBKOSRR-JTQLQIEISA-N 0 3 208.305 2.591 20 0 BFADHN c1cc(CNC[C@H]2CCC[C@@H]2C2CC2)no1 ZINC000634984261 345167868 /nfs/dbraw/zinc/16/78/68/345167868.db2.gz MLBQGGAAMKSPEF-DGCLKSJQSA-N 0 3 220.316 2.591 20 0 BFADHN C[C@H](CN1CCO[C@H](C)[C@@H]1C)c1ccccc1 ZINC000156525233 345172653 /nfs/dbraw/zinc/17/26/53/345172653.db2.gz YMRUNRJCNIYGGI-HZSPNIEDSA-N 0 3 233.355 2.899 20 0 BFADHN CC[C@@H](O)CCNCc1cc2ccccc2o1 ZINC000127372581 345175653 /nfs/dbraw/zinc/17/56/53/345175653.db2.gz YUPZOUIVWOGJKX-GFCCVEGCSA-N 0 3 233.311 2.683 20 0 BFADHN Fc1ccc2oc(CNCC3CCC3)nc2c1 ZINC000634956968 345130713 /nfs/dbraw/zinc/13/07/13/345130713.db2.gz ZATKJECGFHGGBV-UHFFFAOYSA-N 0 3 234.274 2.857 20 0 BFADHN c1cc(CNC[C@@H]2CCc3ccccc3C2)no1 ZINC000634962096 345136570 /nfs/dbraw/zinc/13/65/70/345136570.db2.gz LMIIYKLJQRLRCM-GFCCVEGCSA-N 0 3 242.322 2.569 20 0 BFADHN COc1ccc(CN2CCCC3(CC3)CC2)nc1 ZINC000626114557 345314578 /nfs/dbraw/zinc/31/45/78/345314578.db2.gz KPGTWHGJGLASIN-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccoc2C)CCO1 ZINC000449087801 201805915 /nfs/dbraw/zinc/80/59/15/201805915.db2.gz WULKWNJYGRMQPM-QWHCGFSZSA-N 0 3 223.316 2.635 20 0 BFADHN CCN(Cc1ccccc1C(=O)OC)CC1CC1 ZINC000130325783 345273437 /nfs/dbraw/zinc/27/34/37/345273437.db2.gz LCUZJZSQCBKUMS-UHFFFAOYSA-N 0 3 247.338 2.705 20 0 BFADHN CCSCCN[C@H](C)c1cnn(C(C)C)c1 ZINC000381304694 345278759 /nfs/dbraw/zinc/27/87/59/345278759.db2.gz JNBSTTVQFGXCGE-LLVKDONJSA-N 0 3 241.404 2.868 20 0 BFADHN C[C@H](c1cnccn1)N1CCCC2(CC2)CC1 ZINC000626114906 345322782 /nfs/dbraw/zinc/32/27/82/345322782.db2.gz YKLLCQUFMVCOOO-GFCCVEGCSA-N 0 3 231.343 2.804 20 0 BFADHN COCC[C@H](C)N1CCC(C(F)(F)F)CC1 ZINC000446775528 537893740 /nfs/dbraw/zinc/89/37/40/537893740.db2.gz ICFYHEFNXUVPJX-VIFPVBQESA-N 0 3 239.281 2.686 20 0 BFADHN CC(C)CN1CCN(CCC2CCCC2)CC1 ZINC000158607578 345357447 /nfs/dbraw/zinc/35/74/47/345357447.db2.gz QDQQUCHVBWIKQN-UHFFFAOYSA-N 0 3 238.419 2.840 20 0 BFADHN c1ccc2c(c1)CC[C@]21CN(CC2CC2)CCO1 ZINC000158677331 345365774 /nfs/dbraw/zinc/36/57/74/345365774.db2.gz QNZPAMUJYCBOBC-INIZCTEOSA-N 0 3 243.350 2.570 20 0 BFADHN CC[C@@H](NCc1cn2ccsc2n1)C(C)C ZINC000132303658 345326344 /nfs/dbraw/zinc/32/63/44/345326344.db2.gz WHPHAMODSZDGAM-LLVKDONJSA-N 0 3 237.372 2.920 20 0 BFADHN Cc1nocc1CN1CCCC2(CC2)CC1 ZINC000626115490 345333894 /nfs/dbraw/zinc/33/38/94/345333894.db2.gz VMVJVUQNMCUDQK-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1nc2c(s1)CCC2 ZINC000678814272 488286667 /nfs/dbraw/zinc/28/66/67/488286667.db2.gz HEMUKPBKKHUJIL-SECBINFHSA-N 0 3 236.384 2.862 20 0 BFADHN COCC1(NCc2ccc(F)c(Cl)c2)CC1 ZINC000381351387 345443550 /nfs/dbraw/zinc/44/35/50/345443550.db2.gz AZPLRCUOHAYECF-UHFFFAOYSA-N 0 3 243.709 2.748 20 0 BFADHN C[C@@H](NCCOC(C)(C)C)c1cncs1 ZINC000380294650 345444128 /nfs/dbraw/zinc/44/41/28/345444128.db2.gz CLMUYORMCSJCDG-SECBINFHSA-N 0 3 228.361 2.609 20 0 BFADHN CC(C)C(C)(C)NC(=O)CN(C)CC(C)(C)C ZINC000635489084 345396160 /nfs/dbraw/zinc/39/61/60/345396160.db2.gz JFFXTJIYRKAAJG-UHFFFAOYSA-N 0 3 242.407 2.515 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1CCOCC(F)(F)F ZINC000080656168 345398066 /nfs/dbraw/zinc/39/80/66/345398066.db2.gz OOVLUUBHVKXCID-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1ncsc1CCN1CCC12CCC2 ZINC000081515841 345482115 /nfs/dbraw/zinc/48/21/15/345482115.db2.gz BVIWAYRKEOFJOM-UHFFFAOYSA-N 0 3 222.357 2.622 20 0 BFADHN COc1ccc(CN(C)CC(C)(C)C)cn1 ZINC000085792150 345535071 /nfs/dbraw/zinc/53/50/71/345535071.db2.gz NWQWPOZKMWQFOB-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN CC(C)n1cc([C@H](C)NCc2cc[nH]c2)cn1 ZINC000086253763 345536358 /nfs/dbraw/zinc/53/63/58/345536358.db2.gz VMXLTGUVBKVCJI-NSHDSACASA-N 0 3 232.331 2.643 20 0 BFADHN Cc1ccn2cc(CNCC3(C)CCC3)nc2c1 ZINC000434768719 537898285 /nfs/dbraw/zinc/89/82/85/537898285.db2.gz ZYJVDZSLNWFQLU-UHFFFAOYSA-N 0 3 243.354 2.923 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cnc(N(C)C)s1 ZINC000091937717 345612854 /nfs/dbraw/zinc/61/28/54/345612854.db2.gz JOJJLMOCMOEQPH-UWVGGRQHSA-N 0 3 239.388 2.582 20 0 BFADHN CCn1nc(C)c(CN(C)CCC2CC2)c1C ZINC000092067116 345615229 /nfs/dbraw/zinc/61/52/29/345615229.db2.gz DUMHUNKUXDEOCY-UHFFFAOYSA-N 0 3 235.375 2.752 20 0 BFADHN CCN(Cc1occc1C)C[C@H]1CCCO1 ZINC000092407700 345618365 /nfs/dbraw/zinc/61/83/65/345618365.db2.gz NOLIVBADYPTXAQ-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN C[C@H]1CCN(Cc2cccc3c2OCCCO3)C1 ZINC000092471191 345622165 /nfs/dbraw/zinc/62/21/65/345622165.db2.gz RXGGKOHOPSTELT-LBPRGKRZSA-N 0 3 247.338 2.690 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C2)c(OC)c1 ZINC000092471157 345622411 /nfs/dbraw/zinc/62/24/11/345622411.db2.gz METPULSQFXFMJY-NSHDSACASA-N 0 3 235.327 2.546 20 0 BFADHN COCCOc1ccccc1CN1CC[C@@H](C)C1 ZINC000092471553 345622915 /nfs/dbraw/zinc/62/29/15/345622915.db2.gz GSIJGSPXYNYDOI-CYBMUJFWSA-N 0 3 249.354 2.554 20 0 BFADHN C[C@H]1CCN(Cc2ccc(N3CCCC3)nc2)C1 ZINC000092471369 345623405 /nfs/dbraw/zinc/62/34/05/345623405.db2.gz VLTXQAKDYMOJGI-ZDUSSCGKSA-N 0 3 245.370 2.524 20 0 BFADHN COc1cc(CN2CC[C@@H](C)C2)cc(OC)c1 ZINC000092471380 345623530 /nfs/dbraw/zinc/62/35/30/345623530.db2.gz NVMGOJBWBQTFHK-LLVKDONJSA-N 0 3 235.327 2.546 20 0 BFADHN OCCN[C@H](c1ccccc1F)C1CCCC1 ZINC000091265631 345590497 /nfs/dbraw/zinc/59/04/97/345590497.db2.gz WWQXPBLZVXLBPP-AWEZNQCLSA-N 0 3 237.318 2.639 20 0 BFADHN Cc1ccc(CN(CCCO)CC(C)(C)C)o1 ZINC000093146044 345657555 /nfs/dbraw/zinc/65/75/55/345657555.db2.gz SXNMBWFFFGTZTR-UHFFFAOYSA-N 0 3 239.359 2.819 20 0 BFADHN Cc1cccnc1CN1CCC(C)CC1 ZINC000093158411 345658436 /nfs/dbraw/zinc/65/84/36/345658436.db2.gz BGPBDZBXEJDFIO-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1cccnc1CN1C[C@@H](C)S[C@H](C)C1 ZINC000093176870 345658929 /nfs/dbraw/zinc/65/89/29/345658929.db2.gz XVTRHAXISCFLPV-VXGBXAGGSA-N 0 3 236.384 2.716 20 0 BFADHN CCn1nc(C)c(CN2C[C@@H]3[C@H](C2)C3(C)C)c1C ZINC000093661053 345663721 /nfs/dbraw/zinc/66/37/21/345663721.db2.gz JONQRVYANGHYLX-OKILXGFUSA-N 0 3 247.386 2.608 20 0 BFADHN CSc1ccc(CNCCc2ccco2)o1 ZINC000641703561 362614714 /nfs/dbraw/zinc/61/47/14/362614714.db2.gz WIXZZVCCLHDGTG-UHFFFAOYSA-N 0 3 237.324 2.927 20 0 BFADHN Cc1ccc(CN2CCC([C@@H](C)O)CC2)s1 ZINC000092969650 345649991 /nfs/dbraw/zinc/64/99/91/345649991.db2.gz IMPCAPYNSJMUML-LLVKDONJSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@@H]1CCN([C@@H]2CCc3ccc(F)cc32)C[C@@H]1O ZINC000093333742 345652633 /nfs/dbraw/zinc/65/26/33/345652633.db2.gz FAVONNCWTGIFHX-KMUNFCNLSA-N 0 3 249.329 2.516 20 0 BFADHN CCOC(=O)c1cccc(CN2CCCCC2)c1 ZINC000140364291 345695759 /nfs/dbraw/zinc/69/57/59/345695759.db2.gz FKRDBMRASIZPEK-UHFFFAOYSA-N 0 3 247.338 2.849 20 0 BFADHN CSc1ccc(CN[C@H](C)[C@@H]2CCOC2)o1 ZINC000641729771 362644364 /nfs/dbraw/zinc/64/43/64/362644364.db2.gz KLBHSCOOZWUMTR-NXEZZACHSA-N 0 3 241.356 2.516 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)CC(C)(C)O ZINC000093414633 345669920 /nfs/dbraw/zinc/66/99/20/345669920.db2.gz FQFWCGZGKVHUOV-SNAWJCMRSA-N 0 3 237.318 2.542 20 0 BFADHN CC[C@H](COC)NCc1ccc(SC)o1 ZINC000641727379 362647154 /nfs/dbraw/zinc/64/71/54/362647154.db2.gz SYAZEBBFMFIJRN-SECBINFHSA-N 0 3 229.345 2.516 20 0 BFADHN COc1ccsc1CN1CCC1(C)C ZINC000093460256 345672117 /nfs/dbraw/zinc/67/21/17/345672117.db2.gz CKNRNYCOHNRVDO-UHFFFAOYSA-N 0 3 211.330 2.741 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CCC1(C)C ZINC000093460397 345672473 /nfs/dbraw/zinc/67/24/73/345672473.db2.gz ZVKNWYPKPOIDDC-UHFFFAOYSA-N 0 3 221.348 2.692 20 0 BFADHN CCC[C@@](C)(CO)NCc1ccc(SC)o1 ZINC000641727731 362647892 /nfs/dbraw/zinc/64/78/92/362647892.db2.gz KBANRULSNSJNLY-LBPRGKRZSA-N 0 3 243.372 2.642 20 0 BFADHN CCc1ccc(CN2CCC[C@@H]2C[C@@H](C)O)o1 ZINC000093482617 345673921 /nfs/dbraw/zinc/67/39/21/345673921.db2.gz RBPWOTRBEYFZCF-VXGBXAGGSA-N 0 3 237.343 2.577 20 0 BFADHN CCCCCN1CCN(Cc2ccccc2)CC1 ZINC000115643470 345677448 /nfs/dbraw/zinc/67/74/48/345677448.db2.gz QPMUPIOWCJWMBO-UHFFFAOYSA-N 0 3 246.398 2.994 20 0 BFADHN CC[C@H](O)CCCNCc1ccc(SC)o1 ZINC000641729147 362651046 /nfs/dbraw/zinc/65/10/46/362651046.db2.gz TYKOEDPDYIGAFU-JTQLQIEISA-N 0 3 243.372 2.642 20 0 BFADHN CC[C@@H](O)CCCNCc1ccc(SC)o1 ZINC000641729148 362651488 /nfs/dbraw/zinc/65/14/88/362651488.db2.gz TYKOEDPDYIGAFU-SNVBAGLBSA-N 0 3 243.372 2.642 20 0 BFADHN COCC[C@H](C)NCc1ccc(SC)o1 ZINC000641714252 362630312 /nfs/dbraw/zinc/63/03/12/362630312.db2.gz VDHHSVHHTPNHKI-VIFPVBQESA-N 0 3 229.345 2.516 20 0 BFADHN CSc1ccc(CNCC2CCC2)o1 ZINC000641714703 362630861 /nfs/dbraw/zinc/63/08/61/362630861.db2.gz YNTQROHDERKSSN-UHFFFAOYSA-N 0 3 211.330 2.891 20 0 BFADHN CCC[C@](C)(O)CNCc1ccc(SC)o1 ZINC000641719738 362635493 /nfs/dbraw/zinc/63/54/93/362635493.db2.gz GBONCTXQRQJIHC-LBPRGKRZSA-N 0 3 243.372 2.642 20 0 BFADHN c1nc2c(s1)CN(CC1CCCC1)CC2 ZINC000231171498 345751941 /nfs/dbraw/zinc/75/19/41/345751941.db2.gz YXKXSNMYTAKQGQ-UHFFFAOYSA-N 0 3 222.357 2.691 20 0 BFADHN CC(C)N1CC[C@@]2(C1)OCc1ccccc12 ZINC000200849535 345728982 /nfs/dbraw/zinc/72/89/82/345728982.db2.gz IJVPEGPYDSGWPP-AWEZNQCLSA-N 0 3 217.312 2.526 20 0 BFADHN CC1(C)CCCN1Cc1cnc(C2CC2)nc1 ZINC000334560573 345770224 /nfs/dbraw/zinc/77/02/24/345770224.db2.gz OOWOUSUJFQQFBW-UHFFFAOYSA-N 0 3 231.343 2.728 20 0 BFADHN Cc1ncc(CN[C@H]2CC[C@H](C)CC2)o1 ZINC000628214023 345878265 /nfs/dbraw/zinc/87/82/65/345878265.db2.gz IALSUJQYRYXCLC-HOMQSWHASA-N 0 3 208.305 2.651 20 0 BFADHN Cc1c[nH]nc1CNCCCC(C)(C)C ZINC000389886734 537918918 /nfs/dbraw/zinc/91/89/18/537918918.db2.gz SCVLTBSDFUEHRI-UHFFFAOYSA-N 0 3 209.337 2.634 20 0 BFADHN Cc1ccc(CN[C@H](C)CC(C)(C)O)c(F)c1 ZINC000631151739 345918367 /nfs/dbraw/zinc/91/83/67/345918367.db2.gz WGXSFFXZZDWPAZ-LLVKDONJSA-N 0 3 239.334 2.773 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1cnc(C)o1 ZINC000628222280 345960837 /nfs/dbraw/zinc/96/08/37/345960837.db2.gz LLZDDOUVKBAHNF-NXEZZACHSA-N 0 3 210.321 2.897 20 0 BFADHN Cc1nc(C)c(CNCC(C2CC2)C2CC2)o1 ZINC000628223696 345964920 /nfs/dbraw/zinc/96/49/20/345964920.db2.gz OMUVBQUNJFYAKR-UHFFFAOYSA-N 0 3 234.343 2.817 20 0 BFADHN CCCC[C@@H](COC)NCc1oc(C)nc1C ZINC000628218270 345948378 /nfs/dbraw/zinc/94/83/78/345948378.db2.gz ZROHQAAAMKWOSS-LBPRGKRZSA-N 0 3 240.347 2.586 20 0 BFADHN CCCC[C@@H](CC)CCN(CC(N)=O)C(C)C ZINC000437586535 537925390 /nfs/dbraw/zinc/92/53/90/537925390.db2.gz YOAXTCJSUWPCRM-CYBMUJFWSA-N 0 3 242.407 2.789 20 0 BFADHN C[C@H]1CCCC[C@H]1CCNCc1cn(C)cn1 ZINC000673602257 488296742 /nfs/dbraw/zinc/29/67/42/488296742.db2.gz SDHANKCVQWOWJL-STQMWFEESA-N 0 3 235.375 2.726 20 0 BFADHN CN(C)C1(CNc2ccnc3ccsc32)CC1 ZINC000419011861 192093691 /nfs/dbraw/zinc/09/36/91/192093691.db2.gz UDGIBLATAIUAKO-UHFFFAOYSA-N 0 3 247.367 2.802 20 0 BFADHN CSC[C@H](C)NCc1ccc(C(F)F)nc1 ZINC000601108482 346066943 /nfs/dbraw/zinc/06/69/43/346066943.db2.gz LLCULHGXMHCJQX-QMMMGPOBSA-N 0 3 246.326 2.860 20 0 BFADHN CSC[C@@H](C)NCc1ccc(C)cc1F ZINC000601109679 346106579 /nfs/dbraw/zinc/10/65/79/346106579.db2.gz WRFUORIYVVALOK-SNVBAGLBSA-N 0 3 227.348 2.975 20 0 BFADHN COc1cc(C)ccc1CN1CC[C@H](C)C1 ZINC000149342284 346104095 /nfs/dbraw/zinc/10/40/95/346104095.db2.gz RWAQOUNPNKXZKR-LBPRGKRZSA-N 0 3 219.328 2.845 20 0 BFADHN CC(C)CC[C@H](NC[C@H](C)O)c1ccoc1 ZINC000279148004 346169058 /nfs/dbraw/zinc/16/90/58/346169058.db2.gz ZTHOVMWNYLFCOJ-AAEUAGOBSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@H](N[C@H]1CCS[C@H]1C)c1ccco1 ZINC000235522420 537953712 /nfs/dbraw/zinc/95/37/12/537953712.db2.gz YBEIINBXARPBEK-GUBZILKMSA-N 0 3 211.330 2.824 20 0 BFADHN CCC1(NCc2cn(C(C)C)nc2C)CC1 ZINC000449415327 201911792 /nfs/dbraw/zinc/91/17/92/201911792.db2.gz ORZFTVCROGHVSP-UHFFFAOYSA-N 0 3 221.348 2.805 20 0 BFADHN Cc1nc(C)c(CN[C@@H](C)CCC2CC2)o1 ZINC000628239761 346200349 /nfs/dbraw/zinc/20/03/49/346200349.db2.gz DKYYZOJNFNJDFU-VIFPVBQESA-N 0 3 222.332 2.960 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1nc(C)c(C)[nH]1 ZINC000628240811 346203326 /nfs/dbraw/zinc/20/33/26/346203326.db2.gz UVOIGOIRIRXAEN-BXKDBHETSA-N 0 3 221.348 2.647 20 0 BFADHN C[C@@H]1CCN(C[C@]2(C)CCCS2)C[C@@H]1F ZINC000628234692 346187666 /nfs/dbraw/zinc/18/76/66/346187666.db2.gz KEWXUPLUHWWBGY-WOPDTQHZSA-N 0 3 231.380 2.952 20 0 BFADHN Cc1ncc(CN2CC[C@]3(CC[C@H](C)C3)C2)o1 ZINC000628236989 346192010 /nfs/dbraw/zinc/19/20/10/346192010.db2.gz XOLJTBPDPQILEU-FZMZJTMJSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1nc(CN2CC[C@H](C(C)(C)C)C2)[nH]c1C ZINC000628237119 346193398 /nfs/dbraw/zinc/19/33/98/346193398.db2.gz BNQIEXPBKIOEQE-LBPRGKRZSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ccc([C@H](C)CNCc2ccno2)cc1 ZINC000628237968 346195038 /nfs/dbraw/zinc/19/50/38/346195038.db2.gz GLHXAWMHQOVPBK-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1nnsc1CN[C@H]1CCC[C@H]1C(C)C ZINC000381518556 346236529 /nfs/dbraw/zinc/23/65/29/346236529.db2.gz SYIJXZUOIHPBRY-QWRGUYRKSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1c[nH]c(CN2C[C@H](C)C[C@H](C)[C@H]2C)n1 ZINC000628249430 346218854 /nfs/dbraw/zinc/21/88/54/346218854.db2.gz DDWJXSSUSMWYHE-JFGNBEQYSA-N 0 3 221.348 2.585 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CCC[C@H]2C)o1 ZINC000628260834 346260395 /nfs/dbraw/zinc/26/03/95/346260395.db2.gz YBMUNCPLNSDDOW-SKDRFNHKSA-N 0 3 222.332 2.817 20 0 BFADHN Cc1ccc(CNC(C)(C)CN(C)C)c(F)c1 ZINC000631155682 346253727 /nfs/dbraw/zinc/25/37/27/346253727.db2.gz QVPVRLVLRUZZQE-UHFFFAOYSA-N 0 3 238.350 2.564 20 0 BFADHN C/C=C/CNCc1cc(F)cc(OC)c1 ZINC000312432787 346262278 /nfs/dbraw/zinc/26/22/78/346262278.db2.gz HXJPWLHKRQIDQP-ONEGZZNKSA-N 0 3 209.264 2.500 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2ccnn2C)C1 ZINC000626163272 346274955 /nfs/dbraw/zinc/27/49/55/346274955.db2.gz HWZGDFHZZCKMQL-ITDFMYJTSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2c[nH]cn2)C1 ZINC000626163326 346275063 /nfs/dbraw/zinc/27/50/63/346275063.db2.gz IMJAQBJCLHCYKO-ZZKXABKFSA-N 0 3 219.332 2.588 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cnc[nH]2)C1 ZINC000626163326 346275065 /nfs/dbraw/zinc/27/50/65/346275065.db2.gz IMJAQBJCLHCYKO-ZZKXABKFSA-N 0 3 219.332 2.588 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2ccnc(C)n2)C1 ZINC000626163695 346276223 /nfs/dbraw/zinc/27/62/23/346276223.db2.gz ONDNHULUIAOSGW-XGACYXMMSA-N 0 3 245.370 2.963 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cnc(C)nc2)C1 ZINC000626163999 346277344 /nfs/dbraw/zinc/27/73/44/346277344.db2.gz UVAKQGJAPYQELY-RDFMZFSFSA-N 0 3 245.370 2.963 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cnc(C)o1 ZINC000628281247 346292359 /nfs/dbraw/zinc/29/23/59/346292359.db2.gz JHWWOAPMLLFVLQ-ONGXEEELSA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1nc(C)c(C)[nH]1 ZINC000628281145 346292918 /nfs/dbraw/zinc/29/29/18/346292918.db2.gz CYVXCIJKCMFCNZ-CABZTGNLSA-N 0 3 221.348 2.789 20 0 BFADHN C/C=C\C[C@H](CO)NCc1ccc(F)cc1C ZINC000641748358 362675516 /nfs/dbraw/zinc/67/55/16/362675516.db2.gz RAVBTZDQHANHCU-VQTKUKTRSA-N 0 3 237.318 2.551 20 0 BFADHN CSc1ccc(CNCC[C@H]2CCOC2)o1 ZINC000641747820 362675825 /nfs/dbraw/zinc/67/58/25/362675825.db2.gz PCFUQLZAOJEKHW-JTQLQIEISA-N 0 3 241.356 2.518 20 0 BFADHN Cc1cccc(C)c1CN(C)CCn1cccn1 ZINC000265628673 179033849 /nfs/dbraw/zinc/03/38/49/179033849.db2.gz DSGGIANVBDJKLN-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN Cc1cccc(C)c1CN1CCN(C)[C@H](C)[C@@H]1C ZINC000356998501 179034470 /nfs/dbraw/zinc/03/44/70/179034470.db2.gz JPRSXGQYLGSBIH-CABCVRRESA-N 0 3 246.398 2.828 20 0 BFADHN Cc1cccc(C)c1CN1CC2(C1)CCOC2 ZINC000336437754 179034594 /nfs/dbraw/zinc/03/45/94/179034594.db2.gz FMEDCTNCDSIHTM-UHFFFAOYSA-N 0 3 231.339 2.526 20 0 BFADHN CSc1ccc(CN[C@H]2CCOC[C@H]2C)o1 ZINC000641751801 362680227 /nfs/dbraw/zinc/68/02/27/362680227.db2.gz YLQAEQJLBWXWCS-KOLCDFICSA-N 0 3 241.356 2.516 20 0 BFADHN CC[C@@H](NCc1ccc(SC)o1)C(C)(C)O ZINC000641756825 362682649 /nfs/dbraw/zinc/68/26/49/362682649.db2.gz KJIXUWJMSIVMLD-SNVBAGLBSA-N 0 3 243.372 2.641 20 0 BFADHN Cc1cccc(C(C)(C)NCc2c[nH]cn2)c1 ZINC000076764148 179028114 /nfs/dbraw/zinc/02/81/14/179028114.db2.gz QERXAGAWNADLQH-UHFFFAOYSA-N 0 3 229.327 2.743 20 0 BFADHN Cc1cccc(C(C)(C)NCc2cnc[nH]2)c1 ZINC000076764148 179028116 /nfs/dbraw/zinc/02/81/16/179028116.db2.gz QERXAGAWNADLQH-UHFFFAOYSA-N 0 3 229.327 2.743 20 0 BFADHN Cc1nc(C)c(CNCCC2(F)CCC2)o1 ZINC000628281722 346291340 /nfs/dbraw/zinc/29/13/40/346291340.db2.gz RUNXNOZLNYEMRI-UHFFFAOYSA-N 0 3 226.295 2.663 20 0 BFADHN CSc1ccc(CN[C@@H]2CS[C@@H](C)C2)o1 ZINC000641761917 362687997 /nfs/dbraw/zinc/68/79/97/362687997.db2.gz AHFPQAZTRNWOGK-IUCAKERBSA-N 0 3 243.397 2.985 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1ccc(SC)o1 ZINC000641758339 362688361 /nfs/dbraw/zinc/68/83/61/362688361.db2.gz MQFYAHWOHWTBLN-GHMZBOCLSA-N 0 3 241.356 2.659 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1csc(C)c1 ZINC000381551057 346332243 /nfs/dbraw/zinc/33/22/43/346332243.db2.gz GANYOMGWUIQSDK-SCZZXKLOSA-N 0 3 213.346 2.742 20 0 BFADHN CSc1ccc(CN[C@H]2CCOC2(C)C)o1 ZINC000641758890 362689637 /nfs/dbraw/zinc/68/96/37/362689637.db2.gz VNZCDTHVBZBHMK-JTQLQIEISA-N 0 3 241.356 2.659 20 0 BFADHN CC[C@@](C)(CNCc1ccc(SC)o1)OC ZINC000641758772 362689878 /nfs/dbraw/zinc/68/98/78/362689878.db2.gz VAZFHIUQAIFYHJ-LBPRGKRZSA-N 0 3 243.372 2.906 20 0 BFADHN Cc1cccc(C)c1NC(=O)CNC1CCCC1 ZINC000037386811 179041002 /nfs/dbraw/zinc/04/10/02/179041002.db2.gz NGGWHWIUJGLKHG-UHFFFAOYSA-N 0 3 246.354 2.774 20 0 BFADHN C[C@@H]1CCC[C@@H]([NH2+]Cc2nnc(C3CC3)[n-]2)CC1 ZINC000641759394 362691481 /nfs/dbraw/zinc/69/14/81/362691481.db2.gz ZUYRJDGHOMYEEL-ZYHUDNBSSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2nnc(C3CC3)[nH]2)CC1 ZINC000641759394 362691488 /nfs/dbraw/zinc/69/14/88/362691488.db2.gz ZUYRJDGHOMYEEL-ZYHUDNBSSA-N 0 3 248.374 2.741 20 0 BFADHN CSc1ccc(CNC[C@@H]2CC[C@@H](C)O2)o1 ZINC000641760649 362693200 /nfs/dbraw/zinc/69/32/00/362693200.db2.gz LRKWWBOIWJQTNW-ZJUUUORDSA-N 0 3 241.356 2.659 20 0 BFADHN CCc1nn(C)cc1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000245875069 537966908 /nfs/dbraw/zinc/96/69/08/537966908.db2.gz SSTUJSNVSUKKPV-TZMCWYRMSA-N 0 3 249.402 2.993 20 0 BFADHN CCc1nnc(CN[C@@H]2CCC[C@H]2CC)s1 ZINC000381554081 346338306 /nfs/dbraw/zinc/33/83/06/346338306.db2.gz NYSPCRXNTKPMBC-NXEZZACHSA-N 0 3 239.388 2.769 20 0 BFADHN CC[C@@H](NCc1ccccc1C)c1cnn(C)c1 ZINC000157117038 346377555 /nfs/dbraw/zinc/37/75/55/346377555.db2.gz PBOLAGZNUCUAGJ-OAHLLOKOSA-N 0 3 243.354 2.969 20 0 BFADHN Cc1cccc(CN(C)CCC2CC2)n1 ZINC000336699410 179063411 /nfs/dbraw/zinc/06/34/11/179063411.db2.gz UPIXZIZNNQMSBR-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1cc(C)ccc1C ZINC000381594759 346399305 /nfs/dbraw/zinc/39/93/05/346399305.db2.gz LBLCJSWCMBQGJS-KGLIPLIRSA-N 0 3 219.328 2.570 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1cc(C)ccc1C ZINC000381594758 346399326 /nfs/dbraw/zinc/39/93/26/346399326.db2.gz LBLCJSWCMBQGJS-KBPBESRZSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1cccc(CN2CCC(C)(C)C2)n1 ZINC000336574168 179074934 /nfs/dbraw/zinc/07/49/34/179074934.db2.gz ANLSLUGGBDTLNH-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1cccc(CN2CC(C(C)(C)O)C2)c1C ZINC000297284005 179073868 /nfs/dbraw/zinc/07/38/68/179073868.db2.gz AHKIGDGJTJDSLI-UHFFFAOYSA-N 0 3 233.355 2.506 20 0 BFADHN Cc1cccc(CN2CCCC[C@@H]2C[C@@H](C)O)n1 ZINC000123685100 179074346 /nfs/dbraw/zinc/07/43/46/179074346.db2.gz HXMKJMPCOHMDCS-UKRRQHHQSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1cccc(CN2CCC[C@@H](C)C2)n1 ZINC000336343805 179074571 /nfs/dbraw/zinc/07/45/71/179074571.db2.gz HGBLDYGKSNUKMT-LLVKDONJSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1cccc(CN(C)CCCCCF)n1 ZINC000341908505 179064976 /nfs/dbraw/zinc/06/49/76/179064976.db2.gz DQTQLXPVXCBMAS-UHFFFAOYSA-N 0 3 224.323 2.962 20 0 BFADHN Cc1cccc(CN(C)C[C@@H]2CCCO2)c1C ZINC000128038496 179065361 /nfs/dbraw/zinc/06/53/61/179065361.db2.gz HWMYGWQWGGQBMU-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1cccc(CN(C)CCCCCO)c1F ZINC000296959027 179065781 /nfs/dbraw/zinc/06/57/81/179065781.db2.gz UHSKAMFJWAVAMK-UHFFFAOYSA-N 0 3 239.334 2.729 20 0 BFADHN C[C@@H](NC[C@H]1CC2CCC1CC2)c1ncc[nH]1 ZINC000574916630 346413329 /nfs/dbraw/zinc/41/33/29/346413329.db2.gz YVJFRYVXJUHLLC-IKWCTNDRSA-N 0 3 233.359 2.887 20 0 BFADHN Cc1cccc(CN2CCN(C)[C@H](C)[C@@H]2C)c1C ZINC000361153784 179077373 /nfs/dbraw/zinc/07/73/73/179077373.db2.gz NLUAPVUYROWQMO-CABCVRRESA-N 0 3 246.398 2.828 20 0 BFADHN Cc1cccc(CN2CCSC(C)(C)C2)n1 ZINC000336456414 179078636 /nfs/dbraw/zinc/07/86/36/179078636.db2.gz RLDKQZZYJMEZSL-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1cccc(CN2CCOCC[C@H]2C)c1 ZINC000367663305 179079403 /nfs/dbraw/zinc/07/94/03/179079403.db2.gz PWTYNKGGZKYAHK-CYBMUJFWSA-N 0 3 219.328 2.606 20 0 BFADHN Cc1cccc(CN2CCSCC[C@H]2C)n1 ZINC000336625295 179079482 /nfs/dbraw/zinc/07/94/82/179079482.db2.gz UVFDJYGMQCIGGL-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN C[C@H](NCc1noc2c1CCCC2)C1CCC1 ZINC000574944606 346423619 /nfs/dbraw/zinc/42/36/19/346423619.db2.gz WZDMWACDNBLHAE-JTQLQIEISA-N 0 3 234.343 2.832 20 0 BFADHN COC[C@H](C)N[C@H](C)c1ccc(OC)cc1C ZINC000641788545 362723610 /nfs/dbraw/zinc/72/36/10/362723610.db2.gz POUYCJHSNJANPI-NWDGAFQWSA-N 0 3 237.343 2.689 20 0 BFADHN Cc1cccc(CN2CCC[C@H]2CF)c1 ZINC000293015913 179075854 /nfs/dbraw/zinc/07/58/54/179075854.db2.gz KETFGGIRCMLSBC-ZDUSSCGKSA-N 0 3 207.292 2.929 20 0 BFADHN Cc1cccc(CN2C[C@H](C)N(C)[C@@H](C)C2)c1 ZINC000339618122 179084848 /nfs/dbraw/zinc/08/48/48/179084848.db2.gz BHTZNTMTHJBISJ-KBPBESRZSA-N 0 3 232.371 2.519 20 0 BFADHN COC[C@H]1CCCN([C@@H](C)c2ccncc2)C1 ZINC000603413109 349961219 /nfs/dbraw/zinc/96/12/19/349961219.db2.gz CRWFURRFRZNSSQ-STQMWFEESA-N 0 3 234.343 2.501 20 0 BFADHN CSCCN1CCC[C@H](C(F)(F)F)C1 ZINC000170717076 346439515 /nfs/dbraw/zinc/43/95/15/346439515.db2.gz FESLSHSOXXLPIZ-QMMMGPOBSA-N 0 3 227.295 2.624 20 0 BFADHN Cc1cccc(CN2C[C@H](C)S[C@@H](C)C2)n1 ZINC000336406932 179083879 /nfs/dbraw/zinc/08/38/79/179083879.db2.gz MALXXDUURORPOR-RYUDHWBXSA-N 0 3 236.384 2.716 20 0 BFADHN Cc1cccc(CNCC2(O)CCCC2)c1F ZINC000294949516 179090689 /nfs/dbraw/zinc/09/06/89/179090689.db2.gz FJOQQNDVDXMCRP-UHFFFAOYSA-N 0 3 237.318 2.529 20 0 BFADHN CO[C@@H](CC(C)C)CN(C)CCC(F)(F)F ZINC000419198736 192103126 /nfs/dbraw/zinc/10/31/26/192103126.db2.gz YYLZSHSMZXTMSB-JTQLQIEISA-N 0 3 241.297 2.932 20 0 BFADHN C[C@@H]1CCN(CCc2ccccc2)C[C@H]1F ZINC000679224309 488320958 /nfs/dbraw/zinc/32/09/58/488320958.db2.gz NLXUWHIZCKTFNJ-TZMCWYRMSA-N 0 3 221.319 2.909 20 0 BFADHN Cc1cccc(CNCCC[C@H](C)CO)c1F ZINC000294935415 179092299 /nfs/dbraw/zinc/09/22/99/179092299.db2.gz CGTIDKWODYKLDN-NSHDSACASA-N 0 3 239.334 2.632 20 0 BFADHN Cc1cccc(CNC[C@H](O)c2ccsc2)c1 ZINC000219089015 179094288 /nfs/dbraw/zinc/09/42/88/179094288.db2.gz OIXNYCNSIAIECT-AWEZNQCLSA-N 0 3 247.363 2.880 20 0 BFADHN Cc1n[nH]c(C)c1CNCc1cccc(C)c1 ZINC000036864707 179094351 /nfs/dbraw/zinc/09/43/51/179094351.db2.gz OGUPWHSHEPRIJS-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN Cc1cccc(CNCc2ccncc2C)c1 ZINC000228662667 179095768 /nfs/dbraw/zinc/09/57/68/179095768.db2.gz GFHYKTVHCXFAQS-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN CC[C@H](C)N(C)CC(=O)Nc1ccc(C)cc1C ZINC000170916025 346479684 /nfs/dbraw/zinc/47/96/84/346479684.db2.gz IBFZQCULCDMWDP-ZDUSSCGKSA-N 0 3 248.370 2.972 20 0 BFADHN Cc1cccc(Cl)c1CN1CC[C@](C)(O)C1 ZINC000295125972 179107555 /nfs/dbraw/zinc/10/75/55/179107555.db2.gz XLDONBNMXAKKKH-ZDUSSCGKSA-N 0 3 239.746 2.605 20 0 BFADHN CCC[C@H](C)[C@H](CO)NCc1ccc(Cl)o1 ZINC000580083258 346515211 /nfs/dbraw/zinc/51/52/11/346515211.db2.gz LUWLBJUVEBKJKW-ONGXEEELSA-N 0 3 245.750 2.820 20 0 BFADHN CO[C@H](CC(C)C)CN(C)C[C@H]1CCCCO1 ZINC000419206658 192103445 /nfs/dbraw/zinc/10/34/45/192103445.db2.gz KZXNULFLQMDUSV-ZIAGYGMSSA-N 0 3 243.391 2.548 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1cccc(C)c1C ZINC000381641842 346517054 /nfs/dbraw/zinc/51/70/54/346517054.db2.gz APCDRVUAUNNWLP-UONOGXRCSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1cccc(Cl)c1CN[C@@H](C)[C@H](C)CO ZINC000295645976 179108322 /nfs/dbraw/zinc/10/83/22/179108322.db2.gz CPKGTAIRNSYLBL-MNOVXSKESA-N 0 3 241.762 2.755 20 0 BFADHN C[C@H]1CCN(CCc2ccccc2)C[C@H]1F ZINC000679224306 488321304 /nfs/dbraw/zinc/32/13/04/488321304.db2.gz NLXUWHIZCKTFNJ-GXTWGEPZSA-N 0 3 221.319 2.909 20 0 BFADHN Cc1cccc(N(C)C(=O)C2(N)CCCCC2)c1 ZINC000237051405 179112454 /nfs/dbraw/zinc/11/24/54/179112454.db2.gz OJUHUFMNTSBRHV-UHFFFAOYSA-N 0 3 246.354 2.619 20 0 BFADHN C[C@@H](NCCC[C@H]1CCOC1)c1ccoc1 ZINC000401884972 323129007 /nfs/dbraw/zinc/12/90/07/323129007.db2.gz BLQNAUSDVGSLMZ-NEPJUHHUSA-N 0 3 223.316 2.747 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(SC)cc1 ZINC000381647280 346542757 /nfs/dbraw/zinc/54/27/57/346542757.db2.gz BZAZRQWRXCLVEC-CHWSQXEVSA-N 0 3 237.368 2.676 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1ccc(CO)o1 ZINC000580155401 346570886 /nfs/dbraw/zinc/57/08/86/346570886.db2.gz FDMCJUIIMHIASJ-NEPJUHHUSA-N 0 3 237.343 2.688 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1ccc(CO)o1 ZINC000580155402 346571757 /nfs/dbraw/zinc/57/17/57/346571757.db2.gz FDMCJUIIMHIASJ-NWDGAFQWSA-N 0 3 237.343 2.688 20 0 BFADHN CCS[C@H]1CCC[C@@H]1NCc1cncs1 ZINC000300390067 346612828 /nfs/dbraw/zinc/61/28/28/346612828.db2.gz QZOYOWPGRDNPJX-QWRGUYRKSA-N 0 3 242.413 2.907 20 0 BFADHN C[C@H](NC[C@@H]1CCCC1(F)F)c1cnccn1 ZINC000390535704 259392891 /nfs/dbraw/zinc/39/28/91/259392891.db2.gz GBKRNTQEECPYLT-UWVGGRQHSA-N 0 3 241.285 2.563 20 0 BFADHN CCc1nc([C@H](C)NC[C@H](C)COC)cs1 ZINC000187973086 346661315 /nfs/dbraw/zinc/66/13/15/346661315.db2.gz TVSKSJRFZYZYPA-UWVGGRQHSA-N 0 3 242.388 2.639 20 0 BFADHN Cc1cccc(NC(=O)[C@@H]2C[C@@H](C)CCN2)c1C ZINC000126025330 179138836 /nfs/dbraw/zinc/13/88/36/179138836.db2.gz AFWNGXWZZAFICD-HZMBPMFUSA-N 0 3 246.354 2.630 20 0 BFADHN Cc1cc(CN[C@H](c2ccccc2)C2CC2)n[nH]1 ZINC000589638879 346720873 /nfs/dbraw/zinc/72/08/73/346720873.db2.gz BUZSPYDMPLQRKT-OAHLLOKOSA-N 0 3 241.338 2.959 20 0 BFADHN Cc1cc(CN[C@H](c2ccccc2)C2CC2)[nH]n1 ZINC000589638879 346720876 /nfs/dbraw/zinc/72/08/76/346720876.db2.gz BUZSPYDMPLQRKT-OAHLLOKOSA-N 0 3 241.338 2.959 20 0 BFADHN Cc1cc(C)cc(NC(=O)C2(N)CCCCC2)c1 ZINC000019511738 187370203 /nfs/dbraw/zinc/37/02/03/187370203.db2.gz MRNUFLYLZWRLQL-UHFFFAOYSA-N 0 3 246.354 2.904 20 0 BFADHN C[C@H](O)CN[C@@H](CC(F)(F)F)c1ccccc1 ZINC000037258116 346679980 /nfs/dbraw/zinc/67/99/80/346679980.db2.gz MTUFMFVPHIWWDY-ONGXEEELSA-N 0 3 247.260 2.651 20 0 BFADHN CC[C@H](CSC)N(C)Cc1oc(C)nc1C ZINC000589258593 346680475 /nfs/dbraw/zinc/68/04/75/346680475.db2.gz HKIUJPLMISQCCR-LLVKDONJSA-N 0 3 242.388 2.865 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1oc(C)nc1C ZINC000589258594 346680559 /nfs/dbraw/zinc/68/05/59/346680559.db2.gz HKIUJPLMISQCCR-NSHDSACASA-N 0 3 242.388 2.865 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1cccc(Cl)c1 ZINC000037480451 346697094 /nfs/dbraw/zinc/69/70/94/346697094.db2.gz COMKMZMZBJCKCF-LLVKDONJSA-N 0 3 240.734 2.796 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N[C@@H]1CCn2ccnc21 ZINC000345349487 365859158 /nfs/dbraw/zinc/85/91/58/365859158.db2.gz MUXNXWQTUJCJSD-FRRDWIJNSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1csc(C)n1 ZINC000387861733 347113601 /nfs/dbraw/zinc/11/36/01/347113601.db2.gz UIRFMEYNZABNGC-ZJUUUORDSA-N 0 3 210.346 2.587 20 0 BFADHN C[C@@H](NC1C[C@@H](C)O[C@H](C)C1)c1cscn1 ZINC000381748199 346804846 /nfs/dbraw/zinc/80/48/46/346804846.db2.gz QLIDMTZEYHUIIT-OPRDCNLKSA-N 0 3 240.372 2.750 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc([S@@](C)=O)cc1 ZINC000309736920 347148641 /nfs/dbraw/zinc/14/86/41/347148641.db2.gz COCSJRKIOCAWFO-AMAZIZATSA-N 0 3 237.368 2.651 20 0 BFADHN CCCCOCCN[C@@H](C)c1cncc(C)c1 ZINC000184328009 347158329 /nfs/dbraw/zinc/15/83/29/347158329.db2.gz SARWKHMZEFBOTA-ZDUSSCGKSA-N 0 3 236.359 2.857 20 0 BFADHN CC[C@@H]1CCCN([C@@H](C)c2cnccn2)C1 ZINC000184416820 347161720 /nfs/dbraw/zinc/16/17/20/347161720.db2.gz SLSBZXXQVHUNQP-NWDGAFQWSA-N 0 3 219.332 2.660 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CCC[C@H]2C)o1 ZINC000309646467 347127918 /nfs/dbraw/zinc/12/79/18/347127918.db2.gz MJWGYVZTVMMUAN-MWLCHTKSSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CCC[C@@H]2C)o1 ZINC000309646463 347128243 /nfs/dbraw/zinc/12/82/43/347128243.db2.gz MJWGYVZTVMMUAN-GXSJLCMTSA-N 0 3 222.332 2.824 20 0 BFADHN Cc1ccc(CNC[C@H](O)c2cccc(C)c2)o1 ZINC000268957165 179187822 /nfs/dbraw/zinc/18/78/22/179187822.db2.gz GRYLSASYBHRWGS-HNNXBMFYSA-N 0 3 245.322 2.720 20 0 BFADHN Cc1cccc([C@@H](O)CN2CCCCC2)c1 ZINC000019784921 179187356 /nfs/dbraw/zinc/18/73/56/179187356.db2.gz LMJROOOGKZDQGR-AWEZNQCLSA-N 0 3 219.328 2.514 20 0 BFADHN Cc1nsc(C)c1CN1CC[C@@H](C)[C@H]1C ZINC000571294869 323140640 /nfs/dbraw/zinc/14/06/40/323140640.db2.gz HSCMDZPCFNMUSQ-PSASIEDQSA-N 0 3 224.373 2.990 20 0 BFADHN Cc1ccnc([C@H](C)NCCCOC(F)F)c1 ZINC000353598677 187372668 /nfs/dbraw/zinc/37/26/68/187372668.db2.gz WFUUHSFMTMXYAR-JTQLQIEISA-N 0 3 244.285 2.670 20 0 BFADHN Cc1cccc([C@H](C)NC[C@H](O)C(F)(F)F)c1 ZINC000160250543 179195945 /nfs/dbraw/zinc/19/59/45/179195945.db2.gz LOUDCWVVXVAFIQ-ONGXEEELSA-N 0 3 247.260 2.569 20 0 BFADHN Cc1noc(CCN[C@@H](C)c2cccc(C)c2)n1 ZINC000089518516 179196243 /nfs/dbraw/zinc/19/62/43/179196243.db2.gz PXTDCSLCXBPQIF-NSHDSACASA-N 0 3 245.326 2.580 20 0 BFADHN CC[C@H](C)NCc1ccncc1Br ZINC000395860297 362800701 /nfs/dbraw/zinc/80/07/01/362800701.db2.gz AYLBBKGXRSYMFT-QMMMGPOBSA-N 0 3 243.148 2.732 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCC2(F)F)nc1 ZINC000390593604 259394735 /nfs/dbraw/zinc/39/47/35/259394735.db2.gz SKXDINZBVQLMIF-LLVKDONJSA-N 0 3 240.297 2.915 20 0 BFADHN CO[C@H]([C@@H](C)NCc1ccc(Cl)o1)C1CC1 ZINC000388252659 347267222 /nfs/dbraw/zinc/26/72/22/347267222.db2.gz AFRSRNIPWRENEL-PRHODGIISA-N 0 3 243.734 2.836 20 0 BFADHN CC[C@H](NC[C@H](C)COC)c1c(C)noc1C ZINC000188186375 347275200 /nfs/dbraw/zinc/27/52/00/347275200.db2.gz CLAHLQFRDMDVSR-CABZTGNLSA-N 0 3 240.347 2.615 20 0 BFADHN COc1cccc(CN[C@@H](C)[C@@H](OC)C2CC2)c1 ZINC000388261639 347275663 /nfs/dbraw/zinc/27/56/63/347275663.db2.gz ALKBNBRTJIUONC-XHDPSFHLSA-N 0 3 249.354 2.598 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1ccccn1)C1CC1 ZINC000388263547 347276414 /nfs/dbraw/zinc/27/64/14/347276414.db2.gz CAUQBVCOMMMDCT-WDMOLILDSA-N 0 3 234.343 2.546 20 0 BFADHN C[C@@H](NCc1cccs1)c1ccncc1 ZINC000020121060 347408335 /nfs/dbraw/zinc/40/83/35/347408335.db2.gz YSODUSXNVHDFIH-SNVBAGLBSA-N 0 3 218.325 2.994 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C(C)(C)C2)cn1 ZINC000354023913 187374102 /nfs/dbraw/zinc/37/41/02/187374102.db2.gz HYFPMLCXSSCVSK-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cccc2nc(CN3C[C@@H](C)[C@H](C)C3)cn21 ZINC000267723422 179229754 /nfs/dbraw/zinc/22/97/54/179229754.db2.gz YXIAYNPIRIGPHR-VXGBXAGGSA-N 0 3 243.354 2.731 20 0 BFADHN Cc1cccc2nc(CN[C@@H]3CCC3(C)C)cn21 ZINC000284499256 179229881 /nfs/dbraw/zinc/22/98/81/179229881.db2.gz VPKQVLQBTCANEL-CYBMUJFWSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1cccc2nc(CN3C[C@@H](C)C[C@@H]3C)cn21 ZINC000354207710 179230284 /nfs/dbraw/zinc/23/02/84/179230284.db2.gz NDDQOBVKWSGETK-AAEUAGOBSA-N 0 3 243.354 2.873 20 0 BFADHN CCOCCN(C)Cc1ccc(C)cc1C ZINC000194564528 347424930 /nfs/dbraw/zinc/42/49/30/347424930.db2.gz URBBAXFLPRKHLZ-UHFFFAOYSA-N 0 3 221.344 2.772 20 0 BFADHN Cc1cccc2nc(CN[C@H](C)C3CC3)cn21 ZINC000177322088 179229515 /nfs/dbraw/zinc/22/95/15/179229515.db2.gz KMOMSIIUMGNYRO-LLVKDONJSA-N 0 3 229.327 2.531 20 0 BFADHN CS[C@@H]1CCN(Cc2n[nH]c3ccccc32)C1 ZINC000590656561 347486499 /nfs/dbraw/zinc/48/64/99/347486499.db2.gz ZMJLOQVBEPCEIL-SNVBAGLBSA-N 0 3 247.367 2.500 20 0 BFADHN CS[C@@H]1CCN(Cc2[nH]nc3ccccc32)C1 ZINC000590656561 347486502 /nfs/dbraw/zinc/48/65/02/347486502.db2.gz ZMJLOQVBEPCEIL-SNVBAGLBSA-N 0 3 247.367 2.500 20 0 BFADHN Cc1ccccc1-n1nccc1CNC1CCC1 ZINC000280897039 179242219 /nfs/dbraw/zinc/24/22/19/179242219.db2.gz ODNZLOLEZWZRJN-UHFFFAOYSA-N 0 3 241.338 2.823 20 0 BFADHN CS[C@H]1CCN([C@H](C)c2ccncc2)C1 ZINC000590749963 347503763 /nfs/dbraw/zinc/50/37/63/347503763.db2.gz OGJFODIOCARYRP-PWSUYJOCSA-N 0 3 222.357 2.580 20 0 BFADHN Cc1ccccc1C(C)(C)NCc1c[nH]cn1 ZINC000292969254 179255795 /nfs/dbraw/zinc/25/57/95/179255795.db2.gz KDGTYXHHOWGPRU-UHFFFAOYSA-N 0 3 229.327 2.743 20 0 BFADHN Cc1ccccc1C(C)(C)NCc1cnc[nH]1 ZINC000292969254 179255797 /nfs/dbraw/zinc/25/57/97/179255797.db2.gz KDGTYXHHOWGPRU-UHFFFAOYSA-N 0 3 229.327 2.743 20 0 BFADHN CCN(CC)[C@@H](C)c1cc(C(=O)OC)c(C)o1 ZINC000292477567 347511036 /nfs/dbraw/zinc/51/10/36/347511036.db2.gz AFQBCANPYROYRD-VIFPVBQESA-N 0 3 239.315 2.777 20 0 BFADHN CC[C@H](C(=O)N(CC)[C@@H](C)CC)N(CC)CC ZINC000616980730 347562526 /nfs/dbraw/zinc/56/25/26/347562526.db2.gz LXTWNZWEFUBGPV-QWHCGFSZSA-N 0 3 242.407 2.754 20 0 BFADHN CC[C@@H](C)N(CC)C(=O)[C@@H](CC)N(CC)CC ZINC000616980728 347562741 /nfs/dbraw/zinc/56/27/41/347562741.db2.gz LXTWNZWEFUBGPV-CHWSQXEVSA-N 0 3 242.407 2.754 20 0 BFADHN C[C@H]1C[C@@H](CO)CCN1C/C=C\c1ccccc1 ZINC000577243555 365898853 /nfs/dbraw/zinc/89/88/53/365898853.db2.gz LHEUDHQHWUVTOZ-YAIPVJBQSA-N 0 3 245.366 2.793 20 0 BFADHN Cc1csc([C@@H](C)CNCC2(F)CC2)n1 ZINC000380122106 365903282 /nfs/dbraw/zinc/90/32/82/365903282.db2.gz QUPWSANQIGJLBI-QMMMGPOBSA-N 0 3 228.336 2.647 20 0 BFADHN Cc1ccccc1CCN[C@H](C)c1cnccn1 ZINC000094182747 179267258 /nfs/dbraw/zinc/26/72/58/179267258.db2.gz NNUGBYMHWUXXKR-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@H]2O)c(Cl)c1 ZINC000323080623 347648145 /nfs/dbraw/zinc/64/81/45/347648145.db2.gz AUEQTYSFVKCURY-CHWSQXEVSA-N 0 3 239.746 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CCc3c2cccc3C)[nH]n1 ZINC000591725598 347689644 /nfs/dbraw/zinc/68/96/44/347689644.db2.gz PQTKVOVFQRHIRX-HNNXBMFYSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1cc(CN[C@H]2CCc3c2cccc3C)n[nH]1 ZINC000591725598 347689645 /nfs/dbraw/zinc/68/96/45/347689645.db2.gz PQTKVOVFQRHIRX-HNNXBMFYSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1ccccc1CNCc1cnccc1C ZINC000135451493 179283369 /nfs/dbraw/zinc/28/33/69/179283369.db2.gz ZJUWJJWPOXSRAR-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN CCN(CCSC)Cc1cnccc1C ZINC000592204367 347755890 /nfs/dbraw/zinc/75/58/90/347755890.db2.gz REYBYHQVVLMDEO-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN CCN(CCOCCC(C)C)CCSC ZINC000592205678 347756492 /nfs/dbraw/zinc/75/64/92/347756492.db2.gz YPAQMKDFVFHWBL-UHFFFAOYSA-N 0 3 233.421 2.734 20 0 BFADHN CCN(CCSC)Cc1ccc(C)nc1 ZINC000592530804 347796049 /nfs/dbraw/zinc/79/60/49/347796049.db2.gz RFGVSHQZRJIBGM-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN Cc1ccccc1C[C@H](C)N(C)Cc1c[nH]cn1 ZINC000361323560 179291312 /nfs/dbraw/zinc/29/13/12/179291312.db2.gz CXLXXTUHZYRZNA-ZDUSSCGKSA-N 0 3 243.354 2.781 20 0 BFADHN Cc1ccccc1C[C@H](C)N(C)Cc1cnc[nH]1 ZINC000361323560 179291313 /nfs/dbraw/zinc/29/13/13/179291313.db2.gz CXLXXTUHZYRZNA-ZDUSSCGKSA-N 0 3 243.354 2.781 20 0 BFADHN Cc1ccccc1C[C@H](C)N[C@@H](C)CF ZINC000288383684 179291351 /nfs/dbraw/zinc/29/13/51/179291351.db2.gz GHYBWCSAUFTFPI-RYUDHWBXSA-N 0 3 209.308 2.874 20 0 BFADHN CC(C)=CCN1CCC[C@H](OC(F)F)C1 ZINC000679677834 488363889 /nfs/dbraw/zinc/36/38/89/488363889.db2.gz FKFQACFHSGARHB-JTQLQIEISA-N 0 3 219.275 2.656 20 0 BFADHN C[C@@H](NC[C@H]1CCCCC1(F)F)c1cn[nH]c1 ZINC000390687587 259398044 /nfs/dbraw/zinc/39/80/44/259398044.db2.gz YYMHWOOUMLHREN-MWLCHTKSSA-N 0 3 243.301 2.886 20 0 BFADHN CCCCOCCN1CCC(C(F)F)CC1 ZINC000361689329 187382271 /nfs/dbraw/zinc/38/22/71/187382271.db2.gz JOKVPLHGRKNCFY-UHFFFAOYSA-N 0 3 235.318 2.780 20 0 BFADHN CC(C)N(C/C=C\c1ccncc1)[C@@H]1CCOC1 ZINC000361055000 187382424 /nfs/dbraw/zinc/38/24/24/187382424.db2.gz VGIQSZATTFMUNQ-ABCZVMIZSA-N 0 3 246.354 2.594 20 0 BFADHN Cc1nn(C)cc1CN[C@H](C)c1ccccc1C ZINC000123596438 179320685 /nfs/dbraw/zinc/32/06/85/179320685.db2.gz GCBBQESWDVIYJC-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccccc1[C@@H](C)N1CCN(C2CC2)CC1 ZINC000123113006 179317817 /nfs/dbraw/zinc/31/78/17/179317817.db2.gz KUAMUXVTMQNIDA-CQSZACIVSA-N 0 3 244.382 2.836 20 0 BFADHN COc1ncccc1CNC1CCC2(CC2)CC1 ZINC000362927846 187383492 /nfs/dbraw/zinc/38/34/92/187383492.db2.gz LZFDRSFPYOQSSW-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN CC[C@H](N)C(=O)N[C@@H](CC)c1cc(C)ccc1C ZINC000638695168 352535981 /nfs/dbraw/zinc/53/59/81/352535981.db2.gz NHWFVYFWBIPDRO-KBPBESRZSA-N 0 3 248.370 2.608 20 0 BFADHN C[C@@H](C[S@@](C)=O)N(C)CCC(C)(C)C1CC1 ZINC000593978020 347986346 /nfs/dbraw/zinc/98/63/46/347986346.db2.gz XPMNFAVUFNKQSH-MEDUHNTESA-N 0 3 245.432 2.512 20 0 BFADHN Cc1ccccc1[C@H](C)NCCC(=O)NC(C)C ZINC000054048279 179337096 /nfs/dbraw/zinc/33/70/96/179337096.db2.gz ZKYYGCIMDUCJBI-ZDUSSCGKSA-N 0 3 248.370 2.560 20 0 BFADHN Cc1c(CN[C@@H](C)c2ccccc2C)cnn1C ZINC000093649288 179339155 /nfs/dbraw/zinc/33/91/55/179339155.db2.gz AYHKRUKCNJHAOR-LBPRGKRZSA-N 0 3 243.354 2.888 20 0 BFADHN C1=C[C@H](N2CCOCC23CCCC3)CCC1 ZINC000371250202 187385582 /nfs/dbraw/zinc/38/55/82/187385582.db2.gz UEIRVVBQONOWEB-ZDUSSCGKSA-N 0 3 221.344 2.740 20 0 BFADHN Cc1ccccc1[C@H]1CCN(Cc2cc[nH]n2)C1 ZINC000271174783 179346836 /nfs/dbraw/zinc/34/68/36/179346836.db2.gz NHJPQSWPTDEMNU-ZDUSSCGKSA-N 0 3 241.338 2.708 20 0 BFADHN CN(C)CCSCCCC1CCC1 ZINC000594890271 348124091 /nfs/dbraw/zinc/12/40/91/348124091.db2.gz BBMWEDOGYHCSSJ-UHFFFAOYSA-N 0 3 201.379 2.862 20 0 BFADHN COC(=O)c1cc(CNC2(C)CC=CC2)oc1C ZINC000594616245 348084021 /nfs/dbraw/zinc/08/40/21/348084021.db2.gz UGJQKRWLUUMLKP-UHFFFAOYSA-N 0 3 249.310 2.573 20 0 BFADHN CC[C@@H](CN1Cc2ccccc2OC[C@H]1C)OC ZINC000419236465 192112211 /nfs/dbraw/zinc/11/22/11/192112211.db2.gz AXGGNBWJGYRDNJ-OCCSQVGLSA-N 0 3 249.354 2.695 20 0 BFADHN C/C(=C\c1ccccc1)CN1C[C@H](O)C2(CC2)C1 ZINC000375289620 187387963 /nfs/dbraw/zinc/38/79/63/187387963.db2.gz XCGKOJULSOPNIE-GLNPCMGASA-N 0 3 243.350 2.547 20 0 BFADHN CC(C)[C@@]1(CO)CCN(Cc2ccsc2)C1 ZINC000378131518 187390259 /nfs/dbraw/zinc/39/02/59/187390259.db2.gz PHDIFFPBCQAULW-ZDUSSCGKSA-N 0 3 239.384 2.589 20 0 BFADHN Cc1cccnc1CN1CCCC[C@@H]1C[C@H](C)O ZINC000228884492 179372587 /nfs/dbraw/zinc/37/25/87/179372587.db2.gz XNKGIXXAHBNFGL-UONOGXRCSA-N 0 3 248.370 2.515 20 0 BFADHN C[C@H]1CC[C@H]1NCc1ccc(F)cc1F ZINC000308844745 323179688 /nfs/dbraw/zinc/17/96/88/323179688.db2.gz ZSFXLCPKUCEXEW-QPUJVOFHSA-N 0 3 211.255 2.853 20 0 BFADHN CCS[C@@H]1CCC[C@@H]1NCc1conc1C ZINC000595484673 348253517 /nfs/dbraw/zinc/25/35/17/348253517.db2.gz MVHHZGGTZBRNLM-NWDGAFQWSA-N 0 3 240.372 2.747 20 0 BFADHN Cc1cccnc1CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000284943984 179374397 /nfs/dbraw/zinc/37/43/97/179374397.db2.gz WSLMYBCUPCLTJR-HUUCEWRRSA-N 0 3 246.354 2.533 20 0 BFADHN CC[C@H](CN(C)C[C@H](C)c1nccs1)OC ZINC000419254021 192115985 /nfs/dbraw/zinc/11/59/85/192115985.db2.gz UTZOZDKFJKHFIE-WDEREUQCSA-N 0 3 242.388 2.603 20 0 BFADHN CC[C@]1(C)CN(C[C@@H](CC(C)C)OC)CCO1 ZINC000419249380 192114600 /nfs/dbraw/zinc/11/46/00/192114600.db2.gz DMHHVBSFXMBTJJ-ZIAGYGMSSA-N 0 3 243.391 2.548 20 0 BFADHN CSCCN[C@H](C)c1cc(C)c(C)o1 ZINC000308735300 348269948 /nfs/dbraw/zinc/26/99/48/348269948.db2.gz KZQAVLJJOLFYQD-SECBINFHSA-N 0 3 213.346 2.910 20 0 BFADHN CC[C@@H](CN1Cc2ccccc2O[C@H](C)C1)OC ZINC000419297636 192119111 /nfs/dbraw/zinc/11/91/11/192119111.db2.gz ZNBHRNLXYVTVIO-OCCSQVGLSA-N 0 3 249.354 2.695 20 0 BFADHN CC[C@H](CN1Cc2ccccc2O[C@H](C)C1)OC ZINC000419297638 192119527 /nfs/dbraw/zinc/11/95/27/192119527.db2.gz ZNBHRNLXYVTVIO-TZMCWYRMSA-N 0 3 249.354 2.695 20 0 BFADHN CCc1cnccc1[C@H](C)NC[C@H](CC)OC ZINC000419252065 192116241 /nfs/dbraw/zinc/11/62/41/192116241.db2.gz SBKXHMQTWXCLET-AAEUAGOBSA-N 0 3 236.359 2.720 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H](C)c1ccsc1 ZINC000186513004 187392041 /nfs/dbraw/zinc/39/20/41/187392041.db2.gz MCGQBDIVRAWFHY-ISBNUJHVSA-N 0 3 225.357 2.726 20 0 BFADHN CO[C@H](CC(C)C)CN1CC(C)(C)OC[C@@H]1C ZINC000419303370 192120238 /nfs/dbraw/zinc/12/02/38/192120238.db2.gz HUGHKNYMFJPZOY-QWHCGFSZSA-N 0 3 243.391 2.547 20 0 BFADHN Cc1cccnc1OC1CCN(C(C)C)CC1 ZINC000289380255 179394792 /nfs/dbraw/zinc/39/47/92/179394792.db2.gz LBZHTPZHEOKWFX-UHFFFAOYSA-N 0 3 234.343 2.642 20 0 BFADHN COc1cccc([C@H](C)N[C@H]2CO[C@H](C)C2)c1 ZINC000401866185 348296326 /nfs/dbraw/zinc/29/63/26/348296326.db2.gz VIXLXAFXDPZGQA-NTZNESFSSA-N 0 3 235.327 2.523 20 0 BFADHN CSCCN1CCc2ccc(C)cc2C1 ZINC000595656583 348296925 /nfs/dbraw/zinc/29/69/25/348296925.db2.gz CWWAOXOEHLABNP-UHFFFAOYSA-N 0 3 221.369 2.716 20 0 BFADHN F[C@@H]1CCC[C@@H]1NC1Cc2ccccc2C1 ZINC000336316930 187393654 /nfs/dbraw/zinc/39/36/54/187393654.db2.gz QKSYQSFTSYPIMW-KGLIPLIRSA-N 0 3 219.303 2.634 20 0 BFADHN CC(C)Cn1ccnc1CNC[C@H]1CC=CCC1 ZINC000123551504 187393393 /nfs/dbraw/zinc/39/33/93/187393393.db2.gz MFMOSAQSONLDPE-AWEZNQCLSA-N 0 3 247.386 2.985 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1ccc(C)cc1 ZINC000381702505 538002085 /nfs/dbraw/zinc/00/20/85/538002085.db2.gz IRGYVXZLYBDEDS-IACUBPJLSA-N 0 3 219.328 2.823 20 0 BFADHN CCc1noc(CN(CC(C)C)CC(C)C)n1 ZINC000642196637 362907696 /nfs/dbraw/zinc/90/76/96/362907696.db2.gz IXYVRYFAFCWQHF-UHFFFAOYSA-N 0 3 239.363 2.746 20 0 BFADHN COc1cncc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)c1 ZINC000419358712 192126996 /nfs/dbraw/zinc/12/69/96/192126996.db2.gz QHRJJAVTQSCSTN-TUAOUCFPSA-N 0 3 234.343 2.567 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1CCn1cc(Cl)cn1 ZINC000419356497 192127179 /nfs/dbraw/zinc/12/71/79/192127179.db2.gz OHOALKXDKFQMPM-OUAUKWLOSA-N 0 3 241.766 2.513 20 0 BFADHN COc1ccncc1CN1C[C@H](C)[C@@H](C)[C@@H]1C ZINC000419335522 192124309 /nfs/dbraw/zinc/12/43/09/192124309.db2.gz HPIXVFIHPVFFBJ-TUAOUCFPSA-N 0 3 234.343 2.567 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@H](C)[C@H]2C)oc1C ZINC000419336781 192125126 /nfs/dbraw/zinc/12/51/26/192125126.db2.gz ASXOGZMBWZGEHY-ATZCPNFKSA-N 0 3 222.332 2.768 20 0 BFADHN CC[C@H](C)N1CCC(OC)(C(F)(F)F)CC1 ZINC000419362136 192127405 /nfs/dbraw/zinc/12/74/05/192127405.db2.gz YFBALKWVUPSKLO-VIFPVBQESA-N 0 3 239.281 2.828 20 0 BFADHN COc1ncccc1CN1C[C@H](C)[C@@H](C)[C@H]1C ZINC000419351331 192125719 /nfs/dbraw/zinc/12/57/19/192125719.db2.gz IXPOBJTZNQRZOO-QJPTWQEYSA-N 0 3 234.343 2.567 20 0 BFADHN C[C@@H]1CN(Cc2cn3ccsc3n2)[C@@H](C)[C@H]1C ZINC000419369986 192129189 /nfs/dbraw/zinc/12/91/89/192129189.db2.gz IRLMDYTZNRIWRY-VWYCJHECSA-N 0 3 249.383 2.872 20 0 BFADHN CC(C)CCN(Cc1ccc(CO)o1)C1CC1 ZINC000129828141 490171007 /nfs/dbraw/zinc/17/10/07/490171007.db2.gz DHAYRJKRQCYOHL-UHFFFAOYSA-N 0 3 237.343 2.782 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3c2cccc3O)CS1 ZINC000397869367 362929013 /nfs/dbraw/zinc/92/90/13/362929013.db2.gz RWODQOANDAMZMX-NRUUGDAUSA-N 0 3 249.379 2.863 20 0 BFADHN CC[C@H](N[C@H]1CC12CCCC2)c1ccn(C)n1 ZINC000429443627 192145046 /nfs/dbraw/zinc/14/50/46/192145046.db2.gz NKCFDYCIRZKRCO-AAEUAGOBSA-N 0 3 233.359 2.794 20 0 BFADHN COc1cccc(OC)c1CNC[C@@H]1CC12CC2 ZINC000419833904 192146924 /nfs/dbraw/zinc/14/69/24/192146924.db2.gz QKZNEWMWJAIRIT-NSHDSACASA-N 0 3 247.338 2.594 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1CCOC1(C)C ZINC000296452933 179500774 /nfs/dbraw/zinc/50/07/74/179500774.db2.gz MWGYRLKZIYGBJI-WCQYABFASA-N 0 3 234.343 2.608 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CO[C@H](C)C2)c(C)o1 ZINC000401892550 348600010 /nfs/dbraw/zinc/60/00/10/348600010.db2.gz TYHBIQHLAMOXOO-UBHAPETDSA-N 0 3 223.316 2.724 20 0 BFADHN Cc1cnc(CNC[C@@H](C)c2ccccc2)n1C ZINC000341129002 179604480 /nfs/dbraw/zinc/60/44/80/179604480.db2.gz NTFUXXOZLHYUJE-GFCCVEGCSA-N 0 3 243.354 2.622 20 0 BFADHN CCc1ccc(CN[C@H]2CO[C@@H](C)C2)cc1 ZINC000401924780 348738380 /nfs/dbraw/zinc/73/83/80/348738380.db2.gz LCWQWEIMLJEUMJ-SMDDNHRTSA-N 0 3 219.328 2.516 20 0 BFADHN CCCC[C@@H](C)[C@H](C)NCc1cocn1 ZINC000389964135 538009748 /nfs/dbraw/zinc/00/97/48/538009748.db2.gz WVXFMMQIUIROFY-MNOVXSKESA-N 0 3 210.321 2.979 20 0 BFADHN COC[C@@H](C)N1CCCc2sccc2C1 ZINC000075718567 348764911 /nfs/dbraw/zinc/76/49/11/348764911.db2.gz XRMDIIUJBBAJFV-SNVBAGLBSA-N 0 3 225.357 2.531 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)[C@H](C)C2)on1 ZINC000082837219 348820615 /nfs/dbraw/zinc/82/06/15/348820615.db2.gz PCEGXEWXPVPPGH-CKYFFXLPSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H](C)CC[C@@H]2C)on1 ZINC000082859100 348853413 /nfs/dbraw/zinc/85/34/13/348853413.db2.gz NSNMHNFLMNUCIX-GBIKHYSHSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1nc(C)c(CNC2(C3(C)CC3)CC2)o1 ZINC000628301253 349044428 /nfs/dbraw/zinc/04/44/28/349044428.db2.gz NYAMQHGWIHJSQF-UHFFFAOYSA-N 0 3 220.316 2.714 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1ccnc(C)c1 ZINC000598600509 349005059 /nfs/dbraw/zinc/00/50/59/349005059.db2.gz OOZZQLPARJHEFC-ZDUSSCGKSA-N 0 3 238.400 2.963 20 0 BFADHN CN(C)CCSCc1cncc(Cl)c1 ZINC000598708930 349024480 /nfs/dbraw/zinc/02/44/80/349024480.db2.gz HHGKXCBSDRWRBT-UHFFFAOYSA-N 0 3 230.764 2.530 20 0 BFADHN CCC(C)(C)C(=O)Nc1cccc2c1CCNC2 ZINC000054402266 349032899 /nfs/dbraw/zinc/03/28/99/349032899.db2.gz MVWILCSKDBNWSV-UHFFFAOYSA-N 0 3 246.354 2.707 20 0 BFADHN CCc1nocc1CNC[C@@H]1CCCC12CC2 ZINC000623825622 349069516 /nfs/dbraw/zinc/06/95/16/349069516.db2.gz HCWWPOCWQIQJGK-LBPRGKRZSA-N 0 3 234.343 2.907 20 0 BFADHN Cc1noc(C)c1CNC[C@H]1CCCC1(F)F ZINC000394660283 349073355 /nfs/dbraw/zinc/07/33/55/349073355.db2.gz NVJCBLZDMMXVEU-SNVBAGLBSA-N 0 3 244.285 2.816 20 0 BFADHN CC[C@H](C)NCc1snc(OC)c1Cl ZINC000616573887 349073439 /nfs/dbraw/zinc/07/34/39/349073439.db2.gz MACYPDDKIHFSMM-LURJTMIESA-N 0 3 234.752 2.693 20 0 BFADHN Cc1nc(CNC[C@H]2CC[C@@H](C)C2)[nH]c1C ZINC000563898336 323206263 /nfs/dbraw/zinc/20/62/63/323206263.db2.gz FJFBPOCVDBSEHC-SKDRFNHKSA-N 0 3 221.348 2.552 20 0 BFADHN CCOC1CCN([C@@H](C)c2ccncc2)CC1 ZINC000599207338 349119394 /nfs/dbraw/zinc/11/93/94/349119394.db2.gz IRUNVEVLWBORNC-LBPRGKRZSA-N 0 3 234.343 2.644 20 0 BFADHN COC(=O)CCCCN(C)[C@@H](C)c1ccco1 ZINC000599272688 349137737 /nfs/dbraw/zinc/13/77/37/349137737.db2.gz QRIYHJFDNIZTKA-NSHDSACASA-N 0 3 239.315 2.616 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1ccncc1Cl ZINC000421805664 192384207 /nfs/dbraw/zinc/38/42/07/192384207.db2.gz XNDNDJNYAAHTPI-VHSXEESVSA-N 0 3 240.734 2.565 20 0 BFADHN CCOCCCN[C@@H](C)c1ccncc1Cl ZINC000421798730 192384761 /nfs/dbraw/zinc/38/47/61/192384761.db2.gz CEIOVQPGTDBMSQ-JTQLQIEISA-N 0 3 242.750 2.812 20 0 BFADHN CC[C@H](O)CN[C@H](c1ccc(C)o1)C1CCC1 ZINC000421869311 192397244 /nfs/dbraw/zinc/39/72/44/192397244.db2.gz DGYTYPSSNOOHRR-JSGCOSHPSA-N 0 3 237.343 2.790 20 0 BFADHN C[C@H](Cc1ccc(F)cc1Cl)NCCF ZINC000421856833 192389500 /nfs/dbraw/zinc/38/95/00/192389500.db2.gz PCEZNHVOQWJULZ-MRVPVSSYSA-N 0 3 233.689 2.969 20 0 BFADHN COC1([C@H](C)NCc2cnccc2C)CCCC1 ZINC000421853217 192389821 /nfs/dbraw/zinc/38/98/21/192389821.db2.gz IUVYDFBODQFDFI-ZDUSSCGKSA-N 0 3 248.370 2.827 20 0 BFADHN COC(C)(C)[C@H](C)NCc1cc(C)nc(C)c1 ZINC000421857298 192390445 /nfs/dbraw/zinc/39/04/45/192390445.db2.gz OZODRCAZMFUOQJ-LBPRGKRZSA-N 0 3 236.359 2.602 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1ccncc1Cl ZINC000421838236 192392693 /nfs/dbraw/zinc/39/26/93/192392693.db2.gz UZEZJWLYBXFZIF-VHSXEESVSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1ccncc1Cl ZINC000421846624 192392982 /nfs/dbraw/zinc/39/29/82/192392982.db2.gz TYCFILZDOLMGEO-VHSXEESVSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](O)C[C@H](C)N[C@@H](C)c1ccncc1Cl ZINC000421846289 192393280 /nfs/dbraw/zinc/39/32/80/192393280.db2.gz ZJQRVVYDUCSXBE-GUBZILKMSA-N 0 3 242.750 2.545 20 0 BFADHN Cc1csc(CNCc2c(C)nn(C)c2C)c1 ZINC000344615972 179776681 /nfs/dbraw/zinc/77/66/81/179776681.db2.gz RQCWEORZDWEUPS-UHFFFAOYSA-N 0 3 249.383 2.697 20 0 BFADHN CCSCCN1C[C@@H](C)C(F)(F)[C@H](C)C1 ZINC000599514184 349204688 /nfs/dbraw/zinc/20/46/88/349204688.db2.gz VEAHHTQCMQLVPT-NXEZZACHSA-N 0 3 237.359 2.963 20 0 BFADHN CCCCN1Cc2ccccc2[C@@H](C(=O)OC)C1 ZINC000599667312 349247505 /nfs/dbraw/zinc/24/75/05/349247505.db2.gz DBLOZXAAZIMLMW-AWEZNQCLSA-N 0 3 247.338 2.559 20 0 BFADHN Cc1nc(CNC[C@@H]2Cc3ccccc32)cs1 ZINC000037565782 349269901 /nfs/dbraw/zinc/26/99/01/349269901.db2.gz ZZQLRLADRRYVEV-LBPRGKRZSA-N 0 3 244.363 2.881 20 0 BFADHN Cc1nc(C)c(CNCCC2CC(F)(F)C2)o1 ZINC000628304698 349328889 /nfs/dbraw/zinc/32/88/89/349328889.db2.gz MUUSJCBWNDCOBU-UHFFFAOYSA-N 0 3 244.285 2.816 20 0 BFADHN CC(=O)CCN1CCC(Cc2ccccc2)CC1 ZINC000037387756 190988534 /nfs/dbraw/zinc/98/85/34/190988534.db2.gz CFEGKTVDJJKHCF-UHFFFAOYSA-N 0 3 245.366 2.920 20 0 BFADHN CCn1ccnc1CNC[C@@H](C)CC(F)(F)F ZINC000396967288 350014107 /nfs/dbraw/zinc/01/41/07/350014107.db2.gz XUTRQMZGCJSYKN-VIFPVBQESA-N 0 3 249.280 2.581 20 0 BFADHN CCN(CCc1cccnc1)CCC(F)(F)F ZINC000604044662 350073215 /nfs/dbraw/zinc/07/32/15/350073215.db2.gz BSPRLLPYPWKCFQ-UHFFFAOYSA-N 0 3 246.276 2.898 20 0 BFADHN Fc1ccc(CC2CN(CC3CC3)C2)cc1F ZINC000409444808 191011400 /nfs/dbraw/zinc/01/14/00/191011400.db2.gz GSWGBOSCTDGPBS-UHFFFAOYSA-N 0 3 237.293 2.849 20 0 BFADHN COc1c(C)cnc(CN2C[C@H](C)C[C@@H]2C)c1C ZINC000409445151 191013794 /nfs/dbraw/zinc/01/37/94/191013794.db2.gz CMUPRHQMGDGYHC-PWSUYJOCSA-N 0 3 248.370 2.937 20 0 BFADHN CC1(C)C[C@@H]1NCc1cnc(C2CC2)s1 ZINC000309121932 350036456 /nfs/dbraw/zinc/03/64/56/350036456.db2.gz OFVOLPIMPMASBS-JTQLQIEISA-N 0 3 222.357 2.909 20 0 BFADHN CCS[C@H]1CC[C@H](N(C)Cc2ccno2)C1 ZINC000558674295 490290085 /nfs/dbraw/zinc/29/00/85/490290085.db2.gz WRBRYEHUMBDADQ-JQWIXIFHSA-N 0 3 240.372 2.781 20 0 BFADHN Cc1nc([C@@H](C)NC2CCSCC2)cs1 ZINC000122952511 180035318 /nfs/dbraw/zinc/03/53/18/180035318.db2.gz JIDLTKWZOXIRCT-MRVPVSSYSA-N 0 3 242.413 2.998 20 0 BFADHN Cc1nc([C@@H](C)NC[C@H]2CCSC2)cs1 ZINC000226380002 180036746 /nfs/dbraw/zinc/03/67/46/180036746.db2.gz FZTZKMQTBJRUQH-PSASIEDQSA-N 0 3 242.413 2.855 20 0 BFADHN CCOC[C@H]1CCC[C@@H]1NCc1occc1C ZINC000426333493 191019383 /nfs/dbraw/zinc/01/93/83/191019383.db2.gz HXLYAAVKTKKLAE-OLZOCXBDSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1nc([C@@H](C)N[C@H]2CCCOCC2)cs1 ZINC000271421398 180037682 /nfs/dbraw/zinc/03/76/82/180037682.db2.gz VDTNRJCSCZRKAB-KOLCDFICSA-N 0 3 240.372 2.671 20 0 BFADHN Cc1nc([C@@H](C)NC[C@@H]2CCSC2)cs1 ZINC000226379990 180038114 /nfs/dbraw/zinc/03/81/14/180038114.db2.gz FZTZKMQTBJRUQH-SCZZXKLOSA-N 0 3 242.413 2.855 20 0 BFADHN C[C@H](CCc1ccccc1)NCc1ccno1 ZINC000219159230 350115902 /nfs/dbraw/zinc/11/59/02/350115902.db2.gz ZMRUQOIQIIODCS-GFCCVEGCSA-N 0 3 230.311 2.786 20 0 BFADHN C[C@H](Cc1ccccc1F)N[C@H](C)c1cn[nH]c1 ZINC000219206695 350117688 /nfs/dbraw/zinc/11/76/88/350117688.db2.gz OTHFFKHCDSXAMA-GHMZBOCLSA-N 0 3 247.317 2.831 20 0 BFADHN Cc1nc([C@H](C)N[C@@H](C)C2CC2)cs1 ZINC000036915606 180050852 /nfs/dbraw/zinc/05/08/52/180050852.db2.gz ALEXVPPFDHTSQY-YUMQZZPRSA-N 0 3 210.346 2.901 20 0 BFADHN Cc1nc([C@H](C)N[C@H](C)C2CC2)cs1 ZINC000036915608 180051251 /nfs/dbraw/zinc/05/12/51/180051251.db2.gz ALEXVPPFDHTSQY-SFYZADRCSA-N 0 3 210.346 2.901 20 0 BFADHN Cc1nc([C@H](C)N[C@@H]2CCO[C@H](C)C2)cs1 ZINC000271486390 180051432 /nfs/dbraw/zinc/05/14/32/180051432.db2.gz KINPAEMZKANWBK-WCABBAIRSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1nc([C@H](C)N[C@H](CCO)C(C)C)cs1 ZINC000227590954 180052047 /nfs/dbraw/zinc/05/20/47/180052047.db2.gz LPJRSWKVNUTCBB-GXSJLCMTSA-N 0 3 242.388 2.509 20 0 BFADHN Cc1nc([C@H]2CCCN2CC2CC2)cs1 ZINC000189762947 180057788 /nfs/dbraw/zinc/05/77/88/180057788.db2.gz GGXSBTMTSJVZGE-GFCCVEGCSA-N 0 3 222.357 2.998 20 0 BFADHN CCC[C@@H]1CCCCN1C[C@@H](O)C(F)(F)F ZINC000219361814 350124470 /nfs/dbraw/zinc/12/44/70/350124470.db2.gz MWTFLZOGNGGJOV-NXEZZACHSA-N 0 3 239.281 2.564 20 0 BFADHN CC(C)C[C@H](NC1CC1)c1ccccn1 ZINC000132637263 191034322 /nfs/dbraw/zinc/03/43/22/191034322.db2.gz IHRRAXQTCWPFFX-ZDUSSCGKSA-N 0 3 204.317 2.921 20 0 BFADHN COCC(C)(C)CN(C)Cc1cnc(C)s1 ZINC000426404582 191029090 /nfs/dbraw/zinc/02/90/90/191029090.db2.gz HZDZHFSTEALPPZ-UHFFFAOYSA-N 0 3 242.388 2.556 20 0 BFADHN COc1ccc(CN2CCC3(CCC3)C2)cc1O ZINC000093455867 191039095 /nfs/dbraw/zinc/03/90/95/191039095.db2.gz HDWIAWSKSFXXHT-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN Cc1nc2ccccc2nc1SCCN(C)C ZINC000123161914 180105635 /nfs/dbraw/zinc/10/56/35/180105635.db2.gz DNTNKXLGXIBCOT-UHFFFAOYSA-N 0 3 247.367 2.592 20 0 BFADHN Cc1ncc(CN2CCCC2)n1-c1ccccc1 ZINC000176734032 180143699 /nfs/dbraw/zinc/14/36/99/180143699.db2.gz XYHGAKJFDKKBQE-UHFFFAOYSA-N 0 3 241.338 2.777 20 0 BFADHN CCn1ccnc1CNC(CC)(CC)CC ZINC000083387866 350192795 /nfs/dbraw/zinc/19/27/95/350192795.db2.gz SYWIRAWJEIZYKG-UHFFFAOYSA-N 0 3 223.364 2.961 20 0 BFADHN Cc1ncc(CN2C[C@H](C)CCC[C@H]2C)cn1 ZINC000336244017 180145174 /nfs/dbraw/zinc/14/51/74/180145174.db2.gz OGRKRNYHPCHZJL-VXGBXAGGSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ncc(CN2C[C@H]3CCC[C@@H]3C2)s1 ZINC000153205143 180145305 /nfs/dbraw/zinc/14/53/05/180145305.db2.gz XKXDXTDVFYAMEU-GHMZBOCLSA-N 0 3 222.357 2.683 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)cn1 ZINC000352406811 180145595 /nfs/dbraw/zinc/14/55/95/180145595.db2.gz NQLQLOHSMYRKPU-WOPDTQHZSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)cn1 ZINC000352406810 180146410 /nfs/dbraw/zinc/14/64/10/180146410.db2.gz NQLQLOHSMYRKPU-UTUOFQBUSA-N 0 3 233.359 2.651 20 0 BFADHN CC(C)C[C@@H](C)CNCc1nnc2ccccn21 ZINC000305908181 191072262 /nfs/dbraw/zinc/07/22/62/191072262.db2.gz DMNBZUVRJWIONG-GFCCVEGCSA-N 0 3 246.358 2.501 20 0 BFADHN CO[C@](C)(CN[C@H](C)c1ccoc1)C1CC1 ZINC000309217621 350203107 /nfs/dbraw/zinc/20/31/07/350203107.db2.gz FVCWMIFESRQTCD-ZWNOBZJWSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1csc([C@@H](C)N[C@H]2CCCOCC2)n1 ZINC000083425837 350207157 /nfs/dbraw/zinc/20/71/57/350207157.db2.gz MIWCMSSWYYNADR-MNOVXSKESA-N 0 3 240.372 2.671 20 0 BFADHN Cc1nccc(CN(C)C[C@@H]2CC=CCC2)n1 ZINC000352857964 180184688 /nfs/dbraw/zinc/18/46/88/180184688.db2.gz LQKXSRALLGSBRM-CYBMUJFWSA-N 0 3 231.343 2.573 20 0 BFADHN Cc1ncccc1CN[C@@H](C)CC(F)(F)F ZINC000309223959 180223558 /nfs/dbraw/zinc/22/35/58/180223558.db2.gz JPNXLZQQLDHTRP-QMMMGPOBSA-N 0 3 232.249 2.821 20 0 BFADHN C[C@@H](c1ccncc1)N1CCCOC(C)(C)C1 ZINC000604999369 350235708 /nfs/dbraw/zinc/23/57/08/350235708.db2.gz JHPYPEVWDAQHQM-LBPRGKRZSA-N 0 3 234.343 2.644 20 0 BFADHN Cc1cnc(CN[C@H](C)[C@@H]2CC2(C)C)s1 ZINC000309098917 323317008 /nfs/dbraw/zinc/31/70/08/323317008.db2.gz DWJMUJISMNRTEI-ZJUUUORDSA-N 0 3 224.373 2.976 20 0 BFADHN CCN(CCCCOC)CCC(F)(F)F ZINC000605150266 350260629 /nfs/dbraw/zinc/26/06/29/350260629.db2.gz VUZFFARZNOBLCN-UHFFFAOYSA-N 0 3 227.270 2.687 20 0 BFADHN C[C@@H](CNCc1cc[nH]n1)C1CCCCC1 ZINC000309305884 350274254 /nfs/dbraw/zinc/27/42/54/350274254.db2.gz ATFWMNCGPRMURJ-NSHDSACASA-N 0 3 221.348 2.716 20 0 BFADHN CC1(C)COCCN1CC1CCCCC1 ZINC000049981359 350274385 /nfs/dbraw/zinc/27/43/85/350274385.db2.gz YXJGEVJTVHMWNL-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN Cc1nccnc1[C@@H](C)NC1CCCCC1 ZINC000187684542 180279699 /nfs/dbraw/zinc/27/96/99/180279699.db2.gz KYJVUBIUPHKAQA-LLVKDONJSA-N 0 3 219.332 2.768 20 0 BFADHN Cc1nccnc1[C@@H](C)NCC1(C2CC2)CC1 ZINC000188266589 180279977 /nfs/dbraw/zinc/27/99/77/180279977.db2.gz LEOLMRGHZWQONP-LLVKDONJSA-N 0 3 231.343 2.626 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCC1(C)CC1 ZINC000280220963 180280022 /nfs/dbraw/zinc/28/00/22/180280022.db2.gz USAUNZWLADZBAY-SNVBAGLBSA-N 0 3 219.332 2.626 20 0 BFADHN Cc1nccnc1[C@@H](C)NCC1CCCC1 ZINC000219111025 180280361 /nfs/dbraw/zinc/28/03/61/180280361.db2.gz USGDFKHVEVHMRX-LLVKDONJSA-N 0 3 219.332 2.626 20 0 BFADHN Cc1nccnc1[C@H](C)NCCc1ccccc1 ZINC000275750653 180282455 /nfs/dbraw/zinc/28/24/55/180282455.db2.gz SNCRHIDLTQVJPG-LBPRGKRZSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1ncsc1CN(C)CC(C)(C)C ZINC000092217707 180312205 /nfs/dbraw/zinc/31/22/05/180312205.db2.gz DAAQXQGIUJMQPB-UHFFFAOYSA-N 0 3 212.362 2.929 20 0 BFADHN Cc1ncsc1CN(C)CCCCF ZINC000286568167 180312710 /nfs/dbraw/zinc/31/27/10/180312710.db2.gz VTTHHGZXDTUJCZ-UHFFFAOYSA-N 0 3 216.325 2.633 20 0 BFADHN Cc1ncsc1CCN(C)Cc1cccnc1 ZINC000179515825 180307189 /nfs/dbraw/zinc/30/71/89/180307189.db2.gz FNXIPNMJAUAGRI-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN CC(C)(C)CNCc1cccc2c1OCCO2 ZINC000040492843 350369585 /nfs/dbraw/zinc/36/95/85/350369585.db2.gz ASXMINDBFCXYBX-UHFFFAOYSA-N 0 3 235.327 2.594 20 0 BFADHN Cc1ccc(CCNCC2(F)CC2)cc1 ZINC000309247569 323331159 /nfs/dbraw/zinc/33/11/59/323331159.db2.gz ZMFJIIKMDPRFAE-UHFFFAOYSA-N 0 3 207.292 2.629 20 0 BFADHN c1nc(CNC[C@@H]2Cc3ccccc32)cs1 ZINC000040505194 350370947 /nfs/dbraw/zinc/37/09/47/350370947.db2.gz SZARKJVNNVIXSB-NSHDSACASA-N 0 3 230.336 2.573 20 0 BFADHN CC[C@H](C)[C@H](CNCc1ccnc(C)c1)OC ZINC000623536817 362955530 /nfs/dbraw/zinc/95/55/30/362955530.db2.gz CPSFCWYMJAZBCD-FZMZJTMJSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@@H](N[C@@H](C)c1cscn1)[C@@H]1CCCOC1 ZINC000309420941 350374886 /nfs/dbraw/zinc/37/48/86/350374886.db2.gz JUCJNDZSQCIRSH-OUAUKWLOSA-N 0 3 240.372 2.609 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ncccc1CC ZINC000427875891 191098413 /nfs/dbraw/zinc/09/84/13/191098413.db2.gz KVZWQRIJIGVSQV-NWDGAFQWSA-N 0 3 236.359 2.720 20 0 BFADHN Cc1ncsc1CNCCCOCC(C)C ZINC000084149180 180320113 /nfs/dbraw/zinc/32/01/13/180320113.db2.gz ORWSEWUOYRPDJP-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN Cc1ncsc1CNCc1cccs1 ZINC000037927589 180322111 /nfs/dbraw/zinc/32/21/11/180322111.db2.gz NWSLTODLKLMCKS-UHFFFAOYSA-N 0 3 224.354 2.803 20 0 BFADHN Cc1ncsc1CN[C@@H]1CCC1(C)C ZINC000284321655 180322628 /nfs/dbraw/zinc/32/26/28/180322628.db2.gz LVOHKNRLYJABQQ-SNVBAGLBSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@@H]1CC=CCC1 ZINC000125017648 180350470 /nfs/dbraw/zinc/35/04/70/180350470.db2.gz OJDWCZPLHHWGIE-SNVBAGLBSA-N 0 3 239.750 2.580 20 0 BFADHN Cc1cc(C)cc(CNC2CSC2)c1 ZINC000075133630 490516269 /nfs/dbraw/zinc/51/62/69/490516269.db2.gz AIFJZSBDFMFYGQ-UHFFFAOYSA-N 0 3 207.342 2.508 20 0 BFADHN CCC(CC)CN[C@H](CC)c1nccn1C ZINC000132158761 191101757 /nfs/dbraw/zinc/10/17/57/191101757.db2.gz BIXYDDBSOGRZID-GFCCVEGCSA-N 0 3 223.364 2.897 20 0 BFADHN c1cc([C@H]2CCCN2CCOCC2CC2)ccn1 ZINC000162746187 350422789 /nfs/dbraw/zinc/42/27/89/350422789.db2.gz CMEJTSCVLBDAKR-OAHLLOKOSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@H](CN(C)C)NCc1cccc(Cl)c1F ZINC000162746074 350422968 /nfs/dbraw/zinc/42/29/68/350422968.db2.gz FWNNMKATSNAILA-SECBINFHSA-N 0 3 244.741 2.519 20 0 BFADHN CC(C)CC(C)(C)CN[C@H]1CCn2ccnc21 ZINC000391130864 350465678 /nfs/dbraw/zinc/46/56/78/350465678.db2.gz CBWCTQMBBNSDRF-LBPRGKRZSA-N 0 3 235.375 2.990 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NCc1ccccc1 ZINC000020349371 180380015 /nfs/dbraw/zinc/38/00/15/180380015.db2.gz YMRCMTFKMGYQPF-LLVKDONJSA-N 0 3 229.327 2.579 20 0 BFADHN COc1ccc(C)cc1CNCCCSC ZINC000070184787 350506614 /nfs/dbraw/zinc/50/66/14/350506614.db2.gz WHTABXUUOUCLLR-UHFFFAOYSA-N 0 3 239.384 2.846 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1nc(C)c(C)o1 ZINC000227863199 350516793 /nfs/dbraw/zinc/51/67/93/350516793.db2.gz NTLPMAWIQMYZPE-RYUDHWBXSA-N 0 3 222.332 2.960 20 0 BFADHN C[C@H](NCCCc1cccs1)c1ncc[nH]1 ZINC000309447276 350498729 /nfs/dbraw/zinc/49/87/29/350498729.db2.gz LQJJKTVGURHORB-JTQLQIEISA-N 0 3 235.356 2.755 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CCC[C@@H](C)CC1 ZINC000228675240 323366118 /nfs/dbraw/zinc/36/61/18/323366118.db2.gz OTNNGVSKGJUYKQ-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1cc(CN(C)C(C2CC2)C2CC2)on1 ZINC000050989085 350505015 /nfs/dbraw/zinc/50/50/15/350505015.db2.gz OETOUPSVCUXFBK-UHFFFAOYSA-N 0 3 220.316 2.603 20 0 BFADHN C[C@@H](O)C[C@H](C)NCc1cc(Cl)ccc1F ZINC000163530888 350525922 /nfs/dbraw/zinc/52/59/22/350525922.db2.gz TYDHXGAGMBTLIS-DTWKUNHWSA-N 0 3 245.725 2.728 20 0 BFADHN COCC(C)(C)NCc1cccc(Cl)c1 ZINC000066996160 350578704 /nfs/dbraw/zinc/57/87/04/350578704.db2.gz DIZIGACHUYWDBT-UHFFFAOYSA-N 0 3 227.735 2.855 20 0 BFADHN CCC[C@@H](NCc1ncccn1)C1CCCC1 ZINC000577531687 365981824 /nfs/dbraw/zinc/98/18/24/365981824.db2.gz YWRYTBUJKQYMSN-CYBMUJFWSA-N 0 3 233.359 2.925 20 0 BFADHN Cc1ccc(CNC2(CO)CCCC2)c(F)c1 ZINC000631085417 350624488 /nfs/dbraw/zinc/62/44/88/350624488.db2.gz GFNMEGIFJYPCLR-UHFFFAOYSA-N 0 3 237.318 2.529 20 0 BFADHN COCC1(N[C@@H](C)c2sccc2OC)CC1 ZINC000309434681 323405404 /nfs/dbraw/zinc/40/54/04/323405404.db2.gz GWPWAKSTVYXXIJ-VIFPVBQESA-N 0 3 241.356 2.586 20 0 BFADHN CCC(=O)N(CCN1CCCC1)c1ccccc1 ZINC000606816195 350614744 /nfs/dbraw/zinc/61/47/44/350614744.db2.gz ULRIZACDAYQMJY-UHFFFAOYSA-N 0 3 246.354 2.525 20 0 BFADHN CSc1ccc(OCCN(C)C2CC2)cc1 ZINC000173531830 490687119 /nfs/dbraw/zinc/68/71/19/490687119.db2.gz PLMSQQYHLBRUKC-UHFFFAOYSA-N 0 3 237.368 2.882 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CCCCCC1 ZINC000096731079 350683215 /nfs/dbraw/zinc/68/32/15/350683215.db2.gz JPVSUICAASWDQJ-GFCCVEGCSA-N 0 3 227.348 2.594 20 0 BFADHN CCCC[C@@H](CC)CN1CC[C@](F)(CO)C1 ZINC000338630592 350698826 /nfs/dbraw/zinc/69/88/26/350698826.db2.gz KTIWQPXMDFBFNK-CHWSQXEVSA-N 0 3 231.355 2.609 20 0 BFADHN C[C@@H](CO)NC1c2ccccc2-c2ccccc21 ZINC000035603434 358457526 /nfs/dbraw/zinc/45/75/26/358457526.db2.gz UULFVLJJUDOTCT-NSHDSACASA-N 0 3 239.318 2.727 20 0 BFADHN CC(C)CSCCN[C@H](C)c1cnccn1 ZINC000131539268 187468071 /nfs/dbraw/zinc/46/80/71/187468071.db2.gz NCQVKEGNNMMKBO-LLVKDONJSA-N 0 3 239.388 2.516 20 0 BFADHN Fc1ccc(F)c(CNCCc2ccco2)c1 ZINC000035661416 358457720 /nfs/dbraw/zinc/45/77/20/358457720.db2.gz CONPPVXWUSQPHY-UHFFFAOYSA-N 0 3 237.249 2.890 20 0 BFADHN C[C@H]1C[C@@H](NC2CC(C)(C)C2)c2nccn21 ZINC000334623267 350727413 /nfs/dbraw/zinc/72/74/13/350727413.db2.gz RACSXFGCOQBDSQ-GXSJLCMTSA-N 0 3 219.332 2.667 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCC[C@H](C)C1 ZINC000339206804 180507906 /nfs/dbraw/zinc/50/79/06/180507906.db2.gz ZGWZNVZVNQSFQF-CMPLNLGQSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1cc(CN2CCSC[C@@H]2C2CC2)ccn1 ZINC000621299393 350766576 /nfs/dbraw/zinc/76/65/76/350766576.db2.gz BLGQCCWHUCQQRC-CQSZACIVSA-N 0 3 248.395 2.717 20 0 BFADHN Cc1nocc1CN[C@H]1CCc2ccccc2C1 ZINC000294224627 180510828 /nfs/dbraw/zinc/51/08/28/180510828.db2.gz SNLZQISFHPATMO-HNNXBMFYSA-N 0 3 242.322 2.630 20 0 BFADHN CC1(C)CCC[C@H]1NCc1ccc(F)cn1 ZINC000269001128 350844169 /nfs/dbraw/zinc/84/41/69/350844169.db2.gz JOHJPVUQPFXZNW-GFCCVEGCSA-N 0 3 222.307 2.889 20 0 BFADHN CC[C@@H]1CN([C@@H]2C=CCCC2)C[C@H](C)O1 ZINC000247704728 350849888 /nfs/dbraw/zinc/84/98/88/350849888.db2.gz RTZRHYGOINVGIJ-YNEHKIRRSA-N 0 3 209.333 2.594 20 0 BFADHN CN(CCCO)Cc1cc(Cl)cc(Cl)c1 ZINC000052070747 350928209 /nfs/dbraw/zinc/92/82/09/350928209.db2.gz NADRRBBXQHUNAC-UHFFFAOYSA-N 0 3 248.153 2.808 20 0 BFADHN COCCCN(Cc1ccccc1OC)C1CC1 ZINC000052183699 350941765 /nfs/dbraw/zinc/94/17/65/350941765.db2.gz ILWLJKQMRDOKPV-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1oc2ccccc2c1CN(C)CC[C@@H](C)O ZINC000127675417 180533346 /nfs/dbraw/zinc/53/33/46/180533346.db2.gz PUYQMYQIHIYTIU-LLVKDONJSA-N 0 3 247.338 2.944 20 0 BFADHN CCCC[C@@H](C)NCc1snnc1C ZINC000336674842 350873237 /nfs/dbraw/zinc/87/32/37/350873237.db2.gz BSWQCPZQKSIWQE-MRVPVSSYSA-N 0 3 213.350 2.515 20 0 BFADHN C/C=C/CNCc1cccc(OC(F)F)c1 ZINC000623739067 350883324 /nfs/dbraw/zinc/88/33/24/350883324.db2.gz HMHVTTKKDXXABQ-NSCUHMNNSA-N 0 3 227.254 2.954 20 0 BFADHN C/C=C\CNCc1cccc(OCCCOC)c1 ZINC000623739296 350885861 /nfs/dbraw/zinc/88/58/61/350885861.db2.gz PQGWVOVLHXVLES-ARJAWSKDSA-N 0 3 249.354 2.768 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H]3C[C@H]3C2)c(F)c1 ZINC000397790248 350973566 /nfs/dbraw/zinc/97/35/66/350973566.db2.gz APKUOQMIRMSEEI-ZSBIGDGJSA-N 0 3 235.302 2.722 20 0 BFADHN CCc1nc(C)c(CNC(CC)CC)o1 ZINC000291817953 350989327 /nfs/dbraw/zinc/98/93/27/350989327.db2.gz DGLPTQHRRLKRNI-UHFFFAOYSA-N 0 3 210.321 2.824 20 0 BFADHN Cc1cccc(NC(=O)CN2C[C@H](C)C[C@@H]2C)c1 ZINC000607154235 351030830 /nfs/dbraw/zinc/03/08/30/351030830.db2.gz GYVHSTDMAPJBQM-OLZOCXBDSA-N 0 3 246.354 2.664 20 0 BFADHN C/C=C\CNCc1ccc(N(C)C(C)C)nc1 ZINC000623740064 351050393 /nfs/dbraw/zinc/05/03/93/351050393.db2.gz LWJMMPOUTHLTTR-WAYWQWQTSA-N 0 3 233.359 2.592 20 0 BFADHN CCN(CCC(F)(F)F)C[C@H]1CCCCO1 ZINC000623121798 351052921 /nfs/dbraw/zinc/05/29/21/351052921.db2.gz MBYCMNOPAYAQST-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCCC2(F)F)o1 ZINC000398060636 362993592 /nfs/dbraw/zinc/99/35/92/362993592.db2.gz JQTDLAFLIMMCEP-VIFPVBQESA-N 0 3 230.258 2.508 20 0 BFADHN Cc1cc(CNC[C@H]2C(C)(C)C2(F)F)ccn1 ZINC000623573104 363004084 /nfs/dbraw/zinc/00/40/84/363004084.db2.gz XXTXNEZIWVMIMS-NSHDSACASA-N 0 3 240.297 2.771 20 0 BFADHN C[C@@H](N[C@H](C)[C@@H]1C[C@H]1C1CC1)c1nccn1C ZINC000623574807 363005053 /nfs/dbraw/zinc/00/50/53/363005053.db2.gz XQEBHFGIPAGDII-AAXDQBDMSA-N 0 3 233.359 2.505 20 0 BFADHN Cc1ncc(CNCCC2=CCCCC2)o1 ZINC000398102790 363008374 /nfs/dbraw/zinc/00/83/74/363008374.db2.gz NLUJRFHPBJAXHY-UHFFFAOYSA-N 0 3 220.316 2.963 20 0 BFADHN CCc1cnc(CN[C@@H]2C[C@H](C)[C@H]2C)s1 ZINC000336715296 351097719 /nfs/dbraw/zinc/09/77/19/351097719.db2.gz WNBZECGTZWDGQO-IQJOONFLSA-N 0 3 224.373 2.840 20 0 BFADHN Cl/C=C/CN1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000378402205 180568130 /nfs/dbraw/zinc/56/81/30/180568130.db2.gz YSWIRPSJESWVKY-XZKJTIFOSA-N 0 3 243.778 2.878 20 0 BFADHN CC[C@@H](c1ccccc1)N(CC(N)=O)CC(C)C ZINC000264729517 351252858 /nfs/dbraw/zinc/25/28/58/351252858.db2.gz PCWQHUGTMMMIIB-AWEZNQCLSA-N 0 3 248.370 2.581 20 0 BFADHN CCC[C@@H](N[C@@H](CC)CO)c1cc(C)ccn1 ZINC000631619992 351210812 /nfs/dbraw/zinc/21/08/12/351210812.db2.gz ACTPBTUKRGPYFF-QWHCGFSZSA-N 0 3 236.359 2.592 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000628339368 351283028 /nfs/dbraw/zinc/28/30/28/351283028.db2.gz LSGOSXWSZWFZFN-AGIUHOORSA-N 0 3 234.343 2.817 20 0 BFADHN Cc1ncc(CNCC[C@@]2(C)CC2(F)F)o1 ZINC000628339211 351283280 /nfs/dbraw/zinc/28/32/80/351283280.db2.gz IKDIBIUIBRDLNV-JTQLQIEISA-N 0 3 230.258 2.508 20 0 BFADHN FC1(F)C[C@@H]1CCNCc1cnc(C2CC2)o1 ZINC000628361909 351334382 /nfs/dbraw/zinc/33/43/82/351334382.db2.gz XQCITPMDNWPUSZ-VIFPVBQESA-N 0 3 242.269 2.687 20 0 BFADHN C1=C(CNCc2cnc3ccccc3n2)CCC1 ZINC000628351077 351311400 /nfs/dbraw/zinc/31/14/00/351311400.db2.gz VKHDUZSXLSEJIH-UHFFFAOYSA-N 0 3 239.322 2.830 20 0 BFADHN CC1(C)C[C@]1(C)NCc1cnc(C2CC2)o1 ZINC000628351617 351313710 /nfs/dbraw/zinc/31/37/10/351313710.db2.gz KAHFUHUUQGTDTK-ZDUSSCGKSA-N 0 3 220.316 2.830 20 0 BFADHN Cc1ncc(CNC2(CC(C)C)CC2)o1 ZINC000628351784 351314172 /nfs/dbraw/zinc/31/41/72/351314172.db2.gz PIAPDALBPGDHLQ-UHFFFAOYSA-N 0 3 208.305 2.651 20 0 BFADHN CC(C)=CCN(Cc1ccccc1)C[C@H](C)O ZINC000607359672 351335947 /nfs/dbraw/zinc/33/59/47/351335947.db2.gz DNNUDANDFCFGSH-AWEZNQCLSA-N 0 3 233.355 2.836 20 0 BFADHN CCOCCN1CCC[C@@H]1c1ccc(O)cc1 ZINC000607357247 351331621 /nfs/dbraw/zinc/33/16/21/351331621.db2.gz VUEFVWQZJOQTGB-CQSZACIVSA-N 0 3 235.327 2.566 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@H]1F)[C@H]1CCCCO1 ZINC000624001194 363022050 /nfs/dbraw/zinc/02/20/50/363022050.db2.gz YTGXCQPBKARJMG-UMSGYPCISA-N 0 3 229.339 2.814 20 0 BFADHN Cc1ccc([C@@H](C)CN(C)Cc2c[nH]cn2)cc1 ZINC000628336332 351279246 /nfs/dbraw/zinc/27/92/46/351279246.db2.gz FLHDSMXDNCHOIW-ZDUSSCGKSA-N 0 3 243.354 2.954 20 0 BFADHN Cc1ccc([C@@H](C)CN(C)Cc2cnc[nH]2)cc1 ZINC000628336332 351279249 /nfs/dbraw/zinc/27/92/49/351279249.db2.gz FLHDSMXDNCHOIW-ZDUSSCGKSA-N 0 3 243.354 2.954 20 0 BFADHN Cc1cc(CNC[C@H]2CC[C@@H](C)C2)on1 ZINC000380062817 351338579 /nfs/dbraw/zinc/33/85/79/351338579.db2.gz HGKLOMPGTGATJI-KOLCDFICSA-N 0 3 208.305 2.509 20 0 BFADHN CC(C)CSCCNCc1cncc(F)c1 ZINC000336684706 187478478 /nfs/dbraw/zinc/47/84/78/187478478.db2.gz TUTIGKZTFITAQU-UHFFFAOYSA-N 0 3 242.363 2.700 20 0 BFADHN CC[C@@H](c1ccccc1)N(CC)CC1OCCO1 ZINC000272021735 351449957 /nfs/dbraw/zinc/44/99/57/351449957.db2.gz DAKBFTFGNBXKBU-AWEZNQCLSA-N 0 3 249.354 2.833 20 0 BFADHN CCC[C@H](CNCc1ccoc1C)OCC ZINC000623599849 363038893 /nfs/dbraw/zinc/03/88/93/363038893.db2.gz SXDVRLHROQPMML-CYBMUJFWSA-N 0 3 225.332 2.883 20 0 BFADHN CC(C)C[C@@H](C)CN(C)Cc1cnn(C)c1 ZINC000337125607 191136022 /nfs/dbraw/zinc/13/60/22/191136022.db2.gz FDTMDALCIJHHGQ-GFCCVEGCSA-N 0 3 223.364 2.534 20 0 BFADHN CSC[C@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000090417551 363055001 /nfs/dbraw/zinc/05/50/01/363055001.db2.gz LSQADZUNJYVWRF-YUMQZZPRSA-N 0 3 230.402 2.854 20 0 BFADHN Cc1nsc(C)c1CN1C[C@H](C)C[C@H]1C ZINC000577646479 366022724 /nfs/dbraw/zinc/02/27/24/366022724.db2.gz LXDCMEKJQXOBRT-RKDXNWHRSA-N 0 3 224.373 2.990 20 0 BFADHN CCN(Cc1cncc(F)c1)CC(C)C ZINC000275148367 351593703 /nfs/dbraw/zinc/59/37/03/351593703.db2.gz RDYZYQVIFMKTAN-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN c1cc(CNc2ccnc3ccccc32)n[nH]1 ZINC000041721187 351596386 /nfs/dbraw/zinc/59/63/86/351596386.db2.gz ZZAVITUKZCOYMB-UHFFFAOYSA-N 0 3 224.267 2.570 20 0 BFADHN Cn1c(CNCC(C)(C)C)nc2ccccc21 ZINC000066990067 180618229 /nfs/dbraw/zinc/61/82/29/180618229.db2.gz KYQYFUOMZZCCJP-UHFFFAOYSA-N 0 3 231.343 2.709 20 0 BFADHN CCCN(CC)Cc1c2c(nn1C)CCCC2 ZINC000637813167 351649642 /nfs/dbraw/zinc/64/96/42/351649642.db2.gz LESYQHXLJFXVLS-UHFFFAOYSA-N 0 3 235.375 2.531 20 0 BFADHN Cc1scc(CN2CCC[C@H](CO)C2)c1C ZINC000637811617 351653195 /nfs/dbraw/zinc/65/31/95/351653195.db2.gz PYNSFXOZHFXLBK-LBPRGKRZSA-N 0 3 239.384 2.569 20 0 BFADHN CO[C@@](C)(CN(C)Cc1cccc(O)c1)C1CC1 ZINC000637814035 351657857 /nfs/dbraw/zinc/65/78/57/351657857.db2.gz VIJMHWUBHPTNCZ-HNNXBMFYSA-N 0 3 249.354 2.639 20 0 BFADHN CCCCOC1CCN(CC2(F)CC2)CC1 ZINC000577691272 366036290 /nfs/dbraw/zinc/03/62/90/366036290.db2.gz MSDCWLNSCZOMKV-UHFFFAOYSA-N 0 3 229.339 2.770 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1ccon1 ZINC000088131870 351622788 /nfs/dbraw/zinc/62/27/88/351622788.db2.gz FHFXPOMSGFIMBO-JQWIXIFHSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1cc(Cl)cc(CNC[C@H]2CCCO2)c1 ZINC000088139592 351629867 /nfs/dbraw/zinc/62/98/67/351629867.db2.gz ZUXUNEAHZCLLHS-CYBMUJFWSA-N 0 3 239.746 2.917 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2ccns2)C1 ZINC000637833747 351680956 /nfs/dbraw/zinc/68/09/56/351680956.db2.gz WAHZNRITYBWFRA-NXEZZACHSA-N 0 3 210.346 2.621 20 0 BFADHN COc1ncc(CN2C[C@H](C)C[C@H](C)C2)s1 ZINC000637833785 351683834 /nfs/dbraw/zinc/68/38/34/351683834.db2.gz WZTLJDNMAAJRHQ-AOOOYVTPSA-N 0 3 240.372 2.630 20 0 BFADHN C[C@@H]1CCCN([C@@H](c2nccn2C)C2CC2)C1 ZINC000637833550 351684024 /nfs/dbraw/zinc/68/40/24/351684024.db2.gz SKCLDOKSLDXKKU-DGCLKSJQSA-N 0 3 233.359 2.603 20 0 BFADHN CC[C@@](C)(CN(C)Cc1cccs1)OC ZINC000637848017 351705183 /nfs/dbraw/zinc/70/51/83/351705183.db2.gz RCZHLQAIAFBEMH-LBPRGKRZSA-N 0 3 227.373 2.995 20 0 BFADHN Cc1ccccc1CN(C)Cc1ccc(=O)[nH]c1 ZINC000637848792 351706082 /nfs/dbraw/zinc/70/60/82/351706082.db2.gz OSKCPHMYNBMKQF-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN CO[C@@](C)(CN(C)Cc1ccco1)C1CC1 ZINC000637859280 351716856 /nfs/dbraw/zinc/71/68/56/351716856.db2.gz CTWZNNODFBVMKX-ZDUSSCGKSA-N 0 3 223.316 2.527 20 0 BFADHN CC[C@@H]1CCCCN1C(=O)[C@@H](N)CCC(C)C ZINC000637869207 351729753 /nfs/dbraw/zinc/72/97/53/351729753.db2.gz UFQVSAQPZSHINV-OLZOCXBDSA-N 0 3 240.391 2.541 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N1[C@@H](C)CCC[C@@H]1C ZINC000637870946 351737415 /nfs/dbraw/zinc/73/74/15/351737415.db2.gz KVCUWYVPYJEQJA-AVGNSLFASA-N 0 3 240.391 2.539 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CC1CCSCC1 ZINC000637852847 351712026 /nfs/dbraw/zinc/71/20/26/351712026.db2.gz PFCTVAGELUXKAK-YPMHNXCESA-N 0 3 243.416 2.629 20 0 BFADHN C[C@H](C(=O)N(C)C(C)(C)C)N1CCCCCC1 ZINC000577754720 366055345 /nfs/dbraw/zinc/05/53/45/366055345.db2.gz NGBVKFAGQUNELR-GFCCVEGCSA-N 0 3 240.391 2.508 20 0 BFADHN CCCN([C@H](c1nccn1C)C1CC1)C1CC1 ZINC000637903465 351776437 /nfs/dbraw/zinc/77/64/37/351776437.db2.gz BMWRWOOFXCQNFU-ZDUSSCGKSA-N 0 3 233.359 2.746 20 0 BFADHN COc1cccc(C)c1CN(C)C1CC1 ZINC000637909665 351780288 /nfs/dbraw/zinc/78/02/88/351780288.db2.gz GJYIOXCIXGLSGN-UHFFFAOYSA-N 0 3 205.301 2.598 20 0 BFADHN c1ccc([C@@H]2CCCN2CCC[C@H]2CCO2)nc1 ZINC000637914216 351788565 /nfs/dbraw/zinc/78/85/65/351788565.db2.gz WJGCKLGEQPVBLK-ZFWWWQNUSA-N 0 3 246.354 2.788 20 0 BFADHN CCN[C@@H](C)C(=O)N(C)[C@@H](C)c1ccccc1C ZINC000637917064 351794196 /nfs/dbraw/zinc/79/41/96/351794196.db2.gz JXPMYNWOQKPEPQ-STQMWFEESA-N 0 3 248.370 2.512 20 0 BFADHN Cc1ncc([C@@H](C)N(C)[C@H](C)C2CC2)c(C)n1 ZINC000637920735 351794723 /nfs/dbraw/zinc/79/47/23/351794723.db2.gz PONWKWQRGKCEOX-GHMZBOCLSA-N 0 3 233.359 2.885 20 0 BFADHN C[C@H](C1CC1)N(C)[C@H](c1nccn1C)C1CC1 ZINC000637920595 351795168 /nfs/dbraw/zinc/79/51/68/351795168.db2.gz OEXXDTUOGSMHEF-MFKMUULPSA-N 0 3 233.359 2.602 20 0 BFADHN COc1cccc(OC)c1CN(C)[C@H](C)C1CC1 ZINC000637921657 351796937 /nfs/dbraw/zinc/79/69/37/351796937.db2.gz YTHJMTXQYROXBA-LLVKDONJSA-N 0 3 249.354 2.934 20 0 BFADHN CCN(C(=O)[C@@H](N)CCC(C)C)C1CCCC1 ZINC000637922722 351797654 /nfs/dbraw/zinc/79/76/54/351797654.db2.gz STVLIMUMAQJKJF-ZDUSSCGKSA-N 0 3 240.391 2.541 20 0 BFADHN Cc1cc(C)c(/C=C\CN2CC[C@@H](O)C2)c(C)c1 ZINC000637890645 351758965 /nfs/dbraw/zinc/75/89/65/351758965.db2.gz RONRQHIIQZAHNE-FOSCPCJNSA-N 0 3 245.366 2.692 20 0 BFADHN CC1(C)CCN(Cc2ccncc2)CCS1 ZINC000279810527 351824966 /nfs/dbraw/zinc/82/49/66/351824966.db2.gz XOLDMVZRUPJDIM-UHFFFAOYSA-N 0 3 236.384 2.799 20 0 BFADHN CN(Cc1ccoc1)CC1CCSCC1 ZINC000637964571 351839035 /nfs/dbraw/zinc/83/90/35/351839035.db2.gz AUUNBBJFRWXCJU-UHFFFAOYSA-N 0 3 225.357 2.855 20 0 BFADHN CC(C)N(CC1CC1)[C@H](c1nccn1C)C1CC1 ZINC000637933016 351809873 /nfs/dbraw/zinc/80/98/73/351809873.db2.gz KPQPNDKSBQPNGW-AWEZNQCLSA-N 0 3 247.386 2.992 20 0 BFADHN CC(C)N(Cc1ccns1)CC1CC1 ZINC000637934025 351810993 /nfs/dbraw/zinc/81/09/93/351810993.db2.gz TVVMXZGAPOOQTK-UHFFFAOYSA-N 0 3 210.346 2.764 20 0 BFADHN CC1(C)CCN(Cc2ccco2)CCS1 ZINC000279498352 351813872 /nfs/dbraw/zinc/81/38/72/351813872.db2.gz VUMPRTFYAVSCNS-UHFFFAOYSA-N 0 3 225.357 2.997 20 0 BFADHN COC[C@H](C)CN(C)Cc1ccccc1F ZINC000178027028 366077132 /nfs/dbraw/zinc/07/71/32/366077132.db2.gz UNDHMYANWUCRTR-LLVKDONJSA-N 0 3 225.307 2.540 20 0 BFADHN CO[C@@H]1CCCN(Cc2csc(C)c2C)C1 ZINC000637939587 351816778 /nfs/dbraw/zinc/81/67/78/351816778.db2.gz MISHOGGXHUHYLO-CYBMUJFWSA-N 0 3 239.384 2.976 20 0 BFADHN CC[C@H](C)CN(C)[C@@H](c1nccn1C)C1CC1 ZINC000637940481 351817607 /nfs/dbraw/zinc/81/76/07/351817607.db2.gz IOTHVODJNKWESW-WCQYABFASA-N 0 3 235.375 2.849 20 0 BFADHN CC[C@@H]1CCCN1Cc1cncc(OC)c1C ZINC000637965469 351839450 /nfs/dbraw/zinc/83/94/50/351839450.db2.gz SCDQNRGSCNGVOY-CYBMUJFWSA-N 0 3 234.343 2.773 20 0 BFADHN CC(C)CC[C@H](O)CN[C@@H](C)c1ccccn1 ZINC000280306805 351839579 /nfs/dbraw/zinc/83/95/79/351839579.db2.gz OBXILSKRUMHVLP-STQMWFEESA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@H]1CCCN1[C@H](c1nccn1C)C1CC1 ZINC000637965415 351839988 /nfs/dbraw/zinc/83/99/88/351839988.db2.gz RLQQQEBLIWLADY-STQMWFEESA-N 0 3 233.359 2.746 20 0 BFADHN C[C@H]1CCN(Cc2ccc(C(F)F)nc2)C1 ZINC000637968666 351843346 /nfs/dbraw/zinc/84/33/46/351843346.db2.gz ALNOIQILUIBXGD-VIFPVBQESA-N 0 3 226.270 2.861 20 0 BFADHN CCC[C@H](CN(C)Cc1ccoc1C)OC ZINC000637968623 351843687 /nfs/dbraw/zinc/84/36/87/351843687.db2.gz FOHBYDUORQGLGF-CYBMUJFWSA-N 0 3 225.332 2.835 20 0 BFADHN CC[C@@](C)(CN1Cc2ccccc2C1)OC ZINC000638039091 351919810 /nfs/dbraw/zinc/91/98/10/351919810.db2.gz ZHOBBZQPBQNRDY-AWEZNQCLSA-N 0 3 219.328 2.817 20 0 BFADHN C[C@H]1CCCCCN1Cc1ccns1 ZINC000638075653 351948096 /nfs/dbraw/zinc/94/80/96/351948096.db2.gz GBMJHWCFKPBOLL-JTQLQIEISA-N 0 3 210.346 2.908 20 0 BFADHN c1coc([C@H]2CCN(Cc3ccns3)C2)c1 ZINC000638068164 351938944 /nfs/dbraw/zinc/93/89/44/351938944.db2.gz BJQXAMXAWQVXND-JTQLQIEISA-N 0 3 234.324 2.726 20 0 BFADHN CCC[C@@H](CN(CC)Cc1ccccn1)OC ZINC000638067243 351939252 /nfs/dbraw/zinc/93/92/52/351939252.db2.gz QMCBGLXRUBSJEV-AWEZNQCLSA-N 0 3 236.359 2.719 20 0 BFADHN COC[C@@H]1CCN(Cc2ccc(C)cc2F)C1 ZINC000638069866 351941774 /nfs/dbraw/zinc/94/17/74/351941774.db2.gz UUAZCFPKBUDRGW-GFCCVEGCSA-N 0 3 237.318 2.602 20 0 BFADHN Cn1ccnc1[C@@H](C1CC1)N1CCC(C)(C)C1 ZINC000638070106 351942438 /nfs/dbraw/zinc/94/24/38/351942438.db2.gz WXUQUZLWAFRUDD-GFCCVEGCSA-N 0 3 233.359 2.603 20 0 BFADHN CCN(Cc1ccoc1)C[C@@](C)(OC)C1CC1 ZINC000638016490 351894661 /nfs/dbraw/zinc/89/46/61/351894661.db2.gz SNQVQBRTRLXUGC-CQSZACIVSA-N 0 3 237.343 2.917 20 0 BFADHN CC1(C)CCN(Cc2ccns2)CC1 ZINC000638022144 351901297 /nfs/dbraw/zinc/90/12/97/351901297.db2.gz RHUCLIPZSXDIHN-UHFFFAOYSA-N 0 3 210.346 2.765 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@@H](C)c1nccn1C ZINC000083863650 351961294 /nfs/dbraw/zinc/96/12/94/351961294.db2.gz YIQYCIVBLKXTGP-SRVKXCTJSA-N 0 3 223.364 2.895 20 0 BFADHN COc1cncc(CN2C[C@@H]3CCC[C@H]3C2)c1C ZINC000638087101 351964677 /nfs/dbraw/zinc/96/46/77/351964677.db2.gz MZJLEZFSLNHEKC-STQMWFEESA-N 0 3 246.354 2.631 20 0 BFADHN C[C@H]1CN(Cc2ccnn2CC2CCC2)C[C@@H]1C ZINC000638092607 351969150 /nfs/dbraw/zinc/96/91/50/351969150.db2.gz CCIADFKMVYSARR-STQMWFEESA-N 0 3 247.386 2.771 20 0 BFADHN CCN1CCCC[C@H]1CNc1ccc(F)cn1 ZINC000283703263 351981527 /nfs/dbraw/zinc/98/15/27/351981527.db2.gz CUHGGNFJFKKCDD-LBPRGKRZSA-N 0 3 237.322 2.507 20 0 BFADHN CCN(Cc1ccccn1)CC1(SC)CC1 ZINC000283710389 351982408 /nfs/dbraw/zinc/98/24/08/351982408.db2.gz ABAPSPNNDJTAEB-UHFFFAOYSA-N 0 3 236.384 2.799 20 0 BFADHN C[C@@H]1C[C@H](C)N([C@H](c2nccn2C)C2CC2)C1 ZINC000638108834 351985983 /nfs/dbraw/zinc/98/59/83/351985983.db2.gz BERIDZFLEPKNLJ-MDZLAQPJSA-N 0 3 233.359 2.602 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H]2C)sn1 ZINC000638110716 351987420 /nfs/dbraw/zinc/98/74/20/351987420.db2.gz OXAMJZHIFOQUJY-SCZZXKLOSA-N 0 3 210.346 2.682 20 0 BFADHN CCN(Cc1cccs1)C[C@@H](O)C(C)(C)C ZINC000283991036 351988859 /nfs/dbraw/zinc/98/88/59/351988859.db2.gz JJOZZLGOOIVCOE-GFCCVEGCSA-N 0 3 241.400 2.977 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2c3c(nn2C)CCCC3)C1 ZINC000638111570 351989312 /nfs/dbraw/zinc/98/93/12/351989312.db2.gz VFXUCQPWYNPRHA-VXGBXAGGSA-N 0 3 247.386 2.529 20 0 BFADHN FC(F)(F)C1=CCN(CCC[C@H]2CCO2)CC1 ZINC000638113886 351990859 /nfs/dbraw/zinc/99/08/59/351990859.db2.gz FTTDZFSQTCDETN-NSHDSACASA-N 0 3 249.276 2.750 20 0 BFADHN CC[C@@H](O)CN1CCC=C(c2ccccc2)C1 ZINC000284768041 352005094 /nfs/dbraw/zinc/00/50/94/352005094.db2.gz MVJZHNWYFQXJEK-OAHLLOKOSA-N 0 3 231.339 2.547 20 0 BFADHN CC(C)CC[C@H](NC[C@H](C)CO)c1ccoc1 ZINC000285506079 352047545 /nfs/dbraw/zinc/04/75/45/352047545.db2.gz AJPIWQWTBPQTFE-JSGCOSHPSA-N 0 3 239.359 2.975 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1c2c(nn1C)CCCC2 ZINC000638164493 352031948 /nfs/dbraw/zinc/03/19/48/352031948.db2.gz YUUOBSQMAPGQIW-NWDGAFQWSA-N 0 3 247.386 2.529 20 0 BFADHN Cc1cc(CN2CC[C@H](C)[C@H]2C)sn1 ZINC000638164549 352032253 /nfs/dbraw/zinc/03/22/53/352032253.db2.gz ZOWCRQRUWHHXHP-WCBMZHEXSA-N 0 3 210.346 2.682 20 0 BFADHN C[C@H]1CCN(Cc2c3c(nn2C)CCCC3)[C@H]1C ZINC000638164494 352032295 /nfs/dbraw/zinc/03/22/95/352032295.db2.gz YUUOBSQMAPGQIW-RYUDHWBXSA-N 0 3 247.386 2.529 20 0 BFADHN C[C@@H]1CCN(Cc2c3c(nn2C)CCCC3)[C@H]1C ZINC000638164491 352032402 /nfs/dbraw/zinc/03/24/02/352032402.db2.gz YUUOBSQMAPGQIW-NEPJUHHUSA-N 0 3 247.386 2.529 20 0 BFADHN C[C@H](N(C)[C@H](c1nccn1C)C1CC1)C1(C)CC1 ZINC000638170562 352035613 /nfs/dbraw/zinc/03/56/13/352035613.db2.gz LTUCMJDZDDKVNK-AAEUAGOBSA-N 0 3 247.386 2.992 20 0 BFADHN OC[C@H]1CC[C@@H](NCc2ccc(Cl)o2)CC1 ZINC000252745788 538102000 /nfs/dbraw/zinc/10/20/00/538102000.db2.gz POLZFNBYXNLJGV-AOOOYVTPSA-N 0 3 243.734 2.574 20 0 BFADHN CCN1CCN(C[C@H](C)c2ccccc2)[C@@H](C)C1 ZINC000286418145 352088985 /nfs/dbraw/zinc/08/89/85/352088985.db2.gz IFPPJFQQQYOBFS-GJZGRUSLSA-N 0 3 246.398 2.816 20 0 BFADHN CCN1CCN(CCc2ccc(C)cc2)[C@@H](C)C1 ZINC000286480718 352091252 /nfs/dbraw/zinc/09/12/52/352091252.db2.gz LGSXPQPYGAEULX-HNNXBMFYSA-N 0 3 246.398 2.564 20 0 BFADHN CCN1CCN(CCCc2ccccc2)[C@H](C)C1 ZINC000286771958 352104216 /nfs/dbraw/zinc/10/42/16/352104216.db2.gz ZVFSMOFQDFUOBS-OAHLLOKOSA-N 0 3 246.398 2.645 20 0 BFADHN c1c(CNCC2CCCC2)onc1C1CC1 ZINC000638334975 352153269 /nfs/dbraw/zinc/15/32/69/352153269.db2.gz GCRVRKHDRACPAV-UHFFFAOYSA-N 0 3 220.316 2.832 20 0 BFADHN CCN1CCC[C@@H](N[C@H](C)c2cc(C)ccn2)C1 ZINC000353511310 352166422 /nfs/dbraw/zinc/16/64/22/352166422.db2.gz AXFCCJCGOXSMHZ-ZIAGYGMSSA-N 0 3 247.386 2.525 20 0 BFADHN Cc1cc(CNCCc2ccc(C)o2)sn1 ZINC000638358705 352170320 /nfs/dbraw/zinc/17/03/20/352170320.db2.gz AROWIWZCHMKAOJ-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN Nc1c(Cl)cc(Cl)cc1CNC1CC1 ZINC000638314990 352140792 /nfs/dbraw/zinc/14/07/92/352140792.db2.gz DVDILNMXBOCZRE-UHFFFAOYSA-N 0 3 231.126 2.828 20 0 BFADHN Cn1ccc(CN2CCC=C(c3ccco3)C2)c1 ZINC000289569953 180689814 /nfs/dbraw/zinc/68/98/14/180689814.db2.gz SKSNKUBQHGMSFH-UHFFFAOYSA-N 0 3 242.322 2.907 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccccn1)C1CCCC1 ZINC000638427525 352235493 /nfs/dbraw/zinc/23/54/93/352235493.db2.gz WLLZPNGETMCOAO-SWLSCSKDSA-N 0 3 248.370 2.937 20 0 BFADHN CC1(C)CN(C[C@H](O)c2ccccc2)[C@@H]1C1CC1 ZINC000290745474 352239235 /nfs/dbraw/zinc/23/92/35/352239235.db2.gz HYKOIVNIZAYZMT-LSDHHAIUSA-N 0 3 245.366 2.840 20 0 BFADHN COc1ncc(CN[C@@H](C)CCC2CC2)s1 ZINC000398195966 363124178 /nfs/dbraw/zinc/12/41/78/363124178.db2.gz CNHCAAMVEMRUQZ-VIFPVBQESA-N 0 3 240.372 2.820 20 0 BFADHN Cn1ccc(CN2CCC[C@H]2c2cccn2C)c1 ZINC000271041735 180693807 /nfs/dbraw/zinc/69/38/07/180693807.db2.gz OPZIIGDDVPCROS-HNNXBMFYSA-N 0 3 243.354 2.701 20 0 BFADHN CCN(Cc1[nH]ncc1C)Cc1ccccc1 ZINC000290319292 352220701 /nfs/dbraw/zinc/22/07/01/352220701.db2.gz BLIGIDYQYNUAHI-UHFFFAOYSA-N 0 3 229.327 2.740 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)CCC1(O)CCC1 ZINC000411116433 191161166 /nfs/dbraw/zinc/16/11/66/191161166.db2.gz VIPKZPPNUDEEPQ-AWEZNQCLSA-N 0 3 248.370 2.770 20 0 BFADHN C[C@@H](CNCc1nc2ccccc2o1)C1CC1 ZINC000638418231 352227439 /nfs/dbraw/zinc/22/74/39/352227439.db2.gz DJURKAYGPOIWBU-JTQLQIEISA-N 0 3 230.311 2.964 20 0 BFADHN CCCN(CCC)[C@@H](C)c1cnc(C)cn1 ZINC000411169496 191166377 /nfs/dbraw/zinc/16/63/77/191166377.db2.gz SYIOATQKKUUGGV-LBPRGKRZSA-N 0 3 221.348 2.968 20 0 BFADHN CCCN(CCC)[C@H](C)c1cnc(C)cn1 ZINC000411169495 191166574 /nfs/dbraw/zinc/16/65/74/191166574.db2.gz SYIOATQKKUUGGV-GFCCVEGCSA-N 0 3 221.348 2.968 20 0 BFADHN CO[C@H]1CCCN([C@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411230302 191171349 /nfs/dbraw/zinc/17/13/49/191171349.db2.gz PHLOHQSOCMYZBJ-KKUMJFAQSA-N 0 3 249.329 2.792 20 0 BFADHN CCN(Cc1ccc(F)c(Cl)c1)[C@H](C)CO ZINC000292161089 352305417 /nfs/dbraw/zinc/30/54/17/352305417.db2.gz LAGSCOKEKYDOBI-SECBINFHSA-N 0 3 245.725 2.682 20 0 BFADHN COc1ccccc1OCCN1C2CCC1CC2 ZINC000613402704 363175478 /nfs/dbraw/zinc/17/54/78/363175478.db2.gz IGBKPMITXKFGPD-UHFFFAOYSA-N 0 3 247.338 2.701 20 0 BFADHN CCN(Cc1csc(C(=O)OC)c1)C(C)C ZINC000411180182 191169840 /nfs/dbraw/zinc/16/98/40/191169840.db2.gz QTRCMFMCLYSRCV-UHFFFAOYSA-N 0 3 241.356 2.765 20 0 BFADHN c1c[nH]c([C@H]2CCCN2CCc2cncs2)c1 ZINC000411337641 191178326 /nfs/dbraw/zinc/17/83/26/191178326.db2.gz IRMJZBCONHNHLV-CYBMUJFWSA-N 0 3 247.367 2.851 20 0 BFADHN CCC1(CC)CCN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC000411325097 191179251 /nfs/dbraw/zinc/17/92/51/191179251.db2.gz GMTHBYKLJUHWHX-JTQLQIEISA-N 0 3 236.363 2.686 20 0 BFADHN CC[C@@H](C)N(C)Cc1csc(C(=O)OC)c1 ZINC000411361928 191180029 /nfs/dbraw/zinc/18/00/29/191180029.db2.gz HZDSUQDYQNGNBG-SECBINFHSA-N 0 3 241.356 2.765 20 0 BFADHN Cc1c(N)cccc1NC(=O)C(C)C(F)(F)F ZINC000638525462 352359471 /nfs/dbraw/zinc/35/94/71/352359471.db2.gz CWBCYVVPFIHCCG-SSDOTTSWSA-N 0 3 246.232 2.714 20 0 BFADHN COc1cc(CNC(C)(C)C(C)C)sn1 ZINC000638525699 352359477 /nfs/dbraw/zinc/35/94/77/352359477.db2.gz YIMZHBPZHLBDAI-UHFFFAOYSA-N 0 3 228.361 2.676 20 0 BFADHN CCN(Cc1ccc([C@@H]2C[C@@H]2C)o1)[C@@H](C)CO ZINC000293720689 352360309 /nfs/dbraw/zinc/36/03/09/352360309.db2.gz TXINWDKSSJQMNE-GMXVVIOVSA-N 0 3 237.343 2.606 20 0 BFADHN CCOCCN(C)[C@H]1C[C@H]1c1cccc(F)c1 ZINC000411445988 191186571 /nfs/dbraw/zinc/18/65/71/191186571.db2.gz PKQQFVHWZWXXHF-KBPBESRZSA-N 0 3 237.318 2.650 20 0 BFADHN OC1(CCNC2(c3cccc(F)c3)CC2)CCC1 ZINC000411472462 191187184 /nfs/dbraw/zinc/18/71/84/191187184.db2.gz MZXLHPBDNDHDHO-UHFFFAOYSA-N 0 3 249.329 2.710 20 0 BFADHN C[C@@H](O)CCNC1(c2ccc(Cl)cc2)CC1 ZINC000411455429 191187205 /nfs/dbraw/zinc/18/72/05/191187205.db2.gz FLTMDYAALASONX-SNVBAGLBSA-N 0 3 239.746 2.690 20 0 BFADHN C[C@H](O)CCNC1(c2ccc(Cl)cc2)CC1 ZINC000411455428 191187663 /nfs/dbraw/zinc/18/76/63/191187663.db2.gz FLTMDYAALASONX-JTQLQIEISA-N 0 3 239.746 2.690 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1ccnc(C)n1 ZINC000353561128 352377238 /nfs/dbraw/zinc/37/72/38/352377238.db2.gz IYWLVMZCUKAWQX-LLVKDONJSA-N 0 3 221.348 2.796 20 0 BFADHN CCN(Cc1cccc(C(C)=O)c1)C[C@H](C)OC ZINC000295171711 352400501 /nfs/dbraw/zinc/40/05/01/352400501.db2.gz GOKWSWBIDDGOGS-LBPRGKRZSA-N 0 3 249.354 2.746 20 0 BFADHN C[C@H](Cc1cccc(O)c1)NCc1ccns1 ZINC000638559882 352385695 /nfs/dbraw/zinc/38/56/95/352385695.db2.gz HDOCOFPCQHSHBJ-SNVBAGLBSA-N 0 3 248.351 2.570 20 0 BFADHN CNc1ccc(NC(=O)C(C)C(F)(F)F)cc1 ZINC000638564169 352389231 /nfs/dbraw/zinc/38/92/31/352389231.db2.gz VHSOPKPDIPMOGA-SSDOTTSWSA-N 0 3 246.232 2.865 20 0 BFADHN CCN(CCc1cncs1)Cc1ccncc1 ZINC000411427105 191184967 /nfs/dbraw/zinc/18/49/67/191184967.db2.gz OIOUVTMXWYSPCI-UHFFFAOYSA-N 0 3 247.367 2.603 20 0 BFADHN CC(C)c1cc(Cl)ccc1NC(=O)[C@@H](C)N ZINC000638586452 352417317 /nfs/dbraw/zinc/41/73/17/352417317.db2.gz SWWNWIVMSLINPU-MRVPVSSYSA-N 0 3 240.734 2.749 20 0 BFADHN CC[C@@H](N[C@@H]1C=C[C@H](CO)C1)c1ccsc1 ZINC000296238624 352439796 /nfs/dbraw/zinc/43/97/96/352439796.db2.gz ASIYWCRIDXABKA-CYZMBNFOSA-N 0 3 237.368 2.726 20 0 BFADHN CCN(Cc1ccc(C(C)=O)cc1)C[C@H](C)OC ZINC000295202388 352401920 /nfs/dbraw/zinc/40/19/20/352401920.db2.gz NMTQBIIHYLFZBN-LBPRGKRZSA-N 0 3 249.354 2.746 20 0 BFADHN CCc1ccc(CN[C@H]2CCCC[C@H]2OC)nc1 ZINC000411553932 191194663 /nfs/dbraw/zinc/19/46/63/191194663.db2.gz DUJPAHHRVUJXDF-LSDHHAIUSA-N 0 3 248.370 2.691 20 0 BFADHN C[C@H](NCCN1CCC[C@H](C)C1)c1ccoc1 ZINC000178068001 366083799 /nfs/dbraw/zinc/08/37/99/366083799.db2.gz ZMFZASUDDRKAIB-STQMWFEESA-N 0 3 236.359 2.662 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCCC[C@@H]1CCO1 ZINC000638638408 352479621 /nfs/dbraw/zinc/47/96/21/352479621.db2.gz FPTWNWBSPVHITR-JOYOIKCWSA-N 0 3 238.331 2.511 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H](C)[C@@H]1CC1(F)F ZINC000638638953 352482564 /nfs/dbraw/zinc/48/25/64/352482564.db2.gz LVTFBOUWWQLJJP-FWWHASMVSA-N 0 3 244.285 2.986 20 0 BFADHN Cn1ccnc1CNC1CCCCCCC1 ZINC000036804553 180747376 /nfs/dbraw/zinc/74/73/76/180747376.db2.gz QXGGXAKXZADJSZ-UHFFFAOYSA-N 0 3 221.348 2.623 20 0 BFADHN c1ccc2c(c1)SC[C@H]2NCCC[C@@H]1CCO1 ZINC000638655532 352496555 /nfs/dbraw/zinc/49/65/55/352496555.db2.gz IQBGKUPJPRLDTJ-DGCLKSJQSA-N 0 3 249.379 2.992 20 0 BFADHN FCCCCCN1CCO[C@H](C2CCC2)C1 ZINC000411836941 191214260 /nfs/dbraw/zinc/21/42/60/191214260.db2.gz AOWHLSMBHCHIBH-ZDUSSCGKSA-N 0 3 229.339 2.627 20 0 BFADHN CCCC[C@@H](COC)NCc1cc(C)ns1 ZINC000638660544 352502992 /nfs/dbraw/zinc/50/29/92/352502992.db2.gz RLNMUUHCXJWIDY-NSHDSACASA-N 0 3 242.388 2.746 20 0 BFADHN CC[C@H](NCCC[C@@H]1CCO1)c1nccs1 ZINC000638664212 352505938 /nfs/dbraw/zinc/50/59/38/352505938.db2.gz SOIQVBZKDJFCQA-MNOVXSKESA-N 0 3 240.372 2.753 20 0 BFADHN CC[C@@H](NCCC[C@H]1CCO1)c1nccs1 ZINC000638664214 352505950 /nfs/dbraw/zinc/50/59/50/352505950.db2.gz SOIQVBZKDJFCQA-WDEREUQCSA-N 0 3 240.372 2.753 20 0 BFADHN CCO[C@H](CCNCc1ccns1)C(C)C ZINC000638732238 352601119 /nfs/dbraw/zinc/60/11/19/352601119.db2.gz BVUDDFFWLOOJCL-GFCCVEGCSA-N 0 3 242.388 2.684 20 0 BFADHN CCCC[C@H](CC)CNC(=O)[C@H](N)[C@@H](C)CC ZINC000040806333 352604784 /nfs/dbraw/zinc/60/47/84/352604784.db2.gz IAVMWZIXGBOLLO-RWMBFGLXSA-N 0 3 242.407 2.692 20 0 BFADHN CC[C@@H]1CCCN1CC(=O)N(C)c1ccccc1 ZINC000340677259 352606366 /nfs/dbraw/zinc/60/63/66/352606366.db2.gz LUTRORUFXAZILC-CYBMUJFWSA-N 0 3 246.354 2.524 20 0 BFADHN CCCCCN1CCO[C@@H](C2CCC2)C1 ZINC000411875687 191226272 /nfs/dbraw/zinc/22/62/72/191226272.db2.gz OYFCNMBHNOGWHI-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@H](NCCCc1ccncc1)c1nccs1 ZINC000411884158 191226544 /nfs/dbraw/zinc/22/65/44/191226544.db2.gz OPXXKLRVILWDIP-NSHDSACASA-N 0 3 247.367 2.822 20 0 BFADHN CC[C@H](C)CN1CCO[C@@H](C2CCC2)C1 ZINC000411878200 191226823 /nfs/dbraw/zinc/22/68/23/191226823.db2.gz SFEJTAKWMAOHOF-WCQYABFASA-N 0 3 211.349 2.533 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1cncc(C)c1)C1CC1 ZINC000411956702 191232893 /nfs/dbraw/zinc/23/28/93/191232893.db2.gz AFZQOMOSVAUQKC-IUODEOHRSA-N 0 3 248.370 2.683 20 0 BFADHN COc1cccc(OC)c1CNC1CC=CC1 ZINC000638758472 352693891 /nfs/dbraw/zinc/69/38/91/352693891.db2.gz CLSNBDWMXHQYLJ-UHFFFAOYSA-N 0 3 233.311 2.512 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1cccnc1C)C1CC1 ZINC000411968275 191234815 /nfs/dbraw/zinc/23/48/15/191234815.db2.gz JIEFBADVZPEESO-IUODEOHRSA-N 0 3 248.370 2.683 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1cccnc1C)C1CC1 ZINC000411968277 191235214 /nfs/dbraw/zinc/23/52/14/191235214.db2.gz JIEFBADVZPEESO-WFASDCNBSA-N 0 3 248.370 2.683 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1cnccc1C)C1CC1 ZINC000411970647 191236185 /nfs/dbraw/zinc/23/61/85/191236185.db2.gz NNNXSYFAQCXQRZ-WFASDCNBSA-N 0 3 248.370 2.683 20 0 BFADHN COC1([C@H](C)NCc2cccc(F)c2)CCC1 ZINC000412018942 191236530 /nfs/dbraw/zinc/23/65/30/191236530.db2.gz GSUNGTDMLQDLAA-NSHDSACASA-N 0 3 237.318 2.873 20 0 BFADHN CSc1ccc([C@@H](C)N[C@H](C)CO)cc1F ZINC000129328826 187809719 /nfs/dbraw/zinc/80/97/19/187809719.db2.gz TWWJEQOBVVFKLE-RKDXNWHRSA-N 0 3 243.347 2.579 20 0 BFADHN CCN(Cc1cnc(C)nc1)C1CC(C)(C)C1 ZINC000412028186 191239042 /nfs/dbraw/zinc/23/90/42/191239042.db2.gz ANZKHMJRRFPOHD-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN CCN(CCC[C@@H](C)O)Cc1occc1C ZINC000412025517 191240011 /nfs/dbraw/zinc/24/00/11/191240011.db2.gz IYDIKCOALJAEAU-GFCCVEGCSA-N 0 3 225.332 2.571 20 0 BFADHN CCN(CC[C@@H]1CCOC1)Cc1occc1C ZINC000412029884 191241642 /nfs/dbraw/zinc/24/16/42/191241642.db2.gz VOMSUSIQOBYETK-CYBMUJFWSA-N 0 3 237.343 2.837 20 0 BFADHN COc1cc(C)cc(CNC[C@H](OC)C2CC2)c1 ZINC000638777204 352724466 /nfs/dbraw/zinc/72/44/66/352724466.db2.gz FTDNMCGGGFATNN-HNNXBMFYSA-N 0 3 249.354 2.518 20 0 BFADHN CCC[C@H](CNc1cc(C)cc(C)n1)OC ZINC000638788427 352746764 /nfs/dbraw/zinc/74/67/64/352746764.db2.gz JOZUWUMAMAOQBP-GFCCVEGCSA-N 0 3 222.332 2.925 20 0 BFADHN CC[C@](C)(CNc1cc(C)cc(C)n1)OC ZINC000638788833 352746771 /nfs/dbraw/zinc/74/67/71/352746771.db2.gz YLUDEECHCBAXSA-CYBMUJFWSA-N 0 3 222.332 2.925 20 0 BFADHN CC(C)n1nccc1CN1CC[C@H]2CCC[C@@H]21 ZINC000334186780 352776310 /nfs/dbraw/zinc/77/63/10/352776310.db2.gz CQVKREUAKLLVHK-OCCSQVGLSA-N 0 3 233.359 2.838 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]2C2CCC2)cn1 ZINC000334183048 352771497 /nfs/dbraw/zinc/77/14/97/352771497.db2.gz DHIMDRNJEMJIGL-CQSZACIVSA-N 0 3 231.343 2.550 20 0 BFADHN CC(C)n1ccc(CN2CC[C@@H]3CCC[C@@H]32)n1 ZINC000334212696 352810818 /nfs/dbraw/zinc/81/08/18/352810818.db2.gz CYZFODKDRMSYOH-JSGCOSHPSA-N 0 3 233.359 2.838 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccnc(C)n1 ZINC000334241775 352829786 /nfs/dbraw/zinc/82/97/86/352829786.db2.gz NVJOKZTUFXJRGI-ZDUSSCGKSA-N 0 3 219.332 2.550 20 0 BFADHN COc1cncc(CN2CC(C)(C)C[C@H]2C)c1 ZINC000412038695 191248472 /nfs/dbraw/zinc/24/84/72/191248472.db2.gz IVWICYJFNZIECB-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ncccc1CN1CC(C)(C)C[C@@H]1C ZINC000412039337 191250343 /nfs/dbraw/zinc/25/03/43/191250343.db2.gz JLTGSOPOPYVKOT-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN Cc1n[nH]cc1CN1CC[C@@]2(CC[C@H](C)C2)C1 ZINC000334198543 352790477 /nfs/dbraw/zinc/79/04/77/352790477.db2.gz MBAIJAUZMUVGME-SMDDNHRTSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1nccc(CN2C[C@@H](C)CC(C)(C)C2)n1 ZINC000334198597 352790974 /nfs/dbraw/zinc/79/09/74/352790974.db2.gz MTCVGLANUDQJBH-NSHDSACASA-N 0 3 233.359 2.653 20 0 BFADHN FC1(F)CCC[C@@H](CN2CCSCC2)C1 ZINC000334202254 352795590 /nfs/dbraw/zinc/79/55/90/352795590.db2.gz OUOSSRXAYWJWGZ-SNVBAGLBSA-N 0 3 235.343 2.861 20 0 BFADHN Cc1nocc1CN1C[C@@H](C)CC(C)(C)C1 ZINC000334205072 352799576 /nfs/dbraw/zinc/79/95/76/352799576.db2.gz TWSIHMBIAIYSIH-JTQLQIEISA-N 0 3 222.332 2.851 20 0 BFADHN Cc1ccc(F)c(CN2CCC[C@]23CCOC3)c1 ZINC000342857646 352877257 /nfs/dbraw/zinc/87/72/57/352877257.db2.gz DMFOZQUHRVWDRW-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN CC[C@H]1CCCN1Cc1cncc(F)c1 ZINC000334239796 352859508 /nfs/dbraw/zinc/85/95/08/352859508.db2.gz NWDOXBNFANMROW-LBPRGKRZSA-N 0 3 208.280 2.595 20 0 BFADHN Cc1ccc(CN2CCC[C@@]23CCOC3)cc1F ZINC000342843780 352872012 /nfs/dbraw/zinc/87/20/12/352872012.db2.gz YLAYTXAMWPSKOJ-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1cccnc1CN1CCC12CCC2 ZINC000334279767 352914224 /nfs/dbraw/zinc/91/42/24/352914224.db2.gz QSPXGCKYENEEJK-UHFFFAOYSA-N 0 3 202.301 2.518 20 0 BFADHN Cc1nccc(CN2CC[C@H](C)C[C@H](C)C2)n1 ZINC000334298469 352918733 /nfs/dbraw/zinc/91/87/33/352918733.db2.gz OBFQHIAZNWYQBE-RYUDHWBXSA-N 0 3 233.359 2.653 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cccc2c1OCCO2 ZINC000342863171 352880573 /nfs/dbraw/zinc/88/05/73/352880573.db2.gz VZIDBLDRNAGBGB-CYBMUJFWSA-N 0 3 247.338 2.688 20 0 BFADHN COc1cc(CN2CC[C@H](C(C)C)C2)ccn1 ZINC000342863318 352880653 /nfs/dbraw/zinc/88/06/53/352880653.db2.gz HXBFYUIDNGSNTD-ZDUSSCGKSA-N 0 3 234.343 2.568 20 0 BFADHN COc1cc(CN2CC[C@@H](C)C[C@@H](C)C2)ccn1 ZINC000342870330 352883679 /nfs/dbraw/zinc/88/36/79/352883679.db2.gz DUGOOVWQPCTNNE-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(Cl)cn2)CS1 ZINC000638836903 353021905 /nfs/dbraw/zinc/02/19/05/353021905.db2.gz LXWUSVCOENELPR-KCJUWKMLSA-N 0 3 242.775 2.719 20 0 BFADHN FC1(c2cccnc2)CCN(CC2CC2)CC1 ZINC000334372746 353025767 /nfs/dbraw/zinc/02/57/67/353025767.db2.gz RXYMOPNNEFBNIQ-UHFFFAOYSA-N 0 3 234.318 2.752 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+]C[C@@H]2C[C@H]3C[C@H]3C2)[n-]1 ZINC000638819074 352999569 /nfs/dbraw/zinc/99/95/69/352999569.db2.gz RVORPJAKMKBQIU-WISYIIOYSA-N 0 3 248.374 2.625 20 0 BFADHN CC(C)c1nnc([C@@H](C)NC[C@@H]2C[C@H]3C[C@H]3C2)[nH]1 ZINC000638819074 352999571 /nfs/dbraw/zinc/99/95/71/352999571.db2.gz RVORPJAKMKBQIU-WISYIIOYSA-N 0 3 248.374 2.625 20 0 BFADHN C[C@H]1CCN(CC2CCSCC2)C[C@@H]1F ZINC000638909878 353067013 /nfs/dbraw/zinc/06/70/13/353067013.db2.gz KBOCUACSGTVVHM-JQWIXIFHSA-N 0 3 231.380 2.810 20 0 BFADHN CCc1nc(C)c(CN[C@H](C)C2CCC2)o1 ZINC000336751193 353051528 /nfs/dbraw/zinc/05/15/28/353051528.db2.gz CBGPHMOIKUMKNC-SECBINFHSA-N 0 3 222.332 2.824 20 0 BFADHN CC1(NCc2cc(C3CC3)no2)CCC1 ZINC000638895844 353056663 /nfs/dbraw/zinc/05/66/63/353056663.db2.gz VHHSXIOBMXOYMX-UHFFFAOYSA-N 0 3 206.289 2.584 20 0 BFADHN CC1(N[C@H](c2nc[nH]n2)C2CCCCC2)CCC1 ZINC000638889530 353057817 /nfs/dbraw/zinc/05/78/17/353057817.db2.gz BPZYNXSEZFSEBU-LBPRGKRZSA-N 0 3 248.374 2.958 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCC[C@@H]1CCO1 ZINC000638922303 353080588 /nfs/dbraw/zinc/08/05/88/353080588.db2.gz CYOOHZCXTVDVJJ-CHWSQXEVSA-N 0 3 234.343 2.644 20 0 BFADHN Cn1cc(CN[C@H](c2ccccc2)C2CC2)cn1 ZINC000035053224 188061026 /nfs/dbraw/zinc/06/10/26/188061026.db2.gz NETKJWIDIYPPFE-OAHLLOKOSA-N 0 3 241.338 2.661 20 0 BFADHN CC(C)C1CC(N[C@@H](c2nccn2C)C2CC2)C1 ZINC000353915969 188061973 /nfs/dbraw/zinc/06/19/73/188061973.db2.gz GZDCZDLWDHDATC-JXQTWKCFSA-N 0 3 247.386 2.895 20 0 BFADHN CC(C)N1CCC[C@]2(C1)OCc1ccccc12 ZINC000360668299 188069030 /nfs/dbraw/zinc/06/90/30/188069030.db2.gz FYMHAKJMUXSVNJ-OAHLLOKOSA-N 0 3 231.339 2.916 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc(C)cc1C ZINC000359995142 188069510 /nfs/dbraw/zinc/06/95/10/188069510.db2.gz XVEIYXJXZRVIND-ZDUSSCGKSA-N 0 3 221.344 2.770 20 0 BFADHN CC[C@@H](O)CNCc1c(C)oc2ccccc21 ZINC000124538598 188084227 /nfs/dbraw/zinc/08/42/27/188084227.db2.gz YYOQGBDPYQKFHQ-LLVKDONJSA-N 0 3 233.311 2.602 20 0 BFADHN CC(C)[C@@H]1C[C@@H](NCc2ccoc2)CS1 ZINC000276835588 188094176 /nfs/dbraw/zinc/09/41/76/188094176.db2.gz BSCYLXJMYWPAHX-NEPJUHHUSA-N 0 3 225.357 2.899 20 0 BFADHN CC(C)[C@@H]1C[C@H](NCc2cccnc2)CS1 ZINC000277355880 188094651 /nfs/dbraw/zinc/09/46/51/188094651.db2.gz WCTWWORAFYCBEO-STQMWFEESA-N 0 3 236.384 2.701 20 0 BFADHN CC(C)Cn1cc(CN2CC[C@@H](C3CC3)C2)cn1 ZINC000359996100 188086803 /nfs/dbraw/zinc/08/68/03/188086803.db2.gz QGCYUOKTOAYEHZ-OAHLLOKOSA-N 0 3 247.386 2.771 20 0 BFADHN CC(C)c1ccccc1NC(=O)CNCC1CC1 ZINC000037611802 188114087 /nfs/dbraw/zinc/11/40/87/188114087.db2.gz XVYMFAGOLPMURE-UHFFFAOYSA-N 0 3 246.354 2.748 20 0 BFADHN CCCC(CCC)NCc1cc(C)ncn1 ZINC000310042418 538111108 /nfs/dbraw/zinc/11/11/08/538111108.db2.gz ZJJZOYICEXJDDH-UHFFFAOYSA-N 0 3 221.348 2.843 20 0 BFADHN C[C@@H](N[C@H]1CCC(F)(F)C1)c1cccnc1 ZINC000381516625 538110828 /nfs/dbraw/zinc/11/08/28/538110828.db2.gz SLYDNIRQJMAGEG-KOLCDFICSA-N 0 3 226.270 2.920 20 0 BFADHN C[C@H](CCc1ccccc1)NCc1cn(C)cn1 ZINC000310970978 538112018 /nfs/dbraw/zinc/11/20/18/538112018.db2.gz IDGILILSHVKWHD-CYBMUJFWSA-N 0 3 243.354 2.531 20 0 BFADHN CC(C)Cc1ccc(CN2CCC[C@@H](O)C2)cc1 ZINC000101543138 188156541 /nfs/dbraw/zinc/15/65/41/188156541.db2.gz YYPBBZPJPMVBQT-MRXNPFEDSA-N 0 3 247.382 2.842 20 0 BFADHN CC(=O)CCN1CCCC[C@@H]1c1cccn1C ZINC000157124057 188158137 /nfs/dbraw/zinc/15/81/37/188158137.db2.gz LSSCAUAYMOWSLK-CQSZACIVSA-N 0 3 234.343 2.531 20 0 BFADHN C1=C[C@H](N2CCN([C@H]3C=CCCC3)CC2)CCC1 ZINC000171278244 188162719 /nfs/dbraw/zinc/16/27/19/188162719.db2.gz PGFAXUSHPQBERB-HOTGVXAUSA-N 0 3 246.398 2.821 20 0 BFADHN CCC[C@H](CC)NCc1ccc(F)cn1 ZINC000336727972 188167727 /nfs/dbraw/zinc/16/77/27/188167727.db2.gz HQYYCSSQIXXKJR-NSHDSACASA-N 0 3 210.296 2.889 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1nccn1CC ZINC000310000776 188170014 /nfs/dbraw/zinc/17/00/14/188170014.db2.gz DTAQQKZYXSMGNQ-NEPJUHHUSA-N 0 3 221.348 2.571 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000185822986 188170046 /nfs/dbraw/zinc/17/00/46/188170046.db2.gz UMMWCYAXTBELTJ-JPWDYYAESA-N 0 3 249.354 2.820 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1csc(C)c1 ZINC000638993851 353227289 /nfs/dbraw/zinc/22/72/89/353227289.db2.gz CXOPOZDSBQJFQG-NSHDSACASA-N 0 3 226.345 2.513 20 0 BFADHN CC(C)N(C)c1ccc(CN2CCCC2)cn1 ZINC000190714891 188173148 /nfs/dbraw/zinc/17/31/48/188173148.db2.gz POFOKORRVNCFQQ-UHFFFAOYSA-N 0 3 233.359 2.522 20 0 BFADHN CC(C)(N)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC000019672689 188173282 /nfs/dbraw/zinc/17/32/82/188173282.db2.gz PQIUUZKZVOPBFU-UHFFFAOYSA-N 0 3 247.125 2.669 20 0 BFADHN CCC(O)(CC)CN(C)Cc1ccccc1F ZINC000165203490 353247524 /nfs/dbraw/zinc/24/75/24/353247524.db2.gz MLLLSWCWGHLKTR-UHFFFAOYSA-N 0 3 239.334 2.809 20 0 BFADHN CC(C)CO[C@H]1CCN([C@@H](C)c2cccnc2)C1 ZINC000268393167 188196404 /nfs/dbraw/zinc/19/64/04/188196404.db2.gz RGWDQEAKTVVLII-ZFWWWQNUSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@@H](CCc1ccccc1)NCc1cn(C)cn1 ZINC000310970979 538112138 /nfs/dbraw/zinc/11/21/38/538112138.db2.gz IDGILILSHVKWHD-ZDUSSCGKSA-N 0 3 243.354 2.531 20 0 BFADHN CC(C)C[C@H](C)NCc1ncnn1C(C)(C)C ZINC000337268081 188185049 /nfs/dbraw/zinc/18/50/49/188185049.db2.gz XDOQNKPIFQNPCX-NSHDSACASA-N 0 3 238.379 2.557 20 0 BFADHN COc1ccc(CN(C)[C@@H]2CC[C@H](C)C2)cn1 ZINC000339046222 188190184 /nfs/dbraw/zinc/19/01/84/188190184.db2.gz IBVKAABAFZUTAM-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN CC(=O)[C@H](C)SCC[C@H]1CCCCN1C ZINC000340696480 188216775 /nfs/dbraw/zinc/21/67/75/188216775.db2.gz RQJKPBPNSBLDHZ-NWDGAFQWSA-N 0 3 229.389 2.572 20 0 BFADHN Cc1nc(F)ccc1CN1CCCC1(C)C ZINC000278416368 188204405 /nfs/dbraw/zinc/20/44/05/188204405.db2.gz HTTUAPFVRMTYFH-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN CC(C)CC[C@@H](O)CN[C@@H](C)c1ccccn1 ZINC000280306803 188204732 /nfs/dbraw/zinc/20/47/32/188204732.db2.gz OBXILSKRUMHVLP-QWHCGFSZSA-N 0 3 236.359 2.529 20 0 BFADHN C1=CC[C@@H](CNCc2noc3c2CCCC3)CC1 ZINC000288529521 188209936 /nfs/dbraw/zinc/20/99/36/188209936.db2.gz VMGMYUGRORLXPI-GFCCVEGCSA-N 0 3 246.354 2.999 20 0 BFADHN CC(C)C[C@H](C)NCc1ncccc1N(C)C ZINC000289803916 188210134 /nfs/dbraw/zinc/21/01/34/188210134.db2.gz MLCYKVMZNCIKTK-LBPRGKRZSA-N 0 3 235.375 2.672 20 0 BFADHN CCN1CCCC[C@H]1CN[C@@H](C)c1ccoc1 ZINC000178081351 366086773 /nfs/dbraw/zinc/08/67/73/366086773.db2.gz APKGFWSORNFANX-JSGCOSHPSA-N 0 3 236.359 2.805 20 0 BFADHN C=Cn1cc(CN(C)[C@@H](C)CCCC)cn1 ZINC000290818180 188211782 /nfs/dbraw/zinc/21/17/82/188211782.db2.gz GPYDRTMRCPPNKJ-LBPRGKRZSA-N 0 3 221.348 2.994 20 0 BFADHN FC(F)n1ccc(CNCCC2(F)CCC2)n1 ZINC000342198104 180912287 /nfs/dbraw/zinc/91/22/87/180912287.db2.gz CBSZGQCRVKGZDE-UHFFFAOYSA-N 0 3 247.264 2.650 20 0 BFADHN CC(=O)Nc1cccc(CN2[C@H](C)C[C@H]2C)c1 ZINC000336565544 188230921 /nfs/dbraw/zinc/23/09/21/188230921.db2.gz STSRFAYBGQLTMR-GHMZBOCLSA-N 0 3 232.327 2.628 20 0 BFADHN CC1(C)SC[C@H]1NCc1ccccc1 ZINC000282948776 188223128 /nfs/dbraw/zinc/22/31/28/188223128.db2.gz XQKHIDAPPQRVQZ-LLVKDONJSA-N 0 3 207.342 2.670 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CCOC2(C)C)c1 ZINC000294741823 188224448 /nfs/dbraw/zinc/22/44/48/188224448.db2.gz HIXWNJUCHXUAFZ-CYBMUJFWSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H]1CCCC[C@@H]1CNCc1ccon1 ZINC000134856779 538113941 /nfs/dbraw/zinc/11/39/41/538113941.db2.gz ZCNANOFISRLEFN-WDEREUQCSA-N 0 3 208.305 2.591 20 0 BFADHN CCC(CC)CN[C@@H](C)c1nnc(C)s1 ZINC000135032312 538114619 /nfs/dbraw/zinc/11/46/19/538114619.db2.gz JDFXLWCUHSSVKX-QMMMGPOBSA-N 0 3 227.377 2.933 20 0 BFADHN Cc1[nH]nc2ncc(CN3[C@H](C)CC[C@H]3C)cc12 ZINC000334501208 353334682 /nfs/dbraw/zinc/33/46/82/353334682.db2.gz VBGNWVPSOZWMIR-NXEZZACHSA-N 0 3 244.342 2.639 20 0 BFADHN CCN(CCC1CC1)[C@@H](c1nccn1C)C1CC1 ZINC000639046901 353337345 /nfs/dbraw/zinc/33/73/45/353337345.db2.gz FYLORBCZOKVPQU-CQSZACIVSA-N 0 3 247.386 2.993 20 0 BFADHN c1cc(CNC[C@H]2CCc3ccccc3C2)on1 ZINC000639041500 353316091 /nfs/dbraw/zinc/31/60/91/353316091.db2.gz LIXPDEJMJDBOGF-LBPRGKRZSA-N 0 3 242.322 2.569 20 0 BFADHN Cc1ccc(CN[C@H](CO)C(C)(C)C)c(F)c1 ZINC000639040967 353318678 /nfs/dbraw/zinc/31/86/78/353318678.db2.gz DQKJJRHESFKYFL-CYBMUJFWSA-N 0 3 239.334 2.631 20 0 BFADHN COc1ncc(CN2CCCC[C@@H](C)C2)s1 ZINC000639059388 353364368 /nfs/dbraw/zinc/36/43/68/353364368.db2.gz DXSFDTAQTRTRNR-SNVBAGLBSA-N 0 3 240.372 2.774 20 0 BFADHN Cc1ccoc1CN1CCOCC2(CCC2)C1 ZINC000334505646 353364468 /nfs/dbraw/zinc/36/44/68/353364468.db2.gz XZWXZYSAKUDFQM-UHFFFAOYSA-N 0 3 235.327 2.591 20 0 BFADHN CCc1cnc(CN[C@@H]2CCC2(C)C)s1 ZINC000284068385 353349229 /nfs/dbraw/zinc/34/92/29/353349229.db2.gz ARRWTDWGCBUMGK-SNVBAGLBSA-N 0 3 224.373 2.984 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)Nc1cscc1Cl ZINC000639073795 353391199 /nfs/dbraw/zinc/39/11/99/353391199.db2.gz QWLOMRRHARPXOI-MUWHJKNJSA-N 0 3 246.763 2.713 20 0 BFADHN CCCN(CCN1CCCC1)c1cc(C)ccn1 ZINC000343289254 353368753 /nfs/dbraw/zinc/36/87/53/353368753.db2.gz PNVWQRWMRFVDJT-UHFFFAOYSA-N 0 3 247.386 2.702 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cnc(OC)s1 ZINC000639065517 353375927 /nfs/dbraw/zinc/37/59/27/353375927.db2.gz JJHTUFHMOUKGRQ-GXSJLCMTSA-N 0 3 240.372 2.772 20 0 BFADHN CSc1ccc(CNC2CSC2)cc1 ZINC000308751898 538117418 /nfs/dbraw/zinc/11/74/18/538117418.db2.gz UUGCDJWHKOMBAM-UHFFFAOYSA-N 0 3 225.382 2.614 20 0 BFADHN COc1cc(CNCC2CC(C)(C)C2)sn1 ZINC000639087344 353430523 /nfs/dbraw/zinc/43/05/23/353430523.db2.gz YTQBHVKGNIYNTJ-UHFFFAOYSA-N 0 3 240.372 2.678 20 0 BFADHN C[C@@H]1CCCC[C@@]1(C)NCc1ccon1 ZINC000334514623 353586013 /nfs/dbraw/zinc/58/60/13/353586013.db2.gz AROINDPFWCCRHF-ZYHUDNBSSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H](N[C@@H](C)[C@H]1CCCO1)c1cccc(O)c1 ZINC000639103650 353587736 /nfs/dbraw/zinc/58/77/36/353587736.db2.gz LHNQVCQGXFVRHU-UHIISALHSA-N 0 3 235.327 2.610 20 0 BFADHN Cc1ccc(CN[C@@H](CO)C(C)(C)C)c(F)c1 ZINC000639108020 353652718 /nfs/dbraw/zinc/65/27/18/353652718.db2.gz DQKJJRHESFKYFL-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN c1cn(CCCN2CC[C@H]2c2ccccc2)cn1 ZINC000343313466 353629554 /nfs/dbraw/zinc/62/95/54/353629554.db2.gz IKNDKWUUJKIBQH-HNNXBMFYSA-N 0 3 241.338 2.720 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cc(C)no1 ZINC000053398413 363240412 /nfs/dbraw/zinc/24/04/12/363240412.db2.gz YTYDAXYQCJSPTD-LLVKDONJSA-N 0 3 208.305 2.748 20 0 BFADHN C=Cn1cc(CNCCC2=CCCCC2)cn1 ZINC000194342321 353646237 /nfs/dbraw/zinc/64/62/37/353646237.db2.gz GECXMPXYHGWCBD-UHFFFAOYSA-N 0 3 231.343 2.964 20 0 BFADHN Fc1cccc2c1CC[C@H]2NCCOCC1CC1 ZINC000223569168 181005418 /nfs/dbraw/zinc/00/54/18/181005418.db2.gz MVVMUUZHHRODSB-OAHLLOKOSA-N 0 3 249.329 2.829 20 0 BFADHN Fc1cccc2c1CC[C@H]2N[C@@H]1CCCOC1 ZINC000211888325 181006838 /nfs/dbraw/zinc/00/68/38/181006838.db2.gz DIUIFARUEWLXEZ-QMTHXVAHSA-N 0 3 235.302 2.582 20 0 BFADHN Fc1cccc(F)c1CNC[C@H]1CCSC1 ZINC000226384911 181000688 /nfs/dbraw/zinc/00/06/88/181000688.db2.gz RNONOHWKHHONCO-SECBINFHSA-N 0 3 243.322 2.808 20 0 BFADHN c1ccc2oc(CNC[C@H]3CCSC3)nc2c1 ZINC000639119065 353754179 /nfs/dbraw/zinc/75/41/79/353754179.db2.gz OGJXWBRHLLSWBL-SNVBAGLBSA-N 0 3 248.351 2.671 20 0 BFADHN Cc1ncc([C@H](C)N2[C@H](C)C[C@@H]2C)c(C)n1 ZINC000639119377 353755682 /nfs/dbraw/zinc/75/56/82/353755682.db2.gz AMSZUYHPZJAHLV-NGZCFLSTSA-N 0 3 219.332 2.637 20 0 BFADHN Cc1ncc([C@H](C)N2[C@@H](C)C[C@@H]2C)c(C)n1 ZINC000639119379 353755783 /nfs/dbraw/zinc/75/57/83/353755783.db2.gz AMSZUYHPZJAHLV-QXEWZRGKSA-N 0 3 219.332 2.637 20 0 BFADHN Cc1cnc(CN(C)[C@H]2CCCC[C@@H]2C)n1C ZINC000343330559 353742431 /nfs/dbraw/zinc/74/24/31/353742431.db2.gz BAAFJENRXFBXFA-AAEUAGOBSA-N 0 3 235.375 2.739 20 0 BFADHN Fc1ccccc1CNCC1(C(F)F)CC1 ZINC000309657599 181011398 /nfs/dbraw/zinc/01/13/98/181011398.db2.gz WPIFJVVPEMSBFG-UHFFFAOYSA-N 0 3 229.245 2.961 20 0 BFADHN Fc1ccccc1CN1CCC2(CCO2)CC1 ZINC000377119289 181011644 /nfs/dbraw/zinc/01/16/44/181011644.db2.gz IBPNYFWSQIWVIO-UHFFFAOYSA-N 0 3 235.302 2.581 20 0 BFADHN COC(=O)c1occc1CNCCCC(C)C ZINC000037989632 358481031 /nfs/dbraw/zinc/48/10/31/358481031.db2.gz PGOZVUPHCMENKN-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN Fc1cncc(CN2CCC[C@@H](C3CC3)C2)c1 ZINC000289575836 181020075 /nfs/dbraw/zinc/02/00/75/181020075.db2.gz YSFJOQSLFHTFJE-CYBMUJFWSA-N 0 3 234.318 2.843 20 0 BFADHN c1cc(CN(CC2CC2)C2CCC2)sn1 ZINC000639127956 353839343 /nfs/dbraw/zinc/83/93/43/353839343.db2.gz DFRSMWGVDCQGKS-UHFFFAOYSA-N 0 3 222.357 2.908 20 0 BFADHN Fc1cnccc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000336221777 181021327 /nfs/dbraw/zinc/02/13/27/181021327.db2.gz HFESFVCOVAEKLJ-FZMZJTMJSA-N 0 3 234.318 2.985 20 0 BFADHN C[C@@H](NCCc1ccccc1O)c1ccoc1 ZINC000178417640 366122660 /nfs/dbraw/zinc/12/26/60/366122660.db2.gz YDCYIZKKCZFVRJ-LLVKDONJSA-N 0 3 231.295 2.879 20 0 BFADHN c1coc(C2=CCCN(CCC[C@@H]3CCO3)C2)c1 ZINC000639157759 353890827 /nfs/dbraw/zinc/89/08/27/353890827.db2.gz SIJSTORUWYWFJU-CQSZACIVSA-N 0 3 247.338 2.938 20 0 BFADHN C[C@@H](NC1CC(n2cccn2)C1)c1ccsc1 ZINC000639141145 353849559 /nfs/dbraw/zinc/84/95/59/353849559.db2.gz MJHFGMMZCZGOGD-QFWMXSHPSA-N 0 3 247.367 2.999 20 0 BFADHN CC(C)(C)C1CC(N[C@@H]2CCn3ccnc32)C1 ZINC000334530291 353907849 /nfs/dbraw/zinc/90/78/49/353907849.db2.gz JKGWNGZXGOEXTF-HTAVTVPLSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H](c1ccco1)N1CCC[C@]2(CCOC2)C1 ZINC000334531869 353910571 /nfs/dbraw/zinc/91/05/71/353910571.db2.gz XETSSDZWLZQYME-JSGCOSHPSA-N 0 3 235.327 2.843 20 0 BFADHN C[C@@H]1CCC[C@@H]1CN[C@@H](c1nccn1C)C1CC1 ZINC000343519904 353926090 /nfs/dbraw/zinc/92/60/90/353926090.db2.gz UCSCCJXICSYWRW-MRVWCRGKSA-N 0 3 247.386 2.897 20 0 BFADHN C[C@@H]1CCC[C@@H]1CN[C@H](c1nccn1C)C1CC1 ZINC000343519897 353926374 /nfs/dbraw/zinc/92/63/74/353926374.db2.gz UCSCCJXICSYWRW-BNOWGMLFSA-N 0 3 247.386 2.897 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1cnn(C(C)C)c1 ZINC000343481496 353904593 /nfs/dbraw/zinc/90/45/93/353904593.db2.gz FENDZZGXOZDVOW-IJLUTSLNSA-N 0 3 239.363 2.538 20 0 BFADHN CCCC[C@H](CC)CC(=O)NC[C@H](C)N(C)C ZINC000343691164 353965134 /nfs/dbraw/zinc/96/51/34/353965134.db2.gz NDNQTBHXRWDLQL-STQMWFEESA-N 0 3 242.407 2.659 20 0 BFADHN C[C@H]1CCCCN1Cc1cnc(C2CC2)nc1 ZINC000334553604 353996724 /nfs/dbraw/zinc/99/67/24/353996724.db2.gz FWXDUXFFDBVAFX-NSHDSACASA-N 0 3 231.343 2.728 20 0 BFADHN COc1cncc(CN2CC[C@H](C)C[C@H](C)C2)c1 ZINC000343709512 353968491 /nfs/dbraw/zinc/96/84/91/353968491.db2.gz KYQCIJPQDMFVFJ-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H]1SCCN(CCc2cncs2)[C@H]1C ZINC000334553667 353996864 /nfs/dbraw/zinc/99/68/64/353996864.db2.gz ARNCEAPVJWUVCY-UWVGGRQHSA-N 0 3 242.413 2.511 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1ccno1 ZINC000229592858 363281672 /nfs/dbraw/zinc/28/16/72/363281672.db2.gz RZQHTWAKEZLPNE-PWSUYJOCSA-N 0 3 208.305 2.733 20 0 BFADHN COc1c(O)cccc1CNC[C@H]1CCC[C@H]1C ZINC000343644824 353951924 /nfs/dbraw/zinc/95/19/24/353951924.db2.gz XCIGSSDWTULSEP-VXGBXAGGSA-N 0 3 249.354 2.927 20 0 BFADHN Cc1cncc([C@@H](C)NCCc2ccco2)c1 ZINC000343729570 353961071 /nfs/dbraw/zinc/96/10/71/353961071.db2.gz QEZMYSBAKQPBFG-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN CC[C@H]1CN(C/C=C\c2ccncc2)CCCO1 ZINC000343677999 353961499 /nfs/dbraw/zinc/96/14/99/353961499.db2.gz MZDFYRJPMIJVBM-QTLSWZBMSA-N 0 3 246.354 2.596 20 0 BFADHN Cc1nc([C@H](C)N2CCCCC(C)(C)C2)n[nH]1 ZINC000334556023 354002031 /nfs/dbraw/zinc/00/20/31/354002031.db2.gz REUFZQBVUPZIJZ-JTQLQIEISA-N 0 3 236.363 2.686 20 0 BFADHN C[C@H]1c2ccccc2CN1CCc1cncn1C ZINC000334557535 354004223 /nfs/dbraw/zinc/00/42/23/354004223.db2.gz CZJXXNJNFVGCQO-LBPRGKRZSA-N 0 3 241.338 2.539 20 0 BFADHN COc1cncc(CN(C)[C@@H]2CC[C@@H](C)C2)c1 ZINC000343920810 354042238 /nfs/dbraw/zinc/04/22/38/354042238.db2.gz IQHGWLZHJVTOHA-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN(C(C)C)C2CCC2)c1 ZINC000343840235 354019131 /nfs/dbraw/zinc/01/91/31/354019131.db2.gz DZGZVVUZFYWACN-UHFFFAOYSA-N 0 3 234.343 2.853 20 0 BFADHN COc1cncc(CN2CC[C@@H](C)C(C)(C)C2)c1 ZINC000343842528 354020143 /nfs/dbraw/zinc/02/01/43/354020143.db2.gz SWMBAZBNNPEIGI-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2cncc(C)c2)C1(C)C ZINC000343856393 354023180 /nfs/dbraw/zinc/02/31/80/354023180.db2.gz JDUNUNZQSYIOQE-FPMFFAJLSA-N 0 3 248.370 2.854 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2cncc(C)c2)C1(C)C ZINC000343856403 354023318 /nfs/dbraw/zinc/02/33/18/354023318.db2.gz JDUNUNZQSYIOQE-KWCYVHTRSA-N 0 3 248.370 2.854 20 0 BFADHN CCCC[C@H](C)[C@H](C)N[C@@H](C)c1ncnn1C ZINC000343947408 354067920 /nfs/dbraw/zinc/06/79/20/354067920.db2.gz XSZGURIQNMPQJQ-SRVKXCTJSA-N 0 3 238.379 2.681 20 0 BFADHN COc1cncc(CN([C@H](C)C2CC2)C2CC2)c1 ZINC000343974182 354078396 /nfs/dbraw/zinc/07/83/96/354078396.db2.gz QDRJFOVJRULVAJ-LLVKDONJSA-N 0 3 246.354 2.853 20 0 BFADHN CC[C@@]1(C)CCCN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC000334564029 354082335 /nfs/dbraw/zinc/08/23/35/354082335.db2.gz QQVTYXKHURUCNO-GWCFXTLKSA-N 0 3 236.363 2.686 20 0 BFADHN c1cc(CNC2CCCC2)nc2c1CCC2 ZINC000334564728 354084148 /nfs/dbraw/zinc/08/41/48/354084148.db2.gz NMIQWCGDODNGAJ-UHFFFAOYSA-N 0 3 216.328 2.603 20 0 BFADHN CCC(CC)N(CC)Cc1ncc(C)cn1 ZINC000344027352 354088989 /nfs/dbraw/zinc/08/89/89/354088989.db2.gz LAQPBPPMHOIXLA-UHFFFAOYSA-N 0 3 221.348 2.796 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@@H](O)C(C)(C)C)C2 ZINC000343934376 354046497 /nfs/dbraw/zinc/04/64/97/354046497.db2.gz HOWXMRXHTGIMNY-CQSZACIVSA-N 0 3 233.355 2.718 20 0 BFADHN Cc1scc(CN(C)[C@@H]2CCCOC2)c1C ZINC000639168108 354049259 /nfs/dbraw/zinc/04/92/59/354049259.db2.gz BIFSBTZBWYYNLB-CYBMUJFWSA-N 0 3 239.384 2.976 20 0 BFADHN CCCC[C@@H](C)[C@H](C)NCc1ncnn1CC ZINC000343982886 354058987 /nfs/dbraw/zinc/05/89/87/354058987.db2.gz LFFADKMOPBCZAS-NEPJUHHUSA-N 0 3 238.379 2.602 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@H](NCc2ccoc2C)C1(C)C ZINC000344062485 354129015 /nfs/dbraw/zinc/12/90/15/354129015.db2.gz OCALPYZKJCGYLJ-TVYUQYBPSA-N 0 3 237.343 2.737 20 0 BFADHN COc1cccc(CN2C[C@@H](C)CC(C)(C)C2)n1 ZINC000344066216 354130469 /nfs/dbraw/zinc/13/04/69/354130469.db2.gz PWCRHMDTDCAILW-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cnc(CN(C)C[C@@H]2CC=CCC2)cn1 ZINC000344070359 354131842 /nfs/dbraw/zinc/13/18/42/354131842.db2.gz RGSYBXOIOSSMMY-CYBMUJFWSA-N 0 3 231.343 2.573 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CCSC2)c1 ZINC000230705240 363321172 /nfs/dbraw/zinc/32/11/72/363321172.db2.gz BECFYJPAMCKXCZ-LLVKDONJSA-N 0 3 225.332 2.729 20 0 BFADHN C[C@@H]1CN(Cc2ccc(C3CC3)cc2)CC[C@H]1O ZINC000334567711 354090531 /nfs/dbraw/zinc/09/05/31/354090531.db2.gz SZZKTUCJOWJWLU-MLGOLLRUSA-N 0 3 245.366 2.767 20 0 BFADHN CC(C)C[C@@H](N[C@H]1CCCOC1)c1ccccn1 ZINC000287317841 188566031 /nfs/dbraw/zinc/56/60/31/188566031.db2.gz LQPCNOBMYUYQRQ-DZGCQCFKSA-N 0 3 248.370 2.937 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2CC=CCC2)cn1 ZINC000344081388 354135514 /nfs/dbraw/zinc/13/55/14/354135514.db2.gz ZWFVHAKTCJDTHK-CYBMUJFWSA-N 0 3 246.354 2.878 20 0 BFADHN C[C@H]1CN(Cc2cccnc2)CC(C)(C)C1 ZINC000344040548 354106300 /nfs/dbraw/zinc/10/63/00/354106300.db2.gz VHCGOFHPDURRDZ-GFCCVEGCSA-N 0 3 218.344 2.950 20 0 BFADHN C[C@H]1c2ccccc2CN1CCc1cn[nH]c1 ZINC000334562035 354117366 /nfs/dbraw/zinc/11/73/66/354117366.db2.gz TZTKECUSFOQKBV-NSHDSACASA-N 0 3 227.311 2.529 20 0 BFADHN CCc1cc(N2CCC[C@H]2[C@H]2CCCO2)ccn1 ZINC000334577757 354120863 /nfs/dbraw/zinc/12/08/63/354120863.db2.gz HCMKWMWFYAPLJO-LSDHHAIUSA-N 0 3 246.354 2.792 20 0 BFADHN Cc1csc(CNC[C@H]2CCC[C@@H]2C)n1 ZINC000230665254 363318910 /nfs/dbraw/zinc/31/89/10/363318910.db2.gz LLTPQBBSGHNBLP-GXSJLCMTSA-N 0 3 224.373 2.977 20 0 BFADHN COc1ccnc(CN2CC[C@H](C(C)(C)C)C2)c1 ZINC000344165054 354169269 /nfs/dbraw/zinc/16/92/69/354169269.db2.gz KZNMGKAIBIZSOZ-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN CC(C)[C@@H](N[C@@H]1CCCOC1)c1cccnc1 ZINC000344119144 354143563 /nfs/dbraw/zinc/14/35/63/354143563.db2.gz WJGFCQLKCFQAQA-ZIAGYGMSSA-N 0 3 234.343 2.547 20 0 BFADHN COc1cccc(CN2CC[C@H](C(C)(C)C)C2)n1 ZINC000344146443 354154479 /nfs/dbraw/zinc/15/44/79/354154479.db2.gz FXWQFHLLZLANQI-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@H](C)CNCc1cnc(C2CC2)o1 ZINC000449706601 202006470 /nfs/dbraw/zinc/00/64/70/202006470.db2.gz NRONIXSPTPACJO-VIFPVBQESA-N 0 3 208.305 2.688 20 0 BFADHN C[C@@H]1C[C@H](NCc2cnc(C3CC3)o2)[C@@H]1C ZINC000449706866 202006720 /nfs/dbraw/zinc/00/67/20/202006720.db2.gz OXWXTMSUYCKFAC-LNLATYFQSA-N 0 3 220.316 2.686 20 0 BFADHN c1c(CNC2CCC2)[nH]nc1-c1ccccc1 ZINC000344222336 354183040 /nfs/dbraw/zinc/18/30/40/354183040.db2.gz XNOZVWIGXOZGHY-UHFFFAOYSA-N 0 3 227.311 2.719 20 0 BFADHN C[C@H](N[C@@H]1CCC12CCCC2)c1ccn(C)n1 ZINC000334580069 354196341 /nfs/dbraw/zinc/19/63/41/354196341.db2.gz LZUOTOSLXXTFTC-WCQYABFASA-N 0 3 233.359 2.794 20 0 BFADHN COc1ccc(F)cc1CNC[C@@H]1C[C@H]1C1CC1 ZINC000449740972 202016681 /nfs/dbraw/zinc/01/66/81/202016681.db2.gz IJMUZLQPOGWBHR-FZMZJTMJSA-N 0 3 249.329 2.970 20 0 BFADHN COc1cccnc1CN[C@@H](C)[C@H]1CC1(C)C ZINC000449746813 202017240 /nfs/dbraw/zinc/01/72/40/202017240.db2.gz HAHDLLAUVJZQMK-WDEREUQCSA-N 0 3 234.343 2.614 20 0 BFADHN CCOC1CC(N[C@H](C)c2ccncc2CC)C1 ZINC000344306259 354215983 /nfs/dbraw/zinc/21/59/83/354215983.db2.gz WBHVRDIQEDZOPZ-LMWSTFAQSA-N 0 3 248.370 2.862 20 0 BFADHN OCC[C@H](NCc1cccs1)c1ccccc1 ZINC000344466508 354266273 /nfs/dbraw/zinc/26/62/73/354266273.db2.gz WDKVJEHSQLZFMH-AWEZNQCLSA-N 0 3 247.363 2.961 20 0 BFADHN CC[C@](C)(CN(Cc1ccccn1)C1CC1)OC ZINC000639178487 354254882 /nfs/dbraw/zinc/25/48/82/354254882.db2.gz KAKJZUZXJVSZLY-OAHLLOKOSA-N 0 3 248.370 2.861 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CCNCc1nccn1C ZINC000344381817 354256911 /nfs/dbraw/zinc/25/69/11/354256911.db2.gz MVCMNSHJSGKFPW-CHWSQXEVSA-N 0 3 235.375 2.726 20 0 BFADHN CC[C@@H]1CCC[C@@H]([NH2+]Cc2cnc(C)[n-]c2=O)C1 ZINC000344330417 354227260 /nfs/dbraw/zinc/22/72/60/354227260.db2.gz UYYSZDDKFFVNBX-DGCLKSJQSA-N 0 3 249.358 2.549 20 0 BFADHN CCn1cncc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000334598076 354232821 /nfs/dbraw/zinc/23/28/21/354232821.db2.gz UPDOALJTTYBOBQ-OCCSQVGLSA-N 0 3 233.359 2.668 20 0 BFADHN CC(C(=O)Nc1ccccc1F)C(F)(F)F ZINC000334615350 354301568 /nfs/dbraw/zinc/30/15/68/354301568.db2.gz VYBKWKBSUROFDW-LURJTMIESA-N 0 3 235.180 2.963 20 0 BFADHN CCCCCN1CCC[C@@H]1[C@@H](O)C(F)(F)F ZINC000344581823 354310821 /nfs/dbraw/zinc/31/08/21/354310821.db2.gz FLCKHWNJUAKOGK-NXEZZACHSA-N 0 3 239.281 2.564 20 0 BFADHN CC[C@@](C)(CN(Cc1cccnc1)C1CC1)OC ZINC000639179137 354270480 /nfs/dbraw/zinc/27/04/80/354270480.db2.gz QDFIWEABQUNGNC-HNNXBMFYSA-N 0 3 248.370 2.861 20 0 BFADHN CCC[C@@H](CN(Cc1ccccn1)C1CC1)OC ZINC000639179211 354270836 /nfs/dbraw/zinc/27/08/36/354270836.db2.gz RTCDJAYENACSOV-HNNXBMFYSA-N 0 3 248.370 2.861 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1ccns1 ZINC000639179702 354273303 /nfs/dbraw/zinc/27/33/03/354273303.db2.gz HKVOBZDJFGZTOE-ZJUUUORDSA-N 0 3 210.346 2.906 20 0 BFADHN Cc1cc(CN2CCC3(CCCC3)CC2)n(C)n1 ZINC000334601034 354279289 /nfs/dbraw/zinc/27/92/89/354279289.db2.gz DFYHBLKHDLFYAW-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1[C@@H]1CCC(C)(C)C1 ZINC000344762302 354355880 /nfs/dbraw/zinc/35/58/80/354355880.db2.gz UVOXWDNCALNSJP-NEPJUHHUSA-N 0 3 239.359 2.593 20 0 BFADHN Cc1ncc(CN[C@H](C)Cc2ccncc2)s1 ZINC000344765461 354356645 /nfs/dbraw/zinc/35/66/45/354356645.db2.gz PCUZOLSUFXBVQI-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN CCCC1(CNCc2cnc(C)nc2)CCC1 ZINC000344769649 354358413 /nfs/dbraw/zinc/35/84/13/354358413.db2.gz HEEKFEQTLSZKPY-UHFFFAOYSA-N 0 3 233.359 2.845 20 0 BFADHN CCC1CCC(NCc2ccon2)CC1 ZINC000086452670 491061857 /nfs/dbraw/zinc/06/18/57/491061857.db2.gz JNFGUQGKYMESHT-UHFFFAOYSA-N 0 3 208.305 2.733 20 0 BFADHN CO[C@H](CN[C@H](C)CF)C1CCCCC1 ZINC000639182741 354338605 /nfs/dbraw/zinc/33/86/05/354338605.db2.gz KQZHNNKKSPJERG-ZYHUDNBSSA-N 0 3 217.328 2.529 20 0 BFADHN c1coc(CN[C@H]2CCN(CC3CCCC3)C2)c1 ZINC000344663631 354341160 /nfs/dbraw/zinc/34/11/60/354341160.db2.gz HRQPLVBOAJHXAR-AWEZNQCLSA-N 0 3 248.370 2.634 20 0 BFADHN C[C@@H](NCCC(=O)OC(C)(C)C)c1ccoc1 ZINC000178759209 366160682 /nfs/dbraw/zinc/16/06/82/366160682.db2.gz FYHVYVZCCBCFPJ-SNVBAGLBSA-N 0 3 239.315 2.662 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1[C@@H](C)CCC(C)C ZINC000344711450 354353388 /nfs/dbraw/zinc/35/33/88/354353388.db2.gz VITVEGXNMMTRCW-STQMWFEESA-N 0 3 241.375 2.839 20 0 BFADHN CC(C)[C@H]1CCN([C@@H](C)c2cnccn2)C1 ZINC000334632520 354376498 /nfs/dbraw/zinc/37/64/98/354376498.db2.gz RDESZKBPLUBGHZ-RYUDHWBXSA-N 0 3 219.332 2.516 20 0 BFADHN c1cc(CN2CCC[C@H](C3CC3)C2)sn1 ZINC000639185003 354379327 /nfs/dbraw/zinc/37/93/27/354379327.db2.gz CGDDZMUEVSVCKI-NSHDSACASA-N 0 3 222.357 2.765 20 0 BFADHN CCC[C@H](CN[C@H]1c2ccccc2O[C@H]1C)OC ZINC000639185696 354380405 /nfs/dbraw/zinc/38/04/05/354380405.db2.gz CHVWHZQGUBICHF-YWPYICTPSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@H](N[C@@H]1c2ccccc2O[C@@H]1C)[C@@H]1CCCO1 ZINC000639185725 354380492 /nfs/dbraw/zinc/38/04/92/354380492.db2.gz CWYXBBFJAWJKHN-WJNVRWDZSA-N 0 3 247.338 2.666 20 0 BFADHN CN(Cc1cc2ccc(F)cc2[nH]1)[C@@H]1CCOC1 ZINC000334641264 354397059 /nfs/dbraw/zinc/39/70/59/354397059.db2.gz RDLPARKNEBAACA-CYBMUJFWSA-N 0 3 248.301 2.528 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2c3ccccc3O[C@@H]2C)CS1 ZINC000639185906 354381414 /nfs/dbraw/zinc/38/14/14/354381414.db2.gz GASHWDRKIHQSPD-PUHVVEEASA-N 0 3 249.379 2.992 20 0 BFADHN CSCCN[C@H](C)c1cc(F)cc(F)c1 ZINC000087282742 354387132 /nfs/dbraw/zinc/38/71/32/354387132.db2.gz DEMMXUCIIUEPII-MRVPVSSYSA-N 0 3 231.311 2.978 20 0 BFADHN CCC1(C)CCC(NCc2nccn2C)CC1 ZINC000344772499 354360557 /nfs/dbraw/zinc/36/05/57/354360557.db2.gz NKSRBMIAOBNYMW-UHFFFAOYSA-N 0 3 235.375 2.869 20 0 BFADHN CCc1ccc(CN[C@@H](C)Cc2ccncc2)o1 ZINC000344778082 354363333 /nfs/dbraw/zinc/36/33/33/354363333.db2.gz QUUYYBFDFCEALB-LBPRGKRZSA-N 0 3 244.338 2.958 20 0 BFADHN C[C@@H](Cc1ccncc1)NCc1ccccc1F ZINC000344780020 354364966 /nfs/dbraw/zinc/36/49/66/354364966.db2.gz UMKDJHLRCIPXPS-LBPRGKRZSA-N 0 3 244.313 2.942 20 0 BFADHN C[C@@H](NC1CCC2(CC2)CC1)c1ccncn1 ZINC000334670723 354411991 /nfs/dbraw/zinc/41/19/91/354411991.db2.gz AYVUMZFPDQQGCC-LLVKDONJSA-N 0 3 231.343 2.850 20 0 BFADHN CC1(C)C[C@@H]1NCc1cc2cccnc2o1 ZINC000334653195 354446632 /nfs/dbraw/zinc/44/66/32/354446632.db2.gz MAODDRBTDVQIGO-NSHDSACASA-N 0 3 216.284 2.716 20 0 BFADHN CN(C)CCSCc1cc(F)ccc1F ZINC000179168764 366216226 /nfs/dbraw/zinc/21/62/26/366216226.db2.gz IZNMUOPKNUKSNF-UHFFFAOYSA-N 0 3 231.311 2.760 20 0 BFADHN CC(C)c1nc(CN2[C@H](C)CCC2(C)C)n[nH]1 ZINC000334691882 354481343 /nfs/dbraw/zinc/48/13/43/354481343.db2.gz HMMMNRHIXKGHDM-SNVBAGLBSA-N 0 3 236.363 2.691 20 0 BFADHN CCN1CCc2c(ncn2-c2ccc(C)cc2)C1 ZINC000334701999 354495004 /nfs/dbraw/zinc/49/50/04/354495004.db2.gz BJWZIJLHTOTAQA-UHFFFAOYSA-N 0 3 241.338 2.559 20 0 BFADHN O[C@]1(C(F)F)CCN([C@@H]2/C=C/CCCCC2)C1 ZINC000334703601 354497112 /nfs/dbraw/zinc/49/71/12/354497112.db2.gz SXNUSYCWBFBXLD-CBHWHFHUSA-N 0 3 245.313 2.577 20 0 BFADHN CN(Cc1ccc2c(n1)CCC2)[C@H]1CCSC1 ZINC000334704815 354498353 /nfs/dbraw/zinc/49/83/53/354498353.db2.gz ADQCNSUKFAZTCU-ZDUSSCGKSA-N 0 3 248.395 2.508 20 0 BFADHN Fc1ccc(CNC2CC3(CCC3)C2)nc1 ZINC000334713068 354510349 /nfs/dbraw/zinc/51/03/49/354510349.db2.gz CFFAFVMXJGKOAD-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN Cc1cccc(CN(C)[C@H]2C=CCCC2)n1 ZINC000334800448 354555330 /nfs/dbraw/zinc/55/53/30/354555330.db2.gz IVEHLJOVVVZSKJ-AWEZNQCLSA-N 0 3 216.328 2.931 20 0 BFADHN CC1=C(C(=O)Nc2cc(C)cc(CN)c2)CCC1 ZINC000334771493 354591103 /nfs/dbraw/zinc/59/11/03/354591103.db2.gz VGTJMTRVFLEXHS-UHFFFAOYSA-N 0 3 244.338 2.893 20 0 BFADHN Cc1nc2ccccc2nc1CNCCCCF ZINC000639195868 354596998 /nfs/dbraw/zinc/59/69/98/354596998.db2.gz LDHRCLDJKPPTTG-UHFFFAOYSA-N 0 3 247.317 2.778 20 0 BFADHN CC(C)C1CC(N[C@@H]2C[C@@H](C)n3ccnc32)C1 ZINC000334744116 354547648 /nfs/dbraw/zinc/54/76/48/354547648.db2.gz SRXSQUIPGYNYCB-IKWCTNDRSA-N 0 3 233.359 2.913 20 0 BFADHN CCc1noc(C)c1CNC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000639204821 354650675 /nfs/dbraw/zinc/65/06/75/354650675.db2.gz DJQXDIVTIJLCOQ-ZSBIGDGJSA-N 0 3 234.343 2.681 20 0 BFADHN C[C@H](N[C@@H]1CCC12CCCC2)c1ccc(=O)[nH]n1 ZINC000335082838 354616216 /nfs/dbraw/zinc/61/62/16/354616216.db2.gz QIWILOSOTBPPDG-CMPLNLGQSA-N 0 3 247.342 2.556 20 0 BFADHN CC(C)n1cncc1CN1CC[C@@H](C)[C@H]1C ZINC000335083403 354616847 /nfs/dbraw/zinc/61/68/47/354616847.db2.gz HZRBVJSAUAIVIY-VXGBXAGGSA-N 0 3 221.348 2.694 20 0 BFADHN COC[C@H]1CCN1C[C@@]1(C)C[C@@H]1c1ccccc1 ZINC000639207479 354687498 /nfs/dbraw/zinc/68/74/98/354687498.db2.gz OUYOXWKBYWARAU-BZUAXINKSA-N 0 3 245.366 2.901 20 0 BFADHN COC[C@@H]1CCN1C[C@@]1(C)C[C@@H]1c1ccccc1 ZINC000639207476 354687683 /nfs/dbraw/zinc/68/76/83/354687683.db2.gz OUYOXWKBYWARAU-ARFHVFGLSA-N 0 3 245.366 2.901 20 0 BFADHN CC(C)n1cncc1CN1CCCC[C@H]1C ZINC000335107328 354692659 /nfs/dbraw/zinc/69/26/59/354692659.db2.gz DOLNMQXLZQQTDZ-GFCCVEGCSA-N 0 3 221.348 2.838 20 0 BFADHN CCCCCC(=O)N[C@H](C)CN1CCCCC1 ZINC000345617480 354715659 /nfs/dbraw/zinc/71/56/59/354715659.db2.gz NJKULLKGLZVPFD-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN COC[C@H]1CCN1Cc1csc(C)c1C ZINC000639207184 354671305 /nfs/dbraw/zinc/67/13/05/354671305.db2.gz MNVSNSAUPVRURR-GFCCVEGCSA-N 0 3 225.357 2.586 20 0 BFADHN C[C@H]1CN(Cc2cnc(C3CC3)nc2)C(C)(C)C1 ZINC000335138878 354791047 /nfs/dbraw/zinc/79/10/47/354791047.db2.gz LSCWBRUYECIPAS-LLVKDONJSA-N 0 3 245.370 2.974 20 0 BFADHN Cc1ncc(CNC2CC(C(C)C)C2)s1 ZINC000232538269 363374937 /nfs/dbraw/zinc/37/49/37/363374937.db2.gz HETOPRGKJOKXPC-UHFFFAOYSA-N 0 3 224.373 2.976 20 0 BFADHN Cc1csc(CNC2CC(C(C)C)C2)n1 ZINC000232538316 363375032 /nfs/dbraw/zinc/37/50/32/363375032.db2.gz VPXKBOZAGFNWMA-UHFFFAOYSA-N 0 3 224.373 2.976 20 0 BFADHN Cc1noc(C)c1CCN1CC[C@@H](C)C[C@H]1C ZINC000335124484 354766051 /nfs/dbraw/zinc/76/60/51/354766051.db2.gz PRIKWBQXPZISHZ-GHMZBOCLSA-N 0 3 236.359 2.954 20 0 BFADHN C([C@@H]1C[C@H]2C[C@H]2C1)N1C[C@]2(CCCO2)[C@@H]1C1CC1 ZINC000639215546 354821670 /nfs/dbraw/zinc/82/16/70/354821670.db2.gz YUROBLUUGJMUDJ-YPUPRDRTSA-N 0 3 247.382 2.676 20 0 BFADHN CC[C@H](C(=O)NCCCC(C)C)N(CC)CC ZINC000345978922 354831493 /nfs/dbraw/zinc/83/14/93/354831493.db2.gz APGDCEYSASCBGG-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1nocc1CN(C)[C@@H]1CCCC[C@@H]1C ZINC000335943200 134018681 /nfs/dbraw/zinc/01/86/81/134018681.db2.gz RUZFZBIACZVDAD-GXFFZTMASA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@H]1CCCCCN1Cc1cnccn1 ZINC000335145388 354854541 /nfs/dbraw/zinc/85/45/41/354854541.db2.gz CALUHURIZLKWCD-ZDUSSCGKSA-N 0 3 219.332 2.631 20 0 BFADHN CCCN(CC)CC(=O)N(CC(C)C)C(C)C ZINC000346348297 354887655 /nfs/dbraw/zinc/88/76/55/354887655.db2.gz YGYWONXBCLHFQF-UHFFFAOYSA-N 0 3 242.407 2.611 20 0 BFADHN CCn1nccc1CN(C)C[C@@H]1CC=CCC1 ZINC000346334781 354898905 /nfs/dbraw/zinc/89/89/05/354898905.db2.gz ODRBFMYHCRVHDO-CYBMUJFWSA-N 0 3 233.359 2.691 20 0 BFADHN Cc1nn(C)cc1CN(C)C[C@@H]1CC=CCC1 ZINC000346336831 354900380 /nfs/dbraw/zinc/90/03/80/354900380.db2.gz PSIKHGQBKWPQTK-CYBMUJFWSA-N 0 3 233.359 2.517 20 0 BFADHN FC(F)(F)CCN1CCC[C@H]1[C@H]1CCCO1 ZINC000366942511 354903052 /nfs/dbraw/zinc/90/30/52/354903052.db2.gz DSCGOFKCAFEVNS-VHSXEESVSA-N 0 3 237.265 2.582 20 0 BFADHN COc1cccnc1CN[C@H]1CCC[C@H]1C1CC1 ZINC000449748364 202021131 /nfs/dbraw/zinc/02/11/31/202021131.db2.gz LOJIGKIURQRMRC-STQMWFEESA-N 0 3 246.354 2.759 20 0 BFADHN Cc1cccc(CN(C)CCC(C)C)n1 ZINC000346445621 354942632 /nfs/dbraw/zinc/94/26/32/354942632.db2.gz ZAFCEYXNBSUWNX-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN Cc1cc(CN2CC[C@@H](C(C)(C)C)C2)n(C)n1 ZINC000335176438 354945306 /nfs/dbraw/zinc/94/53/06/354945306.db2.gz DYAROKYFRKOADE-GFCCVEGCSA-N 0 3 235.375 2.597 20 0 BFADHN CCc1cc(N2CC[C@H]([C@@H]3CCOC3)C2)ccn1 ZINC000335176687 354945602 /nfs/dbraw/zinc/94/56/02/354945602.db2.gz KPPJHKQXEXLKRJ-QWHCGFSZSA-N 0 3 246.354 2.507 20 0 BFADHN Cc1ncc([C@H](C)N2CC[C@H]2C2CC2)c(C)n1 ZINC000639223732 354952969 /nfs/dbraw/zinc/95/29/69/354952969.db2.gz WGACCGLDUXDVTM-HZMBPMFUSA-N 0 3 231.343 2.639 20 0 BFADHN Cc1ncc([C@@H](C)N2CC[C@H]2C2CC2)c(C)n1 ZINC000639223735 354953028 /nfs/dbraw/zinc/95/30/28/354953028.db2.gz WGACCGLDUXDVTM-YGRLFVJLSA-N 0 3 231.343 2.639 20 0 BFADHN CC(C)N(CC[C@H]1CCCO1)Cc1cccnc1 ZINC000346474388 354956035 /nfs/dbraw/zinc/95/60/35/354956035.db2.gz CYOYESAYQWJRGT-OAHLLOKOSA-N 0 3 248.370 2.861 20 0 BFADHN CC(C)N(CC[C@@H]1CCCO1)Cc1cccnc1 ZINC000346474385 354956089 /nfs/dbraw/zinc/95/60/89/354956089.db2.gz CYOYESAYQWJRGT-HNNXBMFYSA-N 0 3 248.370 2.861 20 0 BFADHN CCC1(CC)CCCN([C@@H](C)C(=O)OC)CC1 ZINC000346494180 354959258 /nfs/dbraw/zinc/95/92/58/354959258.db2.gz RKEVLGVGDKBFCD-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CCc1cccc(CN2CCN(C)[C@H](C)[C@@H]2C)c1 ZINC000346557847 354967552 /nfs/dbraw/zinc/96/75/52/354967552.db2.gz IVLLWCQBDVQWEG-KGLIPLIRSA-N 0 3 246.398 2.773 20 0 BFADHN CCc1cccc(CN2CCN(C)[C@H](C)[C@H]2C)c1 ZINC000346557851 354967729 /nfs/dbraw/zinc/96/77/29/354967729.db2.gz IVLLWCQBDVQWEG-ZIAGYGMSSA-N 0 3 246.398 2.773 20 0 BFADHN C[C@@H]1CN(CCOCC(F)F)CC(C)(C)C1 ZINC000346545093 354981953 /nfs/dbraw/zinc/98/19/53/354981953.db2.gz GWNODGDYUGMOJU-JTQLQIEISA-N 0 3 235.318 2.636 20 0 BFADHN C[C@H]1CN(CCOCC(F)F)CC(C)(C)C1 ZINC000346546316 354982128 /nfs/dbraw/zinc/98/21/28/354982128.db2.gz GWNODGDYUGMOJU-SNVBAGLBSA-N 0 3 235.318 2.636 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1ccc(F)cc1 ZINC000449752130 202021789 /nfs/dbraw/zinc/02/17/89/202021789.db2.gz BCXQXVNCBCIVRG-ZIAGYGMSSA-N 0 3 237.318 2.873 20 0 BFADHN COc1cc(C)ccc1CN[C@H](C)[C@H]1CCCO1 ZINC000346596699 354988574 /nfs/dbraw/zinc/98/85/74/354988574.db2.gz HFFKOBFVFPHXPF-TZMCWYRMSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@H](N[C@@H](c1cccnc1)C1CC1)[C@H]1CCCO1 ZINC000346624132 354995527 /nfs/dbraw/zinc/99/55/27/354995527.db2.gz DDTVMMXTUCRFNL-NILFDRSVSA-N 0 3 246.354 2.690 20 0 BFADHN CC/C=C/CNC[C@@H](Cc1ccccc1)OC ZINC000639226696 355035990 /nfs/dbraw/zinc/03/59/90/355035990.db2.gz HGZVPVLOHWBYJY-SGJXGLNRSA-N 0 3 233.355 2.800 20 0 BFADHN Cc1nocc1CN[C@H](C)C1CC(F)(F)C1 ZINC000639226742 355038055 /nfs/dbraw/zinc/03/80/55/355038055.db2.gz HQGSBOVGCTWERR-SSDOTTSWSA-N 0 3 230.258 2.506 20 0 BFADHN C[C@H](c1cnccn1)N1CC(C)(C)C[C@@H]1C ZINC000335191286 355023805 /nfs/dbraw/zinc/02/38/05/355023805.db2.gz PMTAZGOFCKZSGS-WDEREUQCSA-N 0 3 219.332 2.658 20 0 BFADHN Cc1cccnc1CN1CCCO[C@@H](C(C)C)C1 ZINC000335183133 355081207 /nfs/dbraw/zinc/08/12/07/355081207.db2.gz GPZZDNJJJCLRTI-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1nocc1CN[C@@H]1CC[C@H]1C1CCC1 ZINC000639227097 355056624 /nfs/dbraw/zinc/05/66/24/355056624.db2.gz JZJBKGQOSCCJGU-QWHCGFSZSA-N 0 3 220.316 2.651 20 0 BFADHN CCn1cc(CN2CC3(CCC3)C[C@H]2C)cn1 ZINC000335226374 355067995 /nfs/dbraw/zinc/06/79/95/355067995.db2.gz OLVWZTCZTJLVMX-GFCCVEGCSA-N 0 3 233.359 2.668 20 0 BFADHN CO[C@H](C)[C@H](C)NC1(c2ccc(F)cc2)CC1 ZINC000346803155 355109043 /nfs/dbraw/zinc/10/90/43/355109043.db2.gz WSMVYLXIVHNREK-WDEREUQCSA-N 0 3 237.318 2.828 20 0 BFADHN CC[C@H]1CCCC[C@H]1[NH2+]Cc1cnc(C)[n-]c1=O ZINC000346803245 355109386 /nfs/dbraw/zinc/10/93/86/355109386.db2.gz FLTLHYJZNRZRDE-WCQYABFASA-N 0 3 249.358 2.549 20 0 BFADHN Cc1nocc1CN[C@@H]1CCC[C@@H]1C(C)C ZINC000639228946 355116655 /nfs/dbraw/zinc/11/66/55/355116655.db2.gz XMQAOLSRIFCDPL-CHWSQXEVSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCCN(C)[C@H]2C)o1 ZINC000346810989 355120961 /nfs/dbraw/zinc/12/09/61/355120961.db2.gz SVBUYROOSCNRBY-RWMBFGLXSA-N 0 3 236.359 2.721 20 0 BFADHN COC[C@H]1CCCCN1Cc1ccc(OC)cc1 ZINC000449759770 202024006 /nfs/dbraw/zinc/02/40/06/202024006.db2.gz KPDYUNFXMZSQIM-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1cccc(OC)c1 ZINC000449758061 202024346 /nfs/dbraw/zinc/02/43/46/202024346.db2.gz UQXMZLCDTFMZCT-HUUCEWRRSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1cc(CN[C@]2(C)CC=CCC2)no1 ZINC000335237778 355099527 /nfs/dbraw/zinc/09/95/27/355099527.db2.gz DFOGZIVKKDYAJI-GFCCVEGCSA-N 0 3 206.289 2.571 20 0 BFADHN COC[C@@H]1CCCCN1Cc1ccc(C)o1 ZINC000449761427 202025395 /nfs/dbraw/zinc/02/53/95/202025395.db2.gz XLKABTNCEICUSY-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN c1cc(CN2C[C@@H]3CCCC[C@@H]3C2)sn1 ZINC000639229169 355135377 /nfs/dbraw/zinc/13/53/77/355135377.db2.gz AJAOPTWZUGCUAL-PHIMTYICSA-N 0 3 222.357 2.765 20 0 BFADHN COc1ccncc1CN(C(C)C)C1CCC1 ZINC000346966748 355172377 /nfs/dbraw/zinc/17/23/77/355172377.db2.gz CNJRCTBTLIJNSC-UHFFFAOYSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@]1(C)C[C@H]1C(=O)Nc1ccc2c(c1)CNC2 ZINC000335242023 355177437 /nfs/dbraw/zinc/17/74/37/355177437.db2.gz WSMKQBNIWYOXDS-DZGCQCFKSA-N 0 3 244.338 2.665 20 0 BFADHN CN(Cc1ccc(F)cn1)C1CC(C)(C)C1 ZINC000335242083 355177638 /nfs/dbraw/zinc/17/76/38/355177638.db2.gz VSOORDQDDCLHNI-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN COc1ccccc1[C@@H](C)NCCOC1CCC1 ZINC000346935801 355183012 /nfs/dbraw/zinc/18/30/12/355183012.db2.gz HOQCRLMVTXULKI-GFCCVEGCSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccncc1CN1CC[C@H](C)C[C@@H](C)C1 ZINC000346990191 355190338 /nfs/dbraw/zinc/19/03/38/355190338.db2.gz VHNQMEGCFHCUNK-QWHCGFSZSA-N 0 3 248.370 2.958 20 0 BFADHN CCN(CC)CCS[C@H]1CCC(C)(C)C1=O ZINC000412996030 191332584 /nfs/dbraw/zinc/33/25/84/191332584.db2.gz FEHHVEZUMAQQKC-NSHDSACASA-N 0 3 243.416 2.819 20 0 BFADHN Cc1ccc(CN(C)CC2(CO)CC2)cc1C ZINC000258805820 191327283 /nfs/dbraw/zinc/32/72/83/191327283.db2.gz BBUFRGSCECTTSU-UHFFFAOYSA-N 0 3 233.355 2.508 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)[C@H](C)C2)cn1 ZINC000347590696 355248255 /nfs/dbraw/zinc/24/82/55/355248255.db2.gz ZPTIPZNMPXSANF-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccc(CN2CC[C@H](C)[C@H](C)C2)cn1 ZINC000347590694 355248540 /nfs/dbraw/zinc/24/85/40/355248540.db2.gz ZPTIPZNMPXSANF-NWDGAFQWSA-N 0 3 234.343 2.568 20 0 BFADHN CCCN(Cc1c(C)cnn1C)CC(C)C ZINC000347609663 355235142 /nfs/dbraw/zinc/23/51/42/355235142.db2.gz KLXJPJRANOONFU-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN COC(=O)CC1(NCc2ccccc2C)CCC1 ZINC000347629826 355241220 /nfs/dbraw/zinc/24/12/20/355241220.db2.gz LZXFYPQBIQLHEY-UHFFFAOYSA-N 0 3 247.338 2.570 20 0 BFADHN CC[C@H](c1ccncc1)N(C)C[C@H](CC)OC ZINC000412980348 191349651 /nfs/dbraw/zinc/34/96/51/191349651.db2.gz WZWZYSMWYNWIEK-UONOGXRCSA-N 0 3 236.359 2.890 20 0 BFADHN CC[C@H](CN(C)[C@H](CC)c1ccncc1)OC ZINC000412980351 191350402 /nfs/dbraw/zinc/35/04/02/191350402.db2.gz WZWZYSMWYNWIEK-ZIAGYGMSSA-N 0 3 236.359 2.890 20 0 BFADHN Cc1cnc(CN[C@H](C2CC2)C2CCC2)o1 ZINC000639246391 355275110 /nfs/dbraw/zinc/27/51/10/355275110.db2.gz GBRAEPQLGXCZTL-ZDUSSCGKSA-N 0 3 220.316 2.651 20 0 BFADHN COCC[C@@H](C)CNc1ccnc2ccccc21 ZINC000413488497 191389582 /nfs/dbraw/zinc/38/95/82/191389582.db2.gz FKSPJXNPQCUBRK-GFCCVEGCSA-N 0 3 244.338 2.741 20 0 BFADHN CCc1ccc(CN(C)[C@@H](C)CC)cn1 ZINC000347596960 355251007 /nfs/dbraw/zinc/25/10/07/355251007.db2.gz WIWGMVUXVSDPSR-NSHDSACASA-N 0 3 206.333 2.874 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2c(C)cccc2OC)C1 ZINC000639255893 355297435 /nfs/dbraw/zinc/29/74/35/355297435.db2.gz PIMVYMHSIFRFOG-BETUJISGSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1cccc(CCN2CCN(C)CC2(C)C)c1 ZINC000335436358 355307748 /nfs/dbraw/zinc/30/77/48/355307748.db2.gz XSHFIEGOKAIYJP-UHFFFAOYSA-N 0 3 246.398 2.564 20 0 BFADHN c1cc(CNC2(C3CCC3)CCC2)sn1 ZINC000639259525 355309390 /nfs/dbraw/zinc/30/93/90/355309390.db2.gz QYJYFOQMPJOQJJ-UHFFFAOYSA-N 0 3 222.357 2.956 20 0 BFADHN CCn1ccc(CNCCc2ccc(C)cc2)n1 ZINC000639262937 355317383 /nfs/dbraw/zinc/31/73/83/355317383.db2.gz YRJSAUCLCGRNHB-UHFFFAOYSA-N 0 3 243.354 2.544 20 0 BFADHN C[C@H](NC[C@H]1CCCC1(C)C)c1ccn(C)n1 ZINC000414145714 191443334 /nfs/dbraw/zinc/44/33/34/191443334.db2.gz PPRKLMHEEREMBZ-NWDGAFQWSA-N 0 3 235.375 2.897 20 0 BFADHN COC(=O)CCN(Cc1ccccc1C)C1CC1 ZINC000348988508 355336759 /nfs/dbraw/zinc/33/67/59/355336759.db2.gz HXMUWLISGZTLMO-UHFFFAOYSA-N 0 3 247.338 2.523 20 0 BFADHN Cc1ccsc1CN1CCCO[C@H](CF)C1 ZINC000335641491 355329482 /nfs/dbraw/zinc/32/94/82/355329482.db2.gz GIBXTPJZBFNMQP-LLVKDONJSA-N 0 3 243.347 2.617 20 0 BFADHN C[C@@H]1CC(C)(C)CN1[C@@H](c1nccn1C)C1CC1 ZINC000639267825 355329507 /nfs/dbraw/zinc/32/95/07/355329507.db2.gz PJTZYNOVILVKAD-DGCLKSJQSA-N 0 3 247.386 2.992 20 0 BFADHN CCC1CN(C[C@@H](Cc2ccccc2)OC)C1 ZINC000639248573 355279166 /nfs/dbraw/zinc/27/91/66/355279166.db2.gz KFPUTKWISDJJBT-OAHLLOKOSA-N 0 3 233.355 2.586 20 0 BFADHN FC(F)C1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)C1 ZINC000639252316 355286878 /nfs/dbraw/zinc/28/68/78/355286878.db2.gz DYJRFZSNFVTQMQ-BBBLOLIVSA-N 0 3 215.287 2.620 20 0 BFADHN CCn1ccc(CN[C@H]2CCCC[C@@H]2C)n1 ZINC000414203902 191461205 /nfs/dbraw/zinc/46/12/05/191461205.db2.gz FOVAEHAJOXAOPP-AAEUAGOBSA-N 0 3 221.348 2.571 20 0 BFADHN C[C@@H](NCCC1CC(F)(F)C1)c1ccn(C)n1 ZINC000414157267 191462118 /nfs/dbraw/zinc/46/21/18/191462118.db2.gz XMBHNUQIXWPGNX-SECBINFHSA-N 0 3 243.301 2.506 20 0 BFADHN Cc1ncc(CN[C@H]2CCC[C@H]2CCCF)o1 ZINC000414167777 191464640 /nfs/dbraw/zinc/46/46/40/191464640.db2.gz HECWHTHRHOYOCF-AAEUAGOBSA-N 0 3 240.322 2.991 20 0 BFADHN CC(C)N1CCO[C@@H](C2CCCCC2)C1 ZINC000349242714 355375247 /nfs/dbraw/zinc/37/52/47/355375247.db2.gz MKFHXSQPOYTZJX-CYBMUJFWSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@H]1CC(N2CCc3n[nH]cc3C2)C[C@H](C)C1 ZINC000623238377 355382315 /nfs/dbraw/zinc/38/23/15/355382315.db2.gz DOPJEXQYCLPVJS-GHMZBOCLSA-N 0 3 233.359 2.593 20 0 BFADHN C[C@H]1C[C@@H](N2CCc3n[nH]cc3C2)CC(C)(C)C1 ZINC000623238815 355383393 /nfs/dbraw/zinc/38/33/93/355383393.db2.gz UKYXSQBEIPXPKY-WCQYABFASA-N 0 3 247.386 2.983 20 0 BFADHN CC(C)(C)O[C@H]1C[C@@H](NCc2ccns2)C1 ZINC000639285088 355388718 /nfs/dbraw/zinc/38/87/18/355388718.db2.gz BLRQARDHBGMAQG-AOOOYVTPSA-N 0 3 240.372 2.579 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1c1ccco1)[C@@H]1CC1(F)F ZINC000639274776 355342544 /nfs/dbraw/zinc/34/25/44/355342544.db2.gz RBTZBOBLMHNCLW-DOLQZWNJSA-N 0 3 227.254 2.769 20 0 BFADHN c1c(CN[C@@H]2CC[C@H]3C[C@H]3C2)onc1C1CC1 ZINC000639286562 355390688 /nfs/dbraw/zinc/39/06/88/355390688.db2.gz CTKARGVUHACLBC-SDDRHHMPSA-N 0 3 232.327 2.830 20 0 BFADHN c1cc(CNC[C@@H]2C[C@H]2C2CCC2)sn1 ZINC000639273324 355346133 /nfs/dbraw/zinc/34/61/33/355346133.db2.gz ADECBRWKORLZFE-JQWIXIFHSA-N 0 3 222.357 2.669 20 0 BFADHN CO[C@@H](C)CNCc1ccc(F)cc1Cl ZINC000191221403 355349727 /nfs/dbraw/zinc/34/97/27/355349727.db2.gz LHWXNNBYZBKDJQ-QMMMGPOBSA-N 0 3 231.698 2.604 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1ccc(C)nn1 ZINC000414357467 191498217 /nfs/dbraw/zinc/49/82/17/191498217.db2.gz JRAQBXYRWBSETM-JQWIXIFHSA-N 0 3 221.348 2.699 20 0 BFADHN Cc1ccc([C@@H](N[C@H]2CCOC2)C2CCC2)o1 ZINC000349753523 355423460 /nfs/dbraw/zinc/42/34/60/355423460.db2.gz BXLBXYYWWALKNR-JSGCOSHPSA-N 0 3 235.327 2.808 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CCC12CCCC2 ZINC000639287240 355395003 /nfs/dbraw/zinc/39/50/03/355395003.db2.gz BHHANPPJNCHVBU-ZDUSSCGKSA-N 0 3 245.370 2.890 20 0 BFADHN CCC(CC)(CC)CN1CCc2n[nH]cc2C1 ZINC000623239394 355395660 /nfs/dbraw/zinc/39/56/60/355395660.db2.gz HBOMJKGSQJPWDA-UHFFFAOYSA-N 0 3 235.375 2.984 20 0 BFADHN CC(=O)Nc1ccccc1CN(C)CC(C)C ZINC000349841516 355492022 /nfs/dbraw/zinc/49/20/22/355492022.db2.gz WIDSAAVJUKPHGT-UHFFFAOYSA-N 0 3 234.343 2.733 20 0 BFADHN Cc1ccoc1CN1CCC[C@]2(CCCOC2)C1 ZINC000335800816 355493621 /nfs/dbraw/zinc/49/36/21/355493621.db2.gz LDALQIKZUIYFAM-HNNXBMFYSA-N 0 3 249.354 2.981 20 0 BFADHN CC[C@@H](F)CN1CCCC2(CCOCC2)C1 ZINC000335811570 355497404 /nfs/dbraw/zinc/49/74/04/355497404.db2.gz MCWUBXOHTUPOAX-GFCCVEGCSA-N 0 3 229.339 2.627 20 0 BFADHN c1nnsc1CN1CCC[C@H]1C1CCCC1 ZINC000335794917 355450082 /nfs/dbraw/zinc/45/00/82/355450082.db2.gz CZJZDNGEABVGFU-LBPRGKRZSA-N 0 3 237.372 2.693 20 0 BFADHN CC1=CCN(Cc2cccc3c[nH]nc32)CC1 ZINC000335796293 355452380 /nfs/dbraw/zinc/45/23/80/355452380.db2.gz VMMAHXLFBXRZTC-UHFFFAOYSA-N 0 3 227.311 2.715 20 0 BFADHN COc1ccc(CN2CCC[C@@H]3CCC[C@H]32)nc1 ZINC000335797898 355455063 /nfs/dbraw/zinc/45/50/63/355455063.db2.gz RRSMFMHNZUIBPI-SWLSCSKDSA-N 0 3 246.354 2.855 20 0 BFADHN CN(C)c1ncc(CN(C)C2CCCC2)s1 ZINC000349787462 355463187 /nfs/dbraw/zinc/46/31/87/355463187.db2.gz JODZSBGHLJXANI-UHFFFAOYSA-N 0 3 239.388 2.584 20 0 BFADHN Cc1ccc(CN2CCN(C(C)C)CC2)c(C)c1 ZINC000349819764 355469237 /nfs/dbraw/zinc/46/92/37/355469237.db2.gz QCDGKDZXKWPCCP-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN CC(C)CC[C@@H](C)N1CCc2n[nH]cc2C1 ZINC000623241346 355488940 /nfs/dbraw/zinc/48/89/40/355488940.db2.gz QFBCYZXDOURUOS-LLVKDONJSA-N 0 3 221.348 2.593 20 0 BFADHN Cn1ccnc1[C@H](NC1(C2CCC2)CC1)C1CC1 ZINC000639293457 355532608 /nfs/dbraw/zinc/53/26/08/355532608.db2.gz YPVZIXAORQYEEU-CYBMUJFWSA-N 0 3 245.370 2.794 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N[C@@H]1CNCCC1(F)F ZINC000415005715 191647053 /nfs/dbraw/zinc/64/70/53/191647053.db2.gz RUFTTYQBZKZYJP-QJPTWQEYSA-N 0 3 248.361 2.788 20 0 BFADHN CC(C)[C@H]1CCC[C@H](N2CCc3n[nH]cc3C2)C1 ZINC000623241921 355536311 /nfs/dbraw/zinc/53/63/11/355536311.db2.gz JJZSIFZBMMKQDN-JSGCOSHPSA-N 0 3 247.386 2.983 20 0 BFADHN COc1cc(C)nc(CN2CC[C@@H]3CCC[C@@H]32)c1 ZINC000335833032 355561214 /nfs/dbraw/zinc/56/12/14/355561214.db2.gz MNIRKVUAHIWXMK-WFASDCNBSA-N 0 3 246.354 2.773 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cnn(C(C)(C)C)c2)C1 ZINC000335824308 355546190 /nfs/dbraw/zinc/54/61/90/355546190.db2.gz VPHSMEZRDGRIHA-VXGBXAGGSA-N 0 3 235.375 2.868 20 0 BFADHN CC(C)n1nccc1CN1[C@@H](C)CC[C@@H]1C ZINC000335819637 355511131 /nfs/dbraw/zinc/51/11/31/355511131.db2.gz XGXKRXBMYSSTNK-RYUDHWBXSA-N 0 3 221.348 2.837 20 0 BFADHN C[C@H](C(=O)N(C)c1ccccc1)N1CCC[C@@H]1C ZINC000335840443 355583688 /nfs/dbraw/zinc/58/36/88/355583688.db2.gz QYSZNBLVNLCJOG-QWHCGFSZSA-N 0 3 246.354 2.522 20 0 BFADHN C[C@H](C(=O)N(C)c1ccccc1)N1CCC[C@H]1C ZINC000335840441 355583737 /nfs/dbraw/zinc/58/37/37/355583737.db2.gz QYSZNBLVNLCJOG-CHWSQXEVSA-N 0 3 246.354 2.522 20 0 BFADHN C[C@@H]1C[C@@H]1CN1C[C@@H](C)NCc2ccccc21 ZINC000415095653 191677562 /nfs/dbraw/zinc/67/75/62/191677562.db2.gz CCBUKYQCOPENOJ-YRGRVCCFSA-N 0 3 230.355 2.641 20 0 BFADHN C=Cn1cc(CN2CCC(C)(C)CC2)cn1 ZINC000335853119 355597835 /nfs/dbraw/zinc/59/78/35/355597835.db2.gz YRFUOOBPZBNBAO-UHFFFAOYSA-N 0 3 219.332 2.606 20 0 BFADHN Cc1ncc([C@@H](C)NC2(C)CC=CC2)c(C)n1 ZINC000639297249 355603085 /nfs/dbraw/zinc/60/30/85/355603085.db2.gz QIVHSINWPYTZSJ-LLVKDONJSA-N 0 3 231.343 2.853 20 0 BFADHN CC[C@H](NCc1ccns1)[C@@H]1CCCCO1 ZINC000639302522 355647513 /nfs/dbraw/zinc/64/75/13/355647513.db2.gz MEKWHUHUANGPOB-RYUDHWBXSA-N 0 3 240.372 2.580 20 0 BFADHN Cc1cc(CNC[C@@H](C)c2ccccn2)sn1 ZINC000639304043 355658372 /nfs/dbraw/zinc/65/83/72/355658372.db2.gz VKAXGSLUNVWXEL-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN NC(=O)CNC1(c2ccccc2)CCCCC1 ZINC000331274606 181203131 /nfs/dbraw/zinc/20/31/31/181203131.db2.gz BOBHYDBLHAWPKR-UHFFFAOYSA-N 0 3 232.327 2.971 20 0 BFADHN Cc1cnc(CN2CC[C@H](C)C[C@@H](C)C2)cn1 ZINC000335866152 355630153 /nfs/dbraw/zinc/63/01/53/355630153.db2.gz IZQXZZWXTIPIAT-NWDGAFQWSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C)C[C@H](C)C2)cn1 ZINC000335866151 355630205 /nfs/dbraw/zinc/63/02/05/355630205.db2.gz IZQXZZWXTIPIAT-NEPJUHHUSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1cnc(CN[C@H]2[C@H](C)CCC[C@@H]2C)nc1 ZINC000335868154 355633514 /nfs/dbraw/zinc/63/35/14/355633514.db2.gz FTXCLZXRZCJUIB-IMRBUKKESA-N 0 3 233.359 2.699 20 0 BFADHN CCC[C@H](CN[C@@H]1CCc2ccc(O)cc21)OC ZINC000639314960 355706212 /nfs/dbraw/zinc/70/62/12/355706212.db2.gz BFXWKCAIZIMGHY-UKRRQHHQSA-N 0 3 249.354 2.784 20 0 BFADHN CC[C@](C)(NCc1ncc(C)o1)C1CC1 ZINC000639318241 355711848 /nfs/dbraw/zinc/71/18/48/355711848.db2.gz RDFRZNNJNCDONF-LBPRGKRZSA-N 0 3 208.305 2.651 20 0 BFADHN COc1cc(CN[C@H]2CCC[C@H]2C(C)C)on1 ZINC000639324787 355714260 /nfs/dbraw/zinc/71/42/60/355714260.db2.gz PDSDZESTNNYXBG-RYUDHWBXSA-N 0 3 238.331 2.598 20 0 BFADHN COc1cc(CN[C@H](C)CCC=C(C)C)on1 ZINC000639324639 355718956 /nfs/dbraw/zinc/71/89/56/355718956.db2.gz MWLFLSDYBUWKKH-LLVKDONJSA-N 0 3 238.331 2.908 20 0 BFADHN COc1cc(CNC[C@@H]2CCC[C@H](C)C2)on1 ZINC000639324352 355719007 /nfs/dbraw/zinc/71/90/07/355719007.db2.gz LGDDXJYCGWTBKU-WDEREUQCSA-N 0 3 238.331 2.599 20 0 BFADHN c1nc(C2CC2)c(CN[C@@H]2CCSC2)s1 ZINC000308832773 491082983 /nfs/dbraw/zinc/08/29/83/491082983.db2.gz GVYSSFKZLVHBGI-SECBINFHSA-N 0 3 240.397 2.616 20 0 BFADHN C[C@@H](N[C@@H]1CCC12CCCC2)c1nccn1C ZINC000335890210 355681906 /nfs/dbraw/zinc/68/19/06/355681906.db2.gz YWMQLDXRBLMJOQ-VXGBXAGGSA-N 0 3 233.359 2.794 20 0 BFADHN CO[C@@H](CN1CCC[C@@H](F)C1)C1CCCC1 ZINC000639313777 355684196 /nfs/dbraw/zinc/68/41/96/355684196.db2.gz YBNVTXFZYAEMIO-OLZOCXBDSA-N 0 3 229.339 2.626 20 0 BFADHN CO[C@H](CN1CCC[C@H](F)C1)C1CCCC1 ZINC000639313778 355684278 /nfs/dbraw/zinc/68/42/78/355684278.db2.gz YBNVTXFZYAEMIO-QWHCGFSZSA-N 0 3 229.339 2.626 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnn(CC(F)F)c1 ZINC000350660380 355738936 /nfs/dbraw/zinc/73/89/36/355738936.db2.gz NIJZXLCLZNMEJB-LLVKDONJSA-N 0 3 243.301 2.523 20 0 BFADHN CCN1CC=C(c2ccc(OC)cc2)CC1 ZINC000033648198 355755229 /nfs/dbraw/zinc/75/52/29/355755229.db2.gz VAIDYBPPVHNXGW-UHFFFAOYSA-N 0 3 217.312 2.804 20 0 BFADHN CC(C)=CCNCc1ccc2c[nH]nc2c1 ZINC000191632492 355758099 /nfs/dbraw/zinc/75/80/99/355758099.db2.gz XFGLURXRLOEWKD-UHFFFAOYSA-N 0 3 215.300 2.619 20 0 BFADHN Cc1scc(CN(C)C2(CO)CCC2)c1C ZINC000639332369 355758971 /nfs/dbraw/zinc/75/89/71/355758971.db2.gz KJOOWRRCXSNFEX-UHFFFAOYSA-N 0 3 239.384 2.712 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@@H]1C[C@@]1(F)c1ccccc1 ZINC000425351001 191818748 /nfs/dbraw/zinc/81/87/48/191818748.db2.gz IEAQCTDDVUCBTR-HBJVGIJOSA-N 0 3 219.303 2.869 20 0 BFADHN C[C@@H](N[C@H]1C[C@@](C)(O)C1)c1cccc(Cl)c1 ZINC000417354066 191791144 /nfs/dbraw/zinc/79/11/44/191791144.db2.gz KTWXTRFTIHQUTB-WQAKAFBOSA-N 0 3 239.746 2.904 20 0 BFADHN CC[C@H]([C@@H]1CC1(C)C)N1CCc2n[nH]cc2C1 ZINC000639344502 355780794 /nfs/dbraw/zinc/78/07/94/355780794.db2.gz VWRZMXXGPICMKM-WCQYABFASA-N 0 3 233.359 2.593 20 0 BFADHN C/C=C\CNCc1cc2ccccc2n1C ZINC000639352040 355801438 /nfs/dbraw/zinc/80/14/38/355801438.db2.gz CTPHABRKWWEMIK-ARJAWSKDSA-N 0 3 214.312 2.844 20 0 BFADHN Cn1ccc(CN2CC(c3ccccc3)C2)c1 ZINC000639361554 355815763 /nfs/dbraw/zinc/81/57/63/355815763.db2.gz HUCXQLFEARPVTA-UHFFFAOYSA-N 0 3 226.323 2.625 20 0 BFADHN CC1(CN2CC(c3ccccc3)C2)CC1 ZINC000639361683 355816229 /nfs/dbraw/zinc/81/62/29/355816229.db2.gz NLKOPKRIDKZQDN-UHFFFAOYSA-N 0 3 201.313 2.886 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1ccsc1 ZINC000191651167 355822576 /nfs/dbraw/zinc/82/25/76/355822576.db2.gz JUXFTSAMSVFZBL-GUBZILKMSA-N 0 3 213.346 2.822 20 0 BFADHN CCN1CCC(C(=O)c2ccc(F)cc2)CC1 ZINC000013731495 355878636 /nfs/dbraw/zinc/87/86/36/355878636.db2.gz FZFITYAPFAVCSO-UHFFFAOYSA-N 0 3 235.302 2.740 20 0 BFADHN C[C@H](CCc1ccc(F)cc1)NCc1ncc[nH]1 ZINC000639369650 355856549 /nfs/dbraw/zinc/85/65/49/355856549.db2.gz ATECTNVZSNJYJW-LLVKDONJSA-N 0 3 247.317 2.660 20 0 BFADHN C[C@@H](CCc1ccsc1)NCc1ncc[nH]1 ZINC000639370701 355863600 /nfs/dbraw/zinc/86/36/00/355863600.db2.gz GABNZPWOAZGQMD-JTQLQIEISA-N 0 3 235.356 2.582 20 0 BFADHN CCCC[C@H](C)[C@@H](C)NCc1ncc[nH]1 ZINC000639371967 355872391 /nfs/dbraw/zinc/87/23/91/355872391.db2.gz OUQPCSNXRDNGFX-WDEREUQCSA-N 0 3 209.337 2.714 20 0 BFADHN Cc1ccncc1CN1CCC(CCF)CC1 ZINC000639376633 355901771 /nfs/dbraw/zinc/90/17/71/355901771.db2.gz RZPMWXHFTAUTMK-UHFFFAOYSA-N 0 3 236.334 2.962 20 0 BFADHN COc1cccc(C)c1CN[C@@H]1C[C@H](C)O[C@H]1C ZINC000639377041 355903655 /nfs/dbraw/zinc/90/36/55/355903655.db2.gz GEEQOSWCKHWZKU-SGMGOOAPSA-N 0 3 249.354 2.659 20 0 BFADHN COCC1(C2CC2)CN(Cc2ccc(C)cc2)C1 ZINC000639379699 355915442 /nfs/dbraw/zinc/91/54/42/355915442.db2.gz KVVHKXYCLFATKV-UHFFFAOYSA-N 0 3 245.366 2.853 20 0 BFADHN CC/C=C\CCN1CCCCC[C@H]1C(=O)OC ZINC000613477199 363456821 /nfs/dbraw/zinc/45/68/21/363456821.db2.gz IAVYIRVYDQDWLD-ZFDPJTLLSA-N 0 3 239.359 2.760 20 0 BFADHN CCC(C)(C)CCNCc1cn2cccnc2n1 ZINC000639382423 355929245 /nfs/dbraw/zinc/92/92/45/355929245.db2.gz YHJNVVMNQPBSIO-UHFFFAOYSA-N 0 3 246.358 2.645 20 0 BFADHN CC1CCC(CN2C[C@H](C)OC[C@@H]2C)CC1 ZINC000351051280 355941878 /nfs/dbraw/zinc/94/18/78/355941878.db2.gz FQUJKWYSDIWERO-QPPOZKHWSA-N 0 3 225.376 2.922 20 0 BFADHN CC[C@H]1CCCC[C@@H]1CN1CCc2n[nH]cc2C1 ZINC000628370947 355884148 /nfs/dbraw/zinc/88/41/48/355884148.db2.gz VYGBSKSHWITCJJ-QWHCGFSZSA-N 0 3 247.386 2.984 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000583624552 355891466 /nfs/dbraw/zinc/89/14/66/355891466.db2.gz HIGXPBJWWWRPLM-HZSPNIEDSA-N 0 3 235.302 2.580 20 0 BFADHN CC[C@H](N[C@@H](C)c1cnccn1)C(C)(C)C ZINC000167378407 355986747 /nfs/dbraw/zinc/98/67/47/355986747.db2.gz YXGUHFLIZMEJRV-JQWIXIFHSA-N 0 3 221.348 2.952 20 0 BFADHN CC[C@H](N[C@H](C)c1cnccn1)C(C)(C)C ZINC000167378617 355986781 /nfs/dbraw/zinc/98/67/81/355986781.db2.gz YXGUHFLIZMEJRV-PWSUYJOCSA-N 0 3 221.348 2.952 20 0 BFADHN CCc1cc(CN[C@H]2CCC2(C)C)on1 ZINC000309064987 355988986 /nfs/dbraw/zinc/98/89/86/355988986.db2.gz YUGZSKJBKZHVPO-NSHDSACASA-N 0 3 208.305 2.515 20 0 BFADHN CC1(F)CCN(Cc2ccc3[nH]cnc3c2)CC1 ZINC000639388458 356007066 /nfs/dbraw/zinc/00/70/66/356007066.db2.gz CCERTAKNICJMSG-UHFFFAOYSA-N 0 3 247.317 2.887 20 0 BFADHN CC1(F)CCN(Cc2ccc3nc[nH]c3c2)CC1 ZINC000639388458 356007067 /nfs/dbraw/zinc/00/70/67/356007067.db2.gz CCERTAKNICJMSG-UHFFFAOYSA-N 0 3 247.317 2.887 20 0 BFADHN COc1ccc(F)c(CN2C[C@H](C)[C@@H](C)C2)c1 ZINC000351625343 356034174 /nfs/dbraw/zinc/03/41/74/356034174.db2.gz WULQOFJQMUWBGN-QWRGUYRKSA-N 0 3 237.318 2.922 20 0 BFADHN CCN(Cc1cnn(CC(F)F)c1)C1CCC1 ZINC000351641555 356041060 /nfs/dbraw/zinc/04/10/60/356041060.db2.gz NQZPGGWWKZNPCF-UHFFFAOYSA-N 0 3 243.301 2.523 20 0 BFADHN COc1ccc(F)c(CN2C[C@@H]3[C@H](C2)C3(C)C)c1 ZINC000351662139 356048439 /nfs/dbraw/zinc/04/84/39/356048439.db2.gz UBLBYEGFEGNMJA-BETUJISGSA-N 0 3 249.329 2.922 20 0 BFADHN CC1(F)CCN(Cc2cccc3nccn32)CC1 ZINC000639389095 356010371 /nfs/dbraw/zinc/01/03/71/356010371.db2.gz PUUXOQLMPFXPCO-UHFFFAOYSA-N 0 3 247.317 2.658 20 0 BFADHN O=C1C[C@H]2CC[C@@H](C1)N2CCCc1ccsc1 ZINC000351585493 356021652 /nfs/dbraw/zinc/02/16/52/356021652.db2.gz YXPMTIYXOVWKFC-BETUJISGSA-N 0 3 249.379 2.877 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1C(F)(F)F)[C@@H]1CC1(F)F ZINC000639390562 356025122 /nfs/dbraw/zinc/02/51/22/356025122.db2.gz FWXHZUMEGBLLQT-BNHYGAARSA-N 0 3 229.192 2.571 20 0 BFADHN COC[C@@H](NCC[C@@H](C)F)c1ccc(F)cc1 ZINC000351721452 356071226 /nfs/dbraw/zinc/07/12/26/356071226.db2.gz RTPHAHCOEGKRAS-ZWNOBZJWSA-N 0 3 243.297 2.851 20 0 BFADHN C[C@@H](F)CCN1CCC[C@@H]1c1ccncc1 ZINC000351733151 356073487 /nfs/dbraw/zinc/07/34/87/356073487.db2.gz YQWZGXHHDWYQCS-DGCLKSJQSA-N 0 3 222.307 2.967 20 0 BFADHN CC1(C)CN(Cc2cc(-n3ccnc3)cs2)C1 ZINC000639393821 356074206 /nfs/dbraw/zinc/07/42/06/356074206.db2.gz UBDPUCYUKXGYSE-UHFFFAOYSA-N 0 3 247.367 2.776 20 0 BFADHN CO[C@@H](CN1CC(C)(C)C1)C1CCCC1 ZINC000639393969 356078420 /nfs/dbraw/zinc/07/84/20/356078420.db2.gz ZPLFJCUFAFUABP-LBPRGKRZSA-N 0 3 211.349 2.533 20 0 BFADHN CC(C)=CCN1CCC(OC2CCC2)CC1 ZINC000418094175 191967369 /nfs/dbraw/zinc/96/73/69/191967369.db2.gz RWDDEZNFYUGJKV-UHFFFAOYSA-N 0 3 223.360 2.986 20 0 BFADHN C[C@H](F)CCN1CCCC[C@@H]1c1cn[nH]c1 ZINC000351865772 356101196 /nfs/dbraw/zinc/10/11/96/356101196.db2.gz VIFJMXNKVKGNNO-CMPLNLGQSA-N 0 3 225.311 2.685 20 0 BFADHN Cc1cc(F)cc2c1CN(C[C@H]1CCOC1)CC2 ZINC000335919171 356166046 /nfs/dbraw/zinc/16/60/46/356166046.db2.gz CZPBOMWXZDLTFS-GFCCVEGCSA-N 0 3 249.329 2.529 20 0 BFADHN CC(C)N1CCc2c(cnn2-c2ccccc2)C1 ZINC000335919643 356166660 /nfs/dbraw/zinc/16/66/60/356166660.db2.gz ZRCVTQHJXLHHQJ-UHFFFAOYSA-N 0 3 241.338 2.639 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CO[C@H](C3CC3)C2)c1 ZINC000623753159 356168338 /nfs/dbraw/zinc/16/83/38/356168338.db2.gz STADANZAKLNQCH-ZFWWWQNUSA-N 0 3 249.329 2.791 20 0 BFADHN C[C@@H]1CSCCN(CCC(F)(F)F)C1 ZINC000336095778 134080240 /nfs/dbraw/zinc/08/02/40/134080240.db2.gz LTWBWNXNDTVKQJ-QMMMGPOBSA-N 0 3 227.295 2.624 20 0 BFADHN C[C@@H]1[C@@H](CO)CCN1Cc1cc2ccccc2o1 ZINC000336096426 134080665 /nfs/dbraw/zinc/08/06/65/134080665.db2.gz ARGNVYTWNKHAHJ-DGCLKSJQSA-N 0 3 245.322 2.636 20 0 BFADHN Cc1c[nH]nc1CN(C)C[C@@H](C)C(C)(C)C ZINC000639399999 356138326 /nfs/dbraw/zinc/13/83/26/356138326.db2.gz VMXMXVXHLCEVLF-LLVKDONJSA-N 0 3 223.364 2.832 20 0 BFADHN CCc1nnc([C@@H](C)N[C@@H]2CCC23CCCC3)[nH]1 ZINC000329917441 356144354 /nfs/dbraw/zinc/14/43/54/356144354.db2.gz FJADHYFCBCMRMK-GHMZBOCLSA-N 0 3 248.374 2.741 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@@H]2CCC23CCCC3)[n-]1 ZINC000329917441 356144360 /nfs/dbraw/zinc/14/43/60/356144360.db2.gz FJADHYFCBCMRMK-GHMZBOCLSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@H]1OCC[C@@H]1CN(C)Cc1ccccc1F ZINC000639435003 356214187 /nfs/dbraw/zinc/21/41/87/356214187.db2.gz FFOSVMYQTRGZCR-VXGBXAGGSA-N 0 3 237.318 2.683 20 0 BFADHN CCN(CC)Cc1c(C)cc(C)nc1C ZINC000639439488 356216706 /nfs/dbraw/zinc/21/67/06/356216706.db2.gz GJRGVJZVSANYAN-UHFFFAOYSA-N 0 3 206.333 2.849 20 0 BFADHN C[C@@H]1OCC[C@@H]1CN(C)Cc1ccsc1 ZINC000639440616 356217693 /nfs/dbraw/zinc/21/76/93/356217693.db2.gz DSJNUMIHJKKSLY-CMPLNLGQSA-N 0 3 225.357 2.605 20 0 BFADHN C[C@@H](c1ccco1)N(C)Cc1cc[nH]c(=O)c1 ZINC000639461812 356250294 /nfs/dbraw/zinc/25/02/94/356250294.db2.gz IKWIUGMFVSFAFF-JTQLQIEISA-N 0 3 232.283 2.573 20 0 BFADHN Cc1cc(C)c(CN2CCCO[C@H](C)C2)c(C)n1 ZINC000639472334 356262782 /nfs/dbraw/zinc/26/27/82/356262782.db2.gz LPPXMRNZCPDUPU-CYBMUJFWSA-N 0 3 248.370 2.618 20 0 BFADHN Cc1cnc([C@H](C)N2CCC[C@H](C)C2)cn1 ZINC000336114773 134088368 /nfs/dbraw/zinc/08/83/68/134088368.db2.gz ALUKQMGPQSSLQE-JQWIXIFHSA-N 0 3 219.332 2.578 20 0 BFADHN CCc1nc(C)c(CN2CC(C)=C[C@@H](C)C2)o1 ZINC000336125041 134092418 /nfs/dbraw/zinc/09/24/18/134092418.db2.gz UTFMVEUGGRXPHU-SNVBAGLBSA-N 0 3 234.343 2.943 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cnc(C)nc1 ZINC000352411639 356341278 /nfs/dbraw/zinc/34/12/78/356341278.db2.gz ZCPKMQWQCFLDAJ-NSHDSACASA-N 0 3 221.348 2.796 20 0 BFADHN C[C@@H]1OCC[C@H]1CN1CC[C@@H]1c1cccc(F)c1 ZINC000639511024 356345535 /nfs/dbraw/zinc/34/55/35/356345535.db2.gz LSSYVSOAMXVAOE-CORIIIEPSA-N 0 3 249.329 2.998 20 0 BFADHN CC1(C)CO[C@@H](CN2CCC[C@@H]2c2ccc[nH]2)C1 ZINC000639522204 356368886 /nfs/dbraw/zinc/36/88/86/356368886.db2.gz DEMCFJGSNCHDEM-TZMCWYRMSA-N 0 3 248.370 2.967 20 0 BFADHN C[C@H]1OCC[C@@H]1CN1CC=C(C(F)(F)F)CC1 ZINC000639547879 356411594 /nfs/dbraw/zinc/41/15/94/356411594.db2.gz NHIGRZPJRWNUGN-NXEZZACHSA-N 0 3 249.276 2.606 20 0 BFADHN CC(C)CC(CC(C)C)NCc1cc[nH]n1 ZINC000041014775 358505321 /nfs/dbraw/zinc/50/53/21/358505321.db2.gz SUFWGRBHIALVDG-UHFFFAOYSA-N 0 3 223.364 2.960 20 0 BFADHN C[C@@H]1OCC[C@H]1CN1CCc2cc(F)ccc2C1 ZINC000639557986 356463142 /nfs/dbraw/zinc/46/31/42/356463142.db2.gz VWAOIKSSAWSBAM-AAEUAGOBSA-N 0 3 249.329 2.609 20 0 BFADHN COc1ccc(CNCCC(C)(F)F)c(F)c1 ZINC000379265863 356439704 /nfs/dbraw/zinc/43/97/04/356439704.db2.gz QVLYUSKAGYPCEJ-UHFFFAOYSA-N 0 3 247.260 2.969 20 0 BFADHN COC[C@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC000352221575 134109298 /nfs/dbraw/zinc/10/92/98/134109298.db2.gz JDAIQFUCLNVBTJ-RYUDHWBXSA-N 0 3 237.318 2.855 20 0 BFADHN COC[C@@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC000352221574 134109358 /nfs/dbraw/zinc/10/93/58/134109358.db2.gz JDAIQFUCLNVBTJ-NWDGAFQWSA-N 0 3 237.318 2.855 20 0 BFADHN O[C@H]1C[C@@H](CN2CCCC[C@@H]2c2ccco2)C1 ZINC000420917932 192272604 /nfs/dbraw/zinc/27/26/04/192272604.db2.gz UQXZQJYUTZWJKX-FRRDWIJNSA-N 0 3 235.327 2.578 20 0 BFADHN CC(=O)CN[C@H](Cc1ccc(F)cc1)C(C)C ZINC000639569715 356494125 /nfs/dbraw/zinc/49/41/25/356494125.db2.gz JCFDHVAWUXKOMQ-CQSZACIVSA-N 0 3 237.318 2.571 20 0 BFADHN CCC1(CC)CCN(CCc2cnccn2)CC1 ZINC000639595513 356514481 /nfs/dbraw/zinc/51/44/81/356514481.db2.gz JKGZBWWPPSMHDC-UHFFFAOYSA-N 0 3 247.386 2.921 20 0 BFADHN Cc1csc([C@H](C)NC[C@@H]2CCO[C@@H]2C)n1 ZINC000639581672 356502368 /nfs/dbraw/zinc/50/23/68/356502368.db2.gz XINGFYFTMWHSNH-AXFHLTTASA-N 0 3 240.372 2.527 20 0 BFADHN CN(Cc1cc2ccccc2o1)C[C@H]1C[C@@H](O)C1 ZINC000420911923 192270401 /nfs/dbraw/zinc/27/04/01/192270401.db2.gz GWVNFUULZVWPAP-BJHJDKERSA-N 0 3 245.322 2.636 20 0 BFADHN COC[C@@H](C)N1CCC[C@H](CC(F)(F)F)C1 ZINC000420957071 192280420 /nfs/dbraw/zinc/28/04/20/192280420.db2.gz ZBHZMCSYLMHKDN-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN CCn1ccnc1CN1CC[C@H](C2CCCC2)C1 ZINC000420963949 192283431 /nfs/dbraw/zinc/28/34/31/192283431.db2.gz OTTSRHBKLPCQAJ-AWEZNQCLSA-N 0 3 247.386 2.915 20 0 BFADHN CCc1ccc([C@H]2CCCN2C[C@H]2C[C@@H](O)C2)o1 ZINC000420918733 192273872 /nfs/dbraw/zinc/27/38/72/192273872.db2.gz XMIFHDKTYKCREB-MBNYWOFBSA-N 0 3 249.354 2.750 20 0 BFADHN C[C@H]1N(CC2CC(F)(F)C2)CCOC1(C)C ZINC000336186638 134121631 /nfs/dbraw/zinc/12/16/31/134121631.db2.gz NPJBMJNJFKNDLK-SECBINFHSA-N 0 3 233.302 2.531 20 0 BFADHN CCC[C@H](C)CN1CCN(CC(C)C)CC1 ZINC000179587879 366273541 /nfs/dbraw/zinc/27/35/41/366273541.db2.gz KLTVSMDKGQIZQL-AWEZNQCLSA-N 0 3 226.408 2.696 20 0 BFADHN CC[C@@H](O)CN[C@@H](CC)c1ccc(F)cc1F ZINC000179623233 366276191 /nfs/dbraw/zinc/27/61/91/366276191.db2.gz QACOXLBFSRGQBN-MFKMUULPSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@@H]1CN(CC2CC(F)(F)C2)CC(C)(C)O1 ZINC000336192582 134124171 /nfs/dbraw/zinc/12/41/71/134124171.db2.gz TYMDHADVJHVXGP-SECBINFHSA-N 0 3 233.302 2.531 20 0 BFADHN CC[C@@H]1CN(CC2CC(F)(F)C2)C[C@@H](C)O1 ZINC000336195472 134125710 /nfs/dbraw/zinc/12/57/10/134125710.db2.gz QWIQYMZILPOEAK-MWLCHTKSSA-N 0 3 233.302 2.531 20 0 BFADHN COC[C@H]1CCCN(Cc2occc2C)CC1 ZINC000449782394 202034531 /nfs/dbraw/zinc/03/45/31/202034531.db2.gz ZJLIZLLNHCJMPT-ZDUSSCGKSA-N 0 3 237.343 2.837 20 0 BFADHN CC(C)N(Cc1cncnc1)C1CC(C)(C)C1 ZINC000449784398 202036101 /nfs/dbraw/zinc/03/61/01/202036101.db2.gz WRTTXTHNRJZFJU-UHFFFAOYSA-N 0 3 233.359 2.876 20 0 BFADHN FC1(F)CC[C@@H](CN2CCOC[C@@H]2C2CC2)C1 ZINC000336197234 134127008 /nfs/dbraw/zinc/12/70/08/134127008.db2.gz KRCDUZOAVSSPMI-ZYHUDNBSSA-N 0 3 245.313 2.533 20 0 BFADHN c1ccc(CCCCN2CC[C@@]23CCOC3)cc1 ZINC000421023160 192306759 /nfs/dbraw/zinc/30/67/59/192306759.db2.gz QVZFNGOAFVZVQZ-INIZCTEOSA-N 0 3 245.366 2.874 20 0 BFADHN Cc1ccc(CN2CC[C@@]23CCOC3)cc1C ZINC000421021267 192306990 /nfs/dbraw/zinc/30/69/90/192306990.db2.gz OIVXYROVWNXHSN-HNNXBMFYSA-N 0 3 231.339 2.668 20 0 BFADHN c1cc(CN2CC[C@@]23CCOC3)cc2c1CCC2 ZINC000421027082 192307867 /nfs/dbraw/zinc/30/78/67/192307867.db2.gz UIUHAKJZLZERQR-INIZCTEOSA-N 0 3 243.350 2.540 20 0 BFADHN Cc1cc(CN2CC[C@]23CCOC3)c(C)s1 ZINC000421026770 192308052 /nfs/dbraw/zinc/30/80/52/192308052.db2.gz SZFDVMBICBLROQ-CYBMUJFWSA-N 0 3 237.368 2.730 20 0 BFADHN CCc1noc(C)c1CN(C(C)C)C1CC1 ZINC000162724190 202047236 /nfs/dbraw/zinc/04/72/36/202047236.db2.gz KTWVNENWKKCCSU-UHFFFAOYSA-N 0 3 222.332 2.918 20 0 BFADHN C[C@@H](O)CCN(C)Cc1cccc(C2CC2)c1 ZINC000421318680 192316973 /nfs/dbraw/zinc/31/69/73/192316973.db2.gz HCPDOPNDPDPNNH-GFCCVEGCSA-N 0 3 233.355 2.767 20 0 BFADHN CC(=O)CN1CCC[C@]1(C)Cc1ccc(F)cc1 ZINC000639673154 356589577 /nfs/dbraw/zinc/58/95/77/356589577.db2.gz AHXGNJHMFBEMAH-OAHLLOKOSA-N 0 3 249.329 2.812 20 0 BFADHN Cc1nccnc1CN1[C@H](C)CCC1(C)C ZINC000639669184 356583033 /nfs/dbraw/zinc/58/30/33/356583033.db2.gz XBOQJZWHJNVGBI-SNVBAGLBSA-N 0 3 219.332 2.548 20 0 BFADHN CC(=O)CN(C)[C@H]1CCC[C@@H]1c1ccccc1 ZINC000639670363 356586297 /nfs/dbraw/zinc/58/62/97/356586297.db2.gz HTGWVWZGYDGDBP-CABCVRRESA-N 0 3 231.339 2.844 20 0 BFADHN Fc1cc(F)cc(CNC[C@H]2CCCS2)c1 ZINC000162837969 202077976 /nfs/dbraw/zinc/07/79/76/202077976.db2.gz ANQUYNSIZICZOB-GFCCVEGCSA-N 0 3 243.322 2.950 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000379996899 538463819 /nfs/dbraw/zinc/46/38/19/538463819.db2.gz JZYMYZAKVQDEPY-VGMNWLOBSA-N 0 3 228.361 2.526 20 0 BFADHN C[C@H](O)CCN1CCC[C@H]1c1ccc(F)cc1 ZINC000450226948 202102280 /nfs/dbraw/zinc/10/22/80/202102280.db2.gz NRUYJVPIRGRCSY-FZMZJTMJSA-N 0 3 237.318 2.734 20 0 BFADHN CCC(C)(C)N1CCN(CC(F)(F)CC)CC1 ZINC000639751318 356643327 /nfs/dbraw/zinc/64/33/27/356643327.db2.gz YLTIHXGIWFCZGD-UHFFFAOYSA-N 0 3 248.361 2.838 20 0 BFADHN CC[C@@H]1CN(CCC2=CCCCC2)CCO1 ZINC000450251934 202109254 /nfs/dbraw/zinc/10/92/54/202109254.db2.gz FJIGNDGKFFBHBD-CQSZACIVSA-N 0 3 223.360 2.988 20 0 BFADHN CCOCCNc1ccnc2ccc(CC)cc21 ZINC000450262709 202112706 /nfs/dbraw/zinc/11/27/06/202112706.db2.gz UPUZULWBGIPXCF-UHFFFAOYSA-N 0 3 244.338 2.668 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1C[C@H]1CCC(F)(F)C1 ZINC000450262688 202113426 /nfs/dbraw/zinc/11/34/26/202113426.db2.gz UMPNYTWIDBHLHH-GRYCIOLGSA-N 0 3 247.329 2.921 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1C[C@H]1CCC(F)(F)C1 ZINC000450262691 202113468 /nfs/dbraw/zinc/11/34/68/202113468.db2.gz UMPNYTWIDBHLHH-SDDRHHMPSA-N 0 3 247.329 2.921 20 0 BFADHN CCc1cc(N(C)C[C@H]2CCCCO2)ccn1 ZINC000450271063 202115510 /nfs/dbraw/zinc/11/55/10/202115510.db2.gz WDGYLXGGYLKFSD-CQSZACIVSA-N 0 3 234.343 2.649 20 0 BFADHN C1=C(CN2CCO[C@@H]3CCC[C@H]32)CCCC1 ZINC000450282563 202119559 /nfs/dbraw/zinc/11/95/59/202119559.db2.gz HRMZINMOZUAIKN-ZIAGYGMSSA-N 0 3 221.344 2.740 20 0 BFADHN CCN(Cc1cnn(C)c1)CC1=CCCCC1 ZINC000450336668 202132732 /nfs/dbraw/zinc/13/27/32/202132732.db2.gz ANYNSVXXHWCSJW-UHFFFAOYSA-N 0 3 233.359 2.742 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccnc(C#N)c2)C1 ZINC000399483043 356658445 /nfs/dbraw/zinc/65/84/45/356658445.db2.gz RNPYGTFKHCUQQL-CQSZACIVSA-N 0 3 229.327 2.575 20 0 BFADHN Cc1ncccc1CN1CCC(C)=C(C)C1 ZINC000336627307 134152330 /nfs/dbraw/zinc/15/23/30/134152330.db2.gz WOMGWHOASIBMHS-UHFFFAOYSA-N 0 3 216.328 2.932 20 0 BFADHN NCc1ccccc1OCCCCCF ZINC000389434802 356671022 /nfs/dbraw/zinc/67/10/22/356671022.db2.gz BFWLJJUKTPXHQR-UHFFFAOYSA-N 0 3 211.280 2.664 20 0 BFADHN C[C@@H]1CN(CC2=CCCCC2)CCS1 ZINC000450357406 202142165 /nfs/dbraw/zinc/14/21/65/202142165.db2.gz ZZTHTAKIWAEFEA-LLVKDONJSA-N 0 3 211.374 2.924 20 0 BFADHN C[C@H](O)[C@H](NCC(C)(C)C)c1ccccc1F ZINC000582245993 356674720 /nfs/dbraw/zinc/67/47/20/356674720.db2.gz HJYCTAGFEAXEAD-GWCFXTLKSA-N 0 3 239.334 2.883 20 0 BFADHN C[C@H](O)[C@@H](NCC(C)(C)C)c1ccccc1F ZINC000582245994 356675081 /nfs/dbraw/zinc/67/50/81/356675081.db2.gz HJYCTAGFEAXEAD-GXFFZTMASA-N 0 3 239.334 2.883 20 0 BFADHN CC(C)CN1CCN(C)C2(CCCCC2)C1 ZINC000578140461 366319262 /nfs/dbraw/zinc/31/92/62/366319262.db2.gz JSBYHGLRWLLINE-UHFFFAOYSA-N 0 3 224.392 2.593 20 0 BFADHN CCN(CCO)Cc1ccccc1C(C)C ZINC000675075698 538509884 /nfs/dbraw/zinc/50/98/84/538509884.db2.gz ULLBEBZCKLDQDH-UHFFFAOYSA-N 0 3 221.344 2.624 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](CC)CCO)o1 ZINC000168128610 134156224 /nfs/dbraw/zinc/15/62/24/134156224.db2.gz XDHMOSHANWZFTC-GHMZBOCLSA-N 0 3 225.332 2.654 20 0 BFADHN CC[C@@H](F)CN1CCc2ccccc2CC1 ZINC000516533980 491100865 /nfs/dbraw/zinc/10/08/65/491100865.db2.gz HLYRKDVPPYKKAZ-CQSZACIVSA-N 0 3 221.319 2.835 20 0 BFADHN C[C@@H](CNCc1cc(F)cc(Cl)c1)N(C)C ZINC000168254823 134160911 /nfs/dbraw/zinc/16/09/11/134160911.db2.gz YCGLFVPBBFECSS-VIFPVBQESA-N 0 3 244.741 2.519 20 0 BFADHN C[C@@H]1CN(C)CCN1CC/C=C\c1ccccc1 ZINC000450400519 202154016 /nfs/dbraw/zinc/15/40/16/202154016.db2.gz GLAFIQMFOFIDRZ-IZIDJEDMSA-N 0 3 244.382 2.726 20 0 BFADHN CCc1cc(N2CCC(CC)(CO)CC2)ccn1 ZINC000450413806 202157292 /nfs/dbraw/zinc/15/72/92/202157292.db2.gz VBJRNMATRBLOQT-UHFFFAOYSA-N 0 3 248.370 2.633 20 0 BFADHN CCc1cc(N2CC[C@H](C3CC3)C2)ccn1 ZINC000450409656 202157929 /nfs/dbraw/zinc/15/79/29/202157929.db2.gz KZFPMBJYWDLWNS-LBPRGKRZSA-N 0 3 216.328 2.880 20 0 BFADHN CC1(C)CC[C@H](CN2CCC[C@H]2c2cn[nH]c2)O1 ZINC000639776433 356718051 /nfs/dbraw/zinc/71/80/51/356718051.db2.gz ANRUXCHKJDORIH-OLZOCXBDSA-N 0 3 249.358 2.504 20 0 BFADHN CC(C)CC[C@H]1CCCN1Cc1ccn(C)n1 ZINC000354026112 356729302 /nfs/dbraw/zinc/72/93/02/356729302.db2.gz NRQMSXGXAOJMCY-CQSZACIVSA-N 0 3 235.375 2.821 20 0 BFADHN CC1(C)CCN(Cc2cnn3ccccc23)CC1 ZINC000353459453 356711437 /nfs/dbraw/zinc/71/14/37/356711437.db2.gz HMHYHQRFZGMSRZ-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN CCCCCN1CCC=C(c2cnn(C)c2)C1 ZINC000639796534 356753106 /nfs/dbraw/zinc/75/31/06/356753106.db2.gz SHKYCLNSTVFVCY-UHFFFAOYSA-N 0 3 233.359 2.699 20 0 BFADHN COC[C@@H](C)N[C@H]1CCc2c1cc(F)cc2F ZINC000154506444 538626109 /nfs/dbraw/zinc/62/61/09/538626109.db2.gz CAKISAOKIPKLLZ-OQPBUACISA-N 0 3 241.281 2.577 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1ccc(C)c(Cl)c1 ZINC000390488214 356769167 /nfs/dbraw/zinc/76/91/67/356769167.db2.gz PJLWPMQPUUGPAN-VIFPVBQESA-N 0 3 240.734 2.585 20 0 BFADHN CCc1cc(N2CCO[C@@](C)(CC)C2)ccn1 ZINC000450468350 202175057 /nfs/dbraw/zinc/17/50/57/202175057.db2.gz LZFORNQBYOYPAQ-AWEZNQCLSA-N 0 3 234.343 2.649 20 0 BFADHN CCc1cc(N(C)CC[C@H]2CCCO2)ccn1 ZINC000450469021 202175162 /nfs/dbraw/zinc/17/51/62/202175162.db2.gz OHCJDUXEVKAKFB-CQSZACIVSA-N 0 3 234.343 2.649 20 0 BFADHN C[C@@H]1OCCN(C[C@H]2CCCCC2(F)F)[C@H]1C ZINC000450495026 202184278 /nfs/dbraw/zinc/18/42/78/202184278.db2.gz QYXWHZNQTQMMFI-SDDRHHMPSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@H]1N(C[C@H]2CCC(F)(F)C2)CCOC1(C)C ZINC000450495833 202184598 /nfs/dbraw/zinc/18/45/98/202184598.db2.gz XDYNDGHEJFPILG-MNOVXSKESA-N 0 3 247.329 2.921 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cnn(CC)c1 ZINC000356518176 356798546 /nfs/dbraw/zinc/79/85/46/356798546.db2.gz GSIFKFKZCCSXBV-LBPRGKRZSA-N 0 3 223.364 2.914 20 0 BFADHN CCCC[C@H](C)N(C)Cc1c(C)cnn1C ZINC000356516645 356798594 /nfs/dbraw/zinc/79/85/94/356798594.db2.gz FFXGHDDMAHQZHS-LBPRGKRZSA-N 0 3 223.364 2.739 20 0 BFADHN C[C@@H]1OCCN(C[C@@H]2CCC(F)(F)C2)[C@H]1C ZINC000450494939 202184951 /nfs/dbraw/zinc/18/49/51/202184951.db2.gz QEKIFGRXODDNMK-GARJFASQSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@H]1OCCN(CCC2=CCCCC2)[C@@H]1C ZINC000450494415 202185578 /nfs/dbraw/zinc/18/55/78/202185578.db2.gz LPOMTWOVEYNLJX-CHWSQXEVSA-N 0 3 223.360 2.986 20 0 BFADHN CCc1cnccc1[C@H](C)NCc1cc[nH]c1 ZINC000358502464 356870588 /nfs/dbraw/zinc/87/05/88/356870588.db2.gz BVMJSIXNSKAEOA-NSHDSACASA-N 0 3 229.327 2.823 20 0 BFADHN CCO[C@H]1CCCN(Cc2occc2C)C1 ZINC000155450673 538716747 /nfs/dbraw/zinc/71/67/47/538716747.db2.gz CHVNKJYUSHJERO-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1Cc1cnns1 ZINC000450497344 202187332 /nfs/dbraw/zinc/18/73/32/202187332.db2.gz FMWHZJSQFZEVFW-JQWIXIFHSA-N 0 3 239.388 2.939 20 0 BFADHN CCN(Cc1cc(F)ccc1C)[C@@H]1CCOC1 ZINC000361236094 356920223 /nfs/dbraw/zinc/92/02/23/356920223.db2.gz CKXJJJIQMQOROG-CQSZACIVSA-N 0 3 237.318 2.745 20 0 BFADHN CCN(Cc1cc(C)ccc1OC)[C@@H]1CCOC1 ZINC000361254577 356923355 /nfs/dbraw/zinc/92/33/55/356923355.db2.gz ZPFVHNGCLMYFAX-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CC1(C)[C@@H](O)CCN1CCCCC(F)(F)F ZINC000361405448 356929044 /nfs/dbraw/zinc/92/90/44/356929044.db2.gz XOJSUQUJPCFLMI-VIFPVBQESA-N 0 3 239.281 2.564 20 0 BFADHN Fc1ccc(CNCCc2nccs2)cc1 ZINC000041620588 358513265 /nfs/dbraw/zinc/51/32/65/358513265.db2.gz HVBXEIVYHOAYHV-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN c1nc(CNC2CCCCCC2)cs1 ZINC000040420407 356937226 /nfs/dbraw/zinc/93/72/26/356937226.db2.gz DJTKBYLWRNNSOX-UHFFFAOYSA-N 0 3 210.346 2.956 20 0 BFADHN CCc1nocc1CN(C)c1ccnc(CC)c1 ZINC000450544147 202195494 /nfs/dbraw/zinc/19/54/94/202195494.db2.gz MRXTWQWOHCQCFT-UHFFFAOYSA-N 0 3 245.326 2.831 20 0 BFADHN CCN(Cc1ccc(F)cc1)C[C@H](C)OC ZINC000360001664 356900158 /nfs/dbraw/zinc/90/01/58/356900158.db2.gz BPMPLYJTLIOPEB-NSHDSACASA-N 0 3 225.307 2.683 20 0 BFADHN CC(=O)CN1CCCC[C@H]1CC1CCC1 ZINC000639865987 356976853 /nfs/dbraw/zinc/97/68/53/356976853.db2.gz XKFZBQVDUSRWOC-ZDUSSCGKSA-N 0 3 209.333 2.620 20 0 BFADHN c1cnc([C@@H]2CCCN2C[C@@H]2C[C@H]3C[C@H]3C2)nc1 ZINC000639866358 356980954 /nfs/dbraw/zinc/98/09/54/356980954.db2.gz IPYPPHXJDCIWIX-CRWXNKLISA-N 0 3 243.354 2.660 20 0 BFADHN FCCCN1CCC(c2ccc(F)cn2)CC1 ZINC000639872322 357042375 /nfs/dbraw/zinc/04/23/75/357042375.db2.gz KILINPSLEJSPQP-UHFFFAOYSA-N 0 3 240.297 2.760 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1N[C@H](c1nccn1C)C1CC1 ZINC000353862230 538768880 /nfs/dbraw/zinc/76/88/80/538768880.db2.gz FWBIQUZRUIVNIY-MJBXVCDLSA-N 0 3 247.386 2.895 20 0 BFADHN CC(C)n1ccc(C[C@@H](C)NCC(C)(C)F)n1 ZINC000631657645 357062392 /nfs/dbraw/zinc/06/23/92/357062392.db2.gz FXSALNNHIHWYNU-LLVKDONJSA-N 0 3 241.354 2.733 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@@H]2C(C)C)s1 ZINC000390007233 357083834 /nfs/dbraw/zinc/08/38/34/357083834.db2.gz XIGVCYWGXPHZJC-GHMZBOCLSA-N 0 3 224.373 2.976 20 0 BFADHN CC1=CC[C@@H](N[C@H](CO)c2ccsc2)CC1 ZINC000390460206 357094759 /nfs/dbraw/zinc/09/47/59/357094759.db2.gz FJSWIWUUMPQQDU-CHWSQXEVSA-N 0 3 237.368 2.870 20 0 BFADHN CC1=CC[C@H](N[C@@H](CO)c2ccsc2)CC1 ZINC000390460214 357094879 /nfs/dbraw/zinc/09/48/79/357094879.db2.gz FJSWIWUUMPQQDU-STQMWFEESA-N 0 3 237.368 2.870 20 0 BFADHN Cc1nnc(CN[C@@H]2C[C@H](C)CC[C@@H]2C)s1 ZINC000391842457 357135447 /nfs/dbraw/zinc/13/54/47/357135447.db2.gz NLTADTNCCHSIQW-WCABBAIRSA-N 0 3 239.388 2.761 20 0 BFADHN CCC[C@H](C)[C@@H](CO)N[C@@H](C)c1ccoc1 ZINC000582425274 357146223 /nfs/dbraw/zinc/14/62/23/357146223.db2.gz RXDZJAAKAIFVMB-GMXVVIOVSA-N 0 3 225.332 2.727 20 0 BFADHN CC(C)CN1CCN(Cc2ccccc2)C[C@@H]1C ZINC000303905290 357151416 /nfs/dbraw/zinc/15/14/16/357151416.db2.gz TXGQPJLMVMQEGM-HNNXBMFYSA-N 0 3 246.398 2.849 20 0 BFADHN CCN1CCC(F)(c2cccc(OC)c2)CC1 ZINC000374178116 357156800 /nfs/dbraw/zinc/15/68/00/357156800.db2.gz XNIVVFJLURDOPM-UHFFFAOYSA-N 0 3 237.318 2.976 20 0 BFADHN CC[C@H](C[C@@H](C)CO)N[C@H](C)c1cscn1 ZINC000390778369 357115707 /nfs/dbraw/zinc/11/57/07/357115707.db2.gz HEXKKJUUJHZADT-GMTAPVOTSA-N 0 3 242.388 2.591 20 0 BFADHN COc1ccccc1CN(C)CC1(C)CC1 ZINC000583686514 357119629 /nfs/dbraw/zinc/11/96/29/357119629.db2.gz FZOQGXPFLPINNG-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2ccn(C)n2)[C@@H]1C ZINC000582395408 357127744 /nfs/dbraw/zinc/12/77/44/357127744.db2.gz VPXUTVOQCOYEQN-UPJWGTAASA-N 0 3 235.375 2.582 20 0 BFADHN C1=CCC(CNCc2cnc(C3CC3)o2)C1 ZINC000628419518 357239755 /nfs/dbraw/zinc/23/97/55/357239755.db2.gz NVXYTKGZLTXAKU-UHFFFAOYSA-N 0 3 218.300 2.608 20 0 BFADHN Cn1ccnc1[C@@H](N[C@H]1CCCC12CC2)C1CC1 ZINC000631661710 357202386 /nfs/dbraw/zinc/20/23/86/357202386.db2.gz DLHKEOQNTWRQFO-STQMWFEESA-N 0 3 245.370 2.794 20 0 BFADHN CC1(C)Cc2cccc(CN3C[C@@H]4C[C@@H]4C3)c2O1 ZINC000628409766 357207349 /nfs/dbraw/zinc/20/73/49/357207349.db2.gz ZCXFNISVKWOGPC-OKILXGFUSA-N 0 3 243.350 2.852 20 0 BFADHN CC(C)Oc1ccc(CN2C[C@@H]3C[C@@H]3C2)cc1 ZINC000628408833 357209091 /nfs/dbraw/zinc/20/90/91/357209091.db2.gz HUJBEIXWFZGCRM-OKILXGFUSA-N 0 3 231.339 2.926 20 0 BFADHN Cc1nn(C(C)(C)C)c(C)c1CN1C[C@@H]2C[C@@H]2C1 ZINC000628409055 357209201 /nfs/dbraw/zinc/20/92/01/357209201.db2.gz LGICSDFXMWOFSP-BETUJISGSA-N 0 3 247.386 2.707 20 0 BFADHN CC(C)N[C@@H](CCO)c1ccc(Cl)c(F)c1 ZINC000631662055 357211450 /nfs/dbraw/zinc/21/14/50/357211450.db2.gz UIAVGZFHXGMCHI-LBPRGKRZSA-N 0 3 245.725 2.901 20 0 BFADHN c1c2ccccc2[nH]c1CN1C[C@@H]2C[C@@H]2C1 ZINC000628409821 357213587 /nfs/dbraw/zinc/21/35/87/357213587.db2.gz AEBOLOOCBLBFEC-TXEJJXNPSA-N 0 3 212.296 2.620 20 0 BFADHN Fc1ccc(/C=C\CN2C[C@@H]3C[C@@H]3C2)cc1F ZINC000628411039 357220089 /nfs/dbraw/zinc/22/00/89/357220089.db2.gz XGLAKDUGCJKWFH-ZLLARURESA-N 0 3 235.277 2.930 20 0 BFADHN C[C@@H](N[C@@H]1CCCC12CC2)c1cc2n(n1)CCC2 ZINC000631663060 357226148 /nfs/dbraw/zinc/22/61/48/357226148.db2.gz IDXBZFFYDQNUAJ-BXUZGUMPSA-N 0 3 245.370 2.813 20 0 BFADHN c1cc(CN(C2CC2)C2CC2)c2c(c1)COCC2 ZINC000639893457 357227122 /nfs/dbraw/zinc/22/71/22/357227122.db2.gz SPJBKGSCNMNPCD-UHFFFAOYSA-N 0 3 243.350 2.886 20 0 BFADHN C[C@H]1CN(Cc2ccn(C)c2)C[C@H](C)C1(F)F ZINC000628391370 357186970 /nfs/dbraw/zinc/18/69/70/357186970.db2.gz YADQMCRUKNDQNY-QWRGUYRKSA-N 0 3 242.313 2.748 20 0 BFADHN CCc1ccc(CN2CCC[C@@H](O)CC2)s1 ZINC000393484579 357284174 /nfs/dbraw/zinc/28/41/74/357284174.db2.gz LWTXBECTTKTDBH-LLVKDONJSA-N 0 3 239.384 2.657 20 0 BFADHN CCc1cc(CN[C@@H](C)C2CCC2)on1 ZINC000393617276 357289926 /nfs/dbraw/zinc/28/99/26/357289926.db2.gz WAJKQFLUNQKRPQ-VIFPVBQESA-N 0 3 208.305 2.515 20 0 BFADHN CC[C@@H](C)N[C@@H](C)c1cn2ccccc2n1 ZINC000393744794 357295213 /nfs/dbraw/zinc/29/52/13/357295213.db2.gz IJONHPBBLWRAOL-MNOVXSKESA-N 0 3 217.316 2.783 20 0 BFADHN CC(C)=CCN[C@@H](CO)c1ccccc1C ZINC000393750646 357296446 /nfs/dbraw/zinc/29/64/46/357296446.db2.gz CFTHEYSCUKVKRX-AWEZNQCLSA-N 0 3 219.328 2.584 20 0 BFADHN CCCCN[C@H](C)c1cn2ccccc2n1 ZINC000393802648 357300442 /nfs/dbraw/zinc/30/04/42/357300442.db2.gz NZCQRXCYVGMNAB-LLVKDONJSA-N 0 3 217.316 2.785 20 0 BFADHN Cc1ccc(CNCCCC2CC2)nc1 ZINC000131366969 325142801 /nfs/dbraw/zinc/14/28/01/325142801.db2.gz NCZCSCRZYQLTIT-UHFFFAOYSA-N 0 3 204.317 2.670 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CN1CC2(C1)CCCO2 ZINC000628427709 357257196 /nfs/dbraw/zinc/25/71/96/357257196.db2.gz USXDMSOLKFGBLQ-ZIAGYGMSSA-N 0 3 235.371 2.844 20 0 BFADHN C[C@@H](CN1CC2(C1)CCCO2)C(C)(C)C ZINC000628427438 357257933 /nfs/dbraw/zinc/25/79/33/357257933.db2.gz BTYCFLXWSKIMAN-NSHDSACASA-N 0 3 211.349 2.533 20 0 BFADHN Cc1nnsc1CN1CC[C@@H](C(C)(C)C)C1 ZINC000578196891 366382980 /nfs/dbraw/zinc/38/29/80/366382980.db2.gz XJJXZKHPFHZDRR-SNVBAGLBSA-N 0 3 239.388 2.715 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]2C[C@H]21)c1ccon1 ZINC000393160853 357278639 /nfs/dbraw/zinc/27/86/39/357278639.db2.gz WFAOPPZRCQYYPF-UXCLJVHYSA-N 0 3 206.289 2.514 20 0 BFADHN C[C@@H](NCCCF)c1ccncc1Cl ZINC000582719259 357370755 /nfs/dbraw/zinc/37/07/55/357370755.db2.gz ODJLQXASDYQOKI-MRVPVSSYSA-N 0 3 216.687 2.745 20 0 BFADHN CC(C)[C@@H](CO)CNCc1cc2ccccc2o1 ZINC000394020694 357315074 /nfs/dbraw/zinc/31/50/74/357315074.db2.gz BTQVBZBLKGYLLN-CYBMUJFWSA-N 0 3 247.338 2.787 20 0 BFADHN C[C@H](NCc1nccn1C)[C@H]1CC2CCC1CC2 ZINC000381484262 357346482 /nfs/dbraw/zinc/34/64/82/357346482.db2.gz FZKVVDKHRTVNGW-GFJIZPEISA-N 0 3 247.386 2.725 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1nc(C)c(C)[nH]1 ZINC000582737156 357377506 /nfs/dbraw/zinc/37/75/06/357377506.db2.gz SOLZGRUATCHPRL-RKDXNWHRSA-N 0 3 209.337 2.551 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCN(CC3CC3)C2)o1 ZINC000157809985 538841140 /nfs/dbraw/zinc/84/11/40/538841140.db2.gz JDCOXHMZPJZBOK-TZMCWYRMSA-N 0 3 248.370 2.723 20 0 BFADHN C[C@@H](NCc1cocn1)[C@@H]1CC2CCC1CC2 ZINC000395177574 357416124 /nfs/dbraw/zinc/41/61/24/357416124.db2.gz JKTLLDPJZFKVCN-NPZBDFSRSA-N 0 3 234.343 2.979 20 0 BFADHN COCCC(C)(C)CNCc1ccc(C)cn1 ZINC000230862860 357574664 /nfs/dbraw/zinc/57/46/64/357574664.db2.gz JXXCQFIZOKINFH-UHFFFAOYSA-N 0 3 236.359 2.542 20 0 BFADHN COC(C)(C)CCN[C@H](C)c1nc(C)cs1 ZINC000230417547 357524256 /nfs/dbraw/zinc/52/42/56/357524256.db2.gz PBWVRHWIGCKLEI-SNVBAGLBSA-N 0 3 242.388 2.917 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H](C)C1)c1nccn1C ZINC000230412101 357541438 /nfs/dbraw/zinc/54/14/38/357541438.db2.gz JSXJBIMHEAIUKM-GRYCIOLGSA-N 0 3 221.348 2.507 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H]1C)c1nccn1C ZINC000230664715 357546665 /nfs/dbraw/zinc/54/66/65/357546665.db2.gz TWRMBLYPNJRJAX-SDDRHHMPSA-N 0 3 221.348 2.507 20 0 BFADHN CC/C=C\CCN1CCS[C@@H]2COCC[C@H]21 ZINC000588476123 538851564 /nfs/dbraw/zinc/85/15/64/538851564.db2.gz YXKSQPVSYCSGHB-FGTRRKKMSA-N 0 3 241.400 2.549 20 0 BFADHN Cc1ccc(O)c(CN[C@H](C)CC(F)(F)F)n1 ZINC000231751324 357624818 /nfs/dbraw/zinc/62/48/18/357624818.db2.gz GLCIUKOWWCPDOA-MRVPVSSYSA-N 0 3 248.248 2.526 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@H](C)CC(F)(F)F)n1 ZINC000231751324 357624821 /nfs/dbraw/zinc/62/48/21/357624821.db2.gz GLCIUKOWWCPDOA-MRVPVSSYSA-N 0 3 248.248 2.526 20 0 BFADHN C[C@H]1CCC[C@H](C)N1CCOCC(F)F ZINC000189250961 357625099 /nfs/dbraw/zinc/62/50/99/357625099.db2.gz HZNZKSNLHNLKNF-UWVGGRQHSA-N 0 3 221.291 2.531 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1C[C@H](O)CC(F)(F)F ZINC000189251099 357625291 /nfs/dbraw/zinc/62/52/91/357625291.db2.gz DCPVZGKWECGIIK-KXUCPTDWSA-N 0 3 239.281 2.563 20 0 BFADHN Fc1cncc(CNCCC2CCCC2)c1 ZINC000230938450 357580885 /nfs/dbraw/zinc/58/08/85/357580885.db2.gz JTARHPMRXJULCH-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN COc1ccc(CNC2CC(C)C2)c(F)c1 ZINC000231789095 357629514 /nfs/dbraw/zinc/62/95/14/357629514.db2.gz OVIOFVSXHVVQPW-UHFFFAOYSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1CC[C@H](OC)C1 ZINC000231678615 357619764 /nfs/dbraw/zinc/61/97/64/357619764.db2.gz VBFVKPTXCIPEHC-KBPBESRZSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)C[C@@H](C)CN[C@H](C)c1ccn(C)n1 ZINC000459771638 357662884 /nfs/dbraw/zinc/66/28/84/357662884.db2.gz ZANAYAUDNSOVGL-VXGBXAGGSA-N 0 3 223.364 2.753 20 0 BFADHN C[C@H](CO)N(C)C/C=C/c1ccc(Cl)cc1 ZINC000189751161 357667054 /nfs/dbraw/zinc/66/70/54/357667054.db2.gz XUYIVKZDPJSZDL-PGLGOXFNSA-N 0 3 239.746 2.666 20 0 BFADHN CC(C)C[C@@H](C)NCc1cn(C(C)(C)C)nn1 ZINC000189942399 357676445 /nfs/dbraw/zinc/67/64/45/357676445.db2.gz JTJIZHLFZNCHTO-LLVKDONJSA-N 0 3 238.379 2.557 20 0 BFADHN C[C@@H](NCc1nn(C)c2ccccc12)C1CCC1 ZINC000160167151 538882271 /nfs/dbraw/zinc/88/22/71/538882271.db2.gz PURSXBNLQCITSF-LLVKDONJSA-N 0 3 243.354 2.852 20 0 BFADHN CCC(C)(C)CNCc1ccoc1C(=O)OC ZINC000232916288 357684441 /nfs/dbraw/zinc/68/44/41/357684441.db2.gz GSHNICYOENODHL-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN C[C@H]1CC[C@@H](CN(C)Cc2ccc(F)cc2)O1 ZINC000190304074 357700278 /nfs/dbraw/zinc/70/02/78/357700278.db2.gz WZNWQUNUKUQKII-FZMZJTMJSA-N 0 3 237.318 2.825 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CC[C@H](C)O2)cc1 ZINC000190325757 357701287 /nfs/dbraw/zinc/70/12/87/357701287.db2.gz JDDHPXVKQSXPFO-ZFWWWQNUSA-N 0 3 233.355 2.994 20 0 BFADHN COCCC(C)(C)NCc1cccc(F)c1F ZINC000397858076 357737455 /nfs/dbraw/zinc/73/74/55/357737455.db2.gz CAIMMGXLYNGMGZ-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CCOC[C@H](NCc1cccc(C)n1)C(C)C ZINC000233779454 357747070 /nfs/dbraw/zinc/74/70/70/357747070.db2.gz OYQVADFISSIWJK-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN CCOC[C@H](NCc1ccc(C)cn1)C(C)C ZINC000233778565 357747646 /nfs/dbraw/zinc/74/76/46/357747646.db2.gz GBQPOOTXFNXVEP-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN CCCOc1cccc(CNC[C@H](C)OC)c1 ZINC000191206266 357757805 /nfs/dbraw/zinc/75/78/05/357757805.db2.gz MRKBRFVWRMJHTK-LBPRGKRZSA-N 0 3 237.343 2.600 20 0 BFADHN CCCOc1cccc(CNC[C@@H](C)OC)c1 ZINC000191206256 357758163 /nfs/dbraw/zinc/75/81/63/357758163.db2.gz MRKBRFVWRMJHTK-GFCCVEGCSA-N 0 3 237.343 2.600 20 0 BFADHN CCCC[C@@H](NCc1ncccn1)C1CCC1 ZINC000192177629 357824907 /nfs/dbraw/zinc/82/49/07/357824907.db2.gz WXANXDYPWGXCCK-CYBMUJFWSA-N 0 3 233.359 2.925 20 0 BFADHN CC[C@H](C(=O)OC(C)(C)C)N1CCC[C@@H]1C ZINC000192050092 357815065 /nfs/dbraw/zinc/81/50/65/357815065.db2.gz IKHWXFLGKITHQR-WDEREUQCSA-N 0 3 227.348 2.591 20 0 BFADHN CC(C)=CCNCc1cnc2c(C)cccn12 ZINC000191628821 357779856 /nfs/dbraw/zinc/77/98/56/357779856.db2.gz IXGJITGTZSOXDZ-UHFFFAOYSA-N 0 3 229.327 2.699 20 0 BFADHN CCC(=O)CCN1CCC(c2ccncc2)CC1 ZINC000191673541 357783150 /nfs/dbraw/zinc/78/31/50/357783150.db2.gz OMZKDBASFITCIU-UHFFFAOYSA-N 0 3 246.354 2.630 20 0 BFADHN CC[C@H]1CCCC[C@H]1N(C)Cc1ncccn1 ZINC000192214671 357834361 /nfs/dbraw/zinc/83/43/61/357834361.db2.gz XJVRMHHZTYLCRW-QWHCGFSZSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1cc([C@@H](C)NCCC(C)(C)O)c(C)o1 ZINC000086235736 357873817 /nfs/dbraw/zinc/87/38/17/357873817.db2.gz BRSAFYOPAVVJAP-SNVBAGLBSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@H](C)NCCC(C)(C)O)c(C)o1 ZINC000086235738 357874398 /nfs/dbraw/zinc/87/43/98/357874398.db2.gz BRSAFYOPAVVJAP-JTQLQIEISA-N 0 3 225.332 2.708 20 0 BFADHN CC1(C)C(NCc2cccc(O)c2)C1(C)C ZINC000086239593 357874955 /nfs/dbraw/zinc/87/49/55/357874955.db2.gz RRNVMAKJUWXFJN-UHFFFAOYSA-N 0 3 219.328 2.916 20 0 BFADHN CC1(C)CN([C@@H]2CCC[C@H]2O)[C@@H]1c1ccco1 ZINC000639930025 357890219 /nfs/dbraw/zinc/89/02/19/357890219.db2.gz RYRLZDHHYLXREA-NQBHXWOUSA-N 0 3 235.327 2.576 20 0 BFADHN CC(C)[C@H](O)CN1CC(C)(C)[C@@H]1c1ccco1 ZINC000639930431 357908706 /nfs/dbraw/zinc/90/87/06/357908706.db2.gz WWZXOYMTQFAITK-YPMHNXCESA-N 0 3 237.343 2.679 20 0 BFADHN CC(C)[C@@H](O)CN1CC(C)(C)[C@@H]1c1ccco1 ZINC000639930425 357908760 /nfs/dbraw/zinc/90/87/60/357908760.db2.gz WWZXOYMTQFAITK-AAEUAGOBSA-N 0 3 237.343 2.679 20 0 BFADHN c1cc(CN[C@@H]2[C@@H]3CCC[C@@H]32)n(C2CCCC2)n1 ZINC000583764498 357938557 /nfs/dbraw/zinc/93/85/57/357938557.db2.gz KVAGLYADUNCQFA-QDMKHBRRSA-N 0 3 245.370 2.886 20 0 BFADHN Cc1ccc(CN2CC[C@@H](O)C[C@H]2C)cc1C ZINC000639938854 357945613 /nfs/dbraw/zinc/94/56/13/357945613.db2.gz NJYMNNJDHCNIOY-UKRRQHHQSA-N 0 3 233.355 2.649 20 0 BFADHN C[C@H](CNCc1ccno1)c1ccccc1 ZINC000219371857 357995022 /nfs/dbraw/zinc/99/50/22/357995022.db2.gz WZOOQKANNUQMFE-LLVKDONJSA-N 0 3 216.284 2.568 20 0 BFADHN C[C@@H](CNCc1ccno1)c1ccccc1 ZINC000219371775 357995634 /nfs/dbraw/zinc/99/56/34/357995634.db2.gz WZOOQKANNUQMFE-NSHDSACASA-N 0 3 216.284 2.568 20 0 BFADHN CCC[C@H](O)CN1CCC[C@H]1c1cccc(C)n1 ZINC000584510569 358011853 /nfs/dbraw/zinc/01/18/53/358011853.db2.gz SAMPDKUSAFKIMM-ZFWWWQNUSA-N 0 3 248.370 2.688 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@H](C)CC(C)C ZINC000639970396 358023237 /nfs/dbraw/zinc/02/32/37/358023237.db2.gz FFTQUAWMFHBXIM-LLVKDONJSA-N 0 3 223.364 2.832 20 0 BFADHN CCCCOCCN1CCC(CCF)CC1 ZINC000639989027 358027909 /nfs/dbraw/zinc/02/79/09/358027909.db2.gz RLQHOQZPXWYTQU-UHFFFAOYSA-N 0 3 231.355 2.875 20 0 BFADHN Cc1n[nH]cc1CN(C)CCCC1CCC1 ZINC000639972883 358031433 /nfs/dbraw/zinc/03/14/33/358031433.db2.gz YJTKNARIZVEJNI-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN Cc1ncc([C@@H](C)NC[C@](C)(O)C(C)C)s1 ZINC000090409358 491120306 /nfs/dbraw/zinc/12/03/06/491120306.db2.gz RZHVOUQYODQHNF-SKDRFNHKSA-N 0 3 242.388 2.509 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1ccc(C)cc1OC ZINC000037196284 358060189 /nfs/dbraw/zinc/06/01/89/358060189.db2.gz IGGRJSPTLCMKJK-NEPJUHHUSA-N 0 3 237.343 2.689 20 0 BFADHN CCCCCC(=O)Nc1cccc2c1CCNC2 ZINC000037372699 358077999 /nfs/dbraw/zinc/07/79/99/358077999.db2.gz NIEVFZBVBPUHNL-UHFFFAOYSA-N 0 3 246.354 2.851 20 0 BFADHN CC(C)CCC(=O)Nc1cccc2c1CCNC2 ZINC000037372716 358078571 /nfs/dbraw/zinc/07/85/71/358078571.db2.gz QFZHMTRSMWHQSS-UHFFFAOYSA-N 0 3 246.354 2.707 20 0 BFADHN CC(C)c1ccc(NC(=O)CNC2CC2)cc1 ZINC000037386652 358078803 /nfs/dbraw/zinc/07/88/03/358078803.db2.gz MGQYLAQPNHXWFX-UHFFFAOYSA-N 0 3 232.327 2.501 20 0 BFADHN CC(C)NCC(=O)Nc1ccccc1C(C)(C)C ZINC000037389701 358079140 /nfs/dbraw/zinc/07/91/40/358079140.db2.gz XGVQKGOPECHBPA-UHFFFAOYSA-N 0 3 248.370 2.921 20 0 BFADHN CCn1ccnc1CNCCC1CCCC1 ZINC000051923558 358101443 /nfs/dbraw/zinc/10/14/43/358101443.db2.gz DDTMERCGOJREDI-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CCn1ccnc1CNC[C@H]1CCCC[C@@H]1C ZINC000051924200 358102401 /nfs/dbraw/zinc/10/24/01/358102401.db2.gz RKHUKYOZVBFOFB-QWHCGFSZSA-N 0 3 235.375 2.819 20 0 BFADHN Cc1cc(CN[C@@H](C)CCC(F)(F)F)ncn1 ZINC000680334516 491122403 /nfs/dbraw/zinc/12/24/03/491122403.db2.gz KSMORGLYWSFPHE-QMMMGPOBSA-N 0 3 247.264 2.606 20 0 BFADHN Cc1cc(OCCOC2CCC2)c(C)c(C)n1 ZINC000584731292 358168241 /nfs/dbraw/zinc/16/82/41/358168241.db2.gz VCCLUHRFLFJZIE-UHFFFAOYSA-N 0 3 235.327 2.955 20 0 BFADHN COCCCCN1CCC[C@@H](C(F)(F)F)C1 ZINC000172173530 134262563 /nfs/dbraw/zinc/26/25/63/134262563.db2.gz NUHAOYKBJSQOOO-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN Cc1ccc(CNCC2(C(C)C)CC2)nc1 ZINC000134399613 325357286 /nfs/dbraw/zinc/35/72/86/325357286.db2.gz ZTYRWYZDXGEIGF-UHFFFAOYSA-N 0 3 218.344 2.916 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1cnc(C)s1 ZINC000090417627 491126537 /nfs/dbraw/zinc/12/65/37/491126537.db2.gz LFEGZNOJYRETRW-WPRPVWTQSA-N 0 3 228.361 2.527 20 0 BFADHN Cc1ncc([C@@H](C)NC[C@H]2CCCS2)s1 ZINC000090419272 491126638 /nfs/dbraw/zinc/12/66/38/491126638.db2.gz FXUODCAMEMVSDQ-PSASIEDQSA-N 0 3 242.413 2.998 20 0 BFADHN Cc1ncc([C@@H](C)NCC(C)(C)CCO)s1 ZINC000090418495 491126648 /nfs/dbraw/zinc/12/66/48/491126648.db2.gz OQEGARJBBITAMG-SECBINFHSA-N 0 3 242.388 2.511 20 0 BFADHN Cc1c([C@@H](C)NCC2=CCCCC2)cnn1C ZINC000450722577 202246691 /nfs/dbraw/zinc/24/66/91/202246691.db2.gz CWQWUOAZHKSODO-LLVKDONJSA-N 0 3 233.359 2.880 20 0 BFADHN CCO[C@H]1CCN(c2ccnc(CC)c2)C[C@@H]1C ZINC000450747221 202253550 /nfs/dbraw/zinc/25/35/50/202253550.db2.gz NRMVVHWFHGOKLY-WFASDCNBSA-N 0 3 248.370 2.895 20 0 BFADHN Cc1ccccc1CN1CCSC[C@H]1C ZINC000172593776 134268494 /nfs/dbraw/zinc/26/84/94/134268494.db2.gz LAIGGOFQNWAIPX-GFCCVEGCSA-N 0 3 221.369 2.932 20 0 BFADHN C[C@H]1Cc2ccccc2CN1CCOCC1CC1 ZINC000172905826 134270645 /nfs/dbraw/zinc/27/06/45/134270645.db2.gz NGJFWWJAIAUVCX-ZDUSSCGKSA-N 0 3 245.366 2.860 20 0 BFADHN C1=C(CCN2CCO[C@H](C3CC3)C2)CCCC1 ZINC000450758903 202259516 /nfs/dbraw/zinc/25/95/16/202259516.db2.gz JSWIAXYMAQEXLE-HNNXBMFYSA-N 0 3 235.371 2.988 20 0 BFADHN CCCCCN1CCC(=O)CC12CCC2 ZINC000578203531 366389320 /nfs/dbraw/zinc/38/93/20/366389320.db2.gz VHBMBUXZJBIYIE-UHFFFAOYSA-N 0 3 209.333 2.764 20 0 BFADHN CC(C)[C@H]1CCCC[C@H]1NCc1ccno1 ZINC000227016038 358347635 /nfs/dbraw/zinc/34/76/35/358347635.db2.gz RUTKPXHWQDOYFQ-CHWSQXEVSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H](NCc1ccno1)C1CCCCC1 ZINC000230614324 358408847 /nfs/dbraw/zinc/40/88/47/358408847.db2.gz PTPWNXPKJFJKBV-SNVBAGLBSA-N 0 3 208.305 2.733 20 0 BFADHN c1ccc(CN2CCC3(CCCC3)C2)nc1 ZINC000174264027 134279832 /nfs/dbraw/zinc/27/98/32/134279832.db2.gz IYVNGXLMNSWKFL-UHFFFAOYSA-N 0 3 216.328 2.848 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1ccno1 ZINC000230972992 358413585 /nfs/dbraw/zinc/41/35/85/358413585.db2.gz JAHQWTXAGQWAGL-ZYHUDNBSSA-N 0 3 222.332 2.979 20 0 BFADHN CSCCN1CCOc2ccccc2[C@@H]1C ZINC000610976848 358419609 /nfs/dbraw/zinc/41/96/09/358419609.db2.gz ZNNQJPMJISABGX-NSHDSACASA-N 0 3 237.368 2.805 20 0 BFADHN C[C@H](N[C@H]1CC1(C)C)c1ccc2c(c1)OCO2 ZINC000045116010 358559730 /nfs/dbraw/zinc/55/97/30/358559730.db2.gz FBWUENLVSDOHPF-ZANVPECISA-N 0 3 233.311 2.864 20 0 BFADHN CCCC[C@@H](N)C(=O)NC1CCC(CC)CC1 ZINC000042582012 358527597 /nfs/dbraw/zinc/52/75/97/358527597.db2.gz ADZNTEPDJGEDLD-WXRRBKDZSA-N 0 3 240.391 2.589 20 0 BFADHN C[C@@H](CC1CCC1)NCc1ccccn1 ZINC000131919649 491134153 /nfs/dbraw/zinc/13/41/53/491134153.db2.gz HZYMSYSKWYMKLW-NSHDSACASA-N 0 3 204.317 2.750 20 0 BFADHN COc1ccc(CN[C@H](C)C2CC2)c(F)c1 ZINC000130731998 358642478 /nfs/dbraw/zinc/64/24/78/358642478.db2.gz WHQGNJPIDVUCRT-SECBINFHSA-N 0 3 223.291 2.722 20 0 BFADHN CCOC[C@@H](C)N[C@H]1CCc2cc(F)ccc21 ZINC000129974466 358602972 /nfs/dbraw/zinc/60/29/72/358602972.db2.gz ROWIMRHUHPKARZ-YGRLFVJLSA-N 0 3 237.318 2.828 20 0 BFADHN C[C@H](N[C@@H]1CSc2ccccc21)[C@H]1CCCO1 ZINC000130052958 358606493 /nfs/dbraw/zinc/60/64/93/358606493.db2.gz FOFRFIDEXLCCLK-CYZMBNFOSA-N 0 3 249.379 2.991 20 0 BFADHN CCCN(Cc1ccccc1C(=O)OC)C1CC1 ZINC000130132604 358610324 /nfs/dbraw/zinc/61/03/24/358610324.db2.gz YPEZDDPVZUYSTQ-UHFFFAOYSA-N 0 3 247.338 2.848 20 0 BFADHN CC[C@H]1CCCN1Cc1ccccc1C(=O)OC ZINC000130174939 358611843 /nfs/dbraw/zinc/61/18/43/358611843.db2.gz KOELBGKSTCRMGV-ZDUSSCGKSA-N 0 3 247.338 2.848 20 0 BFADHN CC1CCC(N2CCN(CCCF)CC2)CC1 ZINC000131980733 358702404 /nfs/dbraw/zinc/70/24/04/358702404.db2.gz AEBNGENPXVKVEO-UHFFFAOYSA-N 0 3 242.382 2.542 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1ccc(C)c(F)c1 ZINC000131049052 358658992 /nfs/dbraw/zinc/65/89/92/358658992.db2.gz RTAAKAAKCRUDOS-AAEUAGOBSA-N 0 3 239.334 2.946 20 0 BFADHN CCC[C@@H](CC)NC(=O)c1ccc2c(c1)CNC2 ZINC000131144224 358662477 /nfs/dbraw/zinc/66/24/77/358662477.db2.gz AACGTRADIPDFBR-CQSZACIVSA-N 0 3 246.354 2.598 20 0 BFADHN CCCC1CCC(NCc2nccn2C)CC1 ZINC000049545457 358694315 /nfs/dbraw/zinc/69/43/15/358694315.db2.gz LZYVZYIUFMAAJG-UHFFFAOYSA-N 0 3 235.375 2.869 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1cc(F)ccc1F ZINC000131832535 358695698 /nfs/dbraw/zinc/69/56/98/358695698.db2.gz HCOVAQCIFNWOQD-KOLCDFICSA-N 0 3 243.297 2.776 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1cccc(F)c1 ZINC000133061363 358744296 /nfs/dbraw/zinc/74/42/96/358744296.db2.gz XPSBVIDLBBRDBO-JTQLQIEISA-N 0 3 225.307 2.901 20 0 BFADHN OCCCN1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000051423089 358752578 /nfs/dbraw/zinc/75/25/78/358752578.db2.gz SCTYTVJYVKDVPD-CYBMUJFWSA-N 0 3 239.746 2.859 20 0 BFADHN CCOC[C@@H](C)NC/C=C/c1ccccc1 ZINC000051790374 358761058 /nfs/dbraw/zinc/76/10/58/358761058.db2.gz UADIPELAUHAZIV-UTSBKAFOSA-N 0 3 219.328 2.714 20 0 BFADHN CC[C@@H]1CCCN(Cc2cccnc2OC)C1 ZINC000176456594 134291628 /nfs/dbraw/zinc/29/16/28/134291628.db2.gz GAUVAJYFTFZSRQ-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN c1ccc(CNCCOC2CCCC2)cc1 ZINC000049948536 358707074 /nfs/dbraw/zinc/70/70/74/358707074.db2.gz UAGQBOOPEMEEMT-UHFFFAOYSA-N 0 3 219.328 2.735 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1nccn1CC ZINC000051922336 358768209 /nfs/dbraw/zinc/76/82/09/358768209.db2.gz WHTCQZRLMSXHJY-QWHCGFSZSA-N 0 3 235.375 2.961 20 0 BFADHN CCOC[C@@H](C)N[C@@H]1CCCc2occc21 ZINC000132366167 358720436 /nfs/dbraw/zinc/72/04/36/358720436.db2.gz FQZMVLWZGFPTCK-ZYHUDNBSSA-N 0 3 223.316 2.672 20 0 BFADHN CCCC[C@H](CC)CN[C@H](C)c1nncn1C ZINC000050418436 358729866 /nfs/dbraw/zinc/72/98/66/358729866.db2.gz OFZYHVQTCOJDOF-NEPJUHHUSA-N 0 3 238.379 2.682 20 0 BFADHN CCCC[C@@H](CC)CN[C@@H](C)c1nncn1C ZINC000050418431 358730289 /nfs/dbraw/zinc/73/02/89/358730289.db2.gz OFZYHVQTCOJDOF-NWDGAFQWSA-N 0 3 238.379 2.682 20 0 BFADHN C[C@@H](CO[C@@H]1CCOC1)N[C@@H](C)c1ccccc1 ZINC000132944134 358740143 /nfs/dbraw/zinc/74/01/43/358740143.db2.gz KERHDLLNXLRODN-KCQAQPDRSA-N 0 3 249.354 2.531 20 0 BFADHN Cc1noc(C)c1CN(C)C(C1CC1)C1CC1 ZINC000050989397 358740252 /nfs/dbraw/zinc/74/02/52/358740252.db2.gz WZKIRFUQHKKACQ-UHFFFAOYSA-N 0 3 234.343 2.912 20 0 BFADHN COCC[C@H](C)N[C@@H](C)c1nc(C)sc1C ZINC000081707741 491136216 /nfs/dbraw/zinc/13/62/16/491136216.db2.gz JQOWYJYSOXNXHT-IUCAKERBSA-N 0 3 242.388 2.836 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@]2(CCOC2)C1 ZINC000176286057 134290639 /nfs/dbraw/zinc/29/06/39/134290639.db2.gz YKDZNEHVNGRFTM-DOMZBBRYSA-N 0 3 249.329 2.999 20 0 BFADHN CC[C@H](C)NCc1ncccc1C(F)(F)F ZINC000132551329 491136772 /nfs/dbraw/zinc/13/67/72/491136772.db2.gz VUTWQRNIDJURIT-QMMMGPOBSA-N 0 3 232.249 2.989 20 0 BFADHN COCCCN1CCC[C@@H]1c1cccc(F)c1 ZINC000052455967 358782821 /nfs/dbraw/zinc/78/28/21/358782821.db2.gz ZBYFZEHNCHZRHA-CQSZACIVSA-N 0 3 237.318 2.999 20 0 BFADHN COCCN1CCC[C@H]1c1cccc(F)c1 ZINC000052455166 358782950 /nfs/dbraw/zinc/78/29/50/358782950.db2.gz YGRYMOBNCOFRRN-ZDUSSCGKSA-N 0 3 223.291 2.609 20 0 BFADHN CS[C@H]1CCCCN(Cc2ccccn2)C1 ZINC000134553441 358795167 /nfs/dbraw/zinc/79/51/67/358795167.db2.gz LLOSAFVUGAEPQE-ZDUSSCGKSA-N 0 3 236.384 2.799 20 0 BFADHN C[C@@H]1CN(CC2CCCCC2)C[C@H](C)O1 ZINC000052856674 358797114 /nfs/dbraw/zinc/79/71/14/358797114.db2.gz CAWQGCBXQFJFTR-TXEJJXNPSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)(CO)CNCc1ccsc1Cl ZINC000308499104 491136558 /nfs/dbraw/zinc/13/65/58/491136558.db2.gz FPMKJOFQRLMBTR-UHFFFAOYSA-N 0 3 233.764 2.510 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1cn2cc(Cl)ccc2n1 ZINC000134604680 358798905 /nfs/dbraw/zinc/79/89/05/358798905.db2.gz WEWOWAKZZOQZNY-VHSXEESVSA-N 0 3 249.745 2.733 20 0 BFADHN C[C@H](NC[C@@H]1C[C@@H]1C)c1nc2c(s1)CCC2 ZINC000134645675 358800550 /nfs/dbraw/zinc/80/05/50/358800550.db2.gz OFTZRWHYHKJDMK-GUBZILKMSA-N 0 3 236.384 2.938 20 0 BFADHN Cc1ccc(CN(C)CCOC(C)C)cc1 ZINC000052927516 358802283 /nfs/dbraw/zinc/80/22/83/358802283.db2.gz FEPLVYXKVCMIRT-UHFFFAOYSA-N 0 3 221.344 2.852 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNC2(CO)CCCC2)o1 ZINC000053044520 358806864 /nfs/dbraw/zinc/80/68/64/358806864.db2.gz CDMJZLPHROFPSI-WCQYABFASA-N 0 3 249.354 2.798 20 0 BFADHN CCN(CCOC)[C@H](C)c1ccc(F)cc1 ZINC000053088505 358808279 /nfs/dbraw/zinc/80/82/79/358808279.db2.gz QJXDWEHUXOKBBV-LLVKDONJSA-N 0 3 225.307 2.855 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1NCc1nccs1 ZINC000308514151 491136732 /nfs/dbraw/zinc/13/67/32/491136732.db2.gz LYNXIOMWEIEMGC-UWVGGRQHSA-N 0 3 210.346 2.667 20 0 BFADHN CC[C@@H](C)CNCc1cc(C(=O)OC)c(C)o1 ZINC000054468524 358864085 /nfs/dbraw/zinc/86/40/85/358864085.db2.gz ADTJKCRFSILEMH-SECBINFHSA-N 0 3 239.315 2.510 20 0 BFADHN COCC1(NCc2ccoc2C)CCCC1 ZINC000578248534 366470836 /nfs/dbraw/zinc/47/08/36/366470836.db2.gz KEUWLPGRBQYQEL-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN CN(CCC1CC1)Cc1cnc2ccccn12 ZINC000135312520 358831103 /nfs/dbraw/zinc/83/11/03/358831103.db2.gz BQLHTCRCGLQMAI-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CCCOc1cccc(CN[C@H]2CCO[C@@H]2C)c1 ZINC000135307918 358831519 /nfs/dbraw/zinc/83/15/19/358831519.db2.gz HZFGXICDGUNZBP-DOMZBBRYSA-N 0 3 249.354 2.742 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1Cc1nccn1C ZINC000135342866 358832705 /nfs/dbraw/zinc/83/27/05/358832705.db2.gz BKMKFLMHKAJCJA-CHWSQXEVSA-N 0 3 235.375 2.821 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1Cc1nnc(C)o1 ZINC000135338693 358832796 /nfs/dbraw/zinc/83/27/96/358832796.db2.gz JKQXDEJJRMDIPO-ZYHUDNBSSA-N 0 3 237.347 2.779 20 0 BFADHN CCCCCN(C)[C@@H]1CCCc2c1cnn2C ZINC000135594352 358845585 /nfs/dbraw/zinc/84/55/85/358845585.db2.gz VZKQUHYDEKFJMT-CYBMUJFWSA-N 0 3 235.375 2.920 20 0 BFADHN CN(Cc1ccsc1)C[C@H]1CCCC[C@@H]1O ZINC000053883294 358846562 /nfs/dbraw/zinc/84/65/62/358846562.db2.gz YDWLIAXBQBROHO-OLZOCXBDSA-N 0 3 239.384 2.731 20 0 BFADHN CC(C)CN1CCN(c2ccccc2F)CC1 ZINC000053944014 358847729 /nfs/dbraw/zinc/84/77/29/358847729.db2.gz VYXYCNGJNUMPAU-UHFFFAOYSA-N 0 3 236.334 2.604 20 0 BFADHN CCN(C)CCN[C@H](C)c1ccc(F)c(F)c1 ZINC000054109814 358853151 /nfs/dbraw/zinc/85/31/51/358853151.db2.gz DWBYTKQUSDAJEA-SNVBAGLBSA-N 0 3 242.313 2.567 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)CC1CCC1 ZINC000132687230 491137745 /nfs/dbraw/zinc/13/77/45/491137745.db2.gz ZEXZAMUWCNBEEK-VIFPVBQESA-N 0 3 222.332 2.960 20 0 BFADHN Cn1ccc(CN2CC[C@@]2(C)C2CCCCC2)n1 ZINC000640218518 358875190 /nfs/dbraw/zinc/87/51/90/358875190.db2.gz GYCYGDGCWCTACX-HNNXBMFYSA-N 0 3 247.386 2.965 20 0 BFADHN FC(F)Oc1ccc(CN2CCCC2)cc1 ZINC000055043094 358880818 /nfs/dbraw/zinc/88/08/18/358880818.db2.gz MBHDJAWZNUSZQC-UHFFFAOYSA-N 0 3 227.254 2.884 20 0 BFADHN C[C@@H](CCc1ccccc1)N1CCO[C@H](C)C1 ZINC000055900596 358893020 /nfs/dbraw/zinc/89/30/20/358893020.db2.gz QWIHLBGRBUGUCM-UONOGXRCSA-N 0 3 233.355 2.728 20 0 BFADHN C[C@]1(C2CCCCC2)CCN1Cc1c[nH]cn1 ZINC000640220582 358895097 /nfs/dbraw/zinc/89/50/97/358895097.db2.gz VMGYOYBYTFDSOV-CQSZACIVSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@]1(C2CCCCC2)CCN1Cc1cnc[nH]1 ZINC000640220582 358895098 /nfs/dbraw/zinc/89/50/98/358895098.db2.gz VMGYOYBYTFDSOV-CQSZACIVSA-N 0 3 233.359 2.954 20 0 BFADHN CCc1nnc(CN2CCC[C@@H]2C2CCCC2)o1 ZINC000056308619 358897267 /nfs/dbraw/zinc/89/72/67/358897267.db2.gz NRQDRZGAFQPYDU-GFCCVEGCSA-N 0 3 249.358 2.787 20 0 BFADHN CCn1c2ccccc2nc1SCCN(C)C ZINC000060479065 358925646 /nfs/dbraw/zinc/92/56/46/358925646.db2.gz CSQFJICUGSZWEM-UHFFFAOYSA-N 0 3 249.383 2.710 20 0 BFADHN C[C@@H]1CN(Cc2cccc(N)c2)C[C@@H](C)S1 ZINC000069908086 359035560 /nfs/dbraw/zinc/03/55/60/359035560.db2.gz PEZKQWOYWQLZCN-GHMZBOCLSA-N 0 3 236.384 2.595 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2ccco2)CCO1 ZINC000069861364 359035902 /nfs/dbraw/zinc/03/59/02/359035902.db2.gz MDIRWLWNBFZMSX-SDDRHHMPSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCCC1)c1cnccn1 ZINC000070025996 359038975 /nfs/dbraw/zinc/03/89/75/359038975.db2.gz RXPZTMSMZYGBPW-WDEREUQCSA-N 0 3 219.332 2.706 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2ccccn2)CCO1 ZINC000070345808 359050302 /nfs/dbraw/zinc/05/03/02/359050302.db2.gz YGHWCJHGGDJODN-RWMBFGLXSA-N 0 3 234.343 2.690 20 0 BFADHN c1c[nH]c(CN[C@@H]2CC[C@H]3CCCC[C@@H]3C2)n1 ZINC000070939266 359066132 /nfs/dbraw/zinc/06/61/32/359066132.db2.gz AZAVVXSEOGXLBH-JHJVBQTASA-N 0 3 233.359 2.858 20 0 BFADHN CCOC(=O)[C@@H]1CCCCN1CC1CCCC1 ZINC000071951630 359083108 /nfs/dbraw/zinc/08/31/08/359083108.db2.gz SPMDORGJVKLHHN-ZDUSSCGKSA-N 0 3 239.359 2.594 20 0 BFADHN CCc1ccc(NC(=O)[C@@H](C)N(CC)CC)cc1 ZINC000072590288 359087401 /nfs/dbraw/zinc/08/74/01/359087401.db2.gz VYHQBBJYJIOEHD-GFCCVEGCSA-N 0 3 248.370 2.918 20 0 BFADHN CCCCCCN1CCS[C@H]2COCC[C@H]21 ZINC000247039658 359188149 /nfs/dbraw/zinc/18/81/49/359188149.db2.gz RCMNHGUXHVBINA-OLZOCXBDSA-N 0 3 243.416 2.773 20 0 BFADHN C[C@@H]1CN(CCC2CCCC2)[C@@H](C)CO1 ZINC000247044152 359189794 /nfs/dbraw/zinc/18/97/94/359189794.db2.gz VMVSVUFPKVBINO-NWDGAFQWSA-N 0 3 211.349 2.676 20 0 BFADHN FC1(F)CC[C@H](CNCc2nccs2)C1 ZINC000308876345 491139373 /nfs/dbraw/zinc/13/93/73/491139373.db2.gz NPRGFSBGCFLUOG-QMMMGPOBSA-N 0 3 232.299 2.668 20 0 BFADHN FC(F)c1ccc(CNC2CSC2)cc1 ZINC000308868853 491139243 /nfs/dbraw/zinc/13/92/43/491139243.db2.gz IACMNBAGAPSGIN-UHFFFAOYSA-N 0 3 229.295 2.829 20 0 BFADHN CCCn1cc(CN2CC[C@H](C)[C@H]2C)cn1 ZINC000246783851 359164061 /nfs/dbraw/zinc/16/40/61/359164061.db2.gz QIAPLERUWZTBNS-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)C[C@H]2C)no1 ZINC000246812901 359168002 /nfs/dbraw/zinc/16/80/02/359168002.db2.gz FKFIRAXWNBVKEI-OPQQBVKSSA-N 0 3 222.332 2.897 20 0 BFADHN CCn1ccnc1CN1CC[C@@H](C)C[C@@H](C)C1 ZINC000246883355 359172422 /nfs/dbraw/zinc/17/24/22/359172422.db2.gz WVZUEBLQRHBNSD-CHWSQXEVSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@H](NC[C@]1(C)CCCC[C@H]1O)c1ccco1 ZINC000246955585 359177477 /nfs/dbraw/zinc/17/74/77/359177477.db2.gz HOUXFSUQWHJPGF-YUTCNCBUSA-N 0 3 237.343 2.871 20 0 BFADHN CCN1CCCC[C@@H]1CN[C@H](C)c1ccco1 ZINC000248126816 359254408 /nfs/dbraw/zinc/25/44/08/359254408.db2.gz OAHJNZUTBCBVOP-CHWSQXEVSA-N 0 3 236.359 2.805 20 0 BFADHN CCCCN(C)[C@H](C)C(=O)N1CCCCCC1 ZINC000248114693 359254652 /nfs/dbraw/zinc/25/46/52/359254652.db2.gz LAOOCEBLZKQSNQ-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@H]1CN(Cc2ccccc2C)C[C@@H](C)O1 ZINC000247402453 359219098 /nfs/dbraw/zinc/21/90/98/359219098.db2.gz BKGLMBALENKWMK-HIFRSBDPSA-N 0 3 233.355 2.994 20 0 BFADHN c1nn2c(c1CN1CCCC3(CC3)CC1)CCC2 ZINC000628480407 359317967 /nfs/dbraw/zinc/31/79/67/359317967.db2.gz YVHPATGUYRVHRR-UHFFFAOYSA-N 0 3 245.370 2.595 20 0 BFADHN CC[C@H]1CCCCN1CC(=O)NC(C)(C)CC ZINC000248297459 359261299 /nfs/dbraw/zinc/26/12/99/359261299.db2.gz YCPUUPDJFKLPBJ-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN COC[C@H](C)N1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000248366913 359271263 /nfs/dbraw/zinc/27/12/63/359271263.db2.gz SREQIPBGTLRMFS-MBNYWOFBSA-N 0 3 219.328 2.714 20 0 BFADHN Oc1ccc([C@H]2CCN(CC3(F)CC3)C2)cc1 ZINC000628481869 359358332 /nfs/dbraw/zinc/35/83/32/359358332.db2.gz WQPQSRQJXHMNIV-LBPRGKRZSA-N 0 3 235.302 2.684 20 0 BFADHN CC[C@@H](NC[C@H](O)C(C)C)c1nc(C)cs1 ZINC000292884265 359320712 /nfs/dbraw/zinc/32/07/12/359320712.db2.gz IPLZKOCNHVKDMG-MNOVXSKESA-N 0 3 242.388 2.509 20 0 BFADHN CCC[C@@H](C)CN1CCCCC[C@@H]1C(=O)OC ZINC000611606719 359400596 /nfs/dbraw/zinc/40/05/96/359400596.db2.gz NVZJMWPMLSWAQS-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN OC[C@@H](CC1CC1)NCc1cscc1Cl ZINC000308947177 491140906 /nfs/dbraw/zinc/14/09/06/491140906.db2.gz SPIYTXPMPCOSPK-SNVBAGLBSA-N 0 3 245.775 2.652 20 0 BFADHN CC[C@H](C)CN(CCOC)Cc1cccnc1 ZINC000191247927 359548254 /nfs/dbraw/zinc/54/82/54/359548254.db2.gz CDVUDFAWAGEAGK-ZDUSSCGKSA-N 0 3 236.359 2.576 20 0 BFADHN Cc1ccc(CNCC2=CCCCC2)nc1 ZINC000379578723 359552897 /nfs/dbraw/zinc/55/28/97/359552897.db2.gz FRAJJRCDRHTNKF-UHFFFAOYSA-N 0 3 216.328 2.980 20 0 BFADHN COc1ccc(CNCC2=CCCCC2)cn1 ZINC000379586189 359561337 /nfs/dbraw/zinc/56/13/37/359561337.db2.gz IWMZYRSLEFDUNZ-UHFFFAOYSA-N 0 3 232.327 2.680 20 0 BFADHN CC(C(=O)Nc1ccsc1)C(F)(F)F ZINC000080350041 359527724 /nfs/dbraw/zinc/52/77/24/359527724.db2.gz PVMROIZFGOSIRJ-RXMQYKEDSA-N 0 3 223.219 2.885 20 0 BFADHN CN[C@@H](C)C(=O)Nc1ccccc1CCC(C)C ZINC000580464132 359568991 /nfs/dbraw/zinc/56/89/91/359568991.db2.gz KFZSXAYLLGAEHZ-LBPRGKRZSA-N 0 3 248.370 2.822 20 0 BFADHN CC[C@H](N[C@@H](C)c1nc(C)cs1)C(C)(C)O ZINC000623989697 359578272 /nfs/dbraw/zinc/57/82/72/359578272.db2.gz FDHDVWNPAGVSER-UWVGGRQHSA-N 0 3 242.388 2.652 20 0 BFADHN c1ccc2c(c1)SCC[C@@H]2NC1CSC1 ZINC000309019546 491142479 /nfs/dbraw/zinc/14/24/79/491142479.db2.gz WFXWGEONTGLXJE-NSHDSACASA-N 0 3 237.393 2.929 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2c(C)cnn2C)C1 ZINC000628495399 359696811 /nfs/dbraw/zinc/69/68/11/359696811.db2.gz PVOKTPKSDNFIKI-WSNITJDQSA-N 0 3 247.386 2.907 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2c[nH]nc2C)C1 ZINC000628495176 359696985 /nfs/dbraw/zinc/69/69/85/359696985.db2.gz KFBDPPLIVIMFOK-SCOBNMCVSA-N 0 3 233.359 2.896 20 0 BFADHN Cc1cccc(CN([C@@H](C)CO)C2CCCC2)n1 ZINC000450807624 202271803 /nfs/dbraw/zinc/27/18/03/202271803.db2.gz GYDWOQSABQPKAU-ZDUSSCGKSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@]1(C)CN(C[C@@H]2CCC(F)(F)C2)CCO1 ZINC000450824301 202278373 /nfs/dbraw/zinc/27/83/73/202278373.db2.gz DOJJOVIMLYEZNF-VXGBXAGGSA-N 0 3 247.329 2.923 20 0 BFADHN CCC[C@H](O)CN1CCc2cc(C)ccc2C1 ZINC000450836812 202282737 /nfs/dbraw/zinc/28/27/37/202282737.db2.gz ROECQCIVDVJLEZ-HNNXBMFYSA-N 0 3 233.355 2.514 20 0 BFADHN CCC[C@@H](O)CN1CCc2cc(C)ccc2C1 ZINC000450836816 202283112 /nfs/dbraw/zinc/28/31/12/202283112.db2.gz ROECQCIVDVJLEZ-OAHLLOKOSA-N 0 3 233.355 2.514 20 0 BFADHN Oc1cccc2c1CCC[C@H]2N[C@@H]1CCSC1 ZINC000191638267 359734488 /nfs/dbraw/zinc/73/44/88/359734488.db2.gz XVRAKPKGMKFYGY-ZWNOBZJWSA-N 0 3 249.379 2.865 20 0 BFADHN C[C@H]1COC(C)(C)CN1C[C@H]1CCC(F)(F)C1 ZINC000450849722 202288367 /nfs/dbraw/zinc/28/83/67/202288367.db2.gz UMXGKEBNKLLWGF-QWRGUYRKSA-N 0 3 247.329 2.921 20 0 BFADHN COCC(C)(C)NCc1cc(F)ccc1C ZINC000163238141 202306534 /nfs/dbraw/zinc/30/65/34/202306534.db2.gz YPNKHNDTIDOHJH-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN CCn1nccc1CN(C)CC12CCC(CC1)C2 ZINC000450866361 202293299 /nfs/dbraw/zinc/29/32/99/202293299.db2.gz FTXQUKVELCLXHG-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CN(C)CCSC[C@H]1CCC(F)(F)C1 ZINC000450874760 202295093 /nfs/dbraw/zinc/29/50/93/202295093.db2.gz VEQMBLWNCMNTOU-VIFPVBQESA-N 0 3 223.332 2.717 20 0 BFADHN CCC[C@H](O)CN1CCC(C)=C(c2ccco2)C1 ZINC000450942045 202315878 /nfs/dbraw/zinc/31/58/78/202315878.db2.gz UAMGQLZIICFHDT-ZDUSSCGKSA-N 0 3 249.354 2.920 20 0 BFADHN CCc1cc(N2CCC[C@H](COC)CC2)ccn1 ZINC000450951649 202319624 /nfs/dbraw/zinc/31/96/24/202319624.db2.gz YAUUBDFOVQOLMX-ZDUSSCGKSA-N 0 3 248.370 2.897 20 0 BFADHN CCc1cc(N2CCC[C@@H](COC)CC2)ccn1 ZINC000450951648 202320182 /nfs/dbraw/zinc/32/01/82/202320182.db2.gz YAUUBDFOVQOLMX-CYBMUJFWSA-N 0 3 248.370 2.897 20 0 BFADHN CCC(=O)CCCN1CCC(F)(F)[C@H](C)C1 ZINC000451039637 202334369 /nfs/dbraw/zinc/33/43/69/202334369.db2.gz DLEHZNYVLKEQPR-SNVBAGLBSA-N 0 3 233.302 2.723 20 0 BFADHN CC1(NCc2cc3cccnc3o2)CCC1 ZINC000578411314 366559003 /nfs/dbraw/zinc/55/90/03/366559003.db2.gz CRZVKWHAQLCHEP-UHFFFAOYSA-N 0 3 216.284 2.860 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1c2ccccc2C[C@@H]1C ZINC000578410297 366559204 /nfs/dbraw/zinc/55/92/04/366559204.db2.gz HMWCBVKIUXJSDK-HPEDKQMDSA-N 0 3 231.339 2.687 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1c2ccccc2C[C@H]1C ZINC000578410295 366559682 /nfs/dbraw/zinc/55/96/82/366559682.db2.gz HMWCBVKIUXJSDK-AQNFWKISSA-N 0 3 231.339 2.687 20 0 BFADHN C[C@@H]1CCc2ccccc2[C@H]1NCCF ZINC000309114298 491144930 /nfs/dbraw/zinc/14/49/30/491144930.db2.gz KSVCXTOTFUFMFO-MFKMUULPSA-N 0 3 207.292 2.869 20 0 BFADHN C[C@H]1CCc2ccccc2[C@@H]1NCCF ZINC000309114296 491144949 /nfs/dbraw/zinc/14/49/49/491144949.db2.gz KSVCXTOTFUFMFO-GXFFZTMASA-N 0 3 207.292 2.869 20 0 BFADHN CC[C@@H](Cc1ccccc1)NCc1cnccn1 ZINC000578495633 366578916 /nfs/dbraw/zinc/57/89/16/366578916.db2.gz KRMKKIZERPESJA-AWEZNQCLSA-N 0 3 241.338 2.588 20 0 BFADHN COCCSCCCN1CCC[C@](C)(F)C1 ZINC000451110020 202354374 /nfs/dbraw/zinc/35/43/74/202354374.db2.gz BJOCQSCFVYGHGW-LBPRGKRZSA-N 0 3 249.395 2.580 20 0 BFADHN CC1(C)CC[C@H](CN2CCC[C@](C)(F)C2)O1 ZINC000451113394 202353251 /nfs/dbraw/zinc/35/32/51/202353251.db2.gz JRZAZYUFTQFROU-YPMHNXCESA-N 0 3 229.339 2.768 20 0 BFADHN COC[C@@H]1CCN1CCc1ccc(Cl)cc1 ZINC000451069749 202346807 /nfs/dbraw/zinc/34/68/07/202346807.db2.gz MNAWQKJVRZGALZ-ZDUSSCGKSA-N 0 3 239.746 2.603 20 0 BFADHN CC[C@H](CO)N(C)Cc1c(C)cccc1Cl ZINC000451160207 202366620 /nfs/dbraw/zinc/36/66/20/202366620.db2.gz UBLZQHBRCIVIED-LLVKDONJSA-N 0 3 241.762 2.851 20 0 BFADHN Cc1c(CNCc2c(C)cccc2C)cnn1C ZINC000163310778 202367464 /nfs/dbraw/zinc/36/74/64/202367464.db2.gz FFAXYQSIQIBROV-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN F[C@H]1CCN(CCCc2ccccc2)C1 ZINC000451180107 202369283 /nfs/dbraw/zinc/36/92/83/202369283.db2.gz CFIROWNODWYSBK-ZDUSSCGKSA-N 0 3 207.292 2.663 20 0 BFADHN CC(C)N(CCCOc1ccccc1)C1COC1 ZINC000451120110 202357364 /nfs/dbraw/zinc/35/73/64/202357364.db2.gz JHFUKUXWQINJMK-UHFFFAOYSA-N 0 3 249.354 2.565 20 0 BFADHN CC(C)CC[C@@H](O)CN1CCC[C@](C)(F)C1 ZINC000451118371 202358050 /nfs/dbraw/zinc/35/80/50/202358050.db2.gz XQSISLCDFCXEFZ-OLZOCXBDSA-N 0 3 231.355 2.608 20 0 BFADHN FC(F)(F)CCCCN(C1CC1)C1COC1 ZINC000451123230 202359198 /nfs/dbraw/zinc/35/91/98/202359198.db2.gz CHBLAIMIPYQZDY-UHFFFAOYSA-N 0 3 237.265 2.582 20 0 BFADHN FC1(F)CCC[C@@H](CN(C2CC2)C2COC2)C1 ZINC000451123264 202361113 /nfs/dbraw/zinc/36/11/13/202361113.db2.gz CNNASCLNYLLLHA-SNVBAGLBSA-N 0 3 245.313 2.675 20 0 BFADHN C(=C\c1ccccc1)\CCN(C1CC1)C1COC1 ZINC000451125343 202361132 /nfs/dbraw/zinc/36/11/32/202361132.db2.gz LDLCFWDRWITSGA-YWEYNIOJSA-N 0 3 243.350 2.953 20 0 BFADHN Cc1cscc1CN[C@H]1CCSC1 ZINC000087309114 359906408 /nfs/dbraw/zinc/90/64/08/359906408.db2.gz LKFBPKACVJHGDF-JTQLQIEISA-N 0 3 213.371 2.652 20 0 BFADHN COc1ccccc1[C@@H](C)NCC1(O)CCCC1 ZINC000087016658 359894738 /nfs/dbraw/zinc/89/47/38/359894738.db2.gz PXYJMCJNMHGEEN-GFCCVEGCSA-N 0 3 249.354 2.651 20 0 BFADHN Cc1nnc(CN2CCC[C@@]2(C)CC(C)C)o1 ZINC000451291427 202396381 /nfs/dbraw/zinc/39/63/81/202396381.db2.gz OQIGRBJVMJORRQ-ZDUSSCGKSA-N 0 3 237.347 2.779 20 0 BFADHN COC[C@H](N[C@@H](C)c1nc(C)cs1)C(C)C ZINC000087480869 359914574 /nfs/dbraw/zinc/91/45/74/359914574.db2.gz SSTWYGAAXJUEOG-QWRGUYRKSA-N 0 3 242.388 2.773 20 0 BFADHN CSCCN(C)C[C@@H]1CCC2(CCCC2)O1 ZINC000578573545 366600599 /nfs/dbraw/zinc/60/05/99/366600599.db2.gz XPTXCKQSEKVXNI-LBPRGKRZSA-N 0 3 243.416 2.773 20 0 BFADHN COc1ccccc1[C@@H](CO)NC1CC(C)(C)C1 ZINC000578588170 366601840 /nfs/dbraw/zinc/60/18/40/366601840.db2.gz RQBODTMYWRKBJB-CYBMUJFWSA-N 0 3 249.354 2.507 20 0 BFADHN CCC1(CNCc2ccc3c(n2)CCC3)CC1 ZINC000578602194 366605662 /nfs/dbraw/zinc/60/56/62/366605662.db2.gz XBFZBXMUYBMACN-UHFFFAOYSA-N 0 3 230.355 2.850 20 0 BFADHN C1CN[C@H](c2nc(C3CCCCCC3)no2)C1 ZINC000087945444 359932113 /nfs/dbraw/zinc/93/21/13/359932113.db2.gz ARWBPLHKILEHDS-NSHDSACASA-N 0 3 235.331 2.932 20 0 BFADHN C[C@H](N[C@H]1CCC[C@H]1O)c1ccccc1Cl ZINC000088162611 359939665 /nfs/dbraw/zinc/93/96/65/359939665.db2.gz JZNPTADGDVRKEG-TVYUQYBPSA-N 0 3 239.746 2.904 20 0 BFADHN Cc1ccccc1CNC1(CF)CCC1 ZINC000578748027 366638124 /nfs/dbraw/zinc/63/81/24/366638124.db2.gz DTMGNZNHNDBRQG-UHFFFAOYSA-N 0 3 207.292 2.977 20 0 BFADHN CCCCN(C)[C@@H](C)c1ccc(C(=O)OC)o1 ZINC000088263978 359943998 /nfs/dbraw/zinc/94/39/98/359943998.db2.gz DOIFSERUWXSXPA-JTQLQIEISA-N 0 3 239.315 2.859 20 0 BFADHN Cc1cc(CN2C[C@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)no1 ZINC000451361081 202407202 /nfs/dbraw/zinc/40/72/02/202407202.db2.gz GGBAVRHVVOXPCL-DHMWGJHJSA-N 0 3 244.338 2.627 20 0 BFADHN CCN1CCN(CC[C@H]2CCC[C@H](C)C2)CC1 ZINC000089100049 359989633 /nfs/dbraw/zinc/98/96/33/359989633.db2.gz HVZNDZSGQIGBEC-LSDHHAIUSA-N 0 3 238.419 2.840 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2cccs2)n(C)n1 ZINC000089184014 359998184 /nfs/dbraw/zinc/99/81/84/359998184.db2.gz AYZUVEYASPHQGN-SNVBAGLBSA-N 0 3 249.383 2.511 20 0 BFADHN CCc1ccc(CN[C@@H](COC)C(C)C)o1 ZINC000083398260 325567696 /nfs/dbraw/zinc/56/76/96/325567696.db2.gz SWYNOGGUZCFVIP-ZDUSSCGKSA-N 0 3 225.332 2.603 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCc3n[nH]cc3C2)o1 ZINC000090453936 360073338 /nfs/dbraw/zinc/07/33/38/360073338.db2.gz IYXLITFCBKKDJO-CMPLNLGQSA-N 0 3 245.326 2.519 20 0 BFADHN CC[C@@H](N[C@@H]1CCOC1)c1cc(C)ccc1OC ZINC000090774136 360086631 /nfs/dbraw/zinc/08/66/31/360086631.db2.gz YESFJSUAPHWNCN-TZMCWYRMSA-N 0 3 249.354 2.833 20 0 BFADHN C[C@H]1C[C@H](Nc2nc3ccccc3o2)CCN1C ZINC000090795269 360088577 /nfs/dbraw/zinc/08/85/77/360088577.db2.gz MIEFVQXXQIDVFU-WDEREUQCSA-N 0 3 245.326 2.722 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccccn1)[C@@H]1CCCO1 ZINC000090857990 360091016 /nfs/dbraw/zinc/09/10/16/360091016.db2.gz OPSRDUXNMPBJJZ-OBJOEFQTSA-N 0 3 234.343 2.690 20 0 BFADHN COCCOc1cccc(CN2CCCCC2)c1 ZINC000092106953 360153202 /nfs/dbraw/zinc/15/32/02/360153202.db2.gz ANOAQRNILTWJRV-UHFFFAOYSA-N 0 3 249.354 2.698 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cccnc1C ZINC000091796752 360130460 /nfs/dbraw/zinc/13/04/60/360130460.db2.gz ROZOVRAJBODJJW-LLVKDONJSA-N 0 3 206.333 2.868 20 0 BFADHN C[C@@H]1CCCN(C[C@H]2COc3ccccc3O2)C1 ZINC000612867230 360130943 /nfs/dbraw/zinc/13/09/43/360130943.db2.gz SEBCNUZREVPPBF-OLZOCXBDSA-N 0 3 247.338 2.558 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)[C@H]2C)c(OC)c1 ZINC000091859167 360133017 /nfs/dbraw/zinc/13/30/17/360133017.db2.gz XKNQGYNSBKJTQP-VXGBXAGGSA-N 0 3 249.354 2.934 20 0 BFADHN COc1cc(CN2CC[C@@H](C)[C@H]2C)cc(OC)c1 ZINC000091859778 360133098 /nfs/dbraw/zinc/13/30/98/360133098.db2.gz HDLCWMODANQBHJ-VXGBXAGGSA-N 0 3 249.354 2.934 20 0 BFADHN CC[C@H]1CCN(Cc2cnc3cc(C)ccn23)C1 ZINC000091929577 360136686 /nfs/dbraw/zinc/13/66/86/360136686.db2.gz HGXYJUPUUYUJQH-ZDUSSCGKSA-N 0 3 243.354 2.875 20 0 BFADHN CC[C@H]1CCN(Cc2ccc(OC)cc2OC)C1 ZINC000091929099 360136739 /nfs/dbraw/zinc/13/67/39/360136739.db2.gz ZAFATRMBBQVCLB-LBPRGKRZSA-N 0 3 249.354 2.936 20 0 BFADHN CN(CCC1CCCCC1)Cc1cnc[nH]1 ZINC000092784468 360196464 /nfs/dbraw/zinc/19/64/64/360196464.db2.gz ALDVKABFWHOJQD-UHFFFAOYSA-N 0 3 221.348 2.812 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCO[C@H](C)C2)C1 ZINC000092792466 360198957 /nfs/dbraw/zinc/19/89/57/360198957.db2.gz ZRTYYVDYPGJJCM-UPJWGTAASA-N 0 3 211.349 2.533 20 0 BFADHN COc1cccc(CNC2C(C)(C)C2(C)C)n1 ZINC000092816778 360201324 /nfs/dbraw/zinc/20/13/24/360201324.db2.gz YFKKQICIHYVOON-UHFFFAOYSA-N 0 3 234.343 2.614 20 0 BFADHN CC(C)(C)C[C@@H]1CCCN1Cc1cc[nH]n1 ZINC000092644267 360187592 /nfs/dbraw/zinc/18/75/92/360187592.db2.gz ONLQPJJACKNLGH-LBPRGKRZSA-N 0 3 221.348 2.810 20 0 BFADHN c1coc(CN2CC[C@H](Nc3ccccc3)C2)c1 ZINC000092758140 360195341 /nfs/dbraw/zinc/19/53/41/360195341.db2.gz VHDBUOQWZYUYNJ-AWEZNQCLSA-N 0 3 242.322 2.966 20 0 BFADHN CCC[C@@]1(C)CCCN(Cc2ccnn2C)C1 ZINC000093509083 360253358 /nfs/dbraw/zinc/25/33/58/360253358.db2.gz DKKTYPKLFHCWPE-AWEZNQCLSA-N 0 3 235.375 2.822 20 0 BFADHN Cc1ccc(CN2CCC([C@H](C)O)CC2)cc1C ZINC000092970439 360207873 /nfs/dbraw/zinc/20/78/73/360207873.db2.gz GFQIVQWHWNRSQD-AWEZNQCLSA-N 0 3 247.382 2.896 20 0 BFADHN Cc1ccc(C[C@@H](C)N(C)Cc2c[nH]cn2)cc1 ZINC000093535044 360256157 /nfs/dbraw/zinc/25/61/57/360256157.db2.gz MGRYIURCWJVQLM-CYBMUJFWSA-N 0 3 243.354 2.781 20 0 BFADHN Cc1ccc(C[C@@H](C)N(C)Cc2cnc[nH]2)cc1 ZINC000093535044 360256159 /nfs/dbraw/zinc/25/61/59/360256159.db2.gz MGRYIURCWJVQLM-CYBMUJFWSA-N 0 3 243.354 2.781 20 0 BFADHN CCc1ccc(C2=CCN(CCOC)CC2)cc1 ZINC000093262701 360223982 /nfs/dbraw/zinc/22/39/82/360223982.db2.gz UDZOPGCGEBPIJW-UHFFFAOYSA-N 0 3 245.366 2.985 20 0 BFADHN CCOCCN(C)Cc1c(C)cccc1C ZINC000093316717 360229413 /nfs/dbraw/zinc/22/94/13/360229413.db2.gz GBXHUMVJWJZQPS-UHFFFAOYSA-N 0 3 221.344 2.772 20 0 BFADHN COc1ccc(CN2CCC(C)(C)C2)c(OC)c1 ZINC000093339775 360234452 /nfs/dbraw/zinc/23/44/52/360234452.db2.gz OWVIYCRENWKHQD-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN c1nc(CN2CCC[C@]3(CC=CCC3)C2)c[nH]1 ZINC000093439477 360243571 /nfs/dbraw/zinc/24/35/71/360243571.db2.gz NLSSUCVDBPQRII-CQSZACIVSA-N 0 3 231.343 2.732 20 0 BFADHN c1ncc(CN2CCC[C@]3(CC=CCC3)C2)[nH]1 ZINC000093439477 360243576 /nfs/dbraw/zinc/24/35/76/360243576.db2.gz NLSSUCVDBPQRII-CQSZACIVSA-N 0 3 231.343 2.732 20 0 BFADHN Cc1ncccc1CN1CCC2(CCC2)C1 ZINC000093455984 360244622 /nfs/dbraw/zinc/24/46/22/360244622.db2.gz MNUNUJXTEZCCEW-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CN(Cc1cnn(C(C)(C)C)c1)C1CCC1 ZINC000093475966 360247252 /nfs/dbraw/zinc/24/72/52/360247252.db2.gz MQPCZSBNDCCMIJ-UHFFFAOYSA-N 0 3 221.348 2.622 20 0 BFADHN CCO[C@@H]1C[C@H](N(C)Cc2ccco2)C1(C)C ZINC000093605119 360262601 /nfs/dbraw/zinc/26/26/01/360262601.db2.gz GZOHNMFQJMBDLO-QWHCGFSZSA-N 0 3 237.343 2.915 20 0 BFADHN CC(C)C[C@@H]1CCCCN1Cc1c[nH]cn1 ZINC000093642850 360266292 /nfs/dbraw/zinc/26/62/92/360266292.db2.gz WDDRTIPAWQINJR-ZDUSSCGKSA-N 0 3 221.348 2.810 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cnn(CC)c2)C1 ZINC000093704704 360272293 /nfs/dbraw/zinc/27/22/93/360272293.db2.gz AGKJGKYCNUDZOY-CYBMUJFWSA-N 0 3 235.375 2.915 20 0 BFADHN CCC[C@H]1CCCN(Cc2cnn(CC)c2)C1 ZINC000093704705 360272705 /nfs/dbraw/zinc/27/27/05/360272705.db2.gz AGKJGKYCNUDZOY-ZDUSSCGKSA-N 0 3 235.375 2.915 20 0 BFADHN c1coc(CN2CCC(C3CCOCC3)CC2)c1 ZINC000093704920 360272977 /nfs/dbraw/zinc/27/29/77/360272977.db2.gz NUUOBOUDKKFBGB-UHFFFAOYSA-N 0 3 249.354 2.918 20 0 BFADHN CCC[C@@H]1[C@H](C)CCCN1Cc1c[nH]cn1 ZINC000093740805 360274076 /nfs/dbraw/zinc/27/40/76/360274076.db2.gz VKVHDENKIUDTBI-DGCLKSJQSA-N 0 3 221.348 2.810 20 0 BFADHN CSC[C@@H]1CCCN1Cc1ccc(C)o1 ZINC000093760881 360274152 /nfs/dbraw/zinc/27/41/52/360274152.db2.gz CLLBGUDCWKWXCN-NSHDSACASA-N 0 3 225.357 2.915 20 0 BFADHN CCC[C@H]1[C@@H](C)CCCN1Cc1c[nH]cn1 ZINC000093740808 360274962 /nfs/dbraw/zinc/27/49/62/360274962.db2.gz VKVHDENKIUDTBI-AAEUAGOBSA-N 0 3 221.348 2.810 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2ccsc2)on1 ZINC000309449154 491148475 /nfs/dbraw/zinc/14/84/75/491148475.db2.gz PQEBLZBFBIRESM-SECBINFHSA-N 0 3 236.340 2.765 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@@]1(C)CCCO1 ZINC000094797007 360316791 /nfs/dbraw/zinc/31/67/91/360316791.db2.gz FQOQTLAXWHZJTE-SWLSCSKDSA-N 0 3 249.354 2.915 20 0 BFADHN CC1(C)CCN(C/C=C/Cl)CCS1 ZINC000306599493 360521043 /nfs/dbraw/zinc/52/10/43/360521043.db2.gz HPEOQTQKNPLODU-HWKANZROSA-N 0 3 219.781 2.956 20 0 BFADHN COc1cc(C)ccc1CNC[C@@H](C)SC ZINC000449182547 219830010 /nfs/dbraw/zinc/83/00/10/219830010.db2.gz BHQBIEALNCKBLM-LLVKDONJSA-N 0 3 239.384 2.845 20 0 BFADHN CC(C)n1ccnc1CN[C@@H]1CCCC12CC2 ZINC000631192493 360575564 /nfs/dbraw/zinc/57/55/64/360575564.db2.gz LIQAXXJVZXYUAA-GFCCVEGCSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cc(C)c(/C=C\CNC2(CO)CC2)cc1C ZINC000631100560 360545464 /nfs/dbraw/zinc/54/54/64/360545464.db2.gz YONTYGXWUFLYAV-PLNGDYQASA-N 0 3 245.366 2.740 20 0 BFADHN CCC(C)(C)CCNC(=O)[C@H](C(C)C)N(C)C ZINC000633967290 360660882 /nfs/dbraw/zinc/66/08/82/360660882.db2.gz MJCXBLJHPWRQJP-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN CCC[C@@H](CCO)NCc1c(F)cccc1F ZINC000631196101 360662028 /nfs/dbraw/zinc/66/20/28/360662028.db2.gz HKVOXFBBJUGDSV-JTQLQIEISA-N 0 3 243.297 2.606 20 0 BFADHN CCC[C@H](CCO)NCc1cccc(F)c1F ZINC000631196670 360702769 /nfs/dbraw/zinc/70/27/69/360702769.db2.gz NSXFIKJEWRSOCH-LLVKDONJSA-N 0 3 243.297 2.606 20 0 BFADHN Cc1cc(Cl)cc(CN[C@H]2C[C@@H](CO)C2)c1 ZINC000631198529 360743839 /nfs/dbraw/zinc/74/38/39/360743839.db2.gz SUCIHPRWCUPQCF-BJHJDKERSA-N 0 3 239.746 2.509 20 0 BFADHN C[C@H](N[C@@H](C)C(C)(C)O)c1ccc(Cl)cn1 ZINC000309553432 491151327 /nfs/dbraw/zinc/15/13/27/491151327.db2.gz IYXPCPXJVPNPPW-IUCAKERBSA-N 0 3 242.750 2.545 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)N[C@@H](C)CCC(C)(C)C ZINC000155500789 360884591 /nfs/dbraw/zinc/88/45/91/360884591.db2.gz ROCQMAGIQLKMHC-SRVKXCTJSA-N 0 3 242.407 2.691 20 0 BFADHN CCC[C@@H](NCCCOC)c1cc(C)ccn1 ZINC000631560400 360969864 /nfs/dbraw/zinc/96/98/64/360969864.db2.gz BFLWWUZXWIEQID-CYBMUJFWSA-N 0 3 236.359 2.857 20 0 BFADHN CC(C)=CCCNCc1c2c(nn1C)CCCC2 ZINC000631121596 361023396 /nfs/dbraw/zinc/02/33/96/361023396.db2.gz REZANZANVCQEHY-UHFFFAOYSA-N 0 3 247.386 2.745 20 0 BFADHN COC[C@@H](C)CN[C@@H](C)c1ccncc1Cl ZINC000421823312 200851781 /nfs/dbraw/zinc/85/17/81/200851781.db2.gz CSSIBQAZHWCYKB-UWVGGRQHSA-N 0 3 242.750 2.668 20 0 BFADHN Cc1cc(CN(C)C[C@@H](C)C(C)(C)C)ncn1 ZINC000640347122 361063196 /nfs/dbraw/zinc/06/31/96/361063196.db2.gz GJZMANOLRYNGTC-LLVKDONJSA-N 0 3 235.375 2.899 20 0 BFADHN CC[C@H](C)NC(=O)CN(C)C[C@@H](C)C(C)(C)C ZINC000640350165 361074403 /nfs/dbraw/zinc/07/44/03/361074403.db2.gz FXVPLUYKBDLIHP-NEPJUHHUSA-N 0 3 242.407 2.515 20 0 BFADHN c1ncc(CNC[C@@H]2C[C@H]2C2CCC2)s1 ZINC000424178890 200877259 /nfs/dbraw/zinc/87/72/59/200877259.db2.gz XTPPFHSQDNUADJ-JQWIXIFHSA-N 0 3 222.357 2.669 20 0 BFADHN c1cnc2c(c1)[C@H](NC[C@@H]1CCCCO1)CCC2 ZINC000631573281 361080510 /nfs/dbraw/zinc/08/05/10/361080510.db2.gz KQBVUFVRSWMXQX-SWLSCSKDSA-N 0 3 246.354 2.618 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1ccsc1 ZINC000157393190 361080735 /nfs/dbraw/zinc/08/07/35/361080735.db2.gz MTRSEUBNSYHFFT-MWLCHTKSSA-N 0 3 213.346 2.560 20 0 BFADHN CC(C)n1cncc1CNCC1(C(F)F)CC1 ZINC000424190808 200884443 /nfs/dbraw/zinc/88/44/43/200884443.db2.gz MVQWXUQFQGWGPT-UHFFFAOYSA-N 0 3 243.301 2.599 20 0 BFADHN CCC[C@@H](NCC(C)(C)O)c1cc(C)ccn1 ZINC000631580049 361154388 /nfs/dbraw/zinc/15/43/88/361154388.db2.gz NURXILTWCASZGQ-GFCCVEGCSA-N 0 3 236.359 2.592 20 0 BFADHN CCC[C@H](N[C@@H]1CCCOC1)c1cc(C)ccn1 ZINC000631580305 361158323 /nfs/dbraw/zinc/15/83/23/361158323.db2.gz LQWNSLCKNLTVQK-KGLIPLIRSA-N 0 3 248.370 3.000 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1ccc([S@@](C)=O)cc1 ZINC000424332615 200916614 /nfs/dbraw/zinc/91/66/14/200916614.db2.gz WZBNZRGYBPSGAT-MNRXQERYSA-N 0 3 249.379 2.627 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1oc(C)nc1C ZINC000309704219 491155345 /nfs/dbraw/zinc/15/53/45/491155345.db2.gz NTESWRKLEIZKCV-NWDGAFQWSA-N 0 3 222.332 2.960 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1cc(F)cc(F)c1 ZINC000309752883 491155586 /nfs/dbraw/zinc/15/55/86/491155586.db2.gz HBSCXNPBHARZRJ-QPUJVOFHSA-N 0 3 243.322 2.948 20 0 BFADHN CCN(Cc1ccc2c(n1)CCC2)CC1CC1 ZINC000579083316 366706822 /nfs/dbraw/zinc/70/68/22/366706822.db2.gz UTIBYYAELMBBGU-UHFFFAOYSA-N 0 3 230.355 2.802 20 0 BFADHN CCCC[C@@H](CCC)NCc1cn(C)cn1 ZINC000309749581 491155923 /nfs/dbraw/zinc/15/59/23/491155923.db2.gz KBCAKQLPTHUODX-GFCCVEGCSA-N 0 3 223.364 2.869 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1n[nH]c(C(C)C)n1 ZINC000428420218 201014935 /nfs/dbraw/zinc/01/49/35/201014935.db2.gz HZDWXSXJUBXCNO-QWRGUYRKSA-N 0 3 236.363 2.549 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1n[nH]c(C(C)C)n1 ZINC000428420211 201016562 /nfs/dbraw/zinc/01/65/62/201016562.db2.gz HZDWXSXJUBXCNO-GHMZBOCLSA-N 0 3 236.363 2.549 20 0 BFADHN CC[C@]1(O)CCN(Cc2ccc([C@@H]3C[C@H]3C)o2)C1 ZINC000428442230 201018675 /nfs/dbraw/zinc/01/86/75/201018675.db2.gz ZSKRAEPIPDCBSG-KYOSRNDESA-N 0 3 249.354 2.750 20 0 BFADHN CCCn1cc(CN2CC[C@H]3CCC[C@H]32)cn1 ZINC000428453617 201020380 /nfs/dbraw/zinc/02/03/80/201020380.db2.gz GIDOINCFAUCKGG-ZIAGYGMSSA-N 0 3 233.359 2.668 20 0 BFADHN CC(C)c1nc(CN([C@@H](C)C2CC2)C2CC2)n[nH]1 ZINC000428469192 201022289 /nfs/dbraw/zinc/02/22/89/201022289.db2.gz IXMRNGYIZJRKDF-JTQLQIEISA-N 0 3 248.374 2.691 20 0 BFADHN CCCCCN(Cc1n[nH]c(C)n1)C(C)C ZINC000428467783 201023220 /nfs/dbraw/zinc/02/32/20/201023220.db2.gz BBLUYNJQIBBUFH-UHFFFAOYSA-N 0 3 224.352 2.514 20 0 BFADHN CC[C@]1(C)C[C@H]1C(=O)Nc1cccc(CNC)c1 ZINC000428334498 201009370 /nfs/dbraw/zinc/00/93/70/201009370.db2.gz WPPLSGJTJGTOSV-DZGCQCFKSA-N 0 3 246.354 2.781 20 0 BFADHN C[C@@H]1CC[C@H](CN2CC[C@@]3(C)[C@@H](C2)C3(F)F)O1 ZINC000428724312 201037759 /nfs/dbraw/zinc/03/77/59/201037759.db2.gz MKNUPRAFVQCZKL-KKOKHZNYSA-N 0 3 245.313 2.531 20 0 BFADHN CC(C)CN1Cc2ccccc2O[C@@H](C)C1 ZINC000429129512 201071512 /nfs/dbraw/zinc/07/15/12/201071512.db2.gz SKRNUFKRVSCMJT-LBPRGKRZSA-N 0 3 219.328 2.926 20 0 BFADHN Cc1cc(C)nc(N[C@@H](C)[C@H]2CCCO2)c1 ZINC000309814221 491157209 /nfs/dbraw/zinc/15/72/09/491157209.db2.gz UYUDZFNDMYNCJA-NWDGAFQWSA-N 0 3 220.316 2.678 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)ncn1 ZINC000428975550 201056350 /nfs/dbraw/zinc/05/63/50/201056350.db2.gz HRTPNHDKIPANSQ-WZRBSPASSA-N 0 3 233.359 2.651 20 0 BFADHN CCC1(NCc2cnn(C(C)(C)C)c2)CC1 ZINC000379723818 361361114 /nfs/dbraw/zinc/36/11/14/361361114.db2.gz PAYPCTRBMDRIBH-UHFFFAOYSA-N 0 3 221.348 2.670 20 0 BFADHN CC[C@@H](C(=O)NCC(C)(C)CC)N(CC)CC ZINC000429054465 201064195 /nfs/dbraw/zinc/06/41/95/201064195.db2.gz PWWBBOHLIGARHX-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@H](NCc1cc(F)cc(Cl)c1)C(C)(C)O ZINC000309823353 491157298 /nfs/dbraw/zinc/15/72/98/491157298.db2.gz WVJQDFPTFXMDBA-MRVPVSSYSA-N 0 3 245.725 2.728 20 0 BFADHN CCOCCCN1Cc2ccccc2O[C@H](C)C1 ZINC000429160451 201076429 /nfs/dbraw/zinc/07/64/29/201076429.db2.gz HEYXLJIQDYTKFM-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN CCC(C)(C)CCNCc1cc(C)no1 ZINC000631221433 361380987 /nfs/dbraw/zinc/38/09/87/361380987.db2.gz RZHNLCNJDJFXHW-UHFFFAOYSA-N 0 3 210.321 2.899 20 0 BFADHN CC(C)N1CCN(c2cccc(F)c2)C[C@H]1C ZINC000429240812 201082110 /nfs/dbraw/zinc/08/21/10/201082110.db2.gz VBGSIGSVJQYOOE-GFCCVEGCSA-N 0 3 236.334 2.745 20 0 BFADHN CC(C)N1CCN(c2cccc(F)c2)C[C@@H]1C ZINC000429240814 201083238 /nfs/dbraw/zinc/08/32/38/201083238.db2.gz VBGSIGSVJQYOOE-LBPRGKRZSA-N 0 3 236.334 2.745 20 0 BFADHN CN(Cc1ccc(Cl)cc1)C1(CO)CCC1 ZINC000429256632 201084868 /nfs/dbraw/zinc/08/48/68/201084868.db2.gz LFXMSHFVPPDEND-UHFFFAOYSA-N 0 3 239.746 2.687 20 0 BFADHN CC(C)(NCCn1ccnc1)c1ccccc1F ZINC000429263747 201085351 /nfs/dbraw/zinc/08/53/51/201085351.db2.gz KMVRJOAIPCBDOH-UHFFFAOYSA-N 0 3 247.317 2.547 20 0 BFADHN Cc1ccoc1CN(C)C[C@@H]1CCO[C@@H](C)C1 ZINC000429360597 201104305 /nfs/dbraw/zinc/10/43/05/201104305.db2.gz HKYXCGSWAOBYIN-QWHCGFSZSA-N 0 3 237.343 2.835 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](CO)CC(F)F)cc1 ZINC000429463476 201110147 /nfs/dbraw/zinc/11/01/47/201110147.db2.gz TYKPIIIQERXTAU-ZYHUDNBSSA-N 0 3 243.297 2.662 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1ccc2c(n1)CCC2 ZINC000579324799 366759710 /nfs/dbraw/zinc/75/97/10/366759710.db2.gz QYOYWRGPYAPABO-LLVKDONJSA-N 0 3 230.355 2.801 20 0 BFADHN Cc1cccc([C@@H](C)N[C@@H](CO)CC(F)F)c1 ZINC000429463041 201111197 /nfs/dbraw/zinc/11/11/97/201111197.db2.gz FHWSPTJFWBCJQJ-ZYHUDNBSSA-N 0 3 243.297 2.662 20 0 BFADHN FC1(CNC[C@@H]2CCCCC2(F)F)CC1 ZINC000579434898 366793220 /nfs/dbraw/zinc/79/32/20/366793220.db2.gz KJCWNJQHFKFEGL-VIFPVBQESA-N 0 3 221.266 2.904 20 0 BFADHN C[C@@H]1CC[C@@H](N(C)Cc2cn3ccccc3n2)C1 ZINC000429595520 201122495 /nfs/dbraw/zinc/12/24/95/201122495.db2.gz WIGYVXIESGLKAU-TZMCWYRMSA-N 0 3 243.354 2.955 20 0 BFADHN COCC(C)(C)N(C)Cc1ccc(C)c(F)c1 ZINC000430132259 201146145 /nfs/dbraw/zinc/14/61/45/201146145.db2.gz NUORPQSBEPBXPO-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN CCCN(CCC1CCCCCC1)CC(N)=O ZINC000430141497 201147814 /nfs/dbraw/zinc/14/78/14/201147814.db2.gz RHRYIVLODKNSJE-UHFFFAOYSA-N 0 3 240.391 2.544 20 0 BFADHN CCn1cc(CN(C2CCC2)C2CCC2)cn1 ZINC000430148930 201148312 /nfs/dbraw/zinc/14/83/12/201148312.db2.gz UOKNVNFQJNZQPT-UHFFFAOYSA-N 0 3 233.359 2.810 20 0 BFADHN COCCN(C)Cc1ccc2c(c1)CCCC2 ZINC000430007987 201137991 /nfs/dbraw/zinc/13/79/91/201137991.db2.gz ODVUKZJKWSBVOP-UHFFFAOYSA-N 0 3 233.355 2.644 20 0 BFADHN C[C@@H]1C[C@@H](O)CN1Cc1ccc2c(c1)CCCC2 ZINC000430061132 201140813 /nfs/dbraw/zinc/14/08/13/201140813.db2.gz WQPNKGWBDSTJQU-MLGOLLRUSA-N 0 3 245.366 2.521 20 0 BFADHN CC[C@H]1CCN1Cc1ccc(OC)c(OC)c1C ZINC000430184434 201150694 /nfs/dbraw/zinc/15/06/94/201150694.db2.gz AKBSXDUUDHQGDB-ZDUSSCGKSA-N 0 3 249.354 2.997 20 0 BFADHN CCC[C@@H](C)CN(C)[C@@H](CC(C)C)C(=O)OC ZINC000430195990 201151582 /nfs/dbraw/zinc/15/15/82/201151582.db2.gz KJVNNSCXGINFFS-OLZOCXBDSA-N 0 3 243.391 2.942 20 0 BFADHN CC[C@@H]1CCN1Cc1cn(C)nc1C(C)(C)C ZINC000430201309 201153192 /nfs/dbraw/zinc/15/31/92/201153192.db2.gz VUVASHNCPXEPNE-GFCCVEGCSA-N 0 3 235.375 2.702 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCCc2cccc(CN)c21 ZINC000629561434 361456801 /nfs/dbraw/zinc/45/68/01/361456801.db2.gz VOOCGHXEDZGVMB-BXUZGUMPSA-N 0 3 230.355 2.554 20 0 BFADHN c1cc(-c2ccc(CN[C@@H]3C=CCCC3)o2)n[nH]1 ZINC000433340298 201182977 /nfs/dbraw/zinc/18/29/77/201182977.db2.gz DIWYDGZFXQWDOE-LLVKDONJSA-N 0 3 243.310 2.868 20 0 BFADHN CC[C@@H](F)CN(CCOC)[C@H]1CCCSC1 ZINC000433183956 201166995 /nfs/dbraw/zinc/16/69/95/201166995.db2.gz UMNZJLXBZBBZHO-NEPJUHHUSA-N 0 3 249.395 2.579 20 0 BFADHN Cc1cc(O)cc(NC(=O)C(C)C(F)(F)F)c1 ZINC000629632116 361522656 /nfs/dbraw/zinc/52/26/56/361522656.db2.gz ODDHIINOYQJLEU-ZETCQYMHSA-N 0 3 247.216 2.838 20 0 BFADHN CCC(C)(C)CCN1CC[S@@](=O)C[C@H](C)C1 ZINC000433275725 201174134 /nfs/dbraw/zinc/17/41/34/201174134.db2.gz ZOVZUHJQEDQHBJ-MLGOLLRUSA-N 0 3 245.432 2.513 20 0 BFADHN Cc1ncsc1CN[C@]12C[C@H]1CCCC2 ZINC000631224698 361565291 /nfs/dbraw/zinc/56/52/91/361565291.db2.gz COXSXRXXIJXUBH-ZYHUDNBSSA-N 0 3 222.357 2.874 20 0 BFADHN Cc1ccc(CN[C@@H]2COC(C)(C)C2)c(F)c1 ZINC000631172231 361565746 /nfs/dbraw/zinc/56/57/46/361565746.db2.gz MTJSJKUQCATBTI-LBPRGKRZSA-N 0 3 237.318 2.791 20 0 BFADHN COCCN[C@H](C)c1ccc(SC)c(F)c1 ZINC000152111654 201190517 /nfs/dbraw/zinc/19/05/17/201190517.db2.gz IEQWXMMSALAOOR-SECBINFHSA-N 0 3 243.347 2.845 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2ccc(N)nc2)C1 ZINC000629674229 361597743 /nfs/dbraw/zinc/59/77/43/361597743.db2.gz YYBCLIHIYKJFEB-XQJDBVBESA-N 0 3 245.370 2.672 20 0 BFADHN Cc1nc(C)c(CNCCCC(F)(F)F)o1 ZINC000381892199 361626854 /nfs/dbraw/zinc/62/68/54/361626854.db2.gz RLTMEXZSZUMMKD-UHFFFAOYSA-N 0 3 236.237 2.724 20 0 BFADHN Cc1cc(CN2CC[C@H](CC(C)(C)C)C2)nn1C ZINC000448554946 201260246 /nfs/dbraw/zinc/26/02/46/201260246.db2.gz OGHQVUJTWWAJMM-CYBMUJFWSA-N 0 3 249.402 2.987 20 0 BFADHN CCc1ccc(-c2ccc([C@H](N)CO)cc2)cc1 ZINC000629711228 361647849 /nfs/dbraw/zinc/64/78/49/361647849.db2.gz VKQXNORKMGCTKF-MRXNPFEDSA-N 0 3 241.334 2.908 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2C[C@@H](C)O[C@H]2C)s1 ZINC000631227756 361666670 /nfs/dbraw/zinc/66/66/70/361666670.db2.gz LADQLANCPWWNKF-UYAYMFIHSA-N 0 3 240.372 2.668 20 0 BFADHN C[C@H](CC(F)(F)F)NCc1cccc(O)c1 ZINC000154460173 201279861 /nfs/dbraw/zinc/27/98/61/201279861.db2.gz KJOWKTSGTDCHLY-MRVPVSSYSA-N 0 3 233.233 2.823 20 0 BFADHN COc1ccsc1CN(C)CC1CCC1 ZINC000435769317 201287407 /nfs/dbraw/zinc/28/74/07/201287407.db2.gz VPGNANYPYQIEHI-UHFFFAOYSA-N 0 3 225.357 2.989 20 0 BFADHN Cc1ccc(-c2cccc([C@@H]3CNCCO3)c2)o1 ZINC000629717631 361659612 /nfs/dbraw/zinc/65/96/12/361659612.db2.gz RTJKAUWRENGGSY-HNNXBMFYSA-N 0 3 243.306 2.916 20 0 BFADHN Cc1cocc1-c1ccc(N2CCNCC2)cc1 ZINC000629738231 361673941 /nfs/dbraw/zinc/67/39/41/361673941.db2.gz JSDFKXHMYYVBBH-UHFFFAOYSA-N 0 3 242.322 2.665 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NC(=O)[C@H](C(C)C)N(C)C ZINC000154948243 201301323 /nfs/dbraw/zinc/30/13/23/201301323.db2.gz LOYQMEUOESHISU-UPJWGTAASA-N 0 3 242.407 2.514 20 0 BFADHN CC[C@H](CNCc1scnc1C1CC1)OC ZINC000310022667 491160306 /nfs/dbraw/zinc/16/03/06/491160306.db2.gz OBYMAJIYTLPNKJ-SNVBAGLBSA-N 0 3 240.372 2.535 20 0 BFADHN CC1=CCCN(Cc2cccc(F)c2)C1 ZINC000436272932 201319945 /nfs/dbraw/zinc/31/99/45/201319945.db2.gz UCDFCHQVPKUQQB-UHFFFAOYSA-N 0 3 205.276 2.978 20 0 BFADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2NCCF ZINC000436300493 201320794 /nfs/dbraw/zinc/32/07/94/201320794.db2.gz MKGKSKULTRQLGW-ZDUSSCGKSA-N 0 3 237.318 2.977 20 0 BFADHN CCc1ccc(CN2CCC=C(C)C2)o1 ZINC000437349789 201351868 /nfs/dbraw/zinc/35/18/68/201351868.db2.gz VUDIZSKMFUFQBX-UHFFFAOYSA-N 0 3 205.301 2.994 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1ccc(OC)nc1 ZINC000438107498 201389360 /nfs/dbraw/zinc/38/93/60/201389360.db2.gz IMFTXYZDIVQNCN-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1ccc(OC)nc1 ZINC000438107495 201390450 /nfs/dbraw/zinc/39/04/50/201390450.db2.gz IMFTXYZDIVQNCN-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN COCCCCCN(C)Cc1cccc(O)c1 ZINC000080917609 363886198 /nfs/dbraw/zinc/88/61/98/363886198.db2.gz MBKMKAMYWBLDBT-UHFFFAOYSA-N 0 3 237.343 2.641 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1cn2ccccc2n1 ZINC000548713408 370700732 /nfs/dbraw/zinc/70/07/32/370700732.db2.gz FWOVDAUECRQEJQ-MNOVXSKESA-N 0 3 249.383 2.736 20 0 BFADHN CC(C)CCN(C)Cc1cn2ccccc2n1 ZINC000079917842 370704627 /nfs/dbraw/zinc/70/46/27/370704627.db2.gz PDONNRXWCAFULT-UHFFFAOYSA-N 0 3 231.343 2.812 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](CO)C1)c1ccccc1Cl ZINC000631671335 364267970 /nfs/dbraw/zinc/26/79/70/364267970.db2.gz ZUZQLBRADVHZNK-GARJFASQSA-N 0 3 239.746 2.762 20 0 BFADHN C[C@@H]1CC[C@@H](n2cc([C@@H](N)C(C)(C)C)nn2)C1 ZINC000630604847 364322936 /nfs/dbraw/zinc/32/29/36/364322936.db2.gz VNJGPDKQELGING-CKYFFXLPSA-N 0 3 236.363 2.685 20 0 BFADHN CC[C@@H](C)CN[C@H](C)c1ccc(F)cn1 ZINC000134016768 364384100 /nfs/dbraw/zinc/38/41/00/364384100.db2.gz TWCKBFSTZSPKND-NXEZZACHSA-N 0 3 210.296 2.917 20 0 BFADHN Cc1cc(CN[C@H]2CCO[C@@H]2C)c(C)s1 ZINC000134080835 364387864 /nfs/dbraw/zinc/38/78/64/364387864.db2.gz ZCEPAPJWPTZZSM-SKDRFNHKSA-N 0 3 225.357 2.632 20 0 BFADHN NCC1(c2nc(-c3ccccc3)no2)CCCC1 ZINC000131107867 181364062 /nfs/dbraw/zinc/36/40/62/181364062.db2.gz HCPRVXYRWVDIQK-UHFFFAOYSA-N 0 3 243.310 2.507 20 0 BFADHN Cc1nnc([C@H](C)N[C@H]2CCC[C@@H]2C)s1 ZINC000135007633 364421848 /nfs/dbraw/zinc/42/18/48/364421848.db2.gz LRFTYKITODKNEV-NRPADANISA-N 0 3 225.361 2.686 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H](C)C(C)C)s1 ZINC000135011176 364423016 /nfs/dbraw/zinc/42/30/16/364423016.db2.gz SOBHUEWRGIQJNX-YUMQZZPRSA-N 0 3 213.350 2.542 20 0 BFADHN CCOc1ccc([C@H](C)N[C@H]2C[C@@H]2OCC)cc1 ZINC000579552623 366865922 /nfs/dbraw/zinc/86/59/22/366865922.db2.gz PGSJXTDFFSVTSI-CQDKDKBSSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)CC2CCC2)nn1C ZINC000631738387 364535239 /nfs/dbraw/zinc/53/52/39/364535239.db2.gz LHBPEKIOACDUEA-ZYHUDNBSSA-N 0 3 235.375 2.958 20 0 BFADHN CC[C@@H](O)CCCNc1ccnc2ccccc21 ZINC000357538411 366860312 /nfs/dbraw/zinc/86/03/12/366860312.db2.gz RCLQCJDZRJNLCK-GFCCVEGCSA-N 0 3 244.338 2.620 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1nccn1C ZINC000246460628 364596769 /nfs/dbraw/zinc/59/67/69/364596769.db2.gz YQTGINSMCUHHFM-HBNTYKKESA-N 0 3 209.337 2.505 20 0 BFADHN C[C@H](c1ccncc1)N(C)C[C@@H]1CCCC[C@@H]1O ZINC000328170213 364813798 /nfs/dbraw/zinc/81/37/98/364813798.db2.gz JUUQMIQOLHDVBZ-SNPRPXQTSA-N 0 3 248.370 2.626 20 0 BFADHN CCOc1cc(CN2[C@@H](C)C[C@@H]2C)ccc1O ZINC000573422869 364827766 /nfs/dbraw/zinc/82/77/66/364827766.db2.gz QRHNGSDSBIGHFC-QWRGUYRKSA-N 0 3 235.327 2.774 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccoc2C)C1(C)C ZINC000321527071 541388376 /nfs/dbraw/zinc/38/83/76/541388376.db2.gz OYGHEYKIIFEQBP-STQMWFEESA-N 0 3 237.343 2.881 20 0 BFADHN CCc1cc(CN[C@@H](CC)C(C)C)on1 ZINC000168171719 364872687 /nfs/dbraw/zinc/87/26/87/364872687.db2.gz DHPMRJYJILQFSU-LBPRGKRZSA-N 0 3 210.321 2.761 20 0 BFADHN CC1(NCc2ccc3c(n2)CCC3)CCC1 ZINC000573779954 364913273 /nfs/dbraw/zinc/91/32/73/364913273.db2.gz KNTRUDTUMIKRNU-UHFFFAOYSA-N 0 3 216.328 2.603 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@H](C)[C@@H](C)C2)[nH]c1C ZINC000573936048 364950790 /nfs/dbraw/zinc/95/07/90/364950790.db2.gz VUAJTOUGNLZQLO-OUJBWJOFSA-N 0 3 235.375 2.941 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@H](C)[C@H](C)C2)[nH]c1C ZINC000573936046 364950862 /nfs/dbraw/zinc/95/08/62/364950862.db2.gz VUAJTOUGNLZQLO-OPQQBVKSSA-N 0 3 235.375 2.941 20 0 BFADHN C[C@H](CC1CCCC1)NCc1ccno1 ZINC000573967136 364956564 /nfs/dbraw/zinc/95/65/64/364956564.db2.gz RHUXFZDVWGLHAG-SNVBAGLBSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1)c1ccc(Cl)c(F)c1 ZINC000574012711 364967017 /nfs/dbraw/zinc/96/70/17/364967017.db2.gz BVFFGVFOHIKMND-UJNFCWOMSA-N 0 3 243.709 2.653 20 0 BFADHN CCSCC[C@H](C)NCc1nc(C)c(C)[nH]1 ZINC000574054809 364980052 /nfs/dbraw/zinc/98/00/52/364980052.db2.gz NXRXYPKJAXBHSO-VIFPVBQESA-N 0 3 241.404 2.648 20 0 BFADHN COC[C@H](C)CN1CC(C)(CC(F)(F)F)C1 ZINC000574135824 364994280 /nfs/dbraw/zinc/99/42/80/364994280.db2.gz KJDHEGQXOSXRAY-SECBINFHSA-N 0 3 239.281 2.543 20 0 BFADHN CCC[C@@H](C)CN(C)Cc1cnn(C)c1C ZINC000574242898 365017342 /nfs/dbraw/zinc/01/73/42/365017342.db2.gz UIMVUWNKMUDGDD-LLVKDONJSA-N 0 3 223.364 2.597 20 0 BFADHN Cc1ccc(CN2C[C@H](C)S[C@@H](C)C2)o1 ZINC000092540527 325714487 /nfs/dbraw/zinc/71/44/87/325714487.db2.gz YDWQUFFFXZFSLC-QWRGUYRKSA-N 0 3 225.357 2.914 20 0 BFADHN Cc1nc(CNCCCc2ccccc2)[nH]c1C ZINC000574823010 365153564 /nfs/dbraw/zinc/15/35/64/365153564.db2.gz GXMFVOSHOSRZSK-UHFFFAOYSA-N 0 3 243.354 2.749 20 0 BFADHN CO[C@H](C)CNC/C=C/c1ccc(F)cc1F ZINC000443001835 193010425 /nfs/dbraw/zinc/01/04/25/193010425.db2.gz NSQCHOJBJVJXBL-HMDXOVGESA-N 0 3 241.281 2.603 20 0 BFADHN CCC[C@@H](C)CN1CCO[C@](C)(CC)C1 ZINC000443865355 193030527 /nfs/dbraw/zinc/03/05/27/193030527.db2.gz WPXDYEPFAKAIIE-CHWSQXEVSA-N 0 3 213.365 2.924 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@@H](C)C2)nn1C ZINC000443643136 193027206 /nfs/dbraw/zinc/02/72/06/193027206.db2.gz UUHVPKOVGIOXNC-VXGBXAGGSA-N 0 3 235.375 2.597 20 0 BFADHN CN(CCCCF)Cc1ccsc1 ZINC000379410902 541456069 /nfs/dbraw/zinc/45/60/69/541456069.db2.gz HDGQLWNMNLVNDR-UHFFFAOYSA-N 0 3 201.310 2.930 20 0 BFADHN CC[C@@H](C)CN(C)CC(=O)Nc1ccc(C)cc1 ZINC000171952445 365261484 /nfs/dbraw/zinc/26/14/84/365261484.db2.gz YNCMCQVQEZIDGZ-GFCCVEGCSA-N 0 3 248.370 2.911 20 0 BFADHN CCCCCNC(=O)[C@@H](C)N(C)C[C@@H](C)CC ZINC000171952140 365261663 /nfs/dbraw/zinc/26/16/63/365261663.db2.gz MEYWVXVGBOBDDT-QWHCGFSZSA-N 0 3 242.407 2.659 20 0 BFADHN CC[C@H](C)CN(C)[C@@H](C)C(=O)NC(C)(C)CC ZINC000171949079 365261762 /nfs/dbraw/zinc/26/17/62/365261762.db2.gz GZGOFOBGNSQZML-RYUDHWBXSA-N 0 3 242.407 2.658 20 0 BFADHN CC[C@H](C)CN(C)CC(=O)NCCC(C)(C)C ZINC000171949648 365261831 /nfs/dbraw/zinc/26/18/31/365261831.db2.gz UPQYUPVHAJJPKO-LBPRGKRZSA-N 0 3 242.407 2.517 20 0 BFADHN CCc1ccccc1CCN(CCOC)C1CC1 ZINC000444594741 193051467 /nfs/dbraw/zinc/05/14/67/193051467.db2.gz HPCMDWGNHDFOQM-UHFFFAOYSA-N 0 3 247.382 2.902 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1ncccn1 ZINC000192194481 365282207 /nfs/dbraw/zinc/28/22/07/365282207.db2.gz LDAGHLLPNRIEPX-NEPJUHHUSA-N 0 3 233.359 2.781 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CSC2(C)C)s1 ZINC000336512475 365336442 /nfs/dbraw/zinc/33/64/42/365336442.db2.gz LMNWRNCXCDYTJI-JTQLQIEISA-N 0 3 242.413 2.744 20 0 BFADHN C[C@H](NCc1cnccn1)[C@@H]1CCC[C@@H](C)C1 ZINC000575636249 365341763 /nfs/dbraw/zinc/34/17/63/365341763.db2.gz HMZNRMKKNFSNQN-FRRDWIJNSA-N 0 3 233.359 2.781 20 0 BFADHN C[C@H]1CSCCN1CCOc1ccccc1 ZINC000172588590 365342772 /nfs/dbraw/zinc/34/27/72/365342772.db2.gz FMLOVWBNEPETOD-LBPRGKRZSA-N 0 3 237.368 2.503 20 0 BFADHN Cc1occc1CN1C[C@@H](C)S[C@H](C)C1 ZINC000575894039 365407484 /nfs/dbraw/zinc/40/74/84/365407484.db2.gz DBCIWUUSLOZOSO-NXEZZACHSA-N 0 3 225.357 2.914 20 0 BFADHN Cc1cnc(CN(C)[C@@H]2CCCC[C@@H]2C)o1 ZINC000173189262 365409190 /nfs/dbraw/zinc/40/91/90/365409190.db2.gz XMAGQWFYVHQDRB-CMPLNLGQSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@H](C)N1CCN(c2ccccc2F)CC1 ZINC000172690635 365375102 /nfs/dbraw/zinc/37/51/02/365375102.db2.gz TYBPKBFAGFWMDW-GFCCVEGCSA-N 0 3 236.334 2.746 20 0 BFADHN CCO[C@H]1C[C@@H]1NC1CC(c2cccc(F)c2)C1 ZINC000575826397 365376196 /nfs/dbraw/zinc/37/61/96/365376196.db2.gz XEBFHBRSZOBFHZ-MOQPVCNJSA-N 0 3 249.329 2.839 20 0 BFADHN CC[C@H](NCc1cnc(C)n1C)c1ccccc1 ZINC000576053367 365467088 /nfs/dbraw/zinc/46/70/88/365467088.db2.gz LWWKTLLFPPHOFL-HNNXBMFYSA-N 0 3 243.354 2.969 20 0 BFADHN Cc1ccn2cc(CNCC3(C)CC3)nc2c1 ZINC000576053799 365467284 /nfs/dbraw/zinc/46/72/84/365467284.db2.gz UOQUYRLCOCKJNK-UHFFFAOYSA-N 0 3 229.327 2.532 20 0 BFADHN Cc1cnc(CNC(C)(C)C(C)C)s1 ZINC000576003459 365445005 /nfs/dbraw/zinc/44/50/05/365445005.db2.gz YJLBQXHRMWOVAN-UHFFFAOYSA-N 0 3 212.362 2.976 20 0 BFADHN CCC[C@@H]1[C@H](C)CCCN1Cc1cncnc1 ZINC000179999443 541506803 /nfs/dbraw/zinc/50/68/03/541506803.db2.gz ZHUDICHKNUGSRP-TZMCWYRMSA-N 0 3 233.359 2.877 20 0 BFADHN FC1(F)CC(CCNCc2ccccn2)C1 ZINC000453591038 202779663 /nfs/dbraw/zinc/77/96/63/202779663.db2.gz QHLGXMBERSTBNL-UHFFFAOYSA-N 0 3 226.270 2.607 20 0 BFADHN C[C@@H](NCC1(C2CC2)CCC1)c1nccn1C ZINC000183377789 367018499 /nfs/dbraw/zinc/01/84/99/367018499.db2.gz WYBHMYRXFLHHDX-LLVKDONJSA-N 0 3 233.359 2.651 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc(OC)cc1OC ZINC000384806679 541515721 /nfs/dbraw/zinc/51/57/21/541515721.db2.gz ZTLHTFYKQKSKNU-ISALQUGTSA-N 0 3 235.327 2.931 20 0 BFADHN CC[C@@H](NCC1(C2CC2)CC1)c1nccn1C ZINC000183382079 367018777 /nfs/dbraw/zinc/01/87/77/367018777.db2.gz ITWVTQYBGNGWRT-GFCCVEGCSA-N 0 3 233.359 2.651 20 0 BFADHN CC(C)N(C)Cc1cn(C)nc1C(C)(C)C ZINC000183469137 367025874 /nfs/dbraw/zinc/02/58/74/367025874.db2.gz FEBOGFGIFWCNQC-UHFFFAOYSA-N 0 3 223.364 2.558 20 0 BFADHN C[C@@H](NC1CC(C)(C)C1)c1cc2n(n1)CCC2 ZINC000579734457 367000187 /nfs/dbraw/zinc/00/01/87/367000187.db2.gz LRMRZXBYPPFCDO-SNVBAGLBSA-N 0 3 233.359 2.669 20 0 BFADHN CCCC[C@@H](CC)CN(C)CC(=O)NC(C)C ZINC000183592043 367032568 /nfs/dbraw/zinc/03/25/68/367032568.db2.gz MXQNRFDIWDQAPI-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN CC(F)(F)CCN1CC[C@H](c2ccncc2)C1 ZINC000579975147 367112415 /nfs/dbraw/zinc/11/24/15/367112415.db2.gz JQHWGZXEQCVMIT-LBPRGKRZSA-N 0 3 240.297 2.916 20 0 BFADHN CC(F)(F)CCN1CC[C@@H](c2ccncc2)C1 ZINC000579975146 367113010 /nfs/dbraw/zinc/11/30/10/367113010.db2.gz JQHWGZXEQCVMIT-GFCCVEGCSA-N 0 3 240.297 2.916 20 0 BFADHN CCCN(Cc1cnoc1C)CC1CC1 ZINC000185151484 367121430 /nfs/dbraw/zinc/12/14/30/367121430.db2.gz CAAKTHHAPURZOF-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN COc1ncccc1CN(C1CC1)C1CCCC1 ZINC000193341452 367168823 /nfs/dbraw/zinc/16/88/23/367168823.db2.gz ZNLMNFLPHJECDW-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN CCc1cccc(F)c1CN[C@@H]1CO[C@@H](C)C1 ZINC000580892432 367199444 /nfs/dbraw/zinc/19/94/44/367199444.db2.gz IWSXJQQDUFPQKJ-JQWIXIFHSA-N 0 3 237.318 2.655 20 0 BFADHN Cc1cnccc1CN[C@@H]1C[C@H]1c1ccco1 ZINC000581118802 367268719 /nfs/dbraw/zinc/26/87/19/367268719.db2.gz IXQXJTJSVMEVTK-CHWSQXEVSA-N 0 3 228.295 2.629 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccc(C)cc1C ZINC000195006125 367332142 /nfs/dbraw/zinc/33/21/42/367332142.db2.gz JXPRRKYAHHMODY-CYBMUJFWSA-N 0 3 221.344 2.770 20 0 BFADHN COc1cccc(CN2CC[C@@H](OC(C)C)C2)c1 ZINC000581249170 367307555 /nfs/dbraw/zinc/30/75/55/367307555.db2.gz YBSQBKUNDPESHM-OAHLLOKOSA-N 0 3 249.354 2.695 20 0 BFADHN C[C@H](NCC1CC(C)(C)C1)c1ccncn1 ZINC000581292568 367320435 /nfs/dbraw/zinc/32/04/35/367320435.db2.gz FLFMSLFUOBUPJY-JTQLQIEISA-N 0 3 219.332 2.563 20 0 BFADHN Cc1cccc(CN[C@@H]2CC[C@H]2C2CC2)n1 ZINC000381414495 367398290 /nfs/dbraw/zinc/39/82/90/367398290.db2.gz VQYTWGNWUFDZHF-UONOGXRCSA-N 0 3 216.328 2.668 20 0 BFADHN CO[C@H](C)CN[C@H]1CCc2c1cccc2Cl ZINC000381456572 367407096 /nfs/dbraw/zinc/40/70/96/367407096.db2.gz JPXIPWWIHYWVKD-RNCFNFMXSA-N 0 3 239.746 2.952 20 0 BFADHN C[C@H](NCc1nccn1C)[C@@H]1CC2CCC1CC2 ZINC000381484258 367416461 /nfs/dbraw/zinc/41/64/61/367416461.db2.gz FZKVVDKHRTVNGW-ABXWRUBCSA-N 0 3 247.386 2.725 20 0 BFADHN CC(C)[C@H]1CCCC[C@H]1NCc1cnns1 ZINC000381207966 367365008 /nfs/dbraw/zinc/36/50/08/367365008.db2.gz GOJWWTHHWSRQIK-VXGBXAGGSA-N 0 3 239.388 2.843 20 0 BFADHN Fc1cccc(CCCNCC2(F)CC2)c1 ZINC000381603958 367436471 /nfs/dbraw/zinc/43/64/71/367436471.db2.gz PBLLJXWICUAPGK-UHFFFAOYSA-N 0 3 225.282 2.850 20 0 BFADHN COCc1cnc(CNC(C)(C)C2CC2)s1 ZINC000381615977 367439079 /nfs/dbraw/zinc/43/90/79/367439079.db2.gz VILSKLSXOKHTKY-UHFFFAOYSA-N 0 3 240.372 2.568 20 0 BFADHN CC(C)[C@@H]1N(CC2(F)CC2)CC12CCC2 ZINC000581525238 367548593 /nfs/dbraw/zinc/54/85/93/367548593.db2.gz DXFNMGBNZWKNDW-NSHDSACASA-N 0 3 211.324 2.999 20 0 BFADHN C[C@@H]1C[C@H](NCCCC2CC2)c2nccn21 ZINC000382290098 367504079 /nfs/dbraw/zinc/50/40/79/367504079.db2.gz QPHSPTRSTFAEHH-PWSUYJOCSA-N 0 3 219.332 2.669 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000382390537 367513596 /nfs/dbraw/zinc/51/35/96/367513596.db2.gz MCNFWCSUVPGLPB-USZNOCQGSA-N 0 3 220.316 2.822 20 0 BFADHN CCCn1cc(CN[C@@H]2CCC[C@@H](F)C2)cn1 ZINC000581547845 367575206 /nfs/dbraw/zinc/57/52/06/367575206.db2.gz BQWDPTAEYFVKFZ-CHWSQXEVSA-N 0 3 239.338 2.663 20 0 BFADHN Cc1nc(CN[C@@H](C)CCC2CC2)[nH]c1C ZINC000565786109 325957808 /nfs/dbraw/zinc/95/78/08/325957808.db2.gz JHLMLQFELKDYHT-VIFPVBQESA-N 0 3 221.348 2.695 20 0 BFADHN CCCc1ccccc1NC(=O)[C@@H](C)NC1CC1 ZINC000383398779 367619236 /nfs/dbraw/zinc/61/92/36/367619236.db2.gz SCTWBFXJRSTUQZ-LLVKDONJSA-N 0 3 246.354 2.718 20 0 BFADHN COC[C@@H](NCc1cc2cccnc2o1)C(C)C ZINC000581647767 367649970 /nfs/dbraw/zinc/64/99/70/367649970.db2.gz KKYOFAKTBWGJSS-CYBMUJFWSA-N 0 3 248.326 2.588 20 0 BFADHN CO[C@@H]1CC[C@H](NCc2cccc(C)c2F)C1 ZINC000384568138 367700589 /nfs/dbraw/zinc/70/05/89/367700589.db2.gz UIUDRSFYTLANAM-QWHCGFSZSA-N 0 3 237.318 2.791 20 0 BFADHN COC[C@@H](NCc1cccc(C)c1F)C(C)C ZINC000384571978 367702010 /nfs/dbraw/zinc/70/20/10/367702010.db2.gz VCYNEDHXFBUBNR-CYBMUJFWSA-N 0 3 239.334 2.895 20 0 BFADHN CO[C@@H](CN1CCC[C@@H]1c1ccccn1)C1CC1 ZINC000581663475 367664116 /nfs/dbraw/zinc/66/41/16/367664116.db2.gz FBPBNOMCNUNOGG-CABCVRRESA-N 0 3 246.354 2.644 20 0 BFADHN CC/C=C\CN[C@H](C)c1cncc(F)c1 ZINC000384864317 367745674 /nfs/dbraw/zinc/74/56/74/367745674.db2.gz NNDBUTYEMICWFV-UMCURTJPSA-N 0 3 208.280 2.838 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H](C)O[C@H]2C)cc1F ZINC000384885432 367750155 /nfs/dbraw/zinc/75/01/55/367750155.db2.gz JNNSQIZSYGLBGY-UHIISALHSA-N 0 3 237.318 2.790 20 0 BFADHN CCn1ccnc1CNCC(C)(C)C1CCC1 ZINC000384962752 367766960 /nfs/dbraw/zinc/76/69/60/367766960.db2.gz NSJIMXRWTPKDSP-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN CC/C=C\CNCc1cccc(COC)c1 ZINC000385079021 367785223 /nfs/dbraw/zinc/78/52/23/367785223.db2.gz WNJJBOOXUWPNHJ-PLNGDYQASA-N 0 3 219.328 2.889 20 0 BFADHN Cc1ncccc1CN[C@@H]1C[C@H]1CC(C)C ZINC000385157350 367802049 /nfs/dbraw/zinc/80/20/49/367802049.db2.gz DVIDRUCMGHECBD-ZIAGYGMSSA-N 0 3 218.344 2.914 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cncs1 ZINC000385817383 367867083 /nfs/dbraw/zinc/86/70/83/367867083.db2.gz JGSDPTCROIWCQZ-MWLCHTKSSA-N 0 3 210.346 2.667 20 0 BFADHN CC(C)n1ccnc1CN[C@H]1CCC1(C)C ZINC000581832344 367836791 /nfs/dbraw/zinc/83/67/91/367836791.db2.gz FWQWFYVFMZPXDC-NSHDSACASA-N 0 3 221.348 2.742 20 0 BFADHN CCOC(=O)CCCN[C@H](C)c1ccsc1 ZINC000081549995 370892042 /nfs/dbraw/zinc/89/20/42/370892042.db2.gz TYMSBEMKUUNOAA-SNVBAGLBSA-N 0 3 241.356 2.742 20 0 BFADHN COc1ccc(OC)c(CN[C@@H]2C[C@H](C)[C@@H]2C)c1 ZINC000385636256 367849376 /nfs/dbraw/zinc/84/93/76/367849376.db2.gz LETBAKUNCLTNOV-COPLHBTASA-N 0 3 249.354 2.838 20 0 BFADHN COc1ccc(F)cc1CN[C@@H]1C[C@@H](C)[C@H]1C ZINC000385895618 367877882 /nfs/dbraw/zinc/87/78/82/367877882.db2.gz DVUDAQOWWSZRPI-GIPNMCIBSA-N 0 3 237.318 2.968 20 0 BFADHN COc1cc(CNC[C@@H]2CC[C@H](C)C2)sn1 ZINC000399224593 367906684 /nfs/dbraw/zinc/90/66/84/367906684.db2.gz ZWVISYIEEUKTOX-VHSXEESVSA-N 0 3 240.372 2.678 20 0 BFADHN C[C@H]1C[C@H](NCC(C2CC2)C2CC2)c2nccn21 ZINC000386117711 367914300 /nfs/dbraw/zinc/91/43/00/367914300.db2.gz VLCDLNZVQHRQJV-HZMBPMFUSA-N 0 3 245.370 2.915 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)[C@@H]1C)C(=O)Nc1ccccc1 ZINC000385952203 367889402 /nfs/dbraw/zinc/88/94/02/367889402.db2.gz MXIFKMCNYDZHKH-OWTLIXCDSA-N 0 3 246.354 2.648 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2COCc3ccccc32)[C@@H]1C ZINC000386000647 367896064 /nfs/dbraw/zinc/89/60/64/367896064.db2.gz SGDJHJPAUIAZEU-FIXIBIHLSA-N 0 3 231.339 2.892 20 0 BFADHN CC[C@H](NCc1ccc([C@@H]2C[C@H]2C)o1)[C@@H](C)O ZINC000386951818 368038080 /nfs/dbraw/zinc/03/80/80/368038080.db2.gz XRBVYOUHRJFIGM-WFFHOREQSA-N 0 3 237.343 2.652 20 0 BFADHN C[C@@H]1C[C@H](N[C@H](c2ccccn2)C2CCC2)CO1 ZINC000623638808 368060590 /nfs/dbraw/zinc/06/05/90/368060590.db2.gz SKWAKWDLTBLODS-ZLDLUXBVSA-N 0 3 246.354 2.690 20 0 BFADHN CC[C@H](NCc1ccc(Cl)c(F)c1)[C@H](C)O ZINC000386739611 368015921 /nfs/dbraw/zinc/01/59/21/368015921.db2.gz DBCOXKYNIZRNOY-UFBFGSQYSA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@H](N[C@H](C)c1cc(F)cc(F)c1)[C@H](C)O ZINC000386894193 368033158 /nfs/dbraw/zinc/03/31/58/368033158.db2.gz NWNLQFIZWQXUAT-ZDMBXUJBSA-N 0 3 243.297 2.775 20 0 BFADHN COc1cc(C)nc(CN[C@@H]2CC23CCCC3)c1 ZINC000387245827 368131172 /nfs/dbraw/zinc/13/11/72/368131172.db2.gz JRJDONHBYJSGMM-CQSZACIVSA-N 0 3 246.354 2.821 20 0 BFADHN C=Cn1cc(CN[C@H]2CC23CCCCC3)cn1 ZINC000387159476 368083901 /nfs/dbraw/zinc/08/39/01/368083901.db2.gz QRPWIQCLXXZYHT-ZDUSSCGKSA-N 0 3 231.343 2.796 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H](C)n2ccnc21)C(C)(C)C ZINC000566348412 326035400 /nfs/dbraw/zinc/03/54/00/326035400.db2.gz DXMVYTMJEUUWHA-HBNTYKKESA-N 0 3 221.348 2.913 20 0 BFADHN CCn1cc([C@H](C)N[C@@H]2CC23CCCC3)cn1 ZINC000387264110 368138838 /nfs/dbraw/zinc/13/88/38/368138838.db2.gz LJFDHPUMNYGUNC-WCQYABFASA-N 0 3 233.359 2.886 20 0 BFADHN OCC[C@H](NCc1ccccc1)c1ccccc1 ZINC000038364280 368271657 /nfs/dbraw/zinc/27/16/57/368271657.db2.gz APGHVJNYLPMQFX-INIZCTEOSA-N 0 3 241.334 2.900 20 0 BFADHN COCCN(C)[C@H](C)c1ccc(Cl)cc1 ZINC000044894723 368423229 /nfs/dbraw/zinc/42/32/29/368423229.db2.gz IHOFKIVOSNOBMI-SNVBAGLBSA-N 0 3 227.735 2.979 20 0 BFADHN C[C@@H](CN(C)Cc1cccnc1N)C(C)(C)C ZINC000399684456 368475846 /nfs/dbraw/zinc/47/58/46/368475846.db2.gz WMJYXPNRKDQPPC-NSHDSACASA-N 0 3 235.375 2.778 20 0 BFADHN CC[C@@H](c1ccccc1)N1CCO[C@H](C)C1 ZINC000057861203 368501943 /nfs/dbraw/zinc/50/19/43/368501943.db2.gz XAAHDLYMPYMKLZ-OCCSQVGLSA-N 0 3 219.328 2.858 20 0 BFADHN Cc1ccc(F)c(CNC[C@H]2C[C@@H]2C)c1 ZINC000164108474 202874501 /nfs/dbraw/zinc/87/45/01/202874501.db2.gz KZZKHCBXWUFEEK-WDEREUQCSA-N 0 3 207.292 2.880 20 0 BFADHN CCCN(CCC)C(=O)CN1CCCC[C@@H]1C ZINC000061758445 368569024 /nfs/dbraw/zinc/56/90/24/368569024.db2.gz AGFFNMWYTYULJN-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1scc(CNCCOC(C)C)c1C ZINC000399821620 368610165 /nfs/dbraw/zinc/61/01/65/368610165.db2.gz VOFNFJBSEQWQMC-UHFFFAOYSA-N 0 3 227.373 2.880 20 0 BFADHN Cc1scc(CN[C@@H]2CCO[C@H]2C)c1C ZINC000399849463 368615789 /nfs/dbraw/zinc/61/57/89/368615789.db2.gz JVJRICFLUHJKTP-JOYOIKCWSA-N 0 3 225.357 2.632 20 0 BFADHN CCOCCN1CCC[C@H]1c1ccc(F)cc1 ZINC000066607399 368655411 /nfs/dbraw/zinc/65/54/11/368655411.db2.gz FBGPQXHHRFUWTO-AWEZNQCLSA-N 0 3 237.318 2.999 20 0 BFADHN CN(C)CC(C)(C)NC(=O)CCCC(C)(C)C ZINC000457201599 203017407 /nfs/dbraw/zinc/01/74/07/203017407.db2.gz GFZPLYYDPCKDMI-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN CCc1oc2ccccc2c1CN(C)CCCO ZINC000070785234 368763743 /nfs/dbraw/zinc/76/37/43/368763743.db2.gz ZWGJXENZQQLFMZ-UHFFFAOYSA-N 0 3 247.338 2.809 20 0 BFADHN CC(C)CN(Cc1nccn1C)CC(C)C ZINC000070921094 368766451 /nfs/dbraw/zinc/76/64/51/368766451.db2.gz MNOLLCAYYGDKBU-UHFFFAOYSA-N 0 3 223.364 2.534 20 0 BFADHN c1cnn(CCN2CCC[C@H]3CCCC[C@@H]32)c1 ZINC000069517811 368754642 /nfs/dbraw/zinc/75/46/42/368754642.db2.gz FAJOHUDLMFDKIP-KGLIPLIRSA-N 0 3 233.359 2.538 20 0 BFADHN Cc1ccoc1CNCC1(CCO)CCCC1 ZINC000072925896 368785533 /nfs/dbraw/zinc/78/55/33/368785533.db2.gz GIWZNFQRLRDTFU-UHFFFAOYSA-N 0 3 237.343 2.620 20 0 BFADHN C[C@H](NCc1ncccc1F)[C@@H]1CC1(C)C ZINC000309823950 326170482 /nfs/dbraw/zinc/17/04/82/326170482.db2.gz WYDWBRCLDLJSGB-UWVGGRQHSA-N 0 3 222.307 2.745 20 0 BFADHN Cc1cc(NC(=O)C(C)C)ccc1CN(C)C ZINC000458293126 203154992 /nfs/dbraw/zinc/15/49/92/203154992.db2.gz UFDQBBWTQHAHEY-UHFFFAOYSA-N 0 3 234.343 2.651 20 0 BFADHN Clc1cccc(CCN2CCCC2)c1 ZINC000459284967 203248428 /nfs/dbraw/zinc/24/84/28/203248428.db2.gz BQEMVLPUAROEAD-UHFFFAOYSA-N 0 3 209.720 2.978 20 0 BFADHN C[C@@H]1CN(CC2CCC(F)(F)CC2)C[C@H](C)O1 ZINC000459302274 203251225 /nfs/dbraw/zinc/25/12/25/203251225.db2.gz SDYWNWXLJDGFIS-PHIMTYICSA-N 0 3 247.329 2.921 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1cnc(C2CC2)nc1 ZINC000459306797 203252257 /nfs/dbraw/zinc/25/22/57/203252257.db2.gz RPAZZGMUVSYXGX-CQSZACIVSA-N 0 3 245.370 2.974 20 0 BFADHN CCC1CCN(CCn2cc(Cl)cn2)CC1 ZINC000459304683 203252801 /nfs/dbraw/zinc/25/28/01/203252801.db2.gz NVTOETADVRKDQN-UHFFFAOYSA-N 0 3 241.766 2.659 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cnc(C2CC2)nc1 ZINC000459308920 203253510 /nfs/dbraw/zinc/25/35/10/203253510.db2.gz UYGYYHYYNMLUPL-LLVKDONJSA-N 0 3 233.359 2.830 20 0 BFADHN Cc1cc(F)c(CNCCCF)c(F)c1 ZINC000567660981 326240125 /nfs/dbraw/zinc/24/01/25/326240125.db2.gz QZCJVLVHUYBTPK-UHFFFAOYSA-N 0 3 217.234 2.722 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1cc(C)on1 ZINC000459411524 203275712 /nfs/dbraw/zinc/27/57/12/203275712.db2.gz DOGQWNWZMJLRQO-VHSXEESVSA-N 0 3 210.321 2.897 20 0 BFADHN CCN(Cc1cnc(C2CC2)nc1)C(C)C ZINC000459319651 203255625 /nfs/dbraw/zinc/25/56/25/203255625.db2.gz XPRVUWBPPAMCNG-UHFFFAOYSA-N 0 3 219.332 2.584 20 0 BFADHN C[C@@H]1CCCN(CCn2cc(Cl)cn2)[C@@H]1C ZINC000459333368 203257607 /nfs/dbraw/zinc/25/76/07/203257607.db2.gz AXLBEHXCCOSPHH-GHMZBOCLSA-N 0 3 241.766 2.657 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cnc(C2CC2)nc1 ZINC000459338345 203262070 /nfs/dbraw/zinc/26/20/70/203262070.db2.gz GLAHROIMQIHQCN-BXUZGUMPSA-N 0 3 245.370 2.974 20 0 BFADHN C[C@H](N(C)Cc1cnc(C2CC2)nc1)C1(C)CC1 ZINC000459353723 203263953 /nfs/dbraw/zinc/26/39/53/203263953.db2.gz LFKFCQLXZSRDQW-NSHDSACASA-N 0 3 245.370 2.974 20 0 BFADHN CC1(C)CCCN(CCn2cc(Cl)cn2)C1 ZINC000459355303 203267975 /nfs/dbraw/zinc/26/79/75/203267975.db2.gz YLPDIELXJVBALF-UHFFFAOYSA-N 0 3 241.766 2.659 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1CCn1cc(Cl)cn1 ZINC000459483335 203288753 /nfs/dbraw/zinc/28/87/53/203288753.db2.gz YZVKSLATLJGMEH-PWSUYJOCSA-N 0 3 241.766 2.799 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@@H]2C)no1 ZINC000459517916 203296522 /nfs/dbraw/zinc/29/65/22/203296522.db2.gz AZUSUMKPBNEOOV-GXSJLCMTSA-N 0 3 208.305 2.509 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1cc(C)on1 ZINC000459411525 203276847 /nfs/dbraw/zinc/27/68/47/203276847.db2.gz DOGQWNWZMJLRQO-ZJUUUORDSA-N 0 3 210.321 2.897 20 0 BFADHN CC(C)C1(CNCc2cnc(C3CC3)nc2)CC1 ZINC000459437651 203280181 /nfs/dbraw/zinc/28/01/81/203280181.db2.gz ZKGZXEPJUNVSDM-UHFFFAOYSA-N 0 3 245.370 2.880 20 0 BFADHN OC[C@H]1C=C[C@@H](NCc2ccc(C3CC3)cc2)C1 ZINC000459454941 203282613 /nfs/dbraw/zinc/28/26/13/203282613.db2.gz DMDNCXRHNWJMGW-XJKSGUPXSA-N 0 3 243.350 2.591 20 0 BFADHN CCC1(CC)CN(CCC(C)(C)C(=O)OC)C1 ZINC000459598142 203312259 /nfs/dbraw/zinc/31/22/59/203312259.db2.gz RMVNZJGPPPMMSG-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN CC1(C)C(NCc2cnc(C3CC3)nc2)C1(C)C ZINC000459552820 203297605 /nfs/dbraw/zinc/29/76/05/203297605.db2.gz VMDYCVJKOQSMJO-UHFFFAOYSA-N 0 3 245.370 2.878 20 0 BFADHN FC1(CCNCc2cnc(C3CC3)nc2)CCC1 ZINC000459559485 203301908 /nfs/dbraw/zinc/30/19/08/203301908.db2.gz KKQXWQDPJMFMAF-UHFFFAOYSA-N 0 3 249.333 2.726 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NC/C=C/c1ccc(F)cc1 ZINC000623677688 369370815 /nfs/dbraw/zinc/37/08/15/369370815.db2.gz OGCFYKVIKDBINN-YJQVQVLHSA-N 0 3 249.329 2.996 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2CO[C@H](C)C2)cc1 ZINC000401872668 369372952 /nfs/dbraw/zinc/37/29/52/369372952.db2.gz ZSDPMEFFXFUQQC-NTZNESFSSA-N 0 3 235.327 2.523 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1cccs1 ZINC000401886079 369373147 /nfs/dbraw/zinc/37/31/47/369373147.db2.gz PMIQGGRGNSAZIE-BBBLOLIVSA-N 0 3 211.330 2.576 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@H]2CO[C@H](C)C2)s1 ZINC000401900562 369374350 /nfs/dbraw/zinc/37/43/50/369374350.db2.gz OHBZHIMEKPMKTG-POZPLHJXSA-N 0 3 240.372 2.588 20 0 BFADHN Cc1cc(CN[C@]2(C)CCCC[C@@H]2C)nn1C ZINC000459619656 203317783 /nfs/dbraw/zinc/31/77/83/203317783.db2.gz UREQBFODQZYMGC-SMDDNHRTSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@@H]2C2CC2)o1 ZINC000459670923 203332331 /nfs/dbraw/zinc/33/23/31/203332331.db2.gz FXWRCCXFUWDBJA-CHWSQXEVSA-N 0 3 220.316 2.651 20 0 BFADHN COCC1(C)CN(C[C@@H](C)Cc2ccccc2)C1 ZINC000459625543 203321222 /nfs/dbraw/zinc/32/12/22/203321222.db2.gz XJNNKVILTMDNKZ-AWEZNQCLSA-N 0 3 247.382 2.834 20 0 BFADHN OCCCN(C/C=C\c1ccccc1)C1CC1 ZINC000404299302 369425166 /nfs/dbraw/zinc/42/51/66/369425166.db2.gz FXCSJWKANJHEDZ-YWEYNIOJSA-N 0 3 231.339 2.547 20 0 BFADHN OCCCN(Cc1ccc2c(c1)CCC2)C1CC1 ZINC000404269339 369425911 /nfs/dbraw/zinc/42/59/11/369425911.db2.gz XSIRHVWCIRMAIU-UHFFFAOYSA-N 0 3 245.366 2.522 20 0 BFADHN Cc1cc(CNCCSCC(C)C)sn1 ZINC000404448621 369428976 /nfs/dbraw/zinc/42/89/76/369428976.db2.gz FIZLUJOLYUHRMN-UHFFFAOYSA-N 0 3 244.429 2.930 20 0 BFADHN CSC1(CNCc2cc(C)ns2)CCC1 ZINC000404462664 369429584 /nfs/dbraw/zinc/42/95/84/369429584.db2.gz PQVOEJZMWPFRPE-UHFFFAOYSA-N 0 3 242.413 2.827 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1ccc(C)nc1 ZINC000459672487 203332541 /nfs/dbraw/zinc/33/25/41/203332541.db2.gz ILZFPOAZOVOCES-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN CC(C)(C)C1CN(Cc2cccc3c2OCO3)C1 ZINC000459645500 203327999 /nfs/dbraw/zinc/32/79/99/203327999.db2.gz ZKMYVXSXUQZKES-UHFFFAOYSA-N 0 3 247.338 2.893 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1ccc(C)c(C)n1 ZINC000459682607 203335777 /nfs/dbraw/zinc/33/57/77/203335777.db2.gz ZCNVWQDTUDYHEZ-TZMCWYRMSA-N 0 3 218.344 2.977 20 0 BFADHN C=Cn1cc(CN(C)C2CC(C)(C)C2)cn1 ZINC000459724986 203342486 /nfs/dbraw/zinc/34/24/86/203342486.db2.gz FLSQQYUFUMAPNS-UHFFFAOYSA-N 0 3 219.332 2.604 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)C2CC(C)(C)C2)n1 ZINC000459730532 203344586 /nfs/dbraw/zinc/34/45/86/203344586.db2.gz KOCVKHMRGJORDM-UHFFFAOYSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)C2CC(C)(C)C2)n1 ZINC000459730532 203344589 /nfs/dbraw/zinc/34/45/89/203344589.db2.gz KOCVKHMRGJORDM-UHFFFAOYSA-N 0 3 234.343 2.716 20 0 BFADHN CCCCNCc1ccnn1CC1CCC1 ZINC000631029409 370955290 /nfs/dbraw/zinc/95/52/90/370955290.db2.gz AUMVWJJBPOOXKV-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@H]2C2CC2)o1 ZINC000459670926 203333179 /nfs/dbraw/zinc/33/31/79/203333179.db2.gz FXWRCCXFUWDBJA-QWHCGFSZSA-N 0 3 220.316 2.651 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H](C)C1)c1ccn(C)n1 ZINC000459747183 203354639 /nfs/dbraw/zinc/35/46/39/203354639.db2.gz JBDJOTCUURPGCM-AGIUHOORSA-N 0 3 235.375 2.897 20 0 BFADHN CCCC[C@H](C)N[C@@H](C)c1ccn(C)n1 ZINC000459753027 203355594 /nfs/dbraw/zinc/35/55/94/203355594.db2.gz NECSHAROILSTMZ-QWRGUYRKSA-N 0 3 209.337 2.649 20 0 BFADHN Cc1cc(CNC2(C3CCC3)CCC2)nn1C ZINC000459755320 203357227 /nfs/dbraw/zinc/35/72/27/203357227.db2.gz FDEAQVMJCADRPG-UHFFFAOYSA-N 0 3 233.359 2.541 20 0 BFADHN CCCn1ncnc1CNC1(C2CCC2)CCC1 ZINC000459760893 203357892 /nfs/dbraw/zinc/35/78/92/203357892.db2.gz SSXFAFHGMNAMFR-UHFFFAOYSA-N 0 3 248.374 2.501 20 0 BFADHN C[C@@H](NCCC(C)(C)C)c1ccn(C)n1 ZINC000459770512 203363289 /nfs/dbraw/zinc/36/32/89/203363289.db2.gz YPHPJBLFQURTKN-SNVBAGLBSA-N 0 3 209.337 2.507 20 0 BFADHN CCOCCCNCc1ccc(C(F)F)nc1 ZINC000631030592 370963519 /nfs/dbraw/zinc/96/35/19/370963519.db2.gz XCTDNJDTTHIZEZ-UHFFFAOYSA-N 0 3 244.285 2.535 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CC1(C)C)c1ccn(C)n1 ZINC000459742794 203350819 /nfs/dbraw/zinc/35/08/19/203350819.db2.gz GDVJEKYFGUKURL-GARJFASQSA-N 0 3 221.348 2.505 20 0 BFADHN CC[C@@H]1OCC[C@H]1NC/C=C/c1ccccc1 ZINC000459743829 203351169 /nfs/dbraw/zinc/35/11/69/203351169.db2.gz QHNCCISJMQUAEA-SIEMXDPISA-N 0 3 231.339 2.857 20 0 BFADHN COc1cncc(CN(C)C2CC(C)(C)C2)c1 ZINC000459743633 203352324 /nfs/dbraw/zinc/35/23/24/203352324.db2.gz CZGJKCNUNYEQCM-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](CCC(F)(F)F)N[C@H](C)c1ccn(C)n1 ZINC000459759781 203352942 /nfs/dbraw/zinc/35/29/42/203352942.db2.gz RFYICDQWEOLHIE-RKDXNWHRSA-N 0 3 249.280 2.802 20 0 BFADHN Cc1cccc(OCCN2C[C@@H]3C[C@H]2CS3)c1 ZINC000459797668 203374108 /nfs/dbraw/zinc/37/41/08/203374108.db2.gz SIRFUHNYYFAZPG-JSGCOSHPSA-N 0 3 249.379 2.564 20 0 BFADHN CC(C)(C)O[C@@H]1C[C@@H](NCCF)C1(C)C ZINC000459813137 203376513 /nfs/dbraw/zinc/37/65/13/203376513.db2.gz WLGQVMPIAXQTGF-NXEZZACHSA-N 0 3 217.328 2.528 20 0 BFADHN Cc1cc(CNCc2cc[nH]c2)ccc1F ZINC000165215372 203384258 /nfs/dbraw/zinc/38/42/58/203384258.db2.gz JAYIIPWGQMPIQD-UHFFFAOYSA-N 0 3 218.275 2.752 20 0 BFADHN COC[C@@H](N[C@H](C)c1cncc(F)c1)C(C)C ZINC000165943031 203384983 /nfs/dbraw/zinc/38/49/83/203384983.db2.gz UMZGYULIOYASHU-ZWNOBZJWSA-N 0 3 240.322 2.542 20 0 BFADHN C[C@@H](CN1CC[S@](=O)[C@@H](C)[C@H]1C)C(C)(C)C ZINC000619844578 369617046 /nfs/dbraw/zinc/61/70/46/369617046.db2.gz WBUIAEYILJDTNB-BUWBCJGYSA-N 0 3 245.432 2.510 20 0 BFADHN C[C@@H](CN1CC[S@@](=O)[C@@H](C)[C@H]1C)C(C)(C)C ZINC000619844576 369617627 /nfs/dbraw/zinc/61/76/27/369617627.db2.gz WBUIAEYILJDTNB-MEQWQQMJSA-N 0 3 245.432 2.510 20 0 BFADHN CC[C@H]1CCCN(Cc2snnc2C)CC1 ZINC000567990296 326292493 /nfs/dbraw/zinc/29/24/93/326292493.db2.gz QRDZEXAWQDPYMC-NSHDSACASA-N 0 3 239.388 2.859 20 0 BFADHN CCCCNC(=O)CN(C(CC)CC)C1CC1 ZINC000620119722 369739786 /nfs/dbraw/zinc/73/97/86/369739786.db2.gz ZKQHEPIWNMNFHP-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cnn(C(C)(C)C)c1 ZINC000158115209 326309588 /nfs/dbraw/zinc/30/95/88/326309588.db2.gz ZCSMEFDUOOLFJG-NSHDSACASA-N 0 3 235.375 2.868 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@H]2CCCN(C)C2)o1 ZINC000252112280 369997926 /nfs/dbraw/zinc/99/79/26/369997926.db2.gz JSKJXDGLOANQDI-MBNYWOFBSA-N 0 3 248.370 2.587 20 0 BFADHN CCN1CCC(N2c3ccccc3C[C@H]2C)CC1 ZINC000620937120 370063333 /nfs/dbraw/zinc/06/33/33/370063333.db2.gz UQEBWKHRDDBZFF-CYBMUJFWSA-N 0 3 244.382 2.922 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cncc(Cl)c1 ZINC000621245431 370180342 /nfs/dbraw/zinc/18/03/42/370180342.db2.gz DKRNLCYWAMLAQN-VIFPVBQESA-N 0 3 224.735 2.965 20 0 BFADHN CCN(Cc1cncc(Cl)c1)C[C@H](C)OC ZINC000621297372 370225383 /nfs/dbraw/zinc/22/53/83/370225383.db2.gz LURAABSTRCINLB-JTQLQIEISA-N 0 3 242.750 2.592 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)C(C)(C)C)nn1C ZINC000309564125 370230761 /nfs/dbraw/zinc/23/07/61/370230761.db2.gz LUXLTIOQSGWNFD-GHMZBOCLSA-N 0 3 223.364 2.814 20 0 BFADHN Cc1cc(CNC(C)(C)COC(C)C)ccn1 ZINC000621302225 370231450 /nfs/dbraw/zinc/23/14/50/370231450.db2.gz XSLPWELSEAOBAP-UHFFFAOYSA-N 0 3 236.359 2.683 20 0 BFADHN COCCCCN(C)Cc1cncc(Cl)c1 ZINC000621303153 370233494 /nfs/dbraw/zinc/23/34/94/370233494.db2.gz ZHVAFMWRNPJIRB-UHFFFAOYSA-N 0 3 242.750 2.593 20 0 BFADHN Cc1cc(CN2C[C@@H](C)S[C@H](C)C2)ccn1 ZINC000621259832 370192161 /nfs/dbraw/zinc/19/21/61/370192161.db2.gz JMSYQCCHBJOLOR-VXGBXAGGSA-N 0 3 236.384 2.716 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000309736929 370251858 /nfs/dbraw/zinc/25/18/58/370251858.db2.gz COCSJRKIOCAWFO-RRBDNODLSA-N 0 3 237.368 2.651 20 0 BFADHN CCOc1cncc(CNCCC(F)(F)F)c1 ZINC000621338741 370272465 /nfs/dbraw/zinc/27/24/65/370272465.db2.gz JWCZTEGBEDDJSJ-UHFFFAOYSA-N 0 3 248.248 2.522 20 0 BFADHN CCCc1ccc(CN[C@@H]2CCOC2)s1 ZINC000621342611 370275901 /nfs/dbraw/zinc/27/59/01/370275901.db2.gz CJQAJKNLPZBJKW-SNVBAGLBSA-N 0 3 225.357 2.579 20 0 BFADHN CCOc1cncc(CN[C@H]2CC23CCCC3)c1 ZINC000621349494 370284784 /nfs/dbraw/zinc/28/47/84/370284784.db2.gz PDIWCKDYMDAFTP-AWEZNQCLSA-N 0 3 246.354 2.903 20 0 BFADHN CC/C=C/CNCc1cncc(OCC)c1 ZINC000621359667 370294033 /nfs/dbraw/zinc/29/40/33/370294033.db2.gz RSTQFMHUNFJWIR-AATRIKPKSA-N 0 3 220.316 2.536 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1nccnc1C ZINC000621369761 370305375 /nfs/dbraw/zinc/30/53/75/370305375.db2.gz VOCNZDWZHKVIPW-NSHDSACASA-N 0 3 221.348 2.699 20 0 BFADHN c1cc(CN2CC3C[C@@H]4CC2C[C@H](C3)C4)no1 ZINC000077272167 370409588 /nfs/dbraw/zinc/40/95/88/370409588.db2.gz UWGIDLCZWUSMFE-KCXRBIIJSA-N 0 3 232.327 2.685 20 0 BFADHN CCC[C@H](N)C(=O)NC(CC(C)C)CC(C)C ZINC000158738514 326341302 /nfs/dbraw/zinc/34/13/02/326341302.db2.gz JSLDCVKLFKRLMY-ZDUSSCGKSA-N 0 3 242.407 2.691 20 0 BFADHN C[C@H](CCC1CCCC1)NCc1ncc[nH]1 ZINC000077450661 370419698 /nfs/dbraw/zinc/41/96/98/370419698.db2.gz WRWOEQYMFADTGE-LLVKDONJSA-N 0 3 221.348 2.858 20 0 BFADHN CCOc1cncc(CN2C[C@H](C)C[C@@H](C)C2)c1 ZINC000621709328 370454255 /nfs/dbraw/zinc/45/42/55/370454255.db2.gz RUXHQULCPBDGPM-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN CCOc1cncc(CN2CCC(C)CC2)c1 ZINC000621709092 370454777 /nfs/dbraw/zinc/45/47/77/370454777.db2.gz KYTGUYRDEZOMID-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCOc1cncc(CN(C)C2CCCC2)c1 ZINC000621710540 370455555 /nfs/dbraw/zinc/45/55/55/370455555.db2.gz FZODOCSZGLLGLD-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCCc1ccc(CN2CCC(O)CC2)s1 ZINC000621710191 370456335 /nfs/dbraw/zinc/45/63/35/370456335.db2.gz WIMQDAZCMYAGQH-UHFFFAOYSA-N 0 3 239.384 2.657 20 0 BFADHN Cc1nccnc1CN([C@H](C)C1CC1)C1CC1 ZINC000621712112 370457465 /nfs/dbraw/zinc/45/74/65/370457465.db2.gz SVCQIPLMHXYUOU-LLVKDONJSA-N 0 3 231.343 2.548 20 0 BFADHN CCOc1cncc(CN2C[C@@H]3CCC[C@H]3C2)c1 ZINC000621716977 370466164 /nfs/dbraw/zinc/46/61/64/370466164.db2.gz SUQKEJKTMPUVFS-KBPBESRZSA-N 0 3 246.354 2.712 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1nccnc1C ZINC000621728367 370479194 /nfs/dbraw/zinc/47/91/94/370479194.db2.gz NBIXACAASCGAJB-PWSUYJOCSA-N 0 3 219.332 2.548 20 0 BFADHN CCOc1cncc(CN2CC[C@@H](CC)C2)c1 ZINC000621719790 370470004 /nfs/dbraw/zinc/47/00/04/370470004.db2.gz WQNBCBRLAVPRMA-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN COC[C@H](C)CN1CC(Cc2ccsc2)C1 ZINC000621741117 370500620 /nfs/dbraw/zinc/50/06/20/370500620.db2.gz CJXHWMJFVDKXPV-LLVKDONJSA-N 0 3 239.384 2.505 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC[C@@H]1CC1(F)F ZINC000621767545 370509307 /nfs/dbraw/zinc/50/93/07/370509307.db2.gz GEIUAPWQTMXESX-NXEZZACHSA-N 0 3 243.301 2.599 20 0 BFADHN C[C@@H](NCC[C@H]1CC1(F)F)c1ccco1 ZINC000621770625 370513003 /nfs/dbraw/zinc/51/30/03/370513003.db2.gz UWAJFKAWXJACCU-BDAKNGLRSA-N 0 3 215.243 2.976 20 0 BFADHN CC1CC(CN[C@@H](c2nccn2C)C2CC2)C1 ZINC000621772087 370514779 /nfs/dbraw/zinc/51/47/79/370514779.db2.gz XMSFVBHYTKGCKZ-GCZXYKMCSA-N 0 3 233.359 2.507 20 0 BFADHN CCCc1ccc(CN2CC(CCO)C2)s1 ZINC000621730551 370484859 /nfs/dbraw/zinc/48/48/59/370484859.db2.gz OUDRVPLBBGRURB-UHFFFAOYSA-N 0 3 239.384 2.515 20 0 BFADHN CCCc1ccc(CNC2([C@H](C)O)CC2)s1 ZINC000621732872 370486807 /nfs/dbraw/zinc/48/68/07/370486807.db2.gz WOZFCVDNCNFGIO-JTQLQIEISA-N 0 3 239.384 2.704 20 0 BFADHN Cc1nccnc1CN1CCC[C@H](C2CCC2)C1 ZINC000621732596 370487103 /nfs/dbraw/zinc/48/71/03/370487103.db2.gz JPTHBCPOFDTXOP-AWEZNQCLSA-N 0 3 245.370 2.797 20 0 BFADHN COc1ccc(F)c(CN[C@@H]2C[C@H](C)[C@@H]2C)c1 ZINC000621733099 370488537 /nfs/dbraw/zinc/48/85/37/370488537.db2.gz AXDLTWNTQANZTI-PKFCDNJMSA-N 0 3 237.318 2.968 20 0 BFADHN Cc1nsc(C)c1CN1CC[C@H](C2CC2)C1 ZINC000568408879 326355422 /nfs/dbraw/zinc/35/54/22/326355422.db2.gz DVQPEJSADDOGKT-LBPRGKRZSA-N 0 3 236.384 2.992 20 0 BFADHN COc1nc(C)cc(C)c1CNCC(C)(C)C ZINC000067011504 370623874 /nfs/dbraw/zinc/62/38/74/370623874.db2.gz JVIHZRVNMUTNOO-UHFFFAOYSA-N 0 3 236.359 2.843 20 0 BFADHN CC(C)(C)NCc1ccnn1CC1CCC1 ZINC000631041223 370999460 /nfs/dbraw/zinc/99/94/60/370999460.db2.gz UKZQNFPKNZNOGB-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1nc(CNC2C[C@H](C)C[C@@H](C)C2)[nH]c1C ZINC000568534102 326364172 /nfs/dbraw/zinc/36/41/72/326364172.db2.gz USISMSWSDXAILG-NXEZZACHSA-N 0 3 235.375 2.941 20 0 BFADHN COCCCCNCc1ccc(C)cc1F ZINC000631042961 371007210 /nfs/dbraw/zinc/00/72/10/371007210.db2.gz OVCNHOMRNVRXGZ-UHFFFAOYSA-N 0 3 225.307 2.650 20 0 BFADHN CSCCNCc1cccc(Cl)c1 ZINC000070184010 371013539 /nfs/dbraw/zinc/01/35/39/371013539.db2.gz VLYHQTJSYSOXSB-UHFFFAOYSA-N 0 3 215.749 2.793 20 0 BFADHN CC1(C)C[C@H]1NCc1ccnn1CC1CCC1 ZINC000631048729 371070902 /nfs/dbraw/zinc/07/09/02/371070902.db2.gz JHLYHMRRPIWNEL-CYBMUJFWSA-N 0 3 233.359 2.571 20 0 BFADHN C/C=C/CNCc1ccc(-c2cnn(C)c2)s1 ZINC000623739638 371074829 /nfs/dbraw/zinc/07/48/29/371074829.db2.gz BGAKSYSEHRKHGW-ONEGZZNKSA-N 0 3 247.367 2.814 20 0 BFADHN Cc1cc(F)ccc1CN[C@H]1CO[C@@H](C2CC2)C1 ZINC000623753116 371082239 /nfs/dbraw/zinc/08/22/39/371082239.db2.gz RWUDQXJITAKEBK-HUUCEWRRSA-N 0 3 249.329 2.791 20 0 BFADHN CCc1ccc(CNCc2ccc[nH]c2=O)cc1 ZINC000623777216 371087678 /nfs/dbraw/zinc/08/76/78/371087678.db2.gz VARCWEOJHUGFBD-UHFFFAOYSA-N 0 3 242.322 2.639 20 0 BFADHN CCOCCCCN[C@H](C)c1nc(C)cs1 ZINC000623866809 371132487 /nfs/dbraw/zinc/13/24/87/371132487.db2.gz GZXBQVZNPFSYKA-LLVKDONJSA-N 0 3 242.388 2.919 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@H]1CCCc2scnc21 ZINC000623874684 371136739 /nfs/dbraw/zinc/13/67/39/371136739.db2.gz OEAAAWQDKTYJJL-BBBLOLIVSA-N 0 3 222.357 2.766 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@@H]1CCCc2scnc21 ZINC000623874686 371137270 /nfs/dbraw/zinc/13/72/70/371137270.db2.gz OEAAAWQDKTYJJL-OPRDCNLKSA-N 0 3 222.357 2.766 20 0 BFADHN CO[C@@H](C)CCN[C@@H](C)c1nc(C)cs1 ZINC000623883507 371140375 /nfs/dbraw/zinc/14/03/75/371140375.db2.gz CGLVJSIOOLPHDG-UWVGGRQHSA-N 0 3 228.361 2.527 20 0 BFADHN CO[C@H]1C[C@H](NCc2nccc3ccccc32)C1 ZINC000623807585 371102454 /nfs/dbraw/zinc/10/24/54/371102454.db2.gz KOZHVWHNYSFXES-JOCQHMNTSA-N 0 3 242.322 2.502 20 0 BFADHN Cc1cccc(C)c1CN1C[C@@H](C)OC[C@@H]1C ZINC000154337296 371105236 /nfs/dbraw/zinc/10/52/36/371105236.db2.gz NMKNVCYPKPBLON-UONOGXRCSA-N 0 3 233.355 2.913 20 0 BFADHN Cc1cc(CNCCc2ccc(C)cc2C)on1 ZINC000631051984 371122869 /nfs/dbraw/zinc/12/28/69/371122869.db2.gz LQKYYJHEEXRXON-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN CC[C@H](O)CCCN[C@@H](C)c1nc(C)cs1 ZINC000623917170 371154473 /nfs/dbraw/zinc/15/44/73/371154473.db2.gz IDSWZMKKKWPGCA-QWRGUYRKSA-N 0 3 242.388 2.653 20 0 BFADHN CSCCN[C@H]1CCCc2nc(C)sc21 ZINC000154798968 371172829 /nfs/dbraw/zinc/17/28/29/371172829.db2.gz NHAFUBNZYUJNBX-VIFPVBQESA-N 0 3 242.413 2.782 20 0 BFADHN CCc1ccc([C@@H](C)NCCSC)o1 ZINC000154824032 371176116 /nfs/dbraw/zinc/17/61/16/371176116.db2.gz IKOMTLCEURSRDJ-SECBINFHSA-N 0 3 213.346 2.856 20 0 BFADHN Cc1cc(C)c(CN[C@H](C)[C@@H]2CCCCO2)cn1 ZINC000623996891 371229348 /nfs/dbraw/zinc/22/93/48/371229348.db2.gz VQQTZGFSJZLPJV-HIFRSBDPSA-N 0 3 248.370 2.746 20 0 BFADHN COC(=O)c1coc([C@H](C)NCCC2CC2)c1 ZINC000278868797 371245706 /nfs/dbraw/zinc/24/57/06/371245706.db2.gz WUBYPXNVKOBQAE-VIFPVBQESA-N 0 3 237.299 2.517 20 0 BFADHN Cc1cccc([C@H](NC/C=C\CO)C(C)C)c1 ZINC000624011499 371247704 /nfs/dbraw/zinc/24/77/04/371247704.db2.gz BHZZZACRJQUNCX-FOSCPCJNSA-N 0 3 233.355 2.830 20 0 BFADHN CCCC[C@@H](C)[C@H](C)NCc1cnnn1CC ZINC000624026428 371256627 /nfs/dbraw/zinc/25/66/27/371256627.db2.gz USZLAWOVQJSDNH-NEPJUHHUSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1ccsc1CN[C@H](C)c1cnn(C)c1C ZINC000020351414 371268757 /nfs/dbraw/zinc/26/87/57/371268757.db2.gz DBJPHPKYBOJTLM-SNVBAGLBSA-N 0 3 249.383 2.949 20 0 BFADHN CCC[C@H](CN[C@H](C)c1ccncc1)OCC ZINC000624049195 371274549 /nfs/dbraw/zinc/27/45/49/371274549.db2.gz BKUCCSHRUKODRP-TZMCWYRMSA-N 0 3 236.359 2.937 20 0 BFADHN CCC[C@@H](O)CNCc1csc(C)c1C ZINC000631070714 371310424 /nfs/dbraw/zinc/31/04/24/371310424.db2.gz DNPUIDJWZLODMR-GFCCVEGCSA-N 0 3 227.373 2.616 20 0 BFADHN Cc1ccc(CNC[C@@H](O)C(C)(C)C)c(F)c1 ZINC000631070740 371310951 /nfs/dbraw/zinc/31/09/51/371310951.db2.gz FDRFCQAGCRESDP-CYBMUJFWSA-N 0 3 239.334 2.631 20 0 BFADHN CC(C)CC[C@H](CO)N[C@@H](C)c1ccoc1 ZINC000624110236 371315682 /nfs/dbraw/zinc/31/56/82/371315682.db2.gz SNILGGRELSKOCT-WCQYABFASA-N 0 3 225.332 2.727 20 0 BFADHN C/C=C\CN[C@@H]1CCc2c1cccc2OC ZINC000624197411 371385852 /nfs/dbraw/zinc/38/58/52/371385852.db2.gz LEFIXZJPGDHTKT-DHCBQETCSA-N 0 3 217.312 2.848 20 0 BFADHN C/C=C/CN[C@@H](c1cccnc1)C(C)C ZINC000624198518 371387179 /nfs/dbraw/zinc/38/71/79/371387179.db2.gz QRLQPWGUZGLBOP-MUBLQREKSA-N 0 3 204.317 2.944 20 0 BFADHN CC[C@@H](COC)NCc1ccc(C)cc1F ZINC000631076652 371370614 /nfs/dbraw/zinc/37/06/14/371370614.db2.gz OCOFSYDOJBNQPS-LBPRGKRZSA-N 0 3 225.307 2.649 20 0 BFADHN Cn1cc(CN(C2CC2)C2CCCCC2)cn1 ZINC000624188671 371377383 /nfs/dbraw/zinc/37/73/83/371377383.db2.gz JMGMEKXRSSYLQQ-UHFFFAOYSA-N 0 3 233.359 2.717 20 0 BFADHN CSCCN[C@@H]1CCc2c1cc(F)cc2F ZINC000125927651 371451243 /nfs/dbraw/zinc/45/12/43/371451243.db2.gz GRBZDCHJJZYQHH-GFCCVEGCSA-N 0 3 243.322 2.905 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2sc(C)nc2C)C1 ZINC000624296621 371461679 /nfs/dbraw/zinc/46/16/79/371461679.db2.gz BQTNIFSWBLRLBH-LSJOCFKGSA-N 0 3 240.372 2.588 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H]1C[C@H](OC)C1 ZINC000624297274 371463655 /nfs/dbraw/zinc/46/36/55/371463655.db2.gz URCYBCKASPNNIX-IJLUTSLNSA-N 0 3 235.327 2.523 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2C[C@H](OC)C2)o1 ZINC000624298291 371464203 /nfs/dbraw/zinc/46/42/03/371464203.db2.gz PIGNTVNBSVWWLY-NHCYSSNCSA-N 0 3 223.316 2.670 20 0 BFADHN CCC[C@@H](N[C@H]1C[C@H](OC)C1)c1ccccn1 ZINC000624299480 371465583 /nfs/dbraw/zinc/46/55/83/371465583.db2.gz MTHRHTKIAQMQJK-YRGRVCCFSA-N 0 3 234.343 2.690 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2ccc(Cl)cn2)C1 ZINC000624299491 371465676 /nfs/dbraw/zinc/46/56/76/371465676.db2.gz NGGHSANSAVMEPO-LSJOCFKGSA-N 0 3 240.734 2.563 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2csc(C)c2)C1 ZINC000624300467 371467990 /nfs/dbraw/zinc/46/79/90/371467990.db2.gz IBHADBILDYLWOO-YUSALJHKSA-N 0 3 225.357 2.885 20 0 BFADHN CO[C@H]1C[C@@H](CN[C@H](C)c2csc(C)n2)C1 ZINC000624311173 371474037 /nfs/dbraw/zinc/47/40/37/371474037.db2.gz ULEQYYJJBSSOBP-IEBDPFPHSA-N 0 3 240.372 2.527 20 0 BFADHN C[C@H](NC1(C)CC1)c1ccc(Cl)nc1 ZINC000306425647 372019047 /nfs/dbraw/zinc/01/90/47/372019047.db2.gz HKQADQFCAUNISO-QMMMGPOBSA-N 0 3 210.708 2.938 20 0 BFADHN Clc1cnccc1CNC[C@H]1CCSC1 ZINC000228565435 372048772 /nfs/dbraw/zinc/04/87/72/372048772.db2.gz SBCCCZHJIKKAAZ-SECBINFHSA-N 0 3 242.775 2.578 20 0 BFADHN Cc1ccc(CCNCc2ccoc2)cc1 ZINC000164096521 372097388 /nfs/dbraw/zinc/09/73/88/372097388.db2.gz ULHIFPHEJUQJKL-UHFFFAOYSA-N 0 3 215.296 2.920 20 0 BFADHN COCC(C)(C)CNCc1ccc(C)cc1F ZINC000631141713 372207867 /nfs/dbraw/zinc/20/78/67/372207867.db2.gz HNEYAGNGUNZWSH-UHFFFAOYSA-N 0 3 239.334 2.896 20 0 BFADHN Cc1ccc(CN[C@H]2CC23CC3)c(F)c1 ZINC000631163763 372213663 /nfs/dbraw/zinc/21/36/63/372213663.db2.gz UQFJCHJOACHNTE-LBPRGKRZSA-N 0 3 205.276 2.776 20 0 BFADHN CCC[C@H](CCO)NCc1ccc(Cl)o1 ZINC000631196129 372227927 /nfs/dbraw/zinc/22/79/27/372227927.db2.gz IEVCLQXDVLNFLM-SECBINFHSA-N 0 3 231.723 2.574 20 0 BFADHN Cc1cn[nH]c1CNCc1csc(C)c1C ZINC000631205738 372235520 /nfs/dbraw/zinc/23/55/20/372235520.db2.gz FBZDCRVZYWLEML-UHFFFAOYSA-N 0 3 235.356 2.686 20 0 BFADHN CC[C@@H](CNCc1ccc(C(F)F)nc1)OC ZINC000631141287 372207051 /nfs/dbraw/zinc/20/70/51/372207051.db2.gz UBOISUKMTQRNCP-JTQLQIEISA-N 0 3 244.285 2.534 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H](C)COC(C)C)s1 ZINC000631227699 372248952 /nfs/dbraw/zinc/24/89/52/372248952.db2.gz KQHPSKBOMJKCRI-NXEZZACHSA-N 0 3 242.388 2.916 20 0 BFADHN c1c(CN[C@@]23C[C@@H]2CCCC3)nn2c1CCCC2 ZINC000631227925 372250286 /nfs/dbraw/zinc/25/02/86/372250286.db2.gz UZTAVGYJVDTKOE-WFASDCNBSA-N 0 3 245.370 2.642 20 0 BFADHN c1c(CN[C@]23C[C@H]2CCCC3)nn2c1CCCC2 ZINC000631227922 372250540 /nfs/dbraw/zinc/25/05/40/372250540.db2.gz UZTAVGYJVDTKOE-IUODEOHRSA-N 0 3 245.370 2.642 20 0 BFADHN CCC[C@H](CN[C@H]1CCCc2ncccc21)OC ZINC000631635837 372473751 /nfs/dbraw/zinc/47/37/51/372473751.db2.gz ZPZLEQZZDVQEHP-DOMZBBRYSA-N 0 3 248.370 2.864 20 0 BFADHN CCC[C@H](NC[C@H](C)N(C)C)c1cc(C)ccn1 ZINC000631578319 372437303 /nfs/dbraw/zinc/43/73/03/372437303.db2.gz RCBPJSMDSLQLDI-KBPBESRZSA-N 0 3 249.402 2.771 20 0 BFADHN c1cnc2c(c1)[C@@H](N[C@@H]1CCSC1)CCC2 ZINC000631596017 372448755 /nfs/dbraw/zinc/44/87/55/372448755.db2.gz DGGGTMXRGKZGDV-MFKMUULPSA-N 0 3 234.368 2.554 20 0 BFADHN CC1(C)C[C@H]1N[C@@H]1CCCc2ncccc21 ZINC000631604579 372453266 /nfs/dbraw/zinc/45/32/66/372453266.db2.gz KEMKOJWNBJTQCY-CHWSQXEVSA-N 0 3 216.328 2.847 20 0 BFADHN C/C=C/CN[C@H]1CCc2cc(OC)ccc21 ZINC000631651687 372482156 /nfs/dbraw/zinc/48/21/56/372482156.db2.gz VTIQTBMFUFYVTB-XGACYXMMSA-N 0 3 217.312 2.848 20 0 BFADHN Cc1ccc(CNC2CC(CF)(CF)C2)o1 ZINC000631730622 372535234 /nfs/dbraw/zinc/53/52/34/372535234.db2.gz ZGYDTQTZVMJMCU-UHFFFAOYSA-N 0 3 229.270 2.765 20 0 BFADHN C[C@@H](CC1CCC1)N[C@@H]1CCCn2ccnc21 ZINC000631738974 372539290 /nfs/dbraw/zinc/53/92/90/372539290.db2.gz ZHWHFAPYQNWNNI-WCQYABFASA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCCC23CC3)no1 ZINC000631661603 372486276 /nfs/dbraw/zinc/48/62/76/372486276.db2.gz DANZSFJRIPNYKJ-JQWIXIFHSA-N 0 3 220.316 2.966 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCCC23CC3)no1 ZINC000631661605 372486490 /nfs/dbraw/zinc/48/64/90/372486490.db2.gz DANZSFJRIPNYKJ-PWSUYJOCSA-N 0 3 220.316 2.966 20 0 BFADHN CCCC[C@@H](COC)NCC(C)(C)F ZINC000631660755 372486580 /nfs/dbraw/zinc/48/65/80/372486580.db2.gz YKZIEBWVMUVSLZ-JTQLQIEISA-N 0 3 205.317 2.529 20 0 BFADHN c1cn2c(n1)[C@@H](N[C@@H]1CCCC13CC3)CCC2 ZINC000631662358 372487810 /nfs/dbraw/zinc/48/78/10/372487810.db2.gz FXXJQFWMJPVECQ-NWDGAFQWSA-N 0 3 231.343 2.640 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@H]1CCCC12CC2 ZINC000631665969 372491005 /nfs/dbraw/zinc/49/10/05/372491005.db2.gz VELIFLBAMORXRV-NEPJUHHUSA-N 0 3 231.343 2.768 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2C[C@@H](C)O[C@H]2C)c1 ZINC000631702943 372512741 /nfs/dbraw/zinc/51/27/41/372512741.db2.gz LYYUXESMEKVWNE-OWTLIXCDSA-N 0 3 234.343 2.607 20 0 BFADHN c1n[nH]cc1C1CCN(Cc2ccccc2)CC1 ZINC000140736208 372770549 /nfs/dbraw/zinc/77/05/49/372770549.db2.gz XTECECLRKFVZKL-UHFFFAOYSA-N 0 3 241.338 2.789 20 0 BFADHN CC[C@@H]1CN(C[C@@H](C)c2ccccc2)CCO1 ZINC000172011654 372856621 /nfs/dbraw/zinc/85/66/21/372856621.db2.gz QLFDUFYRCDHGLA-UKRRQHHQSA-N 0 3 233.355 2.901 20 0 BFADHN C/C=C\CNCc1cccc(OCC)c1 ZINC000379603994 373027635 /nfs/dbraw/zinc/02/76/35/373027635.db2.gz NOWAIHHWUMZQFX-HYXAFXHYSA-N 0 3 205.301 2.751 20 0 BFADHN CCOC[C@@H](NCc1cnc(C)s1)C(C)C ZINC000188866286 205843929 /nfs/dbraw/zinc/84/39/29/205843929.db2.gz XEVZOQHHVMIZOD-GFCCVEGCSA-N 0 3 242.388 2.602 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCC[C@@H](C)C2)o1 ZINC000381905579 374163279 /nfs/dbraw/zinc/16/32/79/374163279.db2.gz WRSRSAXKPXQZRY-BXKDBHETSA-N 0 3 222.332 2.960 20 0 BFADHN CC(C)[C@H](NC[C@H](C)O)c1ccc(F)cc1F ZINC000192786258 205963121 /nfs/dbraw/zinc/96/31/21/205963121.db2.gz SAZSYUDIGARAIH-ZANVPECISA-N 0 3 243.297 2.632 20 0 BFADHN C[C@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)[C@@H](C)CO1 ZINC000459306944 206008216 /nfs/dbraw/zinc/00/82/16/206008216.db2.gz QKLFRTYUIJJLQW-SDADXPQNSA-N 0 3 245.366 2.899 20 0 BFADHN COCc1ccc(CN[C@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000459739954 206013089 /nfs/dbraw/zinc/01/30/89/206013089.db2.gz BCMKNGPRSHDREP-SWHYSGLUSA-N 0 3 235.327 2.704 20 0 BFADHN Cc1cc(OC[C@H]2CCO[C@@H]2C)c(C)c(C)n1 ZINC000634550177 374305322 /nfs/dbraw/zinc/30/53/22/374305322.db2.gz RHNOUORXPUHDJE-CHWSQXEVSA-N 0 3 235.327 2.811 20 0 BFADHN COc1ccnc(CN(C)C2CC(C)(C)C2)c1 ZINC000459742484 206013659 /nfs/dbraw/zinc/01/36/59/206013659.db2.gz OXBOPCRSLBOVLJ-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1sc(C)nc1C ZINC000191651800 206017036 /nfs/dbraw/zinc/01/70/36/206017036.db2.gz OZASNAWQMOZHEU-NRPADANISA-N 0 3 242.388 2.834 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2CC23CC3)c(OC)c1 ZINC000424328866 206037614 /nfs/dbraw/zinc/03/76/14/206037614.db2.gz RBKWNRZVWVKSAM-IINYFYTJSA-N 0 3 247.338 2.907 20 0 BFADHN CCc1nc(C)c(CN(CC)CC2CC2)o1 ZINC000428232506 206056647 /nfs/dbraw/zinc/05/66/47/206056647.db2.gz ZTNCYDQERUZJCS-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN CCOC(=O)CC1(NC[C@@H](F)CC)CCCC1 ZINC000440489898 206080135 /nfs/dbraw/zinc/08/01/35/206080135.db2.gz OSHCDGITTPJDIS-NSHDSACASA-N 0 3 245.338 2.590 20 0 BFADHN CC[C@@H](F)CN1CCN(CCC(C)(C)C)CC1 ZINC000440697025 206080409 /nfs/dbraw/zinc/08/04/09/206080409.db2.gz KPHRQROXUQUART-CYBMUJFWSA-N 0 3 244.398 2.788 20 0 BFADHN COc1cc([C@@H](C)NCCC(C)(F)F)ccn1 ZINC000451026432 206105808 /nfs/dbraw/zinc/10/58/08/206105808.db2.gz FHDVVXBHGWHYQQ-SECBINFHSA-N 0 3 244.285 2.786 20 0 BFADHN CSc1ccccc1[C@H](C)NCC[C@@H](C)O ZINC000194891909 206112960 /nfs/dbraw/zinc/11/29/60/206112960.db2.gz HOGJNLOCFCGLPP-MNOVXSKESA-N 0 3 239.384 2.830 20 0 BFADHN CCCCNC(C)(C)c1c(C)noc1C ZINC000285730615 168865088 /nfs/dbraw/zinc/86/50/88/168865088.db2.gz QQITXEWBFOLRRH-UHFFFAOYSA-N 0 3 210.321 2.916 20 0 BFADHN C[C@@H](Nc1cccc(CN(C)C)c1)[C@@H]1CCOC1 ZINC000165139582 206138943 /nfs/dbraw/zinc/13/89/43/206138943.db2.gz JMBKGURQPZYWGD-TZMCWYRMSA-N 0 3 248.370 2.585 20 0 BFADHN C[C@@H](Nc1cccc(CN(C)C)c1)[C@H]1CCOC1 ZINC000165139814 206139379 /nfs/dbraw/zinc/13/93/79/206139379.db2.gz JMBKGURQPZYWGD-OCCSQVGLSA-N 0 3 248.370 2.585 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1cc(C)on1 ZINC000459578231 206135137 /nfs/dbraw/zinc/13/51/37/206135137.db2.gz NANYFTWTKBYCHN-NWDGAFQWSA-N 0 3 222.332 2.899 20 0 BFADHN CCCCNCc1ncc(C)c(OC)c1C ZINC000020044434 168871321 /nfs/dbraw/zinc/87/13/21/168871321.db2.gz KOCQNKRHBYMVTL-UHFFFAOYSA-N 0 3 222.332 2.597 20 0 BFADHN OC1(CNCc2cc(Cl)cs2)CCCC1 ZINC000086333016 183020336 /nfs/dbraw/zinc/02/03/36/183020336.db2.gz OFVOCUFWDBIDLO-UHFFFAOYSA-N 0 3 245.775 2.796 20 0 BFADHN OC1(CNCc2cc3cc(F)ccc3o2)CCC1 ZINC000304358406 183020474 /nfs/dbraw/zinc/02/04/74/183020474.db2.gz XFZZKCHVLZFHRY-UHFFFAOYSA-N 0 3 249.285 2.577 20 0 BFADHN OCC1(NCc2ccc(C3CCCC3)cc2)CC1 ZINC000360963559 183038310 /nfs/dbraw/zinc/03/83/10/183038310.db2.gz DPGKWOVLQBBMRA-UHFFFAOYSA-N 0 3 245.366 2.959 20 0 BFADHN OCC1(Nc2ccnc3ccccc32)CCC1 ZINC000163778596 183039832 /nfs/dbraw/zinc/03/98/32/183039832.db2.gz FHDSBZNYLMSPFS-UHFFFAOYSA-N 0 3 228.295 2.562 20 0 BFADHN OCCCN[C@H](CC(F)(F)F)c1ccccc1 ZINC000037236625 183059910 /nfs/dbraw/zinc/05/99/10/183059910.db2.gz KNVGMPXGVCVQMY-LLVKDONJSA-N 0 3 247.260 2.652 20 0 BFADHN OCC[C@@H]1CCCN1Cc1ccccc1Cl ZINC000303743451 183092972 /nfs/dbraw/zinc/09/29/72/183092972.db2.gz ZREQBOZHRRJFMW-LBPRGKRZSA-N 0 3 239.746 2.687 20 0 BFADHN OC[C@@H]1CCCN(C/C=C/c2ccc(F)cc2)C1 ZINC000156401150 183117595 /nfs/dbraw/zinc/11/75/95/183117595.db2.gz WDEYRCMHNKYKRW-XYHHVPKHSA-N 0 3 249.329 2.543 20 0 BFADHN CCCC[C@H](N)C(=O)NCCC1CCCCC1 ZINC000037740260 169006142 /nfs/dbraw/zinc/00/61/42/169006142.db2.gz SBULAMKIIIKJLC-ZDUSSCGKSA-N 0 3 240.391 2.591 20 0 BFADHN OC[C@@H]1CCCN([C@H]2CCc3ccc(F)cc32)C1 ZINC000267125643 183119589 /nfs/dbraw/zinc/11/95/89/183119589.db2.gz BOEGTOYGUWWQGD-ABAIWWIYSA-N 0 3 249.329 2.517 20 0 BFADHN CCCC[C@H](NC(=O)[C@H](N)CCC)C1CCC1 ZINC000236873833 169010432 /nfs/dbraw/zinc/01/04/32/169010432.db2.gz MTOSOFJWDFVEBK-OLZOCXBDSA-N 0 3 240.391 2.589 20 0 BFADHN CCCC[C@H](CC)NCc1snnc1C ZINC000336750198 169000021 /nfs/dbraw/zinc/00/00/21/169000021.db2.gz DRZNOQGMGIZEGH-JTQLQIEISA-N 0 3 227.377 2.905 20 0 BFADHN OC[C@@H](N[C@H]1CCCc2occc21)C1CCCC1 ZINC000290307721 183109548 /nfs/dbraw/zinc/10/95/48/183109548.db2.gz ZKIMJZPMYLBYIM-UONOGXRCSA-N 0 3 249.354 2.798 20 0 BFADHN OC[C@H](N[C@@H]1CCC12CCC2)c1ccc(F)cc1 ZINC000282119369 183141804 /nfs/dbraw/zinc/14/18/04/183141804.db2.gz JJUIZOFILSQDLJ-UONOGXRCSA-N 0 3 249.329 2.781 20 0 BFADHN OC[C@H](N[C@H]1CCCc2occc21)C1CCCC1 ZINC000290307718 183142875 /nfs/dbraw/zinc/14/28/75/183142875.db2.gz ZKIMJZPMYLBYIM-KBPBESRZSA-N 0 3 249.354 2.798 20 0 BFADHN CCCCc1oc2ccccc2c1CNCCO ZINC000035112180 169032929 /nfs/dbraw/zinc/03/29/29/169032929.db2.gz KOMDXPTVUMSCBR-UHFFFAOYSA-N 0 3 247.338 2.857 20 0 BFADHN OC[C@H]1CCC[C@@H](NCc2ccc(Cl)o2)C1 ZINC000304687164 183151970 /nfs/dbraw/zinc/15/19/70/183151970.db2.gz ZHZFJBHKINQPMM-VHSXEESVSA-N 0 3 243.734 2.574 20 0 BFADHN O[C@@H](CN1CC[C@@H](C2CC2)C1)c1ccccc1F ZINC000363491016 183177306 /nfs/dbraw/zinc/17/73/06/183177306.db2.gz RMDCOMYFTMAVLZ-DOMZBBRYSA-N 0 3 249.329 2.591 20 0 BFADHN O[C@@H](CN1CCCCC1)c1ccccc1Cl ZINC000019784937 183175332 /nfs/dbraw/zinc/17/53/32/183175332.db2.gz VSXWDYRGQGTSCR-ZDUSSCGKSA-N 0 3 239.746 2.859 20 0 BFADHN O[C@@H]1CCCC[C@@H]1N[C@@H]1CCCc2occc21 ZINC000228392933 183189103 /nfs/dbraw/zinc/18/91/03/183189103.db2.gz CROJXLASTVREQY-FRRDWIJNSA-N 0 3 235.327 2.550 20 0 BFADHN CCCN(C)CC(=O)N(CC)CC(CC)CC ZINC000358553192 169064435 /nfs/dbraw/zinc/06/44/35/169064435.db2.gz DSMHOBJZVJMGQR-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN O[C@@H]1CCCN(Cc2cc3cc(F)ccc3o2)C1 ZINC000305736632 183193615 /nfs/dbraw/zinc/19/36/15/183193615.db2.gz TWPWIXFRGKDFKZ-GFCCVEGCSA-N 0 3 249.285 2.529 20 0 BFADHN CCCN(CC(=O)OC)C[C@@H]1CCC[C@@H](C)C1 ZINC000310405256 169099986 /nfs/dbraw/zinc/09/99/86/169099986.db2.gz LMMKYLLUJHSKDW-CHWSQXEVSA-N 0 3 241.375 2.698 20 0 BFADHN CCCN(C)Cc1cc(F)c(F)c(F)c1 ZINC000127552257 169081521 /nfs/dbraw/zinc/08/15/21/169081521.db2.gz QJBPIUIGFVMNGD-UHFFFAOYSA-N 0 3 217.234 2.946 20 0 BFADHN O[C@H]1CCCC[C@@H]1NCc1cc(Cl)cs1 ZINC000086332735 183231139 /nfs/dbraw/zinc/23/11/39/183231139.db2.gz SAFQBUAJPNHNON-QWRGUYRKSA-N 0 3 245.775 2.795 20 0 BFADHN CCCN(C)[C@H](C)C(=O)Nc1cc(C)ccc1C ZINC000069091995 169085406 /nfs/dbraw/zinc/08/54/06/169085406.db2.gz XOFNYEAZIPEXEU-CYBMUJFWSA-N 0 3 248.370 2.972 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)N1CCCC[C@@H]1CC ZINC000123625600 169087164 /nfs/dbraw/zinc/08/71/64/169087164.db2.gz BPYGYBKAGXBWPW-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN CCCN(CC(=O)N[C@@H](C)C(C)C)CC(C)C ZINC000355439115 169096698 /nfs/dbraw/zinc/09/66/98/169096698.db2.gz ZFCQWWPGBZSRPD-ZDUSSCGKSA-N 0 3 242.407 2.515 20 0 BFADHN CCCN(CC)C(=O)[C@@H](C)N1CCCCCC1 ZINC000357772666 169115392 /nfs/dbraw/zinc/11/53/92/169115392.db2.gz PYMIHUVKCIJZHW-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN O[C@H]1CCCC[C@H]1NCc1ccccc1Cl ZINC000037490701 183233821 /nfs/dbraw/zinc/23/38/21/183233821.db2.gz OBOQRKKXOSYEHB-OLZOCXBDSA-N 0 3 239.746 2.733 20 0 BFADHN O[C@H]1CCCN(Cc2ccc(Cl)cc2)CC1 ZINC000293031333 183234932 /nfs/dbraw/zinc/23/49/32/183234932.db2.gz GIECYSIESRQJIJ-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN O[C@H]1CCCN(c2ccnc3ccccc32)CC1 ZINC000294085366 183235682 /nfs/dbraw/zinc/23/56/82/183235682.db2.gz NWWHJTKSYHIXLO-LBPRGKRZSA-N 0 3 242.322 2.586 20 0 BFADHN CCC[NH+](CCC)Cc1nc(C)ccc1[O-] ZINC000132497039 169150169 /nfs/dbraw/zinc/15/01/69/169150169.db2.gz NDLQTHWYUVAOMH-UHFFFAOYSA-N 0 3 222.332 2.718 20 0 BFADHN CCCN(CCC)Cc1cncc(OC)c1 ZINC000290300930 169150772 /nfs/dbraw/zinc/15/07/72/169150772.db2.gz NPHBDDUNIJQGMK-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CCCN(CC)Cc1cn2cc(C)ccc2n1 ZINC000299452181 169128789 /nfs/dbraw/zinc/12/87/89/169128789.db2.gz WYJDRBSMJNJXDN-UHFFFAOYSA-N 0 3 231.343 2.875 20 0 BFADHN CCCN(CC)Cc1ccc(C(=O)OC)s1 ZINC000268101278 169129297 /nfs/dbraw/zinc/12/92/97/169129297.db2.gz AEVNSSUSCOKBJI-UHFFFAOYSA-N 0 3 241.356 2.767 20 0 BFADHN CCCN(CC)Cc1cnc2ccc(C)cn12 ZINC000271001462 169130392 /nfs/dbraw/zinc/13/03/92/169130392.db2.gz LFSVVRKZNXAISQ-UHFFFAOYSA-N 0 3 231.343 2.875 20 0 BFADHN CCCN(CC)Cc1ccc(CC)cn1 ZINC000338935540 169130535 /nfs/dbraw/zinc/13/05/35/169130535.db2.gz ZFEQOCVRSMIISQ-UHFFFAOYSA-N 0 3 206.333 2.876 20 0 BFADHN CCCN(CC)[C@@H](CC(C)C)C(=O)OCC ZINC000360009238 169131080 /nfs/dbraw/zinc/13/10/80/169131080.db2.gz BHHVZABXMCSCBX-LBPRGKRZSA-N 0 3 229.364 2.696 20 0 BFADHN CCCN(CCC)C(=O)c1ccc2c(c1)CNC2 ZINC000130988316 169145314 /nfs/dbraw/zinc/14/53/14/169145314.db2.gz DASACLJZYHJAAQ-UHFFFAOYSA-N 0 3 246.354 2.552 20 0 BFADHN CCCN(CCC)CC(=O)NCCC(C)(C)C ZINC000097478241 169147196 /nfs/dbraw/zinc/14/71/96/169147196.db2.gz OVQZWTZKDSRIOF-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CCCN(Cc1ccc(F)cn1)C1CC1 ZINC000276416688 169174578 /nfs/dbraw/zinc/17/45/78/169174578.db2.gz WMTCLXBQGOBMII-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN Oc1cccc(CN2CCC(C(F)F)CC2)c1 ZINC000307882923 183287831 /nfs/dbraw/zinc/28/78/31/183287831.db2.gz UMKUVOPRWWERSA-UHFFFAOYSA-N 0 3 241.281 2.869 20 0 BFADHN Oc1cccc(CNCC2(O)CCCCCC2)c1 ZINC000079619291 183289950 /nfs/dbraw/zinc/28/99/50/183289950.db2.gz WEHOPFPZOBMDSN-UHFFFAOYSA-N 0 3 249.354 2.567 20 0 BFADHN CCCN(CCN(C)C)C(=O)CC[C@H](C)CC ZINC000352633920 169156062 /nfs/dbraw/zinc/15/60/62/169156062.db2.gz UGLJMLRXBZCIGA-CYBMUJFWSA-N 0 3 242.407 2.613 20 0 BFADHN Oc1cccc(CNCc2cccs2)c1 ZINC000020139414 183290697 /nfs/dbraw/zinc/29/06/97/183290697.db2.gz AXWXJAAAKINTGO-UHFFFAOYSA-N 0 3 219.309 2.744 20 0 BFADHN CCCN(CCN1CCCC1)Cc1ccco1 ZINC000270672429 169159992 /nfs/dbraw/zinc/15/99/92/169159992.db2.gz XLFUFMSRDPFRQU-UHFFFAOYSA-N 0 3 236.359 2.587 20 0 BFADHN Oc1cccc2c1C[C@H](NCc1ccsc1)C2 ZINC000336280328 183296607 /nfs/dbraw/zinc/29/66/07/183296607.db2.gz YDHPAHTXIRTMTB-GFCCVEGCSA-N 0 3 245.347 2.711 20 0 BFADHN Oc1cccc2c1CC[C@H]2NC[C@H]1CCCCO1 ZINC000042815491 183296663 /nfs/dbraw/zinc/29/66/63/183296663.db2.gz NYPARXOGTDMPSE-BXUZGUMPSA-N 0 3 247.338 2.538 20 0 BFADHN CCCN(CCc1c(C)noc1C)C1CC1 ZINC000339665475 169169922 /nfs/dbraw/zinc/16/99/22/169169922.db2.gz LBUPNBBQDADMHU-UHFFFAOYSA-N 0 3 222.332 2.708 20 0 BFADHN CCCN(CCc1cccs1)Cc1c[nH]cn1 ZINC000185586443 169170046 /nfs/dbraw/zinc/17/00/46/169170046.db2.gz NYJLSTVYQXEUGA-UHFFFAOYSA-N 0 3 249.383 2.926 20 0 BFADHN CCCN(CCc1cccs1)Cc1cnc[nH]1 ZINC000185586443 169170050 /nfs/dbraw/zinc/17/00/50/169170050.db2.gz NYJLSTVYQXEUGA-UHFFFAOYSA-N 0 3 249.383 2.926 20 0 BFADHN CCCN(Cc1cn2ccccc2n1)CC1CC1 ZINC000070947209 169179947 /nfs/dbraw/zinc/17/99/47/169179947.db2.gz ICEJFNYHCQHPQU-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1CC[C@H]1C1CCC1 ZINC000337994515 183319585 /nfs/dbraw/zinc/31/95/85/183319585.db2.gz FOKGLPJHDJMLAS-MJBXVCDLSA-N 0 3 245.370 2.955 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@H]1CC[C@@H]1C1CCC1 ZINC000337994516 183319946 /nfs/dbraw/zinc/31/99/46/183319946.db2.gz FOKGLPJHDJMLAS-RDBSUJKOSA-N 0 3 245.370 2.955 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2CCc2cscn2)c1 ZINC000295749913 183328308 /nfs/dbraw/zinc/32/83/08/183328308.db2.gz ZSVNSLWLYPEBLZ-ZDUSSCGKSA-N 0 3 247.367 2.851 20 0 BFADHN CCCN1CCC[C@@H]1CN[C@H](C)c1ccco1 ZINC000353977539 169196182 /nfs/dbraw/zinc/19/61/82/169196182.db2.gz ZXJRSAYPWWHVLT-CHWSQXEVSA-N 0 3 236.359 2.805 20 0 BFADHN CCCN1CCN(Cc2ccccc2)C[C@H]1C ZINC000303696685 169202309 /nfs/dbraw/zinc/20/23/09/169202309.db2.gz AJOMIAYTEIKLNM-CQSZACIVSA-N 0 3 232.371 2.603 20 0 BFADHN CCCN1CCN(Cc2cccc(C)c2)CC1 ZINC000023253425 169203659 /nfs/dbraw/zinc/20/36/59/169203659.db2.gz RQAWHZBSJGEXHB-UHFFFAOYSA-N 0 3 232.371 2.523 20 0 BFADHN c1cc(CNC2CCCCCCC2)n[nH]1 ZINC000036900015 183348310 /nfs/dbraw/zinc/34/83/10/183348310.db2.gz JTQNIJZUAKFQBY-UHFFFAOYSA-N 0 3 207.321 2.612 20 0 BFADHN c1cc(CN[C@@H]2CCCC23CCCC3)on1 ZINC000328013275 183351519 /nfs/dbraw/zinc/35/15/19/183351519.db2.gz QNILBCAZVFSYBP-GFCCVEGCSA-N 0 3 220.316 2.877 20 0 BFADHN c1cc(CN[C@@H]2CC23CCCC3)ccn1 ZINC000309075892 183351872 /nfs/dbraw/zinc/35/18/72/183351872.db2.gz KIRMZRATOUDTHI-GFCCVEGCSA-N 0 3 202.301 2.504 20 0 BFADHN c1cc(CN[C@@H]2CCCSC2)cs1 ZINC000063152517 183352004 /nfs/dbraw/zinc/35/20/04/183352004.db2.gz CTTBQNKYCQADNY-SNVBAGLBSA-N 0 3 213.371 2.733 20 0 BFADHN c1cc(CN[C@@H]2[C@H]3CCO[C@@H]3C23CCCC3)co1 ZINC000179002369 183353389 /nfs/dbraw/zinc/35/33/89/183353389.db2.gz LKWHKWYKNBEWSS-MCIONIFRSA-N 0 3 247.338 2.717 20 0 BFADHN c1cc([C@H]2CCCN2[C@H]2CCOC2)cs1 ZINC000285264937 183364484 /nfs/dbraw/zinc/36/44/84/183364484.db2.gz ZVBGYGIHBUDKFQ-NWDGAFQWSA-N 0 3 223.341 2.674 20 0 BFADHN c1cc(CN2CC3(C2)CCCC3)cc2c1OCC2 ZINC000362324927 183368467 /nfs/dbraw/zinc/36/84/67/183368467.db2.gz QVYOPYYXWBUJFU-UHFFFAOYSA-N 0 3 243.350 2.998 20 0 BFADHN c1cc2c(nc1CN[C@@H]1CCSC1)CCCC2 ZINC000278466000 183371748 /nfs/dbraw/zinc/37/17/48/183371748.db2.gz NBTNSWGLFLUYNZ-CYBMUJFWSA-N 0 3 248.395 2.556 20 0 BFADHN c1cc2c(s1)CCN(C[C@H]1CCSC1)C2 ZINC000278394504 183373246 /nfs/dbraw/zinc/37/32/46/183373246.db2.gz FAHXPIJSHIIPAR-SNVBAGLBSA-N 0 3 239.409 2.859 20 0 BFADHN c1cc2c(s1)CCC[C@@H]2N[C@@H]1CCOC1 ZINC000070969438 183373521 /nfs/dbraw/zinc/37/35/21/183373521.db2.gz GNZCUDPTSCAOQO-KOLCDFICSA-N 0 3 223.341 2.504 20 0 BFADHN c1cc2cc(CN[C@H]3CCOC3)ccc2s1 ZINC000289852521 183374826 /nfs/dbraw/zinc/37/48/26/183374826.db2.gz NZKNADSDYCDRBO-LBPRGKRZSA-N 0 3 233.336 2.780 20 0 BFADHN CCCNC(=O)[C@H](C)N[C@H](CC)c1ccccc1 ZINC000036959764 169247297 /nfs/dbraw/zinc/24/72/97/169247297.db2.gz YQHMAZHKSGOCBV-GXTWGEPZSA-N 0 3 248.370 2.642 20 0 BFADHN c1cc([C@H]2CCCN(Cc3ccsc3)C2)n[nH]1 ZINC000121282234 183362802 /nfs/dbraw/zinc/36/28/02/183362802.db2.gz YUZOQEYOBRUANC-LBPRGKRZSA-N 0 3 247.367 2.851 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1cccc(CC)c1 ZINC000294819680 169285131 /nfs/dbraw/zinc/28/51/31/169285131.db2.gz RGTPPMPVIZBJJK-LLVKDONJSA-N 0 3 234.343 2.576 20 0 BFADHN CCCN[C@H](C)C(=O)N(C)c1ccc(C)c(C)c1 ZINC000295553212 169285163 /nfs/dbraw/zinc/28/51/63/169285163.db2.gz YMMVSCWSPFBYAE-CYBMUJFWSA-N 0 3 248.370 2.654 20 0 BFADHN CCCN[C@H](C)C(=O)N(C)c1cc(C)cc(C)c1 ZINC000294991273 169285207 /nfs/dbraw/zinc/28/52/07/169285207.db2.gz HBJYTKMHZPQCAF-CYBMUJFWSA-N 0 3 248.370 2.654 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1ccc(C)cc1C ZINC000262011960 169285328 /nfs/dbraw/zinc/28/53/28/169285328.db2.gz ULQGUAKJONWUPQ-GFCCVEGCSA-N 0 3 234.343 2.630 20 0 BFADHN c1cn(-c2ccccc2)c(CNCC2CCC2)n1 ZINC000190881709 183387041 /nfs/dbraw/zinc/38/70/41/183387041.db2.gz CJITZHPOQAOHHG-UHFFFAOYSA-N 0 3 241.338 2.762 20 0 BFADHN c1ccc(CC2(NCc3ccccn3)CC2)cc1 ZINC000187493152 183390852 /nfs/dbraw/zinc/39/08/52/183390852.db2.gz NGJJVAVKOPSZNA-UHFFFAOYSA-N 0 3 238.334 2.947 20 0 BFADHN c1csc(CNCCCc2ccccc2)n1 ZINC000035403089 183392461 /nfs/dbraw/zinc/39/24/61/183392461.db2.gz HTLYNDYWINXXKT-UHFFFAOYSA-N 0 3 232.352 2.866 20 0 BFADHN CCCNCc1ccc(Br)o1 ZINC000019484187 169266447 /nfs/dbraw/zinc/26/64/47/169266447.db2.gz UXZPAFAKUQOGTC-UHFFFAOYSA-N 0 3 218.094 2.542 20 0 BFADHN c1ccc(CC[C@H]2CN(CC3CC3)CCO2)cc1 ZINC000186063774 183394642 /nfs/dbraw/zinc/39/46/42/183394642.db2.gz VSCBMEAHKNLPMG-INIZCTEOSA-N 0 3 245.366 2.730 20 0 BFADHN CCCNCc1nn(CC)c2ccccc12 ZINC000070708521 169268956 /nfs/dbraw/zinc/26/89/56/169268956.db2.gz BGXKJSKCSOYHAV-UHFFFAOYSA-N 0 3 217.316 2.556 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1ccccc1CCC ZINC000295881109 169274226 /nfs/dbraw/zinc/27/42/26/169274226.db2.gz XXUWUWQPQRWJED-LBPRGKRZSA-N 0 3 248.370 2.966 20 0 BFADHN CCCN[C@@H](C)c1nnn(-c2ccccc2)c1C ZINC000036917016 169277065 /nfs/dbraw/zinc/27/70/65/169277065.db2.gz BBFWMGDWMWTEJG-NSHDSACASA-N 0 3 244.342 2.636 20 0 BFADHN CCCOc1ccc([C@@H](C)N[C@@H]2CCOC2)cc1 ZINC000131222910 169320879 /nfs/dbraw/zinc/32/08/79/169320879.db2.gz UNCMHKXHEYCJHZ-TZMCWYRMSA-N 0 3 249.354 2.915 20 0 BFADHN CCCOc1ccc([C@@H](C)N[C@H]2CCOC2)cc1 ZINC000131223369 169321155 /nfs/dbraw/zinc/32/11/55/169321155.db2.gz UNCMHKXHEYCJHZ-OCCSQVGLSA-N 0 3 249.354 2.915 20 0 BFADHN CCCOc1cccc(CN[C@H]2CCCOC2)c1 ZINC000109545943 169325075 /nfs/dbraw/zinc/32/50/75/169325075.db2.gz IUDKUULYVWGYKS-AWEZNQCLSA-N 0 3 249.354 2.744 20 0 BFADHN c1ccc2c(c1)OCC[C@H]2N[C@H]1CCSC1 ZINC000034996459 183452586 /nfs/dbraw/zinc/45/25/86/183452586.db2.gz TVAYPSJUHJJHTH-CMPLNLGQSA-N 0 3 235.352 2.605 20 0 BFADHN CCC[C@@H](C)N(C)CC(=O)N1CCCC[C@H]1C ZINC000341222321 169364361 /nfs/dbraw/zinc/36/43/61/169364361.db2.gz BZSWHLLMVCGKFN-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cc(OC)ccn1 ZINC000264438074 169365736 /nfs/dbraw/zinc/36/57/36/169365736.db2.gz PYZYHLMURLXXOA-LLVKDONJSA-N 0 3 222.332 2.711 20 0 BFADHN CCC[C@@H](C)N(C)[C@@H]1CCCN(C(C)C)C1=O ZINC000336715040 169366416 /nfs/dbraw/zinc/36/64/16/169366416.db2.gz SIMTYOXXJMIYLA-CHWSQXEVSA-N 0 3 240.391 2.506 20 0 BFADHN CCC[C@@H](C)N1CCC(O)(C(F)(F)F)CC1 ZINC000303794848 169366788 /nfs/dbraw/zinc/36/67/88/169366788.db2.gz OXHCHDUNDQFCPP-SECBINFHSA-N 0 3 239.281 2.564 20 0 BFADHN c1cn2c(n1)[C@@H](NCCCC1CCCC1)CC2 ZINC000345359233 183475132 /nfs/dbraw/zinc/47/51/32/183475132.db2.gz XMAZMRZOCGJERT-ZDUSSCGKSA-N 0 3 233.359 2.888 20 0 BFADHN CCC[C@@H](C)CN(C)Cc1ccccn1 ZINC000273379549 169358381 /nfs/dbraw/zinc/35/83/81/169358381.db2.gz HKDCQROSIQHIDK-GFCCVEGCSA-N 0 3 206.333 2.950 20 0 BFADHN CCC[C@@H](C)CN1CCO[C@H](C(C)C)C1 ZINC000353170613 169358588 /nfs/dbraw/zinc/35/85/88/169358588.db2.gz IFFVNKMORJQQEH-OLZOCXBDSA-N 0 3 213.365 2.779 20 0 BFADHN CCC[C@@H](C)CN[C@@H](c1nccn1C)C1CC1 ZINC000353036337 169359777 /nfs/dbraw/zinc/35/97/77/169359777.db2.gz RBSYTSLNCQCIGM-DGCLKSJQSA-N 0 3 235.375 2.897 20 0 BFADHN CCC[C@@H](C)CNCc1ccc(C(=O)OC)o1 ZINC000272065179 169360287 /nfs/dbraw/zinc/36/02/87/169360287.db2.gz KLZAEFUYBMBDCI-SNVBAGLBSA-N 0 3 239.315 2.592 20 0 BFADHN CCC[C@@H](C)NCc1c(CC)noc1C ZINC000293694802 169370795 /nfs/dbraw/zinc/37/07/95/169370795.db2.gz LLTQGLXUGAQEKL-SECBINFHSA-N 0 3 210.321 2.824 20 0 BFADHN CCC[C@@H](N)C(=O)N(C)c1ccc(C(C)C)cc1 ZINC000236897025 169387345 /nfs/dbraw/zinc/38/73/45/169387345.db2.gz AWBITSUZHQNBQL-CQSZACIVSA-N 0 3 248.370 2.900 20 0 BFADHN CCC[C@@H](C)[C@@H](CC)NCc1ccn(C)n1 ZINC000309466357 169372103 /nfs/dbraw/zinc/37/21/03/169372103.db2.gz PLCBGGDPLPZANB-DGCLKSJQSA-N 0 3 223.364 2.725 20 0 BFADHN CCC[C@@H](CC)NCc1nnc(CC)s1 ZINC000309217626 169379383 /nfs/dbraw/zinc/37/93/83/169379383.db2.gz UQLWUUZTHIQAOE-SECBINFHSA-N 0 3 227.377 2.769 20 0 BFADHN CCC[C@@H](CN1CCN(C)CC1)c1ccccc1 ZINC000183369292 169383623 /nfs/dbraw/zinc/38/36/23/169383623.db2.gz XYWAASADNTVMQA-INIZCTEOSA-N 0 3 246.398 2.818 20 0 BFADHN CCC[C@@H](CNCc1csc(C)c1)OC ZINC000293771764 169384852 /nfs/dbraw/zinc/38/48/52/169384852.db2.gz VVDUPUSFWJHFNE-LBPRGKRZSA-N 0 3 227.373 2.961 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1cncs1)OC ZINC000356345631 169385782 /nfs/dbraw/zinc/38/57/82/169385782.db2.gz YFWSHRWNIRUZHW-UWVGGRQHSA-N 0 3 228.361 2.609 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1cnccc1C)OC ZINC000297192074 169386054 /nfs/dbraw/zinc/38/60/54/169386054.db2.gz FLWSLZZUBPAMNQ-OLZOCXBDSA-N 0 3 236.359 2.856 20 0 BFADHN Cc1ccc(CN[C@@]2(C)CCCOC2)cc1F ZINC000221335818 134320380 /nfs/dbraw/zinc/32/03/80/134320380.db2.gz FNOJSRCXNJMTGD-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN CC(C)[C@H]1CCC[C@H](NCc2nccn2C)C1 ZINC000221390572 134323670 /nfs/dbraw/zinc/32/36/70/134323670.db2.gz DYKZZBGOIGPZCH-STQMWFEESA-N 0 3 235.375 2.725 20 0 BFADHN Cc1n[nH]cc1CN1CCC(C(C)(C)C)CC1 ZINC000179766970 134323738 /nfs/dbraw/zinc/32/37/38/134323738.db2.gz NTHXUWPLQOCDMA-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN Fc1cc(F)cc(CN[C@H]2CCSC2)c1 ZINC000221876302 134328619 /nfs/dbraw/zinc/32/86/19/134328619.db2.gz MCQXRPQAHXIUMN-NSHDSACASA-N 0 3 229.295 2.560 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc(Cl)cc1 ZINC000182043557 134341319 /nfs/dbraw/zinc/34/13/19/134341319.db2.gz UNPCWLDLRCPYNB-JTQLQIEISA-N 0 3 227.735 2.807 20 0 BFADHN CCOC(=O)[C@H]1CCCN1CCC1CCCC1 ZINC000182261355 134343611 /nfs/dbraw/zinc/34/36/11/134343611.db2.gz GVDAXDWGEAVEKZ-CYBMUJFWSA-N 0 3 239.359 2.594 20 0 BFADHN CCC[C@H](C)CN[C@H](C)c1nnc2ccccn21 ZINC000036968803 169483452 /nfs/dbraw/zinc/48/34/52/169483452.db2.gz BTONFSZHCNGGKE-NWDGAFQWSA-N 0 3 246.358 2.816 20 0 BFADHN CCC[C@@H](NC[C@@H](O)C(F)F)c1ccccc1 ZINC000224438657 134347383 /nfs/dbraw/zinc/34/73/83/134347383.db2.gz QGQMGGMEJMRJRZ-VXGBXAGGSA-N 0 3 243.297 2.743 20 0 BFADHN CCC[C@@H](NC[C@H](O)C(F)F)c1ccccc1 ZINC000224438728 134347425 /nfs/dbraw/zinc/34/74/25/134347425.db2.gz QGQMGGMEJMRJRZ-NEPJUHHUSA-N 0 3 243.297 2.743 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@@H](C)c1ccccc1C ZINC000040854805 169547821 /nfs/dbraw/zinc/54/78/21/169547821.db2.gz YATIHBWHLDGXDH-WFASDCNBSA-N 0 3 248.370 2.690 20 0 BFADHN CO[C@@H]1C[C@H](NCc2ccc(C)o2)C12CCC2 ZINC000308339705 532963740 /nfs/dbraw/zinc/96/37/40/532963740.db2.gz AOMPIKWDWASMQH-QWHCGFSZSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@@H]1SCCN(C[C@@H]2CCC(C)(C)O2)[C@H]1C ZINC000184657850 134367626 /nfs/dbraw/zinc/36/76/26/134367626.db2.gz MBVOEYKGIWGIOJ-SRVKXCTJSA-N 0 3 243.416 2.770 20 0 BFADHN C[C@@H](CCCO)NCc1ccccc1Cl ZINC000087358719 383826862 /nfs/dbraw/zinc/82/68/62/383826862.db2.gz USIWLHDYQQQEEP-JTQLQIEISA-N 0 3 227.735 2.591 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)CC1CC1 ZINC000069664165 383837057 /nfs/dbraw/zinc/83/70/57/383837057.db2.gz LKIOHIXTODEOJO-QMMMGPOBSA-N 0 3 208.305 2.570 20 0 BFADHN CC[C@H](O)CCNCc1ccccc1Cl ZINC000131711087 383837501 /nfs/dbraw/zinc/83/75/01/383837501.db2.gz STHNMEULAQBEBT-NSHDSACASA-N 0 3 227.735 2.591 20 0 BFADHN COC[C@H](N[C@H](C)c1ccc(C)o1)C1CC1 ZINC000087478515 383844825 /nfs/dbraw/zinc/84/48/25/383844825.db2.gz OEGKFPBOGTZLAH-PWSUYJOCSA-N 0 3 223.316 2.664 20 0 BFADHN C[C@H](CCCO)NCc1ccsc1Cl ZINC000308650433 383880030 /nfs/dbraw/zinc/88/00/30/383880030.db2.gz KBSGRTFFCPSWRM-MRVPVSSYSA-N 0 3 233.764 2.652 20 0 BFADHN CCCCCCN[C@H](C)c1cnccn1 ZINC000070024760 383854468 /nfs/dbraw/zinc/85/44/68/383854468.db2.gz JHBXRQOCJGOUKM-LLVKDONJSA-N 0 3 207.321 2.708 20 0 BFADHN CC(C)C[C@H](C)N[C@H](C)c1cnccn1 ZINC000070024960 383856381 /nfs/dbraw/zinc/85/63/81/383856381.db2.gz WULTVDQZRMMDNQ-WDEREUQCSA-N 0 3 207.321 2.562 20 0 BFADHN C[C@@H]1CCN(CCn2cccn2)[C@H]2CCCC[C@@H]12 ZINC000521194387 383857551 /nfs/dbraw/zinc/85/75/51/383857551.db2.gz NTFWMCIMBOWHFD-ILXRZTDVSA-N 0 3 247.386 2.784 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccccn2)C12CCC2 ZINC000087708846 383858858 /nfs/dbraw/zinc/85/88/58/383858858.db2.gz DVQVKGSTKTYOGE-KBPBESRZSA-N 0 3 246.354 2.519 20 0 BFADHN Cc1n[nH]cc1CN[C@H]1c2ccccc2C[C@@H]1C ZINC000111410657 383861005 /nfs/dbraw/zinc/86/10/05/383861005.db2.gz LDPDDVVCGGFSDI-ZUZCIYMTSA-N 0 3 241.338 2.741 20 0 BFADHN Cc1ccc([C@H](C)N(C)CCn2cccn2)cc1 ZINC000066421875 383861462 /nfs/dbraw/zinc/86/14/62/383861462.db2.gz MVUNEIDDQIHLBE-AWEZNQCLSA-N 0 3 243.354 2.885 20 0 BFADHN C[C@@H](N[C@H]1COCC1(C)C)c1ccccc1 ZINC000313089093 383864865 /nfs/dbraw/zinc/86/48/65/383864865.db2.gz RTTXKMLCQWNCLY-YPMHNXCESA-N 0 3 219.328 2.762 20 0 BFADHN CC(C)(CNCc1ncc[nH]1)c1ccc(F)cc1 ZINC000066593861 383873305 /nfs/dbraw/zinc/87/33/05/383873305.db2.gz IXHCXXLQXINYAB-UHFFFAOYSA-N 0 3 247.317 2.616 20 0 BFADHN C[C@H](NCCC1(C)CC1)c1nccs1 ZINC000308646322 383877510 /nfs/dbraw/zinc/87/75/10/383877510.db2.gz HURXUSSGJLQWKI-VIFPVBQESA-N 0 3 210.346 2.984 20 0 BFADHN CC[C@@H](CCO)CN[C@H](C)c1csc(C)n1 ZINC000087362443 383831049 /nfs/dbraw/zinc/83/10/49/383831049.db2.gz JXTWZEJHPGLMKD-KOLCDFICSA-N 0 3 242.388 2.511 20 0 BFADHN CC[C@H](O)[C@H](CC)N[C@@H]1CCCc2occc21 ZINC000313119979 383906821 /nfs/dbraw/zinc/90/68/21/383906821.db2.gz BCHYQUCGLRPMGA-XQQFMLRXSA-N 0 3 237.343 2.796 20 0 BFADHN CC[C@@H](O)[C@H](CC)NCc1ccc(F)c(F)c1 ZINC000313126589 383910493 /nfs/dbraw/zinc/91/04/93/383910493.db2.gz YQPMARHVAMOPQC-QWHCGFSZSA-N 0 3 243.297 2.604 20 0 BFADHN C[C@H](CCc1ccccc1)NCc1ccn(C)n1 ZINC000112337317 383916000 /nfs/dbraw/zinc/91/60/00/383916000.db2.gz IGDJQBWXHMQOJB-CYBMUJFWSA-N 0 3 243.354 2.531 20 0 BFADHN Cn1nccc1CN[C@@H](c1ccccc1)C1CC1 ZINC000037075397 383934875 /nfs/dbraw/zinc/93/48/75/383934875.db2.gz YIMOKIHLNRGGIE-HNNXBMFYSA-N 0 3 241.338 2.661 20 0 BFADHN C[C@H](CCNCc1ccn(C)n1)c1ccccc1 ZINC000112344766 383918881 /nfs/dbraw/zinc/91/88/81/383918881.db2.gz KKHBCPKWHQZOJK-CYBMUJFWSA-N 0 3 243.354 2.704 20 0 BFADHN CC[C@H](Cc1ccccc1)NCc1cc[nH]n1 ZINC000112466644 383925681 /nfs/dbraw/zinc/92/56/81/383925681.db2.gz XPHXPFIJEYXYSG-CYBMUJFWSA-N 0 3 229.327 2.521 20 0 BFADHN CC[C@H](N)C(=O)N[C@H](C)c1ccc(C(C)C)cc1 ZINC000037027818 383932223 /nfs/dbraw/zinc/93/22/23/383932223.db2.gz QJJDMJWLSRDFCB-RISCZKNCSA-N 0 3 248.370 2.725 20 0 BFADHN COCC[C@@H](C)N1Cc2ccccc2O[C@H](C)C1 ZINC000292347769 383885902 /nfs/dbraw/zinc/88/59/02/383885902.db2.gz HGSWSDKLOKIDDC-CHWSQXEVSA-N 0 3 249.354 2.695 20 0 BFADHN CCOCCN[C@H](CC)c1ccc(F)cc1 ZINC000036229114 383888642 /nfs/dbraw/zinc/88/86/42/383888642.db2.gz DYAZYPHRJMEICL-CYBMUJFWSA-N 0 3 225.307 2.903 20 0 BFADHN COCC[C@H](C)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000112018362 383888973 /nfs/dbraw/zinc/88/89/73/383888973.db2.gz VNJJWPRMIRTNFR-NTZNESFSSA-N 0 3 237.343 2.918 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2CCCS2)c1 ZINC000309379184 383889976 /nfs/dbraw/zinc/88/99/76/383889976.db2.gz ACIMGLBWMBRYLV-RYUDHWBXSA-N 0 3 236.384 2.936 20 0 BFADHN CCC(O)(CC)CNCc1cc(F)ccc1F ZINC000088225823 383890089 /nfs/dbraw/zinc/89/00/89/383890089.db2.gz RASKKUBSNQPZON-UHFFFAOYSA-N 0 3 243.297 2.606 20 0 BFADHN CC[C@@H](O)[C@@H](CC)NCc1ccccc1Cl ZINC000313142095 383933534 /nfs/dbraw/zinc/93/35/34/383933534.db2.gz IFQNJIWDARKUBA-CHWSQXEVSA-N 0 3 241.762 2.979 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(C)c(C)c1 ZINC000036228821 383891447 /nfs/dbraw/zinc/89/14/47/383891447.db2.gz AISVDHZTMJESOV-ZDUSSCGKSA-N 0 3 221.344 2.991 20 0 BFADHN C[C@@H](NC1CCOCC1)c1ccc(F)cc1F ZINC000036319281 383896880 /nfs/dbraw/zinc/89/68/80/383896880.db2.gz XINCCGDOFREXBP-SECBINFHSA-N 0 3 241.281 2.794 20 0 BFADHN Cc1cc2cc(CN[C@H]3CO[C@@H](C)C3)ccc2[nH]1 ZINC000647210958 383903262 /nfs/dbraw/zinc/90/32/62/383903262.db2.gz JSTVAIWLZFNYGF-SMDDNHRTSA-N 0 3 244.338 2.743 20 0 BFADHN CC[C@@H](O)[C@@H](CC)NCc1cc(F)cc(F)c1 ZINC000313166471 383978815 /nfs/dbraw/zinc/97/88/15/383978815.db2.gz TULRGQKDVYSSRW-CHWSQXEVSA-N 0 3 243.297 2.604 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C1)C(=O)Nc1ccccc1 ZINC000089615607 383980187 /nfs/dbraw/zinc/98/01/87/383980187.db2.gz KAWBAERXWKESPZ-DYEKYZERSA-N 0 3 246.354 2.792 20 0 BFADHN CCC[C@H](C)N(C)C(=O)C[C@H](N)c1ccccc1 ZINC000037505094 383980544 /nfs/dbraw/zinc/98/05/44/383980544.db2.gz VIBLEJFBAOQYKD-JSGCOSHPSA-N 0 3 248.370 2.724 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@H]2CCOC2)o1 ZINC000037738019 384002422 /nfs/dbraw/zinc/00/24/22/384002422.db2.gz HONIGGDOMSQNRB-MDZLAQPJSA-N 0 3 235.327 2.529 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@@H](C)Oc3ccccc32)CO1 ZINC000647214958 383990796 /nfs/dbraw/zinc/99/07/96/383990796.db2.gz ZLWHRWJFMAXIGI-NRWUCQMLSA-N 0 3 247.338 2.666 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2C[C@@H](C)Oc3ccccc32)CO1 ZINC000647214956 383991172 /nfs/dbraw/zinc/99/11/72/383991172.db2.gz ZLWHRWJFMAXIGI-HKUMRIAESA-N 0 3 247.338 2.666 20 0 BFADHN C[C@@H](N[C@H]1COCC1(C)C)c1cccs1 ZINC000313144595 383936815 /nfs/dbraw/zinc/93/68/15/383936815.db2.gz RQIIJYLCOITZAW-KOLCDFICSA-N 0 3 225.357 2.824 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1ccsc1 ZINC000070624406 383944772 /nfs/dbraw/zinc/94/47/72/383944772.db2.gz CJPSNBVTCCJWFG-GXSJLCMTSA-N 0 3 213.346 2.824 20 0 BFADHN Fc1ccc(CN[C@H]2C[C@@H]2C(F)F)cc1F ZINC000348221572 383945341 /nfs/dbraw/zinc/94/53/41/383945341.db2.gz OTASGJROJJYMSS-XVKPBYJWSA-N 0 3 233.208 2.708 20 0 BFADHN Cc1cnc(CN[C@@H]2C[C@H](C)[C@@H]2C)s1 ZINC000306383793 383946162 /nfs/dbraw/zinc/94/61/62/383946162.db2.gz VLVBRZJQMCZBPQ-UJNFCWOMSA-N 0 3 210.346 2.586 20 0 BFADHN C[C@@H](NCC1CCCC1)c1nccs1 ZINC000070633984 383947343 /nfs/dbraw/zinc/94/73/43/383947343.db2.gz OMESRPWLWYXEGV-SECBINFHSA-N 0 3 210.346 2.984 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](C)Cn2cccn2)s1 ZINC000037155258 383948268 /nfs/dbraw/zinc/94/82/68/383948268.db2.gz YNWFQWUTSVUWMS-ZYHUDNBSSA-N 0 3 249.383 2.992 20 0 BFADHN CC(C)C1(CN[C@H]2C[C@H](C)n3ccnc32)CC1 ZINC000345348431 383950521 /nfs/dbraw/zinc/95/05/21/383950521.db2.gz MASCSUMIKJXYST-RYUDHWBXSA-N 0 3 233.359 2.915 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1scnc1C ZINC000070657905 383951025 /nfs/dbraw/zinc/95/10/25/383951025.db2.gz HVKHQASWCDQWPA-UWVGGRQHSA-N 0 3 228.361 2.527 20 0 BFADHN c1ccc2c(c1)SCC[C@H]2N[C@H]1CCCOC1 ZINC000112793175 383952308 /nfs/dbraw/zinc/95/23/08/383952308.db2.gz GDJXXGLBYAGDKG-WCQYABFASA-N 0 3 249.379 2.992 20 0 BFADHN FC1(CN2CCSC3(CCC3)CC2)CC1 ZINC000518490567 383955352 /nfs/dbraw/zinc/95/53/52/383955352.db2.gz GIPXWZSTZSUXTR-UHFFFAOYSA-N 0 3 229.364 2.850 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1ccc(Cl)cn1)OC ZINC000290289903 383958091 /nfs/dbraw/zinc/95/80/91/383958091.db2.gz XVZUDMBVSHBXJH-ONGXEEELSA-N 0 3 242.750 2.811 20 0 BFADHN CO[C@H]1CCCC[C@H]1NCc1cccc(F)c1 ZINC000037246987 383964402 /nfs/dbraw/zinc/96/44/02/383964402.db2.gz OUJFTRLPDHMZPW-KGLIPLIRSA-N 0 3 237.318 2.873 20 0 BFADHN C[C@H](NCCc1cccnc1)c1ccco1 ZINC000037717737 384001219 /nfs/dbraw/zinc/00/12/19/384001219.db2.gz ZQAQTNYCEMADKL-NSHDSACASA-N 0 3 216.284 2.568 20 0 BFADHN CCOCCN[C@H](CC)c1ccc2c(c1)CCO2 ZINC000037269323 383968634 /nfs/dbraw/zinc/96/86/34/383968634.db2.gz JLBIRFUEVXABLW-CQSZACIVSA-N 0 3 249.354 2.699 20 0 BFADHN C[C@H](N[C@H]1CCOC1)c1ccc(Cl)cc1 ZINC000070964343 383971217 /nfs/dbraw/zinc/97/12/17/383971217.db2.gz SEEBDUNJYLKQAR-CABZTGNLSA-N 0 3 225.719 2.780 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCc1cnn(C)c1)CC2 ZINC000113310436 383975372 /nfs/dbraw/zinc/97/53/72/383975372.db2.gz PIXCSZYHDMHKDO-OAHLLOKOSA-N 0 3 241.338 2.506 20 0 BFADHN CC(C)Cn1nccc1CNCCC(C)(F)F ZINC000647213684 383977796 /nfs/dbraw/zinc/97/77/96/383977796.db2.gz SYYARTNFFILQDU-UHFFFAOYSA-N 0 3 245.317 2.674 20 0 BFADHN CSCCCCN[C@@H](C)c1nccs1 ZINC000091726569 384023968 /nfs/dbraw/zinc/02/39/68/384023968.db2.gz XHKNBKNKHJZXJY-VIFPVBQESA-N 0 3 230.402 2.937 20 0 BFADHN c1coc(CNCCCOc2ccccc2)c1 ZINC000037855225 384027028 /nfs/dbraw/zinc/02/70/28/384027028.db2.gz XZILLALZXDEVLJ-UHFFFAOYSA-N 0 3 231.295 2.838 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N(Cc2ccno2)C1 ZINC000335848923 384006166 /nfs/dbraw/zinc/00/61/66/384006166.db2.gz UHFIKPSBBKHIJG-GHMZBOCLSA-N 0 3 208.305 2.685 20 0 BFADHN C[C@@H]1CCC[C@H](C)CN1Cc1ccno1 ZINC000335848926 384007352 /nfs/dbraw/zinc/00/73/52/384007352.db2.gz UHFIKPSBBKHIJG-WDEREUQCSA-N 0 3 208.305 2.685 20 0 BFADHN CCC[C@@](C)(N)C(=O)N[C@H](C)c1ccc(C)cc1 ZINC000037764996 384009498 /nfs/dbraw/zinc/00/94/98/384009498.db2.gz FECGPEHOZGUJKF-IUODEOHRSA-N 0 3 248.370 2.690 20 0 BFADHN CC(C)=CC(=O)Nc1ccc(C)cc1CN(C)C ZINC000113911949 384012968 /nfs/dbraw/zinc/01/29/68/384012968.db2.gz IJOCWFBRDRBVDU-UHFFFAOYSA-N 0 3 246.354 2.961 20 0 BFADHN C[C@H](O)CCN(C)Cc1ccc(Cl)s1 ZINC000075781227 384043710 /nfs/dbraw/zinc/04/37/10/384043710.db2.gz LSALHTZXSYCGPH-QMMMGPOBSA-N 0 3 233.764 2.604 20 0 BFADHN CC(C)C1(CNCc2c(Cl)cnn2C)CC1 ZINC000132038101 384044718 /nfs/dbraw/zinc/04/47/18/384044718.db2.gz HNGZXEATZRIJAE-UHFFFAOYSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@H](O)CC(C)(C)CN[C@@H](C)c1ccco1 ZINC000090087245 384029205 /nfs/dbraw/zinc/02/92/05/384029205.db2.gz CSJZRTCYNCDROO-QWRGUYRKSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@H](NC[C@H](O)c1ccc(F)cc1)c1ccco1 ZINC000037857268 384029553 /nfs/dbraw/zinc/02/95/53/384029553.db2.gz IEFDWLVFELTZSL-GWCFXTLKSA-N 0 3 249.285 2.803 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3ccc(F)cc32)[C@@H](C)O1 ZINC000560278451 384030651 /nfs/dbraw/zinc/03/06/51/384030651.db2.gz ROLIIYGJLDBWLH-RPVFDAQASA-N 0 3 249.329 2.969 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000119931395 384036379 /nfs/dbraw/zinc/03/63/79/384036379.db2.gz QTXCQJZNBKMYPM-JSGCOSHPSA-N 0 3 233.359 2.791 20 0 BFADHN CC(=O)c1ccccc1OCCN1CCC[C@H]1C ZINC000037911618 384039080 /nfs/dbraw/zinc/03/90/80/384039080.db2.gz BXJFPGMVOHNBIL-GFCCVEGCSA-N 0 3 247.338 2.752 20 0 BFADHN Clc1ccc(CN2C[C@@H]3CCC[C@@H]3C2)cn1 ZINC000132019405 384040137 /nfs/dbraw/zinc/04/01/37/384040137.db2.gz QQOOWBXZKOFTOG-TXEJJXNPSA-N 0 3 236.746 2.967 20 0 BFADHN CC[C@@H](C)NCc1cccnc1OCC(F)F ZINC000090941873 384083541 /nfs/dbraw/zinc/08/35/41/384083541.db2.gz RZROLMRQGSQSAQ-SECBINFHSA-N 0 3 244.285 2.614 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cccc(OC)n1 ZINC000069637597 384055495 /nfs/dbraw/zinc/05/54/95/384055495.db2.gz GOIFZTBVSAMSFC-GHMZBOCLSA-N 0 3 222.332 2.614 20 0 BFADHN c1coc([C@@H]2CCN(CCOCC3CCC3)C2)c1 ZINC000642687100 384067507 /nfs/dbraw/zinc/06/75/07/384067507.db2.gz JWVKKTGRTGTSOO-CQSZACIVSA-N 0 3 249.354 2.886 20 0 BFADHN Cc1ccc([C@@H](C)NCC[C@H]2CCCO2)o1 ZINC000038095502 384071420 /nfs/dbraw/zinc/07/14/20/384071420.db2.gz RMISFHWAXBHEJW-VXGBXAGGSA-N 0 3 223.316 2.808 20 0 BFADHN C[C@@H](NCC1(C)CCCC1)c1cnccn1 ZINC000070025912 384074228 /nfs/dbraw/zinc/07/42/28/384074228.db2.gz QBPFLMREDNFQAT-LLVKDONJSA-N 0 3 219.332 2.708 20 0 BFADHN CC[C@H]1CCN(Cc2ccccc2C(=O)OC)C1 ZINC000091929083 384074519 /nfs/dbraw/zinc/07/45/19/384074519.db2.gz SHWLPGGQRFJHMP-LBPRGKRZSA-N 0 3 247.338 2.705 20 0 BFADHN C[C@@H]1CCC[C@@H](NC(CF)CF)[C@@H]1C ZINC000449379198 384078161 /nfs/dbraw/zinc/07/81/61/384078161.db2.gz HGZMWVUSCYNCBW-FXPVBKGRSA-N 0 3 205.292 2.708 20 0 BFADHN C[C@@H]1CCC[C@H](NC(CF)CF)[C@H]1C ZINC000449379201 384078176 /nfs/dbraw/zinc/07/81/76/384078176.db2.gz HGZMWVUSCYNCBW-YWVKMMECSA-N 0 3 205.292 2.708 20 0 BFADHN Cc1ccccc1[C@@H](C)N[C@@H]1CO[C@@H](C)C1 ZINC000401825294 384078871 /nfs/dbraw/zinc/07/88/71/384078871.db2.gz LLZSYHRCOOXYKJ-XQQFMLRXSA-N 0 3 219.328 2.823 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H]1CCCC12CC2 ZINC000569473428 384100238 /nfs/dbraw/zinc/10/02/38/384100238.db2.gz ZCVCPLSZMUCKOJ-VXGBXAGGSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1cccnc1 ZINC000091097949 384102603 /nfs/dbraw/zinc/10/26/03/384102603.db2.gz FOQUOXADTUNXRX-LBPRGKRZSA-N 0 3 224.373 2.655 20 0 BFADHN C[C@H](NCCc1ccccc1F)c1cnccn1 ZINC000070477291 384112086 /nfs/dbraw/zinc/11/20/86/384112086.db2.gz VDUGAQZSQTYLKQ-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN CN(Cc1ccccc1)Cc1ccc(CO)cc1 ZINC000084896441 384124711 /nfs/dbraw/zinc/12/47/11/384124711.db2.gz JDMVNFZOUHYLSO-UHFFFAOYSA-N 0 3 241.334 2.811 20 0 BFADHN COC[C@H](C)N[C@@H]1CCc2cc(Cl)ccc21 ZINC000091437015 384124883 /nfs/dbraw/zinc/12/48/83/384124883.db2.gz KFXWWICOOFMOMX-TVQRCGJNSA-N 0 3 239.746 2.952 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1ccc(F)cc1 ZINC000071011433 384147879 /nfs/dbraw/zinc/14/78/79/384147879.db2.gz MDWXPFLBPHOPHI-MFKMUULPSA-N 0 3 223.291 2.655 20 0 BFADHN CCOc1ccccc1CN[C@@H]1C[C@@H](C)O[C@H]1C ZINC000296477340 384148646 /nfs/dbraw/zinc/14/86/46/384148646.db2.gz PWDZLDFPSMYPFA-MBNYWOFBSA-N 0 3 249.354 2.741 20 0 BFADHN CO[C@@H](CC(C)C)CN(C)Cc1cccn1C ZINC000642693535 384150813 /nfs/dbraw/zinc/15/08/13/384150813.db2.gz KJIXYWYJQUAACJ-AWEZNQCLSA-N 0 3 238.375 2.518 20 0 BFADHN Cc1ccc2ncc(CN3CC[C@@H](C)[C@@H]3C)n2c1 ZINC000091860583 384151019 /nfs/dbraw/zinc/15/10/19/384151019.db2.gz MCSIPAUZOVDQSY-OLZOCXBDSA-N 0 3 243.354 2.873 20 0 BFADHN COc1ccccc1CNC[C@@H]1CCCS1 ZINC000087473286 384151345 /nfs/dbraw/zinc/15/13/45/384151345.db2.gz SXOMHNRAGSQKTA-LBPRGKRZSA-N 0 3 237.368 2.680 20 0 BFADHN CC[C@H]1CCN(Cc2cnc3ccc(C)cn23)C1 ZINC000091930473 384154348 /nfs/dbraw/zinc/15/43/48/384154348.db2.gz WQAURDYSXBAVSS-ZDUSSCGKSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1ccc([C@H](C)NC(=O)[C@@H](N)C(C)(C)C)cc1 ZINC000040464197 384154331 /nfs/dbraw/zinc/15/43/31/384154331.db2.gz RFQXJZNXZQWGNM-WCQYABFASA-N 0 3 248.370 2.546 20 0 BFADHN CCC[C@H](N)c1cn(C[C@@H](C)CC(C)C)nn1 ZINC000302329776 384142411 /nfs/dbraw/zinc/14/24/11/384142411.db2.gz KSQAIBISTFDBMS-RYUDHWBXSA-N 0 3 238.379 2.760 20 0 BFADHN CO[C@H]1CCCN(Cc2ccc(C)s2)C1 ZINC000091772398 384142957 /nfs/dbraw/zinc/14/29/57/384142957.db2.gz PCOBACUUIRMZQQ-NSHDSACASA-N 0 3 225.357 2.667 20 0 BFADHN c1ccc2c(c1)SCC[C@H]2N[C@H]1CCOC1 ZINC000070967027 384145474 /nfs/dbraw/zinc/14/54/74/384145474.db2.gz SAGPXEVQCDONFX-CMPLNLGQSA-N 0 3 235.352 2.602 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@H]1CC=C(C)CC1 ZINC000560497138 384173522 /nfs/dbraw/zinc/17/35/22/384173522.db2.gz ROWKOXFFHCKOGA-QWHCGFSZSA-N 0 3 237.343 2.513 20 0 BFADHN C[C@@H](NCc1ccco1)[C@@H](O)c1ccc(F)cc1 ZINC000071690521 384173763 /nfs/dbraw/zinc/17/37/63/384173763.db2.gz CLUUZXNMOSWSNE-QMTHXVAHSA-N 0 3 249.285 2.630 20 0 BFADHN CC(C)C[C@@H](CO)N[C@@H](C)c1ccccc1F ZINC000040773807 384163616 /nfs/dbraw/zinc/16/36/16/384163616.db2.gz VMNOLQZPOCGZFY-RYUDHWBXSA-N 0 3 239.334 2.883 20 0 BFADHN C[C@@H](NCCN1CCCCCC1)c1ccccn1 ZINC000040767134 384163890 /nfs/dbraw/zinc/16/38/90/384163890.db2.gz XNTHNDWMUHYFSF-CQSZACIVSA-N 0 3 247.386 2.608 20 0 BFADHN C[C@H](NCCc1ccccn1)c1ccc(F)cn1 ZINC000092195062 384167826 /nfs/dbraw/zinc/16/78/26/384167826.db2.gz WAKXDBUUTCBCIJ-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN CC1(C)CCCC[C@@H]1N[C@H]1CCn2ccnc21 ZINC000335954378 384169441 /nfs/dbraw/zinc/16/94/41/384169441.db2.gz LGOCQUCVDVLKMF-RYUDHWBXSA-N 0 3 233.359 2.886 20 0 BFADHN CC1(C)CCCC[C@H]1N[C@@H]1CCn2ccnc21 ZINC000335954379 384169700 /nfs/dbraw/zinc/16/97/00/384169700.db2.gz LGOCQUCVDVLKMF-VXGBXAGGSA-N 0 3 233.359 2.886 20 0 BFADHN CO[C@@H](CNCc1cscc1C)C1CC1 ZINC000400306982 384170085 /nfs/dbraw/zinc/17/00/85/384170085.db2.gz RZUYXLLXFVQHNX-LBPRGKRZSA-N 0 3 225.357 2.571 20 0 BFADHN CC(C)[C@@H](CO)NCc1ccc(Cl)s1 ZINC000080789357 384176499 /nfs/dbraw/zinc/17/64/99/384176499.db2.gz LHXAAJUDGULAOX-SECBINFHSA-N 0 3 233.764 2.508 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccc(C)o1)C1CC1 ZINC000293793338 384179358 /nfs/dbraw/zinc/17/93/58/384179358.db2.gz UMGRACSXXNWSNI-GWCFXTLKSA-N 0 3 223.316 2.664 20 0 BFADHN CCOc1cccc(CN(C)CCCOC)c1 ZINC000092536877 384183652 /nfs/dbraw/zinc/18/36/52/384183652.db2.gz LKKAVWALFGPABA-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN Cc1ncc(CN([C@H](C)C2CC2)C2CC2)o1 ZINC000628140151 384202138 /nfs/dbraw/zinc/20/21/38/384202138.db2.gz BLQHMEZJLUGOMH-SECBINFHSA-N 0 3 220.316 2.746 20 0 BFADHN C[C@H]1CCC[C@@H](CN2CCO[C@@H](C)C2)C1 ZINC000559366850 384205223 /nfs/dbraw/zinc/20/52/23/384205223.db2.gz ZRTYYVDYPGJJCM-RWMBFGLXSA-N 0 3 211.349 2.533 20 0 BFADHN F[C@H]1CCN(C[C@@H]2CCCC(F)(F)C2)C1 ZINC000336263023 384191339 /nfs/dbraw/zinc/19/13/39/384191339.db2.gz NAWKJVQUQZRKFR-ZJUUUORDSA-N 0 3 221.266 2.856 20 0 BFADHN CCCCNC(=O)CN[C@H](C)c1ccccc1C ZINC000042213285 384207697 /nfs/dbraw/zinc/20/76/97/384207697.db2.gz JLRUJHAOXOALPT-CYBMUJFWSA-N 0 3 248.370 2.562 20 0 BFADHN CC(C)C[C@@H](CO)N[C@H](C)c1ccsc1 ZINC000050344492 384193420 /nfs/dbraw/zinc/19/34/20/384193420.db2.gz KTAANIUUZKSMHA-PWSUYJOCSA-N 0 3 227.373 2.806 20 0 BFADHN C[C@@H](NC[C@H]1CCCCO1)c1ccsc1 ZINC000050344782 384193802 /nfs/dbraw/zinc/19/38/02/384193802.db2.gz VQWWRAXYDYEXJD-ZYHUDNBSSA-N 0 3 225.357 2.968 20 0 BFADHN C[C@H](NC[C@@H]1CCCCO1)c1ccsc1 ZINC000050344775 384193813 /nfs/dbraw/zinc/19/38/13/384193813.db2.gz VQWWRAXYDYEXJD-JQWIXIFHSA-N 0 3 225.357 2.968 20 0 BFADHN c1ncc(CN2CCC[C@@H]2C2CCC2)s1 ZINC000092780834 384194222 /nfs/dbraw/zinc/19/42/22/384194222.db2.gz VNTBQXXWDUPGGQ-GFCCVEGCSA-N 0 3 222.357 2.908 20 0 BFADHN COC(=O)CCN[C@@H](C)c1cc(C)cc(C)c1 ZINC000132461266 384231886 /nfs/dbraw/zinc/23/18/86/384231886.db2.gz RBJDUHOPQAEPFY-LBPRGKRZSA-N 0 3 235.327 2.517 20 0 BFADHN CCOC[C@H]1CCCN(Cc2ccco2)C1 ZINC000093418866 384235367 /nfs/dbraw/zinc/23/53/67/384235367.db2.gz MZWYESOITBKCIZ-LBPRGKRZSA-N 0 3 223.316 2.528 20 0 BFADHN c1ccc2nc(CNC3CCOCC3)ccc2c1 ZINC000036319759 384235635 /nfs/dbraw/zinc/23/56/35/384235635.db2.gz HDXLBRDRXUADNA-UHFFFAOYSA-N 0 3 242.322 2.503 20 0 BFADHN CCOC[C@H]1CCCN(Cc2occc2C)C1 ZINC000093419380 384236104 /nfs/dbraw/zinc/23/61/04/384236104.db2.gz RPUUXCDQZKRTGF-ZDUSSCGKSA-N 0 3 237.343 2.837 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1ccsc1)OC ZINC000294173180 384236463 /nfs/dbraw/zinc/23/64/63/384236463.db2.gz JLTRJIBUYDBTPE-ZYHUDNBSSA-N 0 3 227.373 2.899 20 0 BFADHN CCOC[C@H]1CCCN(Cc2ccsc2)C1 ZINC000093419498 384236839 /nfs/dbraw/zinc/23/68/39/384236839.db2.gz CVCQXGUEUAZWSL-LBPRGKRZSA-N 0 3 239.384 2.997 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2cccc(O)c2)C1(C)C ZINC000072926369 384237555 /nfs/dbraw/zinc/23/75/55/384237555.db2.gz HRMZVACFVVAHRY-ZFWWWQNUSA-N 0 3 249.354 2.685 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2cccc(O)c2)C1(C)C ZINC000072926366 384238097 /nfs/dbraw/zinc/23/80/97/384238097.db2.gz HRMZVACFVVAHRY-UKRRQHHQSA-N 0 3 249.354 2.685 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCc1ccnn1C)CC2 ZINC000118906355 384216407 /nfs/dbraw/zinc/21/64/07/384216407.db2.gz ITXLTCHDSVWNLO-HNNXBMFYSA-N 0 3 241.338 2.506 20 0 BFADHN Cc1oc2ccccc2c1CN(C)[C@@H]1CCOC1 ZINC000132107278 384216916 /nfs/dbraw/zinc/21/69/16/384216916.db2.gz VXRXZLLKKCKVCA-GFCCVEGCSA-N 0 3 245.322 2.962 20 0 BFADHN Cc1oc2ccccc2c1CN(C)[C@H]1CCOC1 ZINC000132107487 384217317 /nfs/dbraw/zinc/21/73/17/384217317.db2.gz VXRXZLLKKCKVCA-LBPRGKRZSA-N 0 3 245.322 2.962 20 0 BFADHN C[C@H]1c2ccccc2CCN1CC1=CCCOC1 ZINC000093149623 384218262 /nfs/dbraw/zinc/21/82/62/384218262.db2.gz QGNMZJFGNBPHLO-ZDUSSCGKSA-N 0 3 243.350 2.952 20 0 BFADHN CC[C@@H](O)[C@@H]1CCCCN1Cc1ncccc1C ZINC000093175134 384221017 /nfs/dbraw/zinc/22/10/17/384221017.db2.gz HDGYDOIEARJCPK-LSDHHAIUSA-N 0 3 248.370 2.515 20 0 BFADHN CCN(C)CCNCc1cc(Cl)ccc1F ZINC000118953688 384221685 /nfs/dbraw/zinc/22/16/85/384221685.db2.gz UZKFKYLCVCWQPZ-UHFFFAOYSA-N 0 3 244.741 2.520 20 0 BFADHN C[C@@H](NCCCOCC1CC1)c1cncs1 ZINC000132254627 384222443 /nfs/dbraw/zinc/22/24/43/384222443.db2.gz OFRURGQKOSYRBR-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]1C)c1cnccn1 ZINC000300242008 384225956 /nfs/dbraw/zinc/22/59/56/384225956.db2.gz LAULZCOFVFCYOG-WOPDTQHZSA-N 0 3 219.332 2.563 20 0 BFADHN CC[C@@H](C)NCc1cnc([C@H]2CCCO2)s1 ZINC000082416813 384227180 /nfs/dbraw/zinc/22/71/80/384227180.db2.gz AUDLZTIJVLKYRI-MWLCHTKSSA-N 0 3 240.372 2.883 20 0 BFADHN Cc1nc(CN2CC[C@@H](C)[C@@H]2C)c(C)s1 ZINC000336469786 384227500 /nfs/dbraw/zinc/22/75/00/384227500.db2.gz VPKGIOMKFNHPTB-BDAKNGLRSA-N 0 3 224.373 2.990 20 0 BFADHN CCc1ccc([C@H](C)NCCn2cccn2)cc1 ZINC000042538991 384227826 /nfs/dbraw/zinc/22/78/26/384227826.db2.gz NAUOLNRXBJQQJG-ZDUSSCGKSA-N 0 3 243.354 2.796 20 0 BFADHN CCCCN(CCOC)Cc1scnc1C ZINC000093323086 384229234 /nfs/dbraw/zinc/22/92/34/384229234.db2.gz PBKOYBXQPGPYRY-UHFFFAOYSA-N 0 3 242.388 2.700 20 0 BFADHN COC[C@H]1CCCN(Cc2ccc(OC)cc2)C1 ZINC000093337975 384229322 /nfs/dbraw/zinc/22/93/22/384229322.db2.gz QLJJQNJIOLNSDC-AWEZNQCLSA-N 0 3 249.354 2.554 20 0 BFADHN CCOc1cc(CN2CCC[C@@H]2C)ccc1O ZINC000132443674 384229632 /nfs/dbraw/zinc/22/96/32/384229632.db2.gz MHYOZCBZIGPZGQ-NSHDSACASA-N 0 3 235.327 2.775 20 0 BFADHN C[C@@H]1SCCN(Cc2cccc(O)c2)[C@H]1C ZINC000093501401 384244576 /nfs/dbraw/zinc/24/45/76/384244576.db2.gz OOPDCNTVTYWRQW-QWRGUYRKSA-N 0 3 237.368 2.718 20 0 BFADHN CC[C@@H](NC[C@@H](C)CC(F)F)c1nccn1C ZINC000647318749 384246774 /nfs/dbraw/zinc/24/67/74/384246774.db2.gz YXRQCGJCTQTXDY-VHSXEESVSA-N 0 3 245.317 2.752 20 0 BFADHN CC[C@H]1CCC[C@H](CN2CCO[C@H](C)C2)C1 ZINC000560605986 384248689 /nfs/dbraw/zinc/24/86/89/384248689.db2.gz HOKKUCNJIPYMGZ-RDBSUJKOSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H](CCc1ccccc1)CN1CCO[C@H](C)C1 ZINC000560603851 384248789 /nfs/dbraw/zinc/24/87/89/384248789.db2.gz IYXICFOJEUKXFJ-LSDHHAIUSA-N 0 3 247.382 2.976 20 0 BFADHN C[C@@H](NC1CC1)c1cnn(Cc2ccccc2)c1 ZINC000132762246 384249258 /nfs/dbraw/zinc/24/92/58/384249258.db2.gz AYGBQGFWPRFYCI-GFCCVEGCSA-N 0 3 241.338 2.744 20 0 BFADHN CC(C)CC[C@H](C)NCc1nnc(C(C)C)[nH]1 ZINC000449404482 384249703 /nfs/dbraw/zinc/24/97/03/384249703.db2.gz CDHJOMWFIBDDNO-NSHDSACASA-N 0 3 238.379 2.842 20 0 BFADHN CC(C)CC[C@H](C)[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000449404482 384249710 /nfs/dbraw/zinc/24/97/10/384249710.db2.gz CDHJOMWFIBDDNO-NSHDSACASA-N 0 3 238.379 2.842 20 0 BFADHN Cc1ccccc1C1(N[C@@H]2CCO[C@H]2C)CC1 ZINC000647224652 384277265 /nfs/dbraw/zinc/27/72/65/384277265.db2.gz RXORAYWHOJRACL-GXTWGEPZSA-N 0 3 231.339 2.751 20 0 BFADHN C/C=C\CNCc1c(C)nc2sc(C)cn12 ZINC000394958250 384256653 /nfs/dbraw/zinc/25/66/53/384256653.db2.gz MCEMSDWHEGMYLI-PLNGDYQASA-N 0 3 235.356 2.678 20 0 BFADHN Cc1ccc([C@H](C)NCc2cnn(C)c2C)s1 ZINC000093650162 384256817 /nfs/dbraw/zinc/25/68/17/384256817.db2.gz VSXBWADBNZUTNN-JTQLQIEISA-N 0 3 249.383 2.949 20 0 BFADHN Cc1c(CN[C@@H]2CCc3ccccc32)cnn1C ZINC000093649302 384256933 /nfs/dbraw/zinc/25/69/33/384256933.db2.gz BSYHLXGXIKMTNS-OAHLLOKOSA-N 0 3 241.338 2.506 20 0 BFADHN CC(C)[C@H]1CN(Cc2cnccn2)[C@H]1C(C)C ZINC000560610585 384259463 /nfs/dbraw/zinc/25/94/63/384259463.db2.gz XECOJHOXLZVFTK-KGLIPLIRSA-N 0 3 233.359 2.589 20 0 BFADHN C[C@H]1[C@H](c2ccccc2)CCN1Cc1cc[nH]n1 ZINC000093689816 384260991 /nfs/dbraw/zinc/26/09/91/384260991.db2.gz OOLRGNWSCVIXSB-SWLSCSKDSA-N 0 3 241.338 2.788 20 0 BFADHN C[C@H]1[C@@H](c2ccccc2)CCN1Cc1cc[nH]n1 ZINC000093689819 384261904 /nfs/dbraw/zinc/26/19/04/384261904.db2.gz OOLRGNWSCVIXSB-WFASDCNBSA-N 0 3 241.338 2.788 20 0 BFADHN CC(C)SCCN(C)Cc1cccc(O)c1 ZINC000558724281 384262755 /nfs/dbraw/zinc/26/27/55/384262755.db2.gz BKBUGLIYUUKWGM-UHFFFAOYSA-N 0 3 239.384 2.966 20 0 BFADHN CN(CCc1cccs1)CC1=CCCOC1 ZINC000093685558 384263062 /nfs/dbraw/zinc/26/30/62/384263062.db2.gz AZJVGJHOAPGJJO-UHFFFAOYSA-N 0 3 237.368 2.569 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1ccccc1N(C)C ZINC000525996718 384263909 /nfs/dbraw/zinc/26/39/09/384263909.db2.gz BBSFIVTXTBRZSK-TXEJJXNPSA-N 0 3 218.344 2.735 20 0 BFADHN C[C@H](NCc1ccnc(N)c1)c1cccs1 ZINC000278867922 384266042 /nfs/dbraw/zinc/26/60/42/384266042.db2.gz NXNNZQWVSGNWQA-VIFPVBQESA-N 0 3 233.340 2.576 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@H](C)CC(F)F ZINC000647320146 384269009 /nfs/dbraw/zinc/26/90/09/384269009.db2.gz XWVFTENGVSRBKN-ZJUUUORDSA-N 0 3 245.317 2.845 20 0 BFADHN CC[C@@H](NCCn1ccnc1)c1ccsc1 ZINC000294178021 384269860 /nfs/dbraw/zinc/26/98/60/384269860.db2.gz DJLAYWRWMXCGGM-GFCCVEGCSA-N 0 3 235.356 2.686 20 0 BFADHN C[C@H]1C[C@H](NCc2ccccc2Cl)CO1 ZINC000335423498 384270270 /nfs/dbraw/zinc/27/02/70/384270270.db2.gz ABZVKNXOSSSNFD-ONGXEEELSA-N 0 3 225.719 2.607 20 0 BFADHN COC[C@@H](C)NCc1ccc(Cl)c(F)c1 ZINC000043388249 384271650 /nfs/dbraw/zinc/27/16/50/384271650.db2.gz YQKFCSMHPUHQGW-MRVPVSSYSA-N 0 3 231.698 2.604 20 0 BFADHN CCSCC[C@H](C)N(C)Cc1c[nH]nc1C ZINC000093871099 384275306 /nfs/dbraw/zinc/27/53/06/384275306.db2.gz LIJFILYDESVXTA-JTQLQIEISA-N 0 3 241.404 2.682 20 0 BFADHN CN(CCOCC1CC1)CCc1cccs1 ZINC000174156361 384304763 /nfs/dbraw/zinc/30/47/63/384304763.db2.gz SDCQIWUCWNFEHP-UHFFFAOYSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@@H](CC1CC1)N[C@@H]1COc2ccccc21 ZINC000133642756 384305047 /nfs/dbraw/zinc/30/50/47/384305047.db2.gz ANSXLOIWPAHKQK-GXFFZTMASA-N 0 3 217.312 2.898 20 0 BFADHN C[C@H](CO)N(C)Cc1csc2ccccc12 ZINC000159137776 384305153 /nfs/dbraw/zinc/30/51/53/384305153.db2.gz XEFVREBSUALESV-SNVBAGLBSA-N 0 3 235.352 2.714 20 0 BFADHN C[C@H](NC[C@]1(C)CCCC[C@@H]1O)c1ccco1 ZINC000159219928 384311583 /nfs/dbraw/zinc/31/15/83/384311583.db2.gz HOUXFSUQWHJPGF-UBHSHLNASA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H](O)C[C@H]1CCCN1Cc1ccc(F)cc1 ZINC000159228072 384312287 /nfs/dbraw/zinc/31/22/87/384312287.db2.gz PDJZGZROYSRNSM-BXUZGUMPSA-N 0 3 237.318 2.561 20 0 BFADHN Cc1ccncc1CNCCC(C)(F)F ZINC000294186270 384314372 /nfs/dbraw/zinc/31/43/72/384314372.db2.gz KPZQFLZRFVJNMB-UHFFFAOYSA-N 0 3 214.259 2.525 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1cc(F)cc(F)c1 ZINC000094827545 384315978 /nfs/dbraw/zinc/31/59/78/384315978.db2.gz XQUVYXZJGVAOJW-TVQRCGJNSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@H](CN[C@@H](C)c1cc(F)cc(F)c1)N(C)C ZINC000094827122 384316659 /nfs/dbraw/zinc/31/66/59/384316659.db2.gz JLQCLVNBERUDQQ-ZJUUUORDSA-N 0 3 242.313 2.566 20 0 BFADHN C[C@@H](NCCc1ccncc1)c1ccc(F)cn1 ZINC000133932545 384319260 /nfs/dbraw/zinc/31/92/60/384319260.db2.gz BSLOYVYTUXNVGC-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN Cc1ccc2ncc(CN3CCC(C)CC3)n2c1 ZINC000158871594 384282446 /nfs/dbraw/zinc/28/24/46/384282446.db2.gz PLJOTMAQAZKOPM-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CCCNCc1cnc(C2CCC2)s1 ZINC000083123420 384283409 /nfs/dbraw/zinc/28/34/09/384283409.db2.gz VWQAICCTHUDXAR-UHFFFAOYSA-N 0 3 210.346 2.910 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1ccccc1N(C)C ZINC000093941220 384283865 /nfs/dbraw/zinc/28/38/65/384283865.db2.gz FRZFDPBJZAFTJD-CHWSQXEVSA-N 0 3 248.370 2.582 20 0 BFADHN CCC[C@H]1CCCC[C@@H]1NCc1ncc[nH]1 ZINC000066593770 384287177 /nfs/dbraw/zinc/28/71/77/384287177.db2.gz GQYRJENFWCKQBR-RYUDHWBXSA-N 0 3 221.348 2.858 20 0 BFADHN COC[C@@H](C)NCc1cc(F)cc(Cl)c1 ZINC000083358416 384291838 /nfs/dbraw/zinc/29/18/38/384291838.db2.gz CQPSEXOLNVDCJO-MRVPVSSYSA-N 0 3 231.698 2.604 20 0 BFADHN C[C@@H](CO)CN[C@H](C)c1ccccc1Cl ZINC000083218289 384291943 /nfs/dbraw/zinc/29/19/43/384291943.db2.gz PFCZMEQXFQBMRE-NXEZZACHSA-N 0 3 227.735 2.619 20 0 BFADHN C[C@@H](O)CCNCc1cc(Cl)ccc1Cl ZINC000083698508 384321637 /nfs/dbraw/zinc/32/16/37/384321637.db2.gz VWLLKFUDZKAKPW-MRVPVSSYSA-N 0 3 248.153 2.854 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1cccc(Cl)c1F ZINC000158986524 384295168 /nfs/dbraw/zinc/29/51/68/384295168.db2.gz VRYJVZXJTIMGPS-KCJUWKMLSA-N 0 3 243.709 2.746 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1ccccc1F ZINC000133557928 384296913 /nfs/dbraw/zinc/29/69/13/384296913.db2.gz JSRHCOZEQGEJNW-GHMZBOCLSA-N 0 3 225.307 2.637 20 0 BFADHN Cc1cnccc1CN[C@H]1CC(C)(C)OC1(C)C ZINC000228660615 384297865 /nfs/dbraw/zinc/29/78/65/384297865.db2.gz PWRYWHOZSJFKKE-ZDUSSCGKSA-N 0 3 248.370 2.826 20 0 BFADHN CCc1ccc(NC(=O)CNC(C)(C)C)cc1 ZINC000094420326 384298066 /nfs/dbraw/zinc/29/80/66/384298066.db2.gz ILJUKYWYCGEEHA-UHFFFAOYSA-N 0 3 234.343 2.576 20 0 BFADHN CC[C@@H](CCO)CN[C@H](C)c1ccccc1F ZINC000094948741 384321932 /nfs/dbraw/zinc/32/19/32/384321932.db2.gz HDZOCTBLNOWTGS-NEPJUHHUSA-N 0 3 239.334 2.885 20 0 BFADHN C[C@H](N[C@H]1CCOC2(CCC2)C1)c1ccccn1 ZINC000094367636 384299217 /nfs/dbraw/zinc/29/92/17/384299217.db2.gz BLGAGOTWYUKXMG-STQMWFEESA-N 0 3 246.354 2.834 20 0 BFADHN C[C@@H](CN(C)C)NCc1ccc(F)c(Cl)c1 ZINC000159081483 384301575 /nfs/dbraw/zinc/30/15/75/384301575.db2.gz GDFIRAVYHQHYRF-VIFPVBQESA-N 0 3 244.741 2.519 20 0 BFADHN Cc1cc(CN2CCCO[C@@H](C)C2)cc(C)c1O ZINC000134161786 384337668 /nfs/dbraw/zinc/33/76/68/384337668.db2.gz KLGFERQURKXWTB-ZDUSSCGKSA-N 0 3 249.354 2.620 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1ccc2ncccc2c1 ZINC000134247039 384343713 /nfs/dbraw/zinc/34/37/13/384343713.db2.gz YONMECWCFOJBQR-BXUZGUMPSA-N 0 3 242.322 2.502 20 0 BFADHN CN(CCc1cccs1)Cc1cccnc1 ZINC000075965265 384346639 /nfs/dbraw/zinc/34/66/39/384346639.db2.gz MCYSNZQWVPEKSW-UHFFFAOYSA-N 0 3 232.352 2.818 20 0 BFADHN CN(CCc1cccs1)Cc1ccccn1 ZINC000075965467 384347867 /nfs/dbraw/zinc/34/78/67/384347867.db2.gz GAEUTPRYODGWAY-UHFFFAOYSA-N 0 3 232.352 2.818 20 0 BFADHN C[C@H](N[C@H]1CCO[C@@H]1C)c1ccsc1 ZINC000134391566 384352112 /nfs/dbraw/zinc/35/21/12/384352112.db2.gz NJDUEPGLKWXKIV-NGZCFLSTSA-N 0 3 211.330 2.576 20 0 BFADHN C[C@H](N[C@H]1CCO[C@H]1C)c1ccsc1 ZINC000134391589 384352980 /nfs/dbraw/zinc/35/29/80/384352980.db2.gz NJDUEPGLKWXKIV-QXEWZRGKSA-N 0 3 211.330 2.576 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cccnc2)CCS1 ZINC000076063935 384356437 /nfs/dbraw/zinc/35/64/37/384356437.db2.gz IGXAIGQMXODEMK-ZDUSSCGKSA-N 0 3 236.384 2.655 20 0 BFADHN C[C@@H](N[C@H]1CC=CCC1)c1ccccn1 ZINC000084066910 384360973 /nfs/dbraw/zinc/36/09/73/384360973.db2.gz HCPHQNMPVGMDGB-NEPJUHHUSA-N 0 3 202.301 2.841 20 0 BFADHN Cc1nc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)cs1 ZINC000403406357 384361461 /nfs/dbraw/zinc/36/14/61/384361461.db2.gz VEVXSCUKXIFRBO-UTUOFQBUSA-N 0 3 236.384 2.977 20 0 BFADHN CCOc1cccc(CN2CCCC2)c1 ZINC000120543064 384361716 /nfs/dbraw/zinc/36/17/16/384361716.db2.gz GALKFYYAQCIADQ-UHFFFAOYSA-N 0 3 205.301 2.681 20 0 BFADHN CO[C@H]1CCCN(Cc2ccc(C)cc2)C1 ZINC000076347021 384368369 /nfs/dbraw/zinc/36/83/69/384368369.db2.gz QJSGORXOLYENHJ-AWEZNQCLSA-N 0 3 219.328 2.606 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C=C[C@H](CO)C2)c(C)c1 ZINC000134645165 384374804 /nfs/dbraw/zinc/37/48/04/384374804.db2.gz AZKGMQGJGYZHKQ-SOUVJXGZSA-N 0 3 245.366 2.891 20 0 BFADHN FC(F)(F)CCCNCc1ccco1 ZINC000084123691 384371064 /nfs/dbraw/zinc/37/10/64/384371064.db2.gz RGEFDTVKLMLDHY-UHFFFAOYSA-N 0 3 207.195 2.712 20 0 BFADHN COc1ccc(CNCCC(C)C)c(OC)c1 ZINC000013718103 384371334 /nfs/dbraw/zinc/37/13/34/384371334.db2.gz AZJRNXYQTVWIFD-UHFFFAOYSA-N 0 3 237.343 2.840 20 0 BFADHN Cn1cc(CN2CC[C@H]2C2CC2)c(C(C)(C)C)n1 ZINC000449425104 384323588 /nfs/dbraw/zinc/32/35/88/384323588.db2.gz GVSQELQMIAUBCD-ZDUSSCGKSA-N 0 3 247.386 2.702 20 0 BFADHN C[C@@H](CN[C@@H](C)c1cncs1)C(F)(F)F ZINC000308829019 384329379 /nfs/dbraw/zinc/32/93/79/384329379.db2.gz FNPQGNLMPSEVEX-BQBZGAKWSA-N 0 3 238.278 2.992 20 0 BFADHN CSCCNC1(c2ccc(F)cc2)CC1 ZINC000308829989 384329677 /nfs/dbraw/zinc/32/96/77/384329677.db2.gz ADZSVDBXPMFIKK-UHFFFAOYSA-N 0 3 225.332 2.767 20 0 BFADHN CCn1nccc1CN(C)C1CCC(C)CC1 ZINC000179718396 384332523 /nfs/dbraw/zinc/33/25/23/384332523.db2.gz WYHUMCQTAWQFMD-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@@H]1CN(C)C[C@@H]1Nc1cc(F)cc(Cl)c1 ZINC000309778921 384392589 /nfs/dbraw/zinc/39/25/89/384392589.db2.gz MLIDONDHGSAEQR-PELKAZGASA-N 0 3 242.725 2.841 20 0 BFADHN Cc1nc(CCN[C@H](C)c2ccncc2)cs1 ZINC000160255027 384397759 /nfs/dbraw/zinc/39/77/59/384397759.db2.gz QIAKYNOYHJFRET-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN C[C@H]1C[C@H](NCc2ccccc2C2CC2)CO1 ZINC000402029179 384406539 /nfs/dbraw/zinc/40/65/39/384406539.db2.gz HVXXJPDVLXINSV-FZMZJTMJSA-N 0 3 231.339 2.831 20 0 BFADHN CC[C@@H](NCC1(C(F)F)CC1)c1nccn1C ZINC000359002851 384407198 /nfs/dbraw/zinc/40/71/98/384407198.db2.gz QESWTFKKPVIJMN-SECBINFHSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@](O)(CNCc1ccccc1)c1ccsc1 ZINC000077460941 384408551 /nfs/dbraw/zinc/40/85/51/384408551.db2.gz PGWKJEPDKCVHCB-AWEZNQCLSA-N 0 3 247.363 2.745 20 0 BFADHN Fc1cccc(CN(C[C@H]2CCCO2)C2CC2)c1 ZINC000121301062 384408964 /nfs/dbraw/zinc/40/89/64/384408964.db2.gz WQUDKKLQWWOXMY-OAHLLOKOSA-N 0 3 249.329 2.969 20 0 BFADHN COC(=O)c1ccccc1CN(C(C)C)C1CC1 ZINC000121320118 384410293 /nfs/dbraw/zinc/41/02/93/384410293.db2.gz WECHYVMXYGYNIK-UHFFFAOYSA-N 0 3 247.338 2.846 20 0 BFADHN CC[C@H](CO)N[C@H](CC)c1ccccc1F ZINC000135095580 384411693 /nfs/dbraw/zinc/41/16/93/384411693.db2.gz HMMDMPBTHQYAGX-ZWNOBZJWSA-N 0 3 225.307 2.637 20 0 BFADHN CS[C@@H](C)CN[C@@H]1CCCc2occc21 ZINC000169106864 384411704 /nfs/dbraw/zinc/41/17/04/384411704.db2.gz CMCCJQZRYBXAQW-GXSJLCMTSA-N 0 3 225.357 2.998 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CCC=CO2)s1 ZINC000275844126 384419569 /nfs/dbraw/zinc/41/95/69/384419569.db2.gz QLTSHGIAPQRPGH-NSHDSACASA-N 0 3 238.356 2.542 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1cccc(Cl)c1F ZINC000160621847 384421448 /nfs/dbraw/zinc/42/14/48/384421448.db2.gz WCNHOXQKMAGXTF-MRVPVSSYSA-N 0 3 245.725 2.728 20 0 BFADHN COc1ccc(CN2CC(C3CC3)C2)cc1C ZINC000643346078 384422936 /nfs/dbraw/zinc/42/29/36/384422936.db2.gz ZEFGYMIBWIJPQH-UHFFFAOYSA-N 0 3 231.339 2.845 20 0 BFADHN CC(C)N(Cc1nccc(N)n1)C1CCCCC1 ZINC000135178581 384422807 /nfs/dbraw/zinc/42/28/07/384422807.db2.gz DOEATXDMLHVMIY-UHFFFAOYSA-N 0 3 248.374 2.602 20 0 BFADHN Cc1ccsc1CN1CC(C2CC2)C1 ZINC000643345986 384423414 /nfs/dbraw/zinc/42/34/14/384423414.db2.gz WRDXHTFXLFJHNT-UHFFFAOYSA-N 0 3 207.342 2.898 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1ccc(Cl)cc1 ZINC000096033493 384423503 /nfs/dbraw/zinc/42/35/03/384423503.db2.gz MMYHVTIIUGNPHS-SKDRFNHKSA-N 0 3 225.719 2.607 20 0 BFADHN Fc1ccc(CN2CC(C3CC3)C2)cc1 ZINC000643345695 384423777 /nfs/dbraw/zinc/42/37/77/384423777.db2.gz GPFYSRWLWJFRGH-UHFFFAOYSA-N 0 3 205.276 2.668 20 0 BFADHN C[C@H](CO)N(C)Cc1ccccc1C(F)(F)F ZINC000135218075 384425132 /nfs/dbraw/zinc/42/51/32/384425132.db2.gz NGZGMFYAMXAZMX-SECBINFHSA-N 0 3 247.260 2.518 20 0 BFADHN CCN(CC(=O)Nc1c(C)cccc1C)C(C)C ZINC000120722377 384376203 /nfs/dbraw/zinc/37/62/03/384376203.db2.gz HHUHVTVIAZMEIX-UHFFFAOYSA-N 0 3 248.370 2.972 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2C=C[C@H](CO)C2)cc1 ZINC000134647061 384376415 /nfs/dbraw/zinc/37/64/15/384376415.db2.gz WOPZZJKCOZKTAN-VNHYZAJKSA-N 0 3 231.339 2.583 20 0 BFADHN Cc1cccc(CN(C)C2CCOCC2)c1F ZINC000517216067 384381605 /nfs/dbraw/zinc/38/16/05/384381605.db2.gz BGRCPGVKJYPVSI-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN CC(C)[C@@H](NCCO)c1ccc(Cl)cc1F ZINC000134753289 384383266 /nfs/dbraw/zinc/38/32/66/384383266.db2.gz RRDWIZHTXJBWEO-GFCCVEGCSA-N 0 3 245.725 2.758 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CC(C2CC2)C1 ZINC000643345373 384383215 /nfs/dbraw/zinc/38/32/15/384383215.db2.gz TYNYSXGEBJAIEG-UHFFFAOYSA-N 0 3 233.359 2.549 20 0 BFADHN C[C@@H](NCC[C@@H]1CCCCO1)c1nccs1 ZINC000134731924 384384912 /nfs/dbraw/zinc/38/49/12/384384912.db2.gz SIHIVOWOSSYDCW-MNOVXSKESA-N 0 3 240.372 2.753 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1cccnc1 ZINC000160884106 384444395 /nfs/dbraw/zinc/44/43/95/384444395.db2.gz RFQJHDQHVYPGPP-FPMFFAJLSA-N 0 3 234.343 2.690 20 0 BFADHN CCCN(CC1CCCCC1)[C@@H](C)C(=O)OC ZINC000525633428 384457454 /nfs/dbraw/zinc/45/74/54/384457454.db2.gz PPTFOJBBACRTSV-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CC[C@H](C)N1CCc2ccc(OC)cc2C1 ZINC000181117846 384450467 /nfs/dbraw/zinc/45/04/67/384450467.db2.gz FQCJQZMWAMCZTK-NSHDSACASA-N 0 3 219.328 2.852 20 0 BFADHN Cc1nocc1CN1CCCC(C)(C)C1 ZINC000295304339 384432948 /nfs/dbraw/zinc/43/29/48/384432948.db2.gz KDRMASCUCGQRAJ-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1cc2ccccc2o1 ZINC000135305363 384434296 /nfs/dbraw/zinc/43/42/96/384434296.db2.gz CSKAYITXBRPAME-ZWNOBZJWSA-N 0 3 231.295 2.700 20 0 BFADHN CCCC[C@H](C(=O)OC)N(C)C[C@@H](C)CC ZINC000160799489 384436455 /nfs/dbraw/zinc/43/64/55/384436455.db2.gz HXWRNGBVWSOJCL-NWDGAFQWSA-N 0 3 229.364 2.696 20 0 BFADHN Cc1nc(CNC2CCCCCC2)[nH]c1C ZINC000565548077 384442319 /nfs/dbraw/zinc/44/23/19/384442319.db2.gz DZNYBIZZGPCXBU-UHFFFAOYSA-N 0 3 221.348 2.839 20 0 BFADHN Fc1cccc(N2CCCC2)c1CNC1CC1 ZINC000078371362 384443641 /nfs/dbraw/zinc/44/36/41/384443641.db2.gz ROUFDPTWRNWWLT-UHFFFAOYSA-N 0 3 234.318 2.678 20 0 BFADHN CC(C)CCC[C@H](C)NCc1cc[nH]n1 ZINC000036900195 384462876 /nfs/dbraw/zinc/46/28/76/384462876.db2.gz SXTNSKTVAYHTQV-NSHDSACASA-N 0 3 209.337 2.714 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cncs1)C(C)(C)C ZINC000122200505 384464202 /nfs/dbraw/zinc/46/42/02/384464202.db2.gz XMEIDXWDKMDDTN-ONGXEEELSA-N 0 3 242.388 2.855 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccco1)C(C)(C)C ZINC000122208495 384465208 /nfs/dbraw/zinc/46/52/08/384465208.db2.gz LJDXMRGWPOCWEQ-JQWIXIFHSA-N 0 3 225.332 2.991 20 0 BFADHN CCN(C[C@H]1CCCO1)[C@@H](C)c1cccc(O)c1 ZINC000135793338 384465358 /nfs/dbraw/zinc/46/53/58/384465358.db2.gz QEJBJZVGBTWCBL-SWLSCSKDSA-N 0 3 249.354 2.954 20 0 BFADHN CCN(CCO)Cc1ccc(C(F)(F)F)cc1 ZINC000047878703 384468487 /nfs/dbraw/zinc/46/84/87/384468487.db2.gz IZNNLHPXXDTVCC-UHFFFAOYSA-N 0 3 247.260 2.520 20 0 BFADHN Cc1cccc(CN[C@@H]2CO[C@H](C)C2)c1C ZINC000335663534 384471979 /nfs/dbraw/zinc/47/19/79/384471979.db2.gz NFEVPQBVGFIHDP-RISCZKNCSA-N 0 3 219.328 2.570 20 0 BFADHN FC1(CN[C@@H]2C[C@H]2Cc2ccccc2)CC1 ZINC000381355233 384472448 /nfs/dbraw/zinc/47/24/48/384472448.db2.gz CXLSWOXNPCPOMO-CHWSQXEVSA-N 0 3 219.303 2.709 20 0 BFADHN Cc1cc(C)nc(NCc2cccn2C)c1 ZINC000122295889 384472524 /nfs/dbraw/zinc/47/25/24/384472524.db2.gz CCDXVAHKMHCQBM-UHFFFAOYSA-N 0 3 215.300 2.649 20 0 BFADHN COC[C@H](N[C@@H]1CC[C@@H](C)C1)c1ccco1 ZINC000122305922 384472540 /nfs/dbraw/zinc/47/25/40/384472540.db2.gz ZVHDNYSZCSDVFF-UTUOFQBUSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1ncc(CNCCOc2ccccc2)s1 ZINC000048068232 384475267 /nfs/dbraw/zinc/47/52/67/384475267.db2.gz ZVPFMADRQYUSAE-UHFFFAOYSA-N 0 3 248.351 2.620 20 0 BFADHN CC1(C(=O)Nc2ccc3c(c2)CNC3)CCCC1 ZINC000335664619 384478280 /nfs/dbraw/zinc/47/82/80/384478280.db2.gz UTIMKDNPISRPAU-UHFFFAOYSA-N 0 3 244.338 2.809 20 0 BFADHN Fc1ccccc1CCN1CCCCC1 ZINC000148470062 384479057 /nfs/dbraw/zinc/47/90/57/384479057.db2.gz FTPZOGKEEHOHGR-UHFFFAOYSA-N 0 3 207.292 2.854 20 0 BFADHN Cc1csc(CN[C@H]2CCC[C@@H]2C)n1 ZINC000079055255 384483259 /nfs/dbraw/zinc/48/32/59/384483259.db2.gz CTNWYJGCJYRDRG-WPRPVWTQSA-N 0 3 210.346 2.730 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3ccccc3)CCC2)CO1 ZINC000647176937 384500902 /nfs/dbraw/zinc/50/09/02/384500902.db2.gz DTAYATZBBYPJJT-OCCSQVGLSA-N 0 3 231.339 2.833 20 0 BFADHN C[C@@H]1CC[C@]2(CCN(Cc3ccc(N)nc3)C2)C1 ZINC000647518510 384505391 /nfs/dbraw/zinc/50/53/91/384505391.db2.gz OFVOUYDTLKVXKU-DOMZBBRYSA-N 0 3 245.370 2.506 20 0 BFADHN C[C@@H](NCc1ccc(Cl)o1)[C@@H]1CCCO1 ZINC000037502774 384506388 /nfs/dbraw/zinc/50/63/88/384506388.db2.gz DYHQKMXBBGGZPR-SCZZXKLOSA-N 0 3 229.707 2.590 20 0 BFADHN C[C@H]1CC[C@@]2(CCN(Cc3ccc(N)nc3)C2)C1 ZINC000647518512 384506310 /nfs/dbraw/zinc/50/63/10/384506310.db2.gz OFVOUYDTLKVXKU-SWLSCSKDSA-N 0 3 245.370 2.506 20 0 BFADHN C[C@H](N[C@@H]1CCCSC1)c1ccco1 ZINC000061573063 384507750 /nfs/dbraw/zinc/50/77/50/384507750.db2.gz QXHGIZPUZJRXGX-VHSXEESVSA-N 0 3 211.330 2.826 20 0 BFADHN Cc1cc(CN)cc(NC(=O)[C@H]2CC=CCC2)c1 ZINC000322972626 384508100 /nfs/dbraw/zinc/50/81/00/384508100.db2.gz YXYOVEDBZFYISW-ZDUSSCGKSA-N 0 3 244.338 2.749 20 0 BFADHN Cc1ccc(CNCCOc2ccccc2)o1 ZINC000048436442 384488241 /nfs/dbraw/zinc/48/82/41/384488241.db2.gz QLWAXECXRJSUSK-UHFFFAOYSA-N 0 3 231.295 2.757 20 0 BFADHN C[C@@H](N[C@@H]1CCCSC1)c1cncc(F)c1 ZINC000122550274 384493248 /nfs/dbraw/zinc/49/32/48/384493248.db2.gz PRHXKDYFOSIFSC-BXKDBHETSA-N 0 3 240.347 2.767 20 0 BFADHN CC(C)C[C@H](C)C[C@@H](C)NCc1ccn(C)n1 ZINC000356869744 384515733 /nfs/dbraw/zinc/51/57/33/384515733.db2.gz NPKAEHOKTSKBOL-QWHCGFSZSA-N 0 3 237.391 2.971 20 0 BFADHN Cc1ccc(CNCCOC(F)(F)F)c(C)c1 ZINC000353210981 384516761 /nfs/dbraw/zinc/51/67/61/384516761.db2.gz YCXCFVAYIIJYIJ-UHFFFAOYSA-N 0 3 247.260 2.929 20 0 BFADHN Cc1cc(CN(C)CC(C)(C)O)c(C)s1 ZINC000172176087 384541774 /nfs/dbraw/zinc/54/17/74/384541774.db2.gz YXPNPGDFUVFYRE-UHFFFAOYSA-N 0 3 227.373 2.568 20 0 BFADHN c1nc(CNCC(C2CC2)C2CC2)cs1 ZINC000083894096 384542071 /nfs/dbraw/zinc/54/20/71/384542071.db2.gz WXLUBHWJMKHLAA-UHFFFAOYSA-N 0 3 222.357 2.669 20 0 BFADHN C[C@@]1(O)CCN(Cc2ccc(C(F)F)cc2)C1 ZINC000183955431 384542055 /nfs/dbraw/zinc/54/20/55/384542055.db2.gz CFFJTRVHURCIQU-CYBMUJFWSA-N 0 3 241.281 2.581 20 0 BFADHN C[C@H]1C[C@@H](NC2(c3ccc(F)cc3)CC2)CO1 ZINC000647180756 384542453 /nfs/dbraw/zinc/54/24/53/384542453.db2.gz STOBECFFRMHWSN-GXFFZTMASA-N 0 3 235.302 2.582 20 0 BFADHN Cc1ccc2c(c1C)OC[C@@H]2N[C@H]1CO[C@@H](C)C1 ZINC000647180742 384543904 /nfs/dbraw/zinc/54/39/04/384543904.db2.gz SCDCCALEGHPCFD-SUHUHFCYSA-N 0 3 247.338 2.504 20 0 BFADHN CCOCCN[C@@H](C)c1cc(F)ccc1OC ZINC000161929838 384544419 /nfs/dbraw/zinc/54/44/19/384544419.db2.gz JQZXGRFDRUVECM-JTQLQIEISA-N 0 3 241.306 2.521 20 0 BFADHN Cc1ccc([C@@H](C)NCC(=O)NC(C)(C)C)cc1 ZINC000049556802 384550030 /nfs/dbraw/zinc/55/00/30/384550030.db2.gz RCNAYOIZYPTPRR-GFCCVEGCSA-N 0 3 248.370 2.560 20 0 BFADHN Cc1ccc(CN[C@@H]2CC23CCCC3)nc1 ZINC000335883214 384554583 /nfs/dbraw/zinc/55/45/83/384554583.db2.gz SFPRUYBQTOJQNG-CYBMUJFWSA-N 0 3 216.328 2.812 20 0 BFADHN CC[C@@H](NCCC=C(C)C)c1nccn1C ZINC000276881176 384554849 /nfs/dbraw/zinc/55/48/49/384554849.db2.gz KZZJLWZJPPXDGS-GFCCVEGCSA-N 0 3 221.348 2.817 20 0 BFADHN CC(C)[C@@H](N)c1cn(CCCC(C)(C)C)nn1 ZINC000305954042 384558729 /nfs/dbraw/zinc/55/87/29/384558729.db2.gz VGHDNXKQRNRQJS-GFCCVEGCSA-N 0 3 238.379 2.760 20 0 BFADHN Cc1ccc([C@@H](C)NC2CCC(O)CC2)o1 ZINC000019918736 384560062 /nfs/dbraw/zinc/56/00/62/384560062.db2.gz RPCKBRBGBSKGQG-VOMCLLRMSA-N 0 3 223.316 2.542 20 0 BFADHN C[C@@H](NCCc1ccccn1)c1ccco1 ZINC000019916624 384560749 /nfs/dbraw/zinc/56/07/49/384560749.db2.gz JOGSCTSFLJWSPF-LLVKDONJSA-N 0 3 216.284 2.568 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1ccc(F)cc1 ZINC000019963576 384562170 /nfs/dbraw/zinc/56/21/70/384562170.db2.gz IEMHLSBYFOWHJW-MFKMUULPSA-N 0 3 223.291 2.655 20 0 BFADHN CCOCCN[C@H](C)c1sc(CC)nc1C ZINC000161886348 384537929 /nfs/dbraw/zinc/53/79/29/384537929.db2.gz NHZOKHRWSRKDBZ-SECBINFHSA-N 0 3 242.388 2.701 20 0 BFADHN FC(F)(F)[C@H]1CCC[C@H](NCc2ncc[nH]2)C1 ZINC000049715410 384562564 /nfs/dbraw/zinc/56/25/64/384562564.db2.gz ZGTPOXOZAIDDIL-IUCAKERBSA-N 0 3 247.264 2.620 20 0 BFADHN COC[C@H](N[C@@H]1CC[C@@H]1C(C)C)c1ccco1 ZINC000556870059 384569883 /nfs/dbraw/zinc/56/98/83/384569883.db2.gz BXEIHDINIRSIJG-UPJWGTAASA-N 0 3 237.343 2.991 20 0 BFADHN Cc1cnn(CCN[C@@H](C)c2ccc(C)s2)c1 ZINC000123843793 384590346 /nfs/dbraw/zinc/59/03/46/384590346.db2.gz LLCPFSOCVBQFIG-LBPRGKRZSA-N 0 3 249.383 2.912 20 0 BFADHN CC[C@H](C)C[C@@H](CO)N[C@H](C)c1ccoc1 ZINC000647336551 384575472 /nfs/dbraw/zinc/57/54/72/384575472.db2.gz BYVKAECWXZEGTN-LOWVWBTDSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@H](C)C[C@@H](CO)N[C@H](C)c1cccnc1 ZINC000647337284 384578764 /nfs/dbraw/zinc/57/87/64/384578764.db2.gz NEBMHPAJEVKCMX-SCRDCRAPSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CC[C@H](C)C[C@@H]1C ZINC000309746815 384580362 /nfs/dbraw/zinc/58/03/62/384580362.db2.gz FVBZYDKVJKTVFY-JBLDHEPKSA-N 0 3 221.348 2.632 20 0 BFADHN c1cncc(CNCCOC2CCCCC2)c1 ZINC000049948554 384580679 /nfs/dbraw/zinc/58/06/79/384580679.db2.gz TZEFIIAPEUMHRF-UHFFFAOYSA-N 0 3 234.343 2.521 20 0 BFADHN CC(C)COCCCN[C@H](C)c1ccccn1 ZINC000020147229 384585367 /nfs/dbraw/zinc/58/53/67/384585367.db2.gz KPCVXWYZEPXQLR-CYBMUJFWSA-N 0 3 236.359 2.795 20 0 BFADHN Fc1ccc(-n2cc(CN3CCCC3)cn2)cc1 ZINC000102938242 384585577 /nfs/dbraw/zinc/58/55/77/384585577.db2.gz BYGCPHFHPIVFMI-UHFFFAOYSA-N 0 3 245.301 2.607 20 0 BFADHN CC[C@H](C)C[C@H](CO)N[C@@H](C)c1cncs1 ZINC000647337848 384586525 /nfs/dbraw/zinc/58/65/25/384586525.db2.gz VQUVRUWVHPUDHB-GARJFASQSA-N 0 3 242.388 2.591 20 0 BFADHN c1cc2c(c(CN3CC[C@@H]4CCC[C@H]43)c1)OCO2 ZINC000428452099 384565918 /nfs/dbraw/zinc/56/59/18/384565918.db2.gz JFGNJHIEVJDLGR-WCQYABFASA-N 0 3 245.322 2.790 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1ccc(F)cc1F ZINC000019963752 384566994 /nfs/dbraw/zinc/56/69/94/384566994.db2.gz WFRHNEVVZPYHFI-KOLCDFICSA-N 0 3 241.281 2.794 20 0 BFADHN COCC1(N[C@@H](C)[C@H](OC)c2ccccc2)CC1 ZINC000518045300 384632612 /nfs/dbraw/zinc/63/26/12/384632612.db2.gz RAMTWDBNPJCKMN-JSGCOSHPSA-N 0 3 249.354 2.531 20 0 BFADHN CC/C=C/CNCc1cnc2cccc(C)n12 ZINC000449452888 384610371 /nfs/dbraw/zinc/61/03/71/384610371.db2.gz GXRAMYUVXCTRKS-SNAWJCMRSA-N 0 3 229.327 2.699 20 0 BFADHN Cc1cscc1N[C@@H]1CN(C2CC2)C[C@H]1C ZINC000309791692 384612663 /nfs/dbraw/zinc/61/26/63/384612663.db2.gz PJPXEZSOJHSIPY-BXKDBHETSA-N 0 3 236.384 2.951 20 0 BFADHN CCCCNC(=O)[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000020367960 384616150 /nfs/dbraw/zinc/61/61/50/384616150.db2.gz DYIFLUABCIIPGS-QWHCGFSZSA-N 0 3 248.370 2.642 20 0 BFADHN C[C@H](Cn1ccnc1)N[C@H](C)c1ccsc1 ZINC000050343362 384617443 /nfs/dbraw/zinc/61/74/43/384617443.db2.gz FRGDSFHMOPLYRL-GHMZBOCLSA-N 0 3 235.356 2.684 20 0 BFADHN C[C@@H](NCc1ccc(F)cn1)[C@@H]1CC1(C)C ZINC000309798653 384620218 /nfs/dbraw/zinc/62/02/18/384620218.db2.gz QTCVFQQQFLSWIH-SKDRFNHKSA-N 0 3 222.307 2.745 20 0 BFADHN CCN(CCc1ccccn1)Cc1ccccn1 ZINC000172760601 384622327 /nfs/dbraw/zinc/62/23/27/384622327.db2.gz IUFNALGZJQDZPM-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN CSCCCN1CCc2sccc2C1 ZINC000172718315 384623063 /nfs/dbraw/zinc/62/30/63/384623063.db2.gz PXMDBYOOOUXYNG-UHFFFAOYSA-N 0 3 227.398 2.859 20 0 BFADHN C[C@@H](NCc1cc(F)c(F)cc1F)C1CC1 ZINC000124220030 384623531 /nfs/dbraw/zinc/62/35/31/384623531.db2.gz ZRYFEKHDUDNQFY-SSDOTTSWSA-N 0 3 229.245 2.992 20 0 BFADHN CC(C)C1CCN([C@H](C)c2cnccn2)CC1 ZINC000184578417 384623938 /nfs/dbraw/zinc/62/39/38/384623938.db2.gz GUQHWUNGMAYOTQ-GFCCVEGCSA-N 0 3 233.359 2.906 20 0 BFADHN Cc1ncc(CN2CCCC3(CC3)C2)s1 ZINC000186616986 384629471 /nfs/dbraw/zinc/62/94/71/384629471.db2.gz HXLWRDYPVQIRKV-UHFFFAOYSA-N 0 3 222.357 2.828 20 0 BFADHN COCC[C@H](C)NCc1c(F)cccc1Cl ZINC000081705216 384629707 /nfs/dbraw/zinc/62/97/07/384629707.db2.gz FKKGRGNEUFNACU-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN CCc1ccc(CNCc2cc[nH]c2)s1 ZINC000086250952 384629916 /nfs/dbraw/zinc/62/99/16/384629916.db2.gz MVGLEQNVICACOF-UHFFFAOYSA-N 0 3 220.341 2.928 20 0 BFADHN Cc1ccc(CN2CCC(C)(C3CC3)CC2)nn1 ZINC000334846782 384591210 /nfs/dbraw/zinc/59/12/10/384591210.db2.gz OYJNIDMKWLHSTA-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN CC[C@H](CO)NCc1ccc(C(F)(F)F)cc1 ZINC000020194671 384592121 /nfs/dbraw/zinc/59/21/21/384592121.db2.gz HSPAAKDPGTVPFB-LLVKDONJSA-N 0 3 247.260 2.566 20 0 BFADHN CC(C)[C@H](O)CNCc1ccc(Cl)c(F)c1 ZINC000123918145 384595424 /nfs/dbraw/zinc/59/54/24/384595424.db2.gz RAHVFRFSKRAYBZ-GFCCVEGCSA-N 0 3 245.725 2.586 20 0 BFADHN CC1(C)SC[C@H]1NC/C=C/c1ccncc1 ZINC000309770461 384597871 /nfs/dbraw/zinc/59/78/71/384597871.db2.gz KIUDWAPHERVLHU-AAOUONPWSA-N 0 3 234.368 2.578 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2NCCc1cccnc1 ZINC000123979327 384599141 /nfs/dbraw/zinc/59/91/41/384599141.db2.gz ULYLQPSDJDMDKI-AWEZNQCLSA-N 0 3 242.322 2.884 20 0 BFADHN Cc1cc(NCc2cc[nH]n2)c2ccccc2n1 ZINC000041016008 384600956 /nfs/dbraw/zinc/60/09/56/384600956.db2.gz RDKLDIYOKRVUPE-UHFFFAOYSA-N 0 3 238.294 2.878 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccncc1)C(C)(C)C ZINC000173211014 384663688 /nfs/dbraw/zinc/66/36/88/384663688.db2.gz ATSUGRHEWQKWFF-DGCLKSJQSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](C)N[C@@H](C)c1cc2n(n1)CCCC2 ZINC000647280382 384665081 /nfs/dbraw/zinc/66/50/81/384665081.db2.gz JVMGCJYTACKMHP-QWRGUYRKSA-N 0 3 221.348 2.669 20 0 BFADHN Cc1ccccc1CCN(C)CC1(F)CC1 ZINC000518081382 384666051 /nfs/dbraw/zinc/66/60/51/384666051.db2.gz UGJMESUKYMFTAN-UHFFFAOYSA-N 0 3 221.319 2.971 20 0 BFADHN Cc1ccsc1[C@@H](CO)N[C@@H]1C=CCCC1 ZINC000309849477 384666211 /nfs/dbraw/zinc/66/62/11/384666211.db2.gz IJWHFAHSGJZHNL-VXGBXAGGSA-N 0 3 237.368 2.788 20 0 BFADHN C[C@H](CNCc1cc(Cl)ccc1F)N(C)C ZINC000162693429 384666613 /nfs/dbraw/zinc/66/66/13/384666613.db2.gz REPODOFJQGWRAA-SECBINFHSA-N 0 3 244.741 2.519 20 0 BFADHN Cc1c(CN(C)CC23CCC(CC2)C3)cnn1C ZINC000564412359 384667572 /nfs/dbraw/zinc/66/75/72/384667572.db2.gz RVCJBMNNFAQTTM-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@@H](CCc1ccccc1)CN1CCO[C@@H](C)C1 ZINC000556940743 384667635 /nfs/dbraw/zinc/66/76/35/384667635.db2.gz IYXICFOJEUKXFJ-GJZGRUSLSA-N 0 3 247.382 2.976 20 0 BFADHN c1c[nH]c(CN[C@@H](Cc2ccccc2)C2CC2)n1 ZINC000124685497 384667587 /nfs/dbraw/zinc/66/75/87/384667587.db2.gz AGQSGBYNVGLABV-AWEZNQCLSA-N 0 3 241.338 2.521 20 0 BFADHN CN(Cc1ccc(Cl)s1)C[C@@H]1CCOC1 ZINC000047698645 384671922 /nfs/dbraw/zinc/67/19/22/384671922.db2.gz JXXLIYWXIHDDOJ-VIFPVBQESA-N 0 3 245.775 2.870 20 0 BFADHN C[C@H](CCO)CNCc1cc(Cl)ccc1F ZINC000162708682 384673488 /nfs/dbraw/zinc/67/34/88/384673488.db2.gz RMRKBRBWGQNQSH-SECBINFHSA-N 0 3 245.725 2.587 20 0 BFADHN CC(C)C[C@H](CO)NCc1cc(Cl)cs1 ZINC000086332564 384634894 /nfs/dbraw/zinc/63/48/94/384634894.db2.gz FVXBRQXUQANGBE-SNVBAGLBSA-N 0 3 247.791 2.898 20 0 BFADHN CC(C)C[C@H](N[C@H]1CO[C@H](C)C1)c1ccncc1 ZINC000647351101 384636291 /nfs/dbraw/zinc/63/62/91/384636291.db2.gz MSSUCWIWTQTMEV-YUELXQCFSA-N 0 3 248.370 2.936 20 0 BFADHN CC(=O)Nc1ccccc1CN1CCC[C@@H]1C ZINC000124388050 384638164 /nfs/dbraw/zinc/63/81/64/384638164.db2.gz YPHYDNBQMMRNNP-NSHDSACASA-N 0 3 232.327 2.629 20 0 BFADHN C[C@@H](NC1CC1)c1ccccc1N(C)C ZINC000093941064 384641574 /nfs/dbraw/zinc/64/15/74/384641574.db2.gz CXQKFMVMENOYSO-SNVBAGLBSA-N 0 3 204.317 2.566 20 0 BFADHN CN(Cc1n[nH]c(C2CC2)n1)[C@@H]1CCC(C)(C)C1 ZINC000361469634 384645089 /nfs/dbraw/zinc/64/50/89/384645089.db2.gz NIDKTLPJAWTUTR-LLVKDONJSA-N 0 3 248.374 2.693 20 0 BFADHN CCc1ccc(CNCc2cccnc2)s1 ZINC000021007819 384646108 /nfs/dbraw/zinc/64/61/08/384646108.db2.gz GMMNVFGJBNQILM-UHFFFAOYSA-N 0 3 232.352 2.995 20 0 BFADHN C[C@@H](NCc1ccc(Cl)o1)[C@H]1CCCOC1 ZINC000309826014 384646343 /nfs/dbraw/zinc/64/63/43/384646343.db2.gz XIZZBQFJHTWDCX-ZJUUUORDSA-N 0 3 243.734 2.838 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2ncccc2C)C1(C)C ZINC000162571846 384648364 /nfs/dbraw/zinc/64/83/64/384648364.db2.gz ZQBJSSCWUZEODC-HIFRSBDPSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2CCS[C@H]2C)c1 ZINC000647359423 384652013 /nfs/dbraw/zinc/65/20/13/384652013.db2.gz HCIAJOMJRXQFFL-GMXVVIOVSA-N 0 3 236.384 2.935 20 0 BFADHN CCCCN[C@H](C)c1cc2n(n1)CCCC2 ZINC000647279944 384653614 /nfs/dbraw/zinc/65/36/14/384653614.db2.gz IBGXOXHEFOQPLJ-LLVKDONJSA-N 0 3 221.348 2.670 20 0 BFADHN Clc1ccc2ncc(CN[C@H]3CC34CC4)n2c1 ZINC000335286543 384723980 /nfs/dbraw/zinc/72/39/80/384723980.db2.gz UYGNNMIQSRPQSQ-NSHDSACASA-N 0 3 247.729 2.630 20 0 BFADHN Fc1ccc(CN[C@@H]2CC23CC3)c(F)c1 ZINC000335285294 384724348 /nfs/dbraw/zinc/72/43/48/384724348.db2.gz UTYYYFNGRBHYBG-LLVKDONJSA-N 0 3 209.239 2.607 20 0 BFADHN Cc1cnc([C@H](C)NCC2CCC2)s1 ZINC000125997402 384724361 /nfs/dbraw/zinc/72/43/61/384724361.db2.gz LAPHBNOBXHLPLX-VIFPVBQESA-N 0 3 210.346 2.902 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc3cnccc3c2)CO1 ZINC000402365144 384725352 /nfs/dbraw/zinc/72/53/52/384725352.db2.gz WTZVYHORMUABJB-IAQYHMDHSA-N 0 3 242.322 2.502 20 0 BFADHN Cc1nc(CN[C@H]2CSC[C@@H]2C)sc1C ZINC000335912962 384677570 /nfs/dbraw/zinc/67/75/70/384677570.db2.gz NOCGCARWNJJWNL-XVKPBYJWSA-N 0 3 242.413 2.601 20 0 BFADHN CC[C@@H](C)[C@H](C)[NH2+]Cc1nc(C)ccc1[O-] ZINC000082424849 384682874 /nfs/dbraw/zinc/68/28/74/384682874.db2.gz JSVGWVZOYSNMTD-KOLCDFICSA-N 0 3 222.332 2.620 20 0 BFADHN C[C@@H](N[C@H]1CCC(C)(C)C1)c1ccncn1 ZINC000335916878 384684549 /nfs/dbraw/zinc/68/45/49/384684549.db2.gz XFJUAIIRRYSXKK-MNOVXSKESA-N 0 3 219.332 2.706 20 0 BFADHN Cc1cnc(CN(CC(C)C)C(C)C)o1 ZINC000173573466 384691496 /nfs/dbraw/zinc/69/14/96/384691496.db2.gz NSSBNPMAMYKDHD-UHFFFAOYSA-N 0 3 210.321 2.849 20 0 BFADHN C[C@H](O)CN(C)Cc1ccc(C(F)(F)F)cc1 ZINC000051821948 384693070 /nfs/dbraw/zinc/69/30/70/384693070.db2.gz QCNFITWBCDZIEJ-VIFPVBQESA-N 0 3 247.260 2.518 20 0 BFADHN Cc1cccc2c1ncc(C)c2N1CCOCC1 ZINC000185246391 384695494 /nfs/dbraw/zinc/69/54/94/384695494.db2.gz OMSZZQLBTSACEO-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cocn2)C[C@@H]1C ZINC000185265237 384697455 /nfs/dbraw/zinc/69/74/55/384697455.db2.gz PMIGOLKTQNDOPR-VWYCJHECSA-N 0 3 208.305 2.589 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1n[nH]c(C(C)C)n1 ZINC000428305975 384697720 /nfs/dbraw/zinc/69/77/20/384697720.db2.gz MYCFGTQARGFSMS-QWRGUYRKSA-N 0 3 236.363 2.691 20 0 BFADHN Cc1ccc([C@@H](C)NCCc2cscn2)o1 ZINC000082626143 384697559 /nfs/dbraw/zinc/69/75/59/384697559.db2.gz PUNNPTKHRYKUJZ-SNVBAGLBSA-N 0 3 236.340 2.938 20 0 BFADHN CCOCCN[C@H](CC(C)C)c1ccncc1 ZINC000647284067 384727066 /nfs/dbraw/zinc/72/70/66/384727066.db2.gz ZZZNOMBDLJEAFY-CQSZACIVSA-N 0 3 236.359 2.795 20 0 BFADHN Cc1ccncc1CN[C@H]1CC12CCCC2 ZINC000335918610 384701489 /nfs/dbraw/zinc/70/14/89/384701489.db2.gz NQMANMUNMNCZDA-ZDUSSCGKSA-N 0 3 216.328 2.812 20 0 BFADHN C[C@H]1C[C@H](NC2(c3cccc(F)c3)CCC2)CO1 ZINC000647232814 384702721 /nfs/dbraw/zinc/70/27/21/384702721.db2.gz FPHJZNUZIHNSSG-FZMZJTMJSA-N 0 3 249.329 2.972 20 0 BFADHN CCN(CC[S@](=O)c1ccccc1)C(C)C ZINC000104680800 384703668 /nfs/dbraw/zinc/70/36/68/384703668.db2.gz AXFHQUSQYVKUJK-MRXNPFEDSA-N 0 3 239.384 2.525 20 0 BFADHN Fc1cc(F)cc(CCNCc2ccco2)c1 ZINC000051634548 384703803 /nfs/dbraw/zinc/70/38/03/384703803.db2.gz BDDWPVGESQROGQ-UHFFFAOYSA-N 0 3 237.249 2.890 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1cccc(O)c1)OC ZINC000294262051 384704055 /nfs/dbraw/zinc/70/40/55/384704055.db2.gz QZMZDPCROYCXIK-RISCZKNCSA-N 0 3 237.343 2.543 20 0 BFADHN COCc1ccc(CNC(C)(C)CF)cc1 ZINC000309909956 384705046 /nfs/dbraw/zinc/70/50/46/384705046.db2.gz MCJZYQMKRQDCBK-UHFFFAOYSA-N 0 3 225.307 2.671 20 0 BFADHN Nc1cccc(CN2CCc3ccsc3C2)c1 ZINC000334971407 384727321 /nfs/dbraw/zinc/72/73/21/384727321.db2.gz VKJBNNMZKJSQBC-UHFFFAOYSA-N 0 3 244.363 2.889 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1ccc(Cl)cn1 ZINC000335276645 384706610 /nfs/dbraw/zinc/70/66/10/384706610.db2.gz HEGZEDRTPJKYPH-KWQFWETISA-N 0 3 222.719 2.938 20 0 BFADHN Fc1cccc(NCCCNCC2(F)CC2)c1 ZINC000527284852 384706692 /nfs/dbraw/zinc/70/66/92/384706692.db2.gz ABFJLPMNZHXMSW-UHFFFAOYSA-N 0 3 240.297 2.719 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1cc(F)ccc1F ZINC000309912852 384708698 /nfs/dbraw/zinc/70/86/98/384708698.db2.gz NPIQEASHMKOOAS-VXGBXAGGSA-N 0 3 243.322 2.948 20 0 BFADHN C[C@H](CCO)CNCc1ccc(Cl)cc1F ZINC000162968461 384710906 /nfs/dbraw/zinc/71/09/06/384710906.db2.gz SZXJZJWEEPLPKQ-SECBINFHSA-N 0 3 245.725 2.587 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CC12CCCC2 ZINC000309903139 384711806 /nfs/dbraw/zinc/71/18/06/384711806.db2.gz IULFNDOXDFNIFY-LBPRGKRZSA-N 0 3 220.316 2.714 20 0 BFADHN CCc1cc(N[C@@H](C)CCO)c2ccccc2n1 ZINC000125330803 384712765 /nfs/dbraw/zinc/71/27/65/384712765.db2.gz HHDOLUVHXJKRLT-NSHDSACASA-N 0 3 244.338 2.980 20 0 BFADHN Cc1csc(CNCC2(C3CC3)CC2)n1 ZINC000125846293 384714543 /nfs/dbraw/zinc/71/45/43/384714543.db2.gz VZSPLSQQNVFDCW-UHFFFAOYSA-N 0 3 222.357 2.731 20 0 BFADHN c1cc2c(o1)CCC[C@H]2N[C@H]1CC12CC2 ZINC000335281771 384715101 /nfs/dbraw/zinc/71/51/01/384715101.db2.gz XNIOBSGAUSTOPX-PWSUYJOCSA-N 0 3 203.285 2.799 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2ccco2)C1(C)C ZINC000082784218 384715140 /nfs/dbraw/zinc/71/51/40/384715140.db2.gz JXDZPVACGPOWRR-VXGBXAGGSA-N 0 3 223.316 2.573 20 0 BFADHN CC(C)(CCO)NCc1ccc(Cl)cc1F ZINC000162984740 384716004 /nfs/dbraw/zinc/71/60/04/384716004.db2.gz SLCOPLHHYOMWNA-UHFFFAOYSA-N 0 3 245.725 2.730 20 0 BFADHN COc1ccc(CN(C)CC2CC2)cc1F ZINC000052128256 384718033 /nfs/dbraw/zinc/71/80/33/384718033.db2.gz DZPFHPBBCBXLCF-UHFFFAOYSA-N 0 3 223.291 2.676 20 0 BFADHN CC(C)C[C@@H](C)CN1CCC(=O)[C@@H](C)C1 ZINC000300932623 384718899 /nfs/dbraw/zinc/71/88/99/384718899.db2.gz NYECFLSVJPSUCV-NEPJUHHUSA-N 0 3 211.349 2.580 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC[C@H]2C)o1 ZINC000449611058 384719186 /nfs/dbraw/zinc/71/91/86/384719186.db2.gz UAYDMEMACZFFQO-MWLCHTKSSA-N 0 3 208.305 2.515 20 0 BFADHN CCOc1cc(C)ccc1CN[C@@H]1CO[C@@H](C)C1 ZINC000647191643 384727852 /nfs/dbraw/zinc/72/78/52/384727852.db2.gz WQZVGJJZRNVKHA-JSGCOSHPSA-N 0 3 249.354 2.661 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1cc(C)oc1C ZINC000083463313 384774148 /nfs/dbraw/zinc/77/41/48/384774148.db2.gz RVNQNODIEGALQZ-MFKMUULPSA-N 0 3 225.332 2.708 20 0 BFADHN c1cnc2ccc(CN3CCSCC3)cc2c1 ZINC000126191258 384776347 /nfs/dbraw/zinc/77/63/47/384776347.db2.gz SLUYOQGBYUPXEK-UHFFFAOYSA-N 0 3 244.363 2.784 20 0 BFADHN C[C@H](NCCC(C)(F)F)c1cncs1 ZINC000294355939 384778531 /nfs/dbraw/zinc/77/85/31/384778531.db2.gz ZPLIGFALJYRFGK-ZETCQYMHSA-N 0 3 220.288 2.839 20 0 BFADHN COC(=O)c1csc([C@@H](C)NC2CCC2)c1 ZINC000309944588 384728799 /nfs/dbraw/zinc/72/87/99/384728799.db2.gz CUOLGPPJAALZKP-MRVPVSSYSA-N 0 3 239.340 2.738 20 0 BFADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+]CC(C)C)[n-]1 ZINC000309686894 384730008 /nfs/dbraw/zinc/73/00/08/384730008.db2.gz HOZRHUQZYGAHHU-VHSXEESVSA-N 0 3 224.352 2.625 20 0 BFADHN CC[C@H](C)c1nnc([C@@H](C)NCC(C)C)[nH]1 ZINC000309686894 384730014 /nfs/dbraw/zinc/73/00/14/384730014.db2.gz HOZRHUQZYGAHHU-VHSXEESVSA-N 0 3 224.352 2.625 20 0 BFADHN C[C@@H](O)CCCN[C@@H](C)c1ccc(Cl)cn1 ZINC000279011867 384732659 /nfs/dbraw/zinc/73/26/59/384732659.db2.gz GZPJBLUIFKSVEA-ZJUUUORDSA-N 0 3 242.750 2.547 20 0 BFADHN CCc1ccc(CN[C@@H]2CCCSC2)cn1 ZINC000309940955 384733706 /nfs/dbraw/zinc/73/37/06/384733706.db2.gz BPTDVQQPSSFWPX-CYBMUJFWSA-N 0 3 236.384 2.629 20 0 BFADHN C[C@H](NC1CCC1)c1cccnc1Cl ZINC000271494240 384735732 /nfs/dbraw/zinc/73/57/32/384735732.db2.gz PLNNHFNHJHFFJT-QMMMGPOBSA-N 0 3 210.708 2.938 20 0 BFADHN Cc1noc(C)c1CNCCC(C)(C)C ZINC000087031491 384737378 /nfs/dbraw/zinc/73/73/78/384737378.db2.gz FDQAXKKGDFXDEB-UHFFFAOYSA-N 0 3 210.321 2.817 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1sccc1OC ZINC000309952377 384738246 /nfs/dbraw/zinc/73/82/46/384738246.db2.gz GTGZHLUZKZVAIZ-ZJUUUORDSA-N 0 3 243.372 2.832 20 0 BFADHN c1cn2c(n1)[C@@H](NCCC1=CCCCC1)CC2 ZINC000294296817 384739556 /nfs/dbraw/zinc/73/95/56/384739556.db2.gz ZMDVVOVEKYGTNO-ZDUSSCGKSA-N 0 3 231.343 2.808 20 0 BFADHN CC[C@H]1CCN(Cc2cnc(C)s2)C1 ZINC000125681638 384741346 /nfs/dbraw/zinc/74/13/46/384741346.db2.gz PBTDIESILGWKQF-JTQLQIEISA-N 0 3 210.346 2.683 20 0 BFADHN CC/C=C\CNCc1cccc(OCCOC)c1 ZINC000449462174 384743275 /nfs/dbraw/zinc/74/32/75/384743275.db2.gz USESWJHLNIYGFR-PLNGDYQASA-N 0 3 249.354 2.768 20 0 BFADHN CC(C)COCCCN[C@@H](C)c1nccs1 ZINC000083142500 384745504 /nfs/dbraw/zinc/74/55/04/384745504.db2.gz XBFFILIVGFQUNB-NSHDSACASA-N 0 3 242.388 2.856 20 0 BFADHN C[C@@H](NCc1c(F)cccc1Cl)[C@H](C)CO ZINC000125725828 384746116 /nfs/dbraw/zinc/74/61/16/384746116.db2.gz BTJNNEFOWDNQBV-RKDXNWHRSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@H](O)CCCN1CCc2sccc2[C@@H]1C ZINC000336664520 384748880 /nfs/dbraw/zinc/74/88/80/384748880.db2.gz PGRSYNCFHULSJP-QWRGUYRKSA-N 0 3 239.384 2.828 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cscn1 ZINC000185648163 384751671 /nfs/dbraw/zinc/75/16/71/384751671.db2.gz FQHOFKGEJPFSGE-KOLCDFICSA-N 0 3 210.346 2.764 20 0 BFADHN Cc1ccc(CCCNC(C)(C)CF)cn1 ZINC000309728966 384779743 /nfs/dbraw/zinc/77/97/43/384779743.db2.gz YZRZRIMQSYIIEG-UHFFFAOYSA-N 0 3 224.323 2.660 20 0 BFADHN C[C@@H](NC[C@@H](C)C1CC1)c1cc2n(n1)CCCC2 ZINC000647285559 384752763 /nfs/dbraw/zinc/75/27/63/384752763.db2.gz HUTVKDUOTWAVRH-VXGBXAGGSA-N 0 3 247.386 2.916 20 0 BFADHN CCC[C@H](C)CN1CCN([C@H](C)CC)CC1 ZINC000449627774 384755617 /nfs/dbraw/zinc/75/56/17/384755617.db2.gz HGUVDVPMMIWLEA-UONOGXRCSA-N 0 3 226.408 2.839 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1ncc(C)o1 ZINC000185663520 384756823 /nfs/dbraw/zinc/75/68/23/384756823.db2.gz JXHBHTRXFIBJCQ-KOLCDFICSA-N 0 3 208.305 2.603 20 0 BFADHN Cn1cnc(CN[C@H](C2CCC2)C2CCCC2)c1 ZINC000647235466 384760175 /nfs/dbraw/zinc/76/01/75/384760175.db2.gz DXHDGVUTHDFSJO-HNNXBMFYSA-N 0 3 247.386 2.869 20 0 BFADHN CC[C@H](NCCC1(C)CC1)c1nccn1C ZINC000310008181 384780092 /nfs/dbraw/zinc/78/00/92/384780092.db2.gz HOVOLCMFSWSEOX-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN Cc1ccc(CNCCOC(F)(F)F)cc1 ZINC000084177679 384761662 /nfs/dbraw/zinc/76/16/62/384761662.db2.gz NCZQMUYTSYDEHV-UHFFFAOYSA-N 0 3 233.233 2.621 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2ccncc2)C1(C)C ZINC000300404191 384761789 /nfs/dbraw/zinc/76/17/89/384761789.db2.gz FLRHSZVJSAYOKA-RAIGVLPGSA-N 0 3 234.343 2.546 20 0 BFADHN CCN(CCC(C)=O)CCc1ccccc1 ZINC000144799119 384764007 /nfs/dbraw/zinc/76/40/07/384764007.db2.gz UNVDHTXKFMMMCG-UHFFFAOYSA-N 0 3 219.328 2.530 20 0 BFADHN Fc1c(Cl)cccc1CNC[C@H]1CCCO1 ZINC000083372124 384764532 /nfs/dbraw/zinc/76/45/32/384764532.db2.gz NHYFKQLBRBAHSQ-SNVBAGLBSA-N 0 3 243.709 2.748 20 0 BFADHN Fc1ccc(F)c2c1CC[C@H]2NCC1CC1 ZINC000126049770 384765359 /nfs/dbraw/zinc/76/53/59/384765359.db2.gz FHJZUJAQSAKKRB-GFCCVEGCSA-N 0 3 223.266 2.952 20 0 BFADHN CCc1ccccc1CNCc1cn(C)nc1C ZINC000126055099 384766175 /nfs/dbraw/zinc/76/61/75/384766175.db2.gz MWNJRKLQTASUNP-UHFFFAOYSA-N 0 3 243.354 2.581 20 0 BFADHN COC[C@@H](N[C@H](C)C1CC1)c1ccc(F)cc1 ZINC000358195111 384780972 /nfs/dbraw/zinc/78/09/72/384780972.db2.gz UMUGLWXSDJPSQD-QMTHXVAHSA-N 0 3 237.318 2.901 20 0 BFADHN COC[C@H](N[C@@H]1CCCc2occc21)C1CC1 ZINC000126075975 384769288 /nfs/dbraw/zinc/76/92/88/384769288.db2.gz SYMRDTARUJDFNT-OLZOCXBDSA-N 0 3 235.327 2.672 20 0 BFADHN COC[C@@H](N[C@@H](C)c1ccc(C)o1)C(C)C ZINC000083397933 384769328 /nfs/dbraw/zinc/76/93/28/384769328.db2.gz VIJJQCHQJIPACF-NWDGAFQWSA-N 0 3 225.332 2.910 20 0 BFADHN FC1(F)CCCC[C@H]1CNCc1ccncc1 ZINC000294340047 384770050 /nfs/dbraw/zinc/77/00/50/384770050.db2.gz YJDDVTLNKIKPOV-LBPRGKRZSA-N 0 3 240.297 2.997 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1ccc(Cl)cn1 ZINC000309999488 384769997 /nfs/dbraw/zinc/76/99/97/384769997.db2.gz DHJTWIGGHHKWEA-MWLCHTKSSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1nocc1CN[C@@H](C)CCC(C)C ZINC000294120824 384770118 /nfs/dbraw/zinc/77/01/18/384770118.db2.gz NHOGXHWOPJOTKR-JTQLQIEISA-N 0 3 210.321 2.897 20 0 BFADHN Cc1cnc([C@H](C)NCC[C@H]2CCCO2)s1 ZINC000126081345 384770224 /nfs/dbraw/zinc/77/02/24/384770224.db2.gz GZJXTLLRPUWIKE-WDEREUQCSA-N 0 3 240.372 2.671 20 0 BFADHN COC[C@H](N[C@@H](C)c1cccc(F)c1)C1CC1 ZINC000126061550 384770281 /nfs/dbraw/zinc/77/02/81/384770281.db2.gz ZFACQSRGDYDKME-HZMBPMFUSA-N 0 3 237.318 2.901 20 0 BFADHN COC[C@@H](N[C@H](C)c1cccc(F)c1)C1CC1 ZINC000126062200 384770660 /nfs/dbraw/zinc/77/06/60/384770660.db2.gz ZFACQSRGDYDKME-QMTHXVAHSA-N 0 3 237.318 2.901 20 0 BFADHN CCCCOCCCNCc1scnc1C ZINC000126607072 384816387 /nfs/dbraw/zinc/81/63/87/384816387.db2.gz UELKYWRRPUCSKC-UHFFFAOYSA-N 0 3 242.388 2.748 20 0 BFADHN Cc1ccc(CNC[C@@]2(C)CCCS2)o1 ZINC000087620504 384816358 /nfs/dbraw/zinc/81/63/58/384816358.db2.gz NBFIYJOUNQECGQ-GFCCVEGCSA-N 0 3 225.357 2.963 20 0 BFADHN Cc1csc(CNC[C@]2(C)CCCS2)n1 ZINC000087620633 384816532 /nfs/dbraw/zinc/81/65/32/384816532.db2.gz OIJBJGOVPGQITP-NSHDSACASA-N 0 3 242.413 2.827 20 0 BFADHN CC[C@H](N[C@@H](C)CC1CC1)c1ccn(C)n1 ZINC000310042864 384817214 /nfs/dbraw/zinc/81/72/14/384817214.db2.gz ZUNVKFNOPSPUBX-JQWIXIFHSA-N 0 3 221.348 2.649 20 0 BFADHN COc1ccc(C)cc1CNC[C@@H]1CC[C@@H](C)O1 ZINC000398149784 384817790 /nfs/dbraw/zinc/81/77/90/384817790.db2.gz NAEYRDXFIKWSHL-OCCSQVGLSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H](NCCCF)c1ccc(F)c(F)c1 ZINC000126930015 384820852 /nfs/dbraw/zinc/82/08/52/384820852.db2.gz QJZSBPAVVKKSRW-MRVPVSSYSA-N 0 3 217.234 2.975 20 0 BFADHN Cc1csc(CNC[C@@H]2CCCCS2)n1 ZINC000087684956 384826531 /nfs/dbraw/zinc/82/65/31/384826531.db2.gz RICWIXIBCDNURV-JTQLQIEISA-N 0 3 242.413 2.827 20 0 BFADHN C[C@@H](CCc1ccc(F)cc1F)NCCF ZINC000309776317 384827298 /nfs/dbraw/zinc/82/72/98/384827298.db2.gz PVPGDJFMUKQJDF-VIFPVBQESA-N 0 3 231.261 2.845 20 0 BFADHN Clc1ccccc1CN[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000087689779 384828415 /nfs/dbraw/zinc/82/84/15/384828415.db2.gz JCXLALSZNKRXNP-RTXFEEFZSA-N 0 3 237.730 2.750 20 0 BFADHN CCc1cc(NC(=O)[C@@H](N)[C@@H](C)CC)ccc1C ZINC000236920212 384830880 /nfs/dbraw/zinc/83/08/80/384830880.db2.gz YZORNKIVRYEOBB-HZMBPMFUSA-N 0 3 248.370 2.869 20 0 BFADHN Cc1ccc(C)c(CN[C@H]2CO[C@@H](C)C2)c1 ZINC000335664328 384833384 /nfs/dbraw/zinc/83/33/84/384833384.db2.gz RBAMBBZUSGNPHX-GXTWGEPZSA-N 0 3 219.328 2.570 20 0 BFADHN CCN(C)Cc1ccc2c(c1)COC(C)(C)O2 ZINC000186330390 384835444 /nfs/dbraw/zinc/83/54/44/384835444.db2.gz DERSRCQOSZMMDV-UHFFFAOYSA-N 0 3 235.327 2.783 20 0 BFADHN c1ccc(OCCN2[C@H]3C=CC[C@@H]2CC3)cc1 ZINC000363505287 384781132 /nfs/dbraw/zinc/78/11/32/384781132.db2.gz VOTKHIPJYMZUBL-UONOGXRCSA-N 0 3 229.323 2.858 20 0 BFADHN CC[C@H](C[C@H](C)CO)N[C@H](C)c1cncs1 ZINC000310019993 384786031 /nfs/dbraw/zinc/78/60/31/384786031.db2.gz MRWATMONCNBETO-HBNTYKKESA-N 0 3 242.388 2.591 20 0 BFADHN CCOC[C@H](C)NCc1ccsc1C ZINC000308542627 384786095 /nfs/dbraw/zinc/78/60/95/384786095.db2.gz OLAYWEQRQFWITR-VIFPVBQESA-N 0 3 213.346 2.571 20 0 BFADHN Cc1cnc(CNC[C@H](C)C(C)C)s1 ZINC000308523133 384788508 /nfs/dbraw/zinc/78/85/08/384788508.db2.gz VGLKNRZONZEBES-VIFPVBQESA-N 0 3 212.362 2.833 20 0 BFADHN Cc1csc([C@@H](C)NCCCCF)n1 ZINC000308538451 384789792 /nfs/dbraw/zinc/78/97/92/384789792.db2.gz MZXMYVCRIAKTGE-SECBINFHSA-N 0 3 216.325 2.852 20 0 BFADHN CSCCCN1CC(C)(C)[C@H]1[C@@H]1CCCO1 ZINC000368069263 384790128 /nfs/dbraw/zinc/79/01/28/384790128.db2.gz FLTCLDUIICDUEE-NWDGAFQWSA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2n[nH]cc2C)C1 ZINC000310014228 384793432 /nfs/dbraw/zinc/79/34/32/384793432.db2.gz KDQHYUIVYUFGLB-NWDGAFQWSA-N 0 3 221.348 2.777 20 0 BFADHN COC[C@H](N[C@H](C)c1ccsc1)C1CC1 ZINC000087480250 384796425 /nfs/dbraw/zinc/79/64/25/384796425.db2.gz LEAXJULFZIIOBE-SKDRFNHKSA-N 0 3 225.357 2.824 20 0 BFADHN CN(Cc1ccc(Cl)cc1)CC(C)(C)O ZINC000042439298 384796861 /nfs/dbraw/zinc/79/68/61/384796861.db2.gz UYQAVGHXIYPTNE-UHFFFAOYSA-N 0 3 227.735 2.543 20 0 BFADHN CCCC(C)(C)NCc1ccn(C(F)F)n1 ZINC000310017490 384797747 /nfs/dbraw/zinc/79/77/47/384797747.db2.gz LMRXACMJPADNRX-UHFFFAOYSA-N 0 3 231.290 2.947 20 0 BFADHN C[C@H](NCc1nccs1)[C@@H]1CC1(C)C ZINC000308551492 384799152 /nfs/dbraw/zinc/79/91/52/384799152.db2.gz XQKNREJJWXRTLH-IUCAKERBSA-N 0 3 210.346 2.667 20 0 BFADHN C[C@H](CF)N[C@@H]1CS[C@@H](C(C)(C)C)C1 ZINC000308564290 384799301 /nfs/dbraw/zinc/79/93/01/384799301.db2.gz GZBPWVABYHPZHS-KXUCPTDWSA-N 0 3 219.369 2.854 20 0 BFADHN c1nc(CNC2CCC3(CC3)CC2)cs1 ZINC000277547045 384801207 /nfs/dbraw/zinc/80/12/07/384801207.db2.gz ZRBGQCKXQBNADO-UHFFFAOYSA-N 0 3 222.357 2.956 20 0 BFADHN COC[C@@H](N[C@@H](C)c1cc(C)oc1C)C1CC1 ZINC000126469034 384802483 /nfs/dbraw/zinc/80/24/83/384802483.db2.gz AWYPSMRXDCOYDH-IINYFYTJSA-N 0 3 237.343 2.972 20 0 BFADHN CN(Cc1cccs1)Cc1ccc(CO)cc1 ZINC000163410723 384803048 /nfs/dbraw/zinc/80/30/48/384803048.db2.gz RAGGSZSMNXVMFD-UHFFFAOYSA-N 0 3 247.363 2.872 20 0 BFADHN CC(C)C[C@H](N[C@@H]1CCCOC1)c1ccncc1 ZINC000647288381 384804339 /nfs/dbraw/zinc/80/43/39/384804339.db2.gz BDKRKIKVBKIFEI-CABCVRRESA-N 0 3 248.370 2.937 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1ccc(Cl)nc1 ZINC000126851146 384837022 /nfs/dbraw/zinc/83/70/22/384837022.db2.gz GKEMKBNSIVFJRD-KOLCDFICSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@@H](NCc1conc1C)[C@H]1CC1(C)C ZINC000398113768 384808650 /nfs/dbraw/zinc/80/86/50/384808650.db2.gz SXGDZESVKYTGQG-VXGBXAGGSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ccc(C(=O)CCN(C)CC2CC2)cc1 ZINC000053935632 384809214 /nfs/dbraw/zinc/80/92/14/384809214.db2.gz OBYXSWHIMFDOET-UHFFFAOYSA-N 0 3 231.339 2.910 20 0 BFADHN Cc1ccc(C(=O)CCN2CC[C@H](C)C2)cc1 ZINC000053935656 384809744 /nfs/dbraw/zinc/80/97/44/384809744.db2.gz IHFORVTVAMUVSX-ZDUSSCGKSA-N 0 3 231.339 2.910 20 0 BFADHN COc1ccc(CN(C)CC(C)C)c(OC)c1 ZINC000053899159 384810195 /nfs/dbraw/zinc/81/01/95/384810195.db2.gz JVKILYKNJYLDLJ-UHFFFAOYSA-N 0 3 237.343 2.792 20 0 BFADHN CCOCCC1(CNCc2occc2C)CC1 ZINC000126568468 384812282 /nfs/dbraw/zinc/81/22/82/384812282.db2.gz UIZZSTIYVXAPIY-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN CCCCN1CCN(c2ccccc2OC)CC1 ZINC000013738286 384869955 /nfs/dbraw/zinc/86/99/55/384869955.db2.gz DCFYVNHQUVKFKK-UHFFFAOYSA-N 0 3 248.370 2.617 20 0 in-vivo BFADHN Cc1ccc(CNC[C@H](C)Cn2ccnc2C)o1 ZINC000105443375 384870034 /nfs/dbraw/zinc/87/00/34/384870034.db2.gz QIRLLPYBYQKRLH-NSHDSACASA-N 0 3 247.342 2.519 20 0 BFADHN Fc1ccc(CN[C@H]2CCSC2)c(F)c1 ZINC000051016566 384877654 /nfs/dbraw/zinc/87/76/54/384877654.db2.gz LHALVXGXAWCMJB-JTQLQIEISA-N 0 3 229.295 2.560 20 0 BFADHN COCc1ccc(CNCCC(C)(F)F)o1 ZINC000294477207 384881109 /nfs/dbraw/zinc/88/11/09/384881109.db2.gz WODFAPLOFUHGLP-UHFFFAOYSA-N 0 3 233.258 2.561 20 0 BFADHN CC(C)OC[C@H](C)NCc1ccsc1 ZINC000308644806 384886989 /nfs/dbraw/zinc/88/69/89/384886989.db2.gz GZFWADWNQXROCS-JTQLQIEISA-N 0 3 213.346 2.651 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1ccc(Cl)nc1 ZINC000126850677 384838320 /nfs/dbraw/zinc/83/83/20/384838320.db2.gz GKEMKBNSIVFJRD-ONGXEEELSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](CCCO)N[C@H](C)c1ccc(Cl)nc1 ZINC000126880748 384838471 /nfs/dbraw/zinc/83/84/71/384838471.db2.gz WNCQRHIOCDGMEH-NXEZZACHSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1ccc(Cl)nc1 ZINC000126845299 384838581 /nfs/dbraw/zinc/83/85/81/384838581.db2.gz LSIKDUBXHLUGGF-MWLCHTKSSA-N 0 3 240.734 2.565 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1ccc(Cl)nc1 ZINC000126867761 384838877 /nfs/dbraw/zinc/83/88/77/384838877.db2.gz BMZJMYDPVSZZAZ-KOLCDFICSA-N 0 3 242.750 2.811 20 0 BFADHN CC(C)c1ncc(CNCCC[C@H](C)O)s1 ZINC000336755686 384839602 /nfs/dbraw/zinc/83/96/02/384839602.db2.gz XWDOMFKSQCYVPY-JTQLQIEISA-N 0 3 242.388 2.517 20 0 BFADHN Clc1ccsc1CNCC1CC1 ZINC000087721118 384840246 /nfs/dbraw/zinc/84/02/46/384840246.db2.gz PBEPHEPEDGDPBF-UHFFFAOYSA-N 0 3 201.722 2.901 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H]1C)c1nc2ccccc2n1C ZINC000163675637 384840966 /nfs/dbraw/zinc/84/09/66/384840966.db2.gz NGMWKPMEIFBYEN-SDDRHHMPSA-N 0 3 243.354 2.880 20 0 BFADHN CC[C@@H](NC[C@@H](C)OC)c1ccsc1 ZINC000294441562 384844293 /nfs/dbraw/zinc/84/42/93/384844293.db2.gz QZOLUYNFCDAOMQ-MWLCHTKSSA-N 0 3 213.346 2.824 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@@H]2CCc3cc(F)ccc32)O1 ZINC000398209566 384844273 /nfs/dbraw/zinc/84/42/73/384844273.db2.gz IWHQOBJOYPOPAN-WDBKCZKBSA-N 0 3 249.329 2.970 20 0 BFADHN COc1cc(C)nc(CNC2(C3(C)CC3)CC2)c1 ZINC000398211042 384844915 /nfs/dbraw/zinc/84/49/15/384844915.db2.gz GUKVKFOKEMQYFJ-UHFFFAOYSA-N 0 3 246.354 2.821 20 0 BFADHN CCc1ccccc1CN[C@H](C)c1cnn(C)c1 ZINC000084201038 384845197 /nfs/dbraw/zinc/84/51/97/384845197.db2.gz KEESCYZUEBZJFG-GFCCVEGCSA-N 0 3 243.354 2.833 20 0 BFADHN CC(C)n1nccc1CNC1(C2(C)CC2)CC1 ZINC000398212328 384845755 /nfs/dbraw/zinc/84/57/55/384845755.db2.gz HZQKTNNTLNBTMF-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H](N[C@H]1CCC[C@@H]1O)c1ccccc1F ZINC000180910506 384846430 /nfs/dbraw/zinc/84/64/30/384846430.db2.gz DCRSCPVKRJZSML-RDBSUJKOSA-N 0 3 237.318 2.780 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@H]3C[C@H]3C2)cs1 ZINC000403630898 384846752 /nfs/dbraw/zinc/84/67/52/384846752.db2.gz FCRJMOPNWNJMQX-GARJFASQSA-N 0 3 222.357 2.730 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@H](C)c1ccsc1 ZINC000186512983 384847924 /nfs/dbraw/zinc/84/79/24/384847924.db2.gz MCGQBDIVRAWFHY-YGEYTSGZSA-N 0 3 225.357 2.726 20 0 BFADHN C[C@H](N[C@@H](CCO)c1ccco1)C1CCCC1 ZINC000186521253 384849886 /nfs/dbraw/zinc/84/98/86/384849886.db2.gz GSJIKPNSJAMDJY-AAEUAGOBSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H](N[C@@H](C)C(C)(C)O)c1ccncc1Cl ZINC000643347630 384849835 /nfs/dbraw/zinc/84/98/35/384849835.db2.gz WMGWZYGIMKJYTL-BDAKNGLRSA-N 0 3 242.750 2.545 20 0 BFADHN c1nc(CN[C@H](c2ccccc2)C2CC2)c[nH]1 ZINC000054764739 384850770 /nfs/dbraw/zinc/85/07/70/384850770.db2.gz SIJMKIQMMVTCEG-CQSZACIVSA-N 0 3 227.311 2.651 20 0 BFADHN c1ncc(CN[C@H](c2ccccc2)C2CC2)[nH]1 ZINC000054764739 384850776 /nfs/dbraw/zinc/85/07/76/384850776.db2.gz SIJMKIQMMVTCEG-CQSZACIVSA-N 0 3 227.311 2.651 20 0 BFADHN C[C@H](NCc1ccccc1Cl)[C@H]1CCOC1 ZINC000127022785 384850918 /nfs/dbraw/zinc/85/09/18/384850918.db2.gz UCGJYCIDVHHWBP-JQWIXIFHSA-N 0 3 239.746 2.855 20 0 BFADHN Cc1noc(C)c1CN1CCC[C@@H](C)[C@H]1C ZINC000247137673 384852511 /nfs/dbraw/zinc/85/25/11/384852511.db2.gz ZPEJWKWWQBRPKK-MWLCHTKSSA-N 0 3 222.332 2.912 20 0 BFADHN CC[C@H](NCc1c[nH]cn1)c1ccccc1 ZINC000054765322 384853576 /nfs/dbraw/zinc/85/35/76/384853576.db2.gz FECWVIOAPLLMGW-ZDUSSCGKSA-N 0 3 215.300 2.651 20 0 BFADHN CC[C@H](NCc1cnc[nH]1)c1ccccc1 ZINC000054765322 384853584 /nfs/dbraw/zinc/85/35/84/384853584.db2.gz FECWVIOAPLLMGW-ZDUSSCGKSA-N 0 3 215.300 2.651 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3ccccc3F)CCC2)CO1 ZINC000647237139 384853865 /nfs/dbraw/zinc/85/38/65/384853865.db2.gz DEKAJKZHIZXIHL-VXGBXAGGSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H](N[C@@H]1CSC[C@@H]1C)c1ccco1 ZINC000306280961 384854224 /nfs/dbraw/zinc/85/42/24/384854224.db2.gz UYXDWLILCNZXQH-LPEHRKFASA-N 0 3 211.330 2.682 20 0 BFADHN CN(C)Cc1cccc(NCc2cncs2)c1 ZINC000054770736 384854446 /nfs/dbraw/zinc/85/44/46/384854446.db2.gz ZYMPCMRLABRLTJ-UHFFFAOYSA-N 0 3 247.367 2.817 20 0 BFADHN C[C@H]1C[C@@H](NC2(c3ccccc3F)CCC2)CO1 ZINC000647237137 384854845 /nfs/dbraw/zinc/85/48/45/384854845.db2.gz DEKAJKZHIZXIHL-NWDGAFQWSA-N 0 3 249.329 2.972 20 0 BFADHN CC[C@H](N[C@H](C)C[S@](C)=O)c1ccsc1 ZINC000294446617 384857199 /nfs/dbraw/zinc/85/71/99/384857199.db2.gz RMELTHPFDKFOGJ-VPJDZLOFSA-N 0 3 245.413 2.556 20 0 BFADHN CN(CC1(CO)CC1)[C@@H]1CCc2ccc(F)cc21 ZINC000186811280 384889027 /nfs/dbraw/zinc/88/90/27/384889027.db2.gz VZEXGARCCVYHFO-CQSZACIVSA-N 0 3 249.329 2.517 20 0 BFADHN CC[C@H](COC)N[C@H](CC)c1ccncc1 ZINC000163791543 384858924 /nfs/dbraw/zinc/85/89/24/384858924.db2.gz XUGQBNUFBNLUFO-CHWSQXEVSA-N 0 3 222.332 2.547 20 0 BFADHN C[C@@H](F)CCNCc1scnc1C1CC1 ZINC000339495763 384859574 /nfs/dbraw/zinc/85/95/74/384859574.db2.gz KMEJCWPPZQKLGX-MRVPVSSYSA-N 0 3 228.336 2.858 20 0 BFADHN CC[C@](C)(O)CNCc1ccsc1Cl ZINC000308602254 384859940 /nfs/dbraw/zinc/85/99/40/384859940.db2.gz OOJWEVZZPMSCEB-JTQLQIEISA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@H](CNCc1ccon1)CC(F)(F)F ZINC000402488618 384861510 /nfs/dbraw/zinc/86/15/10/384861510.db2.gz BRALFUFDWATZKW-QMMMGPOBSA-N 0 3 236.237 2.743 20 0 BFADHN CC(C)[C@H]1OCCC[C@H]1CNCc1ccco1 ZINC000175063103 384862832 /nfs/dbraw/zinc/86/28/32/384862832.db2.gz DNPKQFVDFUJYQW-GXTWGEPZSA-N 0 3 237.343 2.820 20 0 BFADHN C[C@@H](Cc1ccc(O)cc1)NCc1ccccn1 ZINC000105447629 384867372 /nfs/dbraw/zinc/86/73/72/384867372.db2.gz BFKCWFRSIBNHGG-LBPRGKRZSA-N 0 3 242.322 2.508 20 0 BFADHN CCc1ccc(CN(C)CC(C)(C)O)s1 ZINC000086274515 384868992 /nfs/dbraw/zinc/86/89/92/384868992.db2.gz CFTPVBJTNAUCST-UHFFFAOYSA-N 0 3 227.373 2.513 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H]2NCC1=CCOCC1 ZINC000335233707 384869223 /nfs/dbraw/zinc/86/92/23/384869223.db2.gz SIWQXQHOMGCLGQ-HNNXBMFYSA-N 0 3 247.313 2.749 20 0 BFADHN Cc1n[nH]cc1CNC1(Cc2ccccc2)CC1 ZINC000187492518 384951806 /nfs/dbraw/zinc/95/18/06/384951806.db2.gz IQQMCDLCKDQABR-UHFFFAOYSA-N 0 3 241.338 2.583 20 0 BFADHN COC(C)(C)C[C@@H](C)N[C@H](C)c1cscn1 ZINC000127875711 384957316 /nfs/dbraw/zinc/95/73/16/384957316.db2.gz CLJFZICRRPQMIJ-NXEZZACHSA-N 0 3 242.388 2.997 20 0 BFADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C)c1ccccn1 ZINC000085630245 384957345 /nfs/dbraw/zinc/95/73/45/384957345.db2.gz NICAWQVMEODXKI-VTPLQMEGSA-N 0 3 246.354 2.546 20 0 BFADHN C[C@@H](CCCO)NCc1ccsc1Cl ZINC000308650434 384890845 /nfs/dbraw/zinc/89/08/45/384890845.db2.gz KBSGRTFFCPSWRM-QMMMGPOBSA-N 0 3 233.764 2.652 20 0 BFADHN CCN1C[C@H](C)N(C/C=C(\C)Cl)C[C@@H]1C ZINC000528432634 384891751 /nfs/dbraw/zinc/89/17/51/384891751.db2.gz PLHDEDTVFZLIDL-RZZPXTMHSA-N 0 3 230.783 2.544 20 0 BFADHN C[C@H](NCCC1CC1)c1cc2n(n1)CCCC2 ZINC000647294135 384893053 /nfs/dbraw/zinc/89/30/53/384893053.db2.gz PKLNQZSBXKFSMJ-NSHDSACASA-N 0 3 233.359 2.670 20 0 BFADHN Cc1c(CNCc2cc(C)cc(C)c2)cnn1C ZINC000156562964 384894280 /nfs/dbraw/zinc/89/42/80/384894280.db2.gz QINQORQRSKMQEV-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN C[C@@H](NCCC1CC1)c1cc2n(n1)CCCC2 ZINC000647294134 384894333 /nfs/dbraw/zinc/89/43/33/384894333.db2.gz PKLNQZSBXKFSMJ-LLVKDONJSA-N 0 3 233.359 2.670 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](O)C1(C)C)c1cccc(F)c1 ZINC000164054361 384900801 /nfs/dbraw/zinc/90/08/01/384900801.db2.gz ZTDIBVZNMBDYEM-ZWKOPEQDSA-N 0 3 237.318 2.636 20 0 BFADHN CC(C)c1ncc(CNCCC(C)(C)O)s1 ZINC000336758328 384902125 /nfs/dbraw/zinc/90/21/25/384902125.db2.gz ZOXARHHGJAEQEP-UHFFFAOYSA-N 0 3 242.388 2.517 20 0 BFADHN Cc1csc(C(C)(C)NCC(C)C)n1 ZINC000118849137 384902239 /nfs/dbraw/zinc/90/22/39/384902239.db2.gz XMLKGRUJOCXKDK-UHFFFAOYSA-N 0 3 212.362 2.932 20 0 BFADHN CCOCCN[C@H](CC)c1cccc(F)c1 ZINC000164077674 384908861 /nfs/dbraw/zinc/90/88/61/384908861.db2.gz OUHWIGJYNOUGCC-CYBMUJFWSA-N 0 3 225.307 2.903 20 0 BFADHN CC(C)C[C@H](N[C@@H]1CCOC1)c1ccncc1 ZINC000647294974 384908965 /nfs/dbraw/zinc/90/89/65/384908965.db2.gz VDFNPYFEHCJOIP-KGLIPLIRSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)C[C@H](N[C@H]1CCOC1)c1ccncc1 ZINC000647294973 384909006 /nfs/dbraw/zinc/90/90/06/384909006.db2.gz VDFNPYFEHCJOIP-KBPBESRZSA-N 0 3 234.343 2.547 20 0 BFADHN FC1(F)CC[C@@H](CN2CCO[C@@H](C3CC3)C2)C1 ZINC000336268119 384909204 /nfs/dbraw/zinc/90/92/04/384909204.db2.gz VWIILJATXJVYCJ-ZYHUDNBSSA-N 0 3 245.313 2.533 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccsc1)[C@H]1CCCO1 ZINC000250565414 384910528 /nfs/dbraw/zinc/91/05/28/384910528.db2.gz TUSWFTQATANGNW-HOSYDEDBSA-N 0 3 225.357 2.966 20 0 BFADHN Cc1csc([C@H](C)NCC[C@@H](C)F)n1 ZINC000308684062 384913624 /nfs/dbraw/zinc/91/36/24/384913624.db2.gz YQDGCHYRLAGKMB-APPZFPTMSA-N 0 3 216.325 2.850 20 0 BFADHN CCCC[C@@](C)(CO)NCc1occc1C ZINC000647239820 384914082 /nfs/dbraw/zinc/91/40/82/384914082.db2.gz OQTQYAYOCVQZHT-ZDUSSCGKSA-N 0 3 225.332 2.619 20 0 BFADHN CC(C)CCN(C)Cc1cncn1C(C)C ZINC000644900530 384918182 /nfs/dbraw/zinc/91/81/82/384918182.db2.gz JYUSPJJYGYYRCM-UHFFFAOYSA-N 0 3 223.364 2.942 20 0 BFADHN CCC(C)(C)OCCNCc1cccnc1C ZINC000308689806 384918167 /nfs/dbraw/zinc/91/81/67/384918167.db2.gz GMELZMLEDIKXKD-UHFFFAOYSA-N 0 3 236.359 2.685 20 0 BFADHN Cc1noc(C)c1CN(C)[C@H](C)C1(C)CC1 ZINC000177228283 384922831 /nfs/dbraw/zinc/92/28/31/384922831.db2.gz GOFXPJMGNNTXIR-LLVKDONJSA-N 0 3 222.332 2.912 20 0 BFADHN C[C@@H](N[C@@H](C)CO)c1ccc(-c2ccccc2)o1 ZINC000127630069 384927421 /nfs/dbraw/zinc/92/74/21/384927421.db2.gz HUCRPQLBBPBTNZ-NWDGAFQWSA-N 0 3 245.322 2.978 20 0 BFADHN CCCC(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC000187248410 384928205 /nfs/dbraw/zinc/92/82/05/384928205.db2.gz UZJLXWLGNAAGIV-IUODEOHRSA-N 0 3 248.370 2.594 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2CC23CC3)cc1OC ZINC000424323771 384930363 /nfs/dbraw/zinc/93/03/63/384930363.db2.gz MIOFDGCOKUAQOV-HZMBPMFUSA-N 0 3 247.338 2.907 20 0 BFADHN CS[C@@H](C)CNCc1cc(F)ccc1F ZINC000128123333 384932462 /nfs/dbraw/zinc/93/24/62/384932462.db2.gz MDIBLSKHUOKENN-QMMMGPOBSA-N 0 3 231.311 2.806 20 0 BFADHN CS[C@H](C)CNCc1ccccc1F ZINC000128186494 384935147 /nfs/dbraw/zinc/93/51/47/384935147.db2.gz KSYHNWHTHLZREM-SECBINFHSA-N 0 3 213.321 2.667 20 0 BFADHN CCOCCN[C@H](C)c1cc(C)cc(C)c1 ZINC000149634246 384936128 /nfs/dbraw/zinc/93/61/28/384936128.db2.gz RACLWFLSNHIQES-CYBMUJFWSA-N 0 3 221.344 2.991 20 0 BFADHN C[C@H](N[C@@H]1CCc2c1cccc2F)[C@H]1CCCO1 ZINC000149573996 384938243 /nfs/dbraw/zinc/93/82/43/384938243.db2.gz RUQTZRXFDGLGOR-COLVAYQJSA-N 0 3 249.329 2.970 20 0 BFADHN CSc1ccc(CN(C)[C@@H]2CCOC2)cc1 ZINC000106880995 384938356 /nfs/dbraw/zinc/93/83/56/384938356.db2.gz ZEINSCGHXSSWLQ-GFCCVEGCSA-N 0 3 237.368 2.629 20 0 BFADHN C[C@H](NCCC(C)(F)F)c1ccco1 ZINC000294134007 384939420 /nfs/dbraw/zinc/93/94/20/384939420.db2.gz FUDHNXQYLJASEL-QMMMGPOBSA-N 0 3 203.232 2.976 20 0 BFADHN CC(C)Cc1ccc(CN(C)CCCO)cc1 ZINC000258886215 384943617 /nfs/dbraw/zinc/94/36/17/384943617.db2.gz CGDVYOZIVCWYHX-UHFFFAOYSA-N 0 3 235.371 2.699 20 0 BFADHN CCOc1ccccc1CNC[C@H](C)SC ZINC000127978534 384974354 /nfs/dbraw/zinc/97/43/54/384974354.db2.gz HPIFQNXNJGZHDE-NSHDSACASA-N 0 3 239.384 2.926 20 0 BFADHN C[C@@H](N[C@H]1COCC1(C)C)c1cccc(F)c1 ZINC000312973557 384974472 /nfs/dbraw/zinc/97/44/72/384974472.db2.gz BIGBONMYCDXGCU-MFKMUULPSA-N 0 3 237.318 2.901 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1CCCC1(C)C ZINC000339575930 385023454 /nfs/dbraw/zinc/02/34/54/385023454.db2.gz VBXXULGZSVRGSZ-LLVKDONJSA-N 0 3 221.348 2.634 20 0 BFADHN C[C@@H](NCC[C@H](C)O)c1cc2ccccc2o1 ZINC000085983445 384977409 /nfs/dbraw/zinc/97/74/09/384977409.db2.gz VODUXMMKGYIFFI-WDEREUQCSA-N 0 3 233.311 2.854 20 0 BFADHN Cc1cc(CNCC2=CCCC2)sn1 ZINC000404402547 384978340 /nfs/dbraw/zinc/97/83/40/384978340.db2.gz RXUMQBXRESPHKX-UHFFFAOYSA-N 0 3 208.330 2.651 20 0 BFADHN Cc1cc(CNCCC(C)(F)F)sn1 ZINC000404412759 384981155 /nfs/dbraw/zinc/98/11/55/384981155.db2.gz KPMWZECTISOFBY-UHFFFAOYSA-N 0 3 220.288 2.586 20 0 BFADHN Cc1cc(CNCCOC2CCCC2)sn1 ZINC000404415547 384981922 /nfs/dbraw/zinc/98/19/22/384981922.db2.gz CZFXXZDSFAXINS-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN COC[C@H](NC[C@H]1C[C@H]1C)c1ccc(C)o1 ZINC000309974989 384982691 /nfs/dbraw/zinc/98/26/91/384982691.db2.gz SANFRGUNXJTPMF-JLLWLGSASA-N 0 3 223.316 2.521 20 0 BFADHN CSC[C@H](C)N[C@@H](C)c1cnccc1C ZINC000129216964 384983215 /nfs/dbraw/zinc/98/32/15/384983215.db2.gz QUTMJWJQYFYDKC-QWRGUYRKSA-N 0 3 224.373 2.792 20 0 BFADHN Cn1ncc2c1CCC[C@H]2NCc1ccccc1 ZINC000037024053 384992740 /nfs/dbraw/zinc/99/27/40/384992740.db2.gz BQLKJCNZKOPYGJ-CQSZACIVSA-N 0 3 241.338 2.587 20 0 BFADHN C[C@@H](NCc1ccc(Br)o1)C1CC1 ZINC000035136617 384998712 /nfs/dbraw/zinc/99/87/12/384998712.db2.gz VVMMRXXOPSHPPX-SSDOTTSWSA-N 0 3 244.132 2.930 20 0 BFADHN COc1cc(CNCc2cc[nH]c2)ccc1C ZINC000086252001 385001195 /nfs/dbraw/zinc/00/11/95/385001195.db2.gz VCFAGIAKZUHMDV-UHFFFAOYSA-N 0 3 230.311 2.622 20 0 BFADHN CCOc1ccc(CNCc2cc[nH]c2)cc1F ZINC000086253159 385002839 /nfs/dbraw/zinc/00/28/39/385002839.db2.gz AXMNQTXXWYWQTJ-UHFFFAOYSA-N 0 3 248.301 2.842 20 0 BFADHN Cc1nn(C(C)C)cc1CNCC[C@H](C)F ZINC000339487397 385003361 /nfs/dbraw/zinc/00/33/61/385003361.db2.gz VGPCCQXJJPVVMQ-JTQLQIEISA-N 0 3 227.327 2.610 20 0 BFADHN C[C@H](F)CCNCc1cc(F)ccc1F ZINC000339494682 385006936 /nfs/dbraw/zinc/00/69/36/385006936.db2.gz LGVPKFFRGMDFME-QMMMGPOBSA-N 0 3 217.234 2.803 20 0 BFADHN c1cc(CNCC2(C3CC3)CCC2)sn1 ZINC000404475061 385008511 /nfs/dbraw/zinc/00/85/11/385008511.db2.gz PSYOSIFHPMAYRN-UHFFFAOYSA-N 0 3 222.357 2.813 20 0 BFADHN Cc1cnc(N[C@H](C)CN2CCCCC2)s1 ZINC000302855566 385010092 /nfs/dbraw/zinc/01/00/92/385010092.db2.gz RQVBHYPYDRYJKN-SNVBAGLBSA-N 0 3 239.388 2.738 20 0 BFADHN Cc1cnc(CN2CC[C@H]3CCC[C@H]32)s1 ZINC000336308960 385011449 /nfs/dbraw/zinc/01/14/49/385011449.db2.gz SGPLCHDKRCERRB-GHMZBOCLSA-N 0 3 222.357 2.826 20 0 BFADHN CC(C)n1nccc1CNC1(C(C)C)CC1 ZINC000339501242 385011673 /nfs/dbraw/zinc/01/16/73/385011673.db2.gz FXWSGFMMAKXSPJ-UHFFFAOYSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cnc(CN2CC[C@@H]3CCC[C@H]32)s1 ZINC000336308968 385011810 /nfs/dbraw/zinc/01/18/10/385011810.db2.gz SGPLCHDKRCERRB-WDEREUQCSA-N 0 3 222.357 2.826 20 0 BFADHN C[C@H](NC[C@H](O)c1ccccc1)c1cncs1 ZINC000128205240 385014405 /nfs/dbraw/zinc/01/44/05/385014405.db2.gz WFVMYPZWIHGQLJ-JQWIXIFHSA-N 0 3 248.351 2.527 20 0 BFADHN C[C@H]1CCCCN1Cc1ccc2c(c1)CCO2 ZINC000109824929 385017657 /nfs/dbraw/zinc/01/76/57/385017657.db2.gz XSQLGPYJZKEKSG-LBPRGKRZSA-N 0 3 231.339 2.996 20 0 BFADHN Cc1cc(CNCCc2ccsc2)sn1 ZINC000404481594 385017760 /nfs/dbraw/zinc/01/77/60/385017760.db2.gz GSMYNCROWDRUDU-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCCC1(C)C ZINC000339573797 385018122 /nfs/dbraw/zinc/01/81/22/385018122.db2.gz FGUIWCCCLZVMRH-LBPRGKRZSA-N 0 3 222.332 2.899 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(CC)cc1 ZINC000035151220 385023361 /nfs/dbraw/zinc/02/33/61/385023361.db2.gz ASCLAJYGBDEYBY-LBPRGKRZSA-N 0 3 221.344 2.936 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H](C)O1)c1cccc(O)c1 ZINC000128263294 385020205 /nfs/dbraw/zinc/02/02/05/385020205.db2.gz KOUDVUKSRCUYNW-SUNKGSAMSA-N 0 3 235.327 2.610 20 0 BFADHN Cc1c[nH]nc1CNCCC(C)(C)C1CC1 ZINC000339566450 385020504 /nfs/dbraw/zinc/02/05/04/385020504.db2.gz BVRKCATUEUEWLH-UHFFFAOYSA-N 0 3 221.348 2.634 20 0 BFADHN CC(C)CC[C@@H](O)CN1CC(C)(CC(F)F)C1 ZINC000647614416 384961146 /nfs/dbraw/zinc/96/11/46/384961146.db2.gz XREQEFHXQGGATA-LLVKDONJSA-N 0 3 249.345 2.761 20 0 BFADHN CCC[C@H](C)NCc1nn(C)c2ccccc12 ZINC000112337137 384962069 /nfs/dbraw/zinc/96/20/69/384962069.db2.gz JCQRPZNNJFCMOK-NSHDSACASA-N 0 3 231.343 2.852 20 0 BFADHN C[C@@H](F)CCN[C@H]1CCCc2occc21 ZINC000340457343 385063242 /nfs/dbraw/zinc/06/32/42/385063242.db2.gz BLOLLPLDDUFXSH-KOLCDFICSA-N 0 3 211.280 2.995 20 0 BFADHN C[C@@H](F)CCN[C@@H](C)c1ccc(F)cn1 ZINC000340463712 385065355 /nfs/dbraw/zinc/06/53/55/385065355.db2.gz YPKTWWUPLIDUNZ-BDAKNGLRSA-N 0 3 214.259 2.619 20 0 BFADHN CCc1ccc(CNCC2(O)CCCCC2)o1 ZINC000087017689 385065361 /nfs/dbraw/zinc/06/53/61/385065361.db2.gz GZXRUSJNPCLNMH-UHFFFAOYSA-N 0 3 237.343 2.627 20 0 BFADHN C[C@H](NC[C@H](O)CC1CCCC1)c1cccnc1 ZINC000188630952 385071755 /nfs/dbraw/zinc/07/17/55/385071755.db2.gz VJULYVNHUVWEFQ-SWLSCSKDSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@H]1C[C@@H](N[C@H]2CCCc3ccc(F)cc32)CO1 ZINC000647207715 385073229 /nfs/dbraw/zinc/07/32/29/385073229.db2.gz IFHOMFIHRALXKQ-ZBINZKHDSA-N 0 3 249.329 2.970 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1CC(=O)NC(C)(C)CC ZINC000357552380 385073785 /nfs/dbraw/zinc/07/37/85/385073785.db2.gz MKRMARCWOIESCX-NEPJUHHUSA-N 0 3 240.391 2.554 20 0 BFADHN CC[C@@H](CO)N[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000188676852 385080511 /nfs/dbraw/zinc/08/05/11/385080511.db2.gz SBALDYHJEYGJRN-CBAPKCEASA-N 0 3 247.260 2.525 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1cnccc1C ZINC000131277679 385081564 /nfs/dbraw/zinc/08/15/64/385081564.db2.gz UVHGYPATEIPHLI-GHMZBOCLSA-N 0 3 224.373 2.792 20 0 BFADHN CSC[C@H](C)N[C@H](C)c1cnn(C(C)C)c1 ZINC000151028882 385081849 /nfs/dbraw/zinc/08/18/49/385081849.db2.gz VYOHMJCOESMDEJ-WDEREUQCSA-N 0 3 241.404 2.866 20 0 BFADHN C[C@@H](CC1CC1)N[C@H]1CCCc2c[nH]nc21 ZINC000377248429 385026064 /nfs/dbraw/zinc/02/60/64/385026064.db2.gz GBXIBPOMLYKYJK-CABZTGNLSA-N 0 3 219.332 2.565 20 0 BFADHN CC[C@@H](N)c1cn(CC2CCC(C)CC2)nn1 ZINC000310399767 385034479 /nfs/dbraw/zinc/03/44/79/385034479.db2.gz KMCJJCKKMDNSLU-HTAVTVPLSA-N 0 3 236.363 2.514 20 0 BFADHN CC[C@@H](CSC)N[C@@H](C)c1nccnc1C ZINC000188303326 385036698 /nfs/dbraw/zinc/03/66/98/385036698.db2.gz IKCNDFQFGRHPBZ-QWRGUYRKSA-N 0 3 239.388 2.577 20 0 BFADHN CCOc1ccccc1CNC1CC(C)C1 ZINC000128876113 385083325 /nfs/dbraw/zinc/08/33/25/385083325.db2.gz NBHYLNGJCVZGFB-UHFFFAOYSA-N 0 3 219.328 2.973 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1ccsc1 ZINC000401893416 385038432 /nfs/dbraw/zinc/03/84/32/385038432.db2.gz UQDWYXUPTRHPBZ-YWVKMMECSA-N 0 3 211.330 2.576 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1ccncc1F ZINC000340265329 385043135 /nfs/dbraw/zinc/04/31/35/385043135.db2.gz PULGNSVFHLVOMK-JFUSQASVSA-N 0 3 240.347 2.765 20 0 BFADHN CO[C@@H]1CCCC[C@H]1NCc1occc1C ZINC000164844765 385045602 /nfs/dbraw/zinc/04/56/02/385045602.db2.gz MGAGEIQDZKJCMR-VXGBXAGGSA-N 0 3 223.316 2.635 20 0 BFADHN CCc1ccccc1CN(C)CCn1cccn1 ZINC000189002142 385111309 /nfs/dbraw/zinc/11/13/09/385111309.db2.gz YGTUCFVYKUTDDC-UHFFFAOYSA-N 0 3 243.354 2.578 20 0 BFADHN Cn1cc(CN[C@H]2CCCc3sccc32)cn1 ZINC000061328854 385111621 /nfs/dbraw/zinc/11/16/21/385111621.db2.gz XFPNKNGLBUVQKR-LBPRGKRZSA-N 0 3 247.367 2.649 20 0 BFADHN CC1(CNCc2nc(-c3ccccc3)c[nH]2)CC1 ZINC000447849054 385120313 /nfs/dbraw/zinc/12/03/13/385120313.db2.gz GGULQXFFQMWWFT-UHFFFAOYSA-N 0 3 241.338 2.966 20 0 BFADHN C[C@@H](N[C@@H]1CCCSC1)c1cccc(O)c1 ZINC000061588046 385121875 /nfs/dbraw/zinc/12/18/75/385121875.db2.gz BVNDURBIINXTQC-ZYHUDNBSSA-N 0 3 237.368 2.938 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H]1CCCSC1 ZINC000129232946 385122208 /nfs/dbraw/zinc/12/22/08/385122208.db2.gz MBSSNXYGESABJA-NEPJUHHUSA-N 0 3 236.384 2.936 20 0 BFADHN C[C@@H](N[C@@H]1CCCSC1)c1ccco1 ZINC000061573065 385122761 /nfs/dbraw/zinc/12/27/61/385122761.db2.gz QXHGIZPUZJRXGX-NXEZZACHSA-N 0 3 211.330 2.826 20 0 BFADHN Cn1ccc(CN2CCC3(C2)CCCCC3)n1 ZINC000336340670 385128500 /nfs/dbraw/zinc/12/85/00/385128500.db2.gz WCGDEEQRIHRODC-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN Cn1cc2c(n1)CCC[C@@H]2N[C@H]1CC12CCCC2 ZINC000336339470 385128717 /nfs/dbraw/zinc/12/87/17/385128717.db2.gz RJLRIVBFOCZRNI-JSGCOSHPSA-N 0 3 245.370 2.720 20 0 BFADHN C[C@H](N[C@H]1CC2CCC1CC2)c1cnccn1 ZINC000310591066 385131135 /nfs/dbraw/zinc/13/11/35/385131135.db2.gz ZGTTZZKLYVYQLO-WTIISPKJSA-N 0 3 231.343 2.706 20 0 BFADHN Cc1ccc2c(c1)OCCC[C@H]2N[C@@H](C)CCO ZINC000151930048 385142417 /nfs/dbraw/zinc/14/24/17/385142417.db2.gz RWHJUEGWRXVUEH-GXTWGEPZSA-N 0 3 249.354 2.569 20 0 BFADHN CCc1cccc(CN2CCC([C@H](C)O)CC2)c1 ZINC000189266130 385136697 /nfs/dbraw/zinc/13/66/97/385136697.db2.gz MUMSVMBEKCFVMZ-ZDUSSCGKSA-N 0 3 247.382 2.842 20 0 BFADHN Cc1noc(C)c1CCN1CCC(C2CC2)CC1 ZINC000644719407 385138469 /nfs/dbraw/zinc/13/84/69/385138469.db2.gz VLVJCPLAZVVOJW-UHFFFAOYSA-N 0 3 248.370 2.956 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1(C)C)c1ccccc1F ZINC000129327318 385139925 /nfs/dbraw/zinc/13/99/25/385139925.db2.gz CRUQZDXDWXGVFW-XDTLVQLUSA-N 0 3 237.318 2.636 20 0 BFADHN CC(C)(NCCO)c1ccc(Cl)c(Cl)c1 ZINC000177699604 385085809 /nfs/dbraw/zinc/08/58/09/385085809.db2.gz CDCHIEZHYYAXAU-UHFFFAOYSA-N 0 3 248.153 2.810 20 0 BFADHN Cc1cc(CN2C3CCCC2CCC3)ncn1 ZINC000644669672 385087367 /nfs/dbraw/zinc/08/73/67/385087367.db2.gz BYXBEEAJSSDQOZ-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN c1ccc2c(c1)CCN(CCc1ccncc1)C2 ZINC000060474221 385087557 /nfs/dbraw/zinc/08/75/57/385087557.db2.gz VHFYYDKCVRDSSB-UHFFFAOYSA-N 0 3 238.334 2.682 20 0 BFADHN CC[C@H](CNCc1coc2ccccc12)OC ZINC000310480560 385087841 /nfs/dbraw/zinc/08/78/41/385087841.db2.gz LHIUOFLKDYNMST-GFCCVEGCSA-N 0 3 233.311 2.947 20 0 BFADHN FC(F)COCCN[C@@H]1CCc2ccccc21 ZINC000188743744 385088284 /nfs/dbraw/zinc/08/82/84/385088284.db2.gz YFPOHKKLZSYVPP-GFCCVEGCSA-N 0 3 241.281 2.545 20 0 BFADHN Clc1ccc(CCNCc2ccco2)cn1 ZINC000151917638 385141003 /nfs/dbraw/zinc/14/10/03/385141003.db2.gz PXIIXWGEQKYFIZ-UHFFFAOYSA-N 0 3 236.702 2.660 20 0 BFADHN COc1ccc(CN[C@H]2CC23CC3)c(C)c1OC ZINC000424185869 385099945 /nfs/dbraw/zinc/09/99/45/385099945.db2.gz QOGAJSJUJASECJ-ZDUSSCGKSA-N 0 3 247.338 2.654 20 0 BFADHN Cc1occc1CN(C)CC[C@H]1CCCO1 ZINC000188877677 385101647 /nfs/dbraw/zinc/10/16/47/385101647.db2.gz CRJHUMJKIHPLTJ-CYBMUJFWSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1cncc(CN2CCC[C@@H](C)C2)c1 ZINC000189768238 385182596 /nfs/dbraw/zinc/18/25/96/385182596.db2.gz WLAVIZMLKWYZBQ-LLVKDONJSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1cncc(CN2CCCC[C@H]2C)c1 ZINC000189768843 385183275 /nfs/dbraw/zinc/18/32/75/385183275.db2.gz OJBAXZUSMCYEOC-GFCCVEGCSA-N 0 3 204.317 2.764 20 0 BFADHN CC[C@H](CCO)NCc1ccc(F)cc1Cl ZINC000157477387 385190540 /nfs/dbraw/zinc/19/05/40/385190540.db2.gz UOVLNYQEOQABHT-LLVKDONJSA-N 0 3 245.725 2.730 20 0 BFADHN CC1(C)C[C@H]1NCc1ccc(F)c(F)c1 ZINC000044371402 385202018 /nfs/dbraw/zinc/20/20/18/385202018.db2.gz WJSYWVYYHLMBDD-LLVKDONJSA-N 0 3 211.255 2.853 20 0 BFADHN CC[C@@H](COC)NC/C=C\c1ccccc1OC ZINC000557831293 385194137 /nfs/dbraw/zinc/19/41/37/385194137.db2.gz WVJOVFHWEBIIEI-LEJCZOIISA-N 0 3 249.354 2.723 20 0 BFADHN CC[C@H](COC)NC/C=C\c1ccccc1OC ZINC000557831292 385194200 /nfs/dbraw/zinc/19/42/00/385194200.db2.gz WVJOVFHWEBIIEI-IUCKJTJTSA-N 0 3 249.354 2.723 20 0 BFADHN CC(C)[C@@H](O)CCNCc1cc(Cl)cs1 ZINC000308830980 385197472 /nfs/dbraw/zinc/19/74/72/385197472.db2.gz AKEDIZOTYQVKJC-NSHDSACASA-N 0 3 247.791 2.898 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2CC23CC3)cc1O ZINC000424332613 385149113 /nfs/dbraw/zinc/14/91/13/385149113.db2.gz WYUXJUYELSDKGI-ZANVPECISA-N 0 3 233.311 2.604 20 0 BFADHN c1coc(CN[C@@H]2CCO[C@H]2c2ccccc2)c1 ZINC000176746548 385160596 /nfs/dbraw/zinc/16/05/96/385160596.db2.gz YDCORULYNDRRPD-CABCVRRESA-N 0 3 243.306 2.899 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1ccccc1N(C)C ZINC000424322513 385164290 /nfs/dbraw/zinc/16/42/90/385164290.db2.gz LANFOMXAYHEJLF-BXUZGUMPSA-N 0 3 230.355 2.956 20 0 BFADHN Cc1c([C@@H](C)N[C@H]2CC23CC3)cnn1C(C)C ZINC000424329417 385166117 /nfs/dbraw/zinc/16/61/17/385166117.db2.gz RTMMQGHENPJPLM-MFKMUULPSA-N 0 3 233.359 2.976 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2CC23CC3)cn1 ZINC000424326189 385166832 /nfs/dbraw/zinc/16/68/32/385166832.db2.gz OMTKMQPEGTWDID-CMPLNLGQSA-N 0 3 219.332 2.667 20 0 BFADHN Cc1cc(CNC[C@@H]2CC3CCC2CC3)on1 ZINC000400019624 385173223 /nfs/dbraw/zinc/17/32/23/385173223.db2.gz LZYFERKIFZFCMD-BPCQOVAHSA-N 0 3 234.343 2.899 20 0 BFADHN CC1(C)COC[C@H]1N[C@H]1CCc2c1cccc2F ZINC000313020545 385173755 /nfs/dbraw/zinc/17/37/55/385173755.db2.gz DZUAPVHXLALKAC-UONOGXRCSA-N 0 3 249.329 2.828 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1ccc(F)c(F)c1 ZINC000109543240 385174914 /nfs/dbraw/zinc/17/49/14/385174914.db2.gz POOULEQECULBMN-ONGXEEELSA-N 0 3 241.281 2.794 20 0 BFADHN CCN(CC)[C@H](C)C(=O)N(CC)c1ccccc1 ZINC000129709047 385176138 /nfs/dbraw/zinc/17/61/38/385176138.db2.gz YJVKIJWQHHDKGA-CYBMUJFWSA-N 0 3 248.370 2.770 20 0 BFADHN Cc1ccncc1CN[C@H]1C[C@@H](OC(C)(C)C)C1 ZINC000647248065 385176523 /nfs/dbraw/zinc/17/65/23/385176523.db2.gz YFILHVSXPHISKF-OKILXGFUSA-N 0 3 248.370 2.826 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCO2)cc1Cl ZINC000063021637 385201449 /nfs/dbraw/zinc/20/14/49/385201449.db2.gz PLTXGSWRKYLXJB-LBPRGKRZSA-N 0 3 239.746 2.917 20 0 BFADHN CC[C@H](N[C@@H](C)CCOC)c1nc(C)cs1 ZINC000165698888 385178966 /nfs/dbraw/zinc/17/89/66/385178966.db2.gz BIWNXKLNZNROHX-ONGXEEELSA-N 0 3 242.388 2.917 20 0 BFADHN C[C@@H](CN(C)Cc1cnccn1)c1ccccc1 ZINC000177245476 385206791 /nfs/dbraw/zinc/20/67/91/385206791.db2.gz ZCPLSDPMQILJIL-ZDUSSCGKSA-N 0 3 241.338 2.712 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2CCO[C@H]2C2CC2)c1 ZINC000364172940 385209941 /nfs/dbraw/zinc/20/99/41/385209941.db2.gz ZYMBZFVXWCKSQB-CQDKDKBSSA-N 0 3 246.354 2.608 20 0 BFADHN CCC1(CNCc2ccc([C@@H]3C[C@H]3C)o2)COC1 ZINC000343336572 385210042 /nfs/dbraw/zinc/21/00/42/385210042.db2.gz OWEJDCUDNIZIBQ-DGCLKSJQSA-N 0 3 249.354 2.919 20 0 BFADHN Cc1ccc([C@H](C)NCCc2nccs2)o1 ZINC000063133228 385210717 /nfs/dbraw/zinc/21/07/17/385210717.db2.gz XKVVOJWEOGWVEA-JTQLQIEISA-N 0 3 236.340 2.938 20 0 BFADHN C[C@@](CO)(NCc1ccccn1)C1CCCCC1 ZINC000152778770 385212941 /nfs/dbraw/zinc/21/29/41/385212941.db2.gz CWSLHVGPXBEPTB-HNNXBMFYSA-N 0 3 248.370 2.503 20 0 BFADHN CC(C)CN1CCc2c(F)cccc2C1 ZINC000152814998 385214877 /nfs/dbraw/zinc/21/48/77/385214877.db2.gz HVHIZBOCPYJVBK-UHFFFAOYSA-N 0 3 207.292 2.840 20 0 BFADHN CCc1cc(N2CC[C@@H](O)CC(C)(C)C2)ccn1 ZINC000307771296 385256378 /nfs/dbraw/zinc/25/63/78/385256378.db2.gz CVNATDVRYFASNG-CQSZACIVSA-N 0 3 248.370 2.631 20 0 BFADHN CCOC[C@@H](C)NCc1sccc1C ZINC000044688425 385217521 /nfs/dbraw/zinc/21/75/21/385217521.db2.gz JKNFQDHTKIJRLG-SNVBAGLBSA-N 0 3 213.346 2.571 20 0 BFADHN Cn1ccc(CN[C@@H]2CCCC(C)(C)CC2)n1 ZINC000213120605 385217685 /nfs/dbraw/zinc/21/76/85/385217685.db2.gz NYHGHOUMEFKVJZ-GFCCVEGCSA-N 0 3 235.375 2.869 20 0 BFADHN CC[C@@H](NC[C@@H](O)C(C)C)c1ccccc1F ZINC000166069808 385217943 /nfs/dbraw/zinc/21/79/43/385217943.db2.gz XOMOQWVLUUQAKQ-ZIAGYGMSSA-N 0 3 239.334 2.883 20 0 BFADHN CCOC[C@@H](C)NCc1cccc(C)c1 ZINC000044688257 385217891 /nfs/dbraw/zinc/21/78/91/385217891.db2.gz DOXLCXUQEKXTCN-GFCCVEGCSA-N 0 3 207.317 2.510 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1ccc(C)o1 ZINC000044688851 385218836 /nfs/dbraw/zinc/21/88/36/385218836.db2.gz PPNCMSPGAXXLTB-KOLCDFICSA-N 0 3 211.305 2.664 20 0 BFADHN CCCc1ccc(CN2CCC(C)(O)CC2)cc1 ZINC000177321546 385221427 /nfs/dbraw/zinc/22/14/27/385221427.db2.gz BEMLQLNBYMSZGS-UHFFFAOYSA-N 0 3 247.382 2.986 20 0 BFADHN COC(=O)c1ccccc1CN(C)C1CCCC1 ZINC000130129234 385223603 /nfs/dbraw/zinc/22/36/03/385223603.db2.gz XRQGLIHBORNYHY-UHFFFAOYSA-N 0 3 247.338 2.848 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccncc2)C1 ZINC000130196799 385227289 /nfs/dbraw/zinc/22/72/89/385227289.db2.gz JTYZOBRFMQUTIH-GFCCVEGCSA-N 0 3 204.317 2.704 20 0 BFADHN FC1(F)CCC[C@@H](NCc2ccco2)C1 ZINC000308872477 385228528 /nfs/dbraw/zinc/22/85/28/385228528.db2.gz KWRCSVZDUXCGDA-SECBINFHSA-N 0 3 215.243 2.947 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](CC)COC)o1 ZINC000152988056 385229979 /nfs/dbraw/zinc/22/99/79/385229979.db2.gz USBCTTHTFJFOGP-MNOVXSKESA-N 0 3 225.332 2.918 20 0 BFADHN CO[C@@](C)(CN[C@H](C)c1ccco1)C1CC1 ZINC000291139039 385233001 /nfs/dbraw/zinc/23/30/01/385233001.db2.gz HKYLYATVEKLYEA-MFKMUULPSA-N 0 3 223.316 2.745 20 0 BFADHN CC(C)C[C@@H](NC[C@H]1CCCO1)c1ccccn1 ZINC000153062231 385234789 /nfs/dbraw/zinc/23/47/89/385234789.db2.gz CZHJBAULJMQBAV-UKRRQHHQSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@H](NCC[C@H](C)O)c1ccccc1F ZINC000166348350 385238255 /nfs/dbraw/zinc/23/82/55/385238255.db2.gz MCNPZJKZSKAXPM-GWCFXTLKSA-N 0 3 225.307 2.637 20 0 BFADHN CSCCCN[C@@H](C)c1csc(C)n1 ZINC000068997364 385241439 /nfs/dbraw/zinc/24/14/39/385241439.db2.gz IQDYLKMDDMZYPR-QMMMGPOBSA-N 0 3 230.402 2.855 20 0 BFADHN CC(C)CCN[C@H](C)c1ccncc1F ZINC000308888735 385244125 /nfs/dbraw/zinc/24/41/25/385244125.db2.gz WRVVGUPNFBDONY-SNVBAGLBSA-N 0 3 210.296 2.917 20 0 BFADHN C[C@H](NCCO)c1ccc(SC(F)F)cc1 ZINC000166496908 385246447 /nfs/dbraw/zinc/24/64/47/385246447.db2.gz WNJNQDMQDQWEEE-QMMMGPOBSA-N 0 3 247.310 2.644 20 0 BFADHN CCOCCN[C@H](CC)c1ccccc1OC ZINC000037269054 385246753 /nfs/dbraw/zinc/24/67/53/385246753.db2.gz GFYIZEMMDXSZSM-CYBMUJFWSA-N 0 3 237.343 2.772 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc(F)c(C)c1 ZINC000166525305 385250944 /nfs/dbraw/zinc/25/09/44/385250944.db2.gz RCQOSUBVTSUMDE-GHMZBOCLSA-N 0 3 225.307 2.647 20 0 BFADHN COC[C@H](CC(C)C)NCc1ccsc1 ZINC000308899185 385252708 /nfs/dbraw/zinc/25/27/08/385252708.db2.gz AXHAYGFBQOHVOP-LBPRGKRZSA-N 0 3 227.373 2.899 20 0 BFADHN CCC1(NCc2cc(F)cc(F)c2)CC1 ZINC000294902511 385305128 /nfs/dbraw/zinc/30/51/28/385305128.db2.gz XIMXWRYVTAMUDK-UHFFFAOYSA-N 0 3 211.255 2.997 20 0 BFADHN CCC[C@H](O)CN[C@H]1CCc2c1cccc2F ZINC000131072936 385305408 /nfs/dbraw/zinc/30/54/08/385305408.db2.gz YBGCCYOVQUWSFS-HZMBPMFUSA-N 0 3 237.318 2.564 20 0 BFADHN CC(C)=CCNCc1ccc(N(C)C(C)C)nc1 ZINC000191630348 385310618 /nfs/dbraw/zinc/31/06/18/385310618.db2.gz QQWUQSMDDBCSAI-UHFFFAOYSA-N 0 3 247.386 2.982 20 0 BFADHN COCc1cccc(CNCC=C(C)C)c1 ZINC000191626112 385311597 /nfs/dbraw/zinc/31/15/97/385311597.db2.gz UGPIGMNWENKVQR-UHFFFAOYSA-N 0 3 219.328 2.889 20 0 BFADHN Cc1ccc(CN(C)CC[C@@H]2CCCO2)o1 ZINC000191660964 385313442 /nfs/dbraw/zinc/31/34/42/385313442.db2.gz CRGGPIAPJZBHCN-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN CC[C@H](CSC)NCc1ncc(C)s1 ZINC000294933549 385318231 /nfs/dbraw/zinc/31/82/31/385318231.db2.gz CERSUSMOVXWUOE-SECBINFHSA-N 0 3 230.402 2.683 20 0 BFADHN CC[C@@H](COC)NCc1cccc(C)c1F ZINC000294933570 385318489 /nfs/dbraw/zinc/31/84/89/385318489.db2.gz BJRHERJYBXRMRI-LBPRGKRZSA-N 0 3 225.307 2.649 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1ccccc1F ZINC000191708028 385318577 /nfs/dbraw/zinc/31/85/77/385318577.db2.gz SUNVTYATJJQHOJ-AXFHLTTASA-N 0 3 225.307 2.900 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CSC[C@H]2C)o1 ZINC000308968227 385320954 /nfs/dbraw/zinc/32/09/54/385320954.db2.gz NXEYYFUVOLITRL-MIMYLULJSA-N 0 3 225.357 2.990 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CSC[C@@H]2C)o1 ZINC000308968225 385321124 /nfs/dbraw/zinc/32/11/24/385321124.db2.gz NXEYYFUVOLITRL-INTQDDNPSA-N 0 3 225.357 2.990 20 0 BFADHN CC(C)[C@@H](O)CN[C@H](C)c1cc(F)ccc1F ZINC000131266802 385321746 /nfs/dbraw/zinc/32/17/46/385321746.db2.gz KGYAMVKOQMTFBD-RNCFNFMXSA-N 0 3 243.297 2.632 20 0 BFADHN CC[C@@H](CSC)NCc1ccnn1C(C)C ZINC000280168947 385267749 /nfs/dbraw/zinc/26/77/49/385267749.db2.gz FZZZKZQPZOKPBU-NSHDSACASA-N 0 3 241.404 2.695 20 0 BFADHN COCc1ccc(CNC2(C)CCCC2)o1 ZINC000166680774 385270554 /nfs/dbraw/zinc/27/05/54/385270554.db2.gz MIDXDUSFBGRMOD-UHFFFAOYSA-N 0 3 223.316 2.848 20 0 BFADHN COCc1ccc(CNC2CCCCC2)o1 ZINC000166657357 385270673 /nfs/dbraw/zinc/27/06/73/385270673.db2.gz UUPRQDDIYKBJHI-UHFFFAOYSA-N 0 3 223.316 2.848 20 0 BFADHN C[C@H](O)CN(C)Cc1ccc(-c2ccccc2)o1 ZINC000130638068 385271926 /nfs/dbraw/zinc/27/19/26/385271926.db2.gz AHWNMZBJJFWFCP-LBPRGKRZSA-N 0 3 245.322 2.759 20 0 BFADHN CCO[C@@H]1CCN(Cc2cccs2)C[C@@H]1C ZINC000449490976 385272509 /nfs/dbraw/zinc/27/25/09/385272509.db2.gz DQNWEIWHUIIFIC-WCQYABFASA-N 0 3 239.384 2.995 20 0 BFADHN CC(C)c1ccc([C@H](C)N[C@@H](C)CC(N)=O)cc1 ZINC000130670216 385273855 /nfs/dbraw/zinc/27/38/55/385273855.db2.gz BMJABTBKAKBZAY-RYUDHWBXSA-N 0 3 248.370 2.725 20 0 BFADHN CN(C[C@H]1CCCO1)[C@@H]1CCc2ccc(F)cc21 ZINC000177701718 385275118 /nfs/dbraw/zinc/27/51/18/385275118.db2.gz JSGFFFSZGBQENS-UKRRQHHQSA-N 0 3 249.329 2.924 20 0 BFADHN CN(Cc1c[nH]nc1-c1ccccc1)C1CC1 ZINC000153763878 385279322 /nfs/dbraw/zinc/27/93/22/385279322.db2.gz PPRQSIKNTDUDSQ-UHFFFAOYSA-N 0 3 227.311 2.671 20 0 BFADHN Cc1nocc1CN[C@H]1C[C@H](C)C[C@H](C)C1 ZINC000336369966 385281042 /nfs/dbraw/zinc/28/10/42/385281042.db2.gz WKGGJLZFYSLKHV-IWIIMEHWSA-N 0 3 222.332 2.897 20 0 BFADHN CCc1ccc(CNC[C@H](O)CC(C)(C)C)o1 ZINC000177787167 385283632 /nfs/dbraw/zinc/28/36/32/385283632.db2.gz TZKNRYMSVGAPKL-LLVKDONJSA-N 0 3 239.359 2.729 20 0 BFADHN COc1ccc(C[C@H](C)N[C@@H](C)CF)cc1 ZINC000290245932 385285967 /nfs/dbraw/zinc/28/59/67/385285967.db2.gz VEHKFOXTDYOXDL-QWRGUYRKSA-N 0 3 225.307 2.574 20 0 BFADHN CC[C@@H](CNCc1cc(F)cc(Cl)c1)OC ZINC000289720165 385288323 /nfs/dbraw/zinc/28/83/23/385288323.db2.gz FZTATUZVFHTAST-LBPRGKRZSA-N 0 3 245.725 2.994 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cc(F)ccc1C ZINC000289717399 385288573 /nfs/dbraw/zinc/28/85/73/385288573.db2.gz FUQBLNSKPNGXDV-GHMZBOCLSA-N 0 3 225.307 2.647 20 0 BFADHN CO[C@H](C)CNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000191200179 385288764 /nfs/dbraw/zinc/28/87/64/385288764.db2.gz QXHRSUGPDPRDDL-CKYFFXLPSA-N 0 3 223.316 2.528 20 0 BFADHN CC(=O)CCN1C[C@H](C)[C@H]1c1ccccc1 ZINC000177829843 385290453 /nfs/dbraw/zinc/29/04/53/385290453.db2.gz GHWWUWMIQVBLJQ-FZMZJTMJSA-N 0 3 217.312 2.659 20 0 BFADHN CCc1ccc([C@H]2C[C@@H](C)CCN2CCO)o1 ZINC000177843148 385292859 /nfs/dbraw/zinc/29/28/59/385292859.db2.gz ZXUDEERBQDEUTP-WCQYABFASA-N 0 3 237.343 2.607 20 0 BFADHN CO[C@H](C)CNCc1ccc(OC(C)C)cc1 ZINC000191203923 385293675 /nfs/dbraw/zinc/29/36/75/385293675.db2.gz XDMBFQOHAMRWPE-GFCCVEGCSA-N 0 3 237.343 2.598 20 0 BFADHN CN(Cc1ccco1)C[C@H](O)C1CCCCC1 ZINC000191229203 385295760 /nfs/dbraw/zinc/29/57/60/385295760.db2.gz XCWURGLRWYRCMA-AWEZNQCLSA-N 0 3 237.343 2.653 20 0 BFADHN C[C@@H](CCC1CC1)N[C@@H]1CCCc2c[nH]nc21 ZINC000364256005 385297484 /nfs/dbraw/zinc/29/74/84/385297484.db2.gz SRJXMLGUFQFWSN-GXFFZTMASA-N 0 3 233.359 2.955 20 0 BFADHN CCCC1(CNCc2cccc(OC)n2)CC1 ZINC000191320683 385298099 /nfs/dbraw/zinc/29/80/99/385298099.db2.gz WNYINMMMWLWIRX-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN c1oc2ccccc2c1CN[C@@H]1CCCOC1 ZINC000130988552 385299812 /nfs/dbraw/zinc/29/98/12/385299812.db2.gz MCEKHDURIGYXAM-GFCCVEGCSA-N 0 3 231.295 2.701 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1CCC(O)CC1 ZINC000154041555 385300438 /nfs/dbraw/zinc/30/04/38/385300438.db2.gz WEGSMJMUTJVHNY-CQSZACIVSA-N 0 3 237.318 2.734 20 0 BFADHN CN(CCc1ccc(F)cc1)Cc1ccccn1 ZINC000065595750 385328758 /nfs/dbraw/zinc/32/87/58/385328758.db2.gz FVWXOYSHAJNCIJ-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN C[C@H](NCC(C)(C)O)c1ccc2ccccc2n1 ZINC000178069312 385329253 /nfs/dbraw/zinc/32/92/53/385329253.db2.gz NBCLGIJQGRNPOS-NSHDSACASA-N 0 3 244.338 2.656 20 0 BFADHN c1nc(CN2CCC[C@@H]2Cc2ccccc2)co1 ZINC000191924761 385334619 /nfs/dbraw/zinc/33/46/19/385334619.db2.gz FJAROHKRWGUNRX-OAHLLOKOSA-N 0 3 242.322 2.882 20 0 BFADHN CC(C)C[C@@H](CCO)CN[C@H](C)c1ccco1 ZINC000154668922 385345706 /nfs/dbraw/zinc/34/57/06/385345706.db2.gz XJOOPUWQPYFFJJ-CHWSQXEVSA-N 0 3 239.359 2.975 20 0 BFADHN CC(C)(C)SCCNCc1ccco1 ZINC000053616666 385345915 /nfs/dbraw/zinc/34/59/15/385345915.db2.gz RDFHHDKCOPGOHU-UHFFFAOYSA-N 0 3 213.346 2.901 20 0 BFADHN CCSCC[C@H](C)N[C@@H](C)c1ccnn1C ZINC000192253158 385349862 /nfs/dbraw/zinc/34/98/62/385349862.db2.gz RTSSCMYTLYPWTG-QWRGUYRKSA-N 0 3 241.404 2.602 20 0 BFADHN CCSCC[C@H](C)N[C@H](C)c1ccnn1C ZINC000192253168 385349917 /nfs/dbraw/zinc/34/99/17/385349917.db2.gz RTSSCMYTLYPWTG-WDEREUQCSA-N 0 3 241.404 2.602 20 0 BFADHN CCSCC[C@@H](C)N[C@@H](C)c1ccnn1C ZINC000192253153 385350410 /nfs/dbraw/zinc/35/04/10/385350410.db2.gz RTSSCMYTLYPWTG-MNOVXSKESA-N 0 3 241.404 2.602 20 0 BFADHN CCc1ccccc1CN[C@@H](C)c1ccnn1C ZINC000192251884 385350481 /nfs/dbraw/zinc/35/04/81/385350481.db2.gz VVQINEQGLGUKPB-LBPRGKRZSA-N 0 3 243.354 2.833 20 0 BFADHN Cc1ccc(CN(C)CC(C)(C)C)nc1 ZINC000158110900 385351575 /nfs/dbraw/zinc/35/15/75/385351575.db2.gz ODMIITLYLDTMGI-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN Cc1csc(CN[C@H]2COC(C)(C)C2)c1 ZINC000335356977 385351989 /nfs/dbraw/zinc/35/19/89/385351989.db2.gz KUXYLVQMSNXMPS-SNVBAGLBSA-N 0 3 225.357 2.714 20 0 BFADHN C[C@@H](NCCCC(C)(C)CO)c1ccco1 ZINC000168192534 385354274 /nfs/dbraw/zinc/35/42/74/385354274.db2.gz OQPXFVQECJOABZ-LLVKDONJSA-N 0 3 225.332 2.729 20 0 BFADHN C[C@@H](N[C@@H](C)c1c(F)cccc1F)[C@H](C)CO ZINC000131765640 385361158 /nfs/dbraw/zinc/36/11/58/385361158.db2.gz LICNYSKSKUDYKM-BBBLOLIVSA-N 0 3 243.297 2.632 20 0 BFADHN CC(C)=CCNCc1ccnn1C(C)C ZINC000280709812 385362745 /nfs/dbraw/zinc/36/27/45/385362745.db2.gz XCUURERPBHOPAU-UHFFFAOYSA-N 0 3 207.321 2.520 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@H](C)C2)cc1O ZINC000131815104 385363022 /nfs/dbraw/zinc/36/30/22/385363022.db2.gz RPSGDFXGXAWSID-TXEJJXNPSA-N 0 3 249.354 2.879 20 0 BFADHN Clc1ccccc1CNCCCn1cccn1 ZINC000158204646 385365445 /nfs/dbraw/zinc/36/54/45/385365445.db2.gz XSHXVUPSEQIRFO-UHFFFAOYSA-N 0 3 249.745 2.716 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2ccnn2C)c(C)c1 ZINC000192696248 385368408 /nfs/dbraw/zinc/36/84/08/385368408.db2.gz FWMDNIRDQHZRNB-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccc([C@@H]2C[C@H](C)CCN2C[C@@H](C)O)o1 ZINC000192734717 385371009 /nfs/dbraw/zinc/37/10/09/385371009.db2.gz KGQHXRCLZWSCQP-WZRBSPASSA-N 0 3 237.343 2.742 20 0 BFADHN CC(C)c1ccc(CCNCCF)cc1 ZINC000309018187 385373683 /nfs/dbraw/zinc/37/36/83/385373683.db2.gz VMEHFNVJWBZZFB-UHFFFAOYSA-N 0 3 209.308 2.912 20 0 BFADHN CCSCCCNCc1sccc1OC ZINC000309039207 385376251 /nfs/dbraw/zinc/37/62/51/385376251.db2.gz KDZZXDYXHOGADB-UHFFFAOYSA-N 0 3 245.413 2.990 20 0 BFADHN CC/C=C\CCN[C@@H](CO)c1ccsc1 ZINC000309028126 385377276 /nfs/dbraw/zinc/37/72/76/385377276.db2.gz BZBPJUCORAWRPH-MVZIDQBPSA-N 0 3 225.357 2.727 20 0 BFADHN C[C@@H](CN(C)C)N[C@@H](C)c1cc(F)ccc1F ZINC000168570738 385377515 /nfs/dbraw/zinc/37/75/15/385377515.db2.gz BUVHFWLZGRPCSH-UWVGGRQHSA-N 0 3 242.313 2.566 20 0 BFADHN C[C@@H](O)CN(Cc1ccc(Cl)cc1)C1CC1 ZINC000192969982 385379016 /nfs/dbraw/zinc/37/90/16/385379016.db2.gz NPPQYUWOCYPIMT-SNVBAGLBSA-N 0 3 239.746 2.685 20 0 BFADHN CC[C@H](O)CNC1(c2cccc(Cl)c2)CC1 ZINC000179669860 385379470 /nfs/dbraw/zinc/37/94/70/385379470.db2.gz QQVFNTJYGSHBEG-LBPRGKRZSA-N 0 3 239.746 2.690 20 0 BFADHN C[C@@H](CN(C)C)N[C@@H](C)c1cc(F)cc(F)c1 ZINC000168685228 385380986 /nfs/dbraw/zinc/38/09/86/385380986.db2.gz IDKBNRPBNMIJEW-UWVGGRQHSA-N 0 3 242.313 2.566 20 0 BFADHN CCCC[C@H](C(=O)OC)N1C[C@H](C)C[C@H](C)C1 ZINC000168672370 385382002 /nfs/dbraw/zinc/38/20/02/385382002.db2.gz JMIQYCXGXRGTOD-FRRDWIJNSA-N 0 3 241.375 2.696 20 0 BFADHN CCc1ccc([C@@H](C)NCCF)s1 ZINC000280950941 385384565 /nfs/dbraw/zinc/38/45/65/385384565.db2.gz GYBBBUBVHNWLOB-MRVPVSSYSA-N 0 3 201.310 2.931 20 0 BFADHN Cc1ccc2c(c1)[C@H](NCCF)[C@@H](C)C2 ZINC000280941794 385384613 /nfs/dbraw/zinc/38/46/13/385384613.db2.gz GIJOTPDXQGEGJI-GXFFZTMASA-N 0 3 207.292 2.787 20 0 BFADHN C[C@H](CCc1cccc(F)c1)NCCF ZINC000280940896 385384932 /nfs/dbraw/zinc/38/49/32/385384932.db2.gz GGDIJJFLNVASNH-SNVBAGLBSA-N 0 3 213.271 2.706 20 0 BFADHN CSc1ccccc1C[C@@H](C)NCCF ZINC000280982031 385385818 /nfs/dbraw/zinc/38/58/18/385385818.db2.gz JDXLGZCFMUXUPK-SNVBAGLBSA-N 0 3 227.348 2.899 20 0 BFADHN CCCc1csc(CNC[C@H]2C[C@H]2C)n1 ZINC000309041748 385387404 /nfs/dbraw/zinc/38/74/04/385387404.db2.gz LQCNHXVZTIYCTB-NXEZZACHSA-N 0 3 224.373 2.841 20 0 BFADHN CCn1ccnc1CN(CC1CCC1)C(C)C ZINC000193200795 385387448 /nfs/dbraw/zinc/38/74/48/385387448.db2.gz PEKMMXMBACJASP-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN CC(C)N(Cc1ccon1)CC1CCC1 ZINC000193207940 385387872 /nfs/dbraw/zinc/38/78/72/385387872.db2.gz PRSVHPAVICEXKW-UHFFFAOYSA-N 0 3 208.305 2.685 20 0 BFADHN Cc1ccc(CN2CCS[C@H](C)C2)s1 ZINC000131338619 385326568 /nfs/dbraw/zinc/32/65/68/385326568.db2.gz XYDRAECEYCOQAC-SNVBAGLBSA-N 0 3 227.398 2.994 20 0 BFADHN C[C@@H](NCCC1(C)CC1)c1ccccn1 ZINC000280521726 385327217 /nfs/dbraw/zinc/32/72/17/385327217.db2.gz HUQQGFFETUDXMV-LLVKDONJSA-N 0 3 204.317 2.922 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCOC[C@@H]2C)o1 ZINC000191830897 385327767 /nfs/dbraw/zinc/32/77/67/385327767.db2.gz XQHKFQJUOXSFHZ-MVWJERBFSA-N 0 3 223.316 2.664 20 0 BFADHN Cc1sccc1CN[C@]1(C)CCO[C@@H]1C ZINC000309118044 385424096 /nfs/dbraw/zinc/42/40/96/385424096.db2.gz RVBCDZXLSAGIEX-ZYHUDNBSSA-N 0 3 225.357 2.714 20 0 BFADHN CO[C@@H](C)CN[C@@H]1CCCNc2ccccc21 ZINC000193333626 385392443 /nfs/dbraw/zinc/39/24/43/385392443.db2.gz HEKNJZHKCONFMV-SMDDNHRTSA-N 0 3 234.343 2.558 20 0 BFADHN CO[C@@H](C)CN[C@H]1CCCNc2ccccc21 ZINC000193333653 385393030 /nfs/dbraw/zinc/39/30/30/385393030.db2.gz HEKNJZHKCONFMV-FZMZJTMJSA-N 0 3 234.343 2.558 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2nccn2C)[C@H]1C ZINC000360772241 385393338 /nfs/dbraw/zinc/39/33/38/385393338.db2.gz LMFNYCXLBWFVCU-AGIUHOORSA-N 0 3 235.375 2.582 20 0 BFADHN CCO[C@@H](CN[C@@H]1CCO[C@@H]1C)c1ccccc1 ZINC000193428595 385397064 /nfs/dbraw/zinc/39/70/64/385397064.db2.gz BRQPORGESATLGU-YUELXQCFSA-N 0 3 249.354 2.531 20 0 BFADHN Cc1nnsc1CNC[C@H](C)CC(C)C ZINC000309077617 385398617 /nfs/dbraw/zinc/39/86/17/385398617.db2.gz MMQKTTMUKQNFGB-SECBINFHSA-N 0 3 227.377 2.618 20 0 BFADHN CS[C@H](C)CNCc1scnc1C1CC1 ZINC000309079145 385399124 /nfs/dbraw/zinc/39/91/24/385399124.db2.gz NQKGBNKVARRYMH-MRVPVSSYSA-N 0 3 242.413 2.862 20 0 BFADHN FCCN[C@H]1CC[C@H](c2ccccc2)C1 ZINC000281139104 385400772 /nfs/dbraw/zinc/40/07/72/385400772.db2.gz UKZHTOMGAMGVKZ-STQMWFEESA-N 0 3 207.292 2.882 20 0 BFADHN C[C@]1(O)CCN([C@@H]2CCCc3ccc(F)cc32)C1 ZINC000178921897 385425528 /nfs/dbraw/zinc/42/55/28/385425528.db2.gz ZJKFZSQDKIBUMG-CABCVRRESA-N 0 3 249.329 2.660 20 0 BFADHN C=Cn1cc(CN([C@H](C)C(C)C)C2CC2)cn1 ZINC000193587793 385402120 /nfs/dbraw/zinc/40/21/20/385402120.db2.gz AIRQJSMMQSAXHU-GFCCVEGCSA-N 0 3 233.359 2.993 20 0 BFADHN COC1(CNCc2cc(F)ccc2C)CCC1 ZINC000181072930 385402509 /nfs/dbraw/zinc/40/25/09/385402509.db2.gz CCBWNZWZBHUINS-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN CCc1ccc(CN[C@@H]2CCCOCC2)o1 ZINC000181031645 385402661 /nfs/dbraw/zinc/40/26/61/385402661.db2.gz JOCLUEGUBFPEBX-LLVKDONJSA-N 0 3 223.316 2.501 20 0 BFADHN Cn1cccc1[C@H]1CCCN1C[C@@H]1CCC=CO1 ZINC000193628291 385405269 /nfs/dbraw/zinc/40/52/69/385405269.db2.gz DCFQHAFAVYAWFX-DZGCQCFKSA-N 0 3 246.354 2.855 20 0 BFADHN CSC1(CNCc2c(C)noc2C)CCC1 ZINC000193646017 385406880 /nfs/dbraw/zinc/40/68/80/385406880.db2.gz FOGHUENMLCWILG-UHFFFAOYSA-N 0 3 240.372 2.667 20 0 BFADHN COC[C@@H](C)NCc1ccc(Cl)s1 ZINC000020042044 385408381 /nfs/dbraw/zinc/40/83/81/385408381.db2.gz MTJOBPXVAFPIFV-SSDOTTSWSA-N 0 3 219.737 2.526 20 0 BFADHN CC/C=C/CNCc1cccc2c1OCCO2 ZINC000295053644 385410569 /nfs/dbraw/zinc/41/05/69/385410569.db2.gz NSVMHICQEJKSKD-ONEGZZNKSA-N 0 3 233.311 2.514 20 0 BFADHN Cc1ccc(CNCCn2ccnc2)cc1Cl ZINC000193735027 385411120 /nfs/dbraw/zinc/41/11/20/385411120.db2.gz IHQJVTGXPZCBGJ-UHFFFAOYSA-N 0 3 249.745 2.635 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1ccncc1F ZINC000309085095 385411275 /nfs/dbraw/zinc/41/12/75/385411275.db2.gz WHXGMUNPBTWHNX-RKDXNWHRSA-N 0 3 228.336 2.623 20 0 BFADHN CCc1ccc(CNCCc2ncccc2C)o1 ZINC000295060939 385412196 /nfs/dbraw/zinc/41/21/96/385412196.db2.gz QHFGGMFTYGADGH-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN CC(=O)CCN1CCC[C@@H]1c1cccc(F)c1 ZINC000178720933 385415417 /nfs/dbraw/zinc/41/54/17/385415417.db2.gz HGWOSJUGKBULKG-CQSZACIVSA-N 0 3 235.302 2.942 20 0 BFADHN CCN(CCN[C@@H](C)c1ccc(C)o1)C1CC1 ZINC000155887764 385419880 /nfs/dbraw/zinc/41/98/80/385419880.db2.gz PFGGVZBHIPNUHQ-LBPRGKRZSA-N 0 3 236.359 2.723 20 0 BFADHN CC[C@H](NCCSC)c1c(C)noc1C ZINC000182398425 385444224 /nfs/dbraw/zinc/44/42/24/385444224.db2.gz MIRQKLAETKUPDX-JTQLQIEISA-N 0 3 228.361 2.695 20 0 BFADHN CN(Cc1cccc(F)c1N)CC(C)(C)C ZINC000156370066 385449204 /nfs/dbraw/zinc/44/92/04/385449204.db2.gz WDHGIIKPTGSQQR-UHFFFAOYSA-N 0 3 224.323 2.886 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cnoc1C ZINC000309178180 385451335 /nfs/dbraw/zinc/45/13/35/385451335.db2.gz NGTVTXMZPXKTJE-PWSUYJOCSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@@H](NCCCCO)c1ccc2ccccc2n1 ZINC000194215760 385427325 /nfs/dbraw/zinc/42/73/25/385427325.db2.gz VAPSGBVGGPHYEK-GFCCVEGCSA-N 0 3 244.338 2.658 20 0 BFADHN COc1cc(CNC[C@@H]2CCC=CO2)ccc1C ZINC000194278651 385427559 /nfs/dbraw/zinc/42/75/59/385427559.db2.gz ZGAHMSPDFBEZRD-AWEZNQCLSA-N 0 3 247.338 2.786 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(Cl)cn2)[C@@H]1C ZINC000309121303 385428020 /nfs/dbraw/zinc/42/80/20/385428020.db2.gz NYECNFYGFYSZRP-LNLATYFQSA-N 0 3 224.735 2.869 20 0 BFADHN CO[C@H](C)CN(C)[C@@H](C)c1sc(C)nc1C ZINC000182156642 385430281 /nfs/dbraw/zinc/43/02/81/385430281.db2.gz ABEMITLDGPRDHE-SCZZXKLOSA-N 0 3 242.388 2.788 20 0 BFADHN CO[C@H](C)CN(C)[C@H](C)c1sc(C)nc1C ZINC000182156621 385430477 /nfs/dbraw/zinc/43/04/77/385430477.db2.gz ABEMITLDGPRDHE-PSASIEDQSA-N 0 3 242.388 2.788 20 0 BFADHN CCO[C@H]1C[C@H](NCc2cncc(C)c2)C1(C)C ZINC000182085036 385430502 /nfs/dbraw/zinc/43/05/02/385430502.db2.gz UBSMVBPTIQFFEE-KBPBESRZSA-N 0 3 248.370 2.683 20 0 BFADHN CN(Cc1cc(Cl)cs1)C[C@@H]1CCOC1 ZINC000194425604 385432745 /nfs/dbraw/zinc/43/27/45/385432745.db2.gz LDSRRXVWEMSEHX-VIFPVBQESA-N 0 3 245.775 2.870 20 0 BFADHN Cc1csc(CN[C@@H](C)[C@H]2CC2(C)C)n1 ZINC000309138737 385432969 /nfs/dbraw/zinc/43/29/69/385432969.db2.gz SODALBAYURZSMK-VHSXEESVSA-N 0 3 224.373 2.976 20 0 BFADHN CN(Cc1cc(Cl)cs1)CC(C)(C)O ZINC000194398703 385433609 /nfs/dbraw/zinc/43/36/09/385433609.db2.gz BCUDOMAUFWNGOB-UHFFFAOYSA-N 0 3 233.764 2.604 20 0 BFADHN CCN(C[C@@H](C)OC)[C@@H](C)c1cccc(O)c1 ZINC000182216847 385434807 /nfs/dbraw/zinc/43/48/07/385434807.db2.gz OGAFMBSYJQKDOS-NEPJUHHUSA-N 0 3 237.343 2.810 20 0 BFADHN COc1ccc(CN2C[C@@H]3CCC[C@@H]3C2)cc1O ZINC000156103560 385435889 /nfs/dbraw/zinc/43/58/89/385435889.db2.gz NJRLVGWXFXDGGF-BETUJISGSA-N 0 3 247.338 2.633 20 0 BFADHN CC[C@@H](NC[C@@H]1CCC=CO1)c1ccncc1 ZINC000194478887 385436638 /nfs/dbraw/zinc/43/66/38/385436638.db2.gz LOMRPFYITZRJMK-UONOGXRCSA-N 0 3 232.327 2.815 20 0 BFADHN CCOc1cccc(CN2CCCO[C@H](C)C2)c1 ZINC000179393555 385465028 /nfs/dbraw/zinc/46/50/28/385465028.db2.gz MLOWPWSTMHJJMA-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN CCOc1cccc(CN2CCCO[C@@H](C)C2)c1 ZINC000179393568 385465042 /nfs/dbraw/zinc/46/50/42/385465042.db2.gz MLOWPWSTMHJJMA-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1ccc(CN2CCCO[C@H](C)C2)c(C)c1 ZINC000179398080 385465453 /nfs/dbraw/zinc/46/54/53/385465453.db2.gz NBJDYALLCZJDKQ-CQSZACIVSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1ccc(CN2CCCO[C@H](C)C2)s1 ZINC000179395914 385465612 /nfs/dbraw/zinc/46/56/12/385465612.db2.gz QOLLMNFWNQVRKU-SNVBAGLBSA-N 0 3 225.357 2.667 20 0 BFADHN CC(C)N(Cc1cnn2ccccc12)C1CC1 ZINC000179485355 385469488 /nfs/dbraw/zinc/46/94/88/385469488.db2.gz KBOOKTPDTVKEFP-UHFFFAOYSA-N 0 3 229.327 2.707 20 0 BFADHN CCc1ccc(CN[C@H]2CCO[C@@H](C3CC3)C2)o1 ZINC000156911842 385474185 /nfs/dbraw/zinc/47/41/85/385474185.db2.gz QUAFNEKYCFJQMX-SWLSCSKDSA-N 0 3 249.354 2.889 20 0 BFADHN Cc1cccc(NC(=O)[C@@H](N)CCC(C)C)c1 ZINC000257734188 385488348 /nfs/dbraw/zinc/48/83/48/385488348.db2.gz WTRFHAJXCZGJBR-ZDUSSCGKSA-N 0 3 234.343 2.697 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1cc(C)c(C)o1 ZINC000282013381 385477907 /nfs/dbraw/zinc/47/79/07/385477907.db2.gz DQPDNOZYQWDXHZ-ZYHUDNBSSA-N 0 3 225.332 2.972 20 0 BFADHN Fc1cccc(C2(NC[C@@H]3CCCCO3)CC2)c1 ZINC000179681653 385483674 /nfs/dbraw/zinc/48/36/74/385483674.db2.gz YNRGSHQVNGLLIJ-AWEZNQCLSA-N 0 3 249.329 2.974 20 0 BFADHN C[C@@H](NCCc1ccccc1O)c1ccncc1 ZINC000156568188 385459675 /nfs/dbraw/zinc/45/96/75/385459675.db2.gz IONKPMACUYFIBV-GFCCVEGCSA-N 0 3 242.322 2.681 20 0 BFADHN C[C@@H](NC[C@@H](O)C1CCCCC1)c1ccncc1 ZINC000156591062 385460392 /nfs/dbraw/zinc/46/03/92/385460392.db2.gz XTBHTNDXFTZTCP-IUODEOHRSA-N 0 3 248.370 2.673 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccc(F)cc1C ZINC000179370473 385462739 /nfs/dbraw/zinc/46/27/39/385462739.db2.gz DKUOOBYKDDANKR-LLVKDONJSA-N 0 3 225.307 2.601 20 0 BFADHN COC[C@H](C)N(C)Cc1ccc(C)c(C)c1 ZINC000179371267 385462775 /nfs/dbraw/zinc/46/27/75/385462775.db2.gz UIAOOLSIBKTTNF-ZDUSSCGKSA-N 0 3 221.344 2.770 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccc(F)c(C)c1 ZINC000179369181 385462952 /nfs/dbraw/zinc/46/29/52/385462952.db2.gz JYNNALPAVAXEPS-LLVKDONJSA-N 0 3 225.307 2.601 20 0 BFADHN C[C@H](NC[C@@H](O)CC(C)(C)C)c1ccncc1 ZINC000179388446 385463555 /nfs/dbraw/zinc/46/35/55/385463555.db2.gz MLHOQPKQSYYKNY-AAEUAGOBSA-N 0 3 236.359 2.529 20 0 BFADHN CN(Cc1c[nH]c(-c2ccccc2)n1)CC1CC1 ZINC000179385532 385463647 /nfs/dbraw/zinc/46/36/47/385463647.db2.gz CHXHHMKKWWXRKV-UHFFFAOYSA-N 0 3 241.338 2.919 20 0 BFADHN CN(Cc1cnc(-c2ccccc2)[nH]1)CC1CC1 ZINC000179385532 385463651 /nfs/dbraw/zinc/46/36/51/385463651.db2.gz CHXHHMKKWWXRKV-UHFFFAOYSA-N 0 3 241.338 2.919 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1cc(C)c(C)o1 ZINC000282049782 385491781 /nfs/dbraw/zinc/49/17/81/385491781.db2.gz GJFJXVGBGHBFEO-ZYHUDNBSSA-N 0 3 225.332 2.708 20 0 BFADHN CCC[C@H](C)NC(=O)[C@@H](C)N(C)C[C@@H](C)CC ZINC000111255095 385499121 /nfs/dbraw/zinc/49/91/21/385499121.db2.gz IIRSLEMMCOOTRY-RWMBFGLXSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1ccc(F)cc1CN(C)C[C@@H]1CCCO1 ZINC000179917685 385500063 /nfs/dbraw/zinc/50/00/63/385500063.db2.gz DAIVDWSFIJQBEL-AWEZNQCLSA-N 0 3 237.318 2.745 20 0 BFADHN CCSCCN(C)CCc1ncc(C)s1 ZINC000157389672 385500315 /nfs/dbraw/zinc/50/03/15/385500315.db2.gz MJXIIKIFJDODHQ-UHFFFAOYSA-N 0 3 244.429 2.679 20 0 BFADHN CCC(CC)[C@H](O)CNCc1csc(C)c1 ZINC000309244299 385500296 /nfs/dbraw/zinc/50/02/96/385500296.db2.gz XVZSPNKYXRKPPO-CYBMUJFWSA-N 0 3 241.400 2.943 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccc(CO)o1 ZINC000157457819 385503217 /nfs/dbraw/zinc/50/32/17/385503217.db2.gz MBSCDVOACGPIOO-NSHDSACASA-N 0 3 223.316 2.536 20 0 BFADHN CC(C)[C@H](O)CNCc1ccc(F)cc1Cl ZINC000157467735 385504008 /nfs/dbraw/zinc/50/40/08/385504008.db2.gz YYMDSZZUMNPXAB-GFCCVEGCSA-N 0 3 245.725 2.586 20 0 BFADHN FC1(F)CCC[C@@H](CNCc2cocn2)C1 ZINC000282156234 385512006 /nfs/dbraw/zinc/51/20/06/385512006.db2.gz XHWVYYQXCPVJCX-SECBINFHSA-N 0 3 230.258 2.590 20 0 BFADHN CCCN1CCOc2ccc(C)cc2C1 ZINC000196580736 385512885 /nfs/dbraw/zinc/51/28/85/385512885.db2.gz BMKCRGSQNOJKAS-UHFFFAOYSA-N 0 3 205.301 2.599 20 0 BFADHN CCCCCN[C@H](c1nccn1C)C1CC1 ZINC000183233097 385514173 /nfs/dbraw/zinc/51/41/73/385514173.db2.gz ZMQVXURWBJWPPR-LBPRGKRZSA-N 0 3 221.348 2.651 20 0 BFADHN CSCCCN(C)CCc1ncc(C)s1 ZINC000157687884 385515070 /nfs/dbraw/zinc/51/50/70/385515070.db2.gz HLGJLKXSJBWOBG-UHFFFAOYSA-N 0 3 244.429 2.679 20 0 BFADHN CSCc1cnc(CNCCC2CC2)s1 ZINC000293720827 385516865 /nfs/dbraw/zinc/51/68/65/385516865.db2.gz YLILJYQXYGHIRE-UHFFFAOYSA-N 0 3 242.413 2.896 20 0 BFADHN CC[C@H]1CCCN(Cc2cccc3nccn32)C1 ZINC000179763336 385489610 /nfs/dbraw/zinc/48/96/10/385489610.db2.gz HGQRSKSKJCOAQY-ZDUSSCGKSA-N 0 3 243.354 2.956 20 0 BFADHN COC[C@@H](C)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000282042533 385489885 /nfs/dbraw/zinc/48/98/85/385489885.db2.gz GDBURTPRYHMNIW-ONGXEEELSA-N 0 3 225.332 2.830 20 0 BFADHN COC(=O)CCCN1CCc2ccccc2[C@@H]1C ZINC000172094790 385548572 /nfs/dbraw/zinc/54/85/72/385548572.db2.gz IERWWHZOKBVVNK-LBPRGKRZSA-N 0 3 247.338 2.559 20 0 BFADHN Cc1cc(CN2CCC(C)(C)CC2)on1 ZINC000334305541 385535264 /nfs/dbraw/zinc/53/52/64/385535264.db2.gz FOEIGXBGESOKDV-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN CCc1cccc(F)c1CN[C@H](CC)CCO ZINC000354475670 385536172 /nfs/dbraw/zinc/53/61/72/385536172.db2.gz KZRJPTREAYFYIT-GFCCVEGCSA-N 0 3 239.334 2.639 20 0 BFADHN c1cncc(CN(CC2CCC2)C2CC2)c1 ZINC000180739785 385544488 /nfs/dbraw/zinc/54/44/88/385544488.db2.gz NZMVBEGTRUJSSR-UHFFFAOYSA-N 0 3 216.328 2.846 20 0 BFADHN CN(CCO)Cc1cc(-c2ccccc2)cs1 ZINC000157752845 385519652 /nfs/dbraw/zinc/51/96/52/385519652.db2.gz BXIPFNSNUHHAMQ-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](C)C[C@@H](C)O)o1 ZINC000157758836 385520719 /nfs/dbraw/zinc/52/07/19/385520719.db2.gz FGZLQJZYCIPEIH-IJLUTSLNSA-N 0 3 239.359 2.900 20 0 BFADHN CC(C)n1ccnc1CN[C@@H]1CCC[C@H]1C ZINC000309315895 385527505 /nfs/dbraw/zinc/52/75/05/385527505.db2.gz CZNSVZVUYHSHNR-VXGBXAGGSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@H](NC[C@@H](O)C1CCCCC1)c1cccnc1 ZINC000181410403 385575927 /nfs/dbraw/zinc/57/59/27/385575927.db2.gz NEICVSNMGTYZAO-SWLSCSKDSA-N 0 3 248.370 2.673 20 0 BFADHN CCCCN(C)Cc1ccc(N2CCCC2)nc1 ZINC000158157008 385550957 /nfs/dbraw/zinc/55/09/57/385550957.db2.gz RBERNMWSGKXAPC-UHFFFAOYSA-N 0 3 247.386 2.914 20 0 BFADHN Cc1c([C@H](C)NC2CCC2)cnn1C(C)C ZINC000309367232 385552357 /nfs/dbraw/zinc/55/23/57/385552357.db2.gz PSWMSQLNVNKPDE-JTQLQIEISA-N 0 3 221.348 2.976 20 0 BFADHN COC(C)(C)CNCc1coc2ccccc12 ZINC000158216111 385553745 /nfs/dbraw/zinc/55/37/45/385553745.db2.gz HNOFROLPRVXAOH-UHFFFAOYSA-N 0 3 233.311 2.947 20 0 BFADHN CCc1ccc(CNCC[C@@H]2CCCCO2)o1 ZINC000181254726 385568796 /nfs/dbraw/zinc/56/87/96/385568796.db2.gz SQUZMZGQFSWNCY-ZDUSSCGKSA-N 0 3 237.343 2.891 20 0 BFADHN CCc1ccc(CNCC[C@H]2CCCCO2)o1 ZINC000181254744 385569324 /nfs/dbraw/zinc/56/93/24/385569324.db2.gz SQUZMZGQFSWNCY-CYBMUJFWSA-N 0 3 237.343 2.891 20 0 BFADHN CCCCN(Cc1ccccn1)C1CC1 ZINC000181302332 385570244 /nfs/dbraw/zinc/57/02/44/385570244.db2.gz GPAJFYITOYTIAY-UHFFFAOYSA-N 0 3 204.317 2.846 20 0 BFADHN CN(CCSC1CCCCC1)C1CC(O)C1 ZINC000337316968 385594855 /nfs/dbraw/zinc/59/48/55/385594855.db2.gz LDDRDCQHNIAUFU-UHFFFAOYSA-N 0 3 243.416 2.507 20 0 BFADHN CCC[C@H](C)[C@@H](CC)NCc1ccn(C)n1 ZINC000309466358 385596077 /nfs/dbraw/zinc/59/60/77/385596077.db2.gz PLCBGGDPLPZANB-WCQYABFASA-N 0 3 223.364 2.725 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CCC[C@@H]2C)cn1 ZINC000309475052 385597524 /nfs/dbraw/zinc/59/75/24/385597524.db2.gz RTDLQNNZTLWLKG-ZMLRMANQSA-N 0 3 219.332 2.624 20 0 BFADHN C[C@H](NCCc1nccs1)c1ccco1 ZINC000063133451 385598269 /nfs/dbraw/zinc/59/82/69/385598269.db2.gz SAUUGFZBFSCHQW-VIFPVBQESA-N 0 3 222.313 2.629 20 0 BFADHN Cc1nnc([C@H](C)NC2[C@@H](C)CCC[C@@H]2C)o1 ZINC000408196569 385603368 /nfs/dbraw/zinc/60/33/68/385603368.db2.gz DNXJZMGWFBSAOC-GUBZILKMSA-N 0 3 237.347 2.853 20 0 BFADHN c1ccc(CNCCOc2ccccc2)cc1 ZINC000026816095 385578289 /nfs/dbraw/zinc/57/82/89/385578289.db2.gz XTNXLNGNJZRBRW-UHFFFAOYSA-N 0 3 227.307 2.855 20 0 BFADHN C[C@H](N[C@@H]1CSC[C@H]1C)c1ccc(F)cn1 ZINC000309443543 385584307 /nfs/dbraw/zinc/58/43/07/385584307.db2.gz KRZOUNHGKXWSIS-VDDIYKPWSA-N 0 3 240.347 2.623 20 0 BFADHN CCS[C@H]1CCCC[C@@H]1NCCF ZINC000336649342 385590579 /nfs/dbraw/zinc/59/05/79/385590579.db2.gz HBRRRZYQYMXLGX-UWVGGRQHSA-N 0 3 205.342 2.610 20 0 BFADHN Cc1cnc([C@H](C)NCC[C@@H](C)F)s1 ZINC000336649108 385592728 /nfs/dbraw/zinc/59/27/28/385592728.db2.gz HJYALHPXBXEXDT-APPZFPTMSA-N 0 3 216.325 2.850 20 0 BFADHN CC(C)n1cc(CNCC2CCCCC2)nn1 ZINC000336678279 385616217 /nfs/dbraw/zinc/61/62/17/385616217.db2.gz ALPRJYXPSHSFSV-UHFFFAOYSA-N 0 3 236.363 2.529 20 0 BFADHN Cc1ccccc1C(C)(C)NCc1cn[nH]c1 ZINC000645199567 385619655 /nfs/dbraw/zinc/61/96/55/385619655.db2.gz QENHGCPGQBBPSA-UHFFFAOYSA-N 0 3 229.327 2.743 20 0 BFADHN Cc1cc(C)cc(CN2CCN(C(C)C)CC2)c1 ZINC000337362089 385619781 /nfs/dbraw/zinc/61/97/81/385619781.db2.gz HBDKJASOYBILGZ-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1ccncc1CN1CC[C@@H](OCC(C)C)C1 ZINC000269668266 385620193 /nfs/dbraw/zinc/62/01/93/385620193.db2.gz ZLMKHDBUGHAYJW-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1cccc(F)c1F ZINC000181948284 385620435 /nfs/dbraw/zinc/62/04/35/385620435.db2.gz UXHWBFYTVIOZLD-NXEZZACHSA-N 0 3 241.281 2.794 20 0 BFADHN CN(C)c1ncccc1CN[C@@H]1CCC12CCC2 ZINC000337380678 385621326 /nfs/dbraw/zinc/62/13/26/385621326.db2.gz DEAGQHNWVZWYKV-CYBMUJFWSA-N 0 3 245.370 2.570 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCC(C)(C)C2)o1 ZINC000311721227 385623512 /nfs/dbraw/zinc/62/35/12/385623512.db2.gz YOAGGYDMYWNZKT-NSHDSACASA-N 0 3 222.332 2.960 20 0 BFADHN CO[C@@H](C)CN(C)[C@@H](C)c1cccs1 ZINC000182066829 385633861 /nfs/dbraw/zinc/63/38/61/385633861.db2.gz FOJLKZVEVSEHHO-UWVGGRQHSA-N 0 3 213.346 2.776 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1cnc(C)nc1 ZINC000354642162 385608309 /nfs/dbraw/zinc/60/83/09/385608309.db2.gz PZJNKQVFMDOJED-JTQLQIEISA-N 0 3 247.264 2.606 20 0 BFADHN CSC[C@H]1CCCN1Cc1cnccc1C ZINC000269575653 385610481 /nfs/dbraw/zinc/61/04/81/385610481.db2.gz CMYXJWCCDYTYIP-CYBMUJFWSA-N 0 3 236.384 2.717 20 0 BFADHN CC[C@H](COC)NCc1ccsc1C ZINC000293460529 385610965 /nfs/dbraw/zinc/61/09/65/385610965.db2.gz DEGDENHOPIQKMD-LLVKDONJSA-N 0 3 213.346 2.571 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1cnccc1C ZINC000269601608 385612782 /nfs/dbraw/zinc/61/27/82/385612782.db2.gz ALTXFQKCGOGYMS-GFCCVEGCSA-N 0 3 238.400 2.963 20 0 BFADHN Cc1ccncc1CN(C(C)C)C1CC1 ZINC000269602499 385613475 /nfs/dbraw/zinc/61/34/75/385613475.db2.gz WEYXLHUCSSVBHU-UHFFFAOYSA-N 0 3 204.317 2.763 20 0 BFADHN CS[C@H]1CCC[C@@H](NCc2conc2C)C1 ZINC000293470205 385613668 /nfs/dbraw/zinc/61/36/68/385613668.db2.gz FAABCQYZJLVDKQ-NEPJUHHUSA-N 0 3 240.372 2.747 20 0 BFADHN CCC[C@H](CN[C@H](C)c1ccccn1)OC ZINC000293584653 385664319 /nfs/dbraw/zinc/66/43/19/385664319.db2.gz FOWPFCRUENTEMT-VXGBXAGGSA-N 0 3 222.332 2.547 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NC[C@@H]1CC=CCC1 ZINC000269848943 385638662 /nfs/dbraw/zinc/63/86/62/385638662.db2.gz XLNPPFKPZUNPMZ-DGCLKSJQSA-N 0 3 231.343 2.733 20 0 BFADHN Cc1ccncc1CNCC1(C(F)F)CC1 ZINC000336682018 385639430 /nfs/dbraw/zinc/63/94/30/385639430.db2.gz DELZHVWHEGYFMS-UHFFFAOYSA-N 0 3 226.270 2.525 20 0 BFADHN C[C@@H](Cc1cccc(Cl)c1)NCCF ZINC000283410419 385642220 /nfs/dbraw/zinc/64/22/20/385642220.db2.gz QYTUTEBSGHZMBY-VIFPVBQESA-N 0 3 215.699 2.830 20 0 BFADHN C/C=C\C[C@H](CO)N[C@@H](C)c1cc(C)c(C)o1 ZINC000337399499 385645906 /nfs/dbraw/zinc/64/59/06/385645906.db2.gz JWOPGLPSJBLIJR-FRRIYSQJSA-N 0 3 237.343 2.874 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1ncccc1C ZINC000336733879 385653250 /nfs/dbraw/zinc/65/32/50/385653250.db2.gz MRQUDYBJPMVXOK-RYUDHWBXSA-N 0 3 204.317 2.668 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H]1CCCc2occc21 ZINC000252716817 385656594 /nfs/dbraw/zinc/65/65/94/385656594.db2.gz ZFBSHSZBKKJWLV-FOGDFJRCSA-N 0 3 223.316 2.670 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@H]1CCSc2ccccc21 ZINC000252689192 385658012 /nfs/dbraw/zinc/65/80/12/385658012.db2.gz QLFSCGARFGTELJ-DRZSPHRISA-N 0 3 249.379 2.991 20 0 BFADHN CO[C@H](C)CN[C@@H](c1ncccc1C)C(C)C ZINC000182337973 385659137 /nfs/dbraw/zinc/65/91/37/385659137.db2.gz DLHZEWVXZHLBDU-CHWSQXEVSA-N 0 3 236.359 2.712 20 0 BFADHN CO[C@@H](C)CN[C@@H](c1ncccc1C)C(C)C ZINC000182338017 385659581 /nfs/dbraw/zinc/65/95/81/385659581.db2.gz DLHZEWVXZHLBDU-QWHCGFSZSA-N 0 3 236.359 2.712 20 0 BFADHN CC[C@@H](C)[C@H](C)N[C@@H](CC)c1ccn(C)n1 ZINC000336734337 385666408 /nfs/dbraw/zinc/66/64/08/385666408.db2.gz KURXZRBUTAVCDA-WOPDTQHZSA-N 0 3 223.364 2.895 20 0 BFADHN CC[C@H](F)CN[C@H]1CCCC[C@H]1OC(F)F ZINC000337436323 385660706 /nfs/dbraw/zinc/66/07/06/385660706.db2.gz WVZKUWHXMXVXAS-LPEHRKFASA-N 0 3 239.281 2.875 20 0 BFADHN CN(C/C=C/c1ccccc1)C[C@@H]1CCC[C@@H]1O ZINC000270041367 385661428 /nfs/dbraw/zinc/66/14/28/385661428.db2.gz DEVVLYWLFJJNIN-MYNUTGAYSA-N 0 3 245.366 2.793 20 0 BFADHN CCCn1cc(CN[C@@H]2CCC2(C)C)cn1 ZINC000336684551 385671707 /nfs/dbraw/zinc/67/17/07/385671707.db2.gz ZSJMXHNTALCJLS-GFCCVEGCSA-N 0 3 221.348 2.571 20 0 BFADHN CCCCCN[C@@H](COC)c1ccco1 ZINC000184515862 385671797 /nfs/dbraw/zinc/67/17/97/385671797.db2.gz ALZYXXSGWUTJGS-NSHDSACASA-N 0 3 211.305 2.747 20 0 BFADHN CN(Cc1ccncc1)C[C@]1(C)CCCS1 ZINC000647912550 385676122 /nfs/dbraw/zinc/67/61/22/385676122.db2.gz NFVMPWSFYZGWCZ-ZDUSSCGKSA-N 0 3 236.384 2.799 20 0 BFADHN CN(Cc1ccccn1)C[C@]1(C)CCCS1 ZINC000647912569 385676212 /nfs/dbraw/zinc/67/62/12/385676212.db2.gz NXBKHMUTBIPRLS-ZDUSSCGKSA-N 0 3 236.384 2.799 20 0 BFADHN C[C@@H](CCC1CC1)NCc1cscn1 ZINC000184568794 385678862 /nfs/dbraw/zinc/67/88/62/385678862.db2.gz CBRDDHZOXZELLG-VIFPVBQESA-N 0 3 210.346 2.811 20 0 BFADHN C[C@@H]1CN(Cc2cccc(N(C)C)c2)[C@@H]1C ZINC000645218988 385682264 /nfs/dbraw/zinc/68/22/64/385682264.db2.gz BKUOKSVQMMNOTM-VXGBXAGGSA-N 0 3 218.344 2.593 20 0 BFADHN COC1([C@@H](C)NCc2ccc(Cl)o2)CCC1 ZINC000414531746 385683145 /nfs/dbraw/zinc/68/31/45/385683145.db2.gz ZRLVIZALVFBQFP-SECBINFHSA-N 0 3 243.734 2.980 20 0 BFADHN CC[C@H]1CCCN(Cc2cnc(N)s2)CC1 ZINC000086766310 385684001 /nfs/dbraw/zinc/68/40/01/385684001.db2.gz WVDOWSQWUZHEJV-JTQLQIEISA-N 0 3 239.388 2.737 20 0 BFADHN Cc1ccc(CN[C@H](C)c2cn[nH]c2)cc1 ZINC000229883829 385686028 /nfs/dbraw/zinc/68/60/28/385686028.db2.gz MHHVDUQRVZBWKA-LLVKDONJSA-N 0 3 215.300 2.569 20 0 BFADHN Cc1oc2ccccc2c1CNCCN1CCC1 ZINC000340102838 385686543 /nfs/dbraw/zinc/68/65/43/385686543.db2.gz GGPPVSHCAXRIIR-UHFFFAOYSA-N 0 3 244.338 2.537 20 0 BFADHN CC[C@@H](CN[C@H](C)c1ccc(F)cc1)OC ZINC000290180107 385686972 /nfs/dbraw/zinc/68/69/72/385686972.db2.gz NJNCGZCYPDFORB-MFKMUULPSA-N 0 3 225.307 2.901 20 0 BFADHN CC(C)(C)[C@@H]1CCC[C@@H]1NCc1cocn1 ZINC000414530728 385687834 /nfs/dbraw/zinc/68/78/34/385687834.db2.gz FDIMZGZFHMPPHV-NEPJUHHUSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@@H]2C(C)C)n1 ZINC000211671033 385689754 /nfs/dbraw/zinc/68/97/54/385689754.db2.gz FSMBMCQBXNNNBV-CYBMUJFWSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@@H]2C(C)C)n1 ZINC000211671033 385689757 /nfs/dbraw/zinc/68/97/57/385689757.db2.gz FSMBMCQBXNNNBV-CYBMUJFWSA-N 0 3 234.343 2.716 20 0 BFADHN CCN(CCOC)Cc1ccc(C)cc1C ZINC000337506474 385690125 /nfs/dbraw/zinc/69/01/25/385690125.db2.gz QRZSUSVSTLIKCD-UHFFFAOYSA-N 0 3 221.344 2.772 20 0 BFADHN C[C@H](NC[C@@H]1CCCS1)c1ccncc1F ZINC000309557246 385693970 /nfs/dbraw/zinc/69/39/70/385693970.db2.gz KARIVMVYHBVNJH-UWVGGRQHSA-N 0 3 240.347 2.767 20 0 BFADHN Cc1ccsc1[C@H](C)N[C@H](CO)CC(F)F ZINC000309571077 385700498 /nfs/dbraw/zinc/70/04/98/385700498.db2.gz NQWJHOMMNIAODR-IUCAKERBSA-N 0 3 249.326 2.723 20 0 BFADHN COc1cc(C)nc(CN2CC[C@H](C)[C@H]2C)c1 ZINC000270365429 385701880 /nfs/dbraw/zinc/70/18/80/385701880.db2.gz YLSHKQUMYJZBMV-CMPLNLGQSA-N 0 3 234.343 2.629 20 0 BFADHN COc1cc(C)nc(CN(C)CC(C)(C)C)c1 ZINC000270348255 385701937 /nfs/dbraw/zinc/70/19/37/385701937.db2.gz VVGABKQZZYNRCX-UHFFFAOYSA-N 0 3 236.359 2.877 20 0 BFADHN C[C@H](N[C@@H]1CSC1(C)C)c1cccc(O)c1 ZINC000283492410 385702297 /nfs/dbraw/zinc/70/22/97/385702297.db2.gz YECHNMMJHDJAMP-JOYOIKCWSA-N 0 3 237.368 2.937 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1ccc(C)o1 ZINC000221784439 385704392 /nfs/dbraw/zinc/70/43/92/385704392.db2.gz UQZXLIYCNFYSEQ-VWYCJHECSA-N 0 3 211.305 2.662 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1cccc(O)c1 ZINC000336688361 385708535 /nfs/dbraw/zinc/70/85/35/385708535.db2.gz JRGBRHLGWKTCLE-TVYUQYBPSA-N 0 3 237.368 2.937 20 0 BFADHN COC[C@@H](Cc1ccccc1)NCC=C(C)C ZINC000188441836 385708591 /nfs/dbraw/zinc/70/85/91/385708591.db2.gz NQXZPDULLXQOSF-OAHLLOKOSA-N 0 3 233.355 2.800 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1ccc(F)cc1 ZINC000336735766 385718516 /nfs/dbraw/zinc/71/85/16/385718516.db2.gz OMYFSKMOHJDBJB-NWDGAFQWSA-N 0 3 225.332 2.809 20 0 BFADHN C[C@@H](NCc1ncnn1C(C)(C)C)C(C)(C)C ZINC000337561121 385710105 /nfs/dbraw/zinc/71/01/05/385710105.db2.gz VMHHJZBJQNIOOK-SNVBAGLBSA-N 0 3 238.379 2.557 20 0 BFADHN CCCC[C@H](NCc1ncc[nH]1)C1CCC1 ZINC000184876323 385714501 /nfs/dbraw/zinc/71/45/01/385714501.db2.gz JNJDBZXZESWXRB-LBPRGKRZSA-N 0 3 221.348 2.858 20 0 BFADHN CC[C@@H](NCCC(C)(C)C)c1ccn(C)n1 ZINC000336735810 385716446 /nfs/dbraw/zinc/71/64/46/385716446.db2.gz MDABUWNIHFNJQL-LLVKDONJSA-N 0 3 223.364 2.897 20 0 BFADHN Cc1nc(C)c(CNCCC2=CCCC2)o1 ZINC000647911750 385667317 /nfs/dbraw/zinc/66/73/17/385667317.db2.gz IWTPCHIBGXBUOQ-UHFFFAOYSA-N 0 3 220.316 2.881 20 0 BFADHN CCC[C@H](C)CN[C@@H](CCO)c1ccco1 ZINC000184500741 385668685 /nfs/dbraw/zinc/66/86/85/385668685.db2.gz GZFGUCQZJHQFJD-RYUDHWBXSA-N 0 3 225.332 2.729 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnc(C(C)C)nc1 ZINC000337458696 385668942 /nfs/dbraw/zinc/66/89/42/385668942.db2.gz JFUNYROEJYILAQ-LLVKDONJSA-N 0 3 221.348 2.830 20 0 BFADHN C[C@@H](NCC1(CO)CCC1)c1ccsc1 ZINC000184526461 385671502 /nfs/dbraw/zinc/67/15/02/385671502.db2.gz MCBKHIMRCMKAHE-SNVBAGLBSA-N 0 3 225.357 2.561 20 0 BFADHN CO[C@](C)(CN[C@H](C)c1cccnc1)C1CC1 ZINC000291805516 385728304 /nfs/dbraw/zinc/72/83/04/385728304.db2.gz HFNHGIIPUSWZMI-BXUZGUMPSA-N 0 3 234.343 2.547 20 0 BFADHN COCCCN[C@H](c1ncc(C)s1)C1CC1 ZINC000185274534 385728550 /nfs/dbraw/zinc/72/85/50/385728550.db2.gz YZSQAZIRCCKJAY-NSHDSACASA-N 0 3 240.372 2.529 20 0 BFADHN COCCCN[C@@H](c1ncc(C)s1)C1CC1 ZINC000185274521 385729285 /nfs/dbraw/zinc/72/92/85/385729285.db2.gz YZSQAZIRCCKJAY-LLVKDONJSA-N 0 3 240.372 2.529 20 0 BFADHN c1csc([C@H]2CCCN2CC2CC2)n1 ZINC000363840804 385731659 /nfs/dbraw/zinc/73/16/59/385731659.db2.gz HCKHHERIRGTRQP-SNVBAGLBSA-N 0 3 208.330 2.690 20 0 BFADHN C[C@H](O)CN1C[C@H](C)C[C@@H]1c1ccccc1F ZINC000282105126 385732424 /nfs/dbraw/zinc/73/24/24/385732424.db2.gz WLEGNYZXRGHZNK-UHIISALHSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@]1(O)CCCN(C/C=C/c2ccccc2)CC1 ZINC000230379880 385732693 /nfs/dbraw/zinc/73/26/93/385732693.db2.gz MFTMUGDEARENHW-DXWDOBMASA-N 0 3 245.366 2.937 20 0 BFADHN CO[C@@H]1CCN(Cc2ccsc2)[C@@H](C)C1 ZINC000282148782 385736241 /nfs/dbraw/zinc/73/62/41/385736241.db2.gz COFWERQUJVIMKD-CMPLNLGQSA-N 0 3 225.357 2.747 20 0 BFADHN Cc1ccsc1CN(C)C[C@H](O)C(C)C ZINC000336693347 385739728 /nfs/dbraw/zinc/73/97/28/385739728.db2.gz CUNDBMJXGRPIPE-NSHDSACASA-N 0 3 227.373 2.505 20 0 BFADHN CCCn1nc(C)c(CNC2CCC2)c1C ZINC000309681066 385786124 /nfs/dbraw/zinc/78/61/24/385786124.db2.gz ZKQTVTQXNABJHT-UHFFFAOYSA-N 0 3 221.348 2.552 20 0 BFADHN CO[C@H]1CCN(Cc2occc2C)[C@@H](C)C1 ZINC000282194180 385741833 /nfs/dbraw/zinc/74/18/33/385741833.db2.gz GMYYCUSURXDHAM-RYUDHWBXSA-N 0 3 223.316 2.587 20 0 BFADHN Cc1ccoc1CN(C)C[C@H](O)c1ccccc1 ZINC000292443549 385742530 /nfs/dbraw/zinc/74/25/30/385742530.db2.gz XFUZRPJWYGSYQZ-AWEZNQCLSA-N 0 3 245.322 2.753 20 0 BFADHN COCc1ccc(CN[C@H]2CC[C@H](C)C2)o1 ZINC000336694853 385743083 /nfs/dbraw/zinc/74/30/83/385743083.db2.gz LKEQSNWFRVPOFF-QWRGUYRKSA-N 0 3 223.316 2.704 20 0 BFADHN COC[C@H](N[C@H](C)c1cc(C)c(C)o1)C1CC1 ZINC000282217847 385743596 /nfs/dbraw/zinc/74/35/96/385743596.db2.gz OTYKTSVPFVLURH-MFKMUULPSA-N 0 3 237.343 2.972 20 0 BFADHN Cc1cnn(CCN[C@H](C)c2cc(C)oc2C)c1 ZINC000230636799 385744384 /nfs/dbraw/zinc/74/43/84/385744384.db2.gz VOJHZLLBZSBTKN-GFCCVEGCSA-N 0 3 247.342 2.752 20 0 BFADHN CCN(C)CCN[C@@H](C)c1cccc(F)c1F ZINC000183238403 385744512 /nfs/dbraw/zinc/74/45/12/385744512.db2.gz PBWXDSRNPPPHPG-JTQLQIEISA-N 0 3 242.313 2.567 20 0 BFADHN CCc1ccc(CN[C@H](C)c2cnn(C)c2)cc1 ZINC000230672045 385746734 /nfs/dbraw/zinc/74/67/34/385746734.db2.gz OURMHIKCLFNXRH-GFCCVEGCSA-N 0 3 243.354 2.833 20 0 BFADHN CC[C@H](N[C@@H]1CCC1(C)C)c1ccn(C)n1 ZINC000309624221 385749429 /nfs/dbraw/zinc/74/94/29/385749429.db2.gz FIVXBHGKXZHONT-CMPLNLGQSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@@H](NC[C@@H]1CCC[C@H]1O)c1ccccc1F ZINC000451252984 385753608 /nfs/dbraw/zinc/75/36/08/385753608.db2.gz IQWZXKLFRHIKBT-UHIISALHSA-N 0 3 237.318 2.637 20 0 BFADHN COc1cc(C)c(CN2CC[C@H](C)C2)cc1OC ZINC000337699991 385754398 /nfs/dbraw/zinc/75/43/98/385754398.db2.gz VVSOMKWGJNBNHH-NSHDSACASA-N 0 3 249.354 2.854 20 0 BFADHN COC(=O)[C@]1(C)CCCN1CC1CCCCC1 ZINC000186363937 385758158 /nfs/dbraw/zinc/75/81/58/385758158.db2.gz HNZAUTGGPYWSPZ-AWEZNQCLSA-N 0 3 239.359 2.594 20 0 BFADHN CCSCCN[C@H](c1cccnc1)C1CC1 ZINC000309631837 385760698 /nfs/dbraw/zinc/76/06/98/385760698.db2.gz IBABEMHFMPBZHR-ZDUSSCGKSA-N 0 3 236.384 2.875 20 0 BFADHN CCN(Cc1occc1C)CC1=CCCOC1 ZINC000414555864 385761891 /nfs/dbraw/zinc/76/18/91/385761891.db2.gz XRALRJNSPQOIAQ-UHFFFAOYSA-N 0 3 235.327 2.757 20 0 BFADHN CC(C)[C@@H](O)CN1CC=C(c2ccccc2)CC1 ZINC000366619342 385761930 /nfs/dbraw/zinc/76/19/30/385761930.db2.gz LDAYHLHFGTWFSE-INIZCTEOSA-N 0 3 245.366 2.793 20 0 BFADHN CC[C@H](NC1CC(OC)C1)c1nc(C)cs1 ZINC000336737354 385762104 /nfs/dbraw/zinc/76/21/04/385762104.db2.gz NJDBNJFZZJWZBC-ILDUYXDCSA-N 0 3 240.372 2.670 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccc(C)c(F)c1 ZINC000271108004 385787173 /nfs/dbraw/zinc/78/71/73/385787173.db2.gz BVGZCXIOAASLGB-LLVKDONJSA-N 0 3 225.307 2.601 20 0 BFADHN C[C@H](NCc1cccs1)c1cccnc1 ZINC000020127495 385770646 /nfs/dbraw/zinc/77/06/46/385770646.db2.gz JWDNVPHTFDQHDI-JTQLQIEISA-N 0 3 218.325 2.994 20 0 BFADHN CCCCN(C)Cc1cc(C(=O)OCC)co1 ZINC000353350541 385772046 /nfs/dbraw/zinc/77/20/46/385772046.db2.gz IDDSYEZKYJJAMH-UHFFFAOYSA-N 0 3 239.315 2.688 20 0 BFADHN CCc1ccc(CNCCSC(C)C)cn1 ZINC000309680551 385787580 /nfs/dbraw/zinc/78/75/80/385787580.db2.gz ZHGYEBLDWVTFBK-UHFFFAOYSA-N 0 3 238.400 2.875 20 0 BFADHN CC[C@H](N[C@@H]1C=C[C@H](CO)C1)c1ccccc1F ZINC000183582478 385774574 /nfs/dbraw/zinc/77/45/74/385774574.db2.gz HIOZGWJYXMCWAO-ZOWXZIJZSA-N 0 3 249.329 2.803 20 0 BFADHN C/C(=C\c1ccccc1)CN1CCC(O)CC1 ZINC000271044146 385774504 /nfs/dbraw/zinc/77/45/04/385774504.db2.gz QFMLEVJCCBSVLK-ACCUITESSA-N 0 3 231.339 2.547 20 0 BFADHN Cc1cc(CN(C)CC(C)(C)CO)ccc1F ZINC000258691753 385777045 /nfs/dbraw/zinc/77/70/45/385777045.db2.gz BOOBXWQZAHAUBS-UHFFFAOYSA-N 0 3 239.334 2.584 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1cncs1 ZINC000271063529 385783052 /nfs/dbraw/zinc/78/30/52/385783052.db2.gz IDBFITLNCWSZRE-NSHDSACASA-N 0 3 210.346 2.764 20 0 BFADHN CCN(CCc1cccs1)Cc1c[nH]nc1C ZINC000271085055 385785048 /nfs/dbraw/zinc/78/50/48/385785048.db2.gz BNSDCERUAPLVBZ-UHFFFAOYSA-N 0 3 249.383 2.844 20 0 BFADHN C[C@@H]1C[C@H]1NCc1ccc(Cl)c(F)c1 ZINC000052275542 385720236 /nfs/dbraw/zinc/72/02/36/385720236.db2.gz RHOXMEHWLAIGFE-RDDDGLTNSA-N 0 3 213.683 2.977 20 0 BFADHN C[C@@H](NC[C@H]1CCSC1)c1ccncc1F ZINC000309599642 385727227 /nfs/dbraw/zinc/72/72/27/385727227.db2.gz XHMACWFMOIAIJA-NXEZZACHSA-N 0 3 240.347 2.624 20 0 BFADHN CSc1ccc(CNC(C)(C)[C@H](C)O)s1 ZINC000336740247 385799368 /nfs/dbraw/zinc/79/93/68/385799368.db2.gz TZRDPWHVRSVXMJ-QMMMGPOBSA-N 0 3 245.413 2.719 20 0 BFADHN Cc1ccc(CN[C@H](CO)CC2CCCC2)o1 ZINC000337830532 385800534 /nfs/dbraw/zinc/80/05/34/385800534.db2.gz VPSDIEHGLMPWAX-ZDUSSCGKSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1ccoc1CN(C)CCCOC(C)C ZINC000271190682 385800915 /nfs/dbraw/zinc/80/09/15/385800915.db2.gz LONZBBYLXOGDNP-UHFFFAOYSA-N 0 3 225.332 2.835 20 0 BFADHN COC[C@H]1CCN(Cc2ccc(F)c(C)c2)C1 ZINC000271186650 385801514 /nfs/dbraw/zinc/80/15/14/385801514.db2.gz NYKXFGLHIHTGEW-ZDUSSCGKSA-N 0 3 237.318 2.602 20 0 BFADHN Cc1ccsc1CN1CCC[C@H]1CF ZINC000294093024 385801675 /nfs/dbraw/zinc/80/16/75/385801675.db2.gz RMNZDXAYMKRVKI-JTQLQIEISA-N 0 3 213.321 2.990 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccncc1)c1cc[nH]c(=O)c1 ZINC000340452704 385801873 /nfs/dbraw/zinc/80/18/73/385801873.db2.gz UELJNPIFCMMCPQ-WDEREUQCSA-N 0 3 243.310 2.594 20 0 BFADHN CSCCN(C)C[C@H](C)c1nccs1 ZINC000183922128 385802572 /nfs/dbraw/zinc/80/25/72/385802572.db2.gz SCVZUKLFKWLUTE-VIFPVBQESA-N 0 3 230.402 2.541 20 0 BFADHN CCC1(O)CCN(Cc2ccc(C)s2)CC1 ZINC000271220505 385805430 /nfs/dbraw/zinc/80/54/30/385805430.db2.gz YWDLXJCCDSUHDN-UHFFFAOYSA-N 0 3 239.384 2.793 20 0 BFADHN CC[C@H](NCc1ncc(C)n1C)C(C)(C)C ZINC000336725637 385856829 /nfs/dbraw/zinc/85/68/29/385856829.db2.gz FZJCXVKAUBQJFC-NSHDSACASA-N 0 3 223.364 2.643 20 0 BFADHN Cc1ccc(CNCCC2(O)CCC2)cc1F ZINC000283919266 385808806 /nfs/dbraw/zinc/80/88/06/385808806.db2.gz IRPMJIYPGKHTJZ-UHFFFAOYSA-N 0 3 237.318 2.529 20 0 BFADHN C[C@H](NC1CC(C(C)(C)C)C1)c1ccnn1C ZINC000340452162 385808904 /nfs/dbraw/zinc/80/89/04/385808904.db2.gz VNOKUBWMKCTRNJ-UNXYVOJBSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccsc1)c1ccccn1 ZINC000231634811 385809094 /nfs/dbraw/zinc/80/90/94/385809094.db2.gz KYGHVTOJPLMQNB-ZWNOBZJWSA-N 0 3 248.351 2.527 20 0 BFADHN Cc1ccc(F)c(CNCCC2(O)CCC2)c1 ZINC000283936216 385810380 /nfs/dbraw/zinc/81/03/80/385810380.db2.gz JMFLSPMRQBNUBY-UHFFFAOYSA-N 0 3 237.318 2.529 20 0 BFADHN CC[C@H](CNCc1ccc([C@@H]2C[C@@H]2C)o1)OC ZINC000336714650 385811637 /nfs/dbraw/zinc/81/16/37/385811637.db2.gz PFOORVJJHDFGHN-DMDPSCGWSA-N 0 3 237.343 2.918 20 0 BFADHN Cc1ccncc1CN[C@@H]1C[C@H]1c1ccco1 ZINC000414563463 385813336 /nfs/dbraw/zinc/81/33/36/385813336.db2.gz BUOZKAZPGBTDNU-CHWSQXEVSA-N 0 3 228.295 2.629 20 0 BFADHN CCN(Cc1cccc(Cl)c1)[C@H]1CCOC1 ZINC000271294981 385814907 /nfs/dbraw/zinc/81/49/07/385814907.db2.gz RAMLNBMTYMGSJW-ZDUSSCGKSA-N 0 3 239.746 2.951 20 0 BFADHN CC(C)COCCN1CCC[C@@H]1c1ccc[nH]1 ZINC000283432073 385818501 /nfs/dbraw/zinc/81/85/01/385818501.db2.gz WZYWCGLYVZGFFB-CQSZACIVSA-N 0 3 236.359 2.824 20 0 BFADHN CCC[C@H](C)N(C)Cc1ccc(OC)cn1 ZINC000271864755 385857594 /nfs/dbraw/zinc/85/75/94/385857594.db2.gz AZTPLNWQIVIOHH-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN C[C@@H](NC[C@H](C)C(F)(F)F)c1cccnc1 ZINC000336737783 385822779 /nfs/dbraw/zinc/82/27/79/385822779.db2.gz JRASZRVKMCKQBK-DTWKUNHWSA-N 0 3 232.249 2.931 20 0 BFADHN C[C@@H](NCCC1CC1)c1c(F)cncc1F ZINC000336737793 385823036 /nfs/dbraw/zinc/82/30/36/385823036.db2.gz JRQUFYYNPSERRP-MRVPVSSYSA-N 0 3 226.270 2.811 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@H]1CCCCO1 ZINC000271396410 385824008 /nfs/dbraw/zinc/82/40/08/385824008.db2.gz KVQOSZHFEPSURR-QWHCGFSZSA-N 0 3 234.343 2.610 20 0 BFADHN Cc1ccc(CN(C(C)C)[C@@H]2CCOC2)o1 ZINC000271440180 385828359 /nfs/dbraw/zinc/82/83/59/385828359.db2.gz RQOGJLMFGNRZOX-GFCCVEGCSA-N 0 3 223.316 2.587 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](C)Cn2cccn2)o1 ZINC000271435802 385828392 /nfs/dbraw/zinc/82/83/92/385828392.db2.gz FMDOFFUOVNYRGW-NWDGAFQWSA-N 0 3 247.342 2.778 20 0 BFADHN Cc1sccc1CN(C)C[C@H]1CCC[C@H]1O ZINC000294271483 385830889 /nfs/dbraw/zinc/83/08/89/385830889.db2.gz OKNBEMKCAHTHLK-CHWSQXEVSA-N 0 3 239.384 2.649 20 0 BFADHN Cc1sccc1CN(C)C[C@H]1CCC[C@@H]1O ZINC000294271487 385831477 /nfs/dbraw/zinc/83/14/77/385831477.db2.gz OKNBEMKCAHTHLK-OLZOCXBDSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000271488941 385832304 /nfs/dbraw/zinc/83/23/04/385832304.db2.gz OSMXRKDTEXJAES-HERUPUMHSA-N 0 3 235.302 2.580 20 0 BFADHN Cc1ccc2nc(CN[C@H]3CCC3(C)C)cn2c1 ZINC000284247144 385858096 /nfs/dbraw/zinc/85/80/96/385858096.db2.gz IIJUVKGPBNEYTQ-ZDUSSCGKSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCN1CCCCC1 ZINC000271548146 385835810 /nfs/dbraw/zinc/83/58/10/385835810.db2.gz ZZWJRUDEXCMOEA-CQSZACIVSA-N 0 3 247.386 2.527 20 0 BFADHN Cc1ccncc1[C@H](C)NCc1cccn1C ZINC000271577657 385838316 /nfs/dbraw/zinc/83/83/16/385838316.db2.gz XYEDCJKNROIPDF-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN CC(C)[C@@H](O)C1(CN[C@H](C)c2ccncc2)CC1 ZINC000271888268 385858391 /nfs/dbraw/zinc/85/83/91/385858391.db2.gz RFJFNQYAYFXBOP-TZMCWYRMSA-N 0 3 248.370 2.529 20 0 BFADHN CN(Cc1cccc(F)c1)C[C@@H](O)C(C)(C)C ZINC000284002303 385845784 /nfs/dbraw/zinc/84/57/84/385845784.db2.gz JVJCIWDTVKSHNK-CYBMUJFWSA-N 0 3 239.334 2.665 20 0 BFADHN CC[C@H](O)CNC(C)(C)c1ccc(F)c(F)c1 ZINC000271706478 385846169 /nfs/dbraw/zinc/84/61/69/385846169.db2.gz MRJHLQKEIGPOQL-JTQLQIEISA-N 0 3 243.297 2.560 20 0 BFADHN CCC[C@H](O)CN[C@H]1CCCc2c(O)cccc21 ZINC000284031434 385847135 /nfs/dbraw/zinc/84/71/35/385847135.db2.gz JISRUTAYOKTWTN-FZMZJTMJSA-N 0 3 249.354 2.520 20 0 BFADHN C[C@H](F)CCN[C@@H](C)c1nc2ccccc2n1C ZINC000340462028 385847724 /nfs/dbraw/zinc/84/77/24/385847724.db2.gz TYGOAYGJOISIQS-QWRGUYRKSA-N 0 3 249.333 2.972 20 0 BFADHN CCCCN(CCCO)[C@H](C)c1cccnc1 ZINC000271788707 385851424 /nfs/dbraw/zinc/85/14/24/385851424.db2.gz UJOUBKMANQGJNX-CYBMUJFWSA-N 0 3 236.359 2.627 20 0 BFADHN C[C@@H](CCO)C1(CNCc2ccco2)CCC1 ZINC000271831050 385852565 /nfs/dbraw/zinc/85/25/65/385852565.db2.gz OSVGEWWXHCXMRR-LBPRGKRZSA-N 0 3 237.343 2.558 20 0 BFADHN CN(CCCCCF)Cc1cccnc1 ZINC000284160002 385853269 /nfs/dbraw/zinc/85/32/69/385853269.db2.gz QCKSGLCFQNIOLJ-UHFFFAOYSA-N 0 3 210.296 2.653 20 0 BFADHN Cc1ccc(CN2CC[C@H](N3CCCCC3)C2)o1 ZINC000271152553 385793467 /nfs/dbraw/zinc/79/34/67/385793467.db2.gz FJFZMXDPEHYJPH-AWEZNQCLSA-N 0 3 248.370 2.648 20 0 BFADHN CO[C@@H]1[C@H](C)[C@@H](NCc2ncccc2C)C1(C)C ZINC000271865897 385856168 /nfs/dbraw/zinc/85/61/68/385856168.db2.gz LKCYYCQCRCUTKP-MRVWCRGKSA-N 0 3 248.370 2.539 20 0 BFADHN CCc1nn(C)cc1CN(C1CCC1)C1CCC1 ZINC000644843160 385884133 /nfs/dbraw/zinc/88/41/33/385884133.db2.gz QOYWVJJRSZEKDT-UHFFFAOYSA-N 0 3 247.386 2.890 20 0 BFADHN CC(C)C1(CNCc2ncc(Cl)n2C)CC1 ZINC000232691805 385885247 /nfs/dbraw/zinc/88/52/47/385885247.db2.gz AJPWZNUAMLSODW-UHFFFAOYSA-N 0 3 241.766 2.599 20 0 BFADHN CCC1(NCc2sccc2OC)CC1 ZINC000294729476 385889893 /nfs/dbraw/zinc/88/98/93/385889893.db2.gz GFSYEGPJWRJRPX-UHFFFAOYSA-N 0 3 211.330 2.789 20 0 BFADHN Cc1ccc(F)c(CNCCc2ccncc2)c1 ZINC000217862108 385891992 /nfs/dbraw/zinc/89/19/92/385891992.db2.gz GQBFJIPYSOVZNQ-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN Cc1ccoc1CN[C@@H]1C[C@H]1c1ccco1 ZINC000414596587 385897228 /nfs/dbraw/zinc/89/72/28/385897228.db2.gz VGBWPGZAJKASGM-GHMZBOCLSA-N 0 3 217.268 2.827 20 0 BFADHN CCN(CCOC)Cc1ccsc1C ZINC000294829114 385901100 /nfs/dbraw/zinc/90/11/00/385901100.db2.gz GYVHWOTUHAWOIR-UHFFFAOYSA-N 0 3 213.346 2.525 20 0 BFADHN CCC[C@H](O)CN(C)[C@H](C)c1cccc(O)c1 ZINC000294845904 385903793 /nfs/dbraw/zinc/90/37/93/385903793.db2.gz BOVPXGYKOLQDKS-RISCZKNCSA-N 0 3 237.343 2.546 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CC[C@H]2CC)o1 ZINC000336746013 385915765 /nfs/dbraw/zinc/91/57/65/385915765.db2.gz VYUGOBBZDGXELW-GHMZBOCLSA-N 0 3 222.332 2.824 20 0 BFADHN C[C@@H](NC[C@H](C)Oc1ccccc1)c1cn[nH]c1 ZINC000285384479 385919973 /nfs/dbraw/zinc/91/99/73/385919973.db2.gz WNOYGLGYPUWGLI-NWDGAFQWSA-N 0 3 245.326 2.528 20 0 BFADHN CC(=O)c1cccc(CN(C)[C@H]2CCSC2)c1 ZINC000294938597 385920579 /nfs/dbraw/zinc/92/05/79/385920579.db2.gz KZRQXHUGTVMJHO-AWEZNQCLSA-N 0 3 249.379 2.827 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1ccc2occc2c1 ZINC000285390532 385920906 /nfs/dbraw/zinc/92/09/06/385920906.db2.gz AJWQJZQZAZCOFY-GWCFXTLKSA-N 0 3 231.295 2.700 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1ccncc1Cl ZINC000335034691 385923968 /nfs/dbraw/zinc/92/39/68/385923968.db2.gz OGXHQBILOPNFQN-VHSXEESVSA-N 0 3 240.734 2.565 20 0 BFADHN FCC1CCN(Cc2ccsc2)CC1 ZINC000294951615 385924026 /nfs/dbraw/zinc/92/40/26/385924026.db2.gz DANITAUMUCPERS-UHFFFAOYSA-N 0 3 213.321 2.930 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cc(F)ccc1C ZINC000189099285 385925760 /nfs/dbraw/zinc/92/57/60/385925760.db2.gz FUQBLNSKPNGXDV-WDEREUQCSA-N 0 3 225.307 2.647 20 0 BFADHN CC[C@H]1CN(Cc2cccc(N)c2)CCS1 ZINC000160985374 385927612 /nfs/dbraw/zinc/92/76/12/385927612.db2.gz DNIUFOGSSSIDIW-ZDUSSCGKSA-N 0 3 236.384 2.596 20 0 BFADHN CCC[C@H]1CCCCN1C(=O)[C@@H](CC)N(C)C ZINC000413690715 385861906 /nfs/dbraw/zinc/86/19/06/385861906.db2.gz TYIYKPFWKVLBMJ-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN CCN(CC)Cc1cnc(C(C)(C)C)nc1 ZINC000284328641 385862410 /nfs/dbraw/zinc/86/24/10/385862410.db2.gz KOWMMUPDZBEYEP-UHFFFAOYSA-N 0 3 221.348 2.616 20 0 BFADHN COc1ccc(CN2C[C@H](C)CC[C@@H]2C)nc1 ZINC000271970261 385864618 /nfs/dbraw/zinc/86/46/18/385864618.db2.gz MULCWQRHIHQQOD-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CCSC(C)C ZINC000338254590 385927801 /nfs/dbraw/zinc/92/78/01/385927801.db2.gz XHPHDYBVEDQZTC-RYUDHWBXSA-N 0 3 231.405 2.627 20 0 BFADHN CC[C@H](c1ccccc1)N(CC)CC1OCCO1 ZINC000272021738 385867954 /nfs/dbraw/zinc/86/79/54/385867954.db2.gz DAKBFTFGNBXKBU-CQSZACIVSA-N 0 3 249.354 2.833 20 0 BFADHN Cc1sccc1CN(C)CCCCCO ZINC000294579416 385870729 /nfs/dbraw/zinc/87/07/29/385870729.db2.gz GUZDTLLMWGYOHB-UHFFFAOYSA-N 0 3 227.373 2.651 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CC[C@H]1C1CCC1 ZINC000338001463 385873223 /nfs/dbraw/zinc/87/32/23/385873223.db2.gz XRGHALVTLWICCY-GXTWGEPZSA-N 0 3 234.343 2.960 20 0 BFADHN CO[C@@H]1CCN(Cc2ccco2)CC1(C)C ZINC000294960485 385928106 /nfs/dbraw/zinc/92/81/06/385928106.db2.gz IGVWWBWBBKIJNQ-GFCCVEGCSA-N 0 3 223.316 2.527 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cc(Cl)ccc1F ZINC000189101012 385928212 /nfs/dbraw/zinc/92/82/12/385928212.db2.gz HYSIPVWXBSDILO-DTWKUNHWSA-N 0 3 245.725 2.992 20 0 BFADHN C[C@H](N[C@@H](CO)CC1CCCC1)c1ccncc1 ZINC000338007172 385877845 /nfs/dbraw/zinc/87/78/45/385877845.db2.gz KJNKNJXVLHNHAY-SWLSCSKDSA-N 0 3 248.370 2.673 20 0 BFADHN CCN(CCC1CC1)Cc1cc(OC)ccn1 ZINC000355061100 385883051 /nfs/dbraw/zinc/88/30/51/385883051.db2.gz IKDYIWXRLWDWCZ-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@@H](NC[C@@H]1CC[C@@H](C)C1)c1ccn(C)n1 ZINC000414124851 385975208 /nfs/dbraw/zinc/97/52/08/385975208.db2.gz CRJYVWASFTUMND-IJLUTSLNSA-N 0 3 221.348 2.507 20 0 BFADHN C[C@H](N[C@H]1COc2ccc(F)cc21)[C@H]1C[C@H]1C ZINC000414124926 385975441 /nfs/dbraw/zinc/97/54/41/385975441.db2.gz CKOBFXJRXPKEDI-KOVQTIFSSA-N 0 3 235.302 2.893 20 0 BFADHN CC[C@]1(C)CCN(Cc2cccnc2)C1 ZINC000335017239 385976458 /nfs/dbraw/zinc/97/64/58/385976458.db2.gz QYTBAACPQCHSQG-CYBMUJFWSA-N 0 3 204.317 2.704 20 0 BFADHN CCC(O)(CC)CN[C@@H](C)c1cc(C)ccn1 ZINC000285908262 385979148 /nfs/dbraw/zinc/97/91/48/385979148.db2.gz DBBFMFIFHNBZDF-LBPRGKRZSA-N 0 3 236.359 2.592 20 0 BFADHN COc1ccc(F)cc1CN[C@H](C)[C@@H]1C[C@H]1C ZINC000414131840 385979448 /nfs/dbraw/zinc/97/94/48/385979448.db2.gz LMCKYPGLOUBZHM-GIPNMCIBSA-N 0 3 237.318 2.968 20 0 BFADHN CC(C)(CCCO)CNCc1ccccc1F ZINC000221378542 385994931 /nfs/dbraw/zinc/99/49/31/385994931.db2.gz OQIIOPYXYVFIPW-UHFFFAOYSA-N 0 3 239.334 2.714 20 0 BFADHN C[C@@H](N[C@H](c1nccn1C)C1CC1)[C@H]1C[C@H]1C ZINC000414135726 385983270 /nfs/dbraw/zinc/98/32/70/385983270.db2.gz FDSSRNZFZRACEM-AAXDQBDMSA-N 0 3 233.359 2.505 20 0 BFADHN COc1cnccc1[C@H](C)N[C@H](C)[C@H]1C[C@@H]1C ZINC000414136460 385985315 /nfs/dbraw/zinc/98/53/15/385985315.db2.gz OVDBAJJROQSLNG-KQXIARHKSA-N 0 3 234.343 2.785 20 0 BFADHN c1cc(CN[C@H](Cc2ccccc2)C2CC2)on1 ZINC000273287119 385985745 /nfs/dbraw/zinc/98/57/45/385985745.db2.gz MAGBKKYPAXISCN-OAHLLOKOSA-N 0 3 242.322 2.786 20 0 BFADHN CC[C@H](N[C@H](c1nccn1C)C1CC1)[C@@H]1C[C@@H]1C ZINC000414137758 385986415 /nfs/dbraw/zinc/98/64/15/385986415.db2.gz GOHYTCONIQOVEF-GHYVTOPFSA-N 0 3 247.386 2.895 20 0 BFADHN C[C@H](NCCCC(C)(F)F)c1ccn(C)n1 ZINC000414138316 385986979 /nfs/dbraw/zinc/98/69/79/385986979.db2.gz KFULQNZQSJBORZ-VIFPVBQESA-N 0 3 231.290 2.506 20 0 BFADHN C[C@@H](CCCCO)NCc1ccc(Cl)o1 ZINC000336748693 385988004 /nfs/dbraw/zinc/98/80/04/385988004.db2.gz DNEOWTBESGRFKO-VIFPVBQESA-N 0 3 231.723 2.574 20 0 BFADHN C[C@@H](NCc1cnc(C2CC2)o1)[C@@H]1C[C@H]1C ZINC000414145361 385990995 /nfs/dbraw/zinc/99/09/95/385990995.db2.gz KDMGMDMIRTVISP-KBVBSXBZSA-N 0 3 220.316 2.686 20 0 BFADHN CC[C@H](F)CN[C@H]1C[C@H](OC)C1(CC)CC ZINC000336749293 385992314 /nfs/dbraw/zinc/99/23/14/385992314.db2.gz YRMDIYWWMPAKGF-SRVKXCTJSA-N 0 3 231.355 2.918 20 0 BFADHN CO[C@H](C)CN(C)Cc1cnc2ccccc2c1 ZINC000285473189 385931102 /nfs/dbraw/zinc/93/11/02/385931102.db2.gz BGQJXFRQWYOOLP-GFCCVEGCSA-N 0 3 244.338 2.702 20 0 BFADHN CC/C=C/CNCc1ccccc1OCCOC ZINC000294973663 385932126 /nfs/dbraw/zinc/93/21/26/385932126.db2.gz FYNDNOQCTZFUPN-QPJJXVBHSA-N 0 3 249.354 2.768 20 0 BFADHN CCC[C@H](C)N1CCOc2cc(O)ccc2C1 ZINC000285505293 385933469 /nfs/dbraw/zinc/93/34/69/385933469.db2.gz NAUCINYBUZDUBC-NSHDSACASA-N 0 3 235.327 2.775 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@@H]1C)c1ccn(C)n1 ZINC000414053430 385933882 /nfs/dbraw/zinc/93/38/82/385933882.db2.gz MDWQMXCREYZVRQ-ZDEQEGDKSA-N 0 3 235.375 2.895 20 0 BFADHN CCCCN1CCCC[C@H]1c1cc[nH]n1 ZINC000285509710 385934817 /nfs/dbraw/zinc/93/48/17/385934817.db2.gz YHHWAMWUTZIOPF-LBPRGKRZSA-N 0 3 207.321 2.737 20 0 BFADHN CCC[C@@H](CC)NCc1cnc(OC)s1 ZINC000309027926 385938515 /nfs/dbraw/zinc/93/85/15/385938515.db2.gz ZGAMBGDMLSQARZ-SECBINFHSA-N 0 3 228.361 2.820 20 0 BFADHN C[C@@H]1CN(CCCCCF)[C@@H](C)[C@@H](C)O1 ZINC000342177832 385939754 /nfs/dbraw/zinc/93/97/54/385939754.db2.gz WUSPMLWPRRWITH-GRYCIOLGSA-N 0 3 217.328 2.624 20 0 BFADHN CCC[C@H](CC)NCc1cnc(OC)s1 ZINC000309027928 385939690 /nfs/dbraw/zinc/93/96/90/385939690.db2.gz ZGAMBGDMLSQARZ-VIFPVBQESA-N 0 3 228.361 2.820 20 0 BFADHN CC/C=C/CNCc1ccc(N(C)C(C)C)nc1 ZINC000295007156 385940264 /nfs/dbraw/zinc/94/02/64/385940264.db2.gz LUUWSZWJUMJWED-VOTSOKGWSA-N 0 3 247.386 2.982 20 0 BFADHN CO[C@@H](C)CN1CCc2sccc2[C@@H]1C ZINC000272841511 385942284 /nfs/dbraw/zinc/94/22/84/385942284.db2.gz TXBWTKKTGMKUCX-UWVGGRQHSA-N 0 3 225.357 2.702 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@H]1C[C@@H]1C(F)F ZINC000342192201 385943248 /nfs/dbraw/zinc/94/32/48/385943248.db2.gz AQGRXTSUUDPNBE-QWRGUYRKSA-N 0 3 243.301 2.516 20 0 BFADHN COCc1cccc(CN[C@@H]2C[C@@H]2C(F)F)c1 ZINC000342184596 385943405 /nfs/dbraw/zinc/94/34/05/385943405.db2.gz CRDTUYGTCNRNSG-NWDGAFQWSA-N 0 3 241.281 2.576 20 0 BFADHN CC/C=C\CCN1CCOC(C)(C)[C@H]1C ZINC000342196158 385945074 /nfs/dbraw/zinc/94/50/74/385945074.db2.gz DTWUVPSUFQSQHU-ZHRWSRJISA-N 0 3 211.349 2.842 20 0 BFADHN CC(C)[C@@H](C)CN[C@H](C)c1cc2n(n1)CCC2 ZINC000414067795 385945175 /nfs/dbraw/zinc/94/51/75/385945175.db2.gz AQHUPPREXKMJAF-NWDGAFQWSA-N 0 3 235.375 2.772 20 0 BFADHN Cc1csc(CNCC2CC(F)(F)C2)n1 ZINC000291743783 385945394 /nfs/dbraw/zinc/94/53/94/385945394.db2.gz PEJNQIHRFFUGQB-UHFFFAOYSA-N 0 3 232.299 2.586 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CCO[C@H](C)C2)c1 ZINC000219891013 385947203 /nfs/dbraw/zinc/94/72/03/385947203.db2.gz JWLJFKIDMVBIHR-DGCLKSJQSA-N 0 3 237.318 2.791 20 0 BFADHN CCC1(NCc2ccc(C)o2)CCOCC1 ZINC000336742399 385947294 /nfs/dbraw/zinc/94/72/94/385947294.db2.gz RYQUABMEUCETEW-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN COc1nccnc1CN[C@@H](C)CCC=C(C)C ZINC000295039540 385951053 /nfs/dbraw/zinc/95/10/53/385951053.db2.gz MKSLUNCVGLARSI-LBPRGKRZSA-N 0 3 249.358 2.710 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C(C)(C)C2)nc1 ZINC000338304376 385953487 /nfs/dbraw/zinc/95/34/87/385953487.db2.gz OAHJUEKBEZPABB-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@H](NCc1ccc(F)cn1)[C@@H]1C[C@H]1C ZINC000414087685 385954992 /nfs/dbraw/zinc/95/49/92/385954992.db2.gz IIIZOBHLMFKBPY-WQAKAFBOSA-N 0 3 222.307 2.745 20 0 BFADHN CC(C)n1nccc1CNCCC1(F)CCC1 ZINC000342203159 385956804 /nfs/dbraw/zinc/95/68/04/385956804.db2.gz HQHICDBIJPPDDG-UHFFFAOYSA-N 0 3 239.338 2.836 20 0 BFADHN C[C@H](NC[C@@H]1CC1(C)C)c1cc2n(n1)CCC2 ZINC000414091923 385957887 /nfs/dbraw/zinc/95/78/87/385957887.db2.gz LILINXSYEWGVPV-QWRGUYRKSA-N 0 3 233.359 2.526 20 0 BFADHN CC[C@H]1CCCCCN1Cc1ccc(OC)nn1 ZINC000338323436 385958481 /nfs/dbraw/zinc/95/84/81/385958481.db2.gz ZITHWPPPNTVKTG-ZDUSSCGKSA-N 0 3 249.358 2.640 20 0 BFADHN CC(C)C1(CN[C@@H](C)c2ccn(C)n2)CC1 ZINC000414095952 385958969 /nfs/dbraw/zinc/95/89/69/385958969.db2.gz QASXMWOKMROADL-NSHDSACASA-N 0 3 221.348 2.507 20 0 BFADHN Cc1ccoc1CNc1cccc(N(C)C)n1 ZINC000273025638 385960827 /nfs/dbraw/zinc/96/08/27/385960827.db2.gz XJKJJINPVLNFAW-UHFFFAOYSA-N 0 3 231.299 2.661 20 0 BFADHN Cc1cncc(CN2CCSCC[C@H]2C)c1 ZINC000286093099 385994097 /nfs/dbraw/zinc/99/40/97/385994097.db2.gz KSHOBYBEEFCPBF-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1ccc(CN2CCOCC[C@@H]2C)cc1C ZINC000365222347 385962400 /nfs/dbraw/zinc/96/24/00/385962400.db2.gz KEAUCRWTGIBRDJ-AWEZNQCLSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C=C[C@@H](CO)C2)c(C)c1 ZINC000345048143 385963463 /nfs/dbraw/zinc/96/34/63/385963463.db2.gz AZKGMQGJGYZHKQ-ZNMIVQPWSA-N 0 3 245.366 2.891 20 0 BFADHN CO[C@@H](C)CN(C)[C@H]1CCc2ccc(F)cc21 ZINC000285770431 385963546 /nfs/dbraw/zinc/96/35/46/385963546.db2.gz SBGFEUJGFNJUCI-HZMBPMFUSA-N 0 3 237.318 2.780 20 0 BFADHN C[C@]1(NCc2ccccc2F)CCO[C@@H]1C1CC1 ZINC000273033604 385964807 /nfs/dbraw/zinc/96/48/07/385964807.db2.gz GTJRSXCGTJTNSL-CABCVRRESA-N 0 3 249.329 2.873 20 0 BFADHN CO[C@H]1CCN(Cc2c(C)cccc2Cl)C1 ZINC000295093720 385965157 /nfs/dbraw/zinc/96/51/57/385965157.db2.gz SMUKLDGHECPSEL-NSHDSACASA-N 0 3 239.746 2.869 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H](C)c1cc2n(n1)CCC2 ZINC000414104180 385967009 /nfs/dbraw/zinc/96/70/09/385967009.db2.gz OCOHHAASNOBQJN-NEPJUHHUSA-N 0 3 235.375 2.915 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@H](C)[C@H]2C[C@H]2C)[n-]1 ZINC000414107317 385969838 /nfs/dbraw/zinc/96/98/38/385969838.db2.gz RYBDVVJDUYZABG-ZNSHCXBVSA-N 0 3 236.363 2.623 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@H](C)[C@H]2C[C@H]2C)[nH]1 ZINC000414107317 385969846 /nfs/dbraw/zinc/96/98/46/385969846.db2.gz RYBDVVJDUYZABG-ZNSHCXBVSA-N 0 3 236.363 2.623 20 0 BFADHN CCc1ccc(CN2CC[C@](C)(COC)C2)o1 ZINC000295114711 385970324 /nfs/dbraw/zinc/97/03/24/385970324.db2.gz MGZMOSCGDQTOEW-AWEZNQCLSA-N 0 3 237.343 2.700 20 0 BFADHN CO[C@@H]1CCN(Cc2ncccc2C)CC1(C)C ZINC000295127966 385972721 /nfs/dbraw/zinc/97/27/21/385972721.db2.gz YDJWUWXAHVDJHA-CQSZACIVSA-N 0 3 248.370 2.637 20 0 BFADHN CC/C=C/CNCc1cnc2ccc(C)cn12 ZINC000295125257 385973302 /nfs/dbraw/zinc/97/33/02/385973302.db2.gz XEQBWURBYIKSLK-SNAWJCMRSA-N 0 3 229.327 2.699 20 0 BFADHN COC[C@@]1(C)CCN(Cc2ccc(F)cc2)C1 ZINC000295124872 385973414 /nfs/dbraw/zinc/97/34/14/385973414.db2.gz NUIBYVHSDVPIKG-AWEZNQCLSA-N 0 3 237.318 2.684 20 0 BFADHN COCC[C@H](NCc1cc(C)no1)C(C)(C)C ZINC000338348066 385973972 /nfs/dbraw/zinc/97/39/72/385973972.db2.gz COCLFJHXTUSLOM-LBPRGKRZSA-N 0 3 240.347 2.524 20 0 BFADHN Cc1ccc(CN[C@@]2(C)CCO[C@@H]2C2CC2)o1 ZINC000273157171 385975117 /nfs/dbraw/zinc/97/51/17/385975117.db2.gz SLLMRVKRLYARSC-KGLIPLIRSA-N 0 3 235.327 2.635 20 0 BFADHN CCc1cccc(Cl)c1CN[C@H](C)CCO ZINC000336721887 385998937 /nfs/dbraw/zinc/99/89/37/385998937.db2.gz AGOIOHIJDLOTKF-SNVBAGLBSA-N 0 3 241.762 2.763 20 0 BFADHN CC[C@H](N[C@H](C)c1ccon1)[C@@H]1C[C@@H]1C ZINC000414150482 386000515 /nfs/dbraw/zinc/00/05/15/386000515.db2.gz YFWOBVVWMMZMHD-ZDCRXTMVSA-N 0 3 208.305 2.760 20 0 BFADHN CC(C)=CCNC/C=C/c1ccncc1 ZINC000336750039 386000663 /nfs/dbraw/zinc/00/06/63/386000663.db2.gz FLWZLSXUOXTMBM-ONEGZZNKSA-N 0 3 202.301 2.651 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C=C[C@H](CO)C2)cc1 ZINC000345066329 386000827 /nfs/dbraw/zinc/00/08/27/386000827.db2.gz WOPZZJKCOZKTAN-YDHLFZDLSA-N 0 3 231.339 2.583 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H](C)n2ccnc21)[C@@H]1C[C@@H]1C ZINC000414153000 386001831 /nfs/dbraw/zinc/00/18/31/386001831.db2.gz RNRXNRBQHYGGQL-IEECTRCBSA-N 0 3 233.359 2.913 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@@H](C)c1ccn(C)n1 ZINC000414155732 386003509 /nfs/dbraw/zinc/00/35/09/386003509.db2.gz VJQQKYNXGSHJGS-YNEHKIRRSA-N 0 3 235.375 2.897 20 0 BFADHN COc1ncc(CN[C@H]2CCC[C@H](C)C2)s1 ZINC000309308767 386003675 /nfs/dbraw/zinc/00/36/75/386003675.db2.gz DSSPCANYCUBQGV-UWVGGRQHSA-N 0 3 240.372 2.820 20 0 BFADHN C[C@@H](CCC1CC1)N[C@@H](C)c1nccn1C ZINC000336750352 386003860 /nfs/dbraw/zinc/00/38/60/386003860.db2.gz USIMLXGORLTYAX-QWRGUYRKSA-N 0 3 221.348 2.649 20 0 BFADHN CC(C)(C)[C@@H]1CCN(Cc2ccco2)C[C@H]1O ZINC000273454413 386003829 /nfs/dbraw/zinc/00/38/29/386003829.db2.gz ZOZFUEZEHFDSJD-CHWSQXEVSA-N 0 3 237.343 2.509 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cnc(C)nc2)C1 ZINC000335072381 386003922 /nfs/dbraw/zinc/00/39/22/386003922.db2.gz ZCKUJUCUKSGTAN-CQSZACIVSA-N 0 3 233.359 2.797 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccsc2C)C1 ZINC000295291650 386008913 /nfs/dbraw/zinc/00/89/13/386008913.db2.gz JFCNBINTQHJUPW-GFCCVEGCSA-N 0 3 225.357 2.667 20 0 BFADHN C[C@@H](NCC(C)(C)CCCO)c1cscn1 ZINC000221609769 386010010 /nfs/dbraw/zinc/01/00/10/386010010.db2.gz NTBYUDOVUQPZDL-SNVBAGLBSA-N 0 3 242.388 2.592 20 0 BFADHN COc1ncc(CNCCC2CCCC2)s1 ZINC000309434242 386010244 /nfs/dbraw/zinc/01/02/44/386010244.db2.gz YEAAUVXLQGKQQX-UHFFFAOYSA-N 0 3 240.372 2.822 20 0 BFADHN C[C@@H](NC1(C2CCC2)CCC1)c1ccncn1 ZINC000414169701 386015691 /nfs/dbraw/zinc/01/56/91/386015691.db2.gz BKYFLGWHUSZKNY-LLVKDONJSA-N 0 3 231.343 2.850 20 0 BFADHN CCC1(NCc2ncc(C)s2)CCC1 ZINC000295604170 386016226 /nfs/dbraw/zinc/01/62/26/386016226.db2.gz FVXXFUSRTUXQKJ-UHFFFAOYSA-N 0 3 210.346 2.874 20 0 BFADHN CC[C@H](N[C@@H]1C[C@@H](C)O[C@H]1C)c1ccncc1 ZINC000295364067 386020079 /nfs/dbraw/zinc/02/00/79/386020079.db2.gz JMPFCLPOFVDBNG-UVLXDEKHSA-N 0 3 234.343 2.688 20 0 BFADHN Cc1ccnc([C@@H](C)NCC[C@@H]2CCCCO2)c1 ZINC000286290895 386021390 /nfs/dbraw/zinc/02/13/90/386021390.db2.gz WNYQQHNXTNFKPC-KGLIPLIRSA-N 0 3 248.370 3.000 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H]1C1CC1)c1cnccn1 ZINC000414181048 386023494 /nfs/dbraw/zinc/02/34/94/386023494.db2.gz BSDAKTMCTRNPFP-IACUBPJLSA-N 0 3 245.370 2.954 20 0 BFADHN CC(C)c1cccc(N(C)C(=O)C2(N)CCC2)c1 ZINC000295409713 386026864 /nfs/dbraw/zinc/02/68/64/386026864.db2.gz MWIORKMBGNLVNA-UHFFFAOYSA-N 0 3 246.354 2.654 20 0 BFADHN C[C@H](NCC(C)(C)OCC1CC1)c1ccccn1 ZINC000414250464 386057869 /nfs/dbraw/zinc/05/78/69/386057869.db2.gz BDNWIZZQBQYJEG-LBPRGKRZSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H](c1nccn1C)C1CC1 ZINC000414194533 386032723 /nfs/dbraw/zinc/03/27/23/386032723.db2.gz WHVQCUKAHQBUOS-MGPQQGTHSA-N 0 3 247.386 2.895 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@@H]2CCF)o1 ZINC000414195067 386033523 /nfs/dbraw/zinc/03/35/23/386033523.db2.gz XGGNJVLCLNMSSU-ZYHUDNBSSA-N 0 3 226.295 2.601 20 0 BFADHN C[C@H]1Oc2ccccc2[C@@H]1NC1CCSCC1 ZINC000334428460 386035649 /nfs/dbraw/zinc/03/56/49/386035649.db2.gz SKUMESAMJWZUPR-QMTHXVAHSA-N 0 3 249.379 2.994 20 0 BFADHN Cc1ccc(CNCc2cccc(CO)c2)s1 ZINC000222116942 386037512 /nfs/dbraw/zinc/03/75/12/386037512.db2.gz VUQMKVWSHBAASW-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN C[C@H](N[C@@H](C)C1CC1)c1ccncc1F ZINC000336771463 386041710 /nfs/dbraw/zinc/04/17/10/386041710.db2.gz XLTOVGUVHQTISV-IUCAKERBSA-N 0 3 208.280 2.670 20 0 BFADHN CO[C@@H](CN1CC[C@@H]1c1ccccc1)C1CC1 ZINC000425424123 386042828 /nfs/dbraw/zinc/04/28/28/386042828.db2.gz OQULRFFVHHSWLK-CABCVRRESA-N 0 3 231.339 2.858 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H](C)Cn1cccn1 ZINC000129025749 386043045 /nfs/dbraw/zinc/04/30/45/386043045.db2.gz BEGJGTFOIZHCRO-LBPRGKRZSA-N 0 3 247.317 2.509 20 0 BFADHN CO[C@@H](CN1CCc2ccc(F)cc2C1)C1CC1 ZINC000425423087 386044706 /nfs/dbraw/zinc/04/47/06/386044706.db2.gz YORRGCVQNNXNFY-HNNXBMFYSA-N 0 3 249.329 2.609 20 0 BFADHN CO[C@H](CN1CC[C@@H]1c1ccccc1)C1CC1 ZINC000425424125 386044981 /nfs/dbraw/zinc/04/49/81/386044981.db2.gz OQULRFFVHHSWLK-HUUCEWRRSA-N 0 3 231.339 2.858 20 0 BFADHN C[C@H](N[C@@H](C)c1ccccc1)c1cn[nH]c1 ZINC000222478131 386045815 /nfs/dbraw/zinc/04/58/15/386045815.db2.gz YRSLNJJFVBCROY-QWRGUYRKSA-N 0 3 215.300 2.822 20 0 BFADHN CCn1cc([C@@H](C)N[C@@H]2C[C@H]2CC(C)C)cn1 ZINC000414165866 386047931 /nfs/dbraw/zinc/04/79/31/386047931.db2.gz CXQCQSCIQYFMNZ-YRGRVCCFSA-N 0 3 235.375 2.988 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CS[C@H](C)C2)c1 ZINC000414240319 386053243 /nfs/dbraw/zinc/05/32/43/386053243.db2.gz ZTDOQXABRLGWJB-WOPDTQHZSA-N 0 3 236.384 2.935 20 0 BFADHN CCCCCN(CCOC)Cc1ccccn1 ZINC000287207226 386103019 /nfs/dbraw/zinc/10/30/19/386103019.db2.gz DTCVIQMELFJORM-UHFFFAOYSA-N 0 3 236.359 2.720 20 0 BFADHN COc1ccncc1CN(C)CC1CCCC1 ZINC000287300655 386112400 /nfs/dbraw/zinc/11/24/00/386112400.db2.gz MOQJJTQXFKLMLP-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CC(C)N(Cc1cn(C)nc1C(F)F)C1CC1 ZINC000274501019 386112583 /nfs/dbraw/zinc/11/25/83/386112583.db2.gz RRAQMOARYUANAF-UHFFFAOYSA-N 0 3 243.301 2.731 20 0 BFADHN Cc1c2ccccc2oc1[C@H](C)N[C@H]1C[C@@H](O)C1 ZINC000295962582 386114501 /nfs/dbraw/zinc/11/45/01/386114501.db2.gz NBRFHRUFAULGIO-SDDRHHMPSA-N 0 3 245.322 2.915 20 0 BFADHN CCn1ccc(CN[C@H](C)CCC2CC2)n1 ZINC000414207531 386061603 /nfs/dbraw/zinc/06/16/03/386061603.db2.gz KHBOHAMLILWKFU-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccc(CN[C@H](C2CC2)C2CCCC2)n1 ZINC000414207419 386062079 /nfs/dbraw/zinc/06/20/79/386062079.db2.gz GVUUQYHICWJPHA-HNNXBMFYSA-N 0 3 247.386 2.961 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@H]2C2CC2)n1 ZINC000414207038 386062621 /nfs/dbraw/zinc/06/26/21/386062621.db2.gz JIBQWXPGQQOMCS-UONOGXRCSA-N 0 3 233.359 2.571 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cccc2nccn21 ZINC000334443105 386063143 /nfs/dbraw/zinc/06/31/43/386063143.db2.gz ATVHDRAECKSUMP-ZDUSSCGKSA-N 0 3 229.327 2.565 20 0 BFADHN COCCOc1ccccc1CNC1CC=CC1 ZINC000643291056 386063480 /nfs/dbraw/zinc/06/34/80/386063480.db2.gz YENAVZYXYYUCAG-UHFFFAOYSA-N 0 3 247.338 2.520 20 0 BFADHN CCn1ccc(CN[C@H]2CCCC2(C)C)n1 ZINC000414210846 386065248 /nfs/dbraw/zinc/06/52/48/386065248.db2.gz PEOYOFGZWGXJDW-LBPRGKRZSA-N 0 3 221.348 2.571 20 0 BFADHN COCC[C@H](C)CN[C@H](C)c1ccco1 ZINC000414210140 386066030 /nfs/dbraw/zinc/06/60/30/386066030.db2.gz TZHUVIULMIDRGA-WDEREUQCSA-N 0 3 211.305 2.603 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2nc3cccnc3s2)C1 ZINC000335072898 386066478 /nfs/dbraw/zinc/06/64/78/386066478.db2.gz KHKDSPHNCODEHM-ZJUUUORDSA-N 0 3 247.367 2.922 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2nc3cccnc3s2)C1 ZINC000335072896 386066580 /nfs/dbraw/zinc/06/65/80/386066580.db2.gz KHKDSPHNCODEHM-UWVGGRQHSA-N 0 3 247.367 2.922 20 0 BFADHN CC[C@@H](NCc1ccn(CC)n1)[C@H]1CC1(C)C ZINC000414216329 386070535 /nfs/dbraw/zinc/07/05/35/386070535.db2.gz ZOZNACWFKVSIBW-CHWSQXEVSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccc(CN[C@H](C)CCC(C)C)n1 ZINC000414215453 386071323 /nfs/dbraw/zinc/07/13/23/386071323.db2.gz XXYJDTKLZSMTNL-GFCCVEGCSA-N 0 3 223.364 2.817 20 0 BFADHN CCCCOCCN1CCS[C@H](C)[C@H]1C ZINC000345225529 386073974 /nfs/dbraw/zinc/07/39/74/386073974.db2.gz YCMXCMLUBNJFSS-VXGBXAGGSA-N 0 3 231.405 2.629 20 0 BFADHN CO[C@@](C)([C@H](C)N[C@@H](C)c1cccnc1)C1CC1 ZINC000414225328 386075248 /nfs/dbraw/zinc/07/52/48/386075248.db2.gz BIWTXIGUHVZOEZ-HUBLWGQQSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1cnccc1C(C)(C)C ZINC000414225232 386075826 /nfs/dbraw/zinc/07/58/26/386075826.db2.gz INTSKCWRRKXUNM-BXUZGUMPSA-N 0 3 248.370 2.646 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CCO[C@@H](C)C2)s1 ZINC000223145304 386077536 /nfs/dbraw/zinc/07/75/36/386077536.db2.gz GASOJAFIOQYJMG-INTQDDNPSA-N 0 3 240.372 2.670 20 0 BFADHN C[C@H]1c2ccsc2CCN1C[C@H]1CCCO1 ZINC000274154069 386077656 /nfs/dbraw/zinc/07/76/56/386077656.db2.gz DGLRZNZEKMJBHH-WDEREUQCSA-N 0 3 237.368 2.846 20 0 BFADHN CCc1ncc(CNCCCC(C)C)cn1 ZINC000414265820 386084040 /nfs/dbraw/zinc/08/40/40/386084040.db2.gz LVYAOUAVRKQRDD-UHFFFAOYSA-N 0 3 221.348 2.565 20 0 BFADHN c1ccc2c(c1)CC[C@H]2NCCOCC1CC1 ZINC000223380135 386085269 /nfs/dbraw/zinc/08/52/69/386085269.db2.gz GTSOIWVRHKRZPZ-OAHLLOKOSA-N 0 3 231.339 2.690 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cncc(C)c1)C(C)C ZINC000274589088 386123126 /nfs/dbraw/zinc/12/31/26/386123126.db2.gz JTLYXGRTLZRVHE-JSGCOSHPSA-N 0 3 236.359 2.712 20 0 BFADHN CCC1(N[C@H](C)c2cc(C)ccn2)CC1 ZINC000295839919 386092589 /nfs/dbraw/zinc/09/25/89/386092589.db2.gz AKYJJEVILKBBSN-LLVKDONJSA-N 0 3 204.317 2.983 20 0 BFADHN CC[C@H](N[C@H]1C[C@@H](O)C1)c1ccc(F)cc1F ZINC000295831764 386093378 /nfs/dbraw/zinc/09/33/78/386093378.db2.gz CQDUBWNGLYCXPG-CWSCBRNRSA-N 0 3 241.281 2.529 20 0 BFADHN CSc1ccc([C@H](C)N[C@H]2C[C@@H](O)C2)cc1 ZINC000295858301 386095705 /nfs/dbraw/zinc/09/57/05/386095705.db2.gz FHKJOUUFROYKAZ-ZMLRMANQSA-N 0 3 237.368 2.582 20 0 BFADHN Cc1occc1CNCCN1CCCC[C@H]1C ZINC000414286307 386096255 /nfs/dbraw/zinc/09/62/55/386096255.db2.gz MZCAEIZYUUWKOZ-GFCCVEGCSA-N 0 3 236.359 2.552 20 0 BFADHN C[C@H](NCC(C)(C)C)c1ccncc1F ZINC000336773072 386096458 /nfs/dbraw/zinc/09/64/58/386096458.db2.gz YQCHGCIWAGHTST-VIFPVBQESA-N 0 3 210.296 2.917 20 0 BFADHN CSc1ccc([C@@H](C)N[C@H]2C[C@@H](O)C2)cc1 ZINC000295858299 386096417 /nfs/dbraw/zinc/09/64/17/386096417.db2.gz FHKJOUUFROYKAZ-JLLWLGSASA-N 0 3 237.368 2.582 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](O)C1)c1ccccc1Cl ZINC000295864397 386098630 /nfs/dbraw/zinc/09/86/30/386098630.db2.gz FSVWIDZEDSHDEP-BBBLOLIVSA-N 0 3 225.719 2.514 20 0 BFADHN CO[C@H](CN[C@H](c1cccnc1)C1CC1)C1CC1 ZINC000425451733 386099817 /nfs/dbraw/zinc/09/98/17/386099817.db2.gz BXJKUYSVZSFAOR-CABCVRRESA-N 0 3 246.354 2.547 20 0 BFADHN CO[C@@H](CN[C@H](c1cccnc1)C1CC1)C1CC1 ZINC000425451734 386100257 /nfs/dbraw/zinc/10/02/57/386100257.db2.gz BXJKUYSVZSFAOR-GJZGRUSLSA-N 0 3 246.354 2.547 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@@H]3CCC[C@@H]3C2)nn1 ZINC000414358184 386145101 /nfs/dbraw/zinc/14/51/01/386145101.db2.gz LHDNGOZJFUWSFX-BFHYXJOUSA-N 0 3 245.370 2.843 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@@H]3CCC[C@H]3C2)nn1 ZINC000414358187 386145304 /nfs/dbraw/zinc/14/53/04/386145304.db2.gz LHDNGOZJFUWSFX-MELADBBJSA-N 0 3 245.370 2.843 20 0 BFADHN Cc1nocc1CN1CCC[C@H]2CCC[C@H]21 ZINC000334473350 386146098 /nfs/dbraw/zinc/14/60/98/386146098.db2.gz JBGKUSVEZAEVFU-DGCLKSJQSA-N 0 3 220.316 2.748 20 0 BFADHN CCCc1cccc(CNCc2c[nH]nc2C)c1 ZINC000274814295 386152076 /nfs/dbraw/zinc/15/20/76/386152076.db2.gz QYRRVILDYYRXRO-UHFFFAOYSA-N 0 3 243.354 2.960 20 0 BFADHN Cc1occc1CNC[C@@]1(C)CCCC[C@@H]1O ZINC000414366112 386152500 /nfs/dbraw/zinc/15/25/00/386152500.db2.gz POYSYGHPWLRWRD-UONOGXRCSA-N 0 3 237.343 2.619 20 0 BFADHN CC[C@H](CSC)N[C@H](C)c1nccn1CC ZINC000296242393 386153384 /nfs/dbraw/zinc/15/33/84/386153384.db2.gz AXDYAZWVTHSCRJ-GHMZBOCLSA-N 0 3 241.404 2.695 20 0 BFADHN CCCCN(Cc1ccc(C)nn1)C1CC1 ZINC000414369963 386154909 /nfs/dbraw/zinc/15/49/09/386154909.db2.gz KQGBADIQBCTSJB-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN C[C@H](C[C@H](C)O)NCc1ccc(Cl)c(F)c1 ZINC000164606014 386156734 /nfs/dbraw/zinc/15/67/34/386156734.db2.gz LOEZKMCKSAJQJQ-BDAKNGLRSA-N 0 3 245.725 2.728 20 0 BFADHN Cc1occc1CNCCc1ccncc1C ZINC000414370138 386157067 /nfs/dbraw/zinc/15/70/67/386157067.db2.gz WCHUVQFEDGNSJM-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CCOc1cccc(CN2CC[C@H](C3CC3)C2)n1 ZINC000354078146 386157749 /nfs/dbraw/zinc/15/77/49/386157749.db2.gz NYJHGUQQBXJQHM-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]2CC(C)C)nn1 ZINC000414370410 386158089 /nfs/dbraw/zinc/15/80/89/386158089.db2.gz LICBQFBTYJKUJB-CQSZACIVSA-N 0 3 233.359 2.796 20 0 BFADHN CCN(C(=O)Nc1ccccc1CNC)C(C)C ZINC000415913818 386162705 /nfs/dbraw/zinc/16/27/05/386162705.db2.gz RRAHICUGRCPTFU-UHFFFAOYSA-N 0 3 249.358 2.668 20 0 BFADHN CCCN(CC)C(=O)Nc1cccc(CNC)c1 ZINC000415919395 386164213 /nfs/dbraw/zinc/16/42/13/386164213.db2.gz NAMDRYZJJVWFAG-UHFFFAOYSA-N 0 3 249.358 2.670 20 0 BFADHN COC(=O)CN(C[C@@H]1CCCC[C@H]1C)C(C)C ZINC000346140205 386166608 /nfs/dbraw/zinc/16/66/08/386166608.db2.gz XGMAMMDDZCZANO-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN CCc1ncc(CN2CCC[C@H]2C2CCC2)cn1 ZINC000414373608 386177909 /nfs/dbraw/zinc/17/79/09/386177909.db2.gz MXJATLLSUCRXTF-AWEZNQCLSA-N 0 3 245.370 2.804 20 0 BFADHN CCCN(Cc1ccc(C)nn1)CC(C)C ZINC000414373415 386178267 /nfs/dbraw/zinc/17/82/67/386178267.db2.gz RZSGECMYXFTVSV-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN COC(=O)c1coc(CN(C)CC(C)(C)C)c1 ZINC000263824607 386179257 /nfs/dbraw/zinc/17/92/57/386179257.db2.gz ZCIWRDZWEKMOAJ-UHFFFAOYSA-N 0 3 239.315 2.544 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000296514429 386179577 /nfs/dbraw/zinc/17/95/77/386179577.db2.gz PQBFLISSZFTIGI-NRWUCQMLSA-N 0 3 249.354 2.912 20 0 BFADHN CC/C=C\CCN1CC[C@@H](c2nc(C)no2)C1 ZINC000346233776 386179744 /nfs/dbraw/zinc/17/97/44/386179744.db2.gz BXXUFXDIOQYKIL-QMAVJUDZSA-N 0 3 235.331 2.524 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H](C)CC(C)(C)O ZINC000296567164 386184004 /nfs/dbraw/zinc/18/40/04/386184004.db2.gz SRCQIWCLJVGGSD-VXGBXAGGSA-N 0 3 236.359 2.590 20 0 BFADHN CN(Cc1cncc(F)c1)CC1CCCC1 ZINC000275142221 386185220 /nfs/dbraw/zinc/18/52/20/386185220.db2.gz PEOOJSYROJELQA-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN CN(Cc1cncc(F)c1)C1CCCC1 ZINC000275141092 386185722 /nfs/dbraw/zinc/18/57/22/386185722.db2.gz OSXATCIDXLRSEM-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cncc(F)c1 ZINC000275139948 386186210 /nfs/dbraw/zinc/18/62/10/386186210.db2.gz OKHJTUALZFHWPJ-JTQLQIEISA-N 0 3 210.296 2.697 20 0 BFADHN COC[C@H](CC(C)C)N[C@H]1CCC[C@@H]1F ZINC000340522362 386124456 /nfs/dbraw/zinc/12/44/56/386124456.db2.gz HZWIKZTUOWGZKK-SRVKXCTJSA-N 0 3 217.328 2.528 20 0 BFADHN CCn1cc([C@@H](C)N[C@@H]2C[C@H]2c2ccco2)cn1 ZINC000414339307 386129715 /nfs/dbraw/zinc/12/97/15/386129715.db2.gz MKXPKCXWTZTRMY-RAIGVLPGSA-N 0 3 245.326 2.703 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H]2N[C@@H]1CCCOC1 ZINC000129974899 386132640 /nfs/dbraw/zinc/13/26/40/386132640.db2.gz CSFLBRVXSGPZPN-OCCSQVGLSA-N 0 3 235.302 2.582 20 0 BFADHN CO[C@H](C)CNc1cc(C)nc2ccccc21 ZINC000224336917 386135662 /nfs/dbraw/zinc/13/56/62/386135662.db2.gz AIMVOAGEJRVPPV-LLVKDONJSA-N 0 3 230.311 2.990 20 0 BFADHN C[C@@H]1CCC[C@@H](C)C1N[C@H]1CCn2ccnc21 ZINC000453082832 386138134 /nfs/dbraw/zinc/13/81/34/386138134.db2.gz RHRDCOJYGBARFR-UTUOFQBUSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1CCC[C@@H](C)C1N[C@@H]1CCn2ccnc21 ZINC000453082827 386138207 /nfs/dbraw/zinc/13/82/07/386138207.db2.gz RHRDCOJYGBARFR-IJLUTSLNSA-N 0 3 233.359 2.742 20 0 BFADHN CCCc1ccc(CN(C)CC2(CO)CC2)cc1 ZINC000190009520 386140621 /nfs/dbraw/zinc/14/06/21/386140621.db2.gz BTHRBDSTSMMPDR-UHFFFAOYSA-N 0 3 247.382 2.843 20 0 BFADHN Cc1cc(CNC2C[C@H](C)C[C@@H](C)C2)nn1C ZINC000414356522 386142572 /nfs/dbraw/zinc/14/25/72/386142572.db2.gz FAIQIICUUKFLII-GHMZBOCLSA-N 0 3 235.375 2.643 20 0 BFADHN CN(CCOC1CCC1)CCc1cccs1 ZINC000287695267 386142684 /nfs/dbraw/zinc/14/26/84/386142684.db2.gz IYBLWBSCSZFLAP-UHFFFAOYSA-N 0 3 239.384 2.792 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN2CC[C@H](O)[C@H](C)C2)o1 ZINC000190050561 386143896 /nfs/dbraw/zinc/14/38/96/386143896.db2.gz FEYTWYNAKMSVRB-UNJBNNCHSA-N 0 3 249.354 2.606 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H]3CCC[C@@H]3C2)nn1C ZINC000414356848 386144020 /nfs/dbraw/zinc/14/40/20/386144020.db2.gz HJZBZFJLELLWIE-BFHYXJOUSA-N 0 3 247.386 2.787 20 0 BFADHN CC(C)=CCN(C)[C@H](CO)c1ccccc1 ZINC000264027024 386203618 /nfs/dbraw/zinc/20/36/18/386203618.db2.gz OETSHHXIVWUMQV-CQSZACIVSA-N 0 3 219.328 2.618 20 0 BFADHN CSC[C@@H](C)NCc1ccc(F)cc1F ZINC000124998266 386204340 /nfs/dbraw/zinc/20/43/40/386204340.db2.gz GKKUPSHRNFSYII-MRVPVSSYSA-N 0 3 231.311 2.806 20 0 BFADHN CSC[C@H](C)NCc1ccc(F)cc1 ZINC000124997526 386205574 /nfs/dbraw/zinc/20/55/74/386205574.db2.gz VWNAWRJYANFBEH-VIFPVBQESA-N 0 3 213.321 2.667 20 0 BFADHN CC[C@@H]1CCN(Cc2c(C)cc(C)nc2OC)C1 ZINC000347058735 386209168 /nfs/dbraw/zinc/20/91/68/386209168.db2.gz QJHHXUGCIIWMAL-CYBMUJFWSA-N 0 3 248.370 2.939 20 0 BFADHN CCc1ccc(CN2CC[C@H](CC)C2)nc1 ZINC000339017860 386212042 /nfs/dbraw/zinc/21/20/42/386212042.db2.gz RMZZDLZEZALCMA-ZDUSSCGKSA-N 0 3 218.344 2.876 20 0 BFADHN Cn1ccc(CNC/C=C\c2ccccc2)c1 ZINC000343189965 386213733 /nfs/dbraw/zinc/21/37/33/386213733.db2.gz OMCYDNYQPYCDSB-YVMONPNESA-N 0 3 226.323 2.828 20 0 BFADHN C[C@H](CNCCF)c1cc(F)cc(F)c1 ZINC000296966760 386214205 /nfs/dbraw/zinc/21/42/05/386214205.db2.gz URBORHPUTROCKL-MRVPVSSYSA-N 0 3 217.234 2.627 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCC[C@H](F)C1 ZINC000335372741 386219401 /nfs/dbraw/zinc/21/94/01/386219401.db2.gz OSFJLOPBVUAVNM-WDEREUQCSA-N 0 3 211.280 2.958 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3c2OCO3)C[C@@H]1C ZINC000353898411 386221101 /nfs/dbraw/zinc/22/11/01/386221101.db2.gz KCQXXPQWJAEDNJ-NEPJUHHUSA-N 0 3 247.338 2.893 20 0 BFADHN CN(C)CCc1ccccc1NC(=O)C(C)(C)C ZINC000275653973 386227443 /nfs/dbraw/zinc/22/74/43/386227443.db2.gz NFDJUVDKBOEFDP-UHFFFAOYSA-N 0 3 248.370 2.775 20 0 BFADHN C[C@@H](CN1CCc2n[nH]cc2C1)C1CCCCC1 ZINC000339054493 386227769 /nfs/dbraw/zinc/22/77/69/386227769.db2.gz PCPAQNJWHYVGMM-LBPRGKRZSA-N 0 3 247.386 2.984 20 0 BFADHN Cc1cnc([C@@H](C)N[C@@H](C)C2CC2)s1 ZINC000125210308 386234552 /nfs/dbraw/zinc/23/45/52/386234552.db2.gz QMWRMQHLFLWZFX-DTWKUNHWSA-N 0 3 210.346 2.901 20 0 BFADHN CCc1ccc(CN2CCC(C)(OC)CC2)nc1 ZINC000339074090 386237338 /nfs/dbraw/zinc/23/73/38/386237338.db2.gz IVRDKUAWLFKFBC-UHFFFAOYSA-N 0 3 248.370 2.645 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000226160627 386237460 /nfs/dbraw/zinc/23/74/60/386237460.db2.gz FDBIAHOTVCBILW-SRRSOLGSSA-N 0 3 237.343 2.510 20 0 BFADHN CC[C@H](O)CN1CCc2sccc2[C@@H]1CC ZINC000264442910 386237422 /nfs/dbraw/zinc/23/74/22/386237422.db2.gz MQPOLARUXZGVJZ-JQWIXIFHSA-N 0 3 239.384 2.828 20 0 BFADHN CC[C@H](O)CN(C)[C@@H](C)c1sc(C)nc1C ZINC000264468246 386239647 /nfs/dbraw/zinc/23/96/47/386239647.db2.gz WUDISPXGUWRDRX-ONGXEEELSA-N 0 3 242.388 2.524 20 0 BFADHN COC[C@@H](NCc1ccc(C)nc1)C1CCCC1 ZINC000275809213 386240487 /nfs/dbraw/zinc/24/04/87/386240487.db2.gz DTHYWCFRXISFPE-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN Cn1ccc(CN[C@H](c2cccnc2)C2CC2)c1 ZINC000343196444 386240710 /nfs/dbraw/zinc/24/07/10/386240710.db2.gz YTQKBJMUFRRBMO-HNNXBMFYSA-N 0 3 241.338 2.661 20 0 BFADHN CC(C)CC(=O)Nc1ccccc1CCN(C)C ZINC000275823951 386243070 /nfs/dbraw/zinc/24/30/70/386243070.db2.gz KVGXTRQIEGTQIQ-UHFFFAOYSA-N 0 3 248.370 2.775 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1CCC[C@H](C)C1 ZINC000336742799 386248794 /nfs/dbraw/zinc/24/87/94/386248794.db2.gz OMYQHWNEDZVAHI-JQWIXIFHSA-N 0 3 221.348 2.634 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN(CCO)C2CCC2)o1 ZINC000275883506 386249220 /nfs/dbraw/zinc/24/92/20/386249220.db2.gz BIBFSGCUEAIXJS-SMDDNHRTSA-N 0 3 249.354 2.750 20 0 BFADHN CCC1(C)CN(Cc2ccc(NC(C)=O)cc2)C1 ZINC000297405448 386252105 /nfs/dbraw/zinc/25/21/05/386252105.db2.gz LVSVGPFOZJYZNH-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CC[C@@H](O)CN(C)Cc1ccc(F)c(Cl)c1 ZINC000339125553 386252678 /nfs/dbraw/zinc/25/26/78/386252678.db2.gz CDUXHIHZGDIQPY-SNVBAGLBSA-N 0 3 245.725 2.682 20 0 BFADHN CC[C@@H]1CN(Cc2ccc3occc3c2)C[C@@H]1O ZINC000289040377 386253441 /nfs/dbraw/zinc/25/34/41/386253441.db2.gz AQGGACXEGIYNKO-OCCSQVGLSA-N 0 3 245.322 2.636 20 0 BFADHN CO[C@@H](C)CN1Cc2ccccc2OC(C)(C)C1 ZINC000289042496 386253573 /nfs/dbraw/zinc/25/35/73/386253573.db2.gz PSZRFWZKQXEJGI-LBPRGKRZSA-N 0 3 249.354 2.695 20 0 BFADHN C=Cn1cc(CNCc2sccc2C)cn1 ZINC000235809488 386192642 /nfs/dbraw/zinc/19/26/42/386192642.db2.gz WKGCWVUKHRGGST-UHFFFAOYSA-N 0 3 233.340 2.643 20 0 BFADHN CCc1ncc(CNCC2(CC(C)C)CC2)cn1 ZINC000414376611 386200354 /nfs/dbraw/zinc/20/03/54/386200354.db2.gz IDBKJNJZHZFBPV-UHFFFAOYSA-N 0 3 247.386 2.955 20 0 BFADHN CCC(O)(CC)CCN[C@@H](C)c1ccncc1 ZINC000276157451 386281146 /nfs/dbraw/zinc/28/11/46/386281146.db2.gz ANCKKEKWQHOKEZ-LBPRGKRZSA-N 0 3 236.359 2.673 20 0 BFADHN CC[C@@H](C)CN[C@H]1COc2ccc(F)cc21 ZINC000336743089 386283352 /nfs/dbraw/zinc/28/33/52/386283352.db2.gz SUYRBJISJMYPAN-SKDRFNHKSA-N 0 3 223.291 2.895 20 0 BFADHN CCc1cccc(CN[C@@H](C)c2cnn(C)c2)c1 ZINC000276187171 386284057 /nfs/dbraw/zinc/28/40/57/386284057.db2.gz HONSBVJLSCTUTQ-LBPRGKRZSA-N 0 3 243.354 2.833 20 0 BFADHN CCC(O)(CC)CCN[C@@H](C)c1cccnc1 ZINC000276192044 386284881 /nfs/dbraw/zinc/28/48/81/386284881.db2.gz HHSHXQHUBNWBLY-LBPRGKRZSA-N 0 3 236.359 2.673 20 0 BFADHN CCOCCN1CC[C@H](C(F)(F)F)C1(C)C ZINC000336664343 386286428 /nfs/dbraw/zinc/28/64/28/386286428.db2.gz DXJIDMHLTPTILY-VIFPVBQESA-N 0 3 239.281 2.686 20 0 BFADHN COC(C)(C)CCN(C)Cc1ccc(C)o1 ZINC000336665956 386289236 /nfs/dbraw/zinc/28/92/36/386289236.db2.gz JZUPVHJKHWLSHF-UHFFFAOYSA-N 0 3 225.332 2.835 20 0 BFADHN CCc1ccccc1CNCc1[nH]ncc1C ZINC000289526026 386324570 /nfs/dbraw/zinc/32/45/70/386324570.db2.gz ODUXWLFJYWTJIY-UHFFFAOYSA-N 0 3 229.327 2.570 20 0 BFADHN Cc1ccc(CN[C@H]2CCC23CCCC3)nn1 ZINC000335262970 386292352 /nfs/dbraw/zinc/29/23/52/386292352.db2.gz DKQOJSQRQDKHGQ-ZDUSSCGKSA-N 0 3 231.343 2.597 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1cncs1 ZINC000335264188 386294081 /nfs/dbraw/zinc/29/40/81/386294081.db2.gz XRCYDCYPFQKLTA-SECBINFHSA-N 0 3 210.346 2.764 20 0 BFADHN CCc1ncc(CN2C[C@H](C)C[C@@H](C)C2)cn1 ZINC000335263842 386294206 /nfs/dbraw/zinc/29/42/06/386294206.db2.gz WTIWSMIKJSCMHU-VXGBXAGGSA-N 0 3 233.359 2.517 20 0 BFADHN Cc1occc1CN[C@@H]1Cc2cccc(O)c2C1 ZINC000335263752 386294498 /nfs/dbraw/zinc/29/44/98/386294498.db2.gz HPGWBDDUSBRAJT-CYBMUJFWSA-N 0 3 243.306 2.551 20 0 BFADHN Cc1occc1CN1CCC([C@@H]2CCCO2)CC1 ZINC000335264257 386294855 /nfs/dbraw/zinc/29/48/55/386294855.db2.gz YZPDJTOUTQWHAI-HNNXBMFYSA-N 0 3 249.354 2.979 20 0 BFADHN Cc1occc1CN1CCS[C@H](C)CC1 ZINC000335263687 386295205 /nfs/dbraw/zinc/29/52/05/386295205.db2.gz GCTHDYHMBSHCBC-SNVBAGLBSA-N 0 3 225.357 2.915 20 0 BFADHN C[C@H](C[C@H](C)O)NCc1ccc(F)cc1Cl ZINC000164797607 386306320 /nfs/dbraw/zinc/30/63/20/386306320.db2.gz LMHVZASQWLHDJV-BDAKNGLRSA-N 0 3 245.725 2.728 20 0 BFADHN Fc1ccc(CN2CCC[C@H]2C2CCC2)nc1 ZINC000276358130 386307898 /nfs/dbraw/zinc/30/78/98/386307898.db2.gz LQNUOJFDCPPYFO-AWEZNQCLSA-N 0 3 234.318 2.985 20 0 BFADHN CC(C)c1cccc(N(C)C(=O)C(C)(C)N)c1 ZINC000236762962 386308538 /nfs/dbraw/zinc/30/85/38/386308538.db2.gz FVTCBUWJUPSDQD-UHFFFAOYSA-N 0 3 234.343 2.510 20 0 BFADHN CN(Cc1ccc(Cl)cc1)CC1(O)CCC1 ZINC000347255048 386308790 /nfs/dbraw/zinc/30/87/90/386308790.db2.gz UHYMEMFDMMXJRK-UHFFFAOYSA-N 0 3 239.746 2.687 20 0 BFADHN C[C@@H](CO)[C@@H](C)NCc1ccc(Cl)s1 ZINC000125729198 386309022 /nfs/dbraw/zinc/30/90/22/386309022.db2.gz OHEDQHXDQHYFOW-JGVFFNPUSA-N 0 3 233.764 2.508 20 0 BFADHN CSC[C@H](C)N[C@@H](C)c1cc(C)ccn1 ZINC000336731122 386309576 /nfs/dbraw/zinc/30/95/76/386309576.db2.gz KNAOBYCLDGFXQQ-QWRGUYRKSA-N 0 3 224.373 2.792 20 0 BFADHN c1cncc(CN2CCC[C@@H](C3CC3)C2)c1 ZINC000289463089 386310806 /nfs/dbraw/zinc/31/08/06/386310806.db2.gz QGEXSECAQPIZOH-CQSZACIVSA-N 0 3 216.328 2.704 20 0 BFADHN Fc1ccc(CN2CCC[C@H]3CCC[C@@H]32)nc1 ZINC000276377427 386310938 /nfs/dbraw/zinc/31/09/38/386310938.db2.gz PPWZFFSIJWNUBS-RISCZKNCSA-N 0 3 234.318 2.985 20 0 BFADHN CCC[C@H](N)C(=O)N1CC[C@H](C)c2ccccc21 ZINC000236809317 386315212 /nfs/dbraw/zinc/31/52/12/386315212.db2.gz GAAOBUNTXFKXPO-AAEUAGOBSA-N 0 3 246.354 2.654 20 0 BFADHN Cc1c[nH]nc1CN[C@@H](C)[C@@H](C)c1ccccc1 ZINC000289493861 386315898 /nfs/dbraw/zinc/31/58/98/386315898.db2.gz LGNONHAKQJMAJN-OLZOCXBDSA-N 0 3 243.354 3.000 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H](C)c1ccc(C)cc1 ZINC000289499963 386316294 /nfs/dbraw/zinc/31/62/94/386316294.db2.gz LXXGPVLPJMHOOF-GFCCVEGCSA-N 0 3 243.354 2.920 20 0 BFADHN Cc1c[nH]nc1CN[C@H](C)[C@@H](C)c1ccccc1 ZINC000289493859 386316957 /nfs/dbraw/zinc/31/69/57/386316957.db2.gz LGNONHAKQJMAJN-CHWSQXEVSA-N 0 3 243.354 3.000 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccc(C)nn1 ZINC000335260368 386317960 /nfs/dbraw/zinc/31/79/60/386317960.db2.gz GXYSJAMPQOIXPG-CYBMUJFWSA-N 0 3 219.332 2.550 20 0 BFADHN CN(CC1CC1)C[C@H]1OCCc2ccccc21 ZINC000265218301 386318129 /nfs/dbraw/zinc/31/81/29/386318129.db2.gz NUDVHXSKEJVKCX-OAHLLOKOSA-N 0 3 231.339 2.642 20 0 BFADHN CCn1nccc1CN1CC(C)(C)C[C@@H]1C ZINC000335261372 386320279 /nfs/dbraw/zinc/32/02/79/386320279.db2.gz IVBFQBSGUDFIOZ-NSHDSACASA-N 0 3 221.348 2.523 20 0 BFADHN C[C@@H](O)CCN[C@@H](C)c1nccc2ccccc21 ZINC000289528528 386323425 /nfs/dbraw/zinc/32/34/25/386323425.db2.gz BCXDQICLJSHJBC-NEPJUHHUSA-N 0 3 244.338 2.656 20 0 BFADHN Cc1ccc(CN(CCO)[C@@H]2CC[C@H](C)C2)o1 ZINC000339142651 386261903 /nfs/dbraw/zinc/26/19/03/386261903.db2.gz BHWQUUWQGCLSFK-WCQYABFASA-N 0 3 237.343 2.571 20 0 BFADHN CCc1ccc(CN(C)[C@H]2CC2(C)C)nc1 ZINC000339133755 386265114 /nfs/dbraw/zinc/26/51/14/386265114.db2.gz PDWNQOWPBVCPDQ-ZDUSSCGKSA-N 0 3 218.344 2.874 20 0 BFADHN CSCCN(C)[C@H]1C[C@H]1c1ccccc1 ZINC000336651249 386267554 /nfs/dbraw/zinc/26/75/54/386267554.db2.gz UVABGKJSPQCJTP-STQMWFEESA-N 0 3 221.369 2.837 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC)C[C@H]2C)nc1 ZINC000339160918 386269337 /nfs/dbraw/zinc/26/93/37/386269337.db2.gz NSPSOJJDSOALRI-IUODEOHRSA-N 0 3 248.370 2.643 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cnc([C@@H](C)O)s1 ZINC000289212264 386271302 /nfs/dbraw/zinc/27/13/02/386271302.db2.gz WPLWXXOBRLLBDF-VHSXEESVSA-N 0 3 242.388 2.673 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cnc([C@H](C)O)s1 ZINC000289212263 386272826 /nfs/dbraw/zinc/27/28/26/386272826.db2.gz WPLWXXOBRLLBDF-UWVGGRQHSA-N 0 3 242.388 2.673 20 0 BFADHN Cc1ccncc1CCCNCc1ccoc1 ZINC000289226341 386275315 /nfs/dbraw/zinc/27/53/15/386275315.db2.gz JVCMSLGXWUINDH-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN CN(CCC1CCOCC1)Cc1ccoc1 ZINC000336659439 386277090 /nfs/dbraw/zinc/27/70/90/386277090.db2.gz BXHJMOUYLLYOEA-UHFFFAOYSA-N 0 3 223.316 2.528 20 0 BFADHN CCc1cccc(Cl)c1CN[C@H]1CCOC1 ZINC000336723623 386340485 /nfs/dbraw/zinc/34/04/85/386340485.db2.gz AUVYRPFOICMCSE-NSHDSACASA-N 0 3 239.746 2.781 20 0 BFADHN CC[C@]1(C)CCN(CCc2c(C)noc2C)C1 ZINC000336683268 386345862 /nfs/dbraw/zinc/34/58/62/386345862.db2.gz DLPJMBBOIUHAID-CQSZACIVSA-N 0 3 236.359 2.956 20 0 BFADHN CO[C@@H](C)CCNCc1cnc(C(C)C)s1 ZINC000336775307 386386519 /nfs/dbraw/zinc/38/65/19/386386519.db2.gz YRCXJXRZQQDPNK-JTQLQIEISA-N 0 3 242.388 2.781 20 0 BFADHN CC(C)[C@H](NCc1ccccc1F)C(C)(C)O ZINC000276636332 386356327 /nfs/dbraw/zinc/35/63/27/386356327.db2.gz PSMSFKHSOIFYJF-ZDUSSCGKSA-N 0 3 239.334 2.711 20 0 BFADHN CO[C@H](C)CN(C)Cc1cc(Cl)cs1 ZINC000289797726 386356970 /nfs/dbraw/zinc/35/69/70/386356970.db2.gz SHKCGHDBEBBDLO-MRVPVSSYSA-N 0 3 233.764 2.868 20 0 BFADHN c1cc([C@H]2CCCN2CC2=CCCOC2)ccn1 ZINC000289793380 386357126 /nfs/dbraw/zinc/35/71/26/386357126.db2.gz MCWMIVJYZOLOAX-OAHLLOKOSA-N 0 3 244.338 2.565 20 0 BFADHN Cn1cccc1CN[C@@H]1CCc2c1cccc2F ZINC000265654993 386357429 /nfs/dbraw/zinc/35/74/29/386357429.db2.gz PANJAUXDXNVSPS-OAHLLOKOSA-N 0 3 244.313 2.941 20 0 BFADHN Cc1cn[nH]c1CNC(C)(C)c1ccccc1 ZINC000290038091 386386775 /nfs/dbraw/zinc/38/67/75/386386775.db2.gz WGYZGRHTDKLJFG-UHFFFAOYSA-N 0 3 229.327 2.743 20 0 BFADHN Cc1c[nH]nc1CNCCc1cccc(Cl)c1 ZINC000289823317 386359923 /nfs/dbraw/zinc/35/99/23/386359923.db2.gz JIZZACHGEOTHPH-UHFFFAOYSA-N 0 3 249.745 2.704 20 0 BFADHN COC(C)(C)CCN(C)[C@@H](C)c1ccccn1 ZINC000336692802 386366913 /nfs/dbraw/zinc/36/69/13/386366913.db2.gz IZONYEXSDVDRNU-LBPRGKRZSA-N 0 3 236.359 2.890 20 0 BFADHN CC(C)[C@H](N[C@@H](C)c1ccccn1)C(C)(C)O ZINC000276726370 386367360 /nfs/dbraw/zinc/36/73/60/386367360.db2.gz DRLUWIKLHVNKLX-AAEUAGOBSA-N 0 3 236.359 2.528 20 0 BFADHN COC[C@@H]1CCN(Cc2ccccc2Cl)C1 ZINC000265757031 386367700 /nfs/dbraw/zinc/36/77/00/386367700.db2.gz GKPMNOIMWLSONG-LLVKDONJSA-N 0 3 239.746 2.808 20 0 BFADHN Cc1ccc(CCN2CC[C@@](C)(F)C2)cc1 ZINC000347369205 386368186 /nfs/dbraw/zinc/36/81/86/386368186.db2.gz IXMGFMMTJWSNPO-CQSZACIVSA-N 0 3 221.319 2.971 20 0 BFADHN CCC(O)(CC)CCN[C@H](C)c1ccccn1 ZINC000276716470 386368440 /nfs/dbraw/zinc/36/84/40/386368440.db2.gz WCQWYZUNEUXCJL-GFCCVEGCSA-N 0 3 236.359 2.673 20 0 BFADHN COc1c(O)cccc1CNCCC=C(C)C ZINC000276729357 386369715 /nfs/dbraw/zinc/36/97/15/386369715.db2.gz GSGPVNOBXBQVCU-UHFFFAOYSA-N 0 3 235.327 2.847 20 0 BFADHN COC(C)(C)CNCc1csc(C)c1 ZINC000308474043 386369713 /nfs/dbraw/zinc/36/97/13/386369713.db2.gz CWLBZPXHBRZTIH-UHFFFAOYSA-N 0 3 213.346 2.571 20 0 BFADHN COc1ccc(CN2CCCC3(CCC3)C2)cn1 ZINC000366340780 386372321 /nfs/dbraw/zinc/37/23/21/386372321.db2.gz QRVNJXVMVMFBME-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN C[C@H](NCc1ncccc1N(C)C)C1CCCC1 ZINC000289949677 386372971 /nfs/dbraw/zinc/37/29/71/386372971.db2.gz WJJCSJKXQVGXCN-LBPRGKRZSA-N 0 3 247.386 2.816 20 0 BFADHN Cc1c[nH]nc1CN[C@H](C)CCc1ccccc1 ZINC000289934165 386375096 /nfs/dbraw/zinc/37/50/96/386375096.db2.gz PFBRDYNZFZMOFN-CYBMUJFWSA-N 0 3 243.354 2.829 20 0 BFADHN CC(C)N(C)c1ccc(CN2CC[C@H](C)C2)cn1 ZINC000276789765 386375801 /nfs/dbraw/zinc/37/58/01/386375801.db2.gz SMZFJCMRBILWES-ZDUSSCGKSA-N 0 3 247.386 2.768 20 0 BFADHN Cc1cccc(CN(C(C)C)C2CC2)n1 ZINC000347388943 386376218 /nfs/dbraw/zinc/37/62/18/386376218.db2.gz UDMNPEDHWCVEAE-UHFFFAOYSA-N 0 3 204.317 2.763 20 0 BFADHN Fc1ccc([C@H]2C[C@H](NCc3cn[nH]c3)C2)cc1 ZINC000644893568 386387511 /nfs/dbraw/zinc/38/75/11/386387511.db2.gz LHNWYPODDFUWGT-MQMHXKEQSA-N 0 3 245.301 2.585 20 0 BFADHN CC(C)C[C@@H]1COCCN1CCC1CC1 ZINC000336696315 386379144 /nfs/dbraw/zinc/37/91/44/386379144.db2.gz KYHPXQSQDWJZQN-CYBMUJFWSA-N 0 3 211.349 2.533 20 0 BFADHN CCN(CCc1ccccc1)Cc1ccno1 ZINC000298769947 386381693 /nfs/dbraw/zinc/38/16/93/386381693.db2.gz BVDCUXGLYJFOIE-UHFFFAOYSA-N 0 3 230.311 2.739 20 0 BFADHN COC(=O)c1ccc(Cl)c(CNC(C)C)c1 ZINC000336775161 386383028 /nfs/dbraw/zinc/38/30/28/386383028.db2.gz YFGYOIJWTVTMLQ-UHFFFAOYSA-N 0 3 241.718 2.625 20 0 BFADHN CN(CC[C@H]1CCCCO1)Cc1ccco1 ZINC000347397904 386385473 /nfs/dbraw/zinc/38/54/73/386385473.db2.gz ZUTRPXIQEYCOGQ-GFCCVEGCSA-N 0 3 223.316 2.671 20 0 BFADHN C[C@@H](C(=O)Nc1ccccc1)N(C)CC(C)(C)C ZINC000339255960 386327413 /nfs/dbraw/zinc/32/74/13/386327413.db2.gz SGFPPHJXQWHGSI-LBPRGKRZSA-N 0 3 248.370 2.992 20 0 BFADHN Cc1nocc1CN1CC2(CCC2)[C@@H]1C(C)C ZINC000334483734 386328089 /nfs/dbraw/zinc/32/80/89/386328089.db2.gz YRMBJJZCWXMNPQ-ZDUSSCGKSA-N 0 3 234.343 2.994 20 0 BFADHN CC(C)[C@H]1CCCCN1Cc1ccno1 ZINC000265366057 386329961 /nfs/dbraw/zinc/32/99/61/386329961.db2.gz VCMFAAOOXGUHPC-GFCCVEGCSA-N 0 3 208.305 2.685 20 0 BFADHN COC[C@@H]1CCN1CCCCOc1ccccc1 ZINC000339279636 386333969 /nfs/dbraw/zinc/33/39/69/386333969.db2.gz BNVSKBZQVBJBDD-AWEZNQCLSA-N 0 3 249.354 2.566 20 0 BFADHN C[C@H](NC[C@H](O)C1CCC1)c1cccc(F)c1 ZINC000315750709 259686891 /nfs/dbraw/zinc/68/68/91/259686891.db2.gz NAYKVIUOSUVILJ-HZMBPMFUSA-N 0 3 237.318 2.637 20 0 BFADHN C[C@@H](NCC(C)(C)O)c1nccc2ccccc21 ZINC000289641396 386337374 /nfs/dbraw/zinc/33/73/74/386337374.db2.gz HTRROYXIMRTJCZ-LLVKDONJSA-N 0 3 244.338 2.656 20 0 BFADHN CC(C)(C)OCCN1CCC(C(F)F)CC1 ZINC000336681605 386338067 /nfs/dbraw/zinc/33/80/67/386338067.db2.gz RBFULUAPWGZTBM-UHFFFAOYSA-N 0 3 235.318 2.779 20 0 BFADHN CC[C@H]1CCN1Cc1ccc(C)c(OC)c1 ZINC000430198627 386339014 /nfs/dbraw/zinc/33/90/14/386339014.db2.gz ZBVFDIWOOSKMLX-ZDUSSCGKSA-N 0 3 219.328 2.988 20 0 BFADHN Cc1cn[nH]c1CN1C[C@H](C)[C@@H]1c1ccccc1 ZINC000290449627 386436972 /nfs/dbraw/zinc/43/69/72/386436972.db2.gz OLFMCRNIENBMHZ-SWLSCSKDSA-N 0 3 241.338 2.911 20 0 BFADHN CCN(CCCO)[C@@H](C)c1ccc(F)cc1F ZINC000299421892 386452609 /nfs/dbraw/zinc/45/26/09/386452609.db2.gz RSFYGUGYLXSRAH-JTQLQIEISA-N 0 3 243.297 2.730 20 0 BFADHN C[C@H](NCc1ccc(F)cc1)c1cc[nH]c(=O)c1 ZINC000339459906 386438742 /nfs/dbraw/zinc/43/87/42/386438742.db2.gz UUYSTBUNDIGWBL-JTQLQIEISA-N 0 3 246.285 2.777 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@@H](C)CC2)nn1C ZINC000414433488 386440175 /nfs/dbraw/zinc/44/01/75/386440175.db2.gz KQKKULWCYXJURP-YPMHNXCESA-N 0 3 235.375 2.787 20 0 BFADHN Cc1c(CNC2CC(C(C)(C)C)C2)cnn1C ZINC000339465074 386441475 /nfs/dbraw/zinc/44/14/75/386441475.db2.gz HVXRUMWVDTYICV-UHFFFAOYSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1cc(CN[C@H]2[C@H](C)CCC[C@@H]2C)nn1C ZINC000347569751 386452672 /nfs/dbraw/zinc/45/26/72/386452672.db2.gz UWJFUBMZSQIXLI-YABSGUDNSA-N 0 3 235.375 2.643 20 0 BFADHN C[C@@H](N[C@H]1CCC1(C)C)c1nccs1 ZINC000284128747 386444151 /nfs/dbraw/zinc/44/41/51/386444151.db2.gz CTORWRDPHMGPAY-BDAKNGLRSA-N 0 3 210.346 2.982 20 0 BFADHN COC[C@@H](C)N1CC[C@@H](c2cccc(F)c2)C1 ZINC000266523989 386445387 /nfs/dbraw/zinc/44/53/87/386445387.db2.gz JTHAOOCRTOACIH-DGCLKSJQSA-N 0 3 237.318 2.650 20 0 BFADHN CN(Cc1ccc(O)cc1)C[C@H]1CCC=CO1 ZINC000290540293 386449820 /nfs/dbraw/zinc/44/98/20/386449820.db2.gz RGNVBMYWLPHJSM-CQSZACIVSA-N 0 3 233.311 2.517 20 0 BFADHN CCN(CCCO)[C@@H](C)c1ccccc1F ZINC000299389370 386449971 /nfs/dbraw/zinc/44/99/71/386449971.db2.gz JEKRIOMAYQGEHN-NSHDSACASA-N 0 3 225.307 2.591 20 0 BFADHN C[C@H](F)CCNCc1ccnn1-c1ccccc1 ZINC000339488646 386450817 /nfs/dbraw/zinc/45/08/17/386450817.db2.gz DUGXFWORQFCDLD-LBPRGKRZSA-N 0 3 247.317 2.710 20 0 BFADHN Cc1ccoc1CN1CCSCC[C@@H]1C ZINC000276875056 386387810 /nfs/dbraw/zinc/38/78/10/386387810.db2.gz ZCKYAINPWPFYFQ-NSHDSACASA-N 0 3 225.357 2.915 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cncc(OC)c1 ZINC000290041630 386388104 /nfs/dbraw/zinc/38/81/04/386388104.db2.gz URXSBVVOKMZBCJ-AAEUAGOBSA-N 0 3 234.343 2.853 20 0 BFADHN CC(C)C(=O)CCN1CCC[C@H]1c1ccc[nH]1 ZINC000290038926 386388195 /nfs/dbraw/zinc/38/81/95/386388195.db2.gz UNYVKHVMTULSID-ZDUSSCGKSA-N 0 3 234.343 2.767 20 0 BFADHN Cc1cn[nH]c1CN[C@H](C)c1ccc(C)cc1 ZINC000290077304 386390517 /nfs/dbraw/zinc/39/05/17/386390517.db2.gz YRYYVVHBQWJETO-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN C=Cn1cc(CN2CCC[C@H](C3CC3)C2)cn1 ZINC000290057002 386391515 /nfs/dbraw/zinc/39/15/15/386391515.db2.gz DZQAOVDIFQXVMT-AWEZNQCLSA-N 0 3 231.343 2.606 20 0 BFADHN CC(C)C[C@H](CO)N(C)Cc1cccs1 ZINC000276950413 386394398 /nfs/dbraw/zinc/39/43/98/386394398.db2.gz LBJHBZHXRYMDFW-LLVKDONJSA-N 0 3 227.373 2.587 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cncc(OC)c1 ZINC000290117051 386395533 /nfs/dbraw/zinc/39/55/33/386395533.db2.gz YSNVCGWHAVPZRC-RISCZKNCSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc(CNCC[C@H](C)F)c(OC)c1 ZINC000339490505 386451281 /nfs/dbraw/zinc/45/12/81/386451281.db2.gz WXKUEFYMWKTMNN-JTQLQIEISA-N 0 3 241.306 2.542 20 0 BFADHN CC[C@@H](CN[C@@H]1CCc2c1cccc2OC)OC ZINC000290160411 386400551 /nfs/dbraw/zinc/40/05/51/386400551.db2.gz LYAVSNYOLRHBHS-SMDDNHRTSA-N 0 3 249.354 2.697 20 0 BFADHN CC[C@H](CN[C@@H](c1cccnc1)C1CCC1)OC ZINC000290177342 386401564 /nfs/dbraw/zinc/40/15/64/386401564.db2.gz NEVDILYFORCMFT-HUUCEWRRSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@H](CN[C@H]1CCc2c1cccc2OC)OC ZINC000290160408 386401919 /nfs/dbraw/zinc/40/19/19/386401919.db2.gz LYAVSNYOLRHBHS-RISCZKNCSA-N 0 3 249.354 2.697 20 0 BFADHN CC(C)N(Cc1ccco1)C[C@H](O)C(C)(C)C ZINC000290156966 386401928 /nfs/dbraw/zinc/40/19/28/386401928.db2.gz CZZMPNVGMLXNRR-ZDUSSCGKSA-N 0 3 239.359 2.897 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2CCSC2)o1 ZINC000266128421 386403696 /nfs/dbraw/zinc/40/36/96/386403696.db2.gz CFRUVWOREZZNRH-SCVCMEIPSA-N 0 3 237.368 2.998 20 0 BFADHN CCN(CCn1ccnc1C)Cc1ccccc1 ZINC000299063718 386406441 /nfs/dbraw/zinc/40/64/41/386406441.db2.gz UGBYFAYRWVRMNG-UHFFFAOYSA-N 0 3 243.354 2.714 20 0 BFADHN Cc1ccoc1CNCCOc1cccc(F)c1 ZINC000266208298 386410382 /nfs/dbraw/zinc/41/03/82/386410382.db2.gz NIQUXWFEBVFFOX-UHFFFAOYSA-N 0 3 249.285 2.896 20 0 BFADHN CC(C)(C)[C@@H]1CCCN1Cc1n[nH]c(C2CC2)n1 ZINC000347458043 386412375 /nfs/dbraw/zinc/41/23/75/386412375.db2.gz DTEYNBUMIJKWFH-NSHDSACASA-N 0 3 248.374 2.693 20 0 BFADHN CC(C)C(=O)CCN(C)CCc1cccs1 ZINC000290272634 386413008 /nfs/dbraw/zinc/41/30/08/386413008.db2.gz LOKRGBPLCOCREB-UHFFFAOYSA-N 0 3 239.384 2.838 20 0 BFADHN COC[C@H](C)CN1Cc2ccccc2OC[C@H]1C ZINC000527355046 386414990 /nfs/dbraw/zinc/41/49/90/386414990.db2.gz HLSVIWWLBBWFIE-CHWSQXEVSA-N 0 3 249.354 2.552 20 0 BFADHN Cc1ccoc1CNCCc1c(C)noc1C ZINC000266243634 386416359 /nfs/dbraw/zinc/41/63/59/386416359.db2.gz XZBCKZUFGJVYKV-UHFFFAOYSA-N 0 3 234.299 2.525 20 0 BFADHN COc1cc([C@@H](C)N[C@@H]2C=CCCC2)ccn1 ZINC000347562988 386451922 /nfs/dbraw/zinc/45/19/22/386451922.db2.gz SKBNDJXDUJSFLQ-DGCLKSJQSA-N 0 3 232.327 2.850 20 0 BFADHN C[C@@H](F)CCNCc1cc(-c2ccccc2)n[nH]1 ZINC000339490539 386452238 /nfs/dbraw/zinc/45/22/38/386452238.db2.gz XIMNVCPBWLBYCE-LLVKDONJSA-N 0 3 247.317 2.914 20 0 BFADHN Cc1cn[nH]c1CN1C[C@H](C)[C@H]1c1ccccc1 ZINC000290449631 386435628 /nfs/dbraw/zinc/43/56/28/386435628.db2.gz OLFMCRNIENBMHZ-WFASDCNBSA-N 0 3 241.338 2.911 20 0 BFADHN CC[C@@H](NCC(C)(C)CC)c1nccn1C ZINC000191828451 386466533 /nfs/dbraw/zinc/46/65/33/386466533.db2.gz QPRRBMRHWVEFEY-LLVKDONJSA-N 0 3 223.364 2.897 20 0 BFADHN COC[C@H](NC[C@H]1CC[C@@H](C)O1)c1ccccc1 ZINC000191050016 386466899 /nfs/dbraw/zinc/46/68/99/386466899.db2.gz CNRGFBCQIKGJHW-YUELXQCFSA-N 0 3 249.354 2.531 20 0 BFADHN Cc1occc1CNC[C@H](C)C(F)(F)F ZINC000414440089 386468097 /nfs/dbraw/zinc/46/80/97/386468097.db2.gz XSRGJMFSUWFSJS-ZETCQYMHSA-N 0 3 221.222 2.876 20 0 BFADHN CCC[C@H](N)C(=O)N(C)C1CCC(C)(C)CC1 ZINC000094858713 386469374 /nfs/dbraw/zinc/46/93/74/386469374.db2.gz ZZVPGYKJUMQQDN-LBPRGKRZSA-N 0 3 240.391 2.541 20 0 BFADHN Cc1occc1CNC[C@H]1CCC(C)(C)O1 ZINC000414441031 386472585 /nfs/dbraw/zinc/47/25/85/386472585.db2.gz ZIWIQEYXQCEBSC-GFCCVEGCSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1ccc(F)c(CN[C@H](C)Cn2ccnc2)c1 ZINC000227283670 386473379 /nfs/dbraw/zinc/47/33/79/386473379.db2.gz NAGUJHRHACELTJ-GFCCVEGCSA-N 0 3 247.317 2.509 20 0 BFADHN CC1(C(N)=O)CN(Cc2cccc3[nH]ccc32)C1 ZINC000335425563 386476873 /nfs/dbraw/zinc/47/68/73/386476873.db2.gz WMXDPTBVHJTSHJ-UHFFFAOYSA-N 0 3 243.310 2.525 20 0 BFADHN CCc1ncc(CN(CC)CC2CCC2)cn1 ZINC000414443078 386483034 /nfs/dbraw/zinc/48/30/34/386483034.db2.gz IBTIDWBNTOHQHA-UHFFFAOYSA-N 0 3 233.359 2.661 20 0 BFADHN FC1(F)CCC[C@H]1CNCc1ccco1 ZINC000327629243 386488194 /nfs/dbraw/zinc/48/81/94/386488194.db2.gz OQTJOBWMWNUBGX-VIFPVBQESA-N 0 3 215.243 2.805 20 0 BFADHN FC1(F)CCC[C@@H]1CNCc1ccco1 ZINC000327629242 386489216 /nfs/dbraw/zinc/48/92/16/386489216.db2.gz OQTJOBWMWNUBGX-SECBINFHSA-N 0 3 215.243 2.805 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2ccoc2)C12CCC2 ZINC000135199855 386489643 /nfs/dbraw/zinc/48/96/43/386489643.db2.gz DPKGDWKUEXWHPH-QWHCGFSZSA-N 0 3 235.327 2.717 20 0 BFADHN C[C@H](N[C@H]1C[C@@](C)(O)C1)c1ccccc1Cl ZINC000417352704 386490023 /nfs/dbraw/zinc/49/00/23/386490023.db2.gz GUYMAGHYFCPSHV-OUJBWJOFSA-N 0 3 239.746 2.904 20 0 BFADHN C[C@H]1C[C@@H]1CC(=O)Nc1ccccc1CN(C)C ZINC000519569795 386490318 /nfs/dbraw/zinc/49/03/18/386490318.db2.gz WLGAZEXDPPVDQE-WCQYABFASA-N 0 3 246.354 2.733 20 0 BFADHN Cc1cnccc1CCN1Cc2ccccc2C1 ZINC000335433990 386491096 /nfs/dbraw/zinc/49/10/96/386491096.db2.gz FMYHMZYFEZNBOW-UHFFFAOYSA-N 0 3 238.334 2.948 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2C[C@H]2CCC=CO2)c1 ZINC000278157740 386494179 /nfs/dbraw/zinc/49/41/79/386494179.db2.gz DEQFOWNPKJFXBP-OCCSQVGLSA-N 0 3 232.327 2.844 20 0 BFADHN COC(=O)c1coc([C@H](C)N[C@H]2CC=CCC2)c1 ZINC000278150197 386495450 /nfs/dbraw/zinc/49/54/50/386495450.db2.gz AADSYXAPHBEJPL-JQWIXIFHSA-N 0 3 249.310 2.826 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC(C)(C)CCO)o1 ZINC000135228513 386495994 /nfs/dbraw/zinc/49/59/94/386495994.db2.gz CETYHRNZDMHHKT-ZYHUDNBSSA-N 0 3 237.343 2.654 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@](C)(O)C1)c1ccc(F)cc1 ZINC000417358527 386496900 /nfs/dbraw/zinc/49/69/00/386496900.db2.gz UFDDYKUXQUTJRW-MCIONIFRSA-N 0 3 237.318 2.780 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@H]3CCC[C@H]32)nn1C ZINC000339712492 386529540 /nfs/dbraw/zinc/52/95/40/386529540.db2.gz RYCUJTKKFCKFER-UONOGXRCSA-N 0 3 247.386 2.739 20 0 BFADHN COC[C@H](N[C@@H]1C[C@H](C)[C@@H]1C)c1ccco1 ZINC000336770546 386498024 /nfs/dbraw/zinc/49/80/24/386498024.db2.gz TWKBELONXCSKPW-YFKTTZPYSA-N 0 3 223.316 2.601 20 0 BFADHN Cc1ccc(CNC[C@@]2(C)CCCC[C@@H]2O)o1 ZINC000267124298 386498498 /nfs/dbraw/zinc/49/84/98/386498498.db2.gz USBPVFUOQNLGCK-UONOGXRCSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1ccc(CN(C)C)cc1NC(=O)CC1CC1 ZINC000267127179 386498578 /nfs/dbraw/zinc/49/85/78/386498578.db2.gz CHJZSUIELIGYSA-UHFFFAOYSA-N 0 3 246.354 2.795 20 0 BFADHN Cc1ccc(CN2C[C@H](C)N(C)[C@@H](C)C2)cc1C ZINC000339629862 386500122 /nfs/dbraw/zinc/50/01/22/386500122.db2.gz UTVSVOJBKFZVJO-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCOC2)cc1Cl ZINC000278209840 386501019 /nfs/dbraw/zinc/50/10/19/386501019.db2.gz JYQFDOLOCHQXNI-GFCCVEGCSA-N 0 3 239.746 2.869 20 0 BFADHN C[C@@H](NC[C@H]1CC12CC2)c1ccccn1 ZINC000417332210 386501246 /nfs/dbraw/zinc/50/12/46/386501246.db2.gz CUNJCCKEWIBFLX-GHMZBOCLSA-N 0 3 202.301 2.532 20 0 BFADHN CCc1nocc1CN[C@H]1CCCC[C@H]1C ZINC000647971181 386501353 /nfs/dbraw/zinc/50/13/53/386501353.db2.gz XDSGRGDCPKGZHU-MFKMUULPSA-N 0 3 222.332 2.905 20 0 BFADHN C=Cn1cc(CN2CCC(CC)(CC)C2)cn1 ZINC000278229771 386502635 /nfs/dbraw/zinc/50/26/35/386502635.db2.gz MRTUVWPJMFVSAR-UHFFFAOYSA-N 0 3 233.359 2.996 20 0 BFADHN Cc1nc([C@H](C)NC[C@H]2CC23CC3)cs1 ZINC000417338652 386504773 /nfs/dbraw/zinc/50/47/73/386504773.db2.gz MXMAHIUOIHUDAJ-WCBMZHEXSA-N 0 3 222.357 2.902 20 0 BFADHN c1ncc(CN2CC[C@H](c3cccnc3)C2)s1 ZINC000335443268 386504994 /nfs/dbraw/zinc/50/49/94/386504994.db2.gz MMNSQFHANVJBLD-LBPRGKRZSA-N 0 3 245.351 2.528 20 0 BFADHN COC(C)(C)CCN(Cc1ccncc1)C1CC1 ZINC000336783549 386507591 /nfs/dbraw/zinc/50/75/91/386507591.db2.gz AYWZYNZVQBJOJM-UHFFFAOYSA-N 0 3 248.370 2.861 20 0 BFADHN CC(C)COCCN1CCC[C@@H]1c1cccnc1 ZINC000336784250 386507624 /nfs/dbraw/zinc/50/76/24/386507624.db2.gz NGGHHQNXVMCBBU-OAHLLOKOSA-N 0 3 248.370 2.891 20 0 BFADHN Cc1cnn(CCN2CCC[C@H]3CCCC[C@H]32)c1 ZINC000336785451 386510389 /nfs/dbraw/zinc/51/03/89/386510389.db2.gz DNMMPJUONKZSAY-HUUCEWRRSA-N 0 3 247.386 2.846 20 0 BFADHN Cc1cnn(CCN2CCC[C@H]3CCCC[C@@H]32)c1 ZINC000336785449 386510587 /nfs/dbraw/zinc/51/05/87/386510587.db2.gz DNMMPJUONKZSAY-CABCVRRESA-N 0 3 247.386 2.846 20 0 BFADHN CCn1cncc1CNC(C)(C)c1cccs1 ZINC000417929839 386511501 /nfs/dbraw/zinc/51/15/01/386511501.db2.gz JDBDTDXBJGEHTP-UHFFFAOYSA-N 0 3 249.383 2.989 20 0 BFADHN Cc1cnn(CCN(Cc2ccco2)C(C)C)c1 ZINC000336785558 386512650 /nfs/dbraw/zinc/51/26/50/386512650.db2.gz FGCVXSXQMWNIEP-UHFFFAOYSA-N 0 3 247.342 2.695 20 0 BFADHN Cc1ccnc(CN2[C@H](C)C[C@@H]3CCCC[C@H]32)n1 ZINC000339664802 386513335 /nfs/dbraw/zinc/51/33/35/386513335.db2.gz GCMJYXNIKTWXPQ-HZSPNIEDSA-N 0 3 245.370 2.938 20 0 BFADHN CCc1ncc(CNC2C(C)(C)C2(C)C)cn1 ZINC000414447523 386514619 /nfs/dbraw/zinc/51/46/19/386514619.db2.gz XBSXFHUGQKWWNO-UHFFFAOYSA-N 0 3 233.359 2.563 20 0 BFADHN Cc1ccc([C@@H](O)CN[C@H](C)c2ccco2)cc1 ZINC000278338067 386514830 /nfs/dbraw/zinc/51/48/30/386514830.db2.gz CFLKMGJPCYZBIH-OCCSQVGLSA-N 0 3 245.322 2.972 20 0 BFADHN CCc1ccc(CN(CC)C[C@@H](C)OC)o1 ZINC000291131746 386515205 /nfs/dbraw/zinc/51/52/05/386515205.db2.gz WIYGOTJRMWJRIV-LLVKDONJSA-N 0 3 225.332 2.699 20 0 BFADHN c1cncc(CN2CC[C@@H](CC3CC3)C2)c1 ZINC000339672056 386515538 /nfs/dbraw/zinc/51/55/38/386515538.db2.gz DCBYIZIBYAHGAR-ZDUSSCGKSA-N 0 3 216.328 2.704 20 0 BFADHN CCN(CCOC)Cc1cc(Cl)cs1 ZINC000278380606 386516665 /nfs/dbraw/zinc/51/66/65/386516665.db2.gz CTBBRIIBPSLNNG-UHFFFAOYSA-N 0 3 233.764 2.870 20 0 BFADHN CCOC[C@@H](C)NCc1cccc2c1CCCN2 ZINC000415032959 386522349 /nfs/dbraw/zinc/52/23/49/386522349.db2.gz JRILCKAENAVOND-GFCCVEGCSA-N 0 3 248.370 2.559 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)cn1 ZINC000336723983 386456906 /nfs/dbraw/zinc/45/69/06/386456906.db2.gz HBPGWTCZXGBRKF-MDZLAQPJSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1cnc(CN[C@H](C)C2CCCCC2)nc1 ZINC000347579478 386457384 /nfs/dbraw/zinc/45/73/84/386457384.db2.gz UUFWCSYERAXKNG-GFCCVEGCSA-N 0 3 233.359 2.843 20 0 BFADHN COc1ccc(CN(C)C2CC2)c(C)c1OC ZINC000347580419 386457911 /nfs/dbraw/zinc/45/79/11/386457911.db2.gz GGBHWABXXKWNMF-UHFFFAOYSA-N 0 3 235.327 2.606 20 0 BFADHN Cc1ccccc1CN1CCN(C)CC1(C)C ZINC000347580857 386458686 /nfs/dbraw/zinc/45/86/86/386458686.db2.gz CPGHVLGSFWSWTA-UHFFFAOYSA-N 0 3 232.371 2.521 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1C[C@@H]1c1ccccc1 ZINC000339513400 386458874 /nfs/dbraw/zinc/45/88/74/386458874.db2.gz JWTOUROHDGVWBN-ZIAGYGMSSA-N 0 3 241.338 2.611 20 0 BFADHN CCN(CC)[C@H](C)C(=O)N[C@H](C)c1ccccc1 ZINC000299495204 386460060 /nfs/dbraw/zinc/46/00/60/386460060.db2.gz JRBQLIOFRTXUQL-CHWSQXEVSA-N 0 3 248.370 2.594 20 0 BFADHN CO[C@H](CNC/C=C\c1ccc(F)cc1)C1CC1 ZINC000339525998 386464402 /nfs/dbraw/zinc/46/44/02/386464402.db2.gz FFYFWISECWXUAG-MPNWYJEXSA-N 0 3 249.329 2.854 20 0 BFADHN CC(C)[C@@H](N[C@@H](C)c1cncs1)C(C)(C)O ZINC000336727587 386465443 /nfs/dbraw/zinc/46/54/43/386465443.db2.gz CAUWLAIGXPJXQF-GXSJLCMTSA-N 0 3 242.388 2.589 20 0 BFADHN CN(C)CCSCCCOc1ccccc1 ZINC000339742924 386575192 /nfs/dbraw/zinc/57/51/92/386575192.db2.gz PDQMLXAQSARHOE-UHFFFAOYSA-N 0 3 239.384 2.750 20 0 BFADHN CC[C@H](NC[C@H]1C[C@H]1C)c1nccs1 ZINC000308612596 386575264 /nfs/dbraw/zinc/57/52/64/386575264.db2.gz RCBNZZZIGBPTOO-BBBLOLIVSA-N 0 3 210.346 2.840 20 0 BFADHN CCC1(CC)CN(C[C@H](O)c2ccccc2)C1 ZINC000228517413 386580982 /nfs/dbraw/zinc/58/09/82/386580982.db2.gz GCICZSAFCAIULZ-AWEZNQCLSA-N 0 3 233.355 2.842 20 0 BFADHN CCCC[C@H](C)N(C)Cc1ccc(C)nn1 ZINC000414459039 386581771 /nfs/dbraw/zinc/58/17/71/386581771.db2.gz VMMNRXDDZPVIGR-LBPRGKRZSA-N 0 3 221.348 2.796 20 0 BFADHN Fc1cccc(CNC[C@H]2CCSC2)c1F ZINC000228554205 386583225 /nfs/dbraw/zinc/58/32/25/386583225.db2.gz ZDMCWSNWMNVFIY-SECBINFHSA-N 0 3 243.322 2.808 20 0 BFADHN CC[C@@H](NC[C@H](C)CCO)c1ccccc1F ZINC000164068239 386584608 /nfs/dbraw/zinc/58/46/08/386584608.db2.gz AXVNIPPYANZZOB-BXUZGUMPSA-N 0 3 239.334 2.885 20 0 BFADHN COc1cc(CNC2CC(F)(F)C2)ccc1C ZINC000278878616 386598724 /nfs/dbraw/zinc/59/87/24/386598724.db2.gz NWLGSMIHZDJEGB-UHFFFAOYSA-N 0 3 241.281 2.891 20 0 BFADHN CCC[C@H](N[C@@H](C)CO)c1ccsc1 ZINC000187738034 386589256 /nfs/dbraw/zinc/58/92/56/386589256.db2.gz RILSJOFCSMQIMV-ONGXEEELSA-N 0 3 213.346 2.560 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CCOC[C@@H]2C)o1 ZINC000278812154 386590978 /nfs/dbraw/zinc/59/09/78/386590978.db2.gz ZSOFXULFRJPQFX-GVXVVHGQSA-N 0 3 237.343 2.918 20 0 BFADHN Fc1cc(CNCC2CC2)cc(F)c1F ZINC000062705487 386591904 /nfs/dbraw/zinc/59/19/04/386591904.db2.gz VNYOGJSBRGRJAN-UHFFFAOYSA-N 0 3 215.218 2.604 20 0 BFADHN CCc1cc(NC[C@H](C)CO)c2ccccc2n1 ZINC000124011205 386593444 /nfs/dbraw/zinc/59/34/44/386593444.db2.gz YPTIZNNQJILHRC-NSHDSACASA-N 0 3 244.338 2.838 20 0 BFADHN C[C@H](O)CCCN[C@@H](C)c1cccc(F)c1F ZINC000228026591 386533239 /nfs/dbraw/zinc/53/32/39/386533239.db2.gz DGTXPXPXEGIKTO-UWVGGRQHSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@H](N[C@@H](CC)c1ccc(C)cc1)C(=O)NC ZINC000453408689 386596673 /nfs/dbraw/zinc/59/66/73/386596673.db2.gz QTAYYNHWERPFNR-UONOGXRCSA-N 0 3 248.370 2.560 20 0 BFADHN Cc1nc(F)ccc1CN(C)[C@@H](C)C1CC1 ZINC000278507837 386537033 /nfs/dbraw/zinc/53/70/33/386537033.db2.gz WKUHKCGCJFTSBA-JTQLQIEISA-N 0 3 222.307 2.759 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc(SC)s1 ZINC000336755737 386544498 /nfs/dbraw/zinc/54/44/98/386544498.db2.gz IGEZNVMNCBJWQW-BDAKNGLRSA-N 0 3 245.413 2.983 20 0 BFADHN COc1cc(CNC[C@H]2CCCCO2)ccc1C ZINC000278555799 386545508 /nfs/dbraw/zinc/54/55/08/386545508.db2.gz APBZNODOHYMCDB-CQSZACIVSA-N 0 3 249.354 2.662 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc(SC)s1 ZINC000336755741 386547583 /nfs/dbraw/zinc/54/75/83/386547583.db2.gz IGEZNVMNCBJWQW-IUCAKERBSA-N 0 3 245.413 2.983 20 0 BFADHN C(C1CC1)N1CCN(CC2CC2)[C@@H]2CCCC[C@H]21 ZINC000339733870 386552183 /nfs/dbraw/zinc/55/21/83/386552183.db2.gz LUDGOVCPIFFELZ-HZPDHXFCSA-N 0 3 248.414 2.735 20 0 BFADHN CC[C@H](NC[C@@H](C)N(C)C)c1ccccc1F ZINC000163835437 386557042 /nfs/dbraw/zinc/55/70/42/386557042.db2.gz HILLEDIFSMVQCH-RISCZKNCSA-N 0 3 238.350 2.817 20 0 BFADHN C[C@@H](NCC1(CO)CC2(CCC2)C1)c1ccco1 ZINC000278647448 386561267 /nfs/dbraw/zinc/56/12/67/386561267.db2.gz DSUBQVKQJUKNGM-GFCCVEGCSA-N 0 3 249.354 2.873 20 0 BFADHN COC(=O)c1coc([C@H](C)N[C@@H]2CC2(C)C)c1 ZINC000278677923 386564939 /nfs/dbraw/zinc/56/49/39/386564939.db2.gz JQCDSODBMXQLKV-GZMMTYOYSA-N 0 3 237.299 2.515 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(OC(C)C)cc2)C1 ZINC000267641100 386566994 /nfs/dbraw/zinc/56/69/94/386566994.db2.gz ITFAQTMTJYHBEJ-HNNXBMFYSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1cc(C)cc(NC(=O)[C@]2(C)CCCCN2)c1 ZINC000136132505 386569545 /nfs/dbraw/zinc/56/95/45/386569545.db2.gz PRFZRJMADWBJEN-HNNXBMFYSA-N 0 3 246.354 2.774 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)C[C@H](C)O)o1 ZINC000336780775 386569916 /nfs/dbraw/zinc/56/99/16/386569916.db2.gz SBBGOOYFELZPQX-DCAQKATOSA-N 0 3 225.332 2.652 20 0 BFADHN Cn1cccc1CN1CC(C)(C)[C@@H]1C1CC1 ZINC000291696117 386571239 /nfs/dbraw/zinc/57/12/39/386571239.db2.gz YOXKLEOEXGIQSE-ZDUSSCGKSA-N 0 3 218.344 2.646 20 0 BFADHN CCc1ncc(CN(C)C2CC(C)(C)C2)cn1 ZINC000414455537 386571813 /nfs/dbraw/zinc/57/18/13/386571813.db2.gz CGLGELOWXQPPAO-UHFFFAOYSA-N 0 3 233.359 2.659 20 0 BFADHN CO[C@H]1CCN(c2cc(C)nc3ccccc32)C1 ZINC000267683703 386572274 /nfs/dbraw/zinc/57/22/74/386572274.db2.gz PTGPPKMYYWHZGI-LBPRGKRZSA-N 0 3 242.322 2.768 20 0 BFADHN CCC1(C)CN(C[C@@H](O)c2ccc(F)cc2)C1 ZINC000291729410 386572865 /nfs/dbraw/zinc/57/28/65/386572865.db2.gz AJEIZAQESDQMOF-CYBMUJFWSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@H](NC[C@@H]1CCCCN1C1CC1)c1ccoc1 ZINC000519865569 386573333 /nfs/dbraw/zinc/57/33/33/386573333.db2.gz QYOKCYPNFQBTPV-WFASDCNBSA-N 0 3 248.370 2.947 20 0 BFADHN Fc1cc(C2CC2)ccc1CN[C@H]1CCOC1 ZINC000291716913 386573695 /nfs/dbraw/zinc/57/36/95/386573695.db2.gz LZYWPOKMRYSLEN-ZDUSSCGKSA-N 0 3 235.302 2.582 20 0 BFADHN Cc1nc(CSCCN(C)C)c(C)s1 ZINC000339741506 386573959 /nfs/dbraw/zinc/57/39/59/386573959.db2.gz CKHMJRUBGVUGAN-UHFFFAOYSA-N 0 3 230.402 2.555 20 0 BFADHN CC[C@H](COc1cc(C)nc(C)c1C)OC ZINC000520252857 386619595 /nfs/dbraw/zinc/61/95/95/386619595.db2.gz IVUBETYOSHGVLD-GFCCVEGCSA-N 0 3 223.316 2.811 20 0 BFADHN CN(Cc1ccc(Cl)s1)C1(CO)CCC1 ZINC000292119491 386619767 /nfs/dbraw/zinc/61/97/67/386619767.db2.gz KAELIQXCUWZYGD-UHFFFAOYSA-N 0 3 245.775 2.748 20 0 BFADHN Cc1cc(CN(C)C2CCC(C)CC2)ncn1 ZINC000292119510 386620098 /nfs/dbraw/zinc/62/00/98/386620098.db2.gz FGECFEXYICNNKU-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2COc3ccccc32)[C@@H]1C ZINC000336646160 386620697 /nfs/dbraw/zinc/62/06/97/386620697.db2.gz BCFLTZZDHZKLGF-VCDKRKBESA-N 0 3 217.312 2.754 20 0 BFADHN CCSCCN1CCOC[C@@H]1CC1CCC1 ZINC000339774488 386621288 /nfs/dbraw/zinc/62/12/88/386621288.db2.gz HHCOQCDSNKDZSP-ZDUSSCGKSA-N 0 3 243.416 2.631 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1ccoc1C ZINC000414463708 386622468 /nfs/dbraw/zinc/62/24/68/386622468.db2.gz OFNDYVYLGNDQNG-AWEZNQCLSA-N 0 3 237.343 2.979 20 0 BFADHN CC(C)n1nccc1CN1C[C@H](C)C[C@@H](C)C1 ZINC000337141939 386623492 /nfs/dbraw/zinc/62/34/92/386623492.db2.gz WLMQZCJRDTWTBU-CHWSQXEVSA-N 0 3 235.375 2.942 20 0 BFADHN Cc1cc(CN([C@H](C)C2CC2)C2CC2)ncn1 ZINC000292137260 386623403 /nfs/dbraw/zinc/62/34/03/386623403.db2.gz HPMJNOYXMKVMFO-LLVKDONJSA-N 0 3 231.343 2.548 20 0 BFADHN CC(C)C[C@@H](C)CN1CCN(CC(F)F)CC1 ZINC000337140957 386624557 /nfs/dbraw/zinc/62/45/57/386624557.db2.gz RPEYNJKQTBQKIP-GFCCVEGCSA-N 0 3 248.361 2.551 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3CCCC[C@@H]32)ncn1 ZINC000292161554 386626354 /nfs/dbraw/zinc/62/63/54/386626354.db2.gz KPKNOVCCFWWLSZ-ZFWWWQNUSA-N 0 3 245.370 2.940 20 0 BFADHN Cc1cccc2c1CNCCN2C[C@H]1C[C@H]1C ZINC000415106288 386626992 /nfs/dbraw/zinc/62/69/92/386626992.db2.gz UMKFZUAPPRJPCL-CHWSQXEVSA-N 0 3 230.355 2.561 20 0 BFADHN Cc1cccc2c1CNCCN2C[C@@H]1C[C@H]1C ZINC000415106289 386627111 /nfs/dbraw/zinc/62/71/11/386627111.db2.gz UMKFZUAPPRJPCL-OLZOCXBDSA-N 0 3 230.355 2.561 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCC[C@H](C)C2)ncn1 ZINC000292171202 386628468 /nfs/dbraw/zinc/62/84/68/386628468.db2.gz LUIZUYCUWYUUBN-SMDDNHRTSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cc(CN(C)C2CCCCCC2)ncn1 ZINC000292193115 386632047 /nfs/dbraw/zinc/63/20/47/386632047.db2.gz OAQUELYIMIBWDL-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN CCCn1cc(CN(CC)CC(C)C)cn1 ZINC000179301919 386635834 /nfs/dbraw/zinc/63/58/34/386635834.db2.gz KJBGZGDOXQKDJP-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN CN(C)c1cccnc1CN[C@@H]1CCC(C)(C)C1 ZINC000353626774 386638279 /nfs/dbraw/zinc/63/82/79/386638279.db2.gz UUMOKBMXXMGPNK-GFCCVEGCSA-N 0 3 247.386 2.816 20 0 BFADHN CCC[C@@H](C)CN1CCCc2c(cnn2C)C1 ZINC000414469730 386641955 /nfs/dbraw/zinc/64/19/55/386641955.db2.gz HDEZGRSDDMIPST-GFCCVEGCSA-N 0 3 235.375 2.605 20 0 BFADHN CC(C)N(CC(=O)N(C)C)[C@H](C)c1ccccc1 ZINC000292272561 386644985 /nfs/dbraw/zinc/64/49/85/386644985.db2.gz SGZKTBBGCOVWRE-CYBMUJFWSA-N 0 3 248.370 2.546 20 0 BFADHN CO[C@H](C)CCN[C@@H](C)c1csc(C)n1 ZINC000269390799 386645306 /nfs/dbraw/zinc/64/53/06/386645306.db2.gz CNHYOUUGWJHXMN-BDAKNGLRSA-N 0 3 228.361 2.527 20 0 BFADHN CN(CCCC(C)(C)C)C[C@@H](O)C(F)(F)F ZINC000337194073 386645317 /nfs/dbraw/zinc/64/53/17/386645317.db2.gz VYDRRRVIBSEDOV-SECBINFHSA-N 0 3 241.297 2.668 20 0 BFADHN Cc1cc(CN2CCCC(C)(C)CC2)ncn1 ZINC000292303557 386647239 /nfs/dbraw/zinc/64/72/39/386647239.db2.gz ABIVXLLYDDOTFJ-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CN(CCCCO)Cc1cccc(C(F)F)c1 ZINC000279223276 386648734 /nfs/dbraw/zinc/64/87/34/386648734.db2.gz CZUCAXBWCHAGRA-UHFFFAOYSA-N 0 3 243.297 2.829 20 0 BFADHN CC(C)C[C@@H](C)CN1CCO[C@H](C2CC2)C1 ZINC000337200796 386649695 /nfs/dbraw/zinc/64/96/95/386649695.db2.gz FHSMFSHBXOKQBX-OCCSQVGLSA-N 0 3 225.376 2.779 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1cc(C)n(C)n1 ZINC000414471453 386649739 /nfs/dbraw/zinc/64/97/39/386649739.db2.gz QYDJRPIAMGOYOM-STQMWFEESA-N 0 3 235.375 2.644 20 0 BFADHN Cc1occc1CN1CC[C@@H]2C[C@@H](O)CC[C@@H]2C1 ZINC000414471789 386652534 /nfs/dbraw/zinc/65/25/34/386652534.db2.gz RTCWERNINYSXPT-YUELXQCFSA-N 0 3 249.354 2.571 20 0 BFADHN CC[C@@H](C)CN(C)C(=O)[C@H](CC)N(CC)CC ZINC000340670540 386652646 /nfs/dbraw/zinc/65/26/46/386652646.db2.gz ZYCHZINXOOAPHM-OLZOCXBDSA-N 0 3 242.407 2.611 20 0 BFADHN Cc1occc1CN1CC[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000414471779 386653055 /nfs/dbraw/zinc/65/30/55/386653055.db2.gz RTCWERNINYSXPT-BPLDGKMQSA-N 0 3 249.354 2.571 20 0 BFADHN CCN(CCC1CCOCC1)Cc1ccoc1 ZINC000644991717 386656471 /nfs/dbraw/zinc/65/64/71/386656471.db2.gz XVDDUSXLHQWNTD-UHFFFAOYSA-N 0 3 237.343 2.918 20 0 BFADHN Cc1ccc(CN2C[C@@H](C(C)C)[C@@H]2C(C)C)nn1 ZINC000414474195 386653633 /nfs/dbraw/zinc/65/36/33/386653633.db2.gz WNGCTIAIWOFCNJ-GJZGRUSLSA-N 0 3 247.386 2.897 20 0 BFADHN Cc1cc(CN(CC(C)C)C(C)C)n(C)n1 ZINC000417727889 386601300 /nfs/dbraw/zinc/60/13/00/386601300.db2.gz LZOFRHZZCYHROV-UHFFFAOYSA-N 0 3 223.364 2.595 20 0 BFADHN COCC[C@H](C)N[C@@H]1COc2c1ccc(C)c2C ZINC000267974817 386604389 /nfs/dbraw/zinc/60/43/89/386604389.db2.gz CYMUWFGEKMBHNZ-SMDDNHRTSA-N 0 3 249.354 2.752 20 0 BFADHN Cc1occc1CN(CCO)[C@H]1CC[C@@H](C)C1 ZINC000414463105 386610285 /nfs/dbraw/zinc/61/02/85/386610285.db2.gz ZYSCTUWTWJQFGU-RISCZKNCSA-N 0 3 237.343 2.571 20 0 BFADHN C1=C[C@H](N2CCOC[C@@H]2CC2CCC2)CCC1 ZINC000339772492 386611241 /nfs/dbraw/zinc/61/12/41/386611241.db2.gz OMTNPZJMOONWGC-GJZGRUSLSA-N 0 3 235.371 2.986 20 0 BFADHN Cc1ccc2nc(CN[C@H]3CCC[C@H]3C)cn2c1 ZINC000268018471 386611245 /nfs/dbraw/zinc/61/12/45/386611245.db2.gz HFNPKJDXLWWXAD-OCCSQVGLSA-N 0 3 243.354 2.921 20 0 BFADHN Fc1ccc([C@@H]2CCN(Cc3ccno3)C2)cc1 ZINC000268047955 386613045 /nfs/dbraw/zinc/61/30/45/386613045.db2.gz SQCPZHDPOYOEQN-GFCCVEGCSA-N 0 3 246.285 2.803 20 0 BFADHN c1cc(CN2CC[C@H](C3CCCC3)C2)on1 ZINC000335493739 386613692 /nfs/dbraw/zinc/61/36/92/386613692.db2.gz DCOXMOKVSNIZNJ-LBPRGKRZSA-N 0 3 220.316 2.687 20 0 BFADHN CC(C)n1cncc1CN(C)CC1CCC1 ZINC000425328768 386663329 /nfs/dbraw/zinc/66/33/29/386663329.db2.gz UWLRNSGRXHMENK-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CC(C)CCC[C@@H](C)NC(=O)[C@@H](N)C(C)(C)C ZINC000040858780 386665647 /nfs/dbraw/zinc/66/56/47/386665647.db2.gz OCDXXTNMVKOYNW-VXGBXAGGSA-N 0 3 242.407 2.691 20 0 BFADHN CCC[C@@](C)(N)C(=O)N[C@@H](C)CCCC(C)C ZINC000040858725 386666233 /nfs/dbraw/zinc/66/62/33/386666233.db2.gz SBJMHRBJLUFMTK-GXTWGEPZSA-N 0 3 242.407 2.835 20 0 BFADHN Cc1cc(CN2CCC[C@H]2C2CCC2)ncn1 ZINC000292433477 386669788 /nfs/dbraw/zinc/66/97/88/386669788.db2.gz MJXVANVCQTTYQO-AWEZNQCLSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCC[C@@H]2C2CC2)n1C ZINC000414478068 386670630 /nfs/dbraw/zinc/67/06/30/386670630.db2.gz DAXIWUIVVVDGKT-UONOGXRCSA-N 0 3 247.386 2.644 20 0 BFADHN CO[C@H]1CCN(CCSC2CCCCC2)C1 ZINC000337241831 386673604 /nfs/dbraw/zinc/67/36/04/386673604.db2.gz GFOCTQYNBFGMCU-LBPRGKRZSA-N 0 3 243.416 2.773 20 0 BFADHN CCC[C@H](C)N1CCC[C@@](O)(C(F)(F)F)C1 ZINC000337242286 386674595 /nfs/dbraw/zinc/67/45/95/386674595.db2.gz GKBIKKQDILHLOD-UWVGGRQHSA-N 0 3 239.281 2.564 20 0 BFADHN CCCCOCCN1CCCO[C@H](C(C)C)C1 ZINC000339826370 386679563 /nfs/dbraw/zinc/67/95/63/386679563.db2.gz PCWHZUDHZZSOGJ-AWEZNQCLSA-N 0 3 243.391 2.550 20 0 BFADHN CC[C@H]1CN(C)CCN1CCCc1ccccc1 ZINC000647954106 386679689 /nfs/dbraw/zinc/67/96/89/386679689.db2.gz ZTANDBSXYAJBLG-INIZCTEOSA-N 0 3 246.398 2.645 20 0 BFADHN COC1(CN2CC(C)(CC(F)F)C2)CCCC1 ZINC000648058910 386680215 /nfs/dbraw/zinc/68/02/15/386680215.db2.gz KKABEWHNJHVRBY-UHFFFAOYSA-N 0 3 247.329 2.923 20 0 BFADHN CC[C@@H](C)N(CCC1CCCC1)CC(=O)OC ZINC000337231489 386682048 /nfs/dbraw/zinc/68/20/48/386682048.db2.gz IWXSPCMFWHNTSK-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN CC[C@H](O)CN([C@H](C)c1ccco1)C1CC1 ZINC000268495779 386657923 /nfs/dbraw/zinc/65/79/23/386657923.db2.gz SWEJPESUZLWINP-PWSUYJOCSA-N 0 3 223.316 2.576 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2cnccn2)[C@H]1C ZINC000520358305 386657857 /nfs/dbraw/zinc/65/78/57/386657857.db2.gz NGTCJILCYNLFDL-FRRDWIJNSA-N 0 3 233.359 2.639 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2ccc3c(n2)CCC3)C1 ZINC000520356584 386658051 /nfs/dbraw/zinc/65/80/51/386658051.db2.gz BGDAZWKZGVGGOS-NEPJUHHUSA-N 0 3 230.355 2.801 20 0 BFADHN FCCCN1CC[C@H](c2ccccc2F)C1 ZINC000268872546 386709661 /nfs/dbraw/zinc/70/96/61/386709661.db2.gz GMBTVMUQSWCADD-NSHDSACASA-N 0 3 225.282 2.975 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@@H](C)c1ccccc1F ZINC000268881562 386710837 /nfs/dbraw/zinc/71/08/37/386710837.db2.gz LWYZNSYUWZQIJA-COPLHBTASA-N 0 3 239.334 2.883 20 0 BFADHN CCn1ccc(CNC[C@@H]2CCCC[C@@H]2C)n1 ZINC000414487967 386711277 /nfs/dbraw/zinc/71/12/77/386711277.db2.gz WDMWQSIYMKKWTN-STQMWFEESA-N 0 3 235.375 2.819 20 0 BFADHN CCc1ncc(CN(C)CCC(C)(C)C)cn1 ZINC000648059871 386685867 /nfs/dbraw/zinc/68/58/67/386685867.db2.gz QZBKULDXDMORAB-UHFFFAOYSA-N 0 3 235.375 2.907 20 0 BFADHN CC[C@H](CO)N[C@@H]1CC(C)(C)Cc2occc21 ZINC000268717211 386686854 /nfs/dbraw/zinc/68/68/54/386686854.db2.gz NCWFXQADSXUUKN-ZYHUDNBSSA-N 0 3 237.343 2.654 20 0 BFADHN CO[C@H](C)CCNCc1cc(F)c(F)cc1F ZINC000268981767 386688477 /nfs/dbraw/zinc/68/84/77/386688477.db2.gz RCETWONIAKXQDY-MRVPVSSYSA-N 0 3 247.260 2.619 20 0 BFADHN CC[C@@H](C)N(CCC(C)(C)C)CC(=O)OC ZINC000337259721 386691981 /nfs/dbraw/zinc/69/19/81/386691981.db2.gz VOTHWYPFFQVKAS-LLVKDONJSA-N 0 3 229.364 2.696 20 0 BFADHN Cc1csc([C@@H](C)NCCC[C@@H]2CCO2)n1 ZINC000638504036 386692582 /nfs/dbraw/zinc/69/25/82/386692582.db2.gz BUPOMMZBESMQBF-GHMZBOCLSA-N 0 3 240.372 2.671 20 0 BFADHN COc1cc(C)nc(CN2C[C@H](C)C[C@H](C)C2)c1 ZINC000339838689 386693631 /nfs/dbraw/zinc/69/36/31/386693631.db2.gz IETALWMEGNYNKL-TXEJJXNPSA-N 0 3 248.370 2.877 20 0 BFADHN c1cn2c(n1)[C@H](NC(C1CCC1)C1CCC1)CC2 ZINC000324943312 386694450 /nfs/dbraw/zinc/69/44/50/386694450.db2.gz RHJVONQKKPLVGM-CYBMUJFWSA-N 0 3 245.370 2.886 20 0 BFADHN CC[C@@H](C(=O)N[C@@H](C)c1ccc(C)cc1)N(C)C ZINC000268785056 386695016 /nfs/dbraw/zinc/69/50/16/386695016.db2.gz ZQDIQDSTNQUHDC-JSGCOSHPSA-N 0 3 248.370 2.512 20 0 BFADHN CCOc1ccccc1CN[C@@H](C)[C@@H](C)OC ZINC000268814927 386696825 /nfs/dbraw/zinc/69/68/25/386696825.db2.gz FWIYIBIBHBLUBW-NWDGAFQWSA-N 0 3 237.343 2.598 20 0 BFADHN Cc1nsc(C)c1CN1CCC[C@H](C)C1 ZINC000647956777 386697519 /nfs/dbraw/zinc/69/75/19/386697519.db2.gz FVEUXGXSIFVOPC-VIFPVBQESA-N 0 3 224.373 2.992 20 0 BFADHN C[C@H]1CCCN(C/C=C/c2ccncc2)C1 ZINC000335475667 386699479 /nfs/dbraw/zinc/69/94/79/386699479.db2.gz LKRZNNPXPVFQIG-LQPUYASZSA-N 0 3 216.328 2.827 20 0 BFADHN Cc1nsc(C)c1CN1CCC[C@@H](C)C1 ZINC000647956776 386699764 /nfs/dbraw/zinc/69/97/64/386699764.db2.gz FVEUXGXSIFVOPC-SECBINFHSA-N 0 3 224.373 2.992 20 0 BFADHN C[C@@H](CO)CN[C@H]1CC(C)(C)Cc2occc21 ZINC000268834088 386700976 /nfs/dbraw/zinc/70/09/76/386700976.db2.gz LHCULVBKIRRUDH-PWSUYJOCSA-N 0 3 237.343 2.511 20 0 BFADHN CCC(=O)CCCN1CC[C@@H](c2cccnc2)C1 ZINC000520575154 386701128 /nfs/dbraw/zinc/70/11/28/386701128.db2.gz CYAGBTUPJADJHX-CQSZACIVSA-N 0 3 246.354 2.630 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](CO)CC(C)C)o1 ZINC000268831622 386701642 /nfs/dbraw/zinc/70/16/42/386701642.db2.gz KSENCPGRYJQUBP-VXGBXAGGSA-N 0 3 239.359 2.900 20 0 BFADHN CCC(=O)CCCN1CC[C@H](c2cccnc2)C1 ZINC000520575151 386702035 /nfs/dbraw/zinc/70/20/35/386702035.db2.gz CYAGBTUPJADJHX-AWEZNQCLSA-N 0 3 246.354 2.630 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](CO)CC(C)C)o1 ZINC000268831617 386703075 /nfs/dbraw/zinc/70/30/75/386703075.db2.gz KSENCPGRYJQUBP-NEPJUHHUSA-N 0 3 239.359 2.900 20 0 BFADHN CC(C)[C@H](O)CN(Cc1ccco1)C(C)C ZINC000292652254 386703424 /nfs/dbraw/zinc/70/34/24/386703424.db2.gz PECFZOAOWDGAFF-CYBMUJFWSA-N 0 3 225.332 2.507 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)[C@H]2CCOC2)o1 ZINC000268847337 386704240 /nfs/dbraw/zinc/70/42/40/386704240.db2.gz AWISBCVPFLDYRI-WOPDTQHZSA-N 0 3 237.343 2.918 20 0 BFADHN Cc1c([C@H](C)NC2CC2)cnn1C(C)(C)C ZINC000191104159 386704565 /nfs/dbraw/zinc/70/45/65/386704565.db2.gz GSLAPPFXIFPUPU-VIFPVBQESA-N 0 3 221.348 2.760 20 0 BFADHN CCc1ccc([C@@H](C)NCCn2ccnc2C)o1 ZINC000268845811 386705733 /nfs/dbraw/zinc/70/57/33/386705733.db2.gz XAFDUIGZBIGYTJ-LLVKDONJSA-N 0 3 247.342 2.698 20 0 BFADHN Cc1c[nH]nc1CN[C@H](C)CCCC(F)(F)F ZINC000352851648 386731625 /nfs/dbraw/zinc/73/16/25/386731625.db2.gz IQUBFEQCYOONIV-SECBINFHSA-N 0 3 249.280 2.929 20 0 BFADHN O[C@H](CNCc1ccccc1Cl)C1CCC1 ZINC000316492277 259693977 /nfs/dbraw/zinc/69/39/77/259693977.db2.gz VSRNGNOHLLOJFR-CYBMUJFWSA-N 0 3 239.746 2.591 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H]1CCc2c1cccc2F ZINC000268976404 386732694 /nfs/dbraw/zinc/73/26/94/386732694.db2.gz GYOBJNRBYPRNMA-GPCCPHFNSA-N 0 3 237.318 2.826 20 0 BFADHN COc1cc(C)ccc1CN[C@H](C)[C@H](C)OC ZINC000269009294 386738902 /nfs/dbraw/zinc/73/89/02/386738902.db2.gz MBTUJXFSBKJNAN-NEPJUHHUSA-N 0 3 237.343 2.517 20 0 BFADHN CCn1cncc1CN1CC[C@H](C)C[C@@H](C)C1 ZINC000417779813 386712894 /nfs/dbraw/zinc/71/28/94/386712894.db2.gz HHFCDTJQGFVCEF-QWHCGFSZSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@@H](O)CCN[C@H](C)c1ccc2ccccc2n1 ZINC000268889209 386713176 /nfs/dbraw/zinc/71/31/76/386713176.db2.gz NQKWWBXERWPHAI-VXGBXAGGSA-N 0 3 244.338 2.656 20 0 BFADHN CCCc1cccc(CN2CC[C@H](O)C[C@@H]2C)c1 ZINC000292728347 386714702 /nfs/dbraw/zinc/71/47/02/386714702.db2.gz GANNEMUSBDQIKA-BBRMVZONSA-N 0 3 247.382 2.984 20 0 BFADHN CC[C@H](CN[C@H]1CCCOc2ccccc21)OC ZINC000353538399 386716090 /nfs/dbraw/zinc/71/60/90/386716090.db2.gz HQOAGBQQRRPQLZ-OCCSQVGLSA-N 0 3 249.354 2.915 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](CCO)C(C)C)o1 ZINC000268894919 386717463 /nfs/dbraw/zinc/71/74/63/386717463.db2.gz NOPINUWFONNUQT-AAEUAGOBSA-N 0 3 239.359 2.900 20 0 BFADHN CC[C@H](O)CN1CC[C@@H](C)C[C@@H]1c1ccco1 ZINC000247486829 386718790 /nfs/dbraw/zinc/71/87/90/386718790.db2.gz KQFICROVTNLLKK-FRRDWIJNSA-N 0 3 237.343 2.824 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1CCC[C@@H]1C1CC1 ZINC000414491351 386719363 /nfs/dbraw/zinc/71/93/63/386719363.db2.gz YTBIDGOEXCXXOI-CHWSQXEVSA-N 0 3 233.359 2.634 20 0 BFADHN CCn1cncc1CN1CCC[C@](C)(CC)C1 ZINC000417783930 386719776 /nfs/dbraw/zinc/71/97/76/386719776.db2.gz ZWZYXGCAGNHTCQ-AWEZNQCLSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCCC[C@@H]2C)ncn1 ZINC000292765060 386721111 /nfs/dbraw/zinc/72/11/11/386721111.db2.gz ILSBTASIDWCGPX-FZMZJTMJSA-N 0 3 233.359 2.796 20 0 BFADHN CCN1CCN(Cc2cccc(C)c2C)[C@H](C)C1 ZINC000348559270 386723408 /nfs/dbraw/zinc/72/34/08/386723408.db2.gz TXFJKYNFJGCSKP-CQSZACIVSA-N 0 3 246.398 2.829 20 0 BFADHN CC[C@H]1CCN1Cc1ccc2c(c1)ncn2C ZINC000292784140 386723768 /nfs/dbraw/zinc/72/37/68/386723768.db2.gz LUJUBPKUNJBFEF-LBPRGKRZSA-N 0 3 229.327 2.558 20 0 BFADHN CN(Cc1cccc(Cl)c1)[C@@H]1CCCOC1 ZINC000339865289 386726000 /nfs/dbraw/zinc/72/60/00/386726000.db2.gz HAZQWBLWGFJYBQ-CYBMUJFWSA-N 0 3 239.746 2.951 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2ccc3c(c2)OCCO3)C1 ZINC000353746337 386769317 /nfs/dbraw/zinc/76/93/17/386769317.db2.gz KCKNOHAWWSUXQC-VXGBXAGGSA-N 0 3 247.338 2.688 20 0 BFADHN Cc1ccc(C(=O)CCN2C[C@@H](C)[C@H]2C)cc1 ZINC000292919517 386748729 /nfs/dbraw/zinc/74/87/29/386748729.db2.gz GCPZHQRVXJAWFW-CHWSQXEVSA-N 0 3 231.339 2.908 20 0 BFADHN FC1(CNCCc2cc3ccccc3[nH]2)CC1 ZINC000527035285 386750682 /nfs/dbraw/zinc/75/06/82/386750682.db2.gz OJMZLTZDQFGWFX-UHFFFAOYSA-N 0 3 232.302 2.802 20 0 BFADHN Cc1ccc(NC(=O)CN2CC[C@H](C)[C@H]2C)cc1 ZINC000248344245 386755210 /nfs/dbraw/zinc/75/52/10/386755210.db2.gz LIAJAYWRHWJTJR-QWHCGFSZSA-N 0 3 246.354 2.664 20 0 BFADHN Cc1ccc2cc([C@@H](C)N[C@H](C)CO)oc2c1 ZINC000425948194 386755971 /nfs/dbraw/zinc/75/59/71/386755971.db2.gz ATCDNQSFJNZYOM-GHMZBOCLSA-N 0 3 233.311 2.773 20 0 BFADHN Cc1occc1CNCC(C)(C)OCC1CC1 ZINC000414501108 386756374 /nfs/dbraw/zinc/75/63/74/386756374.db2.gz GRIBMMJZVKODCZ-UHFFFAOYSA-N 0 3 237.343 2.883 20 0 BFADHN CC1(C)SC[C@@H]1NCc1cc(F)cc(F)c1 ZINC000282834264 386761139 /nfs/dbraw/zinc/76/11/39/386761139.db2.gz OGSZBHUUNRHEHD-NSHDSACASA-N 0 3 243.322 2.948 20 0 BFADHN CC(C)OCC(C)(C)NCc1cncc(F)c1 ZINC000396436612 386761645 /nfs/dbraw/zinc/76/16/45/386761645.db2.gz FKDNBSIFIAARQM-UHFFFAOYSA-N 0 3 240.322 2.514 20 0 BFADHN CC[C@@H]1CN(CC)CCN1[C@H]1C=CCCC1 ZINC000334634808 386766393 /nfs/dbraw/zinc/76/63/93/386766393.db2.gz POFNTQFPNRVYMY-KGLIPLIRSA-N 0 3 222.376 2.511 20 0 BFADHN CC[C@H]1CN(CC)CCN1[C@H]1C=CCCC1 ZINC000334634805 386766682 /nfs/dbraw/zinc/76/66/82/386766682.db2.gz POFNTQFPNRVYMY-KBPBESRZSA-N 0 3 222.376 2.511 20 0 BFADHN CN1CCC(Nc2ccc(C3CCC3)cn2)CC1 ZINC000293023083 386766703 /nfs/dbraw/zinc/76/67/03/386766703.db2.gz PCGTVMCDWWYCKG-UHFFFAOYSA-N 0 3 245.370 2.855 20 0 BFADHN CC(C)[C@@H]1CN([C@H](C)c2ccccn2)CCCO1 ZINC000293042216 386767873 /nfs/dbraw/zinc/76/78/73/386767873.db2.gz HEAMFJMGESTKCH-HIFRSBDPSA-N 0 3 248.370 2.890 20 0 BFADHN Cn1cc(CN2CCC[C@]3(CC=CCC3)C2)cn1 ZINC000114493551 386790681 /nfs/dbraw/zinc/79/06/81/386790681.db2.gz KGDCAFMIPXXZEW-OAHLLOKOSA-N 0 3 245.370 2.742 20 0 BFADHN Cc1ccoc1CNCC(C)(C)OCC1CC1 ZINC000414506072 386791445 /nfs/dbraw/zinc/79/14/45/386791445.db2.gz BUDUNEGIUPOVNV-UHFFFAOYSA-N 0 3 237.343 2.883 20 0 BFADHN CCn1cncc1CN1CC[C@H](C)C(C)(C)C1 ZINC000417986362 386792122 /nfs/dbraw/zinc/79/21/22/386792122.db2.gz IGSQUMFNBIQSLD-LBPRGKRZSA-N 0 3 235.375 2.771 20 0 BFADHN CC[C@@H](NCCC(C)C)c1ccn(C)n1 ZINC000336744043 386792170 /nfs/dbraw/zinc/79/21/70/386792170.db2.gz YUQPISPCGNZDAQ-LLVKDONJSA-N 0 3 209.337 2.507 20 0 BFADHN CC[C@]1(C)COCCN1CCc1ccccc1 ZINC000340875314 386795807 /nfs/dbraw/zinc/79/58/07/386795807.db2.gz HYZKOUBLOBNDDF-OAHLLOKOSA-N 0 3 233.355 2.730 20 0 BFADHN CC(C)C1(NCc2cn3ccccc3n2)CC1 ZINC000293244240 386778477 /nfs/dbraw/zinc/77/84/77/386778477.db2.gz ZZVFDDGYVCISJY-UHFFFAOYSA-N 0 3 229.327 2.613 20 0 BFADHN CCCCN(C)CCNC(=O)CC[C@H](C)CC ZINC000352864078 386779093 /nfs/dbraw/zinc/77/90/93/386779093.db2.gz UCBNJWULDKOZGK-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CC1(C)C[C@H](N[C@H](c2cccnc2)C2CC2)CO1 ZINC000417977883 386779436 /nfs/dbraw/zinc/77/94/36/386779436.db2.gz XXTDLOYSMRAAKV-KBPBESRZSA-N 0 3 246.354 2.690 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1ccsc1 ZINC000290128413 386782440 /nfs/dbraw/zinc/78/24/40/386782440.db2.gz JUXFTSAMSVFZBL-BBBLOLIVSA-N 0 3 213.346 2.822 20 0 BFADHN Cc1ccc(CNCC(C(C)C)C(C)C)nn1 ZINC000396577467 386782310 /nfs/dbraw/zinc/78/23/10/386782310.db2.gz QHZQIYHGHXOASA-UHFFFAOYSA-N 0 3 235.375 2.803 20 0 BFADHN CC(C)[C@@H]1N(Cc2ccnn2C)CC12CC=CC2 ZINC000648072147 386785916 /nfs/dbraw/zinc/78/59/16/386785916.db2.gz ORLLXEOFNPPRIR-AWEZNQCLSA-N 0 3 245.370 2.597 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC[C@@H](CO)C2)o1 ZINC000269373531 386786298 /nfs/dbraw/zinc/78/62/98/386786298.db2.gz UZEOSDLVZGTNHG-YRGRVCCFSA-N 0 3 249.354 2.607 20 0 BFADHN CCc1cccc(CN(C)C[C@@H](C)OC)c1 ZINC000353321963 386786835 /nfs/dbraw/zinc/78/68/35/386786835.db2.gz YOTGGUZRLDLGPJ-GFCCVEGCSA-N 0 3 221.344 2.716 20 0 BFADHN CN1CCC(Nc2ccnc3ccsc32)CC1 ZINC000269407752 386788934 /nfs/dbraw/zinc/78/89/34/386788934.db2.gz YJYJYYCREWXVFP-UHFFFAOYSA-N 0 3 247.367 2.802 20 0 BFADHN COC(=O)c1ccc(CN[C@]2(C)CC=CCC2)o1 ZINC000398390328 386818093 /nfs/dbraw/zinc/81/80/93/386818093.db2.gz WUGRDXLPNHGBJU-CQSZACIVSA-N 0 3 249.310 2.655 20 0 BFADHN CCn1cncc1CN1CC[C@H](C(C)(C)C)C1 ZINC000418002204 386821480 /nfs/dbraw/zinc/82/14/80/386821480.db2.gz LUCVMJWGRMATLD-LBPRGKRZSA-N 0 3 235.375 2.771 20 0 BFADHN CC[C@@H](C[C@@H](C)CO)NCc1ccc(Cl)o1 ZINC000336672792 386822042 /nfs/dbraw/zinc/82/20/42/386822042.db2.gz AUVVQFZMBYUTQW-ZJUUUORDSA-N 0 3 245.750 2.820 20 0 BFADHN Cc1cnc(CN2[C@H](C)C[C@@H]3CCCC[C@@H]32)nc1 ZINC000340891133 386798241 /nfs/dbraw/zinc/79/82/41/386798241.db2.gz NBJQTLKMQBYGCE-RDBSUJKOSA-N 0 3 245.370 2.938 20 0 BFADHN CCCN(CC(=O)OC)C[C@H]1CCCC[C@H]1C ZINC000526074033 386801537 /nfs/dbraw/zinc/80/15/37/386801537.db2.gz FXBKMMUSEXWZBB-CHWSQXEVSA-N 0 3 241.375 2.698 20 0 BFADHN Cc1nc(CN[C@H](c2ccccc2)C(C)C)n[nH]1 ZINC000292302413 386803526 /nfs/dbraw/zinc/80/35/26/386803526.db2.gz ZWYXCSAZLGULGF-AWEZNQCLSA-N 0 3 244.342 2.600 20 0 BFADHN CC(C)=CCN(C)[C@@H](CC(N)=O)c1ccccc1 ZINC000280377127 386808844 /nfs/dbraw/zinc/80/88/44/386808844.db2.gz KWHLISRMERPWGT-AWEZNQCLSA-N 0 3 246.354 2.501 20 0 BFADHN C[C@H](F)CCN1CCC=C(c2ccncc2)C1 ZINC000353205595 386809310 /nfs/dbraw/zinc/80/93/10/386809310.db2.gz VIHAGDKPLQWXII-LBPRGKRZSA-N 0 3 234.318 2.919 20 0 BFADHN CO[C@H](CN1C[C@@H](C)[C@H]1C)c1ccccc1 ZINC000293369320 386809558 /nfs/dbraw/zinc/80/95/58/386809558.db2.gz JBUAMTUAZQIFHP-YRGRVCCFSA-N 0 3 219.328 2.714 20 0 BFADHN CO[C@H](CN1C[C@@H](C)[C@@H]1C)c1ccccc1 ZINC000293369306 386809945 /nfs/dbraw/zinc/80/99/45/386809945.db2.gz JBUAMTUAZQIFHP-MBNYWOFBSA-N 0 3 219.328 2.714 20 0 BFADHN CCC[C@@H](CC)NC(=O)CN1[C@H](C)CC[C@@H]1C ZINC000344938854 386810020 /nfs/dbraw/zinc/81/00/20/386810020.db2.gz XKVZKPACKXIDBS-FRRDWIJNSA-N 0 3 240.391 2.554 20 0 BFADHN Cc1ccc(CCN2C[C@@H](C)N(C)[C@@H](C)C2)cc1 ZINC000293388400 386813001 /nfs/dbraw/zinc/81/30/01/386813001.db2.gz KJUINCVLOUUMPH-GASCZTMLSA-N 0 3 246.398 2.562 20 0 BFADHN CC[C@H](CN[C@H]1CCc2c1cccc2F)OC ZINC000290147029 386828634 /nfs/dbraw/zinc/82/86/34/386828634.db2.gz LBNPMCAHNHBEOZ-YGRLFVJLSA-N 0 3 237.318 2.828 20 0 BFADHN CC(C)CCNCc1cc(C(F)(F)F)n[nH]1 ZINC000336673299 386828649 /nfs/dbraw/zinc/82/86/49/386828649.db2.gz ONNYGOKZBMIPEQ-UHFFFAOYSA-N 0 3 235.253 2.564 20 0 BFADHN CC[C@@H](CN[C@H]1CCc2c1cccc2F)OC ZINC000290147022 386829172 /nfs/dbraw/zinc/82/91/72/386829172.db2.gz LBNPMCAHNHBEOZ-HZMBPMFUSA-N 0 3 237.318 2.828 20 0 BFADHN Cc1nc(C)c(CN2C[C@H](C)[C@@H]2C)s1 ZINC000293538889 386831061 /nfs/dbraw/zinc/83/10/61/386831061.db2.gz XUAYGCMWRSTKTC-CBAPKCEASA-N 0 3 210.346 2.600 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H]2C2CCCCC2)n(C)n1 ZINC000417959809 386844059 /nfs/dbraw/zinc/84/40/59/386844059.db2.gz DZBQUVKSIZIOLF-HUUCEWRRSA-N 0 3 247.386 2.787 20 0 BFADHN Cc1ccncc1[C@@H](C)NC[C@@]1(C)CCCO1 ZINC000357799292 386844918 /nfs/dbraw/zinc/84/49/18/386844918.db2.gz VETPNXQAOZIXEQ-TZMCWYRMSA-N 0 3 234.343 2.610 20 0 BFADHN CCN(Cc1cncn1C(C)C)CC(C)C ZINC000425332443 386845832 /nfs/dbraw/zinc/84/58/32/386845832.db2.gz ZEBOHENFIAACLR-UHFFFAOYSA-N 0 3 223.364 2.942 20 0 BFADHN CC(C)Cn1cc(CN2C[C@@H](C)[C@H](C)C2)cn1 ZINC000341165038 386849466 /nfs/dbraw/zinc/84/94/66/386849466.db2.gz RHDJPRJDMKTABK-CHWSQXEVSA-N 0 3 235.375 2.627 20 0 BFADHN c1nc(CN[C@H]2CCC[C@H]2C2CC2)cs1 ZINC000336674441 386851393 /nfs/dbraw/zinc/85/13/93/386851393.db2.gz AFBJGRLVHJHOAL-RYUDHWBXSA-N 0 3 222.357 2.811 20 0 BFADHN CC[C@H](O)CN[C@@H]1CCCOc2cc(C)ccc21 ZINC000293860354 386891953 /nfs/dbraw/zinc/89/19/53/386891953.db2.gz XTJCFZKQVFZJGA-GXTWGEPZSA-N 0 3 249.354 2.569 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3CC[C@@H](C)C3)cn2c1 ZINC000341362409 386893100 /nfs/dbraw/zinc/89/31/00/386893100.db2.gz PTJVNGMPXLWOIV-DGCLKSJQSA-N 0 3 243.354 2.921 20 0 BFADHN CC(C)(C)N(CC[C@H]1CCCCO1)CC(N)=O ZINC000330805488 386893675 /nfs/dbraw/zinc/89/36/75/386893675.db2.gz CAQYNKKFHAHIOV-LLVKDONJSA-N 0 3 242.363 2.581 20 0 BFADHN Cc1ccoc1CN1CCCO[C@H](C(C)C)C1 ZINC000293872971 386893983 /nfs/dbraw/zinc/89/39/83/386893983.db2.gz CRNSGLRCJYBPCM-ZDUSSCGKSA-N 0 3 237.343 2.835 20 0 BFADHN CCN(C/C=C/c1ccc(F)cc1)[C@@H](C)CO ZINC000293672015 386858165 /nfs/dbraw/zinc/85/81/65/386858165.db2.gz OXVXWHDYSTWVMQ-ITKZLYELSA-N 0 3 237.318 2.542 20 0 BFADHN CC[C@@H](O)[C@@H]1CCCCN1Cc1cccc(C)n1 ZINC000357910835 386862497 /nfs/dbraw/zinc/86/24/97/386862497.db2.gz CPYQHDJVUMBWLI-LSDHHAIUSA-N 0 3 248.370 2.515 20 0 BFADHN CCc1ncc(CN2CCC[C@H](C)CC2)cn1 ZINC000334828287 386864633 /nfs/dbraw/zinc/86/46/33/386864633.db2.gz ZUNQTSQCLSFANY-LBPRGKRZSA-N 0 3 233.359 2.661 20 0 BFADHN C[C@@H]1CC2(CCC2)CN1Cc1ccccn1 ZINC000335746953 386868707 /nfs/dbraw/zinc/86/87/07/386868707.db2.gz LZZUQOXYCWVXEE-GFCCVEGCSA-N 0 3 216.328 2.846 20 0 BFADHN COc1ccc(CN2C[C@@H]3CCCC[C@H]3C2)nc1 ZINC000335750205 386876301 /nfs/dbraw/zinc/87/63/01/386876301.db2.gz VMZNVYSWKXJHJC-STQMWFEESA-N 0 3 246.354 2.712 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)C[C@H](C)C2)nn1 ZINC000334837485 386880312 /nfs/dbraw/zinc/88/03/12/386880312.db2.gz UEGNASQVGFWOGD-RYUDHWBXSA-N 0 3 233.359 2.653 20 0 BFADHN CCn1cncc1CN1CCC[C@@H](C(C)C)C1 ZINC000418008872 386881301 /nfs/dbraw/zinc/88/13/01/386881301.db2.gz DVQOFXIUYUZNRR-CYBMUJFWSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1sccc1CN1CC[C@@H](n2cccn2)C1 ZINC000293802554 386882536 /nfs/dbraw/zinc/88/25/36/386882536.db2.gz DJTDVHXLPLZVEL-CYBMUJFWSA-N 0 3 247.367 2.700 20 0 BFADHN CCc1ncc(CN2CC[C@H](C)[C@@H](C)C2)cn1 ZINC000334842241 386887766 /nfs/dbraw/zinc/88/77/66/386887766.db2.gz VTNMNQXWOJCLAO-RYUDHWBXSA-N 0 3 233.359 2.517 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)CCC[C@@H]2C)nn1 ZINC000334842222 386888612 /nfs/dbraw/zinc/88/86/12/386888612.db2.gz VBHLBTSCFVEMIG-AAEUAGOBSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)CCC[C@H]2C)nn1 ZINC000334842224 386888867 /nfs/dbraw/zinc/88/88/67/386888867.db2.gz VBHLBTSCFVEMIG-WCQYABFASA-N 0 3 233.359 2.796 20 0 BFADHN FC(F)CC1CN(Cc2ccccc2)C1 ZINC000425359855 386915356 /nfs/dbraw/zinc/91/53/56/386915356.db2.gz TZJYETAQAPYNRG-UHFFFAOYSA-N 0 3 211.255 2.774 20 0 BFADHN Cc1cnn(C)c1CN(C)C1CCCCCC1 ZINC000335770487 386915717 /nfs/dbraw/zinc/91/57/17/386915717.db2.gz NDDUJIKOEFAQCY-UHFFFAOYSA-N 0 3 235.375 2.883 20 0 BFADHN Cc1ccc2nccc(N[C@@H]3CCOC3)c2c1 ZINC000302225186 386916310 /nfs/dbraw/zinc/91/63/10/386916310.db2.gz OMCZPFHSZDSHAJ-LLVKDONJSA-N 0 3 228.295 2.744 20 0 BFADHN CCc1cc(N[C@H](CC)CO)c2ccccc2n1 ZINC000302023905 386895620 /nfs/dbraw/zinc/89/56/20/386895620.db2.gz PEGCDSOZUINVQC-GFCCVEGCSA-N 0 3 244.338 2.980 20 0 BFADHN Cc1ccc(CNC2(C)CCCCC2)nn1 ZINC000334848609 386896121 /nfs/dbraw/zinc/89/61/21/386896121.db2.gz MVTDXKMCJHNKAG-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN COc1ccsc1CN1[C@H](C)C[C@H]1C ZINC000335760517 386899200 /nfs/dbraw/zinc/89/92/00/386899200.db2.gz UQESJSMPJKPNTK-RKDXNWHRSA-N 0 3 211.330 2.739 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc3c(n2)CCC3)CS1 ZINC000334852079 386903043 /nfs/dbraw/zinc/90/30/43/386903043.db2.gz FPCPNKZCHNHVCC-GXFFZTMASA-N 0 3 248.395 2.554 20 0 BFADHN Cc1ccc2nccc(N[C@H](C)[C@H](C)CO)c2c1 ZINC000302166560 386908016 /nfs/dbraw/zinc/90/80/16/386908016.db2.gz RNUMQEBURBJLMY-VXGBXAGGSA-N 0 3 244.338 2.972 20 0 BFADHN COC(C)(C)CN[C@@H]1CCCOc2ccccc21 ZINC000358194255 386910561 /nfs/dbraw/zinc/91/05/61/386910561.db2.gz BQZUCHGMAFNCRU-CYBMUJFWSA-N 0 3 249.354 2.915 20 0 BFADHN CC[C@@H](CO)Nc1ccnc2ccc(C)cc21 ZINC000302180032 386911090 /nfs/dbraw/zinc/91/10/90/386911090.db2.gz ZASNWOUHZGIPML-NSHDSACASA-N 0 3 230.311 2.726 20 0 BFADHN Cc1cccc(NCCN[C@@H](C)c2ccco2)n1 ZINC000358391777 386946837 /nfs/dbraw/zinc/94/68/37/386946837.db2.gz FQGXCJZYOXKNOI-LBPRGKRZSA-N 0 3 245.326 2.746 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@H](C)C1CCCCC1 ZINC000052342588 386926571 /nfs/dbraw/zinc/92/65/71/386926571.db2.gz HTJSEHDBBTWPIC-RISCZKNCSA-N 0 3 240.391 2.589 20 0 BFADHN CC(C)Cn1nccc1CN1C[C@H](C)C[C@@H]1C ZINC000648009921 386927270 /nfs/dbraw/zinc/92/72/70/386927270.db2.gz CFVCQYZKPCCKAU-OLZOCXBDSA-N 0 3 235.375 2.769 20 0 BFADHN Cc1cccc(CN2CCN3CCCC[C@@H]3C2)c1 ZINC000093952699 386928427 /nfs/dbraw/zinc/92/84/27/386928427.db2.gz DZGYYOWYMJTOIF-MRXNPFEDSA-N 0 3 244.382 2.665 20 0 BFADHN CCc1ncc(CN2CCCCC[C@H]2C)cn1 ZINC000334869740 386929163 /nfs/dbraw/zinc/92/91/63/386929163.db2.gz ZMNGVLIKNJGPDI-GFCCVEGCSA-N 0 3 233.359 2.804 20 0 BFADHN CC(C)Cn1nccc1CN1CC[C@H](C)[C@@H]1C ZINC000648012422 386942454 /nfs/dbraw/zinc/94/24/54/386942454.db2.gz DJHFXOJVKDMPHS-STQMWFEESA-N 0 3 235.375 2.769 20 0 BFADHN Cc1occc1CN1CCC[C@H](n2cccn2)C1 ZINC000334879745 386942938 /nfs/dbraw/zinc/94/29/38/386942938.db2.gz QIADKJYGWBWHLB-AWEZNQCLSA-N 0 3 245.326 2.622 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc(F)cc(F)c2)[C@@H](C)O1 ZINC000395164911 386954011 /nfs/dbraw/zinc/95/40/11/386954011.db2.gz OWKTVIALVIYVEK-JRKPZEMJSA-N 0 3 241.281 2.620 20 0 BFADHN CC[C@H](N)C(=O)N(C)c1cccc2ccccc21 ZINC000648018853 387007157 /nfs/dbraw/zinc/00/71/57/387007157.db2.gz IXCSTGRFJSDDET-ZDUSSCGKSA-N 0 3 242.322 2.540 20 0 BFADHN Cc1ccc(C)c(NC(=O)[C@H]2C[C@H](C)CCN2)c1 ZINC000125986374 386963239 /nfs/dbraw/zinc/96/32/39/386963239.db2.gz HPCMCTUNPOGSEG-BXUZGUMPSA-N 0 3 246.354 2.630 20 0 BFADHN Cc1c(CN2CCC(C(C)(C)C)CC2)cnn1C ZINC000352917769 386966029 /nfs/dbraw/zinc/96/60/29/386966029.db2.gz YPYVOWVEUUMTRN-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000352932242 386970598 /nfs/dbraw/zinc/97/05/98/386970598.db2.gz FJOOVXWKMIEYLW-RTXFEEFZSA-N 0 3 235.375 2.880 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCC[C@H](C)[C@H]1C ZINC000352932243 386971496 /nfs/dbraw/zinc/97/14/96/386971496.db2.gz FJOOVXWKMIEYLW-UHTWSYAYSA-N 0 3 235.375 2.880 20 0 BFADHN CCc1ccc(CN2CC([C@@H]3CCOC3)C2)cc1 ZINC000368442530 386973150 /nfs/dbraw/zinc/97/31/50/386973150.db2.gz PJOPBPHRQASUPO-OAHLLOKOSA-N 0 3 245.366 2.717 20 0 BFADHN CCc1ccc([C@@H](C)NCCc2cnccn2)o1 ZINC000341882214 386985764 /nfs/dbraw/zinc/98/57/64/386985764.db2.gz CKSZALXODPPUKT-LLVKDONJSA-N 0 3 245.326 2.525 20 0 BFADHN CC(C)C[C@@H]1COCCN1CCCCCF ZINC000341887561 386985893 /nfs/dbraw/zinc/98/58/93/386985893.db2.gz ZFMAJJPKBOQADF-CYBMUJFWSA-N 0 3 231.355 2.873 20 0 BFADHN CC(C)(C)[C@@H]1CCN(Cc2ccccc2)C[C@@H]1O ZINC000352998761 386987160 /nfs/dbraw/zinc/98/71/60/386987160.db2.gz FBVLIWYLKUVCDQ-CABCVRRESA-N 0 3 247.382 2.916 20 0 BFADHN COC(=O)[C@H]1C[C@@H](C)CCN1CCC(C)(C)C ZINC000341900509 386997554 /nfs/dbraw/zinc/99/75/54/386997554.db2.gz AJZYLANHQOGWLG-NWDGAFQWSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1nocc1CN(C)[C@H]1CC[C@@H](C)C1 ZINC000334929324 387005334 /nfs/dbraw/zinc/00/53/34/387005334.db2.gz QTNVBSISRKNETC-SKDRFNHKSA-N 0 3 208.305 2.603 20 0 BFADHN COc1nccc(CN[C@@H](C)C2CCCCC2)n1 ZINC000395156752 386948729 /nfs/dbraw/zinc/94/87/29/386948729.db2.gz QZMZKPNKWLHNTB-NSHDSACASA-N 0 3 249.358 2.544 20 0 BFADHN Cc1ccncc1CNCC1(C(F)(F)F)CC1 ZINC000353056899 387032667 /nfs/dbraw/zinc/03/26/67/387032667.db2.gz VZMAEVHXISFENZ-UHFFFAOYSA-N 0 3 244.260 2.822 20 0 BFADHN COc1cccc(CN(C)C[C@H](OC)C2CC2)c1 ZINC000425381553 387033963 /nfs/dbraw/zinc/03/39/63/387033963.db2.gz FWSKJUZSYQCLGV-HNNXBMFYSA-N 0 3 249.354 2.552 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1sc(C)nc1C ZINC000191651786 387039472 /nfs/dbraw/zinc/03/94/72/387039472.db2.gz OZASNAWQMOZHEU-XKSSXDPKSA-N 0 3 242.388 2.834 20 0 BFADHN CC(C)(C)c1nnc(C[NH2+]C2CC3(CCC3)C2)[n-]1 ZINC000333839419 387058910 /nfs/dbraw/zinc/05/89/10/387058910.db2.gz NFDFLFRRDSRJDE-UHFFFAOYSA-N 0 3 248.374 2.525 20 0 BFADHN Cc1occc1CNCCOCC1CCCC1 ZINC000321254442 387059721 /nfs/dbraw/zinc/05/97/21/387059721.db2.gz OZLCXSYZFLMCBG-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN Cc1nccc(CN2CCCCC[C@H]2C)n1 ZINC000334973659 387059841 /nfs/dbraw/zinc/05/98/41/387059841.db2.gz NVXLISSLKHKHDA-LLVKDONJSA-N 0 3 219.332 2.550 20 0 BFADHN Cc1nocc1CN1CCCCC[C@H]1C ZINC000334973917 387060401 /nfs/dbraw/zinc/06/04/01/387060401.db2.gz YJELZVIMXJKSRG-SNVBAGLBSA-N 0 3 208.305 2.748 20 0 BFADHN Fc1cccc(CN2CCC[C@@]3(CCOC3)C2)c1 ZINC000336223339 387063621 /nfs/dbraw/zinc/06/36/21/387063621.db2.gz XALICSMQYLFFLH-OAHLLOKOSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1ccc(CN2CCC[C@]3(CCOC3)C2)o1 ZINC000336223157 387064007 /nfs/dbraw/zinc/06/40/07/387064007.db2.gz GOGXGEWMUJYJRM-AWEZNQCLSA-N 0 3 235.327 2.591 20 0 BFADHN CO[C@@H]1CC[C@H](NCc2c(F)cccc2F)C1 ZINC000190940090 387067497 /nfs/dbraw/zinc/06/74/97/387067497.db2.gz XHPFTZFITGNKBK-VHSXEESVSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H](O)C[C@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC000228067688 387064136 /nfs/dbraw/zinc/06/41/36/387064136.db2.gz BTJACJLGUJYHIJ-GUBZILKMSA-N 0 3 243.297 2.775 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H](C)C[C@@H](C)O ZINC000228081762 387064912 /nfs/dbraw/zinc/06/49/12/387064912.db2.gz RWTHJKUGEAGXMK-TUAOUCFPSA-N 0 3 237.343 2.505 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2cc(C)nn2C)C1 ZINC000418118801 387065090 /nfs/dbraw/zinc/06/50/90/387065090.db2.gz UFQCGAMRVLFNTC-QWHCGFSZSA-N 0 3 235.375 2.739 20 0 BFADHN C/C(Cl)=C\CN1CC[C@H](C)C[C@@H]1[C@@H](C)O ZINC000528476579 387009363 /nfs/dbraw/zinc/00/93/63/387009363.db2.gz UZZXIIUNLHIRHH-ZGGXEWKVSA-N 0 3 231.767 2.610 20 0 BFADHN Cc1cc(CN2CCC3(C2)CCCCC3)n(C)n1 ZINC000334936845 387011876 /nfs/dbraw/zinc/01/18/76/387011876.db2.gz LLNNESSSKTXFCJ-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H]1COCc2ccccc21 ZINC000425371587 387012173 /nfs/dbraw/zinc/01/21/73/387012173.db2.gz QLGCCPDREMUKEZ-MELADBBJSA-N 0 3 249.379 2.742 20 0 BFADHN C[C@@H]1CCCN(Cc2ccncc2F)[C@H]1C ZINC000336227407 387067502 /nfs/dbraw/zinc/06/75/02/387067502.db2.gz BUMAHIWTVCCOBK-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN CC(C)C[C@@H](N[C@H]1C[C@@](C)(O)C1)c1ccccn1 ZINC000417398834 387016992 /nfs/dbraw/zinc/01/69/92/387016992.db2.gz HVMZRWJBBBPCSL-YUELXQCFSA-N 0 3 248.370 2.672 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2C(C)C)no1 ZINC000117348573 387018757 /nfs/dbraw/zinc/01/87/57/387018757.db2.gz UXIHCTMNYBZFGP-ZDUSSCGKSA-N 0 3 222.332 2.994 20 0 BFADHN c1cncc([C@H](NC[C@@H]2CCCCO2)C2CC2)c1 ZINC000527324513 387022842 /nfs/dbraw/zinc/02/28/42/387022842.db2.gz NAQFVBPSZZCWSZ-LSDHHAIUSA-N 0 3 246.354 2.691 20 0 BFADHN CC[C@@H](Cc1cccs1)NCc1ccno1 ZINC000648023259 387023877 /nfs/dbraw/zinc/02/38/77/387023877.db2.gz NDBQAJFURFCEBC-JTQLQIEISA-N 0 3 236.340 2.847 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1ccc(C)c(C)n1 ZINC000425366644 387023915 /nfs/dbraw/zinc/02/39/15/387023915.db2.gz GDMCBTSAUUPXKT-CHWSQXEVSA-N 0 3 236.384 2.682 20 0 BFADHN Cc1ccc2[nH]c(SC[C@H](C)N(C)C)nc2c1 ZINC000358851724 387024752 /nfs/dbraw/zinc/02/47/52/387024752.db2.gz HZXZYMHDUJONAN-JTQLQIEISA-N 0 3 249.383 2.914 20 0 BFADHN CC(C)[C@H]1CCC[C@@H]1NCc1ccno1 ZINC000648023317 387026558 /nfs/dbraw/zinc/02/65/58/387026558.db2.gz UFKGCLJNDCTHKF-NEPJUHHUSA-N 0 3 208.305 2.589 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H]1NCc1ccno1 ZINC000648023319 387026921 /nfs/dbraw/zinc/02/69/21/387026921.db2.gz UFKGCLJNDCTHKF-RYUDHWBXSA-N 0 3 208.305 2.589 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CC[C@](C)(CC)C1 ZINC000399491791 387027140 /nfs/dbraw/zinc/02/71/40/387027140.db2.gz UZAXFFCXOFWZQF-OCCSQVGLSA-N 0 3 241.375 2.840 20 0 BFADHN COc1cc(C)c(CN2CCC[C@@H]2C)c(C)n1 ZINC000359223821 387070126 /nfs/dbraw/zinc/07/01/26/387070126.db2.gz JRGVEVPKZPWVFY-NSHDSACASA-N 0 3 234.343 2.691 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2cnc(C3CC3)nc2)C[C@H]1C ZINC000418120525 387074315 /nfs/dbraw/zinc/07/43/15/387074315.db2.gz HZIVMXFEUGMPSX-IJLUTSLNSA-N 0 3 245.370 2.830 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cnc(C2CC2)nc1 ZINC000418120523 387074655 /nfs/dbraw/zinc/07/46/55/387074655.db2.gz HZIVMXFEUGMPSX-GRYCIOLGSA-N 0 3 245.370 2.830 20 0 BFADHN CCn1cncc1CN(C)[C@@H]1CCC(C)(C)C1 ZINC000418048025 387076105 /nfs/dbraw/zinc/07/61/05/387076105.db2.gz RHRKQHNWMBZUHH-GFCCVEGCSA-N 0 3 235.375 2.914 20 0 BFADHN CCC(CC)N(CC)Cc1cncn1CC ZINC000418056117 387076658 /nfs/dbraw/zinc/07/66/58/387076658.db2.gz DEJHBCAKJMKSRB-UHFFFAOYSA-N 0 3 223.364 2.914 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1nnc(C2CC2)[nH]1 ZINC000118948563 387082049 /nfs/dbraw/zinc/08/20/49/387082049.db2.gz VNCDPGAHHYGPKB-JQWIXIFHSA-N 0 3 248.374 2.741 20 0 BFADHN c1cc(-c2ccc(CNC[C@H]3CC34CC4)o2)n[nH]1 ZINC000418100466 387130761 /nfs/dbraw/zinc/13/07/61/387130761.db2.gz RBJQPEVOXYFICU-SNVBAGLBSA-N 0 3 243.310 2.559 20 0 BFADHN C[C@H](NC[C@H]1CC=CCC1)c1ccon1 ZINC000336765769 387083037 /nfs/dbraw/zinc/08/30/37/387083037.db2.gz RMPQTEQZIJVBEK-QWRGUYRKSA-N 0 3 206.289 2.682 20 0 BFADHN CCOC(=O)[C@H]1CCCCN1CC(CC)CC ZINC000148952352 387086853 /nfs/dbraw/zinc/08/68/53/387086853.db2.gz HTXWNDNTDTWCNM-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cncn1CC ZINC000418075826 387087097 /nfs/dbraw/zinc/08/70/97/387087097.db2.gz FPMPVCGJHKOPJB-LBPRGKRZSA-N 0 3 223.364 2.914 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(C)nc2C)C[C@@H]1C ZINC000336257594 387088309 /nfs/dbraw/zinc/08/83/09/387088309.db2.gz OYEGRJMUQXUTMM-NHYWBVRUSA-N 0 3 248.370 2.555 20 0 BFADHN CO[C@@H](CNCc1cnccc1C)CC(C)C ZINC000418099627 387131169 /nfs/dbraw/zinc/13/11/69/387131169.db2.gz QCTPDPHARJHMGF-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN COC[C@H](CC(C)C)N[C@@H](C)c1ccccn1 ZINC000359367754 387093536 /nfs/dbraw/zinc/09/35/36/387093536.db2.gz DYBCTXXLKPFPNA-STQMWFEESA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1cc(C)nn1C ZINC000418084874 387094376 /nfs/dbraw/zinc/09/43/76/387094376.db2.gz RZRSEJLPTLUKLP-KBPBESRZSA-N 0 3 235.375 2.643 20 0 BFADHN CCn1nncc1CNCC(C)(C)C1=CCCC1 ZINC000418085479 387094878 /nfs/dbraw/zinc/09/48/78/387094878.db2.gz VXFSQQZHAOOGTB-UHFFFAOYSA-N 0 3 248.374 2.524 20 0 BFADHN CC1(C)[C@H](O)CCN1Cc1ccc2occc2c1 ZINC000335001752 387095868 /nfs/dbraw/zinc/09/58/68/387095868.db2.gz OHJMJNFDLIZGDW-CQSZACIVSA-N 0 3 245.322 2.778 20 0 BFADHN CCn1cncc1CN[C@H](C)c1cccs1 ZINC000085183042 387097080 /nfs/dbraw/zinc/09/70/80/387097080.db2.gz IAXKABKCJJNZEB-SNVBAGLBSA-N 0 3 235.356 2.815 20 0 BFADHN COc1ncccc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000193373528 387097910 /nfs/dbraw/zinc/09/79/10/387097910.db2.gz UOFUTNLIVFNZBC-BETUJISGSA-N 0 3 246.354 2.712 20 0 BFADHN CCCCN(C)Cc1ccc(C)nc1C ZINC000186459993 387098156 /nfs/dbraw/zinc/09/81/56/387098156.db2.gz PYMHKRRKTRCLHX-UHFFFAOYSA-N 0 3 206.333 2.930 20 0 BFADHN C[C@H](F)CCN1CCCC[C@@H]1c1cnn(C)c1 ZINC000352352469 387099570 /nfs/dbraw/zinc/09/95/70/387099570.db2.gz JBWJBZLELRQIME-WCQYABFASA-N 0 3 239.338 2.695 20 0 BFADHN C[C@@H](F)CCN1CCCC[C@H]1c1cnn(C)c1 ZINC000352352470 387099874 /nfs/dbraw/zinc/09/98/74/387099874.db2.gz JBWJBZLELRQIME-YPMHNXCESA-N 0 3 239.338 2.695 20 0 BFADHN C[C@]1(F)CCCN(CC2CCC(=O)CC2)C1 ZINC000336266687 387100223 /nfs/dbraw/zinc/10/02/23/387100223.db2.gz CGNBBWYZTOHPGL-ZDUSSCGKSA-N 0 3 227.323 2.570 20 0 BFADHN COc1ccc(F)cc1CNC[C@H]1CC12CC2 ZINC000418093333 387100729 /nfs/dbraw/zinc/10/07/29/387100729.db2.gz JAJOMNBRLHLODA-LLVKDONJSA-N 0 3 235.302 2.724 20 0 BFADHN c1sc(C2CC2)nc1CNC[C@@H]1CC12CC2 ZINC000418092788 387100739 /nfs/dbraw/zinc/10/07/39/387100739.db2.gz ICAGOTWYDMGLJP-JTQLQIEISA-N 0 3 234.368 2.910 20 0 BFADHN C[C@@H]1COCCN(Cc2cc3ccccc3o2)C1 ZINC000334945196 387106036 /nfs/dbraw/zinc/10/60/36/387106036.db2.gz GVFZICVJRMLKJK-LBPRGKRZSA-N 0 3 245.322 2.901 20 0 BFADHN Cc1nocc1CN1CCC[C@@H]1C(C)(C)C ZINC000334945376 387106919 /nfs/dbraw/zinc/10/69/19/387106919.db2.gz XDAKHNBEJLOPRB-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@H](CNc1nc2ccccc2o1)N(C)C1CC1 ZINC000068913440 387107182 /nfs/dbraw/zinc/10/71/82/387107182.db2.gz PWJXQSUXBVQVGQ-SNVBAGLBSA-N 0 3 245.326 2.722 20 0 BFADHN CC[C@H](NC[C@@H](O)C(F)(F)F)c1ccccc1 ZINC000068879877 387107991 /nfs/dbraw/zinc/10/79/91/387107991.db2.gz UWLNQYPKELZDPB-WDEREUQCSA-N 0 3 247.260 2.651 20 0 BFADHN C[C@@H]1CCCN(Cc2cn3ccccc3n2)CC1 ZINC000068855735 387108582 /nfs/dbraw/zinc/10/85/82/387108582.db2.gz WCECUEOFBWRAIX-CYBMUJFWSA-N 0 3 243.354 2.956 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H]1COCc2ccccc21 ZINC000418097812 387109719 /nfs/dbraw/zinc/10/97/19/387109719.db2.gz AABPLVLANXFJOL-SWLSCSKDSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@H](c1cccc(F)c1)N(C)C[C@@H]1CCCO1 ZINC000069070952 387113250 /nfs/dbraw/zinc/11/32/50/387113250.db2.gz VTYMXQIMFARQKC-RISCZKNCSA-N 0 3 237.318 2.998 20 0 BFADHN Cc1n[nH]cc1CNCC1(C(F)(F)F)CCC1 ZINC000353091645 387115011 /nfs/dbraw/zinc/11/50/11/387115011.db2.gz CHCVVQALAPOYAD-UHFFFAOYSA-N 0 3 247.264 2.540 20 0 BFADHN CSCCN1C[C@@H](C)C[C@@H]1c1cccnc1 ZINC000370446761 387117027 /nfs/dbraw/zinc/11/70/27/387117027.db2.gz HBOLASREBQSLDW-WCQYABFASA-N 0 3 236.384 2.828 20 0 BFADHN C[C@@H](N[C@H]1CCSC1)c1ccncc1Cl ZINC000335026531 387119336 /nfs/dbraw/zinc/11/93/36/387119336.db2.gz PKVLOWCIUYHAFU-BDAKNGLRSA-N 0 3 242.775 2.891 20 0 BFADHN C[C@@H]1CCCC[C@@]1(C)NCc1nnc(C2CC2)[nH]1 ZINC000459616019 387122014 /nfs/dbraw/zinc/12/20/14/387122014.db2.gz PXXXLVQYCKIRMQ-QMTHXVAHSA-N 0 3 248.374 2.741 20 0 BFADHN Cc1cc(C)c2c(c1)CN(C[C@@H]1CCCO1)CC2 ZINC000186699796 387122782 /nfs/dbraw/zinc/12/27/82/387122782.db2.gz HBPONPAGFHLSMJ-HNNXBMFYSA-N 0 3 245.366 2.841 20 0 BFADHN CCCCC(=O)NCc1ccc(CN(C)C)cc1 ZINC000072749282 387126012 /nfs/dbraw/zinc/12/60/12/387126012.db2.gz JEGWRZBIQQXTHW-UHFFFAOYSA-N 0 3 248.370 2.555 20 0 BFADHN C[C@@H](NCC1(C(F)F)CC1)c1ccco1 ZINC000336766933 387126625 /nfs/dbraw/zinc/12/66/25/387126625.db2.gz SORRNYKEDJHJQO-MRVPVSSYSA-N 0 3 215.243 2.976 20 0 BFADHN CCC1(C)CN(Cc2ccc(C(=O)OC)cc2)C1 ZINC000322393469 259706362 /nfs/dbraw/zinc/70/63/62/259706362.db2.gz MXRZGYWEGDDRAN-UHFFFAOYSA-N 0 3 247.338 2.705 20 0 BFADHN CCC1(C)CCN(Cc2c[nH]nc2C)CC1 ZINC000353128139 387142894 /nfs/dbraw/zinc/14/28/94/387142894.db2.gz QOJZEUKMFLDULT-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN CCC1(C)CCN(Cc2cnn(C)c2C)CC1 ZINC000353128767 387143800 /nfs/dbraw/zinc/14/38/00/387143800.db2.gz SMHKXBOAGDTYLI-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN COc1cc(C)c(CNCC=C(C)C)c(C)n1 ZINC000359679061 387144744 /nfs/dbraw/zinc/14/47/44/387144744.db2.gz XCSHTXHFNIGXFN-UHFFFAOYSA-N 0 3 234.343 2.763 20 0 BFADHN CCC1CCN(Cc2cccc(OC)n2)CC1 ZINC000353140147 387145251 /nfs/dbraw/zinc/14/52/51/387145251.db2.gz OPJNQDCKKUAPJH-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCC[C@H](C)CN1CCO[C@H](C(C)C)C1 ZINC000353170615 387146916 /nfs/dbraw/zinc/14/69/16/387146916.db2.gz IFFVNKMORJQQEH-STQMWFEESA-N 0 3 213.365 2.779 20 0 BFADHN CCN(Cc1ccc(C)nc1C)C[C@@H]1CCCO1 ZINC000186894059 387151571 /nfs/dbraw/zinc/15/15/71/387151571.db2.gz QWAPJLAEKHYRQS-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN COc1cc(C)nc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)c1 ZINC000418126004 387153798 /nfs/dbraw/zinc/15/37/98/387153798.db2.gz KQLKLXMQJPUPAN-UHTWSYAYSA-N 0 3 248.370 2.875 20 0 BFADHN CC(C)(C)OC(=O)CCN1CCCC2(CC2)C1 ZINC000186974428 387155516 /nfs/dbraw/zinc/15/55/16/387155516.db2.gz WEKPWFPZOJCYIW-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN CC1(C)CC[C@H]1NCc1cn2ccccc2n1 ZINC000284206008 387160520 /nfs/dbraw/zinc/16/05/20/387160520.db2.gz GSKKMMXXHUQWJM-GFCCVEGCSA-N 0 3 229.327 2.613 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C(C)(C)C)C2)cn1 ZINC000336338814 387163055 /nfs/dbraw/zinc/16/30/55/387163055.db2.gz FGPVRWOZTVZOCU-GFCCVEGCSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1cnc(CN2CC[C@H](C(C)(C)C)C2)cn1 ZINC000336338816 387163433 /nfs/dbraw/zinc/16/34/33/387163433.db2.gz FGPVRWOZTVZOCU-LBPRGKRZSA-N 0 3 233.359 2.653 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cn2ccccc2n1 ZINC000418142825 387163945 /nfs/dbraw/zinc/16/39/45/387163945.db2.gz ZYDCTOFVPCOLTJ-FRRDWIJNSA-N 0 3 243.354 2.811 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2cscn2)C[C@H]1C ZINC000418141484 387164725 /nfs/dbraw/zinc/16/47/25/387164725.db2.gz YBDAYTOBAFXNML-OPRDCNLKSA-N 0 3 210.346 2.619 20 0 BFADHN CCc1ccc(CN(C)CCCCOC)cn1 ZINC000418145498 387167670 /nfs/dbraw/zinc/16/76/70/387167670.db2.gz NGYHFIOKYWALTR-UHFFFAOYSA-N 0 3 236.359 2.502 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2nccs2)C[C@H]1C ZINC000418135791 387170376 /nfs/dbraw/zinc/17/03/76/387170376.db2.gz REUDCKRCXGGPKC-OPRDCNLKSA-N 0 3 210.346 2.619 20 0 BFADHN CN(C/C=C/Cl)CC1(O)CCCCCC1 ZINC000187343041 387182354 /nfs/dbraw/zinc/18/23/54/387182354.db2.gz LXDGRHUOJCFNPF-RMKNXTFCSA-N 0 3 231.767 2.756 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]([C@@H]3CCOC3)C2)o1 ZINC000336352392 387182456 /nfs/dbraw/zinc/18/24/56/387182456.db2.gz XHIGQEBXDMOLRF-ZIAGYGMSSA-N 0 3 249.354 2.837 20 0 BFADHN CC[C@H](COC)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000251121698 387184017 /nfs/dbraw/zinc/18/40/17/387184017.db2.gz WMTZBHYMEBEQBZ-WZRBSPASSA-N 0 3 237.343 2.918 20 0 BFADHN CCc1ccc(CNC[C@@H](CC(C)C)OC)o1 ZINC000418104376 387133491 /nfs/dbraw/zinc/13/34/91/387133491.db2.gz UQQGOIYYQZQAIK-CQSZACIVSA-N 0 3 239.359 2.993 20 0 BFADHN CC[C@@H](NCc1n[nH]cc1C)[C@H]1CC1(C)C ZINC000418105298 387135178 /nfs/dbraw/zinc/13/51/78/387135178.db2.gz LJPBXEMOVMIYEY-GHMZBOCLSA-N 0 3 221.348 2.632 20 0 BFADHN Cc1occc1CNC[C@H]1CCCCS1 ZINC000321342988 387135431 /nfs/dbraw/zinc/13/54/31/387135431.db2.gz BQIZUJYTVVUWAL-GFCCVEGCSA-N 0 3 225.357 2.963 20 0 BFADHN CO[C@@H](CNCc1cccc(O)c1)CC(C)C ZINC000418105577 387136305 /nfs/dbraw/zinc/13/63/05/387136305.db2.gz WGXYDCCAZFHEPU-CQSZACIVSA-N 0 3 237.343 2.543 20 0 BFADHN Cc1occc1CNCCc1ccsc1 ZINC000321361928 387136409 /nfs/dbraw/zinc/13/64/09/387136409.db2.gz DUSDQEHIQQFIKP-UHFFFAOYSA-N 0 3 221.325 2.982 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccc(C)o2)CC1 ZINC000370709097 387136798 /nfs/dbraw/zinc/13/67/98/387136798.db2.gz QXKPMDPUJMFCPX-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN CCCC[C@H](CCC)CNC(=O)[C@H](N)C(C)C ZINC000119558630 387139938 /nfs/dbraw/zinc/13/99/38/387139938.db2.gz FLVFPYARIXVOJU-QWHCGFSZSA-N 0 3 242.407 2.692 20 0 BFADHN CC[C@H](NC[C@H](C)C(F)(F)F)c1cnn(C)c1 ZINC000359963140 387202446 /nfs/dbraw/zinc/20/24/46/387202446.db2.gz JEWFDWVTIAAHKX-WPRPVWTQSA-N 0 3 249.280 2.659 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2nnc(C3CC3)[nH]2)[C@@H]1C ZINC000187575076 387204616 /nfs/dbraw/zinc/20/46/16/387204616.db2.gz HMCYCFZRVZHJTQ-CKYFFXLPSA-N 0 3 248.374 2.596 20 0 BFADHN CC[C@]1(O)CCN(Cc2ccc3occc3c2)C1 ZINC000336373590 387205727 /nfs/dbraw/zinc/20/57/27/387205727.db2.gz GSSQBRRWEKNDHD-HNNXBMFYSA-N 0 3 245.322 2.780 20 0 BFADHN CCN(Cc1cc(C)cc(Cl)c1)C[C@@H](C)O ZINC000353461703 387206159 /nfs/dbraw/zinc/20/61/59/387206159.db2.gz NKFJLMZPVJPWTD-LLVKDONJSA-N 0 3 241.762 2.851 20 0 BFADHN CC[C@@H](O)CN[C@H]1CCSc2ccccc21 ZINC000229963664 387208460 /nfs/dbraw/zinc/20/84/60/387208460.db2.gz KZHCXZYFARPVBQ-PWSUYJOCSA-N 0 3 237.368 2.584 20 0 BFADHN c1n[nH]cc1[C@H]1CCCN1CC[C@H]1CCCCO1 ZINC000639775371 387252645 /nfs/dbraw/zinc/25/26/45/387252645.db2.gz AMXNNTUANNZMBP-ZIAGYGMSSA-N 0 3 249.358 2.506 20 0 BFADHN CO[C@@H]1CC[C@@H](N[C@@H](C)c2cc(C)ccn2)C1 ZINC000353666951 387252657 /nfs/dbraw/zinc/25/26/57/387252657.db2.gz AXKBPSVXEGTIDU-YNEHKIRRSA-N 0 3 234.343 2.608 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1ccccc1OC)OC ZINC000290036100 387209297 /nfs/dbraw/zinc/20/92/97/387209297.db2.gz DEIUKTUQYZPBAI-RYUDHWBXSA-N 0 3 237.343 2.771 20 0 BFADHN CN(Cc1cc2ccccc2o1)CC1(O)CCC1 ZINC000353484324 387212530 /nfs/dbraw/zinc/21/25/30/387212530.db2.gz JSVXSOSXFSOIGQ-UHFFFAOYSA-N 0 3 245.322 2.780 20 0 BFADHN Cc1noc(C)c1CN(C)[C@H]1CC[C@@H](C)C1 ZINC000336382112 387213494 /nfs/dbraw/zinc/21/34/94/387213494.db2.gz DHLYDPDEDQKYDE-SKDRFNHKSA-N 0 3 222.332 2.912 20 0 BFADHN CO[C@@H](C)CN1CCC[C@@H](Oc2ccccc2)C1 ZINC000360016523 387213572 /nfs/dbraw/zinc/21/35/72/387213572.db2.gz NHSZIDGBPKMBAK-DZGCQCFKSA-N 0 3 249.354 2.565 20 0 BFADHN C[C@@H]1CCCCN(Cc2cnc3ccccn23)C1 ZINC000187972256 387222832 /nfs/dbraw/zinc/22/28/32/387222832.db2.gz LPSYBBHNWPPBJM-CYBMUJFWSA-N 0 3 243.354 2.956 20 0 BFADHN CO[C@H](CNCc1cccc(Cl)c1)C1CC1 ZINC000292871779 387224369 /nfs/dbraw/zinc/22/43/69/387224369.db2.gz BLCODUHTOROYMY-CYBMUJFWSA-N 0 3 239.746 2.855 20 0 BFADHN Cc1ccc(CCN2CCOCC3(CC3)C2)cc1 ZINC000360086022 387224857 /nfs/dbraw/zinc/22/48/57/387224857.db2.gz QTBMCGXYLRIODE-UHFFFAOYSA-N 0 3 245.366 2.650 20 0 BFADHN Cc1nc(CN[C@H]2[C@H](C)CCC[C@@H]2C)[nH]c1C ZINC000572060105 387226033 /nfs/dbraw/zinc/22/60/33/387226033.db2.gz QVAOWRDJPMHPAO-MSRIBSCDSA-N 0 3 235.375 2.941 20 0 BFADHN CC[C@H](CN[C@H](c1cccnc1)C(C)C)OC ZINC000353557703 387230032 /nfs/dbraw/zinc/23/00/32/387230032.db2.gz IBJYSPFJIJRMDD-KGLIPLIRSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H]1CN(C(C)C)CCN1Cc1ccccc1 ZINC000353579394 387233617 /nfs/dbraw/zinc/23/36/17/387233617.db2.gz FUVBVOJHQLHINR-INIZCTEOSA-N 0 3 246.398 2.991 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@H]1C1CC1)c1cccc(O)c1 ZINC000300651747 387234682 /nfs/dbraw/zinc/23/46/82/387234682.db2.gz RTRBUVCCABRDMP-VQISRLSMSA-N 0 3 247.338 2.610 20 0 BFADHN COc1ccc(C)cc1CN[C@]1(C)CCO[C@H]1C ZINC000230150832 387234854 /nfs/dbraw/zinc/23/48/54/387234854.db2.gz QQHDUSGHKONFHT-SWLSCSKDSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@H]2CC[C@H](O)CC2)o1 ZINC000252705381 387235789 /nfs/dbraw/zinc/23/57/89/387235789.db2.gz QBYLTUKFEJDOPF-HKUMRIAESA-N 0 3 249.354 2.796 20 0 BFADHN CCOc1ccc(CN[C@]2(C)CCO[C@H]2C)cc1 ZINC000230156149 387236679 /nfs/dbraw/zinc/23/66/79/387236679.db2.gz GHXQHMQJEPRXMH-SWLSCSKDSA-N 0 3 249.354 2.742 20 0 BFADHN Clc1ccccc1CNCC[C@@H]1CCOC1 ZINC000230163250 387238805 /nfs/dbraw/zinc/23/88/05/387238805.db2.gz NBJOBBKBABWENP-LLVKDONJSA-N 0 3 239.746 2.856 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@H](CO)CC2CCC2)c1 ZINC000353604661 387240547 /nfs/dbraw/zinc/24/05/47/387240547.db2.gz JSCAFLBOGFIELV-OCCSQVGLSA-N 0 3 248.370 2.592 20 0 BFADHN CCC1(CC)CCN(Cc2cn(C)nc2C)C1 ZINC000353609683 387241783 /nfs/dbraw/zinc/24/17/83/387241783.db2.gz OFLXMIMWYPJTKT-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CO[C@H](C)CN[C@@H]1CCCOc2ccc(C)cc21 ZINC000188130582 387253763 /nfs/dbraw/zinc/25/37/63/387253763.db2.gz OLQKUXMEAYBHHL-TZMCWYRMSA-N 0 3 249.354 2.833 20 0 BFADHN CC[C@H](NC[C@H](O)C(C)C)c1nc(C)cs1 ZINC000292884271 387248787 /nfs/dbraw/zinc/24/87/87/387248787.db2.gz IPLZKOCNHVKDMG-QWRGUYRKSA-N 0 3 242.388 2.509 20 0 BFADHN Cc1ccc([C@H]2CCN(Cc3c[nH]cn3)C2)cc1 ZINC000371801300 387251685 /nfs/dbraw/zinc/25/16/85/387251685.db2.gz FYZXWUVXFUQHGZ-AWEZNQCLSA-N 0 3 241.338 2.708 20 0 BFADHN Cc1ccc([C@H]2CCN(Cc3cnc[nH]3)C2)cc1 ZINC000371801300 387251691 /nfs/dbraw/zinc/25/16/91/387251691.db2.gz FYZXWUVXFUQHGZ-AWEZNQCLSA-N 0 3 241.338 2.708 20 0 BFADHN c1n[nH]cc1[C@@H]1CCCN1CC[C@H]1CCCCO1 ZINC000639775369 387253896 /nfs/dbraw/zinc/25/38/96/387253896.db2.gz AMXNNTUANNZMBP-KGLIPLIRSA-N 0 3 249.358 2.506 20 0 BFADHN Cc1occc1CN(C)[C@H]1CCSC1 ZINC000336362593 387194830 /nfs/dbraw/zinc/19/48/30/387194830.db2.gz IHRGFOOVHOTBOU-NSHDSACASA-N 0 3 211.330 2.525 20 0 BFADHN CC(C)n1cc(CN2CCCC23CCC3)cn1 ZINC000336363757 387195130 /nfs/dbraw/zinc/19/51/30/387195130.db2.gz FXWMHYYOCHOMOX-UHFFFAOYSA-N 0 3 233.359 2.983 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)[C@@H]1CCOC1 ZINC000353420466 387197512 /nfs/dbraw/zinc/19/75/12/387197512.db2.gz RAISCSJTRSFJNP-PYLYLYNFSA-N 0 3 235.302 2.560 20 0 BFADHN c1ccc(C[C@@H]2CCN2Cc2cccnc2)cc1 ZINC000353998968 387309750 /nfs/dbraw/zinc/30/97/50/387309750.db2.gz ZSASPSDJCHMFSY-INIZCTEOSA-N 0 3 238.334 2.899 20 0 BFADHN CO[C@@H](C)CNCc1ccc2occc2c1 ZINC000286119159 387313114 /nfs/dbraw/zinc/31/31/14/387313114.db2.gz USDIRTHSSMTLFN-JTQLQIEISA-N 0 3 219.284 2.557 20 0 BFADHN Cc1oncc1CN1CC[C@H](c2ccco2)C1 ZINC000372597900 387314815 /nfs/dbraw/zinc/31/48/15/387314815.db2.gz DMDPANTZQDWOBO-NSHDSACASA-N 0 3 232.283 2.566 20 0 BFADHN CCCC[C@H](C)[C@@H](C)N[C@@H]1CNCCC1(F)F ZINC000423468446 387315201 /nfs/dbraw/zinc/31/52/01/387315201.db2.gz BYMNLVHCSDOQEC-QJPTWQEYSA-N 0 3 248.361 2.788 20 0 BFADHN CCCCN1CCN(c2cccs2)CC1 ZINC000121233083 387316319 /nfs/dbraw/zinc/31/63/19/387316319.db2.gz YLWHWBVLHZTOPT-UHFFFAOYSA-N 0 3 224.373 2.670 20 0 BFADHN CCCC[C@H](C)[C@@H](C)N[C@H]1CNCCC1(F)F ZINC000423468449 387316373 /nfs/dbraw/zinc/31/63/73/387316373.db2.gz BYMNLVHCSDOQEC-TUAOUCFPSA-N 0 3 248.361 2.788 20 0 BFADHN Cc1nnsc1CNC1(C2CCC2)CCC1 ZINC000572165124 387255430 /nfs/dbraw/zinc/25/54/30/387255430.db2.gz LYNAABMLOAZSHI-UHFFFAOYSA-N 0 3 237.372 2.659 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CCO[C@@H]2C2CC2)c1 ZINC000230313842 387259343 /nfs/dbraw/zinc/25/93/43/387259343.db2.gz HOQILWPGJGFNRL-LSDHHAIUSA-N 0 3 249.329 2.791 20 0 BFADHN CC(C)C[C@H](C)N(C)C(=O)CN(C)C(C)(C)C ZINC000514936958 387317167 /nfs/dbraw/zinc/31/71/67/387317167.db2.gz YIZLYUPNSPWRAZ-LBPRGKRZSA-N 0 3 242.407 2.610 20 0 BFADHN Clc1ccc(CN[C@H]2CCO[C@H]2C2CC2)o1 ZINC000230316259 387260735 /nfs/dbraw/zinc/26/07/35/387260735.db2.gz HPYTWZPHSSGFBF-JQWIXIFHSA-N 0 3 241.718 2.590 20 0 BFADHN COc1c(O)cccc1CN1C[C@H](C)C[C@H]1C ZINC000336435801 387261022 /nfs/dbraw/zinc/26/10/22/387261022.db2.gz ZROVXZORYNBXTH-GHMZBOCLSA-N 0 3 235.327 2.631 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@H](C)C[C@@H](C)[C@@H]2C)n1 ZINC000230328400 387263779 /nfs/dbraw/zinc/26/37/79/387263779.db2.gz AINYFWRNNVYJMZ-WZRBSPASSA-N 0 3 248.370 2.962 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@H](C)C[C@@H](C)[C@@H]2C)n1 ZINC000230328400 387263785 /nfs/dbraw/zinc/26/37/85/387263785.db2.gz AINYFWRNNVYJMZ-WZRBSPASSA-N 0 3 248.370 2.962 20 0 BFADHN c1ccc([C@H]2C[C@@H]2CN2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000353709878 387263791 /nfs/dbraw/zinc/26/37/91/387263791.db2.gz SOFIMUACWGTQNA-LVQVYYBASA-N 0 3 243.350 2.653 20 0 BFADHN Cc1ccnc(CN[C@@H]2CCC[C@H](C)[C@H]2C)n1 ZINC000360340429 387267288 /nfs/dbraw/zinc/26/72/88/387267288.db2.gz ZQEBFBXZTUUFKO-CYZMBNFOSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1nccc(C)n1 ZINC000360340192 387268268 /nfs/dbraw/zinc/26/82/68/387268268.db2.gz XZMSMBJPCXSXSH-QWHCGFSZSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1cnn(C)c1CN[C@H](C)c1ccccc1 ZINC000285482892 387268348 /nfs/dbraw/zinc/26/83/48/387268348.db2.gz OSYVHZJEOMDSEW-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN CCc1ccc(CN2CCC[C@@H]3COCC[C@@H]32)o1 ZINC000336441750 387270420 /nfs/dbraw/zinc/27/04/20/387270420.db2.gz OJBKFCZYODLKFJ-DOMZBBRYSA-N 0 3 249.354 2.843 20 0 BFADHN CCc1nnc(CN[C@H](CC)[C@@H]2C[C@@H]2C)s1 ZINC000321527777 387273025 /nfs/dbraw/zinc/27/30/25/387273025.db2.gz OUOJGOVRIMBPDN-IVZWLZJFSA-N 0 3 239.388 2.625 20 0 BFADHN CO[C@@H](C)CN(Cc1ccc(C)o1)C(C)C ZINC000353781803 387274207 /nfs/dbraw/zinc/27/42/07/387274207.db2.gz RPXKGQUNOCIROT-LBPRGKRZSA-N 0 3 225.332 2.833 20 0 BFADHN Cc1ccnc(CNC2[C@@H](C)CCC[C@@H]2C)n1 ZINC000336447050 387275652 /nfs/dbraw/zinc/27/56/52/387275652.db2.gz BIHLFDYDCQVNMY-QWRGUYRKSA-N 0 3 233.359 2.699 20 0 BFADHN CN(Cc1cccc2nccn21)C1CC(C)(C)C1 ZINC000459730199 387275834 /nfs/dbraw/zinc/27/58/34/387275834.db2.gz JZKCLMMKPJMMKQ-UHFFFAOYSA-N 0 3 243.354 2.955 20 0 BFADHN Cc1occc1CN[C@@H]1COc2ccccc2C1 ZINC000321574033 387276251 /nfs/dbraw/zinc/27/62/51/387276251.db2.gz NUNHDNVQVGJGAA-AWEZNQCLSA-N 0 3 243.306 2.681 20 0 BFADHN CO[C@@H](C)CN(Cc1ccc(C)cn1)C(C)C ZINC000353796156 387278338 /nfs/dbraw/zinc/27/83/38/387278338.db2.gz OANFCHFJMWBZND-ZDUSSCGKSA-N 0 3 236.359 2.635 20 0 BFADHN CCC(CC)[C@@H](O)CN[C@@H](C)c1ccccn1 ZINC000231634597 387279949 /nfs/dbraw/zinc/27/99/49/387279949.db2.gz YGWKJODOJZDWRV-FZMZJTMJSA-N 0 3 236.359 2.529 20 0 BFADHN CCS[C@H]1CCC[C@@H]1NCc1cc(C)no1 ZINC000285706120 387280878 /nfs/dbraw/zinc/28/08/78/387280878.db2.gz CYRFOWPOHYLDDH-RYUDHWBXSA-N 0 3 240.372 2.747 20 0 BFADHN CCC(CC)[C@H](O)CN[C@H](C)c1ccccn1 ZINC000231634607 387281544 /nfs/dbraw/zinc/28/15/44/387281544.db2.gz YGWKJODOJZDWRV-BXUZGUMPSA-N 0 3 236.359 2.529 20 0 BFADHN CO[C@H]1CCN(C/C(C)=C\c2ccccc2)C1 ZINC000353842416 387281795 /nfs/dbraw/zinc/28/17/95/387281795.db2.gz GPQBCCFLGXMEDE-WVUXNXBLSA-N 0 3 231.339 2.811 20 0 BFADHN COc1c(O)cccc1CN[C@]1(C)CC=CCC1 ZINC000459745469 387282636 /nfs/dbraw/zinc/28/26/36/387282636.db2.gz FNSAYGVAKZIMLQ-OAHLLOKOSA-N 0 3 247.338 2.989 20 0 BFADHN C[C@@H](NCc1cocn1)[C@@H]1CCCC[C@H]1C ZINC000648456418 387286805 /nfs/dbraw/zinc/28/68/05/387286805.db2.gz LRBGELPDXPPSLU-NQBHXWOUSA-N 0 3 222.332 2.979 20 0 BFADHN c1nc(CN[C@H]2CCCc3ccccc3C2)co1 ZINC000648456403 387287050 /nfs/dbraw/zinc/28/70/50/387287050.db2.gz LAGUBUAXHCLODN-AWEZNQCLSA-N 0 3 242.322 2.712 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H](C)c1cccc(OC)c1 ZINC000459785892 387296961 /nfs/dbraw/zinc/29/69/61/387296961.db2.gz ZXZWDLYSWCJBFC-GLQYFDAESA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H]1c2ccccc2CN1C[C@H]1CCOC1 ZINC000336471681 387301715 /nfs/dbraw/zinc/30/17/15/387301715.db2.gz MSXQWYGXZOGEQN-VXGBXAGGSA-N 0 3 217.312 2.600 20 0 BFADHN Cn1cccc1CN1CC[C@@H]1Cc1ccccc1 ZINC000353975571 387305127 /nfs/dbraw/zinc/30/51/27/387305127.db2.gz VLJWRIWTRDOFCL-OAHLLOKOSA-N 0 3 240.350 2.842 20 0 BFADHN Cc1cc(CCN[C@@H](C)c2cnccc2C)on1 ZINC000353995739 387306524 /nfs/dbraw/zinc/30/65/24/387306524.db2.gz LGAGJVHLKIRXAD-LBPRGKRZSA-N 0 3 245.326 2.580 20 0 BFADHN c1nc2ccccn2c1CN1CCC2(CCC2)C1 ZINC000162026235 387307654 /nfs/dbraw/zinc/30/76/54/387307654.db2.gz MSXLYNINKVZUES-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cnc2ccccn12 ZINC000162024384 387308181 /nfs/dbraw/zinc/30/81/81/387308181.db2.gz KCSGDEBWNIIOQR-TXEJJXNPSA-N 0 3 229.327 2.707 20 0 BFADHN CO[C@@H](C)CCN[C@H](C)c1cnn(C(C)C)c1 ZINC000360850728 387358635 /nfs/dbraw/zinc/35/86/35/387358635.db2.gz COTDSLXQONCYLP-NWDGAFQWSA-N 0 3 239.363 2.540 20 0 BFADHN C[C@H](Cc1ccccc1)CN1CCO[C@@H](C)[C@H]1C ZINC000360869916 387359371 /nfs/dbraw/zinc/35/93/71/387359371.db2.gz FAVRITSSTBYSJS-KFWWJZLASA-N 0 3 247.382 2.974 20 0 BFADHN CCc1cccc(C[NH2+]Cc2ncccc2[O-])c1 ZINC000515305068 387360690 /nfs/dbraw/zinc/36/06/90/387360690.db2.gz JCCVDJDQKUMHOE-UHFFFAOYSA-N 0 3 242.322 2.639 20 0 BFADHN COc1ncccc1CN1CCCC2(CCC2)C1 ZINC000373405644 387364302 /nfs/dbraw/zinc/36/43/02/387364302.db2.gz OWPHOOZRYIVIIW-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H]1CCCOc2ccccc21 ZINC000360946079 387371486 /nfs/dbraw/zinc/37/14/86/387371486.db2.gz HINFDYXWAULEGH-YRGRVCCFSA-N 0 3 249.354 2.913 20 0 BFADHN Fc1cccc2c1CCC[C@@H]2NC1CSC1 ZINC000648606041 387375740 /nfs/dbraw/zinc/37/57/40/387375740.db2.gz SEGCERRPZGPYNJ-ZDUSSCGKSA-N 0 3 237.343 2.908 20 0 BFADHN Cc1ccsc1CN(C)[C@@H]1CCCOC1 ZINC000336554038 387376036 /nfs/dbraw/zinc/37/60/36/387376036.db2.gz GWSKWMAAKSSCEO-LLVKDONJSA-N 0 3 225.357 2.667 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)[C@@H](C)C2)s1 ZINC000354441285 387376503 /nfs/dbraw/zinc/37/65/03/387376503.db2.gz GWWGIUMZWFBHMR-PHIMTYICSA-N 0 3 238.400 2.581 20 0 BFADHN c1ncc(CN2CCCC3(CCC3)C2)s1 ZINC000373489042 387376716 /nfs/dbraw/zinc/37/67/16/387376716.db2.gz JGHAYQBRBZHVER-UHFFFAOYSA-N 0 3 222.357 2.909 20 0 BFADHN C[C@@H]1c2ccsc2CCN1CCn1ccnc1 ZINC000336555621 387377697 /nfs/dbraw/zinc/37/76/97/387377697.db2.gz JSFZKJHSSRPZPA-LLVKDONJSA-N 0 3 247.367 2.564 20 0 BFADHN CN(C)Cc1cccc(NC(=O)C2=CCCC2)c1 ZINC000121559335 387378271 /nfs/dbraw/zinc/37/82/71/387378271.db2.gz DHQLXICIZYZMPU-UHFFFAOYSA-N 0 3 244.338 2.797 20 0 BFADHN CC(C)N([C@@H](C)Cc1ccsc1)C1COC1 ZINC000648606447 387381002 /nfs/dbraw/zinc/38/10/02/387381002.db2.gz CMBWAXZMUKIKFD-NSHDSACASA-N 0 3 239.384 2.788 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H]1CCCc2cc(F)ccc21 ZINC000514991301 387320709 /nfs/dbraw/zinc/32/07/09/387320709.db2.gz IDEZEJYAKJOTKV-RBSFLKMASA-N 0 3 249.329 2.970 20 0 BFADHN COc1cc(CN2CCCC[C@@H](C)C2)ccn1 ZINC000360622399 387323725 /nfs/dbraw/zinc/32/37/25/387323725.db2.gz XMVNCHAHFORRMS-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@@H](N[C@H]1CNCCC1(F)F)C1CCCC1 ZINC000423477133 387325391 /nfs/dbraw/zinc/32/53/91/387325391.db2.gz JMYIEKQHSQTVHY-NEPJUHHUSA-N 0 3 246.345 2.542 20 0 BFADHN CC(C)COCCN1CC[C@@H](c2ccco2)C1 ZINC000372813819 387329715 /nfs/dbraw/zinc/32/97/15/387329715.db2.gz WJUNJNGBUDYMBZ-CYBMUJFWSA-N 0 3 237.343 2.742 20 0 BFADHN CCC[C@H](N[C@@H]1CNCCC1(F)F)C1CCC1 ZINC000423490715 387335825 /nfs/dbraw/zinc/33/58/25/387335825.db2.gz YBQIUSIVGKAASF-NWDGAFQWSA-N 0 3 246.345 2.542 20 0 BFADHN CC[C@@H](CN[C@H](C)c1sccc1OC)OC ZINC000290074119 387337553 /nfs/dbraw/zinc/33/75/53/387337553.db2.gz GHHYMDKJJVAPPM-ZJUUUORDSA-N 0 3 243.372 2.832 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cn2c(n1)CCCC2 ZINC000372994485 387339450 /nfs/dbraw/zinc/33/94/50/387339450.db2.gz DMRWWOJYIJJUJK-GFCCVEGCSA-N 0 3 235.375 2.697 20 0 BFADHN Cc1cc(CN2CCC[C@@H]([C@@H](C)O)C2)cs1 ZINC000572351612 387340643 /nfs/dbraw/zinc/34/06/43/387340643.db2.gz YZJCOVIFFXXXDJ-DGCLKSJQSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@H](NCCC[C@@H]1CCOC1)c1nccs1 ZINC000400635966 387345215 /nfs/dbraw/zinc/34/52/15/387345215.db2.gz QNTRXNYRSRYZEY-WDEREUQCSA-N 0 3 240.372 2.610 20 0 BFADHN C[C@@H](NCCC[C@H]1CCOC1)c1nccs1 ZINC000400635963 387345732 /nfs/dbraw/zinc/34/57/32/387345732.db2.gz QNTRXNYRSRYZEY-MNOVXSKESA-N 0 3 240.372 2.610 20 0 BFADHN C[C@H](N[C@H]1CCc2ccccc21)c1ccnn1C ZINC000235530241 387346148 /nfs/dbraw/zinc/34/61/48/387346148.db2.gz FTSUDKKMGCTZQA-FZMZJTMJSA-N 0 3 241.338 2.758 20 0 BFADHN C[C@H](NCCN(C)C)c1csc(Cl)c1 ZINC000235501467 387346774 /nfs/dbraw/zinc/34/67/74/387346774.db2.gz MYSQFAQUNFLZDN-QMMMGPOBSA-N 0 3 232.780 2.614 20 0 BFADHN C=Cn1cc(CN2CC[C@@]3(CC[C@H](C)C3)C2)cn1 ZINC000373205707 387347536 /nfs/dbraw/zinc/34/75/36/387347536.db2.gz LWJDEHVJZKMNGL-DZGCQCFKSA-N 0 3 245.370 2.996 20 0 BFADHN Oc1cccc(CN2C3CCC2CC3)c1 ZINC000398838710 387348642 /nfs/dbraw/zinc/34/86/42/387348642.db2.gz LBJBBAHYPJPFMU-UHFFFAOYSA-N 0 3 203.285 2.519 20 0 BFADHN CC(C)C[C@@H]1CCCN(Cc2cnccn2)C1 ZINC000515213544 387348986 /nfs/dbraw/zinc/34/89/86/387348986.db2.gz GYMSJLSNAGFTSF-ZDUSSCGKSA-N 0 3 233.359 2.735 20 0 BFADHN Cc1oncc1CN1CCC[C@@H](C(C)C)C1 ZINC000336525579 387350690 /nfs/dbraw/zinc/35/06/90/387350690.db2.gz KSFLRSUTRJZWMT-GFCCVEGCSA-N 0 3 222.332 2.851 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCOC[C@@H]1CC1CCC1 ZINC000354727528 387423418 /nfs/dbraw/zinc/42/34/18/387423418.db2.gz FUXNQBGOHBZGNM-XBFCOCLRSA-N 0 3 223.360 2.533 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@H]1CCCC[C@@H]1O ZINC000516140983 387461316 /nfs/dbraw/zinc/46/13/16/387461316.db2.gz OSSNTROPMFLBBK-UPJWGTAASA-N 0 3 237.343 2.824 20 0 BFADHN CCN(CCc1ccccn1)Cc1cccnc1 ZINC000352670946 387432149 /nfs/dbraw/zinc/43/21/49/387432149.db2.gz JZVYPNVPEMUOIS-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN CCC[C@H](N)C(=O)N1CCC[C@@H]1CCC(C)C ZINC000423849879 387434519 /nfs/dbraw/zinc/43/45/19/387434519.db2.gz PIGKWANFGADEBW-OLZOCXBDSA-N 0 3 240.391 2.541 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1C(=O)[C@@H](N)CCC ZINC000423848070 387435129 /nfs/dbraw/zinc/43/51/29/387435129.db2.gz NEKSIKZBOLPCSE-AVGNSLFASA-N 0 3 240.391 2.541 20 0 BFADHN CCC[C@H](N)C(=O)N1CCC[C@H]1CCC(C)C ZINC000423849882 387435639 /nfs/dbraw/zinc/43/56/39/387435639.db2.gz PIGKWANFGADEBW-STQMWFEESA-N 0 3 240.391 2.541 20 0 BFADHN C[C@H]1[C@H](c2ccccc2)C[C@@H]1NCc1ncc[nH]1 ZINC000361305241 387435849 /nfs/dbraw/zinc/43/58/49/387435849.db2.gz WWANGJGOZIDQCP-YUTCNCBUSA-N 0 3 241.338 2.692 20 0 BFADHN C[C@@H](NCCN1CCCC[C@H]1C)c1ccncc1 ZINC000271064453 387439993 /nfs/dbraw/zinc/43/99/93/387439993.db2.gz ILPPXAOKYXILEI-ZIAGYGMSSA-N 0 3 247.386 2.607 20 0 BFADHN C/C(=C\c1ccccc1)CN(C)Cc1cnc[nH]1 ZINC000361335452 387441403 /nfs/dbraw/zinc/44/14/03/387441403.db2.gz KKKWNLSMEUYVRQ-MDWZMJQESA-N 0 3 241.338 2.945 20 0 BFADHN COC1(C[C@@H](C)NCc2cccc(C)n2)CCC1 ZINC000515960017 387443443 /nfs/dbraw/zinc/44/34/43/387443443.db2.gz ZTDYPYUQKDDUJX-CYBMUJFWSA-N 0 3 248.370 2.827 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@](C)(O)C2CC2)o1 ZINC000361354812 387443927 /nfs/dbraw/zinc/44/39/27/387443927.db2.gz XUYDXGGTWPOPHF-QMTHXVAHSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@@H](NC[C@H]1CCC[C@@H](C)C1)c1ccncn1 ZINC000395807519 387445427 /nfs/dbraw/zinc/44/54/27/387445427.db2.gz CYDZDYWRVXWUNM-UPJWGTAASA-N 0 3 233.359 2.954 20 0 BFADHN Cc1ccc(CN2CCSCC[C@@H]2C)nc1 ZINC000336603443 387447673 /nfs/dbraw/zinc/44/76/73/387447673.db2.gz OPKDYZBTAYBIBJ-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@]2(C)CCOC2)o1 ZINC000361386927 387453269 /nfs/dbraw/zinc/45/32/69/387453269.db2.gz QWFSEFFCDOTABV-BXUZGUMPSA-N 0 3 237.343 2.919 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1cccc(OC(C)C)c1 ZINC000572447746 387454095 /nfs/dbraw/zinc/45/40/95/387454095.db2.gz CNPIWTNUWPJFSV-CABCVRRESA-N 0 3 249.354 2.741 20 0 BFADHN CCc1cccc(F)c1CNCC[C@H](O)CC ZINC000354516778 387387909 /nfs/dbraw/zinc/38/79/09/387387909.db2.gz VTMJXYUFTNGESJ-GFCCVEGCSA-N 0 3 239.334 2.639 20 0 BFADHN Cc1nocc1CN1CC[C@H](CC2CC2)C1 ZINC000354531436 387389532 /nfs/dbraw/zinc/38/95/32/387389532.db2.gz XRLAUHWEOADFSI-GFCCVEGCSA-N 0 3 220.316 2.605 20 0 BFADHN CCc1ccc(CN(CC)C[C@H](C)OC)cn1 ZINC000361018201 387390194 /nfs/dbraw/zinc/39/01/94/387390194.db2.gz QYOLZORDMVEHOP-LBPRGKRZSA-N 0 3 236.359 2.501 20 0 BFADHN CCc1ccc(CN(C(C)C)[C@H]2CCOC2)cn1 ZINC000361043315 387393514 /nfs/dbraw/zinc/39/35/14/387393514.db2.gz GDKBUDHRQZCKIM-HNNXBMFYSA-N 0 3 248.370 2.643 20 0 BFADHN Cc1cnc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)n1C ZINC000354585088 387399598 /nfs/dbraw/zinc/39/95/98/387399598.db2.gz WZEGRZLFLNNQTM-CHWSQXEVSA-N 0 3 247.386 2.739 20 0 BFADHN CO[C@H](C)CCNc1ccnc2ccc(C)cc21 ZINC000354589772 387400132 /nfs/dbraw/zinc/40/01/32/387400132.db2.gz TYFPYVJQDWHUFI-GFCCVEGCSA-N 0 3 244.338 2.802 20 0 BFADHN Cc1ccc2nccc(N(C)[C@H]3CCOC3)c2c1 ZINC000354593706 387401613 /nfs/dbraw/zinc/40/16/13/387401613.db2.gz KCIRVJYLAJNPIQ-LBPRGKRZSA-N 0 3 242.322 2.768 20 0 BFADHN c1c2ccccc2[nH]c1CNCC1=CCOCC1 ZINC000648664356 387402681 /nfs/dbraw/zinc/40/26/81/387402681.db2.gz ZNDMSTUQBJOUKV-UHFFFAOYSA-N 0 3 242.322 2.604 20 0 BFADHN CCC[C@H](C)[C@H](CC)N[C@H](C)c1nncn1C ZINC000361113794 387403626 /nfs/dbraw/zinc/40/36/26/387403626.db2.gz OAFWYNCPLARSII-TUAOUCFPSA-N 0 3 238.379 2.681 20 0 BFADHN Cc1ccc(CN2CCC(C)CC2)cn1 ZINC000121796174 387405710 /nfs/dbraw/zinc/40/57/10/387405710.db2.gz YDNFTUOCDSXVLA-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)C[C@H]2C)cc1C ZINC000361153267 387406012 /nfs/dbraw/zinc/40/60/12/387406012.db2.gz JABDYFMUYQKLEQ-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN c1coc([C@H]2CCN(Cc3ccccn3)C2)c1 ZINC000374007413 387409718 /nfs/dbraw/zinc/40/97/18/387409718.db2.gz GQCUDQHISOQTOA-LBPRGKRZSA-N 0 3 228.295 2.664 20 0 BFADHN CN(Cc1cn(C)nc1C(F)F)CC1CCC1 ZINC000361177129 387410698 /nfs/dbraw/zinc/41/06/98/387410698.db2.gz GUICHQKBWNAKGU-UHFFFAOYSA-N 0 3 243.301 2.590 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1cn(C)nc1C ZINC000354682762 387414640 /nfs/dbraw/zinc/41/46/40/387414640.db2.gz WLDUDKUGVBPHCN-SNVBAGLBSA-N 0 3 249.280 2.549 20 0 BFADHN CC[C@](C)(CNCc1sc(C)nc1C)OC ZINC000322658002 259713104 /nfs/dbraw/zinc/71/31/04/259713104.db2.gz ZLRPMCAITZPCOZ-GFCCVEGCSA-N 0 3 242.388 2.665 20 0 BFADHN C[C@@H]1C[C@H](O)CN1Cc1cc2ccccc2s1 ZINC000336590642 387416840 /nfs/dbraw/zinc/41/68/40/387416840.db2.gz VGYJRDSKYLSAAM-PWSUYJOCSA-N 0 3 247.363 2.856 20 0 BFADHN C[C@H](NC[C@H](O)C(C)(C)C)c1ccccc1F ZINC000268877539 387417504 /nfs/dbraw/zinc/41/75/04/387417504.db2.gz KQRXSCHRGBYORT-GWCFXTLKSA-N 0 3 239.334 2.883 20 0 BFADHN COC[C@@H](C)NCc1cc2cc(F)ccc2o1 ZINC000354699453 387417928 /nfs/dbraw/zinc/41/79/28/387417928.db2.gz QNMUHXYTWUVDMD-SECBINFHSA-N 0 3 237.274 2.696 20 0 BFADHN COc1c(O)cccc1CN1CC[C@H]2CCC[C@@H]21 ZINC000336598654 387421809 /nfs/dbraw/zinc/42/18/09/387421809.db2.gz YFUPAQNAJODRAQ-YPMHNXCESA-N 0 3 247.338 2.775 20 0 BFADHN COC1(C)CCN(C/C=C/c2ccncc2)CC1 ZINC000361239669 387421971 /nfs/dbraw/zinc/42/19/71/387421971.db2.gz WXFJUNMNEWHQHZ-ONEGZZNKSA-N 0 3 246.354 2.596 20 0 BFADHN CCN(C/C=C\c1ccccc1)[C@H]1CCOC1 ZINC000361239652 387422111 /nfs/dbraw/zinc/42/21/11/387422111.db2.gz WTQOAFKKOCPSJY-AJHXJQRKSA-N 0 3 231.339 2.811 20 0 BFADHN CC(C)CC[C@H](O)CN1C[C@@H](C)S[C@H](C)C1 ZINC000572429656 387422718 /nfs/dbraw/zinc/42/27/18/387422718.db2.gz DDRHVAGDCQHJLS-UPJWGTAASA-N 0 3 245.432 2.609 20 0 BFADHN Cc1c(Cl)cccc1NC(=O)CNC(C)C ZINC000037385984 387423288 /nfs/dbraw/zinc/42/32/88/387423288.db2.gz WIZUGDXMVRARCS-UHFFFAOYSA-N 0 3 240.734 2.585 20 0 BFADHN Cc1cc([C@H](C)NCCC2(CO)CC2)c(C)o1 ZINC000395869469 387494187 /nfs/dbraw/zinc/49/41/87/387494187.db2.gz ISVJEWBJUDLQGL-NSHDSACASA-N 0 3 237.343 2.710 20 0 BFADHN CC(C)(O)CCNCc1cccc(Cl)c1F ZINC000274736038 387495937 /nfs/dbraw/zinc/49/59/37/387495937.db2.gz IPUWFSYWKVEJFT-UHFFFAOYSA-N 0 3 245.725 2.730 20 0 BFADHN CCCCC[C@H]1CCCN1Cc1c[nH]cn1 ZINC000355210824 387496249 /nfs/dbraw/zinc/49/62/49/387496249.db2.gz MBSGMQSTOAUNPZ-ZDUSSCGKSA-N 0 3 221.348 2.954 20 0 BFADHN CSc1ccc(CN[C@@H]2CO[C@@H](C)C2)s1 ZINC000516290816 387497688 /nfs/dbraw/zinc/49/76/88/387497688.db2.gz XMZWMBCAIKHNSX-IUCAKERBSA-N 0 3 243.397 2.737 20 0 BFADHN CCCCC[C@H]1CCCN1Cc1cnn(C)c1 ZINC000355209061 387497890 /nfs/dbraw/zinc/49/78/90/387497890.db2.gz JOHJCFFQVODREO-AWEZNQCLSA-N 0 3 235.375 2.965 20 0 BFADHN C[C@@H](NCC1CC1)c1ccc(Cl)cn1 ZINC000274947623 387497916 /nfs/dbraw/zinc/49/79/16/387497916.db2.gz UPUVGEIKKUDTAT-MRVPVSSYSA-N 0 3 210.708 2.796 20 0 BFADHN C[C@@H](NC1CCC1)c1ccc(Cl)cn1 ZINC000274911192 387498933 /nfs/dbraw/zinc/49/89/33/387498933.db2.gz NCVRBILGHVAGKP-MRVPVSSYSA-N 0 3 210.708 2.938 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CC[C@H]2CCC[C@@H]2C1 ZINC000352809685 387500656 /nfs/dbraw/zinc/50/06/56/387500656.db2.gz IJCRRAZPVJTRGW-JHJVBQTASA-N 0 3 233.359 2.777 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H](C)C1)c1ccncn1 ZINC000395895470 387501173 /nfs/dbraw/zinc/50/11/73/387501173.db2.gz MFHQXNDPKSBLLP-GRYCIOLGSA-N 0 3 219.332 2.563 20 0 BFADHN CC[C@@H](C)CNC(=O)c1ccccc1CN(C)C ZINC000421919416 387502206 /nfs/dbraw/zinc/50/22/06/387502206.db2.gz QKEWZMTUUSOBGZ-GFCCVEGCSA-N 0 3 248.370 2.524 20 0 BFADHN CCc1ccccc1CN(CC)[C@@H]1CCOC1 ZINC000361589027 387504949 /nfs/dbraw/zinc/50/49/49/387504949.db2.gz OARMETLSIQOELZ-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN COC1(CN(C)Cc2ccncc2)CCCCC1 ZINC000361589760 387505380 /nfs/dbraw/zinc/50/53/80/387505380.db2.gz WRXLBRRHDBSCMS-UHFFFAOYSA-N 0 3 248.370 2.863 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1ccc2c(n1)CCC2 ZINC000648077039 387506328 /nfs/dbraw/zinc/50/63/28/387506328.db2.gz XGPMNFLYIXWZKA-MFKMUULPSA-N 0 3 248.395 2.554 20 0 BFADHN CN(C)Cc1cccc(N[C@@H]2COC(C)(C)C2)c1 ZINC000396887035 387507050 /nfs/dbraw/zinc/50/70/50/387507050.db2.gz OBUYQNOBXRIXLN-AWEZNQCLSA-N 0 3 248.370 2.728 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN2C[C@@H](O)CC[C@@H]2C)o1 ZINC000648078121 387507586 /nfs/dbraw/zinc/50/75/86/387507586.db2.gz FTXNCZQBXPPDKT-ZJQBRPOHSA-N 0 3 249.354 2.748 20 0 BFADHN CCCCN(CC)C(=O)CN(CCC)CCC ZINC000355274747 387508913 /nfs/dbraw/zinc/50/89/13/387508913.db2.gz DASSKVHSSJDRAO-UHFFFAOYSA-N 0 3 242.407 2.757 20 0 BFADHN CC[C@@H](NCC(=O)N(C)CC)c1cccc(C)c1 ZINC000287761907 387509671 /nfs/dbraw/zinc/50/96/71/387509671.db2.gz ZWCNBFQDKUKZDE-CQSZACIVSA-N 0 3 248.370 2.514 20 0 BFADHN Cc1ccc2c(c1)CCN(CCOC(C)C)C2 ZINC000361843279 387543425 /nfs/dbraw/zinc/54/34/25/387543425.db2.gz PHVJTIJRDCCKRR-UHFFFAOYSA-N 0 3 233.355 2.778 20 0 BFADHN Cc1ncc(CN(C(C)C)C2CC2)s1 ZINC000193482640 387518420 /nfs/dbraw/zinc/51/84/20/387518420.db2.gz OSCCAKDKMRVZDQ-UHFFFAOYSA-N 0 3 210.346 2.824 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@H]1C1CC1)c1ccsc1 ZINC000300360171 387518575 /nfs/dbraw/zinc/51/85/75/387518575.db2.gz UYSBKMCUBLPEKG-BIMULSAOSA-N 0 3 237.368 2.966 20 0 BFADHN CCN(C(=O)C(C)C(F)(F)F)c1cccnc1 ZINC000361653739 387518639 /nfs/dbraw/zinc/51/86/39/387518639.db2.gz GAWLVPAQPBVFSM-QMMMGPOBSA-N 0 3 246.232 2.633 20 0 BFADHN Cc1c(C)c(C)c(CN(C)CCO)c(C)c1C ZINC000361648094 387518682 /nfs/dbraw/zinc/51/86/82/387518682.db2.gz NSBPAFCFIRIENH-UHFFFAOYSA-N 0 3 235.371 2.653 20 0 BFADHN FC1(CN2CC[C@@H](COc3ccccc3)C2)CC1 ZINC000527233991 387543745 /nfs/dbraw/zinc/54/37/45/387543745.db2.gz SNEYMLZWTDPVDG-CYBMUJFWSA-N 0 3 249.329 2.889 20 0 BFADHN CC[C@@H](C)N1CCOc2ccc(C)cc2C1 ZINC000352821721 387520122 /nfs/dbraw/zinc/52/01/22/387520122.db2.gz CMWURXIVJWODAU-GFCCVEGCSA-N 0 3 219.328 2.988 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@@H](C)c1ccncn1 ZINC000395965081 387520342 /nfs/dbraw/zinc/52/03/42/387520342.db2.gz KDMMYNRALNKSRH-MNOVXSKESA-N 0 3 221.348 2.952 20 0 BFADHN C[C@H](CCc1ccco1)N[C@@H](C)c1ccncn1 ZINC000396041372 387522843 /nfs/dbraw/zinc/52/28/43/387522843.db2.gz QYFZITXVTNQMGK-NEPJUHHUSA-N 0 3 245.326 2.742 20 0 BFADHN Cc1ccsc1CN1CC[C@@](C)(F)C1 ZINC000355354591 387523173 /nfs/dbraw/zinc/52/31/73/387523173.db2.gz LRTCJOGRLMGIFR-LLVKDONJSA-N 0 3 213.321 2.990 20 0 BFADHN CC(C)c1cccc2c1OCCC[C@@H]2NCCO ZINC000305103739 387527108 /nfs/dbraw/zinc/52/71/08/387527108.db2.gz CVKBQTDQMVZBKL-AWEZNQCLSA-N 0 3 249.354 2.606 20 0 BFADHN Cc1cc(CN(C)C2CC(O)C2)ccc1Cl ZINC000355372338 387528074 /nfs/dbraw/zinc/52/80/74/387528074.db2.gz VLIBLMQWQWRQID-UHFFFAOYSA-N 0 3 239.746 2.604 20 0 BFADHN Cc1nc(CNCC2(C)CCC2)cs1 ZINC000165475959 387533029 /nfs/dbraw/zinc/53/30/29/387533029.db2.gz FRXFZIZFWXYPFF-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN CSCCN1CC[C@@H](Oc2ccccc2)C1 ZINC000361770397 387533367 /nfs/dbraw/zinc/53/33/67/387533367.db2.gz WWZTWXBLQCWVSS-CYBMUJFWSA-N 0 3 237.368 2.503 20 0 BFADHN CC(C)[C@@H](NC[C@H](C)O)c1ccc(F)cc1F ZINC000192786274 387534802 /nfs/dbraw/zinc/53/48/02/387534802.db2.gz SAZSYUDIGARAIH-TVQRCGJNSA-N 0 3 243.297 2.632 20 0 BFADHN FC1(CNCCc2cccc3cccnc32)CC1 ZINC000527222512 387535602 /nfs/dbraw/zinc/53/56/02/387535602.db2.gz ADTGPWDBJCOHPS-UHFFFAOYSA-N 0 3 244.313 2.869 20 0 BFADHN C[C@@H]1[C@@H](CO)CCN1C/C=C/c1ccc(F)cc1 ZINC000425989217 387535905 /nfs/dbraw/zinc/53/59/05/387535905.db2.gz RGZKTVQYIAOQOK-SDEBIZQBSA-N 0 3 249.329 2.542 20 0 BFADHN C[C@@H]1[C@@H](CO)CCN1C/C=C\c1ccc(F)cc1 ZINC000425989215 387537597 /nfs/dbraw/zinc/53/75/97/387537597.db2.gz RGZKTVQYIAOQOK-BTBCPRSTSA-N 0 3 249.329 2.542 20 0 BFADHN CCN(CCC1CC1)Cc1c(C)n[nH]c1C ZINC000355509169 387544643 /nfs/dbraw/zinc/54/46/43/387544643.db2.gz KYATZSHAOVRGMY-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN FC1(CN2CCS[C@H]3CCCC[C@H]32)CC1 ZINC000527219497 387539234 /nfs/dbraw/zinc/53/92/34/387539234.db2.gz NRHLRKFUTNUCFJ-MNOVXSKESA-N 0 3 229.364 2.849 20 0 BFADHN C[C@]1(NCc2sccc2Cl)CCOC1 ZINC000278495588 387540793 /nfs/dbraw/zinc/54/07/93/387540793.db2.gz MTJQEXYPXPRYPW-JTQLQIEISA-N 0 3 231.748 2.670 20 0 BFADHN CCOC[C@H](C)NCc1ccc2occc2c1 ZINC000352732662 387463952 /nfs/dbraw/zinc/46/39/52/387463952.db2.gz OUGBLFXOAGLQLI-NSHDSACASA-N 0 3 233.311 2.947 20 0 BFADHN CCc1ccc(CN[C@@H](C)[C@@H]2CCCCO2)cn1 ZINC000352737765 387464150 /nfs/dbraw/zinc/46/41/50/387464150.db2.gz RBDHFXRZPHIVND-WFASDCNBSA-N 0 3 248.370 2.691 20 0 BFADHN C[C@@H](NC1C[C@H](C)C[C@@H](C)C1)c1ccncn1 ZINC000395809161 387464736 /nfs/dbraw/zinc/46/47/36/387464736.db2.gz DMCSGFQBTDFANH-IJLUTSLNSA-N 0 3 233.359 2.952 20 0 BFADHN CCc1ccc(CN[C@@H](CC)[C@@H]2CCCO2)cn1 ZINC000352761116 387466774 /nfs/dbraw/zinc/46/67/74/387466774.db2.gz URLQBMYRPTZGGX-GJZGRUSLSA-N 0 3 248.370 2.691 20 0 BFADHN CCN(CC1CCC1)[C@@H](C)c1cnccn1 ZINC000361481205 387468516 /nfs/dbraw/zinc/46/85/16/387468516.db2.gz KJBLWZCMZACGRV-NSHDSACASA-N 0 3 219.332 2.660 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCO[C@H](C)C2)o1 ZINC000352745503 387468647 /nfs/dbraw/zinc/46/86/47/387468647.db2.gz VRSMRGGMZZMAFX-CHWSQXEVSA-N 0 3 237.343 2.835 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCO[C@@H](C)C2)o1 ZINC000352745505 387469481 /nfs/dbraw/zinc/46/94/81/387469481.db2.gz VRSMRGGMZZMAFX-QWHCGFSZSA-N 0 3 237.343 2.835 20 0 BFADHN CO[C@@H](C)CNC/C=C\c1ccc(F)c(F)c1 ZINC000352744146 387469861 /nfs/dbraw/zinc/46/98/61/387469861.db2.gz YKJOCUMZLIXIMS-XOULXFPDSA-N 0 3 241.281 2.603 20 0 BFADHN COC1(CN2CCn3cccc3[C@H]2C)CCCC1 ZINC000361501354 387475041 /nfs/dbraw/zinc/47/50/41/387475041.db2.gz PLPYVOWMBSGKDA-CYBMUJFWSA-N 0 3 248.370 2.824 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)[C@H](C)C1(C)CC1 ZINC000355077886 387475279 /nfs/dbraw/zinc/47/52/79/387475279.db2.gz GZCAWYFRYWDFKP-LLVKDONJSA-N 0 3 221.348 2.647 20 0 BFADHN CO[C@@H]1CCCN([C@H](C)c2cccnc2)CC1 ZINC000374703510 387483485 /nfs/dbraw/zinc/48/34/85/387483485.db2.gz VGCYELLSXORHNV-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@H](C)CNC(=O)c1cccc(CN(C)C)c1 ZINC000421910162 387485007 /nfs/dbraw/zinc/48/50/07/387485007.db2.gz HTBNUCGDNPIOFP-GFCCVEGCSA-N 0 3 248.370 2.524 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1CCCSC1 ZINC000304532646 387490261 /nfs/dbraw/zinc/49/02/61/387490261.db2.gz QXCVAUBOSUQZBE-NSHDSACASA-N 0 3 240.372 2.524 20 0 BFADHN CCOc1cccc(CNCCC2(C)CC2)n1 ZINC000355172948 387491507 /nfs/dbraw/zinc/49/15/07/387491507.db2.gz XWWNUVHWWSNNJM-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN Cc1cnn(C)c1CN[C@@H]1C[C@H]1C1CCCCC1 ZINC000375756844 387579944 /nfs/dbraw/zinc/57/99/44/387579944.db2.gz JVBIKZBAVSHABM-UONOGXRCSA-N 0 3 247.386 2.787 20 0 BFADHN COc1ccc(CN(C)[C@H]2CC2(C)C)c(OC)c1 ZINC000426546793 387580129 /nfs/dbraw/zinc/58/01/29/387580129.db2.gz XMATWBYHZLYRDS-AWEZNQCLSA-N 0 3 249.354 2.934 20 0 BFADHN Cc1cnn(CCNC(C)(C)c2ccccc2)c1 ZINC000336786198 387582390 /nfs/dbraw/zinc/58/23/90/387582390.db2.gz HKAPZALNONMFTO-UHFFFAOYSA-N 0 3 243.354 2.716 20 0 BFADHN CC[C@@H](C(=O)N[C@@H](C)CCCC(C)C)N(C)C ZINC000124692515 387628365 /nfs/dbraw/zinc/62/83/65/387628365.db2.gz ATHWJWLBKKCBMH-STQMWFEESA-N 0 3 242.407 2.658 20 0 BFADHN Cc1ccc(CCN2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000123649589 387589419 /nfs/dbraw/zinc/58/94/19/387589419.db2.gz OLUCPYHKWQHXIN-OKILXGFUSA-N 0 3 233.355 2.647 20 0 BFADHN c1ncc(CNCC2=CCCCC2)s1 ZINC000295205959 387592421 /nfs/dbraw/zinc/59/24/21/387592421.db2.gz ZHYUWOPDCYYFSG-UHFFFAOYSA-N 0 3 208.330 2.733 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1ccn(C)n1 ZINC000124698729 387628625 /nfs/dbraw/zinc/62/86/25/387628625.db2.gz ASAYDCFMJNICBW-VXGBXAGGSA-N 0 3 223.364 2.725 20 0 BFADHN COc1cc([C@@H](C)NCCSC)ccc1F ZINC000152577333 387594336 /nfs/dbraw/zinc/59/43/36/387594336.db2.gz HIQHQQRTYIYINS-SECBINFHSA-N 0 3 243.347 2.848 20 0 BFADHN COC1(C)CN(C[C@@H]2C(C)=CCC[C@H]2C)C1 ZINC000362314276 387594624 /nfs/dbraw/zinc/59/46/24/387594624.db2.gz YEFVZYUBTOXXIY-CHWSQXEVSA-N 0 3 223.360 2.700 20 0 BFADHN CC(C)C[C@H](C)N1CCOC2(CCC2)C1 ZINC000355917254 387595409 /nfs/dbraw/zinc/59/54/09/387595409.db2.gz SPQMWHAGXMKHFZ-LBPRGKRZSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1ccc(O[C@@H](C)CNCC2(F)CC2)cc1 ZINC000527528774 387598901 /nfs/dbraw/zinc/59/89/01/387598901.db2.gz CSRKKHQYKDZJNX-LBPRGKRZSA-N 0 3 237.318 2.854 20 0 BFADHN COCCOc1ccc(CN2[C@@H](C)C[C@@H]2C)cc1 ZINC000527529558 387599295 /nfs/dbraw/zinc/59/92/95/387599295.db2.gz BKJDCVMBKZNFHR-STQMWFEESA-N 0 3 249.354 2.695 20 0 BFADHN c1csc(-c2n[nH]cc2CN2CCCC2)c1 ZINC000123935118 387600323 /nfs/dbraw/zinc/60/03/23/387600323.db2.gz FJTWMGVANREMCE-UHFFFAOYSA-N 0 3 233.340 2.734 20 0 BFADHN CC[C@@H](C)CNC(=O)Nc1cccc(CNC)c1 ZINC000422565622 387602023 /nfs/dbraw/zinc/60/20/23/387602023.db2.gz NDQPMSNRELWKHM-LLVKDONJSA-N 0 3 249.358 2.574 20 0 BFADHN Cc1cc(CN(C)CCc2nccs2)ccn1 ZINC000527539059 387605348 /nfs/dbraw/zinc/60/53/48/387605348.db2.gz NTOUVNHFNWTNEC-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN Cn1ccnc1[C@H](NC[C@@H]1CC1(C)C)C1CC1 ZINC000362378038 387605658 /nfs/dbraw/zinc/60/56/58/387605658.db2.gz IOWZCWJGFVLJAZ-NWDGAFQWSA-N 0 3 233.359 2.507 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2C[C@H](C)O)ccn1 ZINC000527538365 387606544 /nfs/dbraw/zinc/60/65/44/387606544.db2.gz GSFBWETVBRMXPQ-DZGCQCFKSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@]1(C)CCCN(Cc2n[nH]cc2C)C1 ZINC000356124676 387618044 /nfs/dbraw/zinc/61/80/44/387618044.db2.gz PUTKYMVSVQDAJB-CYBMUJFWSA-N 0 3 221.348 2.730 20 0 BFADHN CC[C@@H](NCC1(O)CCC1)c1ccccc1OC ZINC000124457238 387618385 /nfs/dbraw/zinc/61/83/85/387618385.db2.gz CHMQDTVRAZVSGM-CYBMUJFWSA-N 0 3 249.354 2.651 20 0 BFADHN FCCCCN1CCOC2(CCCCC2)C1 ZINC000367223798 387620643 /nfs/dbraw/zinc/62/06/43/387620643.db2.gz KNXUGMBBPGQQPQ-UHFFFAOYSA-N 0 3 229.339 2.771 20 0 BFADHN CC(=O)CCN1CCC(c2ccccc2)CC1 ZINC000124539070 387620984 /nfs/dbraw/zinc/62/09/84/387620984.db2.gz GUOUCCUDDJDXHP-UHFFFAOYSA-N 0 3 231.339 2.845 20 0 BFADHN C[C@H]1C[C@H](C)CN(CCOCC(F)(F)F)C1 ZINC000079710286 387621381 /nfs/dbraw/zinc/62/13/81/387621381.db2.gz XHYBCYMYHKGUHB-UWVGGRQHSA-N 0 3 239.281 2.543 20 0 BFADHN C[C@H]1CC(F)(F)CCN1CCOC1CCC1 ZINC000528766087 387621677 /nfs/dbraw/zinc/62/16/77/387621677.db2.gz CMLWUXGRUXWLRZ-JTQLQIEISA-N 0 3 233.302 2.675 20 0 BFADHN Cc1cc(CN(C)CC(C)(C)C)ccn1 ZINC000527532682 387623594 /nfs/dbraw/zinc/62/35/94/387623594.db2.gz GMWVRAMDLWTVGK-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN CCCn1cc(CN(CC)CCC2CC2)cn1 ZINC000355512200 387545674 /nfs/dbraw/zinc/54/56/74/387545674.db2.gz OCHINYDQTCKGPS-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CC[N@H+](CCC1CC1)Cc1nc(C)ccc1[O-] ZINC000355517109 387545774 /nfs/dbraw/zinc/54/57/74/387545774.db2.gz SWRGZTQMEIADQS-UHFFFAOYSA-N 0 3 234.343 2.718 20 0 BFADHN CC[N@@H+](CCC1CC1)Cc1nc(C)ccc1[O-] ZINC000355517109 387545777 /nfs/dbraw/zinc/54/57/77/387545777.db2.gz SWRGZTQMEIADQS-UHFFFAOYSA-N 0 3 234.343 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@H](C)[C@@H](C)[C@@H]2C)n1 ZINC000399573862 387555412 /nfs/dbraw/zinc/55/54/12/387555412.db2.gz PNMYEOSZGROKHA-WCQGTBRESA-N 0 3 234.343 2.572 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@H](C)[C@@H](C)[C@@H]2C)n1 ZINC000399573862 387555417 /nfs/dbraw/zinc/55/54/17/387555417.db2.gz PNMYEOSZGROKHA-WCQGTBRESA-N 0 3 234.343 2.572 20 0 BFADHN COCC(C)(C)CN1CC(C)(C)OC(C)(C)C1 ZINC000426370656 387560153 /nfs/dbraw/zinc/56/01/53/387560153.db2.gz LGPORSWEVYFGKO-UHFFFAOYSA-N 0 3 243.391 2.548 20 0 BFADHN CCC[C@@H](O)CN[C@H]1CCCOc2ccccc21 ZINC000305155665 387562413 /nfs/dbraw/zinc/56/24/13/387562413.db2.gz VPTXXHYZNDUHCJ-OCCSQVGLSA-N 0 3 249.354 2.651 20 0 BFADHN CCc1ccc([C@H](C)NCC[C@@H](O)C(C)C)o1 ZINC000305140638 387563155 /nfs/dbraw/zinc/56/31/55/387563155.db2.gz MGNSTGQDTFKHCY-WCQYABFASA-N 0 3 239.359 2.900 20 0 BFADHN CC[C@H](CO)N[C@H](CC)c1ccccc1OC ZINC000037238363 387563254 /nfs/dbraw/zinc/56/32/54/387563254.db2.gz ARRFVYPSHNRGEJ-DGCLKSJQSA-N 0 3 237.343 2.507 20 0 BFADHN c1ccc2[nH]c(CN3CC[C@@H]4CCC[C@H]43)nc2c1 ZINC000426465735 387571161 /nfs/dbraw/zinc/57/11/61/387571161.db2.gz BOGAATNPAFNVRO-SMDDNHRTSA-N 0 3 241.338 2.937 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2ccccn2)nc1 ZINC000399845104 387573313 /nfs/dbraw/zinc/57/33/13/387573313.db2.gz MVGOLKUYQAOTSV-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1cnn(C)c1CN[C@@H]1C[C@@H]1C1CCCCC1 ZINC000375756847 387579527 /nfs/dbraw/zinc/57/95/27/387579527.db2.gz JVBIKZBAVSHABM-ZIAGYGMSSA-N 0 3 247.386 2.787 20 0 BFADHN CC/C=C\CCN(C)[C@H](C)C(=O)NC(C)(C)C ZINC000362583792 387633875 /nfs/dbraw/zinc/63/38/75/387633875.db2.gz NRNVCGIWLXEAGT-JLVNDZCYSA-N 0 3 240.391 2.578 20 0 BFADHN CCc1ccc(CN[C@H](C)Cn2cccn2)s1 ZINC000042540489 387634498 /nfs/dbraw/zinc/63/44/98/387634498.db2.gz QXLGXSBOFDJHMJ-LLVKDONJSA-N 0 3 249.383 2.685 20 0 BFADHN CCC[C@@H](NCC[C@H](O)CC)c1ccccn1 ZINC000305721724 387636783 /nfs/dbraw/zinc/63/67/83/387636783.db2.gz KAGOTIOJYWUGBY-CHWSQXEVSA-N 0 3 236.359 2.673 20 0 BFADHN Cc1ccc(CN2CCC(c3cn[nH]c3)CC2)o1 ZINC000362621609 387641695 /nfs/dbraw/zinc/64/16/95/387641695.db2.gz JLHCJBQYFISGJY-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN COC(=O)c1cccc(CN2CCC(C)CC2)c1 ZINC000042975695 387642799 /nfs/dbraw/zinc/64/27/99/387642799.db2.gz GGMNTHBFWWNHEP-UHFFFAOYSA-N 0 3 247.338 2.705 20 0 BFADHN c1cc(CN[C@H]2CC[C@@H](c3ccccc3)C2)n[nH]1 ZINC000362639427 387643224 /nfs/dbraw/zinc/64/32/24/387643224.db2.gz MOKYBKVDRUYRNT-KGLIPLIRSA-N 0 3 241.338 2.836 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cccc2c1OCCO2 ZINC000123063208 387643739 /nfs/dbraw/zinc/64/37/39/387643739.db2.gz AUGMHEDKUNYFSX-LLVKDONJSA-N 0 3 247.338 2.688 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@H]1NCc1nccn1C ZINC000430730900 387644008 /nfs/dbraw/zinc/64/40/08/387644008.db2.gz KIWOHNROFQDOFP-JHJVBQTASA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@H]1NCc1nccn1C ZINC000430730907 387644838 /nfs/dbraw/zinc/64/48/38/387644838.db2.gz KIWOHNROFQDOFP-YNEHKIRRSA-N 0 3 235.375 2.725 20 0 BFADHN CCC[C@@H](O)CN[C@H](CCC)c1ccccn1 ZINC000305746117 387645230 /nfs/dbraw/zinc/64/52/30/387645230.db2.gz VSFDJKJERHQMBO-CHWSQXEVSA-N 0 3 236.359 2.673 20 0 BFADHN Cc1csc(CN[C@@H](C)[C@@H]2C[C@H]2C2CC2)n1 ZINC000527636204 387646704 /nfs/dbraw/zinc/64/67/04/387646704.db2.gz WYRXJIUDJGHZDS-DLOVCJGASA-N 0 3 236.384 2.976 20 0 BFADHN Cc1csc(CN[C@H](C)[C@@H]2C[C@H]2C2CC2)n1 ZINC000527636205 387647015 /nfs/dbraw/zinc/64/70/15/387647015.db2.gz WYRXJIUDJGHZDS-USWWRNFRSA-N 0 3 236.384 2.976 20 0 BFADHN Cc1cccc(CN[C@H](C)[C@@H]2C[C@H]2C2CC2)n1 ZINC000527639194 387648474 /nfs/dbraw/zinc/64/84/74/387648474.db2.gz GGVXQZIWXCIJAR-UGFHNGPFSA-N 0 3 230.355 2.914 20 0 BFADHN Cc1ccoc1CN(CCO)[C@@H]1CC[C@@H](C)C1 ZINC000356368922 387650201 /nfs/dbraw/zinc/65/02/01/387650201.db2.gz PTLJZDXJHPZWQY-DGCLKSJQSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@@H]2C[C@H]2C2CC2)no1 ZINC000527645181 387651769 /nfs/dbraw/zinc/65/17/69/387651769.db2.gz KNBLALWKQCFSMP-XDTLVQLUSA-N 0 3 220.316 2.507 20 0 BFADHN Cc1ccccc1CN[C@@]12C[C@@H]1COC2(C)C ZINC000527757385 387695377 /nfs/dbraw/zinc/69/53/77/387695377.db2.gz FAUZTHYOJNQCCF-HIFRSBDPSA-N 0 3 231.339 2.652 20 0 BFADHN COC(=O)c1cccc(CN2[C@H](C)CC[C@@H]2C)c1 ZINC000123102046 387655038 /nfs/dbraw/zinc/65/50/38/387655038.db2.gz CPPUVUZDWXFMGC-TXEJJXNPSA-N 0 3 247.338 2.846 20 0 BFADHN CCc1ccc([C@H](C)NCC(C)(C)OC)o1 ZINC000123128152 387655600 /nfs/dbraw/zinc/65/56/00/387655600.db2.gz USCILPNCXQDJFI-JTQLQIEISA-N 0 3 225.332 2.918 20 0 BFADHN Cc1cn[nH]c1CN(C)Cc1cc(C)cc(C)c1 ZINC000356414048 387656223 /nfs/dbraw/zinc/65/62/23/387656223.db2.gz BUAZOAITNJNYGU-UHFFFAOYSA-N 0 3 243.354 2.967 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2cccc3nccn32)C1 ZINC000430878319 387656284 /nfs/dbraw/zinc/65/62/84/387656284.db2.gz IHXUEYSCLJAYJL-GFCCVEGCSA-N 0 3 241.338 2.732 20 0 BFADHN CCn1ccnc1[C@H]1CCCCN1CC1CC1 ZINC000430880663 387656609 /nfs/dbraw/zinc/65/66/09/387656609.db2.gz YZJSGQXSFJLVIT-CYBMUJFWSA-N 0 3 233.359 2.840 20 0 BFADHN CCCn1cc(CN(C)CC2CCCC2)cn1 ZINC000123168461 387659725 /nfs/dbraw/zinc/65/97/25/387659725.db2.gz UAVXYTLXKDWCJJ-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1cnc(CN[C@H](C)[C@@H]2C[C@H]2C2CC2)s1 ZINC000527664196 387666731 /nfs/dbraw/zinc/66/67/31/387666731.db2.gz BXIYOAOXSZLNKB-USWWRNFRSA-N 0 3 236.384 2.976 20 0 BFADHN Clc1cnccc1CNC[C@@H]1C[C@H]1C1CC1 ZINC000527716180 387671871 /nfs/dbraw/zinc/67/18/71/387671871.db2.gz HZUJPFIXOGEPDW-RYUDHWBXSA-N 0 3 236.746 2.871 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000527716414 387671969 /nfs/dbraw/zinc/67/19/69/387671969.db2.gz LXVRZRCLVKXBIB-XCCSTKFXSA-N 0 3 247.386 2.988 20 0 BFADHN CC(C)(C)CCN1CCO[C@H]2CCC[C@@H]21 ZINC000362941423 387677214 /nfs/dbraw/zinc/67/72/14/387677214.db2.gz JYZQSIBPFCNOME-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)(C)CCN1CCO[C@H]2CCC[C@H]21 ZINC000362941421 387677861 /nfs/dbraw/zinc/67/78/61/387677861.db2.gz JYZQSIBPFCNOME-NEPJUHHUSA-N 0 3 211.349 2.676 20 0 BFADHN CSC[C@@H]1CCCN1Cc1cccc(C)n1 ZINC000362963962 387679068 /nfs/dbraw/zinc/67/90/68/387679068.db2.gz QXKOGCSVVXLEPC-ZDUSSCGKSA-N 0 3 236.384 2.717 20 0 BFADHN CC(C)CCOCCNCc1ccccc1F ZINC000044387312 387679703 /nfs/dbraw/zinc/67/97/03/387679703.db2.gz QPNKDDRSGAYFJP-UHFFFAOYSA-N 0 3 239.334 2.978 20 0 BFADHN C[C@H](N[C@@H](C)c1cccs1)c1cnn(C)c1 ZINC000044495392 387682533 /nfs/dbraw/zinc/68/25/33/387682533.db2.gz VBEWILQUQWLRJW-UWVGGRQHSA-N 0 3 235.356 2.893 20 0 BFADHN Cc1cc(CNC[C@@H](C)C(F)(F)F)ccn1 ZINC000527695690 387687349 /nfs/dbraw/zinc/68/73/49/387687349.db2.gz QPDRRPWIAGNZIU-MRVPVSSYSA-N 0 3 232.249 2.678 20 0 BFADHN CC[C@H](F)CN1CC[C@@H](Nc2ccccc2)C1 ZINC000440771880 387688983 /nfs/dbraw/zinc/68/89/83/387688983.db2.gz SLLONVZNDRCIOZ-GXTWGEPZSA-N 0 3 236.334 2.921 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ccccc1OC ZINC000044688653 387689510 /nfs/dbraw/zinc/68/95/10/387689510.db2.gz KVBUEIFWTGYCQM-NWDGAFQWSA-N 0 3 237.343 2.771 20 0 BFADHN Clc1cnc(CNCC2CC=CC2)s1 ZINC000527745287 387690416 /nfs/dbraw/zinc/69/04/16/387690416.db2.gz RRIUQJABQGPDKQ-UHFFFAOYSA-N 0 3 228.748 2.852 20 0 BFADHN CCOc1cccc(CNC[C@H]2CC[C@H](C)O2)c1 ZINC000128292771 387742628 /nfs/dbraw/zinc/74/26/28/387742628.db2.gz IWHHLZNDVYYJJA-SWLSCSKDSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1noc(C)c1CN1C[C@H]2CCCC[C@H]21 ZINC000377760526 387746148 /nfs/dbraw/zinc/74/61/48/387746148.db2.gz JRGUJIZIXPBDBT-DGCLKSJQSA-N 0 3 220.316 2.666 20 0 BFADHN CCSCCN1CC(C)(C)[C@@H]1[C@H]1CCCO1 ZINC000367729374 387747165 /nfs/dbraw/zinc/74/71/65/387747165.db2.gz TUAKZJCVMLKUIT-NEPJUHHUSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1oncc1CN1CCC2(CCCC2)C1 ZINC000128750479 387754124 /nfs/dbraw/zinc/75/41/24/387754124.db2.gz FXNSCSUJFGUIBZ-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN CC[C@H]1COCCN1CCC(C)(C)CC ZINC000441387220 387754620 /nfs/dbraw/zinc/75/46/20/387754620.db2.gz RDCGYDOPXNQSHX-LBPRGKRZSA-N 0 3 213.365 2.924 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1ccncc1 ZINC000452009138 387698744 /nfs/dbraw/zinc/69/87/44/387698744.db2.gz AUFFYLDRDAWCOL-MRVWCRGKSA-N 0 3 234.343 2.690 20 0 BFADHN COCc1ccc(CNC[C@H](C)C(C)C)o1 ZINC000166708625 387699886 /nfs/dbraw/zinc/69/98/86/387699886.db2.gz NKFULGWFJOYYAN-NSHDSACASA-N 0 3 225.332 2.808 20 0 BFADHN Cc1cc(CN[C@]23C[C@H]2COC3(C)C)cs1 ZINC000527763007 387700607 /nfs/dbraw/zinc/70/06/07/387700607.db2.gz JWZLCCLKBTXYMS-WCQYABFASA-N 0 3 237.368 2.714 20 0 BFADHN CO[C@@]1(C)CCCN(C/C=C/Cl)CC1 ZINC000377207930 387703050 /nfs/dbraw/zinc/70/30/50/387703050.db2.gz GSPXIOSFEJPDAS-ZPMKCOPCSA-N 0 3 217.740 2.630 20 0 BFADHN COC[C@@H](C)N[C@@H](c1ccccn1)C1CCC1 ZINC000527770531 387705409 /nfs/dbraw/zinc/70/54/09/387705409.db2.gz FSXZATCPHNABKX-BXUZGUMPSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@H](c1ccccn1)C1CCC1 ZINC000527773933 387705610 /nfs/dbraw/zinc/70/56/10/387705610.db2.gz VKMPKNGCOKLCJD-WHOFXGATSA-N 0 3 246.354 2.690 20 0 BFADHN C[C@H](NCc1cn2ccsc2n1)[C@H]1CC12CC2 ZINC000527850775 387755228 /nfs/dbraw/zinc/75/52/28/387755228.db2.gz KTWOTTADUVVOMH-GXSJLCMTSA-N 0 3 247.367 2.674 20 0 BFADHN c1nc(CNCC[C@H]2CC=CCC2)cs1 ZINC000527787251 387712582 /nfs/dbraw/zinc/71/25/82/387712582.db2.gz IJHTYECHYFYWKM-NSHDSACASA-N 0 3 222.357 2.979 20 0 BFADHN c1csc(CN(C[C@@H]2CCCO2)C2CC2)c1 ZINC000127344110 387713219 /nfs/dbraw/zinc/71/32/19/387713219.db2.gz JNHSXUIQRUXVEC-LBPRGKRZSA-N 0 3 237.368 2.892 20 0 BFADHN COC[C@@H]1CCCCN1Cc1ccc(C)nc1C ZINC000452047211 387713277 /nfs/dbraw/zinc/71/32/77/387713277.db2.gz ZQUZHZIIHHLSLS-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN C[C@H](NCC12CC(C1)CO2)c1ccccc1F ZINC000527789329 387714242 /nfs/dbraw/zinc/71/42/42/387714242.db2.gz IMTYNMLCIKQUKX-IFQILLTASA-N 0 3 235.302 2.655 20 0 BFADHN Cc1oncc1CN1C[C@H]2[C@@H]3CC[C@@H](C3)[C@@]2(C)C1 ZINC000452054225 387715603 /nfs/dbraw/zinc/71/56/03/387715603.db2.gz QVGNORRAYRUGFQ-UQOMUDLDSA-N 0 3 246.354 2.851 20 0 BFADHN c1ccc(CNCC[C@@H]2CC=CCC2)nc1 ZINC000527791017 387718016 /nfs/dbraw/zinc/71/80/16/387718016.db2.gz PWNHCRYHCZDZPR-CYBMUJFWSA-N 0 3 216.328 2.918 20 0 BFADHN C[C@H](NCc1ncccn1)[C@@H]1CCC[C@@H](C)C1 ZINC000452062372 387719252 /nfs/dbraw/zinc/71/92/52/387719252.db2.gz SHBPZDLUPSBXAK-FRRDWIJNSA-N 0 3 233.359 2.781 20 0 BFADHN CC(C)O[C@@]1(C)C[C@H]1NCc1cccc(F)c1 ZINC000527801637 387723348 /nfs/dbraw/zinc/72/33/48/387723348.db2.gz UVNKRSNDSGHWOA-KGLIPLIRSA-N 0 3 237.318 2.871 20 0 BFADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1NCc1cccc(F)c1 ZINC000527800085 387723583 /nfs/dbraw/zinc/72/35/83/387723583.db2.gz CIEUJPQKKJNQJW-DEKYYXRVSA-N 0 3 237.318 2.727 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@]2(C)OC(C)C)c1 ZINC000527801208 387723618 /nfs/dbraw/zinc/72/36/18/387723618.db2.gz SDAIFLNDSZPREI-CABCVRRESA-N 0 3 249.354 2.741 20 0 BFADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1NCc1ccsc1 ZINC000527802741 387724341 /nfs/dbraw/zinc/72/43/41/387724341.db2.gz QXICQIOJUWSELY-DTHBNOIPSA-N 0 3 225.357 2.650 20 0 BFADHN COc1ncccc1CN1CCC[C@H](C2CC2)C1 ZINC000377581616 387731561 /nfs/dbraw/zinc/73/15/61/387731561.db2.gz GNWSFGOJNBSYDT-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1cc2cc(CNCC3COC3)oc2cc1C ZINC000527816385 387735053 /nfs/dbraw/zinc/73/50/53/387735053.db2.gz COUICPZEDDVCHL-UHFFFAOYSA-N 0 3 245.322 2.786 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H](C)[C@H]1CC12CC2 ZINC000527862777 387736687 /nfs/dbraw/zinc/73/66/87/387736687.db2.gz HEPLNXCRCDETIB-CHWSQXEVSA-N 0 3 247.386 2.817 20 0 BFADHN CC(C)c1cccc([C@H](C)N[C@H](C)CC(N)=O)c1 ZINC000527868493 387739549 /nfs/dbraw/zinc/73/95/49/387739549.db2.gz SYKFTTUQRSBHGV-NEPJUHHUSA-N 0 3 248.370 2.725 20 0 BFADHN OC[C@]1(NCC2=CCCC2)CCc2ccccc21 ZINC000645837627 387762026 /nfs/dbraw/zinc/76/20/26/387762026.db2.gz PSPKVXFTFAUBAI-MRXNPFEDSA-N 0 3 243.350 2.520 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@H]3CCC[C@@H]3C2)o1 ZINC000356987241 387762354 /nfs/dbraw/zinc/76/23/54/387762354.db2.gz PEQAPMAKSRSZTD-QJPTWQEYSA-N 0 3 220.316 2.776 20 0 BFADHN CC[C@@H](C)C[NH2+][C@H](C)c1nnc(C(C)C)[n-]1 ZINC000129142056 387765006 /nfs/dbraw/zinc/76/50/06/387765006.db2.gz WOLBEFZOIBIJLR-NXEZZACHSA-N 0 3 224.352 2.625 20 0 BFADHN CC[C@@H](C)CN[C@H](C)c1nnc(C(C)C)[nH]1 ZINC000129142056 387765010 /nfs/dbraw/zinc/76/50/10/387765010.db2.gz WOLBEFZOIBIJLR-NXEZZACHSA-N 0 3 224.352 2.625 20 0 BFADHN CCc1cc(CN[C@H](C)[C@H]2CC23CC3)on1 ZINC000527872676 387765706 /nfs/dbraw/zinc/76/57/06/387765706.db2.gz XXPXODICXDSTAN-BXKDBHETSA-N 0 3 220.316 2.515 20 0 BFADHN CC[C@H](C)NC(=O)C(C)(C)[C@@H](N)c1ccccc1 ZINC000422910899 387768528 /nfs/dbraw/zinc/76/85/28/387768528.db2.gz RUDNGGMRDWGSCR-AAEUAGOBSA-N 0 3 248.370 2.627 20 0 BFADHN CCc1cc(N2CC[C@H](C)[C@H](OC)C2)ccn1 ZINC000450467369 387779574 /nfs/dbraw/zinc/77/95/74/387779574.db2.gz CUHFANIQKVVWGB-SMDDNHRTSA-N 0 3 234.343 2.505 20 0 BFADHN CCc1cc(CCCN2CCC23CCC3)on1 ZINC000450469239 387780967 /nfs/dbraw/zinc/78/09/67/387780967.db2.gz PDTXWBHBJJGIEP-UHFFFAOYSA-N 0 3 234.343 2.798 20 0 BFADHN C[C@@H](NCCC1CC(O)C1)c1ccccc1F ZINC000432431508 387791152 /nfs/dbraw/zinc/79/11/52/387791152.db2.gz WJQREETYUWHGDN-VOMCLLRMSA-N 0 3 237.318 2.637 20 0 BFADHN C[C@@H](NCC[C@H]1CCCOC1)c1cscn1 ZINC000527929113 387793111 /nfs/dbraw/zinc/79/31/11/387793111.db2.gz OIVBMYOMQVHEGM-GHMZBOCLSA-N 0 3 240.372 2.610 20 0 BFADHN CSC[C@H](C)N[C@@H](C)c1cncc(F)c1 ZINC000151020589 387794277 /nfs/dbraw/zinc/79/42/77/387794277.db2.gz SPRLXKAYPWMALW-IUCAKERBSA-N 0 3 228.336 2.623 20 0 BFADHN FC1(F)CC2(CN(CCC[C@@H]3CCOC3)C2)C1 ZINC000645842522 387797703 /nfs/dbraw/zinc/79/77/03/387797703.db2.gz WTGOCZBLEGKHNL-LLVKDONJSA-N 0 3 245.313 2.534 20 0 BFADHN CC[C@@]1(C)COCCN1CC1=CCCC1 ZINC000645842473 387797725 /nfs/dbraw/zinc/79/77/25/387797725.db2.gz TZCAIBGBSRWSTF-ZDUSSCGKSA-N 0 3 209.333 2.598 20 0 BFADHN CSC1(CNCc2ccco2)CCC1 ZINC000193650183 387799720 /nfs/dbraw/zinc/79/97/20/387799720.db2.gz WATAQANVUVXLBJ-UHFFFAOYSA-N 0 3 211.330 2.655 20 0 BFADHN CSC1(CNCc2ccc(C)o2)CCC1 ZINC000193650202 387799789 /nfs/dbraw/zinc/79/97/89/387799789.db2.gz CDJICJIRAUOCAR-UHFFFAOYSA-N 0 3 225.357 2.963 20 0 BFADHN c1cn2c(n1)[C@@H](NCC[C@@H]1CC=CCC1)CC2 ZINC000527981747 387800840 /nfs/dbraw/zinc/80/08/40/387800840.db2.gz RLTOLYCGBGMXML-OLZOCXBDSA-N 0 3 231.343 2.664 20 0 BFADHN COc1ccc(CCN[C@H](C)c2ccoc2)cn1 ZINC000527988996 387803520 /nfs/dbraw/zinc/80/35/20/387803520.db2.gz WNKWNKDBCZDTEL-LLVKDONJSA-N 0 3 246.310 2.577 20 0 BFADHN COC1([C@@H](C)NCc2cccnc2C)CCCC1 ZINC000527987265 387803879 /nfs/dbraw/zinc/80/38/79/387803879.db2.gz NPHDIUZXQDBJRS-CYBMUJFWSA-N 0 3 248.370 2.827 20 0 BFADHN C[C@@H](NCC[C@@H]1CC=CCC1)c1cnccn1 ZINC000527987244 387804187 /nfs/dbraw/zinc/80/41/87/387804187.db2.gz SNUQKYQCFYSOEJ-CHWSQXEVSA-N 0 3 231.343 2.874 20 0 BFADHN CC(C)C[C@@H](C)N[C@H]1Cc2ccccc2NC1=O ZINC000527992201 387805259 /nfs/dbraw/zinc/80/52/59/387805259.db2.gz CAOGTFALJDUWIE-RISCZKNCSA-N 0 3 246.354 2.574 20 0 BFADHN CCOc1ncccc1CN[C@@H](C)C(C)C ZINC000151184207 387806749 /nfs/dbraw/zinc/80/67/49/387806749.db2.gz SOGXKDKKZVDBHZ-NSHDSACASA-N 0 3 222.332 2.614 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C)c1ccoc1 ZINC000527999189 387807011 /nfs/dbraw/zinc/80/70/11/387807011.db2.gz CRVJQQPQIXAERL-JPYZYGQNSA-N 0 3 223.316 2.742 20 0 BFADHN CCN(CCC[C@@H]1CCOC1)Cc1ccncc1 ZINC000645844256 387808780 /nfs/dbraw/zinc/80/87/80/387808780.db2.gz UTAFPAAKMCWDGI-OAHLLOKOSA-N 0 3 248.370 2.720 20 0 BFADHN CC(C)n1cncc1CN[C@H]1C=CCCC1 ZINC000424153818 387810938 /nfs/dbraw/zinc/81/09/38/387810938.db2.gz LQFATZQZFQAORK-LBPRGKRZSA-N 0 3 219.332 2.662 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCO[C@H](C)[C@@H]2C)C1 ZINC000356983386 387759181 /nfs/dbraw/zinc/75/91/81/387759181.db2.gz MNZQCMQNHNWDPI-RQJABVFESA-N 0 3 225.376 2.922 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@H](C)[C@H](C)C2)o1 ZINC000356979104 387759257 /nfs/dbraw/zinc/75/92/57/387759257.db2.gz IEIIUUSPUOZYEG-NGZCFLSTSA-N 0 3 208.305 2.632 20 0 BFADHN CNC(=O)[C@H](C)N[C@@H](C)c1cccc(C(C)C)c1 ZINC000527902976 387759467 /nfs/dbraw/zinc/75/94/67/387759467.db2.gz PEMCUZQDVWZEHE-RYUDHWBXSA-N 0 3 248.370 2.595 20 0 BFADHN Cc1ccc(F)cc1CNC[C@@H]1CCCCO1 ZINC000129047763 387759996 /nfs/dbraw/zinc/75/99/96/387759996.db2.gz LZEXCWJWVIGSCC-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1ccnc([C@H](C)NC2(C3CC3)CC2)c1 ZINC000309599291 387831532 /nfs/dbraw/zinc/83/15/32/387831532.db2.gz XEJGTHNPRTURKZ-NSHDSACASA-N 0 3 216.328 2.983 20 0 BFADHN CC1(CN[C@@H](CO)c2cccc(F)c2)CCC1 ZINC000432863246 387834530 /nfs/dbraw/zinc/83/45/30/387834530.db2.gz CWDHQIGNAFOQQE-ZDUSSCGKSA-N 0 3 237.318 2.639 20 0 BFADHN CC(C)[C@@H]1CN(CC2(C)CCC2)CCO1 ZINC000432861538 387835009 /nfs/dbraw/zinc/83/50/09/387835009.db2.gz WOYRXPUOYFNRPO-LBPRGKRZSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1cc(F)c(CN[C@H](C)CCCO)c(F)c1 ZINC000424158947 387837139 /nfs/dbraw/zinc/83/71/39/387837139.db2.gz USWVOANXUGQVDG-SNVBAGLBSA-N 0 3 243.297 2.524 20 0 BFADHN Cc1ccc(CCN2CCO[C@@H](C)[C@@H]2C)cc1 ZINC000139207831 387839846 /nfs/dbraw/zinc/83/98/46/387839846.db2.gz MTYYYVACIZBNJP-KBPBESRZSA-N 0 3 233.355 2.647 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1cncc(C)c1 ZINC000442804862 387843779 /nfs/dbraw/zinc/84/37/79/387843779.db2.gz XARGTLOXTUEWKA-QEJZJMRPSA-N 0 3 248.370 2.998 20 0 BFADHN CCCCN(CC)C(=O)CN1CCCCCC1 ZINC000061744684 387844942 /nfs/dbraw/zinc/84/49/42/387844942.db2.gz CFTAWCMWIBBAAY-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN CCN(Cc1cc(C(F)(F)F)n[nH]1)CC1CC1 ZINC000442854476 387851873 /nfs/dbraw/zinc/85/18/73/387851873.db2.gz OESKSUYZOQMSIX-UHFFFAOYSA-N 0 3 247.264 2.660 20 0 BFADHN Cc1cc(F)ccc1CN[C@H]1CCO[C@@H]1C1CC1 ZINC000235753265 387856486 /nfs/dbraw/zinc/85/64/86/387856486.db2.gz QNARQBPQVXEBIW-LSDHHAIUSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1csc(CNC[C@@H]2C[C@H]2C2CCC2)n1 ZINC000424170939 387863195 /nfs/dbraw/zinc/86/31/95/387863195.db2.gz LLXUBCVBZPENLB-RYUDHWBXSA-N 0 3 236.384 2.977 20 0 BFADHN CC[C@@H]1C[C@H]1C(=O)Nc1cccc(CN(C)C)c1 ZINC000448686793 387864045 /nfs/dbraw/zinc/86/40/45/387864045.db2.gz MECPZMVCROIUND-TZMCWYRMSA-N 0 3 246.354 2.733 20 0 BFADHN C[C@@H](CCNCc1ccn(C)n1)CC(C)(C)C ZINC000525955288 387866237 /nfs/dbraw/zinc/86/62/37/387866237.db2.gz XQGKKOOMTCMKDL-LBPRGKRZSA-N 0 3 237.391 2.972 20 0 BFADHN COc1cccc(CNC[C@@H]2C[C@H]2C2CCC2)n1 ZINC000424172612 387869667 /nfs/dbraw/zinc/86/96/67/387869667.db2.gz NHSBAKMNJRSOFG-JSGCOSHPSA-N 0 3 246.354 2.616 20 0 BFADHN C[C@H](NCC[C@H]1CC=CCC1)c1ccc(=O)[nH]n1 ZINC000527976785 387815300 /nfs/dbraw/zinc/81/53/00/387815300.db2.gz GOTHPWIXPYIBLG-RYUDHWBXSA-N 0 3 247.342 2.579 20 0 BFADHN C[C@H](NCC[C@@H]1CC=CCC1)c1ccncn1 ZINC000527975911 387815511 /nfs/dbraw/zinc/81/55/11/387815511.db2.gz BMKMVWBURRFPMD-QWHCGFSZSA-N 0 3 231.343 2.874 20 0 BFADHN Cc1occc1CNCCOCCC(C)C ZINC000320965996 387817923 /nfs/dbraw/zinc/81/79/23/387817923.db2.gz AVPBTPZLLVDAIP-UHFFFAOYSA-N 0 3 225.332 2.740 20 0 BFADHN CC1(C)[C@H](NCc2ccoc2)[C@H]2CCCO[C@@H]21 ZINC000135201147 387818334 /nfs/dbraw/zinc/81/83/34/387818334.db2.gz ZJGYHEOHYXOIQB-UPJWGTAASA-N 0 3 235.327 2.573 20 0 BFADHN CN(CCC[C@@H]1CCOC1)Cc1ccsc1 ZINC000645770940 387890624 /nfs/dbraw/zinc/89/06/24/387890624.db2.gz VFQJZLIZBKLUAC-GFCCVEGCSA-N 0 3 239.384 2.997 20 0 BFADHN CN(CCC[C@H]1CCOC1)Cc1ccco1 ZINC000645768781 387891000 /nfs/dbraw/zinc/89/10/00/387891000.db2.gz ZCKHDJOKOUOUAE-LBPRGKRZSA-N 0 3 223.316 2.528 20 0 BFADHN CCOCCN(C)[C@@H](C)c1cccc(F)c1 ZINC000194544690 387892837 /nfs/dbraw/zinc/89/28/37/387892837.db2.gz XZSMLIWFKPMFTQ-NSHDSACASA-N 0 3 225.307 2.855 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@H]2C)on1 ZINC000265873392 387874920 /nfs/dbraw/zinc/87/49/20/387874920.db2.gz WHFVIDSMWUBERT-MWLCHTKSSA-N 0 3 208.305 2.603 20 0 BFADHN C1=C(CN2CCC[C@@H](OCC3CC3)C2)CCC1 ZINC000645861851 387881763 /nfs/dbraw/zinc/88/17/63/387881763.db2.gz UIENUMHYVXGUHY-OAHLLOKOSA-N 0 3 235.371 2.988 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2C[C@H]3CC[C@@H]2O3)c1 ZINC000152753838 387887432 /nfs/dbraw/zinc/88/74/32/387887432.db2.gz QSBPCMPPSHHBIC-BNOWGMLFSA-N 0 3 235.302 2.544 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cc(CO)ccc1F ZINC000528345795 387903933 /nfs/dbraw/zinc/90/39/33/387903933.db2.gz SKPDFVABXPXLIT-NSHDSACASA-N 0 3 239.334 2.794 20 0 BFADHN CC(C)C[C@@H]1CCCN1Cc1ccno1 ZINC000532943807 387911597 /nfs/dbraw/zinc/91/15/97/387911597.db2.gz UCQYOMGEXIVSCB-NSHDSACASA-N 0 3 208.305 2.685 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1cncs1 ZINC000161945714 387917459 /nfs/dbraw/zinc/91/74/59/387917459.db2.gz FIQGSMXJTKNTNI-YUMQZZPRSA-N 0 3 216.375 2.545 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@H](C3CC3)C2)n1C ZINC000424211929 387931294 /nfs/dbraw/zinc/93/12/94/387931294.db2.gz ZDPGRJLVVPXNRS-UONOGXRCSA-N 0 3 247.386 2.787 20 0 BFADHN Cc1cc(CN(C)CCC[C@H](C)O)ccc1F ZINC000444743192 387920389 /nfs/dbraw/zinc/92/03/89/387920389.db2.gz IHZVICRZZKTPKQ-LBPRGKRZSA-N 0 3 239.334 2.727 20 0 BFADHN CCN(CC(=O)Nc1cc(C)cc(C)c1)C(C)C ZINC000195527295 387921420 /nfs/dbraw/zinc/92/14/20/387921420.db2.gz RABJFRFOIVJYJO-UHFFFAOYSA-N 0 3 248.370 2.972 20 0 BFADHN C/C(=C/c1ccccc1)CN(C)CC1(CO)CC1 ZINC000438334108 387922153 /nfs/dbraw/zinc/92/21/53/387922153.db2.gz YCTNSELQZYZQQT-UVTDQMKNSA-N 0 3 245.366 2.794 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@H]2C2CCC2)cn1 ZINC000424196624 387922366 /nfs/dbraw/zinc/92/23/66/387922366.db2.gz NJOLQBFVNOSDGR-LSDHHAIUSA-N 0 3 230.355 2.922 20 0 BFADHN C[C@@H]1C[C@H](C)CN(CCn2cc(Cl)cn2)C1 ZINC000459300914 387923970 /nfs/dbraw/zinc/92/39/70/387923970.db2.gz INXVYMWJRJAWLB-PHIMTYICSA-N 0 3 241.766 2.514 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(CCn2cc(Cl)cn2)C1 ZINC000459300912 387924503 /nfs/dbraw/zinc/92/45/03/387924503.db2.gz INXVYMWJRJAWLB-GHMZBOCLSA-N 0 3 241.766 2.514 20 0 BFADHN CC(C)(NCc1cscn1)C1CCC1 ZINC000398213356 387924769 /nfs/dbraw/zinc/92/47/69/387924769.db2.gz KRECAHIPUQHHLL-UHFFFAOYSA-N 0 3 210.346 2.811 20 0 BFADHN CC[C@@H](C)N(C)Cc1cc(C(=O)OC)oc1C ZINC000533047301 387926234 /nfs/dbraw/zinc/92/62/34/387926234.db2.gz SDNPXNIJUIAROG-SECBINFHSA-N 0 3 239.315 2.605 20 0 BFADHN C[C@@H](NC[C@@H](O)CC(C)(C)C)c1ccccn1 ZINC000179473461 387928444 /nfs/dbraw/zinc/92/84/44/387928444.db2.gz RDHSOBNSHKXDAE-NEPJUHHUSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@H](NC[C@H](O)CC(C)(C)C)c1ccccn1 ZINC000179473433 387928978 /nfs/dbraw/zinc/92/89/78/387928978.db2.gz RDHSOBNSHKXDAE-NWDGAFQWSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C1CCC1)c1nccn1C ZINC000424286719 387953020 /nfs/dbraw/zinc/95/30/20/387953020.db2.gz GLNVFEOQFXGZJG-DRZSPHRISA-N 0 3 233.359 2.507 20 0 BFADHN CC/C=C/CCN[C@@H](CCO)c1ccco1 ZINC000185106210 387955350 /nfs/dbraw/zinc/95/53/50/387955350.db2.gz XADLXAQJAQLNJB-PCAWENJQSA-N 0 3 223.316 2.649 20 0 BFADHN CC[C@H](NCc1nccs1)[C@H]1C[C@H]1C ZINC000321049527 387938266 /nfs/dbraw/zinc/93/82/66/387938266.db2.gz GNJLOFTZFZUDLX-UTLUCORTSA-N 0 3 210.346 2.667 20 0 BFADHN COc1ccnc(CN2CC[C@H](C(C)C)C2)c1 ZINC000438505090 387939025 /nfs/dbraw/zinc/93/90/25/387939025.db2.gz ANOFEPUUASXZPO-LBPRGKRZSA-N 0 3 234.343 2.568 20 0 BFADHN CO[C@H](C)CNCc1ccc(C(F)(F)F)cc1 ZINC000183017321 387940896 /nfs/dbraw/zinc/94/08/96/387940896.db2.gz IMEONQZNYVZRCP-SECBINFHSA-N 0 3 247.260 2.830 20 0 BFADHN CC(C)C[C@H]1COCCN1CC1=CCCC1 ZINC000645802744 387941365 /nfs/dbraw/zinc/94/13/65/387941365.db2.gz KQMSJCASKMTGRF-AWEZNQCLSA-N 0 3 223.360 2.844 20 0 BFADHN C[C@H](c1ccccc1)N(C)C[C@H]1CCCO1 ZINC000245382617 387942831 /nfs/dbraw/zinc/94/28/31/387942831.db2.gz KKHSEUKCTQNRBY-TZMCWYRMSA-N 0 3 219.328 2.858 20 0 BFADHN Cc1occc1CNCCN1CCCCCC1 ZINC000321069171 387943993 /nfs/dbraw/zinc/94/39/93/387943993.db2.gz NIIBRLODDAVTKB-UHFFFAOYSA-N 0 3 236.359 2.554 20 0 BFADHN CC[C@H]1CN(CC2=CCCC2)C[C@H](C)O1 ZINC000645801962 387944312 /nfs/dbraw/zinc/94/43/12/387944312.db2.gz XMOYAPAHKARPFT-AAEUAGOBSA-N 0 3 209.333 2.596 20 0 BFADHN CC[C@@](C)(CN[C@@H]1COCc2ccccc21)OC ZINC000322625895 387945016 /nfs/dbraw/zinc/94/50/16/387945016.db2.gz PFNRJKKSRXVUAZ-CABCVRRESA-N 0 3 249.354 2.663 20 0 BFADHN CCC1(CNCc2cccc3c2OCCO3)CC1 ZINC000226784552 387949454 /nfs/dbraw/zinc/94/94/54/387949454.db2.gz JURPPHPKSWSOGH-UHFFFAOYSA-N 0 3 247.338 2.738 20 0 BFADHN CCC1(CNCc2cn3ccccc3n2)CC1 ZINC000226785292 387950201 /nfs/dbraw/zinc/95/02/01/387950201.db2.gz DWLVPDKGRXZQNM-UHFFFAOYSA-N 0 3 229.327 2.614 20 0 BFADHN Cc1nccnc1[C@@H](C)NC[C@@H]1C[C@H]1C1CCC1 ZINC000424299358 387959063 /nfs/dbraw/zinc/95/90/63/387959063.db2.gz SOEWSKLBPZBNSB-XBFCOCLRSA-N 0 3 245.370 2.872 20 0 BFADHN CSc1ccc(CN(C)CC[C@H](C)O)s1 ZINC000438857347 387960196 /nfs/dbraw/zinc/96/01/96/387960196.db2.gz NKDARJHIKCEEHD-VIFPVBQESA-N 0 3 245.413 2.673 20 0 BFADHN C[C@@H](NCCCCn1ccnc1)c1ccco1 ZINC000045094615 387963162 /nfs/dbraw/zinc/96/31/62/387963162.db2.gz IVVIMEDJFMUINE-GFCCVEGCSA-N 0 3 233.315 2.607 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@H]1O)c1cc(F)ccc1F ZINC000424310484 387963492 /nfs/dbraw/zinc/96/34/92/387963492.db2.gz CNPOANHRPYGVPF-YNEHKIRRSA-N 0 3 241.281 2.529 20 0 BFADHN F[C@]1(c2ccccc2)C[C@@H]1N[C@H]1CCCOCC1 ZINC000424326176 387967334 /nfs/dbraw/zinc/96/73/34/387967334.db2.gz OLEDNSYBBHEUAO-KKUMJFAQSA-N 0 3 249.329 2.782 20 0 BFADHN CCCN(Cc1cnoc1C)CC(C)C ZINC000445803142 387967911 /nfs/dbraw/zinc/96/79/11/387967911.db2.gz QXXWEKNKQPYVRU-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC[C@H]2O)cc(C)c1F ZINC000424316595 387968343 /nfs/dbraw/zinc/96/83/43/387968343.db2.gz NHXMIPNTTLKYTP-CYZMBNFOSA-N 0 3 237.318 2.616 20 0 BFADHN CCCN(C)Cc1cncn1Cc1ccccc1 ZINC000445798086 387968567 /nfs/dbraw/zinc/96/85/67/387968567.db2.gz FKXCNPRZVXMVNF-UHFFFAOYSA-N 0 3 243.354 2.773 20 0 BFADHN C[C@H](O)CCN1CCC[C@H]1c1cccc(F)c1 ZINC000438980148 387969619 /nfs/dbraw/zinc/96/96/19/387969619.db2.gz QMSDLUAKEBFOBT-FZMZJTMJSA-N 0 3 237.318 2.734 20 0 BFADHN CCN(CC[C@@H](C)O)Cc1cccc(Cl)c1 ZINC000438974388 387969782 /nfs/dbraw/zinc/96/97/82/387969782.db2.gz DDAPMWCYUUSICP-LLVKDONJSA-N 0 3 241.762 2.933 20 0 BFADHN Cc1oncc1CN1CC[C@@H]1Cc1ccccc1 ZINC000445818261 387970623 /nfs/dbraw/zinc/97/06/23/387970623.db2.gz KLKJWVXMHVBOBC-OAHLLOKOSA-N 0 3 242.322 2.800 20 0 BFADHN CC(C)(C)C[C@H](O)CNCc1ccc(F)cc1 ZINC000227373488 387957695 /nfs/dbraw/zinc/95/76/95/387957695.db2.gz HGXJHOTVJNHRGZ-ZDUSSCGKSA-N 0 3 239.334 2.712 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2ccoc2C)C12CCC2 ZINC000453087556 387981447 /nfs/dbraw/zinc/98/14/47/387981447.db2.gz MJOXGTCIWWGXNN-CHWSQXEVSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@@H](NC1CC1)c1cc(-c2ccccc2)nn1C ZINC000453052811 387972690 /nfs/dbraw/zinc/97/26/90/387972690.db2.gz DKIRBHJEDSYDDC-LLVKDONJSA-N 0 3 241.338 2.900 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1C1CCC1)c1cncs1 ZINC000424325480 387972919 /nfs/dbraw/zinc/97/29/19/387972919.db2.gz LBPFZURRWYHXHG-INTQDDNPSA-N 0 3 222.357 2.982 20 0 BFADHN COCC[C@H](C)N[C@H]1C[C@]1(F)c1ccccc1 ZINC000424330764 387974815 /nfs/dbraw/zinc/97/48/15/387974815.db2.gz RCERIXXMSATCRP-UBHSHLNASA-N 0 3 237.318 2.638 20 0 BFADHN CCCn1cc(CN2CC[C@@H](C)[C@@H](C)C2)cn1 ZINC000245763992 387981687 /nfs/dbraw/zinc/98/16/87/387981687.db2.gz QGPFUCNKLJVNBH-OLZOCXBDSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1ccc([S@@](C)=O)cc1 ZINC000424332617 387976703 /nfs/dbraw/zinc/97/67/03/387976703.db2.gz WZBNZRGYBPSGAT-SIMVJXQJSA-N 0 3 249.379 2.627 20 0 BFADHN CC[C@@H](N[C@@H]1CC[C@H]1O)c1cc(C)ccc1OC ZINC000424332889 387977145 /nfs/dbraw/zinc/97/71/45/387977145.db2.gz TXVPGYNQACCYQU-MGPQQGTHSA-N 0 3 249.354 2.568 20 0 BFADHN C[C@H](N[C@@H]1C[C@]1(F)c1ccccc1)[C@H]1CCOC1 ZINC000424333114 387977452 /nfs/dbraw/zinc/97/74/52/387977452.db2.gz XLEBLJOZFXLFCI-VIRABCJISA-N 0 3 249.329 2.638 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1ccc([S@](C)=O)cc1 ZINC000424332614 387977614 /nfs/dbraw/zinc/97/76/14/387977614.db2.gz WZBNZRGYBPSGAT-GDKCJGSASA-N 0 3 249.379 2.627 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000424359211 387988145 /nfs/dbraw/zinc/98/81/45/387988145.db2.gz VACPSUFHVRBNRF-FVCCEPFGSA-N 0 3 216.328 2.839 20 0 BFADHN CC[C@@H](N[C@H](C)c1ccncn1)C(C)(C)C ZINC000453102930 387984431 /nfs/dbraw/zinc/98/44/31/387984431.db2.gz QYBBXDVHCIDXJC-ZYHUDNBSSA-N 0 3 221.348 2.952 20 0 BFADHN C[C@@H]1CCN(Cc2cncs2)C[C@H]1C ZINC000245805771 387984705 /nfs/dbraw/zinc/98/47/05/387984705.db2.gz YNDIOLICCMGBTD-NXEZZACHSA-N 0 3 210.346 2.621 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@H](C)C(C)C ZINC000453107643 387985907 /nfs/dbraw/zinc/98/59/07/387985907.db2.gz HSRZFEXCCWUURW-NWDGAFQWSA-N 0 3 223.364 2.846 20 0 BFADHN COc1ccc(CN(C)CC2=CCCC2)cc1O ZINC000645813975 387986194 /nfs/dbraw/zinc/98/61/94/387986194.db2.gz JGJZVZHETFSYRZ-UHFFFAOYSA-N 0 3 247.338 2.943 20 0 BFADHN OCCCN(CC1=CCCC1)Cc1ccccc1 ZINC000645816232 387996836 /nfs/dbraw/zinc/99/68/36/387996836.db2.gz BMLHRRAUZALKDD-UHFFFAOYSA-N 0 3 245.366 2.981 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC1(CC)CC1 ZINC000453154326 387998131 /nfs/dbraw/zinc/99/81/31/387998131.db2.gz RSMZFXMJHKMSND-LLVKDONJSA-N 0 3 221.348 2.744 20 0 BFADHN C[C@H]1CCN(Cc2cccnc2)C[C@H]1C ZINC000245974339 387999519 /nfs/dbraw/zinc/99/95/19/387999519.db2.gz WVLYRXDXHLAONT-NWDGAFQWSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@H](NCC12CCC(CC1)C2)c1ccncn1 ZINC000453169396 388001801 /nfs/dbraw/zinc/00/18/01/388001801.db2.gz GDBXPGSSVPNSAF-DCBWTQNWSA-N 0 3 231.343 2.708 20 0 BFADHN CO[C@@H]1CCCN([C@H](C)c2cccc(F)c2)C1 ZINC000246062538 388006106 /nfs/dbraw/zinc/00/61/06/388006106.db2.gz AYWMEXQSTYAYGJ-BXUZGUMPSA-N 0 3 237.318 2.998 20 0 BFADHN CCC(CC)CN(CC)CC(=O)NC(C)(C)C ZINC000046065549 388007476 /nfs/dbraw/zinc/00/74/76/388007476.db2.gz URMFGRMTXDJBSY-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN CN(CCOc1ccc(Cl)cc1)C1CC1 ZINC000046060269 388008020 /nfs/dbraw/zinc/00/80/20/388008020.db2.gz RDAFLHUUHZOEBN-UHFFFAOYSA-N 0 3 225.719 2.813 20 0 BFADHN CC[C@@H](N[C@H](CCO)c1ccco1)C1CCC1 ZINC000453205444 388010089 /nfs/dbraw/zinc/01/00/89/388010089.db2.gz XCSXJSAMZCXDCP-CHWSQXEVSA-N 0 3 237.343 2.871 20 0 BFADHN CN(CCC(=O)c1ccccc1)C1CCC1 ZINC000163013573 387996176 /nfs/dbraw/zinc/99/61/76/387996176.db2.gz TXUMKNANUMDQAK-UHFFFAOYSA-N 0 3 217.312 2.744 20 0 BFADHN C[C@H]1C[C@H](NCCC2(C)CC2)c2nccn21 ZINC000453225027 388016340 /nfs/dbraw/zinc/01/63/40/388016340.db2.gz SICDLBFXCPPTJH-QWRGUYRKSA-N 0 3 219.332 2.669 20 0 BFADHN Cc1ccc2ncc(CN3CC[C@H](C)[C@H]3C)n2c1 ZINC000246204699 388019831 /nfs/dbraw/zinc/01/98/31/388019831.db2.gz MCSIPAUZOVDQSY-QWHCGFSZSA-N 0 3 243.354 2.873 20 0 BFADHN CC[C@@H](C)NC(=O)CN1CCCCC[C@H]1CC ZINC000246241517 388022132 /nfs/dbraw/zinc/02/21/32/388022132.db2.gz UWAZNHJBEAEUDF-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCC(F)(F)C2)C[C@@H](C)O1 ZINC000450388946 388023290 /nfs/dbraw/zinc/02/32/90/388023290.db2.gz ITSJXLNGMLWRSE-GRYCIOLGSA-N 0 3 247.329 2.921 20 0 BFADHN CC[C@@H]1CCC[C@H]1CN[C@H](C)c1nccn1C ZINC000453281108 388033158 /nfs/dbraw/zinc/03/31/58/388033158.db2.gz HFLVXCQEGAUIFE-UPJWGTAASA-N 0 3 235.375 2.897 20 0 BFADHN CC[C@H](F)CN(CCOC)[C@H]1CC[C@@H](C)C1 ZINC000440498664 388044768 /nfs/dbraw/zinc/04/47/68/388044768.db2.gz KPDMPRDHELWMBX-AGIUHOORSA-N 0 3 231.355 2.872 20 0 BFADHN Nc1nc2cc(-c3ccccc3)ccc2[nH]1 ZINC000141419811 388037649 /nfs/dbraw/zinc/03/76/49/388037649.db2.gz DLBCZNBWXJPPER-UHFFFAOYSA-N 0 3 209.252 2.812 20 0 BFADHN Nc1nc2ccc(-c3ccccc3)cc2[nH]1 ZINC000141419811 388037651 /nfs/dbraw/zinc/03/76/51/388037651.db2.gz DLBCZNBWXJPPER-UHFFFAOYSA-N 0 3 209.252 2.812 20 0 BFADHN CC(C)[C@@H](NCC1=CCOCC1)c1cccnc1 ZINC000453300048 388038084 /nfs/dbraw/zinc/03/80/84/388038084.db2.gz WXZOWRCIYULEBA-OAHLLOKOSA-N 0 3 246.354 2.715 20 0 BFADHN C[C@@H](N(C)Cc1cn2cccnc2n1)C(C)(C)C ZINC000533249242 388038438 /nfs/dbraw/zinc/03/84/38/388038438.db2.gz CDXASPFKGDPMKM-LLVKDONJSA-N 0 3 246.358 2.596 20 0 BFADHN COC[C@H](NCc1cccc(O)c1)C1CCCC1 ZINC000446818508 388039036 /nfs/dbraw/zinc/03/90/36/388039036.db2.gz RDYZXTDDXYXDPD-HNNXBMFYSA-N 0 3 249.354 2.687 20 0 BFADHN C[C@@H](N(C)Cc1cccnc1)C(C)(C)C ZINC000533249572 388039407 /nfs/dbraw/zinc/03/94/07/388039407.db2.gz FIWSSFMERBIUKS-LLVKDONJSA-N 0 3 206.333 2.948 20 0 BFADHN Cc1cccc(CN(C)CCC[C@H]2CCOC2)n1 ZINC000645829534 388040120 /nfs/dbraw/zinc/04/01/20/388040120.db2.gz IBVJIANVWVIJDQ-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN Oc1ccc2c(c1)CN(CC1=CCCC1)CC2 ZINC000645829157 388041297 /nfs/dbraw/zinc/04/12/97/388041297.db2.gz QVZOFLBKEQVDHI-UHFFFAOYSA-N 0 3 229.323 2.861 20 0 BFADHN C[C@@H]1COCCN1C[C@H]1CCCC[C@@H]1C ZINC000446906218 388043102 /nfs/dbraw/zinc/04/31/02/388043102.db2.gz YAQKJACJCRWTKS-YNEHKIRRSA-N 0 3 211.349 2.533 20 0 BFADHN CC(C)(C)[C@H]1CN(CC2=CCCC2)CCO1 ZINC000645895278 388043414 /nfs/dbraw/zinc/04/34/14/388043414.db2.gz BPMKQSLHXCNQJG-CYBMUJFWSA-N 0 3 223.360 2.844 20 0 BFADHN C[C@H](C[C@H]1CCCO1)N[C@@H](C)c1cncs1 ZINC000156721678 388027255 /nfs/dbraw/zinc/02/72/55/388027255.db2.gz ANMKCWXDPKLPSX-OUAUKWLOSA-N 0 3 240.372 2.751 20 0 BFADHN Clc1cc2c(c(CNC3CC3)c1)OCC2 ZINC000159741338 388030163 /nfs/dbraw/zinc/03/01/63/388030163.db2.gz SEZVPBOIEMWMDY-UHFFFAOYSA-N 0 3 223.703 2.527 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@@H]1CCC[C@H](C)C1 ZINC000071158193 388030776 /nfs/dbraw/zinc/03/07/76/388030776.db2.gz QXXFKKIUCFPCPU-YNEHKIRRSA-N 0 3 239.359 2.593 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@H](C)c1cnccn1 ZINC000453277725 388031828 /nfs/dbraw/zinc/03/18/28/388031828.db2.gz BOMHGJODUFOYJV-JHJVBQTASA-N 0 3 233.359 2.954 20 0 BFADHN CC[C@@H](N[C@H](C)c1nccn1CC)C1CC1 ZINC000453343702 388052078 /nfs/dbraw/zinc/05/20/78/388052078.db2.gz ILSPRFZNWYAEFW-ZYHUDNBSSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@@H](NCC1(C)COC1)c1c(F)cccc1F ZINC000083522891 388054105 /nfs/dbraw/zinc/05/41/05/388054105.db2.gz AMVVKQPCCIZRNN-SECBINFHSA-N 0 3 241.281 2.652 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H]1CCC12CCC2 ZINC000453344804 388054226 /nfs/dbraw/zinc/05/42/26/388054226.db2.gz JFGIDXKAMVESEI-VXGBXAGGSA-N 0 3 233.359 2.886 20 0 BFADHN CO[C@@H]([C@H](C)N[C@H](C)c1cncc(C)c1)C1CC1 ZINC000453356797 388057843 /nfs/dbraw/zinc/05/78/43/388057843.db2.gz IUUKIDSGGJRYQC-XUJVJEKNSA-N 0 3 248.370 2.854 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2C[C@H]2C(C)C)no1 ZINC000453370757 388062455 /nfs/dbraw/zinc/06/24/55/388062455.db2.gz VXNXBRGNWCNSPA-WOPDTQHZSA-N 0 3 222.332 2.926 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](O)C2CCC2)oc1C ZINC000453371485 388062799 /nfs/dbraw/zinc/06/27/99/388062799.db2.gz GJWXRTGIVUNCIG-ZWNOBZJWSA-N 0 3 237.343 2.708 20 0 BFADHN CC[C@H](NC[C@H]1CC[C@H](C)O1)c1ccncc1 ZINC000453398492 388072316 /nfs/dbraw/zinc/07/23/16/388072316.db2.gz ADNCDVSZKIIUSQ-YUTCNCBUSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H](CN1CCOCC1(C)C)c1ccccc1 ZINC000116877740 388072520 /nfs/dbraw/zinc/07/25/20/388072520.db2.gz LSAYDAHEPLXTNE-ZDUSSCGKSA-N 0 3 233.355 2.901 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@@H](C)c1ccncn1 ZINC000453405577 388074747 /nfs/dbraw/zinc/07/47/47/388074747.db2.gz BVOVDOGCCJTAEG-FPMFFAJLSA-N 0 3 233.359 2.952 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2CC[C@H](C)O2)c1 ZINC000453404584 388074891 /nfs/dbraw/zinc/07/48/91/388074891.db2.gz IGXXZIMSOGUQOB-AVGNSLFASA-N 0 3 234.343 2.608 20 0 BFADHN Cc1cnc(CCN[C@@H](C)c2ccsc2)cn1 ZINC000453418623 388079209 /nfs/dbraw/zinc/07/92/09/388079209.db2.gz YMUSJLBQHIHLJK-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN C/C=C\C[C@H](CO)N[C@@H](C)c1cc(C)oc1C ZINC000447600949 388082187 /nfs/dbraw/zinc/08/21/87/388082187.db2.gz OCIUASGOMWKDFL-FRRIYSQJSA-N 0 3 237.343 2.874 20 0 BFADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1ccnn1C ZINC000447609548 388082208 /nfs/dbraw/zinc/08/22/08/388082208.db2.gz YFGFORZLEVDRHP-RKDXNWHRSA-N 0 3 249.280 2.802 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@H](C)c1cnccn1 ZINC000453438943 388082511 /nfs/dbraw/zinc/08/25/11/388082511.db2.gz MGXFHSPMKPQWIM-MRVWCRGKSA-N 0 3 233.359 2.952 20 0 BFADHN CO[C@@H](C)CNC1(c2ccccc2C)CC1 ZINC000645980822 388115019 /nfs/dbraw/zinc/11/50/19/388115019.db2.gz NVGVFEDOAKWASE-LBPRGKRZSA-N 0 3 219.328 2.609 20 0 BFADHN CCC[C@H](O)CNC1(c2ccccc2C)CC1 ZINC000645980854 388115042 /nfs/dbraw/zinc/11/50/42/388115042.db2.gz QPHRGDCXYGBDHP-ZDUSSCGKSA-N 0 3 233.355 2.735 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@@H]1CCC[C@H](CC)C1 ZINC000085069229 388083768 /nfs/dbraw/zinc/08/37/68/388083768.db2.gz RGTJIYBQXKIFDN-XQQFMLRXSA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@@H](C)c1ccnn1C ZINC000453458441 388083842 /nfs/dbraw/zinc/08/38/42/388083842.db2.gz WBSUPRRJRUXEIQ-IACUBPJLSA-N 0 3 235.375 2.895 20 0 BFADHN CCC1CN(Cc2c(C)cc(OC)nc2C)C1 ZINC000453601414 388089810 /nfs/dbraw/zinc/08/98/10/388089810.db2.gz HKRZYZBEQHSFDI-UHFFFAOYSA-N 0 3 234.343 2.549 20 0 BFADHN CCOc1ccc(CN2CC(CC)C2)cc1 ZINC000453601808 388090067 /nfs/dbraw/zinc/09/00/67/388090067.db2.gz HUEAWAHBVPZJMQ-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN CCC1CN(Cc2ccc(COC)cc2)C1 ZINC000453602333 388090397 /nfs/dbraw/zinc/09/03/97/388090397.db2.gz JFQZGPMYNFRHII-UHFFFAOYSA-N 0 3 219.328 2.675 20 0 BFADHN Clc1cccc2c1[C@@H](NCC1CC1)CO2 ZINC000336660102 388090614 /nfs/dbraw/zinc/09/06/14/388090614.db2.gz BSYUXYFWEOZOHZ-JTQLQIEISA-N 0 3 223.703 2.773 20 0 BFADHN Cc1cnc(CN[C@H](C)CC(C)(C)C)n1C ZINC000453607017 388091691 /nfs/dbraw/zinc/09/16/91/388091691.db2.gz WMVYMKTVZKSZEA-SNVBAGLBSA-N 0 3 223.364 2.643 20 0 BFADHN CCn1cc(CN[C@@H]2C[C@@]2(CC)C(C)C)cn1 ZINC000453609681 388091936 /nfs/dbraw/zinc/09/19/36/388091936.db2.gz JOOPPTAINQFAHR-KGLIPLIRSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1cnn(C)c1C ZINC000453612028 388092207 /nfs/dbraw/zinc/09/22/07/388092207.db2.gz MSSAROXNEFLHEJ-ZIAGYGMSSA-N 0 3 235.375 2.643 20 0 BFADHN CCn1cc(CN[C@H]2C[C@@]2(CC)C(C)C)cn1 ZINC000453609680 388092727 /nfs/dbraw/zinc/09/27/27/388092727.db2.gz JOOPPTAINQFAHR-KBPBESRZSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1conc1C ZINC000453614698 388092788 /nfs/dbraw/zinc/09/27/88/388092788.db2.gz QJOJSACYAFFYNE-STQMWFEESA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1ccccn1 ZINC000453621735 388093927 /nfs/dbraw/zinc/09/39/27/388093927.db2.gz XUZCJLNOIDFWHC-ZIAGYGMSSA-N 0 3 218.344 2.996 20 0 BFADHN CC1(C)C[C@H]1NCc1nc(-c2ccccc2)c[nH]1 ZINC000447839202 388096147 /nfs/dbraw/zinc/09/61/47/388096147.db2.gz IGRWRQCZVAQFKZ-CYBMUJFWSA-N 0 3 241.338 2.965 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1nc(-c2ccccc2)c[nH]1 ZINC000447847470 388098598 /nfs/dbraw/zinc/09/85/98/388098598.db2.gz FKWVAXAIUSBMHJ-WCQYABFASA-N 0 3 241.338 2.822 20 0 BFADHN CC[C@H](NCC[C@@H](C)O)c1ccccc1OC ZINC000085981599 388098628 /nfs/dbraw/zinc/09/86/28/388098628.db2.gz BYDWLZDXGPBNEH-YPMHNXCESA-N 0 3 237.343 2.507 20 0 BFADHN CCCn1ncnc1CN[C@H](CC)C(C)(C)C ZINC000447887451 388100076 /nfs/dbraw/zinc/10/00/76/388100076.db2.gz CPKDQSHEHVYNCK-LLVKDONJSA-N 0 3 238.379 2.602 20 0 BFADHN CC[C@@H]1CN(CC)CCN1CC1=CCCC1 ZINC000645925693 388103191 /nfs/dbraw/zinc/10/31/91/388103191.db2.gz LEPJPRWEUSETQE-CQSZACIVSA-N 0 3 222.376 2.513 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1cc[nH]n1 ZINC000086662520 388105197 /nfs/dbraw/zinc/10/51/97/388105197.db2.gz RETSSPITDXQINJ-ZYHUDNBSSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@@H]1CCC[C@@H](N(C)Cc2cnc(N)s2)C1 ZINC000086765946 388107625 /nfs/dbraw/zinc/10/76/25/388107625.db2.gz QRXLIZTVBNMNFP-NXEZZACHSA-N 0 3 239.388 2.736 20 0 BFADHN C[C@H](NCC(C)(C)O)c1ccc(Cl)c(F)c1 ZINC000213770854 388108728 /nfs/dbraw/zinc/10/87/28/388108728.db2.gz HFUBYFQYRJMUBA-QMMMGPOBSA-N 0 3 245.725 2.901 20 0 BFADHN CCOC(=O)CCN(C)[C@H](C)c1ccccc1 ZINC000057159112 388112105 /nfs/dbraw/zinc/11/21/05/388112105.db2.gz NWWKUUFGMHSLRK-GFCCVEGCSA-N 0 3 235.327 2.633 20 0 BFADHN CCc1ccc([C@@H](C)NCCC2(CO)CC2)o1 ZINC000453329361 388048018 /nfs/dbraw/zinc/04/80/18/388048018.db2.gz CWYGUUPKKJZLPB-LLVKDONJSA-N 0 3 237.343 2.655 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@H]1C(C)C)c1nccn1C ZINC000453332515 388048789 /nfs/dbraw/zinc/04/87/89/388048789.db2.gz AVXXXSRDTGHDHX-AVGNSLFASA-N 0 3 235.375 2.753 20 0 BFADHN C[C@H](O)CCNC1(c2ccccc2Cl)CC1 ZINC000645978272 388114145 /nfs/dbraw/zinc/11/41/45/388114145.db2.gz AFMJFXLSFRVRKD-JTQLQIEISA-N 0 3 239.746 2.690 20 0 BFADHN C[C@@H](NCc1ccn(C)n1)[C@H]1CCCC[C@H]1C ZINC000449203137 388156771 /nfs/dbraw/zinc/15/67/71/388156771.db2.gz GZKZIVYBDVGMFS-BZPMIXESSA-N 0 3 235.375 2.725 20 0 BFADHN CC(C)CSCCNCc1ccncc1F ZINC000449204257 388157125 /nfs/dbraw/zinc/15/71/25/388157125.db2.gz IZOYHKAMGSPSFD-UHFFFAOYSA-N 0 3 242.363 2.700 20 0 BFADHN Cc1cncc(CN[C@H](C)Cc2ccoc2)c1 ZINC000449205808 388157357 /nfs/dbraw/zinc/15/73/57/388157357.db2.gz MHOYLGFCHKVJES-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN CC1=CC[C@H](NCc2ccccn2)CC1 ZINC000646150015 388157562 /nfs/dbraw/zinc/15/75/62/388157562.db2.gz FAGXYDAFAWPLNY-LBPRGKRZSA-N 0 3 202.301 2.670 20 0 BFADHN F[C@H]1CCN(C[C@@H]2C[C@@H]2c2ccccc2)C1 ZINC000648720682 388157947 /nfs/dbraw/zinc/15/79/47/388157947.db2.gz UPIFXYWLEDSYPI-MELADBBJSA-N 0 3 219.303 2.834 20 0 BFADHN F[C@H]1CCN(C[C@H]2C[C@@H]2c2ccccc2)C1 ZINC000648720681 388158035 /nfs/dbraw/zinc/15/80/35/388158035.db2.gz UPIFXYWLEDSYPI-HZSPNIEDSA-N 0 3 219.303 2.834 20 0 BFADHN CCOCCNCc1cccc2ccoc21 ZINC000449217595 388158549 /nfs/dbraw/zinc/15/85/49/388158549.db2.gz AFYQCQBPRVCWBP-UHFFFAOYSA-N 0 3 219.284 2.559 20 0 BFADHN Cc1cc(CN2C[C@@H](C)N(C)C[C@H]2C)cs1 ZINC000449250166 388162305 /nfs/dbraw/zinc/16/23/05/388162305.db2.gz ODFPOSFVBVHYBP-GHMZBOCLSA-N 0 3 238.400 2.581 20 0 BFADHN CCc1nocc1CN1CCC(C2CC2)CC1 ZINC000646206754 388164838 /nfs/dbraw/zinc/16/48/38/388164838.db2.gz PBRFYNBQGVOMQT-UHFFFAOYSA-N 0 3 234.343 2.859 20 0 BFADHN C[C@H](Cc1coc2ccccc12)NCCF ZINC000449300026 388170159 /nfs/dbraw/zinc/17/01/59/388170159.db2.gz NQWGIWCDWBTAFC-SNVBAGLBSA-N 0 3 221.275 2.923 20 0 BFADHN C[C@H]1CC[C@H]1NCc1ccnn1C1CCCC1 ZINC000449318157 388171555 /nfs/dbraw/zinc/17/15/55/388171555.db2.gz FNDDUIZYVXKSMS-SMDDNHRTSA-N 0 3 233.359 2.886 20 0 BFADHN CCN1CCN(Cc2csc(C)c2)[C@H](C)C1 ZINC000449318970 388172795 /nfs/dbraw/zinc/17/27/95/388172795.db2.gz FIGPDJTWNWWSDG-LLVKDONJSA-N 0 3 238.400 2.583 20 0 BFADHN Fc1cnccc1CN1CC[C@H](CC2CC2)C1 ZINC000449343767 388176906 /nfs/dbraw/zinc/17/69/06/388176906.db2.gz MXKGOHLHEHFCQU-GFCCVEGCSA-N 0 3 234.318 2.843 20 0 BFADHN C[C@@H](Cc1ccoc1)N[C@H]1CCC[C@H]1F ZINC000449343552 388177118 /nfs/dbraw/zinc/17/71/18/388177118.db2.gz LKRYQODVDYMZND-WCQGTBRESA-N 0 3 211.280 2.691 20 0 BFADHN CC(C)C[C@H](C)CN(C1CC1)C1COC1 ZINC000449348176 388177548 /nfs/dbraw/zinc/17/75/48/388177548.db2.gz BLZCQJQRAWDVCK-NSHDSACASA-N 0 3 211.349 2.532 20 0 BFADHN c1ccc([C@H]2C[C@H]2CN(C2CC2)C2COC2)cc1 ZINC000449351739 388179734 /nfs/dbraw/zinc/17/97/34/388179734.db2.gz QSEXCEGHDRGHPC-XJKSGUPXSA-N 0 3 243.350 2.653 20 0 BFADHN COCC[C@H](NC[C@H]1C[C@H]1C)c1ccc(C)o1 ZINC000488519562 388182073 /nfs/dbraw/zinc/18/20/73/388182073.db2.gz GSZMFESBPMTIFD-RTXFEEFZSA-N 0 3 237.343 2.911 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)C2CC2)[n-]1 ZINC000488496338 388182590 /nfs/dbraw/zinc/18/25/90/388182590.db2.gz DPPOGVRTTUFPCL-GUBZILKMSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)N[C@@H](C)C2CC2)[nH]1 ZINC000488496338 388182592 /nfs/dbraw/zinc/18/25/92/388182592.db2.gz DPPOGVRTTUFPCL-GUBZILKMSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@H](F)CN1CC[C@H](c2cccnc2)C1 ZINC000645983472 388116624 /nfs/dbraw/zinc/11/66/24/388116624.db2.gz XYPOBYSLWFVLDS-STQMWFEESA-N 0 3 222.307 2.619 20 0 BFADHN CCOCCNC1(c2cccc(F)c2)CCC1 ZINC000646002000 388123329 /nfs/dbraw/zinc/12/33/29/388123329.db2.gz FRLROUFBQUTWLP-UHFFFAOYSA-N 0 3 237.318 2.831 20 0 BFADHN Cc1ccc(CN(CCCF)CC2CC2)cn1 ZINC000646019601 388125341 /nfs/dbraw/zinc/12/53/41/388125341.db2.gz NYLBORMXLWKTDY-UHFFFAOYSA-N 0 3 236.334 2.962 20 0 BFADHN CCOCCNC1(c2ccccc2F)CCC1 ZINC000646024902 388126586 /nfs/dbraw/zinc/12/65/86/388126586.db2.gz WVMLTNRLZMNLPM-UHFFFAOYSA-N 0 3 237.318 2.831 20 0 BFADHN CCCCN(CCO)Cc1cccc(C)c1F ZINC000449001171 388126907 /nfs/dbraw/zinc/12/69/07/388126907.db2.gz CGUZSDXQXJBIBH-UHFFFAOYSA-N 0 3 239.334 2.729 20 0 BFADHN CO[C@H](C)CNC1(c2ccccc2F)CCC1 ZINC000646024847 388127167 /nfs/dbraw/zinc/12/71/67/388127167.db2.gz RWPWQVJOJATOMR-LLVKDONJSA-N 0 3 237.318 2.829 20 0 BFADHN CC[C@@H](C)NC(=O)[C@H](C)N(C)CCC(C)(C)C ZINC000646027300 388127374 /nfs/dbraw/zinc/12/73/74/388127374.db2.gz DBOIIJANXXOQFY-NEPJUHHUSA-N 0 3 242.407 2.658 20 0 BFADHN C[C@H](C1CC1)N(Cc1ccncc1F)C1CC1 ZINC000449013329 388129709 /nfs/dbraw/zinc/12/97/09/388129709.db2.gz KQQGSPQQPAODBX-SNVBAGLBSA-N 0 3 234.318 2.984 20 0 BFADHN Cc1cccc(CCN2CCO[C@H]3CCC[C@H]32)c1 ZINC000449017017 388131039 /nfs/dbraw/zinc/13/10/39/388131039.db2.gz OVQVLCRYRSIHKR-CVEARBPZSA-N 0 3 245.366 2.791 20 0 BFADHN Cc1nocc1CN1[C@H](C)CCC[C@H]1C ZINC000646046807 388131807 /nfs/dbraw/zinc/13/18/07/388131807.db2.gz GGNJOSJBGOHSNJ-NXEZZACHSA-N 0 3 208.305 2.746 20 0 BFADHN CCC(C)(C)N(C)Cc1ccncc1F ZINC000449024547 388131933 /nfs/dbraw/zinc/13/19/33/388131933.db2.gz BRPDPAVOHXHDIC-UHFFFAOYSA-N 0 3 210.296 2.841 20 0 BFADHN OCC[C@@H](NCC1CCC1)c1ccccc1F ZINC000646068008 388136200 /nfs/dbraw/zinc/13/62/00/388136200.db2.gz HFFADMKNNSQMQF-CQSZACIVSA-N 0 3 237.318 2.639 20 0 BFADHN OCC[C@@H](N[C@@H]1C=CCCC1)c1ccccc1F ZINC000646068698 388136363 /nfs/dbraw/zinc/13/63/63/388136363.db2.gz ZFYKWVAJKMIVHK-IUODEOHRSA-N 0 3 249.329 2.948 20 0 BFADHN OCC[C@H](NCC1CCC1)c1ccccc1F ZINC000646068007 388136437 /nfs/dbraw/zinc/13/64/37/388136437.db2.gz HFFADMKNNSQMQF-AWEZNQCLSA-N 0 3 237.318 2.639 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccc(Cl)o2)CCO1 ZINC000449058409 388136782 /nfs/dbraw/zinc/13/67/82/388136782.db2.gz IXDNDNYPSOWYOR-ZJUUUORDSA-N 0 3 243.734 2.980 20 0 BFADHN COc1cc(C)ccc1CN[C@H](C)CSC ZINC000449162393 388150971 /nfs/dbraw/zinc/15/09/71/388150971.db2.gz RPODBLAQGGCBEM-LLVKDONJSA-N 0 3 239.384 2.845 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2C2CCC2)nn1C ZINC000646139605 388152903 /nfs/dbraw/zinc/15/29/03/388152903.db2.gz ZPDJRMXIRWMJAP-OAHLLOKOSA-N 0 3 247.386 2.883 20 0 BFADHN CO[C@@H](CNCc1cc2cccnc2o1)C(C)C ZINC000449175255 388153545 /nfs/dbraw/zinc/15/35/45/388153545.db2.gz OAUNSCXXYGFAGH-ZDUSSCGKSA-N 0 3 248.326 2.588 20 0 BFADHN CCC1(CNCc2csc(C)n2)CC1 ZINC000165457671 388251793 /nfs/dbraw/zinc/25/17/93/388251793.db2.gz RHVRRWKFWGZDCD-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN CO[C@H](C)CNCc1ccc(Cl)s1 ZINC000167084284 388255921 /nfs/dbraw/zinc/25/59/21/388255921.db2.gz ASPDMBAOOJTXAC-SSDOTTSWSA-N 0 3 219.737 2.526 20 0 BFADHN CO[C@@H](C)CNCc1ccc(Cl)s1 ZINC000167084208 388255939 /nfs/dbraw/zinc/25/59/39/388255939.db2.gz ASPDMBAOOJTXAC-ZETCQYMHSA-N 0 3 219.737 2.526 20 0 BFADHN Fc1ccc(/C=C/CNCC2=CCOCC2)cc1 ZINC000449401663 388195340 /nfs/dbraw/zinc/19/53/40/388195340.db2.gz HZRWNLGJSIKIDP-OWOJBTEDSA-N 0 3 247.313 2.775 20 0 BFADHN CC[C@H]([NH2+]Cc1nnc(C(C)C)[n-]1)C1CCC1 ZINC000449405151 388196335 /nfs/dbraw/zinc/19/63/35/388196335.db2.gz IJHPCCTXHWKVRD-NSHDSACASA-N 0 3 236.363 2.596 20 0 BFADHN CC[C@H](NCc1nnc(C(C)C)[nH]1)C1CCC1 ZINC000449405151 388196336 /nfs/dbraw/zinc/19/63/36/388196336.db2.gz IJHPCCTXHWKVRD-NSHDSACASA-N 0 3 236.363 2.596 20 0 BFADHN CCCC[C@@H](CC)[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000449405972 388196533 /nfs/dbraw/zinc/19/65/33/388196533.db2.gz QJNAQCLVQLXKGP-LLVKDONJSA-N 0 3 236.363 2.741 20 0 BFADHN CCCC[C@@H](CC)NCc1nnc(C2CC2)[nH]1 ZINC000449405972 388196535 /nfs/dbraw/zinc/19/65/35/388196535.db2.gz QJNAQCLVQLXKGP-LLVKDONJSA-N 0 3 236.363 2.741 20 0 BFADHN CCC1CCC([NH2+]Cc2nnc(C3CC3)[n-]2)CC1 ZINC000449406706 388196564 /nfs/dbraw/zinc/19/65/64/388196564.db2.gz ZUGCPLTXGZHSHP-UHFFFAOYSA-N 0 3 248.374 2.741 20 0 BFADHN CCC1CCC(NCc2nnc(C3CC3)[nH]2)CC1 ZINC000449406706 388196566 /nfs/dbraw/zinc/19/65/66/388196566.db2.gz ZUGCPLTXGZHSHP-UHFFFAOYSA-N 0 3 248.374 2.741 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1ncc(C)n1C ZINC000449432274 388205142 /nfs/dbraw/zinc/20/51/42/388205142.db2.gz GPFCQAZSKDVORK-CHWSQXEVSA-N 0 3 235.375 2.644 20 0 BFADHN CC(C)C[C@@]1(C)CCCN1Cc1cc[nH]n1 ZINC000449433765 388205771 /nfs/dbraw/zinc/20/57/71/388205771.db2.gz NSTOFJGNHNSPIP-CYBMUJFWSA-N 0 3 221.348 2.810 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1ncc(C)n1C ZINC000449439885 388207106 /nfs/dbraw/zinc/20/71/06/388207106.db2.gz AVMIZIRRHYRTCY-GFCCVEGCSA-N 0 3 235.375 2.953 20 0 BFADHN CCc1ccc(CN2CC[C@@H](SC)C2)cn1 ZINC000449445770 388207976 /nfs/dbraw/zinc/20/79/76/388207976.db2.gz LSLJKLFMBZMHIA-CYBMUJFWSA-N 0 3 236.384 2.581 20 0 BFADHN CC/C=C/CNCc1ccc2c[nH]nc2c1 ZINC000449453809 388209965 /nfs/dbraw/zinc/20/99/65/388209965.db2.gz IQCKLSPVMRPPLO-ONEGZZNKSA-N 0 3 215.300 2.619 20 0 BFADHN Cc1nocc1CN1C[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000449454473 388210357 /nfs/dbraw/zinc/21/03/57/388210357.db2.gz ZJTXXQDOISBMDX-BEAPCOKYSA-N 0 3 244.338 2.627 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1cc(C)ccn1)OC ZINC000449476398 388214638 /nfs/dbraw/zinc/21/46/38/388214638.db2.gz MSVOXMMRKIQGCV-GXTWGEPZSA-N 0 3 236.359 2.856 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1cc(C)ccn1)OC ZINC000449476404 388214759 /nfs/dbraw/zinc/21/47/59/388214759.db2.gz MSVOXMMRKIQGCV-TZMCWYRMSA-N 0 3 236.359 2.856 20 0 BFADHN CCO[C@@H]1CCN(Cc2ncccc2C)C[C@@H]1C ZINC000449495499 388218825 /nfs/dbraw/zinc/21/88/25/388218825.db2.gz HUIKCWIFFHIPQE-DZGCQCFKSA-N 0 3 248.370 2.637 20 0 BFADHN COc1ccc(CN2CC[C@H](OC)[C@@H](C)C2)cc1 ZINC000449496422 388219073 /nfs/dbraw/zinc/21/90/73/388219073.db2.gz IZTCYMKHQSYIHZ-WFASDCNBSA-N 0 3 249.354 2.552 20 0 BFADHN CN(C[C@@H]1CCC(C)(C)O1)[C@H]1CC1(C)C ZINC000489511251 388219522 /nfs/dbraw/zinc/21/95/22/388219522.db2.gz ITKJKXALSXSBLA-QWRGUYRKSA-N 0 3 211.349 2.674 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccsc2)C[C@@H]1C ZINC000449503264 388220529 /nfs/dbraw/zinc/22/05/29/388220529.db2.gz XMVOTNDLPKGRNA-WCQYABFASA-N 0 3 239.384 2.995 20 0 BFADHN Cc1noc(C)c1CN1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000449540343 388226834 /nfs/dbraw/zinc/22/68/34/388226834.db2.gz MSQFHDPPGMEGOM-LSDHHAIUSA-N 0 3 246.354 2.912 20 0 BFADHN c1coc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)c1 ZINC000449544503 388228080 /nfs/dbraw/zinc/22/80/80/388228080.db2.gz WGYHSJXEIWKZMT-KBPBESRZSA-N 0 3 217.312 2.900 20 0 BFADHN C=Cn1cc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)cn1 ZINC000449545290 388228124 /nfs/dbraw/zinc/22/81/24/388228124.db2.gz YNKDEGJXADOQFD-LSDHHAIUSA-N 0 3 243.354 2.604 20 0 BFADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1cc[nH]n1 ZINC000449559944 388231919 /nfs/dbraw/zinc/23/19/19/388231919.db2.gz ZVYNQZHHNWBTQW-CHWSQXEVSA-N 0 3 221.348 2.522 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H](C)CC1CC1 ZINC000449562779 388232654 /nfs/dbraw/zinc/23/26/54/388232654.db2.gz NYHPMWUAJJIBLZ-WDEREUQCSA-N 0 3 221.348 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CC[C@@H](C)C1 ZINC000449562414 388232726 /nfs/dbraw/zinc/23/27/26/388232726.db2.gz KKSFQEWYFWASKT-GRYCIOLGSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cnc([C@H](C)NC[C@@H]2C[C@@H]2C)s1 ZINC000336662077 388259898 /nfs/dbraw/zinc/25/98/98/388259898.db2.gz SMIXLQLROHJDBA-HGNGGELXSA-N 0 3 210.346 2.758 20 0 BFADHN CC[C@@H](C)CN[C@@H](C)c1nccn1CC ZINC000449561510 388232777 /nfs/dbraw/zinc/23/27/77/388232777.db2.gz COOTUOMAZXAWJX-MNOVXSKESA-N 0 3 209.337 2.600 20 0 BFADHN CCC[C@@H](C)N[C@H](C)c1nccn1CC ZINC000449562772 388232869 /nfs/dbraw/zinc/23/28/69/388232869.db2.gz NXZFIALVLUZJRQ-GHMZBOCLSA-N 0 3 209.337 2.742 20 0 BFADHN CC[C@@H](C)CN[C@H](C)c1nccn1CC ZINC000449561409 388233200 /nfs/dbraw/zinc/23/32/00/388233200.db2.gz COOTUOMAZXAWJX-GHMZBOCLSA-N 0 3 209.337 2.600 20 0 BFADHN CCC(CC)CN[C@H](C)c1nccn1CC ZINC000449563252 388233940 /nfs/dbraw/zinc/23/39/40/388233940.db2.gz SYZKMVASWCYKBK-LLVKDONJSA-N 0 3 223.364 2.990 20 0 BFADHN CC[C@H]1CN(CC)CCN1Cc1ccsc1 ZINC000449566879 388234796 /nfs/dbraw/zinc/23/47/96/388234796.db2.gz RRBJXAXXMQMIOQ-ZDUSSCGKSA-N 0 3 238.400 2.664 20 0 BFADHN CCC[C@H](C)CN[C@H]1C[C@H](C)n2ccnc21 ZINC000449571743 388235822 /nfs/dbraw/zinc/23/58/22/388235822.db2.gz LZZBOBRZQRMFAM-SRVKXCTJSA-N 0 3 221.348 2.915 20 0 BFADHN COc1c(O)cccc1CN1CC[C@@H](C(C)C)C1 ZINC000490339982 388237658 /nfs/dbraw/zinc/23/76/58/388237658.db2.gz LBKGLEGGNPGHAT-GFCCVEGCSA-N 0 3 249.354 2.879 20 0 BFADHN Cc1ccoc1CN(C)[C@H](CO)CC(C)(C)C ZINC000449586165 388238036 /nfs/dbraw/zinc/23/80/36/388238036.db2.gz MNNLAOIVYWTHGS-LBPRGKRZSA-N 0 3 239.359 2.817 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1csc(COC)n1 ZINC000164448434 388238676 /nfs/dbraw/zinc/23/86/76/388238676.db2.gz YAQASZKAOSRYOZ-NXEZZACHSA-N 0 3 242.388 2.814 20 0 BFADHN COCc1nc(CN[C@@H]2CCC[C@H]2C)cs1 ZINC000164519665 388239801 /nfs/dbraw/zinc/23/98/01/388239801.db2.gz RBGNAPAUIQBGTP-MWLCHTKSSA-N 0 3 240.372 2.568 20 0 BFADHN COCc1nc(CN[C@@H](C)C(C)(C)C)cs1 ZINC000164544534 388240221 /nfs/dbraw/zinc/24/02/21/388240221.db2.gz GBJQCESUAANBDM-VIFPVBQESA-N 0 3 242.388 2.814 20 0 BFADHN CCc1ncc(CNCC(CC)CC)o1 ZINC000449608020 388241586 /nfs/dbraw/zinc/24/15/86/388241586.db2.gz DIMNKQYHLBJUBD-UHFFFAOYSA-N 0 3 210.321 2.763 20 0 BFADHN CCc1ncc(CNCCCC(C)C)o1 ZINC000449608591 388241762 /nfs/dbraw/zinc/24/17/62/388241762.db2.gz IRORVXUWAYMJOE-UHFFFAOYSA-N 0 3 210.321 2.763 20 0 BFADHN CCCc1ncc(CNC2CCCC2)o1 ZINC000449609686 388242215 /nfs/dbraw/zinc/24/22/15/388242215.db2.gz CPFKKSSTJIUXID-UHFFFAOYSA-N 0 3 208.305 2.659 20 0 BFADHN CCc1ncc(CNC2CC(C(C)C)C2)o1 ZINC000449610564 388242743 /nfs/dbraw/zinc/24/27/43/388242743.db2.gz RHUAMWMJFJUCMY-UHFFFAOYSA-N 0 3 222.332 2.761 20 0 BFADHN CCc1ncc(CN[C@H](CC)C2CCC2)o1 ZINC000449613774 388243247 /nfs/dbraw/zinc/24/32/47/388243247.db2.gz SYCUDTBCUOJGJY-GFCCVEGCSA-N 0 3 222.332 2.905 20 0 BFADHN CC1(C)COC[C@@H]1NC/C=C\c1ccc(F)cc1 ZINC000449622726 388244418 /nfs/dbraw/zinc/24/44/18/388244418.db2.gz FHBJMVXIZCVMBN-NQHOJNORSA-N 0 3 249.329 2.854 20 0 BFADHN C1=CC[C@H](NCc2cnc(C3CC3)nc2)CC1 ZINC000459445649 388244720 /nfs/dbraw/zinc/24/47/20/388244720.db2.gz NGLFNBRQMYWAFO-ZDUSSCGKSA-N 0 3 229.327 2.552 20 0 BFADHN CO[C@@H]1C[C@@H](N(C)Cc2ccc(C)o2)C12CCC2 ZINC000449628183 388245046 /nfs/dbraw/zinc/24/50/46/388245046.db2.gz BPEBRFAOGIPZLS-ZIAGYGMSSA-N 0 3 249.354 2.977 20 0 BFADHN CC1(C)COC[C@@H]1NCc1cccc2ccoc21 ZINC000449624294 388245266 /nfs/dbraw/zinc/24/52/66/388245266.db2.gz NDOVLBXCXBHKDD-ZDUSSCGKSA-N 0 3 245.322 2.947 20 0 BFADHN CC1(C)COC[C@H]1NCc1cccc2ccoc21 ZINC000449624293 388245285 /nfs/dbraw/zinc/24/52/85/388245285.db2.gz NDOVLBXCXBHKDD-CYBMUJFWSA-N 0 3 245.322 2.947 20 0 BFADHN COC[C@H](C)N1CCCc2ccccc2CC1 ZINC000451715322 388291594 /nfs/dbraw/zinc/29/15/94/388291594.db2.gz WPYYDINEYRGAOW-ZDUSSCGKSA-N 0 3 233.355 2.512 20 0 BFADHN Cc1noc(C)c1CCN1CCC(CF)CC1 ZINC000451391448 388268792 /nfs/dbraw/zinc/26/87/92/388268792.db2.gz GKVHKNOLZDYDGD-UHFFFAOYSA-N 0 3 240.322 2.515 20 0 BFADHN CCC[C@@H](NCc1ncnn1CC)C(C)(C)C ZINC000451442161 388273215 /nfs/dbraw/zinc/27/32/15/388273215.db2.gz UMCSYTIPYSJVPH-LLVKDONJSA-N 0 3 238.379 2.602 20 0 BFADHN CCSCCNCc1ccc(C)cc1OC ZINC000276168198 388347585 /nfs/dbraw/zinc/34/75/85/388347585.db2.gz GTPHALFUDRLXNB-UHFFFAOYSA-N 0 3 239.384 2.846 20 0 BFADHN CCC(O)(CC)CCNCc1ccc(C)o1 ZINC000276256861 388348776 /nfs/dbraw/zinc/34/87/76/388348776.db2.gz RSRYDBCJVFDKAT-UHFFFAOYSA-N 0 3 225.332 2.619 20 0 BFADHN CCC(O)(CC)CCN[C@H](C)c1ccco1 ZINC000276654190 388351064 /nfs/dbraw/zinc/35/10/64/388351064.db2.gz MWVBYOMQLLMMNF-LLVKDONJSA-N 0 3 225.332 2.871 20 0 BFADHN CC(C)[C@H]1C[C@@H](NCc2ccco2)CS1 ZINC000277254526 388353742 /nfs/dbraw/zinc/35/37/42/388353742.db2.gz OIGIUNAJSSVJPW-ZYHUDNBSSA-N 0 3 225.357 2.899 20 0 BFADHN C[C@@H](NCCSC(C)(C)C)c1ccnn1C ZINC000278393772 388355285 /nfs/dbraw/zinc/35/52/85/388355285.db2.gz DXJFWFZUGWTYKG-SNVBAGLBSA-N 0 3 241.404 2.602 20 0 BFADHN CCCc1ncc(CNC2CC(C(F)F)C2)o1 ZINC000648790795 388359131 /nfs/dbraw/zinc/35/91/31/388359131.db2.gz QSFYKRDZPNREJD-UHFFFAOYSA-N 0 3 244.285 2.760 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@H](O)C2(C)C)s1 ZINC000163398184 388362510 /nfs/dbraw/zinc/36/25/10/388362510.db2.gz OIGHXOIABZWWTM-NEPJUHHUSA-N 0 3 239.384 2.560 20 0 BFADHN CC[C@H](C(=O)NCCCCC(C)(C)C)N(C)C ZINC000163478060 388363568 /nfs/dbraw/zinc/36/35/68/388363568.db2.gz ASAVEKXEZXMQIW-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN CCN(CCn1ccnc1)Cc1cccc(F)c1 ZINC000163700805 388366275 /nfs/dbraw/zinc/36/62/75/388366275.db2.gz VVCZGVWAMNXKKA-UHFFFAOYSA-N 0 3 247.317 2.544 20 0 BFADHN CCCCN1CCC[C@H](OCC(F)(F)F)C1 ZINC000524593766 388367521 /nfs/dbraw/zinc/36/75/21/388367521.db2.gz QAMQUJPRECWMAT-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN CC[C@H](CN[C@@H](C)c1sc(C)nc1C)OC ZINC000290217967 388376663 /nfs/dbraw/zinc/37/66/63/388376663.db2.gz QNTWZAKXWLSLGK-GZMMTYOYSA-N 0 3 242.388 2.836 20 0 BFADHN CCCC[C@@H](N)C(=O)NCC(CC)(CC)CC ZINC000236734169 388382484 /nfs/dbraw/zinc/38/24/84/388382484.db2.gz LJPYWXKXDXPASN-GFCCVEGCSA-N 0 3 242.407 2.837 20 0 BFADHN O[C@H](CNCc1sccc1Cl)C1CCC1 ZINC000316047554 388405995 /nfs/dbraw/zinc/40/59/95/388405995.db2.gz WTLSXVCKJWMYEB-SNVBAGLBSA-N 0 3 245.775 2.652 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@@H](C)CCC(C)(C)C ZINC000236829866 388384624 /nfs/dbraw/zinc/38/46/24/388384624.db2.gz NLICGZIMPQUAPW-RYUDHWBXSA-N 0 3 242.407 2.835 20 0 BFADHN CO[C@@H](CNCc1cc(F)ccc1C)C1CC1 ZINC000293045592 388387063 /nfs/dbraw/zinc/38/70/63/388387063.db2.gz RDHUAAGYXNYBEF-AWEZNQCLSA-N 0 3 237.318 2.649 20 0 BFADHN NCc1cccc(NC(=O)[C@@H]2CC23CCCC3)c1 ZINC000646578191 388387181 /nfs/dbraw/zinc/38/71/81/388387181.db2.gz OYDMGQGQJDNTJE-ZDUSSCGKSA-N 0 3 244.338 2.664 20 0 BFADHN CO[C@H](CNCc1ccccc1Cl)C1CC1 ZINC000293210518 388388073 /nfs/dbraw/zinc/38/80/73/388388073.db2.gz YEBAGAOVUOZFIS-CYBMUJFWSA-N 0 3 239.746 2.855 20 0 BFADHN Cc1ncc(CN[C@H](C)Cc2ccsc2)cn1 ZINC000520205274 256665348 /nfs/dbraw/zinc/66/53/48/256665348.db2.gz GQUWOUQPVJUBCR-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN Cc1cc(CNCCC2CCCC2)on1 ZINC000300767528 388391689 /nfs/dbraw/zinc/39/16/89/388391689.db2.gz OZHANBLOFBAPSP-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CC(C)[C@H]1CN(Cc2cccc(O)c2)CCCO1 ZINC000294014439 388394040 /nfs/dbraw/zinc/39/40/40/388394040.db2.gz NARRCHRAMLOSBF-OAHLLOKOSA-N 0 3 249.354 2.639 20 0 BFADHN CC[C@@H](C)NCc1ncc(CSC)s1 ZINC000293995296 388394531 /nfs/dbraw/zinc/39/45/31/388394531.db2.gz FTNHZEQDZFIVBQ-MRVPVSSYSA-N 0 3 230.402 2.894 20 0 BFADHN Cc1csc(C(C)(C)NCC2CC2)n1 ZINC000237804401 388399083 /nfs/dbraw/zinc/39/90/83/388399083.db2.gz QYPPOAVEXDDMGI-UHFFFAOYSA-N 0 3 210.346 2.686 20 0 BFADHN COc1cc(C)nc(CNCCCC2CC2)c1 ZINC000165383981 388400188 /nfs/dbraw/zinc/40/01/88/388400188.db2.gz QTYDBUMUWWFXMJ-UHFFFAOYSA-N 0 3 234.343 2.678 20 0 BFADHN CCCC[C@H](CCC)NC(=O)/C=C\CN(C)C ZINC000492068426 388333611 /nfs/dbraw/zinc/33/36/11/388333611.db2.gz JZAMADPTEJVQNX-ZWXCPPHNSA-N 0 3 240.391 2.579 20 0 BFADHN CCC(C)(C)OCCN[C@H](C)c1ccccn1 ZINC000308532485 388441880 /nfs/dbraw/zinc/44/18/80/388441880.db2.gz BWZNXXZJXDFSCN-GFCCVEGCSA-N 0 3 236.359 2.937 20 0 BFADHN CCC(C)(C)OCCNCc1ccc(C)cn1 ZINC000308543543 388441918 /nfs/dbraw/zinc/44/19/18/388441918.db2.gz MCKNWIUSPXRKHP-UHFFFAOYSA-N 0 3 236.359 2.685 20 0 BFADHN CCC(C)(C)OCCN[C@H](C)c1cccnc1 ZINC000308548758 388442628 /nfs/dbraw/zinc/44/26/28/388442628.db2.gz RZQFPJJIBBSHMR-GFCCVEGCSA-N 0 3 236.359 2.937 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@@H](C)CC(F)(F)F ZINC000573981739 388442811 /nfs/dbraw/zinc/44/28/11/388442811.db2.gz VUAVJZCAKNSPOW-IONNQARKSA-N 0 3 247.264 2.777 20 0 BFADHN CC(C)n1cc(CN[C@H]2CC[C@H](C)CC2)nn1 ZINC000310328464 388480515 /nfs/dbraw/zinc/48/05/15/388480515.db2.gz XTKKRTSKGWUNSI-HAQNSBGRSA-N 0 3 236.363 2.527 20 0 BFADHN CCC1(CN[C@@H](C)c2cc(C)oc2C)COC1 ZINC000393956534 388448113 /nfs/dbraw/zinc/44/81/13/388448113.db2.gz GRMPOYXXQROHDF-NSHDSACASA-N 0 3 237.343 2.974 20 0 BFADHN C[C@H]1C[C@H](NCc2coc3ccccc23)CO1 ZINC000335433848 388450910 /nfs/dbraw/zinc/45/09/10/388450910.db2.gz GPBHRZPHCAIRMH-JQWIXIFHSA-N 0 3 231.295 2.700 20 0 BFADHN Fc1cccc(C2(CNCC3(F)CC3)CC2)c1 ZINC000525989628 388452594 /nfs/dbraw/zinc/45/25/94/388452594.db2.gz VQKMANHYYXKVDO-UHFFFAOYSA-N 0 3 237.293 2.949 20 0 BFADHN C[C@H](CF)NCc1cccc2cc[nH]c21 ZINC000288419293 388459141 /nfs/dbraw/zinc/45/91/41/388459141.db2.gz JOSWNCPLJDXHOJ-SECBINFHSA-N 0 3 206.264 2.616 20 0 BFADHN CC[C@H](C[C@@H](C)CO)NCc1ccc(Cl)o1 ZINC000336672781 388461082 /nfs/dbraw/zinc/46/10/82/388461082.db2.gz AUVVQFZMBYUTQW-NXEZZACHSA-N 0 3 245.750 2.820 20 0 BFADHN Cc1cscc1CN[C@@H](C)[C@@H]1CCCO1 ZINC000336684998 388462772 /nfs/dbraw/zinc/46/27/72/388462772.db2.gz KLQJROYOCNBFIS-JQWIXIFHSA-N 0 3 225.357 2.714 20 0 BFADHN Cc1noc(C)c1CNCCSC(C)C ZINC000336700163 388464734 /nfs/dbraw/zinc/46/47/34/388464734.db2.gz PFXQDCIUCSIIGH-UHFFFAOYSA-N 0 3 228.361 2.523 20 0 BFADHN CCOCCNCc1cc(C)cc(Cl)c1 ZINC000339190748 388481495 /nfs/dbraw/zinc/48/14/95/388481495.db2.gz PFBFTFKUVGFZAU-UHFFFAOYSA-N 0 3 227.735 2.775 20 0 BFADHN C[C@](O)(CNCc1cc2ccccc2o1)C1CC1 ZINC000336795359 388470967 /nfs/dbraw/zinc/47/09/67/388470967.db2.gz JXJPDEWWVOWFCY-HNNXBMFYSA-N 0 3 245.322 2.683 20 0 BFADHN Cc1ccoc1CN[C@@H]1[C@@H]2CCCO[C@@H]2C1(C)C ZINC000336793180 388471519 /nfs/dbraw/zinc/47/15/19/388471519.db2.gz VSQYVVIKEMMYMW-YUTCNCBUSA-N 0 3 249.354 2.881 20 0 BFADHN CC(C)(C)C[C@H]1CCN(Cc2cn[nH]c2)C1 ZINC000648867067 388475086 /nfs/dbraw/zinc/47/50/86/388475086.db2.gz XFPSDRQHRFJSJH-LLVKDONJSA-N 0 3 221.348 2.668 20 0 BFADHN CC/C=C/CNCc1cc2ccccc2[nH]c1=O ZINC000295057456 388407663 /nfs/dbraw/zinc/40/76/63/388407663.db2.gz OAHNWOCEESOGIX-ZZXKWVIFSA-N 0 3 242.322 2.996 20 0 BFADHN Cc1ccc(F)c(CNCC[C@@H](C)CCO)c1 ZINC000295183619 388410547 /nfs/dbraw/zinc/41/05/47/388410547.db2.gz WFQXKSDYKPQTNM-LLVKDONJSA-N 0 3 239.334 2.632 20 0 BFADHN COCCN(Cc1ccc(C)c(OC)c1)C1CC1 ZINC000573056837 388413661 /nfs/dbraw/zinc/41/36/61/388413661.db2.gz QVFDCKSSQKCRGC-UHFFFAOYSA-N 0 3 249.354 2.614 20 0 BFADHN c1nc(C2CC2)oc1CNCC1CCCC1 ZINC000648824056 388414957 /nfs/dbraw/zinc/41/49/57/388414957.db2.gz WIIANXVIFNJXMJ-UHFFFAOYSA-N 0 3 220.316 2.832 20 0 BFADHN Cc1cnc(CNCCCC(C)(F)F)s1 ZINC000295989329 388415609 /nfs/dbraw/zinc/41/56/09/388415609.db2.gz KDBOSFORQQBUHQ-UHFFFAOYSA-N 0 3 234.315 2.977 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2[C@H](C)O)cs1 ZINC000573069896 388418568 /nfs/dbraw/zinc/41/85/68/388418568.db2.gz JDWCJHUZNORHSG-AAEUAGOBSA-N 0 3 239.384 2.792 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@@H](C)O[C@@H]2C)cc1 ZINC000296426541 388422752 /nfs/dbraw/zinc/42/27/52/388422752.db2.gz MYJKOELPFAOFLD-LALPHHSUSA-N 0 3 249.354 2.741 20 0 BFADHN COc1nccc(CN[C@@H]2CCC[C@H](C)CC2)n1 ZINC000296654038 388425475 /nfs/dbraw/zinc/42/54/75/388425475.db2.gz DNGANIYYNQOQLX-NWDGAFQWSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@H](NCCCC(C)(F)F)c1cnccn1 ZINC000296636159 388425565 /nfs/dbraw/zinc/42/55/65/388425565.db2.gz BRROKZBZMJVAHK-VIFPVBQESA-N 0 3 229.274 2.563 20 0 BFADHN CC(C)(C)C[C@H]1CCN(Cc2cccnc2N)C1 ZINC000307815683 388427573 /nfs/dbraw/zinc/42/75/73/388427573.db2.gz UCPYXQXIBBLEIY-GFCCVEGCSA-N 0 3 247.386 2.922 20 0 BFADHN CC[C@@H](NCc1cc(C)no1)C1CCC1 ZINC000322249464 388429105 /nfs/dbraw/zinc/42/91/05/388429105.db2.gz CIZGAHFKTUQDJS-GFCCVEGCSA-N 0 3 208.305 2.651 20 0 BFADHN CC/C=C/CNCc1ccc(O)c(OCC)c1 ZINC000297497640 388429772 /nfs/dbraw/zinc/42/97/72/388429772.db2.gz UREWDIZQKKOBAQ-AATRIKPKSA-N 0 3 235.327 2.847 20 0 BFADHN CCC[C@H](NCc1ccnc(C)n1)C1CCC1 ZINC000322309692 388431331 /nfs/dbraw/zinc/43/13/31/388431331.db2.gz XXDHHLSDMWBLDL-AWEZNQCLSA-N 0 3 233.359 2.843 20 0 BFADHN CCC[C@@H](NCc1ccno1)C1CCC1 ZINC000322469877 388434896 /nfs/dbraw/zinc/43/48/96/388434896.db2.gz OCMIJDPDXCOPEM-GFCCVEGCSA-N 0 3 208.305 2.733 20 0 BFADHN CC[C@@](C)(CNCc1cc(F)cc(F)c1)OC ZINC000322535610 388437735 /nfs/dbraw/zinc/43/77/35/388437735.db2.gz RGZQFONJFLVDHW-ZDUSSCGKSA-N 0 3 243.297 2.870 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2ccncc2)C12CCC2 ZINC000308382442 388437880 /nfs/dbraw/zinc/43/78/80/388437880.db2.gz XMZQLNVHCUFPHE-KWCYVHTRSA-N 0 3 246.354 2.690 20 0 BFADHN COC[C@H]1CCCCN1C/C=C(\C)Cl ZINC000528519938 388540511 /nfs/dbraw/zinc/54/05/11/388540511.db2.gz URDXPHDTQJKHMA-CDCCAWJDSA-N 0 3 217.740 2.630 20 0 BFADHN CC[C@H](C)N1CCN(CC2CC(C)(C)C2)CC1 ZINC000528518833 388540992 /nfs/dbraw/zinc/54/09/92/388540992.db2.gz KODGMSKIHYDCIK-ZDUSSCGKSA-N 0 3 238.419 2.839 20 0 BFADHN C/C(Cl)=C/CN1CCC[C@]2(CCOC2)C1 ZINC000528517879 388541380 /nfs/dbraw/zinc/54/13/80/388541380.db2.gz IUASFGCVBPIYLJ-LCKPPEIMSA-N 0 3 229.751 2.632 20 0 BFADHN CCCc1cccc(CNC2(COC)CC2)c1 ZINC000548478210 388541971 /nfs/dbraw/zinc/54/19/71/388541971.db2.gz XMQYNWJKOZJFFJ-UHFFFAOYSA-N 0 3 233.355 2.908 20 0 BFADHN CO[C@@H]1C[C@H](NC/C=C(/C)Cl)C1(C)C ZINC000528525261 388542022 /nfs/dbraw/zinc/54/20/22/388542022.db2.gz ZWIGHLATGMHOPH-FGRQDEOASA-N 0 3 217.740 2.532 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC(C)(C)O2)C[C@H](C)S1 ZINC000548484821 388542396 /nfs/dbraw/zinc/54/23/96/388542396.db2.gz JHBAQEZBFTWIBA-GRYCIOLGSA-N 0 3 243.416 2.770 20 0 BFADHN CO[C@]1(C)C[C@H](NC/C=C(\C)Cl)C1(C)C ZINC000528523947 388542878 /nfs/dbraw/zinc/54/28/78/388542878.db2.gz WGRAOHMZWPQLJN-ASLYBNSLSA-N 0 3 231.767 2.922 20 0 BFADHN CC[C@@H]1CN(CC)CCN1C/C=C(\C)Cl ZINC000528522034 388543013 /nfs/dbraw/zinc/54/30/13/388543013.db2.gz VCKBXWWDMWZQLK-IGEMTJHASA-N 0 3 230.783 2.545 20 0 BFADHN COCCC1CCN(Cc2ncccc2C)CC1 ZINC000528577242 388547815 /nfs/dbraw/zinc/54/78/15/388547815.db2.gz LTTIQVZYAIQGPD-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccccc1F)C1CC1 ZINC000339531168 388485117 /nfs/dbraw/zinc/48/51/17/388485117.db2.gz SOGNYIASMTYBHU-QMTHXVAHSA-N 0 3 237.318 2.901 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccccc1F)C1CC1 ZINC000339531166 388485225 /nfs/dbraw/zinc/48/52/25/388485225.db2.gz SOGNYIASMTYBHU-HZMBPMFUSA-N 0 3 237.318 2.901 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC1(C)C)c1nccn1C ZINC000340516021 388492026 /nfs/dbraw/zinc/49/20/26/388492026.db2.gz AREUQYBQYUZPGN-NEPJUHHUSA-N 0 3 235.375 2.897 20 0 BFADHN COC[C@H](N[C@@H]1CCC[C@@H]1F)C1CCCC1 ZINC000340528871 388492959 /nfs/dbraw/zinc/49/29/59/388492959.db2.gz ZXRMHLHOLJWLFQ-XQQFMLRXSA-N 0 3 229.339 2.672 20 0 BFADHN CCc1ccc(CNC2(COC)CCC2)cc1 ZINC000341213450 388494882 /nfs/dbraw/zinc/49/48/82/388494882.db2.gz MRQZHCYAYSVROU-UHFFFAOYSA-N 0 3 233.355 2.908 20 0 BFADHN c1cc2cc(CNCC3=CCOCC3)ccc2[nH]1 ZINC000397744137 388495870 /nfs/dbraw/zinc/49/58/70/388495870.db2.gz MDRJWLMCOUYADT-UHFFFAOYSA-N 0 3 242.322 2.604 20 0 BFADHN COc1ccc(CN2C[C@@H]3[C@H](C2)C3(C)C)c(F)c1 ZINC000516575109 256794365 /nfs/dbraw/zinc/79/43/65/256794365.db2.gz YIJHDVOWAIGVAJ-BETUJISGSA-N 0 3 249.329 2.922 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cn(C(C)C)nn1 ZINC000342167700 388496733 /nfs/dbraw/zinc/49/67/33/388496733.db2.gz OWCBBPCFABSDDB-WCQYABFASA-N 0 3 236.363 2.527 20 0 BFADHN Cc1cc([C@@H](C)NCCC2(O)CCC2)c(C)o1 ZINC000342810268 388498492 /nfs/dbraw/zinc/49/84/92/388498492.db2.gz QBWBIWNCAVAOOE-LLVKDONJSA-N 0 3 237.343 2.852 20 0 BFADHN CCc1ccc(CN[C@@H]2CS[C@H](C)C2)o1 ZINC000397801551 388500537 /nfs/dbraw/zinc/50/05/37/388500537.db2.gz LTAKZOKYOZKAHB-ZJUUUORDSA-N 0 3 225.357 2.826 20 0 BFADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1ccc(F)cn1 ZINC000397841037 388504101 /nfs/dbraw/zinc/50/41/01/388504101.db2.gz QNXKEVKRKNODBT-KKZNHRDASA-N 0 3 240.347 2.765 20 0 BFADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1cncc(F)c1 ZINC000397841735 388505437 /nfs/dbraw/zinc/50/54/37/388505437.db2.gz QPPNOHDQKCQVAB-KBVBSXBZSA-N 0 3 240.347 2.765 20 0 BFADHN C[C@@H]1C[C@@H](Nc2ccnc3ccccc32)CS1 ZINC000397855762 388506523 /nfs/dbraw/zinc/50/65/23/388506523.db2.gz MATDDKIRMHCOFA-GHMZBOCLSA-N 0 3 244.363 2.963 20 0 BFADHN CN(C)C1(CNCc2ccsc2Cl)CC1 ZINC000397867525 388507575 /nfs/dbraw/zinc/50/75/75/388507575.db2.gz DKUCAWPSHNHAIV-UHFFFAOYSA-N 0 3 244.791 2.585 20 0 BFADHN CCOC[C@@H](C)NCc1cc(C)cs1 ZINC000344613433 388507917 /nfs/dbraw/zinc/50/79/17/388507917.db2.gz OXYJAVPVWZFBMM-SNVBAGLBSA-N 0 3 213.346 2.571 20 0 BFADHN Cc1csc(CNCc2cc[nH]c2)c1 ZINC000344619855 388507938 /nfs/dbraw/zinc/50/79/38/388507938.db2.gz XUVCIBVYOIQOAF-UHFFFAOYSA-N 0 3 206.314 2.674 20 0 BFADHN C[C@@H]1C[C@H](NCC2(C3CC3)CC2)c2nccn21 ZINC000345341166 388511027 /nfs/dbraw/zinc/51/10/27/388511027.db2.gz CPKZDCCGOOPWNE-PWSUYJOCSA-N 0 3 231.343 2.669 20 0 BFADHN Cc1ncc(CN[C@H](C)Cc2ccccc2F)o1 ZINC000397909689 388511170 /nfs/dbraw/zinc/51/11/70/388511170.db2.gz HFLDFDOSXLJOCP-SNVBAGLBSA-N 0 3 248.301 2.843 20 0 BFADHN C[C@@H]1CC[C@@H](CNc2ccnc3ccccc32)O1 ZINC000397908680 388511235 /nfs/dbraw/zinc/51/12/35/388511235.db2.gz XUEHOUZMCNVLAU-NEPJUHHUSA-N 0 3 242.322 2.636 20 0 BFADHN C[C@@H]1CC[C@H](CNc2ccnc3ccccc32)O1 ZINC000397908683 388511278 /nfs/dbraw/zinc/51/12/78/388511278.db2.gz XUEHOUZMCNVLAU-VXGBXAGGSA-N 0 3 242.322 2.636 20 0 BFADHN CCC[C@@]1(NCc2ccc(CC)cn2)CCOC1 ZINC000345117839 388511279 /nfs/dbraw/zinc/51/12/79/388511279.db2.gz LPBHOSRXCDQHJI-OAHLLOKOSA-N 0 3 248.370 2.693 20 0 BFADHN Cc1ncc(CNC[C@@H](C)CC(C)C)o1 ZINC000397933724 388511648 /nfs/dbraw/zinc/51/16/48/388511648.db2.gz WGHMXFBCBLGUGX-JTQLQIEISA-N 0 3 210.321 2.755 20 0 BFADHN COCCC1CCN(Cc2ccc(C)nc2)CC1 ZINC000528580574 388549479 /nfs/dbraw/zinc/54/94/79/388549479.db2.gz HKNSBGDLTPXAOQ-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN C/C(Cl)=C\CN1CCOC[C@@H](C2CCC2)C1 ZINC000528512631 388512869 /nfs/dbraw/zinc/51/28/69/388512869.db2.gz MDJSYDHEPYZXSG-KKNAONHISA-N 0 3 243.778 2.878 20 0 BFADHN CC[C@@H](NCc1cnc(C)o1)[C@H]1CC1(C)C ZINC000397970328 388513913 /nfs/dbraw/zinc/51/39/13/388513913.db2.gz BFZMCPFHOKVRFG-VXGBXAGGSA-N 0 3 222.332 2.897 20 0 BFADHN COCCC1CCN(Cc2ccsc2)CC1 ZINC000528584156 388549556 /nfs/dbraw/zinc/54/95/56/388549556.db2.gz UEOSRHNVYRFADR-UHFFFAOYSA-N 0 3 239.384 2.997 20 0 BFADHN Cc1ncc(CN[C@H](C)[C@H]2CC2(C)C)o1 ZINC000398019705 388514317 /nfs/dbraw/zinc/51/43/17/388514317.db2.gz UPVCLCDSYORKOO-LDYMZIIASA-N 0 3 208.305 2.507 20 0 BFADHN CC[C@H](NCc1cnc(C)o1)[C@@H]1C[C@@H]1C ZINC000398016124 388514327 /nfs/dbraw/zinc/51/43/27/388514327.db2.gz QJMHZQQNWFYNBD-AXTRIDKLSA-N 0 3 208.305 2.507 20 0 BFADHN CC1(C)CN(CCCc2ccccc2)CCO1 ZINC000078868109 388515277 /nfs/dbraw/zinc/51/52/77/388515277.db2.gz ODOHEGBZJBCZIK-UHFFFAOYSA-N 0 3 233.355 2.730 20 0 BFADHN Cc1ccc(F)cc1CNC[C@@H]1CC[C@H](C)O1 ZINC000398041565 388515704 /nfs/dbraw/zinc/51/57/04/388515704.db2.gz QOABFQIKWGLIBG-FZMZJTMJSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1cscn1 ZINC000517017477 256811064 /nfs/dbraw/zinc/81/10/64/256811064.db2.gz RMSYKGBEGKWLHR-CHWFTXMASA-N 0 3 222.357 2.982 20 0 BFADHN C/C(=C/c1ccccc1)CN1CC[C@H](O)C[C@@H]1C ZINC000517006843 256811332 /nfs/dbraw/zinc/81/13/32/256811332.db2.gz IORVSGUWURNBRT-JJPUIBJASA-N 0 3 245.366 2.935 20 0 BFADHN CCOC[C@H]1CCCN(C/C=C(/C)Cl)C1 ZINC000528379455 388517650 /nfs/dbraw/zinc/51/76/50/388517650.db2.gz HBZPSDXOLUMKKU-DSDFTUOUSA-N 0 3 231.767 2.878 20 0 BFADHN CC[C@@H]1COCCN1CC1CC(C)(C)C1 ZINC000528380403 388517826 /nfs/dbraw/zinc/51/78/26/388517826.db2.gz FFMGNBMWMCMFFA-GFCCVEGCSA-N 0 3 211.349 2.533 20 0 BFADHN C/C(Cl)=C\CN1C[C@@H]2CCCCN2C[C@H]1C ZINC000528386392 388519654 /nfs/dbraw/zinc/51/96/54/388519654.db2.gz HDCOUHSTEMXCTQ-YCBFNFSOSA-N 0 3 242.794 2.688 20 0 BFADHN CC[C@H](C)N1CCc2nc(C(C)(C)C)ncc2C1 ZINC000528592109 388549828 /nfs/dbraw/zinc/54/98/28/388549828.db2.gz FHLBOKFPYYMJNM-NSHDSACASA-N 0 3 247.386 2.931 20 0 BFADHN C/C(Cl)=C/CN1C[C@@H]2CCCCN2C[C@@H]1C ZINC000528386390 388520084 /nfs/dbraw/zinc/52/00/84/388520084.db2.gz HDCOUHSTEMXCTQ-ISGXEFFDSA-N 0 3 242.794 2.688 20 0 BFADHN CCc1cc(CN[C@H](CC)[C@@H]2C[C@@H]2C)on1 ZINC000516854410 388521157 /nfs/dbraw/zinc/52/11/57/388521157.db2.gz CMIMPTKSCGIDHH-ZWKOPEQDSA-N 0 3 222.332 2.761 20 0 BFADHN C/C(Cl)=C\CN1CCC[C@@H](c2ncc[nH]2)C1 ZINC000528414944 388521231 /nfs/dbraw/zinc/52/12/31/388521231.db2.gz ADCPBBLAMVJIBU-LLMHMKPQSA-N 0 3 239.750 2.732 20 0 BFADHN C/C(Cl)=C/CN1CCC[C@@H]2COCC[C@H]21 ZINC000528404292 388521301 /nfs/dbraw/zinc/52/13/01/388521301.db2.gz NXCSHAXIUZGHQK-QJJZASRKSA-N 0 3 229.751 2.630 20 0 BFADHN CC1(C)CC(CN2CCOC[C@H]2C2CC2)C1 ZINC000528426903 388523463 /nfs/dbraw/zinc/52/34/63/388523463.db2.gz IEJJCYIABAQSNO-ZDUSSCGKSA-N 0 3 223.360 2.533 20 0 BFADHN CC(C)[C@H](CO)CN[C@@H]1CCCc2occc21 ZINC000348286750 388527628 /nfs/dbraw/zinc/52/76/28/388527628.db2.gz ZYYBDEAZGOVKBG-WCQYABFASA-N 0 3 237.343 2.511 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1ccc(F)cc1F ZINC000136256396 388527772 /nfs/dbraw/zinc/52/77/72/388527772.db2.gz HHLAPMCPYRMAKV-SCZZXKLOSA-N 0 3 211.255 2.710 20 0 BFADHN CC[C@@H](C)N1CCc2nc(C(C)(C)C)ncc2C1 ZINC000528592106 388550216 /nfs/dbraw/zinc/55/02/16/388550216.db2.gz FHLBOKFPYYMJNM-LLVKDONJSA-N 0 3 247.386 2.931 20 0 BFADHN C/C(Cl)=C\CN[C@@H]1Cc2cccc(O)c2C1 ZINC000528475292 388533092 /nfs/dbraw/zinc/53/30/92/388533092.db2.gz KKYIUNGQHNNWBY-FZPLPXEFSA-N 0 3 237.730 2.592 20 0 BFADHN COc1cccc([C@H](C)N2CCC[C@H](OC)C2)c1 ZINC000079412492 388533400 /nfs/dbraw/zinc/53/34/00/388533400.db2.gz QXEQFOJRJRNYGK-WFASDCNBSA-N 0 3 249.354 2.867 20 0 BFADHN CC[C@H]1CN(C/C=C(/C)Cl)CCCO1 ZINC000528495441 388535536 /nfs/dbraw/zinc/53/55/36/388535536.db2.gz UTIDBDBOSRTHNQ-VQNWOSHQSA-N 0 3 217.740 2.630 20 0 BFADHN CO[C@H]1CCCC[C@@H]1NC/C=C(\C)Cl ZINC000528496258 388535589 /nfs/dbraw/zinc/53/55/89/388535589.db2.gz YZIIFTVOSXIRDK-AHVQJPNGSA-N 0 3 217.740 2.676 20 0 BFADHN CCn1cc(CN2CCC[C@H](C)[C@H]2C)cn1 ZINC000245560704 388589815 /nfs/dbraw/zinc/58/98/15/388589815.db2.gz NBXVCERBGYMTIE-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN CC(C)n1ccc(CN[C@@H](C)[C@H]2C[C@H]2C)n1 ZINC000521578907 388617225 /nfs/dbraw/zinc/61/72/25/388617225.db2.gz RXHFBJQNNKPYLM-MDZLAQPJSA-N 0 3 221.348 2.598 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1cc2ccccc2o1 ZINC000185826192 388591584 /nfs/dbraw/zinc/59/15/84/388591584.db2.gz PUYYUDOLMNRMAE-IBUXWKBASA-N 0 3 245.322 2.850 20 0 BFADHN C[C@@H](NC1C[C@@H](C)O[C@H](C)C1)c1ccoc1 ZINC000245615477 388593513 /nfs/dbraw/zinc/59/35/13/388593513.db2.gz VAVHKALLMYWHPA-GMTAPVOTSA-N 0 3 223.316 2.886 20 0 BFADHN C[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1cc[nH]n1 ZINC000245699009 388600082 /nfs/dbraw/zinc/60/00/82/388600082.db2.gz CVQMRRPPFAOCPN-NQBHXWOUSA-N 0 3 219.332 2.563 20 0 BFADHN C[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1cc[nH]n1 ZINC000245699015 388600181 /nfs/dbraw/zinc/60/01/81/388600181.db2.gz CVQMRRPPFAOCPN-NTZNESFSSA-N 0 3 219.332 2.563 20 0 BFADHN Cc1cncc([C@H](C)NCC2(CO)CCCC2)c1 ZINC000186483746 388600525 /nfs/dbraw/zinc/60/05/25/388600525.db2.gz OISMFXMIFFUARL-ZDUSSCGKSA-N 0 3 248.370 2.593 20 0 BFADHN CCCCCN1CCN(CC(F)F)[C@@H](CC)C1 ZINC000245753600 388603758 /nfs/dbraw/zinc/60/37/58/388603758.db2.gz OLMOVTIAEWIQJF-LBPRGKRZSA-N 0 3 248.361 2.838 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1cccnc1 ZINC000037229069 388605832 /nfs/dbraw/zinc/60/58/32/388605832.db2.gz RFQJHDQHVYPGPP-UBHSHLNASA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCC[C@](C)(O)C1 ZINC000245835851 388606535 /nfs/dbraw/zinc/60/65/35/388606535.db2.gz AOUFALLJJNSWDN-FZMZJTMJSA-N 0 3 237.318 2.734 20 0 BFADHN Cc1cccc(Cl)c1CN(C)C(C)(C)CO ZINC000649317312 388606543 /nfs/dbraw/zinc/60/65/43/388606543.db2.gz QLQQRHCYQDCKMK-UHFFFAOYSA-N 0 3 241.762 2.851 20 0 BFADHN Cc1cnc(CN[C@H]2[C@H](C)CCC[C@@H]2C)o1 ZINC000245853356 388607941 /nfs/dbraw/zinc/60/79/41/388607941.db2.gz GTJWEJQCSWFSNS-IWIIMEHWSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@@H](C)CN(CC)[C@H](C)C(=O)N(C)C(C)C ZINC000245914863 388610841 /nfs/dbraw/zinc/61/08/41/388610841.db2.gz VYNADIKSXPULDU-CHWSQXEVSA-N 0 3 242.407 2.610 20 0 BFADHN Cc1ccccc1CN1CCN(C)C(C)(C)C1 ZINC000550653538 388612258 /nfs/dbraw/zinc/61/22/58/388612258.db2.gz LCBOUJOHUCSQDQ-UHFFFAOYSA-N 0 3 232.371 2.521 20 0 BFADHN CC1(C)CN([C@H]2C=CCCCCC2)CCO1 ZINC000550680717 388613398 /nfs/dbraw/zinc/61/33/98/388613398.db2.gz PUKVUTHIERRAAN-ZDUSSCGKSA-N 0 3 223.360 2.986 20 0 BFADHN Cc1ccc(CN(C)CCOC(C)C)s1 ZINC000080075873 388551657 /nfs/dbraw/zinc/55/16/57/388551657.db2.gz BAIMUYHRCCPMTQ-UHFFFAOYSA-N 0 3 227.373 2.913 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1cccc(F)c1)OC ZINC000362977463 388562835 /nfs/dbraw/zinc/56/28/35/388562835.db2.gz RGDUNUVOQULOIR-RISCZKNCSA-N 0 3 239.334 2.976 20 0 BFADHN Cc1csc(CNC[C@@H]2CCCSC2)n1 ZINC000288430573 388564166 /nfs/dbraw/zinc/56/41/66/388564166.db2.gz KUCZUHQISSEXKY-JTQLQIEISA-N 0 3 242.413 2.684 20 0 BFADHN Clc1cccc2c1OC[C@@H]2NCC1CC1 ZINC000363971517 388564203 /nfs/dbraw/zinc/56/42/03/388564203.db2.gz SEKHWMIZTMHNDQ-NSHDSACASA-N 0 3 223.703 2.773 20 0 BFADHN CCC[C@@H](C)N1CCc2nc(C3CC3)ncc2C1 ZINC000528742254 388565049 /nfs/dbraw/zinc/56/50/49/388565049.db2.gz SSDPDZMGJNNZLG-LLVKDONJSA-N 0 3 245.370 2.901 20 0 BFADHN CC[C@H](C)N1CCc2nc(C3CC3)ncc2C1 ZINC000528740222 388565111 /nfs/dbraw/zinc/56/51/11/388565111.db2.gz QBFCPOHEPBUQHL-JTQLQIEISA-N 0 3 231.343 2.511 20 0 BFADHN CCCN(Cc1ccc(OC)cc1)[C@H]1CCOC1 ZINC000528738225 388565313 /nfs/dbraw/zinc/56/53/13/388565313.db2.gz JVDGWCYILUXRFY-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CC(C)COCCN1CCC[C@@H]1c1ccccn1 ZINC000548844580 388565922 /nfs/dbraw/zinc/56/59/22/388565922.db2.gz LGHKBKYAQDBEIC-OAHLLOKOSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1N[C@H]1CCSC1 ZINC000368144443 388568664 /nfs/dbraw/zinc/56/86/64/388568664.db2.gz ZDFWZIPVLOSOFI-OUJBWJOFSA-N 0 3 235.352 2.604 20 0 BFADHN C[C@H]1CC(F)(F)CCN1CCOC(C)(C)C ZINC000528763646 388568785 /nfs/dbraw/zinc/56/87/85/388568785.db2.gz AGQASIIHHVHNFX-JTQLQIEISA-N 0 3 235.318 2.921 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC(F)(F)C[C@H]2C)O1 ZINC000528772616 388571384 /nfs/dbraw/zinc/57/13/84/388571384.db2.gz MJENQZXZDHQNTB-MXWKQRLJSA-N 0 3 233.302 2.674 20 0 BFADHN CC/C=C/CCN1CC[C@H](OC(F)F)C1 ZINC000549077522 388572825 /nfs/dbraw/zinc/57/28/25/388572825.db2.gz LVJNYKAGLTZDMN-FSIBCCDJSA-N 0 3 219.275 2.656 20 0 BFADHN CC/C=C/CCN1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000528789807 388573412 /nfs/dbraw/zinc/57/34/12/388573412.db2.gz SSEDJXBCYKPECV-OOPCZODUSA-N 0 3 247.342 2.675 20 0 BFADHN CC/C=C/CCN1CCC[C@@H]1c1ccc(=O)[nH]n1 ZINC000528789805 388573842 /nfs/dbraw/zinc/57/38/42/388573842.db2.gz SSEDJXBCYKPECV-ITDFMYJTSA-N 0 3 247.342 2.675 20 0 BFADHN CC[C@@H](NCc1c[nH]nn1)c1cc(C)ccc1C ZINC000528799777 388575304 /nfs/dbraw/zinc/57/53/04/388575304.db2.gz OHHZSYQYBMBEGN-CQSZACIVSA-N 0 3 244.342 2.662 20 0 BFADHN Cc1cncc([C@H](C)NCCc2cscn2)c1 ZINC000184394096 388576871 /nfs/dbraw/zinc/57/68/71/388576871.db2.gz LKVLCAGGKCPUJJ-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CCC[C@@H](CC)C1 ZINC000218201032 388578302 /nfs/dbraw/zinc/57/83/02/388578302.db2.gz LENLAMHMNCXCAJ-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN CCn1cc(CN(C)[C@@H]2CCC[C@@H](C)C2)cn1 ZINC000245508515 388585546 /nfs/dbraw/zinc/58/55/46/388585546.db2.gz SBMPFAOMCTWXAF-TZMCWYRMSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1csc(CNCC(C2CC2)C2CC2)n1 ZINC000085447554 388668264 /nfs/dbraw/zinc/66/82/64/388668264.db2.gz NUWJKOXQXHVOGK-UHFFFAOYSA-N 0 3 236.384 2.977 20 0 BFADHN CC[C@@H]1CN(C)CCN1C/C=C/c1ccccc1 ZINC000552131857 388672282 /nfs/dbraw/zinc/67/22/82/388672282.db2.gz CZAKWMSRGRLLOB-OJXHRBAXSA-N 0 3 244.382 2.726 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1cc(F)cc(F)c1 ZINC000125625859 388673832 /nfs/dbraw/zinc/67/38/32/388673832.db2.gz TWRYNNXGKVTRLA-CHWSQXEVSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1ccc(CN2CCN3CCCC[C@H]3C2)cc1 ZINC000248369854 388676298 /nfs/dbraw/zinc/67/62/98/388676298.db2.gz WTNKHBBZRFUJSP-INIZCTEOSA-N 0 3 244.382 2.665 20 0 BFADHN C[C@H](C[S@](C)=O)N(C)C[C@H]1CCC[C@@H](C)C1 ZINC000248619336 388686502 /nfs/dbraw/zinc/68/65/02/388686502.db2.gz LZTJUXKZPKDNDE-FOCJUVANSA-N 0 3 245.432 2.512 20 0 BFADHN CC[C@@H](NCC(C1CC1)C1CC1)c1nccn1C ZINC000538217670 388681852 /nfs/dbraw/zinc/68/18/52/388681852.db2.gz HHGQZDUBWXTVOZ-CQSZACIVSA-N 0 3 247.386 2.897 20 0 BFADHN CC1(C)Cc2occc2[C@H](N[C@@H]2CCC[C@H]2O)C1 ZINC000246329982 388631057 /nfs/dbraw/zinc/63/10/57/388631057.db2.gz GACRUIIKPGXDQJ-JHJVBQTASA-N 0 3 249.354 2.796 20 0 BFADHN C[C@H](N[C@@H](C)c1cccs1)c1cn[nH]c1 ZINC000222504164 388638902 /nfs/dbraw/zinc/63/89/02/388638902.db2.gz NJBWEEALCJYFAA-IUCAKERBSA-N 0 3 221.329 2.883 20 0 BFADHN COC[C@@H](NCc1ccc(Cl)o1)C(C)C ZINC000083397956 388639353 /nfs/dbraw/zinc/63/93/53/388639353.db2.gz ZUAONVCRJSYOCS-SNVBAGLBSA-N 0 3 231.723 2.694 20 0 BFADHN CC(C)C(CN(C)Cc1cc[nH]n1)C(C)C ZINC000551803384 388641428 /nfs/dbraw/zinc/64/14/28/388641428.db2.gz XAKWAARXDMZGOW-UHFFFAOYSA-N 0 3 223.364 2.770 20 0 BFADHN Cc1cc(CCNCc2ccco2)cc(C)c1O ZINC000190397329 388649197 /nfs/dbraw/zinc/64/91/97/388649197.db2.gz RUVBBKMEFXSYEY-UHFFFAOYSA-N 0 3 245.322 2.934 20 0 BFADHN COc1cccc(Cl)c1CNCCCF ZINC000124402800 388662672 /nfs/dbraw/zinc/66/26/72/388662672.db2.gz RQOYLFHEVKSOHT-UHFFFAOYSA-N 0 3 231.698 2.798 20 0 BFADHN CCC(CC)NC(=O)[C@@H](C)N(C)C[C@@H](C)CC ZINC000247454095 388663696 /nfs/dbraw/zinc/66/36/96/388663696.db2.gz DVCRUAIHXCXCIQ-NWDGAFQWSA-N 0 3 242.407 2.658 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1NCC1CC1 ZINC000288492703 388738073 /nfs/dbraw/zinc/73/80/73/388738073.db2.gz HKJWQDVYKCZCKR-TVQRCGJNSA-N 0 3 203.285 2.508 20 0 BFADHN CCN(Cc1cc(C)on1)[C@H](C)C(C)C ZINC000067661270 388738221 /nfs/dbraw/zinc/73/82/21/388738221.db2.gz OUHSBQOLBXGUNA-LLVKDONJSA-N 0 3 210.321 2.849 20 0 BFADHN CCNC(=O)CN(CC)[C@@H](CC)c1ccccc1 ZINC000128254803 388742357 /nfs/dbraw/zinc/74/23/57/388742357.db2.gz WNXWCAUSONRZNU-AWEZNQCLSA-N 0 3 248.370 2.596 20 0 BFADHN Cc1oncc1CN([C@H](C)C1CC1)C1CC1 ZINC000128284156 388744229 /nfs/dbraw/zinc/74/42/29/388744229.db2.gz FBNJXENPVLWEEK-SECBINFHSA-N 0 3 220.316 2.746 20 0 BFADHN Cc1oncc1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000128286259 388744255 /nfs/dbraw/zinc/74/42/55/388744255.db2.gz OUGDGRHWOGTFDH-GXFFZTMASA-N 0 3 222.332 2.994 20 0 BFADHN CCN(Cc1ccc(OC)cc1OC)C(C)C ZINC000194999224 388748897 /nfs/dbraw/zinc/74/88/97/388748897.db2.gz OUCRWUGTVZYRSC-UHFFFAOYSA-N 0 3 237.343 2.934 20 0 BFADHN CC[C@H](NCCc1ccc(C)o1)c1nccn1C ZINC000539307690 388752547 /nfs/dbraw/zinc/75/25/47/388752547.db2.gz VHGMPVMQQBSVKZ-ZDUSSCGKSA-N 0 3 247.342 2.605 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1nc2c(s1)CCC2 ZINC000648732001 388753823 /nfs/dbraw/zinc/75/38/23/388753823.db2.gz MYCIBSXHGUPSBN-ZJUUUORDSA-N 0 3 236.384 2.768 20 0 BFADHN CCCN(C)Cc1ccc(OC)cc1F ZINC000564217555 388754526 /nfs/dbraw/zinc/75/45/26/388754526.db2.gz QLCCEOFLDYFABB-UHFFFAOYSA-N 0 3 211.280 2.676 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@@H]1CCCc2c[nH]nc21 ZINC000552276293 388688566 /nfs/dbraw/zinc/68/85/66/388688566.db2.gz RSINDAHTKHSCSX-RWMBFGLXSA-N 0 3 233.359 2.811 20 0 BFADHN CCO[C@H](CN[C@@H]1CCO[C@H]1C)c1ccccc1 ZINC000248749486 388691490 /nfs/dbraw/zinc/69/14/90/388691490.db2.gz BRQPORGESATLGU-NWANDNLSSA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@H]1CCCc2occc21 ZINC000248745735 388692365 /nfs/dbraw/zinc/69/23/65/388692365.db2.gz AYMHZOVQMFCQNX-WCFLWFBJSA-N 0 3 237.343 2.654 20 0 BFADHN CCC[C@H]1CCCC[C@H]1NCc1ncccn1 ZINC000564390269 388693294 /nfs/dbraw/zinc/69/32/94/388693294.db2.gz VFNAGUQICNXIFW-QWHCGFSZSA-N 0 3 233.359 2.925 20 0 BFADHN C[C@H]1CCN(Cc2cocn2)C[C@@H](C)C1 ZINC000248846487 388695653 /nfs/dbraw/zinc/69/56/53/388695653.db2.gz ATSRFXHMZIDFSH-QWRGUYRKSA-N 0 3 208.305 2.543 20 0 BFADHN Cc1cncc(CN2CC[C@H](C)[C@@H](C)C2)c1 ZINC000248889654 388697749 /nfs/dbraw/zinc/69/77/49/388697749.db2.gz FNCGPXXQQXUSEA-STQMWFEESA-N 0 3 218.344 2.868 20 0 BFADHN CCCC[C@@H](CC)CN1CCCC[C@H]1C(N)=O ZINC000248909216 388698965 /nfs/dbraw/zinc/69/89/65/388698965.db2.gz ZSOZRBNPOBNBSO-OLZOCXBDSA-N 0 3 240.391 2.543 20 0 BFADHN CC[C@@H](C)CN1CC[S@](=O)C(CC)(CC)C1 ZINC000248985048 388701893 /nfs/dbraw/zinc/70/18/93/388701893.db2.gz JTWIPZMWNNBYNG-WBMJQRKESA-N 0 3 245.432 2.656 20 0 BFADHN COc1ncccc1CN(C)[C@H](C)C1(C)CC1 ZINC000193381666 388702095 /nfs/dbraw/zinc/70/20/95/388702095.db2.gz HPLJIKLEMCJAKS-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cccnc1OC ZINC000193370251 388702110 /nfs/dbraw/zinc/70/21/10/388702110.db2.gz XCKPWJYHYPHMKM-GFCCVEGCSA-N 0 3 236.359 2.958 20 0 BFADHN O[C@@H]1CCC[C@H]1N[C@H]1CCSc2ccccc21 ZINC000249116526 388709311 /nfs/dbraw/zinc/70/93/11/388709311.db2.gz RUQMMJDSAYKJBS-YNEHKIRRSA-N 0 3 249.379 2.727 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@@H]2C)c(OC)c1 ZINC000538720239 388711019 /nfs/dbraw/zinc/71/10/19/388711019.db2.gz ORFSFKOANPBKDZ-NEPJUHHUSA-N 0 3 249.354 2.934 20 0 BFADHN COCCN(C)Cc1cc2cc(F)ccc2o1 ZINC000127105046 388714652 /nfs/dbraw/zinc/71/46/52/388714652.db2.gz XISFMSLWEXQFHT-UHFFFAOYSA-N 0 3 237.274 2.650 20 0 BFADHN CCCCCN(C(=O)CN(CC)CC)C(C)C ZINC000193847900 388716774 /nfs/dbraw/zinc/71/67/74/388716774.db2.gz KWHKAKNUIHSXGX-UHFFFAOYSA-N 0 3 242.407 2.755 20 0 BFADHN CCC[C@H](C)N1CCN(CC(F)F)[C@@H](CC)C1 ZINC000249390386 388718962 /nfs/dbraw/zinc/71/89/62/388718962.db2.gz REWQQGRIJHOCCW-RYUDHWBXSA-N 0 3 248.361 2.836 20 0 BFADHN CCN(CC(=O)N[C@@H](C)c1ccccc1)C(C)C ZINC000194095365 388722510 /nfs/dbraw/zinc/72/25/10/388722510.db2.gz PAYKBHGBHRBMJL-ZDUSSCGKSA-N 0 3 248.370 2.594 20 0 BFADHN CCN(CC(=O)Nc1ccccc1C)C(C)C ZINC000194095301 388723232 /nfs/dbraw/zinc/72/32/32/388723232.db2.gz QNRLNKOTORFEAX-UHFFFAOYSA-N 0 3 234.343 2.664 20 0 BFADHN Cc1ccc(CN(C)[C@@H](C)C2CC2)nc1 ZINC000127514981 388726094 /nfs/dbraw/zinc/72/60/94/388726094.db2.gz METXMZPVLWWNDK-NSHDSACASA-N 0 3 204.317 2.620 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C[C@H](C)C2)no1 ZINC000127530333 388726241 /nfs/dbraw/zinc/72/62/41/388726241.db2.gz CAQNSGYIKNIEQG-QWRGUYRKSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1ccc(CN2CCC(C)CC2)nc1 ZINC000127710721 388729296 /nfs/dbraw/zinc/72/92/96/388729296.db2.gz FHAHEAMMVVYOMS-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CCN(CC)C(=O)[C@@H](NC(C)C)c1ccccc1 ZINC000127896854 388733351 /nfs/dbraw/zinc/73/33/51/388733351.db2.gz MBYBNRHSUMJUIS-AWEZNQCLSA-N 0 3 248.370 2.594 20 0 BFADHN c1cc(-c2ccccc2)oc1CN[C@H]1CCOC1 ZINC000088684716 388733409 /nfs/dbraw/zinc/73/34/09/388733409.db2.gz XYOLULANARRAMR-ZDUSSCGKSA-N 0 3 243.306 2.825 20 0 BFADHN CCc1cnc(CN2[C@H](CC)CC[C@@H]2C)o1 ZINC000540055001 388793393 /nfs/dbraw/zinc/79/33/93/388793393.db2.gz FMFQAXIDKVSDDD-WDEREUQCSA-N 0 3 222.332 3.000 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](CO)c1ccccc1OC ZINC000554113259 388801980 /nfs/dbraw/zinc/80/19/80/388801980.db2.gz SHUVGHXSRLQRBM-BNOWGMLFSA-N 0 3 249.354 2.507 20 0 BFADHN Oc1ccc(CN2CCSC[C@@H]2C2CC2)cc1 ZINC000648752531 388811547 /nfs/dbraw/zinc/81/15/47/388811547.db2.gz OKOKDGQAXFSEIY-CQSZACIVSA-N 0 3 249.379 2.720 20 0 BFADHN CCOC[C@H](C)NCc1cscc1C ZINC000230063673 388811780 /nfs/dbraw/zinc/81/17/80/388811780.db2.gz HXMLABZUWKNMMA-JTQLQIEISA-N 0 3 213.346 2.571 20 0 BFADHN C[C@H](NCCCF)c1nc2ccccc2n1C ZINC000091399128 388762809 /nfs/dbraw/zinc/76/28/09/388762809.db2.gz LQEGTIMRTDRZPD-JTQLQIEISA-N 0 3 235.306 2.584 20 0 BFADHN COCC1(N[C@@H](C)c2cc(F)cc(F)c2)CC1 ZINC000539650399 388769658 /nfs/dbraw/zinc/76/96/58/388769658.db2.gz KXCFCQRLHKAPJY-VIFPVBQESA-N 0 3 241.281 2.794 20 0 BFADHN C[C@@H]1CCN(Cc2cnn(C(C)(C)C)c2)[C@@H]1C ZINC000091860474 388770326 /nfs/dbraw/zinc/77/03/26/388770326.db2.gz OMRGPPOPYWCLFX-VXGBXAGGSA-N 0 3 235.375 2.868 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@H]1NCc1cc[nH]n1 ZINC000252738874 388778069 /nfs/dbraw/zinc/77/80/69/388778069.db2.gz FJOGQVJJWBZUAV-DMDPSCGWSA-N 0 3 221.348 2.714 20 0 BFADHN COc1ccc(OC)c(CN2C[C@H](C)C[C@@H]2C)c1 ZINC000539818706 388779087 /nfs/dbraw/zinc/77/90/87/388779087.db2.gz HNOPRJRQEABOGG-NEPJUHHUSA-N 0 3 249.354 2.934 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@H]1NCc1cc[nH]n1 ZINC000252738877 388780129 /nfs/dbraw/zinc/78/01/29/388780129.db2.gz FJOGQVJJWBZUAV-NQBHXWOUSA-N 0 3 221.348 2.714 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@H]2CC[C@@H]2C2CC2)[n-]1 ZINC000554384538 388812876 /nfs/dbraw/zinc/81/28/76/388812876.db2.gz HENLTWYNSRFKDM-WCQGTBRESA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@H]2CC[C@@H]2C2CC2)[nH]1 ZINC000554384538 388812881 /nfs/dbraw/zinc/81/28/81/388812881.db2.gz HENLTWYNSRFKDM-WCQGTBRESA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)[C@H]1CCN([C@H](C)C(=O)OC(C)(C)C)C1 ZINC000252741139 388780294 /nfs/dbraw/zinc/78/02/94/388780294.db2.gz IDCGVTANFIKTRN-NEPJUHHUSA-N 0 3 241.375 2.695 20 0 BFADHN C[C@@H]1C[C@H]2CCCC[C@@H]2N1Cc1ccn(C)n1 ZINC000539838939 388782669 /nfs/dbraw/zinc/78/26/69/388782669.db2.gz RRSPNHGPRQEEBF-BZPMIXESSA-N 0 3 233.359 2.573 20 0 BFADHN CC(C)N[C@H](CO)c1cccc(Cl)c1F ZINC000229250756 388783338 /nfs/dbraw/zinc/78/33/38/388783338.db2.gz LVIWLWVOIPUJNA-SNVBAGLBSA-N 0 3 231.698 2.511 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnoc2C)CC1 ZINC000128666343 388783488 /nfs/dbraw/zinc/78/34/88/388783488.db2.gz GVKFIHOIQPUEBJ-GFCCVEGCSA-N 0 3 222.332 2.995 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cscc1C ZINC000229382817 388787023 /nfs/dbraw/zinc/78/70/23/388787023.db2.gz LSXIYROJFMZJBE-NXEZZACHSA-N 0 3 213.346 2.570 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccc(Cl)cn1 ZINC000554497552 388817076 /nfs/dbraw/zinc/81/70/76/388817076.db2.gz SMDDLCZDXGKEKI-SECBINFHSA-N 0 3 212.724 2.965 20 0 BFADHN CCCN(CC)Cc1ccc(Cl)cn1 ZINC000554497338 388817106 /nfs/dbraw/zinc/81/71/06/388817106.db2.gz PZUPHKUUUZSJIV-UHFFFAOYSA-N 0 3 212.724 2.967 20 0 BFADHN CCc1ccc(CNC[C@@H]2CC2(C)C)o1 ZINC000230196580 388819444 /nfs/dbraw/zinc/81/94/44/388819444.db2.gz UCKTVYZGDBWHPQ-JTQLQIEISA-N 0 3 207.317 2.978 20 0 BFADHN CCCC[C@H](C(=O)OC)N(CC)CC1CCC1 ZINC000230322611 388824170 /nfs/dbraw/zinc/82/41/70/388824170.db2.gz BSEFOHQZBKBPNR-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN CCCC[C@@H](C(=O)OC)N(CC)CC1CCC1 ZINC000230322608 388824620 /nfs/dbraw/zinc/82/46/20/388824620.db2.gz BSEFOHQZBKBPNR-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1cc[nH]n1 ZINC000093383671 388835734 /nfs/dbraw/zinc/83/57/34/388835734.db2.gz CVQMRRPPFAOCPN-MDZLAQPJSA-N 0 3 219.332 2.563 20 0 BFADHN CCn1cc(CN2C[C@H](C)CC[C@@H]2C)cn1 ZINC000093498248 388840304 /nfs/dbraw/zinc/84/03/04/388840304.db2.gz FMCAORVKCLZADK-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN COc1cccc2c1CN(CC1(C)CC1)CC2 ZINC000648770110 388857308 /nfs/dbraw/zinc/85/73/08/388857308.db2.gz NNIQJRHTDSSQIL-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NCc1coc2ccccc12 ZINC000129640747 388861398 /nfs/dbraw/zinc/86/13/98/388861398.db2.gz RUGFKOZNBWTCRA-UONOGXRCSA-N 0 3 245.322 2.682 20 0 BFADHN CC[C@H](NCc1cc(C)on1)C1CCC1 ZINC000322297763 388848605 /nfs/dbraw/zinc/84/86/05/388848605.db2.gz TWDXQAUHMVHPFU-LBPRGKRZSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1n[nH]cc1CN1CCC(C(C)C)CC1 ZINC000093682615 388849349 /nfs/dbraw/zinc/84/93/49/388849349.db2.gz NEOXXPXZJSOSDE-UHFFFAOYSA-N 0 3 221.348 2.586 20 0 BFADHN Cc1cccc(NCCNCc2cccs2)n1 ZINC000152775831 388880996 /nfs/dbraw/zinc/88/09/96/388880996.db2.gz KVGDDQSFDHQXNP-UHFFFAOYSA-N 0 3 247.367 2.653 20 0 BFADHN CCC1(NCc2nc(C)c(C)[nH]2)CCCC1 ZINC000565610231 388959264 /nfs/dbraw/zinc/95/92/64/388959264.db2.gz PJUCJVIEVGVYAU-UHFFFAOYSA-N 0 3 221.348 2.839 20 0 BFADHN COc1ccc(CN(C)C2CCC2)c(F)c1 ZINC000565779574 388968737 /nfs/dbraw/zinc/96/87/37/388968737.db2.gz QVWQQCBUFKPNJZ-UHFFFAOYSA-N 0 3 223.291 2.819 20 0 BFADHN CCCCCOc1ccc2c(c1)OCCNC2 ZINC000306097519 388969070 /nfs/dbraw/zinc/96/90/70/388969070.db2.gz NHOAWDPPDXJZGS-UHFFFAOYSA-N 0 3 235.327 2.738 20 0 BFADHN CC1(CN2CCN(c3cccs3)CC2)CC1 ZINC000565398557 388942316 /nfs/dbraw/zinc/94/23/16/388942316.db2.gz SSORDNPGMHGGCU-UHFFFAOYSA-N 0 3 236.384 2.670 20 0 BFADHN CCCCN1CCC=C(c2ccccn2)C1 ZINC000565459837 388945924 /nfs/dbraw/zinc/94/59/24/388945924.db2.gz HTCHCTIZJPJDEM-UHFFFAOYSA-N 0 3 216.328 2.971 20 0 BFADHN C[C@H](CN1CCO[C@H](C)C1)C1CCCCC1 ZINC000565905693 388978472 /nfs/dbraw/zinc/97/84/72/388978472.db2.gz NHVJJQKYCOJXRU-CHWSQXEVSA-N 0 3 225.376 2.924 20 0 BFADHN Cn1ccc(CN[C@@H]2CCC[C@@H]2C(C)(C)C)n1 ZINC000565938939 388979506 /nfs/dbraw/zinc/97/95/06/388979506.db2.gz YXDHYAGFNCJMSB-QWHCGFSZSA-N 0 3 235.375 2.725 20 0 BFADHN CCc1ccc(CNC2(C3CC3)CC2)nc1 ZINC000565996548 388981992 /nfs/dbraw/zinc/98/19/92/388981992.db2.gz QIWWLEMJQRWARP-UHFFFAOYSA-N 0 3 216.328 2.676 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2ccoc2)C12CCC2 ZINC000572574518 388986106 /nfs/dbraw/zinc/98/61/06/388986106.db2.gz ADQBMOFYSKLHAU-WCFLWFBJSA-N 0 3 235.327 2.888 20 0 BFADHN CC[C@H](NCc1cn2ccccc2n1)C1CC1 ZINC000268745051 389002999 /nfs/dbraw/zinc/00/29/99/389002999.db2.gz URBKRTJGEQUMIX-ZDUSSCGKSA-N 0 3 229.327 2.613 20 0 BFADHN Cc1ccoc1CNC[C@@H](O)c1ccc(F)cc1 ZINC000266180748 388995373 /nfs/dbraw/zinc/99/53/73/388995373.db2.gz GEJGBANIZOSGQX-CYBMUJFWSA-N 0 3 249.285 2.550 20 0 BFADHN CCC1(CNCc2ccc(C)o2)CCOCC1 ZINC000266616840 388996364 /nfs/dbraw/zinc/99/63/64/388996364.db2.gz KAWDZTVTPBJQQY-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN CO[C@H](CNCc1cccc(F)c1)C(C)C ZINC000266623681 388996515 /nfs/dbraw/zinc/99/65/15/388996515.db2.gz MRXHNVKPWQOIIF-CYBMUJFWSA-N 0 3 225.307 2.586 20 0 BFADHN COC[C@H](C)CN1CC(C)(C)[C@H]1c1cccnc1 ZINC000565825463 388971602 /nfs/dbraw/zinc/97/16/02/388971602.db2.gz LDVMSWPQUHGYOF-TZMCWYRMSA-N 0 3 248.370 2.747 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@@H]2CCCCO2)o1 ZINC000271961105 389028236 /nfs/dbraw/zinc/02/82/36/389028236.db2.gz GEKHCFUWWZFQAW-YPMHNXCESA-N 0 3 223.316 2.635 20 0 BFADHN C[C@@H](CCCCO)NCc1ccccc1Cl ZINC000272294697 389030528 /nfs/dbraw/zinc/03/05/28/389030528.db2.gz OSTRLUQTMDNYRJ-NSHDSACASA-N 0 3 241.762 2.981 20 0 BFADHN COCc1ccc(CNCCc2ccccc2)o1 ZINC000268813439 389004499 /nfs/dbraw/zinc/00/44/99/389004499.db2.gz UZYKCUCNEXQGLM-UHFFFAOYSA-N 0 3 245.322 2.758 20 0 BFADHN CO[C@H](C)CCN[C@@H](C)c1ccccc1F ZINC000268833562 389004793 /nfs/dbraw/zinc/00/47/93/389004793.db2.gz KWJMVPXXICASGU-MNOVXSKESA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@H]1CN(Cc2cccc(Cl)c2)C[C@@H]1O ZINC000270487152 389012264 /nfs/dbraw/zinc/01/22/64/389012264.db2.gz AJFJAJSMNGAKQA-AAEUAGOBSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@@H](NCCCC(C)(C)CO)c1ccccn1 ZINC000270944820 389016563 /nfs/dbraw/zinc/01/65/63/389016563.db2.gz TZJYGPALTINHNS-GFCCVEGCSA-N 0 3 236.359 2.531 20 0 BFADHN C[C@@H](N[C@H]1CCSC1)c1ccc(Cl)nc1 ZINC000271505028 389020990 /nfs/dbraw/zinc/02/09/90/389020990.db2.gz NUZOQCPTIHDAGL-SCZZXKLOSA-N 0 3 242.775 2.891 20 0 BFADHN C[C@@H](N[C@@H]1CCSC1)c1ccc(Cl)nc1 ZINC000271505026 389021952 /nfs/dbraw/zinc/02/19/52/389021952.db2.gz NUZOQCPTIHDAGL-PSASIEDQSA-N 0 3 242.775 2.891 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccncc1)[C@@H]1CCCCO1 ZINC000271960315 389027152 /nfs/dbraw/zinc/02/71/52/389027152.db2.gz QZTAUIVHUYCYOI-SCRDCRAPSA-N 0 3 234.343 2.690 20 0 BFADHN CCc1ccc(CN[C@H](C)[C@H]2CCCCO2)o1 ZINC000272044398 389027387 /nfs/dbraw/zinc/02/73/87/389027387.db2.gz WNSNFBOTMNIRSB-BXUZGUMPSA-N 0 3 237.343 2.889 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CCCCO1)c1ccccn1 ZINC000271928546 389027397 /nfs/dbraw/zinc/02/73/97/389027397.db2.gz GKXVNFHCDWDDAE-OBJOEFQTSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@H](N[C@H]1CCSC1)c1ccc(Cl)cn1 ZINC000274819231 389048580 /nfs/dbraw/zinc/04/85/80/389048580.db2.gz BIDKVPMJTZXUBM-WPRPVWTQSA-N 0 3 242.775 2.891 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1ccc(Cl)cn1 ZINC000274822460 389049244 /nfs/dbraw/zinc/04/92/44/389049244.db2.gz BVWLTOCNVMGVMN-MWLCHTKSSA-N 0 3 240.734 2.565 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1ccc(Cl)cn1 ZINC000274909453 389049537 /nfs/dbraw/zinc/04/95/37/389049537.db2.gz MVUBJRRLFURCIN-KOLCDFICSA-N 0 3 242.750 2.811 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1ccc(Cl)cn1 ZINC000274884519 389049847 /nfs/dbraw/zinc/04/98/47/389049847.db2.gz IGCNQYJNBGXJEX-KOLCDFICSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1ccc(Cl)cn1 ZINC000274822463 389050079 /nfs/dbraw/zinc/05/00/79/389050079.db2.gz BVWLTOCNVMGVMN-ONGXEEELSA-N 0 3 240.734 2.565 20 0 BFADHN C[C@@]1(NCc2ccsc2)CCO[C@H]1C1CC1 ZINC000273086608 389037757 /nfs/dbraw/zinc/03/77/57/389037757.db2.gz KCJDCCXYVPIAEY-QWHCGFSZSA-N 0 3 237.368 2.795 20 0 BFADHN CCCCN[C@@H](CCOC)c1ccco1 ZINC000273281423 389040006 /nfs/dbraw/zinc/04/00/06/389040006.db2.gz OASSYOFZVSULPZ-NSHDSACASA-N 0 3 211.305 2.747 20 0 BFADHN COc1ncccc1CN1CC[C@@H](C)C[C@@H](C)C1 ZINC000488103595 533257733 /nfs/dbraw/zinc/25/77/33/533257733.db2.gz LISMYUBFCBJRCM-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN COCC[C@H](N[C@@H]1C[C@@H](C)[C@H]1C)c1ccco1 ZINC000494963257 533314005 /nfs/dbraw/zinc/31/40/05/533314005.db2.gz XWCCLDLJCYTIDE-FVCCEPFGSA-N 0 3 237.343 2.991 20 0 BFADHN C(=C/c1ccccc1)\CNCc1cncs1 ZINC000157465975 533354360 /nfs/dbraw/zinc/35/43/60/533354360.db2.gz JFKDNLSXAVOTPK-QPJJXVBHSA-N 0 3 230.336 2.946 20 0 BFADHN C1=C/[C@@H](N2CCN(CC3CC3)CC2)CCCCC/1 ZINC000335295454 533480701 /nfs/dbraw/zinc/48/07/01/533480701.db2.gz OGSORDQOAKIHIR-MQDFFIGUSA-N 0 3 248.414 2.903 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2C[C@H]2C)c(OC)c1 ZINC000488308496 533540517 /nfs/dbraw/zinc/54/05/17/533540517.db2.gz NARFCWXXPKIXIF-YPMHNXCESA-N 0 3 249.354 2.792 20 0 BFADHN Cc1cc(CN2CC[C@H](CC(C)C)C2)nn1C ZINC000487436033 533626423 /nfs/dbraw/zinc/62/64/23/533626423.db2.gz XKGPSQRVZATHNP-CYBMUJFWSA-N 0 3 235.375 2.597 20 0 BFADHN C/C=C/C=C/C(=O)Nc1cccc(CN(C)C)c1 ZINC000047453986 322827182 /nfs/dbraw/zinc/82/71/82/322827182.db2.gz KHGDXZUPNAEDIY-UMCKCUICSA-N 0 3 244.338 2.819 20 0 BFADHN CC(C)CCC[C@H](C)NCc1cncnc1 ZINC000054767109 166824167 /nfs/dbraw/zinc/82/41/67/166824167.db2.gz GTLUFEITNRUIEW-LBPRGKRZSA-N 0 3 221.348 2.781 20 0 BFADHN CCCN(C)[C@H]1CCCN(c2ccccc2)C1=O ZINC000115538161 132282318 /nfs/dbraw/zinc/28/23/18/132282318.db2.gz YLOQGHKLKKSMKJ-AWEZNQCLSA-N 0 3 246.354 2.524 20 0 BFADHN FCCCCN1CCN(c2ccccc2)CC1 ZINC000285032717 132306930 /nfs/dbraw/zinc/30/69/30/132306930.db2.gz BMQXOZJUOCPWDE-UHFFFAOYSA-N 0 3 236.334 2.558 20 0 BFADHN CCc1cccnc1[C@@H](C)NCCC1(O)CCC1 ZINC000342812130 133598909 /nfs/dbraw/zinc/59/89/09/133598909.db2.gz YIHVFBUMQUQACW-GFCCVEGCSA-N 0 3 248.370 2.600 20 0 BFADHN C[C@@H]1C[C@H](c2cccnc2)N(C[C@@H]2CCCO2)C1 ZINC000347250019 133812010 /nfs/dbraw/zinc/81/20/10/133812010.db2.gz ORAVUHFYTXEPKE-VHDGCEQUSA-N 0 3 246.354 2.644 20 0 BFADHN C[C@H](CNCc1ccco1)CC1CCOCC1 ZINC000349964939 133929137 /nfs/dbraw/zinc/92/91/37/133929137.db2.gz FBOGNZJFATUAJY-LBPRGKRZSA-N 0 3 237.343 2.822 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cnn(C(C)(C)C)c2)C1 ZINC000335824307 133929165 /nfs/dbraw/zinc/92/91/65/133929165.db2.gz VPHSMEZRDGRIHA-RYUDHWBXSA-N 0 3 235.375 2.868 20 0 BFADHN C[C@@H](c1ccccc1Cl)N1C[C@@H](C)[C@H](O)C1 ZINC000351951234 134016137 /nfs/dbraw/zinc/01/61/37/134016137.db2.gz JCJXAYRBWPHZHA-GBIKHYSHSA-N 0 3 239.746 2.714 20 0 BFADHN Cc1cccc(CN2C[C@@H](C)N(C)C[C@@H]2C)c1 ZINC000351958440 134017939 /nfs/dbraw/zinc/01/79/39/134017939.db2.gz KKRWBZKYIYABOK-KGLIPLIRSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@]2(C)CCCOC2)c1 ZINC000335942999 134018115 /nfs/dbraw/zinc/01/81/15/134018115.db2.gz ARIIELBLOKYCCV-TZMCWYRMSA-N 0 3 234.343 2.610 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cc(C(N)=O)cs2)C1 ZINC000335944613 134019577 /nfs/dbraw/zinc/01/95/77/134019577.db2.gz YRLDAVNEXWLBIF-BDAKNGLRSA-N 0 3 238.356 2.862 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cc(C(N)=O)cs2)C1 ZINC000335944615 134019645 /nfs/dbraw/zinc/01/96/45/134019645.db2.gz YRLDAVNEXWLBIF-IUCAKERBSA-N 0 3 238.356 2.862 20 0 BFADHN C[C@@H]1CC[C@H](N(C)Cc2cncc(F)c2)C1 ZINC000335958940 134024184 /nfs/dbraw/zinc/02/41/84/134024184.db2.gz HFMFXOUNPXTUNC-MFKMUULPSA-N 0 3 222.307 2.841 20 0 BFADHN CC1(C)CN([C@@H]2C=CCCC2)[C@@H]1[C@H]1CCCO1 ZINC000351977030 134025422 /nfs/dbraw/zinc/02/54/22/134025422.db2.gz VFPNHZYIUYXGFB-MGPQQGTHSA-N 0 3 235.371 2.985 20 0 BFADHN CCc1ccc(CN2C[C@@H]3CCC[C@H]32)cn1 ZINC000335998549 134043445 /nfs/dbraw/zinc/04/34/45/134043445.db2.gz HIMIXTZCWYQSQZ-GXTWGEPZSA-N 0 3 216.328 2.628 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2cccnc2C)C1 ZINC000336007332 134049378 /nfs/dbraw/zinc/04/93/78/134049378.db2.gz XMMPLJAVUKTBNJ-LLVKDONJSA-N 0 3 216.328 2.788 20 0 BFADHN Cc1c[nH]nc1CN(C)[C@H]1CCC(C)(C)C1 ZINC000336009735 134050371 /nfs/dbraw/zinc/05/03/71/134050371.db2.gz AFOCMTLUFVYJBL-NSHDSACASA-N 0 3 221.348 2.729 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)[C@@H]1CC[C@@H](C)C1 ZINC000336021984 134056157 /nfs/dbraw/zinc/05/61/57/134056157.db2.gz OKXTXKGXNIZBEN-ZWNOBZJWSA-N 0 3 235.375 2.657 20 0 BFADHN C[C@H](c1ccccc1F)N1CCOC[C@@H](C)C1 ZINC000336039226 134060725 /nfs/dbraw/zinc/06/07/25/134060725.db2.gz BFXMPJJOTGVNRX-NWDGAFQWSA-N 0 3 237.318 2.855 20 0 BFADHN Cc1ccnc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)n1 ZINC000336040957 134061811 /nfs/dbraw/zinc/06/18/11/134061811.db2.gz BYUQKNTUPBYZHI-CHWSQXEVSA-N 0 3 245.370 2.796 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1ccc(N2CCCC2)nc1 ZINC000336071726 134072473 /nfs/dbraw/zinc/07/24/73/134072473.db2.gz XIYXWKACVGLTHX-BETUJISGSA-N 0 3 245.370 2.665 20 0 BFADHN CCn1ncnc1CN1CCCC12CCCCC2 ZINC000336092248 134078739 /nfs/dbraw/zinc/07/87/39/134078739.db2.gz BBFGSGPVVICCMF-UHFFFAOYSA-N 0 3 248.374 2.597 20 0 BFADHN CC(C)c1nc(CN2CCC[C@@H]3CCC[C@@H]32)n[nH]1 ZINC000336110015 134086072 /nfs/dbraw/zinc/08/60/72/134086072.db2.gz HVKREMCKUFUHQC-RYUDHWBXSA-N 0 3 248.374 2.693 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CCC[C@H]2C)ncn1 ZINC000336112422 134087396 /nfs/dbraw/zinc/08/73/96/134087396.db2.gz YTKMMGUHTXZZNT-WCQYABFASA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]3CCCC[C@H]32)cn1 ZINC000336132109 134094753 /nfs/dbraw/zinc/09/47/53/134094753.db2.gz RFKMLMFOLYOZTG-DZGCQCFKSA-N 0 3 245.370 2.940 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCOC2)c(C)s1 ZINC000352194447 134098149 /nfs/dbraw/zinc/09/81/49/134098149.db2.gz JVOZRUVFGORMQL-CYBMUJFWSA-N 0 3 239.384 2.976 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C3(CCC3)C2)on1 ZINC000336141473 134100748 /nfs/dbraw/zinc/10/07/48/134100748.db2.gz TZHVVGJTGHDROP-NSHDSACASA-N 0 3 234.343 2.995 20 0 BFADHN COC[C@@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC000352221576 134109683 /nfs/dbraw/zinc/10/96/83/134109683.db2.gz JDAIQFUCLNVBTJ-VXGBXAGGSA-N 0 3 237.318 2.855 20 0 BFADHN F[C@@H]1CCC[C@@H]1NCc1ccc2c[nH]nc2c1 ZINC000336163570 134111977 /nfs/dbraw/zinc/11/19/77/134111977.db2.gz RUFNOVMUTMFBDW-NEPJUHHUSA-N 0 3 233.290 2.543 20 0 BFADHN COc1cccc(OC)c1CN[C@@H](C)C1CC1 ZINC000352255679 134118837 /nfs/dbraw/zinc/11/88/37/134118837.db2.gz AQGLRJXOPRRENZ-JTQLQIEISA-N 0 3 235.327 2.592 20 0 BFADHN CC[C@H](C)[C@](C)(O)CN[C@@H](C)c1ccco1 ZINC000167600240 134122429 /nfs/dbraw/zinc/12/24/29/134122429.db2.gz MXKUAABNLZXITA-GMXVVIOVSA-N 0 3 225.332 2.727 20 0 BFADHN CCc1cc(N2C[C@@H](C(N)=O)CC[C@@H]2C)ccn1 ZINC000336197384 134126937 /nfs/dbraw/zinc/12/69/37/134126937.db2.gz BTBITRDRWPIJRL-QWRGUYRKSA-N 0 3 247.342 2.784 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1cc2cccnc2o1 ZINC000336204909 134131804 /nfs/dbraw/zinc/13/18/04/134131804.db2.gz YADXDPZTIXPGHP-SKDRFNHKSA-N 0 3 248.351 2.669 20 0 BFADHN CN(Cc1cc2cccnc2o1)[C@@H]1CCSC1 ZINC000336206395 134132511 /nfs/dbraw/zinc/13/25/11/134132511.db2.gz ZSCDLSJCEVDQST-LLVKDONJSA-N 0 3 248.351 2.765 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1ccncc1F ZINC000336208122 134132929 /nfs/dbraw/zinc/13/29/29/134132929.db2.gz ZCFBJSVRQXXMJC-ZDUSSCGKSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1ccc(CN2CCC(CF)CC2)nc1 ZINC000336210138 134133969 /nfs/dbraw/zinc/13/39/69/134133969.db2.gz LMHHSOTZXARBCA-UHFFFAOYSA-N 0 3 222.307 2.572 20 0 BFADHN C[C@H]1CCCN1Cc1ccnn1C1CCCC1 ZINC000336214142 134135679 /nfs/dbraw/zinc/13/56/79/134135679.db2.gz ORKSYEHTKYUSAY-LBPRGKRZSA-N 0 3 233.359 2.983 20 0 BFADHN Fc1cnccc1CN1CCCC12CCC2 ZINC000336213262 134135724 /nfs/dbraw/zinc/13/57/24/134135724.db2.gz MJBLXSCBQCYKIV-UHFFFAOYSA-N 0 3 220.291 2.739 20 0 BFADHN CN(Cc1ccncc1F)C1CCCCC1 ZINC000336214123 134135785 /nfs/dbraw/zinc/13/57/85/134135785.db2.gz LQNQMUWROKZZFF-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN Cc1ccn2c(CN3CC[C@@H]3C3CC3)cnc2c1 ZINC000336216111 134136909 /nfs/dbraw/zinc/13/69/09/134136909.db2.gz BVXKXSHBGMTOKD-CQSZACIVSA-N 0 3 241.338 2.627 20 0 BFADHN Cc1nc2ccccn2c1CN1CC[C@@H]1C1CC1 ZINC000336216407 134137188 /nfs/dbraw/zinc/13/71/88/134137188.db2.gz YQXIYZPHAUEWLI-CYBMUJFWSA-N 0 3 241.338 2.627 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCC(C(C)C)CC1 ZINC000173860152 134277910 /nfs/dbraw/zinc/27/79/10/134277910.db2.gz HVFTXKMXCNXTDZ-UHFFFAOYSA-N 0 3 249.402 2.905 20 0 BFADHN COc1cccc(CN2CCC3(CCCC3)C2)n1 ZINC000174262867 134279862 /nfs/dbraw/zinc/27/98/62/134279862.db2.gz SVRKYONHELPJLF-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN C[C@@H](NC1C[C@H](C)C[C@@H](C)C1)c1nccn1C ZINC000220493811 134305292 /nfs/dbraw/zinc/30/52/92/134305292.db2.gz JJUWMJUALBZGSZ-IJLUTSLNSA-N 0 3 235.375 2.895 20 0 BFADHN CCc1ccc([C@H](C)NC[C@](C)(O)C(C)C)o1 ZINC000178123973 134306376 /nfs/dbraw/zinc/30/63/76/134306376.db2.gz PUVSXUAVXBNBGG-FZMZJTMJSA-N 0 3 239.359 2.900 20 0 BFADHN CN([C@H]1C=CCCC1)[C@H]1CCCc2c1cnn2C ZINC000178506046 134307567 /nfs/dbraw/zinc/30/75/67/134307567.db2.gz DRBUSLIVFNGRDN-JSGCOSHPSA-N 0 3 245.370 2.838 20 0 BFADHN Cn1cc(CN2CCCC2)c(-c2cccs2)n1 ZINC000179416125 134317755 /nfs/dbraw/zinc/31/77/55/134317755.db2.gz RHQGLCFQSCTVPG-UHFFFAOYSA-N 0 3 247.367 2.744 20 0 BFADHN CCOc1cccc(CN[C@@]2(C)CCCOC2)c1 ZINC000221338478 134320079 /nfs/dbraw/zinc/32/00/79/134320079.db2.gz XMJMPHMPGRXDBT-HNNXBMFYSA-N 0 3 249.354 2.744 20 0 BFADHN CCC[C@@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccccn1 ZINC000179472918 134320805 /nfs/dbraw/zinc/32/08/05/134320805.db2.gz LWMPTEPHOCXSSZ-NGFQHRJXSA-N 0 3 246.354 2.832 20 0 BFADHN C[C@]1(NCc2ccc(Cl)o2)CCCOC1 ZINC000221374197 134323677 /nfs/dbraw/zinc/32/36/77/134323677.db2.gz DKAZKEPQGFFXJH-NSHDSACASA-N 0 3 229.707 2.592 20 0 BFADHN CCSCCN(C)CCC(F)(F)F ZINC000227564374 134383543 /nfs/dbraw/zinc/38/35/43/134383543.db2.gz DBRFECJBKJWJJR-UHFFFAOYSA-N 0 3 215.284 2.624 20 0 BFADHN C[C@@H](NC[C@H]1CCC[C@@H](C)C1)c1cnccn1 ZINC000222666947 134333708 /nfs/dbraw/zinc/33/37/08/134333708.db2.gz FNTQRXTYNFBUSV-UPJWGTAASA-N 0 3 233.359 2.954 20 0 BFADHN C[C@@H](NCCCc1ccccn1)c1ccco1 ZINC000181495868 134336980 /nfs/dbraw/zinc/33/69/80/134336980.db2.gz HWXVFGQMBTXYKV-GFCCVEGCSA-N 0 3 230.311 2.958 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@H](C)CC(F)(F)F ZINC000223186080 134337307 /nfs/dbraw/zinc/33/73/07/134337307.db2.gz OEGFZEMZWNTQLK-SFYZADRCSA-N 0 3 249.280 2.720 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@@H](C)CC(F)(F)F ZINC000223185965 134337477 /nfs/dbraw/zinc/33/74/77/134337477.db2.gz OEGFZEMZWNTQLK-YUMQZZPRSA-N 0 3 249.280 2.720 20 0 BFADHN CCc1nc(CN2CCC3(C2)CCCCC3)n[nH]1 ZINC000181718747 134338468 /nfs/dbraw/zinc/33/84/68/134338468.db2.gz SNXCXGCABJCULZ-UHFFFAOYSA-N 0 3 248.374 2.523 20 0 BFADHN CS[C@H]1CCCCN(Cc2cnoc2C)C1 ZINC000181772169 134339776 /nfs/dbraw/zinc/33/97/76/134339776.db2.gz WLZYZSIYFUXZDA-LBPRGKRZSA-N 0 3 240.372 2.701 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc(Cl)cc1 ZINC000182043577 134341347 /nfs/dbraw/zinc/34/13/47/134341347.db2.gz UNPCWLDLRCPYNB-SNVBAGLBSA-N 0 3 227.735 2.807 20 0 BFADHN CO[C@H](C)CN(CCC(F)(F)F)CC1CC1 ZINC000182224419 134343723 /nfs/dbraw/zinc/34/37/23/134343723.db2.gz QKXVZEFLXFMWPL-SECBINFHSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1cc(CN2C[C@@H](C)[C@H]3CCCC[C@@H]32)no1 ZINC000182504863 134345271 /nfs/dbraw/zinc/34/52/71/134345271.db2.gz BXZDXLRJPCBFPD-HONMWMINSA-N 0 3 234.343 2.994 20 0 BFADHN CCOC1CC(N[C@H]2CSc3ccccc32)C1 ZINC000224661124 134349531 /nfs/dbraw/zinc/34/95/31/134349531.db2.gz DIAVWXGKTBGXQE-XIVSLSHWSA-N 0 3 249.379 2.991 20 0 BFADHN CC(C)[C@@H](C)NCc1ncc(Cl)s1 ZINC000225301131 134354597 /nfs/dbraw/zinc/35/45/97/134354597.db2.gz RJZPHILTJBMCBK-SSDOTTSWSA-N 0 3 218.753 2.931 20 0 BFADHN COC[C@@H](N[C@H]1COCc2ccccc21)C(C)C ZINC000226002299 134358079 /nfs/dbraw/zinc/35/80/79/134358079.db2.gz XBNHELLYEZCUGR-CABCVRRESA-N 0 3 249.354 2.519 20 0 BFADHN CCC1(CNCc2cc(OC)cc(C)n2)CC1 ZINC000225911521 134360061 /nfs/dbraw/zinc/36/00/61/134360061.db2.gz ADKDQNCPVSXOCA-UHFFFAOYSA-N 0 3 234.343 2.678 20 0 BFADHN COc1cccc([C@H](C)NCCOCC2CC2)c1 ZINC000226320536 134360228 /nfs/dbraw/zinc/36/02/28/134360228.db2.gz CBDKAHUPHPCCDT-LBPRGKRZSA-N 0 3 249.354 2.772 20 0 BFADHN CC/C=C/CCN1CCCN(CC(F)F)CC1 ZINC000184704376 134368476 /nfs/dbraw/zinc/36/84/76/134368476.db2.gz CXSBSUQVECGKHL-ONEGZZNKSA-N 0 3 246.345 2.616 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@H](C2CC2)C1)c1ccoc1 ZINC000185323194 134379817 /nfs/dbraw/zinc/37/98/17/134379817.db2.gz NDNODDRABPVWQK-GDLCADMTSA-N 0 3 235.327 2.888 20 0 BFADHN C[C@H](O)[C@H](C)N[C@@H](C)c1ccc(Cl)s1 ZINC000227476034 134384424 /nfs/dbraw/zinc/38/44/24/134384424.db2.gz WQLZAKHOLUDVIG-FXQIFTODSA-N 0 3 233.764 2.821 20 0 BFADHN CSC1(CNCc2ccc(C)cn2)CCC1 ZINC000227947457 134399428 /nfs/dbraw/zinc/39/94/28/134399428.db2.gz MAHGOAIUIYJHPE-UHFFFAOYSA-N 0 3 236.384 2.765 20 0 BFADHN CO[C@@H](C)CN[C@@H]1CCCOc2ccc(C)cc21 ZINC000188130624 134492784 /nfs/dbraw/zinc/49/27/84/134492784.db2.gz OLQKUXMEAYBHHL-GXTWGEPZSA-N 0 3 249.354 2.833 20 0 BFADHN COc1cccc(CNC[C@H]2CC[C@@H](C)C2)n1 ZINC000230414030 134502231 /nfs/dbraw/zinc/50/22/31/134502231.db2.gz ZPCDQLOAMBZZBE-NEPJUHHUSA-N 0 3 234.343 2.616 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1CCC[C@@H]1C ZINC000230663816 134519868 /nfs/dbraw/zinc/51/98/68/134519868.db2.gz UCGMYICBWFQFGB-CABZTGNLSA-N 0 3 222.332 2.817 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1[C@H](C)C1CCCC1 ZINC000192735245 134587981 /nfs/dbraw/zinc/58/79/81/134587981.db2.gz JGYKUSYPZUUZPX-YPMHNXCESA-N 0 3 239.359 2.593 20 0 BFADHN COc1ncccc1CN(CC(C)C)C1CC1 ZINC000193306513 134593819 /nfs/dbraw/zinc/59/38/19/134593819.db2.gz IIKWJJIOIVXDLB-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1cc(F)ccc1CNC[C@@H]1CCCCO1 ZINC000050577610 134663842 /nfs/dbraw/zinc/66/38/42/134663842.db2.gz ZFRABILQGFEGCG-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1nc(CN[C@H](C)CC2CC2)cs1 ZINC000069663757 134901880 /nfs/dbraw/zinc/90/18/80/134901880.db2.gz PFAKSWDNCFZNJL-MRVPVSSYSA-N 0 3 210.346 2.730 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](C)C[C@H](C)O)o1 ZINC000247953344 134990465 /nfs/dbraw/zinc/99/04/65/134990465.db2.gz FGZLQJZYCIPEIH-SRVKXCTJSA-N 0 3 239.359 2.900 20 0 BFADHN CC[C@@H]1CC[C@H](NCc2cc(C)no2)C1 ZINC000070643785 135002205 /nfs/dbraw/zinc/00/22/05/135002205.db2.gz DGKXNBVBAPATKT-MNOVXSKESA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@@H]([C@H](C)O)C1 ZINC000248550255 135003738 /nfs/dbraw/zinc/00/37/38/135003738.db2.gz KHVLBVFBPBDHCB-GRYCIOLGSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@H](C)C[C@H]2C)o1 ZINC000248558936 135004071 /nfs/dbraw/zinc/00/40/71/135004071.db2.gz MACDAXIOKIQIRA-HOSYDEDBSA-N 0 3 222.332 2.897 20 0 BFADHN CO[C@@H](C)CN1CCC[C@@H]1c1cccc(C)n1 ZINC000248409245 135005879 /nfs/dbraw/zinc/00/58/79/135005879.db2.gz CNSLLYNOGXTJOL-GXTWGEPZSA-N 0 3 234.343 2.562 20 0 BFADHN CO[C@H](C)CN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000248409406 135007092 /nfs/dbraw/zinc/00/70/92/135007092.db2.gz COWCRTXTTYMAMW-NXEZZACHSA-N 0 3 243.297 2.993 20 0 BFADHN CCn1ccnc1CN1C[C@H](C)[C@@H]2CCCC[C@@H]21 ZINC000248424261 135007794 /nfs/dbraw/zinc/00/77/94/135007794.db2.gz NLLNTQJHTDDNFZ-IHRRRGAJSA-N 0 3 247.386 2.914 20 0 BFADHN CCn1ccnc1CN1C[C@H](C)[C@H]2CCCC[C@H]21 ZINC000248424259 135008464 /nfs/dbraw/zinc/00/84/64/135008464.db2.gz NLLNTQJHTDDNFZ-BFHYXJOUSA-N 0 3 247.386 2.914 20 0 BFADHN COc1ccccc1OCCN1CCC[C@H]1C ZINC000076116077 135011230 /nfs/dbraw/zinc/01/12/30/135011230.db2.gz WUOBMUJSSKVPCE-GFCCVEGCSA-N 0 3 235.327 2.558 20 0 BFADHN C[C@H](Cc1ccccc1)CN1CCO[C@H](C)C1 ZINC000248594841 135015480 /nfs/dbraw/zinc/01/54/80/135015480.db2.gz SKDHPUZZRCFEJR-ZIAGYGMSSA-N 0 3 233.355 2.586 20 0 BFADHN C[C@H](O)CN(Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000248658998 135018470 /nfs/dbraw/zinc/01/84/70/135018470.db2.gz URIWUPUVFIBYQX-COPLHBTASA-N 0 3 249.354 2.748 20 0 BFADHN C[C@H](CC(F)(F)F)NCc1cncc(F)c1 ZINC000230942450 135022111 /nfs/dbraw/zinc/02/21/11/135022111.db2.gz BDNYBFIOZHERDL-SSDOTTSWSA-N 0 3 236.212 2.651 20 0 BFADHN Cc1cccc(C)c1CNCCOCC(F)F ZINC000231677686 135024960 /nfs/dbraw/zinc/02/49/60/135024960.db2.gz VULOVMIAJVBHKE-UHFFFAOYSA-N 0 3 243.297 2.675 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1C[C@@H](O)CC(F)(F)F ZINC000248943682 135027026 /nfs/dbraw/zinc/02/70/26/135027026.db2.gz DCPVZGKWECGIIK-AEJSXWLSSA-N 0 3 239.281 2.563 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC[C@H]2c2cccn2C)O1 ZINC000249138956 135034710 /nfs/dbraw/zinc/03/47/10/135034710.db2.gz VWKYQIJZIANIAF-NFAWXSAZSA-N 0 3 248.370 2.730 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCOC[C@H]2C)o1 ZINC000249389281 135038033 /nfs/dbraw/zinc/03/80/33/135038033.db2.gz XQHKFQJUOXSFHZ-USWWRNFRSA-N 0 3 223.316 2.664 20 0 BFADHN Cc1cc(CN[C@H]2[C@H](C)CCC[C@@H]2C)on1 ZINC000249810619 135046311 /nfs/dbraw/zinc/04/63/11/135046311.db2.gz IBBKWCMFDXAKOQ-IWIIMEHWSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CN[C@H]2[C@H](C)CCC[C@@H]2C)no1 ZINC000250149160 135050833 /nfs/dbraw/zinc/05/08/33/135050833.db2.gz VKACIMSBOGRAGD-IWIIMEHWSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1nccc(CN[C@@H]2CC[C@H](C)C[C@H]2C)n1 ZINC000250833755 135059559 /nfs/dbraw/zinc/05/95/59/135059559.db2.gz GNXOSIMELFAZIQ-MISXGVKJSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@H]1CCCCCN1Cc1ccon1 ZINC000077318675 135063703 /nfs/dbraw/zinc/06/37/03/135063703.db2.gz UBTTZBSHDYNHGK-LBPRGKRZSA-N 0 3 208.305 2.829 20 0 BFADHN CC[C@@H]1CC[C@@H](NCc2cccnc2OC)C1 ZINC000078005463 135089609 /nfs/dbraw/zinc/08/96/09/135089609.db2.gz QDSMFKPXAMUNFS-DGCLKSJQSA-N 0 3 234.343 2.759 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1cncs1 ZINC000252711678 135099857 /nfs/dbraw/zinc/09/98/57/135099857.db2.gz KGKJDJORZANRGY-OUAUKWLOSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H](C)[C@@](C)(O)CN[C@H](C)c1ccco1 ZINC000252712627 135100674 /nfs/dbraw/zinc/10/06/74/135100674.db2.gz MXKUAABNLZXITA-LOWVWBTDSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc(F)ccc2F)CS1 ZINC000086936008 135102372 /nfs/dbraw/zinc/10/23/72/135102372.db2.gz UCOYCNSGWSTZBH-KCJUWKMLSA-N 0 3 243.322 2.948 20 0 BFADHN C[C@H]1C[C@H](NCc2cc(F)ccc2F)CS1 ZINC000086936009 135103180 /nfs/dbraw/zinc/10/31/80/135103180.db2.gz UCOYCNSGWSTZBH-KWQFWETISA-N 0 3 243.322 2.948 20 0 BFADHN CC[C@H](NC[C@H]1C[C@H]1C)c1ccc(F)cn1 ZINC000252787037 135109418 /nfs/dbraw/zinc/10/94/18/135109418.db2.gz WATMDSORRLOWAH-FOGDFJRCSA-N 0 3 222.307 2.917 20 0 BFADHN C[C@H](N[C@H]1C[C@H](C)C[C@H](C)C1)c1ncc[nH]1 ZINC000252979078 135118390 /nfs/dbraw/zinc/11/83/90/135118390.db2.gz GCRYHAJQKYHQDB-WHOHXGKFSA-N 0 3 221.348 2.885 20 0 BFADHN C[C@@H](N[C@@H](C)CCCO)c1ccccc1F ZINC000087358240 135124014 /nfs/dbraw/zinc/12/40/14/135124014.db2.gz COOXSFPSFYVFGY-WDEREUQCSA-N 0 3 225.307 2.637 20 0 BFADHN C(=C\c1ccccc1)\CNCC1=CCCOC1 ZINC000254925596 135127473 /nfs/dbraw/zinc/12/74/73/135127473.db2.gz VFWQYJNAEMAVPF-YWEYNIOJSA-N 0 3 229.323 2.636 20 0 BFADHN CC(C)n1cncc1CN1CCCCCC1 ZINC000258060433 135132171 /nfs/dbraw/zinc/13/21/71/135132171.db2.gz GEOCOPLPSHQAIL-UHFFFAOYSA-N 0 3 221.348 2.840 20 0 BFADHN c1cc2c(s1)CCN(CC1CCOCC1)C2 ZINC000264899410 135141073 /nfs/dbraw/zinc/14/10/73/135141073.db2.gz JJDMNFYFCORZOG-UHFFFAOYSA-N 0 3 237.368 2.533 20 0 BFADHN CNCc1nc(CCC2CCCC2)cs1 ZINC000261559424 135200691 /nfs/dbraw/zinc/20/06/91/135200691.db2.gz ZCGOXTOVXVFWSS-UHFFFAOYSA-N 0 3 224.373 2.985 20 0 BFADHN CCc1cc(NC(=O)[C@H]2CCCCN2)ccc1C ZINC000261561038 135202199 /nfs/dbraw/zinc/20/21/99/135202199.db2.gz FRUCCJBNEMZHNV-CQSZACIVSA-N 0 3 246.354 2.638 20 0 BFADHN CC[C@@H](C)[C@@](C)(O)CNCc1ccsc1 ZINC000163997046 135210549 /nfs/dbraw/zinc/21/05/49/135210549.db2.gz VXDRQXHMOBQATK-PWSUYJOCSA-N 0 3 227.373 2.635 20 0 BFADHN CCC[C@](C)(O)CNCc1ccc(Cl)o1 ZINC000163993559 135210833 /nfs/dbraw/zinc/21/08/33/135210833.db2.gz KRCFBARWTDNGNE-NSHDSACASA-N 0 3 231.723 2.574 20 0 BFADHN COCCNCc1ccc(C2CCC2)cc1 ZINC000164251513 135217032 /nfs/dbraw/zinc/21/70/32/135217032.db2.gz ISKNLFNSDKLRCG-UHFFFAOYSA-N 0 3 219.328 2.690 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CCCCS1 ZINC000164246303 135217291 /nfs/dbraw/zinc/21/72/91/135217291.db2.gz IBKUZHLMXBNDOX-NSHDSACASA-N 0 3 225.357 2.963 20 0 BFADHN CCOc1cccc(CN[C@H]2C[C@@H]2C(F)F)c1 ZINC000342227775 135254109 /nfs/dbraw/zinc/25/41/09/135254109.db2.gz LBISPRMAWIMZEU-RYUDHWBXSA-N 0 3 241.281 2.829 20 0 BFADHN FC(F)[C@@H]1C[C@H]1NCc1cccc2[nH]ccc21 ZINC000342234371 135254950 /nfs/dbraw/zinc/25/49/50/135254950.db2.gz UPIQTQIJDNZQBX-ZYHUDNBSSA-N 0 3 236.265 2.911 20 0 BFADHN COc1ccc(C)cc1CN[C@@H](C)[C@H](C)OC ZINC000342285865 135255482 /nfs/dbraw/zinc/25/54/82/135255482.db2.gz VBBPZMFKMPPNNY-RYUDHWBXSA-N 0 3 237.343 2.517 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN[C@@H]1CC[C@@H](F)C1 ZINC000342284808 135255730 /nfs/dbraw/zinc/25/57/30/135255730.db2.gz ROGIJWNFUXBDDS-GHMZBOCLSA-N 0 3 239.338 2.687 20 0 BFADHN Cn1nccc1CN1CC(C)(C)CC(C)(C)C1 ZINC000342360738 135262802 /nfs/dbraw/zinc/26/28/02/135262802.db2.gz YDBXBQUOOPGUBV-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN CC(C)Cn1cc(CN[C@H]2CC[C@@H](F)C2)cn1 ZINC000342322962 135264308 /nfs/dbraw/zinc/26/43/08/135264308.db2.gz UGZPOKQUMJKPSX-OLZOCXBDSA-N 0 3 239.338 2.519 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1NC[C@@H]1CCCOC1 ZINC000342410669 135266065 /nfs/dbraw/zinc/26/60/65/135266065.db2.gz BHRBABPRMDJIFN-HUBLWGQQSA-N 0 3 247.338 2.525 20 0 BFADHN CCC[C@H]1CCCN(C(=O)[C@](C)(N)CCC)C1 ZINC000262119789 135279586 /nfs/dbraw/zinc/27/95/86/135279586.db2.gz JNEARJGQFVYMEA-GXTWGEPZSA-N 0 3 240.391 2.543 20 0 BFADHN CCC[C@](C)(N)C(=O)NCC(C)(C)CC(C)C ZINC000262154946 135282027 /nfs/dbraw/zinc/28/20/27/135282027.db2.gz SONVCWHQLDNADP-AWEZNQCLSA-N 0 3 242.407 2.692 20 0 BFADHN Fc1cccc([C@@H]2CNCCN2CC2CCC2)c1 ZINC000262166016 135282939 /nfs/dbraw/zinc/28/29/39/135282939.db2.gz HJGRJENKTXJQSB-HNNXBMFYSA-N 0 3 248.345 2.572 20 0 BFADHN CCCN1CCC[C@@H]1C(=O)Nc1ccc(C)cc1 ZINC000342552205 135287769 /nfs/dbraw/zinc/28/77/69/135287769.db2.gz RMUZCDRLBFHKPD-CQSZACIVSA-N 0 3 246.354 2.808 20 0 BFADHN COc1ccc([C@@H]2C[C@H]2[C@H](C)NCCF)cc1 ZINC000342574680 135291072 /nfs/dbraw/zinc/29/10/72/135291072.db2.gz JLJUBUSBSRTHHV-BPNCWPANSA-N 0 3 237.318 2.746 20 0 BFADHN Cc1ccccc1[C@H](C)NCc1cnc(N)s1 ZINC000262306032 135292428 /nfs/dbraw/zinc/29/24/28/135292428.db2.gz YEKUCTNQSOSHDQ-JTQLQIEISA-N 0 3 247.367 2.885 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1cnc(N)s1 ZINC000262306034 135292542 /nfs/dbraw/zinc/29/25/42/135292542.db2.gz YEKUCTNQSOSHDQ-SNVBAGLBSA-N 0 3 247.367 2.885 20 0 BFADHN C[C@@H](c1cnccn1)N(C)C[C@H]1CC=CCC1 ZINC000342634093 135298384 /nfs/dbraw/zinc/29/83/84/135298384.db2.gz OEUIZLQKIZJWPR-STQMWFEESA-N 0 3 231.343 2.826 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1cccc(C)n1 ZINC000342606922 135302693 /nfs/dbraw/zinc/30/26/93/135302693.db2.gz QPIYGYVRLODKLL-GFCCVEGCSA-N 0 3 238.400 2.963 20 0 BFADHN CCOc1ncccc1CN[C@@H]1CCC1(C)C ZINC000342610295 135303148 /nfs/dbraw/zinc/30/31/48/135303148.db2.gz ATMDDGZQWPXRGZ-GFCCVEGCSA-N 0 3 234.343 2.759 20 0 BFADHN CCC(C)(C)CCN(C)[C@H](C)C[S@](C)=O ZINC000342663647 135308740 /nfs/dbraw/zinc/30/87/40/135308740.db2.gz QYBZTDJSEODBHH-ABAIWWIYSA-N 0 3 233.421 2.512 20 0 BFADHN CCC1CC(N[C@@H](C)C(=O)Nc2ccccc2)C1 ZINC000342703645 135312288 /nfs/dbraw/zinc/31/22/88/135312288.db2.gz WTJMRQYSIHFODZ-DCBWTQNWSA-N 0 3 246.354 2.792 20 0 BFADHN CC1(C)CC[C@@H]1N[C@H](CO)c1cccc(F)c1 ZINC000342735676 135316643 /nfs/dbraw/zinc/31/66/43/135316643.db2.gz PCDBZLVCYCBWHA-OLZOCXBDSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]2C(C)(C)C)n1C ZINC000342785741 135318631 /nfs/dbraw/zinc/31/86/31/135318631.db2.gz SGLCXZNCXRRFAA-GFCCVEGCSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@H]3CCCC[C@H]32)n1C ZINC000342797197 135320328 /nfs/dbraw/zinc/32/03/28/135320328.db2.gz NGYAHHIKUWRZMP-IACUBPJLSA-N 0 3 247.386 2.739 20 0 BFADHN Cc1cnc(CN2CCCC(C)(C)CC2)n1C ZINC000342797396 135320423 /nfs/dbraw/zinc/32/04/23/135320423.db2.gz FGTFFTNNPMMRRW-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN C[C@H](O)CN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000334168091 135324319 /nfs/dbraw/zinc/32/43/19/135324319.db2.gz AYKZGZTYNYYDSS-CMPLNLGQSA-N 0 3 239.746 2.510 20 0 BFADHN Cn1c2ccccc2nc1CN[C@@H]1CC=CCC1 ZINC000080123222 135367419 /nfs/dbraw/zinc/36/74/19/135367419.db2.gz GYERDBKWKSRFFV-GFCCVEGCSA-N 0 3 241.338 2.772 20 0 BFADHN Cc1csc([C@@H](C)NCCc2cccnc2)n1 ZINC000080312084 135368731 /nfs/dbraw/zinc/36/87/31/135368731.db2.gz KZMXKHDJMPDPHB-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cscn1 ZINC000334351300 135368854 /nfs/dbraw/zinc/36/88/54/135368854.db2.gz GJCMELJXTFDYHF-KOLCDFICSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@H](F)CCN1CC[C@]2(C1)OCc1ccccc12 ZINC000334358461 135370634 /nfs/dbraw/zinc/37/06/34/135370634.db2.gz SRFYYCUKCNXUJT-SWLSCSKDSA-N 0 3 249.329 2.866 20 0 BFADHN c1c[nH]c([C@H]2CCCN2C[C@H]2CCCCO2)c1 ZINC000298738352 135380238 /nfs/dbraw/zinc/38/02/38/135380238.db2.gz ADRDUFVHMANQGA-TZMCWYRMSA-N 0 3 234.343 2.721 20 0 BFADHN Cc1nc(C(C)(C)NCC2CC2)sc1C ZINC000076904265 135391031 /nfs/dbraw/zinc/39/10/31/135391031.db2.gz VWVKTMMBGODGAZ-UHFFFAOYSA-N 0 3 224.373 2.995 20 0 BFADHN F[C@@H]1CCC[C@@H]1NCc1cccc2c[nH]nc21 ZINC000334404914 135393122 /nfs/dbraw/zinc/39/31/22/135393122.db2.gz FUTVNURIWMNQGM-NEPJUHHUSA-N 0 3 233.290 2.543 20 0 BFADHN Cc1nccc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)n1 ZINC000078464636 135393576 /nfs/dbraw/zinc/39/35/76/135393576.db2.gz GNXOSIMELFAZIQ-UHIISALHSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1ncc(CN2CC[C@@]3(CC[C@@H](C)C3)C2)cn1 ZINC000334415533 135394467 /nfs/dbraw/zinc/39/44/67/135394467.db2.gz PULOAHSVZCUMDZ-IUODEOHRSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1nc(CN2CC[C@@H](C3CC3)C2)sc1C ZINC000334427161 135397973 /nfs/dbraw/zinc/39/79/73/135397973.db2.gz ZTKHCOYZNARGJN-GFCCVEGCSA-N 0 3 236.384 2.992 20 0 BFADHN C[C@@H](O)C[C@H](C)NCc1ccccc1Cl ZINC000164561861 135398146 /nfs/dbraw/zinc/39/81/46/135398146.db2.gz CYKZZVRYYPHHKV-VHSXEESVSA-N 0 3 227.735 2.589 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cnc(C2CC2)nc1 ZINC000334563238 135723478 /nfs/dbraw/zinc/72/34/78/135723478.db2.gz JJEZMOFYJNCUEB-GHMZBOCLSA-N 0 3 231.343 2.727 20 0 BFADHN c1ccc2c(c1)CN(CC[C@@H]1CCCCO1)C2 ZINC000334585537 135758443 /nfs/dbraw/zinc/75/84/43/135758443.db2.gz JZVMQFIGJXGQML-HNNXBMFYSA-N 0 3 231.339 2.961 20 0 BFADHN CC[C@@H](C(=O)N(C)[C@@H](C)C(C)C)N(CC)CC ZINC000345991321 135908151 /nfs/dbraw/zinc/90/81/51/135908151.db2.gz MCWGRBZIJQKWMU-STQMWFEESA-N 0 3 242.407 2.610 20 0 BFADHN CC[C@H]1CCCC[C@@H]1[NH2+]Cc1cnc(C)[n-]c1=O ZINC000346803241 135972165 /nfs/dbraw/zinc/97/21/65/135972165.db2.gz FLTLHYJZNRZRDE-AAEUAGOBSA-N 0 3 249.358 2.549 20 0 BFADHN c1cncc([C@H](NCCOC2CCC2)C2CC2)c1 ZINC000346958609 135983292 /nfs/dbraw/zinc/98/32/92/135983292.db2.gz IYGACVRSNAKRBS-OAHLLOKOSA-N 0 3 246.354 2.691 20 0 BFADHN CC(C)CN(Cc1cnns1)CC(C)C ZINC000347711001 136002647 /nfs/dbraw/zinc/00/26/47/136002647.db2.gz VFPBUPYWDLXTOI-UHFFFAOYSA-N 0 3 227.377 2.652 20 0 BFADHN CCOC[C@H]1CCN(Cc2ccc(CC)nc2)C1 ZINC000347875604 136017116 /nfs/dbraw/zinc/01/71/16/136017116.db2.gz WKNNWKDMNYOTSF-AWEZNQCLSA-N 0 3 248.370 2.502 20 0 BFADHN Cc1ccc(C[NH2+]Cc2ncccc2[O-])c(C)c1 ZINC000347875373 136017130 /nfs/dbraw/zinc/01/71/30/136017130.db2.gz IDWVYVBBKRNJBD-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN Fc1cccc2c1CN(CCC1CC1)CC2 ZINC000347957885 136017453 /nfs/dbraw/zinc/01/74/53/136017453.db2.gz MSTSVAKVFBZKSW-UHFFFAOYSA-N 0 3 219.303 2.984 20 0 BFADHN CCN(C)CCNC(=O)[C@H](C)CCC(C)(C)C ZINC000348023569 136022326 /nfs/dbraw/zinc/02/23/26/136022326.db2.gz QYTYNFYXUZSYOF-GFCCVEGCSA-N 0 3 242.407 2.517 20 0 BFADHN CC(C)n1nccc1CN1CCC(C)(C)C1 ZINC000335315015 136023965 /nfs/dbraw/zinc/02/39/65/136023965.db2.gz KAEAPHOHQRCPAW-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN FC(F)n1ccnc1CN[C@H]1CC[C@@H]1C1CC1 ZINC000348058261 136024053 /nfs/dbraw/zinc/02/40/53/136024053.db2.gz DNKOZQZDPJIQGS-ZJUUUORDSA-N 0 3 241.285 2.556 20 0 BFADHN CC1(C)CN(Cc2ccno2)[C@@H]2CCC[C@@H]21 ZINC000335309847 136028636 /nfs/dbraw/zinc/02/86/36/136028636.db2.gz UWVJBUZNCFOJBB-NWDGAFQWSA-N 0 3 220.316 2.685 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1cccc2c1OCO2 ZINC000348101065 136036023 /nfs/dbraw/zinc/03/60/23/136036023.db2.gz HMTVVGHNFRPIFV-ZYHUDNBSSA-N 0 3 233.311 2.694 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]1C(F)F)c1cccc(O)c1 ZINC000348146790 136039494 /nfs/dbraw/zinc/03/94/94/136039494.db2.gz FSHWRHBSJLZWDU-BKDNQFJXSA-N 0 3 227.254 2.696 20 0 BFADHN CC(C)CCCN1CCC[C@@H]1c1cc[nH]n1 ZINC000348152084 136039943 /nfs/dbraw/zinc/03/99/43/136039943.db2.gz OQROEJCPEADCKS-CYBMUJFWSA-N 0 3 221.348 2.983 20 0 BFADHN Cc1ccccc1CN[C@H]1C[C@H]1C(F)F ZINC000348187259 136040670 /nfs/dbraw/zinc/04/06/70/136040670.db2.gz PPWXTRNDURPPTD-MNOVXSKESA-N 0 3 211.255 2.738 20 0 BFADHN c1cc([C@@H]2CCCN2CC[C@H]2CCCCO2)n[nH]1 ZINC000348160402 136041289 /nfs/dbraw/zinc/04/12/89/136041289.db2.gz PBHPDGUCAJNJNW-OCCSQVGLSA-N 0 3 249.358 2.506 20 0 BFADHN COc1ccnc(CN2CCCC3(CCC3)C2)c1 ZINC000348171519 136043012 /nfs/dbraw/zinc/04/30/12/136043012.db2.gz UZBNYUPOLVMDQN-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CCc1ccc(CN2CC[C@H](C)[C@@H](OC)C2)cn1 ZINC000348211587 136045048 /nfs/dbraw/zinc/04/50/48/136045048.db2.gz FPXJIMIOIGGGFW-WFASDCNBSA-N 0 3 248.370 2.501 20 0 BFADHN Fc1cc(F)cc(CN[C@@H]2C[C@H]2C(F)F)c1 ZINC000348214685 136046247 /nfs/dbraw/zinc/04/62/47/136046247.db2.gz AEUQQHFTOXKIHZ-NXEZZACHSA-N 0 3 233.208 2.708 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H]2C(F)F)cc1F ZINC000348192146 136049068 /nfs/dbraw/zinc/04/90/68/136049068.db2.gz XFELYQQQABYSAH-GXSJLCMTSA-N 0 3 229.245 2.877 20 0 BFADHN Cc1cnn(C)c1CN1CCC(C)(C(C)C)CC1 ZINC000348190323 136049070 /nfs/dbraw/zinc/04/90/70/136049070.db2.gz AJZZBJCNRUOCBA-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1ccccc1CN1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000348207365 136054829 /nfs/dbraw/zinc/05/48/29/136054829.db2.gz VNAVAMWBVSHYFY-CJNGLKHVSA-N 0 3 245.366 2.994 20 0 BFADHN Cc1ccccc1CN1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000348207364 136055097 /nfs/dbraw/zinc/05/50/97/136055097.db2.gz VNAVAMWBVSHYFY-BBRMVZONSA-N 0 3 245.366 2.994 20 0 BFADHN CC(C)OCCN1CC[C@H](C(F)(F)F)[C@H]1C ZINC000348248431 136056981 /nfs/dbraw/zinc/05/69/81/136056981.db2.gz VKEYSRAMSCBQHQ-ZJUUUORDSA-N 0 3 239.281 2.684 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@@H](C)C(C)(C)C1 ZINC000348263754 136058434 /nfs/dbraw/zinc/05/84/34/136058434.db2.gz VBHVMXKYKMJDOA-GFCCVEGCSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@H]1CN(CCc2ccccc2)C[C@H](C2CC2)O1 ZINC000348234125 136060606 /nfs/dbraw/zinc/06/06/06/136060606.db2.gz SICYJIWARRGTNJ-XJKSGUPXSA-N 0 3 245.366 2.728 20 0 BFADHN CC(C)CCCN1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000348234429 136061070 /nfs/dbraw/zinc/06/10/70/136061070.db2.gz KRLYXQOFSIOGIX-TZMCWYRMSA-N 0 3 225.376 2.922 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1C[C@H]1C(F)F ZINC000348300876 136063276 /nfs/dbraw/zinc/06/32/76/136063276.db2.gz IBKZUYMFFZVWPK-JMJZKYOTSA-N 0 3 241.281 2.999 20 0 BFADHN CSC1(CN(C)Cc2cnccc2C)CC1 ZINC000348360393 136072899 /nfs/dbraw/zinc/07/28/99/136072899.db2.gz PZKLCIFIKAJEQH-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN C[C@]1(F)CCCN(C[C@@H]2CCSC2)C1 ZINC000335357600 136074495 /nfs/dbraw/zinc/07/44/95/136074495.db2.gz KPNXTADLHLQSRU-QWRGUYRKSA-N 0 3 217.353 2.564 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1cn2cccc(C)c2n1 ZINC000348386119 136079141 /nfs/dbraw/zinc/07/91/41/136079141.db2.gz JKYZZPZFXFNQBN-TZMCWYRMSA-N 0 3 243.354 2.921 20 0 BFADHN F[C@H]1CCC[C@@H](NCc2cn3ccccc3n2)C1 ZINC000335371831 136083540 /nfs/dbraw/zinc/08/35/40/136083540.db2.gz IVWBCCGADVTCBK-NWDGAFQWSA-N 0 3 247.317 2.705 20 0 BFADHN F[C@H]1CCC[C@H](NCc2cncs2)C1 ZINC000335372749 136083827 /nfs/dbraw/zinc/08/38/27/136083827.db2.gz OYYJWAROLKALAD-IUCAKERBSA-N 0 3 214.309 2.513 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@@H](F)C2)cs1 ZINC000335372424 136083936 /nfs/dbraw/zinc/08/39/36/136083936.db2.gz KWPBZCODSBFPJU-NXEZZACHSA-N 0 3 228.336 2.822 20 0 BFADHN F[C@H]1CCC[C@@H](NCc2cncs2)C1 ZINC000335372748 136084129 /nfs/dbraw/zinc/08/41/29/136084129.db2.gz OYYJWAROLKALAD-DTWKUNHWSA-N 0 3 214.309 2.513 20 0 BFADHN CC1(F)CC(NCc2cc(F)cc(F)c2)C1 ZINC000335374865 136085260 /nfs/dbraw/zinc/08/52/60/136085260.db2.gz WSLXDOQFFVHHBR-UHFFFAOYSA-N 0 3 229.245 2.945 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@H](F)C2)s1 ZINC000335384191 136088195 /nfs/dbraw/zinc/08/81/95/136088195.db2.gz DRWSKVRAZZMZLF-VHSXEESVSA-N 0 3 228.336 2.822 20 0 BFADHN CCN1CCN(Cc2ccc(C)cc2C)[C@@H](C)C1 ZINC000348542105 136095607 /nfs/dbraw/zinc/09/56/07/136095607.db2.gz ARXJMELMGMRDCP-HNNXBMFYSA-N 0 3 246.398 2.829 20 0 BFADHN CCC(CC)CN1C[C@@H](CC)[S@@](=O)[C@@H](C)C1 ZINC000348547630 136095825 /nfs/dbraw/zinc/09/58/25/136095825.db2.gz PGNHXSWXSLYQNM-GHJWDPDVSA-N 0 3 245.432 2.654 20 0 BFADHN CCc1cc(N2CC[C@H](OC)[C@@H](C)C2)ccn1 ZINC000335588198 136102660 /nfs/dbraw/zinc/10/26/60/136102660.db2.gz IJKDWRUHXOQVLT-FZMZJTMJSA-N 0 3 234.343 2.505 20 0 BFADHN CCc1cc(N2CC[C@@H](OC)[C@@H](C)C2)ccn1 ZINC000335588200 136102832 /nfs/dbraw/zinc/10/28/32/136102832.db2.gz IJKDWRUHXOQVLT-SMDDNHRTSA-N 0 3 234.343 2.505 20 0 BFADHN c1cc(C2CCN([C@H]3CCOC3)CC2)cs1 ZINC000335568366 136108382 /nfs/dbraw/zinc/10/83/82/136108382.db2.gz FTGQTFFTNHCBIA-ZDUSSCGKSA-N 0 3 237.368 2.716 20 0 BFADHN Cc1ccc(CNCCOC(C)(C)C)s1 ZINC000050266777 322850242 /nfs/dbraw/zinc/85/02/42/322850242.db2.gz HUZCFDIFMNWHQI-UHFFFAOYSA-N 0 3 227.373 2.961 20 0 BFADHN CC(C)CC[C@@H](NC[C@H](C)CO)c1ccoc1 ZINC000285506078 166896821 /nfs/dbraw/zinc/89/68/21/166896821.db2.gz AJPIWQWTBPQTFE-GXTWGEPZSA-N 0 3 239.359 2.975 20 0 BFADHN CSCC1(CCNCc2cc(C)no2)CC1 ZINC000601131446 397731835 /nfs/dbraw/zinc/73/18/35/397731835.db2.gz LAIWEIASQZWNFA-UHFFFAOYSA-N 0 3 240.372 2.606 20 0 BFADHN CCc1ccc(CN[C@H]2[C@@H]3CCO[C@@H]3C2(C)C)o1 ZINC000150825414 397732547 /nfs/dbraw/zinc/73/25/47/397732547.db2.gz VATFYVOPTBSIFW-IHRRRGAJSA-N 0 3 249.354 2.745 20 0 BFADHN Cc1ccoc1CN[C@H]1CCO[C@H](C2CC2)C1 ZINC000156907676 397760099 /nfs/dbraw/zinc/76/00/99/397760099.db2.gz MWICRJDNYOSIRH-STQMWFEESA-N 0 3 235.327 2.635 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1ccncc1 ZINC000160970059 397772929 /nfs/dbraw/zinc/77/29/29/397772929.db2.gz BHIREQXKYNJDLL-MRVWCRGKSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@H](NCc1ncccc1[O-])c1ccccc1 ZINC000574961992 397773979 /nfs/dbraw/zinc/77/39/79/397773979.db2.gz OHUSUUSRXJQKFP-NSHDSACASA-N 0 3 228.295 2.638 20 0 BFADHN C[C@H]([NH2+]Cc1ncccc1[O-])c1ccccc1 ZINC000574961992 397773984 /nfs/dbraw/zinc/77/39/84/397773984.db2.gz OHUSUUSRXJQKFP-NSHDSACASA-N 0 3 228.295 2.638 20 0 BFADHN CC[C@H](CCO)CNCc1ccsc1Cl ZINC000308913905 397842775 /nfs/dbraw/zinc/84/27/75/397842775.db2.gz FJTKYBOHWLMHTP-SECBINFHSA-N 0 3 247.791 2.900 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@H](C)O2)cs1 ZINC000394578337 397847669 /nfs/dbraw/zinc/84/76/69/397847669.db2.gz XNLWNFOHOMHOFP-CABZTGNLSA-N 0 3 225.357 2.714 20 0 BFADHN Cc1cnc(C(C)(C)NC[C@H]2C[C@H]2C)s1 ZINC000309101812 397851166 /nfs/dbraw/zinc/85/11/66/397851166.db2.gz GEPJGYHRBQYRRE-PSASIEDQSA-N 0 3 224.373 2.932 20 0 BFADHN COC[C@@H](C)N(C)Cc1cc(F)ccc1C ZINC000179946103 397855251 /nfs/dbraw/zinc/85/52/51/397855251.db2.gz DDKANTPUEMYQQS-LLVKDONJSA-N 0 3 225.307 2.601 20 0 BFADHN COc1cccc(CNCC[C@@H](C)F)c1F ZINC000382003064 397859203 /nfs/dbraw/zinc/85/92/03/397859203.db2.gz KFTSUAOBLMKMEP-SECBINFHSA-N 0 3 229.270 2.672 20 0 BFADHN COc1ncc(CNC[C@@H]2CC=CCC2)s1 ZINC000380757675 397884002 /nfs/dbraw/zinc/88/40/02/397884002.db2.gz VJGXNVZNNVJQHC-SNVBAGLBSA-N 0 3 238.356 2.598 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@@H](C)C[C@@H](C)C1 ZINC000356106599 397927208 /nfs/dbraw/zinc/92/72/08/397927208.db2.gz DZSBAFSLZOKVTR-GHMZBOCLSA-N 0 3 221.348 2.586 20 0 BFADHN CC[C@@H]1CCC[C@H](CNCc2ncc[nH]2)C1 ZINC000394652877 397946758 /nfs/dbraw/zinc/94/67/58/397946758.db2.gz YEJYBWXAJNVISS-NEPJUHHUSA-N 0 3 221.348 2.716 20 0 BFADHN CN(C)Cc1ccc(NCC2CC2)c(F)c1 ZINC000414482525 397954057 /nfs/dbraw/zinc/95/40/57/397954057.db2.gz DDPXNHQAQYCVQA-UHFFFAOYSA-N 0 3 222.307 2.709 20 0 BFADHN CC(C)[C@@H]1CN(Cc2ccnn2C)[C@H]1C(C)C ZINC000449554658 397955219 /nfs/dbraw/zinc/95/52/19/397955219.db2.gz OUKBDZRVOJNBAR-KBPBESRZSA-N 0 3 235.375 2.533 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1nn(C)c2ccccc12 ZINC000388274193 397955784 /nfs/dbraw/zinc/95/57/84/397955784.db2.gz WJXDUYWSMNQPQN-NEPJUHHUSA-N 0 3 243.354 2.709 20 0 BFADHN CC[C@@H](C)N1CCN([C@H](C)c2ccncc2)CC1 ZINC000650178074 397956887 /nfs/dbraw/zinc/95/68/87/397956887.db2.gz VNAYCZAPSLRCQP-ZIAGYGMSSA-N 0 3 247.386 2.559 20 0 BFADHN C[C@H](N[C@H]1CCCc2cn[nH]c21)c1ccncc1 ZINC000271432625 397967929 /nfs/dbraw/zinc/96/79/29/397967929.db2.gz BFEVTORGRNJBJY-GWCFXTLKSA-N 0 3 242.326 2.533 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1occc1C)C1CC1 ZINC000414499999 397957367 /nfs/dbraw/zinc/95/73/67/397957367.db2.gz KWOZJSLHUCFYKZ-BXUZGUMPSA-N 0 3 237.343 2.881 20 0 BFADHN c1c(CN2CCC3(CC3)CC2)nn2c1CCCC2 ZINC000649570754 397960995 /nfs/dbraw/zinc/96/09/95/397960995.db2.gz NASIYZYSJBJANU-UHFFFAOYSA-N 0 3 245.370 2.595 20 0 BFADHN CCN1CC[C@H](NCc2cc3ccccc3o2)C1 ZINC000389523789 397961686 /nfs/dbraw/zinc/96/16/86/397961686.db2.gz HJVQKKXXQYYHEW-ZDUSSCGKSA-N 0 3 244.338 2.617 20 0 BFADHN C[C@H](C(=O)Nc1ccccc1)N(C)CC1CCC1 ZINC000111296809 397907528 /nfs/dbraw/zinc/90/75/28/397907528.db2.gz RTRVURVIMUNNGD-GFCCVEGCSA-N 0 3 246.354 2.746 20 0 BFADHN Cc1oncc1CN1C[C@H](C)C[C@H](C)[C@H]1C ZINC000355780760 397921769 /nfs/dbraw/zinc/92/17/69/397921769.db2.gz IIALAORTBFHPQJ-OUAUKWLOSA-N 0 3 222.332 2.849 20 0 BFADHN C[C@@H](NCc1cc2n(n1)CCCC2)C1CCCC1 ZINC000649584393 397969082 /nfs/dbraw/zinc/96/90/82/397969082.db2.gz SDRPDIYGFUWUSZ-GFCCVEGCSA-N 0 3 247.386 2.888 20 0 BFADHN C1CC1[C@@H]1CC[C@H](c2nc(C3CCCC3)no2)N1 ZINC000650225133 397996627 /nfs/dbraw/zinc/99/66/27/397996627.db2.gz FEVLQRJHDMKWJL-NWDGAFQWSA-N 0 3 247.342 2.930 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1cncc(C)c1 ZINC000274665316 398012275 /nfs/dbraw/zinc/01/22/75/398012275.db2.gz XARGTLOXTUEWKA-BPLDGKMQSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@@H](NCc1cc2n(n1)CCC2)[C@@H]1CC1(C)C ZINC000649632134 398014608 /nfs/dbraw/zinc/01/46/08/398014608.db2.gz XXWYFMWONNFKRR-UONOGXRCSA-N 0 3 247.386 2.744 20 0 BFADHN CC[C@H](NCc1cc2n(n1)CCC2)[C@H]1CC1(C)C ZINC000649632133 398014642 /nfs/dbraw/zinc/01/46/42/398014642.db2.gz XXWYFMWONNFKRR-KGLIPLIRSA-N 0 3 247.386 2.744 20 0 BFADHN CN(C[C@@H](O)c1ccc(F)cc1Cl)C1CC1 ZINC000274816975 398015529 /nfs/dbraw/zinc/01/55/29/398015529.db2.gz ZLVGNBVABJKWGU-GFCCVEGCSA-N 0 3 243.709 2.607 20 0 BFADHN Cc1ccc(CNC[C@@]2(C)CCO[C@@H]2C2CC2)o1 ZINC000375121750 398021762 /nfs/dbraw/zinc/02/17/62/398021762.db2.gz VHMCKRUFCGJWCD-HUUCEWRRSA-N 0 3 249.354 2.883 20 0 BFADHN Cc1cccnc1CN1CCC12CCCC2 ZINC000649657552 398024404 /nfs/dbraw/zinc/02/44/04/398024404.db2.gz DNEUUJCWXAMJTK-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN CC(C)O[C@@H]1CCCN(CCOC(C)(C)C)C1 ZINC000649699417 398048109 /nfs/dbraw/zinc/04/81/09/398048109.db2.gz MMRTWTAVMNVZGM-CYBMUJFWSA-N 0 3 243.391 2.691 20 0 BFADHN Cc1cccc(CN2CCC[C@H](OC(C)C)C2)n1 ZINC000649700380 398048135 /nfs/dbraw/zinc/04/81/35/398048135.db2.gz CLKXVLPZBHHMHF-HNNXBMFYSA-N 0 3 248.370 2.779 20 0 BFADHN C[C@@]1(O)CCN(Cc2cccc(C(F)F)c2)C1 ZINC000279582576 398050433 /nfs/dbraw/zinc/05/04/33/398050433.db2.gz CNNIFUIDTSYOKT-CYBMUJFWSA-N 0 3 241.281 2.581 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1cc2ccc(F)cc2[nH]1 ZINC000580398207 398035029 /nfs/dbraw/zinc/03/50/29/398035029.db2.gz LSTBCWLQAKLRML-UONOGXRCSA-N 0 3 248.301 2.574 20 0 BFADHN COC[C@H](N[C@@H](C)c1ccccc1)[C@H]1CCCO1 ZINC000278597293 398040570 /nfs/dbraw/zinc/04/05/70/398040570.db2.gz CTOUMSUAAGTTQQ-AEGPPILISA-N 0 3 249.354 2.531 20 0 BFADHN Cc1ccc([C@@H](NCC[C@H](C)O)C2CCC2)o1 ZINC000582659325 398041636 /nfs/dbraw/zinc/04/16/36/398041636.db2.gz YBSLKKBZMRJXCV-HZMBPMFUSA-N 0 3 237.343 2.790 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cc3n(n2)CCC3)[C@H](C)C1 ZINC000649587583 397970349 /nfs/dbraw/zinc/97/03/49/397970349.db2.gz MPRXBAZTCOZBID-JMSVASOKSA-N 0 3 247.386 2.744 20 0 BFADHN C[C@@H]1[C@H](O)CCN1Cc1cccc(C(F)F)c1 ZINC000650190997 397974271 /nfs/dbraw/zinc/97/42/71/397974271.db2.gz PQVQESDPRYDPHH-BXKDBHETSA-N 0 3 241.281 2.579 20 0 BFADHN CC(C)C[C@H]1COCCN1CC(C)(C)C ZINC000093340354 397974483 /nfs/dbraw/zinc/97/44/83/397974483.db2.gz SQGIGWADISATKL-LBPRGKRZSA-N 0 3 213.365 2.779 20 0 BFADHN COC[C@@H](NC1(c2ccccc2)CC1)C(C)C ZINC000271981489 397976355 /nfs/dbraw/zinc/97/63/55/397976355.db2.gz JXUXMESDDFVDHQ-CQSZACIVSA-N 0 3 233.355 2.936 20 0 BFADHN CCO[C@@H]1CCN([C@H](C)c2ccccn2)C[C@H]1C ZINC000451550006 397976426 /nfs/dbraw/zinc/97/64/26/397976426.db2.gz WIMHGNZFVPKRJG-UMVBOHGHSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@H](CCC1CC1)NCc1nccn1C(F)F ZINC000184567309 398042660 /nfs/dbraw/zinc/04/26/60/398042660.db2.gz UDZVKATZFBUGAU-SECBINFHSA-N 0 3 243.301 2.947 20 0 BFADHN c1c(CN2CC[C@H]3CCC[C@H]32)nn2c1CCCC2 ZINC000649589099 397979671 /nfs/dbraw/zinc/97/96/71/397979671.db2.gz HCOBZNGKILGCND-IUODEOHRSA-N 0 3 245.370 2.594 20 0 BFADHN CC1(C2(NCc3cn4ccccc4n3)CC2)CC1 ZINC000398231523 397985361 /nfs/dbraw/zinc/98/53/61/397985361.db2.gz ZPMTYTDRYTVYEX-UHFFFAOYSA-N 0 3 241.338 2.757 20 0 BFADHN CC[C@H]1COC(C)(C)CN1CC1=CCCC1 ZINC000649718307 398060337 /nfs/dbraw/zinc/06/03/37/398060337.db2.gz XKRWLEDVKOTZML-ZDUSSCGKSA-N 0 3 223.360 2.986 20 0 BFADHN CC[C@@H]1CN(CCCCF)[C@@H](CC)CO1 ZINC000649719882 398062987 /nfs/dbraw/zinc/06/29/87/398062987.db2.gz YYYNEEAJKLKXJP-NWDGAFQWSA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@H]1CN(CCCOC(C)C)[C@@H](CC)CO1 ZINC000649721478 398064512 /nfs/dbraw/zinc/06/45/12/398064512.db2.gz YRYPHBXAPRQPSQ-KBPBESRZSA-N 0 3 243.391 2.691 20 0 BFADHN CC[C@@H]1CN([C@H]2C=CCCC2)[C@H](CC)CO1 ZINC000649721446 398065088 /nfs/dbraw/zinc/06/50/88/398065088.db2.gz YAWXLOUERDUSEK-HZSPNIEDSA-N 0 3 223.360 2.985 20 0 BFADHN CC[C@H]1CN(CCC(C)(C)C)[C@@H](C)CO1 ZINC000649734026 398074713 /nfs/dbraw/zinc/07/47/13/398074713.db2.gz REZKPYXLXYAQRF-RYUDHWBXSA-N 0 3 213.365 2.922 20 0 BFADHN CC[C@H]1CN(CC2=CCCC2)[C@H](C)CO1 ZINC000649737251 398076104 /nfs/dbraw/zinc/07/61/04/398076104.db2.gz UURTYMBQHQETHP-YPMHNXCESA-N 0 3 209.333 2.596 20 0 BFADHN CC[C@@H]1CN(C[C@@H](CC(C)C)OC)[C@H](C)CO1 ZINC000649737106 398079449 /nfs/dbraw/zinc/07/94/49/398079449.db2.gz QYDHORGQALQHFH-MGPQQGTHSA-N 0 3 243.391 2.547 20 0 BFADHN CN(Cc1ccc2occc2c1)[C@@H]1CCOC1 ZINC000289028180 398085045 /nfs/dbraw/zinc/08/50/45/398085045.db2.gz ATYVYBZSGVIASX-CYBMUJFWSA-N 0 3 231.295 2.654 20 0 BFADHN Cc1ccc(CN2CC[C@@H](n3cccn3)C2)cc1 ZINC000291203711 398090886 /nfs/dbraw/zinc/09/08/86/398090886.db2.gz HUMKRJPJGAAFNL-OAHLLOKOSA-N 0 3 241.338 2.639 20 0 BFADHN Cc1cc(CN)cc(NC(=O)C2CCCC2)c1 ZINC000322876523 398090987 /nfs/dbraw/zinc/09/09/87/398090987.db2.gz AFSCTJLPPXBOBB-UHFFFAOYSA-N 0 3 232.327 2.582 20 0 BFADHN Cc1cncc(CN2CCC[C@H](OC(C)C)C2)c1 ZINC000649702861 398050879 /nfs/dbraw/zinc/05/08/79/398050879.db2.gz PAEYXWPOXJOFMO-HNNXBMFYSA-N 0 3 248.370 2.779 20 0 BFADHN CC[C@H](N)c1cn(C[C@@H]2CCC[C@H](C)C2)nn1 ZINC000383927759 398051840 /nfs/dbraw/zinc/05/18/40/398051840.db2.gz SBNYRPUGQVSTIE-TUAOUCFPSA-N 0 3 236.363 2.514 20 0 BFADHN CC(C)COCCN1CCC[C@H](OC(C)C)C1 ZINC000649704072 398052564 /nfs/dbraw/zinc/05/25/64/398052564.db2.gz VHMUDFQGJBWSRH-AWEZNQCLSA-N 0 3 243.391 2.548 20 0 BFADHN Cc1ccnc(CN2CCC[C@@H](OC(C)C)C2)c1 ZINC000649702392 398053195 /nfs/dbraw/zinc/05/31/95/398053195.db2.gz CPQVKUCATPIRSZ-OAHLLOKOSA-N 0 3 248.370 2.779 20 0 BFADHN CCC1(CC)CCN(Cc2cncn2C)CC1 ZINC000186553609 398054949 /nfs/dbraw/zinc/05/49/49/398054949.db2.gz NWFPIFSEKAFVOF-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN C[C@@H](NCCOCC(F)F)c1ccccc1F ZINC000189582774 398057496 /nfs/dbraw/zinc/05/74/96/398057496.db2.gz VRIDIPFFDXOUTF-SECBINFHSA-N 0 3 247.260 2.758 20 0 BFADHN CC[C@H]1COC(C)(C)CN1CCCCF ZINC000649717834 398059188 /nfs/dbraw/zinc/05/91/88/398059188.db2.gz NCZAAXJIYCYGLW-NSHDSACASA-N 0 3 217.328 2.626 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc2c(c1)OCCO2 ZINC000384793019 398108824 /nfs/dbraw/zinc/10/88/24/398108824.db2.gz VXRHHUZFUOWHSP-PGLGOXFNSA-N 0 3 233.311 2.685 20 0 BFADHN CO[C@@H](C)CNCc1cc(F)cc(Cl)c1 ZINC000223958142 398100945 /nfs/dbraw/zinc/10/09/45/398100945.db2.gz HGJGGLMCGCEWDE-QMMMGPOBSA-N 0 3 231.698 2.604 20 0 BFADHN Cc1cccc(CNCC[C@H]2CCCO2)c1F ZINC000384694170 398102198 /nfs/dbraw/zinc/10/21/98/398102198.db2.gz XOZSSGSWAOUKDM-CYBMUJFWSA-N 0 3 237.318 2.793 20 0 BFADHN COCCCCCN1CC(C)(C)OCC1(C)C ZINC000604607750 398108564 /nfs/dbraw/zinc/10/85/64/398108564.db2.gz PDLOHLCLUZZLER-UHFFFAOYSA-N 0 3 243.391 2.693 20 0 BFADHN CC(C)n1ccnc1CNC1(C2CCC2)CC1 ZINC000649808486 398115404 /nfs/dbraw/zinc/11/54/04/398115404.db2.gz GINNLXPOSZBTAC-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1cc(C)no1 ZINC000389684396 398121606 /nfs/dbraw/zinc/12/16/06/398121606.db2.gz GAUHLIRZHXVQGJ-VHSXEESVSA-N 0 3 210.321 2.897 20 0 BFADHN C[C@@H](CCNCc1nccn1C)c1ccccc1 ZINC000061910104 398109585 /nfs/dbraw/zinc/10/95/85/398109585.db2.gz WRPZHIMWMKQGJC-ZDUSSCGKSA-N 0 3 243.354 2.704 20 0 BFADHN C[C@@H](NCc1ncccc1F)C(C)(C)C ZINC000090720651 398112110 /nfs/dbraw/zinc/11/21/10/398112110.db2.gz KIYNVNIFUPWSHN-SECBINFHSA-N 0 3 210.296 2.745 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1ccc(C)o1 ZINC000090725091 398112227 /nfs/dbraw/zinc/11/22/27/398112227.db2.gz CIAXJYBCJCALDT-UWVGGRQHSA-N 0 3 213.346 2.990 20 0 BFADHN CCCCN(CCCC)CC(=O)N(C)C1CC1 ZINC000067456879 398123802 /nfs/dbraw/zinc/12/38/02/398123802.db2.gz XGBAAHQBMHTQFW-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cnc(C)o1 ZINC000397919277 398124459 /nfs/dbraw/zinc/12/44/59/398124459.db2.gz MMTLPYPMYMWTIR-CMPLNLGQSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1CCc1ccccn1 ZINC000528767131 398125984 /nfs/dbraw/zinc/12/59/84/398125984.db2.gz LDUKYMDFUPUMDI-LLVKDONJSA-N 0 3 240.297 2.744 20 0 BFADHN CO[C@H](C)CCNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000263846566 398126420 /nfs/dbraw/zinc/12/64/20/398126420.db2.gz ZQFWVMRWJOGUEK-WZRBSPASSA-N 0 3 237.343 2.918 20 0 BFADHN CC(C)CCN1C[C@@H](C)OCC12CCC2 ZINC000649824821 398127667 /nfs/dbraw/zinc/12/76/67/398127667.db2.gz MJTCURIFXUXWTL-GFCCVEGCSA-N 0 3 211.349 2.676 20 0 BFADHN CCCCN1CCN(C)C[C@H]1Cc1ccccc1 ZINC000649829867 398129892 /nfs/dbraw/zinc/12/98/92/398129892.db2.gz QRCFBDRHERQTAF-MRXNPFEDSA-N 0 3 246.398 2.645 20 0 BFADHN C[C@H](C[C@@H]1CCCO1)N[C@H](C)c1nccs1 ZINC000336742188 398131554 /nfs/dbraw/zinc/13/15/54/398131554.db2.gz NXNJXNQZPRGONE-MXWKQRLJSA-N 0 3 240.372 2.751 20 0 BFADHN CCOC[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC000246399415 398122828 /nfs/dbraw/zinc/12/28/28/398122828.db2.gz QKDWUCFVGDFSNC-CHWSQXEVSA-N 0 3 234.343 2.501 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@@H]1C)c1cnccn1 ZINC000070024551 398123117 /nfs/dbraw/zinc/12/31/17/398123117.db2.gz AJGLTDJGHLPWMX-SRVKXCTJSA-N 0 3 219.332 2.706 20 0 BFADHN CC/C=C\CCN1C[C@@H](C)OCC12CCC2 ZINC000649821893 398123502 /nfs/dbraw/zinc/12/35/02/398123502.db2.gz TVOQKCXWWZMYCA-DSYXLKISSA-N 0 3 223.360 2.986 20 0 BFADHN COC[C@@H](C)N1CCc2ccccc2[C@@H](C)C1 ZINC000649835111 398132810 /nfs/dbraw/zinc/13/28/10/398132810.db2.gz ZHOQSMQHWDPJLP-QWHCGFSZSA-N 0 3 233.355 2.683 20 0 BFADHN COC[C@@]1(C)CCN(Cc2ccc(OC)cc2)C1 ZINC000295116694 398133083 /nfs/dbraw/zinc/13/30/83/398133083.db2.gz MMAZMDPTORWNCT-HNNXBMFYSA-N 0 3 249.354 2.554 20 0 BFADHN COc1ncc(CNCCC(C)(C)C)s1 ZINC000389739321 398133285 /nfs/dbraw/zinc/13/32/85/398133285.db2.gz VLDBEQZUPNXAAY-UHFFFAOYSA-N 0 3 228.361 2.678 20 0 BFADHN CC1(C2(NCc3cccc4c3OCO4)CC2)CC1 ZINC000398122441 322865141 /nfs/dbraw/zinc/86/51/41/322865141.db2.gz DVIOLDSRERQSCE-UHFFFAOYSA-N 0 3 245.322 2.838 20 0 BFADHN CCc1ncc(CNCC[C@H](C)F)s1 ZINC000339495827 172019671 /nfs/dbraw/zinc/01/96/71/172019671.db2.gz OANPOGVRDDHETL-QMMMGPOBSA-N 0 3 216.325 2.543 20 0 BFADHN COCCN(C)Cc1ccc(C)c(Cl)c1 ZINC000278296873 174280141 /nfs/dbraw/zinc/28/01/41/174280141.db2.gz VISVMWFOXMLWCB-UHFFFAOYSA-N 0 3 227.735 2.727 20 0 BFADHN CC(C)NCc1cnccc1C(F)(F)F ZINC000225349369 487557974 /nfs/dbraw/zinc/55/79/74/487557974.db2.gz ZIYLCVPAYBYMAT-UHFFFAOYSA-N 0 3 218.222 2.598 20 0 BFADHN Cc1cc(CNCCC(C)(C)C2CC2)on1 ZINC000328556140 487562561 /nfs/dbraw/zinc/56/25/61/487562561.db2.gz ZSRJZWBQGSWNBF-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)C1(CO)CCC1 ZINC000668802670 487564732 /nfs/dbraw/zinc/56/47/32/487564732.db2.gz STMBQOIMMFYGEQ-DUXPYHPUSA-N 0 3 249.329 2.686 20 0 BFADHN C[C@H]1CC[C@@H](NCc2cccc(O)c2)CS1 ZINC000655704758 487565496 /nfs/dbraw/zinc/56/54/96/487565496.db2.gz KFZDMGFQYKIVRO-CMPLNLGQSA-N 0 3 237.368 2.766 20 0 BFADHN CCCn1nccc1CN1CCC[C@H](C)C1 ZINC000667472040 487565787 /nfs/dbraw/zinc/56/57/87/487565787.db2.gz VJAITIUQDCUAAI-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccc(C)c(C)n2)CCO1 ZINC000313780072 487566864 /nfs/dbraw/zinc/56/68/64/487566864.db2.gz AARCYMBMPTVTAS-UKRRQHHQSA-N 0 3 248.370 2.746 20 0 BFADHN C[C@@H](N(C)Cc1ccncc1)C1(C)CC1 ZINC000156726958 487569446 /nfs/dbraw/zinc/56/94/46/487569446.db2.gz YBFGFGMDJIRHNI-LLVKDONJSA-N 0 3 204.317 2.702 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1ncccc1N(C)C ZINC000685660650 487571836 /nfs/dbraw/zinc/57/18/36/487571836.db2.gz BWQHULVNXYZGTP-STQMWFEESA-N 0 3 247.386 2.816 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1ccc(C)nc1C)OC ZINC000685664571 487572599 /nfs/dbraw/zinc/57/25/99/487572599.db2.gz PMUMVJGDSIZYKI-AAEUAGOBSA-N 0 3 236.359 2.774 20 0 BFADHN Cc1ccc([C@H](C)NCC2(CO)CCC2)c(C)n1 ZINC000680354306 487573048 /nfs/dbraw/zinc/57/30/48/487573048.db2.gz BGXYUQNWNNHIGM-LBPRGKRZSA-N 0 3 248.370 2.512 20 0 BFADHN CC(C)OCCCN(C)Cc1cccc(O)c1 ZINC000267143693 167208351 /nfs/dbraw/zinc/20/83/51/167208351.db2.gz JIHPXPSOCQNVMM-UHFFFAOYSA-N 0 3 237.343 2.639 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1ccc(F)c(F)c1 ZINC000220622713 490068041 /nfs/dbraw/zinc/06/80/41/490068041.db2.gz SSFZJDANZMRXPF-PRHODGIISA-N 0 3 243.322 2.948 20 0 BFADHN CCCn1nccc1CN(C)C[C@H]1CC1(C)C ZINC000668814494 487582073 /nfs/dbraw/zinc/58/20/73/487582073.db2.gz LRADGGOGMMHWFE-GFCCVEGCSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1cnccc1CN(C)C[C@H]1CC1(C)C ZINC000668814622 487582797 /nfs/dbraw/zinc/58/27/97/487582797.db2.gz PITKSXPHJBVLLT-CYBMUJFWSA-N 0 3 218.344 2.868 20 0 BFADHN CCc1nc(CNCC2(C3CC3)CC2)cs1 ZINC000125838374 322910270 /nfs/dbraw/zinc/91/02/70/322910270.db2.gz BCKLRRBVYIVATK-UHFFFAOYSA-N 0 3 236.384 2.985 20 0 BFADHN CN(Cc1cccc2nccn21)C[C@H]1CC1(C)C ZINC000668814802 487583505 /nfs/dbraw/zinc/58/35/05/487583505.db2.gz UWRGFOYLMWXCBJ-GFCCVEGCSA-N 0 3 243.354 2.812 20 0 BFADHN CC(C)OCCNC1(c2ccccc2)CC1 ZINC000309606450 167241883 /nfs/dbraw/zinc/24/18/83/167241883.db2.gz YTYIIVDHWMMVIC-UHFFFAOYSA-N 0 3 219.328 2.690 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)C[C@H]2CC2(C)C)n1 ZINC000668815758 487585081 /nfs/dbraw/zinc/58/50/81/487585081.db2.gz WCCSPOZJGXGAAL-LLVKDONJSA-N 0 3 234.343 2.574 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)C[C@H]2CC2(C)C)n1 ZINC000668815758 487585085 /nfs/dbraw/zinc/58/50/85/487585085.db2.gz WCCSPOZJGXGAAL-LLVKDONJSA-N 0 3 234.343 2.574 20 0 BFADHN COc1ccc(CN(C)C[C@H]2CC2(C)C)cc1O ZINC000668815889 487585522 /nfs/dbraw/zinc/58/55/22/487585522.db2.gz XZIFTFYMFROHJD-GFCCVEGCSA-N 0 3 249.354 2.879 20 0 BFADHN COC[C@@H]1CCCN1Cc1ccc(Cl)cc1 ZINC000674470877 487585777 /nfs/dbraw/zinc/58/57/77/487585777.db2.gz XKKJMMUGDDKGGD-ZDUSSCGKSA-N 0 3 239.746 2.951 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H](C)CC(F)(F)F ZINC000308892548 167260158 /nfs/dbraw/zinc/26/01/58/167260158.db2.gz YDLKMLDDRNZGNT-RKDXNWHRSA-N 0 3 227.270 2.730 20 0 BFADHN CCC1CN(Cc2cccc(-n3cccn3)c2)C1 ZINC000556472947 322913834 /nfs/dbraw/zinc/91/38/34/322913834.db2.gz YZZVYFNGWQRFFQ-UHFFFAOYSA-N 0 3 241.338 2.714 20 0 BFADHN CN(Cc1cc2ccccc2[nH]1)C[C@@H]1CCOC1 ZINC000674505173 487590045 /nfs/dbraw/zinc/59/00/45/487590045.db2.gz BDNBMFUENOVJGV-LBPRGKRZSA-N 0 3 244.338 2.636 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cc(C)nc(C)n1 ZINC000671302941 487596690 /nfs/dbraw/zinc/59/66/90/487596690.db2.gz KUOPGBALGWXDRG-TZMCWYRMSA-N 0 3 233.359 2.762 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@@H]1C[C@H]1c1ccco1 ZINC000666267415 487597204 /nfs/dbraw/zinc/59/72/04/487597204.db2.gz QMZXHWWSVLHNJX-HLUHBDAQSA-N 0 3 231.299 2.518 20 0 BFADHN C[C@@H]1CCN(Cc2cncnc2)[C@@H]2CCCC[C@H]12 ZINC000534337956 487604250 /nfs/dbraw/zinc/60/42/50/487604250.db2.gz MPNLEIHUUVTSNK-BPLDGKMQSA-N 0 3 245.370 2.877 20 0 BFADHN C[C@@]1(C(F)F)CN(C[C@@H]2CC=CCC2)CCO1 ZINC000668823655 487604770 /nfs/dbraw/zinc/60/47/70/487604770.db2.gz AUZSWYBNZQGSQQ-YPMHNXCESA-N 0 3 245.313 2.699 20 0 BFADHN C[C@@H]1CCN(Cc2cncnc2)[C@@H]2CCCC[C@@H]12 ZINC000534337958 487604907 /nfs/dbraw/zinc/60/49/07/487604907.db2.gz MPNLEIHUUVTSNK-VHDGCEQUSA-N 0 3 245.370 2.877 20 0 BFADHN CC(C)OCCNC/C=C/c1ccc(F)cc1 ZINC000680485363 487605236 /nfs/dbraw/zinc/60/52/36/487605236.db2.gz GBQSVTCTVAMILX-ONEGZZNKSA-N 0 3 237.318 2.854 20 0 BFADHN CC(C)NCC(=O)N1CC[C@H](C)c2ccccc21 ZINC000306075638 487606070 /nfs/dbraw/zinc/60/60/70/487606070.db2.gz FXAFPVJSNHQEOZ-LBPRGKRZSA-N 0 3 246.354 2.525 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCc3ccc(O)cc32)CO1 ZINC000402308425 487606886 /nfs/dbraw/zinc/60/68/86/487606886.db2.gz KRWAMSBJPRTSSJ-IFUGULHKSA-N 0 3 247.338 2.537 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCc3ccc(O)cc32)CO1 ZINC000402308409 487606771 /nfs/dbraw/zinc/60/67/71/487606771.db2.gz KRWAMSBJPRTSSJ-GMXABZIVSA-N 0 3 247.338 2.537 20 0 BFADHN CC(C)SCCN1CCOC(C)(C)[C@H]1C ZINC000336712127 167326957 /nfs/dbraw/zinc/32/69/57/167326957.db2.gz USDAZZTWLJEHTN-LLVKDONJSA-N 0 3 231.405 2.627 20 0 BFADHN CC(C)SCCN1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000368476560 167329032 /nfs/dbraw/zinc/32/90/32/167329032.db2.gz DUDNMWGGHUYKKU-DGCLKSJQSA-N 0 3 243.416 2.627 20 0 BFADHN CCC[C@@H](NCc1cc(OC)no1)C(C)(C)C ZINC000660692614 409557292 /nfs/dbraw/zinc/55/72/92/409557292.db2.gz QXDMRZQACCTVCB-LLVKDONJSA-N 0 3 240.347 2.988 20 0 BFADHN CO[C@@H](CC(C)C)CN(C)CC1=CCSC1 ZINC000662267608 409569673 /nfs/dbraw/zinc/56/96/73/409569673.db2.gz WAOZAORALLGCQQ-ZDUSSCGKSA-N 0 3 243.416 2.653 20 0 BFADHN C[C@H](N[C@@H]1CCOC1)c1ccc(Cl)c(F)c1 ZINC000215033897 409644460 /nfs/dbraw/zinc/64/44/60/409644460.db2.gz CHDRYMCSFKMCQX-WCBMZHEXSA-N 0 3 243.709 2.919 20 0 BFADHN CC1(C)C[C@@]1(NCc1cnc[nH]1)c1ccccc1 ZINC000662340113 409650969 /nfs/dbraw/zinc/65/09/69/409650969.db2.gz IDXOFEPNGDTJMN-OAHLLOKOSA-N 0 3 241.338 2.825 20 0 BFADHN Cc1ccnc(CN(C)C[C@@H]2CC2(C)C)c1 ZINC000662343611 409657308 /nfs/dbraw/zinc/65/73/08/409657308.db2.gz AQNOPTUAFUADOG-LBPRGKRZSA-N 0 3 218.344 2.868 20 0 BFADHN CC(C)n1nccc1CN(C)C[C@H]1CC1(C)C ZINC000662345412 409660643 /nfs/dbraw/zinc/66/06/43/409660643.db2.gz YJPLGVNOEKSFPG-GFCCVEGCSA-N 0 3 235.375 2.942 20 0 BFADHN CCOc1ccc(CN(C)C[C@H]2CC2(C)C)nc1 ZINC000662346995 409662400 /nfs/dbraw/zinc/66/24/00/409662400.db2.gz WZJWSQQYBLZDMH-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000178314128 487610365 /nfs/dbraw/zinc/61/03/65/487610365.db2.gz BUOYTRLCNFLHCE-CVMIBZJCSA-N 0 3 247.338 2.666 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1ccccc1C(C)C ZINC000662367312 409675591 /nfs/dbraw/zinc/67/55/91/409675591.db2.gz HCBOWYURQSUBJN-GFCCVEGCSA-N 0 3 234.343 2.747 20 0 BFADHN CC(C)C[C@H](C)N1CCO[C@](C)(C(F)F)C1 ZINC000662369641 409678436 /nfs/dbraw/zinc/67/84/36/409678436.db2.gz VTIXVLCDCQYAEB-JQWIXIFHSA-N 0 3 235.318 2.777 20 0 BFADHN Cc1cc(CN2CC[C@@H](C3CCC3)C2)nc(C)n1 ZINC000660814900 409783456 /nfs/dbraw/zinc/78/34/56/409783456.db2.gz YSQUZDMKISOBDW-CQSZACIVSA-N 0 3 245.370 2.715 20 0 BFADHN CCc1ccc(CN[C@H]2CCS[C@H]2C)o1 ZINC000308936772 409951544 /nfs/dbraw/zinc/95/15/44/409951544.db2.gz OKRVCJJAZLFIRK-CABZTGNLSA-N 0 3 225.357 2.826 20 0 BFADHN Fc1ccc2c(c1)[C@H](NCC1=CCOCC1)CC2 ZINC000335234150 487611642 /nfs/dbraw/zinc/61/16/42/487611642.db2.gz VDBHTOKLSQYLJE-OAHLLOKOSA-N 0 3 247.313 2.749 20 0 BFADHN C[C@H](CN(C)Cc1cn[nH]c1)c1ccccc1 ZINC000674753538 487612780 /nfs/dbraw/zinc/61/27/80/487612780.db2.gz WSZDEDZMBGXRKC-GFCCVEGCSA-N 0 3 229.327 2.645 20 0 BFADHN COc1ccccc1CN[C@@H](C)COCC1CC1 ZINC000651981297 410119491 /nfs/dbraw/zinc/11/94/91/410119491.db2.gz GOAXRMSCSRTCTG-LBPRGKRZSA-N 0 3 249.354 2.600 20 0 BFADHN C[C@H](COCC1CC1)NCc1ccc(F)cc1 ZINC000651981319 410121742 /nfs/dbraw/zinc/12/17/42/410121742.db2.gz HRSHIAFPFGYYFC-LLVKDONJSA-N 0 3 237.318 2.730 20 0 BFADHN COCC1(NCc2ccco2)CCCCC1 ZINC000663337239 410137612 /nfs/dbraw/zinc/13/76/12/410137612.db2.gz QCMMDOBKPBUIKL-UHFFFAOYSA-N 0 3 223.316 2.719 20 0 BFADHN CN(CC1=CCCC1)[C@H](CO)Cc1ccccc1 ZINC000661091616 410165306 /nfs/dbraw/zinc/16/53/06/410165306.db2.gz DBSBWYJXVGONPB-INIZCTEOSA-N 0 3 245.366 2.632 20 0 BFADHN CC[C@H](C)N(CC)CC(=O)N1CCCC[C@H]1C ZINC000661106705 410252189 /nfs/dbraw/zinc/25/21/89/410252189.db2.gz NOOFQRNZMOHKCF-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1nc(C)c(CN[C@H](C)C(C)(C)C)o1 ZINC000309142358 410264344 /nfs/dbraw/zinc/26/43/44/410264344.db2.gz UBUSJMPYMXTWLM-SECBINFHSA-N 0 3 210.321 2.816 20 0 BFADHN C(=C\c1ccccc1)\CNCCOCC1CC1 ZINC000310044611 166228371 /nfs/dbraw/zinc/22/83/71/166228371.db2.gz GMISJSHPTNLPMB-DAXSKMNVSA-N 0 3 231.339 2.716 20 0 BFADHN CC[C@@H](N[C@@H](C)c1cnc(C)s1)C(C)(C)O ZINC000393520937 410746041 /nfs/dbraw/zinc/74/60/41/410746041.db2.gz ONILIMVGHXXELM-GZMMTYOYSA-N 0 3 242.388 2.652 20 0 BFADHN Fc1ccc([C@H]2CCN2C[C@@H]2CCOC2)cc1 ZINC000661507781 410716879 /nfs/dbraw/zinc/71/68/79/410716879.db2.gz BNQYRGSIZFVMDQ-SMDDNHRTSA-N 0 3 235.302 2.609 20 0 BFADHN Fc1ccc([C@@H]2CCN2CC2CCOCC2)cc1 ZINC000661513765 410720676 /nfs/dbraw/zinc/72/06/76/410720676.db2.gz PDBPYOMQTXEXKU-HNNXBMFYSA-N 0 3 249.329 2.999 20 0 BFADHN CC[C@@H](N[C@H]1CCCc2cccnc21)C(C)(C)O ZINC000394013095 410774492 /nfs/dbraw/zinc/77/44/92/410774492.db2.gz NJQWIPNVXJLSGV-QWHCGFSZSA-N 0 3 248.370 2.598 20 0 BFADHN C[C@@H](CF)NC/C=C\c1ccc(F)cc1 ZINC000308880010 410785208 /nfs/dbraw/zinc/78/52/08/410785208.db2.gz UBUSHLCKDCRUMR-ZMWSMAPVSA-N 0 3 211.255 2.787 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1cc(C)on1 ZINC000651201670 410861378 /nfs/dbraw/zinc/86/13/78/410861378.db2.gz FUKPRNOFWLJERB-SNVBAGLBSA-N 0 3 210.321 2.897 20 0 BFADHN CC(C)CN[C@H]1c2ccc(F)cc2O[C@H]1C ZINC000336647708 167015057 /nfs/dbraw/zinc/01/50/57/167015057.db2.gz BYSRBXTWCUUHGY-TVQRCGJNSA-N 0 3 223.291 2.893 20 0 BFADHN Cc1ccccc1-n1cc(CNC(C)C)cn1 ZINC000040932315 410898207 /nfs/dbraw/zinc/89/82/07/410898207.db2.gz OJKCAONUOGRCBD-UHFFFAOYSA-N 0 3 229.327 2.679 20 0 BFADHN CC(C)NCc1nc2cc(Cl)ccc2n1C ZINC000037474943 167120182 /nfs/dbraw/zinc/12/01/82/167120182.db2.gz XUWIYWFLJCUERQ-UHFFFAOYSA-N 0 3 237.734 2.725 20 0 BFADHN CC(C)NCc1cccnc1OC1CCCC1 ZINC000051523112 167121167 /nfs/dbraw/zinc/12/11/67/167121167.db2.gz ZHTKAYYQBJQBJX-UHFFFAOYSA-N 0 3 234.343 2.901 20 0 BFADHN CC(C)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000184583080 167135051 /nfs/dbraw/zinc/13/50/51/167135051.db2.gz FUULPLPSGNEFKH-AWEZNQCLSA-N 0 3 247.317 2.647 20 0 BFADHN CC(C)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000184583101 167140939 /nfs/dbraw/zinc/14/09/39/167140939.db2.gz FUULPLPSGNEFKH-CQSZACIVSA-N 0 3 247.317 2.647 20 0 BFADHN CC[C@H](NC1(COC)CC1)c1ccsc1 ZINC000651300806 410907574 /nfs/dbraw/zinc/90/75/74/410907574.db2.gz HHGJIPCZFRMVHK-NSHDSACASA-N 0 3 225.357 2.968 20 0 BFADHN CC(C)OCCCN[C@H](C)c1nccs1 ZINC000083142563 167224348 /nfs/dbraw/zinc/22/43/48/167224348.db2.gz GRLKREXJXVHGBC-SNVBAGLBSA-N 0 3 228.361 2.609 20 0 BFADHN CC(C)OCCN1CC[C@H](Oc2ccccc2)C1 ZINC000374213516 167233935 /nfs/dbraw/zinc/23/39/35/167233935.db2.gz KWOJXVYDVXKTPT-HNNXBMFYSA-N 0 3 249.354 2.565 20 0 BFADHN CC(C)OCCNC1(c2cccc(F)c2)CC1 ZINC000179681832 167241377 /nfs/dbraw/zinc/24/13/77/167241377.db2.gz RXNVJNKFRBPYOX-UHFFFAOYSA-N 0 3 237.318 2.829 20 0 BFADHN CC(C)OCCN[C@@H]1CCCc2c(O)cccc21 ZINC000192346102 167243644 /nfs/dbraw/zinc/24/36/44/167243644.db2.gz QEEYAUUIAUOHIE-CQSZACIVSA-N 0 3 249.354 2.784 20 0 BFADHN CC(C)OCCN[C@H](C)c1ccccc1 ZINC000042221628 167245368 /nfs/dbraw/zinc/24/53/68/167245368.db2.gz SATZPYPBEPTNRR-GFCCVEGCSA-N 0 3 207.317 2.762 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H](C)c1cncc(F)c1 ZINC000337306168 167256946 /nfs/dbraw/zinc/25/69/46/167256946.db2.gz RRKYTKCGDGUYGQ-MNOVXSKESA-N 0 3 240.322 2.685 20 0 BFADHN CC(C)OC[C@H](C)N[C@H]1CCc2c1cccc2O ZINC000337329160 167266908 /nfs/dbraw/zinc/26/69/08/167266908.db2.gz FNLSQSIJWHNIEI-FZMZJTMJSA-N 0 3 249.354 2.783 20 0 BFADHN CC(C)OC[C@H](C)N[C@H](C)c1cccnc1 ZINC000309338285 167268571 /nfs/dbraw/zinc/26/85/71/167268571.db2.gz JONQPKJCKZUTAW-NWDGAFQWSA-N 0 3 222.332 2.546 20 0 BFADHN CC(C)O[C@@H]1C[C@H](NCc2ccncc2)C1(C)C ZINC000274241077 167280436 /nfs/dbraw/zinc/28/04/36/167280436.db2.gz UBEYNGKGARNLFB-UONOGXRCSA-N 0 3 248.370 2.763 20 0 BFADHN CC(C)Oc1ccc([C@@H](C)N[C@@H](C)CO)cc1 ZINC000035602797 167298731 /nfs/dbraw/zinc/29/87/31/167298731.db2.gz FXNMQCLNLKCGKH-NWDGAFQWSA-N 0 3 237.343 2.505 20 0 BFADHN CC(C)Oc1ccc([C@H](C)N[C@H]2C[C@@H](O)C2)cc1 ZINC000295853848 167300257 /nfs/dbraw/zinc/30/02/57/167300257.db2.gz FCDLSKZHQDUZLU-FPMFFAJLSA-N 0 3 249.354 2.648 20 0 BFADHN CC(C)Oc1ccccc1CN[C@@H]1CCCOC1 ZINC000109544951 167310869 /nfs/dbraw/zinc/31/08/69/167310869.db2.gz PVFRGQLRZNDKPE-CQSZACIVSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cn2ccccc2n1 ZINC000298699641 167367199 /nfs/dbraw/zinc/36/71/99/167367199.db2.gz HMPNAXIRIVVNTF-GFCCVEGCSA-N 0 3 231.343 2.811 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cccc2c1OCCO2 ZINC000338204676 167367863 /nfs/dbraw/zinc/36/78/63/167367863.db2.gz HHPMTHVBDOXYQN-GFCCVEGCSA-N 0 3 249.354 2.934 20 0 BFADHN CC(C)[C@@H](C)NCc1cn2ccsc2n1 ZINC000132300853 167384657 /nfs/dbraw/zinc/38/46/57/167384657.db2.gz ACGFFANRSJONPX-SECBINFHSA-N 0 3 223.345 2.530 20 0 BFADHN CC(C)[C@@H](C)N[C@H]1COc2ccc(F)cc21 ZINC000309718958 167386185 /nfs/dbraw/zinc/38/61/85/167386185.db2.gz ZXLRLCFYLHHXFY-SKDRFNHKSA-N 0 3 223.291 2.893 20 0 BFADHN Cc1ncc([C@H](C)N[C@H](C)CC(C)(C)O)s1 ZINC000651411729 410992716 /nfs/dbraw/zinc/99/27/16/410992716.db2.gz LWYFUOGPFQGLLU-BDAKNGLRSA-N 0 3 242.388 2.652 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H](C)CC(C)(C)O)s1 ZINC000651411731 410993367 /nfs/dbraw/zinc/99/33/67/410993367.db2.gz LWYFUOGPFQGLLU-IUCAKERBSA-N 0 3 242.388 2.652 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1cnc(C)s1)OC ZINC000651420165 411000486 /nfs/dbraw/zinc/00/04/86/411000486.db2.gz BALLKYGRBAZGEI-BXKDBHETSA-N 0 3 242.388 2.917 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1cnc(C)s1)OC ZINC000651420166 411001385 /nfs/dbraw/zinc/00/13/85/411001385.db2.gz BALLKYGRBAZGEI-CABZTGNLSA-N 0 3 242.388 2.917 20 0 BFADHN Cc1csc(CN[C@@H](C)c2c[nH]nc2C)c1 ZINC000651421840 411008695 /nfs/dbraw/zinc/00/86/95/411008695.db2.gz SUWINMTZAFAHEP-VIFPVBQESA-N 0 3 235.356 2.939 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H](O)C2CCC2)c(C)n1 ZINC000651474405 411056977 /nfs/dbraw/zinc/05/69/77/411056977.db2.gz SSJQBDAYBSXWSO-NHYWBVRUSA-N 0 3 248.370 2.510 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H](O)C2CCC2)c(C)n1 ZINC000651474406 411057517 /nfs/dbraw/zinc/05/75/17/411057517.db2.gz SSJQBDAYBSXWSO-XHDPSFHLSA-N 0 3 248.370 2.510 20 0 BFADHN CCc1ccc(CN2C[C@H](O)CC[C@H]2C)s1 ZINC000399258320 411029605 /nfs/dbraw/zinc/02/96/05/411029605.db2.gz RYZOHTOCLAGKDS-GHMZBOCLSA-N 0 3 239.384 2.656 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1cc2cnccc2o1 ZINC000657907076 411163213 /nfs/dbraw/zinc/16/32/13/411163213.db2.gz GARPJWIJAIHZOW-CMPLNLGQSA-N 0 3 248.326 2.639 20 0 BFADHN COC[C@H](CC(C)(C)C)NCc1cccnc1 ZINC000651667058 411133878 /nfs/dbraw/zinc/13/38/78/411133878.db2.gz WMAGYSLYLKWRKG-ZDUSSCGKSA-N 0 3 236.359 2.622 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1cc2cnccc2o1 ZINC000657906769 411163308 /nfs/dbraw/zinc/16/33/08/411163308.db2.gz ZODDZCIGDNUUBZ-JTQLQIEISA-N 0 3 248.326 2.903 20 0 BFADHN CO[C@@H](CNCc1cc2cnccc2o1)C(C)C ZINC000651724759 411139309 /nfs/dbraw/zinc/13/93/09/411139309.db2.gz FXEGTEOIVFAZOO-AWEZNQCLSA-N 0 3 248.326 2.588 20 0 BFADHN CC1(NCc2cc3cnccc3o2)CCC1 ZINC000651754546 411141173 /nfs/dbraw/zinc/14/11/73/411141173.db2.gz GAJOCPOYMFMSRQ-UHFFFAOYSA-N 0 3 216.284 2.860 20 0 BFADHN CC(C)CN(CC(=O)Nc1ccccc1)C1CC1 ZINC000044898287 411142077 /nfs/dbraw/zinc/14/20/77/411142077.db2.gz YVNXVBQDBMJLQI-UHFFFAOYSA-N 0 3 246.354 2.746 20 0 BFADHN CC1CN(Cc2cccc3c2CCC3)C1 ZINC000651790892 411144008 /nfs/dbraw/zinc/14/40/08/411144008.db2.gz PIKBUSFFFAKEOZ-UHFFFAOYSA-N 0 3 201.313 2.627 20 0 BFADHN CCc1cccc(CN2CC([C@H]3CCOC3)C2)c1 ZINC000651791134 411144079 /nfs/dbraw/zinc/14/40/79/411144079.db2.gz ZTPFKWDBUYCICI-HNNXBMFYSA-N 0 3 245.366 2.717 20 0 BFADHN Cc1cn2c(CN[C@H]3CC3(C)C)c(C)nc2s1 ZINC000045117049 411144247 /nfs/dbraw/zinc/14/42/47/411144247.db2.gz SJQDEDHNYOVAIE-NSHDSACASA-N 0 3 249.383 2.901 20 0 BFADHN CC1(C)COC[C@H]1NCc1cccc2c1CCC2 ZINC000651823451 411145492 /nfs/dbraw/zinc/14/54/92/411145492.db2.gz JAPNBBNSNVAUGV-OAHLLOKOSA-N 0 3 245.366 2.690 20 0 BFADHN CCC1(NCc2cc3cnccc3o2)CC1 ZINC000651819698 411145736 /nfs/dbraw/zinc/14/57/36/411145736.db2.gz KGIINUNWRGESRQ-UHFFFAOYSA-N 0 3 216.284 2.860 20 0 BFADHN COCC1(C)CN(Cc2cccc3c2CCC3)C1 ZINC000651828407 411146462 /nfs/dbraw/zinc/14/64/62/411146462.db2.gz HLMFAQCHEZTHIQ-UHFFFAOYSA-N 0 3 245.366 2.644 20 0 BFADHN CCC1CN(Cc2cc3cnccc3o2)C1 ZINC000651828156 411146560 /nfs/dbraw/zinc/14/65/60/411146560.db2.gz XLDMTDYFABRGJA-UHFFFAOYSA-N 0 3 216.284 2.670 20 0 BFADHN CC(C)n1cncc1CN1CCC12CCCC2 ZINC000651872853 411148312 /nfs/dbraw/zinc/14/83/12/411148312.db2.gz FBQOYAHWOPAGMO-UHFFFAOYSA-N 0 3 233.359 2.983 20 0 BFADHN Cc1ccc(CN2CCC23CCCC3)cn1 ZINC000651873493 411148422 /nfs/dbraw/zinc/14/84/22/411148422.db2.gz JRTTUSCTVAHAQA-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN Cc1cnccc1CN1CCC12CCCC2 ZINC000651872762 411148553 /nfs/dbraw/zinc/14/85/53/411148553.db2.gz AIDSGGKRIMMVKL-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN CC(C)O[C@@H]1CCCN(Cc2ccco2)C1 ZINC000651897223 411149281 /nfs/dbraw/zinc/14/92/81/411149281.db2.gz SHESOFMEORPQHK-CYBMUJFWSA-N 0 3 223.316 2.669 20 0 BFADHN Cc1occc1CN1CCC[C@@H](OC(C)C)C1 ZINC000651897983 411149638 /nfs/dbraw/zinc/14/96/38/411149638.db2.gz PSJPPPQLXBEYDZ-CQSZACIVSA-N 0 3 237.343 2.977 20 0 BFADHN C[C@@H]1CC[C@H](C)N(CCO[C@@H]2CC2(F)F)C1 ZINC000659969394 411151203 /nfs/dbraw/zinc/15/12/03/411151203.db2.gz BGDMAQRRMZTDQJ-OUAUKWLOSA-N 0 3 233.302 2.531 20 0 BFADHN CC[C@H](CC(F)F)CN1C[C@H]2CC[C@@H](C1)O2 ZINC000659971561 411151297 /nfs/dbraw/zinc/15/12/97/411151297.db2.gz YJXFZOUHBTUSRW-MXWKQRLJSA-N 0 3 233.302 2.531 20 0 BFADHN CC[C@@H](N[C@H]1COC2(CCC2)C1)c1ccncc1 ZINC000657885786 411153363 /nfs/dbraw/zinc/15/33/63/411153363.db2.gz BRCXYZGIPHGFEO-ZIAGYGMSSA-N 0 3 246.354 2.834 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cc(C)nc(C)n1 ZINC000659984803 411155746 /nfs/dbraw/zinc/15/57/46/411155746.db2.gz VFNKOCNRCKQNLS-NSHDSACASA-N 0 3 235.375 2.961 20 0 BFADHN CO[C@@H](CN(C)Cc1cccc(O)c1)C1CCC1 ZINC000659983731 411156040 /nfs/dbraw/zinc/15/60/40/411156040.db2.gz UHLHACRXABXCAX-HNNXBMFYSA-N 0 3 249.354 2.639 20 0 BFADHN CC(C)C[C@H](C)NCc1cc2n(n1)CCCC2 ZINC000655751329 411160416 /nfs/dbraw/zinc/16/04/16/411160416.db2.gz AAFUSYXGUGDADW-LBPRGKRZSA-N 0 3 235.375 2.744 20 0 BFADHN C[C@H](CCO)N(C)C/C=C/c1ccc(F)cc1 ZINC000652047946 411098979 /nfs/dbraw/zinc/09/89/79/411098979.db2.gz AYMYVYHTAPXNME-AAOUONPWSA-N 0 3 237.318 2.542 20 0 BFADHN CCc1ccc(CN(C)[C@H](C)CCO)s1 ZINC000652048354 411099460 /nfs/dbraw/zinc/09/94/60/411099460.db2.gz KUTLQFHPZIOILC-SNVBAGLBSA-N 0 3 227.373 2.513 20 0 BFADHN Cc1ccc(CN2CC[C@@]3(CC3(F)F)C2)o1 ZINC000652062843 411104155 /nfs/dbraw/zinc/10/41/55/411104155.db2.gz XWASOGWGVQFVEW-LLVKDONJSA-N 0 3 227.254 2.819 20 0 BFADHN CC[C@@H]1CCN(Cc2cnc3ccccc3n2)C1 ZINC000659997302 411162185 /nfs/dbraw/zinc/16/21/85/411162185.db2.gz SJEAJLFNDBHPSS-GFCCVEGCSA-N 0 3 241.338 2.862 20 0 BFADHN CCN(CCO)Cc1cccc(C(C)C)c1 ZINC000659878001 411169798 /nfs/dbraw/zinc/16/97/98/411169798.db2.gz JOZZSJJWZHFJNQ-UHFFFAOYSA-N 0 3 221.344 2.624 20 0 BFADHN Fc1cccnc1CN[C@H]1CCCSCC1 ZINC000657933181 411171912 /nfs/dbraw/zinc/17/19/12/411171912.db2.gz RTPGMQJKMYZEQU-JTQLQIEISA-N 0 3 240.347 2.596 20 0 BFADHN Fc1cccnc1CN[C@@H]1CCCSCC1 ZINC000657933184 411171985 /nfs/dbraw/zinc/17/19/85/411171985.db2.gz RTPGMQJKMYZEQU-SNVBAGLBSA-N 0 3 240.347 2.596 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@@H]1C)c1cc2cnccc2o1 ZINC000657937842 411173334 /nfs/dbraw/zinc/17/33/34/411173334.db2.gz WFHKORXOBIJWAJ-HOSYDEDBSA-N 0 3 246.310 2.656 20 0 BFADHN C[C@H](N[C@H]1CCO[C@@H]1C)c1cc2cnccc2o1 ZINC000657937845 411173388 /nfs/dbraw/zinc/17/33/88/411173388.db2.gz WFHKORXOBIJWAJ-UMNHJUIQSA-N 0 3 246.310 2.656 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1Cc1cn(C)cn1 ZINC000660015164 411175857 /nfs/dbraw/zinc/17/58/57/411175857.db2.gz ZDOAAGQKGREYOE-TZMCWYRMSA-N 0 3 235.375 2.821 20 0 BFADHN CO[C@H](CN(C)CCOC(C)(C)C)C1CCC1 ZINC000660018230 411177520 /nfs/dbraw/zinc/17/75/20/411177520.db2.gz RDJAGTFMSAZLMX-CYBMUJFWSA-N 0 3 243.391 2.548 20 0 BFADHN Cc1ccccc1[C@@H]1C[C@H]1N[C@H](C)c1cn[nH]c1 ZINC000652240511 411178225 /nfs/dbraw/zinc/17/82/25/411178225.db2.gz DRFMUDMUOFKAJE-BYCMXARLSA-N 0 3 241.338 2.925 20 0 BFADHN C[C@@H](NCCC[C@@H]1CCOC1)c1cscn1 ZINC000401946337 411181142 /nfs/dbraw/zinc/18/11/42/411181142.db2.gz WHMQJNDBQSLTQB-GHMZBOCLSA-N 0 3 240.372 2.610 20 0 BFADHN c1ncc(CN[C@@H]2CCCC23CC3)s1 ZINC000657974414 411184322 /nfs/dbraw/zinc/18/43/22/411184322.db2.gz OEDCTTQMVOYILZ-SNVBAGLBSA-N 0 3 208.330 2.565 20 0 BFADHN Cc1nccnc1[C@H](C)NC1(C2CCC2)CC1 ZINC000652272261 411184463 /nfs/dbraw/zinc/18/44/63/411184463.db2.gz GDGSJISRKNJXQP-NSHDSACASA-N 0 3 231.343 2.768 20 0 BFADHN C[C@@H](NCCC(C)(C)O)c1cc2cnccc2o1 ZINC000657978458 411186853 /nfs/dbraw/zinc/18/68/53/411186853.db2.gz HVLQGLGQERQHDR-SNVBAGLBSA-N 0 3 248.326 2.639 20 0 BFADHN CCC(C)(C)N1CCN(Cc2ccccc2)CC1 ZINC000653807701 411187787 /nfs/dbraw/zinc/18/77/87/411187787.db2.gz CBLJEFNHXABXLY-UHFFFAOYSA-N 0 3 246.398 2.993 20 0 BFADHN C[C@H](CCC1CC1)N[C@H]1CCCn2ccnc21 ZINC000655758023 411189032 /nfs/dbraw/zinc/18/90/32/411189032.db2.gz ZZFBXYMBRONCGX-YPMHNXCESA-N 0 3 233.359 2.886 20 0 BFADHN CCCCCNC(=O)C[C@H]1NCc2ccccc21 ZINC000659249152 411190950 /nfs/dbraw/zinc/19/09/50/411190950.db2.gz CMCTYEWJYGZFPJ-CQSZACIVSA-N 0 3 246.354 2.527 20 0 BFADHN CC(C)CC[C@H](C)N[C@H]1C[C@H](C)n2ncnc21 ZINC000658098177 411244438 /nfs/dbraw/zinc/24/44/38/411244438.db2.gz MGUITAYYQHBUEJ-SRVKXCTJSA-N 0 3 236.363 2.698 20 0 BFADHN C[C@@H](O)CCCN[C@H](C)c1cc2cnccc2o1 ZINC000657996706 411192657 /nfs/dbraw/zinc/19/26/57/411192657.db2.gz FGTXHQUKYNAZHZ-GHMZBOCLSA-N 0 3 248.326 2.639 20 0 BFADHN Cc1ccc(CN(CCc2cn[nH]c2)C2CC2)o1 ZINC000656412905 411244806 /nfs/dbraw/zinc/24/48/06/411244806.db2.gz NNQUWXJXZYYYCI-UHFFFAOYSA-N 0 3 245.326 2.518 20 0 BFADHN Cc1ccc([C@H](C)NCCC2(CO)CCC2)o1 ZINC000652310008 411197873 /nfs/dbraw/zinc/19/78/73/411197873.db2.gz SPKOJKSARLGZEN-LBPRGKRZSA-N 0 3 237.343 2.791 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1cc(F)cc(F)c1 ZINC000402160621 411198739 /nfs/dbraw/zinc/19/87/39/411198739.db2.gz QOMHBQBUAYJZSX-VYUIOLGVSA-N 0 3 241.281 2.793 20 0 BFADHN OCC1(CCN[C@@H]2CCCc3occc32)CCC1 ZINC000652312630 411198870 /nfs/dbraw/zinc/19/88/70/411198870.db2.gz WDZHOGBGMGZQOL-CYBMUJFWSA-N 0 3 249.354 2.799 20 0 BFADHN CC(C)CC[C@H](C)N[C@@H]1C[C@H](C)n2ncnc21 ZINC000658098176 411244975 /nfs/dbraw/zinc/24/49/75/411244975.db2.gz MGUITAYYQHBUEJ-SDDRHHMPSA-N 0 3 236.363 2.698 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1cc2cnccc2o1 ZINC000658020053 411199991 /nfs/dbraw/zinc/19/99/91/411199991.db2.gz SHCSYEGFCAUSMM-VWYCJHECSA-N 0 3 248.326 2.902 20 0 BFADHN C[C@@H](NCc1ncc[nH]1)C1CCC(F)(F)CC1 ZINC000653870132 411202253 /nfs/dbraw/zinc/20/22/53/411202253.db2.gz KZEZVOPRMDMXGA-SECBINFHSA-N 0 3 243.301 2.713 20 0 BFADHN C[C@H]1C[C@@H](N[C@H]2CC[C@@H](C)C[C@@H]2C)c2ncnn21 ZINC000658100760 411245185 /nfs/dbraw/zinc/24/51/85/411245185.db2.gz IZWDXGJENIHAGE-QNWJLWSRSA-N 0 3 248.374 2.698 20 0 BFADHN CC[C@@H](NCc1cccnc1C)[C@@H]1CCCCO1 ZINC000653873706 411203670 /nfs/dbraw/zinc/20/36/70/411203670.db2.gz VPWRUAJKYOVGAZ-CABCVRRESA-N 0 3 248.370 2.827 20 0 BFADHN CCCN(CCC)CC(=O)N(CCC)CCC ZINC000066216341 411204318 /nfs/dbraw/zinc/20/43/18/411204318.db2.gz FXNTVZNLQFKIDD-UHFFFAOYSA-N 0 3 242.407 2.757 20 0 BFADHN CC[C@H](N[C@H](C)c1cccnc1)[C@H]1CCCO1 ZINC000246275594 411204458 /nfs/dbraw/zinc/20/44/58/411204458.db2.gz BASCHXHFNWWBCU-KWCYVHTRSA-N 0 3 234.343 2.690 20 0 BFADHN F[C@@H]1CCCC[C@H]1N[C@@H]1COC2(CCC2)C1 ZINC000658034273 411209586 /nfs/dbraw/zinc/20/95/86/411209586.db2.gz HKINQDOYIKAFQD-QJPTWQEYSA-N 0 3 227.323 2.568 20 0 BFADHN C[C@H]1C[C@@H](N[C@H]2CCC[C@@H](C)[C@H]2C)c2ncnn21 ZINC000658102970 411245952 /nfs/dbraw/zinc/24/59/52/411245952.db2.gz SPEOBSCDRSDRLX-RXGFPQBGSA-N 0 3 248.374 2.698 20 0 BFADHN CC[C@@H](O)CN1CC[C@H](C)C[C@H]1c1ccco1 ZINC000247486852 411210048 /nfs/dbraw/zinc/21/00/48/411210048.db2.gz KQFICROVTNLLKK-XQQFMLRXSA-N 0 3 237.343 2.824 20 0 BFADHN CCn1ccc(CN[C@H]2[C@@H]3CCC[C@@H]3C2(C)C)n1 ZINC000658035135 411210507 /nfs/dbraw/zinc/21/05/07/411210507.db2.gz QIQJFBWDAPWDNA-RDBSUJKOSA-N 0 3 247.386 2.817 20 0 BFADHN c1cc(CN2CCC[C@@H]2CC2CCCC2)n[nH]1 ZINC000653893397 411211567 /nfs/dbraw/zinc/21/15/67/411211567.db2.gz ZZWYBAQWTADESK-CQSZACIVSA-N 0 3 233.359 2.954 20 0 BFADHN COC1([C@@H](C)NCc2cc(C)nc(C)c2)CCC1 ZINC000658038230 411213694 /nfs/dbraw/zinc/21/36/94/411213694.db2.gz NVVSFWVYSLOMRF-CYBMUJFWSA-N 0 3 248.370 2.746 20 0 BFADHN COC1CC(CCN[C@H](C)c2nccs2)C1 ZINC000658055818 411222436 /nfs/dbraw/zinc/22/24/36/411222436.db2.gz NBQPYMYEWILCDH-KPPDAEKUSA-N 0 3 240.372 2.609 20 0 BFADHN COC1CC(CCN[C@H](C)c2ccccn2)C1 ZINC000658054127 411224338 /nfs/dbraw/zinc/22/43/38/411224338.db2.gz BDUKDOLWZOLLBX-PNESKVBLSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2COC(C)(C)C2)c(C)n1 ZINC000658059149 411224989 /nfs/dbraw/zinc/22/49/89/411224989.db2.gz RGPFBUZBIKTEOS-CHWSQXEVSA-N 0 3 248.370 2.917 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2COC(C)(C)C2)c1 ZINC000658059714 411227062 /nfs/dbraw/zinc/22/70/62/411227062.db2.gz UDZOAMXYENPRRA-WCQYABFASA-N 0 3 234.343 2.608 20 0 BFADHN C[C@H](O)CN(Cc1ccc2occc2c1)C1CC1 ZINC000656280063 411227073 /nfs/dbraw/zinc/22/70/73/411227073.db2.gz SPXOYHORLVVFLS-NSHDSACASA-N 0 3 245.322 2.778 20 0 BFADHN Cc1cccc(-n2cc([C@@H](N)C(C)(C)C)nn2)c1 ZINC000656435202 411247177 /nfs/dbraw/zinc/24/71/77/411247177.db2.gz JDGZBWSYICADCR-CYBMUJFWSA-N 0 3 244.342 2.622 20 0 BFADHN Cc1csc(CN[C@@H]2CC[C@H](C)SC2)n1 ZINC000655686235 411227551 /nfs/dbraw/zinc/22/75/51/411227551.db2.gz ZROKLNPCUFEYFZ-VHSXEESVSA-N 0 3 242.413 2.825 20 0 BFADHN C[C@H](O)CN(Cc1coc2ccccc12)C1CC1 ZINC000656277308 411228047 /nfs/dbraw/zinc/22/80/47/411228047.db2.gz BOQSSODXVDDYTK-NSHDSACASA-N 0 3 245.322 2.778 20 0 BFADHN C[C@H](CN[C@@H](C)c1cncs1)c1ccccn1 ZINC000658062637 411228174 /nfs/dbraw/zinc/22/81/74/411228174.db2.gz GNJNOBBJPRIMPN-MNOVXSKESA-N 0 3 247.367 2.992 20 0 BFADHN C[C@H](CN[C@H](C)c1nccs1)c1ccccn1 ZINC000658065352 411230084 /nfs/dbraw/zinc/23/00/84/411230084.db2.gz WSIIMLQIRMGCIU-GHMZBOCLSA-N 0 3 247.367 2.992 20 0 BFADHN COc1ccc(F)cc1CNC[C@@H](C)C1CC1 ZINC000310565454 411241484 /nfs/dbraw/zinc/24/14/84/411241484.db2.gz XIQWFOUGMPJBSD-SNVBAGLBSA-N 0 3 237.318 2.970 20 0 BFADHN CC[C@@H](COCC1CC1)N[C@@H](C)c1cccnc1 ZINC000658090658 411241721 /nfs/dbraw/zinc/24/17/21/411241721.db2.gz LVGYPXBSLYVFPN-WFASDCNBSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@]1(C)CCN(CCO[C@@H]2CC2(F)F)C1 ZINC000660000604 411165188 /nfs/dbraw/zinc/16/51/88/411165188.db2.gz YRYCUXLIWPWNIO-GHMZBOCLSA-N 0 3 233.302 2.533 20 0 BFADHN C[C@@H]1CC[C@H](NC/C=C\CO)c2ccccc21 ZINC000658136992 411261597 /nfs/dbraw/zinc/26/15/97/411261597.db2.gz YYLSQDQSHBRPDC-ZYSUWKAZSA-N 0 3 231.339 2.763 20 0 BFADHN OC/C=C\CN[C@@H](CC1CC1)c1ccccc1 ZINC000658136618 411262197 /nfs/dbraw/zinc/26/21/97/411262197.db2.gz XZNGIRFQTDUJRW-XVWMLYKFSA-N 0 3 231.339 2.666 20 0 BFADHN CC(C)CC[C@H](NC/C=C/CO)c1ccoc1 ZINC000658138124 411262373 /nfs/dbraw/zinc/26/23/73/411262373.db2.gz KOLYUVZOZFQNLM-XGACYXMMSA-N 0 3 237.343 2.895 20 0 BFADHN CC(C)[C@@H](N[C@H]1CCCn2ccnc21)C1CC1 ZINC000658301694 411327035 /nfs/dbraw/zinc/32/70/35/411327035.db2.gz LEFBQSIFKBHYIW-QWHCGFSZSA-N 0 3 233.359 2.742 20 0 BFADHN Cc1ccc([C@H](NC/C=C\CO)C2CCC2)o1 ZINC000658141359 411264761 /nfs/dbraw/zinc/26/47/61/411264761.db2.gz VAUFRPZUGVYDCZ-PYLYLYNFSA-N 0 3 235.327 2.567 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)C2(CO)CC2)oc1C ZINC000658156870 411270511 /nfs/dbraw/zinc/27/05/11/411270511.db2.gz WRUUYZPXMSHESZ-CMPLNLGQSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)C2(CO)CC2)c(C)o1 ZINC000658156857 411270778 /nfs/dbraw/zinc/27/07/78/411270778.db2.gz WOQWWPTUHGXERW-CMPLNLGQSA-N 0 3 237.343 2.708 20 0 BFADHN C[C@@H](N[C@@H]1CCCn2ccnc21)C1CCCC1 ZINC000655716477 411272538 /nfs/dbraw/zinc/27/25/38/411272538.db2.gz UDWZMGPYQVQVQM-DGCLKSJQSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1ncsc1CN[C@H]1CC[C@H](C)SC1 ZINC000655716952 411273384 /nfs/dbraw/zinc/27/33/84/411273384.db2.gz QSLKPABEUGVTEF-WPRPVWTQSA-N 0 3 242.413 2.825 20 0 BFADHN CO[C@@H](CNCc1ccoc1)Cc1ccccc1 ZINC000648653858 411274306 /nfs/dbraw/zinc/27/43/06/411274306.db2.gz QRYKWFHJIYBWHD-OAHLLOKOSA-N 0 3 245.322 2.627 20 0 BFADHN Cc1ncc(CN[C@H]2CC[C@@H](C)SC2)s1 ZINC000655717049 411274738 /nfs/dbraw/zinc/27/47/38/411274738.db2.gz TUXKCKWCCRATKI-SCZZXKLOSA-N 0 3 242.413 2.825 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](C)C2(CO)CC2)o1 ZINC000658162726 411276474 /nfs/dbraw/zinc/27/64/74/411276474.db2.gz YKQOMSXCACPUJU-MNOVXSKESA-N 0 3 237.343 2.654 20 0 BFADHN C[C@@H](NCCO[C@H]1CC1(F)F)c1ccsc1 ZINC000658168362 411278232 /nfs/dbraw/zinc/27/82/32/411278232.db2.gz YCNZRQLPYYSSQS-SCZZXKLOSA-N 0 3 247.310 2.823 20 0 BFADHN c1cn2c(n1)[C@H](NCC(C1CC1)C1CC1)CCC2 ZINC000655718057 411278605 /nfs/dbraw/zinc/27/86/05/411278605.db2.gz IUMPHIPVEWDBDP-CQSZACIVSA-N 0 3 245.370 2.744 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]2C[C@H]21)c1cnccn1 ZINC000656685371 411328248 /nfs/dbraw/zinc/32/82/48/411328248.db2.gz PBVUELDXFVBNBA-ZDEQEGDKSA-N 0 3 231.343 2.563 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cccnc1)C1CCC1 ZINC000660174123 411281158 /nfs/dbraw/zinc/28/11/58/411281158.db2.gz KJDIABKNTWHNKL-SMDDNHRTSA-N 0 3 234.343 2.547 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2CCOCC1CCCC1 ZINC000656476519 411282775 /nfs/dbraw/zinc/28/27/75/411282775.db2.gz PNVXDKLWUFOILL-LSDHHAIUSA-N 0 3 235.371 2.986 20 0 BFADHN C[C@H](NCCCOC(C)(C)C)c1cncs1 ZINC000658186929 411284439 /nfs/dbraw/zinc/28/44/39/411284439.db2.gz ULJJVOYAWPMECA-JTQLQIEISA-N 0 3 242.388 2.999 20 0 BFADHN CC[C@@H](C)CN1CC(CC(F)(F)F)C1 ZINC000656688747 411328722 /nfs/dbraw/zinc/32/87/22/411328722.db2.gz RGCOHUKUBQXVMO-MRVPVSSYSA-N 0 3 209.255 2.917 20 0 BFADHN Cc1ncncc1[C@H](C)NCCC(C)(C)F ZINC000658190555 411286045 /nfs/dbraw/zinc/28/60/45/411286045.db2.gz DPLWSBJTRKZANZ-VIFPVBQESA-N 0 3 225.311 2.574 20 0 BFADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1cccnc1 ZINC000656686565 411328764 /nfs/dbraw/zinc/32/87/64/411328764.db2.gz HOPMUOVEJAVGNL-KOLCDFICSA-N 0 3 226.270 2.778 20 0 BFADHN CC(C)[C@@H](N[C@@H](C)c1cc2n(n1)CCC2)C1CC1 ZINC000658305364 411328864 /nfs/dbraw/zinc/32/88/64/411328864.db2.gz ZJMRZBJPWAQWAU-XHDPSFHLSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@@H](NCCC(C)(C)F)c1cc2n(n1)CCC2 ZINC000658191319 411287129 /nfs/dbraw/zinc/28/71/29/411287129.db2.gz QETAMVULPMOCQH-SNVBAGLBSA-N 0 3 239.338 2.618 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NCCC(C)(C)F ZINC000658192991 411288243 /nfs/dbraw/zinc/28/82/43/411288243.db2.gz QEJMPGDOUIDXKL-VIFPVBQESA-N 0 3 227.327 2.517 20 0 BFADHN Cc1ncc([C@H](C)NCCC(C)(C)F)c(C)n1 ZINC000658192980 411288458 /nfs/dbraw/zinc/28/84/58/411288458.db2.gz PPGHGDBBYVVFAC-VIFPVBQESA-N 0 3 239.338 2.882 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)CCC1CC1 ZINC000186275397 411293280 /nfs/dbraw/zinc/29/32/80/411293280.db2.gz BPBHBXHOTRLEGA-SECBINFHSA-N 0 3 222.332 2.960 20 0 BFADHN Cn1cc2c(n1)CCC[C@H]2N[C@@]1(C)CC1(C)C ZINC000658306406 411329669 /nfs/dbraw/zinc/32/96/69/411329669.db2.gz XNHAKGCCUIJBOY-RISCZKNCSA-N 0 3 233.359 2.576 20 0 BFADHN Cc1nn(-c2ccnc(C)c2)c(C)c1C ZINC000653471450 411294332 /nfs/dbraw/zinc/29/43/32/411294332.db2.gz KCSCTBGGGSEOMI-UHFFFAOYSA-N 0 3 201.273 2.501 20 0 BFADHN c1ncc(CNC2CC3(CCC3)C2)s1 ZINC000656541870 411294538 /nfs/dbraw/zinc/29/45/38/411294538.db2.gz VOKCGSWZOJPPSN-UHFFFAOYSA-N 0 3 208.330 2.565 20 0 BFADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1cncs1 ZINC000658216764 411296878 /nfs/dbraw/zinc/29/68/78/411296878.db2.gz CPKXADAYVDNVEL-APPZFPTMSA-N 0 3 232.299 2.839 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H](C)O1)c1ccco1 ZINC000658218009 411297911 /nfs/dbraw/zinc/29/79/11/411297911.db2.gz GNTIPXDITXWXEJ-GRYCIOLGSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@@H]1CN(CCCC2CCC2)C[C@H](C)O1 ZINC000659812956 411299916 /nfs/dbraw/zinc/29/99/16/411299916.db2.gz CXVXCQSIPIJICH-TXEJJXNPSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@H](NC[C@]1(C)CC1(F)F)c1ccco1 ZINC000658220523 411300577 /nfs/dbraw/zinc/30/05/77/411300577.db2.gz PVLJGGYPEQEEBS-WPRPVWTQSA-N 0 3 215.243 2.976 20 0 BFADHN COc1ccccc1[C@H](CO)NCCC=C(C)C ZINC000660235801 411301576 /nfs/dbraw/zinc/30/15/76/411301576.db2.gz IAVWSSQYFKJDPZ-AWEZNQCLSA-N 0 3 249.354 2.675 20 0 BFADHN OC[C@@H](NCCC1CCC1)c1ccc(F)cc1 ZINC000660236374 411301919 /nfs/dbraw/zinc/30/19/19/411301919.db2.gz MZVIDAWDCCOISD-CQSZACIVSA-N 0 3 237.318 2.639 20 0 BFADHN CC(C)C1CN(Cc2ccc3c(n2)CCC3)C1 ZINC000656582355 411304308 /nfs/dbraw/zinc/30/43/08/411304308.db2.gz QQBDKZXINQFAJR-UHFFFAOYSA-N 0 3 230.355 2.658 20 0 BFADHN COc1ccnc(CN[C@@H](C)CC2CC2)c1F ZINC000658228792 411304359 /nfs/dbraw/zinc/30/43/59/411304359.db2.gz WCCDPCAZJLCIBU-VIFPVBQESA-N 0 3 238.306 2.508 20 0 BFADHN CCOc1cc(CN2CC(C(C)C)C2)ccc1O ZINC000656582337 411304515 /nfs/dbraw/zinc/30/45/15/411304515.db2.gz KAFSGNJURFRBHL-UHFFFAOYSA-N 0 3 249.354 2.879 20 0 BFADHN NCc1ccccc1OCc1cc(C2CC2)no1 ZINC000656601343 411306352 /nfs/dbraw/zinc/30/63/52/411306352.db2.gz UEOWWLWMLVHISC-UHFFFAOYSA-N 0 3 244.294 2.590 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cc(C2CC2)no1 ZINC000659826481 411309156 /nfs/dbraw/zinc/30/91/56/411309156.db2.gz LEBRPJDACDZWCI-SECBINFHSA-N 0 3 220.316 2.782 20 0 BFADHN CN(CCO[C@H]1CC1(F)F)Cc1ccccc1 ZINC000659827569 411310646 /nfs/dbraw/zinc/31/06/46/411310646.db2.gz RRMDORQDISADFV-LBPRGKRZSA-N 0 3 241.281 2.543 20 0 BFADHN C[C@H]1CCCN(Cc2cnc3ccccc3n2)C1 ZINC000659828924 411311790 /nfs/dbraw/zinc/31/17/90/411311790.db2.gz KIMNGENZZPSEMP-LBPRGKRZSA-N 0 3 241.338 2.862 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@@H](C)C2)c(C)o1 ZINC000659829742 411312573 /nfs/dbraw/zinc/31/25/73/411312573.db2.gz UTXDDYCOFCTNNS-NXEZZACHSA-N 0 3 222.332 2.769 20 0 BFADHN CC(C)c1cccc(CN2CCC[C@@H](O)C2)c1 ZINC000659829553 411312862 /nfs/dbraw/zinc/31/28/62/411312862.db2.gz SVFIYJQDHAQGRD-OAHLLOKOSA-N 0 3 233.355 2.767 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](O)C12CCC2)c1cccc(F)c1 ZINC000658250389 411313343 /nfs/dbraw/zinc/31/33/43/411313343.db2.gz BRHGSRJAEAXYPC-GDLCADMTSA-N 0 3 249.329 2.780 20 0 BFADHN CC(C)CC1(N[C@@H](C)c2ccn(C)n2)CC1 ZINC000658319769 411331770 /nfs/dbraw/zinc/33/17/70/411331770.db2.gz GRGDXWPHJGDDLS-NSHDSACASA-N 0 3 221.348 2.649 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2C[C@H](O)C23CCC3)o1 ZINC000658254419 411316316 /nfs/dbraw/zinc/31/63/16/411316316.db2.gz NKUZSEQIVAFAHN-GDLCADMTSA-N 0 3 249.354 2.796 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@H](O)C23CCC3)oc1C ZINC000658254024 411316366 /nfs/dbraw/zinc/31/63/66/411316366.db2.gz XPLABZZRUDRGAR-BPNCWPANSA-N 0 3 249.354 2.851 20 0 BFADHN COc1cc(CN(CC(C)C)C2CC2)sn1 ZINC000659838143 411317324 /nfs/dbraw/zinc/31/73/24/411317324.db2.gz NDSRCKNGYVMFQN-UHFFFAOYSA-N 0 3 240.372 2.772 20 0 BFADHN CCN(Cc1cc(C)nc(C)n1)CC(C)C ZINC000659838652 411318098 /nfs/dbraw/zinc/31/80/98/411318098.db2.gz RHZYOYHHVGBRGO-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN O=C(CCCN1CC[C@@H]2C[C@@H]2C1)c1ccccc1 ZINC000661965840 411332267 /nfs/dbraw/zinc/33/22/67/411332267.db2.gz AXVOOVFIKFGXDL-HUUCEWRRSA-N 0 3 243.350 2.991 20 0 BFADHN c1cnc(CN[C@@H](C2CC2)C2CCCC2)nc1 ZINC000658275102 411319615 /nfs/dbraw/zinc/31/96/15/411319615.db2.gz CWOXPPYXXWZVCV-CQSZACIVSA-N 0 3 231.343 2.535 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2cc3n(n2)CCC3)C1 ZINC000661860301 411320188 /nfs/dbraw/zinc/32/01/88/411320188.db2.gz YTTFOOUFMDUBCQ-CHWSQXEVSA-N 0 3 247.386 2.840 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NC[C@@H]1C(C)(C)C1(F)F ZINC000658280138 411320362 /nfs/dbraw/zinc/32/03/62/411320362.db2.gz GLXRZCBCKSDROS-GMSGAONNSA-N 0 3 243.301 2.660 20 0 BFADHN C[C@@H](NC[C@H]1CCN1C(C)(C)C)c1cccnc1 ZINC000656675641 411321615 /nfs/dbraw/zinc/32/16/15/411321615.db2.gz HOZQVECBOVIXHN-TZMCWYRMSA-N 0 3 247.386 2.605 20 0 BFADHN Cc1ncncc1[C@H](C)NCC1=CCCC1 ZINC000658291156 411324841 /nfs/dbraw/zinc/32/48/41/411324841.db2.gz LTFDIMSBXBLAEV-JTQLQIEISA-N 0 3 217.316 2.546 20 0 BFADHN O[C@@H]1CCCC[C@H]1N1CC[C@H]1c1cccc(F)c1 ZINC000656700301 411326084 /nfs/dbraw/zinc/32/60/84/411326084.db2.gz SVYJPWXJZSDHOE-RRFJBIMHSA-N 0 3 249.329 2.876 20 0 BFADHN Cc1ccc(-n2cc([C@@H](N)C(C)(C)C)nn2)cc1 ZINC000656433018 411249509 /nfs/dbraw/zinc/24/95/09/411249509.db2.gz GRUIODQRLVYHDS-CYBMUJFWSA-N 0 3 244.342 2.622 20 0 BFADHN CC[C@H](C)CCN[C@@H](C)c1nccn1C ZINC000658119748 411250499 /nfs/dbraw/zinc/25/04/99/411250499.db2.gz GYMBGDAAZVSJDW-QWRGUYRKSA-N 0 3 209.337 2.507 20 0 BFADHN CC[C@H](C)CCN[C@H](C)c1nccn1C ZINC000658119749 411250503 /nfs/dbraw/zinc/25/05/03/411250503.db2.gz GYMBGDAAZVSJDW-WDEREUQCSA-N 0 3 209.337 2.507 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2cc3n(n2)CCCC3)C1 ZINC000655768110 411250593 /nfs/dbraw/zinc/25/05/93/411250593.db2.gz PQMJVUITOBHSIN-QWHCGFSZSA-N 0 3 247.386 2.745 20 0 BFADHN CC[C@H](C)CCN[C@H](C)c1cc(C)n(C)n1 ZINC000658122881 411250942 /nfs/dbraw/zinc/25/09/42/411250942.db2.gz WHKKXDRLCNGPCM-CMPLNLGQSA-N 0 3 223.364 2.815 20 0 BFADHN CC[C@H](C)CCN[C@@H](C)c1ccncn1 ZINC000658122403 411251690 /nfs/dbraw/zinc/25/16/90/411251690.db2.gz FUIXOWWDVVSRKN-QWRGUYRKSA-N 0 3 207.321 2.563 20 0 BFADHN CC[C@@H](C)CCN[C@H](C)c1ccn(C)n1 ZINC000658123548 411252628 /nfs/dbraw/zinc/25/26/28/411252628.db2.gz KOLHGYBZOKBVBB-GHMZBOCLSA-N 0 3 209.337 2.507 20 0 BFADHN CC[C@@H](C)CCN[C@@H](C)c1ccn(C)n1 ZINC000658123550 411252838 /nfs/dbraw/zinc/25/28/38/411252838.db2.gz KOLHGYBZOKBVBB-MNOVXSKESA-N 0 3 209.337 2.507 20 0 BFADHN CC(F)(F)CCCN[C@H]1CCCn2ccnc21 ZINC000655771429 411253730 /nfs/dbraw/zinc/25/37/30/411253730.db2.gz KSEGZYVQWJTAFQ-JTQLQIEISA-N 0 3 243.301 2.743 20 0 BFADHN Cc1cc(C)cc([C@H](C)NC/C=C\CO)c1 ZINC000658131940 411254850 /nfs/dbraw/zinc/25/48/50/411254850.db2.gz FIBMZDPZQFWCIG-ZFDPJTLLSA-N 0 3 219.328 2.503 20 0 BFADHN Cc1cc(C)cc([C@@H](C)NC/C=C/CO)c1 ZINC000658131939 411255441 /nfs/dbraw/zinc/25/54/41/411255441.db2.gz FIBMZDPZQFWCIG-MUBLQREKSA-N 0 3 219.328 2.503 20 0 BFADHN Cc1cc([C@H](C)NC/C=C/CO)c(C)s1 ZINC000658132431 411255910 /nfs/dbraw/zinc/25/59/10/411255910.db2.gz LPRATJBBPBYKPA-YEZKRMTDSA-N 0 3 225.357 2.564 20 0 BFADHN Cc1cc([C@@H](C)NC/C=C/CO)c(C)s1 ZINC000658132429 411255932 /nfs/dbraw/zinc/25/59/32/411255932.db2.gz LPRATJBBPBYKPA-ORAHPGNNSA-N 0 3 225.357 2.564 20 0 BFADHN CC(C)(C)[C@H](N)c1cn(C2CCCCC2)nn1 ZINC000656438542 411256383 /nfs/dbraw/zinc/25/63/83/411256383.db2.gz ZFYXMOROIASEDQ-GFCCVEGCSA-N 0 3 236.363 2.829 20 0 BFADHN C[C@@H](NC/C=C\CO)c1ccc(Cl)s1 ZINC000658132476 411256360 /nfs/dbraw/zinc/25/63/60/411256360.db2.gz MCDODTCYILVCQA-YAJNLLPGSA-N 0 3 231.748 2.601 20 0 BFADHN CC(C)[C@@H](N[C@@H](C)c1ccn(C)n1)C1CC1 ZINC000658298835 411326741 /nfs/dbraw/zinc/32/67/41/411326741.db2.gz CBWVIPJIGNEJCA-GXFFZTMASA-N 0 3 221.348 2.505 20 0 BFADHN CCCOc1ccc([C@H](C)NC/C=C\CO)cc1 ZINC000658132394 411256790 /nfs/dbraw/zinc/25/67/90/411256790.db2.gz HVIJGCWNWFIIJE-ZFDPJTLLSA-N 0 3 249.354 2.675 20 0 BFADHN CC(C)[C@@H](NC/C=C\CO)c1ccccc1 ZINC000658132598 411256868 /nfs/dbraw/zinc/25/68/68/411256868.db2.gz AAMXIFBHLLKACQ-LMVHVUTASA-N 0 3 219.328 2.522 20 0 BFADHN Cc1ccc(F)c(CN2C[C@@H]3COC[C@]3(C)C2)c1 ZINC000661708050 411257594 /nfs/dbraw/zinc/25/75/94/411257594.db2.gz LNWDOJCTOSDEET-HIFRSBDPSA-N 0 3 249.329 2.602 20 0 BFADHN C[C@@H](NC/C=C/CO)c1cc2ccccc2o1 ZINC000658133088 411257749 /nfs/dbraw/zinc/25/77/49/411257749.db2.gz OUYKJQXMGFSYKC-SGUJLRQBSA-N 0 3 231.295 2.632 20 0 BFADHN C[C@H](NC/C=C\CO)c1cc2ccccc2o1 ZINC000658133089 411258597 /nfs/dbraw/zinc/25/85/97/411258597.db2.gz OUYKJQXMGFSYKC-WYGGZMRJSA-N 0 3 231.295 2.632 20 0 BFADHN Cc1cccc([C@@H](C)NC/C=C/CO)c1C ZINC000658134113 411259152 /nfs/dbraw/zinc/25/91/52/411259152.db2.gz PMWRODGMCAWLHA-MUBLQREKSA-N 0 3 219.328 2.503 20 0 BFADHN CSc1ccc([C@H](C)NC/C=C/CO)cc1 ZINC000658134804 411260015 /nfs/dbraw/zinc/26/00/15/411260015.db2.gz WYIPEKWZBBJLKW-UFFNRZRYSA-N 0 3 237.368 2.608 20 0 BFADHN CSc1ccc([C@@H](C)NC/C=C\CO)cc1 ZINC000658134797 411260150 /nfs/dbraw/zinc/26/01/50/411260150.db2.gz WYIPEKWZBBJLKW-DLRQAJBASA-N 0 3 237.368 2.608 20 0 BFADHN CC1(C)CC[C@@H](NC/C=C\CO)c2ccccc21 ZINC000658136159 411260462 /nfs/dbraw/zinc/26/04/62/411260462.db2.gz GSOFRARZGGURGR-IYKSTZQJSA-N 0 3 245.366 2.937 20 0 BFADHN OC/C=C\CN[C@@H]1CCCCc2ccccc21 ZINC000658135239 411260533 /nfs/dbraw/zinc/26/05/33/411260533.db2.gz TZDXEIRDEXGPPT-IYKSTZQJSA-N 0 3 231.339 2.592 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@@H]2COC[C@@H]2C1 ZINC000662034109 411350306 /nfs/dbraw/zinc/35/03/06/411350306.db2.gz LSOLDXJKAARHCI-OCCSQVGLSA-N 0 3 249.329 2.602 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1)c1csc(Cl)c1 ZINC000658349599 411351169 /nfs/dbraw/zinc/35/11/69/411351169.db2.gz YRRCZJOYZPEMMA-XVYDVKMFSA-N 0 3 231.748 2.575 20 0 BFADHN Cc1cc(CN2CCC[C@H](C3CCC3)C2)nn1C ZINC000662043360 411354087 /nfs/dbraw/zinc/35/40/87/411354087.db2.gz ILPHDHUFMNOIDF-AWEZNQCLSA-N 0 3 247.386 2.741 20 0 BFADHN CCN(Cc1cnn(C)c1)C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000659869047 411355417 /nfs/dbraw/zinc/35/54/17/411355417.db2.gz UDKQEIVMNHNZAM-VHDGCEQUSA-N 0 3 247.386 2.678 20 0 BFADHN CC1(C)CN(Cc2cccc(O)c2)CCS1 ZINC000093504604 167959975 /nfs/dbraw/zinc/95/99/75/167959975.db2.gz SSOPMXXMFVHYHT-UHFFFAOYSA-N 0 3 237.368 2.720 20 0 BFADHN COCC1(C)CN([C@H](C)Cc2ccsc2)C1 ZINC000656780089 411358630 /nfs/dbraw/zinc/35/86/30/411358630.db2.gz OCEPCXORALXRFK-LLVKDONJSA-N 0 3 239.384 2.647 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@@](C)(CO)C2)cs1 ZINC000662144596 411366787 /nfs/dbraw/zinc/36/67/87/411366787.db2.gz TUPSBQNGLAIZNB-GXFFZTMASA-N 0 3 239.384 2.507 20 0 BFADHN Cn1nccc1CN1CCCC[C@@H]1CC1CCC1 ZINC000662155689 411369107 /nfs/dbraw/zinc/36/91/07/411369107.db2.gz HMVVMTQZLOIAPS-CQSZACIVSA-N 0 3 247.386 2.965 20 0 BFADHN Cc1ccc(CN2CC[C@H]3C[C@H]3C2)c(C)n1 ZINC000661968708 411337159 /nfs/dbraw/zinc/33/71/59/411337159.db2.gz GVBHTLWHANPLJL-JSGCOSHPSA-N 0 3 216.328 2.540 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccsc1 ZINC000658331693 411339127 /nfs/dbraw/zinc/33/91/27/411339127.db2.gz MOEBCJPGWZVXGP-GDPRMGEGSA-N 0 3 211.330 2.576 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@@H]1C[C@]1(C)OC ZINC000658331644 411339239 /nfs/dbraw/zinc/33/92/39/411339239.db2.gz LVWRNHNJNBWTPW-UISBYWKRSA-N 0 3 241.356 2.585 20 0 BFADHN C[C@@H](NC[C@@H]1CCOC1(C)C)c1ccccn1 ZINC000658331827 411339625 /nfs/dbraw/zinc/33/96/25/411339625.db2.gz PXLXHXFONQMOHC-NEPJUHHUSA-N 0 3 234.343 2.547 20 0 BFADHN CC1(C)C[C@H](NCc2cccc(O)c2)C(C)(C)O1 ZINC000154447863 167992953 /nfs/dbraw/zinc/99/29/53/167992953.db2.gz BLIXANAWXOHRLH-ZDUSSCGKSA-N 0 3 249.354 2.828 20 0 BFADHN CCc1nn(C)cc1CN1CC(C2CCCC2)C1 ZINC000656729906 411340481 /nfs/dbraw/zinc/34/04/81/411340481.db2.gz UUGIGMXTUPGBMB-UHFFFAOYSA-N 0 3 247.386 2.605 20 0 BFADHN C[C@@H](NCCSCCCO)c1ccsc1 ZINC000167396509 411340947 /nfs/dbraw/zinc/34/09/47/411340947.db2.gz VTZNZQZKARAXKH-SNVBAGLBSA-N 0 3 245.413 2.514 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](CC(C)C)c1ccncc1 ZINC000658333600 411342514 /nfs/dbraw/zinc/34/25/14/411342514.db2.gz VBWQBILLMKOXGP-ZNMIVQPWSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@@H](NC[C@H]1CCOC1(C)C)c1cscn1 ZINC000658331239 411343810 /nfs/dbraw/zinc/34/38/10/411343810.db2.gz NKXFAONBMUPTEN-NXEZZACHSA-N 0 3 240.372 2.609 20 0 BFADHN CO[C@H](CN(C)Cc1ccoc1)C1CCC1 ZINC000659853308 411344066 /nfs/dbraw/zinc/34/40/66/411344066.db2.gz SRLLHFZNRJDKLM-CYBMUJFWSA-N 0 3 223.316 2.527 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1NCc1sccc1Cl ZINC000278612274 168000067 /nfs/dbraw/zinc/00/00/67/168000067.db2.gz CZLZVGVSERMKKJ-UWVGGRQHSA-N 0 3 245.775 2.651 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000183899108 168000179 /nfs/dbraw/zinc/00/01/79/168000179.db2.gz RVORWIAIOQNXJZ-RDBSUJKOSA-N 0 3 249.329 2.562 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1NC/C=C/c1ccc(F)cc1 ZINC000189345793 168000268 /nfs/dbraw/zinc/00/02/68/168000268.db2.gz CETHXYBNINMUEB-NVFZZLLCSA-N 0 3 249.329 2.588 20 0 BFADHN CC1(C)[C@H](NCc2ccc(Cl)s2)C[C@@H]1O ZINC000163376686 168001117 /nfs/dbraw/zinc/00/11/17/168001117.db2.gz RIIPMWAOEFGVAX-BDAKNGLRSA-N 0 3 245.775 2.651 20 0 BFADHN CC1(C)[C@H](NC/C=C/c2ccc(F)cc2)C[C@@H]1O ZINC000189345772 168001626 /nfs/dbraw/zinc/00/16/26/168001626.db2.gz CETHXYBNINMUEB-JKWAOEONSA-N 0 3 249.329 2.588 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H]1CCF)c1nccn1C ZINC000658337649 411344603 /nfs/dbraw/zinc/34/46/03/411344603.db2.gz FUQUHEQQSKPFNL-QJPTWQEYSA-N 0 3 239.338 2.599 20 0 BFADHN CC1(C)[C@H](NCc2ccccc2F)[C@H]2CCO[C@H]21 ZINC000227061695 168006095 /nfs/dbraw/zinc/00/60/95/168006095.db2.gz OSDADOOSHGRPJW-MRVWCRGKSA-N 0 3 249.329 2.729 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NCc1ccc(Cl)cc1 ZINC000163322785 168008400 /nfs/dbraw/zinc/00/84/00/168008400.db2.gz ITODXCUVXDGDKS-VXGBXAGGSA-N 0 3 239.746 2.589 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NCc1ccc2occc2c1 ZINC000285780535 168009093 /nfs/dbraw/zinc/00/90/93/168009093.db2.gz DXWCNLOXLUUGDX-ZIAGYGMSSA-N 0 3 245.322 2.682 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]1C1CCC1)c1nccn1C ZINC000658339812 411345998 /nfs/dbraw/zinc/34/59/98/411345998.db2.gz VWJGVSVPFFQPJJ-CYZMBNFOSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]1C(C)(C)C)c1nccn1C ZINC000658338718 411346207 /nfs/dbraw/zinc/34/62/07/411346207.db2.gz JVWKDZILYCSBER-SDDRHHMPSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@@H]3COC[C@@H]3C2)c1 ZINC000662031755 411347533 /nfs/dbraw/zinc/34/75/33/411347533.db2.gz URSLRKIVRXPHRB-OCCSQVGLSA-N 0 3 249.329 2.602 20 0 BFADHN CC(C)=CCCN[C@@H](C)c1nnc2ccccn21 ZINC000660256091 411347591 /nfs/dbraw/zinc/34/75/91/411347591.db2.gz DSMPNBQOMBLNGN-LBPRGKRZSA-N 0 3 244.342 2.736 20 0 BFADHN CCC[C@@H](N[C@H]1C[C@H](O)C1)c1ccsc1 ZINC000658348436 411347704 /nfs/dbraw/zinc/34/77/04/411347704.db2.gz PZTKSYIRKOJRPO-IJLUTSLNSA-N 0 3 225.357 2.702 20 0 BFADHN CC1(C[NH2+]Cc2c(F)ccc([O-])c2F)CC1 ZINC000352650151 168041788 /nfs/dbraw/zinc/04/17/88/168041788.db2.gz UCQGUPMQJLNYAB-UHFFFAOYSA-N 0 3 227.254 2.560 20 0 BFADHN CC1(CNCc2cc(F)cc(Cl)c2)COC1 ZINC000168265167 168042268 /nfs/dbraw/zinc/04/22/68/168042268.db2.gz XOILRRTWJOCCSZ-UHFFFAOYSA-N 0 3 243.709 2.605 20 0 BFADHN CC1(CNCc2cocn2)Cc2ccccc2C1 ZINC000192340511 168043299 /nfs/dbraw/zinc/04/32/99/168043299.db2.gz AAKBBEXUVXPMHG-UHFFFAOYSA-N 0 3 242.322 2.569 20 0 BFADHN CC(C)(C)c1ncc(CNCC2(C)CC2)cn1 ZINC000336687547 168043946 /nfs/dbraw/zinc/04/39/46/168043946.db2.gz FJGXDRCSMKIHJL-UHFFFAOYSA-N 0 3 233.359 2.664 20 0 BFADHN CC1(CN[C@@H](c2nc[nH]n2)C2CCCCC2)CC1 ZINC000330269543 168045078 /nfs/dbraw/zinc/04/50/78/168045078.db2.gz SBESAOITOBNINB-GFCCVEGCSA-N 0 3 248.374 2.816 20 0 BFADHN CC1([NH2+]Cc2cc([O-])cc(F)c2)CCCC1 ZINC000159595159 168063473 /nfs/dbraw/zinc/06/34/73/168063473.db2.gz UDPYWCOQAKDLPI-UHFFFAOYSA-N 0 3 223.291 2.954 20 0 BFADHN CC1(NCc2cncs2)Cc2ccccc2C1 ZINC000092853660 168063951 /nfs/dbraw/zinc/06/39/51/168063951.db2.gz MHAMHRDKPFISGQ-UHFFFAOYSA-N 0 3 244.363 2.790 20 0 BFADHN CC1(NCc2cccc3c2OCCCO3)CCC1 ZINC000159916080 168064292 /nfs/dbraw/zinc/06/42/92/168064292.db2.gz OIEOGJIQTYPLOH-UHFFFAOYSA-N 0 3 247.338 2.880 20 0 BFADHN Cc1cnccc1CN1CCC(C)=C(C)C1 ZINC000296914916 168084419 /nfs/dbraw/zinc/08/44/19/168084419.db2.gz RODWRWRHYSAYEO-UHFFFAOYSA-N 0 3 216.328 2.932 20 0 BFADHN CC1=C(C)CN(Cc2cccc3nccn32)CC1 ZINC000280941666 168084719 /nfs/dbraw/zinc/08/47/19/168084719.db2.gz SOYYPYQEVGWPGH-UHFFFAOYSA-N 0 3 241.338 2.876 20 0 BFADHN CC1=CCCN(CC[C@H](O)c2ccccc2)C1 ZINC000289496210 168098653 /nfs/dbraw/zinc/09/86/53/168098653.db2.gz TTWVUICXOPCGOC-HNNXBMFYSA-N 0 3 231.339 2.762 20 0 BFADHN Cc1cnccc1CN1CCC=C(C)C1 ZINC000296736807 168100637 /nfs/dbraw/zinc/10/06/37/168100637.db2.gz JVJZURAOCKZCQV-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN CSc1cccc(CN(C)[C@H]2CCOC2)c1 ZINC000659907402 411379506 /nfs/dbraw/zinc/37/95/06/411379506.db2.gz FZHWHODNICPTSS-LBPRGKRZSA-N 0 3 237.368 2.629 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CN(C)Cc1cnccn1 ZINC000191058443 168101592 /nfs/dbraw/zinc/10/15/92/168101592.db2.gz RMFXOJKFUJNZTQ-UKRRQHHQSA-N 0 3 245.370 2.901 20 0 BFADHN C[C@H](CCCc1cccnc1)NCc1ccno1 ZINC000656829203 411379682 /nfs/dbraw/zinc/37/96/82/411379682.db2.gz OPTZAPXWNAWAAM-GFCCVEGCSA-N 0 3 245.326 2.571 20 0 BFADHN CC1=CCN(CCC(=O)c2ccccc2)CC1 ZINC000272200955 168109573 /nfs/dbraw/zinc/10/95/73/168109573.db2.gz BMHBCZBDZGNDKX-UHFFFAOYSA-N 0 3 229.323 2.911 20 0 BFADHN CC1=CCN(CCN(C)c2ccccc2)CC1 ZINC000339659376 168110258 /nfs/dbraw/zinc/11/02/58/168110258.db2.gz PRBCYRDHSSGQCM-UHFFFAOYSA-N 0 3 230.355 2.775 20 0 BFADHN CC1=CCN(C[C@H](O)c2ccc(F)cc2)CC1 ZINC000270137389 168115176 /nfs/dbraw/zinc/11/51/76/168115176.db2.gz HUNIGLFAWCEMDB-AWEZNQCLSA-N 0 3 235.302 2.511 20 0 BFADHN CC1=CC[C@@H](N[C@H](CCO)c2ccco2)CC1 ZINC000285210953 168118848 /nfs/dbraw/zinc/11/88/48/168118848.db2.gz OMBBNSCCVYTSTL-CHWSQXEVSA-N 0 3 235.327 2.792 20 0 BFADHN Cc1cc(CN[C@@H]2CC=C(C)CC2)on1 ZINC000293758970 168119159 /nfs/dbraw/zinc/11/91/59/168119159.db2.gz YVGUIBJHKXCMNP-LLVKDONJSA-N 0 3 206.289 2.571 20 0 BFADHN CC1=CC[C@@H](N[C@H](c2nncn2C)C(C)C)CC1 ZINC000294250322 168119490 /nfs/dbraw/zinc/11/94/90/168119490.db2.gz AIFDEZNEAPKPCO-OLZOCXBDSA-N 0 3 248.374 2.601 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1cc2n(n1)CCCC2 ZINC000655780022 411380635 /nfs/dbraw/zinc/38/06/35/411380635.db2.gz VRXOWAROKUTZSA-WFASDCNBSA-N 0 3 247.386 2.601 20 0 BFADHN C[C@@H](CN[C@@H]1CCCn2ccnc21)CC(F)F ZINC000655779948 411380935 /nfs/dbraw/zinc/38/09/35/411380935.db2.gz UUPZLIBLKZLVNC-NXEZZACHSA-N 0 3 243.301 2.599 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN2CCO[C@@H](C)C2)C1 ZINC000354317487 168127504 /nfs/dbraw/zinc/12/75/04/168127504.db2.gz GVWKDLLEATYQIS-FPMFFAJLSA-N 0 3 223.360 2.700 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN2CCOCC23CC3)C1 ZINC000356340847 168127755 /nfs/dbraw/zinc/12/77/55/168127755.db2.gz PFOJMJJEUGJORV-GXTWGEPZSA-N 0 3 235.371 2.844 20 0 BFADHN CC1CC(NCc2cccc(F)c2F)C1 ZINC000128867277 168146978 /nfs/dbraw/zinc/14/69/78/168146978.db2.gz XHZLNWWBIUGNEC-UHFFFAOYSA-N 0 3 211.255 2.853 20 0 BFADHN C[C@H](NC1CC(C)C1)c1cncc(F)c1 ZINC000130378639 168147064 /nfs/dbraw/zinc/14/70/64/168147064.db2.gz LSJHWMPZJCWTNO-XEVUQIKYSA-N 0 3 208.280 2.670 20 0 BFADHN CC1CC(N[C@@H]2COc3ccc(F)cc32)C1 ZINC000309443350 168147862 /nfs/dbraw/zinc/14/78/62/168147862.db2.gz KQICANDMYNDQGP-HSLLBKHCSA-N 0 3 221.275 2.647 20 0 BFADHN CC1CC(N[C@@H]2c3ccccc3O[C@H]2C)C1 ZINC000309743741 168148057 /nfs/dbraw/zinc/14/80/57/168148057.db2.gz DZRMVWKABWYAHT-JHSKNCOYSA-N 0 3 217.312 2.897 20 0 BFADHN C[C@@H](NC1CC(C)C1)c1ccncc1F ZINC000308848813 168148083 /nfs/dbraw/zinc/14/80/83/168148083.db2.gz NHVYMGZDGHKBQX-HWOCKDDLSA-N 0 3 208.280 2.670 20 0 BFADHN CC1CCC(C)(CNCc2ncc[nH]2)CC1 ZINC000336740997 168150932 /nfs/dbraw/zinc/15/09/32/168150932.db2.gz MVDCQZSUDKKVBC-UHFFFAOYSA-N 0 3 221.348 2.716 20 0 BFADHN CC1CCC(CN2CCOCC23CC3)CC1 ZINC000338598204 168153177 /nfs/dbraw/zinc/15/31/77/168153177.db2.gz PQXSCROJJYZAEG-UHFFFAOYSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cnc3ccccc3n2)C1 ZINC000659945829 411389462 /nfs/dbraw/zinc/38/94/62/411389462.db2.gz CRRHAJKDQLOGCK-RYUDHWBXSA-N 0 3 241.338 2.860 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cnc3ccccc3n2)C1 ZINC000659945831 411389652 /nfs/dbraw/zinc/38/96/52/411389652.db2.gz CRRHAJKDQLOGCK-VXGBXAGGSA-N 0 3 241.338 2.860 20 0 BFADHN CCC(=O)CCN(C)[C@@H](C)c1cccc(O)c1 ZINC000190295709 168200993 /nfs/dbraw/zinc/20/09/93/168200993.db2.gz FIBZIEAWAUCVAJ-NSHDSACASA-N 0 3 235.327 2.754 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cc(C3CC3)no2)C1 ZINC000659945273 411390141 /nfs/dbraw/zinc/39/01/41/411390141.db2.gz ANJWWSMJSSLEAU-ZJUUUORDSA-N 0 3 220.316 2.782 20 0 BFADHN CC[C@H]1CN(CCC(C)(C)C2CC2)CCO1 ZINC000653492430 411382949 /nfs/dbraw/zinc/38/29/49/411382949.db2.gz MFNVGGIMJAQAAE-ZDUSSCGKSA-N 0 3 225.376 2.924 20 0 BFADHN Cc1nc(CN2CCCC[C@@H]2C(C)C)co1 ZINC000659935784 411385974 /nfs/dbraw/zinc/38/59/74/411385974.db2.gz NATWFKHGZIRUAK-CYBMUJFWSA-N 0 3 222.332 2.994 20 0 BFADHN CCC(C)(C)CCN1CCOC[C@@H]1CCOC ZINC000361530328 168276769 /nfs/dbraw/zinc/27/67/69/168276769.db2.gz ZBOJYHIOODRELR-ZDUSSCGKSA-N 0 3 243.391 2.550 20 0 BFADHN CCC(C)(C)CNCc1cn(C(C)(C)C)nn1 ZINC000310076810 168281789 /nfs/dbraw/zinc/28/17/89/168281789.db2.gz SWXBEJVNYICJNQ-UHFFFAOYSA-N 0 3 238.379 2.559 20 0 BFADHN CCC(C)(C)CNCc1cn2ccccc2n1 ZINC000174245461 168282101 /nfs/dbraw/zinc/28/21/01/168282101.db2.gz IYSGEGIIDDZBDM-UHFFFAOYSA-N 0 3 231.343 2.860 20 0 BFADHN CCC(C)(C)CN[C@H](C)c1cnccn1 ZINC000189992396 168282225 /nfs/dbraw/zinc/28/22/25/168282225.db2.gz MTJNRRWLIKVFKX-SNVBAGLBSA-N 0 3 207.321 2.563 20 0 BFADHN CCC[C@H](C)NC(=O)C[C@H]1NCc2ccccc21 ZINC000659273035 411387308 /nfs/dbraw/zinc/38/73/08/411387308.db2.gz YTRYJSGXFJCMGT-SMDDNHRTSA-N 0 3 246.354 2.526 20 0 BFADHN CCC(C)(C)NC(=O)[C@@H](C)[C@H](N)c1ccccc1 ZINC000131599032 168293754 /nfs/dbraw/zinc/29/37/54/168293754.db2.gz ONWGAFCCFRHMOG-AAEUAGOBSA-N 0 3 248.370 2.627 20 0 BFADHN CCC(C)(C)NC(=O)[C@H](C)N(C)CC(C)(C)C ZINC000339257821 168295487 /nfs/dbraw/zinc/29/54/87/168295487.db2.gz HLEVYMWXJZXGSN-NSHDSACASA-N 0 3 242.407 2.658 20 0 BFADHN CC[C@H]1C[C@H](NCc2cccc(C)c2)CO1 ZINC000657298723 411455060 /nfs/dbraw/zinc/45/50/60/411455060.db2.gz PTCGJYUDHYUJHB-KBPBESRZSA-N 0 3 219.328 2.652 20 0 BFADHN CCC(C)(C)NCc1cc(OC)cc(C)n1 ZINC000125951883 168299465 /nfs/dbraw/zinc/29/94/65/168299465.db2.gz FQFSNSOQNGYLPK-UHFFFAOYSA-N 0 3 222.332 2.677 20 0 BFADHN CCC(C)(C)NCc1cn2cc(C)ccc2n1 ZINC000263887433 168299483 /nfs/dbraw/zinc/29/94/83/168299483.db2.gz SKWJGOADHHWFCV-UHFFFAOYSA-N 0 3 231.343 2.921 20 0 BFADHN CCC(C)(C)[C@H]1CCCN1Cc1ccn(C)n1 ZINC000354011877 168305981 /nfs/dbraw/zinc/30/59/81/168305981.db2.gz WKVAJSIYSNUMCX-CYBMUJFWSA-N 0 3 235.375 2.821 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1nc(C)c[nH]1 ZINC000657305295 411456619 /nfs/dbraw/zinc/45/66/19/411456619.db2.gz MQWWZLFXAVZLHN-NEPJUHHUSA-N 0 3 221.348 2.777 20 0 BFADHN CC[C@@H]1C[C@H](NC[C@H](OC)c2ccccc2)CO1 ZINC000657326220 411464662 /nfs/dbraw/zinc/46/46/62/411464662.db2.gz KMSUSEVDPHVCQS-ZNMIVQPWSA-N 0 3 249.354 2.531 20 0 BFADHN CCc1ccc(CN[C@@H]2CO[C@H](CC)C2)s1 ZINC000657310692 411459434 /nfs/dbraw/zinc/45/94/34/411459434.db2.gz QXVBREWFMXNMEE-WDEREUQCSA-N 0 3 239.384 2.968 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cnc2ccccn12 ZINC000657038061 411394946 /nfs/dbraw/zinc/39/49/46/411394946.db2.gz SOJHMMBSIWKLOT-TZMCWYRMSA-N 0 3 243.354 2.859 20 0 BFADHN CC(C)c1cccc(CN(C)C[C@@H](C)O)c1 ZINC000659953636 411397369 /nfs/dbraw/zinc/39/73/69/411397369.db2.gz RDFXNKUPIOLJFN-GFCCVEGCSA-N 0 3 221.344 2.623 20 0 BFADHN CCOc1cccc(CN[C@H]2CO[C@@H](CC)C2)c1 ZINC000657314478 411461134 /nfs/dbraw/zinc/46/11/34/411461134.db2.gz WDLRVTNVMQZPDQ-KGLIPLIRSA-N 0 3 249.354 2.742 20 0 BFADHN CC1(C)CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)CCO1 ZINC000659813987 411462064 /nfs/dbraw/zinc/46/20/64/411462064.db2.gz ZIVIMGFPIYXHNK-UPJWGTAASA-N 0 3 223.360 2.533 20 0 BFADHN Cc1ccc(CN2CC[C@@H](CC(C)C)C2)nn1 ZINC000414389244 411413966 /nfs/dbraw/zinc/41/39/66/411413966.db2.gz PQLGWNPLYDWQBT-ZDUSSCGKSA-N 0 3 233.359 2.653 20 0 BFADHN CN(C)c1ccc(CN(C)C2CC(C)(C)C2)cn1 ZINC000657192009 411417246 /nfs/dbraw/zinc/41/72/46/411417246.db2.gz ZIIOBMBKQVTPGK-UHFFFAOYSA-N 0 3 247.386 2.768 20 0 BFADHN Cc1cc(F)ccc1CN1CCC[C@@H]2COC[C@@H]21 ZINC000657209086 411418953 /nfs/dbraw/zinc/41/89/53/411418953.db2.gz RPHZCCWEAGFYJX-HIFRSBDPSA-N 0 3 249.329 2.745 20 0 BFADHN CN(CCC(C)(C)O)Cc1ccc(F)c(F)c1 ZINC000267380232 411421385 /nfs/dbraw/zinc/42/13/85/411421385.db2.gz GGSRVWFZDQWPPJ-UHFFFAOYSA-N 0 3 243.297 2.558 20 0 BFADHN CC(C)(CCN1C[C@H]2CC[C@@H](C1)O2)C1CC1 ZINC000653561512 411422114 /nfs/dbraw/zinc/42/21/14/411422114.db2.gz HWSPEHIOTTZZMA-BETUJISGSA-N 0 3 223.360 2.676 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN2CCO[C@H](CF)C2)C1 ZINC000414465868 411423049 /nfs/dbraw/zinc/42/30/49/411423049.db2.gz ADFQYDXLMPQFBM-FPMFFAJLSA-N 0 3 241.350 2.649 20 0 BFADHN COc1ccccc1CN[C@H](C)C1(OC)CCC1 ZINC000414521823 411426419 /nfs/dbraw/zinc/42/64/19/411426419.db2.gz FWNBRJZONPPWMJ-GFCCVEGCSA-N 0 3 249.354 2.742 20 0 BFADHN CN(Cc1ccsc1)[C@@H]1COC(C)(C)C1 ZINC000659820632 411462847 /nfs/dbraw/zinc/46/28/47/411462847.db2.gz ISCXCZYQWOJINE-NSHDSACASA-N 0 3 225.357 2.747 20 0 BFADHN CC(C)(CCN1CCOC[C@H]1C1CC1)C1CC1 ZINC000653594305 411430741 /nfs/dbraw/zinc/43/07/41/411430741.db2.gz KDNRAATVPATPBV-AWEZNQCLSA-N 0 3 237.387 2.924 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2cncc(C)c2)C1(C)C ZINC000271596252 411431760 /nfs/dbraw/zinc/43/17/60/411431760.db2.gz YYPNSKHIFNVSBV-HIFRSBDPSA-N 0 3 248.370 2.683 20 0 BFADHN c1ccc2c(CCN3CC=CCC3)noc2c1 ZINC000653609812 411439178 /nfs/dbraw/zinc/43/91/78/411439178.db2.gz FQSGSJBQRSOIOM-UHFFFAOYSA-N 0 3 228.295 2.632 20 0 BFADHN COc1cc([C@@H](C)N[C@@H]2CC2(C)C)ccn1 ZINC000655741815 411442443 /nfs/dbraw/zinc/44/24/43/411442443.db2.gz MSOABAPHIPTSFZ-MWLCHTKSSA-N 0 3 220.316 2.539 20 0 BFADHN C[C@H]1CCCC[C@@H]1NCc1cn(C2CCC2)nn1 ZINC000657285671 411443984 /nfs/dbraw/zinc/44/39/84/411443984.db2.gz MNKBQBOGZRLWSB-FZMZJTMJSA-N 0 3 248.374 2.671 20 0 BFADHN CCc1cccc2cc(CN[C@@H](CC)CO)oc21 ZINC000657285849 411444271 /nfs/dbraw/zinc/44/42/71/411444271.db2.gz FFYMPTLEOHHPDU-ZDUSSCGKSA-N 0 3 247.338 2.856 20 0 BFADHN CCc1cccc2cc(CN[C@H](CC)CO)oc21 ZINC000657285848 411444667 /nfs/dbraw/zinc/44/46/67/411444667.db2.gz FFYMPTLEOHHPDU-CYBMUJFWSA-N 0 3 247.338 2.856 20 0 BFADHN COC[C@@H](C)NCc1cc2c(cccc2C)[nH]1 ZINC000657286500 411445632 /nfs/dbraw/zinc/44/56/32/411445632.db2.gz ZFBAERQKARWGOY-LLVKDONJSA-N 0 3 232.327 2.601 20 0 BFADHN c1c(CNC2CCCCCC2)nnn1C1CCC1 ZINC000657287178 411447274 /nfs/dbraw/zinc/44/72/74/411447274.db2.gz ZXUOPEABGGFKJJ-UHFFFAOYSA-N 0 3 248.374 2.816 20 0 BFADHN CCC1(CNCc2cc3n(n2)CCCC3)CCC1 ZINC000655746100 411448154 /nfs/dbraw/zinc/44/81/54/411448154.db2.gz MVXXSTMXPPAQGG-UHFFFAOYSA-N 0 3 247.386 2.889 20 0 BFADHN CCN(Cc1cccc(O)c1OC)C(C)C ZINC000272224896 169912827 /nfs/dbraw/zinc/91/28/27/169912827.db2.gz CVLPNCABQUUZSA-UHFFFAOYSA-N 0 3 223.316 2.631 20 0 BFADHN CC[C@@H]1C[C@@H](NCCOc2ccc(C)cc2)CO1 ZINC000657288898 411450067 /nfs/dbraw/zinc/45/00/67/411450067.db2.gz LHOJJOYCOOWACQ-ZIAGYGMSSA-N 0 3 249.354 2.531 20 0 BFADHN Cc1c[nH]c(CNCCc2cccc(Cl)c2)n1 ZINC000657289440 411450860 /nfs/dbraw/zinc/45/08/60/411450860.db2.gz JYMJTFDGFLNQIT-UHFFFAOYSA-N 0 3 249.745 2.704 20 0 BFADHN C[C@@H](NCc1ccc(F)c(F)c1F)C1CC1 ZINC000657292983 411453334 /nfs/dbraw/zinc/45/33/34/411453334.db2.gz HMLQMZVIEXURIW-SSDOTTSWSA-N 0 3 229.245 2.992 20 0 BFADHN CC[C@@H]1C[C@H](NCCOc2cccc(C)c2)CO1 ZINC000657291686 411453739 /nfs/dbraw/zinc/45/37/39/411453739.db2.gz BDNXBYQWFZZSHL-UONOGXRCSA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccc(F)c(C)c2)CO1 ZINC000657295709 411454202 /nfs/dbraw/zinc/45/42/02/411454202.db2.gz VSDHGHZJDBLYOQ-OLZOCXBDSA-N 0 3 237.318 2.791 20 0 BFADHN CCOc1ccc(CN[C@@H]2CO[C@H](CC)C2)cc1 ZINC000657329413 411467749 /nfs/dbraw/zinc/46/77/49/411467749.db2.gz QPVKOPLZEBQSMB-UONOGXRCSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)C(C)(C)C)nc(C)n1 ZINC000659835299 411469726 /nfs/dbraw/zinc/46/97/26/411469726.db2.gz BUROICKJBIEUHP-NSHDSACASA-N 0 3 235.375 2.960 20 0 BFADHN CCN(Cc1ccnc(OC)c1)CC(C)(C)C ZINC000361526943 169924850 /nfs/dbraw/zinc/92/48/50/169924850.db2.gz DOARDAMJFVUEFQ-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CCC=C(C)C ZINC000659840134 411472326 /nfs/dbraw/zinc/47/23/26/411472326.db2.gz BANDPZVWBLHGGV-OLZOCXBDSA-N 0 3 211.349 2.842 20 0 BFADHN CCC(C)(C)NCc1cc(C2CC2)no1 ZINC000659842430 411473072 /nfs/dbraw/zinc/47/30/72/411473072.db2.gz OAJYDDBKJRDACN-UHFFFAOYSA-N 0 3 208.305 2.830 20 0 BFADHN CC(C)c1cccc(CN(CCO)C2CC2)c1 ZINC000659842088 411473372 /nfs/dbraw/zinc/47/33/72/411473372.db2.gz XJGHTSIQLYOJCT-UHFFFAOYSA-N 0 3 233.355 2.767 20 0 BFADHN CC[C@H]1C[C@H](N[C@H](C)c2ccccc2)CO1 ZINC000657354379 411473828 /nfs/dbraw/zinc/47/38/28/411473828.db2.gz PVRXENAYTLZKCF-XBFCOCLRSA-N 0 3 219.328 2.905 20 0 BFADHN CC[C@@H]1C[C@@H](NC2(c3ccc(F)cc3)CC2)CO1 ZINC000657351057 411475662 /nfs/dbraw/zinc/47/56/62/411475662.db2.gz ZUEFVBIVVGEKML-ZIAGYGMSSA-N 0 3 249.329 2.972 20 0 BFADHN CN(Cc1ccoc1)C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000659851390 411477170 /nfs/dbraw/zinc/47/71/70/411477170.db2.gz HUGRMBCHUVWTEU-CLLJXQQHSA-N 0 3 205.301 2.758 20 0 BFADHN CCN(Cc1cnn(C(C)C)c1)C1CCC1 ZINC000353810519 169931569 /nfs/dbraw/zinc/93/15/69/169931569.db2.gz WBYGIYNOBJELQZ-UHFFFAOYSA-N 0 3 221.348 2.838 20 0 BFADHN C[C@H](NCc1cn(C2CCC2)nn1)C1CCCC1 ZINC000657361133 411477250 /nfs/dbraw/zinc/47/72/50/411477250.db2.gz GDLDGWYVYZYWKC-NSHDSACASA-N 0 3 248.374 2.671 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3cccc(F)c3)CC2)[C@@H](C)O1 ZINC000295441890 411477729 /nfs/dbraw/zinc/47/77/29/411477729.db2.gz OWNGIKUXYYXAJY-JTNHKYCSSA-N 0 3 249.329 2.970 20 0 BFADHN CC(C)n1ccnc1CN[C@@H]1CC=CCC1 ZINC000657367264 411479252 /nfs/dbraw/zinc/47/92/52/411479252.db2.gz NIQPUROWBQTMJL-GFCCVEGCSA-N 0 3 219.332 2.662 20 0 BFADHN Cc1c[nH]c(CN[C@@H](C)Cc2ccc(C)s2)n1 ZINC000657366666 411479344 /nfs/dbraw/zinc/47/93/44/411479344.db2.gz AZUNZOVNFSBUTH-VIFPVBQESA-N 0 3 249.383 2.809 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CC[C@H](C)C[C@@H]2C)n1 ZINC000657357658 411479379 /nfs/dbraw/zinc/47/93/79/411479379.db2.gz COJLLIOCQKDYDB-JBLDHEPKSA-N 0 3 221.348 2.632 20 0 BFADHN CCc1nc([C@@H](C)N2CCC[C@H](C)CC2)n[nH]1 ZINC000659858035 411479572 /nfs/dbraw/zinc/47/95/72/411479572.db2.gz RWFLELUDLBYOJT-WDEREUQCSA-N 0 3 236.363 2.550 20 0 BFADHN CCc1cccc2cc(CN[C@@H](C)CCO)oc21 ZINC000657368072 411479977 /nfs/dbraw/zinc/47/99/77/411479977.db2.gz NARGCHHXRVLWPC-NSHDSACASA-N 0 3 247.338 2.856 20 0 BFADHN CCCN(CC)Cc1cc(C2CC2)no1 ZINC000659857757 411480235 /nfs/dbraw/zinc/48/02/35/411480235.db2.gz QEEJISSLNXTIFV-UHFFFAOYSA-N 0 3 208.305 2.784 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2C(C)C)co1 ZINC000659858320 411480403 /nfs/dbraw/zinc/48/04/03/411480403.db2.gz RGEDMXITWRYTCN-GFCCVEGCSA-N 0 3 208.305 2.603 20 0 BFADHN CCc1cccc2cc(CNCC[C@@H](C)O)oc21 ZINC000657362155 411480636 /nfs/dbraw/zinc/48/06/36/411480636.db2.gz PTIBRQGIGADNJO-LLVKDONJSA-N 0 3 247.338 2.856 20 0 BFADHN CC(C)n1ccnc1CNCCCC1CC1 ZINC000657364585 411481189 /nfs/dbraw/zinc/48/11/89/411481189.db2.gz NQMFBARZTBYAIK-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN Cc1c[nH]c(CNC[C@H](C)c2cccc(C)c2)n1 ZINC000657373818 411482571 /nfs/dbraw/zinc/48/25/71/411482571.db2.gz CLXAINCYZZQTDU-LBPRGKRZSA-N 0 3 243.354 2.920 20 0 BFADHN CC(C)[C@H](C)CNCc1nccn1C(C)C ZINC000657370007 411483546 /nfs/dbraw/zinc/48/35/46/411483546.db2.gz KFXGPKABFQEAAO-GFCCVEGCSA-N 0 3 223.364 2.846 20 0 BFADHN CC(C)(C)CCCNCc1cc2n(n1)CCC2 ZINC000657370774 411483687 /nfs/dbraw/zinc/48/36/87/411483687.db2.gz QBZOZRYMSWXUJQ-UHFFFAOYSA-N 0 3 235.375 2.745 20 0 BFADHN CCc1ccccc1CN[C@H]1CO[C@@H](CC)C1 ZINC000657372313 411484555 /nfs/dbraw/zinc/48/45/55/411484555.db2.gz SJWJULQDBCDBDH-CABCVRRESA-N 0 3 233.355 2.906 20 0 BFADHN CCc1ccccc1CN[C@@H]1CO[C@@H](CC)C1 ZINC000657372314 411484737 /nfs/dbraw/zinc/48/47/37/411484737.db2.gz SJWJULQDBCDBDH-GJZGRUSLSA-N 0 3 233.355 2.906 20 0 BFADHN Cc1nc(CN2CCCC2(C)C)c(C)o1 ZINC000659877520 411488855 /nfs/dbraw/zinc/48/88/55/411488855.db2.gz SMDIEDJVKOOYKF-UHFFFAOYSA-N 0 3 208.305 2.666 20 0 BFADHN CC1CC(NCc2ccc(F)c(F)c2F)C1 ZINC000657385654 411489072 /nfs/dbraw/zinc/48/90/72/411489072.db2.gz WPUZYOHFRPWNCK-UHFFFAOYSA-N 0 3 229.245 2.992 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cn(C2CCC2)nn1 ZINC000657393608 411490234 /nfs/dbraw/zinc/49/02/34/411490234.db2.gz BUGNLYSGZPFDSO-RISCZKNCSA-N 0 3 248.374 2.671 20 0 BFADHN CC(C)CC(C)(C)CNCc1cc2n(n1)CCC2 ZINC000657391048 411491066 /nfs/dbraw/zinc/49/10/66/411491066.db2.gz MZDRVNRXPDFHSH-UHFFFAOYSA-N 0 3 249.402 2.991 20 0 BFADHN Cc1c[nH]c(CN[C@H]2CCCCC2(C)C)n1 ZINC000657394124 411492474 /nfs/dbraw/zinc/49/24/74/411492474.db2.gz GKZYLWVCLDATEU-NSHDSACASA-N 0 3 221.348 2.777 20 0 BFADHN CO[C@@H]1CCC[C@@H]1CN[C@@H](C)c1cc(C)ccn1 ZINC000353632944 411493013 /nfs/dbraw/zinc/49/30/13/411493013.db2.gz QUHRLFJPADYHBW-GZBFAFLISA-N 0 3 248.370 2.856 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccc(C)cc2OC)CO1 ZINC000657395285 411493506 /nfs/dbraw/zinc/49/35/06/411493506.db2.gz TWHYVIIIUBTYNG-ZIAGYGMSSA-N 0 3 249.354 2.661 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccc(OC)cc2C)CO1 ZINC000657398685 411495159 /nfs/dbraw/zinc/49/51/59/411495159.db2.gz DZNOMYUHJXYIEA-KBPBESRZSA-N 0 3 249.354 2.661 20 0 BFADHN CCc1nocc1CN1[C@H](C)CCC[C@H]1C ZINC000659910979 411495823 /nfs/dbraw/zinc/49/58/23/411495823.db2.gz OTNHPHTZCIWVQH-GHMZBOCLSA-N 0 3 222.332 3.000 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cc(OC)ns1 ZINC000659917072 411496628 /nfs/dbraw/zinc/49/66/28/411496628.db2.gz PWRRHGBGXJGMFQ-SECBINFHSA-N 0 3 228.361 2.630 20 0 BFADHN COC[C@H](CC(C)(C)C)NCC1(F)CC1 ZINC000655843296 411534092 /nfs/dbraw/zinc/53/40/92/411534092.db2.gz QVFBPMTWMIUPSP-JTQLQIEISA-N 0 3 217.328 2.529 20 0 BFADHN OCC1(NCc2cccc(C3CCCC3)c2)CC1 ZINC000657416156 411499729 /nfs/dbraw/zinc/49/97/29/411499729.db2.gz QHAZAYOZTOUZCK-UHFFFAOYSA-N 0 3 245.366 2.959 20 0 BFADHN CC(C)=CCCNCc1nccn1C(C)C ZINC000657448560 411510173 /nfs/dbraw/zinc/51/01/73/411510173.db2.gz SBEPDSRTKFLVLB-UHFFFAOYSA-N 0 3 221.348 2.910 20 0 BFADHN CC(C)(C)[C@@H]1CN(CCC2CCC2)CCO1 ZINC000660442287 411510490 /nfs/dbraw/zinc/51/04/90/411510490.db2.gz RSZXMHHYDAXRQR-ZDUSSCGKSA-N 0 3 225.376 2.924 20 0 BFADHN CC(C)(C)[C@H]1CN(CCC2CCC2)CCO1 ZINC000660442286 411510902 /nfs/dbraw/zinc/51/09/02/411510902.db2.gz RSZXMHHYDAXRQR-CYBMUJFWSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H]1CCC[C@H](NCc2cc3n(n2)CCC3)CC1 ZINC000657443023 411511718 /nfs/dbraw/zinc/51/17/18/411511718.db2.gz WHWYRAWFURAJDH-STQMWFEESA-N 0 3 247.386 2.888 20 0 BFADHN CC1(C)CC(CNCc2cn(C3CCC3)nn2)C1 ZINC000657443873 411512230 /nfs/dbraw/zinc/51/22/30/411512230.db2.gz QSHIFLWHAGNFMZ-UHFFFAOYSA-N 0 3 248.374 2.529 20 0 BFADHN CCC(C)(C)CNCc1cn(C2CCC2)nn1 ZINC000657446792 411513442 /nfs/dbraw/zinc/51/34/42/411513442.db2.gz LKZHOSQZEGGCQG-UHFFFAOYSA-N 0 3 236.363 2.529 20 0 BFADHN COCc1csc(CNC2CC(F)(F)C2)c1 ZINC000657447684 411513531 /nfs/dbraw/zinc/51/35/31/411513531.db2.gz FYQHMJLCPZBEPZ-UHFFFAOYSA-N 0 3 247.310 2.782 20 0 BFADHN C[C@@H]1CN(Cc2cc(C3CC3)no2)C[C@H]1C ZINC000659969894 411513902 /nfs/dbraw/zinc/51/39/02/411513902.db2.gz HTBKAGJGZHIARV-NXEZZACHSA-N 0 3 220.316 2.640 20 0 BFADHN c1c(CNC2CC3(CCC3)C2)nn2c1CCCC2 ZINC000655799558 411517545 /nfs/dbraw/zinc/51/75/45/411517545.db2.gz IXMKJVFMKZYXFP-UHFFFAOYSA-N 0 3 245.370 2.642 20 0 BFADHN COc1c(C)cccc1CN[C@H](C)[C@@H]1CCCO1 ZINC000655811154 411519027 /nfs/dbraw/zinc/51/90/27/411519027.db2.gz IQVUGWNEDIUBMA-OCCSQVGLSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H](CF)NCc1cc2ccncc2s1 ZINC000657466111 411519889 /nfs/dbraw/zinc/51/98/89/411519889.db2.gz ASOYWDGXASKNOS-QMMMGPOBSA-N 0 3 224.304 2.744 20 0 BFADHN CCc1nc([C@H](C)N(CC)C[C@H](C)CC)n[nH]1 ZINC000659984229 411520317 /nfs/dbraw/zinc/52/03/17/411520317.db2.gz FZGHTUXRKFMDHT-MNOVXSKESA-N 0 3 238.379 2.796 20 0 BFADHN CCc1nnc([C@H](C)[N@@H+](CC)C[C@H](C)CC)[n-]1 ZINC000659984229 411520319 /nfs/dbraw/zinc/52/03/19/411520319.db2.gz FZGHTUXRKFMDHT-MNOVXSKESA-N 0 3 238.379 2.796 20 0 BFADHN CCc1nnc([C@H](C)[N@H+](CC)C[C@H](C)CC)[n-]1 ZINC000659984229 411520321 /nfs/dbraw/zinc/52/03/21/411520321.db2.gz FZGHTUXRKFMDHT-MNOVXSKESA-N 0 3 238.379 2.796 20 0 BFADHN CCOc1ccc(CN[C@@H](C)CF)c(C)c1 ZINC000657467191 411520518 /nfs/dbraw/zinc/52/05/18/411520518.db2.gz XVQGMYCLGDGHEL-NSHDSACASA-N 0 3 225.307 2.841 20 0 BFADHN c1cc(CN[C@@H](C2CC2)[C@@H]2CCCCO2)ccn1 ZINC000655810448 411523104 /nfs/dbraw/zinc/52/31/04/411523104.db2.gz MAVRVMBYJVCRRF-GJZGRUSLSA-N 0 3 246.354 2.519 20 0 BFADHN CC[C@H](COC)NCc1cccc(C)c1OC ZINC000655810444 411523164 /nfs/dbraw/zinc/52/31/64/411523164.db2.gz LYYWREAHLFESRS-CYBMUJFWSA-N 0 3 237.343 2.518 20 0 BFADHN Cc1c[nH]c(CNC(C)(C)C(C)(C)C)n1 ZINC000657473538 411523478 /nfs/dbraw/zinc/52/34/78/411523478.db2.gz MPHIBMWSDHJURY-UHFFFAOYSA-N 0 3 209.337 2.632 20 0 BFADHN CC(C)(C)n1cc(CNC2(C)CC=CC2)cn1 ZINC000655814855 411523787 /nfs/dbraw/zinc/52/37/87/411523787.db2.gz RIDVYURHDPSEFO-UHFFFAOYSA-N 0 3 233.359 2.836 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CCCC2(C)C)n1 ZINC000657476322 411524634 /nfs/dbraw/zinc/52/46/34/411524634.db2.gz NFDGLZZRVWEMHC-NSHDSACASA-N 0 3 221.348 2.634 20 0 BFADHN C[C@@H](F)CCNCc1ccc(F)c(F)c1F ZINC000657475526 411524970 /nfs/dbraw/zinc/52/49/70/411524970.db2.gz WFFWVGXPKMKXBY-SSDOTTSWSA-N 0 3 235.224 2.942 20 0 BFADHN CC1(C)CCC[C@@H]1CNCc1cc2n(n1)CCC2 ZINC000657477228 411525018 /nfs/dbraw/zinc/52/50/18/411525018.db2.gz YJJLRPRBZRYCMP-GFCCVEGCSA-N 0 3 247.386 2.745 20 0 BFADHN COCC1(CN[C@@H](C)c2ccccc2OC)CC1 ZINC000655820707 411525733 /nfs/dbraw/zinc/52/57/33/411525733.db2.gz JEXXTHZWJJADEC-LBPRGKRZSA-N 0 3 249.354 2.772 20 0 BFADHN CCC1(NCc2cn(C3CCC3)nn2)CCCC1 ZINC000657477793 411526490 /nfs/dbraw/zinc/52/64/90/411526490.db2.gz ABXGVTCXLOFYRZ-UHFFFAOYSA-N 0 3 248.374 2.816 20 0 BFADHN CC(C)C[C@H]1CCCN(Cc2cn(C)cn2)C1 ZINC000660000838 411526565 /nfs/dbraw/zinc/52/65/65/411526565.db2.gz IJYDHHADZDBOKA-CYBMUJFWSA-N 0 3 235.375 2.678 20 0 BFADHN CCC[C@@H](NCC1(COC)CC1)c1ccccn1 ZINC000655817252 411528314 /nfs/dbraw/zinc/52/83/14/411528314.db2.gz JVNXGNQMBWPKGY-CYBMUJFWSA-N 0 3 248.370 2.939 20 0 BFADHN Cc1nc(CN(CC2CC2)C(C)C)c(C)o1 ZINC000660030828 411529470 /nfs/dbraw/zinc/52/94/70/411529470.db2.gz JCMRMEKLHFEOSZ-UHFFFAOYSA-N 0 3 222.332 2.912 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1C[C@H]1C1CC1 ZINC000657484934 411530300 /nfs/dbraw/zinc/53/03/00/411530300.db2.gz ROPQHYYSGLCPEY-STQMWFEESA-N 0 3 233.359 2.600 20 0 BFADHN Cc1nc(CN[C@@H](C2CC2)C2CCC2)[nH]c1C ZINC000657486785 411531210 /nfs/dbraw/zinc/53/12/10/411531210.db2.gz BAIVVWGKYJAUCX-CQSZACIVSA-N 0 3 233.359 2.695 20 0 BFADHN COCc1csc(CN[C@@H]2CC2(C)C)c1 ZINC000657325426 411464926 /nfs/dbraw/zinc/46/49/26/411464926.db2.gz FJXRZSFHWXPCJU-LLVKDONJSA-N 0 3 225.357 2.783 20 0 BFADHN CC[C@@H]1CCCCN1CCO[C@@H]1CC1(F)F ZINC000659826972 411465767 /nfs/dbraw/zinc/46/57/67/411465767.db2.gz MHRGNICQGYMQHD-GHMZBOCLSA-N 0 3 233.302 2.675 20 0 BFADHN Cn1ncc(C(F)(F)F)c1CNCC(C)(C)C ZINC000657326483 411465780 /nfs/dbraw/zinc/46/57/80/411465780.db2.gz DOGHORLYLIZPLL-UHFFFAOYSA-N 0 3 249.280 2.575 20 0 BFADHN CO[C@@H]1CCC[C@@H](NCc2ccc(C)c(C)n2)C1 ZINC000314044148 411466454 /nfs/dbraw/zinc/46/64/54/411466454.db2.gz NRDYQZCEVHOAIJ-UKRRQHHQSA-N 0 3 248.370 2.746 20 0 BFADHN CC[C@H](NCc1cccc(O)c1)[C@@H]1CCCCO1 ZINC000655855028 411538436 /nfs/dbraw/zinc/53/84/36/411538436.db2.gz AHYJASIYNLCAKB-GJZGRUSLSA-N 0 3 249.354 2.830 20 0 BFADHN CC[C@H](NCc1ccco1)[C@@H]1CCCCO1 ZINC000655856654 411538945 /nfs/dbraw/zinc/53/89/45/411538945.db2.gz PYVGTCXBHDCCRA-STQMWFEESA-N 0 3 223.316 2.717 20 0 BFADHN CC(C)(C)NCc1ccc(F)c(F)c1F ZINC000069049580 411539602 /nfs/dbraw/zinc/53/96/02/411539602.db2.gz RWMXANZZRDVVAP-UHFFFAOYSA-N 0 3 217.234 2.992 20 0 BFADHN CCN1C[C@H](C)N(Cc2ccsc2)C[C@H]1C ZINC000353893174 170034051 /nfs/dbraw/zinc/03/40/51/170034051.db2.gz ZMIVSYUXSPJGOW-NEPJUHHUSA-N 0 3 238.400 2.663 20 0 BFADHN Cc1nc(CN2CC[C@@H](C)C[C@@H](C)C2)co1 ZINC000660120789 411540791 /nfs/dbraw/zinc/54/07/91/411540791.db2.gz IDAJIHJCSYZMRW-GHMZBOCLSA-N 0 3 222.332 2.851 20 0 BFADHN CC(C)(C)N1CC[C@@H]1CNCc1ccsc1 ZINC000657522787 411540838 /nfs/dbraw/zinc/54/08/38/411540838.db2.gz DRXJTRAUBFISLS-GFCCVEGCSA-N 0 3 238.400 2.711 20 0 BFADHN CCNC(=O)CN(C(C)C)[C@@H](C)c1ccccc1 ZINC000292248560 170054547 /nfs/dbraw/zinc/05/45/47/170054547.db2.gz QSARTNFQSIRLKW-ZDUSSCGKSA-N 0 3 248.370 2.594 20 0 BFADHN CCNC(=O)[C@H](C)N[C@H](CC)c1cccc(C)c1 ZINC000285470823 170095798 /nfs/dbraw/zinc/09/57/98/170095798.db2.gz AWBWJQMDKKUUOT-GXTWGEPZSA-N 0 3 248.370 2.560 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@H]2CC(C)(C)C)[nH]c1C ZINC000657501766 411537528 /nfs/dbraw/zinc/53/75/28/411537528.db2.gz YGABZEFOILEDGJ-NWDGAFQWSA-N 0 3 235.375 2.941 20 0 BFADHN CC[C@H](NCc1cncs1)[C@@H]1CCCCO1 ZINC000655855435 411538019 /nfs/dbraw/zinc/53/80/19/411538019.db2.gz DNPUVZCPKDCIGK-RYUDHWBXSA-N 0 3 240.372 2.580 20 0 BFADHN CCN[C@@H](C)c1ccc(Br)cn1 ZINC000133163576 170140844 /nfs/dbraw/zinc/14/08/44/170140844.db2.gz FTLITQWECBUPRY-ZETCQYMHSA-N 0 3 229.121 2.515 20 0 BFADHN CCOCCN(C)C[C@@H](OC)C1CCCCC1 ZINC000660169044 411551200 /nfs/dbraw/zinc/55/12/00/411551200.db2.gz IJRALRFBZWDQHN-CQSZACIVSA-N 0 3 243.391 2.550 20 0 BFADHN Cc1occc1CN[C@H]1CCN(C(C)(C)C)C1 ZINC000657563734 411552087 /nfs/dbraw/zinc/55/20/87/411552087.db2.gz QFXUPPSRUZFHAZ-ZDUSSCGKSA-N 0 3 236.359 2.550 20 0 BFADHN CC[C@@H](COCC1CC1)NCc1ccoc1C ZINC000657562592 411552120 /nfs/dbraw/zinc/55/21/20/411552120.db2.gz PXTBJKQMCMQBOW-AWEZNQCLSA-N 0 3 237.343 2.883 20 0 BFADHN CC[C@@H](COCC1CC1)NCc1cnccc1C ZINC000657563872 411552163 /nfs/dbraw/zinc/55/21/63/411552163.db2.gz XQAPJZLTQMVZDT-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN CCNCc1c(C)nc2scc(C3CC3)n12 ZINC000309939420 170124226 /nfs/dbraw/zinc/12/42/26/170124226.db2.gz BFDRWEQQGSUGGH-UHFFFAOYSA-N 0 3 235.356 2.691 20 0 BFADHN CCNCc1cc(F)ccc1Br ZINC000019276692 170124978 /nfs/dbraw/zinc/12/49/78/170124978.db2.gz VQJXXFLMQYHTKF-UHFFFAOYSA-N 0 3 232.096 2.698 20 0 BFADHN C[C@@H]1C[C@H](NCC2CCC(C)CC2)c2ncnn21 ZINC000657568026 411552979 /nfs/dbraw/zinc/55/29/79/411552979.db2.gz BMPZYIOEZYGDKJ-KPFVRQRISA-N 0 3 248.374 2.700 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1conc1C ZINC000657578967 411553785 /nfs/dbraw/zinc/55/37/85/411553785.db2.gz ONKQBVSHDMXEPV-JTQLQIEISA-N 0 3 210.321 2.897 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1n[nH]cc1C ZINC000657577396 411554039 /nfs/dbraw/zinc/55/40/39/411554039.db2.gz DIHPGIGMXYFPEA-JTQLQIEISA-N 0 3 209.337 2.632 20 0 BFADHN C[C@H]1CC[C@@](C)(CNCc2ncc[nH]2)C1(C)C ZINC000657589061 411555306 /nfs/dbraw/zinc/55/53/06/411555306.db2.gz DEAJKIUEQZJYLN-FZMZJTMJSA-N 0 3 235.375 2.962 20 0 BFADHN CCN[C@@H]1CCSc2ccc(F)cc21 ZINC000035208068 170152827 /nfs/dbraw/zinc/15/28/27/170152827.db2.gz MCCSBJPKKXENIQ-SNVBAGLBSA-N 0 3 211.305 2.972 20 0 BFADHN CCN[C@@H]1CCCOc2ccc(OC)cc21 ZINC000035652784 170150539 /nfs/dbraw/zinc/15/05/39/170150539.db2.gz CZTOKCGFWPPHDQ-GFCCVEGCSA-N 0 3 221.300 2.518 20 0 BFADHN CCN[C@H](C)c1cnn(-c2ccc(F)cc2)c1C ZINC000040932746 170159942 /nfs/dbraw/zinc/15/99/42/170159942.db2.gz CRBNBSUNDVULBW-SNVBAGLBSA-N 0 3 247.317 2.990 20 0 BFADHN CCN[C@H](CO)c1cccc(Cl)c1Cl ZINC000038120283 170162432 /nfs/dbraw/zinc/16/24/32/170162432.db2.gz GGANPMMRICCWOK-SECBINFHSA-N 0 3 234.126 2.636 20 0 BFADHN CCN[C@H]1CCCOc2c(OC)cccc21 ZINC000035652557 170167352 /nfs/dbraw/zinc/16/73/52/170167352.db2.gz XJWRNTHKWVXSNO-NSHDSACASA-N 0 3 221.300 2.518 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCOC[C@@H]1C1CC1 ZINC000660151877 411547070 /nfs/dbraw/zinc/54/70/70/411547070.db2.gz XLBUKLKNZXWDBX-CMPLNLGQSA-N 0 3 247.329 2.779 20 0 BFADHN c1c2cnccc2oc1CN[C@@]12C[C@@H]1CCC2 ZINC000657551359 411547771 /nfs/dbraw/zinc/54/77/71/411547771.db2.gz HEAJQRWYCHWYLJ-FZMZJTMJSA-N 0 3 228.295 2.860 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCN(C)CC1(C)C ZINC000660156442 411547930 /nfs/dbraw/zinc/54/79/30/411547930.db2.gz GCPDFJMUTUASQO-NSHDSACASA-N 0 3 248.361 2.694 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)[C@@H](C)C2)nc(C)n1 ZINC000660156434 411548329 /nfs/dbraw/zinc/54/83/29/411548329.db2.gz GCJZUYUTSBENKJ-MNOVXSKESA-N 0 3 233.359 2.571 20 0 BFADHN Fc1cccc(CN[C@]23C[C@H]2CCC3)c1F ZINC000657554831 411549722 /nfs/dbraw/zinc/54/97/22/411549722.db2.gz ZQOARWPREOEGAY-ZWNOBZJWSA-N 0 3 223.266 2.997 20 0 BFADHN OC[C@@H](NCc1ccccc1Cl)C1CCC1 ZINC000657557539 411550500 /nfs/dbraw/zinc/55/05/00/411550500.db2.gz UIKNHOBHFUPCMA-CYBMUJFWSA-N 0 3 239.746 2.591 20 0 BFADHN CCOC(=O)CCCN(C)[C@H](C)c1ccco1 ZINC000102398972 170200881 /nfs/dbraw/zinc/20/08/81/170200881.db2.gz VCYGRHOFVKXUOK-LLVKDONJSA-N 0 3 239.315 2.616 20 0 BFADHN c1cc(CN[C@@H]2COC3(CCC3)C2)cs1 ZINC000657630184 411566160 /nfs/dbraw/zinc/56/61/60/411566160.db2.gz XHAAXJCVROLFPA-NSHDSACASA-N 0 3 223.341 2.549 20 0 BFADHN CCOC(=O)CCNC1(c2ccccc2)CCC1 ZINC000175521370 170209232 /nfs/dbraw/zinc/20/92/32/170209232.db2.gz HLHQDVUUPBUEBB-UHFFFAOYSA-N 0 3 247.338 2.609 20 0 BFADHN CCOC(=O)CCN[C@H](C)c1ccc(C)cc1 ZINC000044388886 170210388 /nfs/dbraw/zinc/21/03/88/170210388.db2.gz VUQKKFFOXKOFOK-GFCCVEGCSA-N 0 3 235.327 2.599 20 0 BFADHN OC[C@@H](NCCCC1CCC1)c1ccsc1 ZINC000660302656 411566562 /nfs/dbraw/zinc/56/65/62/411566562.db2.gz HAUNMWCLEPPLHM-CYBMUJFWSA-N 0 3 239.384 2.951 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cc(OC)ns1 ZINC000660318096 411567908 /nfs/dbraw/zinc/56/79/08/411567908.db2.gz WPLACTWRJHCMJM-GXSJLCMTSA-N 0 3 240.372 2.772 20 0 BFADHN CC(C)=CCCN[C@H](CO)c1cccc(F)c1 ZINC000660235504 411556658 /nfs/dbraw/zinc/55/66/58/411556658.db2.gz GKWSUGHASIAMEF-CQSZACIVSA-N 0 3 237.318 2.805 20 0 BFADHN CCc1ncc(CNCC[C@H](C)CC)cn1 ZINC000657586847 411557271 /nfs/dbraw/zinc/55/72/71/411557271.db2.gz MVJGJRXFKJIXPA-LLVKDONJSA-N 0 3 221.348 2.565 20 0 BFADHN CC[C@@H](C)CCNCc1ncc(COC)s1 ZINC000657587058 411558049 /nfs/dbraw/zinc/55/80/49/411558049.db2.gz PYPGMINGSUOTLB-SNVBAGLBSA-N 0 3 242.388 2.815 20 0 BFADHN CC(C)Cc1ccc(CNC/C=C\CO)cc1 ZINC000657593441 411559289 /nfs/dbraw/zinc/55/92/89/411559289.db2.gz TZNJVTAJZJTWLJ-ARJAWSKDSA-N 0 3 233.355 2.523 20 0 BFADHN OC/C=C\CNCc1ccc(C2CCCC2)cc1 ZINC000657594543 411559922 /nfs/dbraw/zinc/55/99/22/411559922.db2.gz RXPLCUGDMWVKJN-ARJAWSKDSA-N 0 3 245.366 2.982 20 0 BFADHN COC[C@H](NCCC1CCC1)c1ccco1 ZINC000660251487 411560184 /nfs/dbraw/zinc/56/01/84/411560184.db2.gz NTHPECLDXRFSDJ-LBPRGKRZSA-N 0 3 223.316 2.747 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N1CCC[C@@H](C)C1 ZINC000359990058 170270989 /nfs/dbraw/zinc/27/09/89/170270989.db2.gz CBBSRHFBVRMHCC-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H](NCc1ccsc1Cl)C1(CO)CC1 ZINC000657607887 411562088 /nfs/dbraw/zinc/56/20/88/411562088.db2.gz NUVKQJDHSDKBAP-MRVPVSSYSA-N 0 3 245.775 2.652 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccc(F)c(F)c2)C1 ZINC000657609227 411562275 /nfs/dbraw/zinc/56/22/75/411562275.db2.gz BTZZTKBFOLZLQJ-XYPYZODXSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2Cc3ccccc3[C@@H]2C)n1 ZINC000657615545 411563727 /nfs/dbraw/zinc/56/37/27/411563727.db2.gz BKSRKRVLOCBJML-SMDDNHRTSA-N 0 3 241.338 2.536 20 0 BFADHN Cc1ccc(CNCCO[C@H]2CC2(F)F)s1 ZINC000657617951 411564553 /nfs/dbraw/zinc/56/45/53/411564553.db2.gz GOAZHAYPEYSSPA-JTQLQIEISA-N 0 3 247.310 2.570 20 0 BFADHN Cc1ccccc1CNCCO[C@H]1CC1(F)F ZINC000657617854 411564598 /nfs/dbraw/zinc/56/45/98/411564598.db2.gz NJLPROBXVFVRBG-LBPRGKRZSA-N 0 3 241.281 2.509 20 0 BFADHN Cc1ccc(CNCCO[C@@H]2CC2(F)F)cc1 ZINC000657618476 411564646 /nfs/dbraw/zinc/56/46/46/411564646.db2.gz VGOIXDBQRKMBGM-GFCCVEGCSA-N 0 3 241.281 2.509 20 0 BFADHN Cc1cc(CNCCO[C@@H]2CC2(F)F)cs1 ZINC000657618595 411565384 /nfs/dbraw/zinc/56/53/84/411565384.db2.gz HOCKOTIRPUKBNC-SNVBAGLBSA-N 0 3 247.310 2.570 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@@H]2CCC[C@H]3C[C@H]32)n1 ZINC000657655587 411570910 /nfs/dbraw/zinc/57/09/10/411570910.db2.gz DIUFHNVPNSEXSX-RWMBFGLXSA-N 0 3 246.354 2.621 20 0 BFADHN CCOC(=O)c1coc(CNC(CC)CC)c1 ZINC000353940917 170304376 /nfs/dbraw/zinc/30/43/76/170304376.db2.gz DFCPSSJBPQFAGU-UHFFFAOYSA-N 0 3 239.315 2.735 20 0 BFADHN CCN(Cc1cn(C)cn1)[C@H]1CCCC[C@H]1C ZINC000660368579 411572260 /nfs/dbraw/zinc/57/22/60/411572260.db2.gz TYUYZZFZWXTOMM-OCCSQVGLSA-N 0 3 235.375 2.821 20 0 BFADHN COc1cc(CN(C)[C@@H]2CCCC[C@H]2C)on1 ZINC000660368050 411572648 /nfs/dbraw/zinc/57/26/48/411572648.db2.gz OWGHLFHOESHWRK-ZYHUDNBSSA-N 0 3 238.331 2.694 20 0 BFADHN CCOC(C)(C)CNCc1ccccc1OC ZINC000159256519 170313330 /nfs/dbraw/zinc/31/33/30/170313330.db2.gz SDPLRMIGSWBERK-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1cncc(C)c1 ZINC000188690874 170313659 /nfs/dbraw/zinc/31/36/59/170313659.db2.gz CHKQDOLTFFIFLL-LBPRGKRZSA-N 0 3 236.359 2.856 20 0 BFADHN CCOC(C)(C)CNCc1sccc1OC ZINC000275834019 170313979 /nfs/dbraw/zinc/31/39/79/170313979.db2.gz OVTSQZIFDROIEJ-UHFFFAOYSA-N 0 3 243.372 2.661 20 0 BFADHN CCOC(C)(C)CNCc1cnc(CC)s1 ZINC000166934387 170314132 /nfs/dbraw/zinc/31/41/32/170314132.db2.gz YQIVJKDHAOVYEV-UHFFFAOYSA-N 0 3 242.388 2.610 20 0 BFADHN CCOC(CCNCc1ccsc1)OCC ZINC000088008573 170314624 /nfs/dbraw/zinc/31/46/24/170314624.db2.gz KWFLNENDPHQZTD-UHFFFAOYSA-N 0 3 243.372 2.627 20 0 BFADHN CCOC1(CNCc2cccc(O)c2)CCCC1 ZINC000292886538 170319098 /nfs/dbraw/zinc/31/90/98/170319098.db2.gz FJWVMCIVJFCJTP-UHFFFAOYSA-N 0 3 249.354 2.831 20 0 BFADHN C[C@H]1CCC[C@H](CNCc2ccccc2F)O1 ZINC000657668571 411573794 /nfs/dbraw/zinc/57/37/94/411573794.db2.gz YTNKPBFTSFZTPU-WCQYABFASA-N 0 3 237.318 2.873 20 0 BFADHN CCOC1CC(N(C)Cc2cccc(OC)c2)C1 ZINC000286711677 170328363 /nfs/dbraw/zinc/32/83/63/170328363.db2.gz FPGOFKYVLUVVFV-UHFFFAOYSA-N 0 3 249.354 2.695 20 0 BFADHN Cn1ncc(Cl)c1CNCCCC1CCC1 ZINC000657668152 411573946 /nfs/dbraw/zinc/57/39/46/411573946.db2.gz XQCOAGKTCSSBQR-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN CC(C)n1nccc1CNC[C@]1(C)CC1(F)F ZINC000657667970 411574025 /nfs/dbraw/zinc/57/40/25/411574025.db2.gz ZXECDWAFVMXCII-NSHDSACASA-N 0 3 243.301 2.599 20 0 BFADHN CCOC1CC(N[C@H](C)c2ccccc2OC)C1 ZINC000124766366 170330119 /nfs/dbraw/zinc/33/01/19/170330119.db2.gz LZQFELUNWZFTOW-PNESKVBLSA-N 0 3 249.354 2.913 20 0 BFADHN CCOC1CC(N[C@@H]2c3ccccc3O[C@@H]2C)C1 ZINC000289222490 170330319 /nfs/dbraw/zinc/33/03/19/170330319.db2.gz ABOFDHQVWBIWDM-FLRBZUCHSA-N 0 3 247.338 2.666 20 0 BFADHN CCOC1CC(N[C@@H]2c3ccccc3O[C@H]2C)C1 ZINC000289222496 170330400 /nfs/dbraw/zinc/33/04/00/170330400.db2.gz ABOFDHQVWBIWDM-QZLRTXKQSA-N 0 3 247.338 2.666 20 0 BFADHN Cc1occc1CNC[C@H]1CCC[C@@H](C)O1 ZINC000657670609 411574304 /nfs/dbraw/zinc/57/43/04/411574304.db2.gz VNXQURNCRUAODG-ZWNOBZJWSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1ccc(CNCC[C@]2(C)CC2(F)F)nc1 ZINC000657670198 411574620 /nfs/dbraw/zinc/57/46/20/411574620.db2.gz KYZPMPCNCJUZAV-GFCCVEGCSA-N 0 3 240.297 2.915 20 0 BFADHN C[C@@]1(CCNCc2ccco2)CC1(F)F ZINC000657671321 411574996 /nfs/dbraw/zinc/57/49/96/411574996.db2.gz NKCCWMQNWSJIAW-SNVBAGLBSA-N 0 3 215.243 2.805 20 0 BFADHN C[C@]1(CCNCc2ccco2)CC1(F)F ZINC000657671320 411575452 /nfs/dbraw/zinc/57/54/52/411575452.db2.gz NKCCWMQNWSJIAW-JTQLQIEISA-N 0 3 215.243 2.805 20 0 BFADHN CCOCC(C)(C)CN[C@H](C)c1ccccn1 ZINC000290498812 170352405 /nfs/dbraw/zinc/35/24/05/170352405.db2.gz YBVBYJQWIZXQDK-GFCCVEGCSA-N 0 3 236.359 2.795 20 0 BFADHN CCOCC(C)(C)NCc1ccnc(Cl)c1 ZINC000293311658 170355203 /nfs/dbraw/zinc/35/52/03/170355203.db2.gz QJVGTSQVGVNQSN-UHFFFAOYSA-N 0 3 242.750 2.640 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1coc(C)n1 ZINC000660317176 411567942 /nfs/dbraw/zinc/56/79/42/411567942.db2.gz NTPHWXWVYDEXPN-JOYOIKCWSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ccc(CNCCCOC(C)(C)C)o1 ZINC000657638751 411568262 /nfs/dbraw/zinc/56/82/62/411568262.db2.gz WOLMJSDQRXCCRR-UHFFFAOYSA-N 0 3 225.332 2.883 20 0 BFADHN CCOCCCCN[C@@H](C)c1nccs1 ZINC000093399347 170369269 /nfs/dbraw/zinc/36/92/69/170369269.db2.gz NEQOSOWQPCUNDQ-JTQLQIEISA-N 0 3 228.361 2.610 20 0 BFADHN CCOCCCCNCc1ccc(Cl)o1 ZINC000163642038 170369399 /nfs/dbraw/zinc/36/93/99/170369399.db2.gz ZXFYBYUFOJNMGW-UHFFFAOYSA-N 0 3 231.723 2.839 20 0 BFADHN COc1ccsc1CNCCC(C)(C)F ZINC000657645374 411568763 /nfs/dbraw/zinc/56/87/63/411568763.db2.gz TXUBHHHHWGPABZ-UHFFFAOYSA-N 0 3 231.336 2.985 20 0 BFADHN CCOCCCN(C)Cc1cccc(OC)c1 ZINC000336706382 170370787 /nfs/dbraw/zinc/37/07/87/170370787.db2.gz GBASACBHZRXMRG-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN Cc1ccc(CNCCC(C)(C)F)nc1 ZINC000657645450 411568878 /nfs/dbraw/zinc/56/88/78/411568878.db2.gz WZWCKYYGPSOYSC-UHFFFAOYSA-N 0 3 210.296 2.618 20 0 BFADHN CC[C@H](CC(F)F)CN1CCO[C@@H](C2CC2)C1 ZINC000660320792 411568906 /nfs/dbraw/zinc/56/89/06/411568906.db2.gz AGXYIRCRXLQTBQ-ZYHUDNBSSA-N 0 3 247.329 2.779 20 0 BFADHN CCOCCCN1CCC[C@H]1c1ccncc1 ZINC000119868610 170373329 /nfs/dbraw/zinc/37/33/29/170373329.db2.gz KGLBVQKFPNITRH-AWEZNQCLSA-N 0 3 234.343 2.645 20 0 BFADHN Cn1cc(CCNCC2(F)CC2)c2ccccc21 ZINC000657662185 411575914 /nfs/dbraw/zinc/57/59/14/411575914.db2.gz WACKFYRKANNUOQ-UHFFFAOYSA-N 0 3 246.329 2.813 20 0 BFADHN CC(C)(F)CCNCc1nc2c(s1)CCC2 ZINC000657645242 411569180 /nfs/dbraw/zinc/56/91/80/411569180.db2.gz PDEGEJKJRLUVQK-UHFFFAOYSA-N 0 3 242.363 2.860 20 0 BFADHN CC(C)(F)CCNCc1ccnn1CC1CC1 ZINC000657646025 411569324 /nfs/dbraw/zinc/56/93/24/411569324.db2.gz RNGQMWOOFSVBOE-UHFFFAOYSA-N 0 3 239.338 2.521 20 0 BFADHN CCOCCCN[C@H](C)c1ccccc1OC ZINC000019962906 170383021 /nfs/dbraw/zinc/38/30/21/170383021.db2.gz QFOUCSZOIALTIP-GFCCVEGCSA-N 0 3 237.343 2.772 20 0 BFADHN CCOCCCN[C@](C)(CC)c1nccs1 ZINC000336779248 170383256 /nfs/dbraw/zinc/38/32/56/170383256.db2.gz NRRUYIBDDOEECU-GFCCVEGCSA-N 0 3 242.388 2.785 20 0 BFADHN CCc1ncc(CNCCc2ccoc2)s1 ZINC000657652916 411570358 /nfs/dbraw/zinc/57/03/58/411570358.db2.gz FDAGTCQPPALIPE-UHFFFAOYSA-N 0 3 236.340 2.631 20 0 BFADHN CCOCCN(C)Cc1csc(C)c1 ZINC000295483210 170389543 /nfs/dbraw/zinc/38/95/43/170389543.db2.gz SFJXFVOHGXPSQM-UHFFFAOYSA-N 0 3 213.346 2.525 20 0 BFADHN CCOCCN(C)Cc1ccc2ncccc2c1 ZINC000272380375 170389574 /nfs/dbraw/zinc/38/95/74/170389574.db2.gz RKBKZNVCXZQLLJ-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN COc1cc(C)ccc1CNCCc1ccoc1 ZINC000657652659 411570407 /nfs/dbraw/zinc/57/04/07/411570407.db2.gz BOLXXPSEAKHDQO-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN CCOCCN(C)Cc1cccc(Cl)c1 ZINC000248317725 170390468 /nfs/dbraw/zinc/39/04/68/170390468.db2.gz NIEIBLRUKPHVIT-UHFFFAOYSA-N 0 3 227.735 2.808 20 0 BFADHN CCOCCN1CCC[C@@H]1c1cccc(F)c1 ZINC000052455800 170398137 /nfs/dbraw/zinc/39/81/37/170398137.db2.gz FWUHWFAHYVELNF-CQSZACIVSA-N 0 3 237.318 2.999 20 0 BFADHN CCOCCN1CCC[C@@H]1c1cccc(OC)c1 ZINC000248255400 170398642 /nfs/dbraw/zinc/39/86/42/170398642.db2.gz RTZXPFRUKUDDII-OAHLLOKOSA-N 0 3 249.354 2.869 20 0 BFADHN CCOCCN1CCC[C@H]1c1cccc(OC)c1 ZINC000248255398 170399154 /nfs/dbraw/zinc/39/91/54/170399154.db2.gz RTZXPFRUKUDDII-HNNXBMFYSA-N 0 3 249.354 2.869 20 0 BFADHN OCC1(N(Cc2cccc(F)c2)C2CC2)CCC1 ZINC000660776208 411625266 /nfs/dbraw/zinc/62/52/66/411625266.db2.gz IPZRFOMNBMXRMY-UHFFFAOYSA-N 0 3 249.329 2.705 20 0 BFADHN Cc1cc(Cl)cc(CNCCOCCF)c1 ZINC000663339519 411629100 /nfs/dbraw/zinc/62/91/00/411629100.db2.gz XJHCUJAOPIKAAE-UHFFFAOYSA-N 0 3 245.725 2.724 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@H](C)[C@@H]2C)nc(C)n1 ZINC000660826140 411647051 /nfs/dbraw/zinc/64/70/51/411647051.db2.gz ZYUZAWZRIMANMQ-DLOVCJGASA-N 0 3 233.359 2.570 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@@H](C)[C@H]2C)c(C)o1 ZINC000660824929 411647097 /nfs/dbraw/zinc/64/70/97/411647097.db2.gz RTNOZWXBHGRXFG-IVZWLZJFSA-N 0 3 222.332 2.768 20 0 BFADHN C[C@@H]1CC(C)(C)CN1CCO[C@H]1CC1(F)F ZINC000660806050 411638718 /nfs/dbraw/zinc/63/87/18/411638718.db2.gz RFAWGFOJQAUCRY-ZJUUUORDSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@@H]1CC(C)(C)CN1CCO[C@@H]1CC1(F)F ZINC000660806047 411640747 /nfs/dbraw/zinc/64/07/47/411640747.db2.gz RFAWGFOJQAUCRY-NXEZZACHSA-N 0 3 233.302 2.531 20 0 BFADHN COc1ccnc(CNCCCC(C)C)c1F ZINC000657675267 411576070 /nfs/dbraw/zinc/57/60/70/411576070.db2.gz ZWQGUWDTWQQDAM-UHFFFAOYSA-N 0 3 240.322 2.755 20 0 BFADHN CC[C@]1(C)CN(CCC=C(C)C)CCO1 ZINC000660381894 411576763 /nfs/dbraw/zinc/57/67/63/411576763.db2.gz ZZJPXYXDDYAPCI-CYBMUJFWSA-N 0 3 211.349 2.844 20 0 BFADHN COc1cccc(CNC[C@H]2CCC[C@@H](C)O2)c1 ZINC000657665668 411577000 /nfs/dbraw/zinc/57/70/00/411577000.db2.gz PKNKBVBQUQJXSR-IUODEOHRSA-N 0 3 249.354 2.742 20 0 BFADHN COc1ccc(CNC[C@H]2CCC[C@H](C)O2)cc1 ZINC000657665730 411577670 /nfs/dbraw/zinc/57/76/70/411577670.db2.gz QBYKTSSSCOKLBS-SWLSCSKDSA-N 0 3 249.354 2.742 20 0 BFADHN CCc1ncc(CNCCCC2CCC2)cn1 ZINC000657665858 411578157 /nfs/dbraw/zinc/57/81/57/411578157.db2.gz ICDFHOGNPKQPHB-UHFFFAOYSA-N 0 3 233.359 2.709 20 0 BFADHN Cc1ccc(C)c(CN[C@H]2C[C@H](O)C23CCC3)c1 ZINC000657702781 411583178 /nfs/dbraw/zinc/58/31/78/411583178.db2.gz JHGSUXNIUSDYKE-GJZGRUSLSA-N 0 3 245.366 2.697 20 0 BFADHN CC(C)=CCCN1CCC[C@H]1c1cc[nH]n1 ZINC000660431469 411583308 /nfs/dbraw/zinc/58/33/08/411583308.db2.gz KHXINELTLOQIRI-ZDUSSCGKSA-N 0 3 219.332 2.903 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@@H](O)C23CCC3)cc1 ZINC000657704844 411583592 /nfs/dbraw/zinc/58/35/92/411583592.db2.gz VQCJWJWPFNZLHY-LSDHHAIUSA-N 0 3 245.366 2.642 20 0 BFADHN CC(C)=CCCN(C)Cc1cnn(C)c1C ZINC000660441855 411585019 /nfs/dbraw/zinc/58/50/19/411585019.db2.gz JTDDPIFKRCIVBW-UHFFFAOYSA-N 0 3 221.348 2.517 20 0 BFADHN Cc1ccc(CNC[C@@H]2C(C)(C)C2(F)F)o1 ZINC000657735907 411587607 /nfs/dbraw/zinc/58/76/07/411587607.db2.gz DCMFEEPKKSUPML-SNVBAGLBSA-N 0 3 229.270 2.969 20 0 BFADHN CC(C)=CCCN(CCCO)Cc1ccccn1 ZINC000660456864 411587923 /nfs/dbraw/zinc/58/79/23/411587923.db2.gz HXXAVYYKEMCNCB-UHFFFAOYSA-N 0 3 248.370 2.622 20 0 BFADHN CCc1nocc1CNC[C@@H]1C(C)(C)C1(F)F ZINC000657737379 411588325 /nfs/dbraw/zinc/58/83/25/411588325.db2.gz DPBKNIGNFGWWPK-SNVBAGLBSA-N 0 3 244.285 2.618 20 0 BFADHN CC(C)[C@H](NCc1cc2n(n1)CCCC2)C1CC1 ZINC000657757097 411590184 /nfs/dbraw/zinc/59/01/84/411590184.db2.gz MLLREQJVUJTAOS-HNNXBMFYSA-N 0 3 247.386 2.744 20 0 BFADHN Cc1nc(CNC(C)(C2CC2)C2CC2)[nH]c1C ZINC000657755102 411590253 /nfs/dbraw/zinc/59/02/53/411590253.db2.gz FNMVYQGBMHCLJT-UHFFFAOYSA-N 0 3 233.359 2.695 20 0 BFADHN COCc1ccc(CN[C@@]2(C)CC2(C)C)o1 ZINC000657761539 411591276 /nfs/dbraw/zinc/59/12/76/411591276.db2.gz NIUWDUXIBREBHW-ZDUSSCGKSA-N 0 3 223.316 2.704 20 0 BFADHN CCc1ncc(CN[C@]2(C)CC2(C)C)s1 ZINC000657761855 411591348 /nfs/dbraw/zinc/59/13/48/411591348.db2.gz SVKMLSRMRCXBNY-GFCCVEGCSA-N 0 3 224.373 2.984 20 0 BFADHN Cc1cccn2c(CN[C@@]3(C)CC3(C)C)cnc12 ZINC000657761512 411591543 /nfs/dbraw/zinc/59/15/43/411591543.db2.gz MMEITCMSEVRTOA-HNNXBMFYSA-N 0 3 243.354 2.921 20 0 BFADHN CCN(C)c1ccc(CN[C@]2(C)CC2(C)C)cn1 ZINC000657761417 411591664 /nfs/dbraw/zinc/59/16/64/411591664.db2.gz KJEPUOUMJLQTIP-OAHLLOKOSA-N 0 3 247.386 2.816 20 0 BFADHN Cc1cnccc1CN[C@]1(C)CC1(C)C ZINC000657761556 411591750 /nfs/dbraw/zinc/59/17/50/411591750.db2.gz NRLIOIWGCHLENC-CYBMUJFWSA-N 0 3 204.317 2.668 20 0 BFADHN COc1ccc(CNCC2=CCCC2)cc1O ZINC000657749013 411592617 /nfs/dbraw/zinc/59/26/17/411592617.db2.gz PUTJCBIWQCCHMO-UHFFFAOYSA-N 0 3 233.311 2.601 20 0 BFADHN CC(C)(C)c1ncc(CNCC2=CCCC2)cn1 ZINC000657749933 411592864 /nfs/dbraw/zinc/59/28/64/411592864.db2.gz UJGFNRJGKBDRRJ-UHFFFAOYSA-N 0 3 245.370 2.974 20 0 BFADHN CC(C)=CCCN1CC[C@H](OC(F)F)C1 ZINC000660488266 411593039 /nfs/dbraw/zinc/59/30/39/411593039.db2.gz DNKNPWALPZFCLG-JTQLQIEISA-N 0 3 219.275 2.656 20 0 BFADHN Cn1cc(CNCC2=CCCC2)c(C(C)(C)C)n1 ZINC000657750096 411593220 /nfs/dbraw/zinc/59/32/20/411593220.db2.gz ZXULJGVZSFWIMT-UHFFFAOYSA-N 0 3 247.386 2.918 20 0 BFADHN CO[C@H](CNCc1ccoc1C)C1CCCC1 ZINC000657769007 411593638 /nfs/dbraw/zinc/59/36/38/411593638.db2.gz SWDIWIJMHAUJOZ-CQSZACIVSA-N 0 3 237.343 2.883 20 0 BFADHN COc1ccc(CNC2(CC(C)C)CC2)cn1 ZINC000657774430 411594135 /nfs/dbraw/zinc/59/41/35/411594135.db2.gz GYXQTAOCVYAPKG-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1ccc(CNCCCc2ccco2)o1 ZINC000657779677 411594433 /nfs/dbraw/zinc/59/44/33/411594433.db2.gz HTGRKUXNWGPCJZ-UHFFFAOYSA-N 0 3 219.284 2.903 20 0 BFADHN CCCn1nccc1CNCCCc1ccco1 ZINC000657783670 411595095 /nfs/dbraw/zinc/59/50/95/411595095.db2.gz WICFKHPVGBYDPE-UHFFFAOYSA-N 0 3 247.342 2.609 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cccc2cccnc21 ZINC000657788068 411596088 /nfs/dbraw/zinc/59/60/88/411596088.db2.gz DZBVEQQHWLPJPZ-HIFRSBDPSA-N 0 3 242.322 2.502 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc(Cl)c(F)c1 ZINC000657788161 411596090 /nfs/dbraw/zinc/59/60/90/411596090.db2.gz HTFLXDHXWNJAEQ-NEPJUHHUSA-N 0 3 243.709 2.746 20 0 BFADHN CC[C@H](CC(F)F)CN1CCN(CC)C[C@H]1C ZINC000660539679 411596276 /nfs/dbraw/zinc/59/62/76/411596276.db2.gz VQVNWBNVGRQYLW-VXGBXAGGSA-N 0 3 248.361 2.694 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cc(C)ccc1C ZINC000657788962 411596895 /nfs/dbraw/zinc/59/68/95/411596895.db2.gz MBBSVTCXOMYIGG-KGLIPLIRSA-N 0 3 219.328 2.570 20 0 BFADHN COc1cccc(CNCC2(F)CC2)c1C ZINC000657802958 411599825 /nfs/dbraw/zinc/59/98/25/411599825.db2.gz GEILPVVXBVTAEO-UHFFFAOYSA-N 0 3 223.291 2.595 20 0 BFADHN CCc1nc([C@@H](C)N2CCC[C@H](C3CC3)C2)n[nH]1 ZINC000660559437 411600396 /nfs/dbraw/zinc/60/03/96/411600396.db2.gz HQJBHHUTSRHRTH-PWSUYJOCSA-N 0 3 248.374 2.550 20 0 BFADHN c1ncc(CN2CC[C@@H](c3ccncc3)C2)s1 ZINC000660572333 411602322 /nfs/dbraw/zinc/60/23/22/411602322.db2.gz BOMYZTQEZQWKOT-GFCCVEGCSA-N 0 3 245.351 2.528 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1cc2cnccc2o1 ZINC000657814342 411603583 /nfs/dbraw/zinc/60/35/83/411603583.db2.gz AFFNLQJATQNXII-NXEZZACHSA-N 0 3 234.299 2.513 20 0 BFADHN c1coc(CN[C@H]2CCCSCC2)c1 ZINC000657815082 411604419 /nfs/dbraw/zinc/60/44/19/411604419.db2.gz BWFIRXCGGVSFEJ-JTQLQIEISA-N 0 3 211.330 2.655 20 0 BFADHN C[C@H](NCc1cccnc1)C(C)(C)C(F)F ZINC000657815080 411604444 /nfs/dbraw/zinc/60/44/44/411604444.db2.gz CVUUMWLYYROAGF-VIFPVBQESA-N 0 3 228.286 2.851 20 0 BFADHN c1ccc(CN[C@H]2CCCC23CC3)nc1 ZINC000657815616 411604844 /nfs/dbraw/zinc/60/48/44/411604844.db2.gz GZYTYCHPXFBMEM-LBPRGKRZSA-N 0 3 202.301 2.504 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1cc2cnccc2o1 ZINC000657818473 411605831 /nfs/dbraw/zinc/60/58/31/411605831.db2.gz TZLMGSYLJNFJMD-PWSUYJOCSA-N 0 3 246.310 2.657 20 0 BFADHN C[C@H](NC1CC1)c1ccc(C(F)F)nc1 ZINC000657819570 411606435 /nfs/dbraw/zinc/60/64/35/411606435.db2.gz XLQICZQNOSLYIJ-ZETCQYMHSA-N 0 3 212.243 2.832 20 0 BFADHN Cc1csc(CNC2CC(CF)(CF)C2)n1 ZINC000657828683 411608203 /nfs/dbraw/zinc/60/82/03/411608203.db2.gz OAYNIJFAQHWKKO-UHFFFAOYSA-N 0 3 246.326 2.629 20 0 BFADHN Cc1cccc(CN[C@@H]2CCCn3nccc32)c1 ZINC000657829220 411608288 /nfs/dbraw/zinc/60/82/88/411608288.db2.gz ASPTVXFHUONYCJ-CQSZACIVSA-N 0 3 241.338 2.816 20 0 BFADHN Cc1nc(CN2CC(C)(C)[C@H]3CCC[C@H]32)co1 ZINC000660643970 411611239 /nfs/dbraw/zinc/61/12/39/411611239.db2.gz YKDPKJNKANRFFF-QWHCGFSZSA-N 0 3 234.343 2.994 20 0 BFADHN CC[C@H](CC(F)F)CN(C(C)C)C1COC1 ZINC000660646761 411611611 /nfs/dbraw/zinc/61/16/11/411611611.db2.gz FLTZEZMNDVEKRW-SNVBAGLBSA-N 0 3 235.318 2.777 20 0 BFADHN Cc1cc(CN[C@H]2[C@@H]3CCC[C@@H]3C2(C)C)on1 ZINC000657869894 411613883 /nfs/dbraw/zinc/61/38/83/411613883.db2.gz BOWFMJOYDXDPMJ-AGIUHOORSA-N 0 3 234.343 2.897 20 0 BFADHN CN(Cc1ccccn1)C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000660680701 411617087 /nfs/dbraw/zinc/61/70/87/411617087.db2.gz WFNDXHPQWZIXBR-HZSPNIEDSA-N 0 3 230.355 2.950 20 0 BFADHN CCO[C@@H](CNCc1cc[nH]c1)c1ccccc1 ZINC000194466839 170478343 /nfs/dbraw/zinc/47/83/43/170478343.db2.gz RZOGIGTVKYVEEY-HNNXBMFYSA-N 0 3 244.338 2.882 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2cc(OC)no2)C1 ZINC000660751325 411620736 /nfs/dbraw/zinc/62/07/36/411620736.db2.gz IOUJVLZWRXYDEZ-WDEREUQCSA-N 0 3 238.331 2.694 20 0 BFADHN CC[C@H](C)N(CC)Cc1ccc(OC)cn1 ZINC000661102809 411706508 /nfs/dbraw/zinc/70/65/08/411706508.db2.gz ARIGVFHZKUEUJE-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN CC[C@@H](C)N(CC)Cc1cncc(F)c1 ZINC000661103817 411709541 /nfs/dbraw/zinc/70/95/41/411709541.db2.gz HXUYGFRKGTUTNZ-SNVBAGLBSA-N 0 3 210.296 2.841 20 0 BFADHN CC[C@H](C)N(CC)Cc1nnc(-c2ccco2)o1 ZINC000661105397 411710780 /nfs/dbraw/zinc/71/07/80/411710780.db2.gz GPFAWNBHFGWQQN-JTQLQIEISA-N 0 3 249.314 2.950 20 0 BFADHN COc1cc(C)nc(CN2CCC3(CC3)CC2)c1 ZINC000663437505 411658012 /nfs/dbraw/zinc/65/80/12/411658012.db2.gz CRZXXKMEDRTIHV-UHFFFAOYSA-N 0 3 246.354 2.775 20 0 BFADHN CCc1nocc1CN1CC[C@@H](C)C[C@H]1C ZINC000660861533 411659840 /nfs/dbraw/zinc/65/98/40/411659840.db2.gz IPDQPBYBUKKMLW-GHMZBOCLSA-N 0 3 222.332 2.857 20 0 BFADHN CC[C@@H](NCCOCCF)c1ccsc1 ZINC000663459728 411661836 /nfs/dbraw/zinc/66/18/36/411661836.db2.gz GDPLTFHANWNHCB-LLVKDONJSA-N 0 3 231.336 2.775 20 0 BFADHN CC[C@@H](NCCOCCF)c1ccccc1F ZINC000663462870 411665352 /nfs/dbraw/zinc/66/53/52/411665352.db2.gz SUAOMQYCFLMFPA-CYBMUJFWSA-N 0 3 243.297 2.853 20 0 BFADHN Cc1ccc([C@@H](C)NCCOCCF)cc1C ZINC000663465658 411666713 /nfs/dbraw/zinc/66/67/13/411666713.db2.gz ZILRKSBGRNJKPF-CYBMUJFWSA-N 0 3 239.334 2.940 20 0 BFADHN C(CN1CCOC[C@H]1C1CCC1)C1CCC1 ZINC000660906387 411666739 /nfs/dbraw/zinc/66/67/39/411666739.db2.gz WRMDKHMLJOCTGJ-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN CC(C)N[C@@H]1CCc2ccccc2-n2ccnc21 ZINC000663473449 411667893 /nfs/dbraw/zinc/66/78/93/411667893.db2.gz FWFMLIQLFMRKOT-CYBMUJFWSA-N 0 3 241.338 2.858 20 0 BFADHN Cc1noc(C)c1CN1C[C@@H]2CCCC[C@@H]21 ZINC000660928096 411678762 /nfs/dbraw/zinc/67/87/62/411678762.db2.gz JRGUJIZIXPBDBT-AAEUAGOBSA-N 0 3 220.316 2.666 20 0 BFADHN CN(CCCCC(F)(F)F)Cc1cnc[nH]1 ZINC000661088521 411698598 /nfs/dbraw/zinc/69/85/98/411698598.db2.gz KVCGNEWOZLRKHV-UHFFFAOYSA-N 0 3 235.253 2.574 20 0 BFADHN OC1(CCN2CC[C@H]2c2ccc(F)cc2)CCC1 ZINC000661508349 411792129 /nfs/dbraw/zinc/79/21/29/411792129.db2.gz OAJYMBGCPNCUNC-AWEZNQCLSA-N 0 3 249.329 2.878 20 0 BFADHN Cc1ccc2c(c1)CN(CCOCC1CC1)CC2 ZINC000661371285 411756892 /nfs/dbraw/zinc/75/68/92/411756892.db2.gz HNPURXZORNAVBD-UHFFFAOYSA-N 0 3 245.366 2.780 20 0 BFADHN CC(C)c1ccc2c(c1)CN(C[C@H](C)CO)CC2 ZINC000661373973 411758269 /nfs/dbraw/zinc/75/82/69/411758269.db2.gz PGWHSVVQZAPYGA-ZDUSSCGKSA-N 0 3 247.382 2.797 20 0 BFADHN CC(C)c1ccc2c(c1)CN(CC[C@@H](C)O)CC2 ZINC000661376537 411759844 /nfs/dbraw/zinc/75/98/44/411759844.db2.gz YJBJKSRGYSTKNA-CYBMUJFWSA-N 0 3 247.382 2.939 20 0 BFADHN CC[C@@H](O)CN1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661434238 411773126 /nfs/dbraw/zinc/77/31/26/411773126.db2.gz KKOJYNJEGJXSBS-TZMCWYRMSA-N 0 3 249.354 2.968 20 0 BFADHN CC/C=C\CCN1CC2(CCC2)OC[C@H]1C ZINC000661468535 411783946 /nfs/dbraw/zinc/78/39/46/411783946.db2.gz JCKRYYZIOGUDPN-DSYXLKISSA-N 0 3 223.360 2.986 20 0 BFADHN COCCN[C@H](c1ccccc1F)C(C)C ZINC000661486511 411784810 /nfs/dbraw/zinc/78/48/10/411784810.db2.gz XAHMBAPBOMSDDQ-ZDUSSCGKSA-N 0 3 225.307 2.759 20 0 BFADHN COCCCN1CC[C@H]1c1ccc(F)cc1 ZINC000661507622 411785472 /nfs/dbraw/zinc/78/54/72/411785472.db2.gz ACNSVNZAYIJPER-ZDUSSCGKSA-N 0 3 223.291 2.609 20 0 BFADHN CC(C)[C@H](NCC[C@@H](C)O)c1ccccc1F ZINC000661486212 411785542 /nfs/dbraw/zinc/78/55/42/411785542.db2.gz UQHQYWDOJMQWQP-RISCZKNCSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1ccccc1CN1CCO[C@@](C)(C2CC2)C1 ZINC000661164019 411724633 /nfs/dbraw/zinc/72/46/33/411724633.db2.gz HVCMTGMPRODOLE-MRXNPFEDSA-N 0 3 245.366 2.996 20 0 BFADHN C[C@@H]1CN(CCC(C)(C)C)C[C@@H](C)C1=O ZINC000661538949 411797398 /nfs/dbraw/zinc/79/73/98/411797398.db2.gz LYQATGZIVXSOTG-GHMZBOCLSA-N 0 3 211.349 2.580 20 0 BFADHN FCC[C@H]1CCCN(Cc2cccnc2)C1 ZINC000661691740 411842431 /nfs/dbraw/zinc/84/24/31/411842431.db2.gz AOKDOYQLEYCJDZ-GFCCVEGCSA-N 0 3 222.307 2.653 20 0 BFADHN CC(C)c1ccc(CN2CC[C@@]3(O)C[C@H]3C2)cc1 ZINC000661712155 411853995 /nfs/dbraw/zinc/85/39/95/411853995.db2.gz LYIKSDKVWZLGNF-JKSUJKDBSA-N 0 3 245.366 2.767 20 0 BFADHN CCCCN1CCc2cc(OC)ccc2C1 ZINC000661741501 411861931 /nfs/dbraw/zinc/86/19/31/411861931.db2.gz SSGJYGSBCHGJCQ-UHFFFAOYSA-N 0 3 219.328 2.853 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@@H](C)O[C@@H](C)C1 ZINC000661859246 411904645 /nfs/dbraw/zinc/90/46/45/411904645.db2.gz RTKDOTBUPYBKHR-FRRDWIJNSA-N 0 3 234.343 2.642 20 0 BFADHN C[C@H](c1ccccn1)N1CC[C@@H](C)O[C@@H](C)C1 ZINC000661855413 411904658 /nfs/dbraw/zinc/90/46/58/411904658.db2.gz DAUBLXYXIJRXPC-FRRDWIJNSA-N 0 3 234.343 2.642 20 0 BFADHN Fc1ccc(OCCN2CC[C@@H]3C[C@@H]3C2)cc1 ZINC000661978679 411933743 /nfs/dbraw/zinc/93/37/43/411933743.db2.gz QJWMMHMQILQAML-VXGBXAGGSA-N 0 3 235.302 2.546 20 0 BFADHN CC(C)=CCN1CC2(CCCC2)OC[C@@H]1C ZINC000661978553 411933929 /nfs/dbraw/zinc/93/39/29/411933929.db2.gz MFKNFCVTIOBGHS-ZDUSSCGKSA-N 0 3 223.360 2.986 20 0 BFADHN Fc1ccccc1OCCN1CC[C@@H]2C[C@@H]2C1 ZINC000661979132 411934567 /nfs/dbraw/zinc/93/45/67/411934567.db2.gz SUVUKWBQBBJAHR-VXGBXAGGSA-N 0 3 235.302 2.546 20 0 BFADHN CC[C@@H](CN[C@H](C)c1cc(C)c(C)o1)OC ZINC000310021022 170830329 /nfs/dbraw/zinc/83/03/29/170830329.db2.gz NHCSXNPWSJGTLZ-PWSUYJOCSA-N 0 3 225.332 2.972 20 0 BFADHN C1=C(CN2CCOC(C3CC3)(C3CC3)C2)CCC1 ZINC000661993759 411937585 /nfs/dbraw/zinc/93/75/85/411937585.db2.gz GNLYVSUAFMUEFS-UHFFFAOYSA-N 0 3 247.382 2.988 20 0 BFADHN C[C@@H](NCc1ccno1)C1CCC(F)CC1 ZINC000662001110 411939990 /nfs/dbraw/zinc/93/99/90/411939990.db2.gz KRPZBCMPBHOPIH-KPPDAEKUSA-N 0 3 226.295 2.681 20 0 BFADHN CC[C@@H](CO)N[C@H](CC(C)C)c1ccccn1 ZINC000188678338 170842774 /nfs/dbraw/zinc/84/27/74/170842774.db2.gz UDDMYDLBWKUJTE-GXTWGEPZSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@@H](NCc1nc(C)oc1C)C1CC1 ZINC000661762994 411873371 /nfs/dbraw/zinc/87/33/71/411873371.db2.gz ZIRZROHPTRNDMT-LLVKDONJSA-N 0 3 208.305 2.570 20 0 BFADHN COc1ncccc1CNC1(CC(C)C)CC1 ZINC000662066120 411967533 /nfs/dbraw/zinc/96/75/33/411967533.db2.gz CXCXRVVGXYCUME-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN CCc1nocc1CNC1(CC(C)C)CC1 ZINC000662066479 411969077 /nfs/dbraw/zinc/96/90/77/411969077.db2.gz MLSIAZUMPCBTNY-UHFFFAOYSA-N 0 3 222.332 2.905 20 0 BFADHN Fc1ccc2c(c1)[C@@H](N1CCC3(COC3)C1)CC2 ZINC000662074114 411976990 /nfs/dbraw/zinc/97/69/90/411976990.db2.gz COEDOGYHVZHMDD-AWEZNQCLSA-N 0 3 247.313 2.535 20 0 BFADHN CC[C@@H](C)CN1CCOc2ccc(O)cc2C1 ZINC000662096750 411985893 /nfs/dbraw/zinc/98/58/93/411985893.db2.gz LUHCICGIBNJDMT-LLVKDONJSA-N 0 3 235.327 2.633 20 0 BFADHN CC[C@H](c1ccccc1)N(CC(=O)NC)C(C)C ZINC000662106016 411987389 /nfs/dbraw/zinc/98/73/89/411987389.db2.gz YQZVQUWWTZNROZ-CQSZACIVSA-N 0 3 248.370 2.594 20 0 BFADHN CC1CCC(CN2CCc3nccnc3C2)CC1 ZINC000662122361 411994176 /nfs/dbraw/zinc/99/41/76/411994176.db2.gz ZVXKZFFGECZUIY-UHFFFAOYSA-N 0 3 245.370 2.661 20 0 BFADHN Cn1cncc1CN1CCCC[C@H]1CC1CCC1 ZINC000662157414 412011175 /nfs/dbraw/zinc/01/11/75/412011175.db2.gz PAXYEXFLTUCSDR-AWEZNQCLSA-N 0 3 247.386 2.965 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1C[C@@H](O)C(F)(F)F ZINC000662161669 412013155 /nfs/dbraw/zinc/01/31/55/412013155.db2.gz WNGRZMQWWFPYLW-IVZWLZJFSA-N 0 3 239.281 2.563 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1cnc(C)nc1 ZINC000662161702 412013383 /nfs/dbraw/zinc/01/33/83/412013383.db2.gz XFJPAHUDSMECMD-SMDDNHRTSA-N 0 3 233.359 2.938 20 0 BFADHN Cc1noc([C@H](C)N[C@H]2[C@H](C)CCC[C@@H]2C)n1 ZINC000664422163 411951244 /nfs/dbraw/zinc/95/12/44/411951244.db2.gz RJAUBGBYQGQORY-MIZYBKAJSA-N 0 3 237.347 2.853 20 0 BFADHN c1[nH]nc2c1CN(C[C@@H]1CC[C@@H]3C[C@@H]3C1)CCC2 ZINC000664861833 412033686 /nfs/dbraw/zinc/03/36/86/412033686.db2.gz ZFPUPPYKGHGAOB-UPJWGTAASA-N 0 3 245.370 2.594 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cc(C)no1 ZINC000133333914 171001505 /nfs/dbraw/zinc/00/15/05/171001505.db2.gz RVIXNVWVAHBPHE-ZYHUDNBSSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc(N(C)C(C)C)nc1 ZINC000292701192 171024293 /nfs/dbraw/zinc/02/42/93/171024293.db2.gz CIMAFGHBGFHOMI-CQSZACIVSA-N 0 3 247.386 2.911 20 0 BFADHN CC[C@@H]1CCN1Cc1cccc(COC)c1 ZINC000292121896 171025561 /nfs/dbraw/zinc/02/55/61/171025561.db2.gz AMYFWVUDSJPSMG-CQSZACIVSA-N 0 3 219.328 2.817 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cc(OC)ccn1 ZINC000271519212 171038079 /nfs/dbraw/zinc/03/80/79/171038079.db2.gz KZJQUUZOXXUWSZ-DGCLKSJQSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1nccs1 ZINC000271589928 171039134 /nfs/dbraw/zinc/03/91/34/171039134.db2.gz SXHOPKYBMHJWRB-NXEZZACHSA-N 0 3 210.346 2.906 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1nc(C)cs1 ZINC000336664151 171044316 /nfs/dbraw/zinc/04/43/16/171044316.db2.gz UPUOCCNNFPQEQL-ZJUUUORDSA-N 0 3 210.346 2.730 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2cc(C)ncn2)C1 ZINC000336291130 171050028 /nfs/dbraw/zinc/05/00/28/171050028.db2.gz VUVXQGFYQGNXMA-QWHCGFSZSA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cc(OC)ccn1 ZINC000271519214 171054171 /nfs/dbraw/zinc/05/41/71/171054171.db2.gz KZJQUUZOXXUWSZ-WCQYABFASA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CN(CCOc2ccccc2)CCCO1 ZINC000281406664 171085104 /nfs/dbraw/zinc/08/51/04/171085104.db2.gz NWORBDMGYMGRHW-CQSZACIVSA-N 0 3 249.354 2.566 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CCCc1ccncc1 ZINC000360439546 171109167 /nfs/dbraw/zinc/10/91/67/171109167.db2.gz YAXAOOJUFDNMLI-DZGCQCFKSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CCCCCF ZINC000341834398 171109692 /nfs/dbraw/zinc/10/96/92/171109692.db2.gz JTIGNXDXPYXNSI-NWDGAFQWSA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@@H]1C[C@@H](CN2CC[C@](C)(F)C2)CCO1 ZINC000356976289 171120315 /nfs/dbraw/zinc/12/03/15/171120315.db2.gz CKJRPESLTOATNY-XQQFMLRXSA-N 0 3 229.339 2.626 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@@H]1NCc1nccn1C ZINC000354297024 171125437 /nfs/dbraw/zinc/12/54/37/171125437.db2.gz QBPLMDDGQKFCNW-UPJWGTAASA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@H]1NCc1nccn1C ZINC000354297022 171125588 /nfs/dbraw/zinc/12/55/88/171125588.db2.gz QBPLMDDGQKFCNW-JHJVBQTASA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cn2ccccc2n1 ZINC000185647937 171155804 /nfs/dbraw/zinc/15/58/04/171155804.db2.gz JEBJWVXRWUPORF-GXTWGEPZSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@@](C)(CO)NCc1ccc(Cl)s1 ZINC000070710480 171171167 /nfs/dbraw/zinc/17/11/67/171171167.db2.gz MPGZSBHNUMPUNQ-JTQLQIEISA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1sccc1OC ZINC000186157412 171186260 /nfs/dbraw/zinc/18/62/60/171186260.db2.gz YUANQTOMBIBACG-BXKDBHETSA-N 0 3 243.372 2.568 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1cccc(F)c1F ZINC000127475142 171186659 /nfs/dbraw/zinc/18/66/59/171186659.db2.gz ADFHDZKFMPNWAC-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2n[nH]cc2C)C1 ZINC000356124677 171198677 /nfs/dbraw/zinc/19/86/77/171198677.db2.gz PUTKYMVSVQDAJB-ZDUSSCGKSA-N 0 3 221.348 2.730 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cnc(C)cn2)C1 ZINC000336235383 171198834 /nfs/dbraw/zinc/19/88/34/171198834.db2.gz FLSJDCAFZVFKIN-AWEZNQCLSA-N 0 3 233.359 2.797 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cnc(C)nc2)C1 ZINC000335072379 171199711 /nfs/dbraw/zinc/19/97/11/171199711.db2.gz ZCKUJUCUKSGTAN-AWEZNQCLSA-N 0 3 233.359 2.797 20 0 BFADHN CC[C@@]1(C)C[C@H]1C(=O)N(CCOC)CC(C)C ZINC000120169126 171207089 /nfs/dbraw/zinc/20/70/89/171207089.db2.gz ILWZBQXKPKWQCD-JSGCOSHPSA-N 0 3 241.375 2.554 20 0 BFADHN CC[C@H](C(=O)NCCC=C(C)C)N(CC)CC ZINC000360207889 171227983 /nfs/dbraw/zinc/22/79/83/171227983.db2.gz SGPTXDFLBYFHSZ-CYBMUJFWSA-N 0 3 240.391 2.579 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H](C3CC3)C2)ncn1 ZINC000678604223 487621897 /nfs/dbraw/zinc/62/18/97/487621897.db2.gz ASYFQENQDJPTMP-ZIAGYGMSSA-N 0 3 245.370 2.843 20 0 BFADHN CC[C@H](C)CN(C)Cc1cn2ccccc2n1 ZINC000171947823 171274930 /nfs/dbraw/zinc/27/49/30/171274930.db2.gz FVRBGJCHDXHQEI-LBPRGKRZSA-N 0 3 231.343 2.812 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cncc(OC)c1 ZINC000290520158 171277734 /nfs/dbraw/zinc/27/77/34/171277734.db2.gz YXPUUWJTMUZVJK-LBPRGKRZSA-N 0 3 236.359 2.958 20 0 BFADHN CC[C@H](C)CN(CC)Cc1ccc(OC)cn1 ZINC000271982520 171277989 /nfs/dbraw/zinc/27/79/89/171277989.db2.gz OSDATOPZPGDTFT-LBPRGKRZSA-N 0 3 236.359 2.958 20 0 BFADHN CC[C@H](C)CN(CC)Cc1n[nH]cc1C ZINC000290422403 171278644 /nfs/dbraw/zinc/27/86/44/171278644.db2.gz MHWOZGZKWVDSQV-JTQLQIEISA-N 0 3 209.337 2.586 20 0 BFADHN CC[C@H](C)CN1CCC[C@H]1c1cc[nH]n1 ZINC000278685866 171281915 /nfs/dbraw/zinc/28/19/15/171281915.db2.gz RYUYFRJUZJVTGI-JQWIXIFHSA-N 0 3 207.321 2.593 20 0 BFADHN CC[C@H](C)C[NH2+]Cc1ccc([O-])c(F)c1 ZINC000093076267 171285735 /nfs/dbraw/zinc/28/57/35/171285735.db2.gz ZYZTYTBNZXNDGX-VIFPVBQESA-N 0 3 211.280 2.667 20 0 BFADHN CC[C@H](C)CN[C@@H](COC)c1ccco1 ZINC000093078685 171286592 /nfs/dbraw/zinc/28/65/92/171286592.db2.gz KAZZUHJOEVTFJQ-QWRGUYRKSA-N 0 3 211.305 2.603 20 0 BFADHN CC[C@H](C)CN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000345360806 171286692 /nfs/dbraw/zinc/28/66/92/171286692.db2.gz UAROEQXMKZBVRG-HBNTYKKESA-N 0 3 207.321 2.525 20 0 BFADHN CC[C@H](C)CN[C@@H](CCOC)c1ccco1 ZINC000272546565 171287219 /nfs/dbraw/zinc/28/72/19/171287219.db2.gz LTMWPDAMAYGZQT-RYUDHWBXSA-N 0 3 225.332 2.993 20 0 BFADHN CC[C@H](C)C[NH2+][C@@H](C)c1nnc(C(C)C)[n-]1 ZINC000129141850 171287396 /nfs/dbraw/zinc/28/73/96/171287396.db2.gz WOLBEFZOIBIJLR-UWVGGRQHSA-N 0 3 224.352 2.625 20 0 BFADHN CC[C@H](C)CN[C@@H](C)c1nnc(C(C)C)[nH]1 ZINC000129141850 171287397 /nfs/dbraw/zinc/28/73/97/171287397.db2.gz WOLBEFZOIBIJLR-UWVGGRQHSA-N 0 3 224.352 2.625 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1ccccn1 ZINC000083863598 171297978 /nfs/dbraw/zinc/29/79/78/171297978.db2.gz TTWYVUZAXRRCGU-RYUDHWBXSA-N 0 3 206.333 2.996 20 0 BFADHN CC[C@H](C)C[C@H](CC)N[C@@H](C)c1ncnn1C ZINC000353304897 171298958 /nfs/dbraw/zinc/29/89/58/171298958.db2.gz LSSYZZYJGBYXRQ-SRVKXCTJSA-N 0 3 238.379 2.681 20 0 BFADHN CC[C@H](C)N(C)Cc1ccc(Cl)nc1 ZINC000037110117 171308915 /nfs/dbraw/zinc/30/89/15/171308915.db2.gz VLMQNWFKUXWRBB-VIFPVBQESA-N 0 3 212.724 2.965 20 0 BFADHN CC[C@H](C)N(C)Cc1cnc(C(C)C)nc1 ZINC000337458697 171309364 /nfs/dbraw/zinc/30/93/64/171309364.db2.gz JFUNYROEJYILAQ-NSHDSACASA-N 0 3 221.348 2.830 20 0 BFADHN CC[C@H](C)N(Cc1ccccc1)CC1OCCO1 ZINC000181993862 171316676 /nfs/dbraw/zinc/31/66/76/171316676.db2.gz IQJBECMTKPHQJD-ZDUSSCGKSA-N 0 3 249.354 2.660 20 0 BFADHN CC[C@H](C)N1CCN(C)[C@H](Cc2ccccc2)C1 ZINC000284674780 171318970 /nfs/dbraw/zinc/31/89/70/171318970.db2.gz GOBYCVFZICVKKL-GOEBONIOSA-N 0 3 246.398 2.644 20 0 BFADHN CC[C@H](C)N1CCOc2cc(OC)ccc2C1 ZINC000338633958 171319583 /nfs/dbraw/zinc/31/95/83/171319583.db2.gz SUHUYHGVHSNIII-NSHDSACASA-N 0 3 235.327 2.688 20 0 BFADHN CC[C@H](C)N1CCc2c(cccc2OC)C1 ZINC000181163836 171320229 /nfs/dbraw/zinc/32/02/29/171320229.db2.gz JRINWOAISPGTTH-NSHDSACASA-N 0 3 219.328 2.852 20 0 BFADHN CC[C@H](C)NC(=O)CCN[C@H](C)c1ccccc1 ZINC000038013730 171324052 /nfs/dbraw/zinc/32/40/52/171324052.db2.gz MKXUYQJQNZKBRY-QWHCGFSZSA-N 0 3 248.370 2.642 20 0 BFADHN CC[C@H](C)NC(=O)[C@@H](C)N[C@H](C)c1ccccc1 ZINC000104426593 171332061 /nfs/dbraw/zinc/33/20/61/171332061.db2.gz MSSIIAVFAOYPGJ-YNEHKIRRSA-N 0 3 248.370 2.640 20 0 BFADHN CC[C@H](C)NCc1cc(F)cnc1Cl ZINC000297488708 171342947 /nfs/dbraw/zinc/34/29/47/171342947.db2.gz ZWBJSGHFMJOLJI-ZETCQYMHSA-N 0 3 216.687 2.762 20 0 BFADHN CC[C@H](C)NCc1cnc(C(C)(C)C)nc1 ZINC000284357650 171344208 /nfs/dbraw/zinc/34/42/08/171344208.db2.gz MVYZJQQGCFPXIH-JTQLQIEISA-N 0 3 221.348 2.662 20 0 BFADHN CC[C@H](C)N[C@H](C)c1cc(C(=O)OC)co1 ZINC000278183492 171347937 /nfs/dbraw/zinc/34/79/37/171347937.db2.gz DRVWTJCJFHKTAC-DTWKUNHWSA-N 0 3 225.288 2.515 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@H](C3CC3)C2)ncn1 ZINC000678604220 487622019 /nfs/dbraw/zinc/62/20/19/487622019.db2.gz ASYFQENQDJPTMP-KBPBESRZSA-N 0 3 245.370 2.843 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1cnc(C)cn1 ZINC000336742733 171366259 /nfs/dbraw/zinc/36/62/59/171366259.db2.gz SHEQIYDWWGYBOM-WCQGTBRESA-N 0 3 221.348 2.870 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](CCO)c1ccco1 ZINC000186512814 171366430 /nfs/dbraw/zinc/36/64/30/171366430.db2.gz IJJCEQQYDGMUDE-TUAOUCFPSA-N 0 3 225.332 2.727 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@H](C)c1ccncc1)OC ZINC000294352441 171368210 /nfs/dbraw/zinc/36/82/10/171368210.db2.gz ZJJPXKFTEMALJJ-OUCADQQQSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1ccsc1)OC ZINC000294173172 171368577 /nfs/dbraw/zinc/36/85/77/171368577.db2.gz JLTRJIBUYDBTPE-CMPLNLGQSA-N 0 3 227.373 2.899 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)N[C@@H](C)c1ccccc1C ZINC000040854703 171371490 /nfs/dbraw/zinc/37/14/90/171371490.db2.gz WDVRWUAUJILCPA-VHRBIJSZSA-N 0 3 248.370 2.546 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)Nc1cc(C)cc(C)c1 ZINC000019478412 171372244 /nfs/dbraw/zinc/37/22/44/171372244.db2.gz VXFVJPCTADMQRV-WCQYABFASA-N 0 3 234.343 2.615 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)Nc1ccc(C)c(C)c1 ZINC000019440865 171372768 /nfs/dbraw/zinc/37/27/68/171372768.db2.gz HWQCWGQTKYZNHQ-TVQRCGJNSA-N 0 3 234.343 2.615 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@@H](C)c1cc(C)oc1C ZINC000278675694 171378448 /nfs/dbraw/zinc/37/84/48/171378448.db2.gz JNUWTTMJVYAGBQ-CHIMOYNISA-N 0 3 239.359 2.954 20 0 BFADHN CC[C@H](C)[C@@](C)(O)CN[C@H](C)c1cccnc1 ZINC000305767057 171382722 /nfs/dbraw/zinc/38/27/22/171382722.db2.gz SJYUBVWKBWHKMS-SCRDCRAPSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@H](C)[C@H](C)NC(=O)C[C@H](N)c1ccccc1 ZINC000042558746 171386619 /nfs/dbraw/zinc/38/66/19/171386619.db2.gz VKGHSRRXVPUBAS-OBJOEFQTSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H]1C[C@H](C)n2ccnc21 ZINC000345346012 171390917 /nfs/dbraw/zinc/39/09/17/171390917.db2.gz CAJYNKGDPCEDMK-BJDJZHNGSA-N 0 3 221.348 2.913 20 0 BFADHN CC[C@H](C)[C@H](CNCc1ccc(C)o1)OC ZINC000294328149 171392190 /nfs/dbraw/zinc/39/21/90/171392190.db2.gz XKPWPGGOHJMKRT-GWCFXTLKSA-N 0 3 225.332 2.739 20 0 BFADHN CC[C@H](C)[C@H](CNCc1cccc(O)c1)OC ZINC000294262048 171392592 /nfs/dbraw/zinc/39/25/92/171392592.db2.gz QZMZDPCROYCXIK-FZMZJTMJSA-N 0 3 237.343 2.543 20 0 BFADHN CC[C@H](C)[C@H](CN[C@H](C)c1ccccn1)OC ZINC000294152807 171392907 /nfs/dbraw/zinc/39/29/07/171392907.db2.gz HQKAROFAJOXROS-SCRDCRAPSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)Nc1cccc(C)c1C ZINC000011960453 171396126 /nfs/dbraw/zinc/39/61/26/171396126.db2.gz QVLFBFLNOWKHCO-ZANVPECISA-N 0 3 234.343 2.615 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1cc(C)c(C)o1 ZINC000310029624 171429208 /nfs/dbraw/zinc/42/92/08/171429208.db2.gz QSFDHUMEADDFSH-ZYHUDNBSSA-N 0 3 225.332 2.708 20 0 BFADHN COCC1(NCc2ncccc2C)CCCCC1 ZINC000662341066 412109752 /nfs/dbraw/zinc/10/97/52/412109752.db2.gz KZCSFKYEOGYXQE-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN COc1ccc(CN(C)C[C@H]2CC2(C)C)cn1 ZINC000662344455 412113050 /nfs/dbraw/zinc/11/30/50/412113050.db2.gz BKMXZHKTMKBXPD-GFCCVEGCSA-N 0 3 234.343 2.568 20 0 BFADHN Cc1cnc([C@H](C)N(C)C[C@@H]2CC2(C)C)cn1 ZINC000662344684 412113146 /nfs/dbraw/zinc/11/31/46/412113146.db2.gz RIVQHOOVAIDCIR-RYUDHWBXSA-N 0 3 233.359 2.824 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CC2(C)C)nc1 ZINC000662346650 412115255 /nfs/dbraw/zinc/11/52/55/412115255.db2.gz YAZHIBAJWDFPKI-LBPRGKRZSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1nc(C)c(CN(C)C[C@@H]2CC2(C)C)o1 ZINC000662345930 412117196 /nfs/dbraw/zinc/11/71/96/412117196.db2.gz OLMXYUSCYMJLPR-NSHDSACASA-N 0 3 222.332 2.769 20 0 BFADHN C[C@@H]1CN(CC2CCC2)C[C@@](C)(C(F)F)O1 ZINC000662357743 412121443 /nfs/dbraw/zinc/12/14/43/412121443.db2.gz FHDOIGYDTJVRBB-SKDRFNHKSA-N 0 3 233.302 2.531 20 0 BFADHN CC[C@H](C)CN1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662359521 412124574 /nfs/dbraw/zinc/12/45/74/412124574.db2.gz KXLIOBZILXBFLY-HOSYDEDBSA-N 0 3 235.318 2.777 20 0 BFADHN CC[C@H](CO)N[C@@H](C)c1ccc2ccccc2n1 ZINC000268710174 171453279 /nfs/dbraw/zinc/45/32/79/171453279.db2.gz JUTDQKUZGFHUCD-WCQYABFASA-N 0 3 244.338 2.656 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1cccc2c1CCCC2 ZINC000662369525 412127903 /nfs/dbraw/zinc/12/79/03/412127903.db2.gz BEWIKFSJAVYTGG-CYBMUJFWSA-N 0 3 246.354 2.502 20 0 BFADHN CN(C)Cc1ccc(F)c(-c2cc(CO)co2)c1 ZINC000665196411 412130634 /nfs/dbraw/zinc/13/06/34/412130634.db2.gz OCXWEEDCXISNSO-UHFFFAOYSA-N 0 3 249.285 2.640 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccsc1)[C@H](O)C(F)F ZINC000296404531 171538372 /nfs/dbraw/zinc/53/83/72/171538372.db2.gz KQKQJNJCSFNQSU-HGNGGELXSA-N 0 3 249.326 2.803 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1c(C)cc(C)cc1C ZINC000662431470 412167951 /nfs/dbraw/zinc/16/79/51/412167951.db2.gz CFCXGSQNKNADGF-GFCCVEGCSA-N 0 3 234.343 2.548 20 0 BFADHN CC[C@H](NC)C(=O)Nc1c(C)cc(C)cc1C ZINC000662431471 412168804 /nfs/dbraw/zinc/16/88/04/412168804.db2.gz CFCXGSQNKNADGF-LBPRGKRZSA-N 0 3 234.343 2.548 20 0 BFADHN CC[C@H](O)CN[C@H](CC(C)C)c1ccccn1 ZINC000281556904 171577782 /nfs/dbraw/zinc/57/77/82/171577782.db2.gz CRFYLPDSFZUTMI-GXTWGEPZSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@H](NC)C(=O)Nc1cccc(C(F)F)c1 ZINC000662559880 412219076 /nfs/dbraw/zinc/21/90/76/412219076.db2.gz DDEGNGPYGPSRGQ-JTQLQIEISA-N 0 3 242.269 2.561 20 0 BFADHN COc1cc(CN2CC[C@H](C3CCC3)C2)ccn1 ZINC000665288026 412234562 /nfs/dbraw/zinc/23/45/62/412234562.db2.gz AVJJPIRQVQIGFL-AWEZNQCLSA-N 0 3 246.354 2.712 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](CO)CC2CC2)o1 ZINC000678605029 487623135 /nfs/dbraw/zinc/62/31/35/487623135.db2.gz BTHJBVKVMJSWHA-JQWIXIFHSA-N 0 3 237.343 2.654 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](CO)CC2CC2)o1 ZINC000678605027 487623251 /nfs/dbraw/zinc/62/32/51/487623251.db2.gz BTHJBVKVMJSWHA-CMPLNLGQSA-N 0 3 237.343 2.654 20 0 BFADHN CCc1ccc(CNCCC(C)(F)F)o1 ZINC000294101110 171804869 /nfs/dbraw/zinc/80/48/69/171804869.db2.gz CAFAPJCWMCFLKR-UHFFFAOYSA-N 0 3 217.259 2.977 20 0 BFADHN CCc1ccc(CN[C@@H](C)[C@@H]2CCCCO2)o1 ZINC000272044399 171809630 /nfs/dbraw/zinc/80/96/30/171809630.db2.gz WNSNFBOTMNIRSB-FZMZJTMJSA-N 0 3 237.343 2.889 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CC=C(C)CC2)[n-]1 ZINC000285087036 172007486 /nfs/dbraw/zinc/00/74/86/172007486.db2.gz JUUNSGRZFVOCEM-WDEREUQCSA-N 0 3 234.347 2.517 20 0 BFADHN CCc1nnc([C@H](C)N[C@@H]2CC=C(C)CC2)[nH]1 ZINC000285087036 172007488 /nfs/dbraw/zinc/00/74/88/172007488.db2.gz JUUNSGRZFVOCEM-WDEREUQCSA-N 0 3 234.347 2.517 20 0 BFADHN CCc1nc2c(s1)[C@H](NC1CC1)CCC2 ZINC000132718785 172008583 /nfs/dbraw/zinc/00/85/83/172008583.db2.gz FNYBHVIHFAPJNT-SECBINFHSA-N 0 3 222.357 2.835 20 0 BFADHN CCc1ncc(CNC2(C(C)C)CC2)s1 ZINC000293202295 172017298 /nfs/dbraw/zinc/01/72/98/172017298.db2.gz XUDRJPYICAPTGE-UHFFFAOYSA-N 0 3 224.373 2.984 20 0 BFADHN CCc1ncc(CN[C@@H]2CSC[C@@H]2C)s1 ZINC000309037611 172018900 /nfs/dbraw/zinc/01/89/00/172018900.db2.gz JCVQMKFBDFQEDT-WCBMZHEXSA-N 0 3 242.413 2.547 20 0 BFADHN CCc1ncc(CNCCSC(C)C)s1 ZINC000290195716 172019282 /nfs/dbraw/zinc/01/92/82/172019282.db2.gz UCRLURAKMRVIOX-UHFFFAOYSA-N 0 3 244.429 2.937 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@@H](F)C2)s1 ZINC000336759930 172020374 /nfs/dbraw/zinc/02/03/74/172020374.db2.gz LHJCSDSJHOVCJP-BDAKNGLRSA-N 0 3 228.336 2.686 20 0 BFADHN CCc1nn(C)c(CC)c1CN(CC)C1CCC1 ZINC000353812512 172038058 /nfs/dbraw/zinc/03/80/58/172038058.db2.gz GYHJOWWMSHHKKC-UHFFFAOYSA-N 0 3 249.402 2.919 20 0 BFADHN CCc1nnc(CN[C@H](C)[C@@H](C)CC)s1 ZINC000308963941 172053312 /nfs/dbraw/zinc/05/33/12/172053312.db2.gz TZTQNSVCQGPPNX-DTWKUNHWSA-N 0 3 227.377 2.625 20 0 BFADHN CCc1noc(C)c1CN1CC[C@@H](C2CC2)C1 ZINC000374308985 172077158 /nfs/dbraw/zinc/07/71/58/172077158.db2.gz LDQTYKUXATVKIO-GFCCVEGCSA-N 0 3 234.343 2.777 20 0 BFADHN CCc1noc(C)c1CN1CC[C@@H](CC)C1 ZINC000119941195 172077980 /nfs/dbraw/zinc/07/79/80/172077980.db2.gz LBQNBKQSYWXAPC-LLVKDONJSA-N 0 3 222.332 2.777 20 0 BFADHN CCc1noc(C)c1CNC1CC(C)(C)C1 ZINC000340439177 172078490 /nfs/dbraw/zinc/07/84/90/172078490.db2.gz ISWDVMZMEFCICO-UHFFFAOYSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CNC[C@@H]1CC=CCC1 ZINC000292999377 172078852 /nfs/dbraw/zinc/07/88/52/172078852.db2.gz ORZGSDAHYYLIBA-GFCCVEGCSA-N 0 3 234.343 2.991 20 0 BFADHN CCc1noc(C)c1CN[C@@H](C)C(C)C ZINC000293588668 172079330 /nfs/dbraw/zinc/07/93/30/172079330.db2.gz COQYBZASAWUKPG-VIFPVBQESA-N 0 3 210.321 2.680 20 0 BFADHN CCc1noc(C)c1CNC1CCCC1 ZINC000292959540 172079867 /nfs/dbraw/zinc/07/98/67/172079867.db2.gz LGAQALBWCRVNEJ-UHFFFAOYSA-N 0 3 208.305 2.578 20 0 BFADHN CCc1nocc1CN(C)[C@@H]1CC[C@@H](C)C1 ZINC000339604946 172096102 /nfs/dbraw/zinc/09/61/02/172096102.db2.gz JQOWZSPXMHZCKW-ZYHUDNBSSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1nocc1CN1CC[C@H](C)[C@@H]1CC ZINC000352943344 172097516 /nfs/dbraw/zinc/09/75/16/172097516.db2.gz CCBZPZCGXSAZLC-GWCFXTLKSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1nocc1CN1CCC[C@@H](C)CC1 ZINC000336328767 172098597 /nfs/dbraw/zinc/09/85/97/172098597.db2.gz RNPFMPNQBGQUIJ-LLVKDONJSA-N 0 3 222.332 2.859 20 0 BFADHN CCc1nocc1CNCC1CCCCC1 ZINC000339166949 172098762 /nfs/dbraw/zinc/09/87/62/172098762.db2.gz AUSWBUZLUFCXKZ-UHFFFAOYSA-N 0 3 222.332 2.907 20 0 BFADHN CCc1nocc1CNCCc1cccc(F)c1 ZINC000339175341 172099777 /nfs/dbraw/zinc/09/97/77/172099777.db2.gz XCSZUKYWFQJRJY-UHFFFAOYSA-N 0 3 248.301 2.708 20 0 BFADHN CCc1nocc1CN[C@H]1CC[C@@H](C)C1 ZINC000339365027 172101709 /nfs/dbraw/zinc/10/17/09/172101709.db2.gz YNXVVYCPHBNMIQ-KOLCDFICSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1nocc1CNC[C@]1(C)CCCS1 ZINC000339296599 172101931 /nfs/dbraw/zinc/10/19/31/172101931.db2.gz CFXGWFLOOLXQFK-LBPRGKRZSA-N 0 3 240.372 2.612 20 0 BFADHN CCc1nocc1CN[C@@H]1CC[C@@H](C)C1 ZINC000339365033 172102363 /nfs/dbraw/zinc/10/23/63/172102363.db2.gz YNXVVYCPHBNMIQ-MWLCHTKSSA-N 0 3 208.305 2.515 20 0 BFADHN CCn1c2ccccc2nc1CNCC1CCC1 ZINC000038046735 172144546 /nfs/dbraw/zinc/14/45/46/172144546.db2.gz SNTVFNZACJNPMZ-UHFFFAOYSA-N 0 3 243.354 2.946 20 0 BFADHN CCn1cc(CN(C)[C@@H]2CC[C@H](C)C2)cn1 ZINC000338995188 172162098 /nfs/dbraw/zinc/16/20/98/172162098.db2.gz BNRBLNFISJUXBK-WCQYABFASA-N 0 3 221.348 2.523 20 0 BFADHN CCn1cc(CN2CC(C)(C)[C@H]2C(C)C)cn1 ZINC000353744640 172162656 /nfs/dbraw/zinc/16/26/56/172162656.db2.gz GUMMQCGZAQRSMV-CYBMUJFWSA-N 0 3 235.375 2.769 20 0 BFADHN CCn1cc(CN2CC[C@H](C)C3(CCC3)C2)cn1 ZINC000361104768 172166236 /nfs/dbraw/zinc/16/62/36/172166236.db2.gz LGBKHLXVPQKVOZ-ZDUSSCGKSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1cc([C@H](C)NC[C@@H](C)C(F)(F)F)cn1 ZINC000359971113 172196077 /nfs/dbraw/zinc/19/60/77/172196077.db2.gz PONVUOYEIHYNFX-BDAKNGLRSA-N 0 3 249.280 2.752 20 0 BFADHN CCn1cc([C@H](C)N[C@@H](C)Cc2ccoc2)cn1 ZINC000361928603 172198295 /nfs/dbraw/zinc/19/82/95/172198295.db2.gz WVTFPFYZVXHHEE-RYUDHWBXSA-N 0 3 247.342 2.778 20 0 BFADHN CCn1ccnc1CN(CC1CC1)CC1CCC1 ZINC000355735806 172219587 /nfs/dbraw/zinc/21/95/87/172219587.db2.gz UBJRPANURDQGKQ-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1ccnc1CN1CC[C@H](C(C)(C)C)C1 ZINC000336718343 172219612 /nfs/dbraw/zinc/21/96/12/172219612.db2.gz AXVKYPXUAMZVOU-LBPRGKRZSA-N 0 3 235.375 2.771 20 0 BFADHN CCn1ccnc1CN[C@H]1[C@H](C)CCC[C@@H]1C ZINC000343788140 172223470 /nfs/dbraw/zinc/22/34/70/172223470.db2.gz UTIDASDEBYXLHW-IMRBUKKESA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCCC[C@H]1C ZINC000051920895 172223478 /nfs/dbraw/zinc/22/34/78/172223478.db2.gz SLKCYVQSHSHWNU-VXGBXAGGSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCCC[C@@H]1C ZINC000051920892 172224320 /nfs/dbraw/zinc/22/43/20/172224320.db2.gz SLKCYVQSHSHWNU-NWDGAFQWSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@@H]1CC=CCC1 ZINC000295200001 172227642 /nfs/dbraw/zinc/22/76/42/172227642.db2.gz KCBRGWZMTGIURL-CHWSQXEVSA-N 0 3 233.359 2.910 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC(C)(C)SC ZINC000297622444 172227661 /nfs/dbraw/zinc/22/76/61/172227661.db2.gz IZAWKOLTTZMLMW-SNVBAGLBSA-N 0 3 241.404 2.695 20 0 BFADHN CCn1nc(C)c(CN2CC[C@H](C)[C@@H](C)C2)c1C ZINC000353876894 172244981 /nfs/dbraw/zinc/24/49/81/172244981.db2.gz OATPLJVUHCCIBG-RYUDHWBXSA-N 0 3 249.402 2.998 20 0 BFADHN CCn1nc(C)c(CN2[C@H](C)C[C@H]2C)c1C ZINC000335753262 172246438 /nfs/dbraw/zinc/24/64/38/172246438.db2.gz CLQGPNOLZCMXGU-NXEZZACHSA-N 0 3 221.348 2.503 20 0 BFADHN CCn1nc(C)c([C@@H](C)N[C@H]2CC2(C)C)c1C ZINC000045172920 172249191 /nfs/dbraw/zinc/24/91/91/172249191.db2.gz NQJYKQRDHMMTGF-SKDRFNHKSA-N 0 3 235.375 2.969 20 0 BFADHN CCn1nc(CNC[C@H]2C[C@@H]2C)c2ccccc21 ZINC000159186308 172250189 /nfs/dbraw/zinc/25/01/89/172250189.db2.gz RKNQMSGPPWEWFP-NWDGAFQWSA-N 0 3 243.354 2.802 20 0 BFADHN CCn1nc(CNCCC2CC2)c2ccccc21 ZINC000089174558 172250861 /nfs/dbraw/zinc/25/08/61/172250861.db2.gz LDQJAGXDAUJXOK-UHFFFAOYSA-N 0 3 243.354 2.946 20 0 BFADHN CCn1nc(CN[C@H](C)C2CC2)c2ccccc21 ZINC000089174111 172250929 /nfs/dbraw/zinc/25/09/29/172250929.db2.gz MTYVMAUZGRYSBZ-LLVKDONJSA-N 0 3 243.354 2.944 20 0 BFADHN CCn1nccc1CN(C)[C@H]1CCC(C)(C)C1 ZINC000355589579 172264314 /nfs/dbraw/zinc/26/43/14/172264314.db2.gz ZJNCSCAGQKLKAO-LBPRGKRZSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1nccc1CN(C)[C@@H]1CC[C@@H](C)C1 ZINC000339130841 172265182 /nfs/dbraw/zinc/26/51/82/172265182.db2.gz PKSYPTHCJPPJLU-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1nccc1CN1CCC(C)(C2CC2)CC1 ZINC000356270905 172266080 /nfs/dbraw/zinc/26/60/80/172266080.db2.gz CNAOHLAGYJEIQL-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1nccc1CN(CC1CC1)C1CCC1 ZINC000355731455 172267598 /nfs/dbraw/zinc/26/75/98/172267598.db2.gz OAIRHPRCEKXTRE-UHFFFAOYSA-N 0 3 233.359 2.668 20 0 BFADHN CCn1nccc1CN1CC[C@@](C)(CC)C1 ZINC000354072321 172268564 /nfs/dbraw/zinc/26/85/64/172268564.db2.gz GTJIBIKLWCQVTF-CYBMUJFWSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1nccc1CNC(C)(C)c1cccs1 ZINC000338199227 172268588 /nfs/dbraw/zinc/26/85/88/172268588.db2.gz NBCZGFITIJVDQJ-UHFFFAOYSA-N 0 3 249.383 2.989 20 0 BFADHN CCn1nccc1CN1CC[C@H](CC2CC2)C1 ZINC000354526229 172268626 /nfs/dbraw/zinc/26/86/26/172268626.db2.gz NNHMLVDFXWWDGO-CYBMUJFWSA-N 0 3 233.359 2.525 20 0 BFADHN CCn1nccc1CN1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000355072152 172268863 /nfs/dbraw/zinc/26/88/63/172268863.db2.gz CVPOSCUTGLHBBY-UPJWGTAASA-N 0 3 235.375 2.769 20 0 BFADHN CCOc1ccccc1[C@H](C)NCC1(C)COC1 ZINC000094721576 487624510 /nfs/dbraw/zinc/62/45/10/487624510.db2.gz WNPDEBNCWLQIIC-LBPRGKRZSA-N 0 3 249.354 2.772 20 0 BFADHN CCCC[C@H](CC)CN(CC)CC(=O)N(C)C ZINC000680633882 487624740 /nfs/dbraw/zinc/62/47/40/487624740.db2.gz FOKQYJIQMULSKT-ZDUSSCGKSA-N 0 3 242.407 2.613 20 0 BFADHN CN(Cc1cnn(CC(F)F)c1)CC(C)(C)C ZINC000295464652 172919424 /nfs/dbraw/zinc/91/94/24/172919424.db2.gz WDXLSSNUOLYQOQ-UHFFFAOYSA-N 0 3 245.317 2.626 20 0 BFADHN CN1CCC[C@@H]1CNCc1ccc(Cl)s1 ZINC000163680966 173033866 /nfs/dbraw/zinc/03/38/66/173033866.db2.gz DMVZTJPUKRGYFQ-SECBINFHSA-N 0 3 244.791 2.585 20 0 BFADHN CCc1ccc(CN[C@H]2CS[C@@H](C)C2)cn1 ZINC000414499772 487626201 /nfs/dbraw/zinc/62/62/01/487626201.db2.gz PDMDNHFQWBEAKF-GXFFZTMASA-N 0 3 236.384 2.628 20 0 BFADHN CNCc1cccc(NC(=O)CC2CCCC2)c1 ZINC000037865224 173389778 /nfs/dbraw/zinc/38/97/78/173389778.db2.gz FFSVOTYBBNUVAN-UHFFFAOYSA-N 0 3 246.354 2.925 20 0 BFADHN CNCc1ccccc1NC(=O)CC1CCC1 ZINC000226203092 173390113 /nfs/dbraw/zinc/39/01/13/173390113.db2.gz GOBXPKJGOGWIII-UHFFFAOYSA-N 0 3 232.327 2.535 20 0 BFADHN CNCc1ccccc1NC(=O)CC(C)(C)C ZINC000036280605 173390211 /nfs/dbraw/zinc/39/02/11/173390211.db2.gz UCFPLFJYVFKFGA-UHFFFAOYSA-N 0 3 234.343 2.781 20 0 BFADHN CNCc1nc(C2CCCCC2)cs1 ZINC000003711103 173395633 /nfs/dbraw/zinc/39/56/33/173395633.db2.gz AEBYLQFBFHGCQO-UHFFFAOYSA-N 0 3 210.346 2.910 20 0 BFADHN CCCC[C@H](CC)CN1CCc2c[nH]nc2C1 ZINC000680634887 487626472 /nfs/dbraw/zinc/62/64/72/487626472.db2.gz VAKSMXZCNFXFHT-LBPRGKRZSA-N 0 3 235.375 2.984 20 0 BFADHN COC1(CN[C@@H](C)c2cncs2)CCCC1 ZINC000297465874 174004005 /nfs/dbraw/zinc/00/40/05/174004005.db2.gz YGAWYHOSXXUCQN-JTQLQIEISA-N 0 3 240.372 2.753 20 0 BFADHN COC1(C[C@H](C)NCc2ncccc2C)CCC1 ZINC000277040642 174009535 /nfs/dbraw/zinc/00/95/35/174009535.db2.gz GAFYRIXPPCLSPA-ZDUSSCGKSA-N 0 3 248.370 2.827 20 0 BFADHN COC1CCC(C)(CNCc2ccccn2)CC1 ZINC000355127924 174020432 /nfs/dbraw/zinc/02/04/32/174020432.db2.gz GTLAUTZFRADXRL-UHFFFAOYSA-N 0 3 248.370 2.767 20 0 BFADHN COC1CCC(CNCc2ccco2)CC1 ZINC000336775729 174020843 /nfs/dbraw/zinc/02/08/43/174020843.db2.gz ZUYPGYOMOQXMRV-UHFFFAOYSA-N 0 3 223.316 2.574 20 0 BFADHN COC1CCC(NCc2occc2C)CC1 ZINC000293720455 174022363 /nfs/dbraw/zinc/02/23/63/174022363.db2.gz PNTYNAKLCSLZBS-UHFFFAOYSA-N 0 3 223.316 2.635 20 0 BFADHN COCC(C)(C)CNCc1ccccc1F ZINC000277019278 174073178 /nfs/dbraw/zinc/07/31/78/174073178.db2.gz ZLVSWRORYJBHFV-UHFFFAOYSA-N 0 3 225.307 2.588 20 0 BFADHN COCC(C)(C)CN[C@@H](C)c1ccncc1F ZINC000340387386 174074008 /nfs/dbraw/zinc/07/40/08/174074008.db2.gz DECOIBGISVUYAG-JTQLQIEISA-N 0 3 240.322 2.544 20 0 BFADHN COCC(C)(C)CN[C@H](C)c1cc(C)ccn1 ZINC000293653441 174075969 /nfs/dbraw/zinc/07/59/69/174075969.db2.gz LMFIWHQWJGAIIY-GFCCVEGCSA-N 0 3 236.359 2.713 20 0 BFADHN COCC(C)(C)N(C)Cc1ccnc(Cl)c1 ZINC000292208517 174079480 /nfs/dbraw/zinc/07/94/80/174079480.db2.gz SYSOIKMWOGMRIW-UHFFFAOYSA-N 0 3 242.750 2.592 20 0 BFADHN COCC(C)(C)N(C)Cc1sccc1C ZINC000292893899 174080362 /nfs/dbraw/zinc/08/03/62/174080362.db2.gz ZGQBZPNVFSXABR-UHFFFAOYSA-N 0 3 227.373 2.913 20 0 BFADHN COCC(C)(C)NCc1ccc2occc2c1 ZINC000352794094 174082753 /nfs/dbraw/zinc/08/27/53/174082753.db2.gz UISKWCCFAZAIOR-UHFFFAOYSA-N 0 3 233.311 2.947 20 0 BFADHN COCC1(C)CCN(Cc2occc2C)CC1 ZINC000354786956 174093302 /nfs/dbraw/zinc/09/33/02/174093302.db2.gz KBZDNUSWLQEYOR-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN COCC1(CCNCc2ccc(C)cn2)CCC1 ZINC000339449617 174094902 /nfs/dbraw/zinc/09/49/02/174094902.db2.gz YTUMAJXQRHBGJP-UHFFFAOYSA-N 0 3 248.370 2.686 20 0 BFADHN COCC1(CN(C)Cc2cnccc2C)CCC1 ZINC000361375878 174095819 /nfs/dbraw/zinc/09/58/19/174095819.db2.gz KAESPSZTXOFLHM-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN COCC1(CNCc2ccccc2F)CCC1 ZINC000281864794 174102918 /nfs/dbraw/zinc/10/29/18/174102918.db2.gz CFGQADFEMKCROM-UHFFFAOYSA-N 0 3 237.318 2.732 20 0 BFADHN COCC1(NCc2cccc(C)c2)CCC1 ZINC000283916316 174109358 /nfs/dbraw/zinc/10/93/58/174109358.db2.gz IOEQEVNCWJLVQI-UHFFFAOYSA-N 0 3 219.328 2.654 20 0 BFADHN COCC1(NCc2ccccc2C)CCC1 ZINC000309674592 174109526 /nfs/dbraw/zinc/10/95/26/174109526.db2.gz XGZVGBCNYBMLSJ-UHFFFAOYSA-N 0 3 219.328 2.654 20 0 BFADHN COCC1=CCN(C/C=C\c2ccccc2)CC1 ZINC000360787576 174114433 /nfs/dbraw/zinc/11/44/33/174114433.db2.gz QGCQLVFBBRYOBI-YVMONPNESA-N 0 3 243.350 2.978 20 0 BFADHN COCCC(C)(C)CNCc1occc1C ZINC000135003248 174143881 /nfs/dbraw/zinc/14/38/81/174143881.db2.gz YLXKBYQKUYFMFQ-UHFFFAOYSA-N 0 3 225.332 2.740 20 0 BFADHN COCCC(C)(C)CN[C@@H](C)c1nccs1 ZINC000135013905 174143997 /nfs/dbraw/zinc/14/39/97/174143997.db2.gz MSKFGAUZOUJOPU-JTQLQIEISA-N 0 3 242.388 2.856 20 0 BFADHN COCCC1(C)CN(Cc2ccsc2C)C1 ZINC000297347753 174152849 /nfs/dbraw/zinc/15/28/49/174152849.db2.gz GEXXWLRNIHVPKY-UHFFFAOYSA-N 0 3 239.384 2.915 20 0 BFADHN COCCC1(C)CN(Cc2ccc(C)s2)C1 ZINC000297314670 174153651 /nfs/dbraw/zinc/15/36/51/174153651.db2.gz COSROYAWAKCLIB-UHFFFAOYSA-N 0 3 239.384 2.915 20 0 BFADHN COCCC1(NCc2nc(C)cs2)CCC1 ZINC000336774716 174159083 /nfs/dbraw/zinc/15/90/83/174159083.db2.gz XFLYUKLNWFONFY-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN COCCCCCN(C)Cc1cnc(C)s1 ZINC000171499479 174176394 /nfs/dbraw/zinc/17/63/94/174176394.db2.gz JDSRVOXHQJKGAX-UHFFFAOYSA-N 0 3 242.388 2.700 20 0 BFADHN COCCCCCN1Cc2ccccc2C1 ZINC000106303040 174179436 /nfs/dbraw/zinc/17/94/36/174179436.db2.gz KAFGIBIFIVFXGB-UHFFFAOYSA-N 0 3 219.328 2.819 20 0 BFADHN COCCCCCN[C@@H](C)c1cc(C)ccn1 ZINC000353499038 174182895 /nfs/dbraw/zinc/18/28/95/174182895.db2.gz SNGXIZONGIQHKN-ZDUSSCGKSA-N 0 3 236.359 2.857 20 0 BFADHN COCCCCN(C)Cc1ccc(Cl)nc1 ZINC000080912662 174187887 /nfs/dbraw/zinc/18/78/87/174187887.db2.gz MPHURHWGEUZPBH-UHFFFAOYSA-N 0 3 242.750 2.593 20 0 BFADHN COCCCCN1Cc2ccccc2OC[C@H]1C ZINC000272980822 174195574 /nfs/dbraw/zinc/19/55/74/174195574.db2.gz QJZBCJVRTMYMMD-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN COCCCCNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000044655339 174200503 /nfs/dbraw/zinc/20/05/03/174200503.db2.gz WYVBKXQRUSFMAQ-WCQYABFASA-N 0 3 237.343 2.919 20 0 BFADHN COCCCCN[C@H](C)c1ccc(Cl)cn1 ZINC000274743617 174203070 /nfs/dbraw/zinc/20/30/70/174203070.db2.gz APBKHRQQPZGRDL-SNVBAGLBSA-N 0 3 242.750 2.812 20 0 BFADHN COCCCNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000020142247 174248163 /nfs/dbraw/zinc/24/81/63/174248163.db2.gz CFDZIWKQWDPJGU-ZYHUDNBSSA-N 0 3 223.316 2.529 20 0 BFADHN COCCCN[C@@H](C)c1ccccc1F ZINC000020142377 174249144 /nfs/dbraw/zinc/24/91/44/174249144.db2.gz TVQWGXYAWDBLDI-JTQLQIEISA-N 0 3 211.280 2.513 20 0 BFADHN COCCN(C)Cc1ccc(Cl)c(C)c1 ZINC000356913368 174281543 /nfs/dbraw/zinc/28/15/43/174281543.db2.gz KBKYXGNZWCXTTL-UHFFFAOYSA-N 0 3 227.735 2.727 20 0 BFADHN COCCN([C@@H](C)c1ccccc1)C1CC1 ZINC000299391836 174285018 /nfs/dbraw/zinc/28/50/18/174285018.db2.gz RLBYNSNNGXTEKU-LBPRGKRZSA-N 0 3 219.328 2.858 20 0 BFADHN COCCN(CCC1CC1)Cc1cccs1 ZINC000359837426 174289383 /nfs/dbraw/zinc/28/93/83/174289383.db2.gz CJDRSEJAXCIOTE-UHFFFAOYSA-N 0 3 239.384 2.997 20 0 BFADHN COCCN(Cc1ccccn1)[C@H]1CC[C@@H](C)C1 ZINC000276797135 174300239 /nfs/dbraw/zinc/30/02/39/174300239.db2.gz LTNQCUOJPHPRKP-HIFRSBDPSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN(Cc1cccnc1)CC1(C)CCC1 ZINC000289562127 174300892 /nfs/dbraw/zinc/30/08/92/174300892.db2.gz YSFSMAZFMPJENT-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN COCCN(Cc1ccco1)CC1CCC1 ZINC000173519301 174301543 /nfs/dbraw/zinc/30/15/43/174301543.db2.gz ORVNIJVXEHDLGA-UHFFFAOYSA-N 0 3 223.316 2.528 20 0 BFADHN COCCN1CCCC[C@H]1c1ccc(F)cc1 ZINC000267719502 174305812 /nfs/dbraw/zinc/30/58/12/174305812.db2.gz YDJBZAGWNUFJCO-AWEZNQCLSA-N 0 3 237.318 2.999 20 0 BFADHN COCCN1CCC[C@H]1c1ccsc1 ZINC000046088222 174308929 /nfs/dbraw/zinc/30/89/29/174308929.db2.gz ZAJKWWRGKJRXTA-NSHDSACASA-N 0 3 211.330 2.531 20 0 BFADHN COCCN1CCc2c(cccc2C(C)C)C1 ZINC000355371698 174315839 /nfs/dbraw/zinc/31/58/39/174315839.db2.gz DQEATKINXUUBDE-UHFFFAOYSA-N 0 3 233.355 2.815 20 0 BFADHN COCCN1[C@@H](C)Cc2cc(OC)ccc2[C@@H]1C ZINC000359536695 174318047 /nfs/dbraw/zinc/31/80/47/174318047.db2.gz KNEDOQZNYRWGKB-RYUDHWBXSA-N 0 3 249.354 2.649 20 0 BFADHN COCCN[C@H](c1ccc(C)o1)C1CCC1 ZINC000336760923 174340144 /nfs/dbraw/zinc/34/01/44/174340144.db2.gz KBNVWVCCQMIQOU-ZDUSSCGKSA-N 0 3 223.316 2.665 20 0 BFADHN COCCN[C@H](C)c1ccc2c(c1)CCCC2 ZINC000020085627 174340393 /nfs/dbraw/zinc/34/03/93/174340393.db2.gz WLGBJQHDQWEWSL-GFCCVEGCSA-N 0 3 233.355 2.862 20 0 BFADHN COCCOc1ccc(CNCC=C(C)C)cc1 ZINC000191632552 174368795 /nfs/dbraw/zinc/36/87/95/174368795.db2.gz WNORKIXTNSZVEZ-UHFFFAOYSA-N 0 3 249.354 2.768 20 0 BFADHN COCCOc1ccc(CN2CCCCC2)cc1 ZINC000092107386 174369147 /nfs/dbraw/zinc/36/91/47/174369147.db2.gz OVOXUJGBUHWZBW-UHFFFAOYSA-N 0 3 249.354 2.698 20 0 BFADHN COCC[C@@H](C)Nc1cc(C)cc(C)n1 ZINC000134351079 174386866 /nfs/dbraw/zinc/38/68/66/174386866.db2.gz NCXJVBFJLCAGCC-SNVBAGLBSA-N 0 3 208.305 2.535 20 0 BFADHN COC[C@@H](CC(C)C)N[C@@H](C)c1ccccn1 ZINC000359367752 174468222 /nfs/dbraw/zinc/46/82/22/174468222.db2.gz DYBCTXXLKPFPNA-QWHCGFSZSA-N 0 3 236.359 2.793 20 0 BFADHN COC[C@H](N[C@@H]1CSc2ccccc21)C1CC1 ZINC000358343783 174585825 /nfs/dbraw/zinc/58/58/25/174585825.db2.gz UQEZECKNNCDQLX-QWHCGFSZSA-N 0 3 249.379 2.848 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1ccccc1F ZINC000132077058 174844923 /nfs/dbraw/zinc/84/49/23/174844923.db2.gz SUNVTYATJJQHOJ-OUAUKWLOSA-N 0 3 225.307 2.900 20 0 BFADHN CO[C@H](CNCc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000293006497 174867596 /nfs/dbraw/zinc/86/75/96/174867596.db2.gz NNDJJQKGUSOCNH-RIEGTJTDSA-N 0 3 249.354 2.918 20 0 BFADHN CO[C@H]1CCCN(Cc2ccc(F)cc2C)C1 ZINC000091772604 174880374 /nfs/dbraw/zinc/88/03/74/174880374.db2.gz VNJALPDQYRLLKT-AWEZNQCLSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1ccc2cc(CN(C)[C@H](C)CCO)[nH]c2c1 ZINC000668291826 487629168 /nfs/dbraw/zinc/62/91/68/487629168.db2.gz WBAACIAKGRTZFK-GFCCVEGCSA-N 0 3 246.354 2.679 20 0 BFADHN COc1cc([C@@H](C)N[C@H](C)C2CC2)c(F)cn1 ZINC000286881157 175014086 /nfs/dbraw/zinc/01/40/86/175014086.db2.gz IGJILTRYKMFPER-RKDXNWHRSA-N 0 3 238.306 2.678 20 0 BFADHN COc1cc([C@@H](C)N[C@H](C)C(C)C)c(F)cn1 ZINC000287076615 175014317 /nfs/dbraw/zinc/01/43/17/175014317.db2.gz VANAFYLMSGXMIN-NXEZZACHSA-N 0 3 240.322 2.924 20 0 BFADHN COc1cc([C@H](C)NCC(C)(C)C)c(F)cn1 ZINC000287778372 175015655 /nfs/dbraw/zinc/01/56/55/175015655.db2.gz RYFFJARBQRGZFU-VIFPVBQESA-N 0 3 240.322 2.926 20 0 BFADHN COc1cc([C@H](C)N[C@H]2CC2(C)C)c(F)cn1 ZINC000287720493 175016216 /nfs/dbraw/zinc/01/62/16/175016216.db2.gz OPPSNNRHVHYXRD-KWQFWETISA-N 0 3 238.306 2.678 20 0 BFADHN COc1ccc(C)cc1CN1CCCO[C@@H](C)C1 ZINC000336519437 175075481 /nfs/dbraw/zinc/07/54/81/175075481.db2.gz DXZBDJNXERSCQO-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN COc1ccc(C)cc1CN[C@H](C)[C@@H]1CCCO1 ZINC000035250297 175075973 /nfs/dbraw/zinc/07/59/73/175075973.db2.gz XIYJLHHMMADQEA-OCCSQVGLSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1CCOC1(C)C ZINC000336904768 175076405 /nfs/dbraw/zinc/07/64/05/175076405.db2.gz WGLNDXVEZGOGSP-AWEZNQCLSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)NC[C@H](C)OC ZINC000182421383 175079505 /nfs/dbraw/zinc/07/95/05/175079505.db2.gz LNLFYFGZEQEIME-NWDGAFQWSA-N 0 3 237.343 2.689 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@H]1CCO[C@H]1C ZINC000252681494 175081163 /nfs/dbraw/zinc/08/11/63/175081163.db2.gz AHYAKYJCRDITKC-OBJOEFQTSA-N 0 3 249.354 2.832 20 0 BFADHN COc1ccc(C)cc1[C@H](C)NC[C@H]1CCCO1 ZINC000019963821 175081507 /nfs/dbraw/zinc/08/15/07/175081507.db2.gz UNZGLACJKACLDU-QWHCGFSZSA-N 0 3 249.354 2.833 20 0 BFADHN COc1ccc(CCNc2ccncc2)cc1 ZINC000020545943 175092819 /nfs/dbraw/zinc/09/28/19/175092819.db2.gz KESWEJMWOBCUAM-UHFFFAOYSA-N 0 3 228.295 2.745 20 0 BFADHN COc1ccc(CN(C)CCSC)cc1C ZINC000171502280 175095989 /nfs/dbraw/zinc/09/59/89/175095989.db2.gz XYXHWZAPVPKRGG-UHFFFAOYSA-N 0 3 239.384 2.798 20 0 BFADHN COc1ccc(CN2CCC(C)(C)C2)cc1O ZINC000156090768 175098468 /nfs/dbraw/zinc/09/84/68/175098468.db2.gz HOYOOWUFMCHRAY-UHFFFAOYSA-N 0 3 235.327 2.633 20 0 BFADHN COc1ccc(CN2CCCC[C@H]2C)cc1O ZINC000131873556 175098901 /nfs/dbraw/zinc/09/89/01/175098901.db2.gz KTXZZLZNEGMJIB-LLVKDONJSA-N 0 3 235.327 2.775 20 0 BFADHN COc1ccc(CN2CCC[C@H]2C(C)C)nc1 ZINC000271898627 175099936 /nfs/dbraw/zinc/09/99/36/175099936.db2.gz GILUSBNQHZFTKR-AWEZNQCLSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc(CNC(C)C)c(Cl)c1OC ZINC000082491274 175105171 /nfs/dbraw/zinc/10/51/71/175105171.db2.gz JMVIWQWPMBMGFX-UHFFFAOYSA-N 0 3 243.734 2.855 20 0 BFADHN COc1ccc(CNCc2cnccc2C)cc1 ZINC000135430331 175108522 /nfs/dbraw/zinc/10/85/22/175108522.db2.gz OZVNWITXSABJPA-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN COc1ccc(CNCc2ccc(C)s2)cn1 ZINC000020186502 175108695 /nfs/dbraw/zinc/10/86/95/175108695.db2.gz XGPDUUVOWGYZEM-UHFFFAOYSA-N 0 3 248.351 2.750 20 0 BFADHN COc1ccc(CN[C@@H]2CC[C@@H]2SC)cc1 ZINC000309841357 175109832 /nfs/dbraw/zinc/10/98/32/175109832.db2.gz DJBXOIRBVAAOJD-OLZOCXBDSA-N 0 3 237.368 2.679 20 0 BFADHN COc1ccc(CN[C@@H]2CCC[C@@H]2F)cc1 ZINC000339589452 175110585 /nfs/dbraw/zinc/11/05/85/175110585.db2.gz GWTAWNHOIPDRAM-QWHCGFSZSA-N 0 3 223.291 2.675 20 0 BFADHN COc1ccc(CN[C@H]2CC2(C)C)cc1OC ZINC000044371824 175111717 /nfs/dbraw/zinc/11/17/17/175111717.db2.gz PFPJUWQOUWAKON-ZDUSSCGKSA-N 0 3 235.327 2.592 20 0 BFADHN COc1ccc(F)c(CNCC(C)(C)C)c1 ZINC000339206730 175124018 /nfs/dbraw/zinc/12/40/18/175124018.db2.gz XFNVGDKAXBJXQH-UHFFFAOYSA-N 0 3 225.307 2.970 20 0 BFADHN COc1ccc(F)c(CNCCCCF)c1 ZINC000339407733 175124125 /nfs/dbraw/zinc/12/41/25/175124125.db2.gz OEFQEKQFEWAPMR-UHFFFAOYSA-N 0 3 229.270 2.674 20 0 BFADHN COc1ccc(F)c(CN[C@@H]2CC[C@H](F)C2)c1 ZINC000339401658 175125856 /nfs/dbraw/zinc/12/58/56/175125856.db2.gz VTORJVRVDFYRCJ-WDEREUQCSA-N 0 3 241.281 2.815 20 0 BFADHN COc1ccc(F)c(CN[C@@H]2CC[C@@H](F)C2)c1 ZINC000339401464 175125867 /nfs/dbraw/zinc/12/58/67/175125867.db2.gz VTORJVRVDFYRCJ-GHMZBOCLSA-N 0 3 241.281 2.815 20 0 BFADHN COc1ccc(F)cc1CNCC[C@H](C)F ZINC000339487815 175129549 /nfs/dbraw/zinc/12/95/49/175129549.db2.gz XAQAFVPVHDCZMG-VIFPVBQESA-N 0 3 229.270 2.672 20 0 BFADHN COc1ccc(F)cc1[C@@H](C)NCCCF ZINC000178278197 175132439 /nfs/dbraw/zinc/13/24/39/175132439.db2.gz BIIORUAFSQOVAS-SECBINFHSA-N 0 3 229.270 2.845 20 0 BFADHN COc1ccc(OC)c(CN[C@H](C)CC2CC2)c1 ZINC000070101639 175165186 /nfs/dbraw/zinc/16/51/86/175165186.db2.gz MHFNDIPYGIDRLH-LLVKDONJSA-N 0 3 249.354 2.982 20 0 BFADHN COc1ccc(OC)c(CN2CCC[C@H]2C)c1 ZINC000174407649 175165647 /nfs/dbraw/zinc/16/56/47/175165647.db2.gz BUCPNYIFSJAUJR-LLVKDONJSA-N 0 3 235.327 2.688 20 0 BFADHN COc1ccc([C@@H](C)NC[C@@H](C)OC)c(F)c1 ZINC000191204923 175176766 /nfs/dbraw/zinc/17/67/66/175176766.db2.gz GIRJNGODTOIEBH-NXEZZACHSA-N 0 3 241.306 2.520 20 0 BFADHN COc1ccc([C@@H](C)NC[C@H](C)OC)cc1C ZINC000276996922 175176780 /nfs/dbraw/zinc/17/67/80/175176780.db2.gz YKURJKMHDVVCQT-NWDGAFQWSA-N 0 3 237.343 2.689 20 0 BFADHN COc1ccc([C@@H](C)NCCOCC2CC2)cc1 ZINC000223392017 175176928 /nfs/dbraw/zinc/17/69/28/175176928.db2.gz OJHHTTJGGIQWJV-GFCCVEGCSA-N 0 3 249.354 2.772 20 0 BFADHN COc1ccc([C@@H](C)NCC[C@@H](C)F)cc1O ZINC000340467843 175177405 /nfs/dbraw/zinc/17/74/05/175177405.db2.gz QXFNVBLQCWOFMP-NXEZZACHSA-N 0 3 241.306 2.800 20 0 BFADHN COc1ccc([C@H](C)NC2CC2)c(OC)c1 ZINC000022222290 175188707 /nfs/dbraw/zinc/18/87/07/175188707.db2.gz UIXIKGWOIDLNHC-VIFPVBQESA-N 0 3 221.300 2.517 20 0 BFADHN COc1ccc([C@H](C)NCCOC(C)C)cc1 ZINC000090340222 175188835 /nfs/dbraw/zinc/18/88/35/175188835.db2.gz AXTYDEGLBAWBQQ-LBPRGKRZSA-N 0 3 237.343 2.771 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2C[C@@H](O)C2(C)C)cc1 ZINC000164053380 175189666 /nfs/dbraw/zinc/18/96/66/175189666.db2.gz NQXXJJOTQXHGLP-ZLKJLUDKSA-N 0 3 249.354 2.505 20 0 BFADHN COc1ccc2c(c1)[C@@H](NCCOC(C)C)CC2 ZINC000168888405 175214282 /nfs/dbraw/zinc/21/42/82/175214282.db2.gz WEEPKAVAYJXQRX-HNNXBMFYSA-N 0 3 249.354 2.697 20 0 BFADHN COc1ccc2c(c1)[C@H](NC1CC1)CCS2 ZINC000035760662 175216102 /nfs/dbraw/zinc/21/61/02/175216102.db2.gz VCVSVHLDNAQUSN-GFCCVEGCSA-N 0 3 235.352 2.984 20 0 BFADHN COc1ccc2cc(CNCCF)ccc2c1 ZINC000336704147 175224848 /nfs/dbraw/zinc/22/48/48/175224848.db2.gz LVUGOSFBWSUXJC-UHFFFAOYSA-N 0 3 233.286 2.908 20 0 BFADHN COc1ccc2oc(CNC[C@@H](C)OC)cc2c1 ZINC000191146995 175231142 /nfs/dbraw/zinc/23/11/42/175231142.db2.gz CVOKBKYUXWVUFP-SNVBAGLBSA-N 0 3 249.310 2.566 20 0 BFADHN COc1cccc(CN2CCCCC[C@@H]2C)n1 ZINC000358579925 175257230 /nfs/dbraw/zinc/25/72/30/175257230.db2.gz OALZOTBUUXCSCE-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN COc1cccc(CN2CCC[C@@H]2C)c1OC ZINC000124347534 175258148 /nfs/dbraw/zinc/25/81/48/175258148.db2.gz CTJGRDVLKLFFJV-NSHDSACASA-N 0 3 235.327 2.688 20 0 BFADHN COc1cccc(CN2C[C@@H](C)[C@H]2C)c1 ZINC000293433004 175259482 /nfs/dbraw/zinc/25/94/82/175259482.db2.gz NZUNDYDFLQTHBO-GHMZBOCLSA-N 0 3 205.301 2.535 20 0 BFADHN COc1cccc(CN2CC[C@@H](C)[C@H]2C)c1OC ZINC000091859892 175260295 /nfs/dbraw/zinc/26/02/95/175260295.db2.gz SFXRJGAVGJOZLE-VXGBXAGGSA-N 0 3 249.354 2.934 20 0 BFADHN COc1cccc(CN2CC[C@@H](C)C[C@@H](C)C2)n1 ZINC000361554366 175260832 /nfs/dbraw/zinc/26/08/32/175260832.db2.gz SSLMJFXTIJDFAX-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN COc1cccc(CNCC2=CCCCC2)n1 ZINC000294681823 175263695 /nfs/dbraw/zinc/26/36/95/175263695.db2.gz BGLWVQNIHIGPNM-UHFFFAOYSA-N 0 3 232.327 2.680 20 0 BFADHN COc1cccc(CNCC2(C)CCC2)c1OC ZINC000226787137 175263731 /nfs/dbraw/zinc/26/37/31/175263731.db2.gz ZSFFLITWHRFDFB-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN COc1cccc(CN[C@@H]2CCC2(C)C)c1OC ZINC000284372728 175266800 /nfs/dbraw/zinc/26/68/00/175266800.db2.gz OIIRTEJIDLIMQF-CYBMUJFWSA-N 0 3 249.354 2.982 20 0 BFADHN COc1cccc(CN[C@H](C)c2ccncc2)c1 ZINC000020120989 175267978 /nfs/dbraw/zinc/26/79/78/175267978.db2.gz IHOHZSOPGCEUDZ-GFCCVEGCSA-N 0 3 242.322 2.941 20 0 BFADHN COc1cccc(CN[C@H]2CCC[C@@H]2F)c1 ZINC000339584834 175268155 /nfs/dbraw/zinc/26/81/55/175268155.db2.gz QKLJKSKMIOSHTG-STQMWFEESA-N 0 3 223.291 2.675 20 0 BFADHN COc1cccc(CN[C@H]2CC[C@@H]2C)c1OC ZINC000310221110 175268326 /nfs/dbraw/zinc/26/83/26/175268326.db2.gz XCFAQZQIJGXWKJ-JQWIXIFHSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc(F)c1[C@H](C)NCCCF ZINC000126959095 175274224 /nfs/dbraw/zinc/27/42/24/175274224.db2.gz RABXMORWZRBXEU-VIFPVBQESA-N 0 3 229.270 2.845 20 0 BFADHN COc1cccc(OC)c1CNCCCCF ZINC000361446765 175281713 /nfs/dbraw/zinc/28/17/13/175281713.db2.gz DZZNQRIIZDTFOK-UHFFFAOYSA-N 0 3 241.306 2.543 20 0 BFADHN COc1cccc([C@@H](C)NCCOC2CCC2)c1 ZINC000267179548 175286908 /nfs/dbraw/zinc/28/69/08/175286908.db2.gz BIUPOEBXEXONDO-GFCCVEGCSA-N 0 3 249.354 2.915 20 0 BFADHN COc1cccc([C@@H](C)N[C@@H]2CCCOC2)c1 ZINC000103954842 175287990 /nfs/dbraw/zinc/28/79/90/175287990.db2.gz VSSJRCZBQDQLFM-DGCLKSJQSA-N 0 3 235.327 2.525 20 0 BFADHN COc1cccc2c1CC[C@@H]2NCCOC(C)C ZINC000273371689 175302775 /nfs/dbraw/zinc/30/27/75/175302775.db2.gz ATCXVEJSPXXZFI-AWEZNQCLSA-N 0 3 249.354 2.697 20 0 BFADHN COc1ccccc1/C=C/CN[C@H](C)[C@H](C)OC ZINC000289417956 175314954 /nfs/dbraw/zinc/31/49/54/175314954.db2.gz BBTVLLYTWCGZON-PYISVCGKSA-N 0 3 249.354 2.721 20 0 BFADHN COc1ccccc1CN(C)CCC1CC1 ZINC000173490273 175324085 /nfs/dbraw/zinc/32/40/85/175324085.db2.gz RQLYSOPBQVVKJP-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN COc1ccccc1CN1CCC[C@](C)(OC)C1 ZINC000279672124 175328096 /nfs/dbraw/zinc/32/80/96/175328096.db2.gz NJTGMXBIRWEOPV-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccccc1CN[C@@H](C)COC(C)C ZINC000337327313 175329829 /nfs/dbraw/zinc/32/98/29/175329829.db2.gz DCUJTXACDRBASF-LBPRGKRZSA-N 0 3 237.343 2.598 20 0 BFADHN COc1ccccc1CN[C@H]1CCC1(C)C ZINC000284281481 175331470 /nfs/dbraw/zinc/33/14/70/175331470.db2.gz JUNHURRXWYJFKT-ZDUSSCGKSA-N 0 3 219.328 2.973 20 0 BFADHN COc1ccccc1NC(=O)C(C)C(F)(F)F ZINC000361435419 175337272 /nfs/dbraw/zinc/33/72/72/175337272.db2.gz LUBMPLQRAHJHCA-ZETCQYMHSA-N 0 3 247.216 2.832 20 0 BFADHN COc1ccccc1O[C@@H]1CCCN(C(C)C)C1 ZINC000286476882 175342279 /nfs/dbraw/zinc/34/22/79/175342279.db2.gz OCIIYLMDZUWKDE-CYBMUJFWSA-N 0 3 249.354 2.947 20 0 BFADHN COc1ccccc1[C@H](C)NCCOCC1CC1 ZINC000223382773 175350812 /nfs/dbraw/zinc/35/08/12/175350812.db2.gz HYHBZRMFNKLNMF-LBPRGKRZSA-N 0 3 249.354 2.772 20 0 BFADHN COc1ccccc1[C@H](C)NCCC[C@@H](C)O ZINC000228043882 175351168 /nfs/dbraw/zinc/35/11/68/175351168.db2.gz CFCNVQACHPEFSV-NEPJUHHUSA-N 0 3 237.343 2.507 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1C[C@@H](O)C1(C)C ZINC000164057816 175351471 /nfs/dbraw/zinc/35/14/71/175351471.db2.gz GAVGTFJJRCIXKF-ZLKJLUDKSA-N 0 3 249.354 2.505 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1CCCC[C@@H]1O ZINC000051769828 175351700 /nfs/dbraw/zinc/35/17/00/175351700.db2.gz UITBIJHZHDGPMY-YUTCNCBUSA-N 0 3 249.354 2.649 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@@H]1CC[C@@H](C)O1 ZINC000127658701 175352206 /nfs/dbraw/zinc/35/22/06/175352206.db2.gz HLZVEYXLYGJEEB-AGIUHOORSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccnc(CN2CCC[C@H](C)[C@@H]2C)c1 ZINC000266034675 175357689 /nfs/dbraw/zinc/35/76/89/175357689.db2.gz TZRPHPQNTWWMRR-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN2CC[C@@H](CC(C)C)C2)c1 ZINC000342102198 175358044 /nfs/dbraw/zinc/35/80/44/175358044.db2.gz SEYMFMPKHPMREB-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccnc(CN(CC2CC2)C2CCC2)c1 ZINC000361586244 175358107 /nfs/dbraw/zinc/35/81/07/175358107.db2.gz SBEGQMSUNUTBIY-UHFFFAOYSA-N 0 3 246.354 2.855 20 0 BFADHN COc1ccnc(CN2CCC[C@H](C)CC2)c1 ZINC000106860183 175358267 /nfs/dbraw/zinc/35/82/67/175358267.db2.gz WWMNCFGXCFPOIJ-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccnc(CN([C@@H](C)C2CC2)C2CC2)c1 ZINC000106933771 175358531 /nfs/dbraw/zinc/35/85/31/175358531.db2.gz PHMBBXBBUWNUAB-NSHDSACASA-N 0 3 246.354 2.853 20 0 BFADHN COc1ccnc(CN2CCC(C)(C)CC2)c1 ZINC000359132907 175359130 /nfs/dbraw/zinc/35/91/30/175359130.db2.gz VWCQNRNWTUQIOE-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccnc(CN2C[C@H](C)C[C@H](C)[C@H]2C)c1 ZINC000355777090 175360011 /nfs/dbraw/zinc/36/00/11/175360011.db2.gz CLLDWLCBUARNFR-FRRDWIJNSA-N 0 3 248.370 2.957 20 0 BFADHN COc1ccnc(CN2[C@H](C)CCC[C@H]2C)c1 ZINC000108918353 175360305 /nfs/dbraw/zinc/36/03/05/175360305.db2.gz UPLDEVUTRROVGW-VXGBXAGGSA-N 0 3 234.343 2.853 20 0 BFADHN COc1ccnc(CN2CC[C@H](C)[C@H](C)C2)c1 ZINC000271060484 175360499 /nfs/dbraw/zinc/36/04/99/175360499.db2.gz SGWMYRIJBMMKPV-NWDGAFQWSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccncc1CN1[C@@H](C)CCC[C@@H]1C ZINC000287307742 175369597 /nfs/dbraw/zinc/36/95/97/175369597.db2.gz MWPVYYVGHLDHLQ-RYUDHWBXSA-N 0 3 234.343 2.853 20 0 BFADHN COc1ccncc1CN1CC[C@H](C)C[C@H]1C ZINC000287902291 175369925 /nfs/dbraw/zinc/36/99/25/175369925.db2.gz UYGVGQXGQPDLJX-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CN1CC[C@H](C)C[C@@H]1C ZINC000287903837 175370658 /nfs/dbraw/zinc/37/06/58/175370658.db2.gz UYGVGQXGQPDLJX-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CN1CCC[C@H]1C(C)C ZINC000287532983 175370795 /nfs/dbraw/zinc/37/07/95/175370795.db2.gz ZOHSDYPCEAJJIE-ZDUSSCGKSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CN1C[C@H](C)C[C@@H](C)C1 ZINC000286756019 175370837 /nfs/dbraw/zinc/37/08/37/175370837.db2.gz GOYDYIYVIWZTOZ-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccncc1CNC1CCCCC1 ZINC000292673923 175371206 /nfs/dbraw/zinc/37/12/06/175371206.db2.gz MKEQPPYGHROGPE-UHFFFAOYSA-N 0 3 220.316 2.513 20 0 BFADHN COc1ccncc1CNC[C@H](C)CC(C)C ZINC000293795334 175371706 /nfs/dbraw/zinc/37/17/06/175371706.db2.gz CWQQFFGCNUCTJJ-GFCCVEGCSA-N 0 3 236.359 2.862 20 0 BFADHN COc1ccncc1CNC[C@@H](C)CC(C)C ZINC000293795337 175372244 /nfs/dbraw/zinc/37/22/44/175372244.db2.gz CWQQFFGCNUCTJJ-LBPRGKRZSA-N 0 3 236.359 2.862 20 0 BFADHN COc1ccncc1CN[C@H](C)C(C)(C)C ZINC000287603044 175373387 /nfs/dbraw/zinc/37/33/87/175373387.db2.gz DHTNIJLTWBBGLT-SNVBAGLBSA-N 0 3 222.332 2.614 20 0 BFADHN COc1ccncc1CN[C@H]1CCCC[C@H]1C ZINC000287795353 175373503 /nfs/dbraw/zinc/37/35/03/175373503.db2.gz OEHKZQUEXQDKHP-YPMHNXCESA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccncc1CN[C@H]1CCC12CCC2 ZINC000294331779 175373813 /nfs/dbraw/zinc/37/38/13/175373813.db2.gz OZSAVODHMZXQIK-ZDUSSCGKSA-N 0 3 232.327 2.513 20 0 BFADHN COc1ccncc1CN[C@H]1CC[C@@H]1C(C)C ZINC000340403517 175373863 /nfs/dbraw/zinc/37/38/63/175373863.db2.gz NCHHKHLIRLDLGF-OLZOCXBDSA-N 0 3 234.343 2.614 20 0 BFADHN COc1ccncc1CN[C@H]1CCCC[C@@H]1C ZINC000287795346 175373890 /nfs/dbraw/zinc/37/38/90/175373890.db2.gz OEHKZQUEXQDKHP-AAEUAGOBSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccsc1CN(C)CC(C)C ZINC000271033137 175376995 /nfs/dbraw/zinc/37/69/95/175376995.db2.gz DPKHZJFVPCJIIU-UHFFFAOYSA-N 0 3 213.346 2.845 20 0 BFADHN COc1ccsc1CNCCc1ccco1 ZINC000125144264 175378580 /nfs/dbraw/zinc/37/85/80/175378580.db2.gz CFGGGOVKTRXCIZ-UHFFFAOYSA-N 0 3 237.324 2.682 20 0 BFADHN COc1ccsc1[C@H](C)N[C@@H](C)[C@@H](C)OC ZINC000276108061 175379168 /nfs/dbraw/zinc/37/91/68/175379168.db2.gz HGZAICPSJWCVNQ-LPEHRKFASA-N 0 3 243.372 2.831 20 0 BFADHN COc1ccsc1CN[C@H]1CC1(C)C ZINC000268762631 175379710 /nfs/dbraw/zinc/37/97/10/175379710.db2.gz AHSRWURTLNVHPA-JTQLQIEISA-N 0 3 211.330 2.645 20 0 BFADHN COc1cncc(CN2CCCC(C)(C)C2)c1 ZINC000290382739 175383864 /nfs/dbraw/zinc/38/38/64/175383864.db2.gz RUUDRNKTCXALNL-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cncc(CN2C[C@H](C)C[C@H](C)C2)c1 ZINC000290459211 175385377 /nfs/dbraw/zinc/38/53/77/175385377.db2.gz WJORMFVSNNMDTK-TXEJJXNPSA-N 0 3 234.343 2.568 20 0 BFADHN COc1cncc(CN2CCC[C@H](C)CC2)c1 ZINC000290259723 175386193 /nfs/dbraw/zinc/38/61/93/175386193.db2.gz KLQKPQWHOAOUPM-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@@H](N[C@@H]1CCCC[C@H]1O)c1ccccc1F ZINC000148628661 322931283 /nfs/dbraw/zinc/93/12/83/322931283.db2.gz AJWQJOIZPYOVDM-LERXQTSPSA-N 0 3 237.318 2.780 20 0 BFADHN CSC[C@H](C)N[C@@H](C)c1ccncc1F ZINC000336726800 175525102 /nfs/dbraw/zinc/52/51/02/175525102.db2.gz HCCQYYSOVVGYSH-IUCAKERBSA-N 0 3 228.336 2.623 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1cncc(F)c1 ZINC000336686866 175551203 /nfs/dbraw/zinc/55/12/03/175551203.db2.gz JBTGGVVFKURVTC-KPXOXKRLSA-N 0 3 240.347 2.765 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H](C)c1ccncc1F ZINC000340265333 175551575 /nfs/dbraw/zinc/55/15/75/175551575.db2.gz PULGNSVFHLVOMK-XXILOJSOSA-N 0 3 240.347 2.765 20 0 BFADHN C[C@@H](NC[C@H](O)CC1CCCC1)c1cccnc1 ZINC000188630975 175892071 /nfs/dbraw/zinc/89/20/71/175892071.db2.gz VJULYVNHUVWEFQ-IUODEOHRSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H](NCc1ccc(Cl)cn1)C1CC1 ZINC000132979947 175898739 /nfs/dbraw/zinc/89/87/39/175898739.db2.gz HDFSUKAODGGGFB-MRVPVSSYSA-N 0 3 210.708 2.623 20 0 BFADHN C[C@@H](OC1CCN(C)CC1)c1ccc(F)cc1 ZINC000028528086 176002481 /nfs/dbraw/zinc/00/24/81/176002481.db2.gz KPCIUQMXEVBUGK-LLVKDONJSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H](O)CN(C)[C@@H](C)c1cccc(Cl)c1 ZINC000062016059 176016845 /nfs/dbraw/zinc/01/68/45/176016845.db2.gz UUOBLMSJTAKKEV-ZJUUUORDSA-N 0 3 227.735 2.714 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCC[C@@H](O)CC1 ZINC000293050736 176017934 /nfs/dbraw/zinc/01/79/34/176017934.db2.gz HUDIOHPXYFQZQJ-SMDDNHRTSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)CC1(C)COC1 ZINC000336716296 176024611 /nfs/dbraw/zinc/02/46/11/176024611.db2.gz VNJZQCDXGQGGHX-NSHDSACASA-N 0 3 237.318 2.855 20 0 BFADHN C[C@@H](c1ccccn1)N(C)C[C@@H]1CCCOC1 ZINC000177152013 176026121 /nfs/dbraw/zinc/02/61/21/176026121.db2.gz JWIDLKIZHNBVMY-STQMWFEESA-N 0 3 234.343 2.501 20 0 BFADHN C[C@@H](c1ccccn1)N(C)C[C@@H]1CCCC[C@@H]1O ZINC000155218876 176027065 /nfs/dbraw/zinc/02/70/65/176027065.db2.gz HCZKXHBLKDCURW-YDHLFZDLSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@H](c1ccccn1)N1CC[C@@]2(C1)CCCOC2 ZINC000341994765 176027256 /nfs/dbraw/zinc/02/72/56/176027256.db2.gz RNEXCSVVCNQJLX-DZGCQCFKSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@@H](c1cccnc1)N(C)C[C@@H]1CCCCO1 ZINC000174127668 176028840 /nfs/dbraw/zinc/02/88/40/176028840.db2.gz NCPILYPATVYHDK-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H](c1cccnc1)N(C)C[C@H]1CC[C@@H](C)O1 ZINC000188565677 176029370 /nfs/dbraw/zinc/02/93/70/176029370.db2.gz FEDLEBBPRAKTLC-MBNYWOFBSA-N 0 3 234.343 2.642 20 0 BFADHN C[C@@H](c1ccco1)N(C)CCc1cccnc1 ZINC000172157885 176032123 /nfs/dbraw/zinc/03/21/23/176032123.db2.gz FYHOVSXLUZEJDK-LBPRGKRZSA-N 0 3 230.311 2.910 20 0 BFADHN C[C@@H](c1ccco1)N(C)CC[C@@H]1CCCO1 ZINC000188918189 176032147 /nfs/dbraw/zinc/03/21/47/176032147.db2.gz MOSUPDFVHSIFER-RYUDHWBXSA-N 0 3 223.316 2.842 20 0 BFADHN C[C@@H](O)CCCN(C)[C@@H](C)c1cccs1 ZINC000308933007 176033023 /nfs/dbraw/zinc/03/30/23/176033023.db2.gz RTFUZAFLMLGIAP-MNOVXSKESA-N 0 3 227.373 2.902 20 0 BFADHN C[C@@H](c1cccs1)N(C)CCc1ccnn1C ZINC000276279543 176033196 /nfs/dbraw/zinc/03/31/96/176033196.db2.gz FMBQTAIJUPJDAD-NSHDSACASA-N 0 3 249.383 2.717 20 0 BFADHN C[C@@H](c1cccs1)N(C)C[C@@H]1CCCO1 ZINC000158656892 176033265 /nfs/dbraw/zinc/03/32/65/176033265.db2.gz WYGQHTRICTYORA-QWRGUYRKSA-N 0 3 225.357 2.920 20 0 BFADHN C[C@@H](c1cnccn1)N(C)CC(C)(C)C ZINC000274328365 176035261 /nfs/dbraw/zinc/03/52/61/176035261.db2.gz KJHFZCVKYRPBSP-JTQLQIEISA-N 0 3 207.321 2.516 20 0 BFADHN C[C@@H](c1cnccn1)N1CCC[C@@H](C)CC1 ZINC000184481191 176037235 /nfs/dbraw/zinc/03/72/35/176037235.db2.gz GWAVWDFGFGXJGX-NEPJUHHUSA-N 0 3 219.332 2.660 20 0 BFADHN C[C@@H](c1nc2ccccc2o1)N(C)CC(C)(C)O ZINC000181113973 176039674 /nfs/dbraw/zinc/03/96/74/176039674.db2.gz ZNJSORFWGLWOKK-JTQLQIEISA-N 0 3 248.326 2.592 20 0 BFADHN C[C@@H]1CCCCN(CC(=O)Nc2ccccc2)C1 ZINC000187965920 176064567 /nfs/dbraw/zinc/06/45/67/176064567.db2.gz BAGUENNIYQNLRH-CYBMUJFWSA-N 0 3 246.354 2.747 20 0 BFADHN C[C@@H]1CCCC[C@@H]1NCc1ncc(Cl)n1C ZINC000036804649 176081248 /nfs/dbraw/zinc/08/12/48/176081248.db2.gz GWVWYXSUBZIVTL-ZJUUUORDSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@@H]1CCCC[C@H]1CCNCc1ncc[nH]1 ZINC000309446775 176083254 /nfs/dbraw/zinc/08/32/54/176083254.db2.gz LNOJULJGDXTZEU-NEPJUHHUSA-N 0 3 221.348 2.716 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN(C)Cc1cnccn1 ZINC000183021174 176084508 /nfs/dbraw/zinc/08/45/08/176084508.db2.gz GPGDJXARLWWTDB-OLZOCXBDSA-N 0 3 233.359 2.735 20 0 BFADHN C[C@@H]1CCCC[C@H]1NCc1ncc(Cl)n1C ZINC000036804651 176088649 /nfs/dbraw/zinc/08/86/49/176088649.db2.gz GWVWYXSUBZIVTL-NXEZZACHSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@@H]1CCCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC000336350534 176108534 /nfs/dbraw/zinc/10/85/34/176108534.db2.gz VJTVGUMKJAJEBQ-SNVBAGLBSA-N 0 3 231.299 2.654 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1Cc1ccc(F)cn1 ZINC000276356080 176116449 /nfs/dbraw/zinc/11/64/49/176116449.db2.gz LEBZWONLSOHJMO-GHMZBOCLSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@@H]1CCC[C@@H](CO)N1Cc1cccc(F)c1 ZINC000269735643 176119694 /nfs/dbraw/zinc/11/96/94/176119694.db2.gz ZITQTDGFWHBTPI-RISCZKNCSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2ncccc2N(C)C)C1 ZINC000353256054 176124326 /nfs/dbraw/zinc/12/43/26/176124326.db2.gz WUHNSJZVBGWTOR-CHWSQXEVSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@@H]1CCC[C@@H]1CN[C@H]1C[C@@H](C)n2ccnc21 ZINC000345348511 176128301 /nfs/dbraw/zinc/12/83/01/176128301.db2.gz MJADJDXFMRELCR-LPWJVIDDSA-N 0 3 233.359 2.915 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1cc(F)ncc1F ZINC000294961241 176131708 /nfs/dbraw/zinc/13/17/08/176131708.db2.gz FXGYLAIOMGFDAP-KCJUWKMLSA-N 0 3 226.270 2.638 20 0 BFADHN C[C@@H]1CCC[C@@H]1[NH2+]Cc1cc([O-])cc(F)c1 ZINC000159600917 176131890 /nfs/dbraw/zinc/13/18/90/176131890.db2.gz NZWCPGVNUSQVMH-RNCFNFMXSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1cn2ccccc2n1 ZINC000112719161 176132003 /nfs/dbraw/zinc/13/20/03/176132003.db2.gz PMELYUXRXFEZSH-YPMHNXCESA-N 0 3 229.327 2.613 20 0 BFADHN C[C@@H]1CCC[C@@H]1N[C@H](c1nccn1C)C1CC1 ZINC000353883809 176133108 /nfs/dbraw/zinc/13/31/08/176133108.db2.gz UXQNFZJHSZZWAU-WXHSDQCUSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@@H]1CCC[C@H](CN2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000357011224 176138091 /nfs/dbraw/zinc/13/80/91/176138091.db2.gz UKUWAJJMTVLYQM-RQJABVFESA-N 0 3 223.360 2.676 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1cc(F)ncc1F ZINC000294961246 176150756 /nfs/dbraw/zinc/15/07/56/176150756.db2.gz FXGYLAIOMGFDAP-LDYMZIIASA-N 0 3 226.270 2.638 20 0 BFADHN C[C@@H]1CCN(CCOCC(F)F)CC12CCC2 ZINC000364264494 176170506 /nfs/dbraw/zinc/17/05/06/176170506.db2.gz FBFCVKXOMTYKQV-LLVKDONJSA-N 0 3 247.329 2.780 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(Cl)cc2)[C@@H]1CO ZINC000189736518 176173513 /nfs/dbraw/zinc/17/35/13/176173513.db2.gz DJSROQQSBJHYHM-ZWNOBZJWSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@@H]1CCN(Cc2cccnc2)CC1(C)C ZINC000273670213 176175433 /nfs/dbraw/zinc/17/54/33/176175433.db2.gz JCSJEPGONXKWLB-GFCCVEGCSA-N 0 3 218.344 2.950 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3nccn32)[C@H](C)C1 ZINC000271747840 176175958 /nfs/dbraw/zinc/17/59/58/176175958.db2.gz UFZYHJWQWSMCAS-CHWSQXEVSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(Cl)c2)[C@H]1CO ZINC000248890954 176176368 /nfs/dbraw/zinc/17/63/68/176176368.db2.gz FTFTTXHMURVLMG-MFKMUULPSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@@H]1CCN(Cc2ccncc2)C[C@@H]1C ZINC000122895648 176177863 /nfs/dbraw/zinc/17/78/63/176177863.db2.gz XGAVGDVRDAMZGF-NEPJUHHUSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)C[C@@H]1CC[C@@H](C)O1 ZINC000248961031 176202605 /nfs/dbraw/zinc/20/26/05/176202605.db2.gz POVKXFUZCNFPDY-JMSVASOKSA-N 0 3 249.354 2.953 20 0 BFADHN C[C@@H]1CC[C@@H](CN(C)CCc2cccs2)O1 ZINC000188557425 176202640 /nfs/dbraw/zinc/20/26/40/176202640.db2.gz ALRJCHICEIHONS-NEPJUHHUSA-N 0 3 239.384 2.790 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCc3ccccc3C2)O1 ZINC000188567438 176204057 /nfs/dbraw/zinc/20/40/57/176204057.db2.gz OOBHACUYUIMVJW-DOMZBBRYSA-N 0 3 231.339 2.612 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@@H](c2nccn2C)C2CC2)C1 ZINC000359038922 176206338 /nfs/dbraw/zinc/20/63/38/176206338.db2.gz VHNXSYITDHRNPY-YRGRVCCFSA-N 0 3 247.386 2.897 20 0 BFADHN C[C@@H]1CC[C@@H](N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000336783083 176214681 /nfs/dbraw/zinc/21/46/81/176214681.db2.gz ZJURVSIGFZJKRZ-YUSALJHKSA-N 0 3 219.332 2.565 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1cn2cc(Cl)ccc2n1 ZINC000334305596 176216839 /nfs/dbraw/zinc/21/68/39/176216839.db2.gz GOZDLRNJXGKJLX-SKDRFNHKSA-N 0 3 249.745 2.876 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ccno2)[C@H](C)C1 ZINC000273685425 176233487 /nfs/dbraw/zinc/23/34/87/176233487.db2.gz HQEJEMSNPOUIND-FOGDFJRCSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CN(C)CCN1Cc1coc2ccccc12 ZINC000353587887 176267978 /nfs/dbraw/zinc/26/79/78/176267978.db2.gz BZFBWRXEFSFYRT-GFCCVEGCSA-N 0 3 244.338 2.569 20 0 BFADHN C[C@@H]1CN(CC2CC2)[C@H](c2ccccc2)CO1 ZINC000268624143 176286851 /nfs/dbraw/zinc/28/68/51/176286851.db2.gz ATFWWIFBDHBZPM-DOMZBBRYSA-N 0 3 231.339 2.858 20 0 BFADHN C[C@@H]1CN(CCCOc2ccccc2)CCCO1 ZINC000170771817 176288901 /nfs/dbraw/zinc/28/89/01/176288901.db2.gz OUEVUJDGZWNKOU-CQSZACIVSA-N 0 3 249.354 2.566 20 0 BFADHN C[C@@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)CCO1 ZINC000339584295 176298972 /nfs/dbraw/zinc/29/89/72/176298972.db2.gz GDZCKQKLVOSWJM-SNPRPXQTSA-N 0 3 231.339 2.511 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC(F)(F)C2)CC(C)(C)O1 ZINC000340293888 176299885 /nfs/dbraw/zinc/29/98/85/176299885.db2.gz YYQHZVJACOSQPI-MNOVXSKESA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1CN(Cc2ccc3c(c2)CCC3)CCCO1 ZINC000172289350 176304521 /nfs/dbraw/zinc/30/45/21/176304521.db2.gz RTHXVEUHGFNFPY-CYBMUJFWSA-N 0 3 245.366 2.786 20 0 BFADHN C[C@@H]1CN(Cc2ccnc(Cl)c2)C[C@H]1C ZINC000076112340 176308742 /nfs/dbraw/zinc/30/87/42/176308742.db2.gz JVZYOCBVCQPKKN-NXEZZACHSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@H]1CN(Cc2nc3ccccc3n2C)C[C@H]1C ZINC000267837492 176311620 /nfs/dbraw/zinc/31/16/20/176311620.db2.gz SRMZCUICLNPABR-TXEJJXNPSA-N 0 3 243.354 2.661 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCCO[C@H](C)C1 ZINC000245400530 176316796 /nfs/dbraw/zinc/31/67/96/176316796.db2.gz CGSLQDMJJRKVGW-VXGBXAGGSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H]1CN(c2ccnc3ccccc32)CCCO1 ZINC000171918043 176319827 /nfs/dbraw/zinc/31/98/27/176319827.db2.gz CMUWJVNDLHCOBP-GFCCVEGCSA-N 0 3 242.322 2.850 20 0 BFADHN C[C@@H]1COCCN(CCCCC(F)(F)F)C1 ZINC000374357166 176332561 /nfs/dbraw/zinc/33/25/61/176332561.db2.gz JWLSTEJBGAJTJM-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN C[C@@H]1COc2ccccc2CN1CC1CC1 ZINC000273044089 176348404 /nfs/dbraw/zinc/34/84/04/176348404.db2.gz XHMZIDGOEKQGGM-LLVKDONJSA-N 0 3 217.312 2.680 20 0 BFADHN C[C@@H]1CSCCN(Cc2ccsc2)C1 ZINC000190847159 176350353 /nfs/dbraw/zinc/35/03/53/176350353.db2.gz WSBXJUVESRVRMJ-JTQLQIEISA-N 0 3 227.398 2.933 20 0 BFADHN C[C@@H]1C[C@@H](NCCCC(F)(F)F)c2nccn21 ZINC000294215334 176375470 /nfs/dbraw/zinc/37/54/70/176375470.db2.gz UOJNNZRDNSPDFO-RKDXNWHRSA-N 0 3 247.264 2.821 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCOC2)c2ccccc21 ZINC000129422592 176379359 /nfs/dbraw/zinc/37/93/59/176379359.db2.gz ROSNCXYHSJBGKC-JTNHKYCSSA-N 0 3 217.312 2.613 20 0 BFADHN C[C@@H]1C[C@@H](O)CN1Cc1cc2ccccc2s1 ZINC000336590643 176382740 /nfs/dbraw/zinc/38/27/40/176382740.db2.gz VGYJRDSKYLSAAM-ZYHUDNBSSA-N 0 3 247.363 2.856 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1ccc(Br)o1 ZINC000166797459 176396685 /nfs/dbraw/zinc/39/66/85/176396685.db2.gz LLGIZNFOEUNRPO-HTQZYQBOSA-N 0 3 244.132 2.788 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1cnc(C(C)(C)C)nc1 ZINC000284396053 176396975 /nfs/dbraw/zinc/39/69/75/176396975.db2.gz PLQFXIUESSYKHX-ZYHUDNBSSA-N 0 3 233.359 2.520 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@H]1COc2ccc(F)cc21 ZINC000336731060 176397307 /nfs/dbraw/zinc/39/73/07/176397307.db2.gz FBOIXENFXADCRW-LNLATYFQSA-N 0 3 221.275 2.505 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC2CCC(O)CC2)o1 ZINC000019918582 176407845 /nfs/dbraw/zinc/40/78/45/176407845.db2.gz QBYLTUKFEJDOPF-NPZBDFSRSA-N 0 3 249.354 2.796 20 0 BFADHN C[C@@H]1C[C@H](NCc2cnn(C)c2)c2ccccc21 ZINC000264535554 176424005 /nfs/dbraw/zinc/42/40/05/176424005.db2.gz MKNQXVICMRSZSC-ABAIWWIYSA-N 0 3 241.338 2.758 20 0 BFADHN c1cc(CN2C[C@@H]3CCCC[C@@H]32)cc2c1OCC2 ZINC000668299508 487633961 /nfs/dbraw/zinc/63/39/61/487633961.db2.gz IIGPOJVOBWBEKM-GJZGRUSLSA-N 0 3 243.350 2.996 20 0 BFADHN C[C@@](CO)(NCc1ccco1)C1CCCCC1 ZINC000265798476 176510141 /nfs/dbraw/zinc/51/01/41/176510141.db2.gz SFJBHADVFIHPMF-AWEZNQCLSA-N 0 3 237.343 2.701 20 0 BFADHN C[C@@]1(NC/C=C/c2ccc(F)cc2)CCOC1 ZINC000120119993 176539523 /nfs/dbraw/zinc/53/95/23/176539523.db2.gz FFIHVMGPISIZLJ-BAABZTOOSA-N 0 3 235.302 2.608 20 0 BFADHN Cn1ncc(C2CC2)c1CN1C[C@@H]2CCCC[C@@H]21 ZINC000668298498 487634290 /nfs/dbraw/zinc/63/42/90/487634290.db2.gz AMVRMIBXXBIYDT-JSGCOSHPSA-N 0 3 245.370 2.672 20 0 BFADHN C[C@H](CNc1ncc(Cl)cc1Cl)N(C)C ZINC000037988026 176688277 /nfs/dbraw/zinc/68/82/77/176688277.db2.gz RUESJZUSAALHCH-SSDOTTSWSA-N 0 3 248.157 2.750 20 0 BFADHN C[C@H](NCC(C)(C)O)c1ccc(Cl)s1 ZINC000042587846 176835046 /nfs/dbraw/zinc/83/50/46/176835046.db2.gz ZZIDSCMPRRJROD-ZETCQYMHSA-N 0 3 233.764 2.823 20 0 BFADHN C[C@H](NCCc1cccnc1)c1cncc(F)c1 ZINC000133532054 176853936 /nfs/dbraw/zinc/85/39/36/176853936.db2.gz SQQVNIKKRLKPGI-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN C[C@H](NCc1cccc2c1OCO2)C1(C)CC1 ZINC000040667110 176877564 /nfs/dbraw/zinc/87/75/64/176877564.db2.gz RCPJHJOLAJGBTP-JTQLQIEISA-N 0 3 233.311 2.694 20 0 BFADHN C[C@H](NCc1cn2cc(Cl)ccc2n1)C1CC1 ZINC000105969813 176880066 /nfs/dbraw/zinc/88/00/66/176880066.db2.gz GMSDWVSEQGVOOB-VIFPVBQESA-N 0 3 249.745 2.876 20 0 BFADHN C[C@H](NCc1ccsc1)[C@]1(C)CCCO1 ZINC000309118668 176880257 /nfs/dbraw/zinc/88/02/57/176880257.db2.gz MPDFMXRQLGCZET-JQWIXIFHSA-N 0 3 225.357 2.795 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CCCCO1)c1cccnc1 ZINC000271913191 176888975 /nfs/dbraw/zinc/88/89/75/176888975.db2.gz BNOUBPQKBNDPJK-SGMGOOAPSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@H](O)CCNCc1cccc(C(F)(F)F)c1 ZINC000078781410 176965583 /nfs/dbraw/zinc/96/55/83/176965583.db2.gz PFBRTYQJYKBUED-VIFPVBQESA-N 0 3 247.260 2.566 20 0 BFADHN C[C@H](O)C[C@H]1CCCN1Cc1ccccc1F ZINC000152539559 177001890 /nfs/dbraw/zinc/00/18/90/177001890.db2.gz SVPMFWPTUDQHLY-WCQYABFASA-N 0 3 237.318 2.561 20 0 BFADHN C[C@H](O)[C@@H]1CCN(Cc2cc(Cl)cs2)C1 ZINC000194476304 177009493 /nfs/dbraw/zinc/00/94/93/177009493.db2.gz XCVMNPSNDQADHW-DTWKUNHWSA-N 0 3 245.775 2.604 20 0 BFADHN C[C@H](O)[C@H]1CCCCN1Cc1ccc(F)cc1 ZINC000264436622 177015161 /nfs/dbraw/zinc/01/51/61/177015161.db2.gz PIOOICKNHKHACT-SMDDNHRTSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@H](c1ccc(F)c(Cl)c1)N(C)CCCO ZINC000281998030 177032658 /nfs/dbraw/zinc/03/26/58/177032658.db2.gz XGCHPDCZPYVNRT-SECBINFHSA-N 0 3 245.725 2.854 20 0 BFADHN C[C@H](c1ccc(F)c(F)c1)N(C)CCCCO ZINC000170871117 177033766 /nfs/dbraw/zinc/03/37/66/177033766.db2.gz LJALAVDEGXOSAZ-SNVBAGLBSA-N 0 3 243.297 2.730 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)C[C@H]1CCCCO1 ZINC000247495617 177037376 /nfs/dbraw/zinc/03/73/76/177037376.db2.gz HJQWFHLRQYIYMN-IUODEOHRSA-N 0 3 249.354 2.954 20 0 BFADHN C[C@H](c1ccccc1)N(C)Cc1cnc[nH]1 ZINC000179701539 177038368 /nfs/dbraw/zinc/03/83/68/177038368.db2.gz MOBXYDVXQAWDTO-LLVKDONJSA-N 0 3 215.300 2.603 20 0 BFADHN C[C@H](c1ccccc1F)N1C[C@H](O)C(C)(C)C1 ZINC000279678612 177041855 /nfs/dbraw/zinc/04/18/55/177041855.db2.gz FAUGXRKTBQIMCU-MFKMUULPSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](c1ccccn1)N1CC[C@@H](O)CC(C)(C)C1 ZINC000295920962 177044262 /nfs/dbraw/zinc/04/42/62/177044262.db2.gz FIAVNPJTXQPZAL-CHWSQXEVSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@H](c1cccnc1)N1CCCOC(C)(C)C1 ZINC000377703363 177045314 /nfs/dbraw/zinc/04/53/14/177045314.db2.gz MJRMSMMSHMBRDQ-GFCCVEGCSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@@H](O)CC(C)(C)C1 ZINC000295297107 177046005 /nfs/dbraw/zinc/04/60/05/177046005.db2.gz HACIKBFYGGKMSM-TZMCWYRMSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@@H]1CCCOC1 ZINC000336710505 177047297 /nfs/dbraw/zinc/04/72/97/177047297.db2.gz ZHCDJYSYZYJUHN-NEPJUHHUSA-N 0 3 223.316 2.699 20 0 BFADHN C[C@H](c1ccco1)N(C)CCc1ccncc1 ZINC000069070122 177047357 /nfs/dbraw/zinc/04/73/57/177047357.db2.gz OGCWDVCVEMECNA-GFCCVEGCSA-N 0 3 230.311 2.910 20 0 BFADHN C[C@@H](NC1C[C@H](C)C[C@@H](C)C1)c1cnccn1 ZINC000220493949 177066001 /nfs/dbraw/zinc/06/60/01/177066001.db2.gz LMCXRYJTSVPOEK-IJLUTSLNSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@H]1CCCC[C@@H]1NCc1ncccc1N(C)C ZINC000289801295 177083780 /nfs/dbraw/zinc/08/37/80/177083780.db2.gz NWGLWRYEBYARSH-STQMWFEESA-N 0 3 247.386 2.816 20 0 BFADHN C[C@H]1CCCC[C@H]1CN1CCOCC12CC2 ZINC000338995678 177085250 /nfs/dbraw/zinc/08/52/50/177085250.db2.gz FBSAZMJCQFAQQO-STQMWFEESA-N 0 3 223.360 2.678 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1ccc(F)cn1 ZINC000336432995 177101656 /nfs/dbraw/zinc/10/16/56/177101656.db2.gz INXYOUSZCVCMDW-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CCCN1Cc1cccc2nsnc21 ZINC000269048598 177111064 /nfs/dbraw/zinc/11/10/64/177111064.db2.gz XBRWSEWFBMIWKG-VIFPVBQESA-N 0 3 233.340 2.676 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1CCc1cscn1 ZINC000294912886 177117002 /nfs/dbraw/zinc/11/70/02/177117002.db2.gz HXFZQTSFNNVWHT-PHIMTYICSA-N 0 3 224.373 2.949 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2cocn2)CC1 ZINC000277017311 177123336 /nfs/dbraw/zinc/12/33/36/177123336.db2.gz MGJLDGZURLKXMS-WDEREUQCSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1nccn1C(F)F ZINC000070831328 177126358 /nfs/dbraw/zinc/12/63/58/177126358.db2.gz KESFJCDJWNVFMM-IUCAKERBSA-N 0 3 229.274 2.556 20 0 BFADHN C[C@H]1CCC[C@@H]1[NH2+]Cc1cc([O-])cc(F)c1 ZINC000159601054 177126767 /nfs/dbraw/zinc/12/67/67/177126767.db2.gz NZWCPGVNUSQVMH-ZANVPECISA-N 0 3 223.291 2.810 20 0 BFADHN C[C@H]1CCC[C@H](C)C1NCc1cocn1 ZINC000182069116 177130564 /nfs/dbraw/zinc/13/05/64/177130564.db2.gz RRZJOXMZHHRRFC-UWVGGRQHSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1CCC[C@H](NCc2ccc(CO)o2)CC1 ZINC000227309942 177137309 /nfs/dbraw/zinc/13/73/09/177137309.db2.gz WMXFREHTBZHGKY-RYUDHWBXSA-N 0 3 237.343 2.830 20 0 BFADHN C[C@H]1CCN(CCc2cscn2)[C@@H](C)C1 ZINC000295113349 177161541 /nfs/dbraw/zinc/16/15/41/177161541.db2.gz VSEJMTUBTVBXBE-QWRGUYRKSA-N 0 3 224.373 2.806 20 0 BFADHN C[C@@H](O)CN1CC[C@H](C)[C@@H]1c1cccc(F)c1 ZINC000297915856 177161803 /nfs/dbraw/zinc/16/18/03/177161803.db2.gz SRCBTFQJILHVCQ-MISXGVKJSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H]1CCN(Cc2ccc(C(F)(F)F)cn2)C1 ZINC000277585506 177163282 /nfs/dbraw/zinc/16/32/82/177163282.db2.gz CRBJVOHNBCOTAC-VIFPVBQESA-N 0 3 244.260 2.942 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1Cc1cncs1 ZINC000092762290 177166557 /nfs/dbraw/zinc/16/65/57/177166557.db2.gz BCIKFHZZHOZUBN-VHSXEESVSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@H]1CCN(Cc2cocn2)C[C@H](C)C1 ZINC000191949743 177167897 /nfs/dbraw/zinc/16/78/97/177167897.db2.gz ATSRFXHMZIDFSH-WDEREUQCSA-N 0 3 208.305 2.543 20 0 BFADHN C[C@H]1CCN(Cc2sccc2Cl)[C@H]1CO ZINC000278715908 177168122 /nfs/dbraw/zinc/16/81/22/177168122.db2.gz QEIROYLDCKVHJI-WPRPVWTQSA-N 0 3 245.775 2.604 20 0 BFADHN C[C@H](c1cccnc1)N1CCS[C@@H](C)CC1 ZINC000276959436 177170257 /nfs/dbraw/zinc/17/02/57/177170257.db2.gz PUIMUDMPOWZSSI-NWDGAFQWSA-N 0 3 236.384 2.970 20 0 BFADHN C[C@H]1CC[C@@H](CN(C)Cc2ccccc2)O1 ZINC000190327169 177189374 /nfs/dbraw/zinc/18/93/74/177189374.db2.gz PYMOJWNUCUGCMY-JSGCOSHPSA-N 0 3 219.328 2.686 20 0 BFADHN C[C@H]1CC[C@H]([NH2+]Cc2ccc([O-])c(F)c2)C1 ZINC000164617571 177205108 /nfs/dbraw/zinc/20/51/08/177205108.db2.gz LWBIHUPUMDXLPW-ONGXEEELSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@H]1CC[C@H]1NCc1cc(F)c(F)cc1F ZINC000336649408 177207317 /nfs/dbraw/zinc/20/73/17/177207317.db2.gz GXFGYPMEWSAJAG-JVXZTZIISA-N 0 3 229.245 2.992 20 0 BFADHN C[C@H]1CC[C@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000353127396 177207438 /nfs/dbraw/zinc/20/74/38/177207438.db2.gz PGSURBLSNQEGJW-GXSJLCMTSA-N 0 3 231.299 2.558 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cc(C)on1 ZINC000271517051 487637629 /nfs/dbraw/zinc/63/76/29/487637629.db2.gz KSDLKRBHGXNIND-SKDRFNHKSA-N 0 3 208.305 2.746 20 0 BFADHN C[C@H]1CN(CCCC(C)(C)C)[C@@H](C)CO1 ZINC000337141673 177247956 /nfs/dbraw/zinc/24/79/56/177247956.db2.gz VVLZYPXUBMJCIP-RYUDHWBXSA-N 0 3 213.365 2.922 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC(F)(F)C2)C[C@H](C)O1 ZINC000340244201 177259429 /nfs/dbraw/zinc/25/94/29/177259429.db2.gz VMDWEIZXEVPYBZ-AXFHLTTASA-N 0 3 233.302 2.531 20 0 BFADHN C[C@@H]1CCC[C@H](CN2C[C@H](C)OC[C@H]2C)C1 ZINC000356954166 177259892 /nfs/dbraw/zinc/25/98/92/177259892.db2.gz BNUKXONICIELLG-MQYQWHSLSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@H]1CN(Cc2ccccc2)CCN1CC1CC1 ZINC000353558643 177265091 /nfs/dbraw/zinc/26/50/91/177265091.db2.gz DHAFGCOVILDNBW-AWEZNQCLSA-N 0 3 244.382 2.603 20 0 BFADHN C[C@H]1CN(Cc2cccc3[nH]ccc32)C[C@H](C)O1 ZINC000127040588 177265198 /nfs/dbraw/zinc/26/51/98/177265198.db2.gz MUCMNBNUKRNNQD-RYUDHWBXSA-N 0 3 244.338 2.777 20 0 BFADHN C[C@H](c1ccccc1F)N1CCCO[C@@H](C)C1 ZINC000245403465 177274901 /nfs/dbraw/zinc/27/49/01/177274901.db2.gz LSNZCBQDCJSFEY-NWDGAFQWSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@H]1CN(c2ccnc3ccccc32)CCCO1 ZINC000171918050 177277259 /nfs/dbraw/zinc/27/72/59/177277259.db2.gz CMUWJVNDLHCOBP-LBPRGKRZSA-N 0 3 242.322 2.850 20 0 BFADHN C[C@H]1COCCN(CCCc2ccsc2)C1 ZINC000361099169 177288651 /nfs/dbraw/zinc/28/86/51/177288651.db2.gz ZGNAEGLVKHLWRY-GFCCVEGCSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@H]1COCC[C@@H]1NCc1ccsc1Cl ZINC000335607918 177295153 /nfs/dbraw/zinc/29/51/53/177295153.db2.gz QMOIYACPUWQYFV-WPRPVWTQSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@H]1COCC[C@H]1CNCc1ccc(Cl)o1 ZINC000309788031 177295579 /nfs/dbraw/zinc/29/55/79/177295579.db2.gz ONMHKWFLYPYYPJ-UWVGGRQHSA-N 0 3 243.734 2.695 20 0 BFADHN C[C@@H]1COC[C@H](C)N1C[C@H]1CCCC(F)(F)C1 ZINC000338313497 177298385 /nfs/dbraw/zinc/29/83/85/177298385.db2.gz KKBUNPQJRFVUKW-TUAOUCFPSA-N 0 3 247.329 2.921 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cc(OC)ccn1 ZINC000271519210 487637788 /nfs/dbraw/zinc/63/77/88/487637788.db2.gz KZJQUUZOXXUWSZ-AAEUAGOBSA-N 0 3 234.343 2.853 20 0 BFADHN C[C@H]1CSCCN1Cc1ccc2c(c1)CCO2 ZINC000172592895 177305212 /nfs/dbraw/zinc/30/52/12/177305212.db2.gz SUGGVACCUNKPTI-NSHDSACASA-N 0 3 249.379 2.559 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1cc(F)cc(F)c1 ZINC000309784889 177306944 /nfs/dbraw/zinc/30/69/44/177306944.db2.gz NUELIBZMXLHSRW-QPUJVOFHSA-N 0 3 243.322 2.806 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1cccc(F)c1F ZINC000335605732 177307045 /nfs/dbraw/zinc/30/70/45/177307045.db2.gz HUPYPJFJLFPPRA-GZMMTYOYSA-N 0 3 243.322 2.806 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cncc(F)c2)C1 ZINC000274370861 177314607 /nfs/dbraw/zinc/31/46/07/177314607.db2.gz QADVSDLHMZDSRE-PHIMTYICSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@H]1C[C@@H](CN(C)CCC(F)(F)F)CCO1 ZINC000352691119 177323369 /nfs/dbraw/zinc/32/33/69/177323369.db2.gz IWSGATRPMDNBRY-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@H]1C[C@@H](NCCc2cccs2)c2nccn21 ZINC000294078744 177331964 /nfs/dbraw/zinc/33/19/64/177331964.db2.gz LLEUEWMJXKGQLF-CMPLNLGQSA-N 0 3 247.367 2.783 20 0 BFADHN C[C@H]1C[C@@H](NCc2cnn(C)c2)c2ccccc21 ZINC000264535557 177334118 /nfs/dbraw/zinc/33/41/18/177334118.db2.gz MKNQXVICMRSZSC-XHDPSFHLSA-N 0 3 241.338 2.758 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1nccn1-c1ccccc1 ZINC000276967765 177345431 /nfs/dbraw/zinc/34/54/31/177345431.db2.gz NYZVACBHQKGDFD-QWHCGFSZSA-N 0 3 241.338 2.618 20 0 BFADHN C[C@H](CCCO)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000227536775 177351442 /nfs/dbraw/zinc/35/14/42/177351442.db2.gz ZBWRKERTILZFTC-LOWVWBTDSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ccon2)[C@@H](C)C1 ZINC000220718875 177357033 /nfs/dbraw/zinc/35/70/33/177357033.db2.gz DDTONRPKZYRAAS-JFGNBEQYSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cc(F)ccc2N)C1 ZINC000035308428 177358454 /nfs/dbraw/zinc/35/84/54/177358454.db2.gz MWLMIRGCTGHAOQ-QWRGUYRKSA-N 0 3 236.334 2.886 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cccc3nccn32)C1 ZINC000183462205 177358653 /nfs/dbraw/zinc/35/86/53/177358653.db2.gz AJBJBYOIRKDUDL-STQMWFEESA-N 0 3 243.354 2.812 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1c[nH]nc1C(C)(C)C ZINC000336608619 177360742 /nfs/dbraw/zinc/36/07/42/177360742.db2.gz VOGUMKDETGLQOD-UWVGGRQHSA-N 0 3 221.348 2.690 20 0 BFADHN C[C@H]1C[C@H](CNCc2ccc(Cl)o2)CCO1 ZINC000309805254 177362992 /nfs/dbraw/zinc/36/29/92/177362992.db2.gz RZZAEOJCWORBTE-VHSXEESVSA-N 0 3 243.734 2.838 20 0 BFADHN C[C@H]1C[C@H](NCCc2ccsc2)c2nccn21 ZINC000293892435 177370212 /nfs/dbraw/zinc/37/02/12/177370212.db2.gz GMTVXHZJMIYMBA-JQWIXIFHSA-N 0 3 247.367 2.783 20 0 BFADHN CCOc1ccc(CN(C)C[C@H](C)CC)nc1 ZINC000674865684 487638178 /nfs/dbraw/zinc/63/81/78/487638178.db2.gz PYNJIGQQKYLNSU-GFCCVEGCSA-N 0 3 236.359 2.958 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1ccccn1 ZINC000252161840 177371329 /nfs/dbraw/zinc/37/13/29/177371329.db2.gz PYDHUZPPFMEPOH-RNJOBUHISA-N 0 3 234.343 2.688 20 0 BFADHN C[C@H]1C[C@H]1NCc1ccccc1Br ZINC000052271820 177385640 /nfs/dbraw/zinc/38/56/40/177385640.db2.gz MRQNVQQWRARNAT-GZMMTYOYSA-N 0 3 240.144 2.947 20 0 BFADHN C[C@H]1C[C@H]1NCc1ccc(Br)o1 ZINC000052270029 177385701 /nfs/dbraw/zinc/38/57/01/177385701.db2.gz BLYJZUXWQYYEQE-POYBYMJQSA-N 0 3 230.105 2.540 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN[C@H]2CC[C@@H](CO)C2)o1 ZINC000295130696 177386677 /nfs/dbraw/zinc/38/66/77/177386677.db2.gz YAJRMLIWYUWIEL-KZVDOYCCSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@H]1C[C@]1(NCCCO)c1ccc(Cl)cc1 ZINC000309799974 177388408 /nfs/dbraw/zinc/38/84/08/177388408.db2.gz QZBDHTOIUDBBBG-GXFFZTMASA-N 0 3 239.746 2.547 20 0 BFADHN C[C@]1(CNCc2ncc[nH]2)C[C@H]1c1ccccc1 ZINC000339450222 177462829 /nfs/dbraw/zinc/46/28/29/177462829.db2.gz QFGGQGLIMJPIPP-DZGCQCFKSA-N 0 3 241.338 2.693 20 0 BFADHN C[C@@H]1C[C@H](N2CC=C(C(F)(F)F)CC2)CCO1 ZINC000335493786 487639575 /nfs/dbraw/zinc/63/95/75/487639575.db2.gz GEQCFUQDMFGPGL-MWLCHTKSSA-N 0 3 249.276 2.748 20 0 BFADHN OC[C@@H](NC1CCCC1)c1ccc(F)cc1F ZINC000038122911 487641557 /nfs/dbraw/zinc/64/15/57/487641557.db2.gz NCQVTZXIMITDQV-CYBMUJFWSA-N 0 3 241.281 2.530 20 0 BFADHN Oc1cccc(CNC/C=C\c2ccncc2)c1 ZINC000486952351 225274937 /nfs/dbraw/zinc/27/49/37/225274937.db2.gz BUXRZBYZIXHTBE-RQOWECAXSA-N 0 3 240.306 2.590 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCO[C@H](C)C2)o1 ZINC000335543859 487644196 /nfs/dbraw/zinc/64/41/96/487644196.db2.gz KNRNAALOXRHLLO-VXGBXAGGSA-N 0 3 223.316 2.587 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCc2cccc(F)c2C1 ZINC000488328928 225374410 /nfs/dbraw/zinc/37/44/10/225374410.db2.gz ILSIYCVWQJUUGB-CMPLNLGQSA-N 0 3 219.303 2.840 20 0 BFADHN C[C@H](c1ccc2c(c1)CCC2)N1CCC[C@@H](O)C1 ZINC000487982230 225339422 /nfs/dbraw/zinc/33/94/22/225339422.db2.gz QLPHLWYYTBBIBH-MLGOLLRUSA-N 0 3 245.366 2.693 20 0 BFADHN CCCN(CCC)C(=O)Nc1cccc(CN)c1 ZINC000034959100 487644753 /nfs/dbraw/zinc/64/47/53/487644753.db2.gz JLGVHINWNNJHNP-UHFFFAOYSA-N 0 3 249.358 2.799 20 0 BFADHN CC[C@H](C)c1nc(C2C[C@H]3CC[C@@H](C2)N3C)no1 ZINC000680772443 487645654 /nfs/dbraw/zinc/64/56/54/487645654.db2.gz XTORMHPFRHUAEA-YEJSDXFRSA-N 0 3 249.358 2.923 20 0 BFADHN CC(C)NCc1cc(F)ccc1OC(F)F ZINC000232741922 487645407 /nfs/dbraw/zinc/64/54/07/487645407.db2.gz UAAZELZPZLUVGX-UHFFFAOYSA-N 0 3 233.233 2.925 20 0 BFADHN COc1nc(C)cc(C)c1CNCC1(C)CCC1 ZINC000683436421 487647554 /nfs/dbraw/zinc/64/75/54/487647554.db2.gz SQHBYVISAAKGFS-UHFFFAOYSA-N 0 3 248.370 2.987 20 0 BFADHN C[C@@H]1CN(CCCOC(C)(C)C)CCS1 ZINC000674907041 487649033 /nfs/dbraw/zinc/64/90/33/487649033.db2.gz OPXROSGGQHZCKA-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN Cc1ccc([C@H](NCCCCO)C2CCC2)o1 ZINC000494479952 226265874 /nfs/dbraw/zinc/26/58/74/226265874.db2.gz XDBVQVUTJYVIJH-CQSZACIVSA-N 0 3 237.343 2.791 20 0 BFADHN CCOc1ncccc1CN[C@@H]1C[C@@H](C)[C@@H]1C ZINC000494916970 226270900 /nfs/dbraw/zinc/27/09/00/226270900.db2.gz VUJJJMGFKMKXFE-NTZNESFSSA-N 0 3 234.343 2.614 20 0 BFADHN OC[C@@H]1CCCCN1Cc1cc2ccccc2o1 ZINC000505908459 226361443 /nfs/dbraw/zinc/36/14/43/226361443.db2.gz XNYIHBGOEQTUOQ-ZDUSSCGKSA-N 0 3 245.322 2.780 20 0 BFADHN COCCN(Cc1cc(C)sc1C)C1CC1 ZINC000507153698 226366131 /nfs/dbraw/zinc/36/61/31/226366131.db2.gz DQERAAVLHDYENN-UHFFFAOYSA-N 0 3 239.384 2.976 20 0 BFADHN CCc1nocc1CN(C)[C@H](C)C(C)C ZINC000507194794 226367215 /nfs/dbraw/zinc/36/72/15/226367215.db2.gz XWHKHVZOTUBQAF-SNVBAGLBSA-N 0 3 210.321 2.713 20 0 BFADHN CCc1nocc1CN1CCC[C@H]1C(C)C ZINC000507220889 226367430 /nfs/dbraw/zinc/36/74/30/226367430.db2.gz BCGVUVJOPDXODL-ZDUSSCGKSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1nocc1CN1C[C@@H](C)C[C@H]1CC ZINC000508638723 226373426 /nfs/dbraw/zinc/37/34/26/226373426.db2.gz SISGAQBJWTYWRC-CMPLNLGQSA-N 0 3 222.332 2.857 20 0 BFADHN COC[C@@H](N[C@@H](C)c1cnccc1C)C(C)C ZINC000557147614 322934572 /nfs/dbraw/zinc/93/45/72/322934572.db2.gz CMFPETIDPGXDHF-GXTWGEPZSA-N 0 3 236.359 2.712 20 0 BFADHN CCN(CCc1cnn(CC)c1)Cc1ccoc1 ZINC000671624242 487651041 /nfs/dbraw/zinc/65/10/41/487651041.db2.gz BISHNZYFSBOTLA-UHFFFAOYSA-N 0 3 247.342 2.561 20 0 BFADHN OCC1(CN[C@H]2CCSc3ccccc32)CC1 ZINC000211102208 487652721 /nfs/dbraw/zinc/65/27/21/487652721.db2.gz AHZYKGZVEHDKLR-LBPRGKRZSA-N 0 3 249.379 2.586 20 0 BFADHN C[C@]1(NCc2ccc(F)cc2)CCO[C@@H]1C1CC1 ZINC000273096915 487653603 /nfs/dbraw/zinc/65/36/03/487653603.db2.gz UVCZPEBQLUBNSM-CABCVRRESA-N 0 3 249.329 2.873 20 0 BFADHN CC(C)n1ncnc1CNCC(C)(C)C(C)C ZINC000232785814 487653819 /nfs/dbraw/zinc/65/38/19/487653819.db2.gz VUKDAHFOCIEUGU-UHFFFAOYSA-N 0 3 238.379 2.631 20 0 BFADHN CC(C)n1ccc(CNCC2(C(C)C)CC2)n1 ZINC000232798740 487655836 /nfs/dbraw/zinc/65/58/36/487655836.db2.gz TVTPUBSJGMQMMV-UHFFFAOYSA-N 0 3 235.375 2.990 20 0 BFADHN Fc1cccc(NC2CCN(C3CC3)CC2)c1 ZINC000334247127 229146746 /nfs/dbraw/zinc/14/67/46/229146746.db2.gz JYXMJKMGEXQDAE-UHFFFAOYSA-N 0 3 234.318 2.864 20 0 BFADHN COc1ncccc1CN(C)C[C@H]1CC=CCC1 ZINC000488282639 229181166 /nfs/dbraw/zinc/18/11/66/229181166.db2.gz KIQPLDFBELKQGL-ZDUSSCGKSA-N 0 3 246.354 2.878 20 0 BFADHN COc1ncccc1CN(C)C[C@@H]1CC=CCC1 ZINC000488282638 229181513 /nfs/dbraw/zinc/18/15/13/229181513.db2.gz KIQPLDFBELKQGL-CYBMUJFWSA-N 0 3 246.354 2.878 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2ccsc2)C12CCC2 ZINC000308336083 229226110 /nfs/dbraw/zinc/22/61/10/229226110.db2.gz CXBAQJQSHHDOQV-VXGBXAGGSA-N 0 3 237.368 2.795 20 0 BFADHN CO[C@H]1C[C@H](NCc2occc2C)C12CCC2 ZINC000308340447 229227662 /nfs/dbraw/zinc/22/76/62/229227662.db2.gz BGKRRLBFWIFYMB-STQMWFEESA-N 0 3 235.327 2.635 20 0 BFADHN Cc1cccnc1CCN[C@H](C)c1cccnc1 ZINC000404933245 229238101 /nfs/dbraw/zinc/23/81/01/229238101.db2.gz NDPHAFGATLEMAJ-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN OCCN(Cc1cc2cc(F)ccc2o1)C1CC1 ZINC000305728940 229382603 /nfs/dbraw/zinc/38/26/03/229382603.db2.gz JYTQVLJVHOMZLC-UHFFFAOYSA-N 0 3 249.285 2.529 20 0 BFADHN Cc1cccc2[nH]c(CN(C)[C@H]3CCOC3)cc21 ZINC000667597143 487673185 /nfs/dbraw/zinc/67/31/85/487673185.db2.gz CFILYTLNGJSJRS-ZDUSSCGKSA-N 0 3 244.338 2.697 20 0 BFADHN COc1cccc(CNCC(C)C)c1F ZINC000162997697 487677568 /nfs/dbraw/zinc/67/75/68/487677568.db2.gz ANMQEMXKCOXPRR-UHFFFAOYSA-N 0 3 211.280 2.580 20 0 BFADHN CCC1(CNCc2cnc3ccccc3n2)CC1 ZINC000232991993 487680208 /nfs/dbraw/zinc/68/02/08/487680208.db2.gz RZFCRPCXSSMKMI-UHFFFAOYSA-N 0 3 241.338 2.910 20 0 BFADHN Cl/C=C/CN[C@@H]1CC[C@@H]2SCCS[C@H]12 ZINC000898432321 584135355 /nfs/dbraw/zinc/13/53/55/584135355.db2.gz FCDCPXIELDGBOT-UIRISSMDSA-N 0 3 249.832 2.708 20 0 BFADHN COc1ccc(CNCCC2CC2)c(F)c1 ZINC000228598915 487688597 /nfs/dbraw/zinc/68/85/97/487688597.db2.gz GCEJYSCAAXVPLQ-UHFFFAOYSA-N 0 3 223.291 2.724 20 0 BFADHN Fc1cnccc1CN[C@@H]1CC12CCCC2 ZINC000336208658 487691057 /nfs/dbraw/zinc/69/10/57/487691057.db2.gz XWBABCIALVQDTA-GFCCVEGCSA-N 0 3 220.291 2.643 20 0 BFADHN CCN(CC)C(=O)CN[C@H](C)c1ccccc1C ZINC000042224536 487691593 /nfs/dbraw/zinc/69/15/93/487691593.db2.gz BDWWFOSKHMVAMX-CYBMUJFWSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@]1(C)COCCN1CCC1CCC1 ZINC000660147695 487693118 /nfs/dbraw/zinc/69/31/18/487693118.db2.gz DPTPWDCTCPDSJY-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@@H]1CC[C@@]2(CCN(Cc3cccnc3N)C2)C1 ZINC000336275958 487693558 /nfs/dbraw/zinc/69/35/58/487693558.db2.gz BRUMHDXDMNYEFA-IUODEOHRSA-N 0 3 245.370 2.676 20 0 BFADHN CC[C@]1(C)COCCN1CCC=C(C)C ZINC000660149293 487696678 /nfs/dbraw/zinc/69/66/78/487696678.db2.gz PWWSSDFKEVROJO-CYBMUJFWSA-N 0 3 211.349 2.844 20 0 BFADHN CC(C)n1nccc1CNC1CC(C)(C)C1 ZINC000395173263 262766965 /nfs/dbraw/zinc/76/69/65/262766965.db2.gz ISZPMIAGFDDBPK-UHFFFAOYSA-N 0 3 221.348 2.742 20 0 BFADHN Cn1ccnc1[C@@H](NCC1CC(C)(C)C1)C1CC1 ZINC000527349872 262775433 /nfs/dbraw/zinc/77/54/33/262775433.db2.gz SXXKVOUNHUVZJI-ZDUSSCGKSA-N 0 3 247.386 2.897 20 0 BFADHN CC[C@@H](NCc1cc(Cl)cs1)[C@H](C)O ZINC000395334306 262786643 /nfs/dbraw/zinc/78/66/43/262786643.db2.gz CBKVQQDPCGQZFG-OIBJUYFYSA-N 0 3 233.764 2.651 20 0 BFADHN CCc1ccc(CNCC[C@H]2CCCOC2)o1 ZINC000527740329 262881738 /nfs/dbraw/zinc/88/17/38/262881738.db2.gz RVCWZVKFAUZWAQ-GFCCVEGCSA-N 0 3 237.343 2.748 20 0 BFADHN C[C@H](NCC[C@H]1CCCOC1)c1nccs1 ZINC000527928115 262895609 /nfs/dbraw/zinc/89/56/09/262895609.db2.gz MUJSBSNIEYNURG-WDEREUQCSA-N 0 3 240.372 2.610 20 0 BFADHN OCc1ccc(F)c(CN2C[C@@H]3CCC[C@H]3C2)c1 ZINC000528404125 262910081 /nfs/dbraw/zinc/91/00/81/262910081.db2.gz MMBVYYXJJJAHIU-STQMWFEESA-N 0 3 249.329 2.550 20 0 BFADHN CC[C@@H](NCc1nccn1CC)[C@H]1CC1(C)C ZINC000397574383 262927254 /nfs/dbraw/zinc/92/72/54/262927254.db2.gz CWEHKSWZBPMBAN-VXGBXAGGSA-N 0 3 235.375 2.817 20 0 BFADHN C/C(Cl)=C/CN1CCOC[C@H](C2CCC2)C1 ZINC000528512635 262941064 /nfs/dbraw/zinc/94/10/64/262941064.db2.gz MDJSYDHEPYZXSG-ZRVMKQEGSA-N 0 3 243.778 2.878 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H](C)C1CC1 ZINC000651205881 487701262 /nfs/dbraw/zinc/70/12/62/487701262.db2.gz QJWOCQVEQJELON-MNOVXSKESA-N 0 3 221.348 2.600 20 0 BFADHN Cc1occc1CN[C@@H](Cn1cccn1)C(C)C ZINC000651205844 487701303 /nfs/dbraw/zinc/70/13/03/487701303.db2.gz GYTFVFQEHAXMLL-AWEZNQCLSA-N 0 3 247.342 2.599 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@@H](C)C1CC1 ZINC000651205880 487701311 /nfs/dbraw/zinc/70/13/11/487701311.db2.gz QJWOCQVEQJELON-GHMZBOCLSA-N 0 3 221.348 2.600 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCSC2)nc1 ZINC000683839511 487705024 /nfs/dbraw/zinc/70/50/24/487705024.db2.gz KCMZUZWLUMWZBK-GFCCVEGCSA-N 0 3 236.384 2.575 20 0 BFADHN C[C@@H](CF)NCc1ccnc2ccccc12 ZINC000309734678 487723025 /nfs/dbraw/zinc/72/30/25/487723025.db2.gz GCWVONKGSZVNFU-JTQLQIEISA-N 0 3 218.275 2.682 20 0 BFADHN CC1=CCN(Cc2ccc3nccnc3c2)CC1 ZINC000638095900 487723657 /nfs/dbraw/zinc/72/36/57/487723657.db2.gz WTGZKKOPCMWQRQ-UHFFFAOYSA-N 0 3 239.322 2.782 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CN(C)Cc2c[nH]cn2)C1 ZINC000668422318 487727363 /nfs/dbraw/zinc/72/73/63/487727363.db2.gz YBLLJAPUAPUGCY-DGCLKSJQSA-N 0 3 233.359 2.834 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CN(C)Cc2cnc[nH]2)C1 ZINC000668422318 487727369 /nfs/dbraw/zinc/72/73/69/487727369.db2.gz YBLLJAPUAPUGCY-DGCLKSJQSA-N 0 3 233.359 2.834 20 0 BFADHN C[C@@H]1C[C@H](NCCCC(F)F)c2nccn21 ZINC001331964975 974892562 /nfs/dbraw/zinc/89/25/62/974892562.db2.gz NGFUJWNETTVBBO-BDAKNGLRSA-N 0 3 229.274 2.524 20 0 BFADHN CCc1ccc(CN[C@@H](C)C[C@@H]2CCOC2)o1 ZINC000675477760 487738892 /nfs/dbraw/zinc/73/88/92/487738892.db2.gz KWMLSBMISWVBBY-RYUDHWBXSA-N 0 3 237.343 2.747 20 0 BFADHN CC(C)CCN(C)Cc1cn(C)nc1C(F)F ZINC000681166150 487739792 /nfs/dbraw/zinc/73/97/92/487739792.db2.gz JVUJWZMSIMSPMR-UHFFFAOYSA-N 0 3 245.317 2.836 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C2CC2)C1)c1cccc(O)c1 ZINC000667853570 487748761 /nfs/dbraw/zinc/74/87/61/487748761.db2.gz HDCGREQKKOUZMC-ZBINZKHDSA-N 0 3 247.338 2.610 20 0 BFADHN CSCCN(C)CCc1ccccc1F ZINC000109379890 487753228 /nfs/dbraw/zinc/75/32/28/487753228.db2.gz JXHLQORMGLUDMH-UHFFFAOYSA-N 0 3 227.348 2.663 20 0 BFADHN Fc1cccc([C@H]2CCN2CC2CC2)c1 ZINC000675639209 487757381 /nfs/dbraw/zinc/75/73/81/487757381.db2.gz FCQTULGZZGCNRL-CYBMUJFWSA-N 0 3 205.276 2.983 20 0 BFADHN FC(F)O[C@@H]1CCCN(CC2=CCCC2)C1 ZINC000645851415 487758336 /nfs/dbraw/zinc/75/83/36/487758336.db2.gz MMTKBUPYNHEVQV-LLVKDONJSA-N 0 3 231.286 2.800 20 0 BFADHN CC(C)[C@@H]1CN(Cc2ccco2)CCCO1 ZINC000294032545 487772447 /nfs/dbraw/zinc/77/24/47/487772447.db2.gz OHHGAJIRLQCLBJ-ZDUSSCGKSA-N 0 3 223.316 2.527 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2CCCN(C)C2)o1 ZINC000675765310 487775585 /nfs/dbraw/zinc/77/55/85/487775585.db2.gz BSPLKQCMOLMMDD-NWDGAFQWSA-N 0 3 236.359 2.587 20 0 BFADHN CCCC[C@@H](CC)CCN1CC(C)(CO)C1 ZINC000459620024 487779450 /nfs/dbraw/zinc/77/94/50/487779450.db2.gz KDTPCLRGAFZMQT-CYBMUJFWSA-N 0 3 227.392 2.907 20 0 BFADHN C[C@H]1CCCN1Cc1n[nH]c2ccccc21 ZINC000678259483 487780525 /nfs/dbraw/zinc/78/05/25/487780525.db2.gz AAAFUHSKKJGPHU-JTQLQIEISA-N 0 3 215.300 2.547 20 0 BFADHN C[C@H]1CCCN1Cc1[nH]nc2ccccc21 ZINC000678259483 487780529 /nfs/dbraw/zinc/78/05/29/487780529.db2.gz AAAFUHSKKJGPHU-JTQLQIEISA-N 0 3 215.300 2.547 20 0 BFADHN CCC[C@H](N[C@H](C)CCOC)c1ccccn1 ZINC000675816569 487781494 /nfs/dbraw/zinc/78/14/94/487781494.db2.gz PCGUWQMNDDFRCZ-OCCSQVGLSA-N 0 3 236.359 2.937 20 0 BFADHN CCC[C@H](N[C@@H](C)[C@@H](C)OC)c1ccccn1 ZINC000675817138 487782045 /nfs/dbraw/zinc/78/20/45/487782045.db2.gz RZWMIXMZGYKOCR-SCRDCRAPSA-N 0 3 236.359 2.936 20 0 BFADHN Cc1cc(CN(C)C(C)C)cnc1Cl ZINC000768140826 585532728 /nfs/dbraw/zinc/53/27/28/585532728.db2.gz MFZILRUQANYXJT-UHFFFAOYSA-N 0 3 212.724 2.884 20 0 BFADHN C[C@@H](NC[C@@H](C)c1ccncc1)c1cncs1 ZINC000675928454 487793145 /nfs/dbraw/zinc/79/31/45/487793145.db2.gz UGRGWNHNKDYBOT-GHMZBOCLSA-N 0 3 247.367 2.992 20 0 BFADHN C[C@@H](CCc1ccco1)NCc1ccc(F)cn1 ZINC000675938656 487794874 /nfs/dbraw/zinc/79/48/74/487794874.db2.gz DIJZGCZASJLDJF-NSHDSACASA-N 0 3 248.301 2.925 20 0 BFADHN COCCC1CN(Cc2csc(C)c2)C1 ZINC000668472317 487795428 /nfs/dbraw/zinc/79/54/28/487795428.db2.gz XSBGGLKMRVZFHN-UHFFFAOYSA-N 0 3 225.357 2.525 20 0 BFADHN COCCC1CN(Cc2cc3ccccc3o2)C1 ZINC000668472002 487795868 /nfs/dbraw/zinc/79/58/68/487795868.db2.gz BQYYWOKETCDPIG-UHFFFAOYSA-N 0 3 245.322 2.901 20 0 BFADHN COc1ccc(CN(C)CC(C)C)c(F)c1 ZINC000651612307 487799385 /nfs/dbraw/zinc/79/93/85/487799385.db2.gz JIBRTJIRHLVSOJ-UHFFFAOYSA-N 0 3 225.307 2.922 20 0 BFADHN c1coc([C@@H]2CCCN2[C@H]2CCCOC2)c1 ZINC000674302870 487800887 /nfs/dbraw/zinc/80/08/87/487800887.db2.gz RNUGJNXBHWRIEN-RYUDHWBXSA-N 0 3 221.300 2.596 20 0 BFADHN c1coc([C@H]2CCCN2[C@H]2CCCOC2)c1 ZINC000674302867 487800900 /nfs/dbraw/zinc/80/09/00/487800900.db2.gz RNUGJNXBHWRIEN-NWDGAFQWSA-N 0 3 221.300 2.596 20 0 BFADHN COc1cccc(CN[C@@H](C)[C@@H]2CC2(F)F)c1 ZINC000666444083 487809295 /nfs/dbraw/zinc/80/92/95/487809295.db2.gz BNMZJDZBUDZFSX-CABZTGNLSA-N 0 3 241.281 2.829 20 0 BFADHN COc1cccc(CN[C@@H](C)[C@H]2CC2(F)F)c1 ZINC000666444084 487809352 /nfs/dbraw/zinc/80/93/52/487809352.db2.gz BNMZJDZBUDZFSX-JOYOIKCWSA-N 0 3 241.281 2.829 20 0 BFADHN CC1=CCN(Cc2cc3cnccc3o2)CC1 ZINC000651642544 487809672 /nfs/dbraw/zinc/80/96/72/487809672.db2.gz ZRHITHFEWXDSLG-UHFFFAOYSA-N 0 3 228.295 2.980 20 0 BFADHN Cc1ccc(CN2CCCOC[C@H]2C)cc1C ZINC000678273098 487809788 /nfs/dbraw/zinc/80/97/88/487809788.db2.gz JRXALYVDBDCEJZ-CQSZACIVSA-N 0 3 233.355 2.914 20 0 BFADHN COc1ccc(CN[C@H](C)[C@H]2CC2(F)F)cc1 ZINC000666444944 487810456 /nfs/dbraw/zinc/81/04/56/487810456.db2.gz MMZNOIYKYZZMPA-BXKDBHETSA-N 0 3 241.281 2.829 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@@H]2CC2(F)F)cn1 ZINC000666444540 487810511 /nfs/dbraw/zinc/81/05/11/487810511.db2.gz HUFRCMRHEFHLRX-ONGXEEELSA-N 0 3 226.270 2.523 20 0 BFADHN CCc1nn(C)cc1CN(CC)C1CC(C)(C)C1 ZINC000666459612 487814474 /nfs/dbraw/zinc/81/44/74/487814474.db2.gz UVVWVVSGDQANNV-UHFFFAOYSA-N 0 3 249.402 2.993 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ccncn1 ZINC000403316932 322942837 /nfs/dbraw/zinc/94/28/37/322942837.db2.gz FMHWNIRALOLRNI-LOWDOPEQSA-N 0 3 231.343 2.563 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1cc2cnccc2o1 ZINC000651805412 487829280 /nfs/dbraw/zinc/82/92/80/487829280.db2.gz QQYUACWKODHKNC-SKDRFNHKSA-N 0 3 248.351 2.669 20 0 BFADHN CN(Cc1cccc2c1CCC2)[C@@H]1CCCOC1 ZINC000651804575 487829493 /nfs/dbraw/zinc/82/94/93/487829493.db2.gz LKBKQJWLBZVZLS-OAHLLOKOSA-N 0 3 245.366 2.786 20 0 BFADHN CCC(=O)CCCN1C[C@@H](C)C(F)(F)[C@@H](C)C1 ZINC000625652853 487835486 /nfs/dbraw/zinc/83/54/86/487835486.db2.gz MYXDQMYFXKATKW-PHIMTYICSA-N 0 3 247.329 2.969 20 0 BFADHN CC1=C[C@H](C)CN(Cc2c(C3CC3)cnn2C)C1 ZINC000668098691 487837887 /nfs/dbraw/zinc/83/78/87/487837887.db2.gz PETKUVKWECIVEW-NSHDSACASA-N 0 3 245.370 2.696 20 0 BFADHN COc1ccc(CN[C@@]2(C)CCCC[C@H]2C)nn1 ZINC000308707059 533695081 /nfs/dbraw/zinc/69/50/81/533695081.db2.gz IVJZSEFEMFUQCH-RISCZKNCSA-N 0 3 249.358 2.544 20 0 BFADHN CN(C)CCSCc1ccc(Cl)cn1 ZINC000558319084 322948576 /nfs/dbraw/zinc/94/85/76/322948576.db2.gz HDOUQQBFJYZOAI-UHFFFAOYSA-N 0 3 230.764 2.530 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1CCCC[C@H](C)C1 ZINC000481565855 528850257 /nfs/dbraw/zinc/85/02/57/528850257.db2.gz IFFFIQRYQXKEQO-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN CCCCNCc1cnc(Cl)c(F)c1 ZINC000865398983 589432511 /nfs/dbraw/zinc/43/25/11/589432511.db2.gz FSMQCKZJDPWXQF-UHFFFAOYSA-N 0 3 216.687 2.764 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCCC[C@@H]2C)n1C ZINC000668115590 487843677 /nfs/dbraw/zinc/84/36/77/487843677.db2.gz CALBXOPPUATUMK-SMDDNHRTSA-N 0 3 235.375 2.739 20 0 BFADHN CSC(C)(C)CCN1C[C@@H](C)OC[C@@H]1C ZINC000876597602 590217030 /nfs/dbraw/zinc/21/70/30/590217030.db2.gz PAYJZLHARCDBLF-WDEREUQCSA-N 0 3 231.405 2.627 20 0 BFADHN C[C@@H](NC[C@H]1CC1(Cl)Cl)c1ccc[nH]1 ZINC000877125106 590289502 /nfs/dbraw/zinc/28/95/02/590289502.db2.gz XZKCDULWASYATB-HTQZYQBOSA-N 0 3 233.142 2.859 20 0 BFADHN c1cc2cccc(CN[C@H]3CCOC3)c2s1 ZINC000651863337 487848494 /nfs/dbraw/zinc/84/84/94/487848494.db2.gz DKBSSIWBTSYGDR-LBPRGKRZSA-N 0 3 233.336 2.780 20 0 BFADHN Cc1n[nH]cc1CN1CCC(C2CCC2)CC1 ZINC000668494145 487852383 /nfs/dbraw/zinc/85/23/83/487852383.db2.gz YEUUWHFOYFHIDW-UHFFFAOYSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1ccc2c(c1)nc(CNCC1(C)CC1)n2C ZINC000559138513 322958887 /nfs/dbraw/zinc/95/88/87/322958887.db2.gz MPQBKMYRUZGTOJ-UHFFFAOYSA-N 0 3 243.354 2.771 20 0 BFADHN COC[C@H](NC[C@H]1C[C@@H]1C)c1cccc(OC)c1 ZINC000668512991 487874267 /nfs/dbraw/zinc/87/42/67/487874267.db2.gz VPGDSMARSFTIKO-LNSITVRQSA-N 0 3 249.354 2.628 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1nccn1C ZINC000652241769 487888155 /nfs/dbraw/zinc/88/81/55/487888155.db2.gz ZYGUKNBUTUZJOO-SDDRHHMPSA-N 0 3 235.375 2.895 20 0 BFADHN CCCCN1CCC[C@@](OC)(C(F)(F)F)C1 ZINC000682040140 487888466 /nfs/dbraw/zinc/88/84/66/487888466.db2.gz SRJVFRJJRXLXRW-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN Cc1cc(C)cc(CN[C@H]2CO[C@H](C3CC3)C2)c1 ZINC000668531128 487894791 /nfs/dbraw/zinc/89/47/91/487894791.db2.gz PICCILAXWKTOGS-CVEARBPZSA-N 0 3 245.366 2.961 20 0 BFADHN CCOc1ccccc1CN1CCCOC[C@@H]1C ZINC000676710321 487896467 /nfs/dbraw/zinc/89/64/67/487896467.db2.gz BYQCNCRTXRPHBC-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN CCN1CCN([C@@H]2CCc3ccccc32)C[C@@H]1C ZINC000682073705 487896096 /nfs/dbraw/zinc/89/60/96/487896096.db2.gz VWBJNJRJGUHLTN-XJKSGUPXSA-N 0 3 244.382 2.700 20 0 BFADHN CCN1CCN([C@H]2CCc3ccccc32)C[C@@H]1C ZINC000682073693 487896196 /nfs/dbraw/zinc/89/61/96/487896196.db2.gz VWBJNJRJGUHLTN-BBRMVZONSA-N 0 3 244.382 2.700 20 0 BFADHN CCCC[C@@H](CC)CCN1CC[C@](F)(CO)C1 ZINC000670273502 487896994 /nfs/dbraw/zinc/89/69/94/487896994.db2.gz CCZYHFNZDDAFRS-ZIAGYGMSSA-N 0 3 245.382 2.999 20 0 BFADHN Cc1cccc(CN2CCCOC[C@@H]2C)c1 ZINC000676711713 487898607 /nfs/dbraw/zinc/89/86/07/487898607.db2.gz VUGOUWRSACWASS-ZDUSSCGKSA-N 0 3 219.328 2.606 20 0 BFADHN C[C@@H]1CCCC[C@H]1OCCN1CC=CCC1 ZINC000679321481 487899755 /nfs/dbraw/zinc/89/97/55/487899755.db2.gz DGLMKUHDFJZTPT-ZIAGYGMSSA-N 0 3 223.360 2.844 20 0 BFADHN COC[C@H](C)NCCSc1ccccc1F ZINC000049799921 487904728 /nfs/dbraw/zinc/90/47/28/487904728.db2.gz SIWXQLPIEXSHCX-JTQLQIEISA-N 0 3 243.347 2.542 20 0 BFADHN CN(CCC1CC1)[C@@H]1CCCc2c1cnn2C ZINC000676717454 487905573 /nfs/dbraw/zinc/90/55/73/487905573.db2.gz KEQGDUCKOWCLED-CYBMUJFWSA-N 0 3 233.359 2.529 20 0 BFADHN CCc1ccccc1CN1CCN(CC)[C@H](C)C1 ZINC000682085698 487906643 /nfs/dbraw/zinc/90/66/43/487906643.db2.gz LTELGQSGQJBRBB-CQSZACIVSA-N 0 3 246.398 2.775 20 0 BFADHN CC(C)(C)CN(CCCO)Cc1ccsc1 ZINC000093146416 487906803 /nfs/dbraw/zinc/90/68/03/487906803.db2.gz HRSKHIGPKFQLRQ-UHFFFAOYSA-N 0 3 241.400 2.979 20 0 BFADHN CCc1ccccc1CN(C)C1(COC)CC1 ZINC000682085941 487906933 /nfs/dbraw/zinc/90/69/33/487906933.db2.gz XUUIKTDXGIZIML-UHFFFAOYSA-N 0 3 233.355 2.860 20 0 BFADHN CCC1(NC(=O)Nc2cccc(CN)c2)CCC1 ZINC000229519688 487921116 /nfs/dbraw/zinc/92/11/16/487921116.db2.gz SVNGNHHQBJQGAP-UHFFFAOYSA-N 0 3 247.342 2.600 20 0 BFADHN CC(C)OCCNCc1ccc2[nH]ccc2c1 ZINC000229667273 487935516 /nfs/dbraw/zinc/93/55/16/487935516.db2.gz GGBVDZJFURLGHV-UHFFFAOYSA-N 0 3 232.327 2.683 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CS[C@@H](C)C2)s1 ZINC000666207451 487940170 /nfs/dbraw/zinc/94/01/70/487940170.db2.gz KFKFTMMPYKDOII-OYNCUSHFSA-N 0 3 242.413 2.996 20 0 BFADHN Cc1ccc(CNC[C@H](C)c2ccncc2)nc1 ZINC000675553195 487945584 /nfs/dbraw/zinc/94/55/84/487945584.db2.gz SHXUFWMYFJMUMS-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN CC1=C(c2ccco2)CN([C@H]2CCCOC2)CC1 ZINC000669945012 487952471 /nfs/dbraw/zinc/95/24/71/487952471.db2.gz KETCRFYBRNYBER-ZDUSSCGKSA-N 0 3 247.338 2.938 20 0 BFADHN CC(C)OCCCN[C@@H](C)c1ccoc1 ZINC000087289640 322875587 /nfs/dbraw/zinc/87/55/87/322875587.db2.gz IRKYADGUUIKCSZ-NSHDSACASA-N 0 3 211.305 2.745 20 0 BFADHN CC[C@@H](C(=O)NC[C@@H](C)C(C)C)N(CC)CC ZINC000530821409 322895167 /nfs/dbraw/zinc/89/51/67/322895167.db2.gz MZDUKKKYFDXVTJ-OLZOCXBDSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1n[nH]cc1CCCN[C@H](C)c1ccoc1 ZINC000094869219 322977714 /nfs/dbraw/zinc/97/77/14/322977714.db2.gz DUKXDPVBNLWYJZ-SNVBAGLBSA-N 0 3 233.315 2.595 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403697757 322986380 /nfs/dbraw/zinc/98/63/80/322986380.db2.gz STXSYPGRIAKYTI-UPJWGTAASA-N 0 3 234.343 2.817 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403697750 322986387 /nfs/dbraw/zinc/98/63/87/322986387.db2.gz STXSYPGRIAKYTI-FRRDWIJNSA-N 0 3 234.343 2.817 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@H]2C[C@H]2C1)c1nccn1C ZINC000403707188 322992025 /nfs/dbraw/zinc/99/20/25/322992025.db2.gz WDXRLYUTFRRKQT-QNWHQSFQSA-N 0 3 233.359 2.507 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@H]2CC(C)C)[nH]c1C ZINC000566498927 323003352 /nfs/dbraw/zinc/00/33/52/323003352.db2.gz JUATZIORHDOYDW-VXGBXAGGSA-N 0 3 221.348 2.551 20 0 BFADHN CCC[C@H]1CN(CC2CCCC2)CCO1 ZINC000131264998 323012692 /nfs/dbraw/zinc/01/26/92/323012692.db2.gz MBIIKQRYCXZCEU-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN CCCCN(CC)[C@@H](C)C(=O)N[C@@H](C)CCC ZINC000132620835 323015811 /nfs/dbraw/zinc/01/58/11/323015811.db2.gz HSHHGKQUCVTYEU-STQMWFEESA-N 0 3 242.407 2.802 20 0 BFADHN Cc1c(CN(C)C[C@@H]2CCCC[C@H]2C)cnn1C ZINC000562508749 323022334 /nfs/dbraw/zinc/02/23/34/323022334.db2.gz NZPLXQRKZVZJCB-OCCSQVGLSA-N 0 3 249.402 2.987 20 0 BFADHN CC/C=C\CNCc1ccc2[nH]cnc2c1 ZINC000562524587 323022382 /nfs/dbraw/zinc/02/23/82/323022382.db2.gz MTYZSZYMLIIEFZ-ARJAWSKDSA-N 0 3 215.300 2.619 20 0 BFADHN CC/C=C\CNCc1ccc2nc[nH]c2c1 ZINC000562524587 323022383 /nfs/dbraw/zinc/02/23/83/323022383.db2.gz MTYZSZYMLIIEFZ-ARJAWSKDSA-N 0 3 215.300 2.619 20 0 BFADHN COCC(C)(C)NCc1cnc(C2CC2)s1 ZINC000134274954 323022733 /nfs/dbraw/zinc/02/27/33/323022733.db2.gz UIWCMBVQJHLUJW-UHFFFAOYSA-N 0 3 240.372 2.535 20 0 BFADHN C[C@H]1CN(Cc2ccc3c(n2)CCC3)C[C@@H]1C ZINC000562679155 323027323 /nfs/dbraw/zinc/02/73/23/323027323.db2.gz PPLKKYUOTYVESA-RYUDHWBXSA-N 0 3 230.355 2.658 20 0 BFADHN CCC[C@H](C)CC(=O)N(CCN(C)C)C(C)C ZINC000151851310 323030565 /nfs/dbraw/zinc/03/05/65/323030565.db2.gz UTIKGFIVIXBPKT-ZDUSSCGKSA-N 0 3 242.407 2.611 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)[C@@H]1C)c1cscn1 ZINC000306995091 323032855 /nfs/dbraw/zinc/03/28/55/323032855.db2.gz PGOLKBQMBJGNQB-XFWSIPNHSA-N 0 3 210.346 2.838 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc2ncccc2c1 ZINC000569659937 323035870 /nfs/dbraw/zinc/03/58/70/323035870.db2.gz VLMDLBAPWXZMPJ-HUUCEWRRSA-N 0 3 242.322 2.502 20 0 BFADHN C=Cn1cc(CN(CCC)C(C)C)cn1 ZINC000569708178 323038037 /nfs/dbraw/zinc/03/80/37/323038037.db2.gz JDQVHEUGZUPFMO-UHFFFAOYSA-N 0 3 207.321 2.604 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@@H]1CCC[C@H]1O ZINC000323310427 323038433 /nfs/dbraw/zinc/03/84/33/323038433.db2.gz RHLNUQAWEBMBPE-IACUBPJLSA-N 0 3 249.354 2.649 20 0 BFADHN CCC[C@H](C)[C@@H](CO)N[C@@H](C)c1ccco1 ZINC000570100153 323049780 /nfs/dbraw/zinc/04/97/80/323049780.db2.gz JMVBNTFMKKLGJD-SDDRHHMPSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@@H]2C)nc2ccccc12 ZINC000570142819 323050834 /nfs/dbraw/zinc/05/08/34/323050834.db2.gz QIFXHWSWSGFVFU-JQWIXIFHSA-N 0 3 241.338 2.684 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H]1COc2ccc(C)cc21 ZINC000570445787 323059584 /nfs/dbraw/zinc/05/95/84/323059584.db2.gz ZYERQLZHMYNFDN-OUCADQQQSA-N 0 3 249.379 2.912 20 0 BFADHN COCCC[C@H](C)N[C@H](C)c1cscn1 ZINC000570583670 323064002 /nfs/dbraw/zinc/06/40/02/323064002.db2.gz KBUKXTUPYHSEOK-VHSXEESVSA-N 0 3 228.361 2.609 20 0 BFADHN CCc1nc(C)c(CN(C)C(C)(C)C)o1 ZINC000564568515 323078779 /nfs/dbraw/zinc/07/87/79/323078779.db2.gz RZVOJNLXOLBGEX-UHFFFAOYSA-N 0 3 210.321 2.776 20 0 BFADHN CC1(C)COC[C@@H]1NCc1ccc2cc[nH]c2c1 ZINC000313034864 323080312 /nfs/dbraw/zinc/08/03/12/323080312.db2.gz WKVRSFINPUELMD-AWEZNQCLSA-N 0 3 244.338 2.683 20 0 BFADHN CSCCCN1CCC[C@H]1c1ccccn1 ZINC000531200811 323085108 /nfs/dbraw/zinc/08/51/08/323085108.db2.gz BPCAVVYVTYYAJL-ZDUSSCGKSA-N 0 3 236.384 2.972 20 0 BFADHN CC/C=C/CCN1C[C@H](C)O[C@H](C)[C@H]1C ZINC000446060292 323087328 /nfs/dbraw/zinc/08/73/28/323087328.db2.gz MFGOHOYAQJITFW-UDCITMJMSA-N 0 3 211.349 2.840 20 0 BFADHN Cc1ccc(CNCC2(C)CC2)nc1C ZINC000563102473 323092598 /nfs/dbraw/zinc/09/25/98/323092598.db2.gz CDPNSNOTKAAGHH-UHFFFAOYSA-N 0 3 204.317 2.588 20 0 BFADHN COCC1(NCc2ccc(C3CC3)cc2)CC1 ZINC000563111888 323093079 /nfs/dbraw/zinc/09/30/79/323093079.db2.gz KPDDYLAXWFHGCR-UHFFFAOYSA-N 0 3 231.339 2.833 20 0 BFADHN COc1ccsc1[C@H](C)N[C@H]1CO[C@@H](C)C1 ZINC000563122939 323094033 /nfs/dbraw/zinc/09/40/33/323094033.db2.gz AXQROXVYTFGELZ-LPEHRKFASA-N 0 3 241.356 2.585 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2ccnc(C)c2)C1(C)C ZINC000391167484 323099433 /nfs/dbraw/zinc/09/94/33/323099433.db2.gz PMSIQKZUMPJBCC-ZIAGYGMSSA-N 0 3 248.370 2.683 20 0 BFADHN COc1ccc(CN2C[C@H](C)CC(C)(C)C2)nc1 ZINC000338289667 323103678 /nfs/dbraw/zinc/10/36/78/323103678.db2.gz JJAHSFBWWVYVBE-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN CCn1nc(CNC2CC(C)C2)c2ccccc21 ZINC000163696737 323106034 /nfs/dbraw/zinc/10/60/34/323106034.db2.gz DRMSIXZBIQORSG-UHFFFAOYSA-N 0 3 243.354 2.944 20 0 BFADHN CCCC[C@@H](CC)CNC(=O)[C@@H](N)[C@H](C)CC ZINC000040806566 323110425 /nfs/dbraw/zinc/11/04/25/323110425.db2.gz IAVMWZIXGBOLLO-UPJWGTAASA-N 0 3 242.407 2.692 20 0 BFADHN Fc1cccc2c1CC[C@@H]2NCc1cccnc1 ZINC000110058780 323112766 /nfs/dbraw/zinc/11/27/66/323112766.db2.gz XPRBGJUFZREPOQ-HNNXBMFYSA-N 0 3 242.297 2.998 20 0 BFADHN Cc1c(CN(C)C[C@H](C)CC(C)C)cnn1C ZINC000531790513 323113392 /nfs/dbraw/zinc/11/33/92/323113392.db2.gz BLAVHGKXIVALAE-GFCCVEGCSA-N 0 3 237.391 2.843 20 0 BFADHN CSCCN1CCC[C@H]1c1ccccn1 ZINC000534107493 323113656 /nfs/dbraw/zinc/11/36/56/323113656.db2.gz BRFJLGJXLPIZQR-LBPRGKRZSA-N 0 3 222.357 2.582 20 0 BFADHN CN1CCN(C/C=C\c2ccccc2)C(C)(C)C1 ZINC000534307423 323116016 /nfs/dbraw/zinc/11/60/16/323116016.db2.gz DVQHREOQRYFNKS-YFHOEESVSA-N 0 3 244.382 2.726 20 0 BFADHN Cn1c2ccccc2nc1CNC1(C2CC2)CC1 ZINC000531864097 323118366 /nfs/dbraw/zinc/11/83/66/323118366.db2.gz FRVHEWDFYJQBRK-UHFFFAOYSA-N 0 3 241.338 2.606 20 0 BFADHN CC[C@H](NCc1ncccc1F)[C@H]1C[C@H]1C ZINC000563343313 323119221 /nfs/dbraw/zinc/11/92/21/323119221.db2.gz ONWRTECSUGQKLK-SCVCMEIPSA-N 0 3 222.307 2.745 20 0 BFADHN CC[C@H](NCc1ncccc1F)[C@@H]1C[C@H]1C ZINC000563343311 323119276 /nfs/dbraw/zinc/11/92/76/323119276.db2.gz ONWRTECSUGQKLK-FOGDFJRCSA-N 0 3 222.307 2.745 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1noc2ccccc21 ZINC000563428821 323125077 /nfs/dbraw/zinc/12/50/77/323125077.db2.gz IZJHGSCDNSBZTE-SKDRFNHKSA-N 0 3 248.351 2.669 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1noc2ccccc21 ZINC000563428820 323125117 /nfs/dbraw/zinc/12/51/17/323125117.db2.gz IZJHGSCDNSBZTE-JOYOIKCWSA-N 0 3 248.351 2.669 20 0 BFADHN COCCN[C@H]1c2cccc(F)c2CC[C@H]1C ZINC000152098393 323126349 /nfs/dbraw/zinc/12/63/49/323126349.db2.gz VFIXTCPQDICEPH-QMTHXVAHSA-N 0 3 237.318 2.685 20 0 BFADHN Cc1nc(CN[C@H]2CCC[C@H](C)C2)[nH]c1C ZINC000563442194 323126767 /nfs/dbraw/zinc/12/67/67/323126767.db2.gz YKBCPKSMURLGLY-CABZTGNLSA-N 0 3 221.348 2.695 20 0 BFADHN OCC[C@H](N[C@@H]1CCCC12CC2)c1ccco1 ZINC000563444853 323126935 /nfs/dbraw/zinc/12/69/35/323126935.db2.gz ROALWDRPPDJVGR-WCQYABFASA-N 0 3 235.327 2.625 20 0 BFADHN OCC[C@@H](N[C@H]1CCCC12CC2)c1ccco1 ZINC000563444854 323127000 /nfs/dbraw/zinc/12/70/00/323127000.db2.gz ROALWDRPPDJVGR-YPMHNXCESA-N 0 3 235.327 2.625 20 0 BFADHN CC1(C)C[C@@H](N[C@@H]2CCc3ccc(F)cc32)CO1 ZINC000563585814 323130814 /nfs/dbraw/zinc/13/08/14/323130814.db2.gz NKJPGZFHCPCKSX-TZMCWYRMSA-N 0 3 249.329 2.970 20 0 BFADHN CC1(C)C[C@H](N[C@H]2CCc3ccc(F)cc32)CO1 ZINC000563585812 323130840 /nfs/dbraw/zinc/13/08/40/323130840.db2.gz NKJPGZFHCPCKSX-JSGCOSHPSA-N 0 3 249.329 2.970 20 0 BFADHN CC1(C)C[C@@H](N[C@H]2CCc3ccc(F)cc32)CO1 ZINC000563585813 323130858 /nfs/dbraw/zinc/13/08/58/323130858.db2.gz NKJPGZFHCPCKSX-OCCSQVGLSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@H](C)c1cn[nH]c1 ZINC000303496215 323134194 /nfs/dbraw/zinc/13/41/94/323134194.db2.gz DUCAJMJVOIRIGE-NXEZZACHSA-N 0 3 235.356 2.753 20 0 BFADHN Cc1nsc(C)c1CN1CC[C@H](C)[C@H]1C ZINC000571294871 323140660 /nfs/dbraw/zinc/14/06/60/323140660.db2.gz HSCMDZPCFNMUSQ-WCBMZHEXSA-N 0 3 224.373 2.990 20 0 BFADHN CCC[C@H](C)[C@H](CO)N[C@@H](C)c1cccnc1 ZINC000571529832 323147017 /nfs/dbraw/zinc/14/70/17/323147017.db2.gz ZFSGFKCSBGBKSK-OBJOEFQTSA-N 0 3 236.359 2.529 20 0 BFADHN CC1=CC[C@H]([NH2+]Cc2nnc(C(C)(C)C)[n-]2)CC1 ZINC000571524490 323147321 /nfs/dbraw/zinc/14/73/21/323147321.db2.gz PSEDJYIVVVTUAZ-NSHDSACASA-N 0 3 248.374 2.691 20 0 BFADHN CC1=CC[C@H](NCc2nnc(C(C)(C)C)[nH]2)CC1 ZINC000571524490 323147322 /nfs/dbraw/zinc/14/73/22/323147322.db2.gz PSEDJYIVVVTUAZ-NSHDSACASA-N 0 3 248.374 2.691 20 0 BFADHN Cc1nc(CN[C@H]2CC3CCC2CC3)[nH]c1C ZINC000571539535 323147675 /nfs/dbraw/zinc/14/76/75/323147675.db2.gz ZCOBXQPLUBVJPR-BPCQOVAHSA-N 0 3 233.359 2.695 20 0 BFADHN CC(C)C[C@@H](NCC1OCCO1)c1ccccc1 ZINC000272319722 323150848 /nfs/dbraw/zinc/15/08/48/323150848.db2.gz YLURJJPUDHJUFN-CQSZACIVSA-N 0 3 249.354 2.736 20 0 BFADHN CCC[C@@H](NCc1nncn1CC)C(C)(C)C ZINC000453058121 323152411 /nfs/dbraw/zinc/15/24/11/323152411.db2.gz JXXXTIPMVZQJPG-LLVKDONJSA-N 0 3 238.379 2.602 20 0 BFADHN CN(CC(=O)NCCC(C)(C)C)CC(C)(C)C ZINC000531899342 323153048 /nfs/dbraw/zinc/15/30/48/323153048.db2.gz OJPSPGYUEXSEFC-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN COc1cc(C)nc(CN2CC[C@H](C(C)C)C2)c1 ZINC000534547339 323153289 /nfs/dbraw/zinc/15/32/89/323153289.db2.gz CPOMIVXQMKCLOR-ZDUSSCGKSA-N 0 3 248.370 2.877 20 0 BFADHN Cc1cc(CN[C@H](C)Cn2cccn2)c(C)s1 ZINC000049686613 323163748 /nfs/dbraw/zinc/16/37/48/323163748.db2.gz MGCVNYOXCSYPSZ-SNVBAGLBSA-N 0 3 249.383 2.740 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)[C@@H](C)C(C)(C)C ZINC000535084971 323166053 /nfs/dbraw/zinc/16/60/53/323166053.db2.gz NRTHLEWYIVXZPX-LBPRGKRZSA-N 0 3 237.391 2.903 20 0 BFADHN CCc1ccc(CN2CCC[C@@H](OC)C2)s1 ZINC000535086179 323166398 /nfs/dbraw/zinc/16/63/98/323166398.db2.gz QUQYHJXLEQDMOW-LLVKDONJSA-N 0 3 239.384 2.921 20 0 BFADHN Cc1c(CN(C)CCCC(C)(C)C)cnn1C ZINC000532047339 323169455 /nfs/dbraw/zinc/16/94/55/323169455.db2.gz RFDYAWCNRZMVFJ-UHFFFAOYSA-N 0 3 237.391 2.987 20 0 BFADHN CC(C)n1cncc1CN1C[C@@H](C)[C@H](C)[C@H]1C ZINC000425371083 323173963 /nfs/dbraw/zinc/17/39/63/323173963.db2.gz PNDYXKVGMRKXBB-FRRDWIJNSA-N 0 3 235.375 2.940 20 0 BFADHN CC[C@H](NC1(COC)CC1)c1cccs1 ZINC000308919499 323181526 /nfs/dbraw/zinc/18/15/26/323181526.db2.gz GSZOPGANQWWNIH-JTQLQIEISA-N 0 3 225.357 2.968 20 0 BFADHN C[C@H](NCc1cn2ccsc2n1)[C@H]1CC1(C)C ZINC000397665136 323184817 /nfs/dbraw/zinc/18/48/17/323184817.db2.gz HXKIJWFTLRMFFI-GXSJLCMTSA-N 0 3 249.383 2.920 20 0 BFADHN Cc1cccc(CN[C@@H](C)CC(C)C)n1 ZINC000051574058 323189936 /nfs/dbraw/zinc/18/99/36/323189936.db2.gz DPSSBULYJWMGEB-LBPRGKRZSA-N 0 3 206.333 2.914 20 0 BFADHN C[C@@H]1CCN(CCn2ccnc2)[C@H]2CCCC[C@@H]12 ZINC000535745379 323190249 /nfs/dbraw/zinc/19/02/49/323190249.db2.gz NJCKZAPBCUTMIC-ILXRZTDVSA-N 0 3 247.386 2.784 20 0 BFADHN c1ccc([C@H]2CCCN2CC2=CCCOC2)nc1 ZINC000535780492 323191092 /nfs/dbraw/zinc/19/10/92/323191092.db2.gz DLSHEMFYYOLYEQ-OAHLLOKOSA-N 0 3 244.338 2.565 20 0 BFADHN Cc1nc2ccccc2nc1CN(C)CC1CC1 ZINC000052106452 323192448 /nfs/dbraw/zinc/19/24/48/323192448.db2.gz VFTKFZNOOAQYGE-UHFFFAOYSA-N 0 3 241.338 2.780 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1Cc1ccc2c(c1)CCC2 ZINC000399169274 323198489 /nfs/dbraw/zinc/19/84/89/323198489.db2.gz CCEYMHZTGRLIMI-WBMJQRKESA-N 0 3 245.366 2.521 20 0 BFADHN CC[C@H](CN1CCN(C)C[C@@H]1C)c1ccccc1 ZINC000536671844 323200576 /nfs/dbraw/zinc/20/05/76/323200576.db2.gz QXIZETNMZXKAMZ-LSDHHAIUSA-N 0 3 246.398 2.816 20 0 BFADHN Cc1nc(CNC[C@@H]2CC[C@H](C)C2)[nH]c1C ZINC000563898335 323206242 /nfs/dbraw/zinc/20/62/42/323206242.db2.gz FJFBPOCVDBSEHC-JOYOIKCWSA-N 0 3 221.348 2.552 20 0 BFADHN COCC1(NCc2cccc(OC(C)C)c2)CC1 ZINC000532213310 323210071 /nfs/dbraw/zinc/21/00/71/323210071.db2.gz FWTUKFXCFUEZOF-UHFFFAOYSA-N 0 3 249.354 2.742 20 0 BFADHN COc1ccccc1CN1CCC[C@@H](C)C1 ZINC000102667907 323211670 /nfs/dbraw/zinc/21/16/70/323211670.db2.gz OUMVRXIKEMDYCQ-GFCCVEGCSA-N 0 3 219.328 2.927 20 0 BFADHN CC(C)[C@H]1CCCC[C@H]1NCc1cc[nH]n1 ZINC000103173446 323246218 /nfs/dbraw/zinc/24/62/18/323246218.db2.gz CKNHOIQLNBUTOZ-CHWSQXEVSA-N 0 3 221.348 2.714 20 0 BFADHN CC(C)C(C)(C)CN[C@@H](C)c1ccncn1 ZINC000395911514 323262771 /nfs/dbraw/zinc/26/27/71/323262771.db2.gz PBKLEEDSQPKQJR-NSHDSACASA-N 0 3 221.348 2.809 20 0 BFADHN CCOc1cccc(CNC2(C3CC3)CC2)n1 ZINC000532427319 323264288 /nfs/dbraw/zinc/26/42/88/323264288.db2.gz AGMIINDXGNIHDI-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN Cc1ccc(CNC(C)(C)COC(C)C)nc1 ZINC000396344537 323310469 /nfs/dbraw/zinc/31/04/69/323310469.db2.gz ATWOZQQVISYIFV-UHFFFAOYSA-N 0 3 236.359 2.683 20 0 BFADHN CCn1cncc1CN1C[C@H](C)C[C@H](C)[C@H]1C ZINC000418011101 323312962 /nfs/dbraw/zinc/31/29/62/323312962.db2.gz KHYSRHZNQWMUGN-FRRDWIJNSA-N 0 3 235.375 2.769 20 0 BFADHN CCc1cccc(CN2CCN(C)C[C@@H]2CC)c1 ZINC000563932216 323325339 /nfs/dbraw/zinc/32/53/39/323325339.db2.gz UIXSDWFQNJXDBL-INIZCTEOSA-N 0 3 246.398 2.775 20 0 BFADHN C[C@H]1CN(CCc2ccccc2)CC2(CCC2)O1 ZINC000563955510 323334315 /nfs/dbraw/zinc/33/43/15/323334315.db2.gz IVHADPKKDIEKJD-AWEZNQCLSA-N 0 3 245.366 2.873 20 0 BFADHN CCN(CC)Cc1cnc(-c2ccccc2)nc1 ZINC000532909518 323388328 /nfs/dbraw/zinc/38/83/28/323388328.db2.gz ZCNWZUGJQBZLIN-UHFFFAOYSA-N 0 3 241.338 2.985 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2cncs2)o1 ZINC000309418880 323396224 /nfs/dbraw/zinc/39/62/24/323396224.db2.gz JQRPITWCUIDKMX-SNVBAGLBSA-N 0 3 236.340 2.938 20 0 BFADHN C[C@H](NCCCC1CC1)c1cscn1 ZINC000161718403 323561454 /nfs/dbraw/zinc/56/14/54/323561454.db2.gz SDPXYEDQOHHJFZ-VIFPVBQESA-N 0 3 210.346 2.984 20 0 BFADHN Cc1ccc(CN[C@@]23C[C@@H]2COC3(C)C)cc1F ZINC000527766644 323685282 /nfs/dbraw/zinc/68/52/82/323685282.db2.gz PDFDXFHICVLVAX-DOMZBBRYSA-N 0 3 249.329 2.791 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1ccc(C)cc1 ZINC000527782891 323690017 /nfs/dbraw/zinc/69/00/17/323690017.db2.gz AJUFZMXCQICBNE-KGLIPLIRSA-N 0 3 219.328 2.652 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1ccc(C)c(OC)c1 ZINC000527783093 323690186 /nfs/dbraw/zinc/69/01/86/323690186.db2.gz PGUIDQKXBODWLY-CABCVRRESA-N 0 3 249.354 2.661 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1ccc(C)s1 ZINC000527783894 323691804 /nfs/dbraw/zinc/69/18/04/323691804.db2.gz YZNJIVAKUHTYHE-NEPJUHHUSA-N 0 3 225.357 2.714 20 0 BFADHN CCOc1ncccc1CN[C@H](C)[C@H]1CC12CC2 ZINC000527854981 323709322 /nfs/dbraw/zinc/70/93/22/323709322.db2.gz ZRKMNKRBXOGQKJ-DGCLKSJQSA-N 0 3 246.354 2.759 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)n2ccnc21)[C@H]1CC12CC2 ZINC000527906645 323715603 /nfs/dbraw/zinc/71/56/03/323715603.db2.gz QDPQEWZZESBHHI-NNYUYHANSA-N 0 3 231.343 2.667 20 0 BFADHN CCc1ncc(CN[C@@H](C)[C@@H]2CC23CC3)o1 ZINC000527907404 323717210 /nfs/dbraw/zinc/71/72/10/323717210.db2.gz XNCGXAUGVHPRQS-ONGXEEELSA-N 0 3 220.316 2.515 20 0 BFADHN CCCN(CCC)Cc1cc(CO)ccc1F ZINC000528350033 323801922 /nfs/dbraw/zinc/80/19/22/323801922.db2.gz KHVOHDSDIKXINR-UHFFFAOYSA-N 0 3 239.334 2.940 20 0 BFADHN CCOC[C@H](C)N[C@@H]1CCc2c1cccc2OC ZINC000122361453 324045369 /nfs/dbraw/zinc/04/53/69/324045369.db2.gz DBQWBGBGAAPFES-SMDDNHRTSA-N 0 3 249.354 2.697 20 0 BFADHN CNCc1ccccc1NC(=O)CCC1CC1 ZINC000122556358 324049587 /nfs/dbraw/zinc/04/95/87/324049587.db2.gz IOBXWSXRHYTFTD-UHFFFAOYSA-N 0 3 232.327 2.535 20 0 BFADHN Cc1ncc([C@@H](C)NC2(C3CC3)CC2)c(C)n1 ZINC000537448483 324072459 /nfs/dbraw/zinc/07/24/59/324072459.db2.gz AARSRXJYUNTAFJ-SNVBAGLBSA-N 0 3 231.343 2.687 20 0 BFADHN Cc1cnc(CN[C@H](C)C(C)(C)C)s1 ZINC000122997496 324074227 /nfs/dbraw/zinc/07/42/27/324074227.db2.gz MDXDTADUMVPNNA-SECBINFHSA-N 0 3 212.362 2.976 20 0 BFADHN Cc1cnc(CN[C@H](C)C2(C)CC2)s1 ZINC000122997922 324074425 /nfs/dbraw/zinc/07/44/25/324074425.db2.gz PUOJLXWBBAHDBM-SECBINFHSA-N 0 3 210.346 2.730 20 0 BFADHN CCN(C[C@H](C)c1ccc(OC)cc1)C1COC1 ZINC000449424541 324139977 /nfs/dbraw/zinc/13/99/77/324139977.db2.gz JODFFPVIUIUWDJ-LBPRGKRZSA-N 0 3 249.354 2.519 20 0 BFADHN COC[C@H]1CCCN(C/C=C(/C)Cl)CC1 ZINC000528519999 324215878 /nfs/dbraw/zinc/21/58/78/324215878.db2.gz UVVPYSSVBDIFJL-QJGQKNTRSA-N 0 3 231.767 2.878 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)C1CC(F)(F)C1 ZINC000529530961 324327317 /nfs/dbraw/zinc/32/73/17/324327317.db2.gz JUGLBNWTTQGPOJ-ZETCQYMHSA-N 0 3 244.285 2.815 20 0 BFADHN C[C@H](NCc1ccco1)C1CC(F)(F)C1 ZINC000529537245 324330051 /nfs/dbraw/zinc/33/00/51/324330051.db2.gz UQYVJLGAAUJZHR-QMMMGPOBSA-N 0 3 215.243 2.803 20 0 BFADHN Cc1cnc(CN[C@@H](C)C2CC(F)(F)C2)s1 ZINC000529544697 324333719 /nfs/dbraw/zinc/33/37/19/324333719.db2.gz BOHCCGJYWWJEFZ-QMMMGPOBSA-N 0 3 246.326 2.975 20 0 BFADHN CC1CN(Cc2ccc3cc[nH]c3c2)C1 ZINC000529559325 324342891 /nfs/dbraw/zinc/34/28/91/324342891.db2.gz WBGJABQUBBMPQA-UHFFFAOYSA-N 0 3 200.285 2.620 20 0 BFADHN CN(Cc1ccc2cc[nH]c2c1)[C@@H]1CCCOC1 ZINC000529564600 324344422 /nfs/dbraw/zinc/34/44/22/324344422.db2.gz GFYUSQHCUPNYTP-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1ncc(CN[C@@H](C)C2CC(F)(F)C2)o1 ZINC000529596863 324358262 /nfs/dbraw/zinc/35/82/62/324358262.db2.gz DPVXDCGFGBCSFP-ZETCQYMHSA-N 0 3 230.258 2.506 20 0 BFADHN CNCC(=O)Nc1cccc(C2CCCCC2)c1 ZINC000083910969 487962912 /nfs/dbraw/zinc/96/29/12/487962912.db2.gz YCFUKANXVQWZPO-UHFFFAOYSA-N 0 3 246.354 2.892 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCc1nccs1 ZINC000677061943 487963019 /nfs/dbraw/zinc/96/30/19/487963019.db2.gz CGWQXHTVAXVKMO-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN C[C@@]1(CNCc2cc(F)cc(F)c2)CCCO1 ZINC000125660679 324646316 /nfs/dbraw/zinc/64/63/16/324646316.db2.gz KTQQXAWSCRLGII-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN Fc1cccnc1CNC[C@H]1CC=CCC1 ZINC000125778788 324654161 /nfs/dbraw/zinc/65/41/61/324654161.db2.gz FLSUNHLJKWYUDG-NSHDSACASA-N 0 3 220.291 2.667 20 0 BFADHN COc1ccc([C@@H](C)NCCCF)c(F)c1 ZINC000126902184 324731539 /nfs/dbraw/zinc/73/15/39/324731539.db2.gz JMPQNPISUFXUJA-SECBINFHSA-N 0 3 229.270 2.845 20 0 BFADHN Cc1ccc(CNCCOc2ccccc2)nc1 ZINC000126944676 324733673 /nfs/dbraw/zinc/73/36/73/324733673.db2.gz KLXWKQSSPDFERS-UHFFFAOYSA-N 0 3 242.322 2.559 20 0 BFADHN CCn1ccnc1CN[C@H]1CCC[C@@H](C)CC1 ZINC000227309826 324834829 /nfs/dbraw/zinc/83/48/29/324834829.db2.gz LARWCJBWZGIFOT-OLZOCXBDSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@@H](NCCc1cccs1)c1ccn(C)n1 ZINC000542670138 325040386 /nfs/dbraw/zinc/04/03/86/325040386.db2.gz CBAHMJOEGIKWID-GFCCVEGCSA-N 0 3 249.383 2.765 20 0 BFADHN CC[C@@H](N[C@H](C)c1ccon1)C1CCOCC1 ZINC000542698627 325042413 /nfs/dbraw/zinc/04/24/13/325042413.db2.gz YXSIGLDEOUACEB-ZYHUDNBSSA-N 0 3 238.331 2.530 20 0 BFADHN CCc1cnc(CN[C@H](CC)C2CC2)s1 ZINC000130647064 325076302 /nfs/dbraw/zinc/07/63/02/325076302.db2.gz NJXBOFMBSZGJEG-LLVKDONJSA-N 0 3 224.373 2.984 20 0 BFADHN COc1cc(C)ccc1[C@H](C)NCC1(O)CCC1 ZINC000130793358 325089082 /nfs/dbraw/zinc/08/90/82/325089082.db2.gz KHTFIIYYQSWUQI-LBPRGKRZSA-N 0 3 249.354 2.569 20 0 BFADHN C[C@@H](NC1(C2CC2)CC1)C(=O)Nc1ccccc1 ZINC000543452831 325089673 /nfs/dbraw/zinc/08/96/73/325089673.db2.gz WKYKOIWYVKOJKH-LLVKDONJSA-N 0 3 244.338 2.546 20 0 BFADHN CC[C@@H](NCCc1ccccc1)c1ccn(C)n1 ZINC000543607551 325097383 /nfs/dbraw/zinc/09/73/83/325097383.db2.gz JRSWZTZIMBCFJZ-CQSZACIVSA-N 0 3 243.354 2.704 20 0 BFADHN CO[C@@H]1CC[C@@H](NCc2cccc(F)c2F)C1 ZINC000231680815 325101589 /nfs/dbraw/zinc/10/15/89/325101589.db2.gz AVDZUEKPPYFBQI-GHMZBOCLSA-N 0 3 241.281 2.622 20 0 BFADHN CCC1(CC)[C@@H](NCc2ccco2)C[C@@H]1OC ZINC000131388154 325144274 /nfs/dbraw/zinc/14/42/74/325144274.db2.gz BPTLNUQGZNJRBX-STQMWFEESA-N 0 3 237.343 2.963 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2ccco2)C1(C)C ZINC000072926407 325181590 /nfs/dbraw/zinc/18/15/90/325181590.db2.gz HTJFLAIWCXAZPK-WCQYABFASA-N 0 3 223.316 2.573 20 0 BFADHN c1cc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)cc(C2CC2)c1 ZINC000132505089 325222484 /nfs/dbraw/zinc/22/24/84/325222484.db2.gz QQTGMUDVDALMKV-OAGGEKHMSA-N 0 3 243.350 2.974 20 0 BFADHN COc1ccc2c(c1)CN(C1CCCC1)CC2 ZINC000074448330 325246324 /nfs/dbraw/zinc/24/63/24/325246324.db2.gz KWWTWNSFPOSITH-UHFFFAOYSA-N 0 3 231.339 2.996 20 0 BFADHN Cc1oncc1CN(C)[C@H]1CCCC[C@@H]1C ZINC000133419380 325293703 /nfs/dbraw/zinc/29/37/03/325293703.db2.gz FMOLPMXWCRJVES-GWCFXTLKSA-N 0 3 222.332 2.994 20 0 BFADHN CC(C)CCN1CCN(C2CCCC2)CC1 ZINC000075675779 325306302 /nfs/dbraw/zinc/30/63/02/325306302.db2.gz IHIJQNZUHDAUJI-UHFFFAOYSA-N 0 3 224.392 2.593 20 0 BFADHN Cc1ccc(CNCC2(C)CCC2)nc1 ZINC000134394527 325357222 /nfs/dbraw/zinc/35/72/22/325357222.db2.gz SOOYSHQRSPIQJN-UHFFFAOYSA-N 0 3 204.317 2.670 20 0 BFADHN Cc1cccc2c1CC[C@H]2N[C@@H]1C=C[C@H](CO)C1 ZINC000134643480 325369390 /nfs/dbraw/zinc/36/93/90/325369390.db2.gz IUVLIWOGQWFQJJ-WOSRLPQWSA-N 0 3 243.350 2.509 20 0 BFADHN CCc1ccc(CNCC2(OC)CCC2)o1 ZINC000134660408 325370798 /nfs/dbraw/zinc/37/07/98/325370798.db2.gz BRYBAPUDRHSMEH-UHFFFAOYSA-N 0 3 223.316 2.501 20 0 BFADHN CCC(CC)N(CC)Cc1cn(C(C)C)nn1 ZINC000564326694 325376167 /nfs/dbraw/zinc/37/61/67/325376167.db2.gz XCOSWROVNUYLHS-UHFFFAOYSA-N 0 3 238.379 2.870 20 0 BFADHN COCCN(Cc1ccc(C)c(C)c1)C1CC1 ZINC000077361032 325376261 /nfs/dbraw/zinc/37/62/61/325376261.db2.gz UMLCULUOWXYMMW-UHFFFAOYSA-N 0 3 233.355 2.914 20 0 BFADHN C[C@@H]1C[C@H](C)N1CCOc1ccccc1 ZINC000547768386 325391789 /nfs/dbraw/zinc/39/17/89/325391789.db2.gz DTABBAKXPLJBID-TXEJJXNPSA-N 0 3 205.301 2.548 20 0 BFADHN CC(C)N(C(=O)[C@H](C)N1[C@@H](C)C[C@@H]1C)C(C)C ZINC000548426271 325456648 /nfs/dbraw/zinc/45/66/48/325456648.db2.gz GTOQPTFYOUDREW-AVGNSLFASA-N 0 3 240.391 2.503 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC(C)(C)O2)C[C@@H](C)S1 ZINC000548484828 325461961 /nfs/dbraw/zinc/46/19/61/325461961.db2.gz JHBAQEZBFTWIBA-UTUOFQBUSA-N 0 3 243.416 2.770 20 0 BFADHN CCc1noc([C@H](C)N2C[C@@H]3CCCC[C@H]3C2)n1 ZINC000246114230 325543977 /nfs/dbraw/zinc/54/39/77/325543977.db2.gz CNIACPANOFWQGY-SRVKXCTJSA-N 0 3 249.358 2.815 20 0 BFADHN CCC[C@H]1CCCC[C@@H]1NCc1ncccn1 ZINC000564390270 325620123 /nfs/dbraw/zinc/62/01/23/325620123.db2.gz VFNAGUQICNXIFW-STQMWFEESA-N 0 3 233.359 2.925 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ncccc1F ZINC000090721311 325679879 /nfs/dbraw/zinc/67/98/79/325679879.db2.gz OKGIHBWIFAHGCR-VHSXEESVSA-N 0 3 210.296 2.745 20 0 BFADHN c1ncc(CN2CCc3ccccc3CC2)s1 ZINC000093385295 325741269 /nfs/dbraw/zinc/74/12/69/325741269.db2.gz WMRHEMBEJNNYCL-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN CC(C)(C)n1cc(CN2C[C@@H]3CCC[C@H]3C2)cn1 ZINC000093443567 325744518 /nfs/dbraw/zinc/74/45/18/325744518.db2.gz VKXNZADGTCDRTG-KBPBESRZSA-N 0 3 247.386 2.870 20 0 BFADHN Cc1nc(CN2C[C@H]3CCC[C@@H]3C2)oc1C ZINC000152785744 325800306 /nfs/dbraw/zinc/80/03/06/325800306.db2.gz APUJYWKXRSKMSP-VXGBXAGGSA-N 0 3 220.316 2.523 20 0 BFADHN CSCCCN[C@H](C)c1cn2ccccc2n1 ZINC000565214344 325877061 /nfs/dbraw/zinc/87/70/61/325877061.db2.gz FLDJCGCFQGHKAX-LLVKDONJSA-N 0 3 249.383 2.738 20 0 BFADHN Cc1cc(OCCC(C)(C)O)c(C)c(C)n1 ZINC000565221036 325879235 /nfs/dbraw/zinc/87/92/35/325879235.db2.gz IQSMTZQDKUDNJN-UHFFFAOYSA-N 0 3 223.316 2.547 20 0 BFADHN CCC[C@@H](NCc1ncccn1)[C@H]1CC1(C)C ZINC000565245889 325886247 /nfs/dbraw/zinc/88/62/47/325886247.db2.gz LUKDIPUAQKFORX-VXGBXAGGSA-N 0 3 233.359 2.781 20 0 BFADHN Cc1cccc(OCCN2[C@@H](C)C[C@@H]2C)c1 ZINC000565338415 325897618 /nfs/dbraw/zinc/89/76/18/325897618.db2.gz RRDTYWFSPDFIRY-STQMWFEESA-N 0 3 219.328 2.857 20 0 BFADHN Cc1nc(CNCC2CC(C)(C)C2)[nH]c1C ZINC000565666793 325940840 /nfs/dbraw/zinc/94/08/40/325940840.db2.gz GJGCPNYYHZEIHU-UHFFFAOYSA-N 0 3 221.348 2.552 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2ccoc2)C12CCC2 ZINC000572574515 325991560 /nfs/dbraw/zinc/99/15/60/325991560.db2.gz ADQBMOFYSKLHAU-CYZMBNFOSA-N 0 3 235.327 2.888 20 0 BFADHN CC[C@H](C)N[C@@H](c1ccccc1F)[C@H](C)O ZINC000566345290 326035431 /nfs/dbraw/zinc/03/54/31/326035431.db2.gz DVWNBMXMHUSPLW-OUJBWJOFSA-N 0 3 225.307 2.636 20 0 BFADHN CC[C@H](C)N[C@H](c1ccccc1F)[C@@H](C)O ZINC000566345287 326035579 /nfs/dbraw/zinc/03/55/79/326035579.db2.gz DVWNBMXMHUSPLW-CWSCBRNRSA-N 0 3 225.307 2.636 20 0 BFADHN CC[C@@H]1CCN(Cc2c(C)nsc2C)C1 ZINC000566413862 326042159 /nfs/dbraw/zinc/04/21/59/326042159.db2.gz KNDFSVXVPNEMSM-LLVKDONJSA-N 0 3 224.373 2.992 20 0 BFADHN CC(C)c1nc(CN(CC2CC2)C(C)C)n[nH]1 ZINC000566597523 326067088 /nfs/dbraw/zinc/06/70/88/326067088.db2.gz HJGLSSZCPVYCCB-UHFFFAOYSA-N 0 3 236.363 2.549 20 0 BFADHN c1cc(CNCCCC2CC2)nc2c1CCC2 ZINC000566606506 326067516 /nfs/dbraw/zinc/06/75/16/326067516.db2.gz CSUUOWVYYPEGFG-UHFFFAOYSA-N 0 3 230.355 2.850 20 0 BFADHN CN(C)c1cccnc1CN[C@@H]1CCCC12CC2 ZINC000566984416 326100193 /nfs/dbraw/zinc/10/01/93/326100193.db2.gz JBAZBRCWQBTGFD-CQSZACIVSA-N 0 3 245.370 2.570 20 0 BFADHN CCCN(Cc1ccc(F)cn1)C(C)C ZINC000567176370 326130036 /nfs/dbraw/zinc/13/00/36/326130036.db2.gz CJCAZHBDCTUWJX-UHFFFAOYSA-N 0 3 210.296 2.841 20 0 BFADHN CC1CCN(CCSc2ccccn2)CC1 ZINC000154197729 326135323 /nfs/dbraw/zinc/13/53/23/326135323.db2.gz KFTUGJFSTMSKGM-UHFFFAOYSA-N 0 3 236.384 2.906 20 0 BFADHN Cc1nc(CN[C@H](C)CCc2ccco2)[nH]c1C ZINC000567250291 326150587 /nfs/dbraw/zinc/15/05/87/326150587.db2.gz GNAJXJLVNPWEOT-SNVBAGLBSA-N 0 3 247.342 2.730 20 0 BFADHN CC[C@H](C)N(C)Cc1c[nH]nc1C(C)(C)C ZINC000154566733 326151326 /nfs/dbraw/zinc/15/13/26/326151326.db2.gz LEXXOWVQFZBNRI-JTQLQIEISA-N 0 3 223.364 2.938 20 0 BFADHN CC[C@H](C)N(C)Cc1cnc2ccc(C)cn12 ZINC000154579449 326151623 /nfs/dbraw/zinc/15/16/23/326151623.db2.gz NCRKHKMYSNLOAM-LBPRGKRZSA-N 0 3 231.343 2.873 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cnc(C2CC2)s1 ZINC000309544608 326157975 /nfs/dbraw/zinc/15/79/75/326157975.db2.gz GQTDVKVYBVVBHV-DTWKUNHWSA-N 0 3 240.372 2.534 20 0 BFADHN CC(C)n1ccc(CN[C@H]2C[C@@H](C)[C@@H]2C)n1 ZINC000309583512 326161585 /nfs/dbraw/zinc/16/15/85/326161585.db2.gz RBPZBXBCBSKTDX-MDZLAQPJSA-N 0 3 221.348 2.598 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1CCCc2ccc(O)cc21 ZINC000567341300 326166890 /nfs/dbraw/zinc/16/68/90/326166890.db2.gz KIWKFTBWXZOWCR-QLFBSQMISA-N 0 3 247.338 2.537 20 0 BFADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1cnccn1 ZINC000567448299 326193750 /nfs/dbraw/zinc/19/37/50/326193750.db2.gz XSSYMCIWGRKEEV-GXTWGEPZSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1cccc(CN(C)CC2(C)CC2)n1 ZINC000567560114 326216980 /nfs/dbraw/zinc/21/69/80/326216980.db2.gz PWOHKUXHYZZCJA-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H]1CCCC12CC2 ZINC000567628021 326232348 /nfs/dbraw/zinc/23/23/48/326232348.db2.gz HEKGZBITLKSNRV-CYBMUJFWSA-N 0 3 247.386 2.961 20 0 BFADHN CC[C@@H](C(=O)NCC(CC)(CC)CC)N(C)C ZINC000156902325 326248160 /nfs/dbraw/zinc/24/81/60/326248160.db2.gz VLMRRIKWNITFDM-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN CCN(Cc1ccnn1C)C1CCCCC1 ZINC000156952926 326250721 /nfs/dbraw/zinc/25/07/21/326250721.db2.gz ATNUJDNYUPSMDE-UHFFFAOYSA-N 0 3 221.348 2.575 20 0 BFADHN Cc1cnccc1CCN(C)Cc1ccoc1 ZINC000567778511 326257941 /nfs/dbraw/zinc/25/79/41/326257941.db2.gz ILIKWDHOECLQIP-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN CCn1nccc1CN(C)C1CCCCC1 ZINC000157501392 326278935 /nfs/dbraw/zinc/27/89/35/326278935.db2.gz DHRJQFOTWIWFCO-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1nc(C)c(C)[nH]1 ZINC000567916239 326283426 /nfs/dbraw/zinc/28/34/26/326283426.db2.gz ODOSDAPOCCXQGB-ZJUUUORDSA-N 0 3 223.364 2.941 20 0 BFADHN Cc1cccn2c(CN3CCC[C@H](C)C3)cnc12 ZINC000157698030 326290762 /nfs/dbraw/zinc/29/07/62/326290762.db2.gz WANSQJFBLPSKMM-LBPRGKRZSA-N 0 3 243.354 2.875 20 0 BFADHN CN(C)CCSCCc1ccc(F)c(F)c1 ZINC000157709937 326291438 /nfs/dbraw/zinc/29/14/38/326291438.db2.gz JNIKBJQKBRHDPC-UHFFFAOYSA-N 0 3 245.338 2.802 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cnc2ccccn12 ZINC000157812324 326296688 /nfs/dbraw/zinc/29/66/88/326296688.db2.gz HGPMWUBDRIFSQK-LLVKDONJSA-N 0 3 229.327 2.565 20 0 BFADHN C[C@H]1CCCC[C@@H]1OCCSCCN(C)C ZINC000157823269 326297072 /nfs/dbraw/zinc/29/70/72/326297072.db2.gz BDCDZKFHNLSZIL-STQMWFEESA-N 0 3 245.432 2.877 20 0 BFADHN CCCCN(C)Cc1c[nH]nc1C(C)(C)C ZINC000158164716 326310628 /nfs/dbraw/zinc/31/06/28/326310628.db2.gz XIGMZORFCOFCKS-UHFFFAOYSA-N 0 3 223.364 2.939 20 0 BFADHN CCO[C@@H]1C[C@@H]1NC/C=C\c1ccc(F)cc1 ZINC000568171091 326319727 /nfs/dbraw/zinc/31/97/27/326319727.db2.gz XNGLMSDGUAUKCI-KBIGUFJUSA-N 0 3 235.302 2.606 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@@H]1C[C@H]1c1ccco1 ZINC000568238103 326332383 /nfs/dbraw/zinc/33/23/83/326332383.db2.gz MZWNZQFFEXIJGP-NQBHXWOUSA-N 0 3 243.310 2.585 20 0 BFADHN CCc1cnc(CN[C@@H]2[C@@H]3CCC[C@@H]32)s1 ZINC000568274719 326339697 /nfs/dbraw/zinc/33/96/97/326339697.db2.gz GDPZCMUSZJYPCM-PEGIJTEDSA-N 0 3 222.357 2.594 20 0 BFADHN CCC[C@@H](NCCN(C)C(C)C)c1ccccn1 ZINC000158944197 326346718 /nfs/dbraw/zinc/34/67/18/326346718.db2.gz MYHWNEFOLHCNSF-CQSZACIVSA-N 0 3 249.402 2.853 20 0 BFADHN CCc1ccccc1CN1CCN(C)C[C@H]1CC ZINC000568350500 326347474 /nfs/dbraw/zinc/34/74/74/326347474.db2.gz IQVPDPKDKTWTGL-MRXNPFEDSA-N 0 3 246.398 2.775 20 0 BFADHN CCc1ccccc1CN1CCN(C)C[C@@H]1CC ZINC000568350499 326347712 /nfs/dbraw/zinc/34/77/12/326347712.db2.gz IQVPDPKDKTWTGL-INIZCTEOSA-N 0 3 246.398 2.775 20 0 BFADHN CCC[C@@H](NC[C@](C)(O)CC)c1ccccn1 ZINC000159048715 326348414 /nfs/dbraw/zinc/34/84/14/326348414.db2.gz URXLHSJODDADTF-TZMCWYRMSA-N 0 3 236.359 2.673 20 0 BFADHN CCCCN(CCO)Cc1ccc(C)c(F)c1 ZINC000159107035 326349532 /nfs/dbraw/zinc/34/95/32/326349532.db2.gz VEYAKSOEZUHGII-UHFFFAOYSA-N 0 3 239.334 2.729 20 0 BFADHN CC[C@H](NCCCC(F)(F)F)c1ccn(C)n1 ZINC000568446105 326357052 /nfs/dbraw/zinc/35/70/52/326357052.db2.gz FCOSFGKSOFXCOH-VIFPVBQESA-N 0 3 249.280 2.803 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCC12CCOCC2 ZINC000568464625 326357577 /nfs/dbraw/zinc/35/75/77/326357577.db2.gz QMUCMYRAGHBAGA-CYBMUJFWSA-N 0 3 235.327 2.637 20 0 BFADHN Cc1nc(CN[C@H]2C[C@H](C)C[C@H](C)C2)[nH]c1C ZINC000568534101 326364536 /nfs/dbraw/zinc/36/45/36/326364536.db2.gz USISMSWSDXAILG-IWIIMEHWSA-N 0 3 235.375 2.941 20 0 BFADHN CCCC[C@H](C)N(C)CC(=O)N[C@H](C)C(C)C ZINC000568698498 326372318 /nfs/dbraw/zinc/37/23/18/326372318.db2.gz NPYJYZJSYJMEFN-QWHCGFSZSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1nc(CN[C@H](C)CC(C)(C)C)[nH]c1C ZINC000568783043 326377616 /nfs/dbraw/zinc/37/76/16/326377616.db2.gz LHGGXAZQHYDIEA-SECBINFHSA-N 0 3 223.364 2.941 20 0 BFADHN Cc1cnccc1CN1CCC(OC(C)C)CC1 ZINC000569069409 326388569 /nfs/dbraw/zinc/38/85/69/326388569.db2.gz WMKCOZLKJOGFSO-UHFFFAOYSA-N 0 3 248.370 2.779 20 0 BFADHN Cc1ncncc1[C@@H](C)NCCC1(F)CCC1 ZINC000569116045 326391178 /nfs/dbraw/zinc/39/11/78/326391178.db2.gz CVJVOOSXKVECAF-SNVBAGLBSA-N 0 3 237.322 2.718 20 0 BFADHN COC(=O)C(C)(C)N(C)C[C@H]1CCCC[C@@H]1C ZINC000569271048 326398741 /nfs/dbraw/zinc/39/87/41/326398741.db2.gz PTVAQJXLJJVTGZ-NWDGAFQWSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCC[C@@H](F)C2)nn1C ZINC000572721271 326406787 /nfs/dbraw/zinc/40/67/87/326406787.db2.gz YUARRMNLLICGCP-TUAOUCFPSA-N 0 3 239.338 2.660 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCC[C@@H](F)C2)nn1C ZINC000572721268 326406832 /nfs/dbraw/zinc/40/68/32/326406832.db2.gz YUARRMNLLICGCP-QJPTWQEYSA-N 0 3 239.338 2.660 20 0 BFADHN CC(C)[C@@H](NCc1ccn(C(C)C)n1)C1CC1 ZINC000324359567 326415553 /nfs/dbraw/zinc/41/55/53/326415553.db2.gz HNKWUMIOCXLOKL-CQSZACIVSA-N 0 3 235.375 2.988 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1ncccc1OC ZINC000573061396 326427639 /nfs/dbraw/zinc/42/76/39/326427639.db2.gz OPBGWXARUPKNSW-RYUDHWBXSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@@H](NCc1ccc(C2CC2)cc1F)[C@H](C)O ZINC000573111639 326430365 /nfs/dbraw/zinc/43/03/65/326430365.db2.gz KNUCQCLSAZEYNH-ZJUUUORDSA-N 0 3 237.318 2.562 20 0 BFADHN CCC(CC)CN[C@@H](C)c1cc(C)n(C)n1 ZINC000309487637 487974006 /nfs/dbraw/zinc/97/40/06/487974006.db2.gz VZUHEIHWOVGDED-NSHDSACASA-N 0 3 223.364 2.815 20 0 BFADHN CCN(CC[C@@H]1CCCO1)Cc1ccoc1 ZINC000682523764 487976041 /nfs/dbraw/zinc/97/60/41/487976041.db2.gz ZZLHSBPGTIDCBQ-ZDUSSCGKSA-N 0 3 223.316 2.671 20 0 BFADHN FCC[C@H]1CCCN(CC2(F)CC2)C1 ZINC000668592072 487976916 /nfs/dbraw/zinc/97/69/16/487976916.db2.gz LLWBPFZBQHOIGS-SNVBAGLBSA-N 0 3 203.276 2.560 20 0 BFADHN Cn1cccc1CN1CCC[C@@H](CCF)C1 ZINC000668592147 487976987 /nfs/dbraw/zinc/97/69/87/487976987.db2.gz HZSSUNVQOIYIEO-LBPRGKRZSA-N 0 3 224.323 2.597 20 0 BFADHN CCn1nccc1CNC/C=C/c1ccccc1 ZINC000677138383 487981903 /nfs/dbraw/zinc/98/19/03/487981903.db2.gz MZTLXCYFWPMBOZ-RMKNXTFCSA-N 0 3 241.338 2.706 20 0 BFADHN Cc1cc(CN2C[C@H]3COC[C@@]3(C)C2)ccc1F ZINC000668596758 487982776 /nfs/dbraw/zinc/98/27/76/487982776.db2.gz MBISBFPDTXLJQS-DZGCQCFKSA-N 0 3 249.329 2.602 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1NCc1cn2ccccc2n1 ZINC000514081703 487983379 /nfs/dbraw/zinc/98/33/79/487983379.db2.gz DFXMZDNWHKXWHA-KBPBESRZSA-N 0 3 243.354 2.859 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2ccc(C)nc2C)C1 ZINC000666149207 487983679 /nfs/dbraw/zinc/98/36/79/487983679.db2.gz OFMDLTKOWVXEGP-MELADBBJSA-N 0 3 248.370 2.917 20 0 BFADHN CC1(C)SC[C@@H]1NCc1n[nH]c2ccccc21 ZINC000669999359 487986676 /nfs/dbraw/zinc/98/66/76/487986676.db2.gz PRDNDRUWLNZTOP-LBPRGKRZSA-N 0 3 247.367 2.547 20 0 BFADHN CCC[C@H](CC)N[C@H](CCO)c1ccco1 ZINC000186550326 487986826 /nfs/dbraw/zinc/98/68/26/487986826.db2.gz ATUMVVJNNXTBLY-NWDGAFQWSA-N 0 3 225.332 2.871 20 0 BFADHN CC1(C)SC[C@@H]1NCc1[nH]nc2ccccc21 ZINC000669999359 487986683 /nfs/dbraw/zinc/98/66/83/487986683.db2.gz PRDNDRUWLNZTOP-LBPRGKRZSA-N 0 3 247.367 2.547 20 0 BFADHN Cc1ccc(CN[C@H]2CCS[C@@H]2C)o1 ZINC000235604524 487988735 /nfs/dbraw/zinc/98/87/35/487988735.db2.gz BSDFMZBXBIINCU-KOLCDFICSA-N 0 3 211.330 2.572 20 0 BFADHN CCN1CCN(CCCc2ccccc2)C[C@@H]1C ZINC000677191935 487997225 /nfs/dbraw/zinc/99/72/25/487997225.db2.gz MLOXVJMISPAZLF-HNNXBMFYSA-N 0 3 246.398 2.645 20 0 BFADHN CCN1CCN([C@H](C)c2ccccc2)C[C@@H]1C ZINC000677193066 487997180 /nfs/dbraw/zinc/99/71/80/487997180.db2.gz VEFIBWDGWUZZRL-UONOGXRCSA-N 0 3 232.371 2.774 20 0 BFADHN CC1(C)C[C@H]1NCc1ccncc1Cl ZINC000084084933 487997692 /nfs/dbraw/zinc/99/76/92/487997692.db2.gz HUXJFWVCJYGRGN-SNVBAGLBSA-N 0 3 210.708 2.623 20 0 BFADHN Cc1cc(CNCC(C(C)C)C(C)C)ncn1 ZINC000671191214 487998929 /nfs/dbraw/zinc/99/89/29/487998929.db2.gz MMKMUTYJXZPJNQ-UHFFFAOYSA-N 0 3 235.375 2.803 20 0 BFADHN OC[C@@H](CC1CC1)NCc1cc2ccccc2o1 ZINC000677245315 488004709 /nfs/dbraw/zinc/00/47/09/488004709.db2.gz MXEQIYPIOLNOFK-CYBMUJFWSA-N 0 3 245.322 2.683 20 0 BFADHN COc1cccc(CNCC2=CCCC2)c1 ZINC000401060115 488006747 /nfs/dbraw/zinc/00/67/47/488006747.db2.gz CXDIEFWJKRXUFN-UHFFFAOYSA-N 0 3 217.312 2.895 20 0 BFADHN Cc1ccoc1CN[C@H](C)[C@H]1CC1(F)F ZINC000666445019 488012110 /nfs/dbraw/zinc/01/21/10/488012110.db2.gz NXUURJBXTZCTIA-RKDXNWHRSA-N 0 3 215.243 2.721 20 0 BFADHN Cc1nc(C)c(CN[C@@H](C)[C@H]2CC2(F)F)s1 ZINC000666445403 488013202 /nfs/dbraw/zinc/01/32/02/488013202.db2.gz SZCLCFMROXJMKM-IMTBSYHQSA-N 0 3 246.326 2.893 20 0 BFADHN Cc1ccoc1CNCCc1ncc(C)cc1C ZINC000401134552 488013682 /nfs/dbraw/zinc/01/36/82/488013682.db2.gz VGHOKBNYVSBMLX-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN Cc1ncccc1CN[C@H]1C[C@H](OC(C)(C)C)C1 ZINC000655845137 488013712 /nfs/dbraw/zinc/01/37/12/488013712.db2.gz OFIXLWPZPAUGPZ-HDJSIYSDSA-N 0 3 248.370 2.826 20 0 BFADHN CCC[C@@H](N)c1cn(C2CCCCC2)nn1 ZINC000229966066 488016350 /nfs/dbraw/zinc/01/63/50/488016350.db2.gz YLWAGENMANHKQJ-LLVKDONJSA-N 0 3 222.336 2.583 20 0 BFADHN CC1(C)C[C@H]1CNCc1cn2cccc(F)c2n1 ZINC000677320928 488016963 /nfs/dbraw/zinc/01/69/63/488016963.db2.gz QCRCVABNTVRACI-JTQLQIEISA-N 0 3 247.317 2.609 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc3cccnc3c2)CO1 ZINC000647253353 488017475 /nfs/dbraw/zinc/01/74/75/488017475.db2.gz KXRVYTCHNJTXOZ-RISCZKNCSA-N 0 3 242.322 2.502 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc3cccnc3c2)CO1 ZINC000647253351 488017824 /nfs/dbraw/zinc/01/78/24/488017824.db2.gz KXRVYTCHNJTXOZ-BXUZGUMPSA-N 0 3 242.322 2.502 20 0 BFADHN CCCn1nccc1CN1CCCC[C@@H]1C ZINC000667473309 488018286 /nfs/dbraw/zinc/01/82/86/488018286.db2.gz FUZRKFXZWNLSIM-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN CCC[C@H](NCc1nnc(C)s1)C1CCC1 ZINC000391873283 488024380 /nfs/dbraw/zinc/02/43/80/488024380.db2.gz JRMZTQJXXYYWSZ-NSHDSACASA-N 0 3 239.388 2.905 20 0 BFADHN CCC[C@H](N)c1cn([C@@H](C)c2ccccc2)nn1 ZINC000229968774 488024927 /nfs/dbraw/zinc/02/49/27/488024927.db2.gz JHBYNRRSYMRBIF-AAEUAGOBSA-N 0 3 244.342 2.687 20 0 BFADHN CCN(C)Cc1cc2c(cccc2C)[nH]1 ZINC000667495371 488027044 /nfs/dbraw/zinc/02/70/44/488027044.db2.gz GNRQVVFWMXYYQG-UHFFFAOYSA-N 0 3 202.301 2.928 20 0 BFADHN C[C@@H](NCc1cocn1)C1CCC(F)(F)CC1 ZINC000682732703 488027616 /nfs/dbraw/zinc/02/76/16/488027616.db2.gz KNYYQCKRTAWVFV-SECBINFHSA-N 0 3 244.285 2.978 20 0 BFADHN CC(C)CN(C)Cc1c(C2CCC2)cnn1C ZINC000667496289 488028198 /nfs/dbraw/zinc/02/81/98/488028198.db2.gz OWQKZVMDWCAZIU-UHFFFAOYSA-N 0 3 235.375 2.775 20 0 BFADHN CCN(Cc1c(C2CC2)cnn1C)CC(C)C ZINC000667503464 488031794 /nfs/dbraw/zinc/03/17/94/488031794.db2.gz MKKVOPIRBBVQGD-UHFFFAOYSA-N 0 3 235.375 2.775 20 0 BFADHN CCC[C@@H](C)N[C@H](C)c1cc2n(n1)CCC2 ZINC000666079404 488033510 /nfs/dbraw/zinc/03/35/10/488033510.db2.gz JAIREFMUPBDQPO-GHMZBOCLSA-N 0 3 221.348 2.669 20 0 BFADHN CC[C@H](C)N(C)Cc1ccnn1CC1CC1 ZINC000667505511 488033181 /nfs/dbraw/zinc/03/31/81/488033181.db2.gz REXFDYGLCIBSAA-NSHDSACASA-N 0 3 221.348 2.523 20 0 BFADHN CCOc1ccc(CN2[C@H](C)CC[C@@H]2C)nc1 ZINC000675127065 488034637 /nfs/dbraw/zinc/03/46/37/488034637.db2.gz ZRWUNBFFCMAURN-TXEJJXNPSA-N 0 3 234.343 2.853 20 0 BFADHN CCCN(CCc1ccccn1)CC1(F)CC1 ZINC000685454662 488035833 /nfs/dbraw/zinc/03/58/33/488035833.db2.gz PFUXMMAFCWIIMU-UHFFFAOYSA-N 0 3 236.334 2.838 20 0 BFADHN C[C@@H](N(C)Cc1cnn2c1CCC2)C(C)(C)C ZINC000667517928 488036037 /nfs/dbraw/zinc/03/60/37/488036037.db2.gz JPWJCDPCOJGRGG-LLVKDONJSA-N 0 3 235.375 2.696 20 0 BFADHN C[C@H](N(C)Cc1cnn2c1CCC2)C(C)(C)C ZINC000667517929 488036786 /nfs/dbraw/zinc/03/67/86/488036786.db2.gz JPWJCDPCOJGRGG-NSHDSACASA-N 0 3 235.375 2.696 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(C)C[C@H](O)C2CC2)o1 ZINC000685460576 488037733 /nfs/dbraw/zinc/03/77/33/488037733.db2.gz BJAZHHVEKBBJBW-SWHYSGLUSA-N 0 3 249.354 2.606 20 0 BFADHN CC(C)CN(Cc1cnc2n1CCC2)C(C)C ZINC000667531144 488038670 /nfs/dbraw/zinc/03/86/70/488038670.db2.gz YDJKGINHSZSNJC-UHFFFAOYSA-N 0 3 235.375 2.696 20 0 BFADHN CCCn1nccc1CN1CCC[C@@H](CC)C1 ZINC000667531988 488039018 /nfs/dbraw/zinc/03/90/18/488039018.db2.gz YIDVUXKHXLGKCD-CYBMUJFWSA-N 0 3 235.375 2.915 20 0 BFADHN CSCCN[C@@H]1CCc2c1cccc2F ZINC000147604989 488039779 /nfs/dbraw/zinc/03/97/79/488039779.db2.gz YPUXWTMIKKYUIX-GFCCVEGCSA-N 0 3 225.332 2.766 20 0 BFADHN CCn1ccc(CN(C)CC[C@H]2CCCO2)c1 ZINC000682783939 488041248 /nfs/dbraw/zinc/04/12/48/488041248.db2.gz DSHDFEPTWALBQO-CQSZACIVSA-N 0 3 236.359 2.509 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccnn1CC1CC1 ZINC000667544350 488041419 /nfs/dbraw/zinc/04/14/19/488041419.db2.gz DYDSAVHZPGBVBX-CYBMUJFWSA-N 0 3 233.359 2.668 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCC[C@@H]1CCOC1 ZINC000682805612 488044144 /nfs/dbraw/zinc/04/41/44/488044144.db2.gz JGBAOJQAOBXCCG-ZIAGYGMSSA-N 0 3 248.370 2.891 20 0 BFADHN CCN(Cc1cnc2n1CCC2)[C@H](C)C(C)C ZINC000667561005 488046593 /nfs/dbraw/zinc/04/65/93/488046593.db2.gz IRDHNWGQGDNSBI-GFCCVEGCSA-N 0 3 235.375 2.696 20 0 BFADHN CC(C)(NCc1cn2cccc(F)c2n1)C1CC1 ZINC000677321864 488057239 /nfs/dbraw/zinc/05/72/39/488057239.db2.gz KAWAVTIEXMPZJY-UHFFFAOYSA-N 0 3 247.317 2.752 20 0 BFADHN Cc1ncc(CN2CC[C@@H](CC(C)C)C2)n1C ZINC000667621604 488061223 /nfs/dbraw/zinc/06/12/23/488061223.db2.gz PNLWZYFBZNIDEI-ZDUSSCGKSA-N 0 3 235.375 2.597 20 0 BFADHN CCC1(CC)CCN(Cc2cnc(C)n2C)C1 ZINC000667621353 488061062 /nfs/dbraw/zinc/06/10/62/488061062.db2.gz GYTNSUQSEJRPKL-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CCC(CC)N(CC)Cc1cc(OC)no1 ZINC000660560998 488066513 /nfs/dbraw/zinc/06/65/13/488066513.db2.gz PSCWTLHKEOYROE-UHFFFAOYSA-N 0 3 226.320 2.694 20 0 BFADHN CC(C)=CCCNCc1cn2cccc(F)c2n1 ZINC000682944625 488068472 /nfs/dbraw/zinc/06/84/72/488068472.db2.gz PNSHZNFFJQJFTP-UHFFFAOYSA-N 0 3 247.317 2.919 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cnn3c2CCC3)C1 ZINC000667645492 488069157 /nfs/dbraw/zinc/06/91/57/488069157.db2.gz QKNQMYJJQZLODI-HNNXBMFYSA-N 0 3 247.386 2.841 20 0 BFADHN CO[C@H]1CCN(Cc2cc3c(cccc3C)[nH]2)C1 ZINC000667649055 488070912 /nfs/dbraw/zinc/07/09/12/488070912.db2.gz HZMISGRGTWQUAD-ZDUSSCGKSA-N 0 3 244.338 2.697 20 0 BFADHN CCN(Cc1oc(C)nc1C)CC(C)C ZINC000685561266 488071136 /nfs/dbraw/zinc/07/11/36/488071136.db2.gz AFIMFMBUHOCUOF-UHFFFAOYSA-N 0 3 210.321 2.769 20 0 BFADHN C[C@@H]1CCN(Cc2c(C3CC3)cnn2C)C[C@H]1C ZINC000667649424 488071672 /nfs/dbraw/zinc/07/16/72/488071672.db2.gz SQLVFCONILSEBH-VXGBXAGGSA-N 0 3 247.386 2.775 20 0 BFADHN CCCn1nccc1CN1C[C@H](C)CC[C@@H]1C ZINC000667650264 488072856 /nfs/dbraw/zinc/07/28/56/488072856.db2.gz QZJDKKHLLJNEMY-OLZOCXBDSA-N 0 3 235.375 2.914 20 0 BFADHN CCCn1nccc1CN1C[C@H](C)C[C@@H]1CC ZINC000667650756 488073217 /nfs/dbraw/zinc/07/32/17/488073217.db2.gz CTRHVZXXQPCGAH-OLZOCXBDSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2ccnn2CC2CC2)C1 ZINC000667650106 488073416 /nfs/dbraw/zinc/07/34/16/488073416.db2.gz MGZCBLLHAZNTDS-STQMWFEESA-N 0 3 247.386 2.914 20 0 BFADHN CCCn1nccc1CN1C[C@H](C)C[C@H]1CC ZINC000667650755 488073458 /nfs/dbraw/zinc/07/34/58/488073458.db2.gz CTRHVZXXQPCGAH-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN CC(C)(CNCc1ccco1)[C@H]1CCCCO1 ZINC000675155554 488076827 /nfs/dbraw/zinc/07/68/27/488076827.db2.gz ABVUAVSXPLCPON-CYBMUJFWSA-N 0 3 237.343 2.965 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2c(C3CC3)cnn2C)C1 ZINC000667664981 488081243 /nfs/dbraw/zinc/08/12/43/488081243.db2.gz VZWFFMBAEBCRBY-MNOVXSKESA-N 0 3 233.359 2.528 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cnc3n2CCC3)C1 ZINC000667673943 488082889 /nfs/dbraw/zinc/08/28/89/488082889.db2.gz SPTBAJYMZMOGTD-CYBMUJFWSA-N 0 3 247.386 2.841 20 0 BFADHN CCCn1nccc1CN1CC[C@](C)(CC)C1 ZINC000667682686 488084967 /nfs/dbraw/zinc/08/49/67/488084967.db2.gz SXIILNFBSARYBG-AWEZNQCLSA-N 0 3 235.375 2.915 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cnn2c1CCC2 ZINC000667688141 488087718 /nfs/dbraw/zinc/08/77/18/488087718.db2.gz GQYBQRZPDIGYRO-LBPRGKRZSA-N 0 3 235.375 2.697 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1c(C2CCC2)cnn1C ZINC000667693401 488089260 /nfs/dbraw/zinc/08/92/60/488089260.db2.gz KNCRAKHNPSJHEO-NWDGAFQWSA-N 0 3 247.386 2.918 20 0 BFADHN Cc1sccc1CN[C@@H]1C[C@@H](C)O[C@H]1C ZINC000384761793 488093451 /nfs/dbraw/zinc/09/34/51/488093451.db2.gz OEEHFGDDKXSNOC-VDDIYKPWSA-N 0 3 225.357 2.712 20 0 BFADHN Cc1ccnc(CN(C)CCC2CC2)c1 ZINC000683018850 488094244 /nfs/dbraw/zinc/09/42/44/488094244.db2.gz XORHAQBOSHZIKU-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CCc1ncc(CN2CC[C@H]3C[C@H]3C2)s1 ZINC000668675768 488094790 /nfs/dbraw/zinc/09/47/90/488094790.db2.gz HUNBNZKAKNNSPH-UWVGGRQHSA-N 0 3 222.357 2.547 20 0 BFADHN Cn1cc(CN2CC[C@H]3C[C@H]3C2)c(C(C)(C)C)n1 ZINC000668677390 488100692 /nfs/dbraw/zinc/10/06/92/488100692.db2.gz OHJSUOVNCXPRGB-RYUDHWBXSA-N 0 3 247.386 2.559 20 0 BFADHN Cc1nn(C(C)C)cc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000668677090 488100865 /nfs/dbraw/zinc/10/08/65/488100865.db2.gz IXNSLQUTNQQJGQ-CHWSQXEVSA-N 0 3 233.359 2.614 20 0 BFADHN CCc1nc(CN[C@H]2CCC[C@H]3C[C@H]32)cs1 ZINC000382287688 487536839 /nfs/dbraw/zinc/53/68/39/487536839.db2.gz KDNQBAVATAYFDL-WCQGTBRESA-N 0 3 236.384 2.984 20 0 BFADHN Cc1noc([C@H](C)N2CC[C@@H](C(C)(C)C)C2)n1 ZINC000680110774 487537611 /nfs/dbraw/zinc/53/76/11/487537611.db2.gz LWEOWTWHAHCISG-GXSJLCMTSA-N 0 3 237.347 2.807 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CO[C@H](C3CC3)C2)o1 ZINC000667761515 488110016 /nfs/dbraw/zinc/11/00/16/488110016.db2.gz VQNINUJBWZEZIR-SUHUHFCYSA-N 0 3 235.327 2.806 20 0 BFADHN C[C@@H](c1ccccn1)N1CC[C@@H](C(F)F)C1 ZINC000677731528 488110288 /nfs/dbraw/zinc/11/02/88/488110288.db2.gz SRRVYIGNTZAWGE-VHSXEESVSA-N 0 3 226.270 2.730 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C2CC2)C1)c1ccccc1 ZINC000667763796 488110980 /nfs/dbraw/zinc/11/09/80/488110980.db2.gz JISJNTBIOFIFJE-CQDKDKBSSA-N 0 3 231.339 2.905 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@H](C(F)F)C1 ZINC000677734889 488112898 /nfs/dbraw/zinc/11/28/98/488112898.db2.gz XMRWFSNWPLTZML-KOLCDFICSA-N 0 3 226.270 2.730 20 0 BFADHN CCCn1nccc1CNCCCC(F)(F)F ZINC000160189366 488113827 /nfs/dbraw/zinc/11/38/27/488113827.db2.gz VLYHHWQYGGNLHP-UHFFFAOYSA-N 0 3 249.280 2.725 20 0 BFADHN C[C@@H](NCCCC(C)(C)C)c1cnccn1 ZINC000090076990 518178117 /nfs/dbraw/zinc/17/81/17/518178117.db2.gz OGORHUBKGIRRNB-LLVKDONJSA-N 0 3 221.348 2.954 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN(C)Cc2cn[nH]c2)C1 ZINC000685837469 488118663 /nfs/dbraw/zinc/11/86/63/488118663.db2.gz AKRSCERCCYORTP-AAEUAGOBSA-N 0 3 233.359 2.834 20 0 BFADHN Cc1ccnc(CN2CCS[C@H](C)CC2)c1 ZINC000683145628 488120590 /nfs/dbraw/zinc/12/05/90/488120590.db2.gz RDJJARZIEQJBIG-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@H](C3CC3)C2)s1 ZINC000667790145 488121932 /nfs/dbraw/zinc/12/19/32/488121932.db2.gz JZEMEYKJXINGIC-AAEUAGOBSA-N 0 3 237.368 2.714 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(O)cc2)CCS1 ZINC000683164518 488122738 /nfs/dbraw/zinc/12/27/38/488122738.db2.gz HTAHUOAPOJDMLU-LLVKDONJSA-N 0 3 237.368 2.720 20 0 BFADHN COc1ccncc1CN1[C@@H](C)CCC1(C)C ZINC000451999616 488122468 /nfs/dbraw/zinc/12/24/68/488122468.db2.gz AVESFDYAIUQHFR-NSHDSACASA-N 0 3 234.343 2.853 20 0 BFADHN COCC(C)(C)CN1CCOc2ccccc2C1 ZINC000683160234 488122715 /nfs/dbraw/zinc/12/27/15/488122715.db2.gz GVSHSFGAWYYEHO-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN C[C@@H](O)C1(NCc2csc3ccccc23)CC1 ZINC000668695626 488124679 /nfs/dbraw/zinc/12/46/79/488124679.db2.gz HKATUBUCFHIFSY-SNVBAGLBSA-N 0 3 247.363 2.904 20 0 BFADHN CCC[C@H](C)N[C@@H](C)c1cc(C)n(C)n1 ZINC000389921783 488124979 /nfs/dbraw/zinc/12/49/79/488124979.db2.gz RSPQWXNEBRRERO-ONGXEEELSA-N 0 3 209.337 2.568 20 0 BFADHN C[C@H](O)C1(NCc2csc3ccccc23)CC1 ZINC000668695624 488125133 /nfs/dbraw/zinc/12/51/33/488125133.db2.gz HKATUBUCFHIFSY-JTQLQIEISA-N 0 3 247.363 2.904 20 0 BFADHN CC[C@H](N[C@H](C)c1ncc[nH]1)[C@@H]1CC1(C)C ZINC000397651509 488128573 /nfs/dbraw/zinc/12/85/73/488128573.db2.gz LVPZAIUZNZZMAU-VWYCJHECSA-N 0 3 221.348 2.885 20 0 BFADHN C[C@@H](O)C1(N[C@@H](C)c2cc3ccccc3o2)CC1 ZINC000668698729 488131220 /nfs/dbraw/zinc/13/12/20/488131220.db2.gz GLEGYYYRVXPLTF-WDEREUQCSA-N 0 3 245.322 2.997 20 0 BFADHN CC1(C)C[C@]1(C)NCc1ccc2c(n1)CCC2 ZINC000668699772 488132330 /nfs/dbraw/zinc/13/23/30/488132330.db2.gz BOKIMLAPPFQHJI-HNNXBMFYSA-N 0 3 230.355 2.849 20 0 BFADHN COCCc1ncc(CN(C)C(C)(C)C)s1 ZINC000639286973 488133022 /nfs/dbraw/zinc/13/30/22/488133022.db2.gz VJDNFGIDZJTUHA-UHFFFAOYSA-N 0 3 242.388 2.562 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC[C@H]2C)ncn1 ZINC000680133445 487538523 /nfs/dbraw/zinc/53/85/23/487538523.db2.gz BJSZEIMFDDNKDZ-YPMHNXCESA-N 0 3 233.359 2.701 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC[C@@H]2C)ncn1 ZINC000680133442 487538713 /nfs/dbraw/zinc/53/87/13/487538713.db2.gz BJSZEIMFDDNKDZ-AAEUAGOBSA-N 0 3 233.359 2.701 20 0 BFADHN C[C@@H]1CCC[C@H](N[C@H]2CCCn3ccnc32)C1 ZINC000655701725 487543420 /nfs/dbraw/zinc/54/34/20/487543420.db2.gz YTUQTEZRKKGNRI-AGIUHOORSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@H](C)[C@@H]2C)o1 ZINC000685565163 487546752 /nfs/dbraw/zinc/54/67/52/487546752.db2.gz ZNPSOZMRWZHLTG-ONGXEEELSA-N 0 3 222.332 2.912 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@H](C)c1nccn1C ZINC000658114797 487547661 /nfs/dbraw/zinc/54/76/61/487547661.db2.gz RBKMONSCFJJYHB-MNOVXSKESA-N 0 3 223.364 2.895 20 0 BFADHN Fc1ccc2c(c1)[C@H](NC[C@@H]1CCCO1)CC2 ZINC000174978295 487550058 /nfs/dbraw/zinc/55/00/58/487550058.db2.gz MCNOJEKLCOANHJ-GXTWGEPZSA-N 0 3 235.302 2.582 20 0 BFADHN CC[C@H](C(N)=O)N1CCC[C@]2(CCSC2)C1 ZINC000334657407 487533018 /nfs/dbraw/zinc/53/30/18/487533018.db2.gz WCIWGDRJXAONRJ-PWSUYJOCSA-N 0 3 242.388 2.519 20 0 BFADHN CO[C@H](C)CN1CCc2cc(F)ccc2[C@H]1C ZINC000679853190 487473076 /nfs/dbraw/zinc/47/30/76/487473076.db2.gz JZHOGHPPOIESPK-GHMZBOCLSA-N 0 3 237.318 2.780 20 0 BFADHN CC1=CC[C@@H](N[C@H](C)c2nccn2C)CC1 ZINC000668748272 487476273 /nfs/dbraw/zinc/47/62/73/487476273.db2.gz RXNOQTFLIPDFJL-VXGBXAGGSA-N 0 3 219.332 2.570 20 0 BFADHN CCOC[C@@H]1CCN([C@H](C)c2ccncc2)C1 ZINC000673915382 487477830 /nfs/dbraw/zinc/47/78/30/487477830.db2.gz UWVVDWLXLUNEAR-CHWSQXEVSA-N 0 3 234.343 2.501 20 0 BFADHN CCOC[C@H]1CCN([C@H](C)c2ccncc2)C1 ZINC000673915383 487477944 /nfs/dbraw/zinc/47/79/44/487477944.db2.gz UWVVDWLXLUNEAR-OLZOCXBDSA-N 0 3 234.343 2.501 20 0 BFADHN CCc1noc(CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)n1 ZINC000679861668 487477981 /nfs/dbraw/zinc/47/79/81/487477981.db2.gz BHHFQYWARLKIQT-SRVKXCTJSA-N 0 3 249.358 2.643 20 0 BFADHN Cc1ncc([C@@H](C)N2CCC[C@H](C)C2)c(C)n1 ZINC000681640109 487479473 /nfs/dbraw/zinc/47/94/73/487479473.db2.gz WTLGZCMNBPIXAX-CMPLNLGQSA-N 0 3 233.359 2.886 20 0 BFADHN CCOC1CCN([C@H](C)c2ccccn2)CC1 ZINC000673926967 487480534 /nfs/dbraw/zinc/48/05/34/487480534.db2.gz DFZQCMDJRYJOED-GFCCVEGCSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@H]1CN([C@@H](C)c2ccncc2)CCCO1 ZINC000685006896 487491188 /nfs/dbraw/zinc/49/11/88/487491188.db2.gz FNPGVNXSIUEVAY-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@@H](NCc2ccno2)C1 ZINC000231883678 487486151 /nfs/dbraw/zinc/48/61/51/487486151.db2.gz RJQIQXPGORCJOV-FOGDFJRCSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1CN(Cc2ccc(O)cc2)C[C@H](C)S1 ZINC000679396094 487486678 /nfs/dbraw/zinc/48/66/78/487486678.db2.gz PGQISEDKCWLLHI-QWRGUYRKSA-N 0 3 237.368 2.718 20 0 BFADHN Cc1ccc(CNCCOc2ccccc2)cn1 ZINC000062052980 487491370 /nfs/dbraw/zinc/49/13/70/487491370.db2.gz FZEXJZQLFIKMBY-UHFFFAOYSA-N 0 3 242.322 2.559 20 0 BFADHN CC(C)C[C@H](C)CN(C)Cc1cn[nH]c1 ZINC000685003848 487490363 /nfs/dbraw/zinc/49/03/63/487490363.db2.gz OSQRLVLQIYSXLW-NSHDSACASA-N 0 3 209.337 2.524 20 0 BFADHN CC(C)OCCN[C@H](C)c1cc2cnccc2o1 ZINC000657972175 487495326 /nfs/dbraw/zinc/49/53/26/487495326.db2.gz ANJPKBTZAWHFIN-LLVKDONJSA-N 0 3 248.326 2.903 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@@H](C)c1cc2cnccc2o1 ZINC000657971762 487495700 /nfs/dbraw/zinc/49/57/00/487495700.db2.gz WPQHFHGBODJFEE-VWYCJHECSA-N 0 3 248.326 2.638 20 0 BFADHN C[C@H](CC(F)(F)F)N[C@H]1COC2(CCC2)C1 ZINC000657975949 487501438 /nfs/dbraw/zinc/50/14/38/487501438.db2.gz GKKFYKJLIRKRTD-RKDXNWHRSA-N 0 3 237.265 2.629 20 0 BFADHN Cc1ccoc1CNC[C@H](C)c1ccncc1 ZINC000674069828 487508448 /nfs/dbraw/zinc/50/84/48/487508448.db2.gz IQHOMNRGXXYHFJ-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1csc(CCN[C@@H](C)c2ccncc2)n1 ZINC000063132473 487514549 /nfs/dbraw/zinc/51/45/49/487514549.db2.gz AKJQFBZUYPAKJZ-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN CCc1ccc(CN2CCC[C@@H](OC)CC2)o1 ZINC000680003958 487514526 /nfs/dbraw/zinc/51/45/26/487514526.db2.gz RKULEUCZOQTFNX-CYBMUJFWSA-N 0 3 237.343 2.843 20 0 BFADHN CCc1ccc(CN2CCC[C@H](OC)CC2)o1 ZINC000680003959 487514963 /nfs/dbraw/zinc/51/49/63/487514963.db2.gz RKULEUCZOQTFNX-ZDUSSCGKSA-N 0 3 237.343 2.843 20 0 BFADHN COc1cccc([C@@H](C)N[C@@H]2C[C@H]3CC[C@@H]2O3)c1 ZINC000177342358 487515805 /nfs/dbraw/zinc/51/58/05/487515805.db2.gz KTYZKBQAUIFVJD-QZKOUSHUSA-N 0 3 247.338 2.666 20 0 BFADHN CN(CCN1CCCCC1)Cc1ccsc1 ZINC000119033971 487521030 /nfs/dbraw/zinc/52/10/30/487521030.db2.gz KFSDICOIXHIYQM-UHFFFAOYSA-N 0 3 238.400 2.666 20 0 BFADHN FC1(F)CC2(CN(CC[C@@H]3CCCCO3)C2)C1 ZINC000680377569 488150211 /nfs/dbraw/zinc/15/02/11/488150211.db2.gz SXNUWQSIHOGQMM-NSHDSACASA-N 0 3 245.313 2.677 20 0 BFADHN C[C@H](CF)N[C@@H](C)CCc1n[nH]c2ccccc12 ZINC000685473425 487535166 /nfs/dbraw/zinc/53/51/66/487535166.db2.gz XMLOLTIKPYNKOD-WDEREUQCSA-N 0 3 249.333 2.832 20 0 BFADHN Cc1cn[nH]c1CNc1cccc2ccncc21 ZINC000685619098 487566018 /nfs/dbraw/zinc/56/60/18/487566018.db2.gz CDALPEOQCPSISL-UHFFFAOYSA-N 0 3 238.294 2.878 20 0 BFADHN CCC(CC)N(Cc1cnc(C)n1C)C1CC1 ZINC000667498731 487595975 /nfs/dbraw/zinc/59/59/75/487595975.db2.gz SWIOGABGVJIVBD-UHFFFAOYSA-N 0 3 235.375 2.882 20 0 BFADHN c1ccc2c(c1)[nH]nc2CN1C[C@@H]2CCCC[C@@H]21 ZINC000668299652 487636051 /nfs/dbraw/zinc/63/60/51/487636051.db2.gz OGAKTHLLUPMONW-NHYWBVRUSA-N 0 3 241.338 2.937 20 0 BFADHN c1ccc2c(c1)n[nH]c2CN1C[C@@H]2CCCC[C@@H]21 ZINC000668299652 487636056 /nfs/dbraw/zinc/63/60/56/487636056.db2.gz OGAKTHLLUPMONW-NHYWBVRUSA-N 0 3 241.338 2.937 20 0 BFADHN c1cc2cc(CN[C@@H]3C[C@H]4CC[C@@H]3O4)ccc2[nH]1 ZINC000229663127 487935630 /nfs/dbraw/zinc/93/56/30/487935630.db2.gz ODWWLKWEDVGSQV-YUELXQCFSA-N 0 3 242.322 2.577 20 0 BFADHN CC(C)[C@H]1CCN1Cc1ccc(O)cc1 ZINC000682406761 487950220 /nfs/dbraw/zinc/95/02/20/487950220.db2.gz NMRIHTFGLLZJMG-CYBMUJFWSA-N 0 3 205.301 2.623 20 0 BFADHN Cc1ncc(CN2CCC[C@H](C(C)C)CC2)n1C ZINC000667641898 488066520 /nfs/dbraw/zinc/06/65/20/488066520.db2.gz HLWWIVUOAGHCPU-AWEZNQCLSA-N 0 3 249.402 2.987 20 0 BFADHN COc1cccc(CN2CC[C@H]3C[C@H]3C2)c1OC ZINC000668676004 488095627 /nfs/dbraw/zinc/09/56/27/488095627.db2.gz LENIEXLTDRNZSC-AAEUAGOBSA-N 0 3 247.338 2.546 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@H]1CO[C@H](C2CC2)C1 ZINC000667781305 488119030 /nfs/dbraw/zinc/11/90/30/488119030.db2.gz FTIHDBILUQYTLQ-ZLDLUXBVSA-N 0 3 247.338 2.814 20 0 BFADHN COc1cccc(CN[C@@H](C)C2CC2)c1F ZINC000160462636 488134903 /nfs/dbraw/zinc/13/49/03/488134903.db2.gz HGFHYEHVHPVRRU-VIFPVBQESA-N 0 3 223.291 2.722 20 0 BFADHN Cc1cccc2c1CN([C@@H]1CCCOC1)CC2 ZINC000683227540 488135509 /nfs/dbraw/zinc/13/55/09/488135509.db2.gz WSJFMVDXWYYWTM-CQSZACIVSA-N 0 3 231.339 2.532 20 0 BFADHN CC[C@H](COCC1CC1)NCc1cccnc1C ZINC000657562189 488135607 /nfs/dbraw/zinc/13/56/07/488135607.db2.gz UAXCZFMBELJHAL-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C2CC2)C1)c1ccccc1 ZINC000667852838 488137993 /nfs/dbraw/zinc/13/79/93/488137993.db2.gz JISJNTBIOFIFJE-DFBGVHRSSA-N 0 3 231.339 2.905 20 0 BFADHN CC[C@H](N[C@@H]1CO[C@H](C2CC2)C1)c1ccncc1 ZINC000667874205 488146188 /nfs/dbraw/zinc/14/61/88/488146188.db2.gz ADNJMUDUTSNTEH-KKUMJFAQSA-N 0 3 246.354 2.690 20 0 BFADHN FC(F)n1ccnc1CN[C@@H]1CCCC12CC2 ZINC000657856166 488151050 /nfs/dbraw/zinc/15/10/50/488151050.db2.gz QYNJGMINGLUOTE-SECBINFHSA-N 0 3 241.285 2.701 20 0 BFADHN CN(C[C@H](O)C1CC1)[C@H]1CCc2ccc(F)cc21 ZINC000677893759 488151068 /nfs/dbraw/zinc/15/10/68/488151068.db2.gz RLXIDGPWJKEXQL-GJZGRUSLSA-N 0 3 249.329 2.516 20 0 BFADHN Cc1nc(CN[C@H](C)[C@H]2C[C@H]2C)cs1 ZINC000321756122 488152247 /nfs/dbraw/zinc/15/22/47/488152247.db2.gz BUKMKRAPMYINNA-XLDPMVHQSA-N 0 3 210.346 2.586 20 0 BFADHN Cc1cccc(C)c1CN[C@H]1CO[C@H](C2CC2)C1 ZINC000667910838 488153280 /nfs/dbraw/zinc/15/32/80/488153280.db2.gz ZYECCTSLGYOHTM-ZBFHGGJFSA-N 0 3 245.366 2.961 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1cc2cnccc2o1 ZINC000657878845 488159832 /nfs/dbraw/zinc/15/98/32/488159832.db2.gz ICOHBHJYVSVEAP-IINYFYTJSA-N 0 3 248.326 2.639 20 0 BFADHN CCc1ccc(CN2CCC3(COC3)C2)s1 ZINC000668719689 488162381 /nfs/dbraw/zinc/16/23/81/488162381.db2.gz CLNGWFLIISJYGI-UHFFFAOYSA-N 0 3 237.368 2.533 20 0 BFADHN CC[C@H](NCc1csc(C)n1)[C@@H]1C[C@H]1C ZINC000321971623 488163021 /nfs/dbraw/zinc/16/30/21/488163021.db2.gz AZXNQZUZCAAEQZ-FXAINCCUSA-N 0 3 224.373 2.976 20 0 BFADHN C/C(=C\c1ccccc1)CN1CCC2(COC2)C1 ZINC000668720695 488163292 /nfs/dbraw/zinc/16/32/92/488163292.db2.gz ZYIJTRKMBFBDSP-NTEUORMPSA-N 0 3 243.350 2.812 20 0 BFADHN C[C@@H](CO)[C@H](C)Nc1ccnc2ccccc21 ZINC000134960655 488169790 /nfs/dbraw/zinc/16/97/90/488169790.db2.gz XYSVOGWBJWRPFV-QWRGUYRKSA-N 0 3 230.311 2.664 20 0 BFADHN Cc1ncc(CN(C)C[C@H]2CC=CCC2)n1C ZINC000667970657 488171795 /nfs/dbraw/zinc/17/17/95/488171795.db2.gz PWAXEBWZVVVFQH-ZDUSSCGKSA-N 0 3 233.359 2.517 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cnc(C)n1C ZINC000667984658 488176293 /nfs/dbraw/zinc/17/62/93/488176293.db2.gz ZPEYMSUGYGYZSG-CYBMUJFWSA-N 0 3 235.375 2.883 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)C(C)(C)C2)n1C ZINC000667987991 488176899 /nfs/dbraw/zinc/17/68/99/488176899.db2.gz XWXRNOXFOWJRSL-NSHDSACASA-N 0 3 235.375 2.597 20 0 BFADHN C[C@H]1CCCCN(Cc2c(C3CC3)cnn2C)C1 ZINC000668002179 488181483 /nfs/dbraw/zinc/18/14/83/488181483.db2.gz LIBLVJRVLVIBIT-LBPRGKRZSA-N 0 3 247.386 2.920 20 0 BFADHN CCCn1nccc1CN1CC[C@@H]2CCC[C@@H]21 ZINC000668012636 488186026 /nfs/dbraw/zinc/18/60/26/488186026.db2.gz GXEUDMAKYUHDJF-JSGCOSHPSA-N 0 3 233.359 2.668 20 0 BFADHN CC[C@@H]1CCC[C@H]1CN[C@H](C)c1ncc[nH]1 ZINC000453277570 488187906 /nfs/dbraw/zinc/18/79/06/488187906.db2.gz BAESWDYQUIGJHH-UTUOFQBUSA-N 0 3 221.348 2.887 20 0 BFADHN C[C@H](NCC(C)(C)C1CCC1)c1ncc[nH]1 ZINC000453306397 488188708 /nfs/dbraw/zinc/18/87/08/488188708.db2.gz JEYQJUDUAFJZMJ-JTQLQIEISA-N 0 3 221.348 2.887 20 0 BFADHN CC[C@@](C)(CNCc1cccc(F)c1F)OC ZINC000322499487 488189435 /nfs/dbraw/zinc/18/94/35/488189435.db2.gz FRZVVDRABDTJAH-ZDUSSCGKSA-N 0 3 243.297 2.870 20 0 BFADHN CC[C@@](C)(CN[C@@H]1CCCc2cccnc21)OC ZINC000322540523 488190277 /nfs/dbraw/zinc/19/02/77/488190277.db2.gz SMSGJNPNWAYQMR-HIFRSBDPSA-N 0 3 248.370 2.864 20 0 BFADHN CC[C@@](C)(CN[C@H]1CCCc2cccnc21)OC ZINC000322540527 488190297 /nfs/dbraw/zinc/19/02/97/488190297.db2.gz SMSGJNPNWAYQMR-ZFWWWQNUSA-N 0 3 248.370 2.864 20 0 BFADHN c1nc2ccccn2c1CN1CCC2(CC2)CC1 ZINC000677718073 488190609 /nfs/dbraw/zinc/19/06/09/488190609.db2.gz WTXVVWPCFWSCRB-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN O[C@H](CN[C@H]1CCc2ccc(F)cc21)C1CCC1 ZINC000453389551 488190850 /nfs/dbraw/zinc/19/08/50/488190850.db2.gz RRVAMYHKDNNXIA-LSDHHAIUSA-N 0 3 249.329 2.564 20 0 BFADHN C[C@@H]1C[C@@H](C)[C@@H](C)N(Cc2cnc3n2CCC3)C1 ZINC000668047485 488195164 /nfs/dbraw/zinc/19/51/64/488195164.db2.gz CKLAOBQZCTZPRY-JHJVBQTASA-N 0 3 247.386 2.696 20 0 BFADHN CC(C)CN(C)Cc1n[nH]c2ccccc21 ZINC000678133250 488198239 /nfs/dbraw/zinc/19/82/39/488198239.db2.gz YUVHIAQMBSBTCT-UHFFFAOYSA-N 0 3 217.316 2.651 20 0 BFADHN CC(C)CN(C)Cc1[nH]nc2ccccc21 ZINC000678133250 488198243 /nfs/dbraw/zinc/19/82/43/488198243.db2.gz YUVHIAQMBSBTCT-UHFFFAOYSA-N 0 3 217.316 2.651 20 0 BFADHN C[C@@H]1CCCCN1Cc1nn(C)c2ccccc12 ZINC000678120558 488199853 /nfs/dbraw/zinc/19/98/53/488199853.db2.gz BVYHVMIRYZGKPF-GFCCVEGCSA-N 0 3 243.354 2.948 20 0 BFADHN C[C@@H]1CCCN(Cc2n[nH]c3ccccc32)C1 ZINC000678120245 488200030 /nfs/dbraw/zinc/20/00/30/488200030.db2.gz CXLCTTNLSNOBGT-LLVKDONJSA-N 0 3 229.327 2.795 20 0 BFADHN C[C@@H]1CCCN(Cc2[nH]nc3ccccc32)C1 ZINC000678120245 488200033 /nfs/dbraw/zinc/20/00/33/488200033.db2.gz CXLCTTNLSNOBGT-LLVKDONJSA-N 0 3 229.327 2.795 20 0 BFADHN C[C@@H]1CCCCN1Cc1n[nH]c2ccccc21 ZINC000678121107 488200802 /nfs/dbraw/zinc/20/08/02/488200802.db2.gz PORGCETYYYLWJQ-LLVKDONJSA-N 0 3 229.327 2.937 20 0 BFADHN C[C@@H]1CCCCN1Cc1[nH]nc2ccccc21 ZINC000678121107 488200805 /nfs/dbraw/zinc/20/08/05/488200805.db2.gz PORGCETYYYLWJQ-LLVKDONJSA-N 0 3 229.327 2.937 20 0 BFADHN C/C=C(\C)c1nc(C2C[C@H]3CC[C@@H](C2)N3C)no1 ZINC000683581350 488200937 /nfs/dbraw/zinc/20/09/37/488200937.db2.gz DTWPIVWUINFPOU-VTJCOFCJSA-N 0 3 247.342 2.833 20 0 BFADHN C[C@H](N[C@H]1CO[C@@H](C2CC2)C1)c1ccsc1 ZINC000668109504 488205118 /nfs/dbraw/zinc/20/51/18/488205118.db2.gz FGKMENVFZNIWRP-ZWKOPEQDSA-N 0 3 237.368 2.966 20 0 BFADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1cnc2n1CCC2 ZINC000668115642 488205997 /nfs/dbraw/zinc/20/59/97/488205997.db2.gz DIFPZZIFJZVBLL-OCCSQVGLSA-N 0 3 247.386 2.840 20 0 BFADHN CC[C@H](C)N(C)Cc1nn(C)c2ccccc12 ZINC000678141314 488206113 /nfs/dbraw/zinc/20/61/13/488206113.db2.gz VGVFCYNKMBMFLI-NSHDSACASA-N 0 3 231.343 2.804 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cn2cccc(F)c2n1 ZINC000678144755 488207159 /nfs/dbraw/zinc/20/71/59/488207159.db2.gz PXKDEQYPDUBGDC-JTQLQIEISA-N 0 3 247.317 2.704 20 0 BFADHN C[C@H]1CCN(CCN[C@H]2CCCc3occc32)C1 ZINC000683691168 488207208 /nfs/dbraw/zinc/20/72/08/488207208.db2.gz VQFNZXDMIWMHMK-JSGCOSHPSA-N 0 3 248.370 2.588 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H]1CCc2ccc(C)cc21 ZINC000683693568 488207539 /nfs/dbraw/zinc/20/75/39/488207539.db2.gz FJHLYHRBUJUUIW-RRFJBIMHSA-N 0 3 231.339 2.749 20 0 BFADHN CCN(Cc1ccc(Cl)o1)C[C@@H]1CCOC1 ZINC000678151557 488207714 /nfs/dbraw/zinc/20/77/14/488207714.db2.gz ONVMBUWUVIDPSR-JTQLQIEISA-N 0 3 243.734 2.791 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](CO)CC2CC2)c(C)o1 ZINC000683692436 488207757 /nfs/dbraw/zinc/20/77/57/488207757.db2.gz RHVLVSPJASRDFL-ZWNOBZJWSA-N 0 3 237.343 2.708 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1CCCc2ccccc21 ZINC000683694285 488208740 /nfs/dbraw/zinc/20/87/40/488208740.db2.gz RXKTUYUDMVKDNG-QLFBSQMISA-N 0 3 231.339 2.831 20 0 BFADHN COCCN(Cc1occc1C)C1CCCC1 ZINC000678154997 488209219 /nfs/dbraw/zinc/20/92/19/488209219.db2.gz CDEFEOMVYPZEAP-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN COc1cc(CN2CCCOC[C@@H]2C)ccc1C ZINC000683699074 488210023 /nfs/dbraw/zinc/21/00/23/488210023.db2.gz JHPZNUKZZGPTES-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@@H]1CCN(Cc2cn[nH]c2)[C@@H]2CCCC[C@@H]12 ZINC000678179536 488213587 /nfs/dbraw/zinc/21/35/87/488213587.db2.gz LVLJYSKVFKHWKE-KWCYVHTRSA-N 0 3 233.359 2.810 20 0 BFADHN c1ccc(C2CC2)c(CN2CCCOCC2)c1 ZINC000678184177 488214620 /nfs/dbraw/zinc/21/46/20/488214620.db2.gz CGXTYZZOCRPWKZ-UHFFFAOYSA-N 0 3 231.339 2.786 20 0 BFADHN CCCn1nccc1CN1CCC[C@H](C2CC2)C1 ZINC000668175075 488215157 /nfs/dbraw/zinc/21/51/57/488215157.db2.gz JTWDCTJBGDGXKB-AWEZNQCLSA-N 0 3 247.386 2.915 20 0 BFADHN NCc1cccc(NC(=O)CC2=CCCCC2)c1 ZINC000110535254 488215434 /nfs/dbraw/zinc/21/54/34/488215434.db2.gz IKFCISSKMZVWQU-UHFFFAOYSA-N 0 3 244.338 2.974 20 0 BFADHN COCC1(NCc2ccc3cnccc3c2)CC1 ZINC000683772233 488218533 /nfs/dbraw/zinc/21/85/33/488218533.db2.gz WLIKQKOYDMDSDG-UHFFFAOYSA-N 0 3 242.322 2.503 20 0 BFADHN C[C@H]1CCCN(Cc2ccc(N(C)C)nc2)CC1 ZINC000678217322 488219045 /nfs/dbraw/zinc/21/90/45/488219045.db2.gz RFEVWBKZMINIDD-ZDUSSCGKSA-N 0 3 247.386 2.770 20 0 BFADHN C[C@H]1CCCN(Cc2ccc(N(C)C)nc2)[C@H]1C ZINC000678222346 488220434 /nfs/dbraw/zinc/22/04/34/488220434.db2.gz MNPXXFNDYBAIPQ-STQMWFEESA-N 0 3 247.386 2.768 20 0 BFADHN C[C@H]1C[C@@H](CN2CC3(C2)CC(F)(F)C3)CCO1 ZINC000683785014 488221436 /nfs/dbraw/zinc/22/14/36/488221436.db2.gz BTSRQZPAYQOJAN-QWRGUYRKSA-N 0 3 245.313 2.533 20 0 BFADHN Cc1ccoc1CN1CCC[C@H](n2ccnc2)C1 ZINC000678235304 488223544 /nfs/dbraw/zinc/22/35/44/488223544.db2.gz QLMMKHFWQUXCQW-ZDUSSCGKSA-N 0 3 245.326 2.622 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2cn[nH]c2)CC1 ZINC000678266397 488225946 /nfs/dbraw/zinc/22/59/46/488225946.db2.gz FANSFGAQHDQVKV-CYBMUJFWSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@H]1CCCN1Cc1nn(C)c2ccccc12 ZINC000678259938 488227736 /nfs/dbraw/zinc/22/77/36/488227736.db2.gz MWLBNROMNMXRDE-NSHDSACASA-N 0 3 229.327 2.558 20 0 BFADHN CCN1CCN(Cc2cccc(C)c2C)C[C@H]1C ZINC000678262090 488228828 /nfs/dbraw/zinc/22/88/28/488228828.db2.gz YREQYAFHGUQQEA-CQSZACIVSA-N 0 3 246.398 2.829 20 0 BFADHN OCCCN(Cc1coc2ccccc12)C1CC1 ZINC000678263777 488229435 /nfs/dbraw/zinc/22/94/35/488229435.db2.gz ODXXAALTBYGHPN-UHFFFAOYSA-N 0 3 245.322 2.780 20 0 BFADHN CN(Cc1nn(C)c2ccccc12)C1CCC1 ZINC000678269337 488232240 /nfs/dbraw/zinc/23/22/40/488232240.db2.gz WUYJAEMXANDWED-UHFFFAOYSA-N 0 3 229.327 2.558 20 0 BFADHN C[C@@H](O)CCN(C)Cc1ccccc1C1CC1 ZINC000678270786 488232716 /nfs/dbraw/zinc/23/27/16/488232716.db2.gz UBXKBQCHNTXVJI-GFCCVEGCSA-N 0 3 233.355 2.767 20 0 BFADHN Cc1cc(F)ccc1CN1CCCOC[C@H]1C ZINC000678272086 488233408 /nfs/dbraw/zinc/23/34/08/488233408.db2.gz BGXXBODXWPPYNR-GFCCVEGCSA-N 0 3 237.318 2.745 20 0 BFADHN C[C@H]1COCCCN1C/C=C/c1ccc(F)cc1 ZINC000678273715 488233813 /nfs/dbraw/zinc/23/38/13/488233813.db2.gz LMFGAWQFUCRHOV-OHVSUOQFSA-N 0 3 249.329 2.950 20 0 BFADHN Cc1ccc(CN2CCCOC[C@@H]2C)c(C)c1 ZINC000678274175 488234775 /nfs/dbraw/zinc/23/47/75/488234775.db2.gz OEDDUESLCAVSHP-AWEZNQCLSA-N 0 3 233.355 2.914 20 0 BFADHN C[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1cn(C)cn1 ZINC000683898276 488235417 /nfs/dbraw/zinc/23/54/17/488235417.db2.gz LIWUYONDTBVKFX-YRGRVCCFSA-N 0 3 233.359 2.573 20 0 BFADHN Cc1ccc(CN2CCc3cn[nH]c3C2)c(C)c1 ZINC000678276160 488235726 /nfs/dbraw/zinc/23/57/26/488235726.db2.gz ZUYQNRQGYDSBTM-UHFFFAOYSA-N 0 3 241.338 2.585 20 0 BFADHN Cc1n[nH]cc1CN1C2CCCC1CCC2 ZINC000678280017 488236086 /nfs/dbraw/zinc/23/60/86/488236086.db2.gz VIDHHSJRQLCWDO-UHFFFAOYSA-N 0 3 219.332 2.625 20 0 BFADHN Cc1nc(C)c(CN2C[C@H](C)C[C@@H](C)C2)o1 ZINC000683897206 488238821 /nfs/dbraw/zinc/23/88/21/488238821.db2.gz RZFSLFRPFMVUJC-NXEZZACHSA-N 0 3 222.332 2.769 20 0 BFADHN Cc1nc(C)c(CN2C[C@@H]3CCC[C@H]3C2)o1 ZINC000683897859 488239458 /nfs/dbraw/zinc/23/94/58/488239458.db2.gz HDVOXLLEFHZKHI-RYUDHWBXSA-N 0 3 220.316 2.523 20 0 BFADHN CC(C)CN(Cc1cn(C)cn1)CC(C)C ZINC000683898232 488240585 /nfs/dbraw/zinc/24/05/85/488240585.db2.gz IGUODNNSFOBGRD-UHFFFAOYSA-N 0 3 223.364 2.534 20 0 BFADHN Cn1cnc(CN2CCC[C@@H]2C2CCCC2)c1 ZINC000683899396 488241322 /nfs/dbraw/zinc/24/13/22/488241322.db2.gz VBBGGAPDBZNFQQ-CQSZACIVSA-N 0 3 233.359 2.575 20 0 BFADHN CC[C@H](NCC1COC1)c1ccc(F)cc1F ZINC000683900147 488242094 /nfs/dbraw/zinc/24/20/94/488242094.db2.gz QKLNCMQEINLQPT-ZDUSSCGKSA-N 0 3 241.281 2.652 20 0 BFADHN COCC(C)(C)NCc1cc2ccccc2[nH]1 ZINC000683927339 488246287 /nfs/dbraw/zinc/24/62/87/488246287.db2.gz OLIASAKZJXIEAZ-UHFFFAOYSA-N 0 3 232.327 2.683 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccc(C)nc2C)n[nH]1 ZINC000678427731 488255315 /nfs/dbraw/zinc/25/53/15/488255315.db2.gz NZHCXHVXUHQJCV-NSHDSACASA-N 0 3 244.342 2.581 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1ccc(C)nc1C ZINC000678426388 488255348 /nfs/dbraw/zinc/25/53/48/488255348.db2.gz GLILFRGXUSWCER-DGCLKSJQSA-N 0 3 236.359 2.510 20 0 BFADHN CC[C@]1(O)CCN(Cc2cc3ccccc3[nH]2)C1 ZINC000684025291 488256603 /nfs/dbraw/zinc/25/66/03/488256603.db2.gz OQWOQSLAFNSODU-HNNXBMFYSA-N 0 3 244.338 2.515 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCc3c[nH]nc3C2)C1 ZINC000678466025 488256929 /nfs/dbraw/zinc/25/69/29/488256929.db2.gz CHAWKRBVVMBLRS-VXGBXAGGSA-N 0 3 233.359 2.594 20 0 BFADHN CC[C@H](N[C@H]1CCCC1(F)F)C1CCOCC1 ZINC000672622919 488262333 /nfs/dbraw/zinc/26/23/33/488262333.db2.gz ZBLWEOLAAJRWEG-RYUDHWBXSA-N 0 3 247.329 2.969 20 0 BFADHN CCC1(O)CCC(NCc2ccc(C)cn2)CC1 ZINC000678497408 488262690 /nfs/dbraw/zinc/26/26/90/488262690.db2.gz DPSARDDRFOPERX-UHFFFAOYSA-N 0 3 248.370 2.563 20 0 BFADHN COc1cccc2c1OCC[C@@H]2NCC1(C)CC1 ZINC000678595157 488269834 /nfs/dbraw/zinc/26/98/34/488269834.db2.gz SBVBZVMNPGAYDD-LBPRGKRZSA-N 0 3 247.338 2.909 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H]2C(C)C)ncn1 ZINC000678606518 488271317 /nfs/dbraw/zinc/27/13/17/488271317.db2.gz ZLCHFIAHGBUMTD-ZIAGYGMSSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@@H]2C(C)C)ncn1 ZINC000678606516 488271399 /nfs/dbraw/zinc/27/13/99/488271399.db2.gz ZLCHFIAHGBUMTD-KGLIPLIRSA-N 0 3 233.359 2.699 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H](CO)CC1CC1 ZINC000678606851 488271848 /nfs/dbraw/zinc/27/18/48/488271848.db2.gz LPGJZONBZKGVKQ-WCQYABFASA-N 0 3 249.354 2.507 20 0 BFADHN COc1ccc(COCCN2[C@H](C)C[C@H]2C)cc1 ZINC000684208651 488276874 /nfs/dbraw/zinc/27/68/74/488276874.db2.gz PSNMVYHRZDHDLF-CHWSQXEVSA-N 0 3 249.354 2.695 20 0 BFADHN Cn1ccnc1[C@@H](NCCC1=CCCC1)C1CC1 ZINC000678807821 488285557 /nfs/dbraw/zinc/28/55/57/488285557.db2.gz LWPGVKDKZLRNBR-AWEZNQCLSA-N 0 3 245.370 2.961 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cnc2ccccn12 ZINC000678850974 488290676 /nfs/dbraw/zinc/29/06/76/488290676.db2.gz KMARYTRJCSWROJ-ZDUSSCGKSA-N 0 3 229.327 2.565 20 0 BFADHN CC(C)[C@H]1CCN1Cc1nn(C)c2ccccc12 ZINC000678852050 488290994 /nfs/dbraw/zinc/29/09/94/488290994.db2.gz WEVWWDCHKMBHMY-CQSZACIVSA-N 0 3 243.354 2.804 20 0 BFADHN CC[C@H](CNCc1cn(C)cn1)c1ccccc1 ZINC000673599567 488296258 /nfs/dbraw/zinc/29/62/58/488296258.db2.gz HUVGDZJXINOLNV-CYBMUJFWSA-N 0 3 243.354 2.704 20 0 BFADHN CC(C)C1(CNCc2cccnc2N(C)C)CC1 ZINC000673603655 488297138 /nfs/dbraw/zinc/29/71/38/488297138.db2.gz DVMZDYMEWBVQCN-UHFFFAOYSA-N 0 3 247.386 2.673 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CCNCc1cn(C)cn1 ZINC000673602253 488297157 /nfs/dbraw/zinc/29/71/57/488297157.db2.gz SDHANKCVQWOWJL-CHWSQXEVSA-N 0 3 235.375 2.726 20 0 BFADHN CCC[C@H]1CCCC[C@H]1NCc1cn(C)cn1 ZINC000673601329 488297175 /nfs/dbraw/zinc/29/71/75/488297175.db2.gz AFLDXYYPBUNFQP-GXTWGEPZSA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)n1nccc1CN1CCC[C@@H]1C1CC1 ZINC000684359118 488300450 /nfs/dbraw/zinc/30/04/50/488300450.db2.gz MLWSRNJQKARVRC-CQSZACIVSA-N 0 3 233.359 2.838 20 0 BFADHN CC[C@@H](N[C@@H]1CCC(F)(F)C1)c1cnn(C)c1 ZINC000679072520 488306006 /nfs/dbraw/zinc/30/60/06/488306006.db2.gz XJECIBRNIYOOIK-GHMZBOCLSA-N 0 3 243.301 2.649 20 0 BFADHN COCC1(N[C@@H](C)c2ccc(C)nc2C)CC1 ZINC000679074894 488306355 /nfs/dbraw/zinc/30/63/55/488306355.db2.gz ZDCFCMHRLLAXMS-LBPRGKRZSA-N 0 3 234.343 2.528 20 0 BFADHN Cc1cccc(C)c1OCCN1CC=CCC1 ZINC000679323658 488336951 /nfs/dbraw/zinc/33/69/51/488336951.db2.gz RSVZIZWTKXHAGM-UHFFFAOYSA-N 0 3 231.339 2.944 20 0 BFADHN Cc1ccc(CN[C@H](C)CC2CCOCC2)o1 ZINC000673811292 488307954 /nfs/dbraw/zinc/30/79/54/488307954.db2.gz UNNXQTRIFGPGGB-LLVKDONJSA-N 0 3 237.343 2.883 20 0 BFADHN COc1cc(CN2CC(C(C)C)C2)cc(OC)c1 ZINC000684408327 488308060 /nfs/dbraw/zinc/30/80/60/488308060.db2.gz HZMYJJUWNLFZGB-UHFFFAOYSA-N 0 3 249.354 2.792 20 0 BFADHN CCN(C)c1ccc(CN2CC(C(C)C)C2)cn1 ZINC000684409092 488308491 /nfs/dbraw/zinc/30/84/91/488308491.db2.gz PNQRNVSJKXXRIV-UHFFFAOYSA-N 0 3 247.386 2.626 20 0 BFADHN CCN(Cc1ccoc1)C[C@@H](O)CCC(C)C ZINC000684618740 488333124 /nfs/dbraw/zinc/33/31/24/488333124.db2.gz SDIPMGNQOHHYAB-AWEZNQCLSA-N 0 3 239.359 2.899 20 0 BFADHN CC1=CCCN(Cc2n[nH]c3ccccc32)C1 ZINC000684800982 488343744 /nfs/dbraw/zinc/34/37/44/488343744.db2.gz SOLKNGNVCGEIPC-UHFFFAOYSA-N 0 3 227.311 2.715 20 0 BFADHN CC1=CCCN(Cc2[nH]nc3ccccc32)C1 ZINC000684800982 488343747 /nfs/dbraw/zinc/34/37/47/488343747.db2.gz SOLKNGNVCGEIPC-UHFFFAOYSA-N 0 3 227.311 2.715 20 0 BFADHN CCOC[C@H]1CCCN(Cc2ccc(O)cc2)C1 ZINC000679394109 488350143 /nfs/dbraw/zinc/35/01/43/488350143.db2.gz ZOZBZTWKQKJDCG-AWEZNQCLSA-N 0 3 249.354 2.641 20 0 BFADHN COc1ccc(CNCc2cc[nH]c2)c(C)c1 ZINC000532477090 490102058 /nfs/dbraw/zinc/10/20/58/490102058.db2.gz VGWMVIRRSPNSIO-UHFFFAOYSA-N 0 3 230.311 2.622 20 0 BFADHN CCC[C@@H](CNCc1cc2cnccc2o1)OC ZINC000651819132 490144795 /nfs/dbraw/zinc/14/47/95/490144795.db2.gz PXWNRADDCWPOKW-LBPRGKRZSA-N 0 3 248.326 2.733 20 0 BFADHN C[C@@H](NCC1(CO)CCCCC1)c1ccco1 ZINC000123154142 490200596 /nfs/dbraw/zinc/20/05/96/490200596.db2.gz VYNTWJNQRSFHDT-GFCCVEGCSA-N 0 3 237.343 2.873 20 0 BFADHN CO[C@@H]1CCC[C@@H](NCc2ccccc2F)C1 ZINC000070325896 490207366 /nfs/dbraw/zinc/20/73/66/490207366.db2.gz CZHXRVDXZITWJT-CHWSQXEVSA-N 0 3 237.318 2.873 20 0 BFADHN COc1ccc(CNCC2(F)CC2)c(C)c1 ZINC000381499252 490234394 /nfs/dbraw/zinc/23/43/94/490234394.db2.gz CAEPJDRJAAGXSC-UHFFFAOYSA-N 0 3 223.291 2.595 20 0 BFADHN COc1c(C)cccc1CN[C@@H]1C[C@@H](C)O[C@H]1C ZINC000655812473 490259027 /nfs/dbraw/zinc/25/90/27/490259027.db2.gz PRUFNZZNZAETQS-MBNYWOFBSA-N 0 3 249.354 2.659 20 0 BFADHN Cn1ccnc1NCc1ccc(C(F)F)cc1 ZINC000571531387 490270675 /nfs/dbraw/zinc/27/06/75/490270675.db2.gz WARYFFRBZFIUIF-UHFFFAOYSA-N 0 3 237.253 2.970 20 0 BFADHN CCS[C@H]1CC[C@@H](N(C)Cc2ccno2)C1 ZINC000558674296 490289988 /nfs/dbraw/zinc/28/99/88/490289988.db2.gz WRBRYEHUMBDADQ-PWSUYJOCSA-N 0 3 240.372 2.781 20 0 BFADHN C[C@@H]1C[C@H](NCC2CC(F)(F)C2)c2nccn21 ZINC000297191969 490296766 /nfs/dbraw/zinc/29/67/66/490296766.db2.gz FKQVGHZWLVVDJU-SCZZXKLOSA-N 0 3 241.285 2.524 20 0 BFADHN CC[C@@]1(CO)CCCN([C@H](C)c2ccncc2)C1 ZINC000681710864 490299513 /nfs/dbraw/zinc/29/95/13/490299513.db2.gz XWSPEWZMJRJSOF-UKRRQHHQSA-N 0 3 248.370 2.627 20 0 BFADHN CC(C)(CO)CNc1ccnc2ccccc21 ZINC000124269306 490341543 /nfs/dbraw/zinc/34/15/43/490341543.db2.gz NTDODHAGMAJZHU-UHFFFAOYSA-N 0 3 230.311 2.665 20 0 BFADHN CCn1cncc1CN(C)[C@@H](C)C1(C)CC1 ZINC000417799961 490393385 /nfs/dbraw/zinc/39/33/85/490393385.db2.gz SBUIBXYNRBTWKS-NSHDSACASA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@@H](C)c1ncc[nH]1 ZINC000124699464 490398424 /nfs/dbraw/zinc/39/84/24/490398424.db2.gz QTLSDZAIFCGWFQ-AXFHLTTASA-N 0 3 207.321 2.639 20 0 BFADHN C[C@@H](N[C@H]1CCC(C)(C)C1)c1ncc[nH]1 ZINC000124789201 490403165 /nfs/dbraw/zinc/40/31/65/490403165.db2.gz HHIMFOJTFKKKNF-ZJUUUORDSA-N 0 3 207.321 2.639 20 0 BFADHN C[C@@H](CN(C)C)Nc1nccc2sccc21 ZINC000130637155 490433638 /nfs/dbraw/zinc/43/36/38/490433638.db2.gz OHRKVXSNVJOWMZ-VIFPVBQESA-N 0 3 235.356 2.658 20 0 BFADHN CC(C)C[C@@H](NCC1(CO)CC1)c1ccccn1 ZINC000305141094 490444826 /nfs/dbraw/zinc/44/48/26/490444826.db2.gz MJUMJLPZHIASSH-CQSZACIVSA-N 0 3 248.370 2.531 20 0 BFADHN CCC[C@@H](C)NCc1cc(OC)cc(C)n1 ZINC000125954082 490475313 /nfs/dbraw/zinc/47/53/13/490475313.db2.gz DIFQOQFZTFJFMB-SNVBAGLBSA-N 0 3 222.332 2.677 20 0 BFADHN COC[C@H](N[C@@H](C)c1c(C)noc1C)C(C)C ZINC000126543336 490506643 /nfs/dbraw/zinc/50/66/43/490506643.db2.gz JGYREHQDTBDHNE-CABZTGNLSA-N 0 3 240.347 2.613 20 0 BFADHN CCC[C@](C)(O)CN[C@@H](C)c1cnc(C)s1 ZINC000126974895 490530235 /nfs/dbraw/zinc/53/02/35/490530235.db2.gz GLRWUNHRQPMYTG-CABZTGNLSA-N 0 3 242.388 2.653 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(Cl)s2)CO1 ZINC000335424342 490542182 /nfs/dbraw/zinc/54/21/82/490542182.db2.gz GFVLJWHGFRQGPO-JGVFFNPUSA-N 0 3 231.748 2.669 20 0 BFADHN CCC1(CC)[C@H](NCc2ccccn2)C[C@@H]1OC ZINC000131358593 490633147 /nfs/dbraw/zinc/63/31/47/490633147.db2.gz LOHIAVVYWCLWDH-KGLIPLIRSA-N 0 3 248.370 2.765 20 0 BFADHN CCCC[C@H](C)C(=O)Nc1cccc(CN)c1 ZINC000302301284 491074046 /nfs/dbraw/zinc/07/40/46/491074046.db2.gz DXMMZTHRGFBVAG-NSHDSACASA-N 0 3 234.343 2.910 20 0 BFADHN Cn1nc(CNCC2(C)CCC2)c2ccccc21 ZINC000226786440 491050805 /nfs/dbraw/zinc/05/08/05/491050805.db2.gz VONLDEXHYXCYFR-UHFFFAOYSA-N 0 3 243.354 2.853 20 0 BFADHN C[C@@H]1CCc2ccccc2[C@@H]1NCCF ZINC000309114299 491052474 /nfs/dbraw/zinc/05/24/74/491052474.db2.gz KSVCXTOTFUFMFO-ZWNOBZJWSA-N 0 3 207.292 2.869 20 0 BFADHN Cc1ccsc1CCN[C@H](C)c1ncc[nH]1 ZINC000309474325 491055628 /nfs/dbraw/zinc/05/56/28/491055628.db2.gz RPAQWBRRXNEDPG-SNVBAGLBSA-N 0 3 235.356 2.673 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H](CO)CC(C)C)s1 ZINC000090404274 491067261 /nfs/dbraw/zinc/06/72/61/491067261.db2.gz MTQQVAOGTQCMLL-MWLCHTKSSA-N 0 3 242.388 2.509 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H](CO)CC(C)C)s1 ZINC000090404275 491067283 /nfs/dbraw/zinc/06/72/83/491067283.db2.gz MTQQVAOGTQCMLL-GXSJLCMTSA-N 0 3 242.388 2.509 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1F)C(C)(C)O ZINC000087817647 491092589 /nfs/dbraw/zinc/09/25/89/491092589.db2.gz KJYXOHMSGDFROG-DTWKUNHWSA-N 0 3 243.297 2.775 20 0 BFADHN CCc1ccc(CN2CCC(C)(O)CC2)s1 ZINC000088023530 491093706 /nfs/dbraw/zinc/09/37/06/491093706.db2.gz VWXILYGWNQVTPE-UHFFFAOYSA-N 0 3 239.384 2.657 20 0 BFADHN c1cc(CNC2(C3CCC3)CC2)nc2c1CCC2 ZINC000651958824 491099494 /nfs/dbraw/zinc/09/94/94/491099494.db2.gz UFMOKGHFFBYDBW-UHFFFAOYSA-N 0 3 242.366 2.993 20 0 BFADHN CCOc1ccc(CN(C)CCC(C)C)nc1 ZINC000088497206 491103582 /nfs/dbraw/zinc/10/35/82/491103582.db2.gz BOWSKAJYTCKRIS-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CCN(Cc1ccnn1CC1CC1)CC1CCC1 ZINC000668096407 491116953 /nfs/dbraw/zinc/11/69/53/491116953.db2.gz HDGLSNDAJUUTQS-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ccon2)C[C@@H]1C ZINC000088052375 491117563 /nfs/dbraw/zinc/11/75/63/491117563.db2.gz SQKJRHBRRNEPMG-VWYCJHECSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1CC2(CCC2)CN1Cc1ccns1 ZINC000639122217 491121660 /nfs/dbraw/zinc/12/16/60/491121660.db2.gz RUNZRGAURMRKNT-JTQLQIEISA-N 0 3 222.357 2.908 20 0 BFADHN Cc1ccc2c(c1)CN(Cc1ccn(C)c1)CC2 ZINC000668478331 491122096 /nfs/dbraw/zinc/12/20/96/491122096.db2.gz FCILLXNWQHGKQG-UHFFFAOYSA-N 0 3 240.350 2.892 20 0 BFADHN Fc1cccnc1CN[C@@H](C1CC1)C1CCC1 ZINC000519318477 491123178 /nfs/dbraw/zinc/12/31/78/491123178.db2.gz FCIKEGNRVLDJEW-CQSZACIVSA-N 0 3 234.318 2.889 20 0 BFADHN CCC(O)(CC)CN[C@H](C)c1cnc(C)s1 ZINC000090406394 491126038 /nfs/dbraw/zinc/12/60/38/491126038.db2.gz OOUGIVTWVQWPBN-SECBINFHSA-N 0 3 242.388 2.653 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CCCSC2)s1 ZINC000090418760 491126720 /nfs/dbraw/zinc/12/67/20/491126720.db2.gz KGRLWPPTBVXQJP-WCBMZHEXSA-N 0 3 242.413 2.998 20 0 BFADHN CC(C)OCCCN[C@@H]1CCCc2cccnc21 ZINC000091660441 491127179 /nfs/dbraw/zinc/12/71/79/491127179.db2.gz WVILJUGUJRJWNO-CQSZACIVSA-N 0 3 248.370 2.864 20 0 BFADHN C[C@@H](NC1CCCCCC1)c1ncc[nH]1 ZINC000124812481 491128610 /nfs/dbraw/zinc/12/86/10/491128610.db2.gz NCLQVHYWGLOIBO-SNVBAGLBSA-N 0 3 207.321 2.783 20 0 BFADHN C1=CCC(NCc2cccc3c2OCCCO3)C1 ZINC000125260908 491128959 /nfs/dbraw/zinc/12/89/59/491128959.db2.gz NMPNZLLFFIURBW-UHFFFAOYSA-N 0 3 245.322 2.656 20 0 BFADHN COC[C@H](N[C@H](C)c1cnc(C)s1)C1CC1 ZINC000090718243 491129606 /nfs/dbraw/zinc/12/96/06/491129606.db2.gz ODOGVHWZFWAHMC-KCJUWKMLSA-N 0 3 240.372 2.527 20 0 BFADHN CCOC1CCN(Cc2ccc(CC)o2)CC1 ZINC000091677731 491131559 /nfs/dbraw/zinc/13/15/59/491131559.db2.gz HJARTEDILWXJFL-UHFFFAOYSA-N 0 3 237.343 2.843 20 0 BFADHN CC(C)(CO)CNCc1ccc(Cl)c(F)c1 ZINC000133526677 491132452 /nfs/dbraw/zinc/13/24/52/491132452.db2.gz FZLHSQPCUDGTSY-UHFFFAOYSA-N 0 3 245.725 2.587 20 0 BFADHN CN(Cc1coc2ccccc12)[C@@H]1CCOC1 ZINC000132056062 491134062 /nfs/dbraw/zinc/13/40/62/491134062.db2.gz MWLUOYUYOTUQSX-GFCCVEGCSA-N 0 3 231.295 2.654 20 0 BFADHN C1=CCC(N[C@@H]2CCCc3cccnc32)C1 ZINC000132582657 491137006 /nfs/dbraw/zinc/13/70/06/491137006.db2.gz PFMDMVCGFHMHKJ-CYBMUJFWSA-N 0 3 214.312 2.767 20 0 BFADHN CC(C)(C)OCCN[C@H]1CCCc2cccnc21 ZINC000308715901 491137861 /nfs/dbraw/zinc/13/78/61/491137861.db2.gz BEIHLKXZJKMIGO-ZDUSSCGKSA-N 0 3 248.370 2.864 20 0 BFADHN CC(C)c1cc(CNC[C@@H]2C[C@@H]2C)on1 ZINC000308990741 491141957 /nfs/dbraw/zinc/14/19/57/491141957.db2.gz LCHRSUGSRQSRGE-UWVGGRQHSA-N 0 3 208.305 2.544 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCC[C@H]2C)o1 ZINC000309133627 491145415 /nfs/dbraw/zinc/14/54/15/491145415.db2.gz RMXAOJXCFWDHNK-LDYMZIIASA-N 0 3 208.305 2.570 20 0 BFADHN C[C@@H](CO)CN[C@@H](C)c1c(F)cccc1Cl ZINC000309282054 491147080 /nfs/dbraw/zinc/14/70/80/491147080.db2.gz GZTAUPDMVNTWTK-BDAKNGLRSA-N 0 3 245.725 2.758 20 0 BFADHN C[C@@H](N[C@@H]1CC2CCC1CC2)c1ncc[nH]1 ZINC000309443535 491148315 /nfs/dbraw/zinc/14/83/15/491148315.db2.gz KRTDIQXZSGQAKO-HBIQZDMRSA-N 0 3 219.332 2.639 20 0 BFADHN C/C=C/C[C@H]1CCCN(CCOC(F)F)C1 ZINC000860185686 582513074 /nfs/dbraw/zinc/51/30/74/582513074.db2.gz RYJGVBLTRRHPGA-VUDBWIFFSA-N 0 3 233.302 2.904 20 0 BFADHN CCC[C@H](C)CN1CCO[C@H]2CCC[C@@H]21 ZINC000342620525 535612011 /nfs/dbraw/zinc/61/20/11/535612011.db2.gz XUUSFNVHCCHKTF-AVGNSLFASA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCc2sccc2C1 ZINC000488329544 534022702 /nfs/dbraw/zinc/02/27/02/534022702.db2.gz YODQGLVSEUNASE-KOLCDFICSA-N 0 3 207.342 2.762 20 0 BFADHN Cc1cc(F)ncc1CN1CCCC[C@H]1C ZINC000891457510 582690594 /nfs/dbraw/zinc/69/05/94/582690594.db2.gz RMRMDNGRBSLCDG-LLVKDONJSA-N 0 3 222.307 2.904 20 0 BFADHN CCN(C[C@@H](C)O)[C@@H](C)c1ccccc1F ZINC000352209508 535764900 /nfs/dbraw/zinc/76/49/00/535764900.db2.gz BVKJXKZCXXUZQP-MNOVXSKESA-N 0 3 225.307 2.589 20 0 BFADHN CC[C@@H](N[C@H]1CCOC1)c1cccs1 ZINC000070968560 535809203 /nfs/dbraw/zinc/80/92/03/535809203.db2.gz JYVJZGDZLVSCMX-VHSXEESVSA-N 0 3 211.330 2.578 20 0 BFADHN CC[C@H]1CCCN1Cc1cn2ccnc2s1 ZINC000891780320 582719881 /nfs/dbraw/zinc/71/98/81/582719881.db2.gz XLJXKVZTLZLDLL-JTQLQIEISA-N 0 3 235.356 2.770 20 0 BFADHN COC(=O)[C@@H](CC(C)C)N(C)CC1CCCC1 ZINC000509313567 534241442 /nfs/dbraw/zinc/24/14/42/534241442.db2.gz JQKUQVMQUPQGQJ-CYBMUJFWSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H](Cc1ccccc1)CN1CCOC(C)(C)C1 ZINC000481935822 534247825 /nfs/dbraw/zinc/24/78/25/534247825.db2.gz PAROPNRIFAHAKF-AWEZNQCLSA-N 0 3 247.382 2.976 20 0 BFADHN Cc1nc(C)c(CN2CCC(C)CC2)s1 ZINC000172729757 518192546 /nfs/dbraw/zinc/19/25/46/518192546.db2.gz CTIINFYAZDLTSJ-UHFFFAOYSA-N 0 3 224.373 2.992 20 0 BFADHN Cc1cc(CN2CC[C@H](C)[C@@H]2C)cnc1F ZINC000892215544 582808173 /nfs/dbraw/zinc/80/81/73/582808173.db2.gz XJINCODYASDUIJ-ONGXEEELSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3C[C@@H](C)[C@@H]3C)cn2c1 ZINC000495004031 534504140 /nfs/dbraw/zinc/50/41/40/534504140.db2.gz FBFZCDSQFKMHJI-MBNYWOFBSA-N 0 3 243.354 2.777 20 0 BFADHN Cc1occc1CNCCc1ncccc1C ZINC000404912942 534577085 /nfs/dbraw/zinc/57/70/85/534577085.db2.gz FVDVRFZGTIZODG-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CC(C)(C)C[C@H](O)CNCc1ccc(Cl)o1 ZINC000164139991 534649122 /nfs/dbraw/zinc/64/91/22/534649122.db2.gz YNSUIHYZXSTLLS-VIFPVBQESA-N 0 3 245.750 2.820 20 0 BFADHN CCn1cc(CNCc2ccc(C)cc2)cn1 ZINC000002536065 523383793 /nfs/dbraw/zinc/38/37/93/523383793.db2.gz JOWNBAZGOILERI-UHFFFAOYSA-N 0 3 229.327 2.501 20 0 BFADHN Cc1cccc(CN2CCN(C)[C@H](C(C)C)C2)c1 ZINC000487997550 534706959 /nfs/dbraw/zinc/70/69/59/534706959.db2.gz FWELTAVLEZHCTG-INIZCTEOSA-N 0 3 246.398 2.767 20 0 BFADHN CC(=O)CCN1CCC[C@@H]1Cc1cccc(F)c1 ZINC000178714926 534725860 /nfs/dbraw/zinc/72/58/60/534725860.db2.gz UVYGAXRQCCRNPE-OAHLLOKOSA-N 0 3 249.329 2.812 20 0 BFADHN CN1CC[C@@H](c2nc(CC3(C)CCCC3)no2)C1 ZINC000336000869 536459817 /nfs/dbraw/zinc/45/98/17/536459817.db2.gz JMTZYDKREOYDQZ-LLVKDONJSA-N 0 3 249.358 2.612 20 0 BFADHN CN1CC[C@@H](c2nc(C3CCCCC3)no2)C1 ZINC000335899839 536459916 /nfs/dbraw/zinc/45/99/16/536459916.db2.gz LTLYGWBYZFAQHT-LLVKDONJSA-N 0 3 235.331 2.536 20 0 BFADHN CC(C)C1CC(NCc2cn3ccccc3n2)C1 ZINC000486875604 527627306 /nfs/dbraw/zinc/62/73/06/527627306.db2.gz WOOKNIQLPQBVED-UHFFFAOYSA-N 0 3 243.354 2.859 20 0 BFADHN CC(C)C1CC(NCc2cn3ccsc3n2)C1 ZINC000488414232 527628069 /nfs/dbraw/zinc/62/80/69/527628069.db2.gz NGXBHKIQGNUWRP-UHFFFAOYSA-N 0 3 249.383 2.920 20 0 BFADHN CCN1C[C@@H](C)[C@H](Nc2cc(C)ccc2F)C1 ZINC000482727848 527934873 /nfs/dbraw/zinc/93/48/73/527934873.db2.gz ZZBUYQGSVLFHHE-BXUZGUMPSA-N 0 3 236.334 2.886 20 0 BFADHN CCCN(CC)Cc1cnc2nc(C)cc(C)n12 ZINC000505231575 528004120 /nfs/dbraw/zinc/00/41/20/528004120.db2.gz SMAIXKFQFVSFJC-UHFFFAOYSA-N 0 3 246.358 2.578 20 0 BFADHN CC(C)c1ccccc1NC(=O)/C=C\CN(C)C ZINC000491643886 528191423 /nfs/dbraw/zinc/19/14/23/528191423.db2.gz PGIKMGPCFKTDIP-YFHOEESVSA-N 0 3 246.354 2.866 20 0 BFADHN CCCCN(C)Cc1cnc2cccc(C)n12 ZINC000505842232 528359575 /nfs/dbraw/zinc/35/95/75/528359575.db2.gz GBBQWLQCKFDUCK-UHFFFAOYSA-N 0 3 231.343 2.875 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cnn(C(C)C)c1 ZINC000507194160 528407002 /nfs/dbraw/zinc/40/70/02/528407002.db2.gz QFFANHVEIOVCLZ-GFCCVEGCSA-N 0 3 223.364 2.940 20 0 BFADHN CCC[C@H]1C[C@H]1NCc1c(OC)cccc1OC ZINC000511766935 528436404 /nfs/dbraw/zinc/43/64/04/528436404.db2.gz AYFCJYSPXJGOLN-WCQYABFASA-N 0 3 249.354 2.982 20 0 BFADHN CCC(C)(C)OCCNCc1cnc(C)s1 ZINC000308547418 528591605 /nfs/dbraw/zinc/59/16/05/528591605.db2.gz PZBWLUFRUQPODP-UHFFFAOYSA-N 0 3 242.388 2.746 20 0 BFADHN CCC(C)(C)OCCNCc1cccc(O)c1 ZINC000308550009 528591659 /nfs/dbraw/zinc/59/16/59/528591659.db2.gz UTAJDRYHJVPFJG-UHFFFAOYSA-N 0 3 237.343 2.687 20 0 BFADHN CCC(C)(C)OCCN[C@H](C)c1cncs1 ZINC000308548797 528592774 /nfs/dbraw/zinc/59/27/74/528592774.db2.gz SCVBMFIUZDBDGZ-SNVBAGLBSA-N 0 3 242.388 2.999 20 0 BFADHN CCN(CN1CC(C)(C)OC1=O)[C@H](C)C(C)C ZINC000495662669 528611810 /nfs/dbraw/zinc/61/18/10/528611810.db2.gz VSQJGPCOHPWHKI-LLVKDONJSA-N 0 3 242.363 2.541 20 0 BFADHN CCCc1ccc(CN2CCN(CC)CC2)cc1 ZINC000505329398 528619924 /nfs/dbraw/zinc/61/99/24/528619924.db2.gz CJNJLVDRHRYRIS-UHFFFAOYSA-N 0 3 246.398 2.777 20 0 BFADHN CCCc1cccc(CN[C@H]2C[C@@H](O)C2(C)C)c1 ZINC000494427364 528638978 /nfs/dbraw/zinc/63/89/78/528638978.db2.gz FIMUHXZEOOLWAE-LSDHHAIUSA-N 0 3 247.382 2.888 20 0 BFADHN CCOc1cccc(CN(C)[C@H](C)C(C)C)n1 ZINC000507188136 528642775 /nfs/dbraw/zinc/64/27/75/528642775.db2.gz NMDBESKYDOKYCS-GFCCVEGCSA-N 0 3 236.359 2.957 20 0 BFADHN CC(C)[C@@H]1CC[C@H](NCc2ccn(C)n2)C[C@@H]1C ZINC000498349470 528708131 /nfs/dbraw/zinc/70/81/31/528708131.db2.gz CLDMNTZPWWSMHC-YDHLFZDLSA-N 0 3 249.402 2.971 20 0 BFADHN CCCn1cc(CN(C)[C@H](C)C(C)C)cn1 ZINC000507187136 528841701 /nfs/dbraw/zinc/84/17/01/528841701.db2.gz IPSQBEAYNQGNQI-GFCCVEGCSA-N 0 3 223.364 2.769 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1CCCC[C@@H](C)C1 ZINC000481565852 528849382 /nfs/dbraw/zinc/84/93/82/528849382.db2.gz IFFFIQRYQXKEQO-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CCCC[C@@H](C)C1 ZINC000481586224 528878534 /nfs/dbraw/zinc/87/85/34/528878534.db2.gz LBQFBHUZSHYBTF-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cnc2ccccn12 ZINC000507186940 528959242 /nfs/dbraw/zinc/95/92/42/528959242.db2.gz ASOSUIZUUHOXMP-LBPRGKRZSA-N 0 3 231.343 2.811 20 0 BFADHN CC(C)N(Cc1cnc[nH]1)Cc1ccc(F)cc1 ZINC000507174075 528965929 /nfs/dbraw/zinc/96/59/29/528965929.db2.gz QBTDYAWYWIQIEI-UHFFFAOYSA-N 0 3 247.317 2.959 20 0 BFADHN CC[C@@H](O)CN[C@H](C)c1ccc2ccccc2n1 ZINC000421877429 529233031 /nfs/dbraw/zinc/23/30/31/529233031.db2.gz LTOFNIKCSXTQKP-DGCLKSJQSA-N 0 3 244.338 2.656 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cccnc1OC ZINC000488171089 529254004 /nfs/dbraw/zinc/25/40/04/529254004.db2.gz XVNNZOHPJOESQW-AAEUAGOBSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1Cc1cccc(C)c1 ZINC000505603845 529311066 /nfs/dbraw/zinc/31/10/66/529311066.db2.gz AQJRSGAPVYLYAX-HIFRSBDPSA-N 0 3 233.355 2.994 20 0 BFADHN CC[C@@H](C)N(CC(=O)OC)CC1CCCCC1 ZINC000499794181 529347367 /nfs/dbraw/zinc/34/73/67/529347367.db2.gz DCBKLZDNODEQCQ-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)CC[C@H](C)N(C)[C@H](C)C[S@@](C)=O ZINC000251885804 534938938 /nfs/dbraw/zinc/93/89/38/534938938.db2.gz WDALUAFVJBWAFK-YWPYICTPSA-N 0 3 233.421 2.510 20 0 BFADHN CC(C)(C)n1cc(CN[C@@H]2CC[C@H](F)C2)cn1 ZINC000342274916 534957053 /nfs/dbraw/zinc/95/70/53/534957053.db2.gz IALHQUJMCVKECR-NWDGAFQWSA-N 0 3 239.338 2.618 20 0 BFADHN COC[C@@H](C)NCc1ccc(C2CC2)cc1 ZINC000087011748 536550917 /nfs/dbraw/zinc/55/09/17/536550917.db2.gz LKYDYYDNHWBBCQ-LLVKDONJSA-N 0 3 219.328 2.689 20 0 BFADHN CC(C)(C)[C@@H]1CCCN(Cc2c[nH]cn2)C1 ZINC000336074307 535074050 /nfs/dbraw/zinc/07/40/50/535074050.db2.gz UITFMNSDOCTKJD-LLVKDONJSA-N 0 3 221.348 2.668 20 0 BFADHN CC1(C2CC2)CCN(Cc2ccnc(N)c2)CC1 ZINC000336007879 535109188 /nfs/dbraw/zinc/10/91/88/535109188.db2.gz ZPHDJHZFGSGMMN-UHFFFAOYSA-N 0 3 245.370 2.506 20 0 BFADHN CC(C)N1CCN(CC2=CCCCC2)CC1 ZINC000336204242 535196925 /nfs/dbraw/zinc/19/69/25/535196925.db2.gz UUTCCNSXTGVRIU-UHFFFAOYSA-N 0 3 222.376 2.513 20 0 BFADHN CC(C)[C@H]1CC[C@@H](C)C[C@@H]1NCc1nccn1C ZINC000216176951 535205143 /nfs/dbraw/zinc/20/51/43/535205143.db2.gz SFFGEBRQZLYQCZ-MCIONIFRSA-N 0 3 249.402 2.971 20 0 BFADHN CC(C)[C@@H]1CN(C)CCN1Cc1ccsc1 ZINC000184741814 535260259 /nfs/dbraw/zinc/26/02/59/535260259.db2.gz WZDNPFVFKQUSCN-ZDUSSCGKSA-N 0 3 238.400 2.520 20 0 BFADHN CCCCN1CCc2c(cccc2OC)C1 ZINC000182667428 535274987 /nfs/dbraw/zinc/27/49/87/535274987.db2.gz NINMBLGBQBRRGV-UHFFFAOYSA-N 0 3 219.328 2.853 20 0 BFADHN CCCC[C@@H](CC)CN1CCO[C@@H](COC)C1 ZINC000248890318 535347970 /nfs/dbraw/zinc/34/79/70/535347970.db2.gz SAINQCLXQKPUBP-ZIAGYGMSSA-N 0 3 243.391 2.550 20 0 BFADHN CCC(C)(C)NC(=O)c1cccc(CN(C)C)c1 ZINC000174531579 535359926 /nfs/dbraw/zinc/35/99/26/535359926.db2.gz ZNTXYIXJQZNIBM-UHFFFAOYSA-N 0 3 248.370 2.667 20 0 BFADHN CCC(C)(C)c1ccc(CN(C)CCO)cc1 ZINC000351843803 535367457 /nfs/dbraw/zinc/36/74/57/535367457.db2.gz IGGHVFKFMHSTCB-UHFFFAOYSA-N 0 3 235.371 2.798 20 0 BFADHN CC(C)=CCN(CCO)Cc1ccc(C)cc1 ZINC000181976432 535369086 /nfs/dbraw/zinc/36/90/86/535369086.db2.gz NITWIFYQTZKZRL-UHFFFAOYSA-N 0 3 233.355 2.756 20 0 BFADHN CCC[C@@H]1CCCCN1C[C@H](O)C(F)(F)F ZINC000179514238 535410309 /nfs/dbraw/zinc/41/03/09/535410309.db2.gz MWTFLZOGNGGJOV-ZJUUUORDSA-N 0 3 239.281 2.564 20 0 BFADHN CC[C@@H](C)CN(C)[C@H](CC(C)C)C(=O)OC ZINC000351768570 535495518 /nfs/dbraw/zinc/49/55/18/535495518.db2.gz XRQPWWXHWPIILZ-VXGBXAGGSA-N 0 3 229.364 2.552 20 0 BFADHN CC[C@@H](C)CN(C)[C@H](C)c1cnccn1 ZINC000248642679 535494754 /nfs/dbraw/zinc/49/47/54/535494754.db2.gz ZDSBKMAPYQXHPX-GHMZBOCLSA-N 0 3 207.321 2.516 20 0 BFADHN CCC[C@@](C)(CO)NCc1ccc(Cl)o1 ZINC000164163251 535521290 /nfs/dbraw/zinc/52/12/90/535521290.db2.gz UERKZGAJAYFXPM-NSHDSACASA-N 0 3 231.723 2.574 20 0 BFADHN CC[C@@H](C)N(CC)CC(=O)N1CCCCCC1 ZINC000353169327 535552426 /nfs/dbraw/zinc/55/24/26/535552426.db2.gz OKDLJQKEZYJOEO-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CCOC[C@H](C)N[C@H]1CCCc2c(O)cccc21 ZINC000191636616 535691203 /nfs/dbraw/zinc/69/12/03/535691203.db2.gz CCWVECXHYYECMP-FZMZJTMJSA-N 0 3 249.354 2.784 20 0 BFADHN CC[C@@H](N[C@@H]1CCOC1)c1cccs1 ZINC000070968553 535808426 /nfs/dbraw/zinc/80/84/26/535808426.db2.gz JYVJZGDZLVSCMX-NXEZZACHSA-N 0 3 211.330 2.578 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2cc(C)no2)C1 ZINC000335651950 535824199 /nfs/dbraw/zinc/82/41/99/535824199.db2.gz DUNAKJOWDYIWQR-NWDGAFQWSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@H]1COCCN1C[C@@H]1C[C@H]1c1ccccc1 ZINC000352090838 535834735 /nfs/dbraw/zinc/83/47/35/535834735.db2.gz DDPXAHGFFHNJOI-XHSDSOJGSA-N 0 3 245.366 2.901 20 0 BFADHN CN(C)CCSCCc1cccc(F)c1F ZINC000342539326 535919396 /nfs/dbraw/zinc/91/93/96/535919396.db2.gz CLPPOUVPXBIQFP-UHFFFAOYSA-N 0 3 245.338 2.802 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](C)c1nccnc1C ZINC000249189227 535942315 /nfs/dbraw/zinc/94/23/15/535942315.db2.gz MXWDDJRVLRZCJP-JBLDHEPKSA-N 0 3 221.348 2.870 20 0 BFADHN CC[C@H](N[C@H]1CCO[C@@H](C)C1)c1nccs1 ZINC000227097600 535947418 /nfs/dbraw/zinc/94/74/18/535947418.db2.gz VFLDWCMUNUCTIM-DCAQKATOSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)NCC(CC)(CC)CC ZINC000237022942 535951766 /nfs/dbraw/zinc/95/17/66/535951766.db2.gz HLIBRHPZCZLLJY-RYUDHWBXSA-N 0 3 242.407 2.692 20 0 BFADHN CC[C@@]1(C)CCN(Cc2conc2C)C1 ZINC000335938538 536049398 /nfs/dbraw/zinc/04/93/98/536049398.db2.gz PFMNACPIABNJAA-LBPRGKRZSA-N 0 3 208.305 2.605 20 0 BFADHN CCc1cnc(CNC[C@@H]2CCSC2)s1 ZINC000226383515 536051206 /nfs/dbraw/zinc/05/12/06/536051206.db2.gz NDDHVDCUANRWPG-VIFPVBQESA-N 0 3 242.413 2.548 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1C[C@@H](C)[C@H](O)C1 ZINC000351828042 536060359 /nfs/dbraw/zinc/06/03/59/536060359.db2.gz NTRRJDOABYCPLH-LERXQTSPSA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1nnc(C)n1C1CC1 ZINC000348159221 536075525 /nfs/dbraw/zinc/07/55/25/536075525.db2.gz JLAQNDXNNUUHMC-AAEUAGOBSA-N 0 3 248.374 2.590 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@@H](C)[C@@H](C)C1 ZINC000221372570 536111970 /nfs/dbraw/zinc/11/19/70/536111970.db2.gz XMNGYVSBCAQYCI-FRRDWIJNSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@H](C)N[C@@H]1CCCn2nc(C(C)C)nc21 ZINC000167996893 536174845 /nfs/dbraw/zinc/17/48/45/536174845.db2.gz PLKIOZYHDCYSSU-WDEREUQCSA-N 0 3 236.363 2.625 20 0 BFADHN COC1(C)CCN([C@@H](C)c2ccccn2)CC1 ZINC000335959798 536566120 /nfs/dbraw/zinc/56/61/20/536566120.db2.gz LUQQGRBVYCCHOY-LBPRGKRZSA-N 0 3 234.343 2.644 20 0 BFADHN CO[C@@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC000336090050 536692450 /nfs/dbraw/zinc/69/24/50/536692450.db2.gz AQAJHAZHBCQGCL-ZWNOBZJWSA-N 0 3 223.291 2.607 20 0 BFADHN CO[C@H]1CCCN(CCCCC(F)(F)F)C1 ZINC000347692863 536709444 /nfs/dbraw/zinc/70/94/44/536709444.db2.gz JTTHEJZPKJFSKD-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN CO[C@@H]1CN(Cc2cccs2)CC[C@@H]1C ZINC000249108157 536733303 /nfs/dbraw/zinc/73/33/03/536733303.db2.gz AGEYKKCDBAEBCG-CMPLNLGQSA-N 0 3 225.357 2.605 20 0 BFADHN COc1cc([C@@H](C)NC2CC(C)C2)c(F)cn1 ZINC000348410289 536880616 /nfs/dbraw/zinc/88/06/16/536880616.db2.gz OEZXUCZYLYCLRE-HWOCKDDLSA-N 0 3 238.306 2.678 20 0 BFADHN CCc1ccc([C@H](COC)N[C@H]2CC[C@@H]2C)o1 ZINC000783243876 582953352 /nfs/dbraw/zinc/95/33/52/582953352.db2.gz PIZUPRSKHHIXPK-DRZSPHRISA-N 0 3 237.343 2.918 20 0 BFADHN COC[C@@H](Nc1cc(C)cc(C)n1)C1CC1 ZINC000134352085 566327201 /nfs/dbraw/zinc/32/72/01/566327201.db2.gz DQJJLVJVQNWQCV-GFCCVEGCSA-N 0 3 220.316 2.535 20 0 BFADHN CN(CCCCO)Cc1ccc(Cl)c(F)c1 ZINC000305710764 566331370 /nfs/dbraw/zinc/33/13/70/566331370.db2.gz XLKVXGNKROHAAM-UHFFFAOYSA-N 0 3 245.725 2.683 20 0 BFADHN C[C@H](CF)N[C@H](C)Cc1ccsc1 ZINC000379409031 566444597 /nfs/dbraw/zinc/44/45/97/566444597.db2.gz CAGCFWADWQNSSH-RKDXNWHRSA-N 0 3 201.310 2.627 20 0 BFADHN Nc1cc(N[C@H]2CCC(F)(F)C2)c(Cl)cn1 ZINC000892938358 583013108 /nfs/dbraw/zinc/01/31/08/583013108.db2.gz MHMFMLBAOSPBQF-LURJTMIESA-N 0 3 247.676 2.917 20 0 BFADHN CCc1onc(C)c1CNC[C@@H]1CCCS1 ZINC000893112338 583050824 /nfs/dbraw/zinc/05/08/24/583050824.db2.gz YMQMRXIGVVUTAO-JTQLQIEISA-N 0 3 240.372 2.531 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1ccc(OC)o1 ZINC000893675956 583196099 /nfs/dbraw/zinc/19/60/99/583196099.db2.gz IRUSYMMWUDHPSV-ZYHUDNBSSA-N 0 3 223.316 2.909 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cn2cccnc2=S)[C@H]1C ZINC000841695150 583203948 /nfs/dbraw/zinc/20/39/48/583203948.db2.gz ZLCFAXDONWOCBZ-MXWKQRLJSA-N 0 3 237.372 2.546 20 0 BFADHN COCCN(Cc1cc(C)co1)CC(C)C ZINC000893953473 583261061 /nfs/dbraw/zinc/26/10/61/583261061.db2.gz GAJHTOGEGBRADS-UHFFFAOYSA-N 0 3 225.332 2.692 20 0 BFADHN CCC1CCN(Cc2[nH]nc(C)c2C)CC1 ZINC000893968879 583263517 /nfs/dbraw/zinc/26/35/17/583263517.db2.gz MYKUXXBDYJEOTH-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN CCC1CCN(Cc2n[nH]c(C)c2C)CC1 ZINC000893968879 583263520 /nfs/dbraw/zinc/26/35/20/583263520.db2.gz MYKUXXBDYJEOTH-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1ncoc1CN1CCC[C@@H](C)[C@H]1C ZINC000893986770 583269400 /nfs/dbraw/zinc/26/94/00/583269400.db2.gz MJZXVZJICAAADY-MWLCHTKSSA-N 0 3 208.305 2.603 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1[nH]nc(C)c1C ZINC000894040589 583284547 /nfs/dbraw/zinc/28/45/47/583284547.db2.gz VXYPZOKNTITOFO-SECBINFHSA-N 0 3 241.404 2.600 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1n[nH]c(C)c1C ZINC000894040589 583284549 /nfs/dbraw/zinc/28/45/49/583284549.db2.gz VXYPZOKNTITOFO-SECBINFHSA-N 0 3 241.404 2.600 20 0 BFADHN CSC[C@@H]1CCCN1Cc1cc(C)co1 ZINC000894070439 583296219 /nfs/dbraw/zinc/29/62/19/583296219.db2.gz HEGZEBNMLCPOPM-NSHDSACASA-N 0 3 225.357 2.915 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccns1)[C@@H]1CCCOC1 ZINC000858576193 582468376 /nfs/dbraw/zinc/46/83/76/582468376.db2.gz JBLDZFGYFXHVNL-OUAUKWLOSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccns1)[C@H]1CCCOC1 ZINC000858576194 582468502 /nfs/dbraw/zinc/46/85/02/582468502.db2.gz JBLDZFGYFXHVNL-VWYCJHECSA-N 0 3 240.372 2.609 20 0 BFADHN c1cc(CN2CCCCCC2)n(C2CCC2)n1 ZINC000891444105 582686587 /nfs/dbraw/zinc/68/65/87/582686587.db2.gz MNSCPSBZZWKBHT-UHFFFAOYSA-N 0 3 233.359 2.984 20 0 BFADHN C[C@@H]1CCCN(Cc2cn(C)nc2C2CC2)C1 ZINC000891448185 582687671 /nfs/dbraw/zinc/68/76/71/582687671.db2.gz ZXMYBFBJROFFPN-LLVKDONJSA-N 0 3 233.359 2.529 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cn3ccnc3s2)C1 ZINC000891449150 582688269 /nfs/dbraw/zinc/68/82/69/582688269.db2.gz MRYURUKKHMISBE-PHIMTYICSA-N 0 3 249.383 2.874 20 0 BFADHN C[C@@H]1CCCCN1Cc1cn2ccnc2s1 ZINC000891456869 582690410 /nfs/dbraw/zinc/69/04/10/582690410.db2.gz LFWVPVRWUDGHPB-SNVBAGLBSA-N 0 3 235.356 2.770 20 0 BFADHN C[C@H]1CCCCN1Cc1cnn(C)c1C1CC1 ZINC000891457545 582690485 /nfs/dbraw/zinc/69/04/85/582690485.db2.gz SHNJCODPWKLCFW-NSHDSACASA-N 0 3 233.359 2.672 20 0 BFADHN c1cn2cc(CN3C4CCC3CC4)sc2n1 ZINC000891555983 582696681 /nfs/dbraw/zinc/69/66/81/582696681.db2.gz SKLMAPNVMYCZOT-UHFFFAOYSA-N 0 3 233.340 2.523 20 0 BFADHN COCCN(C)Cc1ccc(CSC)cc1 ZINC000891574533 582697869 /nfs/dbraw/zinc/69/78/69/582697869.db2.gz PMHWWAPJHIJLKJ-UHFFFAOYSA-N 0 3 239.384 2.628 20 0 BFADHN CCC[N@H+](C)Cc1cc([O-])cc(Cl)c1 ZINC000891577347 582698309 /nfs/dbraw/zinc/69/83/09/582698309.db2.gz CNABZWQQFZUNLN-UHFFFAOYSA-N 0 3 213.708 2.887 20 0 BFADHN CCC[N@@H+](C)Cc1cc([O-])cc(Cl)c1 ZINC000891577347 582698311 /nfs/dbraw/zinc/69/83/11/582698311.db2.gz CNABZWQQFZUNLN-UHFFFAOYSA-N 0 3 213.708 2.887 20 0 BFADHN CC(C)CN(C)Cc1cccc2c1OCC2 ZINC000891577774 582698320 /nfs/dbraw/zinc/69/83/20/582698320.db2.gz GMQJVHHKODEKEW-UHFFFAOYSA-N 0 3 219.328 2.709 20 0 BFADHN CCCN(C)Cc1c(C)nn(C(C)C)c1C ZINC000891579444 582699375 /nfs/dbraw/zinc/69/93/75/582699375.db2.gz YSSHTJFPTWYEFR-UHFFFAOYSA-N 0 3 223.364 2.923 20 0 BFADHN CCN(C[C@@H]1C[C@@]1(C)Br)C1CC1 ZINC000891608794 582701655 /nfs/dbraw/zinc/70/16/55/582701655.db2.gz DKEQTFOECMBVKU-WCBMZHEXSA-N 0 3 232.165 2.644 20 0 BFADHN CCN(Cc1cccc2[nH]c(=O)oc21)C1CC1 ZINC000891608415 582701885 /nfs/dbraw/zinc/70/18/85/582701885.db2.gz DFCGZKLZVLIJME-UHFFFAOYSA-N 0 3 232.283 2.518 20 0 BFADHN CCC[C@H](C)N(C)Cc1c2c(nn1C)CCC2 ZINC000891625263 582702920 /nfs/dbraw/zinc/70/29/20/582702920.db2.gz NGFGCEKHQGMVJY-NSHDSACASA-N 0 3 235.375 2.529 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cnn(C2CCC2)c1 ZINC000891644647 582704639 /nfs/dbraw/zinc/70/46/39/582704639.db2.gz YRNWFJUVIJMAFD-LLVKDONJSA-N 0 3 233.359 2.838 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cnn(CC2CCC2)c1 ZINC000891643200 582705514 /nfs/dbraw/zinc/70/55/14/582705514.db2.gz IVSSLUGKDSXUSW-GFCCVEGCSA-N 0 3 247.386 2.914 20 0 BFADHN CC(C)CN(Cc1cn(C)nc1C1CC1)C1CC1 ZINC000891667905 582707247 /nfs/dbraw/zinc/70/72/47/582707247.db2.gz KFIBGBOLRYLYQH-UHFFFAOYSA-N 0 3 247.386 2.918 20 0 BFADHN C[C@H](N(C)Cc1c2c(nn1C)CCC2)C(C)(C)C ZINC000891670299 582708408 /nfs/dbraw/zinc/70/84/08/582708408.db2.gz FRCYKLGRUOZIJM-NSHDSACASA-N 0 3 249.402 2.775 20 0 BFADHN C[C@@H](N(C)Cc1c2c(nn1C)CCC2)C(C)(C)C ZINC000891670297 582709033 /nfs/dbraw/zinc/70/90/33/582709033.db2.gz FRCYKLGRUOZIJM-LLVKDONJSA-N 0 3 249.402 2.775 20 0 BFADHN CCN(CCC(F)(F)F)Cc1cc[nH]c(=O)c1 ZINC000891863506 582731272 /nfs/dbraw/zinc/73/12/72/582731272.db2.gz YPIVSUMRULIDKA-UHFFFAOYSA-N 0 3 248.248 2.562 20 0 BFADHN C[C@@H]1CCCN(Cc2c3c(nn2C)CCC3)[C@@H]1C ZINC000891863054 582731552 /nfs/dbraw/zinc/73/15/52/582731552.db2.gz SXAKRFGGRQMBCF-VXGBXAGGSA-N 0 3 247.386 2.529 20 0 BFADHN COc1ccc(CN2CCCO[C@@H](C)C2)c(C)c1 ZINC000891918183 582737601 /nfs/dbraw/zinc/73/76/01/582737601.db2.gz VZOCCBPFQSKFML-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1cc(F)ncc1CN1CCC[C@H]1C1CC1 ZINC000891933248 582740115 /nfs/dbraw/zinc/74/01/15/582740115.db2.gz NTVGUQNZYACUCG-ZDUSSCGKSA-N 0 3 234.318 2.904 20 0 BFADHN CC1=CCN(C[C@H]2C[C@@]2(C)Br)CC1 ZINC000892069933 582785890 /nfs/dbraw/zinc/78/58/90/582785890.db2.gz QPNXWYVANIYCOR-GHMZBOCLSA-N 0 3 244.176 2.812 20 0 BFADHN C[C@H]1CCCN1Cc1cccc2[nH]c(=O)oc21 ZINC000892018402 582762574 /nfs/dbraw/zinc/76/25/74/582762574.db2.gz BLHVJQHRWGPWLU-VIFPVBQESA-N 0 3 232.283 2.518 20 0 BFADHN CCOc1ccc(CN(CC)CC2CC2)o1 ZINC000892035757 582768067 /nfs/dbraw/zinc/76/80/67/582768067.db2.gz NIAQOJDLZZTCAD-UHFFFAOYSA-N 0 3 223.316 2.910 20 0 BFADHN c1nn(C2CCC2)cc1CN1CCC2(CCC2)C1 ZINC000892056679 582777346 /nfs/dbraw/zinc/77/73/46/582777346.db2.gz VCFCETFINYVRDY-UHFFFAOYSA-N 0 3 245.370 2.984 20 0 BFADHN CN(C[C@H]1C[C@@]1(C)Br)C1CCC1 ZINC000892059074 582778551 /nfs/dbraw/zinc/77/85/51/582778551.db2.gz UNFXPLLCAHWSAR-PSASIEDQSA-N 0 3 232.165 2.644 20 0 BFADHN CN(Cc1cccc2c1OCC2)C1CCC1 ZINC000892058980 582778651 /nfs/dbraw/zinc/77/86/51/582778651.db2.gz PWRJVHLDSXBQBN-UHFFFAOYSA-N 0 3 217.312 2.606 20 0 BFADHN Cc1cc(F)ncc1CN(C)C1CCC1 ZINC000892059086 582779400 /nfs/dbraw/zinc/77/94/00/582779400.db2.gz UTJCTRUCODGLBR-UHFFFAOYSA-N 0 3 208.280 2.513 20 0 BFADHN C[C@@H]1CCN(Cc2cnn(C)c2C2CC2)C[C@H]1C ZINC000892065265 582781716 /nfs/dbraw/zinc/78/17/16/582781716.db2.gz KSFJHYRBSIGEBH-VXGBXAGGSA-N 0 3 247.386 2.775 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1cnn(C)c1C1CC1 ZINC000892067414 582783503 /nfs/dbraw/zinc/78/35/03/582783503.db2.gz QEFPEABTRZGRBG-NWDGAFQWSA-N 0 3 247.386 2.918 20 0 BFADHN CCn1cc(CN2CC[C@@H](C)[C@H](C)C2)c(C)n1 ZINC000892066943 582783653 /nfs/dbraw/zinc/78/36/53/582783653.db2.gz ZZVSHZIJMTYKPU-VXGBXAGGSA-N 0 3 235.375 2.689 20 0 BFADHN C[C@@H]1CN(Cc2ccnn2C2CCC2)C[C@H]1C ZINC000892068855 582784360 /nfs/dbraw/zinc/78/43/60/582784360.db2.gz UXVGQAZCGOJMOJ-VXGBXAGGSA-N 0 3 233.359 2.696 20 0 BFADHN CCN(Cc1cccc2c1OCC2)C1CCC1 ZINC000892085473 582787824 /nfs/dbraw/zinc/78/78/24/582787824.db2.gz GKGPVAPDXCFGLG-UHFFFAOYSA-N 0 3 231.339 2.996 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cn2ccnc2s1 ZINC000892103149 582791900 /nfs/dbraw/zinc/79/19/00/582791900.db2.gz IXRYZODBGJVBAV-VHSXEESVSA-N 0 3 235.356 2.626 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2ccnn2C2CCC2)C1 ZINC000892104973 582792863 /nfs/dbraw/zinc/79/28/63/582792863.db2.gz WJBCUAGHMQGKRY-VXGBXAGGSA-N 0 3 233.359 2.838 20 0 BFADHN CC[C@]1(C)CCN(Cc2cnn(C)c2C2CC2)C1 ZINC000892146089 582800548 /nfs/dbraw/zinc/80/05/48/582800548.db2.gz LUEHJIMWWPQNLA-OAHLLOKOSA-N 0 3 247.386 2.920 20 0 BFADHN c1nn(C2CCC2)cc1CN1CC[C@H](C2CC2)C1 ZINC000892145499 582800642 /nfs/dbraw/zinc/80/06/42/582800642.db2.gz SNZBEOLXLMQDPE-AWEZNQCLSA-N 0 3 245.370 2.840 20 0 BFADHN CC[C@H]1CCN(Cc2cnn(C)c2C2CC2)C1 ZINC000892224334 582808954 /nfs/dbraw/zinc/80/89/54/582808954.db2.gz UCINNHPWBMPXJH-NSHDSACASA-N 0 3 233.359 2.529 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1ccnn1C1CCC1 ZINC000892224088 582810005 /nfs/dbraw/zinc/81/00/05/582810005.db2.gz QJNJPOCLTZMDRA-RYUDHWBXSA-N 0 3 233.359 2.981 20 0 BFADHN COc1ccc(CN2[C@H](C)CC[C@H]2C)o1 ZINC000892223138 582810014 /nfs/dbraw/zinc/81/00/14/582810014.db2.gz FPEACPGYHCQTQX-NXEZZACHSA-N 0 3 209.289 2.661 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cccc2[nH]c(=O)oc21 ZINC000892223800 582810234 /nfs/dbraw/zinc/81/02/34/582810234.db2.gz MNVCSFQFAIBGDD-UWVGGRQHSA-N 0 3 246.310 2.906 20 0 BFADHN CN(CCC1CC1)Cc1cnn(C2CCC2)c1 ZINC000892236293 582810645 /nfs/dbraw/zinc/81/06/45/582810645.db2.gz RECWETYQYXOUFQ-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN CN(CCC1CC1)Cc1cnn(C)c1C1CC1 ZINC000892235249 582810722 /nfs/dbraw/zinc/81/07/22/582810722.db2.gz LWBVLNYWGJZHSM-UHFFFAOYSA-N 0 3 233.359 2.529 20 0 BFADHN CCOc1ccc(CN(C)CCC2CC2)o1 ZINC000892235887 582810733 /nfs/dbraw/zinc/81/07/33/582810733.db2.gz OUFAIYMPPPBFAO-UHFFFAOYSA-N 0 3 223.316 2.910 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1CCCOCC1 ZINC000092328438 582855488 /nfs/dbraw/zinc/85/54/88/582855488.db2.gz DLJGWCUAWZIVPO-JOYOIKCWSA-N 0 3 238.331 2.511 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCC[C@H]1CCCO1 ZINC000092331516 582855590 /nfs/dbraw/zinc/85/55/90/582855590.db2.gz ZJFLRPKROSLICZ-BXKDBHETSA-N 0 3 238.331 2.511 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NC[C@@H]1CCCCO1 ZINC000092332016 582855604 /nfs/dbraw/zinc/85/56/04/582855604.db2.gz PHWZBBCWQPESGE-SKDRFNHKSA-N 0 3 238.331 2.511 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cnn(C2CCC2)c1 ZINC000892402529 582876993 /nfs/dbraw/zinc/87/69/93/582876993.db2.gz CJQORQNQWJEFAD-CQSZACIVSA-N 0 3 233.359 2.838 20 0 BFADHN Cc1ccc(CCNCc2cnc(F)c(C)c2)o1 ZINC000892562506 582915057 /nfs/dbraw/zinc/91/50/57/582915057.db2.gz FOPDQQJRWJFXSM-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN Cc1cc2cc(CNC3CSC3)ccc2[nH]1 ZINC000393738428 582921688 /nfs/dbraw/zinc/92/16/88/582921688.db2.gz DXYZBQFEKWHRRQ-UHFFFAOYSA-N 0 3 232.352 2.681 20 0 BFADHN CC(C)C(CNCc1ccon1)C(C)C ZINC000393744174 582921889 /nfs/dbraw/zinc/92/18/89/582921889.db2.gz LDZJRWSEHCYIKC-UHFFFAOYSA-N 0 3 210.321 2.692 20 0 BFADHN CC(=O)OC[C@@H](C)N[C@H](C)c1ccc(C)s1 ZINC000892669546 582946814 /nfs/dbraw/zinc/94/68/14/582946814.db2.gz UCTBDKGOASSSJM-PSASIEDQSA-N 0 3 241.356 2.659 20 0 BFADHN CCCSC[C@H](C)NCc1c(C)noc1C ZINC000892674144 582948264 /nfs/dbraw/zinc/94/82/64/582948264.db2.gz LZWOQTDDGOXZHP-VIFPVBQESA-N 0 3 242.388 2.913 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ccc(OC)o1 ZINC000892676658 582950105 /nfs/dbraw/zinc/95/01/05/582950105.db2.gz OQJBUDVCGIRYGS-UWVGGRQHSA-N 0 3 211.305 2.812 20 0 BFADHN CCOc1ccc(CN[C@@H](C)C2(C)CC2)o1 ZINC000892685925 582955474 /nfs/dbraw/zinc/95/54/74/582955474.db2.gz FJGJSMOPYRDRKQ-JTQLQIEISA-N 0 3 223.316 2.957 20 0 BFADHN COC(CCN1C[C@@H](C)[C@H]1c1ccccc1)OC ZINC000851800770 582968020 /nfs/dbraw/zinc/96/80/20/582968020.db2.gz QQDZWUJHLWXMEE-DOMZBBRYSA-N 0 3 249.354 2.688 20 0 BFADHN CCCN(CC)c1cc(N)ncc1Cl ZINC000892787582 582982836 /nfs/dbraw/zinc/98/28/36/582982836.db2.gz RMZKDDIPYARRFT-UHFFFAOYSA-N 0 3 213.712 2.554 20 0 BFADHN Cc1cc(C)cc(CN(C)CCOC(F)F)c1 ZINC000851988085 582989939 /nfs/dbraw/zinc/98/99/39/582989939.db2.gz JJIVUDIONWIRMI-UHFFFAOYSA-N 0 3 243.297 2.974 20 0 BFADHN CC(C)C(C)(C)NCc1nnc(C2CC2)s1 ZINC000892880493 583002018 /nfs/dbraw/zinc/00/20/18/583002018.db2.gz BIAJSGXOSULLFA-UHFFFAOYSA-N 0 3 239.388 2.940 20 0 BFADHN CC(C)C[C@H]1CCCN(c2ccncc2CO)C1 ZINC000892904809 583007813 /nfs/dbraw/zinc/00/78/13/583007813.db2.gz DEZGYZLVSHSFFR-CYBMUJFWSA-N 0 3 248.370 2.836 20 0 BFADHN Fc1cccc(CN2CC[C@@H](C3CCC3)C2)n1 ZINC000852177750 583008289 /nfs/dbraw/zinc/00/82/89/583008289.db2.gz SBLABAPVISTWNW-GFCCVEGCSA-N 0 3 234.318 2.843 20 0 BFADHN CC(=O)OC[C@@H](C)N[C@H]1CCc2ccc(C)cc21 ZINC000892920053 583010604 /nfs/dbraw/zinc/01/06/04/583010604.db2.gz GWKPWECDKBYXDK-ABAIWWIYSA-N 0 3 247.338 2.524 20 0 BFADHN COc1ccc(CNC[C@H]2Cc3ccccc32)o1 ZINC000892929501 583011459 /nfs/dbraw/zinc/01/14/59/583011459.db2.gz OYCYFJVRLZUWKY-GFCCVEGCSA-N 0 3 243.306 2.718 20 0 BFADHN CCOc1ccc(CN[C@H]2CCC[C@H]2C)o1 ZINC000892946180 583015285 /nfs/dbraw/zinc/01/52/85/583015285.db2.gz VJBFIIJXSWKETD-PWSUYJOCSA-N 0 3 223.316 2.957 20 0 BFADHN COc1ccc(CNCC2(C)CCCC2)nc1 ZINC000892946854 583015815 /nfs/dbraw/zinc/01/58/15/583015815.db2.gz QKBGFLYZAPMZPZ-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1CC=CCC1 ZINC000893003511 583027429 /nfs/dbraw/zinc/02/74/29/583027429.db2.gz LGBNUVMUCHYHRZ-LLVKDONJSA-N 0 3 220.316 2.744 20 0 BFADHN C[C@H](CCO)NCc1ccc(C(F)F)c(F)c1 ZINC000893004784 583027460 /nfs/dbraw/zinc/02/74/60/583027460.db2.gz LSZFRBWOJUOAEK-MRVPVSSYSA-N 0 3 247.260 2.624 20 0 BFADHN CCOc1ccc(CNCC(C)(C)SC)o1 ZINC000893074070 583041825 /nfs/dbraw/zinc/04/18/25/583041825.db2.gz JOJKSIJIWCLILK-UHFFFAOYSA-N 0 3 243.372 2.910 20 0 BFADHN CCOc1ccc(CNC[C@@H](C)SC)o1 ZINC000893180189 583065440 /nfs/dbraw/zinc/06/54/40/583065440.db2.gz CYCREIQMYSETSI-SECBINFHSA-N 0 3 229.345 2.519 20 0 BFADHN CC(C)CC[C@@H](C)NCc1cn(C)nc1Cl ZINC000856763631 588876010 /nfs/dbraw/zinc/87/60/10/588876010.db2.gz GIYIUUZKSYKVIU-SNVBAGLBSA-N 0 3 243.782 2.988 20 0 BFADHN CC(C)Cc1ccc(CN[C@@]2(C)CCOC2)cn1 ZINC000893242212 583076963 /nfs/dbraw/zinc/07/69/63/583076963.db2.gz VJRCBSPRLUJWQC-HNNXBMFYSA-N 0 3 248.370 2.549 20 0 BFADHN COc1ccc(CNCCSCC(C)C)o1 ZINC000893247910 583078239 /nfs/dbraw/zinc/07/82/39/583078239.db2.gz JRBWISOIHOZFPI-UHFFFAOYSA-N 0 3 243.372 2.767 20 0 BFADHN C[C@@H]1CSC[C@@H]1N[C@@H]1CCCc2cccnc21 ZINC000397856387 583110074 /nfs/dbraw/zinc/11/00/74/583110074.db2.gz WRKBNHMGTPEYKN-RTXFEEFZSA-N 0 3 248.395 2.800 20 0 BFADHN Cn1cc(CNCCC2=CCCC2)c(Cl)n1 ZINC000856775325 588877009 /nfs/dbraw/zinc/87/70/09/588877009.db2.gz LADBJYDPRHAKRB-UHFFFAOYSA-N 0 3 239.750 2.664 20 0 BFADHN CC(C)C[C@@H](C)NCc1cn(C)nc1Cl ZINC000856776193 588877013 /nfs/dbraw/zinc/87/70/13/588877013.db2.gz XWEHDVGLAVBUDB-SECBINFHSA-N 0 3 229.755 2.598 20 0 BFADHN Cn1cc(CN[C@@H]2CCC(C)(C)C2)c(Cl)n1 ZINC000856776555 588877285 /nfs/dbraw/zinc/87/72/85/588877285.db2.gz VLKRZSPSZGODCF-SNVBAGLBSA-N 0 3 241.766 2.742 20 0 BFADHN CCCC1(CNCc2cn(C)nc2Cl)CC1 ZINC000856772813 588877295 /nfs/dbraw/zinc/87/72/95/588877295.db2.gz GMUDZGHOXAYMRH-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN C[C@H](CCC1CC1)NCc1cn(C)nc1Cl ZINC000856777446 588877368 /nfs/dbraw/zinc/87/73/68/588877368.db2.gz BHBARRMLVDKLTB-SECBINFHSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1cn(C)nc1Cl ZINC000856783785 588877435 /nfs/dbraw/zinc/87/74/35/588877435.db2.gz HBMINULHIGUIEX-VHSXEESVSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1cn(C)nc1Cl ZINC000856783784 588877445 /nfs/dbraw/zinc/87/74/45/588877445.db2.gz HBMINULHIGUIEX-UWVGGRQHSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2cn(C)nc2Cl)C1 ZINC000856783796 588877522 /nfs/dbraw/zinc/87/75/22/588877522.db2.gz HECSYRALVLBGQH-ZJUUUORDSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@H]1OCC[C@@H]1N[C@@H]1CCc2c1cccc2F ZINC000120650085 583120774 /nfs/dbraw/zinc/12/07/74/583120774.db2.gz UZBFBKXCLNLDRG-BIGNPOOSSA-N 0 3 235.302 2.580 20 0 BFADHN CSC[C@H](C)NCc1cc(C)oc1C ZINC000120800979 583125603 /nfs/dbraw/zinc/12/56/03/583125603.db2.gz OPMHZIJMMRWKST-QMMMGPOBSA-N 0 3 213.346 2.738 20 0 BFADHN CC[C@@H](C)CCNCc1cn(C)nc1Cl ZINC000856794784 588878286 /nfs/dbraw/zinc/87/82/86/588878286.db2.gz UVMKDZRXZRJGEJ-SECBINFHSA-N 0 3 229.755 2.599 20 0 BFADHN CCCCNC(=O)[C@@H](C)N1CCCCCCC1 ZINC000856881096 588879351 /nfs/dbraw/zinc/87/93/51/588879351.db2.gz AMPPKSYYOBHTEI-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN C[C@@H](NC[C@H]1Cc2ccccc2O1)c1ccoc1 ZINC000094869087 583171340 /nfs/dbraw/zinc/17/13/40/583171340.db2.gz NCVDCMYNUHSGIM-BXUZGUMPSA-N 0 3 243.306 2.934 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cn(C)nc1Cl ZINC000857040462 588883426 /nfs/dbraw/zinc/88/34/26/588883426.db2.gz ZFNRDWKSYQTXDI-MWLCHTKSSA-N 0 3 241.766 2.694 20 0 BFADHN C[C@@H]1CCCCN(Cc2nccn2C2CC2)C1 ZINC000893678619 583197956 /nfs/dbraw/zinc/19/79/56/583197956.db2.gz MSAULSQTKWTOEU-GFCCVEGCSA-N 0 3 233.359 2.840 20 0 BFADHN C[C@@H](NCC[C@@H]1CC1(Cl)Cl)c1ncc[nH]1 ZINC000857081928 588885900 /nfs/dbraw/zinc/88/59/00/588885900.db2.gz KFNBAMICEMDCNU-HTQZYQBOSA-N 0 3 248.157 2.644 20 0 BFADHN C[C@@H](NCC[C@H]1CC1(Cl)Cl)c1ncc[nH]1 ZINC000857081931 588885938 /nfs/dbraw/zinc/88/59/38/588885938.db2.gz KFNBAMICEMDCNU-SFYZADRCSA-N 0 3 248.157 2.644 20 0 BFADHN C[C@H](NCC[C@H]1CC1(Cl)Cl)c1ncc[nH]1 ZINC000857081933 588886130 /nfs/dbraw/zinc/88/61/30/588886130.db2.gz KFNBAMICEMDCNU-YUMQZZPRSA-N 0 3 248.157 2.644 20 0 BFADHN Cc1n[nH]c(CN(C)C2CCCCC2)c1C ZINC000893870447 583236255 /nfs/dbraw/zinc/23/62/55/583236255.db2.gz DAONKMRJQDJNIL-UHFFFAOYSA-N 0 3 221.348 2.791 20 0 BFADHN C[C@H]1CCCN(Cc2ccc3oc(=O)oc3c2)C1 ZINC000893871446 583237851 /nfs/dbraw/zinc/23/78/51/583237851.db2.gz NISNGHHLLMGXIA-JTQLQIEISA-N 0 3 247.294 2.618 20 0 BFADHN COc1ccc(CNCC(C)(C)C(C)C)nc1 ZINC000893889200 583243776 /nfs/dbraw/zinc/24/37/76/583243776.db2.gz XCVSNPUIJJLLHO-UHFFFAOYSA-N 0 3 236.359 2.862 20 0 BFADHN Cc1ncoc1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000893929607 583249935 /nfs/dbraw/zinc/24/99/35/583249935.db2.gz VDYOXSMJNJKJEA-CMPLNLGQSA-N 0 3 222.332 2.994 20 0 BFADHN CSc1ccc(CN(C)[C@@H]2CCSC2)o1 ZINC000893929672 583249966 /nfs/dbraw/zinc/24/99/66/583249966.db2.gz VVSDAJRKWXQWQX-SECBINFHSA-N 0 3 243.397 2.939 20 0 BFADHN Cc1coc(CN(C)[C@H]2CCSC2)c1 ZINC000893929702 583250418 /nfs/dbraw/zinc/25/04/18/583250418.db2.gz WATIZXAOORYJEZ-JTQLQIEISA-N 0 3 211.330 2.525 20 0 BFADHN CCCN(Cc1cnc2onc(C)c2c1)C1CC1 ZINC000893939110 583253424 /nfs/dbraw/zinc/25/34/24/583253424.db2.gz HISMVCWAXKJNNY-UHFFFAOYSA-N 0 3 245.326 2.906 20 0 BFADHN Cc1ncoc1CN(CC(C)C)C(C)C ZINC000893962313 583256986 /nfs/dbraw/zinc/25/69/86/583256986.db2.gz LHPQUJRZGFBUJZ-UHFFFAOYSA-N 0 3 210.321 2.849 20 0 BFADHN CCC1CCN(Cc2c(C)ccnc2N)CC1 ZINC000893968700 583263537 /nfs/dbraw/zinc/26/35/37/583263537.db2.gz KHPRYGMFSKKCLL-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN CCC1(C)CCN(Cc2c(C)ccnc2N)CC1 ZINC000893986895 583268662 /nfs/dbraw/zinc/26/86/62/583268662.db2.gz GATRKHQWXOEMFG-UHFFFAOYSA-N 0 3 247.386 2.984 20 0 BFADHN CN(C/C=C\c1cccc(F)c1)[C@H]1CCOC1 ZINC000894001283 583271094 /nfs/dbraw/zinc/27/10/94/583271094.db2.gz HKMJEAULDBBAEI-MFBWXBCUSA-N 0 3 235.302 2.560 20 0 BFADHN Cc1noc2ncc(CN3C[C@H](C)[C@@H](C)C3)cc12 ZINC000894033969 583278587 /nfs/dbraw/zinc/27/85/87/583278587.db2.gz KRUJJRCHIHZZLT-UWVGGRQHSA-N 0 3 245.326 2.619 20 0 BFADHN CCOC[C@H]1CCCN(Cc2cc(C)co2)C1 ZINC000894027053 583280641 /nfs/dbraw/zinc/28/06/41/583280641.db2.gz PWCKMIFHESRTQH-ZDUSSCGKSA-N 0 3 237.343 2.837 20 0 BFADHN Cc1noc2ncc(CN3CC[C@@H](C)[C@H]3C)cc12 ZINC000894086353 583295889 /nfs/dbraw/zinc/29/58/89/583295889.db2.gz VVEGJOSUXZAUSM-MWLCHTKSSA-N 0 3 245.326 2.762 20 0 BFADHN Cc1ccnc(N)c1CN(C)[C@H](C)C1(C)CC1 ZINC000894088636 583298755 /nfs/dbraw/zinc/29/87/55/583298755.db2.gz NARGIQYECBJEIR-LLVKDONJSA-N 0 3 233.359 2.593 20 0 BFADHN COc1ccc(CNCC2(SC)CCC2)o1 ZINC000894106998 583306818 /nfs/dbraw/zinc/30/68/18/583306818.db2.gz VNVOBYQBZDLAJT-UHFFFAOYSA-N 0 3 241.356 2.664 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1cccc2c1OCC2 ZINC000894138501 583315295 /nfs/dbraw/zinc/31/52/95/583315295.db2.gz FEYSDNHTTNTTFJ-PHIMTYICSA-N 0 3 217.312 2.604 20 0 BFADHN Cc1ncoc1CNCCc1ccccc1C ZINC000894141327 583317313 /nfs/dbraw/zinc/31/73/13/583317313.db2.gz SJBOXNJGXWIGBK-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1ncoc1CN[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000894149778 583319171 /nfs/dbraw/zinc/31/91/71/583319171.db2.gz OPJCYPPTCLAVGI-JBLDHEPKSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ncoc1CNCCC1=CCCCC1 ZINC000894156395 583320201 /nfs/dbraw/zinc/32/02/01/583320201.db2.gz KVQQIHSGELTDBP-UHFFFAOYSA-N 0 3 220.316 2.963 20 0 BFADHN CCN(Cc1cn(CC)nc1C)CC1CCC1 ZINC000894176663 583327251 /nfs/dbraw/zinc/32/72/51/583327251.db2.gz QBYQADOLRRTTDV-UHFFFAOYSA-N 0 3 235.375 2.833 20 0 BFADHN c1cnc2cccc(CN3CC4(CC4)C3)c2c1 ZINC000877556700 583331294 /nfs/dbraw/zinc/33/12/94/583331294.db2.gz XEWZVGZWHYGLJY-UHFFFAOYSA-N 0 3 224.307 2.831 20 0 BFADHN Cc1ncoc1CNC[C@H]1CCC[C@@H](C)C1 ZINC000894201331 583337492 /nfs/dbraw/zinc/33/74/92/583337492.db2.gz ZAAFJAMKRUEHOB-PWSUYJOCSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1ccc([C@H](O)CN2CC(CC3CC3)C2)cc1 ZINC000857212004 588894173 /nfs/dbraw/zinc/89/41/73/588894173.db2.gz GOPNFJFSICIJPK-MRXNPFEDSA-N 0 3 245.366 2.760 20 0 BFADHN Fc1cc2cccnc2c(CNC2CSC2)c1 ZINC000877633970 583340735 /nfs/dbraw/zinc/34/07/35/583340735.db2.gz BFSQSJIJBGSHKG-UHFFFAOYSA-N 0 3 248.326 2.579 20 0 BFADHN CC(C)CCn1cccc1CNC1CSC1 ZINC000877635151 583341029 /nfs/dbraw/zinc/34/10/29/583341029.db2.gz FROCJDJDNAJTCT-UHFFFAOYSA-N 0 3 238.400 2.739 20 0 BFADHN Cc1occc1CN1CC[C@H]2CSC[C@@H]2C1 ZINC000877738044 583344612 /nfs/dbraw/zinc/34/46/12/583344612.db2.gz MWAQKHFVEMPKSD-STQMWFEESA-N 0 3 237.368 2.773 20 0 BFADHN Oc1cccc(CN2CC[C@H]3CSC[C@@H]3C2)c1 ZINC000877737931 583345046 /nfs/dbraw/zinc/34/50/46/583345046.db2.gz IYYRTWPRFITULK-STQMWFEESA-N 0 3 249.379 2.577 20 0 BFADHN CC[C@H](C)CN1CCO[C@H](C(F)(F)F)CC1 ZINC000877819992 583348764 /nfs/dbraw/zinc/34/87/64/583348764.db2.gz KTAYRZLIFITNHZ-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN Cl/C=C\CN1CCC[C@]2(CCCOC2)C1 ZINC000747531627 583362986 /nfs/dbraw/zinc/36/29/86/583362986.db2.gz DDILRQCDXGCBRU-RYBZSIHZSA-N 0 3 229.751 2.632 20 0 BFADHN Clc1cccc(CCCNCc2c[nH]cn2)c1 ZINC000894241663 583387767 /nfs/dbraw/zinc/38/77/67/583387767.db2.gz LKOZPISCNCNDED-UHFFFAOYSA-N 0 3 249.745 2.786 20 0 BFADHN CS[C@@H]1CCC[C@@H](NCc2ocnc2C)C1 ZINC000894259822 583398461 /nfs/dbraw/zinc/39/84/61/583398461.db2.gz JVFIENVOIVSIIG-GHMZBOCLSA-N 0 3 240.372 2.747 20 0 BFADHN CCCCN(CN1CCC1=O)C1CCCCC1 ZINC000857231691 588896971 /nfs/dbraw/zinc/89/69/71/588896971.db2.gz CJYHPVAGPIISNL-UHFFFAOYSA-N 0 3 238.375 2.611 20 0 BFADHN CSc1cc(C)ccc1CNCc1cnc[nH]1 ZINC000894331361 583425190 /nfs/dbraw/zinc/42/51/90/583425190.db2.gz GIZAUSBYWHGTIH-UHFFFAOYSA-N 0 3 247.367 2.730 20 0 BFADHN CC1(F)CCN(C[C@@H]2C[C@H]3CCC[C@H]3O2)CC1 ZINC000879383272 583428122 /nfs/dbraw/zinc/42/81/22/583428122.db2.gz GPVWMLFXYNHLKU-FRRDWIJNSA-N 0 3 241.350 2.768 20 0 BFADHN CC1(C)C[C@@H](NCc2c[nH]cn2)c2ccccc21 ZINC000894346276 583430915 /nfs/dbraw/zinc/43/09/15/583430915.db2.gz ORWWKBVZAOMFFE-CQSZACIVSA-N 0 3 241.338 2.922 20 0 BFADHN CC1(C)C[C@@H](NCc2cnc[nH]2)c2ccccc21 ZINC000894346276 583430917 /nfs/dbraw/zinc/43/09/17/583430917.db2.gz ORWWKBVZAOMFFE-CQSZACIVSA-N 0 3 241.338 2.922 20 0 BFADHN Cc1ccnc(N)c1CNCCC1=CCCC1 ZINC000894360103 583437343 /nfs/dbraw/zinc/43/73/43/583437343.db2.gz VFRBYZDVAZHESE-UHFFFAOYSA-N 0 3 231.343 2.562 20 0 BFADHN c1csc(N[C@@H]2CCCN3CCCC[C@@H]23)n1 ZINC000894438740 583459710 /nfs/dbraw/zinc/45/97/10/583459710.db2.gz UCDUAUIZNOJXHQ-MNOVXSKESA-N 0 3 237.372 2.572 20 0 BFADHN c1csc(N[C@@H]2CCCN3CCCC[C@H]23)n1 ZINC000894438739 583459862 /nfs/dbraw/zinc/45/98/62/583459862.db2.gz UCDUAUIZNOJXHQ-GHMZBOCLSA-N 0 3 237.372 2.572 20 0 BFADHN Cc1n[nH]c(CN2CC[C@@H](C(C)(C)C)C2)c1C ZINC000894446472 583460917 /nfs/dbraw/zinc/46/09/17/583460917.db2.gz UHUDCABNLODYRG-GFCCVEGCSA-N 0 3 235.375 2.895 20 0 BFADHN CCN(CCC1CC1)Cc1c(C)ccnc1N ZINC000894467244 583468050 /nfs/dbraw/zinc/46/80/50/583468050.db2.gz ZOFXZQPHQYPPQN-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN Cn1ncc(CNC2C(C)(C)C2(C)C)c1C1CC1 ZINC000894479851 583469910 /nfs/dbraw/zinc/46/99/10/583469910.db2.gz TUCIZOQPFZEOAR-UHFFFAOYSA-N 0 3 247.386 2.822 20 0 BFADHN Cc1coc(CN2CC[C@H](C)C[C@H]2[C@@H](C)O)c1 ZINC000894534217 583478975 /nfs/dbraw/zinc/47/89/75/583478975.db2.gz YPJLKTYQDQMBDK-SUHUHFCYSA-N 0 3 237.343 2.569 20 0 BFADHN CN(C/C=C/c1cccc(F)c1)CCCCO ZINC000894538997 583480000 /nfs/dbraw/zinc/48/00/00/583480000.db2.gz OCTOHOVHWJZLPY-FNORWQNLSA-N 0 3 237.318 2.543 20 0 BFADHN Cc1n[nH]c(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)c1C ZINC000894540710 583480749 /nfs/dbraw/zinc/48/07/49/583480749.db2.gz LWSPXHVOOLKYLL-BREBYQMCSA-N 0 3 235.375 2.893 20 0 BFADHN COCC[C@H]1COCCN1CCCCC(C)C ZINC000894571557 583485764 /nfs/dbraw/zinc/48/57/64/583485764.db2.gz UVOBRQOWNNKLQW-AWEZNQCLSA-N 0 3 243.391 2.550 20 0 BFADHN C[C@@H]1CN(Cc2nccc3c2CCCC3)[C@@H]1C ZINC000894628083 583497038 /nfs/dbraw/zinc/49/70/38/583497038.db2.gz MQDGQNWKNCEDNA-VXGBXAGGSA-N 0 3 230.355 2.801 20 0 BFADHN C[C@@H]1CN(Cc2nccc3c2CCCC3)[C@H]1C ZINC000894628080 583497088 /nfs/dbraw/zinc/49/70/88/583497088.db2.gz MQDGQNWKNCEDNA-NEPJUHHUSA-N 0 3 230.355 2.801 20 0 BFADHN CCN(Cc1c(C)ccnc1N)CC1CCC1 ZINC000894628149 583497221 /nfs/dbraw/zinc/49/72/21/583497221.db2.gz MKIXBPDBFLQNOA-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN CO[C@H]1CCN(Cc2cc(C)co2)[C@@H](C)C1 ZINC000894660807 583504392 /nfs/dbraw/zinc/50/43/92/583504392.db2.gz STPRAVGRUNRCDV-RYUDHWBXSA-N 0 3 223.316 2.587 20 0 BFADHN Cc1ncoc1CNCC1CCC(F)(F)CC1 ZINC000894672407 583504511 /nfs/dbraw/zinc/50/45/11/583504511.db2.gz RTBQJMGRPPTWGK-UHFFFAOYSA-N 0 3 244.285 2.898 20 0 BFADHN c1cc2c(c(CN3CC[C@H]3C3CC3)c1)OCC2 ZINC000894687337 583506692 /nfs/dbraw/zinc/50/66/92/583506692.db2.gz CKDXOVVYEJNYSE-AWEZNQCLSA-N 0 3 229.323 2.606 20 0 BFADHN Cc1coc(CN2CCC[C@@H]2[C@@H]2CCCO2)c1 ZINC000894689226 583508859 /nfs/dbraw/zinc/50/88/59/583508859.db2.gz CURJDMOIOZXHCK-KGLIPLIRSA-N 0 3 235.327 2.731 20 0 BFADHN CC/C=C\CNCc1cnc(F)cc1C ZINC000894701537 583509893 /nfs/dbraw/zinc/50/98/93/583509893.db2.gz BHXNUOBRJPCXEJ-PLNGDYQASA-N 0 3 208.280 2.585 20 0 BFADHN Cc1ncoc1CN(C)[C@H]1CCCC[C@H]1C ZINC000894703458 583510650 /nfs/dbraw/zinc/51/06/50/583510650.db2.gz XOCIDUODXAPEPZ-PWSUYJOCSA-N 0 3 222.332 2.994 20 0 BFADHN FC1CCN(C[C@H]2CCC3(CCC3)O2)CC1 ZINC000880239140 583512534 /nfs/dbraw/zinc/51/25/34/583512534.db2.gz WGUCPHHVCDFXCM-GFCCVEGCSA-N 0 3 227.323 2.522 20 0 BFADHN COc1ccc(CNC(C)(C)C2CCC2)o1 ZINC000894723871 583514751 /nfs/dbraw/zinc/51/47/51/583514751.db2.gz WEFNVVSHZCIYKU-UHFFFAOYSA-N 0 3 223.316 2.957 20 0 BFADHN CN(C/C=C/c1cccc(F)c1)C[C@H](O)C1CC1 ZINC000894776794 583519309 /nfs/dbraw/zinc/51/93/09/583519309.db2.gz KMRYROGTNPOLPK-UJAVWCLSSA-N 0 3 249.329 2.542 20 0 BFADHN CC[C@H](CSC)N(C)Cc1[nH]nc(C)c1C ZINC000894781598 583520201 /nfs/dbraw/zinc/52/02/01/583520201.db2.gz GQPCLUGRUDXVON-LLVKDONJSA-N 0 3 241.404 2.600 20 0 BFADHN CC[C@H](CSC)N(C)Cc1n[nH]c(C)c1C ZINC000894781598 583520202 /nfs/dbraw/zinc/52/02/02/583520202.db2.gz GQPCLUGRUDXVON-LLVKDONJSA-N 0 3 241.404 2.600 20 0 BFADHN Cc1ccnc(N)c1CN1CCC[C@H](C2CC2)C1 ZINC000894786264 583521815 /nfs/dbraw/zinc/52/18/15/583521815.db2.gz DRWRSFQBRDOVNJ-ZDUSSCGKSA-N 0 3 245.370 2.594 20 0 BFADHN Cc1ccc(OCCN2CC[C@@H](CF)C2)cc1 ZINC000880292656 583523486 /nfs/dbraw/zinc/52/34/86/583523486.db2.gz SVLLXZSXDILCKF-ZDUSSCGKSA-N 0 3 237.318 2.665 20 0 BFADHN Cc1cc(CCNCc2c[nH]cn2)ccc1Cl ZINC000894810332 583523586 /nfs/dbraw/zinc/52/35/86/583523586.db2.gz TZCRNCODYWTMLP-UHFFFAOYSA-N 0 3 249.745 2.704 20 0 BFADHN CCN(Cc1ccc(SC)o1)C[C@@H](C)OC ZINC000894820733 583525207 /nfs/dbraw/zinc/52/52/07/583525207.db2.gz KYGUHXCNSIFQQT-SNVBAGLBSA-N 0 3 243.372 2.858 20 0 BFADHN FC[C@H]1CCN(CCc2ccccc2F)C1 ZINC000880298774 583526432 /nfs/dbraw/zinc/52/64/32/583526432.db2.gz JSWLJFHHRSNYOM-LLVKDONJSA-N 0 3 225.282 2.660 20 0 BFADHN Cc1ncoc1CN1CC2(CCC2)[C@@H]1C(C)C ZINC000894831832 583528210 /nfs/dbraw/zinc/52/82/10/583528210.db2.gz BYSYIDTVPFMKTA-ZDUSSCGKSA-N 0 3 234.343 2.994 20 0 BFADHN c1csc([C@H]2CCN(Cc3ccccn3)C2)n1 ZINC000880317166 583528921 /nfs/dbraw/zinc/52/89/21/583528921.db2.gz PNYRZPRLHPMKTO-NSHDSACASA-N 0 3 245.351 2.528 20 0 BFADHN COCC1(C)CN(CCCCC(C)C)C1 ZINC000894839148 583529610 /nfs/dbraw/zinc/52/96/10/583529610.db2.gz BOJQIRQQFNOBHY-UHFFFAOYSA-N 0 3 213.365 2.781 20 0 BFADHN COCc1csc(CN2C[C@@H](C)[C@@H]2C)c1 ZINC000894862017 583535706 /nfs/dbraw/zinc/53/57/06/583535706.db2.gz RMKUGPAOSHSMFD-ZJUUUORDSA-N 0 3 225.357 2.735 20 0 BFADHN CS[C@H]1CCN(Cc2cc(C)co2)C1 ZINC000894879549 583542792 /nfs/dbraw/zinc/54/27/92/583542792.db2.gz AXEJAVVGGIHKEW-NSHDSACASA-N 0 3 211.330 2.525 20 0 BFADHN COc1ccc(CN[C@@]2(C)CC=CCC2)o1 ZINC000894867127 583538607 /nfs/dbraw/zinc/53/86/07/583538607.db2.gz IJQRLJYOJHNZQK-ZDUSSCGKSA-N 0 3 221.300 2.877 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1ocnc1C ZINC000894883439 583545629 /nfs/dbraw/zinc/54/56/29/583545629.db2.gz XLRRXVSGNCXNEH-NWDGAFQWSA-N 0 3 222.332 2.899 20 0 BFADHN CC/C=C/CNCc1cnc2onc(C)c2c1 ZINC000894888745 583547603 /nfs/dbraw/zinc/54/76/03/583547603.db2.gz PMEOMFCMJOVNMJ-SNAWJCMRSA-N 0 3 231.299 2.587 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCO2)Cc2ccccc21 ZINC000726955196 583549595 /nfs/dbraw/zinc/54/95/95/583549595.db2.gz JZYVERFRCHTCBT-OCCSQVGLSA-N 0 3 231.339 2.785 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1CS[C@H](C)C1 ZINC000894902784 583552385 /nfs/dbraw/zinc/55/23/85/583552385.db2.gz HOIYNISIKZIYQO-SCZZXKLOSA-N 0 3 240.372 2.529 20 0 BFADHN c1csc(CCN[C@H]2CCCc3c[nH]nc32)c1 ZINC000857845133 588911689 /nfs/dbraw/zinc/91/16/89/588911689.db2.gz BNTZOHLNMLAOHR-LBPRGKRZSA-N 0 3 247.367 2.681 20 0 BFADHN CC(C)[C@H]1N(Cc2c[nH]cn2)CC12CCCC2 ZINC000894958091 583559103 /nfs/dbraw/zinc/55/91/03/583559103.db2.gz OYIPHLSNUAWDNY-CYBMUJFWSA-N 0 3 233.359 2.810 20 0 BFADHN CC(C)[C@H]1N(Cc2cnc[nH]2)CC12CCCC2 ZINC000894958091 583559104 /nfs/dbraw/zinc/55/91/04/583559104.db2.gz OYIPHLSNUAWDNY-CYBMUJFWSA-N 0 3 233.359 2.810 20 0 BFADHN COC[C@H]1CCCN(Cc2cc(C)co2)CC1 ZINC000894952236 583559659 /nfs/dbraw/zinc/55/96/59/583559659.db2.gz IAJYGJOXIYMOKG-ZDUSSCGKSA-N 0 3 237.343 2.837 20 0 BFADHN CC(C)Cc1ccc(CN[C@H]2CC23CC3)cn1 ZINC000895008983 583567123 /nfs/dbraw/zinc/56/71/23/583567123.db2.gz LYPCNSCOVVWLRC-AWEZNQCLSA-N 0 3 230.355 2.922 20 0 BFADHN Nc1cc(N2C[C@@H]3CC=CC[C@@H]3C2)c(Cl)cn1 ZINC000895009678 583567230 /nfs/dbraw/zinc/56/72/30/583567230.db2.gz GTSGMLRRCKOOQU-AOOOYVTPSA-N 0 3 249.745 2.720 20 0 BFADHN Cc1cc(CN[C@H]2CC23CC3)c2c(n1)CCCC2 ZINC000895009087 583567289 /nfs/dbraw/zinc/56/72/89/583567289.db2.gz QFLRKMHMQYQMSX-HNNXBMFYSA-N 0 3 242.366 2.911 20 0 BFADHN Cc1coc(CN2CCC[C@@H](CCO)CC2)c1 ZINC000895036714 583570612 /nfs/dbraw/zinc/57/06/12/583570612.db2.gz PPBCWKKRWZPJQZ-CYBMUJFWSA-N 0 3 237.343 2.573 20 0 BFADHN CCCCCCNC(=O)CN(C)CC(C)(C)C ZINC000726972222 583579200 /nfs/dbraw/zinc/57/92/00/583579200.db2.gz YNWCOSDSRJZBQO-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN Cc1cc(CNCCCCC(C)C)n(C)n1 ZINC000147538914 583588889 /nfs/dbraw/zinc/58/88/89/583588889.db2.gz TWHRNEDVZANZQN-UHFFFAOYSA-N 0 3 223.364 2.644 20 0 BFADHN CC(C)C1(CN[C@H]2CCCc3c[nH]nc32)CC1 ZINC000857857126 588914484 /nfs/dbraw/zinc/91/44/84/588914484.db2.gz AJTRAOPREDHEQZ-LBPRGKRZSA-N 0 3 233.359 2.813 20 0 BFADHN FC1(CCN[C@@H]2CCCc3c[nH]nc32)CCC1 ZINC000857866543 588915718 /nfs/dbraw/zinc/91/57/18/588915718.db2.gz UBLOXHIFJIUBNP-LLVKDONJSA-N 0 3 237.322 2.659 20 0 BFADHN CCc1ccc(CN2CCCCC[C@H]2CO)o1 ZINC000895152396 583606759 /nfs/dbraw/zinc/60/67/59/583606759.db2.gz UTSBILZFGCFWNU-LBPRGKRZSA-N 0 3 237.343 2.579 20 0 BFADHN C[C@@H]1CC[C@@H](C)C1NCc1cn2ccsc2n1 ZINC000794605968 583616680 /nfs/dbraw/zinc/61/66/80/583616680.db2.gz UXETYZMYAQYCTA-NXEZZACHSA-N 0 3 249.383 2.920 20 0 BFADHN COc1ccc2nccc(N3C[C@@H]4C[C@@H]4C3)c2c1 ZINC000895183534 583618470 /nfs/dbraw/zinc/61/84/70/583618470.db2.gz ZPGNOQPINQZPNN-PHIMTYICSA-N 0 3 240.306 2.700 20 0 BFADHN Cc1cc(F)ccc1C[C@H](C)NCc1c[nH]cn1 ZINC000895231846 583629162 /nfs/dbraw/zinc/62/91/62/583629162.db2.gz ULSJNVVIXHVJMG-NSHDSACASA-N 0 3 247.317 2.578 20 0 BFADHN Cc1ncoc1CN1CCC[C@H](CCF)C1 ZINC000895274364 583635120 /nfs/dbraw/zinc/63/51/20/583635120.db2.gz IMNVOEAKUOEUNZ-LLVKDONJSA-N 0 3 226.295 2.555 20 0 BFADHN CCc1cccc(CNC[C@@H]2CC(C)=NO2)c1 ZINC000895299395 583641136 /nfs/dbraw/zinc/64/11/36/583641136.db2.gz CMGXNPGONZKULX-AWEZNQCLSA-N 0 3 232.327 2.503 20 0 BFADHN CC1=NO[C@@H](CN[C@H](C)CSC(C)(C)C)C1 ZINC000895306608 583643759 /nfs/dbraw/zinc/64/37/59/583643759.db2.gz HWWBONWBKVWUKP-GHMZBOCLSA-N 0 3 244.404 2.661 20 0 BFADHN CC1=NO[C@H](CNCc2ccc3occc3c2)C1 ZINC000895304085 583645241 /nfs/dbraw/zinc/64/52/41/583645241.db2.gz FSSPXCNCRPWCJZ-ZDUSSCGKSA-N 0 3 244.294 2.687 20 0 BFADHN Cc1cc(CNC[C@H]2CC(C)=NO2)c(C)s1 ZINC000895308522 583646513 /nfs/dbraw/zinc/64/65/13/583646513.db2.gz MMALWLNDACSBPY-GFCCVEGCSA-N 0 3 238.356 2.619 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NCCCC1CCC1 ZINC000857872671 588918554 /nfs/dbraw/zinc/91/85/54/588918554.db2.gz PQGZSFMJVHZSFG-CYBMUJFWSA-N 0 3 233.359 2.957 20 0 BFADHN CC1=NO[C@@H](CNCc2ccc(Cl)cc2)C1 ZINC000895312185 583647543 /nfs/dbraw/zinc/64/75/43/583647543.db2.gz WCCGYXDBWWJSCN-GFCCVEGCSA-N 0 3 238.718 2.594 20 0 BFADHN Cc1ncoc1CN[C@@H](C(C)C)C1CC1 ZINC000895332930 583652420 /nfs/dbraw/zinc/65/24/20/583652420.db2.gz RTNGUXVFCFPHQW-LBPRGKRZSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1ncoc1CN1CCC[C@H](C2CCC2)C1 ZINC000895335413 583652743 /nfs/dbraw/zinc/65/27/43/583652743.db2.gz NPMVBWOZKVZEKY-ZDUSSCGKSA-N 0 3 234.343 2.995 20 0 BFADHN Oc1cc(Cl)cc(CN[C@@H]2C=CCC2)c1 ZINC000895338007 583654124 /nfs/dbraw/zinc/65/41/24/583654124.db2.gz OBUROBWCHNZBJX-LLVKDONJSA-N 0 3 223.703 2.854 20 0 BFADHN CC(C)c1c[nH]c(CNCC2CCCC2)n1 ZINC000882883466 583654445 /nfs/dbraw/zinc/65/44/45/583654445.db2.gz ACLQHLYSFIHBGA-UHFFFAOYSA-N 0 3 221.348 2.813 20 0 BFADHN CCc1onc(C)c1CNC[C@]1(C)CC1(F)F ZINC000895377852 583661741 /nfs/dbraw/zinc/66/17/41/583661741.db2.gz NPYNPIUXHBNPQN-NSHDSACASA-N 0 3 244.285 2.680 20 0 BFADHN COc1ccc(CN2CCC[C@H](CCF)C2)o1 ZINC000895383915 583662682 /nfs/dbraw/zinc/66/26/82/583662682.db2.gz RKBNAFHNWBOOEZ-LLVKDONJSA-N 0 3 241.306 2.860 20 0 BFADHN FC(F)(F)C1(CNCc2c[nH]cn2)CCCC1 ZINC000895411990 583666859 /nfs/dbraw/zinc/66/68/59/583666859.db2.gz RYYKZNWZXZSZMH-UHFFFAOYSA-N 0 3 247.264 2.622 20 0 BFADHN FC(F)(F)C1(CNCc2cnc[nH]2)CCCC1 ZINC000895411990 583666861 /nfs/dbraw/zinc/66/68/61/583666861.db2.gz RYYKZNWZXZSZMH-UHFFFAOYSA-N 0 3 247.264 2.622 20 0 BFADHN Clc1ccc2c(c1)[C@@H](NCc1cnc[nH]1)CC2 ZINC000895415458 583667148 /nfs/dbraw/zinc/66/71/48/583667148.db2.gz VPUFXWQQVULLNG-ZDUSSCGKSA-N 0 3 247.729 2.840 20 0 BFADHN Clc1ccc2c(c1)[C@H](NCc1cnc[nH]1)CC2 ZINC000895415455 583667162 /nfs/dbraw/zinc/66/71/62/583667162.db2.gz VPUFXWQQVULLNG-CYBMUJFWSA-N 0 3 247.729 2.840 20 0 BFADHN CC1=NO[C@@H](CNCCc2ccc(C)c(C)c2)C1 ZINC000895427137 583668177 /nfs/dbraw/zinc/66/81/77/583668177.db2.gz AVEJQEAKIJUHQP-OAHLLOKOSA-N 0 3 246.354 2.600 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN1CC[C@@H]2C[C@@H]2C1 ZINC000895432383 583670673 /nfs/dbraw/zinc/67/06/73/583670673.db2.gz BIGRAJVAZANFSC-ZIAGYGMSSA-N 0 3 247.386 2.923 20 0 BFADHN CCSC1(CNCc2ccc(OC)o2)CC1 ZINC000895434151 583672623 /nfs/dbraw/zinc/67/26/23/583672623.db2.gz RPNRHXGANYIDHA-UHFFFAOYSA-N 0 3 241.356 2.664 20 0 BFADHN COc1ccc(CN[C@@]2(C)CC2(C)C)o1 ZINC000895441946 583675294 /nfs/dbraw/zinc/67/52/94/583675294.db2.gz HWVBWJXVOYVQBQ-LBPRGKRZSA-N 0 3 209.289 2.566 20 0 BFADHN Cc1ncoc1CNCCC[C@H]1C=CCC1 ZINC000895474640 583679361 /nfs/dbraw/zinc/67/93/61/583679361.db2.gz PKKQZCIDHYFFGC-LBPRGKRZSA-N 0 3 220.316 2.819 20 0 BFADHN Cc1coc(CN2CCC[C@@H](C(C)(C)O)C2)c1 ZINC000895472172 583680128 /nfs/dbraw/zinc/68/01/28/583680128.db2.gz AJKUBKIEQNUNHC-GFCCVEGCSA-N 0 3 237.343 2.571 20 0 BFADHN CN(Cc1cn(C)nc1C1CC1)C[C@H]1CC1(C)C ZINC000895482272 583681009 /nfs/dbraw/zinc/68/10/09/583681009.db2.gz SUEWUYTWYALDAM-CYBMUJFWSA-N 0 3 247.386 2.775 20 0 BFADHN CCOC(=O)c1ccc(CNC[C@@H]2C=CCC2)o1 ZINC000895527200 583684512 /nfs/dbraw/zinc/68/45/12/583684512.db2.gz JBTLRDMRVQZNBM-LLVKDONJSA-N 0 3 249.310 2.512 20 0 BFADHN Cc1ncoc1CNCC(C)(C)CC(F)F ZINC000895532828 583685490 /nfs/dbraw/zinc/68/54/90/583685490.db2.gz PZMXCWJPQZXSMM-UHFFFAOYSA-N 0 3 232.274 2.754 20 0 BFADHN FC1CCN(CC2=Cc3ccccc3OC2)CC1 ZINC000895549270 583686540 /nfs/dbraw/zinc/68/65/40/583686540.db2.gz IROFBMVVYJXBEM-UHFFFAOYSA-N 0 3 247.313 2.896 20 0 BFADHN CCC[C@@H](CNCc1ccc(OC)o1)OCC ZINC000895549459 583686577 /nfs/dbraw/zinc/68/65/77/583686577.db2.gz HVWFYHWIFGVXMP-NSHDSACASA-N 0 3 241.331 2.583 20 0 BFADHN FC1CCN(Cc2ccc(-c3cc[nH]n3)o2)CC1 ZINC000895547066 583686701 /nfs/dbraw/zinc/68/67/01/583686701.db2.gz JXSKRKLIYKDWOW-UHFFFAOYSA-N 0 3 249.289 2.604 20 0 BFADHN C=C/C=C/CCNCc1cc(C(=O)OC)oc1C ZINC000895542287 583688379 /nfs/dbraw/zinc/68/83/79/583688379.db2.gz WQJPDJALWGCUIL-AATRIKPKSA-N 0 3 249.310 2.597 20 0 BFADHN COc1ccsc1CN1CCC[C@@H](CF)C1 ZINC000895543423 583688408 /nfs/dbraw/zinc/68/84/08/583688408.db2.gz AYRXNHKPHMOKBP-JTQLQIEISA-N 0 3 243.347 2.938 20 0 BFADHN COCc1ccc(CN2CCC[C@H](CF)C2)o1 ZINC000895545022 583689307 /nfs/dbraw/zinc/68/93/07/583689307.db2.gz RPGQLFMVRZXVME-LLVKDONJSA-N 0 3 241.306 2.608 20 0 BFADHN Cc1coc(CN2CCC[C@H](CF)C2)c1 ZINC000895544249 583689597 /nfs/dbraw/zinc/68/95/97/583689597.db2.gz GOYOSBIVVYZYFX-LLVKDONJSA-N 0 3 211.280 2.770 20 0 BFADHN COCc1csc(CN2CCC(F)CC2)c1 ZINC000895546103 583689856 /nfs/dbraw/zinc/68/98/56/583689856.db2.gz KFNQNHMQUGKOMF-UHFFFAOYSA-N 0 3 243.347 2.828 20 0 BFADHN FC1CCN(Cc2cc3cccnc3o2)CC1 ZINC000895546996 583690655 /nfs/dbraw/zinc/69/06/55/583690655.db2.gz HCMRFURYQSTPCE-UHFFFAOYSA-N 0 3 234.274 2.762 20 0 BFADHN Cc1cc(CN2CC[C@H](CF)C2)c(C)o1 ZINC000895574251 583692769 /nfs/dbraw/zinc/69/27/69/583692769.db2.gz VLIJJRLWEGADFN-LLVKDONJSA-N 0 3 211.280 2.688 20 0 BFADHN CCOc1ccc(CNCC2CC=CC2)o1 ZINC000895565615 583694098 /nfs/dbraw/zinc/69/40/98/583694098.db2.gz WMBKNECESOTEHT-UHFFFAOYSA-N 0 3 221.300 2.734 20 0 BFADHN Clc1ccc2c(n1)[C@H](NCC1CC1)CC2 ZINC000857902371 588922090 /nfs/dbraw/zinc/92/20/90/588922090.db2.gz RJUJWFFLVWRQFW-SNVBAGLBSA-N 0 3 222.719 2.722 20 0 BFADHN COc1ccc(CNCC[C@H]2CCSC2)o1 ZINC000895690561 583707238 /nfs/dbraw/zinc/70/72/38/583707238.db2.gz FXFWMAUKZWRSFH-JTQLQIEISA-N 0 3 241.356 2.521 20 0 BFADHN CC(C)c1cnc(N[C@H]2CCN(C)[C@@H]2C)s1 ZINC000895736915 583717845 /nfs/dbraw/zinc/71/78/45/583717845.db2.gz PUXHNGZCLCEJIJ-ZJUUUORDSA-N 0 3 239.388 2.771 20 0 BFADHN Clc1ccc2c(n1)[C@@H](N[C@@H]1CC13CC3)CC2 ZINC000857917135 588923927 /nfs/dbraw/zinc/92/39/27/588923927.db2.gz WAPWZUXRWJXGFU-VHSXEESVSA-N 0 3 234.730 2.864 20 0 BFADHN Cn1ncc(CN[C@@]23C[C@@H]2CCCC3)c1C1CC1 ZINC000895753504 583719881 /nfs/dbraw/zinc/71/98/81/583719881.db2.gz OWVRZZHVVUBVCH-ZFWWWQNUSA-N 0 3 245.370 2.720 20 0 BFADHN Clc1ccc2c(n1)[C@H](N[C@@H]1CC13CC3)CC2 ZINC000857917129 588923990 /nfs/dbraw/zinc/92/39/90/588923990.db2.gz WAPWZUXRWJXGFU-NXEZZACHSA-N 0 3 234.730 2.864 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNCc1cc(C2CC2)no1 ZINC000895784393 583727021 /nfs/dbraw/zinc/72/70/21/583727021.db2.gz HHROJDCASSXBKY-QIRAZROLSA-N 0 3 246.354 2.934 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNCc1cc(C2CC2)no1 ZINC000895784392 583727229 /nfs/dbraw/zinc/72/72/29/583727229.db2.gz HHROJDCASSXBKY-OJDJGZDQSA-N 0 3 246.354 2.934 20 0 BFADHN Cc1ccc(CN2CC[C@H]([C@H]3CCCO3)C2)o1 ZINC000895843430 583734623 /nfs/dbraw/zinc/73/46/23/583734623.db2.gz IFOZLIKIMYZHMU-GXTWGEPZSA-N 0 3 235.327 2.589 20 0 BFADHN CCc1ccc(CN2CC[C@@H]([C@H]3CCCO3)C2)o1 ZINC000895848849 583736932 /nfs/dbraw/zinc/73/69/32/583736932.db2.gz USOJSGGDIMIEFB-IUODEOHRSA-N 0 3 249.354 2.843 20 0 BFADHN CCc1ccc(CN2C[C@@H](OC)CC[C@@H]2C)o1 ZINC000895926553 583747968 /nfs/dbraw/zinc/74/79/68/583747968.db2.gz HYDRXTKQSULVNK-AAEUAGOBSA-N 0 3 237.343 2.841 20 0 BFADHN COCC1CN(Cc2ccc(C(F)F)cc2)C1 ZINC000895969665 583754937 /nfs/dbraw/zinc/75/49/37/583754937.db2.gz IVXVGDIOJHSQFH-UHFFFAOYSA-N 0 3 241.281 2.702 20 0 BFADHN FC1CCN(Cc2ccc3c(c2)CCCO3)CC1 ZINC000895998894 583760319 /nfs/dbraw/zinc/76/03/19/583760319.db2.gz DCMCBABLWDFQFD-UHFFFAOYSA-N 0 3 249.329 2.946 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1CCO[C@H]1C ZINC000147861568 583777348 /nfs/dbraw/zinc/77/73/48/583777348.db2.gz GFFIHQIVLKHHEV-NTZNESFSSA-N 0 3 235.327 2.523 20 0 BFADHN CC[C@@H]1CCCN1C[C@H](O)c1cccc(F)c1 ZINC000727832435 583781617 /nfs/dbraw/zinc/78/16/17/583781617.db2.gz BLVWQVMFOXZYNI-KGLIPLIRSA-N 0 3 237.318 2.734 20 0 BFADHN CCC/C(C)=C\C(=O)N(CCC)CCN(C)C ZINC000727863227 583787218 /nfs/dbraw/zinc/78/72/18/583787218.db2.gz YOZHBYYQUPFWGC-SEYXRHQNSA-N 0 3 240.391 2.533 20 0 BFADHN CC1=CC[C@@H](NCc2cc(N(C)C)ccn2)CC1 ZINC000896298250 583789063 /nfs/dbraw/zinc/78/90/63/583789063.db2.gz HMJBEJPGWFEOLB-CYBMUJFWSA-N 0 3 245.370 2.736 20 0 BFADHN Cc1c(CN[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)ccnc1F ZINC000896400753 583799240 /nfs/dbraw/zinc/79/92/40/583799240.db2.gz HDDZBMIFDNZOHG-WRSRJMLGSA-N 0 3 234.318 2.663 20 0 BFADHN CCO[C@H]1CCN(c2ccnc3ccccc32)C1 ZINC000896406351 583800658 /nfs/dbraw/zinc/80/06/58/583800658.db2.gz DJBOXFPJTJEJOD-LBPRGKRZSA-N 0 3 242.322 2.850 20 0 BFADHN CCCN1CC[C@@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858045837 588931072 /nfs/dbraw/zinc/93/10/72/588931072.db2.gz BPYGQZLRVYUDAG-CQSZACIVSA-N 0 3 246.354 2.822 20 0 BFADHN C[C@H](N[C@@H](c1ncccn1)C1CC1)C1CCC1 ZINC000896600238 583817138 /nfs/dbraw/zinc/81/71/38/583817138.db2.gz VCCADYOTNKEIAU-GXFFZTMASA-N 0 3 231.343 2.706 20 0 BFADHN C[C@@H]1CSC[C@H]1N[C@@H](c1ccccn1)C1CC1 ZINC000896608943 583817352 /nfs/dbraw/zinc/81/73/52/583817352.db2.gz KCKAYFRHTWLIHC-LERXQTSPSA-N 0 3 248.395 2.874 20 0 BFADHN CCOc1ccc(CN[C@@H](C)C(C)(C)OC)o1 ZINC000896608491 583817468 /nfs/dbraw/zinc/81/74/68/583817468.db2.gz PKPKQSIABHCOKY-JTQLQIEISA-N 0 3 241.331 2.581 20 0 BFADHN c1ccc([C@H](NC[C@H]2CCC=CO2)C2CC2)nc1 ZINC000896611527 583817472 /nfs/dbraw/zinc/81/74/72/583817472.db2.gz BACOSFVGCBSIGO-UKRRQHHQSA-N 0 3 244.338 2.815 20 0 BFADHN CC[C@@](C)(CN[C@H](c1ccccn1)C1CC1)OC ZINC000896610619 583817517 /nfs/dbraw/zinc/81/75/17/583817517.db2.gz XKNDSJUEJLXCID-GJZGRUSLSA-N 0 3 248.370 2.937 20 0 BFADHN CCSCCNC(C)(C)/C=C\Cl ZINC000896746976 583830113 /nfs/dbraw/zinc/83/01/13/583830113.db2.gz HHWSKDOKOONUDN-WAYWQWQTSA-N 0 3 207.770 2.860 20 0 BFADHN CSCC1CCN(Cc2ccoc2)CC1 ZINC000896936999 583862228 /nfs/dbraw/zinc/86/22/28/583862228.db2.gz ISTQDPMDZYRLEQ-UHFFFAOYSA-N 0 3 225.357 2.855 20 0 BFADHN COc1ccc(CN[C@H]2CCS[C@@H](C)C2)o1 ZINC000896951391 583864203 /nfs/dbraw/zinc/86/42/03/583864203.db2.gz IVTVRJUUDLNAHI-UWVGGRQHSA-N 0 3 241.356 2.662 20 0 BFADHN Cc1ccoc1CN1CCC(O)(C2CCC2)CC1 ZINC000897034263 583872039 /nfs/dbraw/zinc/87/20/39/583872039.db2.gz JVHXGYHERAVUKS-UHFFFAOYSA-N 0 3 249.354 2.715 20 0 BFADHN Fc1cc(CN[C@@H]2CCc3ccccc32)ccn1 ZINC000858091300 588931913 /nfs/dbraw/zinc/93/19/13/588931913.db2.gz ZNOFRECNVBXNQH-CQSZACIVSA-N 0 3 242.297 2.998 20 0 BFADHN C[C@H]1C[C@@H](N[C@@H]2CCCc3cccnc32)CS1 ZINC000897070743 583877036 /nfs/dbraw/zinc/87/70/36/583877036.db2.gz NOLNDNIYQPHYCF-CYZMBNFOSA-N 0 3 248.395 2.943 20 0 BFADHN Cc1cc(CN[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)c(C)o1 ZINC000858283270 588941786 /nfs/dbraw/zinc/94/17/86/588941786.db2.gz FMDGOIPARKYBLP-QPPBUOOMSA-N 0 3 237.343 2.798 20 0 BFADHN C[C@H](CC1CCCC1)[NH2+]Cc1cncc([O-])c1 ZINC000897315722 583918574 /nfs/dbraw/zinc/91/85/74/583918574.db2.gz PTBOCRAFMOAMKL-LLVKDONJSA-N 0 3 234.343 2.846 20 0 BFADHN [O-]c1cncc(C[NH2+][C@H]2CCCC[C@@H]2C2CC2)c1 ZINC000897316291 583918603 /nfs/dbraw/zinc/91/86/03/583918603.db2.gz YFURONBISYCCPD-CABCVRRESA-N 0 3 246.354 2.846 20 0 BFADHN [O-]c1cncc(C[NH2+][C@@H]2CCCC23CCC3)c1 ZINC000897315745 583918617 /nfs/dbraw/zinc/91/86/17/583918617.db2.gz QPJAXBPPRNYQSC-CYBMUJFWSA-N 0 3 232.327 2.600 20 0 BFADHN C[C@@H](CCC(F)(F)F)[NH2+]Cc1cncc([O-])c1 ZINC000897315522 583918742 /nfs/dbraw/zinc/91/87/42/583918742.db2.gz MRZZAMYSAYBKCA-QMMMGPOBSA-N 0 3 248.248 2.608 20 0 BFADHN C[C@H](Cc1cccs1)[NH2+]Cc1cncc([O-])c1 ZINC000897316179 583918987 /nfs/dbraw/zinc/91/89/87/583918987.db2.gz XKBZQWNCTYHEHR-SNVBAGLBSA-N 0 3 248.351 2.570 20 0 BFADHN C[C@@H](C[NH2+]Cc1cncc([O-])c1)c1ccccc1 ZINC000897316158 583919025 /nfs/dbraw/zinc/91/90/25/583919025.db2.gz WWTGNVLMWCZFSO-LBPRGKRZSA-N 0 3 242.322 2.681 20 0 BFADHN CCCC[C@H](CC)[NH2+]Cc1cncc([O-])c1 ZINC000897315593 583919048 /nfs/dbraw/zinc/91/90/48/583919048.db2.gz OMTYTMADRVAUIR-LBPRGKRZSA-N 0 3 222.332 2.846 20 0 BFADHN CC(C)CC[C@H](C)[NH2+]Cc1cncc([O-])c1 ZINC000897315384 583919212 /nfs/dbraw/zinc/91/92/12/583919212.db2.gz LPWLIIZUCNYLPP-NSHDSACASA-N 0 3 222.332 2.702 20 0 BFADHN C[C@H]([NH2+]Cc1cncc([O-])c1)C1CCCCC1 ZINC000897316365 583919516 /nfs/dbraw/zinc/91/95/16/583919516.db2.gz ZNQFXKFLJIDSCZ-NSHDSACASA-N 0 3 234.343 2.846 20 0 BFADHN CCCCCCNc1ccnc(CO)c1 ZINC000858310477 588942749 /nfs/dbraw/zinc/94/27/49/588942749.db2.gz YRNQEORHBFSHJM-UHFFFAOYSA-N 0 3 208.305 2.566 20 0 BFADHN C[C@@H]1CCC[C@H](Nc2ccnc(CO)c2)[C@@H]1C ZINC000858311877 588943629 /nfs/dbraw/zinc/94/36/29/588943629.db2.gz OEDFSUCAPIFZSA-GYSYKLTISA-N 0 3 234.343 2.811 20 0 BFADHN CC[C@H](N[C@H](C)c1nccnc1C)[C@H]1C[C@@H]1C ZINC000872130271 583947614 /nfs/dbraw/zinc/94/76/14/583947614.db2.gz GRMKXRPPEJJOFI-RYDUCSDGSA-N 0 3 233.359 2.870 20 0 BFADHN Fc1ccc(CCN2C[C@@H]3C[C@@H]3C2)c(Cl)c1 ZINC000862443250 583962881 /nfs/dbraw/zinc/96/28/81/583962881.db2.gz MYELDEHJHMHKSS-PHIMTYICSA-N 0 3 239.721 2.973 20 0 BFADHN CCC[C@H](CNCc1ccc(F)nc1)OCC ZINC000858210165 588938928 /nfs/dbraw/zinc/93/89/28/588938928.db2.gz HJQAQSIQDPQWAB-GFCCVEGCSA-N 0 3 240.322 2.516 20 0 BFADHN CC(C)(CNCc1cc2n(n1)CCCC2)C1CC1 ZINC000897437026 583978272 /nfs/dbraw/zinc/97/82/72/583978272.db2.gz FQRAJEGQAGRRFN-UHFFFAOYSA-N 0 3 247.386 2.745 20 0 BFADHN Cc1ncc(CNCC(C)(C)C2CC2)o1 ZINC000897437354 583979671 /nfs/dbraw/zinc/97/96/71/583979671.db2.gz JLLIMBQRHRUNIW-UHFFFAOYSA-N 0 3 208.305 2.509 20 0 BFADHN CC(C)(CNCc1cn(C2CCC2)nn1)C1CC1 ZINC000897438271 583983533 /nfs/dbraw/zinc/98/35/33/583983533.db2.gz UMMTXIWOMVKOKQ-UHFFFAOYSA-N 0 3 248.374 2.529 20 0 BFADHN CC1(C)CC[C@@H](CCNCc2ccco2)OC1 ZINC000897478131 583991738 /nfs/dbraw/zinc/99/17/38/583991738.db2.gz LHJUEHBOGWLLRW-LBPRGKRZSA-N 0 3 237.343 2.965 20 0 BFADHN CC[C@H]1CCCC[C@H]1Nc1ccnc(CO)c1 ZINC000858346267 588947540 /nfs/dbraw/zinc/94/75/40/588947540.db2.gz HEALYAYHSZNBFC-SMDDNHRTSA-N 0 3 234.343 2.955 20 0 BFADHN Fc1ncccc1CN[C@H]1CC[C@H]1C1CCC1 ZINC000800984462 584000569 /nfs/dbraw/zinc/00/05/69/584000569.db2.gz VEFDWUJKFNGWEV-STQMWFEESA-N 0 3 234.318 2.889 20 0 BFADHN Cc1nc(F)ccc1CN[C@@H](C)Cc1ccco1 ZINC000785420242 584007078 /nfs/dbraw/zinc/00/70/78/584007078.db2.gz RMHVRUMMRPWIJY-JTQLQIEISA-N 0 3 248.301 2.843 20 0 BFADHN CC(C)(C)c1ccc(CNCC2(C)COC2)o1 ZINC000865815151 584031348 /nfs/dbraw/zinc/03/13/48/584031348.db2.gz RCEYBNSTKIVWBO-UHFFFAOYSA-N 0 3 237.343 2.703 20 0 BFADHN CC[C@]1(C)CCCN(c2ccnc(CO)c2)C1 ZINC000858429755 588953776 /nfs/dbraw/zinc/95/37/76/588953776.db2.gz QXLULQAXCQGXHE-CQSZACIVSA-N 0 3 234.343 2.590 20 0 BFADHN Cc1ncoc1CN[C@@H]1CCSC(C)(C)C1 ZINC000897789398 584048004 /nfs/dbraw/zinc/04/80/04/584048004.db2.gz MQTZHBUXCDQYLD-SNVBAGLBSA-N 0 3 240.372 2.747 20 0 BFADHN Cc1cc(CN[C@@H]2CCSC(C)(C)C2)on1 ZINC000897794203 584048764 /nfs/dbraw/zinc/04/87/64/584048764.db2.gz SIFSPBRBIQCPTD-SNVBAGLBSA-N 0 3 240.372 2.747 20 0 BFADHN CC1(C)C[C@H](NCc2cscn2)CCS1 ZINC000897799142 584050070 /nfs/dbraw/zinc/05/00/70/584050070.db2.gz CMEHCMJQVMOYJY-SECBINFHSA-N 0 3 242.413 2.907 20 0 BFADHN COC[C@H](CC(C)C)N[C@H](C)c1cncs1 ZINC000897931726 584058951 /nfs/dbraw/zinc/05/89/51/584058951.db2.gz CBOPJIXOZJTZAJ-MNOVXSKESA-N 0 3 242.388 2.855 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]3CCC[C@@H]32)o1 ZINC000897953806 584064097 /nfs/dbraw/zinc/06/40/97/584064097.db2.gz TUGNQBNSXDQBBC-KGYLQXTDSA-N 0 3 205.301 2.866 20 0 BFADHN CCc1nc(CN[C@@H]2C[C@H]3CCC[C@H]32)cs1 ZINC000897953528 584064128 /nfs/dbraw/zinc/06/41/28/584064128.db2.gz QSGALIQJBHDUHX-YUSALJHKSA-N 0 3 236.384 2.984 20 0 BFADHN Cc1csc(CN[C@@H]2C[C@H]3CCC[C@H]32)n1 ZINC000897953300 584064147 /nfs/dbraw/zinc/06/41/47/584064147.db2.gz OPKOWPWMRZBAES-GMTAPVOTSA-N 0 3 222.357 2.730 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CCC[C@@H]21)c1ccccn1 ZINC000897954853 584064625 /nfs/dbraw/zinc/06/46/25/584064625.db2.gz LPWRLDMNMOODCD-KZVDOYCCSA-N 0 3 216.328 2.921 20 0 BFADHN CCOc1cccc(CN[C@@H]2C[C@@H]3CCC[C@H]23)n1 ZINC000897954867 584064630 /nfs/dbraw/zinc/06/46/30/584064630.db2.gz LVFUGIGRYJDBBL-FPMFFAJLSA-N 0 3 246.354 2.759 20 0 BFADHN CCOc1cccc(CN[C@@H]2C[C@H]3CCC[C@H]32)n1 ZINC000897954870 584064680 /nfs/dbraw/zinc/06/46/80/584064680.db2.gz LVFUGIGRYJDBBL-MRVWCRGKSA-N 0 3 246.354 2.759 20 0 BFADHN C(N[C@@H]1C[C@@H]2CCC[C@@H]12)c1nc2c(s1)CCC2 ZINC000897955290 584065109 /nfs/dbraw/zinc/06/51/09/584065109.db2.gz SCKDUBITLZMGSP-HOSYDEDBSA-N 0 3 248.395 2.910 20 0 BFADHN CCc1cnc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)s1 ZINC000897955743 584065437 /nfs/dbraw/zinc/06/54/37/584065437.db2.gz YDKPZPJVRIKVNV-MVWJERBFSA-N 0 3 236.384 2.984 20 0 BFADHN c1cnc2c(c1)CC[C@@H]2N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897959825 584067440 /nfs/dbraw/zinc/06/74/40/584067440.db2.gz LVYNYJQEZKWFFC-ZOBORPQBSA-N 0 3 228.339 2.847 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@H]3CCC[C@H]32)o1 ZINC000897960243 584067818 /nfs/dbraw/zinc/06/78/18/584067818.db2.gz PGWDTHACXSNVSO-RAIGVLPGSA-N 0 3 235.327 2.957 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2C[C@@H]3CCC[C@@H]23)n1 ZINC000897960365 584068230 /nfs/dbraw/zinc/06/82/30/584068230.db2.gz RJGHHLYWOLYRAJ-QJPTWQEYSA-N 0 3 233.359 2.811 20 0 BFADHN Cc1occc1CN[C@H](C)Cc1cccnc1 ZINC000897967637 584069674 /nfs/dbraw/zinc/06/96/74/584069674.db2.gz VPUHRCNPQPWLSM-LLVKDONJSA-N 0 3 230.311 2.704 20 0 BFADHN Cc1occc1CN[C@@H](C)Cc1cccnc1 ZINC000897967638 584069856 /nfs/dbraw/zinc/06/98/56/584069856.db2.gz VPUHRCNPQPWLSM-NSHDSACASA-N 0 3 230.311 2.704 20 0 BFADHN Cc1cccnc1[C@H](N[C@H]1CCOC1)C(C)(C)C ZINC000897995270 584070047 /nfs/dbraw/zinc/07/00/47/584070047.db2.gz NGNZFBJXEFZQBI-JSGCOSHPSA-N 0 3 248.370 2.856 20 0 BFADHN Cc1c[nH]nc1CNCC[C@@H]1CCC(F)(F)C1 ZINC000898015546 584074971 /nfs/dbraw/zinc/07/49/71/584074971.db2.gz YZMINHBJMSNYEC-JTQLQIEISA-N 0 3 243.301 2.633 20 0 BFADHN c1cc(CNC[C@@H]2CCC=CCCC2)n[nH]1 ZINC000898031492 584077595 /nfs/dbraw/zinc/07/75/95/584077595.db2.gz DMTABVLVGDXVRW-GFCCVEGCSA-N 0 3 219.332 2.636 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)NCc1cn(C)cn1 ZINC000898043402 584080303 /nfs/dbraw/zinc/08/03/03/584080303.db2.gz NXEXQLMOLFUSAV-VXGBXAGGSA-N 0 3 223.364 2.725 20 0 BFADHN CCC[C@H](NCc1cn(C)cn1)[C@H]1CC1(C)C ZINC000898042256 584080797 /nfs/dbraw/zinc/08/07/97/584080797.db2.gz NHTIQVCCKAYMPF-OLZOCXBDSA-N 0 3 235.375 2.725 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)NCc1cn(C)cn1 ZINC000898043553 584081247 /nfs/dbraw/zinc/08/12/47/584081247.db2.gz TWUGVZFPGCIWKS-STQMWFEESA-N 0 3 237.391 2.971 20 0 BFADHN c1coc(CN2CC[C@@H](Cc3nccs3)C2)c1 ZINC000898071058 584082374 /nfs/dbraw/zinc/08/23/74/584082374.db2.gz DNBAZDVPNOQKNY-NSHDSACASA-N 0 3 248.351 2.801 20 0 BFADHN CC(C)CC1(CNc2ccnc(CO)c2)CC1 ZINC000858443175 588955336 /nfs/dbraw/zinc/95/53/36/588955336.db2.gz PXXLJQPOCBNWRG-UHFFFAOYSA-N 0 3 234.343 2.812 20 0 BFADHN Oc1cccc(CN[C@H]2CCOC23CCCC3)c1 ZINC000898112087 584083896 /nfs/dbraw/zinc/08/38/96/584083896.db2.gz JXHCDZCJBHNBEE-AWEZNQCLSA-N 0 3 247.338 2.584 20 0 BFADHN CC1(C)CCCC[C@H]1Nc1ccnc(CO)c1 ZINC000858444142 588955449 /nfs/dbraw/zinc/95/54/49/588955449.db2.gz RQWCUZIIDYQCAH-CYBMUJFWSA-N 0 3 234.343 2.955 20 0 BFADHN CC[C@@](C)(CN1CC(Cc2ccoc2)C1)OC ZINC000898160203 584087496 /nfs/dbraw/zinc/08/74/96/584087496.db2.gz VIQCPLMOTLZYRA-AWEZNQCLSA-N 0 3 237.343 2.569 20 0 BFADHN CC1(CN2CC(Cc3ccoc3)C2)CC1 ZINC000898160388 584087587 /nfs/dbraw/zinc/08/75/87/584087587.db2.gz CMMDVSJCSZPFSU-UHFFFAOYSA-N 0 3 205.301 2.554 20 0 BFADHN CO[C@](C)(CN1CC(Cc2ccoc2)C1)C1CC1 ZINC000898160156 584087689 /nfs/dbraw/zinc/08/76/89/584087689.db2.gz SRLLGKSMLKTBEV-OAHLLOKOSA-N 0 3 249.354 2.569 20 0 BFADHN CC[C@](C)(CN1CC(Cc2ccoc2)C1)OC ZINC000898160204 584087962 /nfs/dbraw/zinc/08/79/62/584087962.db2.gz VIQCPLMOTLZYRA-CQSZACIVSA-N 0 3 237.343 2.569 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CC[C@@H](O)[C@@H](F)C2)C1 ZINC000898188275 584094357 /nfs/dbraw/zinc/09/43/57/584094357.db2.gz PSWIJPKRCMFSBV-ZOBORPQBSA-N 0 3 243.366 2.608 20 0 BFADHN CC1(C)C[C@@H](N[C@H](c2ccncc2)C2CC2)CO1 ZINC000898321322 584106918 /nfs/dbraw/zinc/10/69/18/584106918.db2.gz QPJWOAWZQGUWFV-KGLIPLIRSA-N 0 3 246.354 2.690 20 0 BFADHN c1cc([C@@H](NC[C@H]2CCC=CO2)C2CC2)ccn1 ZINC000898322803 584106999 /nfs/dbraw/zinc/10/69/99/584106999.db2.gz RRADCRJAHMQPFM-CABCVRRESA-N 0 3 244.338 2.815 20 0 BFADHN Cn1ccc(CN[C@H](c2ccncc2)C2CC2)c1 ZINC000898325686 584107610 /nfs/dbraw/zinc/10/76/10/584107610.db2.gz QTGZQCLWJDROMB-HNNXBMFYSA-N 0 3 241.338 2.661 20 0 BFADHN Cn1cccc1CN[C@H](c1ccncc1)C1CC1 ZINC000898325916 584107693 /nfs/dbraw/zinc/10/76/93/584107693.db2.gz RJDNGPNBVZPXPQ-HNNXBMFYSA-N 0 3 241.338 2.661 20 0 BFADHN C[C@@H]1CCN(c2ccnc(CO)c2)CC12CCC2 ZINC000858465544 588957230 /nfs/dbraw/zinc/95/72/30/588957230.db2.gz HPSMYLBACSAZBY-GFCCVEGCSA-N 0 3 246.354 2.590 20 0 BFADHN CSCC(C)(C)NCc1cccc(F)c1N ZINC000898304437 584108845 /nfs/dbraw/zinc/10/88/45/584108845.db2.gz DMMQTMSUYUDZDX-UHFFFAOYSA-N 0 3 242.363 2.639 20 0 BFADHN CSCC(C)(C)NCc1ccnc(F)c1C ZINC000898305009 584109053 /nfs/dbraw/zinc/10/90/53/584109053.db2.gz NTLHXCCXZZRYEW-UHFFFAOYSA-N 0 3 242.363 2.760 20 0 BFADHN CSCC(C)(C)NCc1cncn1C(C)C ZINC000898305019 584109088 /nfs/dbraw/zinc/10/90/88/584109088.db2.gz OBQXEWBEFALCFT-UHFFFAOYSA-N 0 3 241.404 2.695 20 0 BFADHN CCC[C@@H](CN[C@H](c1ccncc1)C1CC1)OC ZINC000898319227 584111123 /nfs/dbraw/zinc/11/11/23/584111123.db2.gz AYJDIHKXZHOOHS-GJZGRUSLSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ccc(CN[C@@H]2COC[C@H]2C2CC2)c(F)c1 ZINC000898375352 584113309 /nfs/dbraw/zinc/11/33/09/584113309.db2.gz DLIZRSXLJRGOKN-DZGCQCFKSA-N 0 3 249.329 2.649 20 0 BFADHN CC[C@H](O)CCN[C@H](c1ccccn1)C(C)C ZINC000866287087 584117361 /nfs/dbraw/zinc/11/73/61/584117361.db2.gz IMDJHXKJLNEWNB-JSGCOSHPSA-N 0 3 236.359 2.529 20 0 BFADHN COC(=O)C1=CC[C@@H](N[C@H](C)c2ccc(C)o2)C1 ZINC000858476802 588958771 /nfs/dbraw/zinc/95/87/71/588958771.db2.gz RCYJPDZOWUZGJD-ZYHUDNBSSA-N 0 3 249.310 2.500 20 0 BFADHN C[C@@H]1CC[C@@]2(CCN(c3ccnc(CO)c3)C2)C1 ZINC000858478643 588959310 /nfs/dbraw/zinc/95/93/10/588959310.db2.gz WSSPHZSXBROQJL-IUODEOHRSA-N 0 3 246.354 2.590 20 0 BFADHN Cc1nn(C)cc1CNC1(C)C(C)(C)C1(C)C ZINC000898413941 584131774 /nfs/dbraw/zinc/13/17/74/584131774.db2.gz FNLFTAHZHDMIBZ-UHFFFAOYSA-N 0 3 235.375 2.643 20 0 BFADHN CO[C@H](CNC/C=C\Cl)Cc1ccccc1 ZINC000898429317 584131910 /nfs/dbraw/zinc/13/19/10/584131910.db2.gz MPLJPMPYVNBPDF-UJZCVKTISA-N 0 3 239.746 2.586 20 0 BFADHN CC1(C)C(C)(C)C1(C)NCc1cnn2c1CCC2 ZINC000898414863 584132239 /nfs/dbraw/zinc/13/22/39/584132239.db2.gz UTTJRNSJAHBYDK-UHFFFAOYSA-N 0 3 247.386 2.744 20 0 BFADHN CC1(C)C(C)(C)C1(C)NCc1cnc2n1CCC2 ZINC000898414979 584132255 /nfs/dbraw/zinc/13/22/55/584132255.db2.gz XQOZLZXSZMYCOY-UHFFFAOYSA-N 0 3 247.386 2.744 20 0 BFADHN Cl/C=C/CNC[C@H]1CC1(Cl)Cl ZINC000898429380 584132831 /nfs/dbraw/zinc/13/28/31/584132831.db2.gz OAJUPTYZLOZNJJ-UGQQDIOTSA-N 0 3 214.523 2.522 20 0 BFADHN CCCSC[C@@H](C)NC/C=C/Cl ZINC000898429116 584133600 /nfs/dbraw/zinc/13/36/00/584133600.db2.gz RKXKYLCMMBERAL-XNPJLODASA-N 0 3 207.770 2.860 20 0 BFADHN Cc1ccncc1CCCNC/C=C\Cl ZINC000898431933 584134982 /nfs/dbraw/zinc/13/49/82/584134982.db2.gz DFELOQMGVSACLZ-UTCJRWHESA-N 0 3 224.735 2.665 20 0 BFADHN Cc1cc(CNC/C=C\Cl)cc(C)c1O ZINC000898434762 584135665 /nfs/dbraw/zinc/13/56/65/584135665.db2.gz YHZVLEWTZZEXGE-ARJAWSKDSA-N 0 3 225.719 2.851 20 0 BFADHN Cl/C=C/CNC[C@H]1CCOc2ccccc21 ZINC000898435761 584135949 /nfs/dbraw/zinc/13/59/49/584135949.db2.gz MLIMRNBEUFTPFE-CGAJTASUSA-N 0 3 237.730 2.895 20 0 BFADHN Fc1cccc(OCCCNCC2(F)CC2)c1 ZINC000898444753 584136490 /nfs/dbraw/zinc/13/64/90/584136490.db2.gz LPJYQEOMYNVJDL-UHFFFAOYSA-N 0 3 241.281 2.686 20 0 BFADHN CCOC(=O)C1=CC[C@@H](N[C@@H](C)c2ccoc2)C1 ZINC000858505438 588961122 /nfs/dbraw/zinc/96/11/22/588961122.db2.gz KLLZOVCNFREYEE-GXFFZTMASA-N 0 3 249.310 2.582 20 0 BFADHN C/C=C\CN[C@@H]1CCc2nc(Cl)ccc21 ZINC000858537548 588963771 /nfs/dbraw/zinc/96/37/71/588963771.db2.gz OHPLZXGJEORRBC-MXQLGCADSA-N 0 3 222.719 2.888 20 0 BFADHN CC1(C2CC2)CCN(c2ccnc(CO)c2)CC1 ZINC000858550785 588964860 /nfs/dbraw/zinc/96/48/60/588964860.db2.gz VEOMZVYXTLIQPE-UHFFFAOYSA-N 0 3 246.354 2.590 20 0 BFADHN OCc1cc(N[C@@H](C2CC2)C2CCC2)ccn1 ZINC000858565819 588966879 /nfs/dbraw/zinc/96/68/79/588966879.db2.gz AVVZSZULEXZASE-CQSZACIVSA-N 0 3 232.327 2.565 20 0 BFADHN C[C@@H](N[C@H]1COC2(CCC2)C1)c1ccns1 ZINC000858576059 588967803 /nfs/dbraw/zinc/96/78/03/588967803.db2.gz HFSLZOADXZSSLS-NXEZZACHSA-N 0 3 238.356 2.505 20 0 BFADHN C[C@H](N[C@H]1CC[C@H]1C1CC1)c1ccns1 ZINC000858575503 588968010 /nfs/dbraw/zinc/96/80/10/588968010.db2.gz BVVDFTLHEBAFEK-LSJOCFKGSA-N 0 3 222.357 2.982 20 0 BFADHN C[C@H](NC[C@H]1CCCC12CC2)c1ncc[nH]1 ZINC000858594969 588970285 /nfs/dbraw/zinc/97/02/85/588970285.db2.gz VGSHNSZDNCPRQI-WDEREUQCSA-N 0 3 219.332 2.641 20 0 BFADHN C/C=C/C[C@H]1CCCN(c2cc(C)nc(N)n2)C1 ZINC000858863437 588980082 /nfs/dbraw/zinc/98/00/82/588980082.db2.gz JSIDQZLBPRLEJL-PCAWENJQSA-N 0 3 246.358 2.550 20 0 BFADHN CN[C@@H](C)c1cc(-c2ccc(OC)cc2)no1 ZINC000901385324 584436191 /nfs/dbraw/zinc/43/61/91/584436191.db2.gz ADAJPJQUNIRBHZ-VIFPVBQESA-N 0 3 232.283 2.631 20 0 BFADHN CNC(C)(C)c1cc(-c2ccc(OC)cc2)no1 ZINC000901385771 584436408 /nfs/dbraw/zinc/43/64/08/584436408.db2.gz FQJCMDMIKCEBPE-UHFFFAOYSA-N 0 3 246.310 2.805 20 0 BFADHN CN[C@@H](C)c1cc(-c2ccccc2C)no1 ZINC000901386952 584436477 /nfs/dbraw/zinc/43/64/77/584436477.db2.gz HUGCWHLDMOHBSF-JTQLQIEISA-N 0 3 216.284 2.930 20 0 BFADHN CCc1ccc(-c2cc(CNC)on2)cc1 ZINC000901389217 584436737 /nfs/dbraw/zinc/43/67/37/584436737.db2.gz NCEQVPOQDWUUNM-UHFFFAOYSA-N 0 3 216.284 2.623 20 0 BFADHN CN(Cc1cc([C@H]2CCCO2)no1)C(C)(C)C ZINC000901393749 584437854 /nfs/dbraw/zinc/43/78/54/584437854.db2.gz QSQIQFXENIGFNZ-GFCCVEGCSA-N 0 3 238.331 2.756 20 0 BFADHN CCn1cnc(CNC2CCCCCC2)c1 ZINC000902024944 584512355 /nfs/dbraw/zinc/51/23/55/584512355.db2.gz AQJIUCAXSCIHLR-UHFFFAOYSA-N 0 3 221.348 2.715 20 0 BFADHN CCn1cnc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)c1 ZINC000902026373 584512920 /nfs/dbraw/zinc/51/29/20/584512920.db2.gz PMZIPLPUBXXZJV-YRGRVCCFSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1cnc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)c1 ZINC000902026372 584513268 /nfs/dbraw/zinc/51/32/68/584513268.db2.gz PMZIPLPUBXXZJV-SGMGOOAPSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1cnc(CNCCCc2ccccc2)c1 ZINC000902027167 584513382 /nfs/dbraw/zinc/51/33/82/584513382.db2.gz SBAZECYQORYHOS-UHFFFAOYSA-N 0 3 243.354 2.625 20 0 BFADHN CCn1cnc(CN[C@@H]2CCC[C@@H](C)C2)c1 ZINC000902048407 584516738 /nfs/dbraw/zinc/51/67/38/584516738.db2.gz FEVSPQKBHPHUHF-VXGBXAGGSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cnc(CN[C@@H]2CCC[C@H](C)C2)c1 ZINC000902048405 584516974 /nfs/dbraw/zinc/51/69/74/584516974.db2.gz FEVSPQKBHPHUHF-NWDGAFQWSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cnc(CNC[C@H]2CCCC[C@H]2C)c1 ZINC000902105329 584523866 /nfs/dbraw/zinc/52/38/66/584523866.db2.gz WITTZKUNVKIDBP-CHWSQXEVSA-N 0 3 235.375 2.819 20 0 BFADHN CCNc1ccccc1CNC[C@H]1CCCCO1 ZINC000902104931 584523987 /nfs/dbraw/zinc/52/39/87/584523987.db2.gz UABGTKGCUDKIQT-CQSZACIVSA-N 0 3 248.370 2.777 20 0 BFADHN CCn1cnc(CNCCc2cccc(C)c2)c1 ZINC000902137925 584526278 /nfs/dbraw/zinc/52/62/78/584526278.db2.gz GFSBDMYYINIPLT-UHFFFAOYSA-N 0 3 243.354 2.544 20 0 BFADHN CNc1ccccc1CNCCOC(C)(C)C ZINC000902135667 584526326 /nfs/dbraw/zinc/52/63/26/584526326.db2.gz RLOYAZDRCGTSBJ-UHFFFAOYSA-N 0 3 236.359 2.633 20 0 BFADHN CCNc1ccccc1CNCCOCC1CC1 ZINC000902219098 584539511 /nfs/dbraw/zinc/53/95/11/584539511.db2.gz XLTVUGYHKMVITK-UHFFFAOYSA-N 0 3 248.370 2.635 20 0 BFADHN CCn1cnc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)c1 ZINC000902232668 584542440 /nfs/dbraw/zinc/54/24/40/584542440.db2.gz JMKFWGBDTQOBQC-MBNYWOFBSA-N 0 3 235.375 2.817 20 0 BFADHN CCSCC[C@@H](C)NCc1cn(CC)cn1 ZINC000902290847 584548782 /nfs/dbraw/zinc/54/87/82/584548782.db2.gz MMXHZXFHPVAWIO-LLVKDONJSA-N 0 3 241.404 2.524 20 0 BFADHN CCn1cnc(CN[C@H](C)C2CCCCC2)c1 ZINC000902265863 584549116 /nfs/dbraw/zinc/54/91/16/584549116.db2.gz GFRMQOZINGVCHP-GFCCVEGCSA-N 0 3 235.375 2.961 20 0 BFADHN CNc1ccccc1CN[C@@H]1C[C@@H](OC)C1(C)C ZINC000902306651 584554189 /nfs/dbraw/zinc/55/41/89/584554189.db2.gz WVWVEJXOYQGLMJ-ZIAGYGMSSA-N 0 3 248.370 2.631 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H]1NCc1csc(N)n1 ZINC000902491999 584618237 /nfs/dbraw/zinc/61/82/37/584618237.db2.gz VAMJJUQCKAVWPJ-QWRGUYRKSA-N 0 3 239.388 2.640 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1csc(N)n1 ZINC000902498951 584619956 /nfs/dbraw/zinc/61/99/56/584619956.db2.gz JKVOFXSGFBUHDC-GXSJLCMTSA-N 0 3 239.388 2.784 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2csc(N)n2)C[C@H]1C ZINC000902498692 584620235 /nfs/dbraw/zinc/62/02/35/584620235.db2.gz INWFDQVLUYXZNB-OPRDCNLKSA-N 0 3 239.388 2.640 20 0 BFADHN CNc1ccccc1CNC[C@H]1CCC(C)(C)O1 ZINC000902503913 584621221 /nfs/dbraw/zinc/62/12/21/584621221.db2.gz TWEYETRCSFSKPD-CYBMUJFWSA-N 0 3 248.370 2.776 20 0 BFADHN CCn1cnc(CN[C@@H]2CC[C@@H](C)[C@H](C)C2)c1 ZINC000902481401 584623903 /nfs/dbraw/zinc/62/39/03/584623903.db2.gz CKLNKAISRRINCO-JHJVBQTASA-N 0 3 235.375 2.817 20 0 BFADHN CNc1ccc(C)cc1CNCCOC(C)C ZINC000902483540 584624980 /nfs/dbraw/zinc/62/49/80/584624980.db2.gz RIDJSVOWRKDXHS-UHFFFAOYSA-N 0 3 236.359 2.551 20 0 BFADHN CCNc1ccccc1CNCCC1(O)CCC1 ZINC000902538036 584628439 /nfs/dbraw/zinc/62/84/39/584628439.db2.gz PMWSQQIYKZRQNK-UHFFFAOYSA-N 0 3 248.370 2.513 20 0 BFADHN CNc1ccc(C)cc1CNC[C@H]1CCC=CO1 ZINC000902519450 584630728 /nfs/dbraw/zinc/63/07/28/584630728.db2.gz ZLRXCSCBTGXGPB-CQSZACIVSA-N 0 3 246.354 2.819 20 0 BFADHN CCNc1ccccc1CNC[C@@H]1CCC=CO1 ZINC000902518618 584631028 /nfs/dbraw/zinc/63/10/28/584631028.db2.gz RIOZXAHTXOSCSS-AWEZNQCLSA-N 0 3 246.354 2.901 20 0 BFADHN CNc1ccccc1CN[C@H]1CS[C@@H](C)C1 ZINC000902596551 584635718 /nfs/dbraw/zinc/63/57/18/584635718.db2.gz ONTINJPHJFCQOL-CMPLNLGQSA-N 0 3 236.384 2.712 20 0 BFADHN CC[C@](C)(CNCc1ccccc1NC)OC ZINC000902586187 584639628 /nfs/dbraw/zinc/63/96/28/584639628.db2.gz ZTOBJBHFSLNQFY-CQSZACIVSA-N 0 3 236.359 2.633 20 0 BFADHN CC[C@H](NCc1cn(CC)cn1)[C@@H]1CC1(C)C ZINC000902600196 584640720 /nfs/dbraw/zinc/64/07/20/584640720.db2.gz RZKXFJLBTKAEEO-STQMWFEESA-N 0 3 235.375 2.817 20 0 BFADHN CCNc1ccccc1CN[C@@H]1CC12CC2 ZINC000902607319 584642390 /nfs/dbraw/zinc/64/23/90/584642390.db2.gz PQDRKAHYYRHAQH-CYBMUJFWSA-N 0 3 216.328 2.761 20 0 BFADHN CNc1ccccc1CN[C@@H](C)C(C)(C)OC ZINC000902654146 584651407 /nfs/dbraw/zinc/65/14/07/584651407.db2.gz CTINBZPMOPJYBD-NSHDSACASA-N 0 3 236.359 2.631 20 0 BFADHN CNc1ccccc1CN[C@H](C)C(C)(C)OC ZINC000902654145 584651495 /nfs/dbraw/zinc/65/14/95/584651495.db2.gz CTINBZPMOPJYBD-LLVKDONJSA-N 0 3 236.359 2.631 20 0 BFADHN COc1ccc([C@@H](C)N[C@H]2CO[C@H](C)C2)cc1C ZINC000902666414 584653545 /nfs/dbraw/zinc/65/35/45/584653545.db2.gz PJQYEVBEQLFHSG-YRGRVCCFSA-N 0 3 249.354 2.832 20 0 BFADHN CCn1cnc(CN[C@H]2CCCC3(CC3)C2)c1 ZINC000902681868 584655386 /nfs/dbraw/zinc/65/53/86/584655386.db2.gz KFOMHVMZBKWKEO-LBPRGKRZSA-N 0 3 233.359 2.715 20 0 BFADHN C[C@H](N[C@H]1COC[C@H]1C1CC1)c1ccccc1F ZINC000902723155 584660416 /nfs/dbraw/zinc/66/04/16/584660416.db2.gz PUDNHNMQLWLOLA-XEGUGMAKSA-N 0 3 249.329 2.901 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1C[C@H]2CCC[C@H]2C1 ZINC000902764493 584666157 /nfs/dbraw/zinc/66/61/57/584666157.db2.gz FYGSAELYIIFGLQ-CLLJXQQHSA-N 0 3 234.343 2.960 20 0 BFADHN Cc1cc(CNC2C[C@H]3CCC[C@@H]3C2)no1 ZINC000902765420 584667030 /nfs/dbraw/zinc/66/70/30/584667030.db2.gz POBMOJFTRDGLLV-GHMZBOCLSA-N 0 3 220.316 2.651 20 0 BFADHN CCCCN(Cc1ccnc(F)c1)C1CC1 ZINC000859074474 588997594 /nfs/dbraw/zinc/99/75/94/588997594.db2.gz CCYWYRPUXPJBLH-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN C[C@@H](C1CC1)N(Cc1ccnc(F)c1)C1CC1 ZINC000859078218 588997949 /nfs/dbraw/zinc/99/79/49/588997949.db2.gz ZKGKRUJXIQCNFV-JTQLQIEISA-N 0 3 234.318 2.984 20 0 BFADHN CCn1ccnc1CN[C@@H]1C[C@H]2CCC[C@H]2C1 ZINC000903006442 584716909 /nfs/dbraw/zinc/71/69/09/584716909.db2.gz HVQIYDOUSGUAOC-CLLJXQQHSA-N 0 3 233.359 2.571 20 0 BFADHN CCC[C@H](N[C@@H](C)c1cn(C)nn1)C(C)(C)C ZINC000903007761 584717429 /nfs/dbraw/zinc/71/74/29/584717429.db2.gz HHHVZIWDUWGAEZ-JQWIXIFHSA-N 0 3 238.379 2.681 20 0 BFADHN C[C@@H](N[C@H]1CCCc2cn[nH]c21)c1ccns1 ZINC000903073862 584726420 /nfs/dbraw/zinc/72/64/20/584726420.db2.gz VMSVNHHEFHSDOX-SCZZXKLOSA-N 0 3 248.355 2.594 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2ccon2)[C@@H]1C ZINC000903104887 584727947 /nfs/dbraw/zinc/72/79/47/584727947.db2.gz NEJPPWJMUGAOIT-XZUYRWCXSA-N 0 3 222.332 2.835 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CN[C@H]1CCCc2c[nH]nc21 ZINC000903093342 584729000 /nfs/dbraw/zinc/72/90/00/584729000.db2.gz IRYRMBZDGHJRLW-YTLQFRNZSA-N 0 3 245.370 2.669 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@H](c1ccccn1)C1CC1 ZINC000903096752 584729010 /nfs/dbraw/zinc/72/90/10/584729010.db2.gz KDBVPZGYKWIDDX-GLQYFDAESA-N 0 3 248.370 2.529 20 0 BFADHN CCC[C@@](C)(O)CN[C@@H](c1ccccn1)C1CC1 ZINC000903096889 584729318 /nfs/dbraw/zinc/72/93/18/584729318.db2.gz MQARIIQLWYRVNZ-HUUCEWRRSA-N 0 3 248.370 2.673 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H]2CCC[C@@H]3C[C@@H]32)[n-]1 ZINC000903132433 584730356 /nfs/dbraw/zinc/73/03/56/584730356.db2.gz YZGGXVBUKBEYFD-WHOHXGKFSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)[nH]1 ZINC000903132433 584730357 /nfs/dbraw/zinc/73/03/57/584730357.db2.gz YZGGXVBUKBEYFD-WHOHXGKFSA-N 0 3 248.374 2.767 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@@H]2C[C@H]2C2CC2)nn1C ZINC000903162153 584731470 /nfs/dbraw/zinc/73/14/70/584731470.db2.gz ZBDWTZJOZTZFAH-BNOWGMLFSA-N 0 3 247.386 2.815 20 0 BFADHN c1cn2c(n1)[C@@H](NCC[C@@H]1C[C@H]1C1CC1)CCC2 ZINC000903162028 584731516 /nfs/dbraw/zinc/73/15/16/584731516.db2.gz UEOPEVLUARWVST-RDBSUJKOSA-N 0 3 245.370 2.744 20 0 BFADHN c1cn2c(n1)[C@H](NCC[C@@H]1CC[C@@H]3C[C@@H]31)CCC2 ZINC000903163613 584731746 /nfs/dbraw/zinc/73/17/46/584731746.db2.gz GBVSXZTTZIXGSF-REWJHTLYSA-N 0 3 245.370 2.744 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCC[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000903163280 584731763 /nfs/dbraw/zinc/73/17/63/584731763.db2.gz BBVXWAKJDUCIJW-UNJBNNCHSA-N 0 3 245.370 2.813 20 0 BFADHN c1ccc([C@H](N[C@@H]2CC23CC3)C2CC2)nc1 ZINC000903193405 584733886 /nfs/dbraw/zinc/73/38/86/584733886.db2.gz WNLQHCZCVYIDHU-CHWSQXEVSA-N 0 3 214.312 2.675 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H](c2ccccn2)C2CC2)C1 ZINC000903199332 584735089 /nfs/dbraw/zinc/73/50/89/584735089.db2.gz KHGOTPIEVAPQCF-YDHLFZDLSA-N 0 3 246.354 2.690 20 0 BFADHN C1=C[C@@H](N[C@@H](c2ccccn2)C2CC2)CC1 ZINC000903201487 584735696 /nfs/dbraw/zinc/73/56/96/584735696.db2.gz XVNHKRFNVZOKNU-TZMCWYRMSA-N 0 3 214.312 2.841 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1cc2n(n1)CCC2 ZINC000903255644 584740011 /nfs/dbraw/zinc/74/00/11/584740011.db2.gz YJGHDYIGXPBMTR-XUWHGSIVSA-N 0 3 245.370 2.524 20 0 BFADHN C[C@@H](NCC[C@@H]1CCCC[C@@H]1O)c1ccoc1 ZINC000903258373 584740092 /nfs/dbraw/zinc/74/00/92/584740092.db2.gz BDDJFKQMKMEWMX-DYEKYZERSA-N 0 3 237.343 2.871 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)cs1 ZINC000903286675 584745498 /nfs/dbraw/zinc/74/54/98/584745498.db2.gz MELMZWLKWDGZTR-VJIDBOODSA-N 0 3 249.379 2.883 20 0 BFADHN CN1CC[C@@H]1CN[C@H]1CC(C)(C)Cc2occc21 ZINC000903322069 584747021 /nfs/dbraw/zinc/74/70/21/584747021.db2.gz KRQLWYWZELGTJX-YPMHNXCESA-N 0 3 248.370 2.587 20 0 BFADHN CC[C@@H](C)CN(C)Cc1ccnc(F)c1 ZINC000859137009 589007561 /nfs/dbraw/zinc/00/75/61/589007561.db2.gz SYGRGHLDDQILIA-SNVBAGLBSA-N 0 3 210.296 2.699 20 0 BFADHN CCCCC1(N[C@@H](C)c2ncc[nH]2)CC1 ZINC000903308650 584747918 /nfs/dbraw/zinc/74/79/18/584747918.db2.gz ASIXHLHXVQZTSN-JTQLQIEISA-N 0 3 207.321 2.783 20 0 BFADHN CCCCC1(N[C@H](C)c2ccnnc2)CC1 ZINC000903313107 584748456 /nfs/dbraw/zinc/74/84/56/584748456.db2.gz IIGXYRDSQJXHTI-LLVKDONJSA-N 0 3 219.332 2.850 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CCC[C@H]21)c1ccns1 ZINC000903315320 584749256 /nfs/dbraw/zinc/74/92/56/584749256.db2.gz DTWDXCYXCKPJFI-LNFKQOIKSA-N 0 3 222.357 2.982 20 0 BFADHN Cc1ccc([C@H](NC[C@@H]2CCN2C)C2CCC2)o1 ZINC000903315997 584749439 /nfs/dbraw/zinc/74/94/39/584749439.db2.gz BIKOPCGOELILLF-DZGCQCFKSA-N 0 3 248.370 2.723 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CCC[C@@H]21)c1cc2n(n1)CCC2 ZINC000903316901 584749982 /nfs/dbraw/zinc/74/99/82/584749982.db2.gz KWQXZJQUWIAIRV-YODMDTAWSA-N 0 3 245.370 2.669 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2C[C@@H]3CCC[C@@H]23)nn1 ZINC000903316540 584750123 /nfs/dbraw/zinc/75/01/23/584750123.db2.gz JUEYVRICOILFNL-WUHRBBMRSA-N 0 3 248.374 2.698 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000903317283 584750237 /nfs/dbraw/zinc/75/02/37/584750237.db2.gz LYDNHTCJSMNISA-ZDEQEGDKSA-N 0 3 231.343 2.624 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000903318235 584750404 /nfs/dbraw/zinc/75/04/04/584750404.db2.gz PHMDANSLFPCODE-XYJRDEOASA-N 0 3 231.343 2.565 20 0 BFADHN COc1ccnc([C@H](C)N[C@@H]2C[C@H]3CCC[C@@H]32)c1 ZINC000903318138 584750740 /nfs/dbraw/zinc/75/07/40/584750740.db2.gz OFKIBSANAONMDG-YODMDTAWSA-N 0 3 246.354 2.929 20 0 BFADHN CC1(C)C[C@H](N[C@@H](c2ccccn2)C2CC2)CO1 ZINC000903334752 584751285 /nfs/dbraw/zinc/75/12/85/584751285.db2.gz FWEMTVBIYFJFQK-GXTWGEPZSA-N 0 3 246.354 2.690 20 0 BFADHN c1cnc([C@@H](NC2CCCCC2)C2CC2)nc1 ZINC000903334340 584751287 /nfs/dbraw/zinc/75/12/87/584751287.db2.gz XTQCJOBSMXTPNZ-ZDUSSCGKSA-N 0 3 231.343 2.850 20 0 BFADHN C[C@H](N[C@H](c1ccccn1)C1CC1)[C@H]1CCCO1 ZINC000903335917 584751323 /nfs/dbraw/zinc/75/13/23/584751323.db2.gz UTNYEWLBLMVPAH-GLQYFDAESA-N 0 3 246.354 2.690 20 0 BFADHN CCOC[C@H](C)N[C@H](c1ccccn1)C1CC1 ZINC000903339809 584752176 /nfs/dbraw/zinc/75/21/76/584752176.db2.gz NCJQNBDZQXASOY-FZMZJTMJSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@H](NC[C@H]1CCC=CCCC1)c1cnn(C)n1 ZINC000903345046 584752913 /nfs/dbraw/zinc/75/29/13/584752913.db2.gz KXKDVWPIKZYFFN-STQMWFEESA-N 0 3 248.374 2.602 20 0 BFADHN CC[C@H](N[C@@H](c1ncccn1)C1CC1)C1CC1 ZINC000903327389 584753393 /nfs/dbraw/zinc/75/33/93/584753393.db2.gz SLOIUTPEFTWWDK-QWHCGFSZSA-N 0 3 231.343 2.706 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@H](C)c1cnccc1C ZINC000903329316 584753853 /nfs/dbraw/zinc/75/38/53/584753853.db2.gz BNQGVWBLTFTTQE-VXGBXAGGSA-N 0 3 236.359 2.854 20 0 BFADHN CCC[C@@H](C)N[C@H](c1ncccn1)C1CC1 ZINC000903330276 584753884 /nfs/dbraw/zinc/75/38/84/584753884.db2.gz GVMSKWMHXXGIOB-PWSUYJOCSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@@H]1CCC[C@H]1N[C@H](c1ncccn1)C1CC1 ZINC000903332206 584754870 /nfs/dbraw/zinc/75/48/70/584754870.db2.gz LRLDSSWOWKUQLQ-RTXFEEFZSA-N 0 3 231.343 2.706 20 0 BFADHN CC[C@@H](CCC(F)(F)F)NCc1cn(C)cn1 ZINC000903351559 584755326 /nfs/dbraw/zinc/75/53/26/584755326.db2.gz MBNKXTSDJWMDKI-VIFPVBQESA-N 0 3 249.280 2.631 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2COC[C@H]2C)oc1C ZINC000903393733 584756513 /nfs/dbraw/zinc/75/65/13/584756513.db2.gz SEAPBBVNDMDKQY-JFGNBEQYSA-N 0 3 223.316 2.582 20 0 BFADHN C[C@@H]1COC[C@@H]1N[C@@H]1CCCc2ccc(F)cc21 ZINC000903395531 584757179 /nfs/dbraw/zinc/75/71/79/584757179.db2.gz LFDSCEJMPTZEPN-KMUNFCNLSA-N 0 3 249.329 2.828 20 0 BFADHN C[C@@H](NCC[C@H](O)C1CC1)c1ccsc1 ZINC000903405742 584758163 /nfs/dbraw/zinc/75/81/63/584758163.db2.gz RAPKVDLCQYPMHZ-SKDRFNHKSA-N 0 3 225.357 2.560 20 0 BFADHN Cc1cc([C@H](C)NCC[C@@H](O)C2CC2)oc1C ZINC000903405162 584758214 /nfs/dbraw/zinc/75/82/14/584758214.db2.gz BEZFMIVNJIYPID-GXFFZTMASA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)NCC[C@H](O)C2CC2)c(C)o1 ZINC000903409146 584759341 /nfs/dbraw/zinc/75/93/41/584759341.db2.gz VVZPCKSMHDAZLM-HZMBPMFUSA-N 0 3 237.343 2.708 20 0 BFADHN C[C@H]1C[C@H](N[C@@H]2CC=CC[C@H]2C)c2nccn21 ZINC000903414031 584760025 /nfs/dbraw/zinc/76/00/25/584760025.db2.gz UGPKVWPRMNSTCW-XQHKEYJVSA-N 0 3 231.343 2.833 20 0 BFADHN C[C@@H]1OC[C@H](N[C@H](c2ccncc2)C2CC2)[C@@H]1C ZINC000903429436 584761566 /nfs/dbraw/zinc/76/15/66/584761566.db2.gz QMWUZPIEABFQEQ-PKIAMQTDSA-N 0 3 246.354 2.546 20 0 BFADHN CC1(C)C(C)(C)C1(C)N[C@@H]1CCn2ccnc21 ZINC000903441898 584763313 /nfs/dbraw/zinc/76/33/13/584763313.db2.gz GMKCIORUGLPXOF-SNVBAGLBSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H](NC1(C)C(C)(C)C1(C)C)c1ccc(=O)[nH]n1 ZINC000903442763 584768076 /nfs/dbraw/zinc/76/80/76/584768076.db2.gz TXCDXLVXTSORFZ-VIFPVBQESA-N 0 3 249.358 2.658 20 0 BFADHN C[C@H](NC1(C)C(C)(C)C1(C)C)c1cn(C)cn1 ZINC000903442912 584768245 /nfs/dbraw/zinc/76/82/45/584768245.db2.gz YIQSULLZPLPAAO-JTQLQIEISA-N 0 3 235.375 2.895 20 0 BFADHN [O-]c1cccc2c1[C@@H]([NH2+]C/C=C/Cl)CC2 ZINC000903443967 584768942 /nfs/dbraw/zinc/76/89/42/584768942.db2.gz MJSGDQSKZRAYRB-BPQDVTRRSA-N 0 3 223.703 2.722 20 0 BFADHN CC[C@@H](NC/C=C/Cl)c1ccncc1 ZINC000903445765 584769907 /nfs/dbraw/zinc/76/99/07/584769907.db2.gz VMJBCPQNFFFZJT-ZXCPCRMDSA-N 0 3 210.708 2.875 20 0 BFADHN COc1cccc([C@@H](C)NC/C=C\Cl)c1O ZINC000903445504 584770055 /nfs/dbraw/zinc/77/00/55/584770055.db2.gz URLPLBAKQUMOSZ-WQAKUFEDSA-N 0 3 241.718 2.804 20 0 BFADHN C[C@H](CNCc1cc(N)ccn1)c1ccccc1 ZINC000903953141 584831659 /nfs/dbraw/zinc/83/16/59/584831659.db2.gz ASBDHOGRGZHJKP-GFCCVEGCSA-N 0 3 241.338 2.557 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1ccnc(F)c1 ZINC000859163499 589012212 /nfs/dbraw/zinc/01/22/12/589012212.db2.gz NGYUJQOOILVLGH-NXEZZACHSA-N 0 3 208.280 2.594 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2ccnc(F)c2)C1 ZINC000859162495 589012564 /nfs/dbraw/zinc/01/25/64/589012564.db2.gz FDJXHUFXDAKAHQ-GFCCVEGCSA-N 0 3 222.307 2.699 20 0 BFADHN Cc1cccc(CNC2COC(C)(C)OC2)c1C ZINC000758011232 584904731 /nfs/dbraw/zinc/90/47/31/584904731.db2.gz ZQDBOXMHMLCPGM-UHFFFAOYSA-N 0 3 249.354 2.545 20 0 BFADHN COCCNCc1ccc2c(c1)CCC(C)(C)O2 ZINC000731675716 584928166 /nfs/dbraw/zinc/92/81/66/584928166.db2.gz VSBSRVNIQFUAFO-UHFFFAOYSA-N 0 3 249.354 2.526 20 0 BFADHN CCCN(C)CC(=O)N1CCCCCCCC1 ZINC000836924123 584937540 /nfs/dbraw/zinc/93/75/40/584937540.db2.gz FTLYLDNMPSCXOU-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN CCCCCN(CN1C[C@H](C)CC1=O)C(C)C ZINC000734829331 584969026 /nfs/dbraw/zinc/96/90/26/584969026.db2.gz JPBTWQIBWPGPKV-CYBMUJFWSA-N 0 3 240.391 2.713 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@@H]1C[C@]12CCOC2 ZINC000885966623 585031848 /nfs/dbraw/zinc/03/18/48/585031848.db2.gz ASBVHBSOQDTCPQ-DYEKYZERSA-N 0 3 235.327 2.699 20 0 BFADHN c1cc(CNCCCCNc2ccccn2)co1 ZINC000738430776 585045966 /nfs/dbraw/zinc/04/59/66/585045966.db2.gz PVUPQDYVYMSRKS-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN C[C@@H]1c2c(F)cccc2CCN1C[C@@H](O)C1CC1 ZINC000838852298 585074920 /nfs/dbraw/zinc/07/49/20/585074920.db2.gz BRKFJUIXAWNOOG-QMTHXVAHSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@@H]1CCN(C[C@H](O)C2CC2)[C@H](c2ccco2)C1 ZINC000838861684 585075882 /nfs/dbraw/zinc/07/58/82/585075882.db2.gz MQVLSCAGIXSWNV-XBFCOCLRSA-N 0 3 249.354 2.824 20 0 BFADHN C[C@@H](NCc1cnc(C2CC2)nc1)C1(C)CC1 ZINC000838911637 585078061 /nfs/dbraw/zinc/07/80/61/585078061.db2.gz AVSXLSQMCSJEOX-SNVBAGLBSA-N 0 3 231.343 2.632 20 0 BFADHN Fc1ncccc1CNC1CC2(CCC2)C1 ZINC000839069298 585089525 /nfs/dbraw/zinc/08/95/25/585089525.db2.gz XJGXOHGWIYURJL-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN Cc1ccncc1CCCN1CC2(CC(F)C2)C1 ZINC000839140889 585094530 /nfs/dbraw/zinc/09/45/30/585094530.db2.gz WJNPSHPICDBYLT-UHFFFAOYSA-N 0 3 248.345 2.757 20 0 BFADHN c1cc(CN2CC(C3CCCC3)C2)co1 ZINC000839142021 585094850 /nfs/dbraw/zinc/09/48/50/585094850.db2.gz DVRMRMZBYJNIIX-UHFFFAOYSA-N 0 3 205.301 2.902 20 0 BFADHN CCCCCCN[C@H](C)c1ccn(C)n1 ZINC000839195729 585098503 /nfs/dbraw/zinc/09/85/03/585098503.db2.gz GHHMIYMAJJYDEW-LLVKDONJSA-N 0 3 209.337 2.651 20 0 BFADHN C[C@H](CN(C)C/C=C\Cl)C(=O)OC(C)(C)C ZINC000839198458 585099251 /nfs/dbraw/zinc/09/92/51/585099251.db2.gz CBZZWNWXNVSQGM-JYESYGNLSA-N 0 3 247.766 2.649 20 0 BFADHN Cc1ccccc1OCCN1C[C@@H]2C[C@H]1CS2 ZINC000839201240 585099642 /nfs/dbraw/zinc/09/96/42/585099642.db2.gz HLMADLRXYKXLNF-STQMWFEESA-N 0 3 249.379 2.564 20 0 BFADHN Cc1ccc([C@@H](O)CNCc2ccccc2C)o1 ZINC000741259793 585111934 /nfs/dbraw/zinc/11/19/34/585111934.db2.gz BBOZBNIFUZGVSX-AWEZNQCLSA-N 0 3 245.322 2.720 20 0 BFADHN CCOCCCN1CCc2c(C)cccc2C1 ZINC000741783817 585118779 /nfs/dbraw/zinc/11/87/79/585118779.db2.gz CGEPFSOSQNIEGO-UHFFFAOYSA-N 0 3 233.355 2.780 20 0 BFADHN CCCOC(=O)[C@H](C)N1CCCCC[C@@H]1CC ZINC000741794168 585119544 /nfs/dbraw/zinc/11/95/44/585119544.db2.gz GMEFXHBAHQQGNJ-STQMWFEESA-N 0 3 241.375 2.983 20 0 BFADHN CCCCCC[C@@H](C)C(=O)NC[C@H](C)N(C)C ZINC000742044698 585121738 /nfs/dbraw/zinc/12/17/38/585121738.db2.gz PJHMBYVWQHUNHQ-OLZOCXBDSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@H](CNCc1ccoc1)Oc1ccc(F)cc1 ZINC000742380472 585125498 /nfs/dbraw/zinc/12/54/98/585125498.db2.gz FYKUYILCUDMTOF-LLVKDONJSA-N 0 3 249.285 2.976 20 0 BFADHN C[C@@H](CNCc1ccoc1)Oc1ccccc1F ZINC000742381437 585125567 /nfs/dbraw/zinc/12/55/67/585125567.db2.gz TWYYJFXKWZIEDE-NSHDSACASA-N 0 3 249.285 2.976 20 0 BFADHN c1ccc(OC2CC2)c(CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000886347890 585134067 /nfs/dbraw/zinc/13/40/67/585134067.db2.gz BNXTWRGTACZEEI-BETUJISGSA-N 0 3 229.323 2.680 20 0 BFADHN CSc1cccc(CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000886347808 585134108 /nfs/dbraw/zinc/13/41/08/585134108.db2.gz ABGVBBALDQZTDX-TXEJJXNPSA-N 0 3 219.353 2.860 20 0 BFADHN CN(CCCCCCCO)Cc1ccco1 ZINC000743836311 585139959 /nfs/dbraw/zinc/13/99/59/585139959.db2.gz TUBIZSVJYDPWPG-UHFFFAOYSA-N 0 3 225.332 2.654 20 0 BFADHN Cc1cnc(CN2C3CCCC2CCC3)cn1 ZINC000743852419 585140878 /nfs/dbraw/zinc/14/08/78/585140878.db2.gz ZIABUHISUVKVDC-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN FCCN1CCC[C@@H]1Cc1ccccc1 ZINC000746500847 585169088 /nfs/dbraw/zinc/16/90/88/585169088.db2.gz XCBBFNWKPATZDQ-CYBMUJFWSA-N 0 3 207.292 2.663 20 0 BFADHN CC[C@](C)(NCc1ccnn1C)c1ccccc1 ZINC000747100059 585175098 /nfs/dbraw/zinc/17/50/98/585175098.db2.gz PIUOEFSWRHSNHB-HNNXBMFYSA-N 0 3 243.354 2.835 20 0 BFADHN Fc1ccc(/C=C\CNCCOC2CCC2)cc1 ZINC000747910610 585185727 /nfs/dbraw/zinc/18/57/27/585185727.db2.gz MOZLMIZSVFDAOV-IHWYPQMZSA-N 0 3 249.329 2.998 20 0 BFADHN CCCNC(=O)CN(C)C1CCCCCCC1 ZINC000748806407 585196546 /nfs/dbraw/zinc/19/65/46/585196546.db2.gz YSBBNZVNUANMAQ-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1cnc(CN2CCCCCCC2)cn1 ZINC000749436630 585202308 /nfs/dbraw/zinc/20/23/08/585202308.db2.gz OBHSUWDRXCDPHY-UHFFFAOYSA-N 0 3 219.332 2.551 20 0 BFADHN Cl/C=C\CN1CCC(C2CCOCC2)CC1 ZINC000752148621 585242873 /nfs/dbraw/zinc/24/28/73/585242873.db2.gz LOASFQOJISEXGB-BHQIHCQQSA-N 0 3 243.778 2.878 20 0 BFADHN C[C@H](CN(C)Cc1ccoc1)c1nccs1 ZINC000753595502 585263197 /nfs/dbraw/zinc/26/31/97/585263197.db2.gz KHBOPGUJWOMNFM-SNVBAGLBSA-N 0 3 236.340 2.972 20 0 BFADHN Cc1c(Cl)cnn1CN(C)[C@H](C)C(C)C ZINC000753795364 585268801 /nfs/dbraw/zinc/26/88/01/585268801.db2.gz QJZZQEGSKQHQLK-SECBINFHSA-N 0 3 229.755 2.779 20 0 BFADHN C[C@H](CNCc1ccon1)Cc1ccccc1 ZINC000753961023 585273769 /nfs/dbraw/zinc/27/37/69/585273769.db2.gz JDTHSAFGOSTERU-LBPRGKRZSA-N 0 3 230.311 2.643 20 0 BFADHN C[C@@H]1CCCN(Cc2ccnc(N(C)C)c2)CC1 ZINC000754015183 585275459 /nfs/dbraw/zinc/27/54/59/585275459.db2.gz SEMDCZWLEFBHKN-CYBMUJFWSA-N 0 3 247.386 2.770 20 0 BFADHN CCCN(Cc1ccnc(N(C)C)c1)C1CC1 ZINC000754028501 585275800 /nfs/dbraw/zinc/27/58/00/585275800.db2.gz XTBUQBSETWBLLN-UHFFFAOYSA-N 0 3 233.359 2.522 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1ccnc(N(C)C)c1 ZINC000754060781 585276930 /nfs/dbraw/zinc/27/69/30/585276930.db2.gz IWOAMMYPLYCFIP-TXEJJXNPSA-N 0 3 233.359 2.520 20 0 BFADHN C[C@@H]1CN(Cc2cc3ccccc3s2)C[C@@H]1O ZINC000754097463 585278256 /nfs/dbraw/zinc/27/82/56/585278256.db2.gz NHCFMSMZCUAKQB-MFKMUULPSA-N 0 3 247.363 2.714 20 0 BFADHN CC(=O)Nc1cccc(CN2CCC2(C)C)c1 ZINC000754660214 585288507 /nfs/dbraw/zinc/28/85/07/585288507.db2.gz RUARKRSDUAVKAE-UHFFFAOYSA-N 0 3 232.327 2.629 20 0 BFADHN Cc1ccc([C@H](O)CN2CCC2(C)C)cc1 ZINC000754659551 585288997 /nfs/dbraw/zinc/28/89/97/585288997.db2.gz QCRYMMIHGCKNGE-CYBMUJFWSA-N 0 3 219.328 2.513 20 0 BFADHN CCC(CC)NCC(=O)N(CC)c1ccccc1 ZINC000754745769 585290224 /nfs/dbraw/zinc/29/02/24/585290224.db2.gz XOLQTYQXWAWKPS-UHFFFAOYSA-N 0 3 248.370 2.818 20 0 BFADHN CCC[C@H](C)NCC(=O)N(CC)c1ccccc1 ZINC000754994977 585292968 /nfs/dbraw/zinc/29/29/68/585292968.db2.gz BPOQEFSDILGWOZ-ZDUSSCGKSA-N 0 3 248.370 2.818 20 0 BFADHN CC[C@H]1CC(=O)N(CN(CC)[C@H](C)C(C)C)C1 ZINC000755913374 585310300 /nfs/dbraw/zinc/31/03/00/585310300.db2.gz OPKZVNAHRCHNRI-OLZOCXBDSA-N 0 3 240.391 2.569 20 0 BFADHN CCCCCCC(=O)N1CCC[C@@H]1CN(C)C ZINC000756932513 585325231 /nfs/dbraw/zinc/32/52/31/585325231.db2.gz BVXXHJPATYKDAI-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CC(C)(NCCn1cccn1)c1ccc(F)cc1 ZINC000103482647 585330608 /nfs/dbraw/zinc/33/06/08/585330608.db2.gz TZGLBKXWACIHPR-UHFFFAOYSA-N 0 3 247.317 2.547 20 0 BFADHN CCN(CCN1CCCC1)Cc1occc1C ZINC000839660093 585334001 /nfs/dbraw/zinc/33/40/01/585334001.db2.gz BLAAZNOFOCJWEX-UHFFFAOYSA-N 0 3 236.359 2.506 20 0 BFADHN Cc1oncc1CN1CC[C@@H](C2CCC2)C1 ZINC000839681540 585337286 /nfs/dbraw/zinc/33/72/86/585337286.db2.gz SYJLJFHEFHOKPV-GFCCVEGCSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1ccc2c(c1)CC[C@H]2NCCOC(C)C ZINC000757687894 585344129 /nfs/dbraw/zinc/34/41/29/585344129.db2.gz TXPMNPLJZKSKIV-OAHLLOKOSA-N 0 3 233.355 2.997 20 0 BFADHN Cc1ccc(C)c(CNC2COC(C)(C)OC2)c1 ZINC000758010271 585348228 /nfs/dbraw/zinc/34/82/28/585348228.db2.gz IFTSUFPTKXAPGL-UHFFFAOYSA-N 0 3 249.354 2.545 20 0 BFADHN O[C@H](CN1CCCC1)c1ccc(Cl)c(F)c1 ZINC000759052403 585363789 /nfs/dbraw/zinc/36/37/89/585363789.db2.gz ZXDNLLCSUWGTAN-GFCCVEGCSA-N 0 3 243.709 2.608 20 0 BFADHN CC(C)[C@H]1CCN1C[C@@H](O)c1ccccc1F ZINC000759071394 585363887 /nfs/dbraw/zinc/36/38/87/585363887.db2.gz QAUPKXOMLRKPBC-ZIAGYGMSSA-N 0 3 237.318 2.589 20 0 BFADHN CC(C)[C@H]1CCN1C[C@H](O)c1ccc(F)cc1 ZINC000759067865 585363923 /nfs/dbraw/zinc/36/39/23/585363923.db2.gz CWVTXQKSPGCKBV-KGLIPLIRSA-N 0 3 237.318 2.589 20 0 BFADHN CCCN(CCF)[C@H]1C[C@@H](OCC)C1(C)C ZINC000759342255 585369060 /nfs/dbraw/zinc/36/90/60/585369060.db2.gz GFNSPGYCTKPWAB-NWDGAFQWSA-N 0 3 231.355 2.872 20 0 BFADHN C[C@@H]1C[C@H](c2ccccc2)CN1CCF ZINC000759341485 585369391 /nfs/dbraw/zinc/36/93/91/585369391.db2.gz GYLLRWDMEDZZMK-YPMHNXCESA-N 0 3 207.292 2.834 20 0 BFADHN FCCN1CC[C@H](Cc2ccccc2)C1 ZINC000759343117 585369575 /nfs/dbraw/zinc/36/95/75/585369575.db2.gz LEARBRIXFGVLTP-CYBMUJFWSA-N 0 3 207.292 2.521 20 0 BFADHN C[C@@H](NCc1ccc2[nH]cnc2c1)c1ccc[nH]1 ZINC000760446881 585392506 /nfs/dbraw/zinc/39/25/06/585392506.db2.gz ZDIOFDGHVPVERF-SNVBAGLBSA-N 0 3 240.310 2.742 20 0 BFADHN C[C@@H](NCc1ccc2nc[nH]c2c1)c1ccc[nH]1 ZINC000760446881 585392507 /nfs/dbraw/zinc/39/25/07/585392507.db2.gz ZDIOFDGHVPVERF-SNVBAGLBSA-N 0 3 240.310 2.742 20 0 BFADHN CCCC[C@@H](CC)CN1CCOC[C@@H]1C ZINC000760852202 585399887 /nfs/dbraw/zinc/39/98/87/585399887.db2.gz ICAUTCGBNLVACI-QWHCGFSZSA-N 0 3 213.365 2.924 20 0 BFADHN Fc1ccc(C2(NCCN3CCCC3)CC2)cc1 ZINC000760857550 585400007 /nfs/dbraw/zinc/40/00/07/585400007.db2.gz RKPSZBVFZYXDBQ-UHFFFAOYSA-N 0 3 248.345 2.500 20 0 BFADHN COC(=O)CCCN1CCC(CC(C)C)CC1 ZINC000760918842 585401603 /nfs/dbraw/zinc/40/16/03/585401603.db2.gz AOPIZISFPWFCIM-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN FC(F)(F)[C@H]1CCN(CCc2cccnc2)C1 ZINC000761052326 585404627 /nfs/dbraw/zinc/40/46/27/585404627.db2.gz XHDKJEMEMFRMDV-NSHDSACASA-N 0 3 244.260 2.508 20 0 BFADHN CCOc1ccc(SCCN(C)C)cc1 ZINC000761071011 585405584 /nfs/dbraw/zinc/40/55/84/585405584.db2.gz IEISDZNPDJCKIX-UHFFFAOYSA-N 0 3 225.357 2.739 20 0 BFADHN C[C@@H]1CCC[C@@H](CCN2CCN(C)[C@H](C)C2)C1 ZINC000761077725 585405792 /nfs/dbraw/zinc/40/57/92/585405792.db2.gz OROMYHCDQYWHLI-KFWWJZLASA-N 0 3 238.419 2.839 20 0 BFADHN Cc1cc(CN2CC[C@H]3C[C@H]32)c(C)s1 ZINC000761098209 585408955 /nfs/dbraw/zinc/40/89/55/585408955.db2.gz WBNFGMKVAHGRED-CMPLNLGQSA-N 0 3 207.342 2.959 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1ccnc(F)c1 ZINC000859226496 589022443 /nfs/dbraw/zinc/02/24/43/589022443.db2.gz QVDOXQQFJZCTPH-PWSUYJOCSA-N 0 3 222.307 2.984 20 0 BFADHN CCOCCC1(CNCc2ccoc2)CCC1 ZINC000765269465 585483380 /nfs/dbraw/zinc/48/33/80/585483380.db2.gz HDKJGIBNADCTBH-UHFFFAOYSA-N 0 3 237.343 2.966 20 0 BFADHN Cc1cccc2c1CCN(CCCF)C2 ZINC000766053887 585497102 /nfs/dbraw/zinc/49/71/02/585497102.db2.gz RVOOHZRHCVLYJX-UHFFFAOYSA-N 0 3 207.292 2.713 20 0 BFADHN CC(C)[C@@H](N[C@H]1CCCOC1)c1ccccn1 ZINC000766082921 585497167 /nfs/dbraw/zinc/49/71/67/585497167.db2.gz UFSKJSGUFGSUJD-GXTWGEPZSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1ccc([C@H](C)NCCN2CCC[C@H]2C)o1 ZINC000766267683 585499468 /nfs/dbraw/zinc/49/94/68/585499468.db2.gz HRUYSAUDWCUSNF-YPMHNXCESA-N 0 3 236.359 2.723 20 0 BFADHN C[C@H]1CN(CCC(=O)C2CC2)Cc2ccccc21 ZINC000767354847 585517449 /nfs/dbraw/zinc/51/74/49/585517449.db2.gz MMDHZJOGZFBMLS-LBPRGKRZSA-N 0 3 243.350 2.975 20 0 BFADHN CO[C@@H]1C[C@H](NCc2cc(C)oc2C)C1(C)C ZINC000767374088 585518425 /nfs/dbraw/zinc/51/84/25/585518425.db2.gz WBXYQAZGEKKNTM-QWHCGFSZSA-N 0 3 237.343 2.800 20 0 BFADHN Cc1cc(CN[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)c(C)o1 ZINC000767377361 585518894 /nfs/dbraw/zinc/51/88/94/585518894.db2.gz KVVGTOLVYCYZEC-BFHYXJOUSA-N 0 3 249.354 2.800 20 0 BFADHN Cc1cc(N2CCC[C@H]2CCC(C)C)nc(N)n1 ZINC000767814788 585526636 /nfs/dbraw/zinc/52/66/36/585526636.db2.gz RLTZUKGNKFJXOI-LBPRGKRZSA-N 0 3 248.374 2.772 20 0 BFADHN Cc1cc(N2CCC[C@@H]2CCC(C)C)nc(N)n1 ZINC000767814787 585526729 /nfs/dbraw/zinc/52/67/29/585526729.db2.gz RLTZUKGNKFJXOI-GFCCVEGCSA-N 0 3 248.374 2.772 20 0 BFADHN c1cc(CN2CC[C@H](C3CCOCC3)C2)co1 ZINC000769519335 585558652 /nfs/dbraw/zinc/55/86/52/585558652.db2.gz REKMFECSQPQEQI-AWEZNQCLSA-N 0 3 235.327 2.528 20 0 BFADHN O[C@@H](CN1CCCC12CCC2)c1cccc(F)c1 ZINC000770004534 585569111 /nfs/dbraw/zinc/56/91/11/585569111.db2.gz XFDCJAAAQIUVQU-AWEZNQCLSA-N 0 3 249.329 2.878 20 0 BFADHN CCC(C)(C)CC(=O)OCCN1CCCCC1 ZINC000770391942 585573340 /nfs/dbraw/zinc/57/33/40/585573340.db2.gz OJFTYVYIYIHKHS-UHFFFAOYSA-N 0 3 241.375 2.842 20 0 BFADHN CCCC(=CC(=O)N(C)CCN(C)C)CCC ZINC000770771347 585581608 /nfs/dbraw/zinc/58/16/08/585581608.db2.gz RLBLVYLYKNJZQN-UHFFFAOYSA-N 0 3 240.391 2.533 20 0 BFADHN Cc1ncc(CCN[C@@H](C)c2cccnc2)s1 ZINC000771903259 585604061 /nfs/dbraw/zinc/60/40/61/585604061.db2.gz PEUMATZDQHEKSP-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN CCN(CC)CCOC(=O)[C@H](C)C1CCCC1 ZINC000772166769 585609412 /nfs/dbraw/zinc/60/94/12/585609412.db2.gz FNOBKBCAXORFTF-GFCCVEGCSA-N 0 3 241.375 2.698 20 0 BFADHN CCN(CC)CCOC(=O)/C=C1\CC[C@@H](C)C1 ZINC000772184982 585609737 /nfs/dbraw/zinc/60/97/37/585609737.db2.gz QZIIZWGXRDJJNL-RVROTUBLSA-N 0 3 239.359 2.618 20 0 BFADHN CO[C@@H]1CCC[C@@H]1N[C@H](C)c1cnccc1C ZINC000772449413 585616056 /nfs/dbraw/zinc/61/60/56/585616056.db2.gz YLEHMNRQPHLHSL-KWCYVHTRSA-N 0 3 234.343 2.608 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1cccc2c1OCCO2 ZINC000806147217 585618756 /nfs/dbraw/zinc/61/87/56/585618756.db2.gz VIFUACKJGACXHW-WCQYABFASA-N 0 3 247.338 2.736 20 0 BFADHN CCN(CC)CCOC(=O)/C(C)=C/C(C)(C)C ZINC000772808994 585624476 /nfs/dbraw/zinc/62/44/76/585624476.db2.gz CTIWTZUGBBJLKV-VAWYXSNFSA-N 0 3 241.375 2.864 20 0 BFADHN CCN(CC)CCOC(=O)/C(C)=C\C(C)(C)C ZINC000772808992 585624529 /nfs/dbraw/zinc/62/45/29/585624529.db2.gz CTIWTZUGBBJLKV-QXMHVHEDSA-N 0 3 241.375 2.864 20 0 BFADHN CC(C)[C@@H](C)NCc1nc2cc(F)ccc2n1C ZINC000773003018 585629181 /nfs/dbraw/zinc/62/91/81/585629181.db2.gz VMXJMYLQDKAWAJ-SNVBAGLBSA-N 0 3 249.333 2.847 20 0 BFADHN CC1(C)CCC[C@H]1N[C@@H]1CCCc2c[nH]nc21 ZINC000773065285 585630398 /nfs/dbraw/zinc/63/03/98/585630398.db2.gz OKGSHJZMMPUXIR-VXGBXAGGSA-N 0 3 233.359 2.955 20 0 BFADHN Cc1cc([C@H]2CCCN2C[C@H]2CCC=CO2)on1 ZINC000774841711 585667314 /nfs/dbraw/zinc/66/73/14/585667314.db2.gz WKVWREDOWHQPHI-CHWSQXEVSA-N 0 3 248.326 2.813 20 0 BFADHN CCN(CCc1ccccn1)C[C@@H]1CCC=CO1 ZINC000774851333 585667464 /nfs/dbraw/zinc/66/74/64/585667464.db2.gz BWYCBHOTJOWAHS-HNNXBMFYSA-N 0 3 246.354 2.639 20 0 BFADHN C=Cn1cc(CNCc2c(C)cccc2C)cn1 ZINC000775032937 585670831 /nfs/dbraw/zinc/67/08/31/585670831.db2.gz YDVLNGAOQWFDJR-UHFFFAOYSA-N 0 3 241.338 2.890 20 0 BFADHN CCc1cnccc1[C@H](C)NC[C@@H]1CCC=CO1 ZINC000775051962 585670925 /nfs/dbraw/zinc/67/09/25/585670925.db2.gz RKDIVRPRULINCF-JSGCOSHPSA-N 0 3 246.354 2.987 20 0 BFADHN CCN(CC)CCOC(=O)[C@H]1C[C@]1(C)C(C)C ZINC000775071599 585670964 /nfs/dbraw/zinc/67/09/64/585670964.db2.gz IQHFQTCGKFGINA-TZMCWYRMSA-N 0 3 241.375 2.554 20 0 BFADHN Cc1ccc(C(C)(C)NC[C@H]2CCC=CO2)cn1 ZINC000775063159 585671508 /nfs/dbraw/zinc/67/15/08/585671508.db2.gz NKAUBXPVDSRPHE-CQSZACIVSA-N 0 3 246.354 2.907 20 0 BFADHN Cc1ccc(C(C)(C)NC[C@@H]2CCC=CO2)cn1 ZINC000775063157 585671588 /nfs/dbraw/zinc/67/15/88/585671588.db2.gz NKAUBXPVDSRPHE-AWEZNQCLSA-N 0 3 246.354 2.907 20 0 BFADHN CC(C)C[C@@H]1OCCC[C@@H]1NCc1ccoc1 ZINC000775371067 585676393 /nfs/dbraw/zinc/67/63/93/585676393.db2.gz NQZMZUKRZKUDAJ-KBPBESRZSA-N 0 3 237.343 2.963 20 0 BFADHN CC(C)[C@H](NC[C@@H]1CCCCO1)c1ccccn1 ZINC000775447181 585678150 /nfs/dbraw/zinc/67/81/50/585678150.db2.gz XWGGADWESBTHNJ-ZFWWWQNUSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@H]1CCOCCN1CCCOc1ccccc1 ZINC000775568742 585679933 /nfs/dbraw/zinc/67/99/33/585679933.db2.gz WKPSXRDHEIVACN-AWEZNQCLSA-N 0 3 249.354 2.566 20 0 BFADHN COc1ccc(C)cc1CN1CCOCC[C@@H]1C ZINC000775570652 585680167 /nfs/dbraw/zinc/68/01/67/585680167.db2.gz RCNNXJPPISABAC-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1cc2ccccc2[nH]1 ZINC000775624077 585681694 /nfs/dbraw/zinc/68/16/94/585681694.db2.gz XKDSOMHDOWGGHB-GHMZBOCLSA-N 0 3 232.327 2.853 20 0 BFADHN CCC[C@@H]1CCCC[C@@H]1NCc1nonc1C ZINC000775681185 585682985 /nfs/dbraw/zinc/68/29/85/585682985.db2.gz IKFBDJQFOJQKNH-NEPJUHHUSA-N 0 3 237.347 2.827 20 0 BFADHN CC(C)C[C@@H]1C[C@@H](NCc2ccoc2)CCO1 ZINC000776955234 585706945 /nfs/dbraw/zinc/70/69/45/585706945.db2.gz VSKYXRVAZYMNBA-UONOGXRCSA-N 0 3 237.343 2.963 20 0 BFADHN Cc1ccc([C@@H](C)NCCOC2CCC2)c(C)n1 ZINC000777118327 585708655 /nfs/dbraw/zinc/70/86/55/585708655.db2.gz AUKMMYFGOXFYNH-GFCCVEGCSA-N 0 3 248.370 2.918 20 0 BFADHN Cc1ccc([C@H](C)NCCOC2CCC2)c(C)n1 ZINC000777118328 585708828 /nfs/dbraw/zinc/70/88/28/585708828.db2.gz AUKMMYFGOXFYNH-LBPRGKRZSA-N 0 3 248.370 2.918 20 0 BFADHN C[C@H](C1CC1)N1CCN(c2ccccc2F)CC1 ZINC000777400689 585711260 /nfs/dbraw/zinc/71/12/60/585711260.db2.gz BOKOYQRGKKEWER-GFCCVEGCSA-N 0 3 248.345 2.746 20 0 BFADHN C[C@H](Cn1cccn1)NCc1ccc(CF)cc1 ZINC000777579656 585715113 /nfs/dbraw/zinc/71/51/13/585715113.db2.gz CRYZNBSMQFITRN-GFCCVEGCSA-N 0 3 247.317 2.531 20 0 BFADHN C[C@@]1(O)CCCN(Cc2ccc(CF)cc2)C1 ZINC000777715385 585718938 /nfs/dbraw/zinc/71/89/38/585718938.db2.gz ANSHDMZIIWQNQU-CQSZACIVSA-N 0 3 237.318 2.503 20 0 BFADHN CCOCCN(C)Cc1ccc(CF)cc1 ZINC000777720101 585719284 /nfs/dbraw/zinc/71/92/84/585719284.db2.gz DUOOYBSRCHFBQW-UHFFFAOYSA-N 0 3 225.307 2.624 20 0 BFADHN C[C@@H](C1CC1)N1CCOc2ccccc2C1 ZINC000777912456 585723783 /nfs/dbraw/zinc/72/37/83/585723783.db2.gz UALYBJWANWZSJS-NSHDSACASA-N 0 3 217.312 2.680 20 0 BFADHN COc1ccc2c(c1)CN([C@@H](C)C1CC1)CC2 ZINC000777984579 585724857 /nfs/dbraw/zinc/72/48/57/585724857.db2.gz VPDRRMSNSWKWFN-NSHDSACASA-N 0 3 231.339 2.852 20 0 BFADHN Cc1ccc(F)cc1CNC[C@@H](O)c1ccco1 ZINC000778076074 585725503 /nfs/dbraw/zinc/72/55/03/585725503.db2.gz XCYMTEAUJKSQIC-CYBMUJFWSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1cccc(CCCN2CCN(C)[C@H](C)C2)c1 ZINC000778221524 585728147 /nfs/dbraw/zinc/72/81/47/585728147.db2.gz GDSKRRQROKEDGM-OAHLLOKOSA-N 0 3 246.398 2.564 20 0 BFADHN Cc1ccc(OCCN2CC=CCC2)cc1 ZINC000778967430 585743296 /nfs/dbraw/zinc/74/32/96/585743296.db2.gz DMOXIRVCBYGLFT-UHFFFAOYSA-N 0 3 217.312 2.636 20 0 BFADHN CCCCOC(=O)C(C)(C)N(C)C1CCCC1 ZINC000779122702 585745335 /nfs/dbraw/zinc/74/53/35/585745335.db2.gz PYBAIWOFTAWTTG-UHFFFAOYSA-N 0 3 241.375 2.983 20 0 BFADHN C/C=C/COC(=O)C(C)(C)N(C)C1CCCC1 ZINC000779122854 585745363 /nfs/dbraw/zinc/74/53/63/585745363.db2.gz URMBXBNAKADEIM-AATRIKPKSA-N 0 3 239.359 2.759 20 0 BFADHN CC(C)[C@H]1CCN(Cc2ccc(F)nc2)C1 ZINC000779164443 585746046 /nfs/dbraw/zinc/74/60/46/585746046.db2.gz QVDSLNLHGOKSQS-LBPRGKRZSA-N 0 3 222.307 2.699 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1ccc(F)nc1 ZINC000779153558 585746054 /nfs/dbraw/zinc/74/60/54/585746054.db2.gz GODPCKUCOGKGBQ-JTQLQIEISA-N 0 3 210.296 2.697 20 0 BFADHN CCC(C)(C)N(C)Cc1ccc(F)nc1 ZINC000779156361 585746307 /nfs/dbraw/zinc/74/63/07/585746307.db2.gz DVXODABDEKYLQB-UHFFFAOYSA-N 0 3 210.296 2.841 20 0 BFADHN Fc1cncc(CN2CCC3(CCCC3)C2)c1 ZINC000779156585 585746447 /nfs/dbraw/zinc/74/64/47/585746447.db2.gz HJSTXXSNTFIGOY-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN C[C@@H]1CN(CCn2ccnc2)Cc2ccccc21 ZINC000779460464 585755608 /nfs/dbraw/zinc/75/56/08/585755608.db2.gz OYNXZDGUMUSYCK-CYBMUJFWSA-N 0 3 241.338 2.502 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCc3ccccc32)[C@@H](C)CO1 ZINC000780285528 585776871 /nfs/dbraw/zinc/77/68/71/585776871.db2.gz DUXYWRXYBGHMHV-GZBFAFLISA-N 0 3 245.366 2.826 20 0 BFADHN CC1(C)COCCN1C[C@H]1CCc2ccccc21 ZINC000780283999 585777055 /nfs/dbraw/zinc/77/70/55/585777055.db2.gz ADNIYXACDHKRRN-CQSZACIVSA-N 0 3 245.366 2.827 20 0 BFADHN CC[C@@H]1C[C@H](CN2CC[C@@H](C)[C@@H](F)C2)CCO1 ZINC000780766582 585784641 /nfs/dbraw/zinc/78/46/41/585784641.db2.gz HKMAKYJMSUUWHL-SYQHCUMBSA-N 0 3 243.366 2.872 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2ccnc(N(C)C)c2)C1 ZINC000782175730 585809953 /nfs/dbraw/zinc/80/99/53/585809953.db2.gz RQPLTWICNCBNGW-STQMWFEESA-N 0 3 247.386 2.626 20 0 BFADHN C[C@@H](C1CC1)N1CCc2cc(F)ccc2C1 ZINC000782189860 585811206 /nfs/dbraw/zinc/81/12/06/585811206.db2.gz IMQNBADIBBNOBL-JTQLQIEISA-N 0 3 219.303 2.982 20 0 BFADHN C[C@H](C1CC1)N1CCO[C@H](Cc2ccccc2)C1 ZINC000782192108 585811429 /nfs/dbraw/zinc/81/14/29/585811429.db2.gz SFRVPKUONPPDFN-CZUORRHYSA-N 0 3 245.366 2.728 20 0 BFADHN Cc1ccc(N2CCN([C@@H](C)C3CC3)CC2)cc1 ZINC000782194413 585811965 /nfs/dbraw/zinc/81/19/65/585811965.db2.gz YBIDEMKBSAFCDK-AWEZNQCLSA-N 0 3 244.382 2.916 20 0 BFADHN CO[C@H](C)CN(C)[C@H]1C[C@H]1c1ccccc1 ZINC000782487239 585819080 /nfs/dbraw/zinc/81/90/80/585819080.db2.gz ZDTUKZSCWPBAHO-XBFCOCLRSA-N 0 3 219.328 2.509 20 0 BFADHN Cc1cccc(C)c1NC(=O)CN[C@H]1CC[C@@H]1C ZINC000783216206 585830928 /nfs/dbraw/zinc/83/09/28/585830928.db2.gz QOSNDKPPNDQXCR-GWCFXTLKSA-N 0 3 246.354 2.630 20 0 BFADHN COc1ccc2c(c1)[C@@H](N[C@H]1CC[C@@H]1C)CCO2 ZINC000783293549 585833483 /nfs/dbraw/zinc/83/34/83/585833483.db2.gz HHEUKFOCCLEGPZ-BPNCWPANSA-N 0 3 247.338 2.907 20 0 BFADHN CCOCOc1ccc(CN2CC=CCC2)cc1 ZINC000783590706 585837777 /nfs/dbraw/zinc/83/77/77/585837777.db2.gz YKJONCSCQRZFBY-UHFFFAOYSA-N 0 3 247.338 2.821 20 0 BFADHN Cc1ccc(CCCN2CCN(C)[C@@H](C)C2)cc1 ZINC000784221720 585853053 /nfs/dbraw/zinc/85/30/53/585853053.db2.gz GAHYZVUNBRFOQG-HNNXBMFYSA-N 0 3 246.398 2.564 20 0 BFADHN Cc1ccc(N2CCN(CC3CCC3)CC2)cc1 ZINC000784388345 585857984 /nfs/dbraw/zinc/85/79/84/585857984.db2.gz HOHOOGPAQGBHJL-UHFFFAOYSA-N 0 3 244.382 2.917 20 0 BFADHN Cc1ccc(N2CCN(CC(C)C)CC2)cc1 ZINC000784389560 585858125 /nfs/dbraw/zinc/85/81/25/585858125.db2.gz REWIOIYBXVDIDC-UHFFFAOYSA-N 0 3 232.371 2.773 20 0 BFADHN Cc1cccc([C@@H]2CCN(CCF)C2)c1 ZINC000784478375 585860985 /nfs/dbraw/zinc/86/09/85/585860985.db2.gz BJCPETAIRKKURU-CYBMUJFWSA-N 0 3 207.292 2.754 20 0 BFADHN CCCOC(=O)[C@@H](C)N1CC[C@@H](C(C)(C)C)C1 ZINC000784517151 585862131 /nfs/dbraw/zinc/86/21/31/585862131.db2.gz QZATVHDJGOWWNG-VXGBXAGGSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1cc(CN(C)[C@H]2CC[C@H](O)CC2)c(C)o1 ZINC000784669104 585865414 /nfs/dbraw/zinc/86/54/14/585865414.db2.gz CXRWEQBUGDQIRI-HDJSIYSDSA-N 0 3 237.343 2.632 20 0 BFADHN Cc1ccc([C@@H](C)NCc2ccnc(N)c2)s1 ZINC000785793825 585881367 /nfs/dbraw/zinc/88/13/67/585881367.db2.gz OHSURZHFGDKMJC-SNVBAGLBSA-N 0 3 247.367 2.885 20 0 BFADHN C[C@@H]1C[C@H](C(C)(C)C)CCN1CC1OCCO1 ZINC000805839944 585910061 /nfs/dbraw/zinc/91/00/61/585910061.db2.gz VUYIRPMGUHLYRJ-VXGBXAGGSA-N 0 3 241.375 2.506 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC=CO2)C[C@@H](C)S1 ZINC000788446020 585923958 /nfs/dbraw/zinc/92/39/58/585923958.db2.gz NKDFGRBLUYDIHS-UTUOFQBUSA-N 0 3 227.373 2.505 20 0 BFADHN C[C@H](NCc1ccc(-c2cc[nH]n2)o1)C1(C)CC1 ZINC000788510199 585926170 /nfs/dbraw/zinc/92/61/70/585926170.db2.gz WXHCUODRSOQBHG-JTQLQIEISA-N 0 3 245.326 2.948 20 0 BFADHN C[C@@H](NCc1ccc(-c2cc[nH]n2)o1)C1(C)CC1 ZINC000788510200 585926205 /nfs/dbraw/zinc/92/62/05/585926205.db2.gz WXHCUODRSOQBHG-SNVBAGLBSA-N 0 3 245.326 2.948 20 0 BFADHN c1cc(-c2ccc(CNCCC3CCC3)o2)n[nH]1 ZINC000788524161 585926408 /nfs/dbraw/zinc/92/64/08/585926408.db2.gz PFUWXSVUXODBDA-UHFFFAOYSA-N 0 3 245.326 2.950 20 0 BFADHN Cc1nc(SC[C@@H]2CCN(C)C2)sc1C ZINC000788812338 585930013 /nfs/dbraw/zinc/93/00/13/585930013.db2.gz KFEFTOZIKZUYDO-SNVBAGLBSA-N 0 3 242.413 2.804 20 0 BFADHN CC(=O)CCN1CCC(F)(c2ccccc2)CC1 ZINC000789309954 585939531 /nfs/dbraw/zinc/93/95/31/585939531.db2.gz LFYHRFCZGQZPTA-UHFFFAOYSA-N 0 3 249.329 2.926 20 0 BFADHN C[C@@H]1COCCN(Cc2cc3ccccc3[nH]2)C1 ZINC000789732260 585949129 /nfs/dbraw/zinc/94/91/29/585949129.db2.gz SEIOEQRAIYINFC-LBPRGKRZSA-N 0 3 244.338 2.636 20 0 BFADHN Clc1ccc(SCCN2CCCC2)nc1 ZINC000789908048 585952915 /nfs/dbraw/zinc/95/29/15/585952915.db2.gz MHBAIEXEWRBQNU-UHFFFAOYSA-N 0 3 242.775 2.923 20 0 BFADHN Cc1cc(F)ccc1[C@@H](O)CN1[C@H](C)C[C@@H]1C ZINC000791573185 585984279 /nfs/dbraw/zinc/98/42/79/585984279.db2.gz HDLKUPCTDJONQE-WDMOLILDSA-N 0 3 237.318 2.650 20 0 BFADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1CCC(=O)C1CC1 ZINC000791585141 585984651 /nfs/dbraw/zinc/98/46/51/585984651.db2.gz RIUSNHASAZQDOH-SCZZXKLOSA-N 0 3 249.276 2.628 20 0 BFADHN Cc1ccc2c(c1)CCN(CCC(=O)C1CC1)C2 ZINC000792311966 585999575 /nfs/dbraw/zinc/99/95/75/585999575.db2.gz LXGMDHGGJCANNV-UHFFFAOYSA-N 0 3 243.350 2.722 20 0 BFADHN CC(C)(O)c1ccc(CNCC2(F)CC2)cc1 ZINC000793085668 586010069 /nfs/dbraw/zinc/01/00/69/586010069.db2.gz PJDHCKSWYOYCOO-UHFFFAOYSA-N 0 3 237.318 2.506 20 0 BFADHN FC1(F)CCN(CCCC2CCOCC2)CC1 ZINC000793362780 586017421 /nfs/dbraw/zinc/01/74/21/586017421.db2.gz VPBNYAFJJXYLBO-UHFFFAOYSA-N 0 3 247.329 2.924 20 0 BFADHN Cc1cc([C@H](C)NCCc2ccsc2)n(C)n1 ZINC000793523515 586021710 /nfs/dbraw/zinc/02/17/10/586021710.db2.gz ISBHKDJYCIIONR-NSHDSACASA-N 0 3 249.383 2.683 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)Cc2ccco2)n(C)n1 ZINC000793527810 586021796 /nfs/dbraw/zinc/02/17/96/586021796.db2.gz WBIJKDYNRPPLDW-CMPLNLGQSA-N 0 3 247.342 2.603 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCC(F)(F)C2)n(C)n1 ZINC000793549729 586022793 /nfs/dbraw/zinc/02/27/93/586022793.db2.gz RTABQNAHNQJUKI-VHSXEESVSA-N 0 3 243.301 2.567 20 0 BFADHN CCOC1(C)CCN(C/C=C/Cl)CC1 ZINC000794624277 586045981 /nfs/dbraw/zinc/04/59/81/586045981.db2.gz LKLWFWSKBGBGEF-QPJJXVBHSA-N 0 3 217.740 2.630 20 0 BFADHN Cc1ccccc1[C@@H](O)CN1CC(C(C)C)C1 ZINC000795545866 586084970 /nfs/dbraw/zinc/08/49/70/586084970.db2.gz HOIFAFPKFIJEHU-HNNXBMFYSA-N 0 3 233.355 2.616 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CC[C@@H](O)c1ccccc1 ZINC000796050205 586097055 /nfs/dbraw/zinc/09/70/55/586097055.db2.gz HYFYIQQJPOTVRB-YRGRVCCFSA-N 0 3 219.328 2.593 20 0 BFADHN CCC(CC)N(Cc1ccnc(C)n1)C1CC1 ZINC000796457988 586104019 /nfs/dbraw/zinc/10/40/19/586104019.db2.gz SPYXPWDACIQFQR-UHFFFAOYSA-N 0 3 233.359 2.938 20 0 BFADHN Cc1ncsc1CCN1CCC(F)(F)CC1 ZINC000796538255 586106585 /nfs/dbraw/zinc/10/65/85/586106585.db2.gz NWGGOVIZFHPGMH-UHFFFAOYSA-N 0 3 246.326 2.725 20 0 BFADHN CC1=C[C@H](C)CN(C[C@@H](O)c2cccc(F)c2)C1 ZINC000797526491 586128310 /nfs/dbraw/zinc/12/83/10/586128310.db2.gz RIDTYCKEHJJOSS-XHDPSFHLSA-N 0 3 249.329 2.757 20 0 BFADHN C(N[C@@H]1CC[C@@H]1C1CC1)c1nc2c(s1)CCC2 ZINC000797904207 586133816 /nfs/dbraw/zinc/13/38/16/586133816.db2.gz MOPBSYVIURJBMG-GHMZBOCLSA-N 0 3 248.395 2.910 20 0 BFADHN CC1=CCCN(Cc2ccc3c(c2)COC3)C1 ZINC000797997055 586135440 /nfs/dbraw/zinc/13/54/40/586135440.db2.gz QJUAXPWDABARQT-UHFFFAOYSA-N 0 3 229.323 2.869 20 0 BFADHN CC(=O)OC[C@@H](C)N[C@H](c1ccccc1)C(C)C ZINC000798179710 586138784 /nfs/dbraw/zinc/13/87/84/586138784.db2.gz KNYBTUIAGLMLFX-DOMZBBRYSA-N 0 3 249.354 2.925 20 0 BFADHN Cc1ccc(CN2CC[C@H](c3ccccn3)C2)o1 ZINC000798965024 586154344 /nfs/dbraw/zinc/15/43/44/586154344.db2.gz WWNRMKJJCQONQF-ZDUSSCGKSA-N 0 3 242.322 2.973 20 0 BFADHN c1ccc([C@H]2CCN(C[C@H]3CCC=CO3)C2)nc1 ZINC000798963409 586154641 /nfs/dbraw/zinc/15/46/41/586154641.db2.gz BSFMMMUDQQPMMN-UONOGXRCSA-N 0 3 244.338 2.564 20 0 BFADHN CCO[C@H](CN1CCC[C@](C)(F)C1)C1CC1 ZINC000859320521 589030938 /nfs/dbraw/zinc/03/09/38/589030938.db2.gz YYPWCOPLRPTOQR-OLZOCXBDSA-N 0 3 229.339 2.626 20 0 BFADHN CCCC[C@H](C)C(=O)OCCN(CC)CC ZINC000800275120 586207902 /nfs/dbraw/zinc/20/79/02/586207902.db2.gz UYWUDQPXQPJKMC-LBPRGKRZSA-N 0 3 229.364 2.698 20 0 BFADHN CCCC[C@@H](C)C(=O)N[C@H](CN(C)C)C(C)C ZINC000800493608 586223344 /nfs/dbraw/zinc/22/33/44/586223344.db2.gz OJHJPGUIRMRDAC-CHWSQXEVSA-N 0 3 242.407 2.515 20 0 BFADHN CC(C)C(=O)CCN1C[C@@H](C)C(F)(F)[C@@H](C)C1 ZINC000859413820 589035923 /nfs/dbraw/zinc/03/59/23/589035923.db2.gz CLIZJMYSNZICGO-PHIMTYICSA-N 0 3 247.329 2.825 20 0 BFADHN CCC(=O)CCN1C[C@@H](C)C(F)(F)[C@H](C)C1 ZINC000859415347 589036038 /nfs/dbraw/zinc/03/60/38/589036038.db2.gz QTIDCFBZEQVESX-NXEZZACHSA-N 0 3 233.302 2.579 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H]1CCC[C@H]2C[C@H]21 ZINC000800987393 586238985 /nfs/dbraw/zinc/23/89/85/586238985.db2.gz WXRSBIKQMXTPFW-YVECIDJPSA-N 0 3 231.343 2.624 20 0 BFADHN C[C@H]1CSCCN1CCSC(C)(C)C ZINC000801922582 586277699 /nfs/dbraw/zinc/27/76/99/586277699.db2.gz MBUQXOIWNLVRSW-JTQLQIEISA-N 0 3 233.446 2.955 20 0 BFADHN C[C@H]1COCCCN1CCSC(C)(C)C ZINC000801934951 586278948 /nfs/dbraw/zinc/27/89/48/586278948.db2.gz UFGUJBCSGFAGGJ-NSHDSACASA-N 0 3 231.405 2.629 20 0 BFADHN CC(C)(C)SCCN1CCOCC2(CC2)C1 ZINC000801939033 586280379 /nfs/dbraw/zinc/28/03/79/586280379.db2.gz PGNVQJNCRBKSEO-UHFFFAOYSA-N 0 3 243.416 2.631 20 0 BFADHN C[C@@H]1CN(CCSC(C)(C)C)[C@H](C)CN1C ZINC000801939143 586280679 /nfs/dbraw/zinc/28/06/79/586280679.db2.gz AQYAWFOLCUYEMU-VXGBXAGGSA-N 0 3 244.448 2.543 20 0 BFADHN CC(C)=CCN1CCC[C@](O)(c2ccccc2)C1 ZINC000859585327 589047204 /nfs/dbraw/zinc/04/72/04/589047204.db2.gz NLRRSTUNJFDURC-MRXNPFEDSA-N 0 3 245.366 2.936 20 0 BFADHN CC[C@@H](C)OC(=O)CC[C@@H]1CCCCN1C ZINC000803857974 586378355 /nfs/dbraw/zinc/37/83/55/586378355.db2.gz KMUULCZAYJGPIF-NEPJUHHUSA-N 0 3 227.348 2.593 20 0 BFADHN CSCCN1Cc2ccccc2[C@H](C)C1 ZINC000807263143 586431312 /nfs/dbraw/zinc/43/13/12/586431312.db2.gz IRNCAONCSUYSAW-LLVKDONJSA-N 0 3 221.369 2.969 20 0 BFADHN CC[C@H](C)CN(CC)CN1CC(C)(C)CC1=O ZINC000808167035 586466322 /nfs/dbraw/zinc/46/63/22/586466322.db2.gz UFYDDPQOZIBMPI-LBPRGKRZSA-N 0 3 240.391 2.570 20 0 BFADHN C[C@@H](CCC1CCCC1)NCc1cn(C)cn1 ZINC000808392010 586471074 /nfs/dbraw/zinc/47/10/74/586471074.db2.gz VGCXZIHTTBHXTD-LBPRGKRZSA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)C(=O)CCN1CC[C@@H](c2ccco2)C1 ZINC000808725795 586484418 /nfs/dbraw/zinc/48/44/18/586484418.db2.gz FNVUXAMKHBNSGX-GFCCVEGCSA-N 0 3 235.327 2.684 20 0 BFADHN Cc1cccc2c1CN(CCC(=O)C(C)C)CC2 ZINC000808754927 586489218 /nfs/dbraw/zinc/48/92/18/586489218.db2.gz XCHKRVGAVOQCOA-UHFFFAOYSA-N 0 3 245.366 2.968 20 0 BFADHN COC(CN1CCC(C)(CC(C)C)CC1)OC ZINC000808778932 586489498 /nfs/dbraw/zinc/48/94/98/586489498.db2.gz KTLXZJAXBPZHHF-UHFFFAOYSA-N 0 3 243.391 2.754 20 0 BFADHN c1cncc([C@@H]2CCCN2C[C@H]2CCC=CO2)c1 ZINC000809728751 586515439 /nfs/dbraw/zinc/51/54/39/586515439.db2.gz GVCABDBPRVYGJA-CABCVRRESA-N 0 3 244.338 2.911 20 0 BFADHN CN(Cc1cc(Cl)cs1)C[C@H](O)C1CC1 ZINC000809752722 586517955 /nfs/dbraw/zinc/51/79/55/586517955.db2.gz VSUVVXZXZDPJCO-NSHDSACASA-N 0 3 245.775 2.604 20 0 BFADHN Cc1nc(C)c(CN2CCC3(CC3)CC2)o1 ZINC000809967822 586527414 /nfs/dbraw/zinc/52/74/14/586527414.db2.gz IFJGKHREJOJXDC-UHFFFAOYSA-N 0 3 220.316 2.667 20 0 BFADHN Cc1cccc2c1OC[C@@H](NCc1ccoc1)C2 ZINC000810178544 586535993 /nfs/dbraw/zinc/53/59/93/586535993.db2.gz QQBGPZXBHVDLQD-AWEZNQCLSA-N 0 3 243.306 2.681 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC=CO2)CCC1(F)F ZINC000811512010 586560436 /nfs/dbraw/zinc/56/04/36/586560436.db2.gz BLGSWIHZLJAFQR-MNOVXSKESA-N 0 3 231.286 2.656 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2CC(C3CC3)C2)c1 ZINC000812312952 586573072 /nfs/dbraw/zinc/57/30/72/586573072.db2.gz LDHDCUJNNKVQDQ-MRXNPFEDSA-N 0 3 245.366 2.679 20 0 BFADHN CCC1(C)CN(C[C@H](O)c2ccccc2C)C1 ZINC000812312734 586573089 /nfs/dbraw/zinc/57/30/89/586573089.db2.gz DTFUSJZBNOTKEX-AWEZNQCLSA-N 0 3 233.355 2.760 20 0 BFADHN Cc1cc(CN2CC(C3CC3)C2)c(C)o1 ZINC000812776166 586583920 /nfs/dbraw/zinc/58/39/20/586583920.db2.gz SLXYOBLESPDCNQ-UHFFFAOYSA-N 0 3 205.301 2.738 20 0 BFADHN CO[C@](C)(CNCc1cc(C)oc1C)C1CC1 ZINC000812773022 586584028 /nfs/dbraw/zinc/58/40/28/586584028.db2.gz UYCVBKFILOWMBH-CQSZACIVSA-N 0 3 237.343 2.801 20 0 BFADHN C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCCN2CCF)C1 ZINC000812842619 586587764 /nfs/dbraw/zinc/58/77/64/586587764.db2.gz NKHLZLSZNSAAOH-MQYQWHSLSA-N 0 3 243.366 2.608 20 0 BFADHN Cc1ccc(CNCCO[C@H]2CCCCO2)cc1 ZINC000813333794 586600457 /nfs/dbraw/zinc/60/04/57/586600457.db2.gz RACIGFCOYNWTFV-HNNXBMFYSA-N 0 3 249.354 2.628 20 0 BFADHN c1cc(CN2CCOC[C@H](C3CCC3)C2)co1 ZINC000814178462 586615765 /nfs/dbraw/zinc/61/57/65/586615765.db2.gz QNOLYDSWGJKUBY-CQSZACIVSA-N 0 3 235.327 2.528 20 0 BFADHN C[C@H]1CN(C[C@@H](O)c2ccc(Cl)cc2)[C@H]1C ZINC000815161720 586670960 /nfs/dbraw/zinc/67/09/60/586670960.db2.gz AYZVLCDYUJSEKY-OUJBWJOFSA-N 0 3 239.746 2.714 20 0 BFADHN CCOc1ccc(CN2C[C@H](C)[C@H]2C)cc1 ZINC000815164014 586671224 /nfs/dbraw/zinc/67/12/24/586671224.db2.gz UBFOMXDXTGNPEV-NWDGAFQWSA-N 0 3 219.328 2.926 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2C[C@H](C)[C@H]2C)c1 ZINC000815162753 586671236 /nfs/dbraw/zinc/67/12/36/586671236.db2.gz IWNCPSIUDDHUBJ-GZBFAFLISA-N 0 3 233.355 2.677 20 0 BFADHN C[C@H]1CN(C[C@H](O)c2ccc(Cl)cc2)[C@H]1C ZINC000815161716 586671356 /nfs/dbraw/zinc/67/13/56/586671356.db2.gz AYZVLCDYUJSEKY-KWBADKCTSA-N 0 3 239.746 2.714 20 0 BFADHN Cc1ccc(OCCN2C[C@H](C)[C@@H]2C)cc1 ZINC000815163957 586671928 /nfs/dbraw/zinc/67/19/28/586671928.db2.gz SONSUIQJRXTNGH-STQMWFEESA-N 0 3 219.328 2.714 20 0 BFADHN Cc1ccccc1OCCN1C[C@H](C)[C@H]1C ZINC000815165238 586672170 /nfs/dbraw/zinc/67/21/70/586672170.db2.gz YDHLYOLEFMXHLC-QWHCGFSZSA-N 0 3 219.328 2.714 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1CCOC1(C)C ZINC000815583757 586688264 /nfs/dbraw/zinc/68/82/64/586688264.db2.gz NTHLYZHUCFQCMG-CYBMUJFWSA-N 0 3 237.318 2.791 20 0 BFADHN CCN(Cc1cnn(C)c1Cl)[C@@H](C)C(C)C ZINC000816498103 586736835 /nfs/dbraw/zinc/73/68/35/586736835.db2.gz GOVWJZNLORXOCO-JTQLQIEISA-N 0 3 243.782 2.940 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2cnn(C)c2Cl)C1 ZINC000816520213 586738496 /nfs/dbraw/zinc/73/84/96/586738496.db2.gz IOJVWLDBGDEMNW-SNVBAGLBSA-N 0 3 241.766 2.551 20 0 BFADHN Oc1ccc(F)c(CNCCC2CCC2)c1F ZINC000816557667 586740729 /nfs/dbraw/zinc/74/07/29/586740729.db2.gz XNQLECGSWXVKDL-UHFFFAOYSA-N 0 3 241.281 2.950 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cnn(C)c1Cl ZINC000816612364 586744894 /nfs/dbraw/zinc/74/48/94/586744894.db2.gz NCLFLPLXCJBUDL-MWLCHTKSSA-N 0 3 241.766 2.836 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cnn(C)c1Cl ZINC000816612363 586744984 /nfs/dbraw/zinc/74/49/84/586744984.db2.gz NCLFLPLXCJBUDL-KOLCDFICSA-N 0 3 241.766 2.836 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1c[nH]nc1-c1cccs1 ZINC000816646413 586749375 /nfs/dbraw/zinc/74/93/75/586749375.db2.gz CZWXWIQXVDXWEJ-VHSXEESVSA-N 0 3 247.367 2.978 20 0 BFADHN COc1ccc(CN2C[C@@H](C)[C@H]2C)c(C)c1OC ZINC000816649263 586752797 /nfs/dbraw/zinc/75/27/97/586752797.db2.gz WMTOEJMRXIBQHM-ZYHUDNBSSA-N 0 3 249.354 2.852 20 0 BFADHN CC(C)(CN1CC2(C1)CCOC2)c1ccccc1 ZINC000816670678 586753908 /nfs/dbraw/zinc/75/39/08/586753908.db2.gz BXBGJIXWWIPREJ-UHFFFAOYSA-N 0 3 245.366 2.687 20 0 BFADHN CCCCCCN(C)CC(=O)N1CC[C@H]1CC ZINC000817063491 586780876 /nfs/dbraw/zinc/78/08/76/586780876.db2.gz WKJMEBYNKDEFNN-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CCCCC[C@H](NC(=O)CNCC)C(C)(C)C ZINC000818499622 586892878 /nfs/dbraw/zinc/89/28/78/586892878.db2.gz WNLIWIUCTXOIJS-LBPRGKRZSA-N 0 3 242.407 2.707 20 0 BFADHN Cc1ccc(N)cc1NC(=O)C(C)C(F)(F)F ZINC000818584924 586904145 /nfs/dbraw/zinc/90/41/45/586904145.db2.gz KLZCHDBLJHGCOZ-ZETCQYMHSA-N 0 3 246.232 2.714 20 0 BFADHN CCCCCCn1cc2c(n1)[C@@H](N)CCC2 ZINC000818617650 586907496 /nfs/dbraw/zinc/90/74/96/586907496.db2.gz FZHZFPWXHZIHSZ-LBPRGKRZSA-N 0 3 221.348 2.800 20 0 BFADHN Cc1ccccc1[C@@H](O)CNCCC(F)(F)F ZINC000819123836 586931877 /nfs/dbraw/zinc/93/18/77/586931877.db2.gz DGJPZQUUYYYJPN-NSHDSACASA-N 0 3 247.260 2.570 20 0 BFADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2ccc[nH]2)cc1 ZINC000819194429 586937318 /nfs/dbraw/zinc/93/73/18/586937318.db2.gz RCSSFCQMWOBJKM-WFASDCNBSA-N 0 3 244.338 2.707 20 0 BFADHN O[C@H](CNCc1ccc(C2CC2)cc1F)C1CC1 ZINC000819325549 586952172 /nfs/dbraw/zinc/95/21/72/586952172.db2.gz CUOPDKJMIWMMQW-OAHLLOKOSA-N 0 3 249.329 2.564 20 0 BFADHN CC/C=C\CNC[C@H](O)c1cccc(Cl)c1 ZINC000819343980 586954328 /nfs/dbraw/zinc/95/43/28/586954328.db2.gz FCVMGLUCRKRDSI-SCOBNMCVSA-N 0 3 239.746 2.929 20 0 BFADHN CC/C=C/CNC[C@H](O)c1cc(C)cc(C)c1 ZINC000819344952 586954950 /nfs/dbraw/zinc/95/49/50/586954950.db2.gz RLZHLORHTYYCHN-NFAHFFEMSA-N 0 3 233.355 2.893 20 0 BFADHN CC(C)CC[C@@H](O)CN[C@H](C)c1ccns1 ZINC000860061936 589078502 /nfs/dbraw/zinc/07/85/02/589078502.db2.gz OQRSCBXKXZNPHZ-GHMZBOCLSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@@H](NCCC(C)(F)F)c1ccns1 ZINC000860062577 589078586 /nfs/dbraw/zinc/07/85/86/589078586.db2.gz YQASHBIPPORVJM-SSDOTTSWSA-N 0 3 220.288 2.839 20 0 BFADHN CSC(C)(C)CCN1C[C@@H](C)O[C@@H](C)C1 ZINC000820850819 587076774 /nfs/dbraw/zinc/07/67/74/587076774.db2.gz HWXHETYYBOQLDA-PHIMTYICSA-N 0 3 231.405 2.627 20 0 BFADHN C[C@H]1CCCCN1Cc1ccc2nonc2c1 ZINC000821346996 587110560 /nfs/dbraw/zinc/11/05/60/587110560.db2.gz AMVOBXHXRVJURS-JTQLQIEISA-N 0 3 231.299 2.597 20 0 BFADHN Cc1ccc([C@H](C)N(C)C[C@H]2CCC(=O)O2)cc1 ZINC000821354420 587111093 /nfs/dbraw/zinc/11/10/93/587111093.db2.gz FXPFKJNAYHXMJC-GXTWGEPZSA-N 0 3 247.338 2.693 20 0 BFADHN Cc1ccc([C@@H](C)N(C)C[C@@H]2CCC(=O)O2)cc1 ZINC000821354423 587111425 /nfs/dbraw/zinc/11/14/25/587111425.db2.gz FXPFKJNAYHXMJC-OCCSQVGLSA-N 0 3 247.338 2.693 20 0 BFADHN CO[C@H]1CC[C@H](N(C)Cc2ccccc2F)C1 ZINC000821363586 587112421 /nfs/dbraw/zinc/11/24/21/587112421.db2.gz XPOOTALRHGHCIU-STQMWFEESA-N 0 3 237.318 2.825 20 0 BFADHN CO[C@H]1CC[C@H](N2CCc3ccc(F)cc3C2)C1 ZINC000821396633 587116371 /nfs/dbraw/zinc/11/63/71/587116371.db2.gz RXGCYNPTRWYBJM-GJZGRUSLSA-N 0 3 249.329 2.751 20 0 BFADHN Cc1cccc([C@H](C)NC[C@@H]2CCC(=O)O2)c1C ZINC000821402912 587117737 /nfs/dbraw/zinc/11/77/37/587117737.db2.gz XVDWKWGKXGATNV-STQMWFEESA-N 0 3 247.338 2.660 20 0 BFADHN Cc1cccc([C@H](C)NC[C@H]2CCC(=O)O2)c1C ZINC000821402910 587117743 /nfs/dbraw/zinc/11/77/43/587117743.db2.gz XVDWKWGKXGATNV-QWHCGFSZSA-N 0 3 247.338 2.660 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1ccc[nH]1 ZINC000822464076 587168598 /nfs/dbraw/zinc/16/85/98/587168598.db2.gz KRNAWZCSNGWPOU-DVVUODLYSA-N 0 3 210.346 2.559 20 0 BFADHN CC(C)=CCN(C)C[C@@](C)(O)c1ccccc1 ZINC000822515521 587172891 /nfs/dbraw/zinc/17/28/91/587172891.db2.gz BWCOINVLNBCXCJ-OAHLLOKOSA-N 0 3 233.355 2.792 20 0 BFADHN CC(C)(C)SCCNCc1ccncc1F ZINC000823807902 587240900 /nfs/dbraw/zinc/24/09/00/587240900.db2.gz RNHVYKKGWOXLKD-UHFFFAOYSA-N 0 3 242.363 2.842 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1nn(CC)nc1C ZINC000823949595 587246692 /nfs/dbraw/zinc/24/66/92/587246692.db2.gz NSANJFVBAAFDTM-MNOVXSKESA-N 0 3 238.379 2.521 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1CSC[C@H]1C ZINC000823949498 587247002 /nfs/dbraw/zinc/24/70/02/587247002.db2.gz JEAWVUVYCWXBMP-ZWNOBZJWSA-N 0 3 239.359 2.975 20 0 BFADHN C[C@H](NCc1ccon1)[C@H]1CCCC[C@H]1C ZINC000823966358 587247413 /nfs/dbraw/zinc/24/74/13/587247413.db2.gz MDEZQHLDFBAPIT-MDZLAQPJSA-N 0 3 222.332 2.979 20 0 BFADHN Fc1c(CNC2(C3CC3)CC2)ccnc1Cl ZINC000823985168 587247596 /nfs/dbraw/zinc/24/75/96/587247596.db2.gz YJEXAJZZNJIOFY-UHFFFAOYSA-N 0 3 240.709 2.906 20 0 BFADHN CC1CC(NCc2ccnc(Cl)c2F)C1 ZINC000823971586 587247812 /nfs/dbraw/zinc/24/78/12/587247812.db2.gz TVOMIOHDANUKSD-UHFFFAOYSA-N 0 3 228.698 2.762 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1cc(F)ncc1F ZINC000824331992 587266280 /nfs/dbraw/zinc/26/62/80/587266280.db2.gz CLPJUGIOFYOVJF-NXEZZACHSA-N 0 3 240.297 2.886 20 0 BFADHN Cn1nc(C2CC2)cc1CN[C@H]1CC[C@H]1C1CC1 ZINC000824332424 587266587 /nfs/dbraw/zinc/26/65/87/587266587.db2.gz LHSVZUZWBQYJPP-KBPBESRZSA-N 0 3 245.370 2.576 20 0 BFADHN C[C@H](CCC(F)(F)F)N[C@H]1CCn2ccnc21 ZINC000824525825 587273331 /nfs/dbraw/zinc/27/33/31/587273331.db2.gz DRMKHHZACRMHBY-BDAKNGLRSA-N 0 3 247.264 2.649 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@@H]1N[C@H]1CCn2ccnc21 ZINC000824525808 587273357 /nfs/dbraw/zinc/27/33/57/587273357.db2.gz DOLAGFHLJGJESW-WOPDTQHZSA-N 0 3 233.359 2.742 20 0 BFADHN c1ccc2c(c1)OCC[C@@H]2CN1CC2(CC2)C1 ZINC000824534035 587273702 /nfs/dbraw/zinc/27/37/02/587273702.db2.gz XXZWTHQDDCKANQ-GFCCVEGCSA-N 0 3 229.323 2.649 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H]1CCC[C@H](C3CC3)C1)CC2 ZINC000824528040 587273918 /nfs/dbraw/zinc/27/39/18/587273918.db2.gz ZPQJVXCZDPAMLT-BFHYXJOUSA-N 0 3 245.370 2.886 20 0 BFADHN C[C@@]1(CNCc2cccnc2)CC1(Cl)Cl ZINC000824765661 587286325 /nfs/dbraw/zinc/28/63/25/587286325.db2.gz OKXXTIJXVIXKTP-JTQLQIEISA-N 0 3 245.153 2.755 20 0 BFADHN C[C@@]1(CNCc2ccncc2)CC1(Cl)Cl ZINC000824765445 587286514 /nfs/dbraw/zinc/28/65/14/587286514.db2.gz LKDYDGBJYFUYRU-JTQLQIEISA-N 0 3 245.153 2.755 20 0 BFADHN Fc1ccc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)cn1 ZINC000824941868 587294176 /nfs/dbraw/zinc/29/41/76/587294176.db2.gz RZIPZZOQQZCSNN-ZFWWWQNUSA-N 0 3 246.329 2.841 20 0 BFADHN CCc1nc(CN[C@@H](C)[C@H]2CC2(C)C)co1 ZINC000825062348 587301649 /nfs/dbraw/zinc/30/16/49/587301649.db2.gz TVCXQRPGBKOWRK-GXSJLCMTSA-N 0 3 222.332 2.761 20 0 BFADHN CO[C@H]([C@H](C)NCc1cc(C)oc1C)C1CC1 ZINC000825098465 587303679 /nfs/dbraw/zinc/30/36/79/587303679.db2.gz JGZGRRLIKQAGBW-IINYFYTJSA-N 0 3 237.343 2.800 20 0 BFADHN Cc1cc(CN[C@H]2CO[C@H](C3CC3)C2)c(C)o1 ZINC000825279596 587312734 /nfs/dbraw/zinc/31/27/34/587312734.db2.gz VQVIKUQIRVDWMR-KGLIPLIRSA-N 0 3 235.327 2.554 20 0 BFADHN Cc1cccc(F)c1CN[C@H]1CO[C@H](C2CC2)C1 ZINC000825319797 587315016 /nfs/dbraw/zinc/31/50/16/587315016.db2.gz CAVIGPKXQQDHEF-DOMZBBRYSA-N 0 3 249.329 2.791 20 0 BFADHN COCCN(CCF)C[C@@H]1CC(C)=C[C@H](C)C1 ZINC000827053912 587369205 /nfs/dbraw/zinc/36/92/05/587369205.db2.gz IVHFEEQMWYYCNM-JSGCOSHPSA-N 0 3 243.366 2.897 20 0 BFADHN COCCCN(C)C[C@]1(C)CC1(Cl)Cl ZINC000827501475 587384357 /nfs/dbraw/zinc/38/43/57/587384357.db2.gz SRHWXQKYYPZQET-VIFPVBQESA-N 0 3 240.174 2.539 20 0 BFADHN CN(CC1=CCCC1)C[C@](C)(O)c1ccccc1 ZINC000828639941 587455170 /nfs/dbraw/zinc/45/51/70/587455170.db2.gz LGSVADUZJIWYIS-INIZCTEOSA-N 0 3 245.366 2.936 20 0 BFADHN CC(C)[C@H](NCCC[C@@H](C)O)c1ccccn1 ZINC000828693509 587457548 /nfs/dbraw/zinc/45/75/48/587457548.db2.gz URGRQYLSWXPSKT-OCCSQVGLSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCCC(C)(F)F ZINC000828687392 587457566 /nfs/dbraw/zinc/45/75/66/587457566.db2.gz KEDJVRQBEUPUQP-SSDOTTSWSA-N 0 3 232.274 2.987 20 0 BFADHN C[C@@H]1CCN(CC2CC(F)(F)C2)C[C@@H]1F ZINC000828739533 587459689 /nfs/dbraw/zinc/45/96/89/587459689.db2.gz XARQKSPIKAYACN-SCZZXKLOSA-N 0 3 221.266 2.712 20 0 BFADHN O=C1OCC[C@@]12CCCN2CCC1=CCCCC1 ZINC000828988621 587477616 /nfs/dbraw/zinc/47/76/16/587477616.db2.gz ZZGAIWAIFWOPKF-HNNXBMFYSA-N 0 3 249.354 2.658 20 0 BFADHN C[C@@H]1C[C@H](C(C)(C)C)CCN1CC[S@@](C)=O ZINC000829906574 587527060 /nfs/dbraw/zinc/52/70/60/587527060.db2.gz NDPHOQXBQCSJID-XHBSWPGZSA-N 0 3 245.432 2.512 20 0 BFADHN COC(CNC1(c2cccc(C)c2)CCC1)OC ZINC000829879106 587527371 /nfs/dbraw/zinc/52/73/71/587527371.db2.gz KBMXQPGMOOXCCF-UHFFFAOYSA-N 0 3 249.354 2.583 20 0 BFADHN CC(C)[C@@H](N[C@H]1C[C@@H](C)O[C@H]1C)c1ccccn1 ZINC000830274915 587545007 /nfs/dbraw/zinc/54/50/07/587545007.db2.gz VOHKUBYAOCTWKF-PAPYEOQZSA-N 0 3 248.370 2.934 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CNCc1cn(C)cn1 ZINC000830473942 587553302 /nfs/dbraw/zinc/55/33/02/587553302.db2.gz JUEDXAILDCEBTM-OLZOCXBDSA-N 0 3 235.375 2.726 20 0 BFADHN F[C@H]1CCN(C[C@@H]2CCc3ccccc32)C1 ZINC000830479154 587554025 /nfs/dbraw/zinc/55/40/25/587554025.db2.gz CPWHWCITKLXKOD-STQMWFEESA-N 0 3 219.303 2.760 20 0 BFADHN F[C@@H]1CCN(C[C@H]2CCc3ccccc32)C1 ZINC000830479150 587554113 /nfs/dbraw/zinc/55/41/13/587554113.db2.gz CPWHWCITKLXKOD-CHWSQXEVSA-N 0 3 219.303 2.760 20 0 BFADHN Cc1cnc(CN2CCCC[C@@H]2C2CCC2)cn1 ZINC000830989740 587579905 /nfs/dbraw/zinc/57/99/05/587579905.db2.gz YVGWOXUZHJCMCD-OAHLLOKOSA-N 0 3 245.370 2.940 20 0 BFADHN CO[C@]1(C)C[C@@H](NC/C=C\Cl)C1(C)C ZINC000831338504 587599297 /nfs/dbraw/zinc/59/92/97/587599297.db2.gz JIMPJDJNTMALTG-RDOIKZAQSA-N 0 3 217.740 2.532 20 0 BFADHN CCCNC(=O)[C@@H](C)N1CCCCCCCC1 ZINC000831925994 587636731 /nfs/dbraw/zinc/63/67/31/587636731.db2.gz FGMALHYOIJPVRM-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1cc(CN2CCCCCCCC2)ncn1 ZINC000831937802 587637184 /nfs/dbraw/zinc/63/71/84/587637184.db2.gz WZYKMFQSCWFEAG-UHFFFAOYSA-N 0 3 233.359 2.941 20 0 BFADHN C[C@H]1CC/C(=C\C(=O)Nc2cccc(CN)c2)C1 ZINC000832223797 587651628 /nfs/dbraw/zinc/65/16/28/587651628.db2.gz WCTSQWCFKWKAQE-UTEVDWOZSA-N 0 3 244.338 2.830 20 0 BFADHN CC1(C)CN(C[C@@H](O)c2cc3ccccc3o2)C1 ZINC000834374802 587753558 /nfs/dbraw/zinc/75/35/58/587753558.db2.gz YTQUBRQMLHMSGK-GFCCVEGCSA-N 0 3 245.322 2.808 20 0 BFADHN CC[C@H](NC[C@@H](O)CC(C)C)c1ccncc1 ZINC000834548271 587759068 /nfs/dbraw/zinc/75/90/68/587759068.db2.gz MMTUAHSRFGERET-KBPBESRZSA-N 0 3 236.359 2.529 20 0 BFADHN CCn1ccnc1[C@H](C)NCCC1CCC1 ZINC000834676619 587763810 /nfs/dbraw/zinc/76/38/10/587763810.db2.gz WXQKJUICFFXJRL-NSHDSACASA-N 0 3 221.348 2.744 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H]1N[C@@H]1C[C@H](C)n2ccnc21 ZINC000834726767 587764837 /nfs/dbraw/zinc/76/48/37/587764837.db2.gz VRRCKMLWCBPYML-OBPIAQAESA-N 0 3 233.359 2.913 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1[C@H](C)CC[C@@H]1C ZINC000834726547 587764948 /nfs/dbraw/zinc/76/49/48/587764948.db2.gz RXSQIVXOERPOHU-QNWHQSFQSA-N 0 3 235.375 2.988 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H]1[C@H](C)CC[C@@H]1C ZINC000834726552 587765056 /nfs/dbraw/zinc/76/50/56/587765056.db2.gz RXSQIVXOERPOHU-XQHKEYJVSA-N 0 3 235.375 2.988 20 0 BFADHN C[C@H](CCO)NCc1cc(C2CC2)ccc1F ZINC000834840828 587768654 /nfs/dbraw/zinc/76/86/54/587768654.db2.gz MAADMMLHLXFRHF-SNVBAGLBSA-N 0 3 237.318 2.564 20 0 BFADHN C[C@@H](CCO)NCc1cc(C2CC2)ccc1F ZINC000834840827 587768681 /nfs/dbraw/zinc/76/86/81/587768681.db2.gz MAADMMLHLXFRHF-JTQLQIEISA-N 0 3 237.318 2.564 20 0 BFADHN CCn1nc(C)c(CN[C@@H](C)CC(C)(C)C)n1 ZINC000835064475 587779991 /nfs/dbraw/zinc/77/99/91/587779991.db2.gz DWVUFUZWHJZDID-JTQLQIEISA-N 0 3 238.379 2.521 20 0 BFADHN CN(C)Cc1cccc(C(=O)OCC2(C)CC2)c1 ZINC000835375390 587799035 /nfs/dbraw/zinc/79/90/35/587799035.db2.gz FSJBERAFDVLXST-UHFFFAOYSA-N 0 3 247.338 2.705 20 0 BFADHN C/C(=C/C(=O)Nc1cc(C)cc(CN)c1)C1CC1 ZINC000837306380 587864707 /nfs/dbraw/zinc/86/47/07/587864707.db2.gz HMOGDVAXGOGAFI-XFFZJAGNSA-N 0 3 244.338 2.749 20 0 BFADHN CC[C@H](NCc1cccnc1F)[C@H]1C[C@H]1C ZINC000840751286 587919538 /nfs/dbraw/zinc/91/95/38/587919538.db2.gz NCABSABRKBONSB-USWWRNFRSA-N 0 3 222.307 2.745 20 0 BFADHN Cc1ccc(CN(C)[C@H](C)C(C)(C)C)nn1 ZINC000840909740 587929238 /nfs/dbraw/zinc/92/92/38/587929238.db2.gz HFPGEFTZRIRAPF-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CS[C@@H](C)C2)c(C)o1 ZINC000840957522 587932031 /nfs/dbraw/zinc/93/20/31/587932031.db2.gz CKTJKPMFKHDARM-JOYOIKCWSA-N 0 3 225.357 2.880 20 0 BFADHN C[C@H](NCc1ccc(Cl)nc1)[C@@H]1CC1(F)F ZINC000840967528 587932609 /nfs/dbraw/zinc/93/26/09/587932609.db2.gz GXWLHIMTHSGMBN-CBAPKCEASA-N 0 3 246.688 2.868 20 0 BFADHN CCCCCC[C@@H](C)N[C@H]1CNCCC1(F)F ZINC000841081937 587944787 /nfs/dbraw/zinc/94/47/87/587944787.db2.gz VRKPGDVQJKDGPX-NEPJUHHUSA-N 0 3 248.361 2.932 20 0 BFADHN CC[C@@H](C)CNc1ccc2c(c1)CNCCO2 ZINC000841083032 587945503 /nfs/dbraw/zinc/94/55/03/587945503.db2.gz QDQWUNYPLBEODV-LLVKDONJSA-N 0 3 234.343 2.627 20 0 BFADHN CCOc1cccc([C@H](C)N[C@H]2C[C@@](C)(O)C2)c1 ZINC000841760638 587974428 /nfs/dbraw/zinc/97/44/28/587974428.db2.gz WWQOGCBBWJPHHU-CORIIIEPSA-N 0 3 249.354 2.649 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1ccc(F)nc1 ZINC000841889576 587981401 /nfs/dbraw/zinc/98/14/01/587981401.db2.gz FBBAWRLTAOMJTM-OUAUKWLOSA-N 0 3 222.307 2.697 20 0 BFADHN CC(C)CO[C@H](C)C(=N)NO[C@@H](C)CC(C)C ZINC000842032755 587990860 /nfs/dbraw/zinc/99/08/60/587990860.db2.gz HELORXRJYRBZNV-NWDGAFQWSA-N 0 3 244.379 2.981 20 0 BFADHN CN(CC1OCCO1)[C@H]1CCC[C@@H]1C(C)(C)C ZINC000842168208 588005314 /nfs/dbraw/zinc/00/53/14/588005314.db2.gz VTYWHRDIEXFUBA-RYUDHWBXSA-N 0 3 241.375 2.506 20 0 BFADHN CCCCC[C@@H](C)NCc1cncnc1OC ZINC000842661320 588050626 /nfs/dbraw/zinc/05/06/26/588050626.db2.gz JHSYTMJPXQXQKB-LLVKDONJSA-N 0 3 237.347 2.544 20 0 BFADHN CC1(CC(F)F)CN(CC2(O)CCCCC2)C1 ZINC000843295408 588098241 /nfs/dbraw/zinc/09/82/41/588098241.db2.gz WZUUTQDESFPBKL-UHFFFAOYSA-N 0 3 247.329 2.659 20 0 BFADHN CCN(CCSC)Cc1cnn2ccccc12 ZINC000843437822 588110423 /nfs/dbraw/zinc/11/04/23/588110423.db2.gz XALQTSXFLKCMIP-UHFFFAOYSA-N 0 3 249.383 2.519 20 0 BFADHN C[C@@H]1CN(Cc2cc3n(n2)CCCC3)C(C)(C)C1 ZINC000844300007 588182345 /nfs/dbraw/zinc/18/23/45/588182345.db2.gz IEXKVROXZMYGNZ-LBPRGKRZSA-N 0 3 247.386 2.840 20 0 BFADHN CC[C@H]1CN(CC2CC(F)(F)C2)[C@@H](C)CO1 ZINC000844391035 588188799 /nfs/dbraw/zinc/18/87/99/588188799.db2.gz MLFJMFJZAJXPLF-ONGXEEELSA-N 0 3 233.302 2.531 20 0 BFADHN FC1(F)CC12CCN(C/C=C\Cl)CC2 ZINC000844409352 588190655 /nfs/dbraw/zinc/19/06/55/588190655.db2.gz ZUCLNZLFXSZOLH-RJRFIUFISA-N 0 3 221.678 2.860 20 0 BFADHN FC1(F)C[C@@]12CCCN(C/C=C\Cl)C2 ZINC000844435287 588192793 /nfs/dbraw/zinc/19/27/93/588192793.db2.gz NBBFRUMZXVXMGC-FIFYQCSRSA-N 0 3 221.678 2.860 20 0 BFADHN C[C@H](CN1C[C@H]2[C@@H](C1)C2(F)F)c1ccccc1 ZINC000844449038 588194975 /nfs/dbraw/zinc/19/49/75/588194975.db2.gz DPTNGVIZQQZPGT-RTXFEEFZSA-N 0 3 237.293 2.987 20 0 BFADHN Cc1ccccc1CN1C[C@H]2[C@@H](C1)C2(F)F ZINC000844452992 588195761 /nfs/dbraw/zinc/19/57/61/588195761.db2.gz YIMYDGQSFWUDON-TXEJJXNPSA-N 0 3 223.266 2.692 20 0 BFADHN CC(C)CC(=O)CCN1CC[C@]2(CC2(F)F)C1 ZINC000844469111 588198321 /nfs/dbraw/zinc/19/83/21/588198321.db2.gz VVSXBZPEEDTVFV-LBPRGKRZSA-N 0 3 245.313 2.723 20 0 BFADHN CCCN(CCC)CN1C(=O)CC12CCCC2 ZINC000844681850 588213408 /nfs/dbraw/zinc/21/34/08/588213408.db2.gz ACNQBNOUZOIFSX-UHFFFAOYSA-N 0 3 238.375 2.611 20 0 BFADHN c1cc(-c2noc([C@H]3CC[C@@H](C4CC4)N3)n2)co1 ZINC000844917016 588219166 /nfs/dbraw/zinc/21/91/66/588219166.db2.gz OLIKXVQEUUQFIB-WDEREUQCSA-N 0 3 245.282 2.533 20 0 BFADHN CC[C@H](C)CCC(=O)O[C@@H](C)CN1CCCC1 ZINC000845662911 588240105 /nfs/dbraw/zinc/24/01/05/588240105.db2.gz MMAGMFMJWYABPO-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN C/C=C(\CC)C(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845734953 588243292 /nfs/dbraw/zinc/24/32/92/588243292.db2.gz IHBSOKSWWBXGHL-IGEMTJHASA-N 0 3 239.359 2.759 20 0 BFADHN CCCCCC(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845741242 588243489 /nfs/dbraw/zinc/24/34/89/588243489.db2.gz UUYJYSQXMUJJPS-GFCCVEGCSA-N 0 3 241.375 2.983 20 0 BFADHN CCC/C=C\C(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845742236 588243495 /nfs/dbraw/zinc/24/34/95/588243495.db2.gz XUNVEYOOWDUGBU-KRZKBDHCSA-N 0 3 239.359 2.759 20 0 BFADHN CC[C@@]1(C)CCC[C@H]1NCc1ccon1 ZINC000845942195 588251097 /nfs/dbraw/zinc/25/10/97/588251097.db2.gz MPAUFHPCFOZXNA-NEPJUHHUSA-N 0 3 208.305 2.733 20 0 BFADHN c1cc2c(c(CN3CC4(CC4)C3)c1)CCC2 ZINC000845976838 588252372 /nfs/dbraw/zinc/25/23/72/588252372.db2.gz FYGJOXQMVLNEET-UHFFFAOYSA-N 0 3 213.324 2.771 20 0 BFADHN CC[C@@H]1COC(C)(C)CN1C[C@@H]1CCC=CO1 ZINC000846027956 588253982 /nfs/dbraw/zinc/25/39/82/588253982.db2.gz RZEOVYGDCCPFGQ-OLZOCXBDSA-N 0 3 239.359 2.569 20 0 BFADHN F[C@H]1CCCN(CCCC2CCOCC2)C1 ZINC000846121325 588258099 /nfs/dbraw/zinc/25/80/99/588258099.db2.gz YHDIEXGWZDTFHM-ZDUSSCGKSA-N 0 3 229.339 2.627 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1ncc[nH]1 ZINC000846188846 588259391 /nfs/dbraw/zinc/25/93/91/588259391.db2.gz KLHAMDIZCPZUGZ-GARJFASQSA-N 0 3 221.348 2.885 20 0 BFADHN C[C@H](NCC[C@@]1(C)C[C@@]1(F)Cl)c1ncc[nH]1 ZINC000846182729 588259452 /nfs/dbraw/zinc/25/94/52/588259452.db2.gz CJWWHGHYJSGJPM-LSJOCFKGSA-N 0 3 245.729 2.765 20 0 BFADHN C[C@H](NCC[C@@]1(C)C[C@]1(F)Cl)c1ncc[nH]1 ZINC000846182726 588259478 /nfs/dbraw/zinc/25/94/78/588259478.db2.gz CJWWHGHYJSGJPM-INTQDDNPSA-N 0 3 245.729 2.765 20 0 BFADHN C[C@H]1C[C@H](C)CN(CC2(Br)CC2)C1 ZINC000846768128 588286793 /nfs/dbraw/zinc/28/67/93/588286793.db2.gz CRZXVHIQGHHMFK-UWVGGRQHSA-N 0 3 246.192 2.892 20 0 BFADHN C[C@@H]1CCCCN1CC1(Br)CC1 ZINC000846769177 588286796 /nfs/dbraw/zinc/28/67/96/588286796.db2.gz YICOBDDTTJFAKJ-SECBINFHSA-N 0 3 232.165 2.788 20 0 BFADHN C[C@H](C1CC1)N(C)CC1(Br)CC1 ZINC000846769959 588287155 /nfs/dbraw/zinc/28/71/55/588287155.db2.gz GITMAAUFLKJMIB-MRVPVSSYSA-N 0 3 232.165 2.644 20 0 BFADHN CN(CC(C)(C)C)CC1(Br)CC1 ZINC000846794802 588288587 /nfs/dbraw/zinc/28/85/87/588288587.db2.gz AZDWBRGDEWWHKV-UHFFFAOYSA-N 0 3 234.181 2.892 20 0 BFADHN CCCN(CC1(Br)CC1)C1CC1 ZINC000846798941 588289286 /nfs/dbraw/zinc/28/92/86/588289286.db2.gz NLWYPZJRJJEMDL-UHFFFAOYSA-N 0 3 232.165 2.788 20 0 BFADHN c1cc(CN2CCC3(CC3)CC2)cc2nonc12 ZINC000846836359 588291755 /nfs/dbraw/zinc/29/17/55/588291755.db2.gz DITYPDLYALVOQF-UHFFFAOYSA-N 0 3 243.310 2.599 20 0 BFADHN C[C@@H]1CN(CCC2CCCCCC2)CCN1C ZINC000846836918 588291873 /nfs/dbraw/zinc/29/18/73/588291873.db2.gz JBAVXLPQQZEHBB-CQSZACIVSA-N 0 3 238.419 2.983 20 0 BFADHN C[C@H]1CN(CCC2CCCCCC2)CCN1C ZINC000846836917 588292000 /nfs/dbraw/zinc/29/20/00/588292000.db2.gz JBAVXLPQQZEHBB-AWEZNQCLSA-N 0 3 238.419 2.983 20 0 BFADHN C[C@@H]1CCN(CC2(Br)CC2)C[C@@H]1C ZINC000846839884 588292164 /nfs/dbraw/zinc/29/21/64/588292164.db2.gz HOJWNJRRVHJSFT-ZJUUUORDSA-N 0 3 246.192 2.892 20 0 BFADHN CC(=O)C1CCN(CCc2ccc(C)cc2)CC1 ZINC000846947823 588298211 /nfs/dbraw/zinc/29/82/11/588298211.db2.gz YWVNGCAFQKTXSQ-UHFFFAOYSA-N 0 3 245.366 2.839 20 0 BFADHN CC[C@H](NC/C=C/Cl)[C@H]1CCCCO1 ZINC000847079343 588305894 /nfs/dbraw/zinc/30/58/94/588305894.db2.gz MMXUMYAXFPIRGG-KKSDUGGKSA-N 0 3 217.740 2.676 20 0 BFADHN CCN(CC)CCOC(=O)[C@@H]1CCC[C@@H](C)C1 ZINC000006382247 588372616 /nfs/dbraw/zinc/37/26/16/588372616.db2.gz AKCIKTVHXHWIMO-CHWSQXEVSA-N 0 3 241.375 2.698 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@@H](C)Cc1ccco1 ZINC000848518938 588390471 /nfs/dbraw/zinc/39/04/71/588390471.db2.gz JVGNLBFVDOWSPR-CMPLNLGQSA-N 0 3 245.326 2.660 20 0 BFADHN Cn1c(Cl)ncc1CNCCCC(C)(C)C ZINC000848537811 588394322 /nfs/dbraw/zinc/39/43/22/588394322.db2.gz DILLYGBQFAQDAE-UHFFFAOYSA-N 0 3 243.782 2.989 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cnc(Cl)n1C ZINC000848555915 588397283 /nfs/dbraw/zinc/39/72/83/588397283.db2.gz HZXXSLIDRYAMJD-MWLCHTKSSA-N 0 3 241.766 2.598 20 0 BFADHN CC(C)(C)O[C@H]1C[C@H](NCc2ccoc2)C1 ZINC000848567233 588398408 /nfs/dbraw/zinc/39/84/08/588398408.db2.gz RJNHKBCHVALOKF-HAQNSBGRSA-N 0 3 223.316 2.715 20 0 BFADHN COC(C)(C)[C@H](C)NCc1noc2ccccc12 ZINC000848575585 588398985 /nfs/dbraw/zinc/39/89/85/588398985.db2.gz SRNMVZXRCBCXML-JTQLQIEISA-N 0 3 248.326 2.731 20 0 BFADHN Fc1cccc(N[C@H]2CCCN3CCCC[C@@H]23)n1 ZINC000848784236 588413641 /nfs/dbraw/zinc/41/36/41/588413641.db2.gz VLMVBGYMWZZJFY-RYUDHWBXSA-N 0 3 249.333 2.650 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1cnc(Cl)n1C ZINC000848880874 588416374 /nfs/dbraw/zinc/41/63/74/588416374.db2.gz JDITWNQSDVIZOL-NSHDSACASA-N 0 3 241.766 2.694 20 0 BFADHN C[C@@H]1CN(Cc2cnc(Cl)n2C)[C@@H](C)[C@H]1C ZINC000848917237 588420936 /nfs/dbraw/zinc/42/09/36/588420936.db2.gz DOPDJOQNZXRMCJ-UTLUCORTSA-N 0 3 241.766 2.550 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1ccc(C)nn1 ZINC000850354792 588538596 /nfs/dbraw/zinc/53/85/96/588538596.db2.gz ABZWKBJUGQPZQP-LLVKDONJSA-N 0 3 221.348 2.699 20 0 BFADHN CC[C@@H](C)CCNCc1cc(C(F)(F)F)n[nH]1 ZINC000850356691 588538637 /nfs/dbraw/zinc/53/86/37/588538637.db2.gz ZPJJBZLUBBRZPW-MRVPVSSYSA-N 0 3 249.280 2.954 20 0 BFADHN COCCOc1ccc(CN[C@H]2C=CCC2)cc1 ZINC000850358632 588539392 /nfs/dbraw/zinc/53/93/92/588539392.db2.gz HZOGCNIUTBVBOV-AWEZNQCLSA-N 0 3 247.338 2.520 20 0 BFADHN C1=C[C@@H](NCc2c[nH]nc2-c2ccsc2)CC1 ZINC000850358921 588539999 /nfs/dbraw/zinc/53/99/99/588539999.db2.gz ORXPDVXAIDXTRI-GFCCVEGCSA-N 0 3 245.351 2.946 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1C=CCC1 ZINC000850359735 588540066 /nfs/dbraw/zinc/54/00/66/588540066.db2.gz PKALDMLNSPBPTR-CYBMUJFWSA-N 0 3 217.312 2.812 20 0 BFADHN COc1cc(C)c(CN[C@H]2C=CCC2)cc1OC ZINC000850359092 588540129 /nfs/dbraw/zinc/54/01/29/588540129.db2.gz VXQOZSNIAZPYSN-ZDUSSCGKSA-N 0 3 247.338 2.820 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@H](C)O2)c(C)o1 ZINC000850379408 588541917 /nfs/dbraw/zinc/54/19/17/588541917.db2.gz OADHRNBRSWQZIC-IINYFYTJSA-N 0 3 237.343 2.944 20 0 BFADHN Cn1cnc(CNC2CC3(C2)CCCCC3)c1 ZINC000850396722 588544017 /nfs/dbraw/zinc/54/40/17/588544017.db2.gz OCGLWEWPDONOEY-UHFFFAOYSA-N 0 3 233.359 2.623 20 0 BFADHN CC1(C)C[C@]1(C)NCc1cc(C(F)(F)F)n[nH]1 ZINC000850399851 588544457 /nfs/dbraw/zinc/54/44/57/588544457.db2.gz SJABHCKYTSIMJF-JTQLQIEISA-N 0 3 247.264 2.707 20 0 BFADHN Cc1csc(CNCCCc2ccco2)n1 ZINC000850407269 588544548 /nfs/dbraw/zinc/54/45/48/588544548.db2.gz BHUAEICVVNDOSC-UHFFFAOYSA-N 0 3 236.340 2.767 20 0 BFADHN Fc1ncccc1CN[C@@H]1CCCSCC1 ZINC000850465660 588547977 /nfs/dbraw/zinc/54/79/77/588547977.db2.gz CXTYPQSBGOTXJZ-LLVKDONJSA-N 0 3 240.347 2.596 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1ccc2c(c1)CCCO2 ZINC000850462308 588547983 /nfs/dbraw/zinc/54/79/83/588547983.db2.gz CDSVUUVDRZOKEE-NWDGAFQWSA-N 0 3 249.354 2.697 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@@H]2C=CCC2)cn1 ZINC000850506444 588553346 /nfs/dbraw/zinc/55/33/46/588553346.db2.gz AYGPGYHPTBMBAO-DGCLKSJQSA-N 0 3 219.332 2.833 20 0 BFADHN CC[C@@H](N[C@H]1C=CCC1)c1ccncc1 ZINC000850507574 588554201 /nfs/dbraw/zinc/55/42/01/588554201.db2.gz KACQUHHSQJHJLH-QWHCGFSZSA-N 0 3 202.301 2.841 20 0 BFADHN COC(C)(C)[C@H](C)N[C@@H]1C[C@H](O)c2ccccc21 ZINC000850524833 588556863 /nfs/dbraw/zinc/55/68/63/588556863.db2.gz DSAPWIRLIPBLLJ-GDLCADMTSA-N 0 3 249.354 2.568 20 0 BFADHN CC1CC(N[C@H]2C[C@H](O)c3ccccc32)C1 ZINC000850528007 588557469 /nfs/dbraw/zinc/55/74/69/588557469.db2.gz IJAWQQMMJUXJQK-FMPDERDJSA-N 0 3 217.312 2.553 20 0 BFADHN CC1CC(N[C@@H]2C[C@@H](O)c3ccccc32)C1 ZINC000850528009 588557545 /nfs/dbraw/zinc/55/75/45/588557545.db2.gz IJAWQQMMJUXJQK-TWZWYRAHSA-N 0 3 217.312 2.553 20 0 BFADHN Cc1cc([C@@H](C)NC2(CC(C)C)CC2)n(C)n1 ZINC000850565800 588560807 /nfs/dbraw/zinc/56/08/07/588560807.db2.gz GYVKVOIFPOPYFD-GFCCVEGCSA-N 0 3 235.375 2.958 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1ccc(Cl)nc1 ZINC000850569886 588561132 /nfs/dbraw/zinc/56/11/32/588561132.db2.gz CNOBJPMFAFTFFQ-UISBYWKRSA-N 0 3 240.734 2.563 20 0 BFADHN Fc1cccc(CN2CCCCCCC2)n1 ZINC000851714508 588615606 /nfs/dbraw/zinc/61/56/06/588615606.db2.gz RGIUQSKZPSQPCL-UHFFFAOYSA-N 0 3 222.307 2.987 20 0 BFADHN CO[C@@H]1CC[C@@H](N(C)Cc2ccsc2)C1 ZINC000851716737 588616346 /nfs/dbraw/zinc/61/63/46/588616346.db2.gz QEOSAGBHADOGPT-VXGBXAGGSA-N 0 3 225.357 2.747 20 0 BFADHN Fc1cccc(CN2CCCCCC2)n1 ZINC000851721085 588617349 /nfs/dbraw/zinc/61/73/49/588617349.db2.gz AOWDIUAPBNWGAY-UHFFFAOYSA-N 0 3 208.280 2.597 20 0 BFADHN Cc1noc(C)c1CN(C)CCC1CCC1 ZINC000851726317 588618270 /nfs/dbraw/zinc/61/82/70/588618270.db2.gz OLIJSUWVUOHGLG-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN CC(C)(NCCOC(F)F)c1ccccc1 ZINC000851749543 588622679 /nfs/dbraw/zinc/62/26/79/588622679.db2.gz GMTOANGBJLCITP-UHFFFAOYSA-N 0 3 229.270 2.751 20 0 BFADHN CC(C)=CCCN1CCCC[C@@H]1C1OCCO1 ZINC000851750073 588623292 /nfs/dbraw/zinc/62/32/92/588623292.db2.gz WHDHVDJMKMQFCS-CYBMUJFWSA-N 0 3 239.359 2.570 20 0 BFADHN O[C@H](CN1C2CCCC1CCC2)c1ccccn1 ZINC000851786288 588628605 /nfs/dbraw/zinc/62/86/05/588628605.db2.gz XFMJOIIDVNSLOZ-SSDMNJCBSA-N 0 3 246.354 2.522 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1cccc(F)n1 ZINC000851793566 588629414 /nfs/dbraw/zinc/62/94/14/588629414.db2.gz GMDDVJAAJQQNKX-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1cc(CN2C[C@H](C)CC2(C)C)nc(C)n1 ZINC000851800397 588630510 /nfs/dbraw/zinc/63/05/10/588630510.db2.gz YOZAHENLFOHZPA-SNVBAGLBSA-N 0 3 233.359 2.714 20 0 BFADHN COc1cc(CN2C[C@H](C)CC2(C)C)sn1 ZINC000851800415 588630523 /nfs/dbraw/zinc/63/05/23/588630523.db2.gz YVGKEMUEXQUPLP-SECBINFHSA-N 0 3 240.372 2.772 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CC2(C)C)nc(C)n1 ZINC000851800389 588630594 /nfs/dbraw/zinc/63/05/94/588630594.db2.gz YOZAHENLFOHZPA-JTQLQIEISA-N 0 3 233.359 2.714 20 0 BFADHN CC(C)CC1CCN(CCOC(F)F)CC1 ZINC000851810962 588632847 /nfs/dbraw/zinc/63/28/47/588632847.db2.gz PXEPWIOGVSWAOZ-UHFFFAOYSA-N 0 3 235.318 2.984 20 0 BFADHN CSCC[C@H](C)N(C)Cc1cccc(F)n1 ZINC000851845782 588634650 /nfs/dbraw/zinc/63/46/50/588634650.db2.gz NFVGRHMJAZTULC-JTQLQIEISA-N 0 3 242.363 2.794 20 0 BFADHN CCC(CC)CN1CC(C(F)(F)F)C1 ZINC000851857557 588635613 /nfs/dbraw/zinc/63/56/13/588635613.db2.gz SYXCXMPVSMPTIX-UHFFFAOYSA-N 0 3 209.255 2.917 20 0 BFADHN CO[C@H]1CC[C@@H](N2CCc3c(F)cccc3C2)C1 ZINC000851869663 588637225 /nfs/dbraw/zinc/63/72/25/588637225.db2.gz NUYSYPZEQXRRTA-OLZOCXBDSA-N 0 3 249.329 2.751 20 0 BFADHN CC[C@H](c1ccncc1)N(C)CCOC(F)F ZINC000851878429 588639105 /nfs/dbraw/zinc/63/91/05/588639105.db2.gz KXECZGXBOHZLPF-LLVKDONJSA-N 0 3 244.285 2.704 20 0 BFADHN CO[C@@H]1CC[C@@H](N2CCc3cccc(F)c3C2)C1 ZINC000851885787 588640098 /nfs/dbraw/zinc/64/00/98/588640098.db2.gz LTPDWMVZJSPDTH-CHWSQXEVSA-N 0 3 249.329 2.751 20 0 BFADHN CO[C@@H]1CC[C@@H](N[C@H](C)c2ncc(C)s2)C1 ZINC000851933562 588645302 /nfs/dbraw/zinc/64/53/02/588645302.db2.gz ZKGDHJDIWWNHIA-GMTAPVOTSA-N 0 3 240.372 2.670 20 0 BFADHN CO[C@@H]1CC[C@H](N[C@@H](C)c2ncc(C)s2)C1 ZINC000851933561 588645376 /nfs/dbraw/zinc/64/53/76/588645376.db2.gz ZKGDHJDIWWNHIA-GARJFASQSA-N 0 3 240.372 2.670 20 0 BFADHN CC1(C2CC2)CCN(CCOC(F)F)CC1 ZINC000852061988 588658644 /nfs/dbraw/zinc/65/86/44/588658644.db2.gz WNDXJJKRHYAMRR-UHFFFAOYSA-N 0 3 233.302 2.738 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cccc(F)n1 ZINC000852181620 588670243 /nfs/dbraw/zinc/67/02/43/588670243.db2.gz KXXPXYFIFGSJIX-OUAUKWLOSA-N 0 3 222.307 2.697 20 0 BFADHN CCCCOC(=O)CCN1C[C@@H]2CCCC[C@@H]21 ZINC000852219159 588673926 /nfs/dbraw/zinc/67/39/26/588673926.db2.gz QEIFBDSVEUMLRA-STQMWFEESA-N 0 3 239.359 2.594 20 0 BFADHN CC1(C)CN(CCF)CCc2ccccc21 ZINC000852348533 588679324 /nfs/dbraw/zinc/67/93/24/588679324.db2.gz LZBPSGIGOJMLCB-UHFFFAOYSA-N 0 3 221.319 2.792 20 0 BFADHN Cc1oncc1CN1CCC[C@@H](CCF)C1 ZINC000852533186 588688448 /nfs/dbraw/zinc/68/84/48/588688448.db2.gz QISURSQTJFWTNZ-NSHDSACASA-N 0 3 226.295 2.555 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1C/C=C(\C)C(=O)OC ZINC000852712820 588697576 /nfs/dbraw/zinc/69/75/76/588697576.db2.gz XHVIBNIIRVXVND-MTYQHRKZSA-N 0 3 239.359 2.759 20 0 BFADHN CN(CCF)C[C@H]1CCc2ccccc21 ZINC000852724978 588698035 /nfs/dbraw/zinc/69/80/35/588698035.db2.gz SGHVYDNOPXBVFJ-GFCCVEGCSA-N 0 3 207.292 2.618 20 0 BFADHN Cc1c(CN2C3CCC2CC3)ccnc1F ZINC000862215834 589194418 /nfs/dbraw/zinc/19/44/18/589194418.db2.gz OQWSRJVIOJBOLV-UHFFFAOYSA-N 0 3 220.291 2.656 20 0 BFADHN Cc1c(CN2CCC[C@@H](C)C2)ccnc1F ZINC000862211290 589194440 /nfs/dbraw/zinc/19/44/40/589194440.db2.gz GLDVUVZBPUJODY-SNVBAGLBSA-N 0 3 222.307 2.761 20 0 BFADHN CCN(Cc1ccnc(F)c1C)CC1CC1 ZINC000862243253 589196805 /nfs/dbraw/zinc/19/68/05/589196805.db2.gz FPWZEUGVCSAUSK-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN C[C@H]1COCCN(Cc2ccc3[nH]ccc3c2)C1 ZINC000862247426 589196936 /nfs/dbraw/zinc/19/69/36/589196936.db2.gz VYEHFFQMCRJGOZ-GFCCVEGCSA-N 0 3 244.338 2.636 20 0 BFADHN Cc1c(CN2C[C@H](C)[C@@H](C)C2)ccnc1F ZINC000862247481 589197015 /nfs/dbraw/zinc/19/70/15/589197015.db2.gz XZYVSSNSNNWNDJ-UWVGGRQHSA-N 0 3 222.307 2.617 20 0 BFADHN Cc1c(CN(C)C2CCC2)ccnc1F ZINC000862245935 589197122 /nfs/dbraw/zinc/19/71/22/589197122.db2.gz BZXAWQPUNJGDFC-UHFFFAOYSA-N 0 3 208.280 2.513 20 0 BFADHN Cc1c(CN2C[C@@H](C)C[C@H]2C)ccnc1F ZINC000862248651 589197407 /nfs/dbraw/zinc/19/74/07/589197407.db2.gz OUBWHNSEGUXATP-VHSXEESVSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1c(CN2[C@@H](C)CC[C@@H]2C)ccnc1F ZINC000862251808 589197694 /nfs/dbraw/zinc/19/76/94/589197694.db2.gz NQHGDRDMFPBMJS-UWVGGRQHSA-N 0 3 222.307 2.902 20 0 BFADHN Cc1c(CNC2(C3CC3)CC2)ccnc1F ZINC000862285752 589199497 /nfs/dbraw/zinc/19/94/97/589199497.db2.gz WOWDYUJGCUZXDW-UHFFFAOYSA-N 0 3 220.291 2.561 20 0 BFADHN c1nc(C2CC2)oc1CNCCC1CCC1 ZINC000862287640 589199960 /nfs/dbraw/zinc/19/99/60/589199960.db2.gz WMIZCCUVCSLCDJ-UHFFFAOYSA-N 0 3 220.316 2.832 20 0 BFADHN Cc1c(CN[C@H]2CCC(F)(F)C2)ccnc1F ZINC000862286688 589200066 /nfs/dbraw/zinc/20/00/66/589200066.db2.gz GMDHDJXOUPTESO-JTQLQIEISA-N 0 3 244.260 2.807 20 0 BFADHN C[C@]1(F)CCCN(Cc2cccc3n[nH]cc32)C1 ZINC000862382023 589206356 /nfs/dbraw/zinc/20/63/56/589206356.db2.gz NRJRJKGCNKZAFQ-AWEZNQCLSA-N 0 3 247.317 2.887 20 0 BFADHN CCc1nc(CN[C@@H](C)C2CC(F)(F)C2)co1 ZINC000862387315 589207575 /nfs/dbraw/zinc/20/75/75/589207575.db2.gz JVEYHPIWGJNLHT-QMMMGPOBSA-N 0 3 244.285 2.760 20 0 BFADHN CCN(CCSC)Cc1ccnc(F)c1C ZINC000862400008 589209269 /nfs/dbraw/zinc/20/92/69/589209269.db2.gz KLHDLBYHKRKHIM-UHFFFAOYSA-N 0 3 242.363 2.714 20 0 BFADHN Cc1c(F)nccc1CN(C)C(C)(C)C ZINC000862399937 589209407 /nfs/dbraw/zinc/20/94/07/589209407.db2.gz IEHMKHRPBFKVIT-UHFFFAOYSA-N 0 3 210.296 2.759 20 0 BFADHN CC(C)c1ncc(CN2C[C@@H]3C[C@@H]3C2)s1 ZINC000862440749 589212874 /nfs/dbraw/zinc/21/28/74/589212874.db2.gz LAAAAOCBCASDAH-AOOOYVTPSA-N 0 3 222.357 2.718 20 0 BFADHN CN(Cc1cc(Cl)cs1)CC1(C)COC1 ZINC000862479649 589217014 /nfs/dbraw/zinc/21/70/14/589217014.db2.gz WJTAALKQGOLSLZ-UHFFFAOYSA-N 0 3 245.775 2.870 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@H](C)c1ccns1 ZINC000862540035 589218925 /nfs/dbraw/zinc/21/89/25/589218925.db2.gz XONKZPHVIJBBFC-NQMVMOMDSA-N 0 3 228.386 2.688 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cncnc2)C1 ZINC000862550273 589220920 /nfs/dbraw/zinc/22/09/20/589220920.db2.gz KQLAYRLROMTGGX-YWVDXFKGSA-N 0 3 231.343 2.655 20 0 BFADHN C/C=C/CNC[C@H](O)c1cc(C)cc(C)c1 ZINC000863582562 589301827 /nfs/dbraw/zinc/30/18/27/589301827.db2.gz NUVDSNXBUAQHNR-NNTXTVRGSA-N 0 3 219.328 2.503 20 0 BFADHN CNc1ccc(-c2ccc(CN(C)C)cc2)nc1 ZINC000863691867 589310962 /nfs/dbraw/zinc/31/09/62/589310962.db2.gz NHFJPUSDQKXPDL-UHFFFAOYSA-N 0 3 241.338 2.852 20 0 BFADHN CN(C)Cc1ccc(-c2ccns2)cc1 ZINC000863691983 589311035 /nfs/dbraw/zinc/31/10/35/589311035.db2.gz OPHDESOOMCGKSX-UHFFFAOYSA-N 0 3 218.325 2.872 20 0 BFADHN CC[C@@H](NCc1ccsc1)[C@H]1CCCO1 ZINC000119815770 589334187 /nfs/dbraw/zinc/33/41/87/589334187.db2.gz SSLKVOPBYBMDEC-VXGBXAGGSA-N 0 3 225.357 2.795 20 0 BFADHN CC1(C)CCC(C)(NCc2cnc(N)cn2)CC1 ZINC000864049625 589334231 /nfs/dbraw/zinc/33/42/31/589334231.db2.gz JTIGWNVUUFCVRK-UHFFFAOYSA-N 0 3 248.374 2.507 20 0 BFADHN Cc1cc(CNCC[C@@]2(C)C[C@@]2(F)Cl)on1 ZINC000864051216 589335236 /nfs/dbraw/zinc/33/52/36/589335236.db2.gz DCQXFOXFHBYNMP-QWRGUYRKSA-N 0 3 246.713 2.777 20 0 BFADHN Fc1cccc(CNC2CC2)c1OC(F)(F)F ZINC000865398439 589432676 /nfs/dbraw/zinc/43/26/76/589432676.db2.gz VUTUNXIRAWVDBU-UHFFFAOYSA-N 0 3 249.207 2.976 20 0 BFADHN C[C@H]1CCC[C@H](NCc2cn(C3CC3)cn2)C1 ZINC000865407741 589433589 /nfs/dbraw/zinc/43/35/89/589433589.db2.gz HRJGDZDRQYUYEV-RYUDHWBXSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cn(C2CC2)cn1 ZINC000865429885 589435479 /nfs/dbraw/zinc/43/54/79/589435479.db2.gz IWZIABXIUQVREO-MNOVXSKESA-N 0 3 221.348 2.742 20 0 BFADHN C[C@H]1CC[C@H](NCc2cn(C3CC3)cn2)CC1 ZINC000865456803 589437073 /nfs/dbraw/zinc/43/70/73/589437073.db2.gz SLMPPMJRBQINQO-HAQNSBGRSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1cc2c(cc[nH]c2=O)o1 ZINC000865452698 589437207 /nfs/dbraw/zinc/43/72/07/589437207.db2.gz NJVNWVSFLNRATH-SKDRFNHKSA-N 0 3 246.310 2.812 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1cc2c(cc[nH]c2=O)o1 ZINC000865452696 589437358 /nfs/dbraw/zinc/43/73/58/589437358.db2.gz NJVNWVSFLNRATH-CABZTGNLSA-N 0 3 246.310 2.812 20 0 BFADHN C[C@@H](CNCc1cn(C2CC2)cn1)C(C)(C)C ZINC000865465407 589438049 /nfs/dbraw/zinc/43/80/49/589438049.db2.gz ZEKXQJBDWSXDOK-NSHDSACASA-N 0 3 235.375 2.990 20 0 BFADHN CC1(C)CC[C@H](NCc2cn(C3CC3)cn2)C1 ZINC000865517311 589442731 /nfs/dbraw/zinc/44/27/31/589442731.db2.gz RSWIWULXXIPTJY-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN CCOc1cccc([C@@H](C)N[C@@H]2CCCOC2)c1 ZINC000120602919 589444302 /nfs/dbraw/zinc/44/43/02/589444302.db2.gz MDXXBBKDVQYRIF-TZMCWYRMSA-N 0 3 249.354 2.915 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2cn(C3CC3)cn2)C1 ZINC000865548477 589445412 /nfs/dbraw/zinc/44/54/12/589445412.db2.gz BTUDWFYBQFHBKY-NWDGAFQWSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1cn(C2CC2)cn1 ZINC000865552473 589445716 /nfs/dbraw/zinc/44/57/16/589445716.db2.gz MFVMADSBNREPSS-NEPJUHHUSA-N 0 3 233.359 2.744 20 0 BFADHN CC1(C)CC[C@H]1NCc1ccnn1CC1CCC1 ZINC000865568632 589447388 /nfs/dbraw/zinc/44/73/88/589447388.db2.gz VNLQKUFZTRVKQA-CQSZACIVSA-N 0 3 247.386 2.961 20 0 BFADHN FC1(CCNCc2cn(C3CC3)cn2)CCC1 ZINC000865570319 589447836 /nfs/dbraw/zinc/44/78/36/589447836.db2.gz DAMAYRKGRYFFSP-UHFFFAOYSA-N 0 3 237.322 2.590 20 0 BFADHN C[C@H](F)CCNCc1cc(F)ccc1N(C)C ZINC000865579675 589448594 /nfs/dbraw/zinc/44/85/94/589448594.db2.gz BSLXTVWGJZNQIN-JTQLQIEISA-N 0 3 242.313 2.729 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cc2c(cc[nH]c2=O)o1 ZINC000865588823 589448952 /nfs/dbraw/zinc/44/89/52/589448952.db2.gz KVYTVXIWQYOUKF-ZJUUUORDSA-N 0 3 246.310 2.669 20 0 BFADHN CCC1(NCc2cc3c(cc[nH]c3=O)o2)CC1 ZINC000865584923 589449316 /nfs/dbraw/zinc/44/93/16/589449316.db2.gz JBIFXNUGLWPHFH-UHFFFAOYSA-N 0 3 232.283 2.566 20 0 BFADHN CC1(C2(NCc3cn(C4CC4)cn3)CC2)CC1 ZINC000865594806 589449648 /nfs/dbraw/zinc/44/96/48/589449648.db2.gz UHCUDMVYMFSBEM-UHFFFAOYSA-N 0 3 231.343 2.640 20 0 BFADHN C[C@@H](CNCc1cn(C2CC2)cn1)CC(F)F ZINC000865611436 589450819 /nfs/dbraw/zinc/45/08/19/589450819.db2.gz AQTCEXKNSHWVBU-SECBINFHSA-N 0 3 243.301 2.599 20 0 BFADHN C/C=C/CNCc1cc(F)ccc1N(C)C ZINC000865642566 589451497 /nfs/dbraw/zinc/45/14/97/589451497.db2.gz JGLIVSPYIUCLMI-SNAWJCMRSA-N 0 3 222.307 2.557 20 0 BFADHN OC/C=C/CNCc1cccc(CC2CCC2)c1 ZINC000865623681 589451837 /nfs/dbraw/zinc/45/18/37/589451837.db2.gz RDDTYQPFWRLMLY-OWOJBTEDSA-N 0 3 245.366 2.667 20 0 BFADHN FC(F)c1ccc(CN[C@@H]2C=CCC2)cn1 ZINC000865622533 589452142 /nfs/dbraw/zinc/45/21/42/589452142.db2.gz CBWZTJHBVBWFPY-SNVBAGLBSA-N 0 3 224.254 2.827 20 0 BFADHN CC1CC(CNCc2cc3c(cc[nH]c3=O)o2)C1 ZINC000865636438 589453230 /nfs/dbraw/zinc/45/32/30/589453230.db2.gz CDWHBSUZKRIXPN-UHFFFAOYSA-N 0 3 246.310 2.669 20 0 BFADHN F[C@@H]1CCCC[C@@H]1NCc1cccc2n[nH]cc21 ZINC000865697341 589457215 /nfs/dbraw/zinc/45/72/15/589457215.db2.gz YGNGIONIVPMXCC-OCCSQVGLSA-N 0 3 247.317 2.933 20 0 BFADHN CC[C@H]1C[C@H](CNCc2ccc(C)o2)CCO1 ZINC000865724364 589458460 /nfs/dbraw/zinc/45/84/60/589458460.db2.gz RCXNOWVYOSIAKT-OLZOCXBDSA-N 0 3 237.343 2.883 20 0 BFADHN CCc1nocc1CNCC[C@@H]1CCCS1 ZINC000865726539 589458893 /nfs/dbraw/zinc/45/88/93/589458893.db2.gz YADBVODYZQKFHZ-NSHDSACASA-N 0 3 240.372 2.612 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2cncc(F)c2)C1 ZINC000865733307 589459238 /nfs/dbraw/zinc/45/92/38/589459238.db2.gz HLORAAVPMJUAOV-GGZOMVNGSA-N 0 3 240.347 2.765 20 0 BFADHN CS[C@H]1C[C@H](NCc2cccc(F)c2)C1 ZINC000865734856 589459568 /nfs/dbraw/zinc/45/95/68/589459568.db2.gz RRWHLYPENFKCKT-HAQNSBGRSA-N 0 3 225.332 2.809 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC23CCOCC3)o1 ZINC000865779342 589461743 /nfs/dbraw/zinc/46/17/43/589461743.db2.gz VJPIFHAVIGUELW-ZDUSSCGKSA-N 0 3 249.354 2.884 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1ccc(C(C)(C)C)o1 ZINC000865815439 589462992 /nfs/dbraw/zinc/46/29/92/589462992.db2.gz YSGLBSNUCRNYRA-PWSUYJOCSA-N 0 3 237.343 2.844 20 0 BFADHN Cc1ccc(C)c(CN[C@H](C)c2ccnn2C)c1 ZINC000865839750 589464282 /nfs/dbraw/zinc/46/42/82/589464282.db2.gz RPXOXETYHJAOEJ-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN c1ccc(CNC2([C@@H]3CCCCO3)CCC2)nc1 ZINC000865857954 589465219 /nfs/dbraw/zinc/46/52/19/589465219.db2.gz XBYIQRFOFNYVDS-AWEZNQCLSA-N 0 3 246.354 2.663 20 0 BFADHN Fc1cccc(/C=C\CNC[C@@H]2CCCO2)c1 ZINC000885894345 589469382 /nfs/dbraw/zinc/46/93/82/589469382.db2.gz QKPBWBIMCZQERI-DQWBNOTDSA-N 0 3 235.302 2.608 20 0 BFADHN COC[C@@H](NCc1cc(C)oc1C)C(C)C ZINC000120971140 589482796 /nfs/dbraw/zinc/48/27/96/589482796.db2.gz CTGLYXNNZOQYMQ-CYBMUJFWSA-N 0 3 225.332 2.657 20 0 BFADHN COCC1(N[C@@H]2CCCc3c(F)cccc32)CC1 ZINC000866323432 589486322 /nfs/dbraw/zinc/48/63/22/589486322.db2.gz IBHAJLCWSBOMKO-CQSZACIVSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@@H]1CCc2ccc(F)cc2[C@@H]1N[C@H]1C[C@@H](O)C1 ZINC000866368615 589488418 /nfs/dbraw/zinc/48/84/18/589488418.db2.gz DRBYMAIUDYTPCQ-BXKLLYQPSA-N 0 3 249.329 2.562 20 0 BFADHN CC(C)[C@H](NC[C@@H]1CCC[C@H]1O)c1ccccn1 ZINC000866369090 589488480 /nfs/dbraw/zinc/48/84/80/589488480.db2.gz JTRYACYBLVDYMA-CFVMTHIKSA-N 0 3 248.370 2.529 20 0 BFADHN CC(C)[C@@H](N[C@@H]1CC12CC2)c1ccccn1 ZINC000866379818 589489079 /nfs/dbraw/zinc/48/90/79/589489079.db2.gz UYLHAMKYHXZOOP-CHWSQXEVSA-N 0 3 216.328 2.921 20 0 BFADHN C[C@@H]1CCc2ccc(F)cc2[C@@H]1NC/C=C\CO ZINC000866386330 589489412 /nfs/dbraw/zinc/48/94/12/589489412.db2.gz CFYOBPMUASZOJV-HOZRCZGOSA-N 0 3 249.329 2.587 20 0 BFADHN C[C@@H]1CCc2ccc(F)cc2[C@@H]1N[C@H]1C[C@H](O)C1 ZINC000866392572 589489578 /nfs/dbraw/zinc/48/95/78/589489578.db2.gz DRBYMAIUDYTPCQ-QGMIFYJMSA-N 0 3 249.329 2.562 20 0 BFADHN Cc1ccc2oc(CN[C@H](C)CCO)cc2c1 ZINC000121045784 589491664 /nfs/dbraw/zinc/49/16/64/589491664.db2.gz FLKJJDFGNRCMTC-LLVKDONJSA-N 0 3 233.311 2.602 20 0 BFADHN F[C@@H]1CCCC[C@@H]1N[C@@H]1COC2(CCC2)C1 ZINC000866451287 589493619 /nfs/dbraw/zinc/49/36/19/589493619.db2.gz HKINQDOYIKAFQD-TUAOUCFPSA-N 0 3 227.323 2.568 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@@H]1F)[C@@H]1CCCCO1 ZINC000866452200 589494150 /nfs/dbraw/zinc/49/41/50/589494150.db2.gz YTGXCQPBKARJMG-RVMXOQNASA-N 0 3 229.339 2.814 20 0 BFADHN C[C@H](N[C@@H]1CC(C)(C)CC[C@H]1O)c1ccccn1 ZINC000866452660 589494343 /nfs/dbraw/zinc/49/43/43/589494343.db2.gz LTXMMMWTZBXJHM-IACUBPJLSA-N 0 3 248.370 2.672 20 0 BFADHN C[C@@H](NCc1ccnc(F)c1)C(C)(C)C(F)F ZINC000866475056 589494846 /nfs/dbraw/zinc/49/48/46/589494846.db2.gz QWJUVOUKGKOTAS-MRVPVSSYSA-N 0 3 246.276 2.990 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1noc2ccc(F)cc12 ZINC000866510689 589498664 /nfs/dbraw/zinc/49/86/64/589498664.db2.gz IDPFPSVGWOCEOC-KCJUWKMLSA-N 0 3 234.274 2.855 20 0 BFADHN C[C@@H](NCC(C)(C)C(C)(F)F)c1ncc[nH]1 ZINC000866544589 589499178 /nfs/dbraw/zinc/49/91/78/589499178.db2.gz WKIVHEPZLOMZQJ-MRVPVSSYSA-N 0 3 231.290 2.742 20 0 BFADHN CCC(CC)NCc1nn(C)cc1C(F)F ZINC000866562114 589500268 /nfs/dbraw/zinc/50/02/68/589500268.db2.gz COEVFDKENGCBFK-UHFFFAOYSA-N 0 3 231.290 2.636 20 0 BFADHN CCC[C@H](C)NCc1nn(C)cc1C(F)F ZINC000866564012 589500825 /nfs/dbraw/zinc/50/08/25/589500825.db2.gz ULAUNVAACYLMLX-QMMMGPOBSA-N 0 3 231.290 2.636 20 0 BFADHN CC[C@H](C)NCc1noc2cc(F)ccc12 ZINC000866610485 589504492 /nfs/dbraw/zinc/50/44/92/589504492.db2.gz MKMFAGOZBNGWPA-QMMMGPOBSA-N 0 3 222.263 2.855 20 0 BFADHN C[C@@H](NCC[C@@H](O)C1CCCC1)c1ccncc1 ZINC000866637129 589506490 /nfs/dbraw/zinc/50/64/90/589506490.db2.gz DSFZFZOBUMNENJ-IUODEOHRSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@H](NCC[C@@H](O)C1CCCC1)c1ccco1 ZINC000866636762 589506644 /nfs/dbraw/zinc/50/66/44/589506644.db2.gz OQOKOANXHWQAMO-WCQYABFASA-N 0 3 237.343 2.871 20 0 BFADHN C/C=C(/C)C(=O)N1c2ccccc2[C@H](N)C1(C)C ZINC000867989690 589542730 /nfs/dbraw/zinc/54/27/30/589542730.db2.gz OCRIADLBYNKQJR-PRFOUVDFSA-N 0 3 244.338 2.778 20 0 BFADHN CCC[C@@H](C)[C@@H](CC)NCc1ccon1 ZINC000122432305 589589548 /nfs/dbraw/zinc/58/95/48/589589548.db2.gz GBSATWXULPTZFI-ZYHUDNBSSA-N 0 3 210.321 2.979 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)c1ccc(C)s1 ZINC000870550853 589674245 /nfs/dbraw/zinc/67/42/45/589674245.db2.gz BJLIGOJJGYEAHM-JTQLQIEISA-N 0 3 241.356 2.554 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)c1cscc1C ZINC000870550628 589674509 /nfs/dbraw/zinc/67/45/09/589674509.db2.gz ROTAJEMZABKSFG-JTQLQIEISA-N 0 3 241.356 2.554 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)[C@@H](C)c1ccccc1 ZINC000870553813 589675536 /nfs/dbraw/zinc/67/55/36/589675536.db2.gz SBUFUCJFNBDOPU-GXTWGEPZSA-N 0 3 249.354 2.674 20 0 BFADHN C[C@@H]([NH2+][C@@H](C)C1CC1)c1cccc([O-])c1F ZINC000872018208 589856766 /nfs/dbraw/zinc/85/67/66/589856766.db2.gz JPYYKLNJUTTXFG-DTWKUNHWSA-N 0 3 223.291 2.980 20 0 BFADHN C[C@@H]([NH2+]CC1CC1)c1cccc([O-])c1F ZINC000872022356 589856926 /nfs/dbraw/zinc/85/69/26/589856926.db2.gz XYFQXQHSVRBFCP-MRVPVSSYSA-N 0 3 209.264 2.592 20 0 BFADHN C[C@@H](NCC1CC1)c1cc(O)ccc1F ZINC000872020419 589856998 /nfs/dbraw/zinc/85/69/98/589856998.db2.gz HOBHIBIJCWBMHL-MRVPVSSYSA-N 0 3 209.264 2.592 20 0 BFADHN CC[C@H](CCC(F)(F)F)NCc1nccn1C ZINC000872032761 589857333 /nfs/dbraw/zinc/85/73/33/589857333.db2.gz ZASPXWXLCYDKGH-SECBINFHSA-N 0 3 249.280 2.631 20 0 BFADHN COCC[C@H](C)N[C@@H](C)c1cc(O)ccc1F ZINC000872032298 589857497 /nfs/dbraw/zinc/85/74/97/589857497.db2.gz ZCGPCUGQKHIFOC-UWVGGRQHSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@@H]([NH2+]C1CC=CC1)c1cccc([O-])c1F ZINC000872043529 589859587 /nfs/dbraw/zinc/85/95/87/589859587.db2.gz IZPDAHZTKJAWPO-SECBINFHSA-N 0 3 221.275 2.901 20 0 BFADHN C[C@H](NCC1(C)CC1)c1cc(O)ccc1F ZINC000872048979 589860328 /nfs/dbraw/zinc/86/03/28/589860328.db2.gz OIULTOPHVFAHEA-VIFPVBQESA-N 0 3 223.291 2.982 20 0 BFADHN C[C@@H](NCCC1CC1)c1cc(O)ccc1F ZINC000872048333 589860570 /nfs/dbraw/zinc/86/05/70/589860570.db2.gz IPZIAGZVMZFDKG-SECBINFHSA-N 0 3 223.291 2.982 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1cc(O)ccc1F ZINC000872051423 589860787 /nfs/dbraw/zinc/86/07/87/589860787.db2.gz IDZBNZGONIQGHT-IUCAKERBSA-N 0 3 243.347 2.933 20 0 BFADHN CC[C@H](NCc1ccn(C)n1)C(C)(C)CC ZINC000872054267 589861970 /nfs/dbraw/zinc/86/19/70/589861970.db2.gz RZYMTERZFHWIJG-LBPRGKRZSA-N 0 3 223.364 2.725 20 0 BFADHN C[C@@H]([NH2+]CC[C@H](C)F)c1cccc([O-])c1F ZINC000872072108 589862630 /nfs/dbraw/zinc/86/26/30/589862630.db2.gz FCOBWXGMCJYXNJ-DTWKUNHWSA-N 0 3 229.270 2.930 20 0 BFADHN CC[C@@H](CN[C@H](C)c1cccc(O)c1F)OC ZINC000872072912 589864683 /nfs/dbraw/zinc/86/46/83/589864683.db2.gz LLCBJHJUVRQUEW-ZJUUUORDSA-N 0 3 241.306 2.607 20 0 BFADHN Cc1cncc([C@H](C)NC[C@H]2CC(C)(C)CO2)c1 ZINC000872105215 589872059 /nfs/dbraw/zinc/87/20/59/589872059.db2.gz DKEDFRXSSYDYPW-GXTWGEPZSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@@H](NCCC[C@@H]1C=CCC1)c1ccc(=O)[nH]n1 ZINC000872108048 589873741 /nfs/dbraw/zinc/87/37/41/589873741.db2.gz REBWBSRRKZIGCV-VXGBXAGGSA-N 0 3 247.342 2.579 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]2CCC[C@@H]2O1)c1ccoc1 ZINC000872109117 589874140 /nfs/dbraw/zinc/87/41/40/589874140.db2.gz HOORTFMEYPGVGY-XCCSTKFXSA-N 0 3 235.327 2.888 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CCC12CCC2 ZINC000872131952 589877282 /nfs/dbraw/zinc/87/72/82/589877282.db2.gz AVYIWYKHAWCLBJ-NWDGAFQWSA-N 0 3 231.343 2.768 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000872129709 589877772 /nfs/dbraw/zinc/87/77/72/589877772.db2.gz ANXUMZSJNPTEKH-RETIQXCHSA-N 0 3 245.370 2.870 20 0 BFADHN FC(F)[C@H]1CCN(CCCC2CC2)CCO1 ZINC000872271172 589903730 /nfs/dbraw/zinc/90/37/30/589903730.db2.gz APZODXXBIYONKI-LLVKDONJSA-N 0 3 233.302 2.533 20 0 BFADHN CCOc1cccc(F)c1CNCC1CC1 ZINC000873010941 589978738 /nfs/dbraw/zinc/97/87/38/589978738.db2.gz QSCNAKBURRFJFH-UHFFFAOYSA-N 0 3 223.291 2.724 20 0 BFADHN CCOc1cccc(F)c1CNC(C)C ZINC000873007678 589978848 /nfs/dbraw/zinc/97/88/48/589978848.db2.gz ZKWLNTALJLXFJP-UHFFFAOYSA-N 0 3 211.280 2.722 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CCC[C@@H]2C=CCC2)n1 ZINC000873153855 589987352 /nfs/dbraw/zinc/98/73/52/589987352.db2.gz JQOBJAZUTSYWHZ-CYBMUJFWSA-N 0 3 246.354 2.932 20 0 BFADHN CCc1ncc(CNCCC[C@@H]2C=CCC2)cn1 ZINC000873154245 589987552 /nfs/dbraw/zinc/98/75/52/589987552.db2.gz SKZFMOYYMRXHTO-CYBMUJFWSA-N 0 3 245.370 2.875 20 0 BFADHN Cc1c[nH]nc1CNCCC[C@@H]1C=CCC1 ZINC000873153784 589987729 /nfs/dbraw/zinc/98/77/29/589987729.db2.gz HOYFMYISKUIJKH-GFCCVEGCSA-N 0 3 219.332 2.554 20 0 BFADHN CCc1ccc(CNC[C@@H]2C[C@H]3CCC[C@@H]3O2)o1 ZINC000873155574 589988309 /nfs/dbraw/zinc/98/83/09/589988309.db2.gz FZVGCIOYPZXZCY-UGFHNGPFSA-N 0 3 249.354 2.889 20 0 BFADHN Cc1occc1CNC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000873156615 589988898 /nfs/dbraw/zinc/98/88/98/589988898.db2.gz XHLDEKDACYZQPB-XBFCOCLRSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1cnccc1CNCC1(OC(C)C)CCC1 ZINC000873168193 589989315 /nfs/dbraw/zinc/98/93/15/589989315.db2.gz WLXSISVIQKFQLN-UHFFFAOYSA-N 0 3 248.370 2.827 20 0 BFADHN CC(C)OC1(CNCc2ccccn2)CCC1 ZINC000873166522 589989537 /nfs/dbraw/zinc/98/95/37/589989537.db2.gz FDFRRFXXEZOAMM-UHFFFAOYSA-N 0 3 234.343 2.519 20 0 BFADHN CC[C@@H](NCc1ccoc1)[C@@H](O)c1ccccc1 ZINC000873194921 589991527 /nfs/dbraw/zinc/99/15/27/589991527.db2.gz WPVDLAKUSHGUSR-CABCVRRESA-N 0 3 245.322 2.881 20 0 BFADHN CCCCC[C@@H]1CCCN1Cc1cnc(N)cn1 ZINC000873206494 589993525 /nfs/dbraw/zinc/99/35/25/589993525.db2.gz GIVSTGMKKYQHIO-CYBMUJFWSA-N 0 3 248.374 2.604 20 0 BFADHN CCOC(CN(C)[C@H](C)C1(C)CC1)OCC ZINC000124610735 590067207 /nfs/dbraw/zinc/06/72/07/590067207.db2.gz NORWTIXGIMBHKX-LLVKDONJSA-N 0 3 229.364 2.506 20 0 BFADHN CSCC[C@H](C)NCc1nc(C)cs1 ZINC000125046066 590116478 /nfs/dbraw/zinc/11/64/78/590116478.db2.gz OFFPRCSQFHNZNP-QMMMGPOBSA-N 0 3 230.402 2.683 20 0 BFADHN COC(C)(C)C[C@H](C)NCc1ccco1 ZINC000020524133 590118509 /nfs/dbraw/zinc/11/85/09/590118509.db2.gz FZWXFQAUXCOMED-JTQLQIEISA-N 0 3 211.305 2.573 20 0 BFADHN COC(C)(C)C[C@@H](C)NCc1ccco1 ZINC000020524132 590118559 /nfs/dbraw/zinc/11/85/59/590118559.db2.gz FZWXFQAUXCOMED-SNVBAGLBSA-N 0 3 211.305 2.573 20 0 BFADHN CCN(Cn1cc(Cl)cn1)[C@H](C)C(C)C ZINC000125341671 590162171 /nfs/dbraw/zinc/16/21/71/590162171.db2.gz SQQSELNCDUACMN-SNVBAGLBSA-N 0 3 229.755 2.860 20 0 BFADHN CCCCCCN(C)CC(=O)NC1(C)CCC1 ZINC000125990799 590202634 /nfs/dbraw/zinc/20/26/34/590202634.db2.gz FIYNXIOYYNISFO-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN COc1ncc(CN2CCCCCCC2)s1 ZINC000876527788 590209013 /nfs/dbraw/zinc/20/90/13/590209013.db2.gz LXXVHJRCZRLQPN-UHFFFAOYSA-N 0 3 240.372 2.918 20 0 BFADHN C[C@@H]1CCCCN1Cc1cc2c(cc[nH]c2=O)o1 ZINC000876527510 590209228 /nfs/dbraw/zinc/20/92/28/590209228.db2.gz IDFBMNRIDJTKND-SNVBAGLBSA-N 0 3 246.310 2.908 20 0 BFADHN CC(C)N(C)Cc1cc(F)ccc1N(C)C ZINC000876527514 590209413 /nfs/dbraw/zinc/20/94/13/590209413.db2.gz IGMNCWILHMHZMP-UHFFFAOYSA-N 0 3 224.323 2.732 20 0 BFADHN c1cc(CNC[C@@H]2COc3ccccc32)co1 ZINC000126034590 590216371 /nfs/dbraw/zinc/21/63/71/590216371.db2.gz QFYPZBFPZAMVJJ-GFCCVEGCSA-N 0 3 229.279 2.545 20 0 BFADHN CN(Cc1cnc2c(F)cccc2c1)C1CC1 ZINC000876579889 590218757 /nfs/dbraw/zinc/21/87/57/590218757.db2.gz BGWVDCBICUHQBD-UHFFFAOYSA-N 0 3 230.286 2.968 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cc2c(cc[nH]c2=O)o1 ZINC000876590597 590219298 /nfs/dbraw/zinc/21/92/98/590219298.db2.gz YJIHCGRZHOFTIQ-VIFPVBQESA-N 0 3 246.310 2.764 20 0 BFADHN CSC(C)(C)CCN1CCOC(C)(C)C1 ZINC000876613414 590221855 /nfs/dbraw/zinc/22/18/55/590221855.db2.gz OADIVAHTTPCRGW-UHFFFAOYSA-N 0 3 231.405 2.629 20 0 BFADHN COc1cnc(F)c(CN[C@H](C)C2(C)CC2)c1 ZINC000876975845 590263374 /nfs/dbraw/zinc/26/33/74/590263374.db2.gz DYUDISQHYHMHSV-SECBINFHSA-N 0 3 238.306 2.508 20 0 BFADHN CC1(CNCc2ccc(Cl)nn2)CCCC1 ZINC000877027724 590271672 /nfs/dbraw/zinc/27/16/72/590271672.db2.gz RIOUSUCEKWOXSO-UHFFFAOYSA-N 0 3 239.750 2.800 20 0 BFADHN COc1cnc(F)c(CNC[C@H](C)C(C)C)c1 ZINC000877050711 590274832 /nfs/dbraw/zinc/27/48/32/590274832.db2.gz NSDOYMZCAOBXDD-JTQLQIEISA-N 0 3 240.322 2.611 20 0 BFADHN Clc1csc(CN[C@@H]2CC=CCC2)n1 ZINC000877056495 590275619 /nfs/dbraw/zinc/27/56/19/590275619.db2.gz SQVAYXDYROOYOL-MRVPVSSYSA-N 0 3 228.748 2.995 20 0 BFADHN CSC(C)(C)CNCc1cc(C2CC2)no1 ZINC000877064868 590276510 /nfs/dbraw/zinc/27/65/10/590276510.db2.gz DTWRJLMABXGYSR-UHFFFAOYSA-N 0 3 240.372 2.783 20 0 BFADHN COc1cnc(F)c(CNCC2(C)CCC2)c1 ZINC000877078923 590279569 /nfs/dbraw/zinc/27/95/69/590279569.db2.gz JTDTYHZILRZUDY-UHFFFAOYSA-N 0 3 238.306 2.509 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1ccc(Cl)nn1 ZINC000877085034 590280183 /nfs/dbraw/zinc/28/01/83/590280183.db2.gz LHBITARMEPHZGU-MWLCHTKSSA-N 0 3 239.750 2.798 20 0 BFADHN CSC(C)(C)CCNCc1ccc(F)cn1 ZINC000877107106 590285411 /nfs/dbraw/zinc/28/54/11/590285411.db2.gz WZINMQVZVUSDJR-UHFFFAOYSA-N 0 3 242.363 2.842 20 0 BFADHN C[C@@H](NC[C@@H]1CC1(Cl)Cl)c1ccc[nH]1 ZINC000877125108 590289365 /nfs/dbraw/zinc/28/93/65/590289365.db2.gz XZKCDULWASYATB-SFYZADRCSA-N 0 3 233.142 2.859 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccc[nH]1)C1CCCC1 ZINC000877124366 590289469 /nfs/dbraw/zinc/28/94/69/590289469.db2.gz NHIZJPCHTPVDPZ-RISCZKNCSA-N 0 3 236.359 2.871 20 0 BFADHN CSC(C)(C)CCN1CCO[C@H](C2CC2)C1 ZINC000877423469 590325183 /nfs/dbraw/zinc/32/51/83/590325183.db2.gz BEHCBFSRULSZBI-LBPRGKRZSA-N 0 3 243.416 2.629 20 0 BFADHN CC1(C)CC(CNCc2ccc(Cl)nn2)C1 ZINC000877460130 590331634 /nfs/dbraw/zinc/33/16/34/590331634.db2.gz OMWVVRSHLAGZPI-UHFFFAOYSA-N 0 3 239.750 2.656 20 0 BFADHN Cc1c(Cl)cccc1CN(C)CCCCO ZINC000877471740 590334557 /nfs/dbraw/zinc/33/45/57/590334557.db2.gz YPFLCIQXLDWSTL-UHFFFAOYSA-N 0 3 241.762 2.853 20 0 BFADHN CCC(C)(C)CNCc1cc(OC)cnc1F ZINC000877476672 590336339 /nfs/dbraw/zinc/33/63/39/590336339.db2.gz KINKCVGPCYMSRT-UHFFFAOYSA-N 0 3 240.322 2.755 20 0 BFADHN Cc1c(CN(C)CC2(C)CCCC2)cnn1C ZINC000877486632 590338495 /nfs/dbraw/zinc/33/84/95/590338495.db2.gz OUZYAAJUMVCIGM-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CC(C)C1CN(C[C@@H]2CC2(Cl)Cl)C1 ZINC000877487266 590338559 /nfs/dbraw/zinc/33/85/59/590338559.db2.gz RREGYFUGPDKXQY-VIFPVBQESA-N 0 3 222.159 2.768 20 0 BFADHN CSC(C)(C)CCNCc1cccnc1F ZINC000877488350 590338712 /nfs/dbraw/zinc/33/87/12/590338712.db2.gz JWZSHDHAOLPHIR-UHFFFAOYSA-N 0 3 242.363 2.842 20 0 BFADHN CC1(C)SC[C@H]1NC[C@H]1CC1(Cl)Cl ZINC000877504709 590342854 /nfs/dbraw/zinc/34/28/54/590342854.db2.gz QHNUHDXYZYBJRR-RNFRBKRXSA-N 0 3 240.199 2.664 20 0 BFADHN CC1(C)SC[C@@H]1NC[C@H]1CC1(Cl)Cl ZINC000877504710 590343131 /nfs/dbraw/zinc/34/31/31/590343131.db2.gz QHNUHDXYZYBJRR-RQJHMYQMSA-N 0 3 240.199 2.664 20 0 BFADHN Cc1nonc1CN[C@H](C)[C@@H]1CCC(C)=C(C)C1 ZINC000877526452 590348415 /nfs/dbraw/zinc/34/84/15/590348415.db2.gz IMCIGYXQCKSYCF-DGCLKSJQSA-N 0 3 249.358 2.993 20 0 BFADHN COC[C@@H]1CCN1CCc1cc(C)cc(C)c1 ZINC000877549723 590354279 /nfs/dbraw/zinc/35/42/79/590354279.db2.gz HUUBBRQYZLJJEV-HNNXBMFYSA-N 0 3 233.355 2.567 20 0 BFADHN CCC[C@@H](NC(CF)CF)C1CCC1 ZINC000877555879 590356576 /nfs/dbraw/zinc/35/65/76/590356576.db2.gz FVYYJSUKGPEVQB-LLVKDONJSA-N 0 3 205.292 2.852 20 0 BFADHN CC(C)c1nnc(C[NH2+]CC2(C)CCCC2)[n-]1 ZINC000877560297 590358619 /nfs/dbraw/zinc/35/86/19/590358619.db2.gz MRGNEEGHLWZTLN-UHFFFAOYSA-N 0 3 236.363 2.598 20 0 BFADHN CC(C)c1nnc(CNCC2(C)CCCC2)[nH]1 ZINC000877560297 590358622 /nfs/dbraw/zinc/35/86/22/590358622.db2.gz MRGNEEGHLWZTLN-UHFFFAOYSA-N 0 3 236.363 2.598 20 0 BFADHN Cc1ccncc1CNC[C@@H]1CC1(Cl)Cl ZINC000877567500 590361509 /nfs/dbraw/zinc/36/15/09/590361509.db2.gz MPFWJXKNTUBKEQ-JTQLQIEISA-N 0 3 245.153 2.673 20 0 BFADHN COCC[C@H](C)CNCc1nc(Cl)cs1 ZINC000877588174 590369236 /nfs/dbraw/zinc/36/92/36/590369236.db2.gz WDJIXGHXRTXKNI-QMMMGPOBSA-N 0 3 248.779 2.559 20 0 BFADHN Cc1nc2ccccc2nc1CN[C@H]1C=CCC1 ZINC000877624593 590376994 /nfs/dbraw/zinc/37/69/94/590376994.db2.gz REQXKBURSLTQOR-LBPRGKRZSA-N 0 3 239.322 2.746 20 0 BFADHN C[C@@H]1C[C@H](NCCC(C)(C)C2CC2)c2ncnn21 ZINC000877623632 590377256 /nfs/dbraw/zinc/37/72/56/590377256.db2.gz VIUPPUUMHAZLBL-PWSUYJOCSA-N 0 3 248.374 2.700 20 0 BFADHN Fc1ccc(/C=C/CNC2CSC2)cc1 ZINC000877634733 590380201 /nfs/dbraw/zinc/38/02/01/590380201.db2.gz RLMKDBRTOKPJFJ-OWOJBTEDSA-N 0 3 223.316 2.544 20 0 BFADHN Cc1c(Cl)cccc1CNC1([C@@H](C)O)CC1 ZINC000877636656 590380965 /nfs/dbraw/zinc/38/09/65/590380965.db2.gz AKRSLDPPDIAHBD-SNVBAGLBSA-N 0 3 239.746 2.651 20 0 BFADHN CN(Cc1ccc(Cl)nn1)C[C@@H]1CC1(C)C ZINC000877644705 590384523 /nfs/dbraw/zinc/38/45/23/590384523.db2.gz QVDWPASGIYUWHY-VIFPVBQESA-N 0 3 239.750 2.608 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@@H]1F)[C@@H]1CC1(F)F ZINC000877682673 590391327 /nfs/dbraw/zinc/39/13/27/590391327.db2.gz SINWJCGCYPMAHA-AATLWQCWSA-N 0 3 221.266 2.900 20 0 BFADHN C[C@H]1CC[C@@H]1N[C@@H](CO)c1ccc(Cl)cc1 ZINC000877700029 590393528 /nfs/dbraw/zinc/39/35/28/590393528.db2.gz YKZQRADQSBVQEG-XDTLVQLUSA-N 0 3 239.746 2.762 20 0 BFADHN C[C@H]1CC[C@H]1N[C@@H](CO)c1ccc(Cl)cc1 ZINC000877700028 590393657 /nfs/dbraw/zinc/39/36/57/590393657.db2.gz YKZQRADQSBVQEG-BIMULSAOSA-N 0 3 239.746 2.762 20 0 BFADHN c1ncc(CN2CCC[C@H](C3CCC3)CC2)cn1 ZINC000877704562 590397459 /nfs/dbraw/zinc/39/74/59/590397459.db2.gz QUQWQPZWIMWZCM-HNNXBMFYSA-N 0 3 245.370 2.879 20 0 BFADHN C[C@H]1C[C@H]1CNCc1noc2ccc(F)cc12 ZINC000877711050 590398114 /nfs/dbraw/zinc/39/81/14/590398114.db2.gz VNVRFPWQXTUGBU-IUCAKERBSA-N 0 3 234.274 2.713 20 0 BFADHN Fc1ccc(CN2C[C@@H]3CC=CC[C@@H]3C2)cn1 ZINC000877718543 590399624 /nfs/dbraw/zinc/39/96/24/590399624.db2.gz MDKSOQSFIUCLSN-BETUJISGSA-N 0 3 232.302 2.619 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@@H]2CC=CC[C@@H]2C1 ZINC000877718732 590399909 /nfs/dbraw/zinc/39/99/09/590399909.db2.gz RCACJJKWFIVIDK-OKILXGFUSA-N 0 3 246.329 2.927 20 0 BFADHN C[C@]1(C(F)(F)F)CCN(CC2=CCCOC2)C1 ZINC000877812415 590426860 /nfs/dbraw/zinc/42/68/60/590426860.db2.gz PIJLDDWXFSUBFU-NSHDSACASA-N 0 3 249.276 2.607 20 0 BFADHN Cc1cc(CN(C)C[C@@H](C)C(C)(C)C)n(C)n1 ZINC000877824754 590427967 /nfs/dbraw/zinc/42/79/67/590427967.db2.gz LQPSKEDVCVNXBV-LLVKDONJSA-N 0 3 237.391 2.843 20 0 BFADHN Cc1cnc(CN(C)C[C@@H](C)C(C)(C)C)cn1 ZINC000877824586 590428486 /nfs/dbraw/zinc/42/84/86/590428486.db2.gz JYYLQWGWPXWHBZ-LLVKDONJSA-N 0 3 235.375 2.899 20 0 BFADHN C=C/C=C/CCN1CCN(CC/C=C\C=C)CC1 ZINC000877915074 590446826 /nfs/dbraw/zinc/44/68/26/590446826.db2.gz OESWFRKPVWWQCZ-CGXWXWIYSA-N 0 3 246.398 2.869 20 0 BFADHN CC(C)[C@H](O)CCN(C)Cc1cccc(F)c1 ZINC000877932633 590449730 /nfs/dbraw/zinc/44/97/30/590449730.db2.gz ISPUIURLQZGTMB-CQSZACIVSA-N 0 3 239.334 2.665 20 0 BFADHN CCN1CCN(C[C@@H](C)CC(C)(C)C)CC1 ZINC000877933912 590451248 /nfs/dbraw/zinc/45/12/48/590451248.db2.gz VKCMRGPYCAUTIF-ZDUSSCGKSA-N 0 3 226.408 2.696 20 0 BFADHN C[C@@H]1CCCCN1CCCOC(F)(F)F ZINC000877935034 590451993 /nfs/dbraw/zinc/45/19/93/590451993.db2.gz GRSSGMJJNRGXHM-SECBINFHSA-N 0 3 225.254 2.787 20 0 BFADHN Cc1conc1CN1CCC[C@@H]1C(C)C ZINC000877996455 590468567 /nfs/dbraw/zinc/46/85/67/590468567.db2.gz SNIXGGAEVRLNOP-GFCCVEGCSA-N 0 3 208.305 2.603 20 0 BFADHN CC1(C)COCCN1CC1CC2(CCC2)C1 ZINC000877996979 590468699 /nfs/dbraw/zinc/46/86/99/590468699.db2.gz YXABUGHPHICBDE-UHFFFAOYSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCC(C)(C)O2)CCS1 ZINC000878055245 590484409 /nfs/dbraw/zinc/48/44/09/590484409.db2.gz MOTSNITZRZRITH-VXGBXAGGSA-N 0 3 243.416 2.771 20 0 BFADHN C=C/C=C/CCN1CCC[C@@H]1[C@H](O)C(F)(F)F ZINC000878087142 590490142 /nfs/dbraw/zinc/49/01/42/590490142.db2.gz HNZPDCQVQAPREA-YHROSDCASA-N 0 3 249.276 2.506 20 0 BFADHN O=c1cc(CN2CCC3(CCCC3)CC2)cc[nH]1 ZINC000878122387 590500442 /nfs/dbraw/zinc/50/04/42/590500442.db2.gz WWBJSVXFTKEGKD-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN Cc1conc1CN1C[C@H](C)CCC[C@@H]1C ZINC000878128278 590502057 /nfs/dbraw/zinc/50/20/57/590502057.db2.gz AKCNKCXIFFFSBP-PWSUYJOCSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1nocc1C ZINC000878155344 590509626 /nfs/dbraw/zinc/50/96/26/590509626.db2.gz OKHKOEVGBGBLRE-GXSJLCMTSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H](CN(C)Cc1cnccn1)CC(C)(C)C ZINC000878171095 590514995 /nfs/dbraw/zinc/51/49/95/590514995.db2.gz ZCASUSZLBYQYTM-LBPRGKRZSA-N 0 3 235.375 2.981 20 0 BFADHN C=C/C=C\CCN1CCO[C@@H](CCC)C1 ZINC000878306634 590535225 /nfs/dbraw/zinc/53/52/25/590535225.db2.gz COFBADFASUTPNV-SZZPACECSA-N 0 3 209.333 2.620 20 0 BFADHN C[C@H]1OCC[C@H]1CN1CCc2c(F)cccc2C1 ZINC000878303236 590538766 /nfs/dbraw/zinc/53/87/66/590538766.db2.gz KUFOWDUIYQDTDQ-NEPJUHHUSA-N 0 3 249.329 2.609 20 0 BFADHN C=C/C=C/CCN1CCOC[C@@]1(C)CC ZINC000878318293 590543893 /nfs/dbraw/zinc/54/38/93/590543893.db2.gz RBOWKACUEDGXHW-KTRBRXNASA-N 0 3 209.333 2.620 20 0 BFADHN FC(F)[C@@H]1CCN(C[C@@H]2CC3(CCC3)CO2)C1 ZINC000878321987 590545069 /nfs/dbraw/zinc/54/50/69/590545069.db2.gz YPCKOLKHORQMOD-MNOVXSKESA-N 0 3 245.313 2.533 20 0 BFADHN FC(F)[C@H]1CCN(C[C@@H]2CCC3(CCC3)O2)C1 ZINC000878320087 590545582 /nfs/dbraw/zinc/54/55/82/590545582.db2.gz IZTWFOSJFQTVQY-QWRGUYRKSA-N 0 3 245.313 2.675 20 0 BFADHN C=C/C=C\CCN1CCOCC12CCCC2 ZINC000878324746 590546088 /nfs/dbraw/zinc/54/60/88/590546088.db2.gz LPXOZTCAUPVEOO-ARJAWSKDSA-N 0 3 221.344 2.764 20 0 BFADHN CCOCCN(C)CCCSC(C)(C)C ZINC000878340177 590551312 /nfs/dbraw/zinc/55/13/12/590551312.db2.gz JGRSNWSOIXONCD-UHFFFAOYSA-N 0 3 233.421 2.877 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1nocc1C ZINC000878340785 590551872 /nfs/dbraw/zinc/55/18/72/590551872.db2.gz OURGIWCSLXWQKE-QWRGUYRKSA-N 0 3 208.305 2.746 20 0 BFADHN C[C@H](NC[C@@H]1CC2(CCC2)CO1)c1cccnc1 ZINC000878346074 590552763 /nfs/dbraw/zinc/55/27/63/590552763.db2.gz CPGXDPBLJPHRMY-JSGCOSHPSA-N 0 3 246.354 2.691 20 0 BFADHN C=C/C=C/CCN[C@@H](CO)c1cccc(F)c1 ZINC000878394579 590558433 /nfs/dbraw/zinc/55/84/33/590558433.db2.gz ARROOPRBZJDNLQ-XGACYXMMSA-N 0 3 235.302 2.581 20 0 BFADHN C[C@@H](NC[C@@H]1CC2(CCC2)CO1)c1ccccn1 ZINC000878400343 590559760 /nfs/dbraw/zinc/55/97/60/590559760.db2.gz BXIHKOQIGABWSU-OLZOCXBDSA-N 0 3 246.354 2.691 20 0 BFADHN OC[C@@H](NCCCC1CC1)c1ccsc1 ZINC000878443144 590568531 /nfs/dbraw/zinc/56/85/31/590568531.db2.gz FRWYKAQERJWIKX-GFCCVEGCSA-N 0 3 225.357 2.561 20 0 BFADHN Cc1conc1CN1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000878496685 590581019 /nfs/dbraw/zinc/58/10/19/590581019.db2.gz GWIHRNWMMAEIFK-SCVCMEIPSA-N 0 3 222.332 2.849 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@@H]1CCC2(CCC2)O1 ZINC000878532197 590587456 /nfs/dbraw/zinc/58/74/56/590587456.db2.gz BAWMDDBANGBSHK-TUAOUCFPSA-N 0 3 209.333 2.571 20 0 BFADHN C=C/C=C/CCN1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000878535287 590587979 /nfs/dbraw/zinc/58/79/79/590587979.db2.gz TVMOVKPXFANPSA-ZWYFHCDOSA-N 0 3 221.344 2.618 20 0 BFADHN CC1=C(C)CN(CCCOC(F)(F)F)CC1 ZINC000878546562 590589803 /nfs/dbraw/zinc/58/98/03/590589803.db2.gz XBXKZLZKIAMITQ-UHFFFAOYSA-N 0 3 237.265 2.955 20 0 BFADHN CC(=O)CN1C[C@@H](C)C[C@@H]1c1ccccc1 ZINC000878612591 590596444 /nfs/dbraw/zinc/59/64/44/590596444.db2.gz RJAIEBHKFYKEDB-SMDDNHRTSA-N 0 3 217.312 2.659 20 0 BFADHN C=C/C=C/CCN(CCOC)Cc1ccccn1 ZINC000878617539 590597391 /nfs/dbraw/zinc/59/73/91/590597391.db2.gz REXDWMYUNBSQAK-SNAWJCMRSA-N 0 3 246.354 2.662 20 0 BFADHN Cc1conc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000878674955 590607763 /nfs/dbraw/zinc/60/77/63/590607763.db2.gz IVDMOQHVUUFHIT-TXEJJXNPSA-N 0 3 220.316 2.605 20 0 BFADHN C=C/C=C\CCN1CCN([C@H](C)CC)CC1 ZINC000878683564 590609474 /nfs/dbraw/zinc/60/94/74/590609474.db2.gz BDTCMJJMAKHZKG-LMVHVUTASA-N 0 3 222.376 2.535 20 0 BFADHN Cc1conc1CN1CC[C@@H]2CCCC[C@@H]21 ZINC000878757081 590618831 /nfs/dbraw/zinc/61/88/31/590618831.db2.gz AYXWJZAGXDOZDD-AAEUAGOBSA-N 0 3 220.316 2.748 20 0 BFADHN C=C/C=C\CCN[C@@H](CCO)c1ccccc1F ZINC000878765082 590620063 /nfs/dbraw/zinc/62/00/63/590620063.db2.gz WIUXMWXBMNLRHM-JZIMQPMSSA-N 0 3 249.329 2.971 20 0 BFADHN CCN(CCSC)C[C@@H]1CCC2(CCC2)O1 ZINC000878771506 590620700 /nfs/dbraw/zinc/62/07/00/590620700.db2.gz NORGENOCLUIRCN-LBPRGKRZSA-N 0 3 243.416 2.773 20 0 BFADHN C=C/C=C/CCN1C[C@H](C)OCC12CCC2 ZINC000878807734 590626562 /nfs/dbraw/zinc/62/65/62/590626562.db2.gz SFGQSIHAAJRYNB-IHVVCDCBSA-N 0 3 221.344 2.762 20 0 BFADHN C=C/C=C\CCN1CCC=C(c2cnn(C)c2)C1 ZINC000878909323 590644732 /nfs/dbraw/zinc/64/47/32/590644732.db2.gz RYPDUSDEOXYZLT-PLNGDYQASA-N 0 3 243.354 2.642 20 0 BFADHN CCCCCCN(C)Cc1c[nH]nc1C ZINC000879185428 590709882 /nfs/dbraw/zinc/70/98/82/590709882.db2.gz NNUBTYVRHYVSPL-UHFFFAOYSA-N 0 3 209.337 2.730 20 0 BFADHN FCC[C@@H]1CN(CC2CC3(CCC3)C2)CCO1 ZINC000879054137 590688135 /nfs/dbraw/zinc/68/81/35/590688135.db2.gz LJJBUXYULLNHMK-CYBMUJFWSA-N 0 3 241.350 2.627 20 0 BFADHN CC(C)[C@H](O)CCN1CCC(F)(F)C[C@H]1C ZINC000879053201 590688964 /nfs/dbraw/zinc/68/89/64/590688964.db2.gz SRPMOQGSXTUMCA-GHMZBOCLSA-N 0 3 235.318 2.513 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@H]1CCC[C@@H](C)C1 ZINC000879188995 590712671 /nfs/dbraw/zinc/71/26/71/590712671.db2.gz VYXZVUQNOSPPKQ-YPMHNXCESA-N 0 3 235.375 2.976 20 0 BFADHN CO[C@@H]1CC[C@@H](C)N(Cc2cccs2)C1 ZINC000879320490 590740834 /nfs/dbraw/zinc/74/08/34/590740834.db2.gz GLSMACYKPSMWAB-GHMZBOCLSA-N 0 3 225.357 2.747 20 0 BFADHN CCc1ccc(CN2C[C@H](OC)CC[C@H]2C)nc1 ZINC000879325430 590746258 /nfs/dbraw/zinc/74/62/58/590746258.db2.gz NJFOVHODDOGWLI-IUODEOHRSA-N 0 3 248.370 2.643 20 0 BFADHN CCCCCCC(=O)NC[C@H]1CCCN1CC ZINC000127704536 590756563 /nfs/dbraw/zinc/75/65/63/590756563.db2.gz MRJXCHMKVKZJIK-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1ccnc(CNC(C)(C)[C@@H]2CCCCO2)c1 ZINC000879407015 590762190 /nfs/dbraw/zinc/76/21/90/590762190.db2.gz STXNJBRFOAVOMM-AWEZNQCLSA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@@H](C)N1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000879499730 590783035 /nfs/dbraw/zinc/78/30/35/590783035.db2.gz CGHVBPRDPJDTDH-TZMCWYRMSA-N 0 3 245.370 2.665 20 0 BFADHN CCc1noc([C@H](C)N2C[C@@H]3CC=CC[C@@H]3C2)n1 ZINC000879528326 590790075 /nfs/dbraw/zinc/79/00/75/590790075.db2.gz ATFXZAOMLFRZME-SDDRHHMPSA-N 0 3 247.342 2.591 20 0 BFADHN Cc1cnc([C@@H](C)N2C[C@@H]3CC=CC[C@@H]3C2)o1 ZINC000879530113 590793026 /nfs/dbraw/zinc/79/30/26/590793026.db2.gz MICOUTHKBFEMJD-UPJWGTAASA-N 0 3 232.327 2.942 20 0 BFADHN CCCN1CCC(c2nc(C3CCC3)no2)CC1 ZINC000879550697 590799203 /nfs/dbraw/zinc/79/92/03/590799203.db2.gz HJHUMODKFSDDHD-UHFFFAOYSA-N 0 3 249.358 2.927 20 0 BFADHN C1=C[C@H](N2CC[C@@H]3CSC[C@H]3C2)CCC1 ZINC000879558829 590800414 /nfs/dbraw/zinc/80/04/14/590800414.db2.gz PCZPUNIPZGIHKB-UPJWGTAASA-N 0 3 223.385 2.780 20 0 BFADHN CC(C)[C@H](O)CN(C)Cc1ccc2cc[nH]c2c1 ZINC000879729065 590856753 /nfs/dbraw/zinc/85/67/53/590856753.db2.gz UWKYRHMAGWELIM-OAHLLOKOSA-N 0 3 246.354 2.617 20 0 BFADHN Cl/C=C/CNC1([C@@H]2CCCCO2)CCC1 ZINC000879730782 590856843 /nfs/dbraw/zinc/85/68/43/590856843.db2.gz HYBZZECBAHSCRB-VUQUXZKVSA-N 0 3 229.751 2.820 20 0 BFADHN CCC[C@@H](O)CN1CCC[C@H]1c1cncc(C)c1 ZINC000879751062 590862122 /nfs/dbraw/zinc/86/21/22/590862122.db2.gz DCDQSBQLEJNBQW-CABCVRRESA-N 0 3 248.370 2.688 20 0 BFADHN C[C@H](c1cccnc1)N1CCC[C@@H](C(C)(C)O)C1 ZINC000879961739 590899687 /nfs/dbraw/zinc/89/96/87/590899687.db2.gz HMHLRHCAPWSJHT-TZMCWYRMSA-N 0 3 248.370 2.626 20 0 BFADHN CCOCCCN1CC[C@](C)(C(F)(F)F)C1 ZINC000880001408 590907408 /nfs/dbraw/zinc/90/74/08/590907408.db2.gz PHZYSVODRIDQSC-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN C=C/C=C\CCN1CCO[C@H](C(F)(F)F)CC1 ZINC000880051405 590915251 /nfs/dbraw/zinc/91/52/51/590915251.db2.gz LTSGRUPWDCSURI-BYCRGOAPSA-N 0 3 249.276 2.772 20 0 BFADHN CCc1noc(CN2CCCC2(CC)CC)n1 ZINC000880096114 590924941 /nfs/dbraw/zinc/92/49/41/590924941.db2.gz AZCOAOUVVNNQRD-UHFFFAOYSA-N 0 3 237.347 2.787 20 0 BFADHN CCC1(CC)CCCN1Cc1nc(C)no1 ZINC000880094468 590924988 /nfs/dbraw/zinc/92/49/88/590924988.db2.gz HYRLHDXEHZSNOV-UHFFFAOYSA-N 0 3 223.320 2.533 20 0 BFADHN CCC1(CC)CCCN1Cc1nnc(C)o1 ZINC000880095139 590925434 /nfs/dbraw/zinc/92/54/34/590925434.db2.gz WJZXDUJIPRNBLI-UHFFFAOYSA-N 0 3 223.320 2.533 20 0 BFADHN CC/C=C/CCN[C@H](C)c1nccnc1C ZINC000880109009 590926299 /nfs/dbraw/zinc/92/62/99/590926299.db2.gz NWCVFUPXDNGMOE-MVIFTORASA-N 0 3 219.332 2.792 20 0 BFADHN COCC1(N(C)CCOc2ccccc2)CCC1 ZINC000880186752 590954608 /nfs/dbraw/zinc/95/46/08/590954608.db2.gz OHMSOSZBHKGHKN-UHFFFAOYSA-N 0 3 249.354 2.566 20 0 BFADHN O[C@H]1C[C@](N[C@@H]2C=CCCC2)(c2ccccc2)C1 ZINC000880224398 590960392 /nfs/dbraw/zinc/96/03/92/590960392.db2.gz ITCGBXHJKKQWGQ-OAGGEKHMSA-N 0 3 243.350 2.735 20 0 BFADHN C[C@H](c1cccnc1)N1CCC[C@H](CF)C1 ZINC000880232451 590963097 /nfs/dbraw/zinc/96/30/97/590963097.db2.gz NITOYVBZUDJKCT-VXGBXAGGSA-N 0 3 222.307 2.824 20 0 BFADHN C[C@@H](c1cccnc1)N1CCC[C@@H](CF)C1 ZINC000880232450 590963209 /nfs/dbraw/zinc/96/32/09/590963209.db2.gz NITOYVBZUDJKCT-RYUDHWBXSA-N 0 3 222.307 2.824 20 0 BFADHN C[C@H](c1ccccn1)N1CCC[C@@H](CF)C1 ZINC000880233920 590965376 /nfs/dbraw/zinc/96/53/76/590965376.db2.gz BBLXTCKAQQWEIZ-NEPJUHHUSA-N 0 3 222.307 2.824 20 0 BFADHN FC[C@@H]1CCCN(Cc2ccc3c[nH]nc3c2)C1 ZINC000880237537 590967787 /nfs/dbraw/zinc/96/77/87/590967787.db2.gz DEQAEWDLUBBYOJ-LBPRGKRZSA-N 0 3 247.317 2.744 20 0 BFADHN FC[C@H]1CCCN(Cc2ccsc2)C1 ZINC000880238108 590969603 /nfs/dbraw/zinc/96/96/03/590969603.db2.gz OYWISZVFGKLPPX-SNVBAGLBSA-N 0 3 213.321 2.930 20 0 BFADHN Fc1ccccc1CCN1CCC(F)CC1 ZINC000880239175 590972621 /nfs/dbraw/zinc/97/26/21/590972621.db2.gz BFEXRDFDJIGZMC-UHFFFAOYSA-N 0 3 225.282 2.802 20 0 BFADHN C[C@H](c1ccccn1)N1CCC(F)CC1 ZINC000880240204 590973677 /nfs/dbraw/zinc/97/36/77/590973677.db2.gz PIONGDQDGSYBRJ-SNVBAGLBSA-N 0 3 208.280 2.577 20 0 BFADHN CN(CCN1CCC(F)CC1)c1ccccc1 ZINC000880241483 590975264 /nfs/dbraw/zinc/97/52/64/590975264.db2.gz FIHTWFKPSWRLMO-UHFFFAOYSA-N 0 3 236.334 2.557 20 0 BFADHN FC[C@@H]1CCN(CC[C@H]2CC2(Cl)Cl)C1 ZINC000880300811 590984226 /nfs/dbraw/zinc/98/42/26/590984226.db2.gz XCQWKTPDYGZMIX-IUCAKERBSA-N 0 3 240.149 2.862 20 0 BFADHN C[C@@H]1CCCC[C@H]1OCCN1CC[C@H](CF)C1 ZINC000880295997 590990977 /nfs/dbraw/zinc/99/09/77/590990977.db2.gz RHVNICRRGSCDQY-MGPQQGTHSA-N 0 3 243.366 2.873 20 0 BFADHN CO[C@]1(C(F)(F)F)CCN(CCC(C)C)C1 ZINC000880304594 590998619 /nfs/dbraw/zinc/99/86/19/590998619.db2.gz OYDFQHYFZPBPOO-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN CCSCCN1CC[C@@H](c2nccs2)C1 ZINC000880317357 591002972 /nfs/dbraw/zinc/00/29/72/591002972.db2.gz NFXBSNGQMOSELJ-SNVBAGLBSA-N 0 3 242.413 2.686 20 0 BFADHN CCC[C@@H](O)CN1CCc2ccsc2[C@@H]1C ZINC000880377147 591015316 /nfs/dbraw/zinc/01/53/16/591015316.db2.gz PJXJWZBJWQUNMP-CMPLNLGQSA-N 0 3 239.384 2.828 20 0 BFADHN Cl/C=C\CN1CCC2(CCCCO2)CC1 ZINC000880453438 591049362 /nfs/dbraw/zinc/04/93/62/591049362.db2.gz HELQEQXKIIDCRI-CLTKARDFSA-N 0 3 229.751 2.774 20 0 BFADHN CC(C)(C)CCCN1CCc2ncncc2C1 ZINC000880596928 591107037 /nfs/dbraw/zinc/10/70/37/591107037.db2.gz GLPDBXZRENTRDV-UHFFFAOYSA-N 0 3 233.359 2.661 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CCc2ncncc2C1 ZINC000880598790 591108708 /nfs/dbraw/zinc/10/87/08/591108708.db2.gz XNVGYPLXGROTFI-CHWSQXEVSA-N 0 3 245.370 2.661 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@H]1CC[C@@H](C)O1 ZINC000128554583 591120321 /nfs/dbraw/zinc/12/03/21/591120321.db2.gz HLZVEYXLYGJEEB-JHJVBQTASA-N 0 3 249.354 2.913 20 0 BFADHN COC(=O)/C(C)=C\CN(CCC(C)C)C1CC1 ZINC000211392674 591164461 /nfs/dbraw/zinc/16/44/61/591164461.db2.gz NCZZAIQMGLZCIQ-WQLSENKSSA-N 0 3 239.359 2.616 20 0 BFADHN CC[C@](C)(CN)c1nc(-c2ccc(C)cc2)no1 ZINC000211485808 591176152 /nfs/dbraw/zinc/17/61/52/591176152.db2.gz HMJZSUMFIZCQPO-CQSZACIVSA-N 0 3 245.326 2.671 20 0 BFADHN C[C@@H](N)c1cn(C[C@H]2CCCCC2(C)C)nn1 ZINC000881366992 591196620 /nfs/dbraw/zinc/19/66/20/591196620.db2.gz PHWWOUNYGWQLLM-GHMZBOCLSA-N 0 3 236.363 2.514 20 0 BFADHN COCc1ccc(CNCC(C)(C)SC)o1 ZINC000129002788 591207074 /nfs/dbraw/zinc/20/70/74/591207074.db2.gz JCSQIDDQBMLDHA-UHFFFAOYSA-N 0 3 243.372 2.657 20 0 BFADHN CC(C)c1noc([C@@H](C)[C@H](N)c2ccccc2)n1 ZINC000129582898 591330750 /nfs/dbraw/zinc/33/07/50/591330750.db2.gz BHSUFFWZBHUXBF-JQWIXIFHSA-N 0 3 245.326 2.997 20 0 BFADHN CC(C)C[C@H]1CCCN1CN1CCSC1=O ZINC000129597286 591331430 /nfs/dbraw/zinc/33/14/30/591331430.db2.gz PASIGXZTKHKZGG-LLVKDONJSA-N 0 3 242.388 2.623 20 0 BFADHN Cc1cc(F)ccc1-c1noc([C@@H]2CCCN2)n1 ZINC000129836509 591412394 /nfs/dbraw/zinc/41/23/94/591412394.db2.gz YDCJFCHMGLWFGZ-NSHDSACASA-N 0 3 247.273 2.609 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2ccn(C)n2)CC1 ZINC000213150958 591450008 /nfs/dbraw/zinc/45/00/08/591450008.db2.gz HTZXASOORJVZSD-QWHCGFSZSA-N 0 3 235.375 2.869 20 0 BFADHN CCN1CC[C@H]1CNc1ccnc2ccsc21 ZINC000882959676 591486221 /nfs/dbraw/zinc/48/62/21/591486221.db2.gz MZJREULUJVCNLC-JTQLQIEISA-N 0 3 247.367 2.802 20 0 BFADHN C[C@H](N[C@@H]1C=CCCC1)c1cncs1 ZINC000130726886 591510401 /nfs/dbraw/zinc/51/04/01/591510401.db2.gz WBTAMDALUOTRQP-VHSXEESVSA-N 0 3 208.330 2.902 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2CC[C@@H](C)C2)n1 ZINC000883098379 591539556 /nfs/dbraw/zinc/53/95/56/591539556.db2.gz MOCKMUQFLPNPTI-GHMZBOCLSA-N 0 3 221.348 2.811 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2CCC2(C)C)n1 ZINC000883120079 591542571 /nfs/dbraw/zinc/54/25/71/591542571.db2.gz SEEYSEPODKGVEE-LLVKDONJSA-N 0 3 221.348 2.811 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2C[C@@H]3CC[C@@H](C3)C2)[n-]1 ZINC000883132800 591546801 /nfs/dbraw/zinc/54/68/01/591546801.db2.gz DZBMUKYABKBCPS-GDNZZTSVSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H]2C[C@@H]3CC[C@@H](C3)C2)[nH]1 ZINC000883132800 591546803 /nfs/dbraw/zinc/54/68/03/591546803.db2.gz DZBMUKYABKBCPS-GDNZZTSVSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@@H]2C[C@H]2C2CC2)n1 ZINC000883139779 591549706 /nfs/dbraw/zinc/54/97/06/591549706.db2.gz ZUWMMBLHQUQHMZ-RYUDHWBXSA-N 0 3 233.359 2.669 20 0 BFADHN CC1(C)C[C@]1(C)NCc1ccc2oc(=O)oc2c1 ZINC000883180010 591563380 /nfs/dbraw/zinc/56/33/80/591563380.db2.gz QCSMRUMEUFABPI-AWEZNQCLSA-N 0 3 247.294 2.664 20 0 BFADHN OCC[C@@H](N[C@@H]1C[C@@H]2CC[C@@H](C2)C1)c1ccco1 ZINC000883215644 591575643 /nfs/dbraw/zinc/57/56/43/591575643.db2.gz CKUCCYJATCKOAH-XJFOESAGSA-N 0 3 249.354 2.871 20 0 BFADHN C[C@H](N[C@H]1C[C@@H]2CC[C@@H](C2)C1)c1ccn(C)n1 ZINC000883228336 591579292 /nfs/dbraw/zinc/57/92/92/591579292.db2.gz LGBNEELHLDVJHZ-WUHRBBMRSA-N 0 3 233.359 2.649 20 0 BFADHN CCn1ccc(CN[C@@H]2CCCC3(CC3)C2)n1 ZINC000883228915 591579932 /nfs/dbraw/zinc/57/99/32/591579932.db2.gz XNAZZHHRNWYAAK-GFCCVEGCSA-N 0 3 233.359 2.715 20 0 BFADHN CCOc1cccc(CNC[C@H]2C=CCC2)n1 ZINC000883237677 591583528 /nfs/dbraw/zinc/58/35/28/591583528.db2.gz HXYSRENICDXILW-LBPRGKRZSA-N 0 3 232.327 2.536 20 0 BFADHN COc1ccc(F)c(CNC[C@H]2C=CCC2)c1 ZINC000883237558 591583685 /nfs/dbraw/zinc/58/36/85/591583685.db2.gz FDMALUBVGPCOTA-NSHDSACASA-N 0 3 235.302 2.890 20 0 BFADHN C1=C[C@H](CNCc2nc3c(s2)CCC3)CC1 ZINC000883237617 591583933 /nfs/dbraw/zinc/58/39/33/591583933.db2.gz GRHDSEUMPMHKKQ-JTQLQIEISA-N 0 3 234.368 2.688 20 0 BFADHN Cc1cc(CNCCc2ccns2)c(C)o1 ZINC000883240374 591585056 /nfs/dbraw/zinc/58/50/56/591585056.db2.gz RHAWJDNYBALOIU-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN COC(=O)c1coc([C@@H](C)NC[C@H]2C=CCC2)c1 ZINC000883245559 591586007 /nfs/dbraw/zinc/58/60/07/591586007.db2.gz NVOYKAPDSHYUQQ-MNOVXSKESA-N 0 3 249.310 2.683 20 0 BFADHN Cc1ccncc1[C@H](C)NCCc1ccns1 ZINC000883247509 591588094 /nfs/dbraw/zinc/58/80/94/591588094.db2.gz MWHVFCQYZWPUHV-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1nc(CNCC2(CC3CC3)CC2)[nH]c1C ZINC000883248165 591590094 /nfs/dbraw/zinc/59/00/94/591590094.db2.gz JYLBVMKBAJWYQB-UHFFFAOYSA-N 0 3 233.359 2.696 20 0 BFADHN CCC[C@H](C)CCNCc1nccn1CC ZINC000883251118 591590575 /nfs/dbraw/zinc/59/05/75/591590575.db2.gz CGAVUCBEAVRRHS-LBPRGKRZSA-N 0 3 223.364 2.819 20 0 BFADHN CC1(C)C[C@]1(C)CNCc1cn(C2CC2)cn1 ZINC000883252601 591593087 /nfs/dbraw/zinc/59/30/87/591593087.db2.gz PBVJZBPHFYRJLM-CQSZACIVSA-N 0 3 233.359 2.744 20 0 BFADHN Cc1cc([C@H](C)NCC2(CC3CC3)CC2)nn1C ZINC000883254327 591593940 /nfs/dbraw/zinc/59/39/40/591593940.db2.gz PUMKCJSTKAEFJD-LBPRGKRZSA-N 0 3 247.386 2.959 20 0 BFADHN CCC[C@H](C)CCN[C@H](C)c1cnccn1 ZINC000883256806 591594748 /nfs/dbraw/zinc/59/47/48/591594748.db2.gz JJWVJFPXWFWYCQ-NWDGAFQWSA-N 0 3 221.348 2.954 20 0 BFADHN C=C/C=C/CCNCc1cnc(C2CC2)o1 ZINC000883259710 591597382 /nfs/dbraw/zinc/59/73/82/591597382.db2.gz VKNDBQDNRHIYGK-ONEGZZNKSA-N 0 3 218.300 2.774 20 0 BFADHN CC(C)(CN[C@@H]1CCn2ccnc21)CC(F)F ZINC000883259977 591598061 /nfs/dbraw/zinc/59/80/61/591598061.db2.gz GKJJZNZMDARSOB-SECBINFHSA-N 0 3 243.301 2.599 20 0 BFADHN C=C/C=C/CCNCc1ccnn1CC1CC1 ZINC000883260524 591598221 /nfs/dbraw/zinc/59/82/21/591598221.db2.gz YDAWSTRJKMRWKW-ONEGZZNKSA-N 0 3 231.343 2.515 20 0 BFADHN C=C/C=C\CCNCc1cccnc1Cl ZINC000883261285 591598677 /nfs/dbraw/zinc/59/86/77/591598677.db2.gz XINBCUPQXJEUEW-ARJAWSKDSA-N 0 3 222.719 2.957 20 0 BFADHN Cn1ccnc1[C@H](NC[C@]1(C)CC1(C)C)C1CC1 ZINC000883261225 591598893 /nfs/dbraw/zinc/59/88/93/591598893.db2.gz VHMFWCJLSGSJMZ-DOMZBBRYSA-N 0 3 247.386 2.897 20 0 BFADHN Cc1cc(CNCC[C@@H]2CCCC2(F)F)on1 ZINC000883264727 591599641 /nfs/dbraw/zinc/59/96/41/591599641.db2.gz ILBKFTOWBMADQG-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN C=C/C=C\CCN[C@H](C)c1cc(C)nn1C ZINC000883269085 591602341 /nfs/dbraw/zinc/60/23/41/591602341.db2.gz ZIZUYPIABZYEIC-ZHRWSRJISA-N 0 3 219.332 2.511 20 0 BFADHN C=C/C=C/CCN[C@H](C)c1cc(C)nn1C ZINC000883269083 591602515 /nfs/dbraw/zinc/60/25/15/591602515.db2.gz ZIZUYPIABZYEIC-NNNHXZLVSA-N 0 3 219.332 2.511 20 0 BFADHN Cc1ccc(CN[C@H]2CC[C@H]2C)c(Cl)n1 ZINC000883301750 591609366 /nfs/dbraw/zinc/60/93/66/591609366.db2.gz HYCRVUGEBDJTCP-KCJUWKMLSA-N 0 3 224.735 2.932 20 0 BFADHN c1cnc(C2(N[C@H]3CCC[C@H]4C[C@H]43)CCC2)nc1 ZINC000883311934 591610907 /nfs/dbraw/zinc/61/09/07/591610907.db2.gz PHORXISGQCQCES-XQQFMLRXSA-N 0 3 243.354 2.634 20 0 BFADHN C[C@H]1CCC[C@H]1NC1(c2ncccn2)CCC1 ZINC000883313428 591612028 /nfs/dbraw/zinc/61/20/28/591612028.db2.gz CYAZSAXBBMFFAT-NWDGAFQWSA-N 0 3 231.343 2.634 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@@H]3CCCC[C@H]23)nn1C ZINC000883322032 591616566 /nfs/dbraw/zinc/61/65/66/591616566.db2.gz SOBKMCKVQHTKTE-PWNZVWSESA-N 0 3 247.386 2.958 20 0 BFADHN Cc1cc(N[C@H]2CN(C3CC3)C[C@@H]2C)cnc1C ZINC000883341947 591620133 /nfs/dbraw/zinc/62/01/33/591620133.db2.gz FLTHDYSGBVYXOV-NHYWBVRUSA-N 0 3 245.370 2.593 20 0 BFADHN Cc1cc(NC2CCN(C3CC3)CC2)cnc1C ZINC000883342782 591623228 /nfs/dbraw/zinc/62/32/28/591623228.db2.gz MJYRIQPYAKLXOC-UHFFFAOYSA-N 0 3 245.370 2.737 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H]2CCN2CC)o1 ZINC000883355093 591626178 /nfs/dbraw/zinc/62/61/78/591626178.db2.gz JGNLTFNLFMQCND-NWDGAFQWSA-N 0 3 236.359 2.587 20 0 BFADHN CC[C@@H]1CCCN(C/C=C(\C)C(=O)OC)CC1 ZINC000214566206 591634086 /nfs/dbraw/zinc/63/40/86/591634086.db2.gz LFVBUNXZNCKPDW-BWODNOAJSA-N 0 3 239.359 2.618 20 0 BFADHN Cc1ccoc1CNC1CCC2(COC2)CC1 ZINC000883390761 591642510 /nfs/dbraw/zinc/64/25/10/591642510.db2.gz TUKOWPFQJVQYRC-UHFFFAOYSA-N 0 3 235.327 2.637 20 0 BFADHN Cc1ccc([O-])c(C[NH+]2CCCCCCC2)n1 ZINC000131975936 591682014 /nfs/dbraw/zinc/68/20/14/591682014.db2.gz ILSDDFMASMLYCH-UHFFFAOYSA-N 0 3 234.343 2.862 20 0 BFADHN CSCC[C@@H](C)N[C@H](C)c1cncs1 ZINC000134034370 591875589 /nfs/dbraw/zinc/87/55/89/591875589.db2.gz PVUGRVYMFGBPGN-RKDXNWHRSA-N 0 3 230.402 2.935 20 0 BFADHN CSCC[C@@H](C)N[C@@H](C)c1cncs1 ZINC000134033957 591874929 /nfs/dbraw/zinc/87/49/29/591874929.db2.gz PVUGRVYMFGBPGN-BDAKNGLRSA-N 0 3 230.402 2.935 20 0 BFADHN Cc1csc([C@@H](C)CNCc2ccoc2)n1 ZINC000134984359 591967794 /nfs/dbraw/zinc/96/77/94/591967794.db2.gz UXYXCDJYRIKOFR-VIFPVBQESA-N 0 3 236.340 2.938 20 0 BFADHN Cc1cnc(N[C@@H](C)CN2CCC(C)CC2)o1 ZINC000884558018 591979267 /nfs/dbraw/zinc/97/92/67/591979267.db2.gz HMTHOCZLELUZRQ-NSHDSACASA-N 0 3 237.347 2.515 20 0 BFADHN c1ccc2c(c1)nccc2N1C[C@@H]2C[C@@H]2C1 ZINC000884588454 591988519 /nfs/dbraw/zinc/98/85/19/591988519.db2.gz HWHFBOYELJSTQH-PHIMTYICSA-N 0 3 210.280 2.691 20 0 BFADHN Cc1ccoc1CNC[C@H](C)N1CCCCC1 ZINC000135159335 591992700 /nfs/dbraw/zinc/99/27/00/591992700.db2.gz KTCHLOOVUFKHTL-ZDUSSCGKSA-N 0 3 236.359 2.552 20 0 BFADHN CSCC[C@@H](C)N(C)C/C=C/Cl ZINC000135240352 591997885 /nfs/dbraw/zinc/99/78/85/591997885.db2.gz CORXVUGTJIDRHA-OTQAPUNGSA-N 0 3 207.770 2.812 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1C(=O)c1cccc(CN)c1 ZINC000135929954 592082742 /nfs/dbraw/zinc/08/27/42/592082742.db2.gz JBLBCYGXYFEMTD-RISCZKNCSA-N 0 3 246.354 2.548 20 0 BFADHN c1cc2c(s1)CCN(C[C@@H]1C[C@]13CCOC3)C2 ZINC000885942172 592112225 /nfs/dbraw/zinc/11/22/25/592112225.db2.gz KYYMGMWGZCXPLD-JSGCOSHPSA-N 0 3 249.379 2.533 20 0 BFADHN Cc1coc(CN[C@H](C)Cc2c(C)noc2C)c1 ZINC000885994149 592124607 /nfs/dbraw/zinc/12/46/07/592124607.db2.gz DDZMBXLUNFFMER-SNVBAGLBSA-N 0 3 248.326 2.914 20 0 BFADHN Cc1coc(CN[C@@H]2[C@H]3CCCO[C@H]3C2(C)C)c1 ZINC000885999308 592125911 /nfs/dbraw/zinc/12/59/11/592125911.db2.gz HCXJCNZZFYDXGI-MGPQQGTHSA-N 0 3 249.354 2.881 20 0 BFADHN Fc1cccc(/C=C/CNCCOC2CCC2)c1 ZINC000886003942 592127607 /nfs/dbraw/zinc/12/76/07/592127607.db2.gz OCPDGIDAKXMBSN-HWKANZROSA-N 0 3 249.329 2.998 20 0 BFADHN COC1CCC(CNCc2cc(C)co2)CC1 ZINC000886011230 592130177 /nfs/dbraw/zinc/13/01/77/592130177.db2.gz XGCAROYHEBKZAF-UHFFFAOYSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1coc(CN[C@H]2CCOC3(CCC3)C2)c1 ZINC000886026129 592135939 /nfs/dbraw/zinc/13/59/39/592135939.db2.gz QAMMZFUSPKRIFQ-LBPRGKRZSA-N 0 3 235.327 2.779 20 0 BFADHN C[C@@H]1c2ccccc2CN1C[C@H]1C[C@@]12CCOC2 ZINC000886030709 592136434 /nfs/dbraw/zinc/13/64/34/592136434.db2.gz SEEMJYPLZQCSND-XNRPHZJLSA-N 0 3 243.350 2.990 20 0 BFADHN Cc1n[nH]c(CNCCSCC(C)C)c1C ZINC000886046685 592139785 /nfs/dbraw/zinc/13/97/85/592139785.db2.gz MDWZMBPNFPLLGL-UHFFFAOYSA-N 0 3 241.404 2.505 20 0 BFADHN CC(C)OCCNC/C=C/c1cccc(F)c1 ZINC000886062724 592142126 /nfs/dbraw/zinc/14/21/26/592142126.db2.gz VXVANZWEIZEADZ-GQCTYLIASA-N 0 3 237.318 2.854 20 0 BFADHN Cc1coc(CN[C@@H]2CCO[C@@H](C3CC3)C2)c1 ZINC000886064845 592142138 /nfs/dbraw/zinc/14/21/38/592142138.db2.gz JOBKOIRPVNOFRM-TZMCWYRMSA-N 0 3 235.327 2.635 20 0 BFADHN CCOC[C@H](C)NC/C=C/c1cccc(F)c1 ZINC000886073834 592144410 /nfs/dbraw/zinc/14/44/10/592144410.db2.gz ZEDXCHMROSKAAG-PZBABLGHSA-N 0 3 237.318 2.854 20 0 BFADHN C[C@H](c1ccccn1)N(C)C[C@@H]1C[C@@]12CCOC2 ZINC000886092078 592146707 /nfs/dbraw/zinc/14/67/07/592146707.db2.gz NEZFBVQAOPKLPN-VNHYZAJKSA-N 0 3 246.354 2.501 20 0 BFADHN FC(F)[C@@H]1CCN(C[C@H]2CC[C@@H](C3CC3)O2)C1 ZINC000886094029 592147248 /nfs/dbraw/zinc/14/72/48/592147248.db2.gz LKVKHXQYNSFPHP-UTUOFQBUSA-N 0 3 245.313 2.531 20 0 BFADHN Cc1coc(CN[C@@H](C)[C@@H](O)c2ccccc2)c1 ZINC000886118731 592157182 /nfs/dbraw/zinc/15/71/82/592157182.db2.gz ONUYLOJBRLLYQI-SWLSCSKDSA-N 0 3 245.322 2.800 20 0 BFADHN Cc1coc(CN[C@H](C)[C@@H](O)c2ccccc2)c1 ZINC000886118730 592157375 /nfs/dbraw/zinc/15/73/75/592157375.db2.gz ONUYLOJBRLLYQI-IUODEOHRSA-N 0 3 245.322 2.800 20 0 BFADHN C[C@@H]1CC[C@H](CNC/C=C/c2cccc(F)c2)O1 ZINC000886140447 592161595 /nfs/dbraw/zinc/16/15/95/592161595.db2.gz ICKRXRBVBOTUJU-BYSCNOHMSA-N 0 3 249.329 2.996 20 0 BFADHN CCc1ccc(C)nc1NCc1n[nH]c(C)c1C ZINC000886157652 592165246 /nfs/dbraw/zinc/16/52/46/592165246.db2.gz HUAJCCQYOAGZEZ-UHFFFAOYSA-N 0 3 244.342 2.904 20 0 BFADHN c1nc2c(s1)CN(CC[C@@H]1C[C@H]1C1CC1)CC2 ZINC000886159975 592165665 /nfs/dbraw/zinc/16/56/65/592165665.db2.gz HIXDBLTTZTWUDY-NEPJUHHUSA-N 0 3 248.395 2.937 20 0 BFADHN C/C=C\CNCc1cc(C)cc(OC)c1OC ZINC000886171829 592168889 /nfs/dbraw/zinc/16/88/89/592168889.db2.gz PMHCWMBTVXHNKF-WAYWQWQTSA-N 0 3 235.327 2.678 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C\c2cccc(F)c2)[C@H](C)O1 ZINC000886174729 592169719 /nfs/dbraw/zinc/16/97/19/592169719.db2.gz HZGFEVTZCISEPF-UYVRWLQSSA-N 0 3 249.329 2.994 20 0 BFADHN C[C@@H]1C[C@@H](C)N1C[C@H]1CCC2(CCC2)CO1 ZINC000886181378 592170968 /nfs/dbraw/zinc/17/09/68/592170968.db2.gz KMTXGDKIFYZAFP-JHJVBQTASA-N 0 3 223.360 2.818 20 0 BFADHN CC1=C(C)CN(C[C@@H]2CC[C@H](C3CC3)O2)CC1 ZINC000886184275 592171794 /nfs/dbraw/zinc/17/17/94/592171794.db2.gz YEYKHWJCBGIZNG-LSDHHAIUSA-N 0 3 235.371 2.986 20 0 BFADHN CCCc1ccc(CN[C@]23C[C@H]2COC3)cc1 ZINC000886202758 592174983 /nfs/dbraw/zinc/17/49/83/592174983.db2.gz DLQAPTOLJFQKMT-GJZGRUSLSA-N 0 3 231.339 2.518 20 0 BFADHN C(CN1C[C@@H]2C[C@H]1CS2)OC1CCCCC1 ZINC000886242908 592183514 /nfs/dbraw/zinc/18/35/14/592183514.db2.gz IOBUDZUMAJUYPX-AAEUAGOBSA-N 0 3 241.400 2.525 20 0 BFADHN CCN(CCSC)C[C@H]1CC[C@H](C2CC2)O1 ZINC000886267132 592191399 /nfs/dbraw/zinc/19/13/99/592191399.db2.gz GSOXHSUUHNEKFY-CHWSQXEVSA-N 0 3 243.416 2.629 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNCc1ccncc1F ZINC000886280427 592198119 /nfs/dbraw/zinc/19/81/19/592198119.db2.gz DWAJKGQDIDVRQU-IXOXFDKPSA-N 0 3 234.318 2.602 20 0 BFADHN CCc1nocc1CNC[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C ZINC000886280809 592198410 /nfs/dbraw/zinc/19/84/10/592198410.db2.gz LOYFBUXKASVLFS-DNIRFERGSA-N 0 3 234.343 2.619 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1n[nH]cc1C ZINC000886285308 592200517 /nfs/dbraw/zinc/20/05/17/592200517.db2.gz FFQXHZRQRLYVNB-NWDGAFQWSA-N 0 3 221.348 2.871 20 0 BFADHN CCc1ccc(CNC[C@@H]2CC3(CCC3)CO2)o1 ZINC000886288604 592201279 /nfs/dbraw/zinc/20/12/79/592201279.db2.gz CSYXOIKILKCEBR-AWEZNQCLSA-N 0 3 249.354 2.891 20 0 BFADHN Fc1ccc(CNC[C@@H]2CC3(CCC3)CO2)cc1 ZINC000886290301 592201584 /nfs/dbraw/zinc/20/15/84/592201584.db2.gz AKTHIEKGKSZWLF-AWEZNQCLSA-N 0 3 249.329 2.875 20 0 BFADHN C([C@H]1CC[C@@H](C2CC2)O1)N(C1CC1)C1CC1 ZINC000886296898 592201738 /nfs/dbraw/zinc/20/17/38/592201738.db2.gz DAHQZMQHEQGYJL-KGLIPLIRSA-N 0 3 221.344 2.571 20 0 BFADHN c1ccc(CNC[C@H]2CC3(CCC3)CO2)cc1 ZINC000886290656 592201990 /nfs/dbraw/zinc/20/19/90/592201990.db2.gz KPJIPBNKSGKXJP-CQSZACIVSA-N 0 3 231.339 2.735 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H](C)Cc1ccco1 ZINC000219090214 592209063 /nfs/dbraw/zinc/20/90/63/592209063.db2.gz ZIEKFADFYQTENY-CMPLNLGQSA-N 0 3 245.326 2.660 20 0 BFADHN C[C@@H]1CCCC[C@@H]1OCCN1C[C@@H]2C[C@@H]2C1 ZINC000886329304 592210398 /nfs/dbraw/zinc/21/03/98/592210398.db2.gz CDACOEFHKIWCGN-MQYQWHSLSA-N 0 3 223.360 2.533 20 0 BFADHN c1ccc2c(c1)snc2CCN1C[C@@H]2C[C@@H]2C1 ZINC000886348389 592214795 /nfs/dbraw/zinc/21/47/95/592214795.db2.gz LLOQSMIMQIVJBF-PHIMTYICSA-N 0 3 244.363 2.791 20 0 BFADHN CC(C)[C@@H](NCc1ccccc1)c1cnn(C)c1 ZINC000886489395 592236219 /nfs/dbraw/zinc/23/62/19/592236219.db2.gz HTLSNWHXAHFFTB-OAHLLOKOSA-N 0 3 243.354 2.907 20 0 BFADHN CC(C)[C@@H](NCc1cccs1)c1cnn(C)c1 ZINC000886491931 592237122 /nfs/dbraw/zinc/23/71/22/592237122.db2.gz WWYQWBIACBCRMM-CYBMUJFWSA-N 0 3 249.383 2.969 20 0 BFADHN CCCC[C@H](COC)N[C@H]1CCc2cccnc21 ZINC000886530125 592247928 /nfs/dbraw/zinc/24/79/28/592247928.db2.gz DQDIIRKKZPZOKK-KGLIPLIRSA-N 0 3 248.370 2.864 20 0 BFADHN C[C@H]1CCN(Cc2cn[nH]c2)[C@H]1c1ccccc1 ZINC000886542858 592254856 /nfs/dbraw/zinc/25/48/56/592254856.db2.gz GYVCCWYMHWLOGV-SWLSCSKDSA-N 0 3 241.338 2.993 20 0 BFADHN Fc1cccc(CN2C[C@@H]3CSC[C@@H]3C2)c1 ZINC000886553205 592259109 /nfs/dbraw/zinc/25/91/09/592259109.db2.gz GOAVMWRQHGSFOA-TXEJJXNPSA-N 0 3 237.343 2.621 20 0 BFADHN CC1(N[C@@H]2CCc3cccnc32)CCC1 ZINC000886585810 592268725 /nfs/dbraw/zinc/26/87/25/592268725.db2.gz GEJKVWUNHBFILW-LLVKDONJSA-N 0 3 202.301 2.601 20 0 BFADHN OC[C@]12CCC[C@H]1N(Cc1ccccc1F)CC2 ZINC000886620051 592277322 /nfs/dbraw/zinc/27/73/22/592277322.db2.gz AWCMQEJSRLRPEA-HUUCEWRRSA-N 0 3 249.329 2.563 20 0 BFADHN CC1(C)C[C@@]1(C)N[C@H]1CCc2cccnc21 ZINC000886639594 592286515 /nfs/dbraw/zinc/28/65/15/592286515.db2.gz GVCDHSDTXJXRCI-SMDDNHRTSA-N 0 3 216.328 2.847 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CN[C@@H]1CCCn2ccnc21 ZINC000886694577 592304980 /nfs/dbraw/zinc/30/49/80/592304980.db2.gz YQNAJRGHINHFHU-MBJXGIAVSA-N 0 3 245.370 2.600 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)nn1C ZINC000886694554 592305237 /nfs/dbraw/zinc/30/52/37/592305237.db2.gz YFTYFXNUBHDBKG-YGTGSIHKSA-N 0 3 247.386 2.671 20 0 BFADHN C[C@@H](NC1CCC=CCC1)c1cc2n(n1)CCC2 ZINC000886815887 592336598 /nfs/dbraw/zinc/33/65/98/592336598.db2.gz LEFPVXXOIPVWNE-GFCCVEGCSA-N 0 3 245.370 2.979 20 0 BFADHN Cc1cc([C@@H](C)NC2(C3CC3)CCC2)no1 ZINC000886825201 592348541 /nfs/dbraw/zinc/34/85/41/592348541.db2.gz ONMRUQINPNOTLC-SNVBAGLBSA-N 0 3 220.316 2.966 20 0 BFADHN Fc1ccccc1CN1CC[C@@H]([C@H]2CCCO2)C1 ZINC000886832717 592353510 /nfs/dbraw/zinc/35/35/10/592353510.db2.gz LYQKDVWBCMSMQT-UKRRQHHQSA-N 0 3 249.329 2.827 20 0 BFADHN COc1cc2c(cc1O)CCN(CC1CCC1)C2 ZINC000887105444 592442978 /nfs/dbraw/zinc/44/29/78/592442978.db2.gz VWMOPRDJAWBVDX-UHFFFAOYSA-N 0 3 247.338 2.559 20 0 BFADHN COc1cc2c(cc1O)CCN(C1CCCC1)C2 ZINC000887106648 592445062 /nfs/dbraw/zinc/44/50/62/592445062.db2.gz IHUJVTYQNUYGOK-UHFFFAOYSA-N 0 3 247.338 2.702 20 0 BFADHN Cc1nc2ccccc2nc1CN(C)CCCF ZINC000887136126 592450653 /nfs/dbraw/zinc/45/06/53/592450653.db2.gz KLMINWCUCHYZGI-UHFFFAOYSA-N 0 3 247.317 2.730 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H]1CCS[C@H]1C ZINC000300332942 592506583 /nfs/dbraw/zinc/50/65/83/592506583.db2.gz CKGOXEGJTIHUJH-SWPVVBRQSA-N 0 3 240.372 2.836 20 0 BFADHN CSCCNCc1cnc2ccccc2c1 ZINC000300717890 592517913 /nfs/dbraw/zinc/51/79/13/592517913.db2.gz YUVRXTCTMZTTDA-UHFFFAOYSA-N 0 3 232.352 2.687 20 0 BFADHN c1cnn(CCN2CCC3(CCCCC3)CC2)c1 ZINC000363457389 592728469 /nfs/dbraw/zinc/72/84/69/592728469.db2.gz KVVKRERXQATXPL-UHFFFAOYSA-N 0 3 247.386 2.929 20 0 BFADHN Cc1cscc1CN[C@@H]1CCCc2n[nH]cc21 ZINC000336114320 634606091 /nfs/dbraw/zinc/60/60/91/634606091.db2.gz KRJSLKYFOCULBO-GFCCVEGCSA-N 0 3 247.367 2.947 20 0 BFADHN C[C@@H]1CCC[C@H](CCNCc2cn(C)cn2)C1 ZINC000310251707 635453525 /nfs/dbraw/zinc/45/35/25/635453525.db2.gz OEOYMRNALARCNU-CHWSQXEVSA-N 0 3 235.375 2.726 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2ccccn2)C12CCC2 ZINC000308383652 631305726 /nfs/dbraw/zinc/30/57/26/631305726.db2.gz YSLZBGJHARJELP-UBHSHLNASA-N 0 3 246.354 2.690 20 0 BFADHN C[C@H]1CCCN(Cc2cnn(C3CCC3)c2)C1 ZINC000891446474 631318826 /nfs/dbraw/zinc/31/88/26/631318826.db2.gz HYNBHUILMDTYPP-LBPRGKRZSA-N 0 3 233.359 2.840 20 0 BFADHN Cc1cc(F)ncc1CN1CCC[C@H](C)C1 ZINC000891446203 631319724 /nfs/dbraw/zinc/31/97/24/631319724.db2.gz HIAQHKPUKXIIAR-JTQLQIEISA-N 0 3 222.307 2.761 20 0 BFADHN C[C@@H](NC/C(Cl)=C\Cl)C1CCOCC1 ZINC000308623873 631344265 /nfs/dbraw/zinc/34/42/65/631344265.db2.gz ISYFCPFGZKSERJ-VSBFRKNKSA-N 0 3 238.158 2.710 20 0 BFADHN CCCCN(C)Cc1ccc(OCCOC)o1 ZINC000891451624 631357336 /nfs/dbraw/zinc/35/73/36/631357336.db2.gz YOJCDKVEBDBCJO-UHFFFAOYSA-N 0 3 241.331 2.537 20 0 BFADHN C[C@H]1CCCCN1Cc1cccc2[nH]c(=O)oc21 ZINC000891457741 631369565 /nfs/dbraw/zinc/36/95/65/631369565.db2.gz XKPJNLIAEVVTMP-JTQLQIEISA-N 0 3 246.310 2.908 20 0 BFADHN CN(Cc1ccnn1C1CCC1)C1CCCC1 ZINC000891537485 631388342 /nfs/dbraw/zinc/38/83/42/631388342.db2.gz SRZUGOJCJSMIOK-UHFFFAOYSA-N 0 3 233.359 2.983 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1nccn1C1CC1 ZINC000891617799 631409158 /nfs/dbraw/zinc/40/91/58/631409158.db2.gz JNYGTLULZRZCLK-ZDUSSCGKSA-N 0 3 233.359 2.838 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1nccn1C1CC1 ZINC000891617797 631409984 /nfs/dbraw/zinc/40/99/84/631409984.db2.gz JNYGTLULZRZCLK-CYBMUJFWSA-N 0 3 233.359 2.838 20 0 BFADHN CC(C)C[C@H](O)CNCc1sccc1Cl ZINC000308778298 631647344 /nfs/dbraw/zinc/64/73/44/631647344.db2.gz BIKSNZFETFKXBU-VIFPVBQESA-N 0 3 247.791 2.898 20 0 BFADHN Cc1nc(CN[C@H]2CC[C@@H]2C(C)C)cs1 ZINC000308858210 631699450 /nfs/dbraw/zinc/69/94/50/631699450.db2.gz QPRZRIFSJOQZNF-NEPJUHHUSA-N 0 3 224.373 2.976 20 0 BFADHN CCc1nocc1CN1CCCSC[C@H]1C ZINC000934297241 631763512 /nfs/dbraw/zinc/76/35/12/631763512.db2.gz DMLPIIQCBDXARU-SNVBAGLBSA-N 0 3 240.372 2.564 20 0 BFADHN CCCC[C@H](C)[C@@H](C)NCc1ccon1 ZINC000308970824 631773294 /nfs/dbraw/zinc/77/32/94/631773294.db2.gz ZHDSVSALAMNMBY-WDEREUQCSA-N 0 3 210.321 2.979 20 0 BFADHN Fc1cccc(CN2CC[C@@H]3CCC[C@@H]32)n1 ZINC000934338826 631789118 /nfs/dbraw/zinc/78/91/18/631789118.db2.gz QXZKLRYKNAVOLR-JQWIXIFHSA-N 0 3 220.291 2.595 20 0 BFADHN C[C@H](NC[C@H]1CC1(Cl)Cl)c1ccccn1 ZINC000309022278 631803035 /nfs/dbraw/zinc/80/30/35/631803035.db2.gz XWHIQZHFRHQVFU-DTWKUNHWSA-N 0 3 245.153 2.926 20 0 BFADHN O=c1[nH]c2cccc(CN3CCCCC3)c2o1 ZINC000892246467 631812975 /nfs/dbraw/zinc/81/29/75/631812975.db2.gz UTVJCLZCZWGUJB-UHFFFAOYSA-N 0 3 232.283 2.519 20 0 BFADHN C[C@H](O)[C@H](C)NCc1cccc(Cl)c1Cl ZINC000309050254 631824768 /nfs/dbraw/zinc/82/47/68/631824768.db2.gz QQWNKXRSKDXTIH-YUMQZZPRSA-N 0 3 248.153 2.852 20 0 BFADHN CC(F)(F)CCCN1CCOC[C@]1(C)C1CC1 ZINC000934475558 631868866 /nfs/dbraw/zinc/86/88/66/631868866.db2.gz NCGALLFHKULQHA-GFCCVEGCSA-N 0 3 247.329 2.923 20 0 BFADHN CC1(C)SC[C@H]1NCc1ccc(Cl)nc1 ZINC000309159274 631914408 /nfs/dbraw/zinc/91/44/08/631914408.db2.gz YHXPJJVAFVKZQC-SECBINFHSA-N 0 3 242.775 2.719 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@@H]2C(C)C)cs1 ZINC000308858213 631965290 /nfs/dbraw/zinc/96/52/90/631965290.db2.gz QPRZRIFSJOQZNF-VXGBXAGGSA-N 0 3 224.373 2.976 20 0 BFADHN C[C@@H]([NH2+]CC[C@H](C)F)c1cc([O-])cc(F)c1 ZINC000926485416 632122949 /nfs/dbraw/zinc/12/29/49/632122949.db2.gz KLLOFQVROHOSPA-DTWKUNHWSA-N 0 3 229.270 2.930 20 0 BFADHN CCC[C@H](N[C@H]1COC[C@@H]1C)c1ccccn1 ZINC000924945237 635797853 /nfs/dbraw/zinc/79/78/53/635797853.db2.gz DOABSXQAMPFSLZ-UBHSHLNASA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@@H]1NCc1ccon1 ZINC000309625718 632587555 /nfs/dbraw/zinc/58/75/55/632587555.db2.gz FSLAPSAWUOZIEF-WZRBSPASSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H]1CC1(C)C ZINC000926580331 632588417 /nfs/dbraw/zinc/58/84/17/632588417.db2.gz OYIGRBDGKNBKRG-XVKPBYJWSA-N 0 3 208.305 2.741 20 0 BFADHN Cc1cc(CNCc2cccs2)cnc1F ZINC000892414001 632653972 /nfs/dbraw/zinc/65/39/72/632653972.db2.gz OQNBUNBMLLFMAY-UHFFFAOYSA-N 0 3 236.315 2.880 20 0 BFADHN CO[C@]1(C)C[C@H](N(C)C/C=C\Cl)C1(C)C ZINC000255632667 632669882 /nfs/dbraw/zinc/66/98/82/632669882.db2.gz CFNULHSKSDBUDW-ZHLQDTFKSA-N 0 3 231.767 2.874 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2ncccn2)CC1 ZINC000235318057 632669937 /nfs/dbraw/zinc/66/99/37/632669937.db2.gz VJQOKZGIHLZQKY-NEPJUHHUSA-N 0 3 219.332 2.535 20 0 BFADHN CC[C@@H](N[C@H]1CC12CC2)c1cccc(OC)n1 ZINC000926614364 632687295 /nfs/dbraw/zinc/68/72/95/632687295.db2.gz JIJHIBMEKOLQHB-PWSUYJOCSA-N 0 3 232.327 2.683 20 0 BFADHN CC[C@H](N[C@@H]1CC12CC2)c1cccc(OC)n1 ZINC000926614362 632687796 /nfs/dbraw/zinc/68/77/96/632687796.db2.gz JIJHIBMEKOLQHB-CMPLNLGQSA-N 0 3 232.327 2.683 20 0 BFADHN Cc1nonc1[C@H](C)N[C@H]1CCCC[C@@H]1C ZINC000924527656 632690771 /nfs/dbraw/zinc/69/07/71/632690771.db2.gz VASVHUSLSYQKMT-QXEWZRGKSA-N 0 3 223.320 2.607 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000926616022 632700196 /nfs/dbraw/zinc/70/01/96/632700196.db2.gz GBNIAFYXWFFKCJ-USZNOCQGSA-N 0 3 232.327 2.749 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@@H](N)CC1CC1 ZINC000310164070 632706225 /nfs/dbraw/zinc/70/62/25/632706225.db2.gz MKRZQHQWOKFUBS-STQMWFEESA-N 0 3 240.391 2.589 20 0 BFADHN C[C@@H]([NH2+][C@@H]1CC12CC2)c1cc([O-])cc(F)c1 ZINC000926617359 632708781 /nfs/dbraw/zinc/70/87/81/632708781.db2.gz XQZRCCRGXWENSH-PRHODGIISA-N 0 3 221.275 2.734 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NCC(C)C ZINC000924530372 632713242 /nfs/dbraw/zinc/71/32/42/632713242.db2.gz AQUIOWSPLCYYKA-SNVBAGLBSA-N 0 3 208.305 2.606 20 0 BFADHN c1ccc(CN[C@@H]2CCOC23CCCCC3)nc1 ZINC000924532935 632753021 /nfs/dbraw/zinc/75/30/21/632753021.db2.gz YYJXISVCHKBVCA-CQSZACIVSA-N 0 3 246.354 2.663 20 0 BFADHN CCOC(=O)/C=C\CN[C@H](CC)c1ccccc1 ZINC000310528586 632755707 /nfs/dbraw/zinc/75/57/07/632755707.db2.gz SUJADZMANFTVLW-KOTGUFOOSA-N 0 3 247.338 2.847 20 0 BFADHN Cc1cccc([C@H](C)NC2COC(C)(C)OC2)c1 ZINC000235507750 632771390 /nfs/dbraw/zinc/77/13/90/632771390.db2.gz XJRKYCJSKVUQOA-LBPRGKRZSA-N 0 3 249.354 2.797 20 0 BFADHN CCCCCCN[C@@H](C)c1nn(CC)nc1C ZINC000924538676 632777408 /nfs/dbraw/zinc/77/74/08/632777408.db2.gz LRJUZNJBPDECGC-NSHDSACASA-N 0 3 238.379 2.837 20 0 BFADHN Cc1nn(C)c(CNCC2(C)CCC2)c1Cl ZINC000235972894 632857222 /nfs/dbraw/zinc/85/72/22/632857222.db2.gz BVRXYHMTNBWDHI-UHFFFAOYSA-N 0 3 241.766 2.662 20 0 BFADHN CCCN(CCC)Cn1ncc(Cl)c1C ZINC000156493855 632884185 /nfs/dbraw/zinc/88/41/85/632884185.db2.gz RDRDEJCPHRRUAX-UHFFFAOYSA-N 0 3 229.755 2.924 20 0 BFADHN C[C@@H]([NH2+][C@@H](C)c1cc([O-])cc(F)c1)C1CC1 ZINC000924569351 632913292 /nfs/dbraw/zinc/91/32/92/632913292.db2.gz CDZLYRAZERJHLJ-BDAKNGLRSA-N 0 3 223.291 2.980 20 0 BFADHN CC(C)OC1CC(N[C@H](C)c2cncs2)C1 ZINC000309304565 632915106 /nfs/dbraw/zinc/91/51/06/632915106.db2.gz AJJPTGDYYFDKBE-KPPDAEKUSA-N 0 3 240.372 2.750 20 0 BFADHN CCCc1ccc(NC(=O)[C@H](N)CC2CC2)cc1 ZINC000310758870 632938995 /nfs/dbraw/zinc/93/89/95/632938995.db2.gz OQVNAUYDQKQIDI-CQSZACIVSA-N 0 3 246.354 2.705 20 0 BFADHN CCCC[C@H](C)N(C)c1ccnc(CO)c1 ZINC000309412699 633033655 /nfs/dbraw/zinc/03/36/55/633033655.db2.gz KKEUJARLJJACRY-NSHDSACASA-N 0 3 222.332 2.589 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H](C)C1CCCC1 ZINC000925064868 635884374 /nfs/dbraw/zinc/88/43/74/635884374.db2.gz IOVVTJKGPRIIQC-IUCAKERBSA-N 0 3 223.320 2.607 20 0 BFADHN CC(C)CCC[C@@H](C)NCc1cn(C)cn1 ZINC000309436008 633070154 /nfs/dbraw/zinc/07/01/54/633070154.db2.gz KJPSETNTODFKLM-GFCCVEGCSA-N 0 3 223.364 2.725 20 0 BFADHN Cc1cccc([C@@H](O)CN[C@H](C)c2ccoc2)c1 ZINC000181405587 633094368 /nfs/dbraw/zinc/09/43/68/633094368.db2.gz DDLHVZLUPYRXPI-DOMZBBRYSA-N 0 3 245.322 2.972 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H](O)CC(C)C)o1 ZINC000167131095 633126180 /nfs/dbraw/zinc/12/61/80/633126180.db2.gz WQZBQBDXKGRBLN-NEPJUHHUSA-N 0 3 225.332 2.646 20 0 BFADHN C[C@@H]([NH2+]Cc1ccc([O-])c(F)c1)C1(C)CC1 ZINC000167965021 633136029 /nfs/dbraw/zinc/13/60/29/633136029.db2.gz VZWJORNRTKSREY-SECBINFHSA-N 0 3 223.291 2.810 20 0 BFADHN FC(F)[C@H]1CN([C@H]2CC3CCC2CC3)CCO1 ZINC000926821512 633161428 /nfs/dbraw/zinc/16/14/28/633161428.db2.gz JIKLPHCYHSTORS-MMVSWEMESA-N 0 3 245.313 2.531 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NC[C@H]1C=CCC1 ZINC000926834798 633183928 /nfs/dbraw/zinc/18/39/28/633183928.db2.gz ACWWOUYHYAEFAF-NWDGAFQWSA-N 0 3 232.327 2.916 20 0 BFADHN CC(C)COC1CCN(Cc2ccoc2)CC1 ZINC000092223344 633192389 /nfs/dbraw/zinc/19/23/89/633192389.db2.gz MXMIEJPPMHVNFJ-UHFFFAOYSA-N 0 3 237.343 2.917 20 0 BFADHN C=Cn1cc(CNCCSC(C)(C)C)cn1 ZINC000309668342 633220852 /nfs/dbraw/zinc/22/08/52/633220852.db2.gz ISNLZVMYGYOLIU-UHFFFAOYSA-N 0 3 239.388 2.605 20 0 BFADHN Cc1n[nH]c(CN2CC(C)(C)[C@@H]2C(C)C)c1C ZINC000894854572 635954829 /nfs/dbraw/zinc/95/48/29/635954829.db2.gz GZZBZPIQJDGNNY-ZDUSSCGKSA-N 0 3 235.375 2.893 20 0 BFADHN Clc1ccc(CNC2CSC2)s1 ZINC000306481760 635968505 /nfs/dbraw/zinc/96/85/05/635968505.db2.gz OEULBIUJKGNDTG-UHFFFAOYSA-N 0 3 219.762 2.607 20 0 BFADHN ClC1(Cl)C[C@@H]1CN1CCCSCC1 ZINC000306730767 635975009 /nfs/dbraw/zinc/97/50/09/635975009.db2.gz PVXPKGYGMRQOID-MRVPVSSYSA-N 0 3 240.199 2.619 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1nc2c(s1)CCCC2 ZINC000309805225 633761397 /nfs/dbraw/zinc/76/13/97/633761397.db2.gz RZNDJWUVMSPVDL-NXEZZACHSA-N 0 3 236.384 2.768 20 0 BFADHN CCCC1(CN[C@H]2C[C@H](C)n3ncnc32)CCC1 ZINC000925524890 633901097 /nfs/dbraw/zinc/90/10/97/633901097.db2.gz BMRBKJVPKXKWTG-RYUDHWBXSA-N 0 3 248.374 2.844 20 0 BFADHN CC[C@H](COC)NCc1c(C)cccc1F ZINC000309566616 633954808 /nfs/dbraw/zinc/95/48/08/633954808.db2.gz MIPSUPRJKZFHMM-LLVKDONJSA-N 0 3 225.307 2.649 20 0 BFADHN CCCCCC[C@H](O)CNCc1ccco1 ZINC000309691990 633989633 /nfs/dbraw/zinc/98/96/33/633989633.db2.gz JSMIQSORFIGFAJ-LBPRGKRZSA-N 0 3 225.332 2.701 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1coc(C2CC2)n1 ZINC000309813327 634014616 /nfs/dbraw/zinc/01/46/16/634014616.db2.gz USPNZDBYUARKJU-BXKDBHETSA-N 0 3 220.316 2.830 20 0 BFADHN CCCCCCN[C@H](C)c1cc(C)n(C)n1 ZINC000309999465 634061241 /nfs/dbraw/zinc/06/12/41/634061241.db2.gz DGPRMBMDGWKIGO-GFCCVEGCSA-N 0 3 223.364 2.959 20 0 BFADHN C[C@@H](c1ncccn1)N1CC[C@@H](C2CCC2)C1 ZINC000930738528 634078163 /nfs/dbraw/zinc/07/81/63/634078163.db2.gz KTZKWZQDPAOXQZ-WCQYABFASA-N 0 3 231.343 2.660 20 0 BFADHN C[C@@H](NCc1c(F)cncc1F)C(C)(C)C ZINC000930864569 634142241 /nfs/dbraw/zinc/14/22/41/634142241.db2.gz WUJMUZXQWINYEA-MRVPVSSYSA-N 0 3 228.286 2.884 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccc(F)nc1 ZINC000189279649 634175677 /nfs/dbraw/zinc/17/56/77/634175677.db2.gz MLGMAEGRLMFSDU-GFCCVEGCSA-N 0 3 222.307 2.985 20 0 BFADHN Fc1ccc(CN2CCC[C@H]3CCC[C@@H]32)cn1 ZINC000189307683 634178391 /nfs/dbraw/zinc/17/83/91/634178391.db2.gz TYHSKQRPVBNDCF-OLZOCXBDSA-N 0 3 234.318 2.985 20 0 BFADHN Fc1ccc(OCCNCc2ccoc2)cc1 ZINC000053566772 634247616 /nfs/dbraw/zinc/24/76/16/634247616.db2.gz WWKMZCUZYYEGBT-UHFFFAOYSA-N 0 3 235.258 2.587 20 0 BFADHN FC(F)(F)[C@H]1CCCN(C[C@@H]2CCC=CO2)C1 ZINC000193613251 634282364 /nfs/dbraw/zinc/28/23/64/634282364.db2.gz KTCQTPBXEGSPIC-QWRGUYRKSA-N 0 3 249.276 2.953 20 0 BFADHN CCC(=O)O[C@H]1CCCN(Cc2ccccc2)C1 ZINC000193699454 634287802 /nfs/dbraw/zinc/28/78/02/634287802.db2.gz BAUOJJHACAFDCD-AWEZNQCLSA-N 0 3 247.338 2.604 20 0 BFADHN CC1(C)CN(C[C@H]2CCC=CO2)CC(C)(C)O1 ZINC000194832128 634336222 /nfs/dbraw/zinc/33/62/22/634336222.db2.gz XOGCTXIFYPEYLE-GFCCVEGCSA-N 0 3 239.359 2.569 20 0 BFADHN Cc1nn(C)c(CNC(C)(C)C2CC2)c1Cl ZINC000235972721 635591010 /nfs/dbraw/zinc/59/10/10/635591010.db2.gz SQDPRJKLBIAJEA-UHFFFAOYSA-N 0 3 241.766 2.660 20 0 BFADHN Cc1ccccc1CN(C)c1ccncc1CO ZINC000126430181 635820801 /nfs/dbraw/zinc/82/08/01/635820801.db2.gz BZEOYTCOCCNIPW-UHFFFAOYSA-N 0 3 242.322 2.519 20 0 BFADHN COCC1CN(Cc2c(C)oc3ccccc32)C1 ZINC000895970139 636184758 /nfs/dbraw/zinc/18/47/58/636184758.db2.gz DDLMEWASHNTKKO-UHFFFAOYSA-N 0 3 245.322 2.819 20 0 BFADHN Cc1nonc1[C@H](C)N[C@H](C)C1CCCCC1 ZINC000925134294 636195062 /nfs/dbraw/zinc/19/50/62/636195062.db2.gz CCZVTSWXZGNHEP-ZJUUUORDSA-N 0 3 237.347 2.997 20 0 BFADHN CCOCCCNC1(c2ccccc2F)CC1 ZINC000341847614 636304932 /nfs/dbraw/zinc/30/49/32/636304932.db2.gz QESWOICQBPBDET-UHFFFAOYSA-N 0 3 237.318 2.831 20 0 BFADHN C[C@@H](NCC(C1CC1)C1CC1)c1ncco1 ZINC000925171428 636350671 /nfs/dbraw/zinc/35/06/71/636350671.db2.gz VTSOJMFMYSMCSP-SECBINFHSA-N 0 3 220.316 2.761 20 0 BFADHN COC[C@@H](C)NCc1cc2cccc(OC)c2o1 ZINC000034995530 636354266 /nfs/dbraw/zinc/35/42/66/636354266.db2.gz CIUZDMNJAPSYFR-SNVBAGLBSA-N 0 3 249.310 2.566 20 0 BFADHN CC[C@@H](N[C@@H](C)c1ncco1)C1CCOCC1 ZINC000925199735 636379903 /nfs/dbraw/zinc/37/99/03/636379903.db2.gz VRUFKKVNHOLQEZ-CMPLNLGQSA-N 0 3 238.331 2.530 20 0 BFADHN C[C@H](N[C@@H]1CCCc2[nH]ccc21)c1ccnnc1 ZINC000925223764 636415856 /nfs/dbraw/zinc/41/58/56/636415856.db2.gz CNISAHXLRILKCG-IINYFYTJSA-N 0 3 242.326 2.533 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@]1(C)CCCO1 ZINC000925249017 636515366 /nfs/dbraw/zinc/51/53/66/636515366.db2.gz JQAOVSYMRWSDGU-ZANVPECISA-N 0 3 238.331 2.511 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NC[C@]1(C)CCCO1 ZINC000925249015 636516796 /nfs/dbraw/zinc/51/67/96/636516796.db2.gz JQAOVSYMRWSDGU-RNCFNFMXSA-N 0 3 238.331 2.511 20 0 BFADHN c1csc(CC2CN(C[C@@H]3CCC=CO3)C2)c1 ZINC000862540117 636629919 /nfs/dbraw/zinc/62/99/19/636629919.db2.gz ZZQZMSTVJPGFMF-ZDUSSCGKSA-N 0 3 249.379 2.915 20 0 BFADHN C[C@H](NC[C@@]1(C)CCCCO1)c1ccco1 ZINC000927025324 636994138 /nfs/dbraw/zinc/99/41/38/636994138.db2.gz YCLJNWSAOOXDNR-WCQYABFASA-N 0 3 223.316 2.889 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ncc(Cl)n1C ZINC000042555874 637283929 /nfs/dbraw/zinc/28/39/29/637283929.db2.gz HCNDGQSDWDRGED-RKDXNWHRSA-N 0 3 229.755 2.598 20 0 BFADHN CCCC(=O)O[C@H]1CCN(Cc2ccccc2)C1 ZINC000034613709 637384266 /nfs/dbraw/zinc/38/42/66/637384266.db2.gz FFOZMTLALDUGCN-AWEZNQCLSA-N 0 3 247.338 2.604 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1cc(C)ccc1NC ZINC000904445359 637413274 /nfs/dbraw/zinc/41/32/74/637413274.db2.gz PMHYXSFIUVDQJH-CABCVRRESA-N 0 3 248.370 2.694 20 0 BFADHN C[C@@H](c1noc([C@@]2(C)CCCN2)n1)C(C)(C)C ZINC000904612178 637443299 /nfs/dbraw/zinc/44/32/99/637443299.db2.gz JBRGYHMWTGFAIW-TVQRCGJNSA-N 0 3 237.347 2.818 20 0 BFADHN Cc1cncc(-c2ccc(F)c3c2CCNC3)c1 ZINC000904804617 637490674 /nfs/dbraw/zinc/49/06/74/637490674.db2.gz DOLBKRWTTGSKLR-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN CN(C)Cc1ccc(-c2nccs2)s1 ZINC000904864965 637513466 /nfs/dbraw/zinc/51/34/66/637513466.db2.gz RFHJGQXPWGFYPM-UHFFFAOYSA-N 0 3 224.354 2.933 20 0 BFADHN CCCCCNC(=O)C(C)(C)N1CCCCC1 ZINC000905019545 637552092 /nfs/dbraw/zinc/55/20/92/637552092.db2.gz XWZFDWVGWYSARX-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN O[C@@H](CNC/C=C\Cl)c1ccc(Cl)cc1 ZINC000905709000 637892531 /nfs/dbraw/zinc/89/25/31/637892531.db2.gz UQDJOHHXPOTKOW-CWYBVCKVSA-N 0 3 246.137 2.716 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cn(C3CC3)nn2)[C@@H](C)C1 ZINC000906295674 638133827 /nfs/dbraw/zinc/13/38/27/638133827.db2.gz DLVXQIJNAUZQLU-UHIISALHSA-N 0 3 248.374 2.527 20 0 BFADHN CCC1(CC)CCCN1Cc1cn(C2CC2)nn1 ZINC000906325742 638144449 /nfs/dbraw/zinc/14/44/49/638144449.db2.gz KKLJRVLLOLSDNJ-UHFFFAOYSA-N 0 3 248.374 2.768 20 0 BFADHN CN(C[C@H]1CCCO1)[C@H]1C[C@@H]1c1cccc(F)c1 ZINC000906588345 638212655 /nfs/dbraw/zinc/21/26/55/638212655.db2.gz PVLDQZXGGHJDJP-KFWWJZLASA-N 0 3 249.329 2.792 20 0 BFADHN CN(Cc1cn[nH]c1)[C@H]1C[C@H]1c1cccc(F)c1 ZINC000906592948 638214257 /nfs/dbraw/zinc/21/42/57/638214257.db2.gz SFLAKEKVJVXDKU-KBPBESRZSA-N 0 3 245.301 2.537 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1ncccn1 ZINC000930229088 639644158 /nfs/dbraw/zinc/64/41/58/639644158.db2.gz YOZFAVGSUHZWKO-AXFHLTTASA-N 0 3 207.321 2.562 20 0 BFADHN CC[C@@H](NCc1nncs1)C1CCCCC1 ZINC000930236952 639645010 /nfs/dbraw/zinc/64/50/10/639645010.db2.gz OWINRFDFMXDZCW-LLVKDONJSA-N 0 3 239.388 2.987 20 0 BFADHN CC[C@@H]1C[C@H]1CN1CCC[C@H]1c1cc[nH]n1 ZINC000930264810 639666026 /nfs/dbraw/zinc/66/60/26/639666026.db2.gz RQDWPALCSRIMEQ-MDZLAQPJSA-N 0 3 219.332 2.593 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CCSc1ccncc1 ZINC000930272606 639676261 /nfs/dbraw/zinc/67/62/61/639676261.db2.gz JLNWWCUMCXDRBP-GHMZBOCLSA-N 0 3 222.357 2.656 20 0 BFADHN CO[C@H]1CCN(Cc2cc(C)cc(C)n2)[C@@H](C)C1 ZINC000930324938 639704637 /nfs/dbraw/zinc/70/46/37/639704637.db2.gz UMSNFFNFKXKRDI-ZFWWWQNUSA-N 0 3 248.370 2.698 20 0 BFADHN CCN(C[C@H](C)OC)[C@H](C)c1ccc(F)nc1 ZINC000930542103 639808473 /nfs/dbraw/zinc/80/84/73/639808473.db2.gz YMMUEYABVIZJPQ-WDEREUQCSA-N 0 3 240.322 2.639 20 0 BFADHN C[C@@H]1CN(CCSc2ccncc2)[C@H]1C ZINC000930582769 639813821 /nfs/dbraw/zinc/81/38/21/639813821.db2.gz QVCUUGYMZBLZRH-MNOVXSKESA-N 0 3 222.357 2.514 20 0 BFADHN Cc1nnsc1[C@@H](C)N[C@H]1CCC1(C)C ZINC000930631558 639832213 /nfs/dbraw/zinc/83/22/13/639832213.db2.gz RCHBQWFGJAISKP-APPZFPTMSA-N 0 3 225.361 2.686 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCCC1)c1ncccn1 ZINC000930665413 639852311 /nfs/dbraw/zinc/85/23/11/639852311.db2.gz CUPRMWVQTGAHIR-WDEREUQCSA-N 0 3 219.332 2.706 20 0 BFADHN CCCCCNC(=O)[C@@H](N)C1CCCCCC1 ZINC000911894712 639871087 /nfs/dbraw/zinc/87/10/87/639871087.db2.gz DMVSGVMLQZPRHH-ZDUSSCGKSA-N 0 3 240.391 2.591 20 0 BFADHN Fc1cncc(F)c1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000930704418 639871412 /nfs/dbraw/zinc/87/14/12/639871412.db2.gz NEKXRFYWJINGDD-ZDMBXUJBSA-N 0 3 238.281 2.638 20 0 BFADHN CC(F)(F)CCCN1CCOC[C@@H]1C1CCC1 ZINC000930819818 639921339 /nfs/dbraw/zinc/92/13/39/639921339.db2.gz GQLNLBZXSHTLOU-GFCCVEGCSA-N 0 3 247.329 2.923 20 0 BFADHN Cc1nnsc1[C@H](C)NC1(C2CCC2)CC1 ZINC000930855428 639949797 /nfs/dbraw/zinc/94/97/97/639949797.db2.gz DCTPVTNIHMYVGC-QMMMGPOBSA-N 0 3 237.372 2.830 20 0 BFADHN COC/C(C)=C\CN1CCc2ccc(C)cc2C1 ZINC000930964115 640002170 /nfs/dbraw/zinc/00/21/70/640002170.db2.gz ZMMCULLGUZYREY-NSIKDUERSA-N 0 3 245.366 2.946 20 0 BFADHN C[C@H](c1ccc(F)nc1)N1CC[C@@H]2C[C@@H]2C1 ZINC000931059832 640046917 /nfs/dbraw/zinc/04/69/17/640046917.db2.gz SKWSYFLGMGOLQX-CKYFFXLPSA-N 0 3 220.291 2.624 20 0 BFADHN CC1CC(CN2CCOc3ccc(O)cc3C2)C1 ZINC000931063198 640051631 /nfs/dbraw/zinc/05/16/31/640051631.db2.gz CZSZDCPMBVPVSE-UHFFFAOYSA-N 0 3 247.338 2.633 20 0 BFADHN C[C@@H](c1ncccn1)N1[C@@H]2CC[C@H]1CC(C)C2 ZINC000931066335 640052907 /nfs/dbraw/zinc/05/29/07/640052907.db2.gz OEIODVDKDWENFY-FOIKRFTLSA-N 0 3 231.343 2.801 20 0 BFADHN c1nc([C@@H]2CCCN2CCC2CCCC2)n[nH]1 ZINC000931135699 640071668 /nfs/dbraw/zinc/07/16/68/640071668.db2.gz YWFLBZKOAOGOLH-LBPRGKRZSA-N 0 3 234.347 2.522 20 0 BFADHN c1nnc([C@@H]2CCC[N@H+]2CCC2CCCC2)[n-]1 ZINC000931135699 640071674 /nfs/dbraw/zinc/07/16/74/640071674.db2.gz YWFLBZKOAOGOLH-LBPRGKRZSA-N 0 3 234.347 2.522 20 0 BFADHN c1nnc([C@@H]2CCC[N@@H+]2CCC2CCCC2)[n-]1 ZINC000931135699 640071678 /nfs/dbraw/zinc/07/16/78/640071678.db2.gz YWFLBZKOAOGOLH-LBPRGKRZSA-N 0 3 234.347 2.522 20 0 BFADHN C[C@H]1CN(CC2CCCC2)Cc2c[nH]nc21 ZINC000931457603 640213503 /nfs/dbraw/zinc/21/35/03/640213503.db2.gz ZXZRVUQBCHYPCG-JTQLQIEISA-N 0 3 219.332 2.519 20 0 BFADHN CC1(F)CCN(CCc2ccns2)CC1 ZINC000931505129 640239643 /nfs/dbraw/zinc/23/96/43/640239643.db2.gz SZNGYGDONUOCTP-UHFFFAOYSA-N 0 3 228.336 2.510 20 0 BFADHN Nc1ccnc2c1CN(CCCC1CCC1)CC2 ZINC000931515657 640245269 /nfs/dbraw/zinc/24/52/69/640245269.db2.gz INMGQOZDQUKSHX-UHFFFAOYSA-N 0 3 245.370 2.602 20 0 BFADHN COCC1(N(C)Cc2cc(C)cc(C)n2)CCC1 ZINC000931623500 640293455 /nfs/dbraw/zinc/29/34/55/640293455.db2.gz RXFDSEIEUMZZOY-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1cc(F)ccc1CN1CCO[C@H](C)CC1 ZINC000932338652 640479684 /nfs/dbraw/zinc/47/96/84/640479684.db2.gz CUKSOGRJQKPZER-GFCCVEGCSA-N 0 3 237.318 2.745 20 0 BFADHN c1cnc([C@H](NC[C@@H]2C[C@H]3C[C@H]3C2)C2CC2)nc1 ZINC000932354716 640496287 /nfs/dbraw/zinc/49/62/87/640496287.db2.gz XAVNUJVASAFQGE-YXCITZCRSA-N 0 3 243.354 2.563 20 0 BFADHN CC1CC(CN[C@@H](c2ncccn2)C2CC2)C1 ZINC000932352316 640497245 /nfs/dbraw/zinc/49/72/45/640497245.db2.gz NWFNRNSSOFFBOR-GCZXYKMCSA-N 0 3 231.343 2.563 20 0 BFADHN CC(C)(C)OCCN[C@@H](c1ccccn1)C1CC1 ZINC000932377096 640503980 /nfs/dbraw/zinc/50/39/80/640503980.db2.gz FJTRFBKKBFIDLW-CQSZACIVSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@H]1C[C@@H](Nc2nc(Cl)cs2)CCN1C ZINC000165076941 640529751 /nfs/dbraw/zinc/52/97/51/640529751.db2.gz XMHVHMUMLAIKMX-YUMQZZPRSA-N 0 3 245.779 2.691 20 0 BFADHN COc1cc(CNCc2cccc(C)c2)ccn1 ZINC000057395121 640605528 /nfs/dbraw/zinc/60/55/28/640605528.db2.gz UTUFOSSPAJONGS-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN C[C@@H](NC[C@H]1CSCCS1)c1ccco1 ZINC000157176836 640617223 /nfs/dbraw/zinc/61/72/23/640617223.db2.gz OVFLKVOAFUDKAO-ZJUUUORDSA-N 0 3 243.397 2.779 20 0 BFADHN c1nnsc1CN1C[C@H](C2CC2)C[C@@H]1C1CC1 ZINC000932890764 640641200 /nfs/dbraw/zinc/64/12/00/640641200.db2.gz PQDZPYBRGIYPLG-DGCLKSJQSA-N 0 3 249.383 2.549 20 0 BFADHN CCO[C@H]1CCN(Cc2csc(C)c2)C1 ZINC000932971715 640656044 /nfs/dbraw/zinc/65/60/44/640656044.db2.gz OWXAECFYZJJNRX-LBPRGKRZSA-N 0 3 225.357 2.667 20 0 BFADHN CN(Cc1ccc(Cl)nn1)CC(C)(C)C ZINC000167403403 640717152 /nfs/dbraw/zinc/71/71/52/640717152.db2.gz CBIGPKQIZYIZPX-UHFFFAOYSA-N 0 3 227.739 2.608 20 0 BFADHN C[C@]12CN(Cc3nccs3)C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC000933704654 640748263 /nfs/dbraw/zinc/74/82/63/640748263.db2.gz RZARZCZATFGKLD-KZVDOYCCSA-N 0 3 246.379 2.787 20 0 BFADHN C[C@H]1CCC[C@H](c2noc([C@@]3(C)CCCN3)n2)C1 ZINC000227069737 640813501 /nfs/dbraw/zinc/81/35/01/640813501.db2.gz VRNFXSWCUKDODU-COPLHBTASA-N 0 3 249.358 2.962 20 0 BFADHN Cc1cc(N[C@@H]2CCC[C@H](C)CC2)nc(N)n1 ZINC000227538988 640838704 /nfs/dbraw/zinc/83/87/04/640838704.db2.gz JEXPYEIZHFOOAO-GXSJLCMTSA-N 0 3 234.347 2.748 20 0 BFADHN C[C@@H](O)[C@@H](C)N[C@@H](C)c1ccccc1Cl ZINC000087128918 640851534 /nfs/dbraw/zinc/85/15/34/640851534.db2.gz TZFFNJJMAMXJGO-KXUCPTDWSA-N 0 3 227.735 2.760 20 0 BFADHN CCC1CCC(CNc2cc(C)nc(N)n2)CC1 ZINC000060452679 640861695 /nfs/dbraw/zinc/86/16/95/640861695.db2.gz LUBQHTBJTMJHJD-UHFFFAOYSA-N 0 3 248.374 2.996 20 0 BFADHN CSCC[C@H](C)NCc1cn2ccccc2n1 ZINC000229308887 640946386 /nfs/dbraw/zinc/94/63/86/640946386.db2.gz QGFHIJBKFKJXPB-NSHDSACASA-N 0 3 249.383 2.566 20 0 BFADHN CCCCCCNC(=O)CN1CCCC[C@@H]1C ZINC000061758359 640994095 /nfs/dbraw/zinc/99/40/95/640994095.db2.gz OGAUMRJMHIIFGG-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN CC[C@@H](C)C[C@H](C)Nc1cc(C)nc(N)n1 ZINC000087836834 641065416 /nfs/dbraw/zinc/06/54/16/641065416.db2.gz NEFGKEWQTQURED-BDAKNGLRSA-N 0 3 222.336 2.604 20 0 BFADHN COc1ccc([C@H]2CCCN2CCCF)nc1 ZINC000934349773 641132198 /nfs/dbraw/zinc/13/21/98/641132198.db2.gz QSRKJRBWFAHNKC-CYBMUJFWSA-N 0 3 238.306 2.587 20 0 BFADHN CN(Cc1cnc(Cl)cn1)C1CCCC1 ZINC000231276238 641151504 /nfs/dbraw/zinc/15/15/04/641151504.db2.gz SHADSMWZHRYQNO-UHFFFAOYSA-N 0 3 225.723 2.504 20 0 BFADHN FCCC1C[C@H]2CC[C@@H](C1)N2Cc1ccno1 ZINC000934456741 641151597 /nfs/dbraw/zinc/15/15/97/641151597.db2.gz RICMWCVHUQUTOT-YOGCLGLASA-N 0 3 238.306 2.777 20 0 BFADHN CCCCN(CC)Cc1cnc(Cl)cn1 ZINC000231275633 641152381 /nfs/dbraw/zinc/15/23/81/641152381.db2.gz KQPJZGWJLIXTOB-UHFFFAOYSA-N 0 3 227.739 2.752 20 0 BFADHN CCC(C)(C)N(C)Cc1cnc(Cl)cn1 ZINC000231281797 641153999 /nfs/dbraw/zinc/15/39/99/641153999.db2.gz ALRSKKUESKZERW-UHFFFAOYSA-N 0 3 227.739 2.750 20 0 BFADHN CCC[C@@H]1CC(=O)N(CN(CCC)CCC)C1 ZINC000173300405 641164491 /nfs/dbraw/zinc/16/44/91/641164491.db2.gz NPSFKWFHDYZNBZ-CYBMUJFWSA-N 0 3 240.391 2.715 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccc1Cl)[C@H](C)O ZINC000088162609 641166436 /nfs/dbraw/zinc/16/64/36/641166436.db2.gz TZFFNJJMAMXJGO-UTLUCORTSA-N 0 3 227.735 2.760 20 0 BFADHN C/C=C\CN[C@@H](C)c1cnn(CC2CCC2)c1 ZINC000934553237 641193728 /nfs/dbraw/zinc/19/37/28/641193728.db2.gz DLEYHSYBZFGZAQ-MVZIDQBPSA-N 0 3 233.359 2.910 20 0 BFADHN C/C=C/CN[C@@H](C)c1cnn(CC2CCC2)c1 ZINC000934553238 641194165 /nfs/dbraw/zinc/19/41/65/641194165.db2.gz DLEYHSYBZFGZAQ-PCAWENJQSA-N 0 3 233.359 2.910 20 0 BFADHN C[C@H](CN1CC[C@@H](O)[C@@H](F)C1)C1CCCCC1 ZINC000934565822 641196583 /nfs/dbraw/zinc/19/65/83/641196583.db2.gz NNXBOARPKSQRML-KWCYVHTRSA-N 0 3 243.366 2.608 20 0 BFADHN CC1(C)CCC(CN2CC[C@@H](O)[C@H](F)C2)CC1 ZINC000934570862 641200345 /nfs/dbraw/zinc/20/03/45/641200345.db2.gz NPMXTJMYMKJKET-CHWSQXEVSA-N 0 3 243.366 2.608 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCc1nnc(C2CC2)s1 ZINC000934619478 641215978 /nfs/dbraw/zinc/21/59/78/641215978.db2.gz NIFZLJLJEHOWFF-MWLCHTKSSA-N 0 3 249.383 2.860 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCc1noc2c1CCCC2 ZINC000934627131 641223467 /nfs/dbraw/zinc/22/34/67/641223467.db2.gz MGWKXJWNZDDTRZ-DGCLKSJQSA-N 0 3 246.354 2.998 20 0 BFADHN [O-]c1ccc(C[NH2+]CCC2CCC2)cc1F ZINC000232423445 641276995 /nfs/dbraw/zinc/27/69/95/641276995.db2.gz VQJXHXYRAGOGHL-UHFFFAOYSA-N 0 3 223.291 2.811 20 0 BFADHN Cc1cc(N[C@H](C)CCCC(C)C)nc(N)n1 ZINC000064029863 641297607 /nfs/dbraw/zinc/29/76/07/641297607.db2.gz WVIDRQLELLJVJK-SNVBAGLBSA-N 0 3 236.363 2.994 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccco1)c1ccc(F)cc1 ZINC000088264489 641330675 /nfs/dbraw/zinc/33/06/75/641330675.db2.gz RGZUABOQGNCFLO-ZWNOBZJWSA-N 0 3 249.285 2.803 20 0 BFADHN COc1cc([C@H](C)N[C@H]2CC[C@H](C)CC2)on1 ZINC000925382923 641401152 /nfs/dbraw/zinc/40/11/52/641401152.db2.gz OWTPNGPZZNAVPZ-DCAQKATOSA-N 0 3 238.331 2.913 20 0 BFADHN Cc1ccc(CN(C)c2ccnc(CO)c2)cc1 ZINC000040864974 641536196 /nfs/dbraw/zinc/53/61/96/641536196.db2.gz QFSLGMUFPPKFIT-UHFFFAOYSA-N 0 3 242.322 2.519 20 0 BFADHN Cc1cc(N[C@@H]2CC[C@@H](C)[C@H](C)C2)nc(N)n1 ZINC000156284616 641603064 /nfs/dbraw/zinc/60/30/64/641603064.db2.gz JTKGZWSKUXNLLN-FXPVBKGRSA-N 0 3 234.347 2.604 20 0 BFADHN CCCOC(=O)[C@@H](C)N(CC)C1CCCC1 ZINC000159285785 641675284 /nfs/dbraw/zinc/67/52/84/641675284.db2.gz RQKYINGAJPLGDH-LLVKDONJSA-N 0 3 227.348 2.593 20 0 BFADHN CCCCCCNC(=O)CN(C)[C@@H](C)CCC ZINC000048070987 641675514 /nfs/dbraw/zinc/67/55/14/641675514.db2.gz DQQNWDALMODIHC-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN CC1(C)CCC[N@@H+]1Cc1ccc([O-])c(F)c1 ZINC000232818769 641697342 /nfs/dbraw/zinc/69/73/42/641697342.db2.gz SJROXECDFZWNTJ-UHFFFAOYSA-N 0 3 223.291 2.906 20 0 BFADHN CC1(C)CCC[N@H+]1Cc1ccc([O-])c(F)c1 ZINC000232818769 641697344 /nfs/dbraw/zinc/69/73/44/641697344.db2.gz SJROXECDFZWNTJ-UHFFFAOYSA-N 0 3 223.291 2.906 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1occc1Br ZINC000227366642 641730482 /nfs/dbraw/zinc/73/04/82/641730482.db2.gz JKMGVQRXODCLOU-SFYZADRCSA-N 0 3 244.132 2.788 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2ccc(F)nc2)C1 ZINC000189279962 641799907 /nfs/dbraw/zinc/79/99/07/641799907.db2.gz PJXLLPULBYAVTD-PHIMTYICSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(F)nc2)C[C@@H]1C ZINC000189370717 641804920 /nfs/dbraw/zinc/80/49/20/641804920.db2.gz CTLDFXUDKNXROP-MNOVXSKESA-N 0 3 222.307 2.699 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1ccc(F)nc1 ZINC000189452594 641807363 /nfs/dbraw/zinc/80/73/63/641807363.db2.gz XZEPQEXYZKEVOS-NXEZZACHSA-N 0 3 208.280 2.594 20 0 BFADHN Fc1ccccc1C1(NCC[C@@H]2CCCO2)CC1 ZINC000189850258 641819431 /nfs/dbraw/zinc/81/94/31/641819431.db2.gz BJLAFGAUJGZHBR-LBPRGKRZSA-N 0 3 249.329 2.974 20 0 BFADHN CCC(=O)CCN(C)CCc1ccccc1OC ZINC000190217114 641849948 /nfs/dbraw/zinc/84/99/48/641849948.db2.gz ZOLKZGIBXLUNSF-UHFFFAOYSA-N 0 3 249.354 2.539 20 0 BFADHN CC(C)CCCCN[C@@H](C(N)=O)c1ccccc1 ZINC000077410591 641854729 /nfs/dbraw/zinc/85/47/29/641854729.db2.gz NHSHBZLFALGHIU-CQSZACIVSA-N 0 3 248.370 2.629 20 0 BFADHN CCN(Cc1c[nH]cn1)[C@@H](C)Cc1ccsc1 ZINC000190261357 641855397 /nfs/dbraw/zinc/85/53/97/641855397.db2.gz GWBWKGLTWNBIMY-NSHDSACASA-N 0 3 249.383 2.924 20 0 BFADHN C[C@H]1CC[C@@H](CNC2(c3ccccc3F)CC2)O1 ZINC000191055538 641898814 /nfs/dbraw/zinc/89/88/14/641898814.db2.gz AAJKMBVMAURUHU-RYUDHWBXSA-N 0 3 249.329 2.972 20 0 BFADHN CCc1csc(SC[C@H]2CCCN2C)n1 ZINC000918543744 642222204 /nfs/dbraw/zinc/22/22/04/642222204.db2.gz KRYMJNOVKKERKY-SNVBAGLBSA-N 0 3 242.413 2.892 20 0 BFADHN CC(C)(C)C[C@@H]1CCN(c2ccnc(CO)c2)C1 ZINC000307843121 642324831 /nfs/dbraw/zinc/32/48/31/642324831.db2.gz GHKAOYSGDZSWGG-LBPRGKRZSA-N 0 3 248.370 2.836 20 0 BFADHN COc1cccc(CN2CCCOC[C@@H]2C)c1C ZINC000930069340 642327899 /nfs/dbraw/zinc/32/78/99/642327899.db2.gz XLUHRPBFFBKFEN-LBPRGKRZSA-N 0 3 249.354 2.614 20 0 BFADHN CC(C)OCCN[C@@H](C)c1cc(O)cc(F)c1 ZINC000926154034 642516953 /nfs/dbraw/zinc/51/69/53/642516953.db2.gz GLOOHRDGOAGGRK-JTQLQIEISA-N 0 3 241.306 2.607 20 0 BFADHN CCOCCCNCc1cc(C)cnc1Cl ZINC000921471212 642629896 /nfs/dbraw/zinc/62/98/96/642629896.db2.gz YDGXCVOHMPSKOJ-UHFFFAOYSA-N 0 3 242.750 2.560 20 0 BFADHN CCOc1cccc(CNC/C=C/Cl)c1 ZINC000233785488 642693163 /nfs/dbraw/zinc/69/31/63/642693163.db2.gz IBCFLLXXSAZDOB-QPJJXVBHSA-N 0 3 225.719 2.927 20 0 BFADHN S=c1ncccn1CN1CCC[C@H]1C1CCC1 ZINC000170842350 642693750 /nfs/dbraw/zinc/69/37/50/642693750.db2.gz PBPXIQMFAKRUOH-LBPRGKRZSA-N 0 3 249.383 2.835 20 0 BFADHN COC/C(C)=C/CN(C)Cc1cccc(F)c1 ZINC000929210509 642715195 /nfs/dbraw/zinc/71/51/95/642715195.db2.gz PDZOYGWDDIFACX-KPKJPENVSA-N 0 3 237.318 2.850 20 0 BFADHN CC(C)c1cccc(NC(=O)[C@H](N)CC2CC2)c1 ZINC000306081763 642721553 /nfs/dbraw/zinc/72/15/53/642721553.db2.gz DFJUGAJTLCTKJB-CQSZACIVSA-N 0 3 246.354 2.876 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1cnc(Cl)s1 ZINC000306199646 642731665 /nfs/dbraw/zinc/73/16/65/642731665.db2.gz RPXYQHIBYKRCSJ-POYBYMJQSA-N 0 3 248.804 2.638 20 0 BFADHN C[C@@H]1Cc2ccccc2CN1CCC(=O)C1CC1 ZINC000767406844 642915118 /nfs/dbraw/zinc/91/51/18/642915118.db2.gz JENMXNJDGZHNBX-GFCCVEGCSA-N 0 3 243.350 2.802 20 0 BFADHN CC[C@H](NCc1nonc1C)C1CCCCC1 ZINC000070261823 643007516 /nfs/dbraw/zinc/00/75/16/643007516.db2.gz NKMJWGUECUGAQF-LBPRGKRZSA-N 0 3 237.347 2.827 20 0 BFADHN c1nncn1CN1CCCC2(C1)CCCCCC2 ZINC000182598239 643036797 /nfs/dbraw/zinc/03/67/97/643036797.db2.gz KGEGUGMAKJFTNU-UHFFFAOYSA-N 0 3 248.374 2.672 20 0 BFADHN C[C@H](N[C@@H](C)C1(C)CC1)c1nccs1 ZINC000070634011 643052809 /nfs/dbraw/zinc/05/28/09/643052809.db2.gz QRELKLUJSOKTJY-IUCAKERBSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@H]1CCN([C@@H]2CCc3ccc(F)cc32)CCO1 ZINC000932345368 643154801 /nfs/dbraw/zinc/15/48/01/643154801.db2.gz FVSNUKZSHLOSJH-XHDPSFHLSA-N 0 3 249.329 2.924 20 0 BFADHN CCOC(=O)/C=C/CN[C@H](C)c1ccc(C)cc1 ZINC000131038495 643171009 /nfs/dbraw/zinc/17/10/09/643171009.db2.gz HIMXTRPPSYIMMJ-URWSZGRFSA-N 0 3 247.338 2.765 20 0 BFADHN CC(C)CCN[C@H](c1ncccn1)C1CC1 ZINC000932361207 643172217 /nfs/dbraw/zinc/17/22/17/643172217.db2.gz TYKDNXGTMPSHFD-LBPRGKRZSA-N 0 3 219.332 2.563 20 0 BFADHN CCOC(=O)/C=C/CN[C@H](CC)c1ccccc1 ZINC000131052495 643188779 /nfs/dbraw/zinc/18/87/79/643188779.db2.gz SUJADZMANFTVLW-BMGYJQCNSA-N 0 3 247.338 2.847 20 0 BFADHN CCCCCC[C@@H](C)NC(=O)CN(CC)CC ZINC000072790700 643304709 /nfs/dbraw/zinc/30/47/09/643304709.db2.gz TYBGMLXIHFSOAF-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN CO[C@H](CNCc1ccccc1C)C(F)(F)F ZINC000733328940 643329238 /nfs/dbraw/zinc/32/92/38/643329238.db2.gz HYRJMHPXHHFASK-LLVKDONJSA-N 0 3 247.260 2.662 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cn1cc[nH]c1=S ZINC000072918737 643331993 /nfs/dbraw/zinc/33/19/93/643331993.db2.gz AHWPUSSLHXQCSC-VHSXEESVSA-N 0 3 225.361 2.624 20 0 BFADHN CCOC(=O)[C@H](CC)N1CCCCCCC1 ZINC000082760969 643376752 /nfs/dbraw/zinc/37/67/52/643376752.db2.gz HWSQXKWOKATTKZ-LBPRGKRZSA-N 0 3 227.348 2.594 20 0 BFADHN CO[C@@H]1CCC[C@@H]1NCc1ccccc1Cl ZINC000049948916 643567175 /nfs/dbraw/zinc/56/71/75/643567175.db2.gz AWTXBKJUKWBKMP-QWHCGFSZSA-N 0 3 239.746 2.997 20 0 BFADHN Cc1cc(N[C@@H]2CC[C@@H](C)C[C@@H]2C)nc(N)n1 ZINC000083532330 643584868 /nfs/dbraw/zinc/58/48/68/643584868.db2.gz SXGTYLLQZGRRMM-WCABBAIRSA-N 0 3 234.347 2.604 20 0 BFADHN CCC[C@H](C)NCc1c(Cl)c(C)nn1C ZINC000084270343 643653458 /nfs/dbraw/zinc/65/34/58/643653458.db2.gz HLUXOWWDEBGMJU-QMMMGPOBSA-N 0 3 229.755 2.660 20 0 BFADHN CSC[C@@H](C)NCc1cc(C)cnc1Cl ZINC000921756179 643773951 /nfs/dbraw/zinc/77/39/51/643773951.db2.gz GSLWQKIIAXRUPV-SECBINFHSA-N 0 3 244.791 2.885 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@H]1CCC1(C)C ZINC000922128531 643866996 /nfs/dbraw/zinc/86/69/96/643866996.db2.gz JEJCTKMTTCKUQL-JTQLQIEISA-N 0 3 241.766 2.904 20 0 BFADHN CC/C=C/CNCc1ccnn1C1CCC1 ZINC000922156639 643876313 /nfs/dbraw/zinc/87/63/13/643876313.db2.gz QPMOZNJOACLAST-ONEGZZNKSA-N 0 3 219.332 2.664 20 0 BFADHN CC/C=C/CNCc1cnn(CC2CCC2)c1 ZINC000922156334 643877194 /nfs/dbraw/zinc/87/71/94/643877194.db2.gz MUPPKOUSMPWHMU-ONEGZZNKSA-N 0 3 233.359 2.739 20 0 BFADHN Cn1cc(CN[C@H]2C=CCC2)c(C2CCCC2)n1 ZINC000922240276 643916116 /nfs/dbraw/zinc/91/61/16/643916116.db2.gz DPPVUXLBIFCOIF-AWEZNQCLSA-N 0 3 245.370 2.886 20 0 BFADHN CC(C)c1cccc(CNCC2OCCCO2)c1 ZINC000922277473 643944694 /nfs/dbraw/zinc/94/46/94/643944694.db2.gz BKEPPLWWCXZUJE-UHFFFAOYSA-N 0 3 249.354 2.663 20 0 BFADHN COC(OC)[C@@H](C)NC/C(C)=C/c1ccccc1 ZINC000922311887 643967028 /nfs/dbraw/zinc/96/70/28/643967028.db2.gz MZSCROYAOANXOS-RSKUSDAESA-N 0 3 249.354 2.687 20 0 BFADHN COC(OC)[C@@H](C)NC/C(C)=C\c1ccccc1 ZINC000922311886 643967659 /nfs/dbraw/zinc/96/76/59/643967659.db2.gz MZSCROYAOANXOS-KXXVWKPMSA-N 0 3 249.354 2.687 20 0 BFADHN c1nc(CNCC[C@@H]2CC[C@H]3C[C@H]32)cn1C1CC1 ZINC000922430235 643993248 /nfs/dbraw/zinc/99/32/48/643993248.db2.gz DCJOEFIKJSXWBB-HUBLWGQQSA-N 0 3 245.370 2.744 20 0 BFADHN Cc1cnc(CN[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)s1 ZINC000922510277 644008547 /nfs/dbraw/zinc/00/85/47/644008547.db2.gz LURYBIPOZZOLMN-CZULRBLNSA-N 0 3 222.357 2.586 20 0 BFADHN c1cnc([C@H](NC[C@@H]2CC=CCC2)C2CC2)nc1 ZINC000922581746 644032549 /nfs/dbraw/zinc/03/25/49/644032549.db2.gz JDKRIOUZFCSFLO-TZMCWYRMSA-N 0 3 243.354 2.874 20 0 BFADHN C[C@H]1COC[C@H]1NCc1cc2cc(F)ccc2o1 ZINC000922642198 644055858 /nfs/dbraw/zinc/05/58/58/644055858.db2.gz SXFQERWJIZRCSV-TVQRCGJNSA-N 0 3 249.285 2.696 20 0 BFADHN CCC[C@H](O)CCNCc1cc(F)ccc1F ZINC000922823337 644112019 /nfs/dbraw/zinc/11/20/19/644112019.db2.gz BUDZFGXIXUCCST-LBPRGKRZSA-N 0 3 243.297 2.606 20 0 BFADHN CCOC(CN[C@@H](C)c1cccs1)OCC ZINC000086493130 644141762 /nfs/dbraw/zinc/14/17/62/644141762.db2.gz OZRAOVAOWXCVAG-JTQLQIEISA-N 0 3 243.372 2.798 20 0 BFADHN C[C@@H]1CC[C@@H]([NH2+]Cc2cncc([O-])c2)C[C@H]1C ZINC000922975903 644205472 /nfs/dbraw/zinc/20/54/72/644205472.db2.gz NQHBQKCLJXNRSA-NQBHXWOUSA-N 0 3 234.343 2.702 20 0 BFADHN C[C@H]1CN(CCCc2cccnc2)CC(C)(C)O1 ZINC000929348113 644234446 /nfs/dbraw/zinc/23/44/46/644234446.db2.gz NXMIMPGQMMQOBK-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN c1cc(CNC2([C@@H]3CCCCO3)CC2)cs1 ZINC000923027281 644237488 /nfs/dbraw/zinc/23/74/88/644237488.db2.gz JVHGUTINFQSANG-LBPRGKRZSA-N 0 3 237.368 2.939 20 0 BFADHN CCCCCCC(=O)N[C@H]1CCCN(CC)C1 ZINC000194652534 644336564 /nfs/dbraw/zinc/33/65/64/644336564.db2.gz UGCAKIWDIGPNPQ-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN CCCCCCC(=O)N[C@@H]1CCCN(CC)C1 ZINC000194652517 644337686 /nfs/dbraw/zinc/33/76/86/644337686.db2.gz UGCAKIWDIGPNPQ-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN COCCCNCc1nc(C(C)(C)C)cs1 ZINC000087375475 644492518 /nfs/dbraw/zinc/49/25/18/644492518.db2.gz UABJNFFHRHYCRM-UHFFFAOYSA-N 0 3 242.388 2.567 20 0 BFADHN CCCCC[C@H](C)NC(=O)CN(C)[C@H](C)CC ZINC000245453101 644526016 /nfs/dbraw/zinc/52/60/16/644526016.db2.gz QYSQVZLGEOWHPZ-QWHCGFSZSA-N 0 3 242.407 2.802 20 0 BFADHN CC(C)(C)NCc1cn(C2CCCCC2)nn1 ZINC000090298134 644587008 /nfs/dbraw/zinc/58/70/08/644587008.db2.gz UHLUCCXBDZHWEV-UHFFFAOYSA-N 0 3 236.363 2.671 20 0 BFADHN C[C@H](NCCC1=CCCC1)c1cnccn1 ZINC000090798499 644605137 /nfs/dbraw/zinc/60/51/37/644605137.db2.gz QEYMKCBGLNUZGS-NSHDSACASA-N 0 3 217.316 2.628 20 0 BFADHN CCCCC[C@@H](C)NC(=O)CN1CCC[C@H]1C ZINC000246845675 644607310 /nfs/dbraw/zinc/60/73/10/644607310.db2.gz HSVVQELSVULBSP-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN CN(C)Cc1ccccc1NC(=O)C=C1CCC1 ZINC000755157762 644617858 /nfs/dbraw/zinc/61/78/58/644617858.db2.gz YEYFFXWTUNUFBC-UHFFFAOYSA-N 0 3 244.338 2.797 20 0 BFADHN COCCOc1ccccc1CN1CCC1(C)C ZINC000093460412 644692020 /nfs/dbraw/zinc/69/20/20/644692020.db2.gz YETLZMNXZVSKRW-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN C[C@H](c1ccncc1)N(C)C[C@H]1CCC=CO1 ZINC000248906083 644704456 /nfs/dbraw/zinc/70/44/56/644704456.db2.gz OVEWKTKMFQYQLF-TZMCWYRMSA-N 0 3 232.327 2.767 20 0 BFADHN FC(F)(F)[C@@H]1CCCN(C[C@H]2CCC=CO2)C1 ZINC000248881197 644705173 /nfs/dbraw/zinc/70/51/73/644705173.db2.gz KTCQTPBXEGSPIC-GHMZBOCLSA-N 0 3 249.276 2.953 20 0 BFADHN Cc1cccc(-c2cnc([C@H]3CCCN3)nc2)c1 ZINC000094576927 644719989 /nfs/dbraw/zinc/71/99/89/644719989.db2.gz JNHFZZWGDZYHFI-CQSZACIVSA-N 0 3 239.322 2.877 20 0 BFADHN CCC1(CC)CCN(c2ccnc(CO)c2)C1 ZINC000094808742 644729107 /nfs/dbraw/zinc/72/91/07/644729107.db2.gz PDLWIFXMCYDRIK-UHFFFAOYSA-N 0 3 234.343 2.590 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@](C)(CO)C2CC2)o1 ZINC000304834244 644933776 /nfs/dbraw/zinc/93/37/76/644933776.db2.gz MKURDZWECQKBOX-YVLXSGLVSA-N 0 3 249.354 2.654 20 0 BFADHN Cc1cccc(F)c1CN[C@H]1COC(C)(C)C1 ZINC000841863759 645232562 /nfs/dbraw/zinc/23/25/62/645232562.db2.gz FAOFNSRZOKZHEE-LLVKDONJSA-N 0 3 237.318 2.791 20 0 BFADHN CCCc1ncc(CNC2CC(SC)C2)o1 ZINC000926538265 645264245 /nfs/dbraw/zinc/26/42/45/645264245.db2.gz SJBVEFWHSLGOPG-UHFFFAOYSA-N 0 3 240.372 2.611 20 0 BFADHN CC[C@H](N[C@H]1C[C@H](C)n2ncnc21)C1CCCC1 ZINC000926544175 645267577 /nfs/dbraw/zinc/26/75/77/645267577.db2.gz WDXMSABJJSRKOD-DRZSPHRISA-N 0 3 248.374 2.842 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@@H](C)c1cc(CO)on1 ZINC000926565733 645286766 /nfs/dbraw/zinc/28/67/66/645286766.db2.gz WLVICNSKDFQPSA-ZJUUUORDSA-N 0 3 240.347 2.642 20 0 BFADHN CCN(Cc1ccnn1CC(C)C)C(C)C ZINC000843391624 645289324 /nfs/dbraw/zinc/28/93/24/645289324.db2.gz GPQRMZFOSJNQMH-UHFFFAOYSA-N 0 3 223.364 2.769 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@H]1CCC[C@H]1C1CC1 ZINC000926577518 645294851 /nfs/dbraw/zinc/29/48/51/645294851.db2.gz XEGCYRIUGZRMMM-BIMULSAOSA-N 0 3 249.358 2.855 20 0 BFADHN CC[C@H]1COC(C)(C)CN1CC1CC(F)(F)C1 ZINC000844386443 645323891 /nfs/dbraw/zinc/32/38/91/645323891.db2.gz NSSNYYMNWFURKE-NSHDSACASA-N 0 3 247.329 2.921 20 0 BFADHN COc1cc([C@H](C)NC2CC3(CCC3)C2)on1 ZINC000926659194 645341693 /nfs/dbraw/zinc/34/16/93/645341693.db2.gz FRFLZUFKPDGERI-VIFPVBQESA-N 0 3 236.315 2.667 20 0 BFADHN CSC1CC(NCc2cccnc2Cl)C1 ZINC000926687527 645358629 /nfs/dbraw/zinc/35/86/29/645358629.db2.gz ZFKFUOIFEIDRAN-UHFFFAOYSA-N 0 3 242.775 2.719 20 0 BFADHN C[C@H](N[C@@H]1C=CCC1)c1ccnn1C1CCC1 ZINC000926689338 645360279 /nfs/dbraw/zinc/36/02/79/645360279.db2.gz JRUXEQGLJXMMRX-NWDGAFQWSA-N 0 3 231.343 2.977 20 0 BFADHN COc1ccnc([C@H](C)NCCC(C)(C)F)c1 ZINC000926702417 645366808 /nfs/dbraw/zinc/36/68/08/645366808.db2.gz PYNPMALUMYXHPJ-JTQLQIEISA-N 0 3 240.322 2.879 20 0 BFADHN COc1cc([C@H](C)NC2CC(C)(C)C2)on1 ZINC000926733127 645392535 /nfs/dbraw/zinc/39/25/35/645392535.db2.gz LAAHVVOYJLZWLF-QMMMGPOBSA-N 0 3 224.304 2.522 20 0 BFADHN C/C=C\CN[C@H](CC)c1cccc(OC)n1 ZINC000926764197 645412500 /nfs/dbraw/zinc/41/25/00/645412500.db2.gz AXMQQYBGOCWQRI-VLWUPBBWSA-N 0 3 220.316 2.707 20 0 BFADHN C/C=C\CN[C@H](C)c1cc(O)cc(F)c1 ZINC000926769857 645416006 /nfs/dbraw/zinc/41/60/06/645416006.db2.gz KTWASXQTNIPFTF-ZBJFTSOASA-N 0 3 209.264 2.758 20 0 BFADHN C[C@@H](NC[C@H]1CCCC12CC2)c1ncco1 ZINC000926775228 645422329 /nfs/dbraw/zinc/42/23/29/645422329.db2.gz GOMFFRPATQMOOO-GHMZBOCLSA-N 0 3 220.316 2.906 20 0 BFADHN C[C@@H](O)CN(Cc1cc(Cl)cs1)C1CC1 ZINC000848928295 645444466 /nfs/dbraw/zinc/44/44/66/645444466.db2.gz BPJTVFPYBPXHSQ-MRVPVSSYSA-N 0 3 245.775 2.747 20 0 BFADHN C[C@H](O)CN(Cc1cc(Cl)cs1)C1CC1 ZINC000848928296 645445247 /nfs/dbraw/zinc/44/52/47/645445247.db2.gz BPJTVFPYBPXHSQ-QMMMGPOBSA-N 0 3 245.775 2.747 20 0 BFADHN C=C/C=C/CCN[C@@H](C)c1cn(C(C)C)nn1 ZINC000926845397 645454806 /nfs/dbraw/zinc/45/48/06/645454806.db2.gz QAHXRWDBKCSAJX-SYTKJHMZSA-N 0 3 234.347 2.642 20 0 BFADHN C[C@@H]1[C@@H](NCc2cscc2Cl)CO[C@H]1C ZINC000926865797 645463757 /nfs/dbraw/zinc/46/37/57/645463757.db2.gz RDRDJUHGGCXSAN-LAEOZQHASA-N 0 3 245.775 2.915 20 0 BFADHN CCC[C@@H](O)CCN[C@H](C)c1ccc(C)o1 ZINC000927031173 645528449 /nfs/dbraw/zinc/52/84/49/645528449.db2.gz ZBUKEVZFCHHSEX-VXGBXAGGSA-N 0 3 225.332 2.790 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1cc(C2CC2)n(C)n1 ZINC000927107821 645548322 /nfs/dbraw/zinc/54/83/22/645548322.db2.gz LSQWVSGXIFPLGW-GWCFXTLKSA-N 0 3 233.359 2.576 20 0 BFADHN COC[C@@H](CN[C@H](C)c1cscn1)C(C)C ZINC000927097715 645548376 /nfs/dbraw/zinc/54/83/76/645548376.db2.gz SGZDLEGARDYDQX-GHMZBOCLSA-N 0 3 242.388 2.712 20 0 BFADHN CCC(CC)NCc1cc(C2CC2)n(C)n1 ZINC000927112958 645553679 /nfs/dbraw/zinc/55/36/79/645553679.db2.gz UAQAMEDLZXEOFV-UHFFFAOYSA-N 0 3 221.348 2.576 20 0 BFADHN Cc1cc([C@H](C)NC[C@]23C[C@H]2CCC3)nn1C ZINC000927120864 645556334 /nfs/dbraw/zinc/55/63/34/645556334.db2.gz HTPJUBFUYXTNKA-SCRDCRAPSA-N 0 3 233.359 2.569 20 0 BFADHN CC(C)n1cc([C@H](C)NC[C@]23C[C@H]2CCC3)nn1 ZINC000927121280 645558702 /nfs/dbraw/zinc/55/87/02/645558702.db2.gz KVZUUKDUIFDDEO-SCRDCRAPSA-N 0 3 248.374 2.700 20 0 BFADHN CC(C)n1cc([C@@H](C)NC[C@]23C[C@H]2CCC3)nn1 ZINC000927121279 645559077 /nfs/dbraw/zinc/55/90/77/645559077.db2.gz KVZUUKDUIFDDEO-BZPMIXESSA-N 0 3 248.374 2.700 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@]12C[C@H]1CCC2 ZINC000927123302 645560917 /nfs/dbraw/zinc/56/09/17/645560917.db2.gz XUYAUSUNFDBRRV-BZPMIXESSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](CO)C1)c1ccccc1Cl ZINC000927154136 645578240 /nfs/dbraw/zinc/57/82/40/645578240.db2.gz ZUZQLBRADVHZNK-GMTAPVOTSA-N 0 3 239.746 2.762 20 0 BFADHN C[C@H]([NH2+]CC1(C)CC1)c1cc([O-])cc(F)c1 ZINC000925492011 645669181 /nfs/dbraw/zinc/66/91/81/645669181.db2.gz FBZZIEIGAJMNEJ-VIFPVBQESA-N 0 3 223.291 2.982 20 0 BFADHN CC(C)(O)c1ccc(CNCC(C)(C)F)cc1 ZINC000865652875 645720234 /nfs/dbraw/zinc/72/02/34/645720234.db2.gz LIXROHLBZHPSIJ-UHFFFAOYSA-N 0 3 239.334 2.752 20 0 BFADHN C[C@@H](NCc1nn(C)cc1C(F)F)C(C)(C)C ZINC000866566977 645730694 /nfs/dbraw/zinc/73/06/94/645730694.db2.gz MAMFULMUTYYDGK-MRVPVSSYSA-N 0 3 245.317 2.882 20 0 BFADHN COC(=O)/C=C(/C)CN(C)Cc1ccccc1C ZINC000929121619 645956486 /nfs/dbraw/zinc/95/64/86/645956486.db2.gz LOSUBHQGKDEHSY-XFXZXTDPSA-N 0 3 247.338 2.546 20 0 BFADHN COC/C(C)=C/CN(C)Cc1cccc(OC)c1 ZINC000929207049 646003691 /nfs/dbraw/zinc/00/36/91/646003691.db2.gz LQEWCVRABJIXND-MDWZMJQESA-N 0 3 249.354 2.720 20 0 BFADHN Cc1nnsc1[C@H](C)N1C[C@H](C)C[C@@H](C)C1 ZINC000929213768 646010347 /nfs/dbraw/zinc/01/03/47/646010347.db2.gz BFCGQEYFDRWHPJ-KKZNHRDASA-N 0 3 239.388 2.885 20 0 BFADHN CN(CCOC1CC1)Cc1cccc(Cl)c1 ZINC000929232836 646024366 /nfs/dbraw/zinc/02/43/66/646024366.db2.gz XDAGTPKHBZNXCM-UHFFFAOYSA-N 0 3 239.746 2.951 20 0 BFADHN C[C@@H](c1ccc(F)nc1)N1C2CCC1CC2 ZINC000929248227 646034391 /nfs/dbraw/zinc/03/43/91/646034391.db2.gz PSJRFFREPGOFFI-GCVQQVDUSA-N 0 3 220.291 2.909 20 0 BFADHN CCN(CC(C)C)[C@H](C)c1snnc1C ZINC000929259437 646043127 /nfs/dbraw/zinc/04/31/27/646043127.db2.gz YLHIZBLYJXFVPA-SNVBAGLBSA-N 0 3 227.377 2.885 20 0 BFADHN CCc1ncc(CN([C@@H](C)C2CC2)C2CC2)o1 ZINC000929274108 646050508 /nfs/dbraw/zinc/05/05/08/646050508.db2.gz AEKIVKSCFCGPOY-JTQLQIEISA-N 0 3 234.343 3.000 20 0 BFADHN Clc1ccnc2c1CCC[C@H]2NC1CC1 ZINC000924529085 646051433 /nfs/dbraw/zinc/05/14/33/646051433.db2.gz MJYCGWSSVCSTGK-LLVKDONJSA-N 0 3 222.719 2.864 20 0 BFADHN CCc1ncc(CN(C(C)C)C2CC2)o1 ZINC000929272380 646052000 /nfs/dbraw/zinc/05/20/00/646052000.db2.gz ZSHQCTLIXLWXOK-UHFFFAOYSA-N 0 3 208.305 2.610 20 0 BFADHN CC[C@H](C)N[C@H]1CCCc2oc(C)nc21 ZINC000924536762 646054081 /nfs/dbraw/zinc/05/40/81/646054081.db2.gz AQZPBNZZXQJJME-WPRPVWTQSA-N 0 3 208.305 2.749 20 0 BFADHN C[C@@H](NC1CCCCCCC1)c1cnn(C)n1 ZINC000924536447 646054459 /nfs/dbraw/zinc/05/44/59/646054459.db2.gz YSPWZPMIWWGKBB-LLVKDONJSA-N 0 3 236.363 2.579 20 0 BFADHN COc1ccnc([C@@H](C)NCCc2ccco2)c1 ZINC000924556160 646068689 /nfs/dbraw/zinc/06/86/89/646068689.db2.gz QCEAUHGLANMJBH-LLVKDONJSA-N 0 3 246.310 2.577 20 0 BFADHN CC(C)[C@H](C)N[C@@H](C)c1cn(C(C)C)nn1 ZINC000924569077 646071283 /nfs/dbraw/zinc/07/12/83/646071283.db2.gz FZFTXWVVEDSHHQ-QWRGUYRKSA-N 0 3 224.352 2.554 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2N[C@H](C)C(C)C ZINC000924568346 646071372 /nfs/dbraw/zinc/07/13/72/646071372.db2.gz AZFOQUUKNXPAQV-KOLCDFICSA-N 0 3 222.332 2.995 20 0 BFADHN CCc1onc(C)c1CN(C)CC(C)C ZINC000929361491 646096839 /nfs/dbraw/zinc/09/68/39/646096839.db2.gz GUMXQKOZJJSMLY-UHFFFAOYSA-N 0 3 210.321 2.633 20 0 BFADHN Cc1cccc2c1[C@@H](NCc1cnn(C)c1)CC2 ZINC000924750533 646100566 /nfs/dbraw/zinc/10/05/66/646100566.db2.gz FSDKZCRDMUOXDR-AWEZNQCLSA-N 0 3 241.338 2.506 20 0 BFADHN Cc1ccsc1CN[C@@H](C)c1ccnnc1 ZINC000924791116 646106431 /nfs/dbraw/zinc/10/64/31/646106431.db2.gz GKVCPUZGBAPIGO-JTQLQIEISA-N 0 3 233.340 2.697 20 0 BFADHN Cc1ccsc1CN[C@H](C)c1ccnnc1 ZINC000924791117 646107118 /nfs/dbraw/zinc/10/71/18/646107118.db2.gz GKVCPUZGBAPIGO-SNVBAGLBSA-N 0 3 233.340 2.697 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@H]1CCCC[C@@H]1C ZINC000924800744 646110521 /nfs/dbraw/zinc/11/05/21/646110521.db2.gz TXACBTIXXPAHTL-JBLDHEPKSA-N 0 3 237.347 2.855 20 0 BFADHN CC[C@@H](N[C@H](C)c1nn(CC)nc1C)C(C)C ZINC000924799378 646110735 /nfs/dbraw/zinc/11/07/35/646110735.db2.gz NBXITZQMUBJPDS-ZYHUDNBSSA-N 0 3 238.379 2.692 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@H]1[C@H](C)CCC[C@@H]1C ZINC000924801628 646110915 /nfs/dbraw/zinc/11/09/15/646110915.db2.gz GTTAXWYZJJAIBL-KLBPJQLPSA-N 0 3 237.347 2.853 20 0 BFADHN COCC[C@@H](C)[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000924892777 646125121 /nfs/dbraw/zinc/12/51/21/646125121.db2.gz XKRSOHLGXBADKB-NXEZZACHSA-N 0 3 241.306 2.607 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](C)C1(Cl)CC1 ZINC000924948749 646137552 /nfs/dbraw/zinc/13/75/52/646137552.db2.gz JBEBCOFJGDPYRB-SCZZXKLOSA-N 0 3 241.766 2.539 20 0 BFADHN C[C@H](NC[C@@H](O)CC(C)(C)C)c1ccns1 ZINC000925087486 646180202 /nfs/dbraw/zinc/18/02/02/646180202.db2.gz DNACMGGSPYNJHW-UWVGGRQHSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@@H](NC[C@H](O)CC(C)(C)C)c1ccns1 ZINC000925087485 646180636 /nfs/dbraw/zinc/18/06/36/646180636.db2.gz DNACMGGSPYNJHW-NXEZZACHSA-N 0 3 242.388 2.591 20 0 BFADHN CSC[C@H](C)[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000925099188 646185068 /nfs/dbraw/zinc/18/50/68/646185068.db2.gz WVRUBXZDSZARIC-IUCAKERBSA-N 0 3 243.347 2.933 20 0 BFADHN Cc1nonc1[C@H](C)N[C@H]1C[C@H](C)C[C@H](C)C1 ZINC000925115249 646187995 /nfs/dbraw/zinc/18/79/95/646187995.db2.gz ISLSZSXVOJUAEE-MIZYBKAJSA-N 0 3 237.347 2.853 20 0 BFADHN CCc1ncc(CN(C)C[C@@H](C)CC)o1 ZINC000929619696 646203371 /nfs/dbraw/zinc/20/33/71/646203371.db2.gz OCPHFQFYMXDXMY-JTQLQIEISA-N 0 3 210.321 2.715 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H](c3ccco3)C2)[nH]1 ZINC000929619092 646204470 /nfs/dbraw/zinc/20/44/70/646204470.db2.gz XFZFYLKTEOJUII-LBPRGKRZSA-N 0 3 245.326 2.609 20 0 BFADHN CC[C@H]1CN(CCCc2cccnc2)C[C@@H](C)O1 ZINC000929621774 646206154 /nfs/dbraw/zinc/20/61/54/646206154.db2.gz RKINOHIQVGDVTO-HIFRSBDPSA-N 0 3 248.370 2.514 20 0 BFADHN CCCC[C@H](CCC)N[C@@H](C)c1cnn(C)n1 ZINC000925214965 646212427 /nfs/dbraw/zinc/21/24/27/646212427.db2.gz AXFYIEOSANOVRE-RYUDHWBXSA-N 0 3 238.379 2.825 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2ccns2)C1(C)C ZINC000925238544 646216602 /nfs/dbraw/zinc/21/66/02/646216602.db2.gz XMRFGDDAIWDJAK-FBIMIBRVSA-N 0 3 240.372 2.607 20 0 BFADHN C[C@H]1SCCN(CCc2ccns2)[C@@H]1C ZINC000929674449 646235285 /nfs/dbraw/zinc/23/52/85/646235285.db2.gz KKGFQVPMDLVKML-NXEZZACHSA-N 0 3 242.413 2.511 20 0 BFADHN CCC[C@@H](CC)N[C@H](C)c1nn(CC)nc1C ZINC000925351512 646251465 /nfs/dbraw/zinc/25/14/65/646251465.db2.gz KTQPUVNYYFOZIS-ZYHUDNBSSA-N 0 3 238.379 2.836 20 0 BFADHN CCc1onc(C)c1CN1CCC(C)(C)C1 ZINC000929748078 646280453 /nfs/dbraw/zinc/28/04/53/646280453.db2.gz IBGUURPFLYFPSA-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN C[C@@H](c1ccc(F)nc1)N1CCC[C@@H]1C ZINC000929755867 646288468 /nfs/dbraw/zinc/28/84/68/646288468.db2.gz SIJVDWJGLBSKAH-UWVGGRQHSA-N 0 3 208.280 2.766 20 0 BFADHN CN(CCc1ccns1)Cc1cccc(O)c1 ZINC000929777836 646306602 /nfs/dbraw/zinc/30/66/02/646306602.db2.gz PSIZNTQRTHCJHR-UHFFFAOYSA-N 0 3 248.351 2.523 20 0 BFADHN C[C@@H](N[C@@H]1COC[C@H]1C)c1ccc(F)cc1F ZINC000925510681 646307385 /nfs/dbraw/zinc/30/73/85/646307385.db2.gz ZUNALMGNJRMLHE-JRKPZEMJSA-N 0 3 241.281 2.650 20 0 BFADHN CC(C)CC(C)(C)CN[C@H](C)c1cnn(C)n1 ZINC000925509150 646307768 /nfs/dbraw/zinc/30/77/68/646307768.db2.gz VHBYECIOZXNPSR-LLVKDONJSA-N 0 3 238.379 2.538 20 0 BFADHN CC[C@@H](C)CN(CC)[C@@H](C)c1ncccn1 ZINC000929786727 646315553 /nfs/dbraw/zinc/31/55/53/646315553.db2.gz ZBQHGDDVKJAUDE-NEPJUHHUSA-N 0 3 221.348 2.906 20 0 BFADHN CCc1ncc(CN2[C@@H](C)CC[C@@H]2C)o1 ZINC000929827922 646328173 /nfs/dbraw/zinc/32/81/73/646328173.db2.gz FNEJTNLKWBTAMF-UWVGGRQHSA-N 0 3 208.305 2.610 20 0 BFADHN COc1cc([C@@H](C)N[C@@H](C)CC(C)C)on1 ZINC000925599609 646347428 /nfs/dbraw/zinc/34/74/28/646347428.db2.gz ARIOXCIOLZANEQ-VHSXEESVSA-N 0 3 226.320 2.768 20 0 BFADHN C[C@@H](N[C@@H](CO)CC(C)(C)C)c1ccns1 ZINC000925587789 646349491 /nfs/dbraw/zinc/34/94/91/646349491.db2.gz JWBKEJSRLSHTTD-NXEZZACHSA-N 0 3 242.388 2.591 20 0 BFADHN CS[C@H](C)C[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000925600063 646353692 /nfs/dbraw/zinc/35/36/92/646353692.db2.gz DHYDSAKNLRWIDK-BDAKNGLRSA-N 0 3 243.347 2.933 20 0 BFADHN CCn1nc(C)c([C@H](C)N[C@@H](C)CC(C)C)n1 ZINC000925602503 646355118 /nfs/dbraw/zinc/35/51/18/646355118.db2.gz UQOWIBDOLDVKSS-QWRGUYRKSA-N 0 3 238.379 2.692 20 0 BFADHN CCc1ncc(CN2CCC[C@@H]2CSC)o1 ZINC000929855598 646362001 /nfs/dbraw/zinc/36/20/01/646362001.db2.gz RUEYCYDZBQTNKU-SNVBAGLBSA-N 0 3 240.372 2.564 20 0 BFADHN COC/C(C)=C/CN1CCC[C@@H]1c1ccc[nH]1 ZINC000929883963 646367199 /nfs/dbraw/zinc/36/71/99/646367199.db2.gz COKDYHRMTJDNEZ-WEKMIXOTSA-N 0 3 234.343 2.744 20 0 BFADHN COC/C(C)=C/CNC1(c2ccc(F)cc2)CC1 ZINC000929970866 646383315 /nfs/dbraw/zinc/38/33/15/646383315.db2.gz INZUBNBWCHFLIT-KPKJPENVSA-N 0 3 249.329 2.997 20 0 BFADHN CC[C@@H]1C[C@H]1CN1CCC[C@@H]1c1ncc[nH]1 ZINC000930164239 646469001 /nfs/dbraw/zinc/46/90/01/646469001.db2.gz YLMVTNWRRYTQKK-GRYCIOLGSA-N 0 3 219.332 2.593 20 0 BFADHN COc1cc([C@@H](C)N[C@@H](C)C2(C)CC2)on1 ZINC000925778625 646477852 /nfs/dbraw/zinc/47/78/52/646477852.db2.gz WCOSZONYFFTVCH-BDAKNGLRSA-N 0 3 224.304 2.522 20 0 BFADHN C[C@@H](NC1(C2CC2)CC1)c1cn2c(n1)CCCC2 ZINC000925896028 646534883 /nfs/dbraw/zinc/53/48/83/646534883.db2.gz HVMMKJJMMYUDMH-LLVKDONJSA-N 0 3 245.370 2.813 20 0 BFADHN C[C@H](N[C@@H]1CC2CCC1CC2)c1ncco1 ZINC000925899548 646537147 /nfs/dbraw/zinc/53/71/47/646537147.db2.gz SYFJKTIRPRXDKP-WNYYMSAVSA-N 0 3 220.316 2.904 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CC2CCC1CC2 ZINC000925899061 646537375 /nfs/dbraw/zinc/53/73/75/646537375.db2.gz IUBLGDDYQGUARI-HQIWOYNQSA-N 0 3 235.331 2.607 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1cc(O)cc(F)c1 ZINC000926224363 646598823 /nfs/dbraw/zinc/59/88/23/646598823.db2.gz OOJSRYURSQWRAG-VHSXEESVSA-N 0 3 241.306 2.607 20 0 BFADHN CCOC[C@H](C)[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000926224363 646598825 /nfs/dbraw/zinc/59/88/25/646598825.db2.gz OOJSRYURSQWRAG-VHSXEESVSA-N 0 3 241.306 2.607 20 0 BFADHN CC(C)=CCCN[C@@H](C)c1cn(C(C)C)nn1 ZINC000926264159 646608776 /nfs/dbraw/zinc/60/87/76/646608776.db2.gz CSPDAYKDBKSOQK-LBPRGKRZSA-N 0 3 236.363 2.866 20 0 BFADHN CCn1nc(C)c([C@H](C)NCC(C)(C)CC)n1 ZINC000926282438 646610108 /nfs/dbraw/zinc/61/01/08/646610108.db2.gz VUTVGVOEELKMHA-JTQLQIEISA-N 0 3 238.379 2.693 20 0 BFADHN COc1cc([C@H](C)NC[C@H]2CC[C@H](C)C2)on1 ZINC000926339137 646621058 /nfs/dbraw/zinc/62/10/58/646621058.db2.gz KBHPUJJTEJDWJT-DCAQKATOSA-N 0 3 238.331 2.770 20 0 BFADHN C[C@@H]1C[C@@H](NCC2C(C)(C)C2(C)C)c2ncnn21 ZINC000926344457 646622216 /nfs/dbraw/zinc/62/22/16/646622216.db2.gz XNJBMXIUTWSFTC-NXEZZACHSA-N 0 3 248.374 2.556 20 0 BFADHN CO[C@H](C)[C@@H](C)[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000926452407 646641773 /nfs/dbraw/zinc/64/17/73/646641773.db2.gz HCZGSJRCEZYWTK-OPRDCNLKSA-N 0 3 241.306 2.605 20 0 BFADHN CO[C@@H](C)[C@@H](C)[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000926452405 646642135 /nfs/dbraw/zinc/64/21/35/646642135.db2.gz HCZGSJRCEZYWTK-BBBLOLIVSA-N 0 3 241.306 2.605 20 0 BFADHN CCCCC1(NCc2cc(OC)ns2)CC1 ZINC000934201632 711574858 /nfs/dbraw/zinc/57/48/58/711574858.db2.gz XWGKVZQKINBQGV-UHFFFAOYSA-N 0 3 240.372 2.964 20 0 BFADHN CSCc1ccc(CN[C@@H](C)CF)cc1 ZINC000724736182 711609617 /nfs/dbraw/zinc/60/96/17/711609617.db2.gz VNFXMRAYZYVBPB-JTQLQIEISA-N 0 3 227.348 2.997 20 0 BFADHN COC(=O)c1sccc1CNCCC(C)C ZINC000378662831 711686753 /nfs/dbraw/zinc/68/67/53/711686753.db2.gz HYOJXJAUAUFJLT-UHFFFAOYSA-N 0 3 241.356 2.670 20 0 BFADHN CC(C)NCc1ccnc(Cl)c1Cl ZINC000307208540 711774061 /nfs/dbraw/zinc/77/40/61/711774061.db2.gz OFVMHBLVHVQURE-UHFFFAOYSA-N 0 3 219.115 2.886 20 0 BFADHN CC1(C)C[C@@]1(C)[NH2+]Cc1ccc([O-])c(F)c1 ZINC000701970120 712034028 /nfs/dbraw/zinc/03/40/28/712034028.db2.gz VGQIYKYNDSXHOR-CYBMUJFWSA-N 0 3 223.291 2.810 20 0 BFADHN CO[C@@H]1CC[C@@H](N[C@H](C)c2nc(C)cs2)C1 ZINC000701994261 712036134 /nfs/dbraw/zinc/03/61/34/712036134.db2.gz BCDUKXYMXYJQTM-GMTAPVOTSA-N 0 3 240.372 2.670 20 0 BFADHN CCc1nnc(CNC[C@H]2CCC[C@H]2C)s1 ZINC000702697489 712060082 /nfs/dbraw/zinc/06/00/82/712060082.db2.gz ZVURZOJGCNRVDD-NXEZZACHSA-N 0 3 239.388 2.626 20 0 BFADHN CCOC(C)(C)CNCc1ccc(Cl)cn1 ZINC000703860562 712091220 /nfs/dbraw/zinc/09/12/20/712091220.db2.gz NHZXHRLGUDFSON-UHFFFAOYSA-N 0 3 242.750 2.640 20 0 BFADHN Clc1ccc(CN[C@]23C[C@H]2CCC3)nc1 ZINC000703871154 712091896 /nfs/dbraw/zinc/09/18/96/712091896.db2.gz COJQIOYXUDTVRW-BXKDBHETSA-N 0 3 222.719 2.767 20 0 BFADHN CC(C)SCCNCc1ccc(Cl)cn1 ZINC000703906351 712094281 /nfs/dbraw/zinc/09/42/81/712094281.db2.gz QZKJPWHBVZIIOH-UHFFFAOYSA-N 0 3 244.791 2.966 20 0 BFADHN C[C@H](NCC[C@@H]1CCSC1)c1cscn1 ZINC000704867864 712119615 /nfs/dbraw/zinc/11/96/15/712119615.db2.gz PMNWFFOZOJFCGH-VHSXEESVSA-N 0 3 242.413 2.937 20 0 BFADHN Cc1conc1CNC1[C@H](C)CCC[C@H]1C ZINC000708235663 712184290 /nfs/dbraw/zinc/18/42/90/712184290.db2.gz RMQWEPIVNBRNTD-NXEZZACHSA-N 0 3 222.332 2.897 20 0 BFADHN CCCCCCN[C@H](C)c1cn(C)cn1 ZINC000709990974 712238125 /nfs/dbraw/zinc/23/81/25/712238125.db2.gz AGGCQLFHSRHXTP-LLVKDONJSA-N 0 3 209.337 2.651 20 0 BFADHN CSCCCCCN[C@H](C)c1cn(C)cn1 ZINC000709993084 712238858 /nfs/dbraw/zinc/23/88/58/712238858.db2.gz NOSNXWCEMHJLJP-LLVKDONJSA-N 0 3 241.404 2.604 20 0 BFADHN CCOC(=O)CN(C[C@@H](C)C(C)(C)C)C(C)C ZINC000711132865 712285944 /nfs/dbraw/zinc/28/59/44/712285944.db2.gz MFLAYOZVLYNNRJ-GFCCVEGCSA-N 0 3 243.391 2.942 20 0 BFADHN CCCC1(CNCc2cnn(C)c2Cl)CC1 ZINC000378862000 712364148 /nfs/dbraw/zinc/36/41/48/712364148.db2.gz SCMSYQYCDPKCTH-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN Cn1ncc(CNCC2CC(C)(C)C2)c1Cl ZINC000378853424 712365955 /nfs/dbraw/zinc/36/59/55/712365955.db2.gz HUQRPJOKZLFBCJ-UHFFFAOYSA-N 0 3 241.766 2.599 20 0 BFADHN Cc1csc(CNCC[C@H]2CCCS2)n1 ZINC000715835691 712534445 /nfs/dbraw/zinc/53/44/45/712534445.db2.gz BBGCXHFGWKPQLA-SNVBAGLBSA-N 0 3 242.413 2.827 20 0 BFADHN CCC[C@@H](C)N[C@H](C)c1cn(CCC)nn1 ZINC000715853156 712536500 /nfs/dbraw/zinc/53/65/00/712536500.db2.gz RUKLDVXYCYHRIW-GHMZBOCLSA-N 0 3 224.352 2.527 20 0 BFADHN C[C@@H](NCC(C)(C)C(C)(C)C)c1cn(C)nn1 ZINC000715899835 712541013 /nfs/dbraw/zinc/54/10/13/712541013.db2.gz CLKLSAPSVNRYNG-SNVBAGLBSA-N 0 3 238.379 2.538 20 0 BFADHN CCCn1cc([C@@H](C)NCCC2(C)CC2)nn1 ZINC000715949953 712545183 /nfs/dbraw/zinc/54/51/83/712545183.db2.gz KHONPLIWDKCRLZ-LLVKDONJSA-N 0 3 236.363 2.529 20 0 BFADHN CC(C)CCOCCN[C@@H](C)c1ccns1 ZINC000715999699 712551586 /nfs/dbraw/zinc/55/15/86/712551586.db2.gz LVVXHKLOTUAFNF-NSHDSACASA-N 0 3 242.388 2.856 20 0 BFADHN C[C@H](NCCCCC1CC1)c1nccn1C ZINC000716313352 712554187 /nfs/dbraw/zinc/55/41/87/712554187.db2.gz ALNVYKCNCVDNDP-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)cs1 ZINC000716565046 712563588 /nfs/dbraw/zinc/56/35/88/712563588.db2.gz OMBXHXWHSDCQBC-JBSCMGISSA-N 0 3 236.384 2.833 20 0 BFADHN Cc1csc(CNCC[C@@H]2CC[C@@H]3C[C@@H]32)n1 ZINC000717084186 712629932 /nfs/dbraw/zinc/62/99/32/712629932.db2.gz YNJQBSXIEICJPC-QJPTWQEYSA-N 0 3 236.384 2.977 20 0 BFADHN Cc1nnsc1[C@@H](C)N1CC[C@H](C2CC2)C1 ZINC000930140599 712642047 /nfs/dbraw/zinc/64/20/47/712642047.db2.gz WORIIAUNAANHCP-KOLCDFICSA-N 0 3 237.372 2.639 20 0 BFADHN Cc1nonc1CN[C@H]1CC(C)(C)CC[C@@H]1C ZINC000717454930 712659004 /nfs/dbraw/zinc/65/90/04/712659004.db2.gz LKMXTLQPLATSLP-ONGXEEELSA-N 0 3 237.347 2.682 20 0 BFADHN COCC(C)(C)CCN[C@@H](C)c1cscn1 ZINC000718647731 712776542 /nfs/dbraw/zinc/77/65/42/712776542.db2.gz QJERIZUMZJMPRP-JTQLQIEISA-N 0 3 242.388 2.856 20 0 BFADHN CO[C@H]1CCC[C@@H](NCc2cscn2)CC1 ZINC000718761042 712781323 /nfs/dbraw/zinc/78/13/23/712781323.db2.gz SGANPUPWSCVOAC-PWSUYJOCSA-N 0 3 240.372 2.580 20 0 BFADHN Cc1conc1CN[C@@H]1CCCC[C@H]1C ZINC000720343993 712831927 /nfs/dbraw/zinc/83/19/27/712831927.db2.gz DUBHVSFZBBAIPL-MWLCHTKSSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H](NCC1(C)CC(F)(F)C1)c1cn(C)cn1 ZINC000720922005 712878749 /nfs/dbraw/zinc/87/87/49/712878749.db2.gz ARRUCERSTKPPAR-VIFPVBQESA-N 0 3 243.301 2.506 20 0 BFADHN C[C@H](C[C@H](O)c1cccs1)NCC1(F)CC1 ZINC000381193598 710608596 /nfs/dbraw/zinc/60/85/96/710608596.db2.gz ZKQOCLJEKLGIHD-ZJUUUORDSA-N 0 3 243.347 2.652 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1c(C)cccc1F ZINC000381318159 710622916 /nfs/dbraw/zinc/62/29/16/710622916.db2.gz NURVBDZZPFMKIK-WDEREUQCSA-N 0 3 225.307 2.647 20 0 BFADHN CSCC[C@@H](C)N[C@H](C)c1cc(C)n(C)n1 ZINC000381360909 710626824 /nfs/dbraw/zinc/62/68/24/710626824.db2.gz FQXTUFBBHHLXNO-MWLCHTKSSA-N 0 3 241.404 2.521 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CNCc1ccon1 ZINC000381395368 710631667 /nfs/dbraw/zinc/63/16/67/710631667.db2.gz OPDCRHVEJXLTJT-WCQYABFASA-N 0 3 220.316 2.757 20 0 BFADHN C[C@@H](NCc1nc2c(s1)CCC2)C1(C)CC1 ZINC000381455899 710638300 /nfs/dbraw/zinc/63/83/00/710638300.db2.gz IJDDSAHFLFVNCO-SECBINFHSA-N 0 3 236.384 2.910 20 0 BFADHN CCc1noc(C)c1[C@H](C)N[C@H]1CCSC1 ZINC000381459876 710640329 /nfs/dbraw/zinc/64/03/29/710640329.db2.gz KRIBTXLCTFNGLE-WPRPVWTQSA-N 0 3 240.372 2.702 20 0 BFADHN CCC1(CC)CCCN1CC(=O)c1cccn1C ZINC000721212053 712898812 /nfs/dbraw/zinc/89/88/12/712898812.db2.gz AKLMBUNVDOSHAD-UHFFFAOYSA-N 0 3 248.370 2.862 20 0 BFADHN Cc1ccc(CNCc2ccc(F)nc2)s1 ZINC000381611016 710660835 /nfs/dbraw/zinc/66/08/35/710660835.db2.gz SGVRLKKNLBTLCT-UHFFFAOYSA-N 0 3 236.315 2.880 20 0 BFADHN CO[C@H]1CCC[C@@H]1NCc1c(C)cccc1F ZINC000903103449 710891715 /nfs/dbraw/zinc/89/17/15/710891715.db2.gz IAYSWFKFHOTGLX-KBPBESRZSA-N 0 3 237.318 2.791 20 0 BFADHN CCCCN(CCCC)C(=O)[C@H](N)CC1CC1 ZINC000383803459 710944914 /nfs/dbraw/zinc/94/49/14/710944914.db2.gz OIDTXZDYTJNINQ-CYBMUJFWSA-N 0 3 240.391 2.543 20 0 BFADHN CCCCC[C@@H](C)NCc1cn(C(C)C)nn1 ZINC000383940695 710986819 /nfs/dbraw/zinc/98/68/19/710986819.db2.gz HAAWTURGCQESLN-GFCCVEGCSA-N 0 3 238.379 2.917 20 0 BFADHN CSC(C)(C)CCNCc1nccs1 ZINC000385201782 711007706 /nfs/dbraw/zinc/00/77/06/711007706.db2.gz HHHYNBLWICFKOG-UHFFFAOYSA-N 0 3 230.402 2.764 20 0 BFADHN C[C@@H](N(C)Cc1cnc(Cl)cn1)C1(C)CC1 ZINC000385609920 711015658 /nfs/dbraw/zinc/01/56/58/711015658.db2.gz JBWXWJNHVDQHSB-SECBINFHSA-N 0 3 239.750 2.750 20 0 BFADHN OCC[C@@H](NCc1ccsc1Cl)C1CC1 ZINC000389879953 711095147 /nfs/dbraw/zinc/09/51/47/711095147.db2.gz NPPXEESFWVOIRK-SNVBAGLBSA-N 0 3 245.775 2.652 20 0 BFADHN FC1(F)CC(NCc2ccc(Cl)nc2)C1 ZINC000389931986 711098526 /nfs/dbraw/zinc/09/85/26/711098526.db2.gz LHLZBCRURBDDBL-UHFFFAOYSA-N 0 3 232.661 2.622 20 0 BFADHN C/C=C\CNCc1cccc([N+](=O)[O-])c1C ZINC000390148715 711106616 /nfs/dbraw/zinc/10/66/16/711106616.db2.gz KTIZXGNBMGLCLH-ARJAWSKDSA-N 0 3 220.272 2.569 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2C[C@@H](C)CC[C@H]2C)[n-]1 ZINC000392360109 711144582 /nfs/dbraw/zinc/14/45/82/711144582.db2.gz AIZAJSMVRWKLQR-MIZYBKAJSA-N 0 3 236.363 2.588 20 0 BFADHN CCc1nn(C)c(Cl)c1CN[C@H]1CC[C@H]1C ZINC000390581552 711126874 /nfs/dbraw/zinc/12/68/74/711126874.db2.gz XASIKKCNFMYFAQ-KCJUWKMLSA-N 0 3 241.766 2.524 20 0 BFADHN Fc1ncccc1CN[C@H]1CCC12CCC2 ZINC000390798283 711134406 /nfs/dbraw/zinc/13/44/06/711134406.db2.gz VDPRAQRDHGWUGZ-NSHDSACASA-N 0 3 220.291 2.643 20 0 BFADHN CC1(C)SC[C@H]1N[C@H]1CCc2cccnc21 ZINC000393838296 711177231 /nfs/dbraw/zinc/17/72/31/711177231.db2.gz DYHJGRJTDKEFOP-WDEREUQCSA-N 0 3 234.368 2.553 20 0 BFADHN CC[C@H](C)CCNCc1ncc(Cl)n1C ZINC000394406537 711191461 /nfs/dbraw/zinc/19/14/61/711191461.db2.gz PYTQOIDSYJAZJJ-VIFPVBQESA-N 0 3 229.755 2.599 20 0 BFADHN CC[C@@H](C)CCNCc1ncc(Cl)n1C ZINC000394406534 711191657 /nfs/dbraw/zinc/19/16/57/711191657.db2.gz PYTQOIDSYJAZJJ-SECBINFHSA-N 0 3 229.755 2.599 20 0 BFADHN Cn1c(Cl)cnc1CN[C@]1(C)CC=CCC1 ZINC000398470147 711410398 /nfs/dbraw/zinc/41/03/98/711410398.db2.gz NBPIACNYCBQKSG-GFCCVEGCSA-N 0 3 239.750 2.662 20 0 BFADHN CN(CCC(C)(C)C)Cc1ccc(Cl)nn1 ZINC000399802036 711434820 /nfs/dbraw/zinc/43/48/20/711434820.db2.gz BGGXURVLABATCZ-UHFFFAOYSA-N 0 3 241.766 2.998 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCCC(C)(C)C2)[n-]1 ZINC000392347234 711144896 /nfs/dbraw/zinc/14/48/96/711144896.db2.gz GPTLGTUTXCUCAX-GXSJLCMTSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+][C@@H]2CCCCC2(C)C)[n-]1 ZINC000392385731 711144931 /nfs/dbraw/zinc/14/49/31/711144931.db2.gz WZURPFIDZNGFFN-MWLCHTKSSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCC[C@H](C3CC3)C2)[n-]1 ZINC000392380206 711145077 /nfs/dbraw/zinc/14/50/77/711145077.db2.gz RKPKDUQZDMUFKJ-TVYUQYBPSA-N 0 3 248.374 2.733 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+][C@@H]2CCCC23CCCC3)[n-]1 ZINC000392343204 711145108 /nfs/dbraw/zinc/14/51/08/711145108.db2.gz CYVAESQEEUZBLY-ZYHUDNBSSA-N 0 3 248.374 2.877 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCCC23CCCC3)[n-]1 ZINC000392343197 711145292 /nfs/dbraw/zinc/14/52/92/711145292.db2.gz CYVAESQEEUZBLY-JQWIXIFHSA-N 0 3 248.374 2.877 20 0 BFADHN Cc1nonc1CN[C@@H](C)[C@@H]1CC2CCC1CC2 ZINC000394294062 711188972 /nfs/dbraw/zinc/18/89/72/711188972.db2.gz OQNIMEGCVQNDFJ-ZIUBGBMXSA-N 0 3 249.358 2.682 20 0 BFADHN CC[C@H]([NH2+][C@@H](C)c1nnc(C)[n-]1)[C@H]1CC1(C)C ZINC000397621929 711387370 /nfs/dbraw/zinc/38/73/70/711387370.db2.gz QYCAUJNSTBUNGP-GDPRMGEGSA-N 0 3 236.363 2.588 20 0 BFADHN CO[C@H](CNCc1ccoc1)c1ccccc1 ZINC000383225975 711481778 /nfs/dbraw/zinc/48/17/78/711481778.db2.gz MAHYSQTXIGVDAM-CQSZACIVSA-N 0 3 231.295 2.757 20 0 BFADHN S=c1[nH]ccn1CN1C[C@@H]2CCCC[C@H]2C1 ZINC000176194418 711562774 /nfs/dbraw/zinc/56/27/74/711562774.db2.gz FOLZXVOCBVCXQZ-QWRGUYRKSA-N 0 3 237.372 2.625 20 0 BFADHN Cn1ncc(CNCCC2CC=CC2)c1Cl ZINC000724200403 711569048 /nfs/dbraw/zinc/56/90/48/711569048.db2.gz MYBAQKQAZFAFBX-UHFFFAOYSA-N 0 3 239.750 2.519 20 0 BFADHN Cc1cnc(CNCCC2CC=CC2)s1 ZINC000724201842 711569658 /nfs/dbraw/zinc/56/96/58/711569658.db2.gz JNDVKKPWVKWBOB-UHFFFAOYSA-N 0 3 222.357 2.897 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@H]3CCC[C@@H]32)[nH]1 ZINC000724780927 711613887 /nfs/dbraw/zinc/61/38/87/711613887.db2.gz SPBPYDHRHXOGFO-JSGCOSHPSA-N 0 3 233.359 2.791 20 0 BFADHN CCC1(N[C@@H](C)c2cn3c(n2)CCCC3)CC1 ZINC000926512713 711619455 /nfs/dbraw/zinc/61/94/55/711619455.db2.gz NLPSFQHTHHTBOM-NSHDSACASA-N 0 3 233.359 2.813 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@@H]1C[C@H]1C1CC1 ZINC000926549467 711637358 /nfs/dbraw/zinc/63/73/58/711637358.db2.gz JCBVRFNNDUTOEU-AVGNSLFASA-N 0 3 246.354 2.996 20 0 BFADHN Cn1ncc(CNCCC2=CCCC2)c1Cl ZINC000382305099 711656735 /nfs/dbraw/zinc/65/67/35/711656735.db2.gz ONNNKQVSIBQJLZ-UHFFFAOYSA-N 0 3 239.750 2.664 20 0 BFADHN c1cc(CN[C@H]2CCCC23CCCC3)no1 ZINC000328074281 711689249 /nfs/dbraw/zinc/68/92/49/711689249.db2.gz FGYJMNWCPFZSSY-LBPRGKRZSA-N 0 3 220.316 2.877 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C[C@H]2CCCC[C@@H]2C)[n-]1 ZINC000394868534 711690788 /nfs/dbraw/zinc/69/07/88/711690788.db2.gz WOFOXJZCRRGROL-JBLDHEPKSA-N 0 3 236.363 2.590 20 0 BFADHN CC(C)C[C@H](C)N1Cc2c[nH]nc2[C@H](C)C1 ZINC000931462284 711722747 /nfs/dbraw/zinc/72/27/47/711722747.db2.gz MZVFXJUNZSWMJK-MNOVXSKESA-N 0 3 221.348 2.763 20 0 BFADHN Cc1occc1CNC[C@H]1CC[C@H](C2CC2)O1 ZINC000722320106 711735359 /nfs/dbraw/zinc/73/53/59/711735359.db2.gz CUIIIAZBRHZKIG-ZIAGYGMSSA-N 0 3 235.327 2.635 20 0 BFADHN Fc1cccc(/C=C/CNC2CSC2)c1 ZINC000895318487 711780484 /nfs/dbraw/zinc/78/04/84/711780484.db2.gz SVNINXGRQFHRKU-DUXPYHPUSA-N 0 3 223.316 2.544 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@H](C)c1cncs1 ZINC000379657228 711806114 /nfs/dbraw/zinc/80/61/14/711806114.db2.gz MEYJDXCUIOKUMG-IWSPIJDZSA-N 0 3 228.386 2.688 20 0 BFADHN C#CCN(Cc1ccc(CC)cc1)C1CC1 ZINC000045944365 711828109 /nfs/dbraw/zinc/82/81/09/711828109.db2.gz VXFCRTTXJIVTIM-UHFFFAOYSA-N 0 3 213.324 2.847 20 0 BFADHN C[C@H](CNCc1ccoc1)Oc1ccccc1 ZINC000700351187 711989904 /nfs/dbraw/zinc/98/99/04/711989904.db2.gz AOTZKOOECAHTEV-GFCCVEGCSA-N 0 3 231.295 2.837 20 0 BFADHN O=c1[nH]c2ccccc2cc1CN[C@@H]1C=CCC1 ZINC000700560314 711995786 /nfs/dbraw/zinc/99/57/86/711995786.db2.gz GSRXJHWHOGQRMC-CYBMUJFWSA-N 0 3 240.306 2.749 20 0 BFADHN COc1ccc(F)cc1CN[C@@H]1C=CCC1 ZINC000700566406 711996713 /nfs/dbraw/zinc/99/67/13/711996713.db2.gz BEAJPNIBIIIVCR-GFCCVEGCSA-N 0 3 221.275 2.643 20 0 BFADHN Fc1cc(CN[C@H]2C=CCC2)cc(F)c1F ZINC000700602516 712000485 /nfs/dbraw/zinc/00/04/85/712000485.db2.gz JOXFVLACSNJQNX-VIFPVBQESA-N 0 3 227.229 2.912 20 0 BFADHN Cc1nc(CNC2(C)CC=CC2)sc1C ZINC000702173257 712040378 /nfs/dbraw/zinc/04/03/78/712040378.db2.gz UXNQODYTECGVJZ-UHFFFAOYSA-N 0 3 222.357 2.958 20 0 BFADHN C1=C(CN[C@@H]2CCc3cccnc32)CCC1 ZINC000702345309 712045944 /nfs/dbraw/zinc/04/59/44/712045944.db2.gz HTHYFDVKJNSTEI-CYBMUJFWSA-N 0 3 214.312 2.769 20 0 BFADHN CCc1nnc(CN[C@@](C)(CC)C2CC2)s1 ZINC000702693374 712059858 /nfs/dbraw/zinc/05/98/58/712059858.db2.gz MMICCTWWJKQYIE-LBPRGKRZSA-N 0 3 239.388 2.769 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cncs1)C1CCC1 ZINC000703089785 712066648 /nfs/dbraw/zinc/06/66/48/712066648.db2.gz QHRBXYDWEPXQED-ONGXEEELSA-N 0 3 240.372 2.609 20 0 BFADHN Cc1nnc([C@@H](C)NCCCCC(C)C)[nH]1 ZINC000703977108 712097569 /nfs/dbraw/zinc/09/75/69/712097569.db2.gz UEIDQEZWZZFARB-SNVBAGLBSA-N 0 3 224.352 2.590 20 0 BFADHN C[C@H](NCC[C@@H]1CCSC1)c1nccs1 ZINC000704869088 712119666 /nfs/dbraw/zinc/11/96/66/712119666.db2.gz UBHBDHSHVGYALG-VHSXEESVSA-N 0 3 242.413 2.937 20 0 BFADHN CCCCC[C@H](C)NCc1cc(OC)no1 ZINC000705572891 712133324 /nfs/dbraw/zinc/13/33/24/712133324.db2.gz OFEPOXGTQOTERS-JTQLQIEISA-N 0 3 226.320 2.742 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cc(Cl)n(C)n1 ZINC000706152726 712140885 /nfs/dbraw/zinc/14/08/85/712140885.db2.gz UFNGTDGQSNWEQJ-RKDXNWHRSA-N 0 3 229.755 2.598 20 0 BFADHN C[C@H]1CCCC[C@H]1NCc1cc(Cl)n(C)n1 ZINC000706167858 712141421 /nfs/dbraw/zinc/14/14/21/712141421.db2.gz LSWLWEQBCPMIGE-GXSJLCMTSA-N 0 3 241.766 2.742 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cc(Cl)n(C)n1 ZINC000706189117 712142325 /nfs/dbraw/zinc/14/23/25/712142325.db2.gz QXBCBFKWIZKHTB-GXSJLCMTSA-N 0 3 241.766 2.742 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2CCCC23CC3)cc1F ZINC000706924753 712161039 /nfs/dbraw/zinc/16/10/39/712161039.db2.gz ZAJVTMMRCNDIIY-CYBMUJFWSA-N 0 3 235.302 2.954 20 0 BFADHN CCc1nc(C)c(CNC2CC(SC)C2)o1 ZINC000706930955 712161782 /nfs/dbraw/zinc/16/17/82/712161782.db2.gz WXGTXUGWYASYIV-UHFFFAOYSA-N 0 3 240.372 2.529 20 0 BFADHN Clc1ccc(CNCCc2ccoc2)nc1 ZINC000707341765 712168622 /nfs/dbraw/zinc/16/86/22/712168622.db2.gz CLYKEGIPXCVWNJ-UHFFFAOYSA-N 0 3 236.702 2.660 20 0 BFADHN Cc1cc(C)nc(N[C@H]2COCC2(C)C)c1 ZINC000707986313 712174902 /nfs/dbraw/zinc/17/49/02/712174902.db2.gz PHMNJFXPZBIPPF-NSHDSACASA-N 0 3 220.316 2.535 20 0 BFADHN c1c[nH]c(CN2CCCCCCCC2)n1 ZINC000709048843 712207222 /nfs/dbraw/zinc/20/72/22/712207222.db2.gz LVLDXDWDGIQGLK-UHFFFAOYSA-N 0 3 207.321 2.566 20 0 BFADHN C[C@@H](NC[C@@]1(C)CC1(C)C)c1cn(C)cn1 ZINC000709937770 712233299 /nfs/dbraw/zinc/23/32/99/712233299.db2.gz DIROPBPVGOQGQP-ZWNOBZJWSA-N 0 3 221.348 2.507 20 0 BFADHN C[C@H](N[C@H]1COC[C@@H]1C)c1cccc(F)c1F ZINC000710856140 712259309 /nfs/dbraw/zinc/25/93/09/712259309.db2.gz XXPNFTMUWMRHKE-AUTRQRHGSA-N 0 3 241.281 2.650 20 0 BFADHN CC[C@H](N[C@H]1CCC1(OC)OC)c1ccccc1 ZINC000710984928 712271470 /nfs/dbraw/zinc/27/14/70/712271470.db2.gz ADIXYBGDIXCMTP-KBPBESRZSA-N 0 3 249.354 2.879 20 0 BFADHN Cc1occc1CNC[C@@H]1CCC(C)(C)CO1 ZINC000711255812 712292091 /nfs/dbraw/zinc/29/20/91/712292091.db2.gz ZHVYIEOWZYOHQH-ZDUSSCGKSA-N 0 3 237.343 2.883 20 0 BFADHN C[C@H](NC[C@H]1C=CCC1)c1cscn1 ZINC000711656956 712315388 /nfs/dbraw/zinc/31/53/88/712315388.db2.gz ULOPFOIVHGOCGO-UWVGGRQHSA-N 0 3 208.330 2.760 20 0 BFADHN c1cn(C2CC2)c(CN[C@@]23C[C@@H]2CCCC3)n1 ZINC000711740924 712321558 /nfs/dbraw/zinc/32/15/58/712321558.db2.gz YLUABNZXHIOHTH-FZMZJTMJSA-N 0 3 231.343 2.640 20 0 BFADHN CC1(C)CC[C@@H](NCc2nccn2C2CC2)C1 ZINC000711746423 712323375 /nfs/dbraw/zinc/32/33/75/712323375.db2.gz MHKIFRNLCSCGMQ-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN c1cn(C2CC2)c(CNC2(C3CCC3)CC2)n1 ZINC000711753154 712324878 /nfs/dbraw/zinc/32/48/78/712324878.db2.gz UNAGLIXPVSOPBB-UHFFFAOYSA-N 0 3 231.343 2.640 20 0 BFADHN CC1(C)C[C@]1(C)CNCc1nccn1C1CC1 ZINC000711783879 712330177 /nfs/dbraw/zinc/33/01/77/712330177.db2.gz PAWYZGUNPAGBHX-CQSZACIVSA-N 0 3 233.359 2.744 20 0 BFADHN CCC(F)(F)CN[C@@H](C1CCC1)[C@H]1CCOC1 ZINC000711922584 712339593 /nfs/dbraw/zinc/33/95/93/712339593.db2.gz CDHYFUUXIUBFRW-RYUDHWBXSA-N 0 3 247.329 2.827 20 0 BFADHN CC(C)CCCCN[C@H]1CCn2ccnc21 ZINC000379010315 712368647 /nfs/dbraw/zinc/36/86/47/712368647.db2.gz LGPMGSFFINUUIG-LBPRGKRZSA-N 0 3 221.348 2.744 20 0 BFADHN CSCC[C@H](C)N[C@H]1C[C@H](C)n2ccnc21 ZINC000379141286 712370180 /nfs/dbraw/zinc/37/01/80/712370180.db2.gz FQSHTKCSBZRKMK-DCAQKATOSA-N 0 3 239.388 2.620 20 0 BFADHN COc1cncc(CNCc2ccc(C)s2)c1 ZINC000704219444 712371622 /nfs/dbraw/zinc/37/16/22/712371622.db2.gz ZZBDQBHFHVRFSA-UHFFFAOYSA-N 0 3 248.351 2.750 20 0 BFADHN CCC(C)(CC)NCc1nnc(C)s1 ZINC000379595723 712389802 /nfs/dbraw/zinc/38/98/02/712389802.db2.gz DUWXKEIWMWRCHJ-UHFFFAOYSA-N 0 3 213.350 2.515 20 0 BFADHN CCC1([NH2+]Cc2ccc([O-])c(F)c2)CC1 ZINC000379728884 712393177 /nfs/dbraw/zinc/39/31/77/712393177.db2.gz FOPWPECTCGGJDE-UHFFFAOYSA-N 0 3 209.264 2.564 20 0 BFADHN C=C/C=C/CCN[C@@H](C)c1nccs1 ZINC000713660399 712468771 /nfs/dbraw/zinc/46/87/71/712468771.db2.gz NAZIINJNAKBNTM-YEZKRMTDSA-N 0 3 208.330 2.926 20 0 BFADHN CC1(C)CCC[C@@H](CNCc2cncs2)O1 ZINC000714379889 712480243 /nfs/dbraw/zinc/48/02/43/712480243.db2.gz BWEIWWKWGMYXFA-JTQLQIEISA-N 0 3 240.372 2.580 20 0 BFADHN COc1ccc(C)cc1[C@H](C)NCCOC1CC1 ZINC000714982252 712502770 /nfs/dbraw/zinc/50/27/70/712502770.db2.gz JSFSTMIDVKAPLB-LBPRGKRZSA-N 0 3 249.354 2.833 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@H](C2CC2)O1)c1ccco1 ZINC000715406167 712517029 /nfs/dbraw/zinc/51/70/29/712517029.db2.gz YHHWYFIGKBYAOB-VHRBIJSZSA-N 0 3 235.327 2.888 20 0 BFADHN Cc1ccc(CNC[C@H]2CC[C@@H](C3CC3)O2)o1 ZINC000715402449 712517036 /nfs/dbraw/zinc/51/70/36/712517036.db2.gz LZWKBZHRYJGFQW-KGLIPLIRSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@@H](Cc1ccccc1Cl)NCc1cc[nH]n1 ZINC000036936925 712521078 /nfs/dbraw/zinc/52/10/78/712521078.db2.gz HHHVPDKFIUILDJ-JTQLQIEISA-N 0 3 249.745 2.784 20 0 BFADHN C[C@H](CCC(C)(C)C)N[C@@H](C)c1cn(C)nn1 ZINC000715838490 712535143 /nfs/dbraw/zinc/53/51/43/712535143.db2.gz RQCYXSFJTCOTQO-MNOVXSKESA-N 0 3 238.379 2.681 20 0 BFADHN CCCCCN[C@@H](C)c1cn(CCC)nn1 ZINC000715848244 712535914 /nfs/dbraw/zinc/53/59/14/712535914.db2.gz ADOYRDUNOBQGQK-NSHDSACASA-N 0 3 224.352 2.529 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H](C)C2(C)CC2)nn1 ZINC000715864610 712537312 /nfs/dbraw/zinc/53/73/12/712537312.db2.gz ZKOXKIJIEVGKGY-QWRGUYRKSA-N 0 3 236.363 2.527 20 0 BFADHN CCCn1cc([C@H](C)NCC2(CC)CC2)nn1 ZINC000715925142 712543029 /nfs/dbraw/zinc/54/30/29/712543029.db2.gz ZEEJVRRRIGWEBC-NSHDSACASA-N 0 3 236.363 2.529 20 0 BFADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1ccns1 ZINC000715939383 712543945 /nfs/dbraw/zinc/54/39/45/712543945.db2.gz CBKJSWDSLLTEBI-IWSPIJDZSA-N 0 3 228.386 2.688 20 0 BFADHN CC(C)(C)[C@@H](Cn1ccnc1)NCc1ccco1 ZINC000037156295 712549694 /nfs/dbraw/zinc/54/96/94/712549694.db2.gz VOPLBRNWGDEXHC-CYBMUJFWSA-N 0 3 247.342 2.681 20 0 BFADHN Cc1[nH]nc(CN[C@@H]2CCCc3occc32)c1C ZINC000716440130 712560727 /nfs/dbraw/zinc/56/07/27/712560727.db2.gz LPNNGPGICCYYNI-GFCCVEGCSA-N 0 3 245.326 2.787 20 0 BFADHN Cc1[nH]nc(CN[C@H](C)c2ccsc2)c1C ZINC000716452963 712562122 /nfs/dbraw/zinc/56/21/22/712562122.db2.gz YKZWRQZCFCJCMR-SNVBAGLBSA-N 0 3 235.356 2.939 20 0 BFADHN CC[C@H](NCC1(C)OCCO1)c1ccsc1 ZINC000716887810 712580539 /nfs/dbraw/zinc/58/05/39/712580539.db2.gz LNRBMDKVPJFTHV-NSHDSACASA-N 0 3 241.356 2.552 20 0 BFADHN c1cn(C2CC2)c(CNCC[C@@H]2CC[C@@H]3C[C@@H]32)n1 ZINC000717020571 712605288 /nfs/dbraw/zinc/60/52/88/712605288.db2.gz ZKNQCKZVKLHKFC-OUCADQQQSA-N 0 3 245.370 2.744 20 0 BFADHN c1csc(CNCC[C@@H]2CC[C@H]3C[C@H]32)n1 ZINC000717046541 712624785 /nfs/dbraw/zinc/62/47/85/712624785.db2.gz HVSBUOXNMQKAAP-DCAQKATOSA-N 0 3 222.357 2.669 20 0 BFADHN Oc1cccc(CNC[C@@H]2CC2(Cl)Cl)c1 ZINC000379924988 712628201 /nfs/dbraw/zinc/62/82/01/712628201.db2.gz KOHGYLBYZILGMD-VIFPVBQESA-N 0 3 246.137 2.676 20 0 BFADHN C[C@H](NCC[C@@H]1CC[C@H]2C[C@H]21)c1nccn1C ZINC000717091092 712630058 /nfs/dbraw/zinc/63/00/58/712630058.db2.gz PQVVYOLYRXKBNC-CYDGBPFRSA-N 0 3 233.359 2.507 20 0 BFADHN C[C@H](NC[C@@H]1CCC=CO1)c1ccc(F)cn1 ZINC000379971666 712642587 /nfs/dbraw/zinc/64/25/87/712642587.db2.gz NBQFKONKZHPVEH-JQWIXIFHSA-N 0 3 236.290 2.564 20 0 BFADHN Cn1cnc(CNCC(C)(C)c2cccs2)c1 ZINC000379972175 712642694 /nfs/dbraw/zinc/64/26/94/712642694.db2.gz NHMAKXPVQZSEFC-UHFFFAOYSA-N 0 3 249.383 2.549 20 0 BFADHN COCc1ccccc1CNCc1cccnc1 ZINC000037500132 712656000 /nfs/dbraw/zinc/65/60/00/712656000.db2.gz OMVVMNKIYLMXBT-UHFFFAOYSA-N 0 3 242.322 2.518 20 0 BFADHN Cc1cccc(CNC[C@@H]2CCC=CO2)c1F ZINC000380020306 712671751 /nfs/dbraw/zinc/67/17/51/712671751.db2.gz OZDJVSIHHVQMKX-ZDUSSCGKSA-N 0 3 235.302 2.916 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1ccc(Cl)cn1 ZINC000380111714 712687688 /nfs/dbraw/zinc/68/76/88/712687688.db2.gz OKDCCXUMVFVHAV-GZMMTYOYSA-N 0 3 242.775 2.719 20 0 BFADHN CO[C@@H]1CC[C@H](C)N(Cc2cc(C)cc(C)n2)C1 ZINC000931473636 712714298 /nfs/dbraw/zinc/71/42/98/712714298.db2.gz BNLKJZBAJXAMIU-DZGCQCFKSA-N 0 3 248.370 2.698 20 0 BFADHN COc1ccc(C)cc1CNC[C@H]1CCC=CO1 ZINC000380209622 712725791 /nfs/dbraw/zinc/72/57/91/712725791.db2.gz HHPNYZMXHSFFLE-CQSZACIVSA-N 0 3 247.338 2.786 20 0 BFADHN Cc1coc(CNC[C@@H]2CCC3(CCC3)O2)c1 ZINC000718028651 712736925 /nfs/dbraw/zinc/73/69/25/712736925.db2.gz CMGJSZFLZYSDOJ-LBPRGKRZSA-N 0 3 235.327 2.779 20 0 BFADHN Cc1coc(CNCCc2cccc(C)n2)c1 ZINC000718038321 712737335 /nfs/dbraw/zinc/73/73/35/712737335.db2.gz UZSWHJJMEPIGPT-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1coc(CNC[C@H]2CCC(C)(C)O2)c1 ZINC000718045422 712738801 /nfs/dbraw/zinc/73/88/01/712738801.db2.gz RYMDMKCUCFXMJE-LLVKDONJSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1coc(CNC[C@H](C)c2nccs2)c1 ZINC000718059311 712739834 /nfs/dbraw/zinc/73/98/34/712739834.db2.gz SROVNWCNFJBURT-JTQLQIEISA-N 0 3 236.340 2.938 20 0 BFADHN Cc1coc(CN[C@H](C)Cc2ccco2)c1 ZINC000718147195 712748721 /nfs/dbraw/zinc/74/87/21/712748721.db2.gz WIMUGVOZQAVZAG-LLVKDONJSA-N 0 3 219.284 2.902 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cnc(Cl)s2)CS1 ZINC000718176420 712751562 /nfs/dbraw/zinc/75/15/62/712751562.db2.gz PZPSKGAJPHUBAT-RNFRBKRXSA-N 0 3 248.804 2.780 20 0 BFADHN CCC[C@@H](N)c1cn(CCCC(C)(F)F)nn1 ZINC000718608745 712773235 /nfs/dbraw/zinc/77/32/35/712773235.db2.gz CCWZJOQHZJNRTB-SECBINFHSA-N 0 3 246.305 2.513 20 0 BFADHN CO[C@@H]1CCC[C@H](NCc2cscn2)CC1 ZINC000718761038 712781185 /nfs/dbraw/zinc/78/11/85/712781185.db2.gz SGANPUPWSCVOAC-CMPLNLGQSA-N 0 3 240.372 2.580 20 0 BFADHN Cc1nc(CN[C@@H]2CCCC[C@H]2C)oc1C ZINC000037909284 712785746 /nfs/dbraw/zinc/78/57/46/712785746.db2.gz GUMYULJAEVCABG-BXKDBHETSA-N 0 3 222.332 2.960 20 0 BFADHN Cc1ccc([C@H](C)NCc2cn[nH]c2)s1 ZINC000038005551 712798755 /nfs/dbraw/zinc/79/87/55/712798755.db2.gz HNDOBBQKNHMIDT-VIFPVBQESA-N 0 3 221.329 2.630 20 0 BFADHN COC(C)(C)[C@H](C)N[C@H](C)c1ccc(F)cn1 ZINC000720159762 712828376 /nfs/dbraw/zinc/82/83/76/712828376.db2.gz SFLHHCBESPHJBM-ZJUUUORDSA-N 0 3 240.322 2.685 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1nocc1C ZINC000720362675 712835697 /nfs/dbraw/zinc/83/56/97/712835697.db2.gz MQVQWVILUGLHMI-SNVBAGLBSA-N 0 3 210.321 2.897 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccccc2)c(C)nn1 ZINC000720569874 712851957 /nfs/dbraw/zinc/85/19/57/712851957.db2.gz NJCSZQGWFUTBRK-LBPRGKRZSA-N 0 3 241.338 2.944 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]2CCC[C@H]2O1)c1ccco1 ZINC000720817657 712868318 /nfs/dbraw/zinc/86/83/18/712868318.db2.gz GDDLRVOZRSBUFS-KZVDOYCCSA-N 0 3 235.327 2.888 20 0 BFADHN Cc1ccc(CNC[C@@H]2C[C@H]3CCC[C@H]3O2)o1 ZINC000720818059 712868585 /nfs/dbraw/zinc/86/85/85/712868585.db2.gz GOSLVFVMRFLTLW-KWCYVHTRSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1cnc(CNCC2(C)CC(F)(F)C2)s1 ZINC000720912690 712878101 /nfs/dbraw/zinc/87/81/01/712878101.db2.gz DBKJASYPOMXTFM-UHFFFAOYSA-N 0 3 246.326 2.977 20 0 BFADHN C[C@@H](NCC1(C)CC(F)(F)C1)c1cn(C)cn1 ZINC000720922004 712879206 /nfs/dbraw/zinc/87/92/06/712879206.db2.gz ARRUCERSTKPPAR-SECBINFHSA-N 0 3 243.301 2.506 20 0 BFADHN CCc1ncc(CNC2(C)CC(F)(F)C2)s1 ZINC000720963814 712883892 /nfs/dbraw/zinc/88/38/92/712883892.db2.gz FAKPMTLHDDGBLA-UHFFFAOYSA-N 0 3 246.326 2.983 20 0 BFADHN COc1cccc(CNC2(C)CC(F)(F)C2)c1 ZINC000720967590 712884544 /nfs/dbraw/zinc/88/45/44/712884544.db2.gz QRKGJNPLOUBHMS-UHFFFAOYSA-N 0 3 241.281 2.973 20 0 BFADHN C[C@@H](CN1CCc2cnccc2C1)C(F)(F)F ZINC001208884590 957206927 /nfs/dbraw/zinc/20/69/27/957206927.db2.gz BCPJLMHCEMMJFL-VIFPVBQESA-N 0 3 244.260 2.638 20 0 BFADHN Cc1cc(CN[C@@H](C)C2(Cl)CC2)ccn1 ZINC000695650582 960327404 /nfs/dbraw/zinc/32/74/04/960327404.db2.gz WGIJNFNWNRECKW-JTQLQIEISA-N 0 3 224.735 2.640 20 0 BFADHN COc1cccc(CN[C@H](C)C2(Cl)CC2)c1 ZINC000695648130 960322168 /nfs/dbraw/zinc/32/21/68/960322168.db2.gz LRVLHYBYCSURQG-SNVBAGLBSA-N 0 3 239.746 2.945 20 0 BFADHN CCCCc1nc(CN2CCC[C@@H](C)C2)c[nH]1 ZINC001141067077 960851220 /nfs/dbraw/zinc/85/12/20/960851220.db2.gz RCPHACCOUAZPRJ-GFCCVEGCSA-N 0 3 235.375 2.984 20 0 BFADHN C[C@@H]1CCCN(Cc2cocn2)C[C@@H]1C ZINC001141126224 960873021 /nfs/dbraw/zinc/87/30/21/960873021.db2.gz FFXBVWGZBPBBKY-MNOVXSKESA-N 0 3 208.305 2.543 20 0 BFADHN Fc1cnc(Cl)c(CN[C@H]2C[C@H]3C[C@H]3C2)c1 ZINC001167911223 961815603 /nfs/dbraw/zinc/81/56/03/961815603.db2.gz ARBKIABADOZVJP-VROVMSAKSA-N 0 3 240.709 2.762 20 0 BFADHN COCCN(Cc1cccc(Cl)n1)C(C)C ZINC000131275070 962274893 /nfs/dbraw/zinc/27/48/93/962274893.db2.gz TTXVCOSBOYTGQO-UHFFFAOYSA-N 0 3 242.750 2.592 20 0 BFADHN CC1(CC[NH2+]Cc2ccc([O-])c(F)c2)CC1 ZINC000698117787 965017840 /nfs/dbraw/zinc/01/78/40/965017840.db2.gz VQFMUCPIMHRDIX-UHFFFAOYSA-N 0 3 223.291 2.811 20 0 BFADHN FC1(F)C[C@H]2CN(Cc3ccoc3)C[C@H]2C1 ZINC001204241982 966937882 /nfs/dbraw/zinc/93/78/82/966937882.db2.gz NROYGTXLAAWTIU-PHIMTYICSA-N 0 3 227.254 2.757 20 0 BFADHN CCC1(O)CCN(Cc2cc(C)c(C)o2)CC1 ZINC001204532242 967022890 /nfs/dbraw/zinc/02/28/90/967022890.db2.gz CBTDMRJOJJKJLJ-UHFFFAOYSA-N 0 3 237.343 2.633 20 0 BFADHN COc1ccc(CN(C)C2CC(C)C2)nc1C ZINC001249892203 967133993 /nfs/dbraw/zinc/13/39/93/967133993.db2.gz NKUSOIGQOZOEKB-UHFFFAOYSA-N 0 3 234.343 2.629 20 0 BFADHN CCCC[C@@H](O)CN1CCc2sccc2C1 ZINC001252085235 968507901 /nfs/dbraw/zinc/50/79/01/968507901.db2.gz GAOVQYIJWDYEET-GFCCVEGCSA-N 0 3 239.384 2.657 20 0 BFADHN Cc1ncsc1CN1CCCCC12CC2 ZINC001206986910 968527994 /nfs/dbraw/zinc/52/79/94/968527994.db2.gz JHFCTFVPSDXAEL-UHFFFAOYSA-N 0 3 222.357 2.970 20 0 BFADHN Fc1ccc(CN(CC2CC2)C2CC2)nc1 ZINC001207043726 968572205 /nfs/dbraw/zinc/57/22/05/968572205.db2.gz MAGHIDKQTCSTIF-UHFFFAOYSA-N 0 3 220.291 2.595 20 0 BFADHN CCCC[C@H](O)CNCc1cc(F)ccc1F ZINC001252108430 968589542 /nfs/dbraw/zinc/58/95/42/968589542.db2.gz OKLHKKRLMROGOH-LBPRGKRZSA-N 0 3 243.297 2.606 20 0 BFADHN CC/C=C\CCCN(C)Cc1cnc(C)nc1 ZINC001208138723 969046295 /nfs/dbraw/zinc/04/62/95/969046295.db2.gz RMBAVUHYYSTRBN-WAYWQWQTSA-N 0 3 233.359 2.963 20 0 BFADHN CCCCC[C@@H](O)CN[C@H](C)c1ccoc1 ZINC001253555681 969230267 /nfs/dbraw/zinc/23/02/67/969230267.db2.gz ALGCOXGGSZATSP-DGCLKSJQSA-N 0 3 225.332 2.871 20 0 BFADHN C[C@@H]1CCN(CCc2cc(F)c(F)cc2F)C1 ZINC001209251677 969414573 /nfs/dbraw/zinc/41/45/73/969414573.db2.gz YXMNKOUPHWTECZ-SECBINFHSA-N 0 3 243.272 2.988 20 0 BFADHN C[C@@H]1C[C@H](F)CN1C1CCC(F)(F)CC1 ZINC001254218946 969544331 /nfs/dbraw/zinc/54/43/31/969544331.db2.gz XSZPRUXRDBWMLX-BDAKNGLRSA-N 0 3 221.266 2.997 20 0 BFADHN Cc1ccc(Cl)c(CN[C@H]2CCCOC2)c1 ZINC001254369877 969582124 /nfs/dbraw/zinc/58/21/24/969582124.db2.gz LBGGGIYIOPQXIA-LBPRGKRZSA-N 0 3 239.746 2.917 20 0 BFADHN CCC/C=C/c1cc(CN(C)C)ccn1 ZINC001242253556 969649155 /nfs/dbraw/zinc/64/91/55/969649155.db2.gz VWODEPXUDOXVOG-VOTSOKGWSA-N 0 3 204.317 2.957 20 0 BFADHN CO[C@@H]1CN(C2CCC(C)(C)CC2)C[C@@H]1F ZINC001255303344 970069811 /nfs/dbraw/zinc/06/98/11/970069811.db2.gz WENKZVBDZMYTKA-NWDGAFQWSA-N 0 3 229.339 2.624 20 0 BFADHN CSc1cncc(-c2nccc(N)c2C)c1 ZINC001242947543 970170075 /nfs/dbraw/zinc/17/00/75/970170075.db2.gz DBUWTOFSLVXXJD-UHFFFAOYSA-N 0 3 231.324 2.756 20 0 BFADHN COC1CCC(N2CC[C@](C)(F)[C@H](F)C2)CC1 ZINC001255457562 970186594 /nfs/dbraw/zinc/18/65/94/970186594.db2.gz WWFVZOMPNPSTQT-TUUUFIMRSA-N 0 3 247.329 2.716 20 0 BFADHN C[C@H](CC(F)(F)F)N1C[C@H]2[C@H](CC2(F)F)C1 ZINC001255653966 970429684 /nfs/dbraw/zinc/42/96/84/970429684.db2.gz XESYBKQWNIJKDO-PRJMDXOYSA-N 0 3 243.219 2.914 20 0 BFADHN CC(=O)[C@H]1CCCN([C@H](C)CC(F)(F)F)C1 ZINC001255654814 970433211 /nfs/dbraw/zinc/43/32/11/970433211.db2.gz GYTBUOWLDKVLHG-SCZZXKLOSA-N 0 3 237.265 2.628 20 0 BFADHN C[C@H](CC(F)(F)F)N1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001255651498 970434144 /nfs/dbraw/zinc/43/41/44/970434144.db2.gz LGTVVVMCNTUDKU-RYPBNFRJSA-N 0 3 225.229 2.617 20 0 BFADHN CCCO[C@@H]1CCN([C@@H](C)CC(F)(F)F)C1 ZINC001255657744 970438036 /nfs/dbraw/zinc/43/80/36/970438036.db2.gz KFXGJAAPEJSFOK-VHSXEESVSA-N 0 3 239.281 2.828 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N(C)[C@@H](C)C(C)(C)C ZINC000400794735 970625785 /nfs/dbraw/zinc/62/57/85/970625785.db2.gz CZZBOMXBYDMVCH-SRVKXCTJSA-N 0 3 242.407 2.643 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC000400966775 970649410 /nfs/dbraw/zinc/64/94/10/970649410.db2.gz NIBUGABGECOBMU-WUHRBBMRSA-N 0 3 240.391 2.539 20 0 BFADHN CCCCCCc1nnc([C@H]2CCN(C)C2)o1 ZINC001256356371 970753935 /nfs/dbraw/zinc/75/39/35/970753935.db2.gz XAQZSUMXTZYHGP-NSHDSACASA-N 0 3 237.347 2.612 20 0 BFADHN CCSC[C@H](C)N(C)Cc1ccccn1 ZINC001173299889 975192285 /nfs/dbraw/zinc/19/22/85/975192285.db2.gz IXPQXQQYXKIIHK-NSHDSACASA-N 0 3 224.373 2.655 20 0 BFADHN CCSC[C@H](C)N1CCc2ccncc2C1 ZINC001173304151 975207965 /nfs/dbraw/zinc/20/79/65/975207965.db2.gz FLAUHJGFSLCCFO-NSHDSACASA-N 0 3 236.384 2.581 20 0 BFADHN CCSC[C@H](C)N1CC(c2cccnc2)C1 ZINC001173312300 975223808 /nfs/dbraw/zinc/22/38/08/975223808.db2.gz SLFGGFQDGUNMPU-NSHDSACASA-N 0 3 236.384 2.622 20 0 BFADHN CCSC[C@H](C)N1CCCC[C@@H]1C(C)=O ZINC001173311001 975220340 /nfs/dbraw/zinc/22/03/40/975220340.db2.gz IZPDRVKOVQSRCS-CMPLNLGQSA-N 0 3 229.389 2.572 20 0 BFADHN CCCSC[C@H](C)NCc1cccc(F)n1 ZINC001333700656 976005744 /nfs/dbraw/zinc/00/57/44/976005744.db2.gz ZWSMUANHOWKSDK-JTQLQIEISA-N 0 3 242.363 2.842 20 0 BFADHN Cc1scnc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC001232203945 977926120 /nfs/dbraw/zinc/92/61/20/977926120.db2.gz JFRXDRIFFQJOCQ-PHIMTYICSA-N 0 3 222.357 2.683 20 0 BFADHN c1nscc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001232226375 977957854 /nfs/dbraw/zinc/95/78/54/977957854.db2.gz PZRWUSLBPROQIT-NWDGAFQWSA-N 0 3 222.357 2.765 20 0 BFADHN C[C@H]1CCCCCN1Cc1cnsc1 ZINC001232228488 977958258 /nfs/dbraw/zinc/95/82/58/977958258.db2.gz RMWRAYLAKQVSJU-JTQLQIEISA-N 0 3 210.346 2.908 20 0 BFADHN C[C@H]1C[C@@H](CO)N(Cc2cc(Cl)cs2)C1 ZINC001232276739 977989953 /nfs/dbraw/zinc/98/99/53/977989953.db2.gz IVKUGULQJSSSKB-WPRPVWTQSA-N 0 3 245.775 2.604 20 0 BFADHN Cc1cccc(F)c1CN(CCCO)C(C)C ZINC001232484351 978135199 /nfs/dbraw/zinc/13/51/99/978135199.db2.gz DQGKYDUZRPSJRU-UHFFFAOYSA-N 0 3 239.334 2.727 20 0 BFADHN CN(Cc1cncc(Cl)n1)C1CCCCC1 ZINC001233446173 978601730 /nfs/dbraw/zinc/60/17/30/978601730.db2.gz KNSOQWQIZXHVIM-UHFFFAOYSA-N 0 3 239.750 2.895 20 0 BFADHN Cc1cc(CN2CC3(C2)CCOC3)sc1C ZINC001233645392 978658892 /nfs/dbraw/zinc/65/88/92/978658892.db2.gz GFAUOOLLIPQKFR-UHFFFAOYSA-N 0 3 237.368 2.587 20 0 BFADHN CSc1cc(F)cc(O[C@@H]2CCN(C)C2)c1 ZINC001234293923 978814856 /nfs/dbraw/zinc/81/48/56/978814856.db2.gz KUWUWJHLIWQYKV-SNVBAGLBSA-N 0 3 241.331 2.631 20 0 BFADHN COC(=O)CN(CC(C)C)CC1(C(C)C)CC1 ZINC001180136773 978818001 /nfs/dbraw/zinc/81/80/01/978818001.db2.gz MAHSSHGTGCTFMC-UHFFFAOYSA-N 0 3 241.375 2.554 20 0 BFADHN CN(CC(=O)Nc1ccsc1)C1CCCC1 ZINC001180624474 978915284 /nfs/dbraw/zinc/91/52/84/978915284.db2.gz CTVVSTAGSJWFRR-UHFFFAOYSA-N 0 3 238.356 2.561 20 0 BFADHN Cc1cc(F)cc(C)c1CN(C)[C@@H]1CCOC1 ZINC001237321726 979868388 /nfs/dbraw/zinc/86/83/88/979868388.db2.gz NKRGVVDDXDKLEM-CYBMUJFWSA-N 0 3 237.318 2.663 20 0 BFADHN CCc1cc(CN(C)CCOC)ccc1Cl ZINC001237739275 980041685 /nfs/dbraw/zinc/04/16/85/980041685.db2.gz SQFJMTURYIMMSW-UHFFFAOYSA-N 0 3 241.762 2.981 20 0 BFADHN COc1ccoc1CN1CCC[C@@H](C)C1 ZINC001237863067 980074395 /nfs/dbraw/zinc/07/43/95/980074395.db2.gz JOAATTOMPBQCRB-SNVBAGLBSA-N 0 3 209.289 2.520 20 0 BFADHN Cc1sccc1CN1[C@@H]2CC[C@H]1CC(=O)C2 ZINC001237969948 980122011 /nfs/dbraw/zinc/12/20/11/980122011.db2.gz RFVVAVZXHMZVFX-TXEJJXNPSA-N 0 3 235.352 2.752 20 0 BFADHN Cc1cc(CN(C)CC2CC2)ncc1Cl ZINC001238428420 980246145 /nfs/dbraw/zinc/24/61/45/980246145.db2.gz XENHDDMQUBMIRO-UHFFFAOYSA-N 0 3 224.735 2.885 20 0 BFADHN Cc1ccc(Cl)c(CN2CCC[C@H]2CO)c1 ZINC001238563942 980287703 /nfs/dbraw/zinc/28/77/03/980287703.db2.gz LZRZZFKCTSASTG-LBPRGKRZSA-N 0 3 239.746 2.605 20 0 BFADHN CC(C)P(CCNC(=O)NC1CC1)C(C)C ZINC001191506439 981058934 /nfs/dbraw/zinc/05/89/34/981058934.db2.gz XRNZBKQHKCHORK-UHFFFAOYSA-N 0 3 244.319 2.747 20 0 BFADHN CCCN(C[C@@H]1C[C@H]1CC)[C@H](C)C(=O)OCC ZINC001194029418 981534570 /nfs/dbraw/zinc/53/45/70/981534570.db2.gz DYDFHBVACZRQGB-UPJWGTAASA-N 0 3 241.375 2.696 20 0 BFADHN [NH3+]Cc1cccc(-c2cc([O-])cc(F)c2)c1 ZINC001241267600 982403272 /nfs/dbraw/zinc/40/32/72/982403272.db2.gz ZAWMNCKJWOQHTE-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN CCOC(=O)[C@H]1C[C@H](C)CCN1C[C@@H](C)CC ZINC001201514323 983248535 /nfs/dbraw/zinc/24/85/35/983248535.db2.gz DLUYYHYJIQBIFS-YNEHKIRRSA-N 0 3 241.375 2.696 20 0 BFADHN CCCC[C@H](CC)CN1C[C@@H](OC)[C@@H](F)C1 ZINC001201563133 983332172 /nfs/dbraw/zinc/33/21/72/983332172.db2.gz KYORAFOBWJAYJI-RWMBFGLXSA-N 0 3 231.355 2.872 20 0 BFADHN CC[C@H](C)[C@@H](C(=O)OC)N(C)CCC(C)C ZINC001201626518 983382970 /nfs/dbraw/zinc/38/29/70/983382970.db2.gz IYEWKLNBFUNJSL-RYUDHWBXSA-N 0 3 229.364 2.552 20 0 BFADHN CSCCCN1CCC(=O)[C@@H]2CCCC[C@@H]21 ZINC001201682317 983396236 /nfs/dbraw/zinc/39/62/36/983396236.db2.gz VKEQIBUXWQHKNY-NEPJUHHUSA-N 0 3 241.400 2.573 20 0 BFADHN CCCN1CCc2ncc(C(F)(F)F)cc2C1 ZINC001201643408 983397627 /nfs/dbraw/zinc/39/76/27/983397627.db2.gz JGOLGMGUUQXKHG-UHFFFAOYSA-N 0 3 244.260 2.869 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCC(=O)C[C@@H]2C)C[C@@H]1C ZINC000082846557 983453922 /nfs/dbraw/zinc/45/39/22/983453922.db2.gz LQWTTXHLLCOQCV-MROQNXINSA-N 0 3 223.360 2.865 20 0 BFADHN FC(F)(F)CCCN1CC2(CCC2)C1 ZINC001202378429 983647089 /nfs/dbraw/zinc/64/70/89/983647089.db2.gz ZFPOUOJBRTWDDZ-UHFFFAOYSA-N 0 3 207.239 2.815 20 0 BFADHN CC(C)n1cc(CN2CCC[C@@H](F)CC2)cn1 ZINC001137072812 983907312 /nfs/dbraw/zinc/90/73/12/983907312.db2.gz HSFXKEHSDJHGFA-CYBMUJFWSA-N 0 3 239.338 2.788 20 0 BFADHN CN1CC[C@@H](Oc2ccc(F)c(Cl)c2)C1 ZINC001225917831 974727400 /nfs/dbraw/zinc/72/74/00/974727400.db2.gz RXZFHBPCCNJLDU-SECBINFHSA-N 0 3 229.682 2.562 20 0 BFADHN CCN([C@@H](C)C(=O)OC)[C@@H]1CC[C@@H](C)[C@H](C)C1 ZINC001172384216 974727821 /nfs/dbraw/zinc/72/78/21/974727821.db2.gz UQWXXYNRQPQYQK-FVCCEPFGSA-N 0 3 241.375 2.695 20 0 BFADHN CC[C@@H](CC(C)C)n1cnc2c1CNCC2 ZINC001172397418 974754196 /nfs/dbraw/zinc/75/41/96/974754196.db2.gz PWJUUCLLBSZTNA-NSHDSACASA-N 0 3 221.348 2.526 20 0 BFADHN CC[C@@H](CC(C)C)N1CCc2cnn(C)c2C1 ZINC001172401332 974760885 /nfs/dbraw/zinc/76/08/85/974760885.db2.gz HXDSGESQKCKZMA-ZDUSSCGKSA-N 0 3 235.375 2.603 20 0 BFADHN CC[C@@H](CC(C)C)N1CCc2c(cnn2C)C1 ZINC001172400288 974763090 /nfs/dbraw/zinc/76/30/90/974763090.db2.gz FTRJSXAJHIKROU-ZDUSSCGKSA-N 0 3 235.375 2.603 20 0 BFADHN CC(C)OCC[C@@H](C)N1CCC[C@H](F)C1 ZINC001172448436 974811099 /nfs/dbraw/zinc/81/10/99/974811099.db2.gz LUYDBZSDAIAQQM-NEPJUHHUSA-N 0 3 217.328 2.624 20 0 BFADHN CC(C)OCC[C@@H](C)N1CCOC[C@@H]1C(C)C ZINC001172454944 974819076 /nfs/dbraw/zinc/81/90/76/974819076.db2.gz OPEFNYJJADIMNN-ZIAGYGMSSA-N 0 3 243.391 2.547 20 0 BFADHN CC(C)COCC[C@@H](C)n1ccnc1[C@H](C)N ZINC001172455315 974819541 /nfs/dbraw/zinc/81/95/41/974819541.db2.gz QYDNDGLQRNWDJT-NEPJUHHUSA-N 0 3 239.363 2.527 20 0 BFADHN CC(C)CC[C@H](C)N(C)Cc1ccno1 ZINC001256372603 970793177 /nfs/dbraw/zinc/79/31/77/970793177.db2.gz LZCPLRXPBCNMFJ-NSHDSACASA-N 0 3 210.321 2.931 20 0 BFADHN COc1cc(Nc2nccn2C)cc(C)c1F ZINC001215700119 970806545 /nfs/dbraw/zinc/80/65/45/970806545.db2.gz BISLTEYAIRZVOW-UHFFFAOYSA-N 0 3 235.262 2.620 20 0 BFADHN Cc1ncc(Nc2ccnc(OC(C)C)c2)n1C ZINC001215766756 970834396 /nfs/dbraw/zinc/83/43/96/970834396.db2.gz MOTCXZIPNAYFBA-UHFFFAOYSA-N 0 3 246.314 2.654 20 0 BFADHN C[C@H](Nc1cc(CN(C)C)ccn1)C(C)(C)C ZINC001157878073 970852797 /nfs/dbraw/zinc/85/27/97/970852797.db2.gz LITWPPVVZSOCPD-NSHDSACASA-N 0 3 235.375 2.990 20 0 BFADHN Cn1ccnc1Nc1ccc(Cl)c2n[nH]cc21 ZINC001215940020 970877359 /nfs/dbraw/zinc/87/73/59/970877359.db2.gz AAOZFMZCJUHRFM-UHFFFAOYSA-N 0 3 247.689 2.693 20 0 BFADHN CCOC[C@H](NCC=C(Cl)Cl)C(C)C ZINC000403265661 970902217 /nfs/dbraw/zinc/90/22/17/970902217.db2.gz ZBJRGBIKKDEOOR-VIFPVBQESA-N 0 3 240.174 2.956 20 0 BFADHN CO[C@H]1C[C@@H](NCC=C(Cl)Cl)C1(C)C ZINC000403337572 970918079 /nfs/dbraw/zinc/91/80/79/970918079.db2.gz BTALZNXCQVTDME-SFYZADRCSA-N 0 3 238.158 2.709 20 0 BFADHN COC[C@@H](NCC=C(Cl)Cl)C(C)C ZINC000403388817 970929905 /nfs/dbraw/zinc/92/99/05/970929905.db2.gz RRMVCXGBCQWTQF-MRVPVSSYSA-N 0 3 226.147 2.566 20 0 BFADHN Cc1ncc(Nc2cc(C)cc(C)c2N)n1C ZINC001216098835 970936849 /nfs/dbraw/zinc/93/68/49/970936849.db2.gz XXGLDKNEIWUAAJ-UHFFFAOYSA-N 0 3 230.315 2.671 20 0 BFADHN F[C@@H]1C[C@H]1N[C@H]1CCO[C@H](c2ccccc2)C1 ZINC001256789723 970989371 /nfs/dbraw/zinc/98/93/71/970989371.db2.gz MKSSIFBXVPHTTJ-DGAVXFQQSA-N 0 3 235.302 2.607 20 0 BFADHN CN(CCF)[C@H]1CCO[C@H](c2ccccc2)C1 ZINC001256826003 970999099 /nfs/dbraw/zinc/99/90/99/970999099.db2.gz YTMPWYLQQUMURO-KBPBESRZSA-N 0 3 237.318 2.808 20 0 BFADHN CC(=O)Nc1ccc(C2=CNCCC2)cc1F ZINC001243948861 971036291 /nfs/dbraw/zinc/03/62/91/971036291.db2.gz DOENJZYMNNEEFG-UHFFFAOYSA-N 0 3 234.274 2.508 20 0 BFADHN Cc1c(F)cc(C2=CNCCC2)cc1F ZINC001243950476 971044267 /nfs/dbraw/zinc/04/42/67/971044267.db2.gz VENSYQWJCMYMEY-UHFFFAOYSA-N 0 3 209.239 2.998 20 0 BFADHN Cc1cnc2[nH]ccc2c1C1=CNCCC1 ZINC001243951755 971048073 /nfs/dbraw/zinc/04/80/73/971048073.db2.gz ABVLLHQBIPAXMD-UHFFFAOYSA-N 0 3 213.284 2.547 20 0 BFADHN C[C@@H]1CC(NCOc2ccccc2)C[C@@H](C)O1 ZINC001257077449 971088978 /nfs/dbraw/zinc/08/89/78/971088978.db2.gz DEMWLUDSSFFKSS-VXGBXAGGSA-N 0 3 235.327 2.569 20 0 BFADHN COCn1cc(Nc2ccnc(C3CC3)c2)cn1 ZINC001216574680 971131054 /nfs/dbraw/zinc/13/10/54/971131054.db2.gz RKMVUKVHDQXUOF-UHFFFAOYSA-N 0 3 244.298 2.503 20 0 BFADHN CCCOc1ccc(Nc2cnc(C)n2C)cn1 ZINC001216584989 971135481 /nfs/dbraw/zinc/13/54/81/971135481.db2.gz AHPANABZIWAPHS-UHFFFAOYSA-N 0 3 246.314 2.656 20 0 BFADHN CCC[C@H](CC)NCc1nccnc1Cl ZINC001257243735 971137011 /nfs/dbraw/zinc/13/70/11/971137011.db2.gz CYMVDSPWGJKYDD-VIFPVBQESA-N 0 3 227.739 2.798 20 0 BFADHN CCC[C@@H](CC)NCc1nccnc1Cl ZINC001257243734 971138259 /nfs/dbraw/zinc/13/82/59/971138259.db2.gz CYMVDSPWGJKYDD-SECBINFHSA-N 0 3 227.739 2.798 20 0 BFADHN CCC[C@@H](CC)N1CCCC[C@H]1C(=O)OC ZINC001257259491 971161018 /nfs/dbraw/zinc/16/10/18/971161018.db2.gz MLZPNSUEBNBZJW-NEPJUHHUSA-N 0 3 227.348 2.593 20 0 BFADHN CCC[C@H](CC)N1CCCC[C@@H]1C(=O)OCC ZINC001257264975 971165084 /nfs/dbraw/zinc/16/50/84/971165084.db2.gz XQIABEDNZLBXBY-QWHCGFSZSA-N 0 3 241.375 2.983 20 0 BFADHN CCC[C@H](C)NCC(=O)c1ccccc1F ZINC001257307453 971195383 /nfs/dbraw/zinc/19/53/83/971195383.db2.gz MTDBMOHAGCEBHR-JTQLQIEISA-N 0 3 223.291 2.787 20 0 BFADHN CCC[C@H](C)n1nc(-c2ccncc2)cc1CN ZINC001257309389 971202581 /nfs/dbraw/zinc/20/25/81/971202581.db2.gz KNZKVWIVDPEZGB-NSHDSACASA-N 0 3 244.342 2.765 20 0 BFADHN CCC[C@H](C)N[C@H]1CCc2ccccc2C1=O ZINC001257313370 971210479 /nfs/dbraw/zinc/21/04/79/971210479.db2.gz BMJSFPFLNBRREE-FZMZJTMJSA-N 0 3 231.339 2.962 20 0 BFADHN CCC[C@H](C)N1CC[C@@](C)(F)[C@H](F)C1 ZINC001257317718 971218583 /nfs/dbraw/zinc/21/85/83/971218583.db2.gz SROVGZDOELWTNS-HBNTYKKESA-N 0 3 205.292 2.947 20 0 BFADHN CCCC(CCC)NCc1ncc(C)cn1 ZINC001257328349 971231298 /nfs/dbraw/zinc/23/12/98/971231298.db2.gz TVPNQFYHWPWQOT-UHFFFAOYSA-N 0 3 221.348 2.843 20 0 BFADHN CCCC(CCC)N[C@H](C(N)=O)c1ccccc1 ZINC001257328577 971231381 /nfs/dbraw/zinc/23/13/81/971231381.db2.gz DNVIDLPJKAYZOF-AWEZNQCLSA-N 0 3 248.370 2.771 20 0 BFADHN NCc1nn(C2CCCC2)c2ccccc12 ZINC001257342556 971251668 /nfs/dbraw/zinc/25/16/68/971251668.db2.gz ILSDHPFOXIHEAQ-UHFFFAOYSA-N 0 3 215.300 2.610 20 0 BFADHN CCCC[C@@H](CC)N1CCCC[C@H]1C(=O)OC ZINC001257417624 971313576 /nfs/dbraw/zinc/31/35/76/971313576.db2.gz FGKHBTWPSLBGNG-OLZOCXBDSA-N 0 3 241.375 2.983 20 0 BFADHN CCCCCCc1nnc([C@H]2CCCN2)o1 ZINC001217693836 971329614 /nfs/dbraw/zinc/32/96/14/971329614.db2.gz ANVKHKDQJPHQPH-SNVBAGLBSA-N 0 3 223.320 2.617 20 0 BFADHN CC[C@@H](C)N[C@@H](c1ccccc1)c1cccnn1 ZINC001257436976 971349415 /nfs/dbraw/zinc/34/94/15/971349415.db2.gz ZMKBSIWKTBWGFQ-DOMZBBRYSA-N 0 3 241.338 2.954 20 0 BFADHN CC[C@@H](C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001257446519 971363585 /nfs/dbraw/zinc/36/35/85/971363585.db2.gz OFBBDLLJIXTUDZ-SSDOTTSWSA-N 0 3 247.264 2.519 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N(C)CC(=O)N(C)C ZINC001257479804 971403995 /nfs/dbraw/zinc/40/39/95/971403995.db2.gz AIQWOWLEMFDVKY-OLZOCXBDSA-N 0 3 242.407 2.611 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N(C)CC(=O)NCC ZINC001257478240 971400148 /nfs/dbraw/zinc/40/01/48/971400148.db2.gz RAWOBCYPZOGWQC-QWHCGFSZSA-N 0 3 242.407 2.659 20 0 BFADHN CCCCC[C@H](C)N1C[C@@H](OC)[C@@H](F)C1 ZINC001257510136 971430494 /nfs/dbraw/zinc/43/04/94/971430494.db2.gz AUMPUOPXQNGLFB-SDDRHHMPSA-N 0 3 217.328 2.624 20 0 BFADHN CCCC[C@@H](CC)CO[C@H]1CCNC[C@@H]1F ZINC001217839258 971432882 /nfs/dbraw/zinc/43/28/82/971432882.db2.gz IZSXXRZPWUZEAF-AGIUHOORSA-N 0 3 231.355 2.919 20 0 BFADHN CCCC[C@@H](CC)CO[C@@H]1CCNC[C@@H]1F ZINC001217845950 971441474 /nfs/dbraw/zinc/44/14/74/971441474.db2.gz IZSXXRZPWUZEAF-FRRDWIJNSA-N 0 3 231.355 2.919 20 0 BFADHN CCCCC[C@@H](C)N(C)Cc1cnccn1 ZINC001257517049 971442553 /nfs/dbraw/zinc/44/25/53/971442553.db2.gz QLSQDWMFQDMBCF-GFCCVEGCSA-N 0 3 221.348 2.877 20 0 BFADHN CCCCC[C@@H](C)N1CCc2c(cnn2C)C1 ZINC001257520955 971444273 /nfs/dbraw/zinc/44/42/73/971444273.db2.gz WZRJIVPRPIEQQP-GFCCVEGCSA-N 0 3 235.375 2.747 20 0 BFADHN CCCCC[C@H](C)N1CCOCC12CC2 ZINC001257519242 971445152 /nfs/dbraw/zinc/44/51/52/971445152.db2.gz FCOCLLNYRFSZOT-LBPRGKRZSA-N 0 3 211.349 2.820 20 0 BFADHN CCCCC[C@H](C)N1CCc2c(cnn2C)C1 ZINC001257520956 971445383 /nfs/dbraw/zinc/44/53/83/971445383.db2.gz WZRJIVPRPIEQQP-LBPRGKRZSA-N 0 3 235.375 2.747 20 0 BFADHN CCC[C@H](OC[C@@H]1COC(C)(C)N1)C(C)C ZINC001217865277 971456826 /nfs/dbraw/zinc/45/68/26/971456826.db2.gz JXMBCJXQORJOGQ-NEPJUHHUSA-N 0 3 229.364 2.552 20 0 BFADHN CCC(CC)[C@@H](CC)OC[C@@H]1COC(C)(C)N1 ZINC001217865246 971457769 /nfs/dbraw/zinc/45/77/69/971457769.db2.gz GWYGWNOGJJLVSG-CHWSQXEVSA-N 0 3 243.391 2.942 20 0 BFADHN CCC[C@H](OC[C@H]1COC(C)(C)N1)[C@H](C)CC ZINC001217867794 971461425 /nfs/dbraw/zinc/46/14/25/971461425.db2.gz USVFHFFHSJKKES-AGIUHOORSA-N 0 3 243.391 2.942 20 0 BFADHN CCC[C@H](OC[C@@H]1COC(C)(C)N1)[C@H](C)CC ZINC001217867796 971462263 /nfs/dbraw/zinc/46/22/63/971462263.db2.gz USVFHFFHSJKKES-UPJWGTAASA-N 0 3 243.391 2.942 20 0 BFADHN CC(C)C[C@H](OC[C@@H]1COC(C)(C)N1)C(C)C ZINC001217872130 971468316 /nfs/dbraw/zinc/46/83/16/971468316.db2.gz YJZXODSHLHNYJY-OLZOCXBDSA-N 0 3 243.391 2.798 20 0 BFADHN CC(C)CCC[C@H](C)OC[C@H]1COC(C)(C)N1 ZINC001217877178 971472249 /nfs/dbraw/zinc/47/22/49/971472249.db2.gz JCNPTOMZKVPEDW-STQMWFEESA-N 0 3 243.391 2.942 20 0 BFADHN CC(C)CCC[C@@H](C)OC[C@H]1COC(C)(C)N1 ZINC001217877154 971472640 /nfs/dbraw/zinc/47/26/40/971472640.db2.gz JCNPTOMZKVPEDW-OLZOCXBDSA-N 0 3 243.391 2.942 20 0 BFADHN CC(C)CCC[C@H](C)OC[C@@H]1COC(C)(C)N1 ZINC001217877157 971473142 /nfs/dbraw/zinc/47/31/42/971473142.db2.gz JCNPTOMZKVPEDW-QWHCGFSZSA-N 0 3 243.391 2.942 20 0 BFADHN c1[nH]c2ccccc2c1CN1CC[C@@]12CCOC2 ZINC001204046087 971475435 /nfs/dbraw/zinc/47/54/35/971475435.db2.gz MPBZBMVBTZDFQM-HNNXBMFYSA-N 0 3 242.322 2.533 20 0 BFADHN CC(C)=CCC[C@@H](C)OC[C@H]1COC(C)(C)N1 ZINC001217879757 971475845 /nfs/dbraw/zinc/47/58/45/971475845.db2.gz GGZKUBAYLUWHTF-OLZOCXBDSA-N 0 3 241.375 2.862 20 0 BFADHN Cc1ccc([C@H](C)OC[C@@H]2COC(C)(C)N2)cc1 ZINC001217887827 971483372 /nfs/dbraw/zinc/48/33/72/971483372.db2.gz KCOVOGFJNTVBMT-GXTWGEPZSA-N 0 3 249.354 2.797 20 0 BFADHN CCCC/C=C/[C@@H](C)OC[C@@H]1COC(C)(C)N1 ZINC001217896112 971495506 /nfs/dbraw/zinc/49/55/06/971495506.db2.gz OQFPNXBEQXVIAZ-RYYBZQDPSA-N 0 3 241.375 2.862 20 0 BFADHN CCC[C@H](C)[C@H](CC)OC[C@H]1COC(C)(C)N1 ZINC001217923703 971528633 /nfs/dbraw/zinc/52/86/33/971528633.db2.gz DAPCMEYEVBTXGQ-AVGNSLFASA-N 0 3 243.391 2.942 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)n1cnc(CN)c1 ZINC001258051270 971573416 /nfs/dbraw/zinc/57/34/16/971573416.db2.gz DLEQHIZGCHYRKL-VXGBXAGGSA-N 0 3 223.364 2.975 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)n1ccc(CN)n1 ZINC001258051425 971574099 /nfs/dbraw/zinc/57/40/99/971574099.db2.gz KOYILULMXOPGMZ-RYUDHWBXSA-N 0 3 223.364 2.975 20 0 BFADHN F[C@@H]1CNCC[C@@H]1Oc1ccc2ccccc2c1 ZINC001218063519 971594613 /nfs/dbraw/zinc/59/46/13/971594613.db2.gz BPQYIZREIJRKRI-CABCVRRESA-N 0 3 245.297 2.919 20 0 BFADHN Cc1ccc(O[C@H]2CCNC[C@@H]2F)c2c1CCC2 ZINC001218064657 971593260 /nfs/dbraw/zinc/59/32/60/971593260.db2.gz AHXOUJJHLGKZAG-ZFWWWQNUSA-N 0 3 249.329 2.563 20 0 BFADHN Cc1cc(Cl)ccc1O[C@@H]1CCNC[C@H]1F ZINC001218066942 971593482 /nfs/dbraw/zinc/59/34/82/971593482.db2.gz OVNHLKZDHDBGLX-ZYHUDNBSSA-N 0 3 243.709 2.727 20 0 BFADHN CCC[C@@H](C)CCCN1CCO[C@@H](C)C1 ZINC001170713254 971624536 /nfs/dbraw/zinc/62/45/36/971624536.db2.gz ZSIRAYINHXZHBO-OLZOCXBDSA-N 0 3 213.365 2.924 20 0 BFADHN CCC[C@@H](C)c1cc(N=C2CCCNC2)on1 ZINC001159116969 971696130 /nfs/dbraw/zinc/69/61/30/971696130.db2.gz AYYTUHTYLABQPJ-SNVBAGLBSA-N 0 3 235.331 2.867 20 0 BFADHN CC[C@H](O)CN[C@H](C)c1cc(Cl)ccc1F ZINC000309648990 971720694 /nfs/dbraw/zinc/72/06/94/971720694.db2.gz NLLOVRHHIXSERH-SCZZXKLOSA-N 0 3 245.725 2.901 20 0 BFADHN CC1(C)[C@@H]2C[C@@H](N3CCc4ccncc4C3)C[C@@H]21 ZINC001170760176 971740057 /nfs/dbraw/zinc/74/00/57/971740057.db2.gz HADHFJQHEASNIX-QKDCVEJESA-N 0 3 242.366 2.874 20 0 BFADHN Cc1cccc2c1ccnc2NCC1CN(C)C1 ZINC001159218927 971746827 /nfs/dbraw/zinc/74/68/27/971746827.db2.gz TYNTXUQLQPABLO-UHFFFAOYSA-N 0 3 241.338 2.517 20 0 BFADHN CN(Cc1ccno1)[C@@H]1C[C@@H]2[C@H](C1)C2(C)C ZINC001170765700 971758588 /nfs/dbraw/zinc/75/85/88/971758588.db2.gz WRUKQFRRTGHVPB-WLLOZRIZSA-N 0 3 220.316 2.541 20 0 BFADHN C[C@H]1NC[C@H]1Oc1nc(-c2ccccc2)cs1 ZINC001218383241 971760774 /nfs/dbraw/zinc/76/07/74/971760774.db2.gz FTEQANMSQAJKHN-BXKDBHETSA-N 0 3 246.335 2.549 20 0 BFADHN COC(C)(C)[C@H](C)NCC=C(Cl)Cl ZINC000407143497 971789507 /nfs/dbraw/zinc/78/95/07/971789507.db2.gz LUOAPMXXIXEUST-ZETCQYMHSA-N 0 3 226.147 2.709 20 0 BFADHN Cc1ccc(O[C@@H]2CNCCC2(F)F)c(F)c1 ZINC001218449198 971821798 /nfs/dbraw/zinc/82/17/98/971821798.db2.gz RDCNWNWPCIVJDF-LLVKDONJSA-N 0 3 245.244 2.510 20 0 BFADHN Cc1c(F)cccc1O[C@@H]1CNCCC1(F)F ZINC001218449305 971821847 /nfs/dbraw/zinc/82/18/47/971821847.db2.gz TVWMZUYOWABGJI-LLVKDONJSA-N 0 3 245.244 2.510 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N1CCOC(C)(C)C1 ZINC001172336549 974599136 /nfs/dbraw/zinc/59/91/36/974599136.db2.gz IHDPLLGYAPLXRF-OLZOCXBDSA-N 0 3 243.391 2.691 20 0 BFADHN Fc1cccc(F)c1CN[C@H]1CCCOCC1 ZINC000310204289 971956099 /nfs/dbraw/zinc/95/60/99/971956099.db2.gz BKQJKOTUZGFUDR-JTQLQIEISA-N 0 3 241.281 2.624 20 0 BFADHN Cc1nc2c(n1[C@@H]1CC[C@@H](C)[C@@H](C)C1)CNCC2 ZINC000310983766 971988141 /nfs/dbraw/zinc/98/81/41/971988141.db2.gz KCKMPNDWMVWXED-NTZNESFSSA-N 0 3 247.386 2.834 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)N1C[C@@H](C)OC[C@@H]1C ZINC001172348106 974617448 /nfs/dbraw/zinc/61/74/48/974617448.db2.gz OAXLZJHJEUEYJH-XJFOESAGSA-N 0 3 243.391 2.689 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N[C@H](C)c1ccno1 ZINC001172352239 974621604 /nfs/dbraw/zinc/62/16/04/974621604.db2.gz QXFFPCGBMAIYDX-SDDRHHMPSA-N 0 3 240.347 2.919 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N1CCOC[C@@H]1CC ZINC001172355719 974625409 /nfs/dbraw/zinc/62/54/09/974625409.db2.gz VTEZNRAJDXLRNT-RDBSUJKOSA-N 0 3 243.391 2.691 20 0 BFADHN C[C@H](N)c1ccn([C@@H]2CC[C@@H](C)[C@@H](C)C2)n1 ZINC001172363557 974636825 /nfs/dbraw/zinc/63/68/25/974636825.db2.gz LBTQIIDRGHXKTB-NOOOWODRSA-N 0 3 221.348 2.900 20 0 BFADHN C[C@H]1CCN(Cc2ccccn2)CCC1(F)F ZINC001204055304 972219412 /nfs/dbraw/zinc/21/94/12/972219412.db2.gz BBZFOXKGMWFPAZ-NSHDSACASA-N 0 3 240.297 2.949 20 0 BFADHN CC1(CN2CC[C@@](C)(F)[C@H](F)C2)CCOCC1 ZINC001207963071 956896423 /nfs/dbraw/zinc/89/64/23/956896423.db2.gz AXQVKBRAWHLKHZ-DGCLKSJQSA-N 0 3 247.329 2.575 20 0 BFADHN CC[C@@H]1CC[C@H](NCc2ccn(CC)n2)C1 ZINC000414214030 972234028 /nfs/dbraw/zinc/23/40/28/972234028.db2.gz VLUCUBPFXDMALI-NEPJUHHUSA-N 0 3 221.348 2.571 20 0 BFADHN CS[C@@H](C)CCN1CCC[C@H](F)C1 ZINC001208144669 957013114 /nfs/dbraw/zinc/01/31/14/957013114.db2.gz YVLYODDWMGKYCO-UWVGGRQHSA-N 0 3 205.342 2.562 20 0 BFADHN CC(C)c1cncc(NC2=CCN(C)CC2)c1 ZINC001208803520 957176234 /nfs/dbraw/zinc/17/62/34/957176234.db2.gz YNWISJXGOLPTLS-UHFFFAOYSA-N 0 3 231.343 2.836 20 0 BFADHN Cn1c(NC2=CCN(C)CC2)cc2ccccc21 ZINC001208803541 957177897 /nfs/dbraw/zinc/17/78/97/957177897.db2.gz YUUGOWHEHQQXNO-UHFFFAOYSA-N 0 3 241.338 2.810 20 0 BFADHN CN1CC=C(Nc2ccc(F)cc2F)CC1 ZINC001208838574 957185180 /nfs/dbraw/zinc/18/51/80/957185180.db2.gz QHIZAYHTPIKAEW-UHFFFAOYSA-N 0 3 224.254 2.596 20 0 BFADHN C[C@H](CN1CCc2cnccc2C1)C(F)(F)F ZINC001208884589 957207202 /nfs/dbraw/zinc/20/72/02/957207202.db2.gz BCPJLMHCEMMJFL-SECBINFHSA-N 0 3 244.260 2.638 20 0 BFADHN CC1(O)CCC(NCc2ccc(Cl)o2)CC1 ZINC000390526907 972249537 /nfs/dbraw/zinc/24/95/37/972249537.db2.gz HBMMNAGIGIJSFQ-UHFFFAOYSA-N 0 3 243.734 2.716 20 0 BFADHN CN(Cc1ccncn1)CC1(C)CCCCC1 ZINC001208908415 957234842 /nfs/dbraw/zinc/23/48/42/957234842.db2.gz UEEMMGHICAILND-UHFFFAOYSA-N 0 3 233.359 2.879 20 0 BFADHN F[C@@H]1CCCCN(CCCc2ccncc2)C1 ZINC001209184002 957343535 /nfs/dbraw/zinc/34/35/35/957343535.db2.gz GCIIUJAOJWNJMJ-CQSZACIVSA-N 0 3 236.334 2.838 20 0 BFADHN Cc1ccn2cc(CN(C)C[C@H]3C[C@@H]3C)nc2c1 ZINC001209426415 957447001 /nfs/dbraw/zinc/44/70/01/957447001.db2.gz KRDFGPUGVBQIKS-QWHCGFSZSA-N 0 3 243.354 2.731 20 0 BFADHN [O-]c1cc(O)ccc1C[N@H+]1C[C@@H]2CCCC[C@@H]2C1 ZINC000093441835 957487574 /nfs/dbraw/zinc/48/75/74/957487574.db2.gz XNAMVLWDPYBOQM-TXEJJXNPSA-N 0 3 247.338 2.720 20 0 BFADHN [O-]c1cc(O)ccc1C[N@@H+]1C[C@@H]2CCCC[C@@H]2C1 ZINC000093441835 957487588 /nfs/dbraw/zinc/48/75/88/957487588.db2.gz XNAMVLWDPYBOQM-TXEJJXNPSA-N 0 3 247.338 2.720 20 0 BFADHN C[C@H]1CN(Cc2ccon2)CCC[C@@H]1C ZINC001209561420 957503800 /nfs/dbraw/zinc/50/38/00/957503800.db2.gz QETQSZSZMDEJMS-QWRGUYRKSA-N 0 3 208.305 2.543 20 0 BFADHN Cc1ccc(CN2CC[C@H]3CCC[C@H]3C2)[nH]1 ZINC001209570601 957508934 /nfs/dbraw/zinc/50/89/34/957508934.db2.gz QHADVKVGIRYBBV-OLZOCXBDSA-N 0 3 218.344 2.945 20 0 BFADHN CN(Cc1cc(Cl)ccn1)CC1CC1 ZINC000086129758 957559909 /nfs/dbraw/zinc/55/99/09/957559909.db2.gz DRQOOFIOLKBZJU-UHFFFAOYSA-N 0 3 210.708 2.577 20 0 BFADHN CC(=O)c1ccc([C@H](C)Nc2cncn2C)cc1 ZINC001171054130 972277169 /nfs/dbraw/zinc/27/71/69/972277169.db2.gz OMFIWVVXQRCNRY-JTQLQIEISA-N 0 3 243.310 2.796 20 0 BFADHN Cc1cc2nc(-c3cnccc3N)[nH]c2cc1C ZINC000397329232 957597314 /nfs/dbraw/zinc/59/73/14/957597314.db2.gz JHFAOFYKGPPESL-UHFFFAOYSA-N 0 3 238.294 2.654 20 0 BFADHN C[C@@H]1C[C@@H](NCc2nccs2)CCS1 ZINC000888077969 957753974 /nfs/dbraw/zinc/75/39/74/957753974.db2.gz SEKHUCAXBKPOJJ-BDAKNGLRSA-N 0 3 228.386 2.517 20 0 BFADHN CN(Cc1cncc(F)c1)CC1CC(F)(F)C1 ZINC001207024093 957773059 /nfs/dbraw/zinc/77/30/59/957773059.db2.gz YLZHENDORKCWEH-UHFFFAOYSA-N 0 3 244.260 2.698 20 0 BFADHN Cc1cc(CN(C)CCc2cccnc2)oc1C ZINC001204533508 957949350 /nfs/dbraw/zinc/94/93/50/957949350.db2.gz QEAVRGZZEQHRHQ-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN CO[C@H]1CCN(Cc2c[nH]c3cccc(F)c23)C1 ZINC001136979755 972309821 /nfs/dbraw/zinc/30/98/21/972309821.db2.gz PRVOYTZBYHRTHE-NSHDSACASA-N 0 3 248.301 2.528 20 0 BFADHN Cc1cc(CN2C[C@@H]3C(=O)CCC[C@@H]3C2)oc1C ZINC001204540801 958033653 /nfs/dbraw/zinc/03/36/53/958033653.db2.gz BWLORDSGEYUMDZ-OCCSQVGLSA-N 0 3 247.338 2.697 20 0 BFADHN Cc1ncc(Nc2cnccc2Cl)n1C ZINC001211209267 958054916 /nfs/dbraw/zinc/05/49/16/958054916.db2.gz KYVLEVSRWIPEPW-UHFFFAOYSA-N 0 3 222.679 2.521 20 0 BFADHN COc1ncc(CN2CCCC23CC3)cc1C ZINC001136999340 972316701 /nfs/dbraw/zinc/31/67/01/972316701.db2.gz STVMKPIHIOALHL-UHFFFAOYSA-N 0 3 232.327 2.527 20 0 BFADHN CN(Cc1ncc(C(F)(F)F)[nH]1)CC1CCC1 ZINC001137016283 972327947 /nfs/dbraw/zinc/32/79/47/972327947.db2.gz QDSMDBHTEFFONG-UHFFFAOYSA-N 0 3 247.264 2.660 20 0 BFADHN F[C@H]1CCCCN(Cc2ccc3[nH]cnc3c2)C1 ZINC001137041248 972340059 /nfs/dbraw/zinc/34/00/59/972340059.db2.gz SSFDHIONOGSGIJ-LBPRGKRZSA-N 0 3 247.317 2.887 20 0 BFADHN F[C@H]1CCCCN(Cc2ccc3nc[nH]c3c2)C1 ZINC001137041248 972340064 /nfs/dbraw/zinc/34/00/64/972340064.db2.gz SSFDHIONOGSGIJ-LBPRGKRZSA-N 0 3 247.317 2.887 20 0 BFADHN Nc1ccc(F)cc1CN1C2CCC1CC2 ZINC000399424378 958709982 /nfs/dbraw/zinc/70/99/82/958709982.db2.gz WBFDMAOTOKPJRF-UHFFFAOYSA-N 0 3 220.291 2.535 20 0 BFADHN Cc1cnc(CNC[C@]23C[C@H]2CCC3)s1 ZINC000693548659 958763559 /nfs/dbraw/zinc/76/35/59/958763559.db2.gz XPHIPUGDUUZVHL-PWSUYJOCSA-N 0 3 222.357 2.731 20 0 BFADHN COCC(C)(C)Nc1cc(C)cc(C)n1 ZINC000707898336 958797125 /nfs/dbraw/zinc/79/71/25/958797125.db2.gz DWRPGRCUUSAITA-UHFFFAOYSA-N 0 3 208.305 2.535 20 0 BFADHN Cc1ccnc(CN[C@H]2CCCC(C)(C)C2)n1 ZINC000707907296 958809827 /nfs/dbraw/zinc/80/98/27/958809827.db2.gz STFZLYXMXYZUOC-LBPRGKRZSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1ccnc(CN[C@H]2CCOC23CCCC3)c1 ZINC000934384485 958882968 /nfs/dbraw/zinc/88/29/68/958882968.db2.gz DXRFLUBZXWJKRQ-AWEZNQCLSA-N 0 3 246.354 2.581 20 0 BFADHN c1csc(CNC[C@@]23C[C@@H]2CCCC3)n1 ZINC000693585972 958889389 /nfs/dbraw/zinc/88/93/89/958889389.db2.gz MDFFKRRLAHFZDG-CMPLNLGQSA-N 0 3 222.357 2.813 20 0 BFADHN C[C@H](NC[C@]12C[C@H]1CCC2)c1nccs1 ZINC000693602281 958930126 /nfs/dbraw/zinc/93/01/26/958930126.db2.gz VCFBUURCQXIMHG-UMNHJUIQSA-N 0 3 222.357 2.984 20 0 BFADHN Cc1cc(CN2CC3(CCC3)C2)ccc1O ZINC001140573636 958959314 /nfs/dbraw/zinc/95/93/14/958959314.db2.gz QAVSNCWDUOEWFD-UHFFFAOYSA-N 0 3 217.312 2.687 20 0 BFADHN Cc1ccc(F)cc1CN1CCC[C@@]2(CCO2)C1 ZINC001140594678 959095727 /nfs/dbraw/zinc/09/57/27/959095727.db2.gz INWLQCYOFVIESY-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN C[C@@H]1OC[C@@H](NCc2ccccc2Cl)[C@@H]1C ZINC000405549081 959455431 /nfs/dbraw/zinc/45/54/31/959455431.db2.gz NUNNUQSEACRNBF-GBIKHYSHSA-N 0 3 239.746 2.853 20 0 BFADHN Cc1ccc([C@@H](C)OC[C@@H]2COC(C)(C)N2)cc1 ZINC001217887840 959709742 /nfs/dbraw/zinc/70/97/42/959709742.db2.gz KCOVOGFJNTVBMT-TZMCWYRMSA-N 0 3 249.354 2.797 20 0 BFADHN CC1(C)N[C@@H](COC2CCCCCCC2)CO1 ZINC001217891763 959720176 /nfs/dbraw/zinc/72/01/76/959720176.db2.gz RARMZVXHEZXQEL-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CCCc1ccc(O[C@@H]2CCNC[C@@H]2F)cc1 ZINC001218068199 959853854 /nfs/dbraw/zinc/85/38/54/959853854.db2.gz WCBHICYYZLLEEM-UONOGXRCSA-N 0 3 237.318 2.718 20 0 BFADHN CCCc1ccc(O[C@H]2CCNC[C@H]2F)cc1 ZINC001218068191 959855520 /nfs/dbraw/zinc/85/55/20/959855520.db2.gz WCBHICYYZLLEEM-KGLIPLIRSA-N 0 3 237.318 2.718 20 0 BFADHN CCC(C)(C)CCN[C@H]1C[C@H](C)n2ncnc21 ZINC000926780573 959960222 /nfs/dbraw/zinc/96/02/22/959960222.db2.gz DVVXIQXRSMFTGD-QWRGUYRKSA-N 0 3 236.363 2.700 20 0 BFADHN C[C@H]1Cc2ccccc2CN1Cc1ccc[nH]1 ZINC000190686687 960232514 /nfs/dbraw/zinc/23/25/14/960232514.db2.gz HTCUSERMCRIOMK-LBPRGKRZSA-N 0 3 226.323 2.962 20 0 BFADHN CCc1cc(CN[C@H](C)c2cccs2)n[nH]1 ZINC000309470302 960286838 /nfs/dbraw/zinc/28/68/38/960286838.db2.gz QOQSNILTTYVUFL-SECBINFHSA-N 0 3 235.356 2.884 20 0 BFADHN C[C@H]1CCCN1Cn1cc(-c2ccccc2)cn1 ZINC000173517988 960327075 /nfs/dbraw/zinc/32/70/75/960327075.db2.gz PTSKVTFIQLHSPM-ZDUSSCGKSA-N 0 3 241.338 2.992 20 0 BFADHN CC(=O)[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC001140592472 960451157 /nfs/dbraw/zinc/45/11/57/960451157.db2.gz NGMKHIOPWLGYIQ-GFCCVEGCSA-N 0 3 235.302 2.545 20 0 BFADHN CN(Cc1ccc(OC(F)F)c(O)c1)C1CC1 ZINC001140625385 960460893 /nfs/dbraw/zinc/46/08/93/960460893.db2.gz YXDGMCYXAPTIBP-UHFFFAOYSA-N 0 3 243.253 2.588 20 0 BFADHN F[C@H]1CCN(Cc2cc[nH]c2)CCC1(F)F ZINC001140704849 960511360 /nfs/dbraw/zinc/51/13/60/960511360.db2.gz KKPNKOIPOTWJOS-JTQLQIEISA-N 0 3 232.249 2.584 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCCCC12CC2 ZINC001203063540 960554334 /nfs/dbraw/zinc/55/43/34/960554334.db2.gz LOURFOGQUAGOAW-UHFFFAOYSA-N 0 3 233.359 2.555 20 0 BFADHN CO[C@H](C)C1CN(Cc2ccc(F)cc2C)C1 ZINC001140781400 960561747 /nfs/dbraw/zinc/56/17/47/960561747.db2.gz SFKVYAVZQJLOOQ-LLVKDONJSA-N 0 3 237.318 2.601 20 0 BFADHN Cc1c[nH]c(CN2CC[C@H](OC(C)C)C2)c1C ZINC001140862160 960639874 /nfs/dbraw/zinc/63/98/74/960639874.db2.gz XKXULYOBNNAVNV-ZDUSSCGKSA-N 0 3 236.359 2.631 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc(O)c(F)c2F)C1 ZINC001140890141 960671684 /nfs/dbraw/zinc/67/16/84/960671684.db2.gz WYHDPGXWRBJHDU-SECBINFHSA-N 0 3 241.281 2.902 20 0 BFADHN Cc1cc(CN2CCCc3ccccc3C2)n[nH]1 ZINC001203076404 960674212 /nfs/dbraw/zinc/67/42/12/960674212.db2.gz AEKDSJDVLBYYDQ-UHFFFAOYSA-N 0 3 241.338 2.667 20 0 BFADHN Cc1cc(CN2CCC[C@H]3CCCC[C@H]32)[nH]n1 ZINC001203077298 960690794 /nfs/dbraw/zinc/69/07/94/960690794.db2.gz WOEBDCRCQJXGLF-TZMCWYRMSA-N 0 3 233.359 2.873 20 0 BFADHN CC(C)(C)C1CCN(Cc2cnco2)CC1 ZINC001140927185 960694539 /nfs/dbraw/zinc/69/45/39/960694539.db2.gz IJFHCVXQBBSUQS-UHFFFAOYSA-N 0 3 222.332 2.933 20 0 BFADHN Cc1ccncc1CN1CC[C@@](C)(CF)C1 ZINC001141031581 960819681 /nfs/dbraw/zinc/81/96/81/960819681.db2.gz SAFWQRHOOGDBRS-ZDUSSCGKSA-N 0 3 222.307 2.572 20 0 BFADHN Cc1ccncc1CN1CCC[C@@H](C(F)F)C1 ZINC001141035230 960824204 /nfs/dbraw/zinc/82/42/04/960824204.db2.gz PXSKGJFQVNTCTP-LLVKDONJSA-N 0 3 240.297 2.867 20 0 BFADHN CC1(F)CCN(Cc2ccn3ccnc3c2)CC1 ZINC001141047984 960834035 /nfs/dbraw/zinc/83/40/35/960834035.db2.gz YNUKDWHGVOGUFY-UHFFFAOYSA-N 0 3 247.317 2.658 20 0 BFADHN CN(C)C1CN(Cc2cccc(C(C)(C)C)c2)C1 ZINC001141102706 960867994 /nfs/dbraw/zinc/86/79/94/960867994.db2.gz LCOIOYHXEASKON-UHFFFAOYSA-N 0 3 246.398 2.730 20 0 BFADHN c1n[nH]cc1CN(Cc1ccccc1)C1CCC1 ZINC001203100694 960912161 /nfs/dbraw/zinc/91/21/61/960912161.db2.gz CRAYWKNBFFTGEH-UHFFFAOYSA-N 0 3 241.338 2.964 20 0 BFADHN Cc1ccc(CN2CCCC3(COC3)C2)c(F)c1 ZINC001141192841 960929226 /nfs/dbraw/zinc/92/92/26/960929226.db2.gz RJDWFQUYGCTTJQ-UHFFFAOYSA-N 0 3 249.329 2.747 20 0 BFADHN C[C@H](NCC1SCCS1)c1ccoc1 ZINC000692861414 960977319 /nfs/dbraw/zinc/97/73/19/960977319.db2.gz KGBPFIKEYWLQTK-QMMMGPOBSA-N 0 3 229.370 2.736 20 0 BFADHN CCCCN(C)Cc1cnc(C(F)(F)F)nc1 ZINC001141451361 961069198 /nfs/dbraw/zinc/06/91/98/961069198.db2.gz MYOLPHDIQSVXIH-UHFFFAOYSA-N 0 3 247.264 2.727 20 0 BFADHN Cc1ncc(Nc2cn(C)c3ccccc23)n1C ZINC001203420974 961112225 /nfs/dbraw/zinc/11/22/25/961112225.db2.gz LPVMTBNCZSWUOX-UHFFFAOYSA-N 0 3 240.310 2.964 20 0 BFADHN Fc1ccccc1CNCC1SCCS1 ZINC000692902020 961210178 /nfs/dbraw/zinc/21/01/78/961210178.db2.gz HHBMDLRDANLKRH-UHFFFAOYSA-N 0 3 243.372 2.721 20 0 BFADHN COC(=O)c1cc(NC=N)cc(C(F)(F)F)c1 ZINC001167866779 961349787 /nfs/dbraw/zinc/34/97/87/961349787.db2.gz DUHHJISMCCPXMY-UHFFFAOYSA-N 0 3 246.188 2.511 20 0 BFADHN CN(Cc1[nH]cnc1C(C)(C)C)CC1CCC1 ZINC001142226428 961353223 /nfs/dbraw/zinc/35/32/23/961353223.db2.gz JWSKLIPQQHUXGN-UHFFFAOYSA-N 0 3 235.375 2.939 20 0 BFADHN CC[C@@H](C)N(C)Cc1[nH]cnc1C(C)(C)C ZINC001142213192 961356504 /nfs/dbraw/zinc/35/65/04/961356504.db2.gz QORBSMPPDHPRFH-SNVBAGLBSA-N 0 3 223.364 2.938 20 0 BFADHN Cc1ncc(CN2CC[C@H]3CCCC[C@@H]3C2)cn1 ZINC001203122492 961512168 /nfs/dbraw/zinc/51/21/68/961512168.db2.gz ZULGVJLORMAASF-HUUCEWRRSA-N 0 3 245.370 2.797 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1CO[C@@H](C)C1 ZINC000401829519 961539404 /nfs/dbraw/zinc/53/94/04/961539404.db2.gz HWFHXUJWAHKUQZ-TUAOUCFPSA-N 0 3 235.327 2.523 20 0 BFADHN F[C@H]1CCCCN(Cc2cccc3[nH]cnc32)C1 ZINC001142672997 961591518 /nfs/dbraw/zinc/59/15/18/961591518.db2.gz VCMCRQMTZSFPFM-LBPRGKRZSA-N 0 3 247.317 2.887 20 0 BFADHN CC[C@@H](C)C[C@H](C)Nc1cccc(N)n1 ZINC000087726457 961731294 /nfs/dbraw/zinc/73/12/94/961731294.db2.gz MFDIJCKACJFHGY-ZJUUUORDSA-N 0 3 207.321 2.900 20 0 BFADHN CCCN(C)Cc1cc(-c2ccccc2)nn1C ZINC001143005260 961860982 /nfs/dbraw/zinc/86/09/82/961860982.db2.gz VVTQZNDDQZSEFU-UHFFFAOYSA-N 0 3 243.354 2.929 20 0 BFADHN c1cn2cc(CN3CCCCC34CC4)ccc2n1 ZINC001143026656 961869749 /nfs/dbraw/zinc/86/97/49/961869749.db2.gz CVNVRRBAXCMMJT-UHFFFAOYSA-N 0 3 241.338 2.853 20 0 BFADHN FC[C@H]1CCCN(Cc2ccc3nccn3c2)C1 ZINC001143028843 961871249 /nfs/dbraw/zinc/87/12/49/961871249.db2.gz JBBBYABOXCWSLN-GFCCVEGCSA-N 0 3 247.317 2.516 20 0 BFADHN F[C@H]1CCCCN(Cc2cccc3n[nH]cc32)C1 ZINC001143087419 961877671 /nfs/dbraw/zinc/87/76/71/961877671.db2.gz LCPGFFONOHXSTD-LBPRGKRZSA-N 0 3 247.317 2.887 20 0 BFADHN COc1cc(C)cc(OC)c1CN(C)CC1CC1 ZINC001143133676 961887795 /nfs/dbraw/zinc/88/77/95/961887795.db2.gz ZKLORJPYTQGYMF-UHFFFAOYSA-N 0 3 249.354 2.854 20 0 BFADHN O=C1c2ccccc2CC[C@@H]1N[C@H]1C[C@H]2C[C@H]2C1 ZINC001167918461 961910094 /nfs/dbraw/zinc/91/00/94/961910094.db2.gz JIAFKHLBBZVPCW-JLNYLFASSA-N 0 3 241.334 2.572 20 0 BFADHN FC(F)c1ccc(CN2CC=CC2)cc1 ZINC001143242704 961973718 /nfs/dbraw/zinc/97/37/18/961973718.db2.gz KSHSRWRPHGNOCH-UHFFFAOYSA-N 0 3 209.239 2.996 20 0 BFADHN CC[C@H](C)CCNCc1c(C)nn(C)c1Cl ZINC000696237239 962069284 /nfs/dbraw/zinc/06/92/84/962069284.db2.gz RYNMZYZDBOBERH-VIFPVBQESA-N 0 3 243.782 2.908 20 0 BFADHN CCC[C@@H](C)C(=O)Nc1cccc2c1CNC2 ZINC000709999401 962207722 /nfs/dbraw/zinc/20/77/22/962207722.db2.gz DRJOVTXSSAKIHM-SNVBAGLBSA-N 0 3 232.327 2.665 20 0 BFADHN COCCN(Cc1ccnc(Cl)c1)C(C)C ZINC000131274451 962281313 /nfs/dbraw/zinc/28/13/13/962281313.db2.gz YBKFDEXQONSZHR-UHFFFAOYSA-N 0 3 242.750 2.592 20 0 BFADHN COc1cccnc1CN1C[C@H](C)C[C@@H](C)C1 ZINC001206650585 962650147 /nfs/dbraw/zinc/65/01/47/962650147.db2.gz QKFBWBFLENFYAC-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN FC1(F)CSC2(CN([C@H]3C[C@H]4C[C@H]4C3)C2)C1 ZINC001167951395 962672872 /nfs/dbraw/zinc/67/28/72/962672872.db2.gz JIMLKGIEQFAEFS-MYJAWHEDSA-N 0 3 245.338 2.612 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(F)nc2)[C@@H](C)C1 ZINC001137741179 962722958 /nfs/dbraw/zinc/72/29/58/962722958.db2.gz PBZIRPFSHQXDJW-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN Fc1ccc(CN2CC3CCC2CC3)cn1 ZINC001137741219 962736815 /nfs/dbraw/zinc/73/68/15/962736815.db2.gz RFDHFMOLGSUCPT-UHFFFAOYSA-N 0 3 220.291 2.595 20 0 BFADHN C[C@H]1CC[C@H](NCc2nccn2C2CC2)CC1 ZINC000711747237 962763064 /nfs/dbraw/zinc/76/30/64/962763064.db2.gz RISFGOQDOYLXJN-HAQNSBGRSA-N 0 3 233.359 2.886 20 0 BFADHN CCN1CC[C@H](Oc2cccc(Cl)c2F)C1 ZINC001225722394 962765529 /nfs/dbraw/zinc/76/55/29/962765529.db2.gz LMIQTRUKFQYDPE-VIFPVBQESA-N 0 3 243.709 2.952 20 0 BFADHN Cc1nnc(Cl)cc1NC(=N)C(C)(C)C ZINC001155181735 963008474 /nfs/dbraw/zinc/00/84/74/963008474.db2.gz YGEDVMOFOCGSFB-UHFFFAOYSA-N 0 3 226.711 2.874 20 0 BFADHN Cn1c(Cl)cnc1CNCCCC1CCC1 ZINC000688394836 963249574 /nfs/dbraw/zinc/24/95/74/963249574.db2.gz OCASPIQMCUFLGA-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN O[C@@H]1CCCCN(Cc2ccccc2Cl)C1 ZINC001203377901 963375808 /nfs/dbraw/zinc/37/58/08/963375808.db2.gz XWDYYROPFLCXHI-GFCCVEGCSA-N 0 3 239.746 2.687 20 0 BFADHN Cc1cc(F)cc(CN2CCC3(CCO3)CC2)c1 ZINC001143589629 963383064 /nfs/dbraw/zinc/38/30/64/963383064.db2.gz FBXVTWHALCLNLR-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1cncc(CN2CCCC3(CCCC3)C2)n1 ZINC001203163804 963552590 /nfs/dbraw/zinc/55/25/90/963552590.db2.gz JFNIBCCPAGZZEH-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN C[C@@H](Cc1ccc(Cl)cc1)n1cnc(CN)c1 ZINC001168148627 963866840 /nfs/dbraw/zinc/86/68/40/963866840.db2.gz FWLFJMLXCGHUSP-JTQLQIEISA-N 0 3 249.745 2.799 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1CO[C@H](C)[C@@H]1C ZINC000925261175 963876841 /nfs/dbraw/zinc/87/68/41/963876841.db2.gz SZVUQIVEGXSNBQ-OUCADQQQSA-N 0 3 249.354 2.517 20 0 BFADHN CC(C)c1cccc(NC2=CNCCC2)n1 ZINC001159200657 964012653 /nfs/dbraw/zinc/01/26/53/964012653.db2.gz NITZZOYHLYMOSB-UHFFFAOYSA-N 0 3 217.316 2.842 20 0 BFADHN c1coc(-c2cc(NC3=CNCCC3)on2)c1 ZINC001159254768 964035749 /nfs/dbraw/zinc/03/57/49/964035749.db2.gz NNLAFIQEEJJHGD-UHFFFAOYSA-N 0 3 231.255 2.571 20 0 BFADHN CC1(C)CC(Nc2cccc(N)n2)CC(C)(C)O1 ZINC001159315533 964059162 /nfs/dbraw/zinc/05/91/62/964059162.db2.gz QFXNATRNKJGFGH-UHFFFAOYSA-N 0 3 249.358 2.812 20 0 BFADHN Cc1n[nH]cc1CN1CCC[C@H]2CCCC[C@H]21 ZINC000093480749 964142998 /nfs/dbraw/zinc/14/29/98/964142998.db2.gz XIDUUCBWUMYOMB-TZMCWYRMSA-N 0 3 233.359 2.873 20 0 BFADHN Nc1nc(CN[C@H]2CCC23CCCC3)cs1 ZINC000721347478 964716519 /nfs/dbraw/zinc/71/65/19/964716519.db2.gz NILBHWBAXBTXNH-JTQLQIEISA-N 0 3 237.372 2.538 20 0 BFADHN CN(C)c1cccc(N[C@H]2CC=CCC2)n1 ZINC001161750443 964732655 /nfs/dbraw/zinc/73/26/55/964732655.db2.gz OKYICYQXKYBYDB-NSHDSACASA-N 0 3 217.316 2.668 20 0 BFADHN CN(C)c1cccc(N[C@@H]2CC=CCC2)n1 ZINC001161750442 964734417 /nfs/dbraw/zinc/73/44/17/964734417.db2.gz OKYICYQXKYBYDB-LLVKDONJSA-N 0 3 217.316 2.668 20 0 BFADHN CN(C)Cc1ccnc(N[C@@H]2CC=CCC2)c1 ZINC001161754904 964739899 /nfs/dbraw/zinc/73/98/99/964739899.db2.gz WQRWRPFQJRBHLB-CYBMUJFWSA-N 0 3 231.343 2.664 20 0 BFADHN C/C(=C\C=C\N)NCc1ccc2c(ccn2C)c1 ZINC001162169690 964860700 /nfs/dbraw/zinc/86/07/00/964860700.db2.gz ZQJLYDKSMLUSDB-DVYVYBFTSA-N 0 3 241.338 2.644 20 0 BFADHN CN(C)Cc1ccc(NC(C)(C)C2CC2)nc1 ZINC001162516771 965012498 /nfs/dbraw/zinc/01/24/98/965012498.db2.gz HNKDVLCXFVNGCL-UHFFFAOYSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H]1CCCC[C@H]1CNc1ccnc(CN)c1 ZINC001162527410 965029191 /nfs/dbraw/zinc/02/91/91/965029191.db2.gz XGMIVVJRWQXVBL-NEPJUHHUSA-N 0 3 233.359 2.779 20 0 BFADHN Cc1cc2ccccc2c(-n2nccc2CN)c1 ZINC001162596678 965068393 /nfs/dbraw/zinc/06/83/93/965068393.db2.gz CCGXDRVINGITSC-UHFFFAOYSA-N 0 3 237.306 2.793 20 0 BFADHN CC(C)(F)CC[NH2+]Cc1ccc([O-])c(F)c1 ZINC000698211093 965105035 /nfs/dbraw/zinc/10/50/35/965105035.db2.gz WNEGRGQONQDXBF-UHFFFAOYSA-N 0 3 229.270 2.759 20 0 BFADHN C[C@H](N[C@H]1C=CCC1)c1cncc(F)c1 ZINC000698206768 965105538 /nfs/dbraw/zinc/10/55/38/965105538.db2.gz SFSVOVDOSOUPPS-CABZTGNLSA-N 0 3 206.264 2.590 20 0 BFADHN Cn1cncc1NCc1cn(C)c2ccccc12 ZINC001162829008 965194743 /nfs/dbraw/zinc/19/47/43/965194743.db2.gz UUBDAHOUVJEXRV-UHFFFAOYSA-N 0 3 240.310 2.524 20 0 BFADHN Nc1cccc(N[C@@H]2CNCc3ccsc32)c1 ZINC001163228483 965310090 /nfs/dbraw/zinc/31/00/90/965310090.db2.gz BWIOKHFGBBDUIZ-GFCCVEGCSA-N 0 3 245.351 2.587 20 0 BFADHN C[C@@H]1CCCN(Cc2cnsc2)[C@H]1C ZINC001232226307 965314311 /nfs/dbraw/zinc/31/43/11/965314311.db2.gz DKVHTSZJQLZBSL-ZJUUUORDSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@@]1(NCC2=NOCC2)CCCc2ccccc21 ZINC001168423258 965405195 /nfs/dbraw/zinc/40/51/95/965405195.db2.gz GEHSPFCMQLGYJD-OAHLLOKOSA-N 0 3 244.338 2.604 20 0 BFADHN CN(C)c1nc(N2CCCC2)cc2ccccc21 ZINC001163572983 965457380 /nfs/dbraw/zinc/45/73/80/965457380.db2.gz UXROXTBYDZMMMW-UHFFFAOYSA-N 0 3 241.338 2.901 20 0 BFADHN CN(CCF)[C@H]1CCc2cc(F)ccc2C1 ZINC001168448560 965735650 /nfs/dbraw/zinc/73/56/50/965735650.db2.gz NTFYLBNBASQKBW-ZDUSSCGKSA-N 0 3 225.282 2.584 20 0 BFADHN C[C@H](N)c1nccn1[C@@H](C)Cc1cccc(F)c1 ZINC001168454232 965760941 /nfs/dbraw/zinc/76/09/41/965760941.db2.gz NNDPHTDKLGUMJS-QWRGUYRKSA-N 0 3 247.317 2.846 20 0 BFADHN C[C@H](Cc1cccc(F)c1)N1CCSCC1 ZINC001168478673 965876546 /nfs/dbraw/zinc/87/65/46/965876546.db2.gz ICLILGGWIGOHFM-LLVKDONJSA-N 0 3 239.359 2.806 20 0 BFADHN CCc1cc(CN(C)C[C@H]2CC2(C)C)on1 ZINC001203225970 965920386 /nfs/dbraw/zinc/92/03/86/965920386.db2.gz AYPQSYVZKXIYEX-SNVBAGLBSA-N 0 3 222.332 2.715 20 0 BFADHN Clc1cc(CN2CC[C@@H](C3CC3)C2)ccn1 ZINC000400376453 965948529 /nfs/dbraw/zinc/94/85/29/965948529.db2.gz IGCANCQZSCUZQA-GFCCVEGCSA-N 0 3 236.746 2.967 20 0 BFADHN CCc1ccc(CN2C[C@@H]3C(=O)CCC[C@@H]3C2)o1 ZINC001204397479 966038186 /nfs/dbraw/zinc/03/81/86/966038186.db2.gz XLFKLEBXNJTDAC-RISCZKNCSA-N 0 3 247.338 2.643 20 0 BFADHN C[C@H]1COC[C@H]1NCc1ccc(F)cc1Cl ZINC000699752077 966065948 /nfs/dbraw/zinc/06/59/48/966065948.db2.gz CRDXZANNOGCFJB-QPUJVOFHSA-N 0 3 243.709 2.604 20 0 BFADHN NCc1cccc(NC/C=C/c2ccccc2)n1 ZINC001166108714 966242066 /nfs/dbraw/zinc/24/20/66/966242066.db2.gz BUPOTTBPKDPLAE-VMPITWQZSA-N 0 3 239.322 2.666 20 0 BFADHN C[C@@H](OCCN1CCCCC1)c1nccs1 ZINC001206716812 966376975 /nfs/dbraw/zinc/37/69/75/966376975.db2.gz BSBGSSAOAJBLGP-LLVKDONJSA-N 0 3 240.372 2.707 20 0 BFADHN Cc1cccc(CCN(C)c2cccc(N)n2)c1 ZINC001166823272 966425816 /nfs/dbraw/zinc/42/58/16/966425816.db2.gz NPQFYLJLLVOVCJ-UHFFFAOYSA-N 0 3 241.338 2.651 20 0 BFADHN Cc1cncc(CN2[C@H](C)CCC[C@H]2C)n1 ZINC001203162081 966534729 /nfs/dbraw/zinc/53/47/29/966534729.db2.gz PWVHXYHXQBRRSS-VXGBXAGGSA-N 0 3 219.332 2.548 20 0 BFADHN CCc1cc(CN2CC[C@H]3CCC[C@H]3C2)on1 ZINC001203219688 966567025 /nfs/dbraw/zinc/56/70/25/966567025.db2.gz WMAWKZWNEKOITD-NEPJUHHUSA-N 0 3 234.343 2.859 20 0 BFADHN Cc1ccc(CN2C[C@@H]3C[C@H]2C[C@@H]3F)o1 ZINC001203297772 966603660 /nfs/dbraw/zinc/60/36/60/966603660.db2.gz ZDKGPLNWLLRBSS-NHCYSSNCSA-N 0 3 209.264 2.520 20 0 BFADHN CC(C)(C)c1cc(NC2=CCOC2)ccn1 ZINC001203386713 966622675 /nfs/dbraw/zinc/62/26/75/966622675.db2.gz NUUPVHXQPDOELJ-UHFFFAOYSA-N 0 3 218.300 2.705 20 0 BFADHN Cc1cn(C)nc1CNCCC[C@@H]1C=CCC1 ZINC001203553789 966683119 /nfs/dbraw/zinc/68/31/19/966683119.db2.gz QHOIOLZVRGGAPE-CYBMUJFWSA-N 0 3 233.359 2.565 20 0 BFADHN CCN(CCn1cccn1)Cc1ccsc1C ZINC001237969434 966703422 /nfs/dbraw/zinc/70/34/22/966703422.db2.gz XRNZQGAUGFSZJC-UHFFFAOYSA-N 0 3 249.383 2.775 20 0 BFADHN COc1ccc(CN2CCC2)c(C)c1C ZINC001203713386 966733931 /nfs/dbraw/zinc/73/39/31/966733931.db2.gz SIKKWGYOLXYKBV-UHFFFAOYSA-N 0 3 205.301 2.518 20 0 BFADHN COc1ccc(CN2CCC[C@@H]3C[C@@H]32)cc1OC ZINC001203840093 966792850 /nfs/dbraw/zinc/79/28/50/966792850.db2.gz JTZNLTUSNIHAMM-OLZOCXBDSA-N 0 3 247.338 2.688 20 0 BFADHN CCc1cc(CN(CC2CC2)C2CC2)on1 ZINC001203228897 966814055 /nfs/dbraw/zinc/81/40/55/966814055.db2.gz QVKGLDXJBVDFEF-UHFFFAOYSA-N 0 3 220.316 2.611 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](OCC)C2)cc1 ZINC001203970886 966833564 /nfs/dbraw/zinc/83/35/64/966833564.db2.gz SSQCTSSFMCHPRS-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN CCCN(CCOC)Cc1ccsc1 ZINC001204034911 966861635 /nfs/dbraw/zinc/86/16/35/966861635.db2.gz WWNHZNHSVYMXIY-UHFFFAOYSA-N 0 3 213.346 2.607 20 0 BFADHN c1ncc(CNC[C@@H]2OCc3ccccc32)s1 ZINC001204064617 966874392 /nfs/dbraw/zinc/87/43/92/966874392.db2.gz YIBKZDYXHFAHOU-ZDUSSCGKSA-N 0 3 246.335 2.504 20 0 BFADHN Cc1cccc(CN2CC[C@](C)(CF)C2)n1 ZINC001204067589 966875418 /nfs/dbraw/zinc/87/54/18/966875418.db2.gz YYACKORRKHPQEF-CYBMUJFWSA-N 0 3 222.307 2.572 20 0 BFADHN c1ncc(CNC[C@H]2OCc3ccccc32)s1 ZINC001204064539 966876518 /nfs/dbraw/zinc/87/65/18/966876518.db2.gz YIBKZDYXHFAHOU-CYBMUJFWSA-N 0 3 246.335 2.504 20 0 BFADHN FC1CC2(C1)CCN(Cc1ccoc1)CC2 ZINC001204242715 966940943 /nfs/dbraw/zinc/94/09/43/966940943.db2.gz ZLAALOMOZUKTST-UHFFFAOYSA-N 0 3 223.291 2.994 20 0 BFADHN COc1cccc(CCN(C)Cc2ccoc2)c1 ZINC001204245669 966944709 /nfs/dbraw/zinc/94/47/09/966944709.db2.gz SNOYMRKOTWMHLT-UHFFFAOYSA-N 0 3 245.322 2.963 20 0 BFADHN FC(F)Oc1ccc(CN2CC=CC2)cc1 ZINC001204419440 966995629 /nfs/dbraw/zinc/99/56/29/966995629.db2.gz ZARZQKGBNOVVLD-UHFFFAOYSA-N 0 3 225.238 2.660 20 0 BFADHN Cc1c(F)cccc1CN1C[C@H]2OC[C@@H](C)[C@H]2C1 ZINC001204511246 967018956 /nfs/dbraw/zinc/01/89/56/967018956.db2.gz STHOYCNIPAAMFT-WDBKCZKBSA-N 0 3 249.329 2.601 20 0 BFADHN CC(=O)[C@H]1CCCN(Cc2cccc(F)c2C)C1 ZINC001204511209 967019175 /nfs/dbraw/zinc/01/91/75/967019175.db2.gz SNGRVMLNBFCLJC-AWEZNQCLSA-N 0 3 249.329 2.935 20 0 BFADHN CCOC1CCN(Cc2cc(C)c(C)o2)CC1 ZINC001204532860 967029158 /nfs/dbraw/zinc/02/91/58/967029158.db2.gz HNAUMTPAHLHYPG-UHFFFAOYSA-N 0 3 237.343 2.897 20 0 BFADHN COC1(C)CCN(Cc2cc(C)c(C)o2)CC1 ZINC001204531665 967029281 /nfs/dbraw/zinc/02/92/81/967029281.db2.gz KCZRCEYAUSMLFF-UHFFFAOYSA-N 0 3 237.343 2.897 20 0 BFADHN Cc1nc[nH]c1CN1CCCc2ccccc2C1 ZINC001204589609 967080182 /nfs/dbraw/zinc/08/01/82/967080182.db2.gz JCFCTKIUHMACIW-UHFFFAOYSA-N 0 3 241.338 2.667 20 0 BFADHN COC(=O)c1cccc(CN2CCCC23CC3)c1 ZINC001204637743 967104425 /nfs/dbraw/zinc/10/44/25/967104425.db2.gz UFHBMEUQOBSNLO-UHFFFAOYSA-N 0 3 245.322 2.602 20 0 BFADHN CN(C)Cc1ccc(C(=O)OC(C)(C)C)cc1 ZINC000094755457 967150878 /nfs/dbraw/zinc/15/08/78/967150878.db2.gz QOTOUNMLTBNQIK-UHFFFAOYSA-N 0 3 235.327 2.704 20 0 BFADHN Cn1ccnc1Nc1ccc(-c2ncco2)cc1 ZINC001249924740 967168919 /nfs/dbraw/zinc/16/89/19/967168919.db2.gz PJAUGHMLUYUFSE-UHFFFAOYSA-N 0 3 240.266 2.819 20 0 BFADHN CCN(Cc1ccccn1)C[C@@]1(C)CCCCO1 ZINC001204873488 967212256 /nfs/dbraw/zinc/21/22/56/967212256.db2.gz BNEYECQRYBSZJP-OAHLLOKOSA-N 0 3 248.370 2.863 20 0 BFADHN Cc1cnc(-c2ccc(CO)c(C)c2C)cc1N ZINC001204950139 967251782 /nfs/dbraw/zinc/25/17/82/967251782.db2.gz QQIPTVMTBNYYCM-UHFFFAOYSA-N 0 3 242.322 2.748 20 0 BFADHN CCCCC1CCN(Cc2cnn(C)c2)CC1 ZINC001204979142 967273212 /nfs/dbraw/zinc/27/32/12/967273212.db2.gz NXEVNYGMPVAZJN-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN FCC1(N[C@@H]2Cc3cccc(Cl)c3C2)CC1 ZINC001168675090 967275876 /nfs/dbraw/zinc/27/58/76/967275876.db2.gz CVTIORVNXNWKJA-SNVBAGLBSA-N 0 3 239.721 2.899 20 0 BFADHN CCCn1cncc1CN1CCC[C@H](C)C1 ZINC001205046895 967304500 /nfs/dbraw/zinc/30/45/00/967304500.db2.gz WJSOJWZBSHZHFR-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN CCOc1cc(OC)ccc1CN(C)CC ZINC001250048284 967306196 /nfs/dbraw/zinc/30/61/96/967306196.db2.gz KEYIJQHNNNLRKV-UHFFFAOYSA-N 0 3 223.316 2.546 20 0 BFADHN COc1cc(F)c(C)cc1CN(C)CC1CC1 ZINC001250088061 967352427 /nfs/dbraw/zinc/35/24/27/967352427.db2.gz KXJWPPZOVHUESF-UHFFFAOYSA-N 0 3 237.318 2.985 20 0 BFADHN CC[C@H](C)C[C@@H](C)Nc1cccc(CN)n1 ZINC001168690616 967378660 /nfs/dbraw/zinc/37/86/60/967378660.db2.gz ATQJYJRBTLHTJU-WDEREUQCSA-N 0 3 221.348 2.777 20 0 BFADHN COc1cc(F)c(CCN2CCCC2)c(F)c1 ZINC001250164551 967460050 /nfs/dbraw/zinc/46/00/50/967460050.db2.gz RFEQNTNVAZUNBW-UHFFFAOYSA-N 0 3 241.281 2.612 20 0 BFADHN Cc1c(F)cc(CCN2CCCC2)cc1F ZINC001250166246 967461951 /nfs/dbraw/zinc/46/19/51/967461951.db2.gz GTGGROYMNYZBFE-UHFFFAOYSA-N 0 3 225.282 2.912 20 0 BFADHN COc1c(CCN2CCCC2)ccc(F)c1F ZINC001250167016 967465158 /nfs/dbraw/zinc/46/51/58/967465158.db2.gz ZMHKHUPSNOLACK-UHFFFAOYSA-N 0 3 241.281 2.612 20 0 BFADHN CC[C@H]1CCN(Cc2cn(C3CC3)cn2)[C@H]1C ZINC001205472291 967639113 /nfs/dbraw/zinc/63/91/13/967639113.db2.gz ZZOJFVAOROGYFG-RYUDHWBXSA-N 0 3 233.359 2.838 20 0 BFADHN CCn1cc(CN2CCC[C@](C)(F)CC2)cn1 ZINC001205513737 967663282 /nfs/dbraw/zinc/66/32/82/967663282.db2.gz NXUVRLAGYXMSLV-ZDUSSCGKSA-N 0 3 239.338 2.617 20 0 BFADHN CCC[C@H](O)CN(CC)Cc1ccccc1F ZINC001117754215 967754642 /nfs/dbraw/zinc/75/46/42/967754642.db2.gz FVQREPSHBGQTKG-ZDUSSCGKSA-N 0 3 239.334 2.809 20 0 BFADHN C[C@H]1CC[C@H](N(C)Cc2cnoc2)CC1 ZINC001205762452 967814679 /nfs/dbraw/zinc/81/46/79/967814679.db2.gz MOMSKXLULVNPIO-UMSPYCQHSA-N 0 3 208.305 2.685 20 0 BFADHN Cc1cc(CN2CCC23CCCCC3)n(C)n1 ZINC001205891013 967875445 /nfs/dbraw/zinc/87/54/45/967875445.db2.gz RVEGIWZKDREHKC-UHFFFAOYSA-N 0 3 233.359 2.637 20 0 BFADHN CSC[C@H](C)NCc1ccc(Cl)cn1 ZINC000703891978 967881398 /nfs/dbraw/zinc/88/13/98/967881398.db2.gz MJYVIOIJHTVUMG-QMMMGPOBSA-N 0 3 230.764 2.576 20 0 BFADHN Cc1cnc2ncc(NC(=N)C(C)(C)C)cc2n1 ZINC001162661599 967883970 /nfs/dbraw/zinc/88/39/70/967883970.db2.gz XBLIFNSMFRNPKX-UHFFFAOYSA-N 0 3 243.314 2.768 20 0 BFADHN COc1cc(CN2CCCC2)cc2c1OCC=C2 ZINC001250498820 967987952 /nfs/dbraw/zinc/98/79/52/967987952.db2.gz ZNGAPXSZTMBMNH-UHFFFAOYSA-N 0 3 245.322 2.697 20 0 BFADHN Fc1cccc(N[C@@H]2CNCc3ccsc32)n1 ZINC001163227661 968012052 /nfs/dbraw/zinc/01/20/52/968012052.db2.gz BMTUYMPVDSELCG-SECBINFHSA-N 0 3 249.314 2.539 20 0 BFADHN Cc1nc(CN2CC3CCC(CC3)C2)co1 ZINC001206080700 968046968 /nfs/dbraw/zinc/04/69/68/968046968.db2.gz DTABFJAPIUWIKY-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN CCCn1cncc1CN1CCC[C@H](C2CC2)C1 ZINC001206115943 968069342 /nfs/dbraw/zinc/06/93/42/968069342.db2.gz JZHQEKKZVIBBMO-AWEZNQCLSA-N 0 3 247.386 2.915 20 0 BFADHN CS[C@@H](C)Cc1nc2ccc(N(C)C)cc2[nH]1 ZINC001250567554 968083255 /nfs/dbraw/zinc/08/32/55/968083255.db2.gz PVAFZMCVMFFGBB-VIFPVBQESA-N 0 3 249.383 2.923 20 0 BFADHN CCOC1CN(C[C@H]2CCCC[C@@H]2CC)C1 ZINC001206174115 968108305 /nfs/dbraw/zinc/10/83/05/968108305.db2.gz IEJCMXBJPQDNJT-QWHCGFSZSA-N 0 3 225.376 2.924 20 0 BFADHN COc1ccc(OC)c(CN[C@@H]2C=CCC2)c1 ZINC000698324635 968228388 /nfs/dbraw/zinc/22/83/88/968228388.db2.gz BQMFRECHOKSLIL-GFCCVEGCSA-N 0 3 233.311 2.512 20 0 BFADHN CCn1ccc(CN2CC[C@H](C3CCCC3)C2)n1 ZINC001206351314 968255935 /nfs/dbraw/zinc/25/59/35/968255935.db2.gz UECWCJBALYMTPV-AWEZNQCLSA-N 0 3 247.386 2.915 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cnc(OC)nc1 ZINC001206409247 968288082 /nfs/dbraw/zinc/28/80/82/968288082.db2.gz GQMNVKWIQBDPJG-ZDUSSCGKSA-N 0 3 249.358 2.640 20 0 BFADHN COc1cnccc1CN1[C@H](C)CCC[C@H]1C ZINC001206438304 968307256 /nfs/dbraw/zinc/30/72/56/968307256.db2.gz PNXXHENHDRIAHV-VXGBXAGGSA-N 0 3 234.343 2.853 20 0 BFADHN COc1cnccc1CN1CCC[C@@H](C)[C@@H]1C ZINC001206473350 968327556 /nfs/dbraw/zinc/32/75/56/968327556.db2.gz KWSZDBTUSBBILT-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN C1=C[C@H](N[C@H]2CCCc3occc32)CC1 ZINC000698326099 968328832 /nfs/dbraw/zinc/32/88/32/968328832.db2.gz GDZMJPXLHPVYSQ-JQWIXIFHSA-N 0 3 203.285 2.965 20 0 BFADHN COc1ncccc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001206561378 968383305 /nfs/dbraw/zinc/38/33/05/968383305.db2.gz REGWLHNCFOIAKD-CHWSQXEVSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ncccc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001206561379 968385423 /nfs/dbraw/zinc/38/54/23/968385423.db2.gz REGWLHNCFOIAKD-OLZOCXBDSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cccnc1CN1CCC[C@H](C)CC1 ZINC001206619266 968424443 /nfs/dbraw/zinc/42/44/43/968424443.db2.gz JDXCHVRXIBBCAM-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cccnc1CN1CCCCC[C@@H]1C ZINC001206636949 968427405 /nfs/dbraw/zinc/42/74/05/968427405.db2.gz JZZISHPIRSTTMG-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN COc1cccnc1CN1CCCCC[C@H]1C ZINC001206636948 968429628 /nfs/dbraw/zinc/42/96/28/968429628.db2.gz JZZISHPIRSTTMG-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN COc1cc(C)ccc1CN1CC2CC(C2)C1 ZINC001206783171 968470265 /nfs/dbraw/zinc/47/02/65/968470265.db2.gz UVTYZUPWCOEYHB-UHFFFAOYSA-N 0 3 231.339 2.845 20 0 BFADHN CCCN(Cc1cc(C)on1)[C@@H](C)CC ZINC001206818248 968488564 /nfs/dbraw/zinc/48/85/64/968488564.db2.gz PKSZKGLIXUAFRZ-JTQLQIEISA-N 0 3 210.321 2.994 20 0 BFADHN CCCC[C@H](O)CN1CCc2sccc2C1 ZINC001252085236 968507145 /nfs/dbraw/zinc/50/71/45/968507145.db2.gz GAOVQYIJWDYEET-LBPRGKRZSA-N 0 3 239.384 2.657 20 0 BFADHN COc1cncc(CN2CCC23CCCCC3)c1 ZINC001206993513 968532265 /nfs/dbraw/zinc/53/22/65/968532265.db2.gz HVVOVNDBCSFDMZ-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN C[C@H]1CCCCN(Cc2ncccc2F)C1 ZINC001207025933 968558945 /nfs/dbraw/zinc/55/89/45/968558945.db2.gz AUSNWTTYEIXVBR-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN Fc1cncc(CN2CCCCC23CC3)c1 ZINC001207023929 968559044 /nfs/dbraw/zinc/55/90/44/968559044.db2.gz RVNPCKJXAZLSRP-UHFFFAOYSA-N 0 3 220.291 2.739 20 0 BFADHN CCN(Cc1ccccc1)Cc1cccc(=O)[nH]1 ZINC001207063765 968591084 /nfs/dbraw/zinc/59/10/84/968591084.db2.gz CLFQDFJRZARRGP-UHFFFAOYSA-N 0 3 242.322 2.809 20 0 BFADHN CCCC[C@@H](O)CNCc1ccc(C)cc1F ZINC001252110140 968592828 /nfs/dbraw/zinc/59/28/28/968592828.db2.gz FUUNFNGASKXCQG-CYBMUJFWSA-N 0 3 239.334 2.775 20 0 BFADHN Cc1cc(O)ccc1-c1cc(N(C)C)ccn1 ZINC001240638913 968604784 /nfs/dbraw/zinc/60/47/84/968604784.db2.gz MIINQXFPYISNDB-UHFFFAOYSA-N 0 3 228.295 2.829 20 0 BFADHN CCCCN(CC)Cc1ccnc(CC)n1 ZINC001207082688 968613521 /nfs/dbraw/zinc/61/35/21/968613521.db2.gz NOJBPZSCNKRHKO-UHFFFAOYSA-N 0 3 221.348 2.661 20 0 BFADHN CCc1nccc(CN2CCC[C@H](C)[C@@H]2C)n1 ZINC001207086647 968620038 /nfs/dbraw/zinc/62/00/38/968620038.db2.gz RCRSQALGEFXMIC-RYUDHWBXSA-N 0 3 233.359 2.659 20 0 BFADHN CCc1nccc(CN2CCCC3(CCC3)C2)n1 ZINC001207089211 968622403 /nfs/dbraw/zinc/62/24/03/968622403.db2.gz HNNKACSALZNRNH-UHFFFAOYSA-N 0 3 245.370 2.805 20 0 BFADHN CCc1nccc(CN2CCCC[C@H]2CC)n1 ZINC001207087984 968622448 /nfs/dbraw/zinc/62/24/48/968622448.db2.gz IGSVKKGGVHGAIJ-CYBMUJFWSA-N 0 3 233.359 2.804 20 0 BFADHN Cc1cc(F)cc(CN2CC3CC(C3)C2)c1 ZINC001143619150 968635737 /nfs/dbraw/zinc/63/57/37/968635737.db2.gz KIDZQEILZWTGHE-UHFFFAOYSA-N 0 3 219.303 2.976 20 0 BFADHN Cc1ncccc1-c1cncc(CN(C)C)c1 ZINC001240731374 968670277 /nfs/dbraw/zinc/67/02/77/968670277.db2.gz MCOIFBBMMWAGNQ-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN CC(C)n1nccc1CN1CCCC12CC2 ZINC001207170278 968681492 /nfs/dbraw/zinc/68/14/92/968681492.db2.gz VXGMCKHVUPLNKQ-UHFFFAOYSA-N 0 3 219.332 2.592 20 0 BFADHN Fc1cccnc1CNC[C@@H]1C[C@H]1C(F)(F)F ZINC001207509711 968810246 /nfs/dbraw/zinc/81/02/46/968810246.db2.gz OTNSBSCJFZUFBA-JGVFFNPUSA-N 0 3 248.223 2.509 20 0 BFADHN C[C@@H]1C[C@@H](F)CN1CCc1ccc(F)cc1 ZINC001207511950 968810829 /nfs/dbraw/zinc/81/08/29/968810829.db2.gz VXGDFIUWOXPMFO-ZWNOBZJWSA-N 0 3 225.282 2.801 20 0 BFADHN CCS[C@@H]1CCC[C@H](NCc2cc[nH]n2)C1 ZINC000165238828 968858427 /nfs/dbraw/zinc/85/84/27/968858427.db2.gz PMLVCWHKRIQERJ-CMPLNLGQSA-N 0 3 239.388 2.564 20 0 BFADHN c1cnc2c(c1)CN(CC1CCSCC1)CC2 ZINC001207751273 968875654 /nfs/dbraw/zinc/87/56/54/968875654.db2.gz BTFAYGJLZPFNRZ-UHFFFAOYSA-N 0 3 248.395 2.583 20 0 BFADHN c1ccc(C2(CN3CCCC34COC4)CC2)cc1 ZINC001207838878 968897306 /nfs/dbraw/zinc/89/73/06/968897306.db2.gz XCXYNYWHRPIUTE-UHFFFAOYSA-N 0 3 243.350 2.583 20 0 BFADHN COc1ccccc1[C@@H](C)N(C)C[C@H]1CCCO1 ZINC001207887502 968913857 /nfs/dbraw/zinc/91/38/57/968913857.db2.gz KWTXMJXKKJWFOA-CHWSQXEVSA-N 0 3 249.354 2.867 20 0 BFADHN C[C@@H](CN(C)Cc1ccncn1)c1ccccc1 ZINC001207914036 968929394 /nfs/dbraw/zinc/92/93/94/968929394.db2.gz QMYSCQFHJNICKQ-ZDUSSCGKSA-N 0 3 241.338 2.712 20 0 BFADHN CN(C)/C=C/c1ccncc1-c1ccncc1F ZINC001241227780 968959282 /nfs/dbraw/zinc/95/92/82/968959282.db2.gz CULKDEAFLBIVEO-VMPITWQZSA-N 0 3 243.285 2.815 20 0 BFADHN CN1CC=C(c2cccc3ocnc32)CC1 ZINC001241322611 968973445 /nfs/dbraw/zinc/97/34/45/968973445.db2.gz QJGBOCCXZRGHKG-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN CC(=O)Nc1ccc(C2=CCN(C)CC2)c(C)c1 ZINC001241322123 968976085 /nfs/dbraw/zinc/97/60/85/968976085.db2.gz ISZGIPYQVUXXJR-UHFFFAOYSA-N 0 3 244.338 2.672 20 0 BFADHN CN1CC=C(c2ccc(C(F)F)nc2)CC1 ZINC001241321736 968976589 /nfs/dbraw/zinc/97/65/89/968976589.db2.gz CBYWGNWPIVCQRZ-UHFFFAOYSA-N 0 3 224.254 2.738 20 0 BFADHN CCOc1ccc(C2=CCN(C)CC2)c(C)n1 ZINC001241327891 968978009 /nfs/dbraw/zinc/97/80/09/968978009.db2.gz UEVSKYRJQIGCGZ-UHFFFAOYSA-N 0 3 232.327 2.508 20 0 BFADHN C(CC1CCCC1)CN1CCCC12COC2 ZINC001208091625 968998295 /nfs/dbraw/zinc/99/82/95/968998295.db2.gz OKYKLVRWJTXXOU-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CC/C=C\CCCN1CCOCC1(C)C ZINC001208133369 969029489 /nfs/dbraw/zinc/02/94/89/969029489.db2.gz BQSWVILSMQSZQT-WAYWQWQTSA-N 0 3 211.349 2.844 20 0 BFADHN COc1cc(C)cc(C2=CCCN(C)C2)c1 ZINC001241569882 969057198 /nfs/dbraw/zinc/05/71/98/969057198.db2.gz UCIRMGIFXCDTIV-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN CN1CCC=C(c2ccc(C(F)F)nc2)C1 ZINC001241569751 969057639 /nfs/dbraw/zinc/05/76/39/969057639.db2.gz OPCMZAHOKAXSGP-UHFFFAOYSA-N 0 3 224.254 2.738 20 0 BFADHN Cc1ccc([C@@H](C)CCN2CC[C@]23CCOC3)o1 ZINC001208166087 969100387 /nfs/dbraw/zinc/10/03/87/969100387.db2.gz VIOJHGACRADNEZ-SWLSCSKDSA-N 0 3 249.354 2.946 20 0 BFADHN CC[C@@H](C)NCc1c(F)ccc(F)c1F ZINC000716395997 969123699 /nfs/dbraw/zinc/12/36/99/969123699.db2.gz ZORNBVYGHMBPCU-SSDOTTSWSA-N 0 3 217.234 2.992 20 0 BFADHN CN1CCc2ccc(-c3cscn3)cc2C1 ZINC001241893234 969136827 /nfs/dbraw/zinc/13/68/27/969136827.db2.gz IOHPXRGACGGUAA-UHFFFAOYSA-N 0 3 230.336 2.798 20 0 BFADHN c1cc2n(c1)CCN(CCC1CCCC1)C2 ZINC001208695198 969197159 /nfs/dbraw/zinc/19/71/59/969197159.db2.gz JZPOBKFQFDWZRZ-UHFFFAOYSA-N 0 3 218.344 2.884 20 0 BFADHN CCCCC[C@@H](O)CNCc1ccoc1C ZINC001253553921 969226978 /nfs/dbraw/zinc/22/69/78/969226978.db2.gz NGTBMZMJDDRGCB-CYBMUJFWSA-N 0 3 225.332 2.619 20 0 BFADHN CCCCC[C@@H](O)CN[C@@H](C)c1ccoc1 ZINC001253555685 969231612 /nfs/dbraw/zinc/23/16/12/969231612.db2.gz ALGCOXGGSZATSP-WCQYABFASA-N 0 3 225.332 2.871 20 0 BFADHN CN1CC=C(Nc2cnc3ccsc3c2)CC1 ZINC001208793183 969240665 /nfs/dbraw/zinc/24/06/65/969240665.db2.gz FBRJQHZXTXLXEF-UHFFFAOYSA-N 0 3 245.351 2.928 20 0 BFADHN CC(C)Oc1ccc(NC2=CCN(C)CC2)cn1 ZINC001208802183 969245024 /nfs/dbraw/zinc/24/50/24/969245024.db2.gz IEXMLZPFHVPOMJ-UHFFFAOYSA-N 0 3 247.342 2.500 20 0 BFADHN Cc1cccc(NC2=CCN(C)CC2)c1F ZINC001208837106 969247028 /nfs/dbraw/zinc/24/70/28/969247028.db2.gz FVQPSSILYIBEND-UHFFFAOYSA-N 0 3 220.291 2.765 20 0 BFADHN CCO[C@H]1CCCN(C[C@@H](C)C(F)(F)F)C1 ZINC001208894496 969281950 /nfs/dbraw/zinc/28/19/50/969281950.db2.gz UQYBCICMZHFFQD-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CCc1cccc(O)c1NC1=CCN(C)CC1 ZINC001208980856 969317124 /nfs/dbraw/zinc/31/71/24/969317124.db2.gz YBRSDNWLFCVHQW-UHFFFAOYSA-N 0 3 232.327 2.586 20 0 BFADHN CC(C)C[C@H](Cc1ccccc1)N1CCOCC1 ZINC001169070637 969380878 /nfs/dbraw/zinc/38/08/78/969380878.db2.gz SOYJBAAILPGKGQ-MRXNPFEDSA-N 0 3 247.382 2.976 20 0 BFADHN Fc1ccc(CCN2CCC[C@@H]3C[C@@H]32)cc1F ZINC001209245353 969414365 /nfs/dbraw/zinc/41/43/65/969414365.db2.gz HFKPBQZEVGIBDN-RISCZKNCSA-N 0 3 237.293 2.992 20 0 BFADHN Cc1cn(C)nc1CNCCCC(C)(C)C ZINC000723504399 969431693 /nfs/dbraw/zinc/43/16/93/969431693.db2.gz BMVBWEJXMNFBRA-UHFFFAOYSA-N 0 3 223.364 2.644 20 0 BFADHN C[C@H](N)c1ccn(-c2cc(F)cc3[nH]ccc32)n1 ZINC001169231364 969510586 /nfs/dbraw/zinc/51/05/86/969510586.db2.gz HZUKLOHVBDCLPR-QMMMGPOBSA-N 0 3 244.273 2.512 20 0 BFADHN C[C@H](N)c1ccn(-c2cc(F)cc3cc[nH]c32)n1 ZINC001169231520 969511661 /nfs/dbraw/zinc/51/16/61/969511661.db2.gz LMKATUVPKGNLHY-QMMMGPOBSA-N 0 3 244.273 2.512 20 0 BFADHN COC[C@H]1CCN1Cc1c[nH]c2c1cccc2C ZINC001209489549 969526684 /nfs/dbraw/zinc/52/66/84/969526684.db2.gz JNDGQRRJRFRLHD-CYBMUJFWSA-N 0 3 244.338 2.697 20 0 BFADHN COC[C@@H]1CCN1Cc1c[nH]c2ccc(C)cc12 ZINC001209499791 969530697 /nfs/dbraw/zinc/53/06/97/969530697.db2.gz LQWLKTXTJWRJJR-ZDUSSCGKSA-N 0 3 244.338 2.697 20 0 BFADHN c1cc2ccc(CN3CC[C@]34CCOC4)cc2[nH]1 ZINC001209515749 969532347 /nfs/dbraw/zinc/53/23/47/969532347.db2.gz GFTPGOUERWWGJZ-OAHLLOKOSA-N 0 3 242.322 2.533 20 0 BFADHN Cc1[nH]c2ncccc2c1CN1CC(C)(C)C1 ZINC001209586819 969564056 /nfs/dbraw/zinc/56/40/56/969564056.db2.gz KRZXQEWCVJNSFW-UHFFFAOYSA-N 0 3 229.327 2.713 20 0 BFADHN Cc1cccn2cc(CN(C)CC3CCC3)nc12 ZINC001209739936 969598039 /nfs/dbraw/zinc/59/80/39/969598039.db2.gz WSVHANZDMZIZFN-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN Cn1cc(CN2CCC[C@@H]3C[C@@H]32)c2cccnc21 ZINC001209751421 969604994 /nfs/dbraw/zinc/60/49/94/969604994.db2.gz PUJVXYXWPWKUDR-RISCZKNCSA-N 0 3 241.338 2.558 20 0 BFADHN CC(C)(C)c1cc(Nc2ccnnc2)ccn1 ZINC001209955483 969711359 /nfs/dbraw/zinc/71/13/59/969711359.db2.gz NIGCHCWNMFYDBE-UHFFFAOYSA-N 0 3 228.299 2.913 20 0 BFADHN C[C@@H](Nc1c[nH]cc2ccnc1-2)c1cnccn1 ZINC001169534856 969725201 /nfs/dbraw/zinc/72/52/01/969725201.db2.gz WBMFXDJWYGUOCN-SECBINFHSA-N 0 3 239.282 2.526 20 0 BFADHN C[C@@H](Nc1cncc2cc[nH]c21)c1cnccn1 ZINC001169534856 969725212 /nfs/dbraw/zinc/72/52/12/969725212.db2.gz WBMFXDJWYGUOCN-SECBINFHSA-N 0 3 239.282 2.526 20 0 BFADHN Cc1cc(N)ncc1Nc1ccnc(C2CC2)c1 ZINC001209967828 969735924 /nfs/dbraw/zinc/73/59/24/969735924.db2.gz SIQDIMQXYMCNRM-UHFFFAOYSA-N 0 3 240.310 2.988 20 0 BFADHN Cc1cc(Nc2ccncc2)ccc1N(C)C ZINC001210038854 969771513 /nfs/dbraw/zinc/77/15/13/969771513.db2.gz CMAKHPFHBLTFSU-UHFFFAOYSA-N 0 3 227.311 2.622 20 0 BFADHN Cn1ccnc1Nc1ccc2cccnc2c1 ZINC001210053704 969777724 /nfs/dbraw/zinc/77/77/24/969777724.db2.gz KEDLHKNSVFISJU-UHFFFAOYSA-N 0 3 224.267 2.712 20 0 BFADHN Cc1ncc(Nc2cc3c[nH]nc3cc2C)n1C ZINC001210103331 969801442 /nfs/dbraw/zinc/80/14/42/969801442.db2.gz YGCUPDSGUCYQKH-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN CCc1cc(Nc2cnc(OC)c(C)c2)ccn1 ZINC001210319262 969864628 /nfs/dbraw/zinc/86/46/28/969864628.db2.gz VWHRPGVPPKHSEK-UHFFFAOYSA-N 0 3 243.310 2.522 20 0 BFADHN COc1ccnc(NCCCc2ccncc2)c1 ZINC001156406687 969886096 /nfs/dbraw/zinc/88/60/96/969886096.db2.gz BAMPDRJNICKATH-UHFFFAOYSA-N 0 3 243.310 2.530 20 0 BFADHN CCc1cc(Nc2cccc3c2OCO3)ccn1 ZINC001210558045 969942388 /nfs/dbraw/zinc/94/23/88/969942388.db2.gz CGOAUMCYRJIKDA-UHFFFAOYSA-N 0 3 242.278 2.538 20 0 BFADHN CCN1CCC(c2nnc(C3CCC3)o2)CC1 ZINC001255154759 969961950 /nfs/dbraw/zinc/96/19/50/969961950.db2.gz JGYZSKVIAKEXSR-UHFFFAOYSA-N 0 3 235.331 2.536 20 0 BFADHN Cn1ccnc1Nc1ccccc1OC1CC1 ZINC001210835151 970048048 /nfs/dbraw/zinc/04/80/48/970048048.db2.gz MWPOHRDQVLRHSK-UHFFFAOYSA-N 0 3 229.283 2.705 20 0 BFADHN Cn1ccnc1Nc1ccc2c(c1)C(=O)CCC2 ZINC001210932267 970073101 /nfs/dbraw/zinc/07/31/01/970073101.db2.gz UIUFVUXGARIIOY-UHFFFAOYSA-N 0 3 241.294 2.683 20 0 BFADHN CCCC[C@@H](C)NCc1ccn(CCF)n1 ZINC001255317325 970088422 /nfs/dbraw/zinc/08/84/22/970088422.db2.gz LZYMEBWVNJAQED-LLVKDONJSA-N 0 3 227.327 2.521 20 0 BFADHN CCCC[C@@H](C)NCc1cn(CCF)cn1 ZINC001255319286 970091477 /nfs/dbraw/zinc/09/14/77/970091477.db2.gz HMIWPDOAMHXIKY-LLVKDONJSA-N 0 3 227.327 2.521 20 0 BFADHN CCCC[C@@H](C)NCc1cn(CC)cn1 ZINC001255321617 970095935 /nfs/dbraw/zinc/09/59/35/970095935.db2.gz UZASIBJEUNSAKF-LLVKDONJSA-N 0 3 209.337 2.571 20 0 BFADHN CCCC[C@@H](C)N1CCC(=O)[C@H](F)CC1 ZINC001255321946 970099940 /nfs/dbraw/zinc/09/99/40/970099940.db2.gz FNSHVLSINJAXLA-GHMZBOCLSA-N 0 3 215.312 2.568 20 0 BFADHN CC(C)CCC[C@H](C)N1CC[C@@](F)(CO)C1 ZINC001170077274 970176642 /nfs/dbraw/zinc/17/66/42/970176642.db2.gz HXVWLUARQGGQEF-STQMWFEESA-N 0 3 231.355 2.608 20 0 BFADHN CO[C@H]1CN([C@@H](C)CCCC(C)C)C[C@@H]1F ZINC001170077312 970179330 /nfs/dbraw/zinc/17/93/30/970179330.db2.gz ISEAYVUEHHVDSE-AVGNSLFASA-N 0 3 231.355 2.870 20 0 BFADHN CC(C)CCC[C@@H](C)N1CC[C@](F)(CO)C1 ZINC001170077271 970179662 /nfs/dbraw/zinc/17/96/62/970179662.db2.gz HXVWLUARQGGQEF-CHWSQXEVSA-N 0 3 231.355 2.608 20 0 BFADHN CC(C)CCC[C@H](C)N1C[C@H](CO)C[C@H](F)C1 ZINC001170078544 970182923 /nfs/dbraw/zinc/18/29/23/970182923.db2.gz UFEDXFODNKRLIK-MJBXVCDLSA-N 0 3 245.382 2.854 20 0 BFADHN COC1CCC(N2Cc3cnc(C)cc3C2)CC1 ZINC001255463139 970191941 /nfs/dbraw/zinc/19/19/41/970191941.db2.gz JDYNUXVSGMYOJI-UHFFFAOYSA-N 0 3 246.354 2.663 20 0 BFADHN COc1cnccc1-n1ccc2ccc(N)cc21 ZINC001211260963 970213766 /nfs/dbraw/zinc/21/37/66/970213766.db2.gz UWMUZZJRUIAELS-UHFFFAOYSA-N 0 3 239.278 2.616 20 0 BFADHN CC(C)CCC[C@@H](C)N1CCN(CCF)CC1 ZINC001170087879 970220934 /nfs/dbraw/zinc/22/09/34/970220934.db2.gz MSYALOMQPYLOBS-CQSZACIVSA-N 0 3 244.398 2.788 20 0 BFADHN Cn1ccnc1Nc1ccc(-c2ncc[nH]2)cc1 ZINC001213085515 970272836 /nfs/dbraw/zinc/27/28/36/970272836.db2.gz JMVOKULPUAAMNP-UHFFFAOYSA-N 0 3 239.282 2.554 20 0 BFADHN COC[C@@H](C)NC1(c2ccccc2F)CCC1 ZINC001255561132 970345423 /nfs/dbraw/zinc/34/54/23/970345423.db2.gz DCXROGFYJBDXMK-LLVKDONJSA-N 0 3 237.318 2.829 20 0 BFADHN C[C@@H]1CC[C@@H](N[C@H]2CCc3ccccc3C2=O)C1 ZINC001170121566 970355725 /nfs/dbraw/zinc/35/57/25/970355725.db2.gz NLKKOBQZYLPXPS-KYOSRNDESA-N 0 3 243.350 2.962 20 0 BFADHN C[C@@H]1CC[C@@H](N[C@@H]2CCc3ccccc3C2=O)C1 ZINC001170121568 970356268 /nfs/dbraw/zinc/35/62/68/970356268.db2.gz NLKKOBQZYLPXPS-UXIGCNINSA-N 0 3 243.350 2.962 20 0 BFADHN CCCCN(CC(=O)OCC)[C@@H]1CC[C@H](C)C1 ZINC001170147129 970436954 /nfs/dbraw/zinc/43/69/54/970436954.db2.gz ADOSDOSTXPVIAJ-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN CCCO[C@H]1CCN([C@@H](C)CC(F)(F)F)C1 ZINC001255657742 970440727 /nfs/dbraw/zinc/44/07/27/970440727.db2.gz KFXGJAAPEJSFOK-UWVGGRQHSA-N 0 3 239.281 2.828 20 0 BFADHN CC(C)O[C@@H]1CCN([C@@H](C)CC(F)(F)F)C1 ZINC001255660371 970441549 /nfs/dbraw/zinc/44/15/49/970441549.db2.gz HQEBPJCKDJWJCT-VHSXEESVSA-N 0 3 239.281 2.827 20 0 BFADHN Cc1cc(CN)nn1[C@@H](C)Cc1ccc(C)cc1 ZINC001255773864 970477879 /nfs/dbraw/zinc/47/78/79/970477879.db2.gz RDGCNGKQDGWSEO-LBPRGKRZSA-N 0 3 243.354 2.762 20 0 BFADHN Cc1ccc(C[C@@H](C)N2CC[C@H](F)C2)cc1 ZINC001255786897 970492680 /nfs/dbraw/zinc/49/26/80/970492680.db2.gz DBVXBMARMBDIKJ-OCCSQVGLSA-N 0 3 221.319 2.970 20 0 BFADHN Cc1ccc(C[C@@H](C)N2CCOC3(CC3)C2)cc1 ZINC001255793507 970494789 /nfs/dbraw/zinc/49/47/89/970494789.db2.gz DWOCAHGXPIIIHQ-CQSZACIVSA-N 0 3 245.366 2.791 20 0 BFADHN C[C@H](Cc1ccccc1F)N[C@H]1CCCCC1=O ZINC001255833817 970506978 /nfs/dbraw/zinc/50/69/78/970506978.db2.gz BXBYLABVMTVXRO-RISCZKNCSA-N 0 3 249.329 2.858 20 0 BFADHN CCC1(N[C@@H](C)Cc2ccccc2F)COC1 ZINC001255834133 970507627 /nfs/dbraw/zinc/50/76/27/970507627.db2.gz CZSJMXDNDRPPOV-NSHDSACASA-N 0 3 237.318 2.525 20 0 BFADHN CC(=O)C(C)(C)N[C@@H](C)Cc1ccccc1F ZINC001255830116 970508057 /nfs/dbraw/zinc/50/80/57/970508057.db2.gz QBZKYWCERVZHMP-JTQLQIEISA-N 0 3 237.318 2.714 20 0 BFADHN C[C@H](Cc1ccccc1F)N1CC=CC1 ZINC001255842199 970513716 /nfs/dbraw/zinc/51/37/16/970513716.db2.gz PWHLIHCSLBYJMU-LLVKDONJSA-N 0 3 205.276 2.629 20 0 BFADHN C[C@@H](N)c1ccn([C@@H](C)Cc2ccc(F)cc2)n1 ZINC001255861466 970530012 /nfs/dbraw/zinc/53/00/12/970530012.db2.gz YYMSGKHPIXEBFC-WDEREUQCSA-N 0 3 247.317 2.846 20 0 BFADHN Cn1ncc2c1CN(C1CCCCCC1)CC2 ZINC001255894259 970557225 /nfs/dbraw/zinc/55/72/25/970557225.db2.gz WRDJDSZAQYVUAJ-UHFFFAOYSA-N 0 3 233.359 2.501 20 0 BFADHN Cc1cc(Nc2nccn2C)cnc1OC(C)C ZINC001214937058 970572038 /nfs/dbraw/zinc/57/20/38/970572038.db2.gz DBZUHMVWGZWDFV-UHFFFAOYSA-N 0 3 246.314 2.654 20 0 BFADHN Clc1ncccc1CN1CC[C@H](C2CC2)C1 ZINC000400410077 970586253 /nfs/dbraw/zinc/58/62/53/970586253.db2.gz UHGZHLBWMJTQBW-NSHDSACASA-N 0 3 236.746 2.967 20 0 BFADHN C[NH+](C)Cc1ccnc(-c2ccc([O-])cc2F)c1 ZINC001206044267 970613351 /nfs/dbraw/zinc/61/33/51/970613351.db2.gz HEWMUMPSCOFQQS-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)Nc1cccc(C)c1 ZINC000400761707 970624233 /nfs/dbraw/zinc/62/42/33/970624233.db2.gz INWDUWZNYRCHMX-ZWNOBZJWSA-N 0 3 234.343 2.697 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)Nc1ccc(C)cc1 ZINC000400792175 970626194 /nfs/dbraw/zinc/62/61/94/970626194.db2.gz VCZWJUWWBHWSNK-GXFFZTMASA-N 0 3 234.343 2.697 20 0 BFADHN CSc1cc(NCC2CN(C)C2)ccc1C ZINC001170259469 970645757 /nfs/dbraw/zinc/64/57/57/970645757.db2.gz VVOODTXOROSXOP-UHFFFAOYSA-N 0 3 236.384 2.690 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N1CCCC[C@@H]1CC ZINC000400972839 970653966 /nfs/dbraw/zinc/65/39/66/970653966.db2.gz OWQULVFTRSAYPU-AVGNSLFASA-N 0 3 240.391 2.541 20 0 BFADHN Cc1cnc(NCC2CN(C)C2)c2ccccc12 ZINC001170252463 970659226 /nfs/dbraw/zinc/65/92/26/970659226.db2.gz MWVRLPISEKIADW-UHFFFAOYSA-N 0 3 241.338 2.517 20 0 BFADHN Cc1ccc2cc(NCC3CN(C)C3)ncc2c1 ZINC001170252055 970662656 /nfs/dbraw/zinc/66/26/56/970662656.db2.gz GVMZCWGHECHSOR-UHFFFAOYSA-N 0 3 241.338 2.517 20 0 BFADHN COc1cccc(C[C@@H](C)NC2(CF)CC2)c1 ZINC001256140069 970668843 /nfs/dbraw/zinc/66/88/43/970668843.db2.gz HFWJJQPJPSNHNA-LLVKDONJSA-N 0 3 237.318 2.718 20 0 BFADHN CC(=O)[C@H]1CCCN(Cc2cccc(O)c2C)C1 ZINC001144118126 972588902 /nfs/dbraw/zinc/58/89/02/972588902.db2.gz PXBIWRWWFZAXQO-AWEZNQCLSA-N 0 3 247.338 2.502 20 0 BFADHN CC(=O)c1ccc(CN2CC3CC2(C)C3)cc1 ZINC001327562070 972641279 /nfs/dbraw/zinc/64/12/79/972641279.db2.gz IONHGNCCXWHSSG-UHFFFAOYSA-N 0 3 229.323 2.874 20 0 BFADHN C[N@H+](CCc1cccs1)Cc1ccc([O-])cn1 ZINC001144259216 972711217 /nfs/dbraw/zinc/71/12/17/972711217.db2.gz NRKFMIGIAXDTKP-UHFFFAOYSA-N 0 3 248.351 2.523 20 0 BFADHN C[N@@H+](CCc1cccs1)Cc1ccc([O-])cn1 ZINC001144259216 972711232 /nfs/dbraw/zinc/71/12/32/972711232.db2.gz NRKFMIGIAXDTKP-UHFFFAOYSA-N 0 3 248.351 2.523 20 0 BFADHN CN(Cc1cccn1C1CC1)[C@@H]1CCSC1 ZINC001327941021 972823652 /nfs/dbraw/zinc/82/36/52/972823652.db2.gz UQJVEAFIFYCHLO-CYBMUJFWSA-N 0 3 236.384 2.760 20 0 BFADHN Fc1ccc(CCN2CC[C@H]2C2CC2)c(F)c1 ZINC001327952394 972824849 /nfs/dbraw/zinc/82/48/49/972824849.db2.gz QZGTTZQZNGOZER-AWEZNQCLSA-N 0 3 237.293 2.992 20 0 BFADHN Cc1ccc(CO[C@H]2CCCN(C)C2)s1 ZINC001223185457 972868028 /nfs/dbraw/zinc/86/80/28/972868028.db2.gz IJYITQXISIWTOR-NSHDSACASA-N 0 3 225.357 2.667 20 0 BFADHN CCCCN(CC)Cc1ncn2ccccc12 ZINC001144611049 972884705 /nfs/dbraw/zinc/88/47/05/972884705.db2.gz IUMDNJIDOBHONU-UHFFFAOYSA-N 0 3 231.343 2.956 20 0 BFADHN CCC(CC)N(C)Cc1ncn2ccccc12 ZINC001144624884 972889288 /nfs/dbraw/zinc/88/92/88/972889288.db2.gz VEGQDEKRESLKBI-UHFFFAOYSA-N 0 3 231.343 2.955 20 0 BFADHN C[C@@H]1CCN(Cc2ncn3ccccc23)[C@H](C)C1 ZINC001144624943 972891914 /nfs/dbraw/zinc/89/19/14/972891914.db2.gz WQMZNMLTNMYUDE-CHWSQXEVSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@H]1CCCN(Cc2ncn3ccccc23)[C@H]1C ZINC001144622863 972894878 /nfs/dbraw/zinc/89/48/78/972894878.db2.gz ORRKKCIVXMTTTC-STQMWFEESA-N 0 3 243.354 2.955 20 0 BFADHN F[C@@H]1CN(Cc2cccc3cc[nH]c32)C[C@@H]2C[C@@H]21 ZINC001144710337 972950793 /nfs/dbraw/zinc/95/07/93/972950793.db2.gz NXXGVDWNTJSZCO-MELADBBJSA-N 0 3 244.313 2.958 20 0 BFADHN CC(C)N1CCC[C@@H](OCc2nccs2)C1 ZINC001223351790 973001253 /nfs/dbraw/zinc/00/12/53/973001253.db2.gz IEKSYPMMFRMQDN-LLVKDONJSA-N 0 3 240.372 2.533 20 0 BFADHN CC(C)N1CCC[C@H](OCc2nccs2)C1 ZINC001223351791 973003480 /nfs/dbraw/zinc/00/34/80/973003480.db2.gz IEKSYPMMFRMQDN-NSHDSACASA-N 0 3 240.372 2.533 20 0 BFADHN Clc1ccnc(CN2C[C@@H]3CCC[C@@H]3C2)c1 ZINC000126194951 973070137 /nfs/dbraw/zinc/07/01/37/973070137.db2.gz XSADFDVHDVUSLT-PHIMTYICSA-N 0 3 236.746 2.967 20 0 BFADHN OCc1cnccc1N1CC[C@H]2CCCC[C@@H]2C1 ZINC000126424402 973101923 /nfs/dbraw/zinc/10/19/23/973101923.db2.gz NJZHQIOIDGUUAS-CHWSQXEVSA-N 0 3 246.354 2.590 20 0 BFADHN C[C@@H](N)c1nc2c(ccc(Cl)c2Cl)[nH]1 ZINC001247012670 973795441 /nfs/dbraw/zinc/79/54/41/973795441.db2.gz AEECAIGVKSYTGG-SCSAIBSYSA-N 0 3 230.098 2.889 20 0 BFADHN COc1cncc(CN[C@H]2C=CCCC2)c1C ZINC001329724659 973897205 /nfs/dbraw/zinc/89/72/05/973897205.db2.gz VWCKAFSYQDBGBM-ZDUSSCGKSA-N 0 3 232.327 2.597 20 0 BFADHN Cc1cncc(CN(C)[C@H]2CC[C@H](C)CC2)n1 ZINC001203180572 973970532 /nfs/dbraw/zinc/97/05/32/973970532.db2.gz PWDMBQHCHLCSIO-YEORSEQZSA-N 0 3 233.359 2.796 20 0 BFADHN CN(CCF)[C@H]1CCc2cc(F)c(F)cc2C1 ZINC001171501117 974084449 /nfs/dbraw/zinc/08/44/49/974084449.db2.gz VKAWCMUWTMLAQV-NSHDSACASA-N 0 3 243.272 2.723 20 0 BFADHN CC(C)OC(=O)CN1[C@H](C)C[C@@H]2CCCC[C@H]21 ZINC001330089533 974104230 /nfs/dbraw/zinc/10/42/30/974104230.db2.gz OTUKWTGGRYMDJC-FRRDWIJNSA-N 0 3 239.359 2.591 20 0 BFADHN Cc1cccc2c1CC[C@H](N1CC[C@@H](F)C1)C2 ZINC001171564989 974105969 /nfs/dbraw/zinc/10/59/69/974105969.db2.gz ILPFHUKSNOHNHW-KGLIPLIRSA-N 0 3 233.330 2.896 20 0 BFADHN F[C@H]1CCC[C@H](NCc2cnc(C3CC3)o2)C1 ZINC001330108695 974112072 /nfs/dbraw/zinc/11/20/72/974112072.db2.gz PWUHJFMQUCOLFY-QWRGUYRKSA-N 0 3 238.306 2.922 20 0 BFADHN Cc1ncccc1CNCc1cccn1C1CC1 ZINC001330114593 974113579 /nfs/dbraw/zinc/11/35/79/974113579.db2.gz LZNJTAYSAVVDOY-UHFFFAOYSA-N 0 3 241.338 2.816 20 0 BFADHN CC(C)N1CC[C@H](Oc2ccccc2F)C1 ZINC001225200553 974216469 /nfs/dbraw/zinc/21/64/69/974216469.db2.gz KOZVZKBLFPTENT-NSHDSACASA-N 0 3 223.291 2.687 20 0 BFADHN C(=C/C1CC1)\CNCc1ccnn1CC1CCC1 ZINC001330344332 974242536 /nfs/dbraw/zinc/24/25/36/974242536.db2.gz WFTHMKJIEAPFHG-GORDUTHDSA-N 0 3 245.370 2.739 20 0 BFADHN Cc1ccc(C)c(O[C@H]2CN3CCC2CC3)c1 ZINC001225225387 974263522 /nfs/dbraw/zinc/26/35/22/974263522.db2.gz STHFFDAXVCNPFR-HNNXBMFYSA-N 0 3 231.339 2.776 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)n1ccnc1[C@@H](C)N ZINC001172318073 974527899 /nfs/dbraw/zinc/52/78/99/974527899.db2.gz XZPSBXKVWFJDSV-GRYCIOLGSA-N 0 3 239.363 2.669 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)NCc1cc(C)no1 ZINC001172321374 974530322 /nfs/dbraw/zinc/53/03/22/974530322.db2.gz JZCPRZLXHDRDHS-CMPLNLGQSA-N 0 3 240.347 2.666 20 0 BFADHN C[C@H](N)c1nccn1[C@@H]1CC[C@@H](C)[C@H](C)C1 ZINC001172357012 974624701 /nfs/dbraw/zinc/62/47/01/974624701.db2.gz ALCOMCWQTGUTKC-WISYIIOYSA-N 0 3 221.348 2.900 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1CC(C(F)F)C1 ZINC001172362219 974632528 /nfs/dbraw/zinc/63/25/28/974632528.db2.gz RPTPZSPSSJIFRC-UWVGGRQHSA-N 0 3 235.318 2.777 20 0 BFADHN C[C@@H]1CC[C@@H](N2CC3(C2)CCCO3)C[C@@H]1C ZINC001172364886 974638710 /nfs/dbraw/zinc/63/87/10/974638710.db2.gz HCSCMKHLXZOTEN-FRRDWIJNSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@@H]1CC[C@H](n2ncc3c2CCNC3)C[C@H]1C ZINC001172367452 974645782 /nfs/dbraw/zinc/64/57/82/974645782.db2.gz SBEJAEIBKNELQG-WZRBSPASSA-N 0 3 233.359 2.526 20 0 BFADHN CCN1CC[C@H](Oc2ccc(Cl)cc2F)C1 ZINC001225713080 974654728 /nfs/dbraw/zinc/65/47/28/974654728.db2.gz MQRYVOXBTSXJPZ-JTQLQIEISA-N 0 3 243.709 2.952 20 0 BFADHN CCOCC[C@@H](C)NCc1nc2ccccc2o1 ZINC001172405788 974661991 /nfs/dbraw/zinc/66/19/91/974661991.db2.gz DPKYOUWVBMUBRB-LLVKDONJSA-N 0 3 248.326 2.733 20 0 BFADHN CC(=O)c1cccc(O[C@H]2CCN(C(C)C)C2)c1 ZINC001225811925 974690670 /nfs/dbraw/zinc/69/06/70/974690670.db2.gz JDWIVNVBTGTRHG-HNNXBMFYSA-N 0 3 247.338 2.751 20 0 BFADHN CCN([C@@H](C)C(=O)OC)[C@@H]1CC[C@H](C)[C@@H](C)C1 ZINC001172384224 974727700 /nfs/dbraw/zinc/72/77/00/974727700.db2.gz UQWXXYNRQPQYQK-ZDEQEGDKSA-N 0 3 241.375 2.695 20 0 BFADHN CCCCCC[C@H](CCC)N1CC(O)C1 ZINC001172389744 974737495 /nfs/dbraw/zinc/73/74/95/974737495.db2.gz ZGNHUPFQWOEWNA-LBPRGKRZSA-N 0 3 213.365 2.802 20 0 BFADHN CSc1ccc(CN2CC[C@@H](C)C2)o1 ZINC001331529715 974750362 /nfs/dbraw/zinc/75/03/62/974750362.db2.gz LOGRYXZTUKDPMH-SECBINFHSA-N 0 3 211.330 2.843 20 0 BFADHN CC[C@H](CC(C)C)N1CCc2cnn(C)c2C1 ZINC001172401328 974761325 /nfs/dbraw/zinc/76/13/25/974761325.db2.gz HXDSGESQKCKZMA-CYBMUJFWSA-N 0 3 235.375 2.603 20 0 BFADHN CC(C)COCC[C@@H](C)N1CC(C(F)F)C1 ZINC001172482009 974784511 /nfs/dbraw/zinc/78/45/11/974784511.db2.gz VOGNCCNGMINDLK-SNVBAGLBSA-N 0 3 235.318 2.635 20 0 BFADHN C[C@H]1CCC[C@H](c2noc([C@@H]3NC[C@@H]4C[C@@H]43)n2)C1 ZINC001331761866 974816968 /nfs/dbraw/zinc/81/69/68/974816968.db2.gz IVPMRZLKMOJRPD-UHFZAUJKSA-N 0 3 247.342 2.644 20 0 BFADHN CC(C)OCC[C@H](C)N1CCOC[C@H]1C(C)C ZINC001172456520 974820831 /nfs/dbraw/zinc/82/08/31/974820831.db2.gz OPEFNYJJADIMNN-KBPBESRZSA-N 0 3 243.391 2.547 20 0 BFADHN CSc1cccc(O[C@@H]2CN3CCC2CC3)c1 ZINC001226238392 974832500 /nfs/dbraw/zinc/83/25/00/974832500.db2.gz PBCUYNSCPTZCNH-CQSZACIVSA-N 0 3 249.379 2.882 20 0 BFADHN Fc1cc(CNC/C=C/C2CC2)cnc1Cl ZINC001331892278 974852272 /nfs/dbraw/zinc/85/22/72/974852272.db2.gz LPIZRXXSGSVVSI-OWOJBTEDSA-N 0 3 240.709 2.930 20 0 BFADHN CC(=O)CN(C)[C@H]1CC[C@@H](c2ccccc2)C1 ZINC001172555531 974869392 /nfs/dbraw/zinc/86/93/92/974869392.db2.gz DJLFXWUUUIWQNX-CABCVRRESA-N 0 3 231.339 2.844 20 0 BFADHN NCc1ccn([C@H]2CC[C@@H](c3ccccc3)C2)n1 ZINC001172546080 974877773 /nfs/dbraw/zinc/87/77/73/974877773.db2.gz JLBKUGHQKXDJGF-HIFRSBDPSA-N 0 3 241.338 2.851 20 0 BFADHN CC1(O)CN([C@@H]2CCC[C@@H](c3ccccc3)C2)C1 ZINC001172545814 974878633 /nfs/dbraw/zinc/87/86/33/974878633.db2.gz MMFIFQYAZKKUNJ-HUUCEWRRSA-N 0 3 245.366 2.779 20 0 BFADHN CC1(O)CN(C2CCC3(CCCC3)CC2)C1 ZINC001172726206 974908684 /nfs/dbraw/zinc/90/86/84/974908684.db2.gz DATAUAPDROZMDY-UHFFFAOYSA-N 0 3 223.360 2.556 20 0 BFADHN Cc1noc2cc(O[C@@H]3CCCN(C)C3)ccc12 ZINC001226539241 974947862 /nfs/dbraw/zinc/94/78/62/974947862.db2.gz YDIIAORPGNXFDO-GFCCVEGCSA-N 0 3 246.310 2.609 20 0 BFADHN Cc1ccc2c(c1)C[C@H](N1CCOC[C@@H]1C)CC2 ZINC001172826340 974949321 /nfs/dbraw/zinc/94/93/21/974949321.db2.gz AHDFOYKHFRQWED-XJKSGUPXSA-N 0 3 245.366 2.573 20 0 BFADHN Cc1ccc2c(c1)C[C@@H](N1CCOC[C@@H]1C)CC2 ZINC001172826337 974949340 /nfs/dbraw/zinc/94/93/40/974949340.db2.gz AHDFOYKHFRQWED-BBRMVZONSA-N 0 3 245.366 2.573 20 0 BFADHN Cc1ccc2c(c1)C[C@H](N1CCOC[C@H]1C)CC2 ZINC001172826339 974949992 /nfs/dbraw/zinc/94/99/92/974949992.db2.gz AHDFOYKHFRQWED-CZUORRHYSA-N 0 3 245.366 2.573 20 0 BFADHN C[C@H](Oc1ccnc(N)c1)C1CCCC1 ZINC001226651720 974995299 /nfs/dbraw/zinc/99/52/99/974995299.db2.gz AQTNRAWQCKELFY-VIFPVBQESA-N 0 3 206.289 2.621 20 0 BFADHN CCC[C@H](Oc1ccnc(N)c1)C(C)C ZINC001226656609 975001697 /nfs/dbraw/zinc/00/16/97/975001697.db2.gz MCQNDEXOYWPXMM-NSHDSACASA-N 0 3 208.305 2.867 20 0 BFADHN CCc1ccc(C[C@H](C)NCc2cnco2)cc1 ZINC001173236523 975076478 /nfs/dbraw/zinc/07/64/78/975076478.db2.gz YXSGKFJPYPAAKC-LBPRGKRZSA-N 0 3 244.338 2.958 20 0 BFADHN CC[C@@H]1CC[C@H](n2ccnc2[C@H](C)N)C1 ZINC001173259920 975109481 /nfs/dbraw/zinc/10/94/81/975109481.db2.gz IBYZDZBTFLLSRF-AXFHLTTASA-N 0 3 207.321 2.654 20 0 BFADHN CC[C@@H]1CC[C@@H](n2ccc([C@@H](C)N)n2)C1 ZINC001173265034 975123722 /nfs/dbraw/zinc/12/37/22/975123722.db2.gz YPOJIJUKQGXAHO-GMTAPVOTSA-N 0 3 207.321 2.654 20 0 BFADHN CC[C@H]1CC[C@@H](NCc2ccn(CCF)n2)C1 ZINC001173268780 975135394 /nfs/dbraw/zinc/13/53/94/975135394.db2.gz CYGGPXNVZSELNA-NWDGAFQWSA-N 0 3 239.338 2.521 20 0 BFADHN CC[C@H]1CC[C@H](NCc2ccn(CCF)n2)C1 ZINC001173268781 975136760 /nfs/dbraw/zinc/13/67/60/975136760.db2.gz CYGGPXNVZSELNA-RYUDHWBXSA-N 0 3 239.338 2.521 20 0 BFADHN CC[C@H]1CC[C@@H](N2CC(OC(C)C)C2)C1 ZINC001173292487 975168252 /nfs/dbraw/zinc/16/82/52/975168252.db2.gz GUBIFFKAPIHUMA-NWDGAFQWSA-N 0 3 211.349 2.674 20 0 BFADHN CCc1ccc(C[C@@H](C)N(C)C2(C)COC2)cc1 ZINC001173242943 975170258 /nfs/dbraw/zinc/17/02/58/975170258.db2.gz IXROJIGHDGCZJJ-CYBMUJFWSA-N 0 3 247.382 2.901 20 0 BFADHN CC[C@H]1CC[C@H](N2CC(OC(C)C)C2)C1 ZINC001173292488 975170980 /nfs/dbraw/zinc/17/09/80/975170980.db2.gz GUBIFFKAPIHUMA-RYUDHWBXSA-N 0 3 211.349 2.674 20 0 BFADHN CCSC[C@H](C)N1CCC[C@@](C)(F)C1 ZINC001173300654 975194830 /nfs/dbraw/zinc/19/48/30/975194830.db2.gz MRNQPWHHPOJSMQ-WDEREUQCSA-N 0 3 219.369 2.952 20 0 BFADHN CC(C)c1ccc(O)cc1O[C@H]1CCCN(C)C1 ZINC001227019535 975222140 /nfs/dbraw/zinc/22/21/40/975222140.db2.gz YYRWQPIHUAJOAI-ZDUSSCGKSA-N 0 3 249.354 2.989 20 0 BFADHN CC[C@H]1CC[C@@H](N(C)Cc2cc(C)nn2C)C1 ZINC001173280392 975235564 /nfs/dbraw/zinc/23/55/64/975235564.db2.gz OCSBLGZNPIEVBG-QWHCGFSZSA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@H]1CC[C@@H](N2CC3(C2)CCCCO3)C1 ZINC001173283296 975239582 /nfs/dbraw/zinc/23/95/82/975239582.db2.gz URYGQFKNNQSTSN-QWHCGFSZSA-N 0 3 223.360 2.820 20 0 BFADHN CCSC[C@H](C)NCc1cccc(Cl)n1 ZINC001173287000 975251913 /nfs/dbraw/zinc/25/19/13/975251913.db2.gz FEPXZGMUQIRTJX-VIFPVBQESA-N 0 3 244.791 2.966 20 0 BFADHN Cc1cc2c(ccnc2O[C@@H]2CCCN(C)C2)o1 ZINC001227085988 975258210 /nfs/dbraw/zinc/25/82/10/975258210.db2.gz BKSPFUAVPNRRCO-LLVKDONJSA-N 0 3 246.310 2.609 20 0 BFADHN CC[C@H]1CC[C@H](n2c(C)nc3c2CNCC3)C1 ZINC001173292008 975266920 /nfs/dbraw/zinc/26/69/20/975266920.db2.gz CXSCOYXKTIHGIC-RYUDHWBXSA-N 0 3 233.359 2.588 20 0 BFADHN O=c1[nH]c2cccc(CN[C@@H]3C=CCCC3)c2o1 ZINC001332781072 975281064 /nfs/dbraw/zinc/28/10/64/975281064.db2.gz PLCMYZYFKSSWRQ-LLVKDONJSA-N 0 3 244.294 2.732 20 0 BFADHN CCCc1c(O)cccc1O[C@H]1CCCN(C)C1 ZINC001227148301 975296610 /nfs/dbraw/zinc/29/66/10/975296610.db2.gz IXNLRXHKJYUTKU-LBPRGKRZSA-N 0 3 249.354 2.818 20 0 BFADHN Fc1cc2c(cc1F)CC(N1CCC[C@@H]3C[C@@H]31)C2 ZINC001173482098 975387129 /nfs/dbraw/zinc/38/71/29/975387129.db2.gz WEKTZIYKYKXZKM-PSLIRLAXSA-N 0 3 249.304 2.916 20 0 BFADHN C[C@@H](NCCCC(F)F)c1cc2n(n1)CCC2 ZINC001333149975 975507664 /nfs/dbraw/zinc/50/76/64/975507664.db2.gz NHXNXDZRKMKYMI-SECBINFHSA-N 0 3 243.301 2.525 20 0 BFADHN CCCCCCN1CC[C@@](N)(C(F)(F)F)C1 ZINC001206213420 975520901 /nfs/dbraw/zinc/52/09/01/975520901.db2.gz VERLIMQBRVGTRZ-JTQLQIEISA-N 0 3 238.297 2.532 20 0 BFADHN CN(C)Cc1ccccc1Nc1cccnc1 ZINC001173858202 975793577 /nfs/dbraw/zinc/79/35/77/975793577.db2.gz RFLAFNZJINRTDV-UHFFFAOYSA-N 0 3 227.311 2.887 20 0 BFADHN CC1(N[C@H]2CCc3c2nccc3Cl)CC1 ZINC001333597339 975879490 /nfs/dbraw/zinc/87/94/90/975879490.db2.gz QCJYXNCBLXLKIP-JTQLQIEISA-N 0 3 222.719 2.864 20 0 BFADHN Cc1nn(C)cc1Nc1ccccc1CN(C)C ZINC001173927509 975891042 /nfs/dbraw/zinc/89/10/42/975891042.db2.gz DVRMWWXCDQIIJA-UHFFFAOYSA-N 0 3 244.342 2.534 20 0 BFADHN Cc1ccc2c(c1)onc2O[C@@H]1CCCN(C)C1 ZINC001228085875 975897048 /nfs/dbraw/zinc/89/70/48/975897048.db2.gz VNMSMMXWZNLYFU-LLVKDONJSA-N 0 3 246.310 2.609 20 0 BFADHN Cc1ccc2c(c1)onc2OC1CCN(C)CC1 ZINC001228084737 975897720 /nfs/dbraw/zinc/89/77/20/975897720.db2.gz NKJDKNQGKIRXKZ-UHFFFAOYSA-N 0 3 246.310 2.609 20 0 BFADHN COc1ccc(Nc2ccncc2C)cc1OC ZINC001173885314 975985401 /nfs/dbraw/zinc/98/54/01/975985401.db2.gz SVAPKGDVBZKXSF-UHFFFAOYSA-N 0 3 244.294 2.573 20 0 BFADHN Cc1cnccc1Nc1cccc(F)c1F ZINC001173946898 976095584 /nfs/dbraw/zinc/09/55/84/976095584.db2.gz INSWXAAATKJRGE-UHFFFAOYSA-N 0 3 220.222 2.834 20 0 BFADHN Cc1cnccc1Nc1cc(F)ccc1F ZINC001173948371 976097620 /nfs/dbraw/zinc/09/76/20/976097620.db2.gz DMKZKDYYFJFAMB-UHFFFAOYSA-N 0 3 220.222 2.834 20 0 BFADHN CC(C)n1ccnc1CN[C@H]1CCC[C@@H](F)C1 ZINC001333845939 976122115 /nfs/dbraw/zinc/12/21/15/976122115.db2.gz GXOVSECAHSKAJS-NEPJUHHUSA-N 0 3 239.338 2.834 20 0 BFADHN C[C@H](c1ncccn1)N1CCCC[C@@H](C)C1 ZINC001334094871 976297553 /nfs/dbraw/zinc/29/75/53/976297553.db2.gz YKYIPSZWXRELER-VXGBXAGGSA-N 0 3 219.332 2.660 20 0 BFADHN CCCN(Cc1cn(CC)nc1C)C1CC1 ZINC001334124420 976320686 /nfs/dbraw/zinc/32/06/86/976320686.db2.gz UBIYMQKNMFNOHB-UHFFFAOYSA-N 0 3 221.348 2.586 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@H]1CC[C@H]1C ZINC001334232610 976376064 /nfs/dbraw/zinc/37/60/64/976376064.db2.gz KKKGJUZKLFYHQQ-XCBNKYQSSA-N 0 3 227.739 2.514 20 0 BFADHN CCc1ccc(O[C@@H](C)CN(C)C)c(C(C)=O)c1 ZINC001228642450 976403385 /nfs/dbraw/zinc/40/33/85/976403385.db2.gz UYUYEZZHTKGMIV-NSHDSACASA-N 0 3 249.354 2.781 20 0 BFADHN Cc1ccnc(N)c1CN1CCC(C)=C(C)C1 ZINC001334367791 976469880 /nfs/dbraw/zinc/46/98/80/976469880.db2.gz QKRDFJASWJSZCB-UHFFFAOYSA-N 0 3 231.343 2.514 20 0 BFADHN FC1CCN(Cc2cccn2C2CC2)CC1 ZINC001334385116 976481157 /nfs/dbraw/zinc/48/11/57/976481157.db2.gz SGIMWVYCOBPKMG-UHFFFAOYSA-N 0 3 222.307 2.757 20 0 BFADHN CCc1cccc(Nc2ccncc2C)n1 ZINC001174535925 976811861 /nfs/dbraw/zinc/81/18/61/976811861.db2.gz CVDWYBSEELZRCA-UHFFFAOYSA-N 0 3 213.284 2.513 20 0 BFADHN CCCc1ccc(O[C@H]2CCN(C)C2)c(OC)c1 ZINC001229285614 976813772 /nfs/dbraw/zinc/81/37/72/976813772.db2.gz XGZZBCHSLZPARF-ZDUSSCGKSA-N 0 3 249.354 2.731 20 0 BFADHN Cc1cnccc1Nc1ccnc(Cl)c1C ZINC001174536165 976815435 /nfs/dbraw/zinc/81/54/35/976815435.db2.gz IZCITDOKDDOORA-UHFFFAOYSA-N 0 3 233.702 2.912 20 0 BFADHN Cc1cn2cccc(Nc3ccncc3C)c2n1 ZINC001174536670 976815958 /nfs/dbraw/zinc/81/59/58/976815958.db2.gz INYFEEJRUGDZAZ-UHFFFAOYSA-N 0 3 238.294 2.512 20 0 BFADHN Cc1cn2c(cccc2Nc2ccncc2C)n1 ZINC001174536279 976817334 /nfs/dbraw/zinc/81/73/34/976817334.db2.gz RLGSDHBKEQAVNZ-UHFFFAOYSA-N 0 3 238.294 2.512 20 0 BFADHN CC(=O)c1ccsc1Nc1ccncc1C ZINC001174536662 976817458 /nfs/dbraw/zinc/81/74/58/976817458.db2.gz RJDSFKYYLZTPCX-UHFFFAOYSA-N 0 3 232.308 2.820 20 0 BFADHN Cc1cnccc1Nc1ccc(Cl)nc1 ZINC001174536256 976818432 /nfs/dbraw/zinc/81/84/32/976818432.db2.gz QINUTNSQLPIBPG-UHFFFAOYSA-N 0 3 219.675 2.604 20 0 BFADHN Cc1cnccc1Nc1cnc(Cl)cc1C ZINC001174536915 976819411 /nfs/dbraw/zinc/81/94/11/976819411.db2.gz NXHOVAHEINAFMB-UHFFFAOYSA-N 0 3 233.702 2.912 20 0 BFADHN COc1ncc(Nc2ccncc2C)cc1Cl ZINC001174536800 976821411 /nfs/dbraw/zinc/82/14/11/976821411.db2.gz WAUOCHTUQUXPGV-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN Cc1cnccc1Nc1ccc2ncoc2c1 ZINC001174537462 976821675 /nfs/dbraw/zinc/82/16/75/976821675.db2.gz GIIIMNKSHMJBCT-UHFFFAOYSA-N 0 3 225.251 2.697 20 0 BFADHN Cc1cnccc1Nc1ccc2nnsc2c1 ZINC001174538452 976825000 /nfs/dbraw/zinc/82/50/00/976825000.db2.gz FKUOMAQIMRHOEL-UHFFFAOYSA-N 0 3 242.307 2.560 20 0 BFADHN Cc1cnccc1Nc1cc(F)c(N)cc1C ZINC001174538690 976826108 /nfs/dbraw/zinc/82/61/08/976826108.db2.gz LQNJFVBTQOHCCW-UHFFFAOYSA-N 0 3 231.274 2.585 20 0 BFADHN Cc1cnccc1Nc1cc(Cl)cc(CO)c1 ZINC001174540207 976831249 /nfs/dbraw/zinc/83/12/49/976831249.db2.gz XWDLPNRRMGMIQB-UHFFFAOYSA-N 0 3 248.713 2.701 20 0 BFADHN Cc1cnccc1Nc1cccc2ocnc21 ZINC001174540019 976831945 /nfs/dbraw/zinc/83/19/45/976831945.db2.gz RSKWHBKOKSMIRW-UHFFFAOYSA-N 0 3 225.251 2.697 20 0 BFADHN Cn1ccnc1Nc1cccc(-c2cc[nH]n2)c1 ZINC001174506357 976835846 /nfs/dbraw/zinc/83/58/46/976835846.db2.gz LAHJHBYEOCPHRR-UHFFFAOYSA-N 0 3 239.282 2.554 20 0 BFADHN C[C@@H](NCc1cnn2ccccc12)C1(Cl)CC1 ZINC001335282700 976921957 /nfs/dbraw/zinc/92/19/57/976921957.db2.gz WKQFQBGLWPXAQD-SNVBAGLBSA-N 0 3 249.745 2.584 20 0 BFADHN Cc1c(CN[C@@H]2C=CCCC2)ccnc1F ZINC001335313349 976949152 /nfs/dbraw/zinc/94/91/52/976949152.db2.gz GCXYQRONMDLQAJ-GFCCVEGCSA-N 0 3 220.291 2.727 20 0 BFADHN CN(C)Cc1ccccc1OC[C@@H]1CCCCO1 ZINC001229698523 976950170 /nfs/dbraw/zinc/95/01/70/976950170.db2.gz CMVQOHOSSMANMF-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@@H](COc1ccccc1CN(C)C)OC ZINC001229701631 976953216 /nfs/dbraw/zinc/95/32/16/976953216.db2.gz KVPSOULFOGNKHM-ZDUSSCGKSA-N 0 3 237.343 2.552 20 0 BFADHN CC[C@@H](C)Oc1ccccc1CN(C)C ZINC001229700123 976953240 /nfs/dbraw/zinc/95/32/40/976953240.db2.gz WUNSZVGXZXVMPV-LLVKDONJSA-N 0 3 207.317 2.926 20 0 BFADHN CO[C@@H](C)CCOc1ccccc1CN(C)C ZINC001229700347 976954976 /nfs/dbraw/zinc/95/49/76/976954976.db2.gz ZEVVDDRLZASYKI-LBPRGKRZSA-N 0 3 237.343 2.552 20 0 BFADHN C=CNc1cccc2c1OCC[C@@H]2N(C)C ZINC001174787511 977022558 /nfs/dbraw/zinc/02/25/58/977022558.db2.gz ZKJQUFQYDQTNNN-LBPRGKRZSA-N 0 3 218.300 2.627 20 0 BFADHN Cn1ccnc1Nc1ccc2cc[nH]c2c1 ZINC001174746399 977041404 /nfs/dbraw/zinc/04/14/04/977041404.db2.gz DVKINMABSDBTSN-UHFFFAOYSA-N 0 3 212.256 2.645 20 0 BFADHN CC(C)[C@H]1CCCC[C@@H]1NCc1nncs1 ZINC001335780757 977262610 /nfs/dbraw/zinc/26/26/10/977262610.db2.gz MQNNCKZZYDYDJY-MNOVXSKESA-N 0 3 239.388 2.843 20 0 BFADHN CCC[C@H](C)[C@@H](CC)Oc1nncc2n[nH]cc21 ZINC001230901753 977374107 /nfs/dbraw/zinc/37/41/07/977374107.db2.gz TXWQMKJZXBAAHR-JOYOIKCWSA-N 0 3 248.330 2.947 20 0 BFADHN Cc1ncc(Nc2ccc3n[nH]cc3c2C)n1C ZINC001175465833 977434800 /nfs/dbraw/zinc/43/48/00/977434800.db2.gz MKMHBGYQTHYMLX-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN CN1CCC=C(Nc2cc(N)cc(Cl)c2)C1 ZINC001175582807 977513574 /nfs/dbraw/zinc/51/35/74/977513574.db2.gz MTRHGASCRZQUKM-UHFFFAOYSA-N 0 3 237.734 2.554 20 0 BFADHN CCN1CC[C@@H](Oc2nccc3ccsc32)C1 ZINC001231346771 977572318 /nfs/dbraw/zinc/57/23/18/977572318.db2.gz BBDHFPYDPPFHKC-LLVKDONJSA-N 0 3 248.351 2.769 20 0 BFADHN CN1CCC[C@@H](Oc2nccc3ccsc32)C1 ZINC001231347653 977578391 /nfs/dbraw/zinc/57/83/91/977578391.db2.gz ODMHJDKUTFXSHI-LLVKDONJSA-N 0 3 248.351 2.769 20 0 BFADHN CN1CCC=C(Nc2cccc3cnccc32)C1 ZINC001175576430 977580692 /nfs/dbraw/zinc/58/06/92/977580692.db2.gz ISESUOVIIZRITK-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN Cc1ccc(NC2=CCCN(C)C2)cc1F ZINC001175576007 977580927 /nfs/dbraw/zinc/58/09/27/977580927.db2.gz GDCVWCZBWKSVMF-UHFFFAOYSA-N 0 3 220.291 2.765 20 0 BFADHN CN1CCC=C(Nc2ccc3cnccc3c2)C1 ZINC001175576865 977589125 /nfs/dbraw/zinc/58/91/25/977589125.db2.gz VGIDGYXUWVSEAJ-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN Cn1ccc2c1cccc2NC1=CCCN(C)C1 ZINC001175577910 977592587 /nfs/dbraw/zinc/59/25/87/977592587.db2.gz AFBZEARMBUZDNW-UHFFFAOYSA-N 0 3 241.338 2.810 20 0 BFADHN COc1ccc(NC2=CCCN(C)C2)cc1C ZINC001175577958 977593984 /nfs/dbraw/zinc/59/39/84/977593984.db2.gz AVXXCMDJLDIRSS-UHFFFAOYSA-N 0 3 232.327 2.635 20 0 BFADHN Cn1ccc2ccc(NC3=CCCN(C)C3)cc21 ZINC001175579542 977594550 /nfs/dbraw/zinc/59/45/50/977594550.db2.gz XXBARFMAOCPARK-UHFFFAOYSA-N 0 3 241.338 2.810 20 0 BFADHN c1[nH]c2cnccc2c1CN1CC2(CCC2)C1 ZINC001231516912 977623658 /nfs/dbraw/zinc/62/36/58/977623658.db2.gz ZBPGQMDCYVRGBT-UHFFFAOYSA-N 0 3 227.311 2.549 20 0 BFADHN Cc1n[nH]c2ccc(Nc3cnc(C)n3C)cc12 ZINC001175699591 977629699 /nfs/dbraw/zinc/62/96/99/977629699.db2.gz SSAKIJPUTRNJSQ-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN Cc1ncc(Nc2ccc3n[nH]c(C)c3c2)n1C ZINC001175699591 977629706 /nfs/dbraw/zinc/62/97/06/977629706.db2.gz SSAKIJPUTRNJSQ-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN CCOc1ccc(CN2CCC[C@H](C)C2)cn1 ZINC001231648634 977660241 /nfs/dbraw/zinc/66/02/41/977660241.db2.gz CLBYPWDEIRZFKB-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN CCCCc1ccc(CN2CC(N(C)C)C2)cc1 ZINC001231780520 977744678 /nfs/dbraw/zinc/74/46/78/977744678.db2.gz TXMNFZOMKCWKOJ-UHFFFAOYSA-N 0 3 246.398 2.775 20 0 BFADHN CC(C)c1ccccc1CN1CC2(CC(O)C2)C1 ZINC001231874795 977774373 /nfs/dbraw/zinc/77/43/73/977774373.db2.gz KBZDNMDFYRYGCV-UHFFFAOYSA-N 0 3 245.366 2.767 20 0 BFADHN COc1cccc2c(CN3CC(C)C3)c[nH]c21 ZINC001231895457 977790401 /nfs/dbraw/zinc/79/04/01/977790401.db2.gz ODUFVIPDKCCFNU-UHFFFAOYSA-N 0 3 230.311 2.628 20 0 BFADHN Cc1cc(Nc2ccncc2C)cc(C)c1N ZINC001175930966 977817199 /nfs/dbraw/zinc/81/71/99/977817199.db2.gz DJTPPAQGNHSUPS-UHFFFAOYSA-N 0 3 227.311 2.755 20 0 BFADHN Cc1cc(CN2CCC[C@H]3C[C@H]32)cc(C)n1 ZINC001232002692 977835138 /nfs/dbraw/zinc/83/51/38/977835138.db2.gz HCZYGIYJUKJYKV-UONOGXRCSA-N 0 3 216.328 2.683 20 0 BFADHN CN(Cc1cc2c[nH]ccc-2n1)CC(F)(F)F ZINC001232011755 977841060 /nfs/dbraw/zinc/84/10/60/977841060.db2.gz OLLHZPLOPXXJMH-UHFFFAOYSA-N 0 3 243.232 2.557 20 0 BFADHN CN(Cc1cc2cnccc2[nH]1)CC(F)(F)F ZINC001232011755 977841062 /nfs/dbraw/zinc/84/10/62/977841062.db2.gz OLLHZPLOPXXJMH-UHFFFAOYSA-N 0 3 243.232 2.557 20 0 BFADHN COc1cccc2[nH]cc(CN3CC[C@H]3C)c21 ZINC001232035228 977856545 /nfs/dbraw/zinc/85/65/45/977856545.db2.gz ZABMHNNKUIJFCC-SNVBAGLBSA-N 0 3 230.311 2.771 20 0 BFADHN CCC[N@H+](Cc1cncc([O-])c1)[C@@H](C)CC ZINC001232038602 977863789 /nfs/dbraw/zinc/86/37/89/977863789.db2.gz GXVMCOPGDSVKJF-NSHDSACASA-N 0 3 222.332 2.798 20 0 BFADHN CCC[N@@H+](Cc1cncc([O-])c1)[C@@H](C)CC ZINC001232038602 977863793 /nfs/dbraw/zinc/86/37/93/977863793.db2.gz GXVMCOPGDSVKJF-NSHDSACASA-N 0 3 222.332 2.798 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CCC[C@@H]3CCCC[C@H]32)c1 ZINC001232042156 977865642 /nfs/dbraw/zinc/86/56/42/977865642.db2.gz OJXALYHQRCEONG-DZGCQCFKSA-N 0 3 246.354 2.942 20 0 BFADHN [O-]c1cncc(C[N@H+]2CCC[C@@H]3CCCC[C@H]32)c1 ZINC001232042156 977865643 /nfs/dbraw/zinc/86/56/43/977865643.db2.gz OJXALYHQRCEONG-DZGCQCFKSA-N 0 3 246.354 2.942 20 0 BFADHN C[C@@]1(CF)CCN(Cc2cc3ncccc3[nH]2)C1 ZINC001232061878 977873132 /nfs/dbraw/zinc/87/31/32/977873132.db2.gz QGNLSSCYEIHJIM-AWEZNQCLSA-N 0 3 247.317 2.744 20 0 BFADHN c1c(CN2CCCCC2)[nH]c2cccnc12 ZINC001232062794 977873182 /nfs/dbraw/zinc/87/31/82/977873182.db2.gz AXHIDLRAXWASOG-UHFFFAOYSA-N 0 3 215.300 2.549 20 0 BFADHN Cc1cc(C(F)(F)F)ncc1Nc1ncc[nH]1 ZINC001176079052 977874146 /nfs/dbraw/zinc/87/41/46/977874146.db2.gz FOJYNIQFRZQHBD-UHFFFAOYSA-N 0 3 242.204 2.876 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]3C[C@@H]32)c(C)n1 ZINC001232085015 977886350 /nfs/dbraw/zinc/88/63/50/977886350.db2.gz YMJJLRNJVFMGCL-OCCSQVGLSA-N 0 3 216.328 2.683 20 0 BFADHN CCOc1ccc(C)cc1Nc1ncc[nH]1 ZINC001176084927 977888021 /nfs/dbraw/zinc/88/80/21/977888021.db2.gz WAXSIAHUBXFSKH-UHFFFAOYSA-N 0 3 217.272 2.860 20 0 BFADHN CC1(F)CCN(Cc2cc3ccncc3[nH]2)CC1 ZINC001232089900 977888567 /nfs/dbraw/zinc/88/85/67/977888567.db2.gz GJNSGANHPVXLET-UHFFFAOYSA-N 0 3 247.317 2.887 20 0 BFADHN COc1cnc2c(cccc2Nc2ncc[nH]2)c1 ZINC001176086060 977889706 /nfs/dbraw/zinc/88/97/06/977889706.db2.gz YCUMNFYKCCWTBE-UHFFFAOYSA-N 0 3 240.266 2.710 20 0 BFADHN CC1CC(N(C)Cc2cn(C)nc2C2CC2)C1 ZINC001232168087 977905508 /nfs/dbraw/zinc/90/55/08/977905508.db2.gz AYEHMGOYTHSWMX-UHFFFAOYSA-N 0 3 233.359 2.528 20 0 BFADHN C[C@@H]1CCCCCN1Cc1cnsc1 ZINC001232228489 977955555 /nfs/dbraw/zinc/95/55/55/977955555.db2.gz RMWRAYLAKQVSJU-SNVBAGLBSA-N 0 3 210.346 2.908 20 0 BFADHN CC(C)N(C)Cc1ccnc(C(F)(F)F)c1 ZINC001232234603 977970810 /nfs/dbraw/zinc/97/08/10/977970810.db2.gz BGPALGZUGHMFSS-UHFFFAOYSA-N 0 3 232.249 2.941 20 0 BFADHN C[C@H]1CC[C@@H](CO)N1Cc1cc(Cl)cs1 ZINC001232276557 977989442 /nfs/dbraw/zinc/98/94/42/977989442.db2.gz AETNBBPFXVOHMR-WPRPVWTQSA-N 0 3 245.775 2.747 20 0 BFADHN COc1ccc(CN2CCC(C)(C)C2)c(C)n1 ZINC001232333943 978008906 /nfs/dbraw/zinc/00/89/06/978008906.db2.gz PHOBKQFCEUVFDB-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN Cc1ncc(Nc2cncc(C3CC3)c2)n1C ZINC001176283709 978010685 /nfs/dbraw/zinc/01/06/85/978010685.db2.gz PVCINJZULJRAEM-UHFFFAOYSA-N 0 3 228.299 2.745 20 0 BFADHN COc1cc(C)c(CN2C3CCC2CC3)cn1 ZINC001232352056 978030639 /nfs/dbraw/zinc/03/06/39/978030639.db2.gz DOQOYMHAHDBTJL-UHFFFAOYSA-N 0 3 232.327 2.525 20 0 BFADHN COc1cc(C)c(CN2CCCC[C@@H]2C)cn1 ZINC001232349488 978032835 /nfs/dbraw/zinc/03/28/35/978032835.db2.gz YWKHORJRYQVMHR-LBPRGKRZSA-N 0 3 234.343 2.773 20 0 BFADHN CCOc1ccc(OC)cc1CN1CC[C@@H](C)C1 ZINC001232381044 978046266 /nfs/dbraw/zinc/04/62/66/978046266.db2.gz RBJLFXPIZMQNNN-GFCCVEGCSA-N 0 3 249.354 2.936 20 0 BFADHN C(c1[nH]nc2c1CCC2)N1CC[C@@H]2CCC[C@H]2C1 ZINC001232425091 978062216 /nfs/dbraw/zinc/06/22/16/978062216.db2.gz SJHPOVFSKOEHHB-RYUDHWBXSA-N 0 3 245.370 2.520 20 0 BFADHN C(c1[nH]nc2c1CCC2)N1CC[C@H]2CCC[C@@H]2C1 ZINC001232425092 978063862 /nfs/dbraw/zinc/06/38/62/978063862.db2.gz SJHPOVFSKOEHHB-VXGBXAGGSA-N 0 3 245.370 2.520 20 0 BFADHN CN(Cc1[nH]nc2c1CCC2)CC1CCCC1 ZINC001232429655 978073418 /nfs/dbraw/zinc/07/34/18/978073418.db2.gz XOAAXWZXJIFTJM-UHFFFAOYSA-N 0 3 233.359 2.520 20 0 BFADHN C(c1n[nH]c2c1CCC2)N1CC2(C1)CCCCC2 ZINC001232433089 978077184 /nfs/dbraw/zinc/07/71/84/978077184.db2.gz UDWVBPOERWDBCL-UHFFFAOYSA-N 0 3 245.370 2.665 20 0 BFADHN Cc1nc(CN(C)CCc2cccc(C)c2)c[nH]1 ZINC001232463487 978104771 /nfs/dbraw/zinc/10/47/71/978104771.db2.gz JQLDPCAAPAWFTQ-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN CCCCCN(C)Cc1cc(F)ccn1 ZINC001232490388 978143270 /nfs/dbraw/zinc/14/32/70/978143270.db2.gz KYBMXLUYJJZUHM-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN CN(Cc1cc(F)ccn1)C[C@H]1CC1(C)C ZINC001232493013 978149830 /nfs/dbraw/zinc/14/98/30/978149830.db2.gz ALLYZYRANIECBD-SNVBAGLBSA-N 0 3 222.307 2.699 20 0 BFADHN Fc1ccnc(CN2CC3CCC(CC3)C2)c1 ZINC001232499324 978151531 /nfs/dbraw/zinc/15/15/31/978151531.db2.gz QJVSFDKAKWVOSL-UHFFFAOYSA-N 0 3 234.318 2.843 20 0 BFADHN FCc1cccc(CN2CCCC23CC3)n1 ZINC001232535734 978162579 /nfs/dbraw/zinc/16/25/79/978162579.db2.gz JYKMUNLBFRCLEY-UHFFFAOYSA-N 0 3 220.291 2.680 20 0 BFADHN C[C@H]1CCCN(Cc2cccc(CF)n2)C1 ZINC001232532231 978164264 /nfs/dbraw/zinc/16/42/64/978164264.db2.gz LGTRMPXSYBPXMQ-NSHDSACASA-N 0 3 222.307 2.783 20 0 BFADHN c1cc2cc(CN3C[C@H]4CC[C@@H]3C4)ncc2o1 ZINC001232554255 978182409 /nfs/dbraw/zinc/18/24/09/978182409.db2.gz OKHXRJKEPHKIOX-GXFFZTMASA-N 0 3 228.295 2.812 20 0 BFADHN CCOc1ccnc(CN2CCCC[C@H]2C)c1 ZINC001232579277 978185304 /nfs/dbraw/zinc/18/53/04/978185304.db2.gz MALVPLHGMGIDEI-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN COCC1CN(Cc2ccc3ccsc3c2)C1 ZINC001232610505 978195083 /nfs/dbraw/zinc/19/50/83/978195083.db2.gz RWMJKJSOCSOESN-UHFFFAOYSA-N 0 3 247.363 2.980 20 0 BFADHN COC[C@H]1CCN1Cc1cccc2ncsc21 ZINC001232737972 978256075 /nfs/dbraw/zinc/25/60/75/978256075.db2.gz ORFGGFRGNHPXKR-LLVKDONJSA-N 0 3 248.351 2.517 20 0 BFADHN Cc1c(CN2CC[C@@H](C)C2)ccnc1Cl ZINC001232752144 978260686 /nfs/dbraw/zinc/26/06/86/978260686.db2.gz REWHPOHEOCTFPY-SECBINFHSA-N 0 3 224.735 2.885 20 0 BFADHN CCCn1cnc(CN2CCC[C@H](C)[C@H]2C)c1 ZINC001232798882 978285590 /nfs/dbraw/zinc/28/55/90/978285590.db2.gz ODYOPVJPIKIBDA-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN CCCn1cnc(CN2CC[C@@H]3CCC[C@H]3C2)c1 ZINC001232797629 978287557 /nfs/dbraw/zinc/28/75/57/978287557.db2.gz MKMRYIVOBBKROQ-KBPBESRZSA-N 0 3 247.386 2.915 20 0 BFADHN COc1cc(O)cc(CN2CCC[C@@H](C)C2)c1 ZINC001232802045 978292782 /nfs/dbraw/zinc/29/27/82/978292782.db2.gz RMLFSVNXTYMXJH-LLVKDONJSA-N 0 3 235.327 2.633 20 0 BFADHN CC1CN(Cc2c[nH]c3cc(Cl)ncc23)C1 ZINC001232818345 978302948 /nfs/dbraw/zinc/30/29/48/978302948.db2.gz KRTUBCUFUUHHAM-UHFFFAOYSA-N 0 3 235.718 2.668 20 0 BFADHN CCN(Cc1cc(OC)c(C)cc1OC)C1CC1 ZINC001232834384 978304538 /nfs/dbraw/zinc/30/45/38/978304538.db2.gz QXMMJEYXPDIHTF-UHFFFAOYSA-N 0 3 249.354 2.997 20 0 BFADHN Oc1ccc2[nH]cc(CN3CCC[C@@H]4C[C@@H]43)c2c1 ZINC001232869397 978313125 /nfs/dbraw/zinc/31/31/25/978313125.db2.gz SQBYDKWEGZREGA-BMIGLBTASA-N 0 3 242.322 2.858 20 0 BFADHN Oc1ccc2[nH]cc(CN3CCC[C@H]4C[C@H]43)c2c1 ZINC001232869400 978317099 /nfs/dbraw/zinc/31/70/99/978317099.db2.gz SQBYDKWEGZREGA-ZUZCIYMTSA-N 0 3 242.322 2.858 20 0 BFADHN COc1ncc(CN2CC[C@H]2C)c2ccccc21 ZINC001232946870 978357031 /nfs/dbraw/zinc/35/70/31/978357031.db2.gz JFLHJDSDMHEFGY-LLVKDONJSA-N 0 3 242.322 2.838 20 0 BFADHN Cc1ncc(F)cc1CN1CCC[C@H](C)C1 ZINC001232987351 978388215 /nfs/dbraw/zinc/38/82/15/978388215.db2.gz UBHFLLXGUQUKAB-JTQLQIEISA-N 0 3 222.307 2.761 20 0 BFADHN Cc1ncc(F)cc1CN1CCC[C@@H]2C[C@@H]21 ZINC001232994506 978396085 /nfs/dbraw/zinc/39/60/85/978396085.db2.gz XGMBCMWUPDILGL-MFKMUULPSA-N 0 3 220.291 2.513 20 0 BFADHN CO[C@H](CNCc1ncccc1C)C(C)(C)C ZINC001337566728 978414106 /nfs/dbraw/zinc/41/41/06/978414106.db2.gz LTJBXMCPJNSBMC-CYBMUJFWSA-N 0 3 236.359 2.541 20 0 BFADHN CCN(Cc1[nH]nc2cc(F)ccc21)C1CC1 ZINC001233119522 978468765 /nfs/dbraw/zinc/46/87/65/978468765.db2.gz FEAGOIWDEZFUQQ-UHFFFAOYSA-N 0 3 233.290 2.686 20 0 BFADHN CCN(Cc1cnc(C)c(C)c1)C1CC1 ZINC001233156660 978476517 /nfs/dbraw/zinc/47/65/17/978476517.db2.gz TUEYSRMGDRXZOL-UHFFFAOYSA-N 0 3 204.317 2.683 20 0 BFADHN Cc1ccc(Cl)cc1CN1CC[C@@](C)(O)C1 ZINC001233248182 978511424 /nfs/dbraw/zinc/51/14/24/978511424.db2.gz AYUXCISJUGDDSC-CYBMUJFWSA-N 0 3 239.746 2.605 20 0 BFADHN Cc1cnsc1CN1CCC[C@@H](CF)C1 ZINC001233320940 978556129 /nfs/dbraw/zinc/55/61/29/978556129.db2.gz SYPCTOZYKMIRMH-JTQLQIEISA-N 0 3 228.336 2.633 20 0 BFADHN F[C@@H]1CCCN(Cc2cccc3nccn32)CC1 ZINC001233360710 978573943 /nfs/dbraw/zinc/57/39/43/978573943.db2.gz ALYYSHIWUXLTTO-GFCCVEGCSA-N 0 3 247.317 2.658 20 0 BFADHN Cc1ncc(CN2CCCC3(CCC3)C2)o1 ZINC001233367824 978579378 /nfs/dbraw/zinc/57/93/78/978579378.db2.gz HEAJJOGJQAJCLO-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN Nc1ccc(CN2CC3CC(C3)C2)c(Cl)c1 ZINC001233376950 978586691 /nfs/dbraw/zinc/58/66/91/978586691.db2.gz IQMAHSYHURYXAQ-UHFFFAOYSA-N 0 3 236.746 2.764 20 0 BFADHN CC[C@@H]1CCCN1Cc1cc(F)c(F)cc1N ZINC001233381222 978592914 /nfs/dbraw/zinc/59/29/14/978592914.db2.gz UYPLYIXRKYGVSC-SNVBAGLBSA-N 0 3 240.297 2.921 20 0 BFADHN C=Cc1ccc(O[C@H]2CCN(CC)C2)c(OC)c1 ZINC001233571113 978627884 /nfs/dbraw/zinc/62/78/84/978627884.db2.gz VARNNYBHFIQAOA-ZDUSSCGKSA-N 0 3 247.338 2.811 20 0 BFADHN CC[C@@](C)(NCc1ncc(Cl)n1C)C1CC1 ZINC000691603071 978648453 /nfs/dbraw/zinc/64/84/53/978648453.db2.gz BYYOFHROEAEPCA-GFCCVEGCSA-N 0 3 241.766 2.742 20 0 BFADHN Cc1cc(CN2CCCOCC2)sc1C ZINC001233646852 978658487 /nfs/dbraw/zinc/65/84/87/978658487.db2.gz WFIKWGWFRLBWRN-UHFFFAOYSA-N 0 3 225.357 2.587 20 0 BFADHN CC(C)(NCc1cnsc1)[C@H]1CCCCO1 ZINC001179401355 978700898 /nfs/dbraw/zinc/70/08/98/978700898.db2.gz BTKTWYBYVGWQTP-LLVKDONJSA-N 0 3 240.372 2.580 20 0 BFADHN CCn1ccc(CNCC(C)(C)CC(F)F)n1 ZINC001179468657 978707551 /nfs/dbraw/zinc/70/75/51/978707551.db2.gz KDOUKLLMGVTYSE-UHFFFAOYSA-N 0 3 245.317 2.674 20 0 BFADHN COc1cccc(OC)c1CN1CCC(C)(C)C1 ZINC001204249203 978714862 /nfs/dbraw/zinc/71/48/62/978714862.db2.gz BTIRBMQYUKYNRA-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN Cc1occc1CNC[C@@H]1OCc2ccccc21 ZINC001179798645 978755886 /nfs/dbraw/zinc/75/58/86/978755886.db2.gz CCCUFZVMACBHLD-HNNXBMFYSA-N 0 3 243.306 2.949 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cc(C)nc(C)c2)C1 ZINC001179845072 978766093 /nfs/dbraw/zinc/76/60/93/978766093.db2.gz JOTHGDLUESDZNL-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN CCCn1cncc1CN1C[C@@H](C)CC[C@H]1C ZINC001179855039 978768001 /nfs/dbraw/zinc/76/80/01/978768001.db2.gz MNKHCGAOYGOEMU-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN CSc1cc(F)cc(O[C@H]2CCN(C)C2)c1 ZINC001234293921 978815086 /nfs/dbraw/zinc/81/50/86/978815086.db2.gz KUWUWJHLIWQYKV-JTQLQIEISA-N 0 3 241.331 2.631 20 0 BFADHN CO[C@H]1CCC[C@H](N[C@H](C)c2nccs2)C1 ZINC000070733125 978878253 /nfs/dbraw/zinc/87/82/53/978878253.db2.gz CIOKAGCYZPCCIL-VWYCJHECSA-N 0 3 240.372 2.751 20 0 BFADHN COC[C@H]1CN(CCCCC(C)C)C[C@@H](C)O1 ZINC001180985755 978988194 /nfs/dbraw/zinc/98/81/94/978988194.db2.gz OPGDNCZAUZCIGA-ZIAGYGMSSA-N 0 3 243.391 2.548 20 0 BFADHN CCc1cccc(CN2CC[C@@H](OC)C[C@@H]2C)n1 ZINC001235272894 979016906 /nfs/dbraw/zinc/01/69/06/979016906.db2.gz XDWSFWWOAJDFJT-SWLSCSKDSA-N 0 3 248.370 2.643 20 0 BFADHN Cc1cnc(F)c(CN2CCC[C@H]3C[C@H]32)c1 ZINC001235344949 979032227 /nfs/dbraw/zinc/03/22/27/979032227.db2.gz DZQZIBOVEUWODM-CMPLNLGQSA-N 0 3 220.291 2.513 20 0 BFADHN Cc1ncn(C)c1CN1CCC(C(C)(C)C)CC1 ZINC001235536130 979069954 /nfs/dbraw/zinc/06/99/54/979069954.db2.gz AENSILQADGQMIZ-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN CN(Cc1nc2cc(F)ccc2[nH]1)C(C)(C)C ZINC001235693992 979125777 /nfs/dbraw/zinc/12/57/77/979125777.db2.gz WZYPIHHLJKSNHJ-UHFFFAOYSA-N 0 3 235.306 2.932 20 0 BFADHN CCOc1cc(CN2CCCC2)ccc1F ZINC001235799364 979154989 /nfs/dbraw/zinc/15/49/89/979154989.db2.gz MQRVFLQVWRQMPA-UHFFFAOYSA-N 0 3 223.291 2.820 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@@H](C)CC12CC2 ZINC001235821366 979156578 /nfs/dbraw/zinc/15/65/78/979156578.db2.gz XVXKGZOWJZKVHO-JTQLQIEISA-N 0 3 234.318 2.904 20 0 BFADHN Cc1c(N)cc[nH+]c1-c1cc([O-])cc(Cl)c1 ZINC001235899811 979188692 /nfs/dbraw/zinc/18/86/92/979188692.db2.gz KUNSKDUHGZOLTG-UHFFFAOYSA-N 0 3 234.686 2.998 20 0 BFADHN CCCOc1ccc(CN2CCC2)cc1 ZINC001204304235 979266508 /nfs/dbraw/zinc/26/65/08/979266508.db2.gz AATQVEHRRHERSU-UHFFFAOYSA-N 0 3 205.301 2.681 20 0 BFADHN CCN1CCN(c2ccc(C3CC3)cc2)CC1 ZINC001250032363 979421338 /nfs/dbraw/zinc/42/13/38/979421338.db2.gz LYVPFEHMXSUFFX-UHFFFAOYSA-N 0 3 230.355 2.706 20 0 BFADHN CN[C@@H]1CCN1CC1(c2ccccc2)CCCC1 ZINC001236165312 979421701 /nfs/dbraw/zinc/42/17/01/979421701.db2.gz CRGIQWZTDAUKIQ-HNNXBMFYSA-N 0 3 244.382 2.750 20 0 BFADHN CN[C@@H]1CCN1CCCC1CCCCC1 ZINC001236166386 979421883 /nfs/dbraw/zinc/42/18/83/979421883.db2.gz KSLZASVMFAOCJO-ZDUSSCGKSA-N 0 3 210.365 2.598 20 0 BFADHN CN[C@@H]1CCN1CC[C@@H](C)CC(C)(C)C ZINC001236169297 979424694 /nfs/dbraw/zinc/42/46/94/979424694.db2.gz ZBFIASQMEWTHCJ-NEPJUHHUSA-N 0 3 212.381 2.700 20 0 BFADHN CCC[C@@H](CC)NC(=O)C1(N(C)C)CCCC1 ZINC001603548016 979473975 /nfs/dbraw/zinc/47/39/75/979473975.db2.gz CUCILPXVZTZOJR-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@H](N[C@H]1C[C@@H]2CCCC[C@@H]21)c1ccn(C)n1 ZINC001182272621 979485608 /nfs/dbraw/zinc/48/56/08/979485608.db2.gz UNIKMRLWADBFLX-MNXVOIDGSA-N 0 3 233.359 2.649 20 0 BFADHN CO[C@@H](CNCc1ccoc1)c1ccc(F)cc1 ZINC001341803533 979565763 /nfs/dbraw/zinc/56/57/63/979565763.db2.gz YBBBYXQJGZFQNW-AWEZNQCLSA-N 0 3 249.285 2.896 20 0 BFADHN Nc1nc2cc(C3=CCSCC3)ccc2[nH]1 ZINC001236368708 979565893 /nfs/dbraw/zinc/56/58/93/979565893.db2.gz UVTKAFUKOLOPQV-UHFFFAOYSA-N 0 3 231.324 2.665 20 0 BFADHN Nc1nc2ccc(C3=CCSCC3)cc2[nH]1 ZINC001236368708 979565901 /nfs/dbraw/zinc/56/59/01/979565901.db2.gz UVTKAFUKOLOPQV-UHFFFAOYSA-N 0 3 231.324 2.665 20 0 BFADHN COc1nc(C(C)C)ccc1-c1cnccc1N ZINC001236445087 979599959 /nfs/dbraw/zinc/59/99/59/979599959.db2.gz YRMMGJFLLREMKW-UHFFFAOYSA-N 0 3 243.310 2.688 20 0 BFADHN Cc1nc(C)c(CN(C)CC2CC(F)(F)C2)o1 ZINC001236595261 979672139 /nfs/dbraw/zinc/67/21/39/979672139.db2.gz PFZBOKZHINOBBO-UHFFFAOYSA-N 0 3 244.285 2.769 20 0 BFADHN CCCN(C)Cc1cc(Cl)cc(C)n1 ZINC001236636086 979686041 /nfs/dbraw/zinc/68/60/41/979686041.db2.gz OCOMUEOCEOGHKF-UHFFFAOYSA-N 0 3 212.724 2.885 20 0 BFADHN CN1CCN(Cc2cccc3sccc32)CC1 ZINC001236681419 979690931 /nfs/dbraw/zinc/69/09/31/979690931.db2.gz FHIPIHXLTHQVBR-UHFFFAOYSA-N 0 3 246.379 2.649 20 0 BFADHN CCOc1ncc(CN(CC)C(C)C)cc1F ZINC001236691729 979694595 /nfs/dbraw/zinc/69/45/95/979694595.db2.gz ZOZDDANRCHDRIA-UHFFFAOYSA-N 0 3 240.322 2.850 20 0 BFADHN c1cc2c(cccc2CN2CCSCC2)o1 ZINC001236736353 979716644 /nfs/dbraw/zinc/71/66/44/979716644.db2.gz DLAGJJZVYOBDBW-UHFFFAOYSA-N 0 3 233.336 2.982 20 0 BFADHN CC(C)c1ccc(C[C@H](C)CN2CCNC2)cc1 ZINC001236842851 979753369 /nfs/dbraw/zinc/75/33/69/979753369.db2.gz BGHBBTNPUIWYMY-AWEZNQCLSA-N 0 3 246.398 2.851 20 0 BFADHN Cc1ccc(CN2CCNC2)c2ccccc12 ZINC001236844025 979755205 /nfs/dbraw/zinc/75/52/05/979755205.db2.gz PRENGQBHCCFCGK-UHFFFAOYSA-N 0 3 226.323 2.511 20 0 BFADHN Cc1sc2ccccc2c1CN1CCNC1 ZINC001236850032 979760658 /nfs/dbraw/zinc/76/06/58/979760658.db2.gz JARSKYHZTAYPNB-UHFFFAOYSA-N 0 3 232.352 2.572 20 0 BFADHN CC[C@H](C)[C@H](C)C(=O)Nc1cccc2c1CNC2 ZINC001342395881 979790078 /nfs/dbraw/zinc/79/00/78/979790078.db2.gz DCSSKKNSQBLKLI-QWRGUYRKSA-N 0 3 246.354 2.911 20 0 BFADHN Oc1cc2ccccc2c(CN2CCC2)c1 ZINC001237069137 979815978 /nfs/dbraw/zinc/81/59/78/979815978.db2.gz ZEVVVWHIUDAOCE-UHFFFAOYSA-N 0 3 213.280 2.751 20 0 BFADHN FC[C@H]1CCC[N@H+](Cc2occc2[S-])C1 ZINC001237190058 979840725 /nfs/dbraw/zinc/84/07/25/979840725.db2.gz DYWIOZLTJSZGCT-SECBINFHSA-N 0 3 229.320 2.750 20 0 BFADHN FC[C@H]1CCC[N@@H+](Cc2occc2[S-])C1 ZINC001237190058 979840727 /nfs/dbraw/zinc/84/07/27/979840727.db2.gz DYWIOZLTJSZGCT-SECBINFHSA-N 0 3 229.320 2.750 20 0 BFADHN Cc1cccc(CN2CCNC3=CCCC[C@@H]32)c1 ZINC001237217421 979848749 /nfs/dbraw/zinc/84/87/49/979848749.db2.gz AECZGEJQGHQINA-INIZCTEOSA-N 0 3 242.366 2.837 20 0 BFADHN Cc1ccsc1CN1CCNC2=CCCC[C@@H]21 ZINC001237220943 979853421 /nfs/dbraw/zinc/85/34/21/979853421.db2.gz UEVCOKWMZNSXES-ZDUSSCGKSA-N 0 3 248.395 2.898 20 0 BFADHN C[C@@H]1CN(Cc2cc(O)cc(F)c2)C2(CC2)C1 ZINC001237284405 979858468 /nfs/dbraw/zinc/85/84/68/979858468.db2.gz UYNGRTRWGYJLJS-JTQLQIEISA-N 0 3 235.302 2.906 20 0 BFADHN CCCN(C)Cc1ccncc1C(F)(F)F ZINC001237289977 979864223 /nfs/dbraw/zinc/86/42/23/979864223.db2.gz XLKXWAJODJTCJE-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN Cc1cc(F)cc(C)c1CN1CC(C2COC2)C1 ZINC001237318516 979866630 /nfs/dbraw/zinc/86/66/30/979866630.db2.gz SFXBEEJHIBBBPB-UHFFFAOYSA-N 0 3 249.329 2.521 20 0 BFADHN CCc1cccc(C)c1CN1CC2(CC(O)C2)C1 ZINC001237336995 979875315 /nfs/dbraw/zinc/87/53/15/979875315.db2.gz YONMBBKUJFWFBO-UHFFFAOYSA-N 0 3 245.366 2.514 20 0 BFADHN C[C@@H]1CCCCN(Cc2cc3ccccn3n2)C1 ZINC001237439703 979892591 /nfs/dbraw/zinc/89/25/91/979892591.db2.gz VOXPIBKORPFLOK-CYBMUJFWSA-N 0 3 243.354 2.956 20 0 BFADHN CCn1cncc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001237590696 979951387 /nfs/dbraw/zinc/95/13/87/979951387.db2.gz AXACVCQXLCMEQY-QWHCGFSZSA-N 0 3 233.359 2.525 20 0 BFADHN Cc1coc(CN2C[C@@H]3CCCC[C@H]3C2)n1 ZINC001237636317 979986740 /nfs/dbraw/zinc/98/67/40/979986740.db2.gz XUKCDYPSWRJDER-RYUDHWBXSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1coc(CN2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC001237636318 979990686 /nfs/dbraw/zinc/99/06/86/979990686.db2.gz XUKCDYPSWRJDER-TXEJJXNPSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1cccnc1[C@@H](C)NC[C@H]1CCC=CO1 ZINC001184290305 980014875 /nfs/dbraw/zinc/01/48/75/980014875.db2.gz JWVQZWLLDDTEAR-CHWSQXEVSA-N 0 3 232.327 2.733 20 0 BFADHN CCc1n[nH]cc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001237660218 980023103 /nfs/dbraw/zinc/02/31/03/980023103.db2.gz XJKLRGBJINDHOQ-FZMZJTMJSA-N 0 3 233.359 2.737 20 0 BFADHN CC[C@H]1CCCN1Cc1ccc(F)c(C)n1 ZINC001237818442 980066681 /nfs/dbraw/zinc/06/66/81/980066681.db2.gz SZONRKJMPGMYNJ-LBPRGKRZSA-N 0 3 222.307 2.904 20 0 BFADHN CC1CCN(Cc2ccc3c(c2)OCO3)CC1 ZINC000005485636 980095733 /nfs/dbraw/zinc/09/57/33/980095733.db2.gz VBBNIBRXOLRUES-UHFFFAOYSA-N 0 3 233.311 2.647 20 0 BFADHN CC(C)Oc1ccc(F)c(F)c1CCN(C)C ZINC001250160031 980116265 /nfs/dbraw/zinc/11/62/65/980116265.db2.gz YLOXHIOWRJZAAW-UHFFFAOYSA-N 0 3 243.297 2.856 20 0 BFADHN CO[C@H](C)C1CN(Cc2ccsc2C)C1 ZINC001237975223 980121671 /nfs/dbraw/zinc/12/16/71/980121671.db2.gz WSPJIUQPRCMHEY-SECBINFHSA-N 0 3 225.357 2.523 20 0 BFADHN CN(Cc1[nH]nc2cc(Cl)ccc21)C1CC1 ZINC001237993679 980123309 /nfs/dbraw/zinc/12/33/09/980123309.db2.gz PJPKWXVBIAWSPQ-UHFFFAOYSA-N 0 3 235.718 2.811 20 0 BFADHN Clc1ccc2c(c1)n[nH]c2CN1C[C@@H]2C[C@@H]2C1 ZINC001237994976 980124512 /nfs/dbraw/zinc/12/45/12/980124512.db2.gz BHCFHHFJTBNPNW-DTORHVGOSA-N 0 3 247.729 2.668 20 0 BFADHN Fc1cc(Cl)c(CN2CC3CC(C3)C2)cn1 ZINC001238029119 980128715 /nfs/dbraw/zinc/12/87/15/980128715.db2.gz CHRLCLPGVBGLCY-UHFFFAOYSA-N 0 3 240.709 2.716 20 0 BFADHN COc1cc(Cl)cc(CCN2CCCC2)c1 ZINC001250166802 980129446 /nfs/dbraw/zinc/12/94/46/980129446.db2.gz RRLLFBZETQQXDL-UHFFFAOYSA-N 0 3 239.746 2.987 20 0 BFADHN COc1ccc(C(C)=O)cc1CCN1CCCC1 ZINC001250166570 980129805 /nfs/dbraw/zinc/12/98/05/980129805.db2.gz MLFVTWJKDNANIS-UHFFFAOYSA-N 0 3 247.338 2.536 20 0 BFADHN Nc1cc(F)cc(CCN2CCCC2)c1Cl ZINC001250168490 980137528 /nfs/dbraw/zinc/13/75/28/980137528.db2.gz VPWBZTVXANEOFN-UHFFFAOYSA-N 0 3 242.725 2.700 20 0 BFADHN CCOc1cc(C)ccc1CN1CC[C@H](OC)C1 ZINC001250171432 980143089 /nfs/dbraw/zinc/14/30/89/980143089.db2.gz LYRKFOYJOKKCKE-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CCCO[C@@H]1CCN(Cc2cc(O)ccc2C)C1 ZINC001238098558 980145176 /nfs/dbraw/zinc/14/51/76/980145176.db2.gz LDJPTUQEJAULIP-OAHLLOKOSA-N 0 3 249.354 2.702 20 0 BFADHN c1ncn2c1cccc2CN1CCCCC12CC2 ZINC001238118293 980167468 /nfs/dbraw/zinc/16/74/68/980167468.db2.gz IVXFXTAGPWSSOI-UHFFFAOYSA-N 0 3 241.338 2.853 20 0 BFADHN Cc1ncncc1CN1CCCC2(CCCC2)C1 ZINC001238164818 980196629 /nfs/dbraw/zinc/19/66/29/980196629.db2.gz PMXAQLWJPQUOSJ-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN Nc1ccnc(-c2cccc(OC(F)F)c2)c1 ZINC000722571727 980223974 /nfs/dbraw/zinc/22/39/74/980223974.db2.gz VNPKQQPEQJYLGP-UHFFFAOYSA-N 0 3 236.221 2.932 20 0 BFADHN Cc1cc(CN(C)C2CC2)cnc1OC(C)C ZINC001238376424 980248863 /nfs/dbraw/zinc/24/88/63/980248863.db2.gz QFVUVNKMWSJCIH-UHFFFAOYSA-N 0 3 234.343 2.771 20 0 BFADHN Cc1cnc(CN2CC[C@@](C)(CF)C2)c(C)c1 ZINC001238425034 980250809 /nfs/dbraw/zinc/25/08/09/980250809.db2.gz DNWWFKWKSYKHSM-AWEZNQCLSA-N 0 3 236.334 2.880 20 0 BFADHN Cc1cnc(CN2CCCOC(C)(C)C2)c(C)c1 ZINC001238432162 980255224 /nfs/dbraw/zinc/25/52/24/980255224.db2.gz KKPSTEQHIJPGIW-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN CCO[C@H]1CCCN(Cc2ncc(C)cc2C)C1 ZINC001238430178 980255930 /nfs/dbraw/zinc/25/59/30/980255930.db2.gz PHPYILSXSYUJQA-AWEZNQCLSA-N 0 3 248.370 2.699 20 0 BFADHN CCCO[C@@H]1CCN(Cc2ncc(C)cc2C)C1 ZINC001238429544 980256428 /nfs/dbraw/zinc/25/64/28/980256428.db2.gz NFBRDGSGVNEGLF-CQSZACIVSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1cnc(CN2CCC[C@H](CF)C2)c(C)c1 ZINC001238433835 980264012 /nfs/dbraw/zinc/26/40/12/980264012.db2.gz UUCPYHZNBAUNJX-CYBMUJFWSA-N 0 3 236.334 2.880 20 0 BFADHN C[C@@H]1C[C@@H](O)CN1Cc1ccc(F)c(C2CC2)c1 ZINC001238498375 980276076 /nfs/dbraw/zinc/27/60/76/980276076.db2.gz KANFZHOTXGSREJ-ZWNOBZJWSA-N 0 3 249.329 2.658 20 0 BFADHN CCOc1ccc(C)c(CN2CC[C@H]2C)c1 ZINC001238703724 980309873 /nfs/dbraw/zinc/30/98/73/980309873.db2.gz GZNJJLQOYWSLCA-GFCCVEGCSA-N 0 3 219.328 2.988 20 0 BFADHN CCOc1ccc(C)c(CN2CC[C@H]2COC)c1 ZINC001238715633 980314288 /nfs/dbraw/zinc/31/42/88/980314288.db2.gz YXLRGBDOJVDBOT-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CSc1ncc(C)cc1CN(C)CC1CC1 ZINC001238714000 980314812 /nfs/dbraw/zinc/31/48/12/980314812.db2.gz QNUMFTQTIZTDTI-UHFFFAOYSA-N 0 3 236.384 2.954 20 0 BFADHN c1cc2c(c(-c3ccc(C4CC4)nc3)n1)CCN2 ZINC001238849501 980341559 /nfs/dbraw/zinc/34/15/59/980341559.db2.gz DNGHWCPICVJTGY-UHFFFAOYSA-N 0 3 237.306 2.989 20 0 BFADHN CSc1cncc(CCN2CCCCC2)c1 ZINC001250242493 980381871 /nfs/dbraw/zinc/38/18/71/980381871.db2.gz ZYMAZMIKNXZCNL-UHFFFAOYSA-N 0 3 236.384 2.832 20 0 BFADHN CC(C)(O)/C=C/c1cc(N2CCCCC2)ccn1 ZINC001239147502 980463357 /nfs/dbraw/zinc/46/33/57/980463357.db2.gz QCYGOSMSXXNYJG-SOFGYWHQSA-N 0 3 246.354 2.856 20 0 BFADHN CCn1cnc(CNCCc2ccc(C)cc2)c1 ZINC001345096711 980547519 /nfs/dbraw/zinc/54/75/19/980547519.db2.gz FAQWRUFVEYYKMN-UHFFFAOYSA-N 0 3 243.354 2.544 20 0 BFADHN CN(C)Cc1ccnc(-c2ccsc2)c1 ZINC001239509964 980679327 /nfs/dbraw/zinc/67/93/27/980679327.db2.gz NYALDQYDYLZGMQ-UHFFFAOYSA-N 0 3 218.325 2.872 20 0 BFADHN Fc1cccc(-c2nccc3c2CCN3)c1 ZINC001239531956 980704019 /nfs/dbraw/zinc/70/40/19/980704019.db2.gz HIEKNGVPTBWLFN-UHFFFAOYSA-N 0 3 214.243 2.856 20 0 BFADHN Fc1cccc(F)c1-c1ccc2c(n1)CNCC2 ZINC001239572343 980763095 /nfs/dbraw/zinc/76/30/95/980763095.db2.gz LVLZENUJVDEESZ-UHFFFAOYSA-N 0 3 246.260 2.673 20 0 BFADHN Cc1c(N)ccnc1-c1cc(F)cc(F)c1 ZINC001239654672 980830058 /nfs/dbraw/zinc/83/00/58/980830058.db2.gz QWERUHXMPJAYJI-UHFFFAOYSA-N 0 3 220.222 2.917 20 0 BFADHN CN(C)/C=C/c1ccncc1-c1ccoc1 ZINC001239692070 980851001 /nfs/dbraw/zinc/85/10/01/980851001.db2.gz NYXKWRVCCDCGMN-QPJJXVBHSA-N 0 3 214.268 2.874 20 0 BFADHN F[C@@H]1CCC[C@@H](N[C@@H]2CCCn3ccnc32)C1 ZINC001346503924 980853385 /nfs/dbraw/zinc/85/33/85/980853385.db2.gz IOUZMSRDVBPSLE-IJLUTSLNSA-N 0 3 237.322 2.588 20 0 BFADHN Fc1cccc(-c2ccc3c(n2)CNCC3)c1F ZINC001239705987 980858795 /nfs/dbraw/zinc/85/87/95/980858795.db2.gz SCIUDMWXRNTXLC-UHFFFAOYSA-N 0 3 246.260 2.673 20 0 BFADHN COc1ccc(-c2nc(C)cc3[nH]ccc32)cn1 ZINC001239722703 980876487 /nfs/dbraw/zinc/87/64/87/980876487.db2.gz AXBRFIUNQGXCTR-UHFFFAOYSA-N 0 3 239.278 2.942 20 0 BFADHN CN(C)c1ccnc(-c2ccc3c(c2)CCO3)c1 ZINC001239801743 980940099 /nfs/dbraw/zinc/94/00/99/980940099.db2.gz HTKKGMVOVYBCGI-UHFFFAOYSA-N 0 3 240.306 2.750 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001347131055 980984036 /nfs/dbraw/zinc/98/40/36/980984036.db2.gz YRODQWGMXLEMSR-ZIAGYGMSSA-N 0 3 247.386 2.741 20 0 BFADHN CNCc1cc(-c2ccc(C)cc2Cl)ncn1 ZINC001239862115 981002534 /nfs/dbraw/zinc/00/25/34/981002534.db2.gz NSLVIGQWDBHZEX-UHFFFAOYSA-N 0 3 247.729 2.825 20 0 BFADHN Cn1ccc2cc(-c3cccc(CN)n3)ccc21 ZINC001239939088 981047963 /nfs/dbraw/zinc/04/79/63/981047963.db2.gz GBCLPYHDIKUDNL-UHFFFAOYSA-N 0 3 237.306 2.699 20 0 BFADHN CC[C@@H](NCCN(C#N)CC)c1ccc(F)cc1 ZINC001192452159 981158538 /nfs/dbraw/zinc/15/85/38/981158538.db2.gz HRSJMQHMWXWMSP-CQSZACIVSA-N 0 3 249.333 2.669 20 0 BFADHN Cc1nonc1CN1CCCCC[C@@H]1C(C)C ZINC001192654089 981178592 /nfs/dbraw/zinc/17/85/92/981178592.db2.gz UUTBFWUEWBLTIA-CYBMUJFWSA-N 0 3 237.347 2.779 20 0 BFADHN Cc1cncc(CN(C)CC2CCCCC2)n1 ZINC001203174046 981320171 /nfs/dbraw/zinc/32/01/71/981320171.db2.gz XNBFYHNYAOVKKZ-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CC[C@@H]1CCN(Cc2nocc2C)[C@H]1C ZINC001193603657 981394127 /nfs/dbraw/zinc/39/41/27/981394127.db2.gz CEVMFGCMDDHCFM-WDEREUQCSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(F)cc(-c2ccc3c(n2)CNCC3)c1 ZINC001240592967 981488621 /nfs/dbraw/zinc/48/86/21/981488621.db2.gz DUGCYMUBHVHALX-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN c1cc2c(cccc2-c2ccc3c(n2)CNCC3)[nH]1 ZINC001240710762 981685278 /nfs/dbraw/zinc/68/52/78/981685278.db2.gz VKEHXRDGUVPXEU-UHFFFAOYSA-N 0 3 249.317 2.876 20 0 BFADHN CCn1nccc1-c1ccc2c(N)ccnc2c1 ZINC001240710659 981687577 /nfs/dbraw/zinc/68/75/77/981687577.db2.gz PTRWSBBDIBYEAI-UHFFFAOYSA-N 0 3 238.294 2.700 20 0 BFADHN Cc1cc(-c2cc(CN(C)C)ccn2)ccn1 ZINC001240716181 981722979 /nfs/dbraw/zinc/72/29/79/981722979.db2.gz SYHRONRAOGUHBS-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN Cc1c(F)cccc1-c1ccc2c(n1)CNCC2 ZINC001240728951 981782047 /nfs/dbraw/zinc/78/20/47/981782047.db2.gz HCDHXFBODIICRZ-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN CCC[C@@H](C)CCCN1CCOC[C@@H]1C ZINC001194519679 981824911 /nfs/dbraw/zinc/82/49/11/981824911.db2.gz RXEMSLDIVKNEFX-OLZOCXBDSA-N 0 3 213.365 2.924 20 0 BFADHN CC(C)Oc1ccc(-c2cc(N)ccn2)cn1 ZINC001240806251 981861305 /nfs/dbraw/zinc/86/13/05/981861305.db2.gz UKJUYVBMCMLDLH-UHFFFAOYSA-N 0 3 229.283 2.513 20 0 BFADHN Cc1cc(-c2ccc3c(N)ccnc3c2)n(C)n1 ZINC001240860149 981900101 /nfs/dbraw/zinc/90/01/01/981900101.db2.gz WNOZPPIZAXRBPV-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN CN(C)c1ccnc(-c2ccc3c(c2)OCO3)c1 ZINC001240897023 981948754 /nfs/dbraw/zinc/94/87/54/981948754.db2.gz NAKICWDEQJSOGY-UHFFFAOYSA-N 0 3 242.278 2.543 20 0 BFADHN Cc1ccc(-c2ccc3[nH]c(N)nc3c2)cc1N ZINC001240961646 982033392 /nfs/dbraw/zinc/03/33/92/982033392.db2.gz CRGUDNBCTBFRQW-UHFFFAOYSA-N 0 3 238.294 2.703 20 0 BFADHN Cc1ccc(-c2ccc3nc(N)[nH]c3c2)cc1N ZINC001240961646 982033404 /nfs/dbraw/zinc/03/34/04/982033404.db2.gz CRGUDNBCTBFRQW-UHFFFAOYSA-N 0 3 238.294 2.703 20 0 BFADHN CN(C)c1cc[nH+]c(-c2ccccc2[O-])c1 ZINC001241003487 982089886 /nfs/dbraw/zinc/08/98/86/982089886.db2.gz PUIVHFSGEVKUAV-UHFFFAOYSA-N 0 3 214.268 2.520 20 0 BFADHN c1nn(CC2CCC2)cc1CN[C@@H]1C=CCCC1 ZINC001350890605 982115596 /nfs/dbraw/zinc/11/55/96/982115596.db2.gz UCRSXWCNAMOIAH-OAHLLOKOSA-N 0 3 245.370 2.882 20 0 BFADHN CN(C)/C=C/c1ccncc1-c1ccccc1O ZINC001241022887 982118055 /nfs/dbraw/zinc/11/80/55/982118055.db2.gz HSCRXIHMZOZXAB-CSKARUKUSA-N 0 3 240.306 2.987 20 0 BFADHN CCOc1cc(-c2cc(N)ccn2)ccc1F ZINC001241066073 982181063 /nfs/dbraw/zinc/18/10/63/982181063.db2.gz RONLVRXMTDQXJL-UHFFFAOYSA-N 0 3 232.258 2.869 20 0 BFADHN Nc1ccccc1-c1cncc2cc[nH]c21 ZINC001241071929 982186049 /nfs/dbraw/zinc/18/60/49/982186049.db2.gz FLLMYTXUINOHKZ-UHFFFAOYSA-N 0 3 209.252 2.812 20 0 BFADHN NCc1cc(-c2cc(F)c(F)cc2F)ccn1 ZINC001241111969 982219230 /nfs/dbraw/zinc/21/92/30/982219230.db2.gz YNPHHMLRFMDXEV-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN CCOc1cccc(-c2cccc(CN)n2)c1F ZINC001241263048 982401149 /nfs/dbraw/zinc/40/11/49/982401149.db2.gz VCLHWNXIRSEILD-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN CCOc1ccc(-c2cccc(CN)n2)c(C)c1 ZINC001241268804 982403151 /nfs/dbraw/zinc/40/31/51/982403151.db2.gz IQGLDSIVEYHMRV-UHFFFAOYSA-N 0 3 242.322 2.914 20 0 BFADHN C[C@@H](CNCc1nnc(C2CC2)s1)C1CC1 ZINC001351975834 982419345 /nfs/dbraw/zinc/41/93/45/982419345.db2.gz WRLZFVAUCNJLHL-QMMMGPOBSA-N 0 3 237.372 2.551 20 0 BFADHN C[C@@]1(Br)C[C@H]1CNCc1ccoc1 ZINC001351975384 982423478 /nfs/dbraw/zinc/42/34/78/982423478.db2.gz SLZZCSCQUFMJCO-VHSXEESVSA-N 0 3 244.132 2.543 20 0 BFADHN C[NH2+]Cc1ccccc1-c1cc([O-])cc(F)c1 ZINC001241283771 982430361 /nfs/dbraw/zinc/43/03/61/982430361.db2.gz ZMRDFNALIVNLQG-UHFFFAOYSA-N 0 3 231.270 2.918 20 0 BFADHN CC(=O)c1ccc(F)c(-c2ccnc(CN)c2)c1 ZINC001241301809 982443293 /nfs/dbraw/zinc/44/32/93/982443293.db2.gz DKJLRRPXJANKBV-UHFFFAOYSA-N 0 3 244.269 2.549 20 0 BFADHN CN1CC=C(c2cc(C(F)(F)F)ccn2)CC1 ZINC001241307841 982447256 /nfs/dbraw/zinc/44/72/56/982447256.db2.gz LDDWJRFFZBQBDN-UHFFFAOYSA-N 0 3 242.244 2.819 20 0 BFADHN CC(C)OCc1ccc(C2=CCN(C)CC2)nc1 ZINC001241312518 982459405 /nfs/dbraw/zinc/45/94/05/982459405.db2.gz DFDRFVCGUDHHQO-UHFFFAOYSA-N 0 3 246.354 2.726 20 0 BFADHN COC(=O)c1c(C)cccc1C1=CCN(C)CC1 ZINC001241316873 982467122 /nfs/dbraw/zinc/46/71/22/982467122.db2.gz FNLQYKZBDNLUTB-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN CCOc1cc(F)ccc1-c1cccc(CN)n1 ZINC001241317636 982477187 /nfs/dbraw/zinc/47/71/87/982477187.db2.gz SHIAOHBYBJOEFF-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN CC(=O)Nc1ccc(C2=CCN(C)CC2)cc1C ZINC001241322441 982485601 /nfs/dbraw/zinc/48/56/01/982485601.db2.gz NWLBPDLDVBBXIC-UHFFFAOYSA-N 0 3 244.338 2.672 20 0 BFADHN COc1ccc(C2=CCN(C)CC2)c(C)c1OC ZINC001241327109 982487253 /nfs/dbraw/zinc/48/72/53/982487253.db2.gz GKTHQWXORUGAOP-UHFFFAOYSA-N 0 3 247.338 2.731 20 0 BFADHN CC(=O)Nc1cc(C2=CCN(C)CC2)ccc1C ZINC001241327563 982488221 /nfs/dbraw/zinc/48/82/21/982488221.db2.gz OFHQOBPXTOGHBJ-UHFFFAOYSA-N 0 3 244.338 2.672 20 0 BFADHN CN1CC=C(c2ccc3c(c2)C=CC3)CC1 ZINC001241328090 982488397 /nfs/dbraw/zinc/48/83/97/982488397.db2.gz WVZZYTQGQYSIGA-UHFFFAOYSA-N 0 3 211.308 2.975 20 0 BFADHN Cc1c(C2=CCN(C)CC2)cncc1C1CC1 ZINC001241331842 982495594 /nfs/dbraw/zinc/49/55/94/982495594.db2.gz ALTOWXBIPOSRQI-UHFFFAOYSA-N 0 3 228.339 2.986 20 0 BFADHN CN1CC=C(c2ccnc(OC3CCC3)c2)CC1 ZINC001241331847 982497786 /nfs/dbraw/zinc/49/77/86/982497786.db2.gz AVIYTIBOZKFERU-UHFFFAOYSA-N 0 3 244.338 2.732 20 0 BFADHN Cc1ccc(N(C)C)cc1C1=CCN(C)CC1 ZINC001241333059 982499160 /nfs/dbraw/zinc/49/91/60/982499160.db2.gz QQXMGFBQPVQKNO-UHFFFAOYSA-N 0 3 230.355 2.780 20 0 BFADHN CN1CC=C(c2ccnc(OCC3CC3)c2)CC1 ZINC001241333782 982501109 /nfs/dbraw/zinc/50/11/09/982501109.db2.gz YIXPHIZTIMHCFZ-UHFFFAOYSA-N 0 3 244.338 2.589 20 0 BFADHN CCc1cncc(-c2nccc3c2CCN3)c1 ZINC001241364586 982523567 /nfs/dbraw/zinc/52/35/67/982523567.db2.gz JWISHBILMDTRDI-UHFFFAOYSA-N 0 3 225.295 2.674 20 0 BFADHN c1cnc2cc(-c3nccc4c3CCN4)ccc2n1 ZINC001241492127 982642201 /nfs/dbraw/zinc/64/22/01/982642201.db2.gz WSAFHSLPAOYMGP-UHFFFAOYSA-N 0 3 248.289 2.660 20 0 BFADHN Cn1ccc2c1ccnc2-c1ccc(N)cc1 ZINC001241537880 982709924 /nfs/dbraw/zinc/70/99/24/982709924.db2.gz MPBMRZZHXOKAAG-UHFFFAOYSA-N 0 3 223.279 2.823 20 0 BFADHN Cc1cccc2c1ncnc2C1=CCCN(C)C1 ZINC001241566312 982810428 /nfs/dbraw/zinc/81/04/28/982810428.db2.gz XAPIIGKMWAIXLY-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1cc2ccnc(C3=CCCN(C)C3)c2[nH]1 ZINC001241566432 982810663 /nfs/dbraw/zinc/81/06/63/982810663.db2.gz YLJWBTAPZPDRFN-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CC(=O)Nc1ccc(C)c(C2=CCCN(C)C2)c1 ZINC001241568132 982812543 /nfs/dbraw/zinc/81/25/43/982812543.db2.gz BEQJXTACTZTPRB-UHFFFAOYSA-N 0 3 244.338 2.672 20 0 BFADHN CC(C)Oc1ccc(C2=CCCN(C)C2)cn1 ZINC001241569384 982815202 /nfs/dbraw/zinc/81/52/02/982815202.db2.gz WUVUUAJQSUVZIA-UHFFFAOYSA-N 0 3 232.327 2.588 20 0 BFADHN CN1CCC=C(c2cnc3ccoc3c2)C1 ZINC001241569734 982818698 /nfs/dbraw/zinc/81/86/98/982818698.db2.gz NWRWDHKVUPGGQQ-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN CCc1cc(OC)ccc1C1=CCCN(C)C1 ZINC001241570156 982820576 /nfs/dbraw/zinc/82/05/76/982820576.db2.gz UNJMVYXTVAYBGI-UHFFFAOYSA-N 0 3 231.339 2.977 20 0 BFADHN CN1CCC=C(c2ccccc2OC2CC2)C1 ZINC001241570440 982820868 /nfs/dbraw/zinc/82/08/68/982820868.db2.gz HWOXZTNIHZFLDG-UHFFFAOYSA-N 0 3 229.323 2.947 20 0 BFADHN Nc1ccnc(-c2ccc3ccncc3c2)c1 ZINC001241672463 982915798 /nfs/dbraw/zinc/91/57/98/982915798.db2.gz SBKJCVWJEPXHAP-UHFFFAOYSA-N 0 3 221.263 2.879 20 0 BFADHN Cc1coc(CNCC2(C3(O)CCC3)CCC2)c1 ZINC001353617093 982925599 /nfs/dbraw/zinc/92/55/99/982925599.db2.gz NIBMNSUXZQNMCZ-UHFFFAOYSA-N 0 3 249.354 2.763 20 0 BFADHN CN(C)c1ccnc(-c2ccc3nc[nH]c3c2)c1 ZINC001241685492 982932483 /nfs/dbraw/zinc/93/24/83/982932483.db2.gz MYVVVSNDVMKIAJ-UHFFFAOYSA-N 0 3 238.294 2.691 20 0 BFADHN CN(C)c1ccnc(-c2ccc3[nH]cnc3c2)c1 ZINC001241685492 982932491 /nfs/dbraw/zinc/93/24/91/982932491.db2.gz MYVVVSNDVMKIAJ-UHFFFAOYSA-N 0 3 238.294 2.691 20 0 BFADHN C[C@]1(C(=O)Nc2ccc3c(c2)CNC3)C=CCC1 ZINC001353667040 982960348 /nfs/dbraw/zinc/96/03/48/982960348.db2.gz SNDNUZRLQNOTPS-HNNXBMFYSA-N 0 3 242.322 2.585 20 0 BFADHN COc1cccc(-c2cccc(CN)n2)c1Cl ZINC001241854741 983084221 /nfs/dbraw/zinc/08/42/21/983084221.db2.gz FUBVKVGIASIUHA-UHFFFAOYSA-N 0 3 248.713 2.869 20 0 BFADHN CN(C)/C=C/c1ccncc1-c1cscn1 ZINC001241893733 983111405 /nfs/dbraw/zinc/11/14/05/983111405.db2.gz PCGDKHRIMUCDRX-GQCTYLIASA-N 0 3 231.324 2.737 20 0 BFADHN CCOc1cccc(CN[C@@H]2C=CCCC2)n1 ZINC001201180070 983146589 /nfs/dbraw/zinc/14/65/89/983146589.db2.gz QWEUDITXEYCEMC-GFCCVEGCSA-N 0 3 232.327 2.679 20 0 BFADHN C=Cc1cccc(-c2ccnc(CN)c2)c1 ZINC001241956111 983168618 /nfs/dbraw/zinc/16/86/18/983168618.db2.gz HZKWVPICHXDQPQ-UHFFFAOYSA-N 0 3 210.280 2.850 20 0 BFADHN Cc1cc(-c2cc(N(C)C)ccn2)cc(C)n1 ZINC001241989487 983179911 /nfs/dbraw/zinc/17/99/11/983179911.db2.gz GLKAXMRCKHETLW-UHFFFAOYSA-N 0 3 227.311 2.826 20 0 BFADHN C=Cc1ccccc1-c1cccc(CN)n1 ZINC001241999857 983192211 /nfs/dbraw/zinc/19/22/11/983192211.db2.gz NKWUEWLOTVVYML-UHFFFAOYSA-N 0 3 210.280 2.850 20 0 BFADHN CCCOC1CN(CC2CCCCC2)C1 ZINC001201397824 983197000 /nfs/dbraw/zinc/19/70/00/983197000.db2.gz SMGKBPGONRFEMT-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN FC1C[C@@H]2COC[C@H](C1)N2CC1CCCCC1 ZINC001201399320 983200125 /nfs/dbraw/zinc/20/01/25/983200125.db2.gz CLPMRBCDLNILSU-AGUYFDCRSA-N 0 3 241.350 2.768 20 0 BFADHN CC(C)OC1CN(CC2CCCCC2)C1 ZINC001201401465 983201029 /nfs/dbraw/zinc/20/10/29/983201029.db2.gz IVVCRDFPFKPCNT-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN c1cnc([C@H]2CCCN2C[C@@H]2CC=CCC2)nc1 ZINC001201426583 983225819 /nfs/dbraw/zinc/22/58/19/983225819.db2.gz MRSPGTCJAHNCCF-ZIAGYGMSSA-N 0 3 243.354 2.970 20 0 BFADHN CC(C)=CCC[C@@H](C)CN(C)C1COC1 ZINC001201491537 983262740 /nfs/dbraw/zinc/26/27/40/983262740.db2.gz TZABOQXUZGUKJJ-GFCCVEGCSA-N 0 3 211.349 2.700 20 0 BFADHN CCC[C@@H](C)CN(C)Cc1cc(C)nn1C ZINC001201550297 983303845 /nfs/dbraw/zinc/30/38/45/983303845.db2.gz IFCLTSSOGYDAAX-LLVKDONJSA-N 0 3 223.364 2.597 20 0 BFADHN CC[C@@H](C)CN1CCc2cnc(C3CC3)nc2C1 ZINC001201520610 983319983 /nfs/dbraw/zinc/31/99/83/983319983.db2.gz GJEQQIKMZBTKJK-LLVKDONJSA-N 0 3 245.370 2.758 20 0 BFADHN CCCC[C@H](CC)CN1CC[C@@](C)(O)[C@@H](F)C1 ZINC001201563163 983330093 /nfs/dbraw/zinc/33/00/93/983330093.db2.gz LSBBFOKIOSJNEP-MELADBBJSA-N 0 3 245.382 2.998 20 0 BFADHN CCCC[C@@H](CC)CN1CC[C@@](C)(O)[C@@H](F)C1 ZINC001201563162 983332729 /nfs/dbraw/zinc/33/27/29/983332729.db2.gz LSBBFOKIOSJNEP-HZSPNIEDSA-N 0 3 245.382 2.998 20 0 BFADHN Cc1cc(-c2cc(N(C)C)ccn2)ccc1O ZINC001242102522 983343813 /nfs/dbraw/zinc/34/38/13/983343813.db2.gz KUQAPCWBTWJFHT-UHFFFAOYSA-N 0 3 228.295 2.829 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2)C[C@@H]1F ZINC001201595067 983352687 /nfs/dbraw/zinc/35/26/87/983352687.db2.gz ITGLAIUXDPZDKN-YPMHNXCESA-N 0 3 207.292 2.519 20 0 BFADHN CC(C)CCN1CCc2nc(Cl)ncc2C1 ZINC001201623852 983379387 /nfs/dbraw/zinc/37/93/87/983379387.db2.gz PANMTXWQZVHTLN-UHFFFAOYSA-N 0 3 239.750 2.534 20 0 BFADHN CC(=O)[C@@H]1CCCN1CCCc1ccccc1 ZINC001201682347 983394345 /nfs/dbraw/zinc/39/43/45/983394345.db2.gz XLUPPIMDNOXBLP-HNNXBMFYSA-N 0 3 231.339 2.673 20 0 BFADHN [NH3+]CCc1cccc(-c2ccc(F)cc2[O-])c1 ZINC001242218751 983420329 /nfs/dbraw/zinc/42/03/29/983420329.db2.gz NVLFMEBAFFSLKW-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN C[C@H]([NH3+])c1ccc(-c2ccc(F)cc2[O-])cn1 ZINC001242221105 983425577 /nfs/dbraw/zinc/42/55/77/983425577.db2.gz KCFFWSXJZLYMJB-QMMMGPOBSA-N 0 3 232.258 2.613 20 0 BFADHN CCCCCN1CC[C@@](C)(F)[C@@H](F)C1 ZINC001201725915 983432023 /nfs/dbraw/zinc/43/20/23/983432023.db2.gz VYPXCQUSXACEQP-WDEREUQCSA-N 0 3 205.292 2.949 20 0 BFADHN Nc1ccnc(-c2ccc3cnccc3c2)c1 ZINC001242243017 983454798 /nfs/dbraw/zinc/45/47/98/983454798.db2.gz ASSLYRNBQDTBEV-UHFFFAOYSA-N 0 3 221.263 2.879 20 0 BFADHN Cc1cc(-c2ccnc(CN)c2)ccc1N(C)C ZINC001242242214 983455152 /nfs/dbraw/zinc/45/51/52/983455152.db2.gz QCYWVFQLHHNNTL-UHFFFAOYSA-N 0 3 241.338 2.582 20 0 BFADHN Cc1ccoc1-c1ccc([C@H]2CNCCO2)cc1 ZINC001356092309 983569305 /nfs/dbraw/zinc/56/93/05/983569305.db2.gz RCYDCXLLGLFUQA-CQSZACIVSA-N 0 3 243.306 2.916 20 0 BFADHN [NH3+]Cc1ccccc1-c1cccc(F)c1[O-] ZINC001242352579 983631264 /nfs/dbraw/zinc/63/12/64/983631264.db2.gz ZOKDCYBZBKAXFP-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN FC(F)(F)CCCN1CCc2ncccc2C1 ZINC001202424124 983652636 /nfs/dbraw/zinc/65/26/36/983652636.db2.gz DBLCPAUGMQRBDH-UHFFFAOYSA-N 0 3 244.260 2.782 20 0 BFADHN NCc1cc(-c2cccc3ccncc32)ccn1 ZINC001242370764 983664378 /nfs/dbraw/zinc/66/43/78/983664378.db2.gz JVOZCRSYIXLBSD-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN Cc1nc(-c2cncc(N)c2)cc2[nH]ccc21 ZINC001242500246 983823035 /nfs/dbraw/zinc/82/30/35/983823035.db2.gz MDYBIIQNNBHKRR-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN CNCc1cc(C2=CCCCCCC2)ncn1 ZINC001242503392 983829688 /nfs/dbraw/zinc/82/96/88/983829688.db2.gz UVYSJSCJFDOUDC-UHFFFAOYSA-N 0 3 231.343 2.934 20 0 BFADHN CCc1ncccc1-c1cc(CN(C)C)ccn1 ZINC001242521899 983841704 /nfs/dbraw/zinc/84/17/04/983841704.db2.gz JRVWSPIIMHVNFU-UHFFFAOYSA-N 0 3 241.338 2.768 20 0 BFADHN CCCn1cncc1CN1CC[C@H](C)[C@@H](C)C1 ZINC001474369708 983901320 /nfs/dbraw/zinc/90/13/20/983901320.db2.gz KAUQYJDWNWXYGX-STQMWFEESA-N 0 3 235.375 2.771 20 0 BFADHN Cc1oncc1-c1ncccc1CN1CCCC1 ZINC001242601846 983949551 /nfs/dbraw/zinc/94/95/51/983949551.db2.gz WZICONOWMHSAQN-UHFFFAOYSA-N 0 3 243.310 2.641 20 0 BFADHN CN(C)c1ccc(-c2cc(N(C)C)ccn2)cc1 ZINC000200486632 983956984 /nfs/dbraw/zinc/95/69/84/983956984.db2.gz GUAGACXGEDHXDU-UHFFFAOYSA-N 0 3 241.338 2.881 20 0 BFADHN CSCCN(C)Cc1[nH]nc2c1cccc2C ZINC001137150866 983976903 /nfs/dbraw/zinc/97/69/03/983976903.db2.gz ZULWZXFELXPAHB-UHFFFAOYSA-N 0 3 249.383 2.666 20 0 BFADHN CO[C@H](C)C1CN(Cc2cc(C)cs2)C1 ZINC001137160066 984006470 /nfs/dbraw/zinc/00/64/70/984006470.db2.gz PTLSBECWDWWJRH-SNVBAGLBSA-N 0 3 225.357 2.523 20 0 BFADHN CCOc1cncc(-c2nccc3c2CCN3)c1 ZINC001242656038 984027079 /nfs/dbraw/zinc/02/70/79/984027079.db2.gz CZTFFNWBEMYASP-UHFFFAOYSA-N 0 3 241.294 2.510 20 0 BFADHN CCc1cc(OC)ccc1-c1ccc(CN)nc1 ZINC001242699656 984055577 /nfs/dbraw/zinc/05/55/77/984055577.db2.gz OASBDYWHDSXHRF-UHFFFAOYSA-N 0 3 242.322 2.778 20 0 BFADHN Nc1nc2ccc(-c3cc(O)ccc3F)cc2[nH]1 ZINC001242764566 984137724 /nfs/dbraw/zinc/13/77/24/984137724.db2.gz ORWYBZOBVHFHAR-UHFFFAOYSA-N 0 3 243.241 2.657 20 0 BFADHN Nc1nc2cc(-c3cc(O)ccc3F)ccc2[nH]1 ZINC001242764566 984137730 /nfs/dbraw/zinc/13/77/30/984137730.db2.gz ORWYBZOBVHFHAR-UHFFFAOYSA-N 0 3 243.241 2.657 20 0 BFADHN c1c[nH]c(CN2CCC(c3ccccn3)CC2)c1 ZINC001204009624 984164949 /nfs/dbraw/zinc/16/49/49/984164949.db2.gz CODSAJXCNYRJFB-UHFFFAOYSA-N 0 3 241.338 2.789 20 0 BFADHN NCc1cc(-c2ccc3ccccc3n2)ccn1 ZINC001242777214 984165104 /nfs/dbraw/zinc/16/51/04/984165104.db2.gz WAQBLKISRGQGBV-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN Clc1ccc2c(n1)CCN(CC1CCC1)C2 ZINC001202739978 984211216 /nfs/dbraw/zinc/21/12/16/984211216.db2.gz QLNZLQYBFCWWBN-UHFFFAOYSA-N 0 3 236.746 2.893 20 0 BFADHN C=C(c1ccccc1)c1ccc(CN)nc1 ZINC001242894926 984248946 /nfs/dbraw/zinc/24/89/46/984248946.db2.gz YAOMXFUEAAAQAJ-UHFFFAOYSA-N 0 3 210.280 2.602 20 0 BFADHN Cc1nc2ccc(Nc3cncc(N)c3)cc2[nH]1 ZINC001213071341 984260800 /nfs/dbraw/zinc/26/08/00/984260800.db2.gz MNYSYCBSIDAPQA-UHFFFAOYSA-N 0 3 239.282 2.592 20 0 BFADHN Cc1ccc(-c2ccc3[nH]c(N)nc3c2)nc1 ZINC001242906325 984275070 /nfs/dbraw/zinc/27/50/70/984275070.db2.gz LLJNCQHRIIAEPY-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Cc1ccc(-c2ccc3nc(N)[nH]c3c2)nc1 ZINC001242906325 984275079 /nfs/dbraw/zinc/27/50/79/984275079.db2.gz LLJNCQHRIIAEPY-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN C[C@H](NC/C(Cl)=C\Cl)[C@H]1CCCOC1 ZINC000389302298 984327449 /nfs/dbraw/zinc/32/74/49/984327449.db2.gz MMXAAMNWYIITBW-YZSFECFPSA-N 0 3 238.158 2.710 20 0 BFADHN CN(C)Cc1ccnc(-c2cnccc2Cl)c1 ZINC001243043161 984379997 /nfs/dbraw/zinc/37/99/97/984379997.db2.gz HTVIMGSLSIWNDK-UHFFFAOYSA-N 0 3 247.729 2.859 20 0 BFADHN C[NH+](C)Cc1ccnc(-c2ccc(F)c([O-])c2)c1 ZINC001243050805 984415241 /nfs/dbraw/zinc/41/52/41/984415241.db2.gz SXSFYAUYLAUZJM-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN Nc1ccncc1-c1ccc(Cl)nc1Cl ZINC001243083676 984519252 /nfs/dbraw/zinc/51/92/52/984519252.db2.gz HOSATWARXXDVIY-UHFFFAOYSA-N 0 3 240.093 2.863 20 0 BFADHN c1c2ccccc2[nH]c1-c1ccc2c(n1)CNCC2 ZINC001243106342 984548278 /nfs/dbraw/zinc/54/82/78/984548278.db2.gz SZEWVADLHARHID-UHFFFAOYSA-N 0 3 249.317 2.876 20 0 BFADHN CCC[C@@H](C)NCc1cc(Br)no1 ZINC000718882455 984555808 /nfs/dbraw/zinc/55/58/08/984555808.db2.gz SXJSDCSEIIAYRO-SSDOTTSWSA-N 0 3 247.136 2.715 20 0 BFADHN C[C@H](Cc1ccncc1)N1CCCC[C@@H](F)C1 ZINC001256735697 984803868 /nfs/dbraw/zinc/80/38/68/984803868.db2.gz JAFSDCWCWHIYMF-TZMCWYRMSA-N 0 3 236.334 2.837 20 0 BFADHN CSc1cc(C)ccc1-c1cc(CN)ncn1 ZINC001243375444 984852713 /nfs/dbraw/zinc/85/27/13/984852713.db2.gz VEBHHXFYCSWNIE-UHFFFAOYSA-N 0 3 245.351 2.633 20 0 BFADHN Cc1ncc(-c2cccc(CN(C)C)n2)s1 ZINC001243379811 984861342 /nfs/dbraw/zinc/86/13/42/984861342.db2.gz UJEIFJMQJWSWAZ-UHFFFAOYSA-N 0 3 233.340 2.575 20 0 BFADHN CCOc1cc(C)ccc1-c1cccc(CN)n1 ZINC001243393295 984880140 /nfs/dbraw/zinc/88/01/40/984880140.db2.gz QNGPVBISGMCBRD-UHFFFAOYSA-N 0 3 242.322 2.914 20 0 BFADHN CCC[C@H](C)N(C)[C@H](C(=O)OC)[C@@H](C)CC ZINC001257324614 984895197 /nfs/dbraw/zinc/89/51/97/984895197.db2.gz QIRGXOSTQAPHQU-SRVKXCTJSA-N 0 3 229.364 2.695 20 0 BFADHN CCC[C@H](C)N1CCc2ncc(C)cc2C1 ZINC001257327378 984897688 /nfs/dbraw/zinc/89/76/88/984897688.db2.gz KBSNZSJGQKZDJN-LBPRGKRZSA-N 0 3 218.344 2.937 20 0 BFADHN CCCC(CCC)N1CCc2ncncc2C1 ZINC001257339906 984907133 /nfs/dbraw/zinc/90/71/33/984907133.db2.gz LGOFXIBAWLVMFY-UHFFFAOYSA-N 0 3 233.359 2.804 20 0 BFADHN CCCC(CCC)n1c(C)nc2c1CNCC2 ZINC001257340563 984908664 /nfs/dbraw/zinc/90/86/64/984908664.db2.gz KFUUQPVOLAUIRO-UHFFFAOYSA-N 0 3 235.375 2.979 20 0 BFADHN CC[C@H](C)N[C@@H](C(C)=O)c1ccccc1 ZINC001257429673 984998873 /nfs/dbraw/zinc/99/88/73/984998873.db2.gz XCTSXCZJTFFTRR-GWCFXTLKSA-N 0 3 205.301 2.705 20 0 BFADHN CC[C@H](C)N1CCc2nc(Cl)ccc2C1 ZINC001257442909 985021363 /nfs/dbraw/zinc/02/13/63/985021363.db2.gz PRPMHNAASAYXMB-VIFPVBQESA-N 0 3 224.735 2.892 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N1C[C@@H](O)[C@@H](F)C1 ZINC001257475599 985092620 /nfs/dbraw/zinc/09/26/20/985092620.db2.gz GUEPFMHMMKXOCQ-ZDEQEGDKSA-N 0 3 231.355 2.606 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N1CCOCC1 ZINC001257476853 985097624 /nfs/dbraw/zinc/09/76/24/985097624.db2.gz MFLWRHFKLIGIHA-STQMWFEESA-N 0 3 213.365 2.924 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N1CCOCC1 ZINC001257476851 985100065 /nfs/dbraw/zinc/10/00/65/985100065.db2.gz MFLWRHFKLIGIHA-QWHCGFSZSA-N 0 3 213.365 2.924 20 0 BFADHN Cc1ccnc(F)c1-c1ccc2nc(N)[nH]c2c1 ZINC001243553409 985110557 /nfs/dbraw/zinc/11/05/57/985110557.db2.gz IFLTXDYBBPCRSO-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN Cc1ccnc(F)c1-c1ccc2[nH]c(N)nc2c1 ZINC001243553409 985110560 /nfs/dbraw/zinc/11/05/60/985110560.db2.gz IFLTXDYBBPCRSO-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN Cc1ccc(CNCCOC2CCC2)c(F)c1 ZINC000686500308 985122613 /nfs/dbraw/zinc/12/26/13/985122613.db2.gz XZLRGVZSPUEBGP-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN CCCCC[C@@H](C)N[C@H](CO)c1ccccn1 ZINC001257501111 985125786 /nfs/dbraw/zinc/12/57/86/985125786.db2.gz COAQLUJPEOVTQV-TZMCWYRMSA-N 0 3 236.359 2.673 20 0 BFADHN Cc1nc(-c2cccc(=O)[nH]2)cc2[nH]ccc21 ZINC001243734064 985259170 /nfs/dbraw/zinc/25/91/70/985259170.db2.gz YZWBQDSRZVBIJA-UHFFFAOYSA-N 0 3 225.251 2.639 20 0 BFADHN Cc1cc(F)ncc1-c1ccc2[nH]c(N)nc2c1 ZINC001243760002 985296530 /nfs/dbraw/zinc/29/65/30/985296530.db2.gz OSLLEEDJHGEHAD-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN Cc1cc(F)ncc1-c1ccc2nc(N)[nH]c2c1 ZINC001243760002 985296546 /nfs/dbraw/zinc/29/65/46/985296546.db2.gz OSLLEEDJHGEHAD-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN CC(C)C[C@H](C)C[C@@H](C)N1CC[C@@H](O)[C@@H](F)C1 ZINC001258063770 985366609 /nfs/dbraw/zinc/36/66/09/985366609.db2.gz NXZGAHQXROLRQL-RFQIPJPRSA-N 0 3 245.382 2.852 20 0 BFADHN CC(C)=CCC[C@@H](C)N1C[C@@H]2C[C@H]1CO2 ZINC001258099700 985413625 /nfs/dbraw/zinc/41/36/25/985413625.db2.gz PYQFZEZHYNUIFX-AGIUHOORSA-N 0 3 209.333 2.594 20 0 BFADHN C[C@@H]1CC(NCC(=O)c2cccnc2)C[C@@H](C)C1 ZINC001258158498 985516209 /nfs/dbraw/zinc/51/62/09/985516209.db2.gz QOXLHDSOLGMOBJ-RYUDHWBXSA-N 0 3 246.354 2.679 20 0 BFADHN C[C@H]1C[C@H](N2CCc3ncncc3C2)C[C@@H](C)C1 ZINC001258169320 985560980 /nfs/dbraw/zinc/56/09/80/985560980.db2.gz YANZOZOJPCKEOW-IMRBUKKESA-N 0 3 245.370 2.659 20 0 BFADHN Cc1cc(F)cc(C)c1-c1ccnc(CN)c1 ZINC001243925207 985592856 /nfs/dbraw/zinc/59/28/56/985592856.db2.gz JQOYCEKBRLBMBY-UHFFFAOYSA-N 0 3 230.286 2.963 20 0 BFADHN CCc1ccc(CN[C@@H]2COC3(CCC3)C2)o1 ZINC000688267345 985595402 /nfs/dbraw/zinc/59/54/02/985595402.db2.gz LJASACVPJORMSJ-NSHDSACASA-N 0 3 235.327 2.643 20 0 BFADHN Cc1cc2c(ncnc2-c2cccc3nc[nH]c32)[nH]1 ZINC001243928602 985602731 /nfs/dbraw/zinc/60/27/31/985602731.db2.gz DTKKLISJHRZCDK-UHFFFAOYSA-N 0 3 249.277 2.761 20 0 BFADHN COC(=O)c1ccc(C2=CNCCC2)cc1C ZINC001243948737 985630009 /nfs/dbraw/zinc/63/00/09/985630009.db2.gz AGGWDCVCDIXKCV-UHFFFAOYSA-N 0 3 231.295 2.506 20 0 BFADHN c1nc2ccc(C3=CNCCC3)cc2o1 ZINC001243950380 985646872 /nfs/dbraw/zinc/64/68/72/985646872.db2.gz QJPHQITXLMUZMU-UHFFFAOYSA-N 0 3 200.241 2.552 20 0 BFADHN c1noc2cccc(C3=CNCCC3)c12 ZINC001243950557 985647891 /nfs/dbraw/zinc/64/78/91/985647891.db2.gz ZHNDVJWXGHOWIN-UHFFFAOYSA-N 0 3 200.241 2.552 20 0 BFADHN c1cc(C2=CNCCC2)cc(OC2CCC2)n1 ZINC001243951237 985654670 /nfs/dbraw/zinc/65/46/70/985654670.db2.gz DRWXUJCLJLKFSU-UHFFFAOYSA-N 0 3 230.311 2.737 20 0 BFADHN COC(=O)c1cc(F)cc(C2=CNCCC2)c1C ZINC001243951214 985656484 /nfs/dbraw/zinc/65/64/84/985656484.db2.gz GCSMBMXPHVXJKE-UHFFFAOYSA-N 0 3 249.285 2.645 20 0 BFADHN COc1cccc2ncc(C3=CNCCC3)cc21 ZINC001243952664 985661809 /nfs/dbraw/zinc/66/18/09/985661809.db2.gz QJGJXHOHUQYNCT-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN CSCC[C@@H](C)N1CCc2ncccc2C1 ZINC001258223154 985661954 /nfs/dbraw/zinc/66/19/54/985661954.db2.gz WATYRGIMWKDKKJ-LLVKDONJSA-N 0 3 236.384 2.581 20 0 BFADHN COc1ncc(C2=CNCCC2)cc1C(F)F ZINC001243953752 985667418 /nfs/dbraw/zinc/66/74/18/985667418.db2.gz MMTGSHXCTVQHGM-UHFFFAOYSA-N 0 3 240.253 2.752 20 0 BFADHN CCOC(=O)c1ccc(C2=CNCCC2)cc1 ZINC001243953486 985669365 /nfs/dbraw/zinc/66/93/65/985669365.db2.gz YRBBGSAZKAHCMT-UHFFFAOYSA-N 0 3 231.295 2.588 20 0 BFADHN CC(C)(C)c1cc(-c2ccnc(CN)c2)ccn1 ZINC001244024217 985760950 /nfs/dbraw/zinc/76/09/50/985760950.db2.gz UGSWTBIWFZZVNQ-UHFFFAOYSA-N 0 3 241.338 2.900 20 0 BFADHN NCc1cc(-c2c(O)cccc2Cl)ccn1 ZINC001244049383 985785760 /nfs/dbraw/zinc/78/57/60/985785760.db2.gz ZITRPMCULAWMFC-UHFFFAOYSA-N 0 3 234.686 2.566 20 0 BFADHN C[C@H]([C@H](C)O)N1CC(C)(C)[C@H]1c1cccs1 ZINC001460201622 985798070 /nfs/dbraw/zinc/79/80/70/985798070.db2.gz FZORPMALHKXRDF-JFGNBEQYSA-N 0 3 239.384 2.900 20 0 BFADHN Cc1c(-c2cc(CN)ncn2)cccc1C1CC1 ZINC001244128129 985835854 /nfs/dbraw/zinc/83/58/54/985835854.db2.gz JYVFHLIHDZCNGD-UHFFFAOYSA-N 0 3 239.322 2.788 20 0 BFADHN Cc1cc(C)c(-c2nccc(N)c2C)cn1 ZINC001244145344 985839264 /nfs/dbraw/zinc/83/92/64/985839264.db2.gz SLQBMTLRJQOKKI-UHFFFAOYSA-N 0 3 213.284 2.651 20 0 BFADHN C[C@H](CC1CC1)NCc1cn(C2CCC2)cn1 ZINC001258454070 985894576 /nfs/dbraw/zinc/89/45/76/985894576.db2.gz ZIRYZFKBIQDWNT-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1noc([C@@H]2CCCN2[C@@H](C)CC2CC2)n1 ZINC001258474294 985937164 /nfs/dbraw/zinc/93/71/64/985937164.db2.gz SLXBCQPGORHVBV-CABZTGNLSA-N 0 3 235.331 2.704 20 0 BFADHN CCCCCN[C@@H](CO)c1cc(F)ccc1F ZINC001460954004 985964034 /nfs/dbraw/zinc/96/40/34/985964034.db2.gz SDLXQZVEQAPQQD-ZDUSSCGKSA-N 0 3 243.297 2.778 20 0 BFADHN CC(C)C1(O)CCN([C@@H](C)c2cccnc2)CC1 ZINC001460956340 985969545 /nfs/dbraw/zinc/96/95/45/985969545.db2.gz VRRUJVPKODMTIX-ZDUSSCGKSA-N 0 3 248.370 2.626 20 0 BFADHN CCCn1cc(CN[C@@H]2C=CCCC2)c(C)n1 ZINC001461310822 986038237 /nfs/dbraw/zinc/03/82/37/986038237.db2.gz ZACGZEUSSZDRNG-CQSZACIVSA-N 0 3 233.359 2.800 20 0 BFADHN CN(C)Cc1cncc(-c2cc(F)ccc2N)c1 ZINC001244396721 986050075 /nfs/dbraw/zinc/05/00/75/986050075.db2.gz BRQICWNXMZVIIS-UHFFFAOYSA-N 0 3 245.301 2.532 20 0 BFADHN CCOc1cc(-c2ccnc(CN)c2)ccc1C ZINC001244441668 986089423 /nfs/dbraw/zinc/08/94/23/986089423.db2.gz BCOCHOOPZFAQPZ-UHFFFAOYSA-N 0 3 242.322 2.914 20 0 BFADHN Cc1ccc(O)cc1-c1nccc2c1CCN2 ZINC001244446254 986097190 /nfs/dbraw/zinc/09/71/90/986097190.db2.gz MMQMKMCWFCNCNT-UHFFFAOYSA-N 0 3 226.279 2.731 20 0 BFADHN CCn1ccc(CNC2(CC(C)C)CC2)n1 ZINC001461667729 986104972 /nfs/dbraw/zinc/10/49/72/986104972.db2.gz XPZDEMMOMIEYEI-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1ccc(C(C)C)cc1OC(=O)OC1CNC1 ZINC001579666690 986164443 /nfs/dbraw/zinc/16/44/43/986164443.db2.gz WKXZFMDMVRGVKM-UHFFFAOYSA-N 0 3 249.310 2.606 20 0 BFADHN Cc1c[nH+]c(-c2cc(C)c(F)c([O-])c2)cc1N ZINC001244506679 986210042 /nfs/dbraw/zinc/21/00/42/986210042.db2.gz FZULINBWYSJVIB-UHFFFAOYSA-N 0 3 232.258 2.792 20 0 BFADHN COc1nc(CN2CC[C@@H](C)[C@@H](C)C2)ccc1C ZINC001461955435 986238299 /nfs/dbraw/zinc/23/82/99/986238299.db2.gz NNTZHHVPUXISQZ-YPMHNXCESA-N 0 3 248.370 2.877 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cn(C2CC2)cn1 ZINC001461959362 986241751 /nfs/dbraw/zinc/24/17/51/986241751.db2.gz MZPSHKQYLLARJJ-DGCLKSJQSA-N 0 3 233.359 2.838 20 0 BFADHN CC(C)C1CCC(n2ncc3c2CCNC3)CC1 ZINC001258803332 986260250 /nfs/dbraw/zinc/26/02/50/986260250.db2.gz WYYAPDNPPWUNEH-UHFFFAOYSA-N 0 3 247.386 2.916 20 0 BFADHN CC[C@H](Cc1ccccc1)n1cncc1CN ZINC001258837255 986298332 /nfs/dbraw/zinc/29/83/32/986298332.db2.gz KBGIDXAHRQTAHZ-CYBMUJFWSA-N 0 3 229.327 2.536 20 0 BFADHN CC[C@H](Cc1ccccc1)N1CCOC[C@H]1C ZINC001258844303 986302046 /nfs/dbraw/zinc/30/20/46/986302046.db2.gz LQAWZRVUFFOGEF-UKRRQHHQSA-N 0 3 233.355 2.728 20 0 BFADHN CC(C)[C@@H]1C[C@H]1C[NH2+]Cc1ccc([O-])c(F)c1 ZINC001462252656 986326306 /nfs/dbraw/zinc/32/63/06/986326306.db2.gz DNKOGGTXANFWES-RYUDHWBXSA-N 0 3 237.318 2.913 20 0 BFADHN c1c[nH]c(CNC2CCC3(CCC3)CC2)n1 ZINC001462477965 986401629 /nfs/dbraw/zinc/40/16/29/986401629.db2.gz HJLPPZFKAQPGJB-UHFFFAOYSA-N 0 3 219.332 2.612 20 0 BFADHN CSc1ncc(C)cc1-c1ccnc(CN)c1 ZINC001244880153 986411979 /nfs/dbraw/zinc/41/19/79/986411979.db2.gz HAAANGUZTYKWBZ-UHFFFAOYSA-N 0 3 245.351 2.633 20 0 BFADHN Cc1cc(Cl)ncc1-c1ccnc(CN)c1 ZINC001244917465 986471315 /nfs/dbraw/zinc/47/13/15/986471315.db2.gz YAOPMZXNLOPGQS-UHFFFAOYSA-N 0 3 233.702 2.564 20 0 BFADHN Cc1c(F)ccc(F)c1-c1ccc(CN)nc1 ZINC001244980014 986572658 /nfs/dbraw/zinc/57/26/58/986572658.db2.gz DYLGEHORNNYJAG-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN Cc1c[nH+]c(-c2ccc([O-])cc2Cl)cc1N ZINC001245077705 986637949 /nfs/dbraw/zinc/63/79/49/986637949.db2.gz BKTJJOPIPREHSD-UHFFFAOYSA-N 0 3 234.686 2.998 20 0 BFADHN NCc1cc(-c2cc(F)ccc2Cl)ccn1 ZINC001245135734 986672923 /nfs/dbraw/zinc/67/29/23/986672923.db2.gz LEVQNUBRWXLIFJ-UHFFFAOYSA-N 0 3 236.677 3.000 20 0 BFADHN CC(=O)c1cccc(-c2cnccc2N)c1F ZINC001245152451 986675826 /nfs/dbraw/zinc/67/58/26/986675826.db2.gz DWBVIGHLTHVZLL-UHFFFAOYSA-N 0 3 230.242 2.503 20 0 BFADHN Fc1c(CN2CCCC2)cccc1-c1cc[nH]n1 ZINC001245311645 986794422 /nfs/dbraw/zinc/79/44/22/986794422.db2.gz AWNNNMYEECOQNM-UHFFFAOYSA-N 0 3 245.301 2.812 20 0 BFADHN Cc1cnc2c(c1)CN(C1CCSCC1)CC2 ZINC001255095508 986817144 /nfs/dbraw/zinc/81/71/44/986817144.db2.gz WSRWGQRUZXTIQT-UHFFFAOYSA-N 0 3 248.395 2.644 20 0 BFADHN F[C@H]1CCCCN(Cc2c[nH]c3ncccc23)C1 ZINC001137397539 986875409 /nfs/dbraw/zinc/87/54/09/986875409.db2.gz LYFCJDKWIJPYAX-LBPRGKRZSA-N 0 3 247.317 2.887 20 0 BFADHN Cc1cc(CN2CC[C@](C)(CF)C2)ccn1 ZINC001137408151 986885078 /nfs/dbraw/zinc/88/50/78/986885078.db2.gz AFNVLVFOIPSARN-CYBMUJFWSA-N 0 3 222.307 2.572 20 0 BFADHN Clc1ncccc1CN1CCC[C@@H]2C[C@@H]21 ZINC001137428632 986892798 /nfs/dbraw/zinc/89/27/98/986892798.db2.gz MWGALEPQLCOQSO-KOLCDFICSA-N 0 3 222.719 2.719 20 0 BFADHN Cc1cc(CN2CC[C@H]3C[C@H]3C2)cnc1Cl ZINC000827506992 986896080 /nfs/dbraw/zinc/89/60/80/986896080.db2.gz QOWJLQQSCHGCLH-RYUDHWBXSA-N 0 3 236.746 2.885 20 0 BFADHN CCCC[C@@H](C)NCc1nnc2cc(C)ccn21 ZINC001255310621 986901272 /nfs/dbraw/zinc/90/12/72/986901272.db2.gz ZXYQICNHYYCUSY-GFCCVEGCSA-N 0 3 246.358 2.706 20 0 BFADHN CCCC[C@H](C)Nc1ccc(CN)nc1 ZINC001255315160 986909100 /nfs/dbraw/zinc/90/91/00/986909100.db2.gz FHUMAOXDYTYKQI-JTQLQIEISA-N 0 3 207.321 2.531 20 0 BFADHN [O-]c1cccc(F)c1-c1cccc2c1CC[NH2+]C2 ZINC001245656337 987041545 /nfs/dbraw/zinc/04/15/45/987041545.db2.gz OPPQRSNEPOMJPV-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN Cc1c(F)ccc(-c2cccc(CN)n2)c1F ZINC001245689521 987058986 /nfs/dbraw/zinc/05/89/86/987058986.db2.gz HOLGKADSVKUSMD-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN CC(C)CC[C@@H](C)N1C[C@H]2CC[C@@H]1CO2 ZINC001256375110 987197226 /nfs/dbraw/zinc/19/72/26/987197226.db2.gz RQDSNURGSFOUAG-JHJVBQTASA-N 0 3 211.349 2.674 20 0 BFADHN CCn1cnc(Cl)c1CNCC1(CC)CC1 ZINC001308295223 987271266 /nfs/dbraw/zinc/27/12/66/987271266.db2.gz LNVJVNFEHIXUON-UHFFFAOYSA-N 0 3 241.766 2.836 20 0 BFADHN Cc1cc(C)c(CN[C@@H](C)C2(Cl)CC2)cn1 ZINC000695684489 987334908 /nfs/dbraw/zinc/33/49/08/987334908.db2.gz GFYFWJREUDFPIE-NSHDSACASA-N 0 3 238.762 2.948 20 0 BFADHN Cc1nonc1CN[C@H]1CCCC(C)(C)CC1 ZINC000697620624 987362099 /nfs/dbraw/zinc/36/20/99/987362099.db2.gz MPIFWBGKAMIKCG-NSHDSACASA-N 0 3 237.347 2.827 20 0 BFADHN c1ccc([C@@H]2CCCCN2CC2=NOCC2)cc1 ZINC001473354885 987402656 /nfs/dbraw/zinc/40/26/56/987402656.db2.gz NHZMUSJKZHGNFX-HNNXBMFYSA-N 0 3 244.338 2.990 20 0 BFADHN CC[C@H]1CCN(Cc2cc(OC)ns2)[C@@H]1C ZINC001473401976 987420742 /nfs/dbraw/zinc/42/07/42/987420742.db2.gz GMQHRBFYLCBVAH-ZJUUUORDSA-N 0 3 240.372 2.772 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1csnc1OC ZINC001473409290 987421604 /nfs/dbraw/zinc/42/16/04/987421604.db2.gz CZOLAKYPAWQPOV-KOLCDFICSA-N 0 3 240.372 2.772 20 0 BFADHN CCC[C@@H](C)CCCN1CC(O)(C(C)C)C1 ZINC001473441255 987434944 /nfs/dbraw/zinc/43/49/44/987434944.db2.gz PSKSPKMZVYUSGU-CYBMUJFWSA-N 0 3 227.392 2.906 20 0 BFADHN CCC[C@@H]1CCCN1Cc1snnc1C ZINC001473859851 987587185 /nfs/dbraw/zinc/58/71/85/987587185.db2.gz XZNPZIFFLSSLJE-SNVBAGLBSA-N 0 3 225.361 2.611 20 0 BFADHN Cc1cccnc1[C@@H](C)NCCOC(C)(C)C ZINC001473879292 987598051 /nfs/dbraw/zinc/59/80/51/987598051.db2.gz GSYFOHVMUFYMHI-GFCCVEGCSA-N 0 3 236.359 2.856 20 0 BFADHN c1cc(CN2CCCC[C@H]2[C@H]2CCCO2)ccn1 ZINC001473883132 987603464 /nfs/dbraw/zinc/60/34/64/987603464.db2.gz BENDYDFYPJTTAE-LSDHHAIUSA-N 0 3 246.354 2.615 20 0 BFADHN C[C@@H](NC1(C)CC1)c1nc2ccccc2n1C ZINC001116494475 987603821 /nfs/dbraw/zinc/60/38/21/987603821.db2.gz DJYJZXJERAOENE-SNVBAGLBSA-N 0 3 229.327 2.776 20 0 BFADHN c1cc(CN2CCCC[C@@H]2[C@H]2CCCO2)ccn1 ZINC001473883131 987604385 /nfs/dbraw/zinc/60/43/85/987604385.db2.gz BENDYDFYPJTTAE-HUUCEWRRSA-N 0 3 246.354 2.615 20 0 BFADHN CCOC(=O)/C=C\CN1CC=CC12CCCCC2 ZINC001473900001 987612567 /nfs/dbraw/zinc/61/25/67/987612567.db2.gz RKMDFMBMZOJAPD-VURMDHGXSA-N 0 3 249.354 2.680 20 0 BFADHN COc1ccnc(NCc2cc(C)nc(C)c2)c1 ZINC001474098254 987643895 /nfs/dbraw/zinc/64/38/95/987643895.db2.gz LVNFKWVTPRMPRP-UHFFFAOYSA-N 0 3 243.310 2.714 20 0 BFADHN Cc1nc(CNC[C@H]2C[C@H]2C2CCCC2)[nH]c1C ZINC001474300999 987681725 /nfs/dbraw/zinc/68/17/25/987681725.db2.gz BWVFXDFMCCBDNC-KGLIPLIRSA-N 0 3 247.386 2.942 20 0 BFADHN CCn1ccnc1CNC[C@H]1C[C@@H]1C1CCCC1 ZINC001474301655 987683455 /nfs/dbraw/zinc/68/34/55/987683455.db2.gz NLBAHHJLIJSWKL-ZIAGYGMSSA-N 0 3 247.386 2.819 20 0 BFADHN CC(C)CCCCN1CCOC(C)(C)C1 ZINC001474381970 987706612 /nfs/dbraw/zinc/70/66/12/987706612.db2.gz YJUMEWIMOIOXQR-UHFFFAOYSA-N 0 3 213.365 2.924 20 0 BFADHN C[C@@H](NC1CCC2(CCC2)CC1)c1ncnn1C ZINC001474486558 987736901 /nfs/dbraw/zinc/73/69/01/987736901.db2.gz OPOKKRATCBUVPG-LLVKDONJSA-N 0 3 248.374 2.579 20 0 BFADHN CCN(Cc1cccnc1N(C)C)C1CCC1 ZINC001117618676 987848322 /nfs/dbraw/zinc/84/83/22/987848322.db2.gz CPGDPCBGENPJDW-UHFFFAOYSA-N 0 3 233.359 2.522 20 0 BFADHN c1cc(CN2CCC3(CCO3)CC2)n(C2CC2)c1 ZINC001117744385 987885182 /nfs/dbraw/zinc/88/51/82/987885182.db2.gz GVXRFVJKTHRTMK-UHFFFAOYSA-N 0 3 246.354 2.578 20 0 BFADHN CN(C)c1ncccc1CN(C)C1CC(C)(C)C1 ZINC001117814885 987938261 /nfs/dbraw/zinc/93/82/61/987938261.db2.gz NTAJQGKHCDISNG-UHFFFAOYSA-N 0 3 247.386 2.768 20 0 BFADHN CCCCC[C@@H](C)N1CCC(F)(F)[C@H](N)C1 ZINC001246582547 988112832 /nfs/dbraw/zinc/11/28/32/988112832.db2.gz NSVGVYVMQDVMCX-GHMZBOCLSA-N 0 3 234.334 2.624 20 0 BFADHN Cc1cccnc1CN[C@H]1C[C@H](OC(C)(C)C)C1 ZINC001118336893 988234904 /nfs/dbraw/zinc/23/49/04/988234904.db2.gz VEZGTKYQGQXGEJ-JOCQHMNTSA-N 0 3 248.370 2.826 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN[C@H]1C=CCC1 ZINC001118424587 988338519 /nfs/dbraw/zinc/33/85/19/988338519.db2.gz ZGTLHUSBKYIPKJ-NSHDSACASA-N 0 3 219.332 2.515 20 0 BFADHN Cc1cc(CN2CCC[C@@H](CC(C)C)C2)[nH]n1 ZINC001323324956 988491917 /nfs/dbraw/zinc/49/19/17/988491917.db2.gz YLDXVVFUDKCHQK-ZDUSSCGKSA-N 0 3 235.375 2.976 20 0 BFADHN CN(Cc1cccnc1N(C)C)C[C@@H]1CC1(C)C ZINC001118674469 988494419 /nfs/dbraw/zinc/49/44/19/988494419.db2.gz CLNIDPIGYSLCPO-ZDUSSCGKSA-N 0 3 247.386 2.626 20 0 BFADHN CN[C@@H]1CCN1[C@@H](C)Cc1ccccc1Cl ZINC001246896559 988669727 /nfs/dbraw/zinc/66/97/27/988669727.db2.gz HGTJDRWHCZCWPX-GWCFXTLKSA-N 0 3 238.762 2.522 20 0 BFADHN Cc1cccn2c(CNC/C=C/C3CC3)cnc12 ZINC001324223068 988758971 /nfs/dbraw/zinc/75/89/71/988758971.db2.gz QATWCZKOJXRWER-GORDUTHDSA-N 0 3 241.338 2.699 20 0 BFADHN C[C@H]1CN(CCc2ccc(F)cc2F)[C@H]1C ZINC001324275505 988777633 /nfs/dbraw/zinc/77/76/33/988777633.db2.gz DYWFQPCMQQOBHU-UWVGGRQHSA-N 0 3 225.282 2.848 20 0 BFADHN Cc1cc(CN2C[C@@H](C(C)C)[C@H]2C(C)C)[nH]n1 ZINC001324379029 988824227 /nfs/dbraw/zinc/82/42/27/988824227.db2.gz RNQYTGPYQSJDGK-UONOGXRCSA-N 0 3 235.375 2.831 20 0 BFADHN c1c2c(cc3[nH]c([C@@H]4CCCCN4)nc13)CCC2 ZINC001247009920 988836617 /nfs/dbraw/zinc/83/66/17/988836617.db2.gz FDLPCSPBLYADKT-LBPRGKRZSA-N 0 3 241.338 2.866 20 0 BFADHN CC1(C)CN(Cc2cccn2C2CC2)CCCO1 ZINC001324423838 988837316 /nfs/dbraw/zinc/83/73/16/988837316.db2.gz HFDGZKUETDLWMN-UHFFFAOYSA-N 0 3 248.370 2.824 20 0 BFADHN C[C@H](N)c1nc2cc(C(F)F)ccc2[nH]1 ZINC001247021607 988845926 /nfs/dbraw/zinc/84/59/26/988845926.db2.gz WVSCCTLCCUXAQZ-YFKPBYRVSA-N 0 3 211.215 2.520 20 0 BFADHN C[C@H](N)c1nc2ccc(F)c(F)c2n1C1CC1 ZINC001247042356 988847357 /nfs/dbraw/zinc/84/73/57/988847357.db2.gz WEIAQGPPRWQXRV-LURJTMIESA-N 0 3 237.253 2.669 20 0 BFADHN CCCn1c2cc(OCC)ccc2nc1[C@H](C)N ZINC001247041911 988849389 /nfs/dbraw/zinc/84/93/89/988849389.db2.gz BRBNVQLPZLRGTM-JTQLQIEISA-N 0 3 247.342 2.865 20 0 BFADHN CC[C@@H]1CCCN(Cc2cc(C)n[nH]2)CC1 ZINC001324746851 988963089 /nfs/dbraw/zinc/96/30/89/988963089.db2.gz OOAJCKAFNYRJHH-GFCCVEGCSA-N 0 3 221.348 2.730 20 0 BFADHN C=Cn1cc(CN2CC[C@H]3CCCC[C@H]3C2)cn1 ZINC001324761031 988975186 /nfs/dbraw/zinc/97/51/86/988975186.db2.gz YBALMKPCPOTPRN-CABCVRRESA-N 0 3 245.370 2.996 20 0 BFADHN COC(C)(C)CN(C)Cc1cccn1C1CC1 ZINC001325117408 989089849 /nfs/dbraw/zinc/08/98/49/989089849.db2.gz JVWTYEJCZCUFQD-UHFFFAOYSA-N 0 3 236.359 2.680 20 0 BFADHN CO[C@@H]1CN(Cc2cccn2C2CC2)CC[C@@H]1C ZINC001325118254 989093540 /nfs/dbraw/zinc/09/35/40/989093540.db2.gz UVNTXIOXMJBPAC-SWLSCSKDSA-N 0 3 248.370 2.680 20 0 BFADHN C[C@@H]1CCCN1Cc1cc(Cl)cn2ccnc12 ZINC001248430684 989104655 /nfs/dbraw/zinc/10/46/55/989104655.db2.gz OKFPSMUQWQWVDL-SNVBAGLBSA-N 0 3 249.745 2.972 20 0 BFADHN CCO[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001248804147 989155266 /nfs/dbraw/zinc/15/52/66/989155266.db2.gz OWXAECFYZJJNRX-GFCCVEGCSA-N 0 3 225.357 2.667 20 0 BFADHN Cc1cc(CN2CC[C@@H]3CCO[C@H]3C2)cs1 ZINC001248801859 989155618 /nfs/dbraw/zinc/15/56/18/989155618.db2.gz KAXSCKXNSGXFSQ-OLZOCXBDSA-N 0 3 237.368 2.667 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H]1C)c1cn2c(n1)CCCC2 ZINC001119635935 989271157 /nfs/dbraw/zinc/27/11/57/989271157.db2.gz GMIXKNYWVDJFCL-SRVKXCTJSA-N 0 3 233.359 2.669 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1[C@H]1CC[C@H](CC)C1 ZINC000344700611 989284284 /nfs/dbraw/zinc/28/42/84/989284284.db2.gz HDOBIUXYWXCALZ-AVGNSLFASA-N 0 3 239.359 2.593 20 0 BFADHN CCC[C@@H]1CCCC[C@@H]1NCc1nncs1 ZINC001119743288 989380357 /nfs/dbraw/zinc/38/03/57/989380357.db2.gz QSSOUINKDLSYOK-MNOVXSKESA-N 0 3 239.388 2.987 20 0 BFADHN CCC[C@H](CC)NC(=O)[C@H](N)C1CCCCC1 ZINC001326302673 989476053 /nfs/dbraw/zinc/47/60/53/989476053.db2.gz IQGYJMDOCLNDRB-QWHCGFSZSA-N 0 3 240.391 2.589 20 0 BFADHN CCN(Cc1cnc(Cl)n1C)C(C)(C)C ZINC001326892387 989761017 /nfs/dbraw/zinc/76/10/17/989761017.db2.gz ZKAYJTMXOWNTSQ-UHFFFAOYSA-N 0 3 229.755 2.694 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)N(C(C)C)C1CCC1 ZINC001121965832 990159756 /nfs/dbraw/zinc/15/97/56/990159756.db2.gz MSTVGAYFBVMVJD-YPMHNXCESA-N 0 3 240.391 2.539 20 0 BFADHN CCC/C(C)=C\C(=O)Nc1cccc2c1CNC2 ZINC001122137117 990252928 /nfs/dbraw/zinc/25/29/28/990252928.db2.gz LJGHCHMHWKEZDF-FLIBITNWSA-N 0 3 244.338 2.975 20 0 BFADHN Fc1ccc(CN2CCCCC23CC3)cn1 ZINC001137741794 990848010 /nfs/dbraw/zinc/84/80/10/990848010.db2.gz YLQAARLTLVZCLK-UHFFFAOYSA-N 0 3 220.291 2.739 20 0 BFADHN Cc1cccc(C)c1CN1CC2(CSC2)C1 ZINC001137852563 990860402 /nfs/dbraw/zinc/86/04/02/990860402.db2.gz GVIQQOHLSMZGPV-UHFFFAOYSA-N 0 3 233.380 2.852 20 0 BFADHN CC(=O)[C@@H]1CCCN1Cc1c(C)cccc1C ZINC001137854143 990861889 /nfs/dbraw/zinc/86/18/89/990861889.db2.gz JZHNQZUXBZXPFL-HNNXBMFYSA-N 0 3 231.339 2.857 20 0 BFADHN Oc1cc(O)cc(CN2CCC23CCCC3)c1 ZINC001138324945 990918995 /nfs/dbraw/zinc/91/89/95/990918995.db2.gz ZIGTUFGPBPSGQK-UHFFFAOYSA-N 0 3 233.311 2.616 20 0 BFADHN Oc1cc(O)cc(CN2CCCC3(CCC3)C2)c1 ZINC001138332919 990921163 /nfs/dbraw/zinc/92/11/63/990921163.db2.gz LRHBKXADJCDPOS-UHFFFAOYSA-N 0 3 247.338 2.864 20 0 BFADHN COc1cc(C)c(CN2CC[C@@H]2C)cc1OC ZINC001138435742 990948392 /nfs/dbraw/zinc/94/83/92/990948392.db2.gz MDEZTAKHZFCZJF-NSHDSACASA-N 0 3 235.327 2.606 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2cc(C)co2)C1(C)C ZINC001308286951 990953222 /nfs/dbraw/zinc/95/32/22/990953222.db2.gz XCMWNONBWZZGSE-OCCSQVGLSA-N 0 3 237.343 2.881 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)N1C[C@@H]2C[C@H]1CO2 ZINC001258069788 990966570 /nfs/dbraw/zinc/96/65/70/990966570.db2.gz KWVOCNCVTLLOQU-XUXIUFHCSA-N 0 3 225.376 2.920 20 0 BFADHN CC(C)=CCC[C@@H](C)N1C=C(N)C=CC1 ZINC001258084979 990989633 /nfs/dbraw/zinc/98/96/33/990989633.db2.gz CULPIUACACUYSL-GFCCVEGCSA-N 0 3 206.333 2.793 20 0 BFADHN CC(C)=CCC[C@H](C)N1C[C@H]2CC[C@@H](C1)O2 ZINC001258085412 990990370 /nfs/dbraw/zinc/99/03/70/990990370.db2.gz JQHOFJPMQUWDJK-MELADBBJSA-N 0 3 223.360 2.985 20 0 BFADHN CC(C)=CCC[C@H](C)N1CCOC2(CC2)C1 ZINC001258095412 991002098 /nfs/dbraw/zinc/00/20/98/991002098.db2.gz FVKTUVJVJNAWFK-ZDUSSCGKSA-N 0 3 223.360 2.986 20 0 BFADHN c1cc2cc(CN3CCC[C@H]4C[C@H]43)cnc2[nH]1 ZINC001138740393 991029531 /nfs/dbraw/zinc/02/95/31/991029531.db2.gz UYHKBICOJSQAMT-WCQYABFASA-N 0 3 227.311 2.547 20 0 BFADHN Cc1ncc(CNC[C@@H]2C[C@H]2C2CCCC2)o1 ZINC001308553172 991034192 /nfs/dbraw/zinc/03/41/92/991034192.db2.gz IFDQVBMCNAJQBZ-JSGCOSHPSA-N 0 3 234.343 2.899 20 0 BFADHN Cc1ncc(CNC[C@H]2C[C@@H]2C2CCCC2)o1 ZINC001308553174 991036498 /nfs/dbraw/zinc/03/64/98/991036498.db2.gz IFDQVBMCNAJQBZ-TZMCWYRMSA-N 0 3 234.343 2.899 20 0 BFADHN Fc1ncccc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001138787078 991044490 /nfs/dbraw/zinc/04/44/90/991044490.db2.gz TUSHXVNMLGRBSK-NEPJUHHUSA-N 0 3 234.318 2.843 20 0 BFADHN C[C@@H]1CC(n2ncc3c2CCNC3)C[C@@H](C)C1 ZINC001258159729 991047000 /nfs/dbraw/zinc/04/70/00/991047000.db2.gz MUVUPKVJBGHLRG-QWRGUYRKSA-N 0 3 233.359 2.526 20 0 BFADHN C[C@H]1C[C@H](N2CC3(C2)CCCO3)C[C@@H](C)C1 ZINC001258161419 991049348 /nfs/dbraw/zinc/04/93/48/991049348.db2.gz ZEMOFFFCZYNCGI-ITGUQSILSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@H]1CC(N2CC3(C2)CCCO3)C[C@H](C)C1 ZINC001258161421 991049458 /nfs/dbraw/zinc/04/94/58/991049458.db2.gz ZEMOFFFCZYNCGI-VXGBXAGGSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@H]1CCN(Cc2cccc3ccnn32)[C@@H](C)C1 ZINC001138805536 991064472 /nfs/dbraw/zinc/06/44/72/991064472.db2.gz BWARGVAUCBSFDG-STQMWFEESA-N 0 3 243.354 2.955 20 0 BFADHN CCCN(Cc1cc(C)n(C)n1)[C@@H](C)CC ZINC001138826704 991073427 /nfs/dbraw/zinc/07/34/27/991073427.db2.gz PJRSQQDRRMDGCT-NSHDSACASA-N 0 3 223.364 2.739 20 0 BFADHN c1cc2cc(CN3C[C@@H]4CCO[C@@H]4C3)ccc2o1 ZINC001138824573 991074576 /nfs/dbraw/zinc/07/45/76/991074576.db2.gz DZEUOJHKZGNXDF-DZGCQCFKSA-N 0 3 243.306 2.654 20 0 BFADHN C[C@H](Cc1ccco1)N1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001258188866 991100762 /nfs/dbraw/zinc/10/07/62/991100762.db2.gz NSYWSHIMLUOXHT-RSLMWUCJSA-N 0 3 223.291 2.500 20 0 BFADHN CC(C)[C@@H]1COCCN1[C@@H](C)Cc1ccco1 ZINC001258194165 991105651 /nfs/dbraw/zinc/10/56/51/991105651.db2.gz BYSKQZFSDOKNFH-JSGCOSHPSA-N 0 3 237.343 2.567 20 0 BFADHN Clc1cnc2[nH]ccc2c1CN1C[C@@H]2C[C@@H]2C1 ZINC001138950304 991113164 /nfs/dbraw/zinc/11/31/64/991113164.db2.gz ZACNGCFGGYVXCG-DTORHVGOSA-N 0 3 247.729 2.620 20 0 BFADHN C[C@H](Cc1ccco1)N(C)Cc1cncs1 ZINC001258200928 991118629 /nfs/dbraw/zinc/11/86/29/991118629.db2.gz YTOWSDYEYMVZCQ-SNVBAGLBSA-N 0 3 236.340 2.799 20 0 BFADHN C[C@@H](Cc1ccco1)N(C)Cc1cncs1 ZINC001258200927 991120864 /nfs/dbraw/zinc/12/08/64/991120864.db2.gz YTOWSDYEYMVZCQ-JTQLQIEISA-N 0 3 236.340 2.799 20 0 BFADHN CCCOc1ccccc1CN1CC[C@H](OC)C1 ZINC001138995490 991121192 /nfs/dbraw/zinc/12/11/92/991121192.db2.gz MLKFBMCQJKZBDP-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CCCc1cc(CN)nn1[C@@H](C)CCSC ZINC001258209319 991128987 /nfs/dbraw/zinc/12/89/87/991128987.db2.gz UURPLKDSHTZKLO-JTQLQIEISA-N 0 3 241.404 2.608 20 0 BFADHN CSCC[C@H](C)N1CC[C@@](C)(F)[C@@H](F)C1 ZINC001258216302 991141956 /nfs/dbraw/zinc/14/19/56/991141956.db2.gz WLHBIAKYMUKTAR-GARJFASQSA-N 0 3 237.359 2.900 20 0 BFADHN C[C@]1(Br)C[C@@H]1CN1CC2(CCC2)C1 ZINC001308809989 991149549 /nfs/dbraw/zinc/14/95/49/991149549.db2.gz QGTYKFQNLNMAMT-ZJUUUORDSA-N 0 3 244.176 2.646 20 0 BFADHN COc1cc(O)ccc1CN1CCCC12CC2 ZINC001139139033 991164916 /nfs/dbraw/zinc/16/49/16/991164916.db2.gz TUTMMOYLTLNYFA-UHFFFAOYSA-N 0 3 233.311 2.529 20 0 BFADHN C1=CCN(Cc2ccc3cccnc3c2)C1 ZINC001139164628 991174082 /nfs/dbraw/zinc/17/40/82/991174082.db2.gz LCQATJFTWRKANG-UHFFFAOYSA-N 0 3 210.280 2.607 20 0 BFADHN c1cn2cc(CN3C[C@H]4CCCC[C@H]43)sc2n1 ZINC001308851141 991180612 /nfs/dbraw/zinc/18/06/12/991180612.db2.gz NZESNFUDSZKOHV-ZYHUDNBSSA-N 0 3 247.367 2.770 20 0 BFADHN Cn1ncc2c1CN([C@@H]1CCCC(C)(C)C1)C2 ZINC001258287572 991221420 /nfs/dbraw/zinc/22/14/20/991221420.db2.gz AWGDMHDIPOTASZ-GFCCVEGCSA-N 0 3 233.359 2.705 20 0 BFADHN CN(Cc1ccc(N2CCCCC2)nc1)C1CC1 ZINC001139342948 991224262 /nfs/dbraw/zinc/22/42/62/991224262.db2.gz SPOYETAJNIQQBA-UHFFFAOYSA-N 0 3 245.370 2.666 20 0 BFADHN CSC(C)(C)C[C@@H](C)NCc1cnco1 ZINC001258321683 991236159 /nfs/dbraw/zinc/23/61/59/991236159.db2.gz OMZFPTFJLVKAKT-SECBINFHSA-N 0 3 228.361 2.684 20 0 BFADHN CC[C@H](C)[C@@H](C)N1CC[S@@](=O)C(C)(C)CC1 ZINC001559838171 991277588 /nfs/dbraw/zinc/27/75/88/991277588.db2.gz FNTCLBHPQVULEH-HWWQOWPSSA-N 0 3 245.432 2.654 20 0 BFADHN CCOc1ccncc1CN1CC[C@@H](CC)C1 ZINC001139632732 991301685 /nfs/dbraw/zinc/30/16/85/991301685.db2.gz RRRBEODUBOPWMR-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CCCCC(CCCC)N1CC(=O)N[C@@H](C)C1 ZINC001258384343 991340703 /nfs/dbraw/zinc/34/07/03/991340703.db2.gz DFSGJOPAROKBGZ-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1cc(O)cc(C)c1CN1CCC[C@@H]1CF ZINC001139716866 991340947 /nfs/dbraw/zinc/34/09/47/991340947.db2.gz JJUZXHFQVPYMQF-GFCCVEGCSA-N 0 3 237.318 2.943 20 0 BFADHN CCCCC(CCCC)N1CCNC(=O)[C@H]1C ZINC001258387771 991342005 /nfs/dbraw/zinc/34/20/05/991342005.db2.gz XXNDTNJYQHMFGF-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CCCCC[C@@H](CC)n1cnc(CN)c1 ZINC001258430232 991360801 /nfs/dbraw/zinc/36/08/01/991360801.db2.gz KRKYRJXZPUKSQX-GFCCVEGCSA-N 0 3 209.337 2.873 20 0 BFADHN COCCN(C)Cc1ccccc1C(F)F ZINC001139795570 991373747 /nfs/dbraw/zinc/37/37/47/991373747.db2.gz FOYWSOKPCWERBO-UHFFFAOYSA-N 0 3 229.270 2.702 20 0 BFADHN CCCCC[C@@H](CC)N1CCOC[C@@H]1COC ZINC001258443341 991379875 /nfs/dbraw/zinc/37/98/75/991379875.db2.gz LNNRWJAZXKGVHC-KGLIPLIRSA-N 0 3 243.391 2.693 20 0 BFADHN CCCCC[C@@H](CC)N1CCO[C@H](COC)C1 ZINC001258444603 991384926 /nfs/dbraw/zinc/38/49/26/991384926.db2.gz YLVXZYKHNRKLDB-KGLIPLIRSA-N 0 3 243.391 2.693 20 0 BFADHN Fc1cc(CN2CCC3(CCCC3)C2)ccn1 ZINC001139833915 991390970 /nfs/dbraw/zinc/39/09/70/991390970.db2.gz UMCSUGWNCOOJNP-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN C[C@H]1Cc2n[nH]cc2CN(CC2=CCCC2)C1 ZINC001560144327 991393330 /nfs/dbraw/zinc/39/33/30/991393330.db2.gz XWQVSADNEFCBQN-NSHDSACASA-N 0 3 231.343 2.514 20 0 BFADHN Cc1ccc(C2(N[C@@H](C)CC3CC3)COC2)cc1 ZINC001258450064 991397156 /nfs/dbraw/zinc/39/71/56/991397156.db2.gz FLGJKACEBVTSPL-ZDUSSCGKSA-N 0 3 245.366 2.999 20 0 BFADHN Cc1ccc(C(=O)CN[C@H](C)CC2CC2)cc1 ZINC001258451094 991399878 /nfs/dbraw/zinc/39/98/78/991399878.db2.gz GEYYPWSALNTWSF-GFCCVEGCSA-N 0 3 231.339 2.956 20 0 BFADHN Cc1ccccc1C1(N[C@H](C)CC2CC2)COC1 ZINC001258454785 991406952 /nfs/dbraw/zinc/40/69/52/991406952.db2.gz QRCDOENARHGRNJ-CYBMUJFWSA-N 0 3 245.366 2.999 20 0 BFADHN C[C@H]1CCN1Cc1cncc2ccccc21 ZINC001139893582 991411416 /nfs/dbraw/zinc/41/14/16/991411416.db2.gz FIQQFCALJSZJGR-NSHDSACASA-N 0 3 212.296 2.829 20 0 BFADHN Cc1ccc(CN2CCC3(CCCO3)CC2)cn1 ZINC001139932566 991423694 /nfs/dbraw/zinc/42/36/94/991423694.db2.gz CHLYPILVXNXNTK-UHFFFAOYSA-N 0 3 246.354 2.535 20 0 BFADHN C[C@@H](CC1CC1)N1CCc2ncccc2C1 ZINC001258467267 991430386 /nfs/dbraw/zinc/43/03/86/991430386.db2.gz FXKGHOATJGJDIR-NSHDSACASA-N 0 3 216.328 2.628 20 0 BFADHN CN(C/C=C\Cl)C[C@]12CCC[C@H]1OCC2 ZINC001560235234 991431548 /nfs/dbraw/zinc/43/15/48/991431548.db2.gz DOYWTLGFMTYYQD-ACYZNUEOSA-N 0 3 229.751 2.630 20 0 BFADHN CC[C@H](C)[C@@H](C(=O)OC)N(C)[C@@H](C)CC1CC1 ZINC001258473576 991444854 /nfs/dbraw/zinc/44/48/54/991444854.db2.gz OARJIRRJUKNOBB-GVXVVHGQSA-N 0 3 241.375 2.695 20 0 BFADHN F[C@@H]1CCC2(C1)CCN(Cc1ccnnc1)CC2 ZINC001139984860 991461938 /nfs/dbraw/zinc/46/19/38/991461938.db2.gz DFSLZHZEXVFXNC-CYBMUJFWSA-N 0 3 249.333 2.581 20 0 BFADHN Clc1ncc(CN2CC3CCC2CC3)cn1 ZINC001139992511 991470473 /nfs/dbraw/zinc/47/04/73/991470473.db2.gz LHBFJOBCUYTKDN-UHFFFAOYSA-N 0 3 237.734 2.504 20 0 BFADHN COc1nsc(CN[C@H]2CC[C@H]2C)c1Cl ZINC001560466203 991478743 /nfs/dbraw/zinc/47/87/43/991478743.db2.gz YWAKJCMLFLRESU-RQJHMYQMSA-N 0 3 246.763 2.693 20 0 BFADHN C[C@@H](N)c1nccn1[C@@H]1CCC(C)(C)C1 ZINC001258592044 991481826 /nfs/dbraw/zinc/48/18/26/991481826.db2.gz LXHRPRRGWKIKNH-NXEZZACHSA-N 0 3 207.321 2.654 20 0 BFADHN CCCC1CCC(N2C[C@H]3C[C@@H](C2)O3)CC1 ZINC001258534068 991483736 /nfs/dbraw/zinc/48/37/36/991483736.db2.gz KMVJNJHIAWPTKC-LLZFXZEUSA-N 0 3 223.360 2.818 20 0 BFADHN CN1CCC(c2nnc(CC3CCCC3)o2)CC1 ZINC001258594178 991492183 /nfs/dbraw/zinc/49/21/83/991492183.db2.gz JDXABRRLWOCWLU-UHFFFAOYSA-N 0 3 249.358 2.612 20 0 BFADHN C[C@H](N)c1ccn([C@H]2CCC(C)(C)C2)n1 ZINC001258596434 991499580 /nfs/dbraw/zinc/49/95/80/991499580.db2.gz ZUSVLLAQYHWYEK-UWVGGRQHSA-N 0 3 207.321 2.654 20 0 BFADHN F[C@H]1CCCC[C@H]1NCc1ccc2c[nH]nc2c1 ZINC001560551259 991502186 /nfs/dbraw/zinc/50/21/86/991502186.db2.gz GTSTYFVZHFWEST-QWHCGFSZSA-N 0 3 247.317 2.933 20 0 BFADHN CCc1ccccc1CNC[C@H]1CC(C)=NO1 ZINC001560574989 991506173 /nfs/dbraw/zinc/50/61/73/991506173.db2.gz VBBAAYYLTLHJSW-CQSZACIVSA-N 0 3 232.327 2.503 20 0 BFADHN C[C@H]1CCN(Cc2ccc(O)cc2Cl)C1 ZINC001140081722 991515617 /nfs/dbraw/zinc/51/56/17/991515617.db2.gz AQAXSIOAQGDYPP-VIFPVBQESA-N 0 3 225.719 2.887 20 0 BFADHN Fc1cccc(CN2CC[C@H]3CCC[C@H]3C2)n1 ZINC001140131736 991531418 /nfs/dbraw/zinc/53/14/18/991531418.db2.gz QTPMBJIDBNYPCA-NEPJUHHUSA-N 0 3 234.318 2.843 20 0 BFADHN C[C@@H](Cc1cccnc1)N[C@@H](C)c1nccs1 ZINC001258611495 991535726 /nfs/dbraw/zinc/53/57/26/991535726.db2.gz JXCSGNDBYJFJPO-QWRGUYRKSA-N 0 3 247.367 2.820 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccc(C)c(OC)c2)C1 ZINC001140162298 991544527 /nfs/dbraw/zinc/54/45/27/991544527.db2.gz PHVLZMRPBYRKDU-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@@H](Cc1cccnc1)N1CCCC[C@@H](F)C1 ZINC001258622640 991557424 /nfs/dbraw/zinc/55/74/24/991557424.db2.gz QAZYXUGPHMYWIY-GXTWGEPZSA-N 0 3 236.334 2.837 20 0 BFADHN CN(Cc1cccn1C(F)F)[C@H]1CCSC1 ZINC001560717660 991573894 /nfs/dbraw/zinc/57/38/94/991573894.db2.gz SVOJOXKIULWOOP-JTQLQIEISA-N 0 3 246.326 2.821 20 0 BFADHN CN1CCC[C@@H](c2nnc(CC3CCCC3)o2)C1 ZINC001258640637 991580363 /nfs/dbraw/zinc/58/03/63/991580363.db2.gz OITQTJOOXQTDFX-GFCCVEGCSA-N 0 3 249.358 2.612 20 0 BFADHN CCC[C@H](Cc1ccccc1)n1ccc(CN)n1 ZINC001258648979 991582452 /nfs/dbraw/zinc/58/24/52/991582452.db2.gz ALKQSTWRIDTNCN-OAHLLOKOSA-N 0 3 243.354 2.926 20 0 BFADHN CC(C)C(C)(C)CNCc1ncccc1F ZINC001560766914 991591321 /nfs/dbraw/zinc/59/13/21/991591321.db2.gz FGUSBSXZBASALW-UHFFFAOYSA-N 0 3 224.323 2.993 20 0 BFADHN Cc1ccc2[nH]nc(CN3CCC4(CC4)C3)c2c1 ZINC001140328692 991605532 /nfs/dbraw/zinc/60/55/32/991605532.db2.gz KPAIARXFOYKNAS-UHFFFAOYSA-N 0 3 241.338 2.857 20 0 BFADHN Cc1ccc2n[nH]c(CN3CCC4(CC4)C3)c2c1 ZINC001140328692 991605538 /nfs/dbraw/zinc/60/55/38/991605538.db2.gz KPAIARXFOYKNAS-UHFFFAOYSA-N 0 3 241.338 2.857 20 0 BFADHN CCC[C@H](CN1CCO[C@@H](C(C)(C)C)C1)OC ZINC001560801116 991607773 /nfs/dbraw/zinc/60/77/73/991607773.db2.gz GDZUEVCORJJHDF-CHWSQXEVSA-N 0 3 243.391 2.548 20 0 BFADHN CCN(Cc1cn(C2CC2)cn1)C1CCCC1 ZINC001560824645 991615817 /nfs/dbraw/zinc/61/58/17/991615817.db2.gz OYSRAYRLZPTXQW-UHFFFAOYSA-N 0 3 233.359 2.983 20 0 BFADHN CC[C@H](CN1CC(O)(C(C)C)C1)c1ccccc1 ZINC001560875441 991633275 /nfs/dbraw/zinc/63/32/75/991633275.db2.gz PKGKAEPGZJZXDM-CQSZACIVSA-N 0 3 247.382 2.883 20 0 BFADHN CCOc1cc(C)c(Nc2ccncc2C)cn1 ZINC001203041259 991651222 /nfs/dbraw/zinc/65/12/22/991651222.db2.gz XJQOLINAURAQEK-UHFFFAOYSA-N 0 3 243.310 2.658 20 0 BFADHN C[C@]1(CNC2(C)COC2)C[C@@H]1c1ccccc1 ZINC001560965336 991673962 /nfs/dbraw/zinc/67/39/62/991673962.db2.gz OTXDQFJHLQXUOC-ZIAGYGMSSA-N 0 3 231.339 2.559 20 0 BFADHN CC[C@H](C)[C@H](CNCc1cc(C)ccn1)OC ZINC001560989869 991680092 /nfs/dbraw/zinc/68/00/92/991680092.db2.gz DKCSYXPYAOGQHH-JSGCOSHPSA-N 0 3 236.359 2.541 20 0 BFADHN CC(C)OC1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)C1 ZINC001560994428 991681985 /nfs/dbraw/zinc/68/19/85/991681985.db2.gz GEESJQOLEGQSCH-UPJWGTAASA-N 0 3 223.360 2.532 20 0 BFADHN CCCC[C@H](CCC)N(C)[C@H](C)C(=O)OC ZINC001258767205 991692224 /nfs/dbraw/zinc/69/22/24/991692224.db2.gz PLMWCJHSQDQGCA-NEPJUHHUSA-N 0 3 229.364 2.839 20 0 BFADHN C[C@H](Cc1cccc(Cl)c1)NC1(C)COC1 ZINC001258736934 991687925 /nfs/dbraw/zinc/68/79/25/991687925.db2.gz BGKBAXCMLCVADH-SNVBAGLBSA-N 0 3 239.746 2.650 20 0 BFADHN CCCC[C@H](CCC)N(C)[C@@H](C)C(=O)OC ZINC001258767207 991693919 /nfs/dbraw/zinc/69/39/19/991693919.db2.gz PLMWCJHSQDQGCA-RYUDHWBXSA-N 0 3 229.364 2.839 20 0 BFADHN CCCC[C@H](CCC)N1CCN(C)C(=O)[C@H]1C ZINC001258763776 991715550 /nfs/dbraw/zinc/71/55/50/991715550.db2.gz CCHREJKOHTWNKB-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN CCCC[C@@H](CCC)N1CC2(CCO2)C1 ZINC001258765386 991728437 /nfs/dbraw/zinc/72/84/37/991728437.db2.gz DVGGMTTXJNWMQF-GFCCVEGCSA-N 0 3 211.349 2.820 20 0 BFADHN CC[C@H](C)C[C@H](CC)n1cnc(CN)c1 ZINC001258779960 991749874 /nfs/dbraw/zinc/74/98/74/991749874.db2.gz ZFLCDOCPSCSEMM-JQWIXIFHSA-N 0 3 209.337 2.729 20 0 BFADHN CC1(C)CN(Cc2ccccn2)C1(C)C ZINC001319021863 991764131 /nfs/dbraw/zinc/76/41/31/991764131.db2.gz BZWJTTYYWATJOV-UHFFFAOYSA-N 0 3 204.317 2.702 20 0 BFADHN CC(C)C1CCC(n2cnc(CN)c2)CC1 ZINC001258800680 991801137 /nfs/dbraw/zinc/80/11/37/991801137.db2.gz SEQVJUUUJGEMLN-UHFFFAOYSA-N 0 3 221.348 2.729 20 0 BFADHN CC(C)CN(CC(=O)OC(C)C)CC(C)(C)C ZINC001319148530 991801210 /nfs/dbraw/zinc/80/12/10/991801210.db2.gz YSNIWVGSQIALTJ-UHFFFAOYSA-N 0 3 243.391 2.942 20 0 BFADHN C[C@@H](N)c1ccn([C@H]2CCC[C@@H](C)C2)n1 ZINC001258810949 991817751 /nfs/dbraw/zinc/81/77/51/991817751.db2.gz SPWGNQJWPJCLFY-MXWKQRLJSA-N 0 3 207.321 2.654 20 0 BFADHN C[C@H](N)c1ccn([C@@H]2CCC[C@@H](C)C2)n1 ZINC001258810950 991817899 /nfs/dbraw/zinc/81/78/99/991817899.db2.gz SPWGNQJWPJCLFY-OUAUKWLOSA-N 0 3 207.321 2.654 20 0 BFADHN C[C@H]1CCC[C@H](NC2(c3cnccn3)CC2)C1 ZINC001258815078 991827744 /nfs/dbraw/zinc/82/77/44/991827744.db2.gz YTGPSPKLKAGMNB-RYUDHWBXSA-N 0 3 231.343 2.634 20 0 BFADHN C[C@@H]1CCC[C@@H](N2CC3(C2)CCCCO3)C1 ZINC001258819153 991837421 /nfs/dbraw/zinc/83/74/21/991837421.db2.gz OVTOZILWBVDPRK-CHWSQXEVSA-N 0 3 223.360 2.820 20 0 BFADHN C[C@@H]1CCC[C@@H](N2CCC(=O)[C@@H](F)CC2)C1 ZINC001258819196 991837489 /nfs/dbraw/zinc/83/74/89/991837489.db2.gz PCAALUHCYGXUGX-UTUOFQBUSA-N 0 3 227.323 2.568 20 0 BFADHN COc1ccc(C[C@H](C)N(C)CCF)cc1 ZINC001319310903 991840201 /nfs/dbraw/zinc/84/02/01/991840201.db2.gz KKSOOGDMPYAABD-NSHDSACASA-N 0 3 225.307 2.528 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1CC(=O)OC(C)C ZINC001319320806 991840316 /nfs/dbraw/zinc/84/03/16/991840316.db2.gz NOINDGOVGSZNJA-STQMWFEESA-N 0 3 241.375 2.839 20 0 BFADHN CC[C@@H](Cc1ccccc1)N1C=C(N)C=CC1 ZINC001258840298 991872378 /nfs/dbraw/zinc/87/23/78/991872378.db2.gz SIZZLDATTOGDLB-HNNXBMFYSA-N 0 3 228.339 2.680 20 0 BFADHN Cc1cc(CN(C)[C@H](C)C(C)(C)C)[nH]n1 ZINC001319695029 991942379 /nfs/dbraw/zinc/94/23/79/991942379.db2.gz MCGJJRQVHRUDMJ-SNVBAGLBSA-N 0 3 209.337 2.585 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cc(C)n[nH]2)C1 ZINC001319717888 991949540 /nfs/dbraw/zinc/94/95/40/991949540.db2.gz NIZHICKWVNVFOC-GFCCVEGCSA-N 0 3 221.348 2.730 20 0 BFADHN COc1cc(C)nc(CN2CC3CCC2CC3)c1 ZINC001319878559 992018085 /nfs/dbraw/zinc/01/80/85/992018085.db2.gz HEHKJTVJXWGZNA-UHFFFAOYSA-N 0 3 246.354 2.773 20 0 BFADHN CC1(C)CN(Cc2cccnc2)C1(C)C ZINC001319951117 992044345 /nfs/dbraw/zinc/04/43/45/992044345.db2.gz YUPIWGLQTGXQQX-UHFFFAOYSA-N 0 3 204.317 2.702 20 0 BFADHN COc1cc(CNC/C=C/C2CC2)ccc1F ZINC001261238113 992209758 /nfs/dbraw/zinc/20/97/58/992209758.db2.gz RANBPZIJMZCMMU-NSCUHMNNSA-N 0 3 235.302 2.890 20 0 BFADHN CC1(C)CN(CCc2cncs2)C1(C)C ZINC001261360099 992273160 /nfs/dbraw/zinc/27/31/60/992273160.db2.gz ZPZGYIDPBQLJRG-UHFFFAOYSA-N 0 3 224.373 2.806 20 0 BFADHN Fc1cc(CN[C@@H]2CCC[C@H](F)C2)c(F)cn1 ZINC001261494082 992333850 /nfs/dbraw/zinc/33/38/50/992333850.db2.gz DWRPUIHJUXDLCW-VHSXEESVSA-N 0 3 244.260 2.730 20 0 BFADHN CN(C(=O)[C@H](N)C1CCCCC1)c1ccccc1 ZINC001261492376 992338782 /nfs/dbraw/zinc/33/87/82/992338782.db2.gz ASVYAQKOGFBXPX-CQSZACIVSA-N 0 3 246.354 2.557 20 0 BFADHN c1nc(CN2CCC[C@H]2CC2CCCCC2)n[nH]1 ZINC001261637335 992429425 /nfs/dbraw/zinc/42/94/25/992429425.db2.gz PBNDPDKMLFEVRV-ZDUSSCGKSA-N 0 3 248.374 2.740 20 0 BFADHN C[C@H]([NH2+][C@@H]1CC[C@@H]1C)c1cccc([O-])c1F ZINC001261891117 992565441 /nfs/dbraw/zinc/56/54/41/992565441.db2.gz YROZUIKUGSEXIL-ATZCPNFKSA-N 0 3 223.291 2.980 20 0 BFADHN CC(C)C[C@H](N)C(=O)N(c1ccccc1)C1CC1 ZINC001573501281 992622595 /nfs/dbraw/zinc/62/25/95/992622595.db2.gz CUHPWXSNFQQZIG-AWEZNQCLSA-N 0 3 246.354 2.555 20 0 BFADHN CC(C)C[C@@H](N)C(=O)N(c1ccccc1)C(C)C ZINC001573502922 992622862 /nfs/dbraw/zinc/62/28/62/992622862.db2.gz QRLLPNXOJOMQOW-CQSZACIVSA-N 0 3 248.370 2.801 20 0 BFADHN CC(C)c1ccc(NC(=O)[C@H](N)C(C)(C)C)cn1 ZINC001573530902 992626090 /nfs/dbraw/zinc/62/60/90/992626090.db2.gz IDQZNEHVOGPHSD-LBPRGKRZSA-N 0 3 249.358 2.517 20 0 BFADHN C[C@@H]1CSCCN1CCCC(F)(F)F ZINC001262354063 992855526 /nfs/dbraw/zinc/85/55/26/992855526.db2.gz XNXYPNIUXUSDDO-MRVPVSSYSA-N 0 3 227.295 2.766 20 0 BFADHN c1ncc2c(n1)CN(C1CCCCCCC1)C2 ZINC000585332010 993258132 /nfs/dbraw/zinc/25/81/32/993258132.db2.gz AUNKPTUUIZPYQN-UHFFFAOYSA-N 0 3 231.343 2.905 20 0 BFADHN CC[C@H]1CCCN1Cc1c(F)ccc(N)c1F ZINC000379889234 993286866 /nfs/dbraw/zinc/28/68/66/993286866.db2.gz AFFJTIDNXMRRRG-VIFPVBQESA-N 0 3 240.297 2.921 20 0 BFADHN CC[C@@H]1CCCN1Cc1c(F)ccc(N)c1F ZINC000379889232 993289309 /nfs/dbraw/zinc/28/93/09/993289309.db2.gz AFFJTIDNXMRRRG-SECBINFHSA-N 0 3 240.297 2.921 20 0 BFADHN CCC[C@@H](CC)n1c(C)nc2c1CNCC2 ZINC000381632221 993412757 /nfs/dbraw/zinc/41/27/57/993412757.db2.gz NCDWILYLTDIRAE-LLVKDONJSA-N 0 3 221.348 2.588 20 0 BFADHN CCC[C@H](CC)n1c(C)nc2c1CNCC2 ZINC000381632237 993413344 /nfs/dbraw/zinc/41/33/44/993413344.db2.gz NCDWILYLTDIRAE-NSHDSACASA-N 0 3 221.348 2.588 20 0 BFADHN CC(C)n1cncc1CNC/C=C\C1CC1 ZINC000382082510 993439049 /nfs/dbraw/zinc/43/90/49/993439049.db2.gz IWCWZOFEILWYOU-ARJAWSKDSA-N 0 3 219.332 2.520 20 0 BFADHN CC[C@H](C)c1ccccc1OC(=O)OC1CNC1 ZINC001577006252 993484642 /nfs/dbraw/zinc/48/46/42/993484642.db2.gz UJGKJUCVOWXBQY-JTQLQIEISA-N 0 3 249.310 2.687 20 0 BFADHN CC1(C)CCC[C@H](n2cnc3c2CNCC3)C1 ZINC000383570135 993499559 /nfs/dbraw/zinc/49/95/59/993499559.db2.gz JWMAMBOVJRFQPG-NSHDSACASA-N 0 3 233.359 2.670 20 0 BFADHN Cc1nc2c(n1[C@H]1CCC[C@H](C)C1)CNCC2 ZINC000383871112 993525657 /nfs/dbraw/zinc/52/56/57/993525657.db2.gz HUVONJCXNOPPOF-JQWIXIFHSA-N 0 3 233.359 2.588 20 0 BFADHN COc1ccc(CN2CC3CCC2CC3)cc1O ZINC000386743952 993690512 /nfs/dbraw/zinc/69/05/12/993690512.db2.gz DFWUQBOTYWLNJI-UHFFFAOYSA-N 0 3 247.338 2.775 20 0 BFADHN COc1cccc(F)c1CNCCC(C)C ZINC001335498190 994791840 /nfs/dbraw/zinc/79/18/40/994791840.db2.gz ADCIVJDDDYXCNF-UHFFFAOYSA-N 0 3 225.307 2.970 20 0 BFADHN COC(=O)C1(N(C)CCCC2CC2)CCCC1 ZINC001307543654 995396896 /nfs/dbraw/zinc/39/68/96/995396896.db2.gz PKZKAOOCUPLNOG-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@H]2[C@@H](C1)C2(F)F ZINC001307597778 995411843 /nfs/dbraw/zinc/41/18/43/995411843.db2.gz QYKNEYPQWLPGBV-ADEWGFFLSA-N 0 3 238.281 2.730 20 0 BFADHN C(CN1CCOCC12CCC2)C1CCCC1 ZINC000135524693 398135405 /nfs/dbraw/zinc/13/54/05/398135405.db2.gz BCXCYFPWZIYQFH-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1nccn1C(F)F ZINC000268373055 398137490 /nfs/dbraw/zinc/13/74/90/398137490.db2.gz WLDNDAZZZIVTEQ-VHSXEESVSA-N 0 3 243.301 2.947 20 0 BFADHN COc1ccc(CN(C2CC2)C2CCCC2)nc1 ZINC000548918112 398138815 /nfs/dbraw/zinc/13/88/15/398138815.db2.gz ARMDYUUSOGUFOS-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000245507103 398139841 /nfs/dbraw/zinc/13/98/41/398139841.db2.gz PHUQJLDGXMQZAU-ZWNOBZJWSA-N 0 3 221.348 2.729 20 0 BFADHN COCC[C@@H](C)N(C)Cc1ccc(F)c(F)c1 ZINC000268020246 538916329 /nfs/dbraw/zinc/91/63/29/538916329.db2.gz JWXZGULKVDFVHV-SNVBAGLBSA-N 0 3 243.297 2.822 20 0 BFADHN COCC[C@H](C)N(C)Cc1ccccc1OC ZINC000268041635 538916408 /nfs/dbraw/zinc/91/64/08/538916408.db2.gz UOKZQZOYHMZDJP-LBPRGKRZSA-N 0 3 237.343 2.552 20 0 BFADHN CCCC[C@H](C)N[C@@H](CCO)c1ccco1 ZINC000186531166 349455793 /nfs/dbraw/zinc/45/57/93/349455793.db2.gz PWCFHMHLBSJHRA-RYUDHWBXSA-N 0 3 225.332 2.871 20 0 BFADHN CCc1nnc(CNC2[C@H](C)CCC[C@H]2C)o1 ZINC000182710503 346809526 /nfs/dbraw/zinc/80/95/26/346809526.db2.gz RHHYSKNLYYRRQI-NXEZZACHSA-N 0 3 237.347 2.546 20 0 BFADHN Cc1cc(CCN[C@H](C)c2cnccc2C)on1 ZINC000353995738 177965830 /nfs/dbraw/zinc/96/58/30/177965830.db2.gz LGAGJVHLKIRXAD-GFCCVEGCSA-N 0 3 245.326 2.580 20 0 BFADHN CCCNCc1nc2ccc(F)cc2s1 ZINC000085932427 136211851 /nfs/dbraw/zinc/21/18/51/136211851.db2.gz GZGSTHYPZWFWSZ-UHFFFAOYSA-N 0 3 224.304 2.935 20 0 BFADHN CCc1noc(CN2[C@H](C)C[C@H]3CCCC[C@H]32)n1 ZINC000605421701 343978523 /nfs/dbraw/zinc/97/85/23/343978523.db2.gz SSGYGIRJFTWPFQ-IJLUTSLNSA-N 0 3 249.358 2.785 20 0 BFADHN Cc1ccc(CCNCc2cnn(C(C)C)c2)o1 ZINC000602273791 349489451 /nfs/dbraw/zinc/48/94/51/349489451.db2.gz UBPPSLMMSJMHMP-UHFFFAOYSA-N 0 3 247.342 2.698 20 0 BFADHN CCC(CC)(CN[C@H](C)c1ccccn1)OC ZINC000292704585 168327152 /nfs/dbraw/zinc/32/71/52/168327152.db2.gz CSZYLLWRHSPYDO-GFCCVEGCSA-N 0 3 236.359 2.937 20 0 BFADHN CC(C)(C)CCN1CCS[C@H]2COCC[C@H]21 ZINC000247336939 398145695 /nfs/dbraw/zinc/14/56/95/398145695.db2.gz XGLSWWIENIYCAZ-NEPJUHHUSA-N 0 3 243.416 2.629 20 0 BFADHN C[C@@H](CCO)N(C)Cc1ccc(Cl)cc1 ZINC000649864562 398146009 /nfs/dbraw/zinc/14/60/09/398146009.db2.gz DUKGDFRBNXJPAX-JTQLQIEISA-N 0 3 227.735 2.543 20 0 BFADHN COC1([C@H](C)NCc2ccoc2)CCCC1 ZINC000421823739 398146228 /nfs/dbraw/zinc/14/62/28/398146228.db2.gz FBHCYNFMQOGPCN-NSHDSACASA-N 0 3 223.316 2.717 20 0 BFADHN Cc1ccccc1[C@@H](NCc1cn[nH]c1)C1CC1 ZINC000602287227 349493486 /nfs/dbraw/zinc/49/34/86/349493486.db2.gz BPHUOXAAXXXNLX-HNNXBMFYSA-N 0 3 241.338 2.959 20 0 BFADHN C[C@@H](NC[C@H](O)C1CC1)c1cc2ccccc2o1 ZINC000071300024 398146363 /nfs/dbraw/zinc/14/63/63/398146363.db2.gz HSHMBGBCWFIOPG-MFKMUULPSA-N 0 3 245.322 2.854 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1occc1C ZINC000336656107 398144205 /nfs/dbraw/zinc/14/42/05/398144205.db2.gz OIGBKIOKRRGNEG-KOLCDFICSA-N 0 3 211.330 2.572 20 0 BFADHN Cc1cccc(Cl)c1CN(C)[C@@H](C)CCO ZINC000649870747 398158984 /nfs/dbraw/zinc/15/89/84/398158984.db2.gz IVXDQCJLUWFNOY-NSHDSACASA-N 0 3 241.762 2.851 20 0 BFADHN Cc1cc(CN2CC[C@H](C)[C@H](O)C2)c(C)s1 ZINC000357564458 178000516 /nfs/dbraw/zinc/00/05/16/178000516.db2.gz BPDSEUPFLWHYIN-TVQRCGJNSA-N 0 3 239.384 2.568 20 0 BFADHN Cc1cc(CN2CC[C@]3(CC[C@@H](C)C3)C2)nn1C ZINC000359558917 178003248 /nfs/dbraw/zinc/00/32/48/178003248.db2.gz ORVBNEYOYBECFQ-DOMZBBRYSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@H]3CCCC[C@H]32)nn1C ZINC000360212419 178008212 /nfs/dbraw/zinc/00/82/12/178008212.db2.gz JMAYCOYJPSTEKW-NILFDRSVSA-N 0 3 247.386 2.739 20 0 BFADHN Cc1ccc([C@@H](C)NC2CSC2)cc1F ZINC000389992491 398154829 /nfs/dbraw/zinc/15/48/29/398154829.db2.gz OYVGICLLBCHINS-SECBINFHSA-N 0 3 225.332 2.900 20 0 BFADHN Oc1ccc(CNCC2=CCCCC2)cc1 ZINC000379581980 398154877 /nfs/dbraw/zinc/15/48/77/398154877.db2.gz MWWVROMHFBLDPY-UHFFFAOYSA-N 0 3 217.312 2.982 20 0 BFADHN Cc1cc(CNC(C)(C)c2ccccc2)n(C)n1 ZINC000336786514 178017585 /nfs/dbraw/zinc/01/75/85/178017585.db2.gz IEOFPLPQDKYXPG-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN CCc1cc(N(C)Cc2ccc(C)cn2)ccn1 ZINC000649341853 398158457 /nfs/dbraw/zinc/15/84/57/398158457.db2.gz HBOQYJRCYQHQCW-UHFFFAOYSA-N 0 3 241.338 2.984 20 0 BFADHN Cc1cc(CNCC2=CCCOC2)ccc1F ZINC000176826232 178021488 /nfs/dbraw/zinc/02/14/88/178021488.db2.gz GHNXHQVYLDJAJN-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN Cc1cc(CNC[C@H]2CCC(F)(F)C2)no1 ZINC000300863938 178025275 /nfs/dbraw/zinc/02/52/75/178025275.db2.gz KXXFIGBUGLYEAI-VIFPVBQESA-N 0 3 230.258 2.508 20 0 BFADHN CCc1cc(N2CC[C@@H](C(F)F)C2)ccn1 ZINC000649355471 398168801 /nfs/dbraw/zinc/16/88/01/398168801.db2.gz VYBCYZXNGUZJOX-SECBINFHSA-N 0 3 226.270 2.735 20 0 BFADHN CCc1cc(N2CC[C@H](C(F)F)C2)ccn1 ZINC000649355472 398169037 /nfs/dbraw/zinc/16/90/37/398169037.db2.gz VYBCYZXNGUZJOX-VIFPVBQESA-N 0 3 226.270 2.735 20 0 BFADHN Cc1cc(CNCc2ccn(C)c2)cs1 ZINC000294261999 178026171 /nfs/dbraw/zinc/02/61/71/178026171.db2.gz QZAZMILRFSUOLK-UHFFFAOYSA-N 0 3 220.341 2.685 20 0 BFADHN CN(CCCNCC1(F)CC1)c1ccccc1 ZINC000527513558 398170386 /nfs/dbraw/zinc/17/03/86/398170386.db2.gz DJAURYMLNUASOK-UHFFFAOYSA-N 0 3 236.334 2.605 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccc(C)s2)n(C)n1 ZINC000089183196 178030436 /nfs/dbraw/zinc/03/04/36/178030436.db2.gz UZVQYQNTVLWWHM-NSHDSACASA-N 0 3 249.383 2.949 20 0 BFADHN COC[C@H](C)N[C@H](C)c1cccc(F)c1 ZINC000019905048 398172278 /nfs/dbraw/zinc/17/22/78/398172278.db2.gz MICURERENORKLR-VHSXEESVSA-N 0 3 211.280 2.511 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](C)[C@H]2C)nn1C ZINC000360331283 178033301 /nfs/dbraw/zinc/03/33/01/178033301.db2.gz ZVVPZRATMSHEPF-ZKYQVNSYSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1cc(CN[C@@H]2CCc3ccccc32)n(C)n1 ZINC000089182752 178034466 /nfs/dbraw/zinc/03/44/66/178034466.db2.gz DYDNGGDVMBCUKW-OAHLLOKOSA-N 0 3 241.338 2.506 20 0 BFADHN Cc1cc(CN[C@@H]2CCSC2)cs1 ZINC000285780048 178035149 /nfs/dbraw/zinc/03/51/49/178035149.db2.gz FNPCECOXAIHMAM-SNVBAGLBSA-N 0 3 213.371 2.652 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCC2(C)C)nn1C ZINC000334234093 136215713 /nfs/dbraw/zinc/21/57/13/136215713.db2.gz PHWQDWZTQWTXAQ-ZYHUDNBSSA-N 0 3 221.348 2.568 20 0 BFADHN Cc1cc(CN[C@H](C)[C@H]2CCCO2)ccc1F ZINC000050544846 178037156 /nfs/dbraw/zinc/03/71/56/178037156.db2.gz NPFPLWMDFYRFRZ-BXUZGUMPSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H](c1ccncc1)N1CC[C@]2(CC2(F)F)C1 ZINC000649904080 398174283 /nfs/dbraw/zinc/17/42/83/398174283.db2.gz BDPMOMPYOLNNNR-JQWIXIFHSA-N 0 3 238.281 2.874 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@H](C)C2)on1 ZINC000044985453 178039416 /nfs/dbraw/zinc/03/94/16/178039416.db2.gz PQKNJOIOFGCRDC-ONGXEEELSA-N 0 3 208.305 2.651 20 0 BFADHN CCCCOCCN1CC[C@]2(CC2(F)F)C1 ZINC000649903829 398174850 /nfs/dbraw/zinc/17/48/50/398174850.db2.gz XQTBUBYJTUWODF-NSHDSACASA-N 0 3 233.302 2.534 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@]2(CC2(F)F)C1 ZINC000649904082 398175093 /nfs/dbraw/zinc/17/50/93/398175093.db2.gz BDPMOMPYOLNNNR-PWSUYJOCSA-N 0 3 238.281 2.874 20 0 BFADHN CCN1CCN([C@@H]2C=CCCCCC2)C[C@H]1C ZINC000645101490 398176446 /nfs/dbraw/zinc/17/64/46/398176446.db2.gz HEANYGQXSZNWBU-HUUCEWRRSA-N 0 3 236.403 2.901 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccnc(OC)c1 ZINC000339866252 398176978 /nfs/dbraw/zinc/17/69/78/398176978.db2.gz NVEYSLLHNCWQTB-ZDUSSCGKSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1cc(C[C@@H](C)N[C@H](C)c2ccc(C)o2)[nH]n1 ZINC000090528904 178055675 /nfs/dbraw/zinc/05/56/75/178055675.db2.gz BKBVWMGQWCPIKD-BXKDBHETSA-N 0 3 247.342 2.901 20 0 BFADHN Cc1cc(C[C@@H](C)N[C@H](C)c2ccc(C)o2)n[nH]1 ZINC000090528904 178055677 /nfs/dbraw/zinc/05/56/77/178055677.db2.gz BKBVWMGQWCPIKD-BXKDBHETSA-N 0 3 247.342 2.901 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cnc(OC)s1 ZINC000380020817 398182145 /nfs/dbraw/zinc/18/21/45/398182145.db2.gz ODLWREMQASYKJX-DTWKUNHWSA-N 0 3 228.361 2.676 20 0 BFADHN Cc1cc(C[C@H](C)N[C@H](C)c2ccc(C)o2)[nH]n1 ZINC000090528899 178058178 /nfs/dbraw/zinc/05/81/78/178058178.db2.gz BKBVWMGQWCPIKD-JOYOIKCWSA-N 0 3 247.342 2.901 20 0 BFADHN Cc1cc(C[C@H](C)N[C@H](C)c2ccc(C)o2)n[nH]1 ZINC000090528899 178058179 /nfs/dbraw/zinc/05/81/79/178058179.db2.gz BKBVWMGQWCPIKD-JOYOIKCWSA-N 0 3 247.342 2.901 20 0 BFADHN Cc1cc(Cl)cc(C)c1CN[C@@H]1CC[C@H]1O ZINC000335527924 178063701 /nfs/dbraw/zinc/06/37/01/178063701.db2.gz MAYMMRXZIBRKDV-CHWSQXEVSA-N 0 3 239.746 2.570 20 0 BFADHN CC(C)(O)CCNCc1ccc(F)cc1Cl ZINC000274772450 398164572 /nfs/dbraw/zinc/16/45/72/398164572.db2.gz SGGPETGOEPJHEJ-UHFFFAOYSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1ccncc1 ZINC000453614575 398165923 /nfs/dbraw/zinc/16/59/23/398165923.db2.gz PIUXFMFVXOQVKC-UONOGXRCSA-N 0 3 218.344 2.996 20 0 BFADHN Cc1cc(Cl)nc(CN[C@H](C)C2CC2)c1 ZINC000282162168 178071465 /nfs/dbraw/zinc/07/14/65/178071465.db2.gz XVHUVJCPQPTJQI-SECBINFHSA-N 0 3 224.735 2.932 20 0 BFADHN C[C@H](N[C@H]1CSC1(C)C)c1ccncc1 ZINC000283443521 398187338 /nfs/dbraw/zinc/18/73/38/398187338.db2.gz UBHUQRISKRXZEP-ONGXEEELSA-N 0 3 222.357 2.626 20 0 BFADHN CN(C)CCN(C)C(=O)CCCCC(C)(C)C ZINC000342056358 398188274 /nfs/dbraw/zinc/18/82/74/398188274.db2.gz CQWJPQOSKCHCRY-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN Cc1cc(F)ccc1CN1CCOC[C@@H](C)C1 ZINC000335892287 178084807 /nfs/dbraw/zinc/08/48/07/178084807.db2.gz BRVLZHBYUGMIJQ-NSHDSACASA-N 0 3 237.318 2.602 20 0 BFADHN FC(F)[C@@H]1C[C@@H]1NCc1cccc2cccnc21 ZINC000342196058 398189419 /nfs/dbraw/zinc/18/94/19/398189419.db2.gz AHHOJHBPLODOKA-NEPJUHHUSA-N 0 3 248.276 2.978 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H](C)Cn1cccn1 ZINC000050576340 178087642 /nfs/dbraw/zinc/08/76/42/178087642.db2.gz YLOKYHIQDPFISK-LBPRGKRZSA-N 0 3 247.317 2.509 20 0 BFADHN CC1=CCN([C@@H](C)c2ccccn2)CC1 ZINC000334260371 398194510 /nfs/dbraw/zinc/19/45/10/398194510.db2.gz WGCSNZNOOKGHJR-LBPRGKRZSA-N 0 3 202.301 2.795 20 0 BFADHN COCC[C@H](C)N1CCc2cccc(F)c2C1 ZINC000271244262 538923731 /nfs/dbraw/zinc/92/37/31/538923731.db2.gz PWCTVOAAVAXFEA-NSHDSACASA-N 0 3 237.318 2.609 20 0 BFADHN CC[C@@](C)(CNCc1ccc(F)cc1F)OC ZINC000322486690 398196300 /nfs/dbraw/zinc/19/63/00/398196300.db2.gz AGTYJFJKUQHXEP-ZDUSSCGKSA-N 0 3 243.297 2.870 20 0 BFADHN CC[C@@H](F)CN[C@@H](c1cccnc1)C1CC1 ZINC000336748480 398198508 /nfs/dbraw/zinc/19/85/08/398198508.db2.gz XXNFZDNQEDTJRK-CHWSQXEVSA-N 0 3 222.307 2.870 20 0 BFADHN CC[C@H]1c2ccccc2CCN1CCC(=O)OC ZINC000114563783 398200301 /nfs/dbraw/zinc/20/03/01/398200301.db2.gz JSMPSTRJTFEFKF-AWEZNQCLSA-N 0 3 247.338 2.559 20 0 BFADHN Cc1cc(N2CC[C@](C)(O)C2)c2ccccc2n1 ZINC000230475372 178118969 /nfs/dbraw/zinc/11/89/69/178118969.db2.gz JCPVBPFGUMQLLN-HNNXBMFYSA-N 0 3 242.322 2.504 20 0 BFADHN Cc1noc(C)c1CCN(C)Cc1ccccc1 ZINC000164707530 398203508 /nfs/dbraw/zinc/20/35/08/398203508.db2.gz SHZHRXMOMBAOIQ-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN Cc1noc(C)c1CCN1CCC[C@H](C)C1 ZINC000164710645 398203584 /nfs/dbraw/zinc/20/35/84/398203584.db2.gz OSIQTADKKUISOK-JTQLQIEISA-N 0 3 222.332 2.566 20 0 BFADHN Cc1cc(C)c(/C=C/CNC/C=C/CO)c(C)c1 ZINC000631173344 361735829 /nfs/dbraw/zinc/73/58/29/361735829.db2.gz QACCGXADRCLFTD-YTXTXJHMSA-N 0 3 245.366 2.763 20 0 BFADHN CC[C@@H](N)C(=O)N1CCC[C@@H](C)c2ccccc21 ZINC000573093314 398209450 /nfs/dbraw/zinc/20/94/50/398209450.db2.gz LZLXYCWEYYWEDT-DGCLKSJQSA-N 0 3 246.354 2.654 20 0 BFADHN CC(C)[C@H](CO)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000092393303 398205014 /nfs/dbraw/zinc/20/50/14/398205014.db2.gz NISHBEMDEYZKQZ-WXHSDQCUSA-N 0 3 237.343 2.510 20 0 BFADHN CCC(CC)C(=O)Nc1ccccc1CNC ZINC000036280967 168341657 /nfs/dbraw/zinc/34/16/57/168341657.db2.gz GTVSULIHZDRDQK-UHFFFAOYSA-N 0 3 234.343 2.781 20 0 BFADHN COc1cc([C@H](C)NC2CC2)ccc1F ZINC000084388427 398214037 /nfs/dbraw/zinc/21/40/37/398214037.db2.gz VFHMJYYUQBPHOA-QMMMGPOBSA-N 0 3 209.264 2.647 20 0 BFADHN Cc1cc(NC(=O)C(C)C(F)(F)F)sn1 ZINC000336486955 178147006 /nfs/dbraw/zinc/14/70/06/178147006.db2.gz HJNRRNNPAVLZSI-YFKPBYRVSA-N 0 3 238.234 2.588 20 0 BFADHN CC(C)N(C)Cc1scnc1C1CC1 ZINC000495071504 538927402 /nfs/dbraw/zinc/92/74/02/538927402.db2.gz YAHOOUNRJTZXGK-UHFFFAOYSA-N 0 3 210.346 2.861 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1c1ccccc1F)c1cn[nH]c1 ZINC000414174505 398219516 /nfs/dbraw/zinc/21/95/16/398219516.db2.gz YBHADDZKUXKVCG-LJWDBELGSA-N 0 3 245.301 2.756 20 0 BFADHN Cc1cc(NC[C@H](O)C2CC2)c2ccccc2n1 ZINC000109384913 178168908 /nfs/dbraw/zinc/16/89/08/178168908.db2.gz YKDZCNQMJMJVSM-HNNXBMFYSA-N 0 3 242.322 2.726 20 0 BFADHN CCC(CC)CN(CC)C(=O)C1(N)CCCC1 ZINC000037616184 168348587 /nfs/dbraw/zinc/34/85/87/168348587.db2.gz FXILYSSQWYGNDJ-UHFFFAOYSA-N 0 3 240.391 2.543 20 0 BFADHN CC[C@@H](C)NC(=O)CN(C)C1CCCCCC1 ZINC000053906676 488173280 /nfs/dbraw/zinc/17/32/80/488173280.db2.gz PRNBMTKZWHKKQP-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CCC(CC)CN(CC)CC(=O)N(C)C(C)C ZINC000051824422 168349304 /nfs/dbraw/zinc/34/93/04/168349304.db2.gz INGLWFQURSUTAX-UHFFFAOYSA-N 0 3 242.407 2.611 20 0 BFADHN CO[C@@H](CNC1(c2ccc(F)cc2)CC1)C1CC1 ZINC000425410204 398223655 /nfs/dbraw/zinc/22/36/55/398223655.db2.gz AQIIPNSMFCGYRD-AWEZNQCLSA-N 0 3 249.329 2.829 20 0 BFADHN CC[C@@H](NCC1(CO)CC1)c1ccccc1F ZINC000164068742 538931230 /nfs/dbraw/zinc/93/12/30/538931230.db2.gz JTNUUAIXDYNTOD-CYBMUJFWSA-N 0 3 237.318 2.639 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2cc(C)ccn2)CCO1 ZINC000421389814 192326655 /nfs/dbraw/zinc/32/66/55/192326655.db2.gz RLFQONIZZFDGGU-MGPQQGTHSA-N 0 3 248.370 2.998 20 0 BFADHN CO[C@@H](CN1CCC=C(c2ccco2)C1)C1CC1 ZINC000425460031 398226870 /nfs/dbraw/zinc/22/68/70/398226870.db2.gz QUEMTCOGTOXASS-HNNXBMFYSA-N 0 3 247.338 2.794 20 0 BFADHN c1ccc(OCCCN2CC=CCC2)cc1 ZINC000164301679 538932125 /nfs/dbraw/zinc/93/21/25/538932125.db2.gz CWPDEQCZSHIVNY-UHFFFAOYSA-N 0 3 217.312 2.717 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C3CCCC3)C2)n1C ZINC000421394859 192329062 /nfs/dbraw/zinc/32/90/62/192329062.db2.gz UGSOZLMIYCPYOL-CQSZACIVSA-N 0 3 247.386 2.741 20 0 BFADHN CC[C@@H](C)CNC(C)(C)c1cn(C(C)C)nn1 ZINC000421398188 192329168 /nfs/dbraw/zinc/32/91/68/192329168.db2.gz GFFBGIXWBHVNCO-LLVKDONJSA-N 0 3 238.379 2.730 20 0 BFADHN CCc1ccc(CN[C@H]2CCO[C@@H](CC)C2)o1 ZINC000421395121 192329783 /nfs/dbraw/zinc/32/97/83/192329783.db2.gz YEEOYUJKROGCMI-AAEUAGOBSA-N 0 3 237.343 2.889 20 0 BFADHN c1ccc([C@@H]2C[C@@H]2CN2CC[C@]23CCOC3)cc1 ZINC000421402278 192331125 /nfs/dbraw/zinc/33/11/25/192331125.db2.gz MIKZICAFNCONDP-OWCLPIDISA-N 0 3 243.350 2.655 20 0 BFADHN Cc1cc([C@@H](C)NCCN(C)C(C)C)oc1C ZINC000337414749 178223704 /nfs/dbraw/zinc/22/37/04/178223704.db2.gz YHNGYRHSVINORN-GFCCVEGCSA-N 0 3 238.375 2.887 20 0 BFADHN Cc1cc([C@@H](C)NCCc2cnccn2)oc1C ZINC000337499574 178226472 /nfs/dbraw/zinc/22/64/72/178226472.db2.gz ZXRHFNLOLQOIBG-LLVKDONJSA-N 0 3 245.326 2.580 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](CO)C2CC2)oc1C ZINC000353494466 178226991 /nfs/dbraw/zinc/22/69/91/178226991.db2.gz YLJYTGOXODSWIP-MFKMUULPSA-N 0 3 237.343 2.566 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](C)CCO)oc1C ZINC000282353441 178227162 /nfs/dbraw/zinc/22/71/62/178227162.db2.gz VVWMKNRRJKKJAG-GXSJLCMTSA-N 0 3 225.332 2.566 20 0 BFADHN Cc1cc([C@@H](C)NCCn2cccn2)ccc1F ZINC000271479689 178227192 /nfs/dbraw/zinc/22/71/92/178227192.db2.gz NEHHZNQAWQHQMM-GFCCVEGCSA-N 0 3 247.317 2.681 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2CCCO2)ccc1F ZINC000268723016 178229045 /nfs/dbraw/zinc/22/90/45/178229045.db2.gz PMPDMNDPVHHSQZ-DGCLKSJQSA-N 0 3 237.318 2.964 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2C[C@@H](O)CC[C@@H]2C)o1 ZINC000421368095 192334082 /nfs/dbraw/zinc/33/40/82/192334082.db2.gz FTXNCZQBXPPDKT-UHXUPSOCSA-N 0 3 249.354 2.748 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)CC(C)C)nn1C ZINC000309333617 178230182 /nfs/dbraw/zinc/23/01/82/178230182.db2.gz IKZHQDWZVCOXHU-CMPLNLGQSA-N 0 3 223.364 2.814 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCCC[C@H]2O)oc1C ZINC000282817397 178230473 /nfs/dbraw/zinc/23/04/73/178230473.db2.gz RNWUKXCVDKGCAW-RAIGVLPGSA-N 0 3 237.343 2.851 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCCOC2)cs1 ZINC000294354015 178230498 /nfs/dbraw/zinc/23/04/98/178230498.db2.gz ZLEBUQSUQOUNJW-ZYHUDNBSSA-N 0 3 225.357 2.886 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](CO)C(C)C)oc1C ZINC000282199141 178232249 /nfs/dbraw/zinc/23/22/49/178232249.db2.gz NWEDXXKTCJLOBO-ZYHUDNBSSA-N 0 3 225.332 2.564 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)CCCO)oc1C ZINC000281997768 178232458 /nfs/dbraw/zinc/23/24/58/178232458.db2.gz CUUVRNIERBHKMC-GHMZBOCLSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCOC2)c(C)s1 ZINC000070966969 178233236 /nfs/dbraw/zinc/23/32/36/178233236.db2.gz CSYZZNKAOTYFSV-KOLCDFICSA-N 0 3 225.357 2.804 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCCOC2)c(C)o1 ZINC000071011896 178253156 /nfs/dbraw/zinc/25/31/56/178253156.db2.gz GQKZWSJFPGNKLR-CMPLNLGQSA-N 0 3 223.316 2.726 20 0 BFADHN Cc1cccc(CCN[C@H](C)c2ccco2)n1 ZINC000280196300 538937322 /nfs/dbraw/zinc/93/73/22/538937322.db2.gz SPGNVYZNBGMNBC-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN CN(C)CCOc1cccc(-c2nccs2)c1 ZINC000649430840 398233592 /nfs/dbraw/zinc/23/35/92/398233592.db2.gz UFDSKZOQTQWZNX-UHFFFAOYSA-N 0 3 248.351 2.751 20 0 BFADHN Cc1cc([C@H](C)NCC2(C)COC2)c(C)o1 ZINC000070617386 178246612 /nfs/dbraw/zinc/24/66/12/178246612.db2.gz UBLMEFOYKIODSI-JTQLQIEISA-N 0 3 223.316 2.584 20 0 BFADHN Cc1cc([C@H](C)NCCCC(F)(F)F)nn1C ZINC000282185949 178247287 /nfs/dbraw/zinc/24/72/87/178247287.db2.gz NCCRZVCMOFJUJC-VIFPVBQESA-N 0 3 249.280 2.722 20 0 BFADHN Cc1cc([C@H](C)NCC2(C3CC3)CCC2)nn1C ZINC000337446282 178247408 /nfs/dbraw/zinc/24/74/08/178247408.db2.gz GTRCMTAUSQXJES-LBPRGKRZSA-N 0 3 247.386 2.959 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCCO2)c(C)o1 ZINC000020438420 178248208 /nfs/dbraw/zinc/24/82/08/178248208.db2.gz FQDQEHPPFGWVAO-JQWIXIFHSA-N 0 3 223.316 2.726 20 0 BFADHN Cc1cc([C@H](C)NCCc2ccsc2)nn1C ZINC000282346129 178248407 /nfs/dbraw/zinc/24/84/07/178248407.db2.gz VNPHSEBXILQRMH-NSHDSACASA-N 0 3 249.383 2.683 20 0 BFADHN Cc1cc([C@H](C)NCCOCC2CC2)c(C)o1 ZINC000183787677 178248543 /nfs/dbraw/zinc/24/85/43/178248543.db2.gz XTQXLJPYVANJJV-NSHDSACASA-N 0 3 237.343 2.974 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](C)CCO)c(C)o1 ZINC000085128550 178248583 /nfs/dbraw/zinc/24/85/83/178248583.db2.gz IKNJSZYQDHYFAS-ONGXEEELSA-N 0 3 225.332 2.566 20 0 BFADHN Cc1cc([C@H](C)NCCc2cnn(C)c2)oc1C ZINC000282225488 178248825 /nfs/dbraw/zinc/24/88/25/178248825.db2.gz PDFXPSBACGUBON-NSHDSACASA-N 0 3 247.342 2.523 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CC2(C)C)no1 ZINC000336763472 178248912 /nfs/dbraw/zinc/24/89/12/178248912.db2.gz PEVUWJKUAYOSEJ-UWVGGRQHSA-N 0 3 208.305 2.680 20 0 BFADHN COc1cc(C)nc(CNC[C@H](C)C2CC2)c1 ZINC000165380366 538935623 /nfs/dbraw/zinc/93/56/23/538935623.db2.gz ZETNTUBONFZQPV-JTQLQIEISA-N 0 3 234.343 2.534 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CC[C@@H](O)C2)oc1C ZINC000282819488 178250304 /nfs/dbraw/zinc/25/03/04/178250304.db2.gz RSZMVQMXDMRBDW-WCFLWFBJSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)NC[C@]2(C)CCOC2)oc1C ZINC000337506532 178250447 /nfs/dbraw/zinc/25/04/47/178250447.db2.gz FTUXUFFPZHOTRM-FZMZJTMJSA-N 0 3 237.343 2.974 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CC[C@@H](C)C2)nn1C ZINC000337481074 178251181 /nfs/dbraw/zinc/25/11/81/178251181.db2.gz QQHCKDINOPUTBR-KGYLQXTDSA-N 0 3 235.375 2.815 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCCC[C@@H]2O)c(C)o1 ZINC000044730418 178254538 /nfs/dbraw/zinc/25/45/38/178254538.db2.gz VLLQRUWKUUHPEI-GDLCADMTSA-N 0 3 237.343 2.851 20 0 BFADHN Cc1cc([C@H](C)N[C@H](CO)CC(C)C)oc1C ZINC000282130806 178258206 /nfs/dbraw/zinc/25/82/06/178258206.db2.gz KCBPUJVOSJKNAP-AAEUAGOBSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCCOC2)cs1 ZINC000294354004 178258344 /nfs/dbraw/zinc/25/83/44/178258344.db2.gz ZLEBUQSUQOUNJW-JQWIXIFHSA-N 0 3 225.357 2.886 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CC=CCC2)no1 ZINC000290682575 178258504 /nfs/dbraw/zinc/25/85/04/178258504.db2.gz MBILYQJLTXDLER-QWRGUYRKSA-N 0 3 206.289 2.742 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@H](O)C2(C)C)oc1C ZINC000282471138 178259668 /nfs/dbraw/zinc/25/96/68/178259668.db2.gz GMBOYUGRKCFTKD-XDTLVQLUSA-N 0 3 237.343 2.706 20 0 BFADHN Cc1cc([C@H](N[C@H]2CCOC2)C2CC2)ccc1F ZINC000271565972 178262132 /nfs/dbraw/zinc/26/21/32/178262132.db2.gz UZCOGFRFFKWPFO-DZGCQCFKSA-N 0 3 249.329 2.964 20 0 BFADHN Cc1cc2[nH]c([C@H](C)N)nc2cc1Cl ZINC000057340819 178276410 /nfs/dbraw/zinc/27/64/10/178276410.db2.gz PYWXMTQXQMSQJG-LURJTMIESA-N 0 3 209.680 2.544 20 0 BFADHN Cc1cc2nc([C@H](C)N)[nH]c2cc1Cl ZINC000057340819 178276412 /nfs/dbraw/zinc/27/64/12/178276412.db2.gz PYWXMTQXQMSQJG-LURJTMIESA-N 0 3 209.680 2.544 20 0 BFADHN C[C@H](NCCC1CCOCC1)c1cscn1 ZINC000022863150 538940460 /nfs/dbraw/zinc/94/04/60/538940460.db2.gz KOHSYHRAHWVADD-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN CCC(CC)CN[C@H](C)c1nccnc1C ZINC000187980689 168364480 /nfs/dbraw/zinc/36/44/80/168364480.db2.gz DHBYQIAFJRLESX-LLVKDONJSA-N 0 3 221.348 2.872 20 0 BFADHN COc1ncc(CN2CC[C@H]3CCC[C@@H]32)s1 ZINC000639095895 398242822 /nfs/dbraw/zinc/24/28/22/398242822.db2.gz VHMQRXXIUNQFMK-KOLCDFICSA-N 0 3 238.356 2.526 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](C)c1cscn1 ZINC000576480897 365607697 /nfs/dbraw/zinc/60/76/97/365607697.db2.gz PSQPPAMAVGOAQF-BBBLOLIVSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@H]1C[C@@H](c2ccccc2)N(Cc2c[nH]cn2)C1 ZINC000285888174 538942457 /nfs/dbraw/zinc/94/24/57/538942457.db2.gz ZATRKNTUHYRPCX-WFASDCNBSA-N 0 3 241.338 2.993 20 0 BFADHN C[C@H]1C[C@@H](c2ccccc2)N(Cc2cnc[nH]2)C1 ZINC000285888174 538942460 /nfs/dbraw/zinc/94/24/60/538942460.db2.gz ZATRKNTUHYRPCX-WFASDCNBSA-N 0 3 241.338 2.993 20 0 BFADHN CCCC1(CN[C@H](C)c2ncc[nH]2)CC1 ZINC000286114745 538943332 /nfs/dbraw/zinc/94/33/32/538943332.db2.gz NGYHGVQHRFRZAQ-SNVBAGLBSA-N 0 3 207.321 2.641 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@H](C)c1ncc[nH]1 ZINC000286066563 538943111 /nfs/dbraw/zinc/94/31/11/538943111.db2.gz KXHCCVJNIJYDAT-GARJFASQSA-N 0 3 209.337 2.885 20 0 BFADHN Cc1ncncc1[C@H](C)NC[C@@H](C)C(F)(F)F ZINC000576597452 365636327 /nfs/dbraw/zinc/63/63/27/365636327.db2.gz SXDYLJDROPWVAT-SFYZADRCSA-N 0 3 247.264 2.634 20 0 BFADHN Cc1cc(CN)cc(NC(=O)CC(C)(C)C)c1 ZINC000322971998 259308383 /nfs/dbraw/zinc/30/83/83/259308383.db2.gz YREHQGCMHGBSFM-UHFFFAOYSA-N 0 3 234.343 2.828 20 0 BFADHN CC[C@H](C)C[C@@H](C)N[C@@H](C)c1ncc[nH]1 ZINC000286066542 538943207 /nfs/dbraw/zinc/94/32/07/538943207.db2.gz KXHCCVJNIJYDAT-AXFHLTTASA-N 0 3 209.337 2.885 20 0 BFADHN Cc1cc2ncc([C@@H](C)NC3CCC3)c(C)n2n1 ZINC000052207592 178303822 /nfs/dbraw/zinc/30/38/22/178303822.db2.gz BITXJSWQHRBLDY-SNVBAGLBSA-N 0 3 244.342 2.549 20 0 BFADHN Cc1cc2ncc([C@H](C)NC3CCC3)c(C)n2n1 ZINC000052207595 178304097 /nfs/dbraw/zinc/30/40/97/178304097.db2.gz BITXJSWQHRBLDY-JTQLQIEISA-N 0 3 244.342 2.549 20 0 BFADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2N[C@@H]1CCOC1 ZINC000104052011 178292686 /nfs/dbraw/zinc/29/26/86/178292686.db2.gz ARLZCDARIOYUDV-YPMHNXCESA-N 0 3 249.354 2.980 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC(F)(F)C1)c1ncc[nH]1 ZINC000287282013 538944375 /nfs/dbraw/zinc/94/43/75/538944375.db2.gz CWZUQYXPZNZOAC-NXEZZACHSA-N 0 3 243.301 2.886 20 0 BFADHN C[C@H](NCCCc1ccccc1F)c1ncc[nH]1 ZINC000287323301 538944480 /nfs/dbraw/zinc/94/44/80/538944480.db2.gz FNGCRSUAWYMDJH-NSHDSACASA-N 0 3 247.317 2.832 20 0 BFADHN CC(C)C(C)(C)CN[C@H](C)c1ncc[nH]1 ZINC000286952859 538944713 /nfs/dbraw/zinc/94/47/13/538944713.db2.gz BQZPZLJRPAJCKV-SNVBAGLBSA-N 0 3 209.337 2.743 20 0 BFADHN CC[C@H](NCc1cncn1C)c1cccc(C)c1 ZINC000289614662 538945583 /nfs/dbraw/zinc/94/55/83/538945583.db2.gz DFXJHKMNYOGXCC-HNNXBMFYSA-N 0 3 243.354 2.969 20 0 BFADHN COc1cccc(CNCCC(C)(F)F)c1F ZINC000383086254 398257417 /nfs/dbraw/zinc/25/74/17/398257417.db2.gz KDWMDJJDVISINR-UHFFFAOYSA-N 0 3 247.260 2.969 20 0 BFADHN Cc1ccc(-c2noc([C@H]3CCCN3)n2)cc1F ZINC000020549523 178343641 /nfs/dbraw/zinc/34/36/41/178343641.db2.gz LQQINWMZQRCQKF-LLVKDONJSA-N 0 3 247.273 2.609 20 0 BFADHN Cc1ccc(-c2noc([C@H]3CCN(C)C3)n2)s1 ZINC000335157593 178345303 /nfs/dbraw/zinc/34/53/03/178345303.db2.gz UXTNJTMCWDSOEH-VIFPVBQESA-N 0 3 249.339 2.526 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN(C)C2CC2)cc1 ZINC000179303928 178331386 /nfs/dbraw/zinc/33/13/86/178331386.db2.gz JLYNCWNFQXZWHD-UHFFFAOYSA-N 0 3 241.338 2.979 20 0 BFADHN C[C@H](O)CN[C@]1(c2ccc(Cl)cc2)C[C@@H]1C ZINC000336781831 398255799 /nfs/dbraw/zinc/25/57/99/398255799.db2.gz VVLRVLVMUBNYSQ-OUJBWJOFSA-N 0 3 239.746 2.546 20 0 BFADHN COc1cncc(CN2CCC(C(C)C)CC2)c1 ZINC000343805411 136231301 /nfs/dbraw/zinc/23/13/01/136231301.db2.gz CCOUSTOTHGAAHO-UHFFFAOYSA-N 0 3 248.370 2.958 20 0 BFADHN CCC(CC)N(CC)Cc1cc(C)on1 ZINC000289440801 168372228 /nfs/dbraw/zinc/37/22/28/168372228.db2.gz OJRUOATZARELEV-UHFFFAOYSA-N 0 3 210.321 2.994 20 0 BFADHN COc1cccc([C@@H](C)N2CCC(C)(O)CC2)c1 ZINC000177331194 538951662 /nfs/dbraw/zinc/95/16/62/538951662.db2.gz GPQRDHSKMBTQTQ-GFCCVEGCSA-N 0 3 249.354 2.603 20 0 BFADHN C[C@@H](CN[C@@H]1CCn2ccnc21)c1ccccc1 ZINC000345341230 136232840 /nfs/dbraw/zinc/23/28/40/136232840.db2.gz DFWYYXAINOZWCS-GXTWGEPZSA-N 0 3 241.338 2.721 20 0 BFADHN COc1ncncc1CN[C@@H](C)C1CCCCC1 ZINC000647186898 398269309 /nfs/dbraw/zinc/26/93/09/398269309.db2.gz YQVWRNKXHIQJNH-NSHDSACASA-N 0 3 249.358 2.544 20 0 BFADHN CCC(CC)(CC)NCc1cncnc1OC ZINC000647186430 398269779 /nfs/dbraw/zinc/26/97/79/398269779.db2.gz MEWXYYAVXCSKPF-UHFFFAOYSA-N 0 3 237.347 2.544 20 0 BFADHN CN(CCC(C)(C)O)Cc1cccc(Cl)c1 ZINC000081644886 398270255 /nfs/dbraw/zinc/27/02/55/398270255.db2.gz UHNWRIQFWUSZSS-UHFFFAOYSA-N 0 3 241.762 2.933 20 0 BFADHN Cc1nc(C)c(CNC[C@H]2CCCC2(F)F)o1 ZINC000381741109 398270829 /nfs/dbraw/zinc/27/08/29/398270829.db2.gz UPFOVKIUKJJQPM-SNVBAGLBSA-N 0 3 244.285 2.816 20 0 BFADHN COc1cnccc1[C@H](C)N[C@@H]1CC[C@H](C)C1 ZINC000188777695 346840502 /nfs/dbraw/zinc/84/05/02/346840502.db2.gz XODACNBAKSQZRS-SDDRHHMPSA-N 0 3 234.343 2.929 20 0 BFADHN Cc1nocc1CNCC1CC(C)(C)C1 ZINC000378853599 346844588 /nfs/dbraw/zinc/84/45/88/346844588.db2.gz HZCHQHOPXDLGNJ-UHFFFAOYSA-N 0 3 208.305 2.509 20 0 BFADHN C[C@H](F)CCNCc1cccc(F)c1F ZINC000378852518 346846477 /nfs/dbraw/zinc/84/64/77/346846477.db2.gz GXMJHESGLAAVLK-QMMMGPOBSA-N 0 3 217.234 2.803 20 0 BFADHN CC[C@@H](N[C@@H](C)c1c[nH]nc1C)c1ccncc1 ZINC000180623168 538958370 /nfs/dbraw/zinc/95/83/70/538958370.db2.gz QIDFKQRQALOGHZ-IINYFYTJSA-N 0 3 244.342 2.915 20 0 BFADHN COc1cccc(C)c1CNCCCSC ZINC000602551935 349593686 /nfs/dbraw/zinc/59/36/86/349593686.db2.gz LTKHXIOTKQVDBY-UHFFFAOYSA-N 0 3 239.384 2.846 20 0 BFADHN Cc1cccc(CN2CCCC3(CC3)C2)n1 ZINC000335338550 136234392 /nfs/dbraw/zinc/23/43/92/136234392.db2.gz JJUGGJWMVAILMT-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN O=C(CCN1C2CCC1CC2)c1ccccc1 ZINC000399462878 342679528 /nfs/dbraw/zinc/67/95/28/342679528.db2.gz MGJKPMUUSWBGFR-UHFFFAOYSA-N 0 3 229.323 2.886 20 0 BFADHN C[C@@H](NC[C@H]1CCCS1)c1cccnc1 ZINC000087472995 259316640 /nfs/dbraw/zinc/31/66/40/259316640.db2.gz YRYPKLQNCAOTFR-ZYHUDNBSSA-N 0 3 222.357 2.628 20 0 BFADHN CCSCC[C@H](C)NCc1oc(C)nc1C ZINC000600131068 342684646 /nfs/dbraw/zinc/68/46/46/342684646.db2.gz VDPLUQUUTNXXPX-VIFPVBQESA-N 0 3 242.388 2.913 20 0 BFADHN CCc1nn(C)cc1CN(C)[C@H](C)C1(C)CC1 ZINC000180702481 538958949 /nfs/dbraw/zinc/95/89/49/538958949.db2.gz BSZKQOBBTVCSBA-LLVKDONJSA-N 0 3 235.375 2.603 20 0 BFADHN C[C@H](NCc1ccc(O)cc1)c1cccc(O)c1 ZINC000037150879 538961678 /nfs/dbraw/zinc/96/16/78/538961678.db2.gz NZCMQNTWJULUQZ-NSHDSACASA-N 0 3 243.306 2.949 20 0 BFADHN CC(C)C1(CNCc2ccncc2F)CC1 ZINC000449174002 398281319 /nfs/dbraw/zinc/28/13/19/398281319.db2.gz OWUNHMYYFSVTOY-UHFFFAOYSA-N 0 3 222.307 2.747 20 0 BFADHN CCCCOCCNCc1ccc(C)s1 ZINC000040506614 344001885 /nfs/dbraw/zinc/00/18/85/344001885.db2.gz JVBLAEXMLZKCJS-UHFFFAOYSA-N 0 3 227.373 2.963 20 0 BFADHN CCC(CC)NC(=O)[C@@H](N)CC1CCCCC1 ZINC000310151733 168382692 /nfs/dbraw/zinc/38/26/92/168382692.db2.gz IOVOWRYYRQUIEM-ZDUSSCGKSA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@@H]1CN(C/C=C\c2ccncc2)CCS1 ZINC000495000727 529385198 /nfs/dbraw/zinc/38/51/98/529385198.db2.gz YEEJXORKGWYDMX-VQTKUKTRSA-N 0 3 248.395 2.922 20 0 BFADHN CCC(CC)NC(=O)[C@H](C)[C@@H](N)c1ccccc1 ZINC000131609885 168383921 /nfs/dbraw/zinc/38/39/21/168383921.db2.gz MXHRPCIAVGVOBJ-BXUZGUMPSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@@H](NC[C@H]1CCCOC1)c1ccccc1F ZINC000038044039 538964851 /nfs/dbraw/zinc/96/48/51/538964851.db2.gz BMHFWSWLPQGIEF-VXGBXAGGSA-N 0 3 237.318 2.903 20 0 BFADHN CCOC(C)(C)CNCc1ccsc1 ZINC000166956888 361858711 /nfs/dbraw/zinc/85/87/11/361858711.db2.gz MFLQZNSWGTUGKC-UHFFFAOYSA-N 0 3 213.346 2.653 20 0 BFADHN CCCN(CCC)Cc1cc2n(n1)CCCC2 ZINC000649486903 398284321 /nfs/dbraw/zinc/28/43/21/398284321.db2.gz GONHRXICNIWAFX-UHFFFAOYSA-N 0 3 235.375 2.841 20 0 BFADHN CCC(CC)NCc1cc(F)ncc1F ZINC000294679853 168389627 /nfs/dbraw/zinc/38/96/27/168389627.db2.gz FARIVODJRXDTKI-UHFFFAOYSA-N 0 3 214.259 2.638 20 0 BFADHN CC(C)OCCCCNCc1ccncc1F ZINC000449233312 398284965 /nfs/dbraw/zinc/28/49/65/398284965.db2.gz LAQNUNXQNSAASV-UHFFFAOYSA-N 0 3 240.322 2.516 20 0 BFADHN CCC(CC)N[C@@H](C)c1nccn1CC ZINC000295180364 168390860 /nfs/dbraw/zinc/39/08/60/168390860.db2.gz HKSGZUWVIVMIKZ-JTQLQIEISA-N 0 3 209.337 2.742 20 0 BFADHN CCCc1ncc(CN[C@H]2CS[C@@H](C)C2)o1 ZINC000639254234 398285701 /nfs/dbraw/zinc/28/57/01/398285701.db2.gz WFFBUXGIZROELD-VHSXEESVSA-N 0 3 240.372 2.611 20 0 BFADHN CC[C@@H](C)N1CCOC2(CCCCC2)C1 ZINC000187701718 342795237 /nfs/dbraw/zinc/79/52/37/342795237.db2.gz GYCSAUDJSCNATR-GFCCVEGCSA-N 0 3 211.349 2.820 20 0 BFADHN Fc1cnccc1CNC[C@H]1CCCCS1 ZINC000449235132 398285958 /nfs/dbraw/zinc/28/59/58/398285958.db2.gz SZLCTZLNBBCNHM-LLVKDONJSA-N 0 3 240.347 2.596 20 0 BFADHN CCC(CC)N[C@@H]1CCCc2c[nH]nc21 ZINC000271539049 168391604 /nfs/dbraw/zinc/39/16/04/168391604.db2.gz SHOCKUMIXLEQFF-LLVKDONJSA-N 0 3 207.321 2.565 20 0 BFADHN C[C@@H](NCc1cscc1Cl)C(C)(C)O ZINC000379568419 538978045 /nfs/dbraw/zinc/97/80/45/538978045.db2.gz FKCQCGWJSNEOFB-SSDOTTSWSA-N 0 3 233.764 2.651 20 0 BFADHN C[C@@H](O)C[C@@H](C)NCc1cscc1Cl ZINC000379586146 538978557 /nfs/dbraw/zinc/97/85/57/538978557.db2.gz QNSWDCLISLIGDY-HTQZYQBOSA-N 0 3 233.764 2.651 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cscc1Cl ZINC000379594037 538978736 /nfs/dbraw/zinc/97/87/36/538978736.db2.gz CHEWCTNLZIZGHK-HTQZYQBOSA-N 0 3 233.764 2.915 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cscc1Cl ZINC000379594038 538978759 /nfs/dbraw/zinc/97/87/59/538978759.db2.gz CHEWCTNLZIZGHK-SFYZADRCSA-N 0 3 233.764 2.915 20 0 BFADHN CSCCNCc1csc(C)c1 ZINC000379318810 342812046 /nfs/dbraw/zinc/81/20/46/342812046.db2.gz NBVNLZCEKGJNHE-UHFFFAOYSA-N 0 3 201.360 2.509 20 0 BFADHN CC[C@@]1(NCc2cc(C)ccc2OC)CCOC1 ZINC000378816069 538974791 /nfs/dbraw/zinc/97/47/91/538974791.db2.gz KBLKFJJXQXITCG-OAHLLOKOSA-N 0 3 249.354 2.662 20 0 BFADHN C[C@H](NCc1ccc(F)cc1)[C@@H]1CCCCO1 ZINC000379264336 538976376 /nfs/dbraw/zinc/97/63/76/538976376.db2.gz POZDUFNCLCHGIA-FZMZJTMJSA-N 0 3 237.318 2.873 20 0 BFADHN c1csc(CNCC23CCC(CC2)C3)n1 ZINC000380146353 538985752 /nfs/dbraw/zinc/98/57/52/538985752.db2.gz WVSDZCZMLNUTDQ-UHFFFAOYSA-N 0 3 222.357 2.813 20 0 BFADHN CCCc1csc(CNC(C)(C)C)n1 ZINC000053295908 346864531 /nfs/dbraw/zinc/86/45/31/346864531.db2.gz BEDVTXKQQRVTCY-UHFFFAOYSA-N 0 3 212.362 2.984 20 0 BFADHN CC1(CNCc2nc3c(s2)CCC3)CC1 ZINC000380296615 538988347 /nfs/dbraw/zinc/98/83/47/538988347.db2.gz CWELFCJHVMZWLI-UHFFFAOYSA-N 0 3 222.357 2.522 20 0 BFADHN C[C@@H](NCc1ccsc1)[C@H]1CCCCO1 ZINC000380354004 538989738 /nfs/dbraw/zinc/98/97/38/538989738.db2.gz WFOLNHVCFMVGIQ-ZYHUDNBSSA-N 0 3 225.357 2.795 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(C)CC2CC(O)C2)o1 ZINC000191157886 538991669 /nfs/dbraw/zinc/99/16/69/538991669.db2.gz CKNPCUIEFNYVLL-NPZBDFSRSA-N 0 3 249.354 2.606 20 0 BFADHN COc1cccc(CN(C)CCC(C)(C)C)n1 ZINC000188972425 538980294 /nfs/dbraw/zinc/98/02/94/538980294.db2.gz NEXUOEYVHVARRJ-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN Cc1ccc(C)c(CN2CC3(CC3)C2)c1 ZINC000449369458 398291487 /nfs/dbraw/zinc/29/14/87/398291487.db2.gz CLKXRDKNIXTXOU-UHFFFAOYSA-N 0 3 201.313 2.899 20 0 BFADHN CCCn1cc(CN[C@H]2CCC(F)(F)C2)cn1 ZINC000379832772 538981144 /nfs/dbraw/zinc/98/11/44/538981144.db2.gz CBDFFSHSJDFQCJ-NSHDSACASA-N 0 3 243.301 2.571 20 0 BFADHN c1ccc([C@H](NC2CSC2)C2CC2)cc1 ZINC000379877891 538981251 /nfs/dbraw/zinc/98/12/51/538981251.db2.gz BATRBAJVKWVGPC-ZDUSSCGKSA-N 0 3 219.353 2.843 20 0 BFADHN CCC[C@H](C)[C@@H](CC)NCc1cocn1 ZINC000379923080 538981850 /nfs/dbraw/zinc/98/18/50/538981850.db2.gz JDHHSRDYGASKFD-CMPLNLGQSA-N 0 3 210.321 2.979 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000379929947 538981944 /nfs/dbraw/zinc/98/19/44/538981944.db2.gz NXHIDKWAGUUDLN-BDAKNGLRSA-N 0 3 228.361 2.527 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H]2NC1CSC1 ZINC000379956880 538982701 /nfs/dbraw/zinc/98/27/01/538982701.db2.gz VQMUIXNXRRZTGT-LBPRGKRZSA-N 0 3 223.316 2.518 20 0 BFADHN CC(C)OCCN1Cc2ccccc2C[C@H]1C ZINC000172907182 346865157 /nfs/dbraw/zinc/86/51/57/346865157.db2.gz MTKOEPOIJMDWGH-CYBMUJFWSA-N 0 3 233.355 2.858 20 0 BFADHN CC[C@H]1CC[C@H]1N[C@@H](C)c1ccccn1 ZINC000379995646 538983465 /nfs/dbraw/zinc/98/34/65/538983465.db2.gz JCPGHCWGUIQPJY-GMXVVIOVSA-N 0 3 204.317 2.921 20 0 BFADHN Cc1cccc(CCN2CC3(CC3)C2)c1 ZINC000449371347 398292137 /nfs/dbraw/zinc/29/21/37/398292137.db2.gz TZAMEDOACQZXHA-UHFFFAOYSA-N 0 3 201.313 2.633 20 0 BFADHN CC(C)[C@](C)(O)CNCc1cscc1Cl ZINC000380116282 538985353 /nfs/dbraw/zinc/98/53/53/538985353.db2.gz PMZZSMRDMXYCKV-LLVKDONJSA-N 0 3 247.791 2.898 20 0 BFADHN CCC[C@H](N[C@H](C)COC)c1ccccn1 ZINC000381582911 539004073 /nfs/dbraw/zinc/00/40/73/539004073.db2.gz GCXXWJMGOKPUBV-YPMHNXCESA-N 0 3 222.332 2.547 20 0 BFADHN CC[C@]1(C)CCCN(Cc2ccnc(N)c2)C1 ZINC000193363870 539005396 /nfs/dbraw/zinc/00/53/96/539005396.db2.gz VRDGEJMPTOBHSW-CQSZACIVSA-N 0 3 233.359 2.676 20 0 BFADHN Cc1ncc([C@H](C)NCCOC(C)(C)C)s1 ZINC000381770264 539006947 /nfs/dbraw/zinc/00/69/47/539006947.db2.gz WCVYTDIAQDSIKW-VIFPVBQESA-N 0 3 242.388 2.917 20 0 BFADHN CCC(CC)(CC)CNCc1ccon1 ZINC000382384478 539008807 /nfs/dbraw/zinc/00/88/07/539008807.db2.gz LELWHFKETDAHML-UHFFFAOYSA-N 0 3 210.321 2.981 20 0 BFADHN C[C@H](NCc1cccc(F)c1)[C@@H]1CCCCO1 ZINC000383053974 539011287 /nfs/dbraw/zinc/01/12/87/539011287.db2.gz BGEZGWCOAIXNFW-FZMZJTMJSA-N 0 3 237.318 2.873 20 0 BFADHN CC(C)=CCNCc1cccc(NC(C)=O)c1 ZINC000192285991 538994444 /nfs/dbraw/zinc/99/44/44/538994444.db2.gz LWLXDTMIMRHERN-UHFFFAOYSA-N 0 3 232.327 2.701 20 0 BFADHN Cc1nc(C)c(CNCCCC(C)C)o1 ZINC000382511829 361950653 /nfs/dbraw/zinc/95/06/53/361950653.db2.gz RUDWCFFNFSOBSW-UHFFFAOYSA-N 0 3 210.321 2.817 20 0 BFADHN CC(C)n1ccc(CN[C@H](C)C2(C)CC2)n1 ZINC000381312298 538998188 /nfs/dbraw/zinc/99/81/88/538998188.db2.gz LXJHHHQJUALBMJ-LLVKDONJSA-N 0 3 221.348 2.742 20 0 BFADHN COc1ccc(CN[C@H](C)[C@@H]2CCCCO2)cc1 ZINC000386582319 539013751 /nfs/dbraw/zinc/01/37/51/539013751.db2.gz AGJYVWSPHNLOFV-DOMZBBRYSA-N 0 3 249.354 2.742 20 0 BFADHN COc1ccsc1[C@H](C)N[C@H](C)C(C)(C)O ZINC000381356688 538998969 /nfs/dbraw/zinc/99/89/69/538998969.db2.gz DJQUTUJTKXLXRQ-DTWKUNHWSA-N 0 3 243.372 2.567 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@H](C)C(C)(C)O ZINC000381356692 538999541 /nfs/dbraw/zinc/99/95/41/538999541.db2.gz DJQUTUJTKXLXRQ-RKDXNWHRSA-N 0 3 243.372 2.567 20 0 BFADHN CO[C@@H]1CCC[C@H](NCc2occc2C)C1 ZINC000381401042 538999946 /nfs/dbraw/zinc/99/99/46/538999946.db2.gz QCCKSEOIUGDPST-NWDGAFQWSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1cc(CNC[C@H](C)CC(C)C)ncn1 ZINC000390209524 539020438 /nfs/dbraw/zinc/02/04/38/539020438.db2.gz UPBMDNOFPWABFG-LLVKDONJSA-N 0 3 221.348 2.557 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCCC2(C)C)o1 ZINC000390480908 539022552 /nfs/dbraw/zinc/02/25/52/539022552.db2.gz MSPWMZHTWTYDEH-LBPRGKRZSA-N 0 3 222.332 2.960 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCCC2(C)C)o1 ZINC000390480899 539022605 /nfs/dbraw/zinc/02/26/05/539022605.db2.gz MSPWMZHTWTYDEH-GFCCVEGCSA-N 0 3 222.332 2.960 20 0 BFADHN CCN(C)Cc1cccc(Br)c1 ZINC000042350697 361983118 /nfs/dbraw/zinc/98/31/18/361983118.db2.gz UMJPEHHTUDEWEG-UHFFFAOYSA-N 0 3 228.133 2.901 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2cccs2)on1 ZINC000390645617 539026747 /nfs/dbraw/zinc/02/67/47/539026747.db2.gz MFKUYFNRFXFKNT-VIFPVBQESA-N 0 3 236.340 2.765 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc(C(=O)N(C)C)cc1 ZINC000624199803 342843748 /nfs/dbraw/zinc/84/37/48/342843748.db2.gz YQWKGHIHNCNANM-FOQNGQEVSA-N 0 3 246.354 2.615 20 0 BFADHN CN(C)c1cccnc1CNC1CCCCC1 ZINC000353240642 398298072 /nfs/dbraw/zinc/29/80/72/398298072.db2.gz JKJMEADBWLGGHW-UHFFFAOYSA-N 0 3 233.359 2.570 20 0 BFADHN Cc1c(Cl)cccc1NC(=O)[C@@H](N)C(C)C ZINC000011958984 342845016 /nfs/dbraw/zinc/84/50/16/342845016.db2.gz LYKMUXYXRRZPJR-NSHDSACASA-N 0 3 240.734 2.570 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000624199943 342846063 /nfs/dbraw/zinc/84/60/63/342846063.db2.gz BBKROMKMUUGEMI-GUIRCDHDSA-N 0 3 247.386 2.990 20 0 BFADHN CCC1(C)CCN(CCc2cncc(C)n2)CC1 ZINC000649503891 398301195 /nfs/dbraw/zinc/30/11/95/398301195.db2.gz BBRSRLIOUNFEOJ-UHFFFAOYSA-N 0 3 247.386 2.840 20 0 BFADHN CCO[C@H](CN(C)Cc1ccc(C)o1)C1CC1 ZINC000625060826 342847248 /nfs/dbraw/zinc/84/72/48/342847248.db2.gz PBXNWPRRMZFGJH-CQSZACIVSA-N 0 3 237.343 2.835 20 0 BFADHN C[C@H]1CCCN(Cc2cc(CO)ccc2F)C1 ZINC000625065977 342848140 /nfs/dbraw/zinc/84/81/40/342848140.db2.gz SJCWYPBQZCFPEO-NSHDSACASA-N 0 3 237.318 2.550 20 0 BFADHN CCC[C@H](C)CCCN1CCN(C2CC2)CC1 ZINC000625109388 342861050 /nfs/dbraw/zinc/86/10/50/342861050.db2.gz YKAODWSQPPZLIL-AWEZNQCLSA-N 0 3 238.419 2.983 20 0 BFADHN CCN1CCC[C@H](Nc2nc(Cl)cs2)C1 ZINC000164996586 342864444 /nfs/dbraw/zinc/86/44/44/342864444.db2.gz UANBUQHIFUCFHS-QMMMGPOBSA-N 0 3 245.779 2.693 20 0 BFADHN Cc1ccc(CN(C)CCN(C)C)cc1Cl ZINC000348899036 136244101 /nfs/dbraw/zinc/24/41/01/136244101.db2.gz ZQLDIKMYRGTEHZ-UHFFFAOYSA-N 0 3 240.778 2.642 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CC[C@@H]1C(C)C ZINC000449565069 398304363 /nfs/dbraw/zinc/30/43/63/398304363.db2.gz GFMQTGGEKPHWPB-YNEHKIRRSA-N 0 3 235.375 2.988 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2ccc(CO)o2)C1 ZINC000527363303 398304594 /nfs/dbraw/zinc/30/45/94/398304594.db2.gz SRXPCAANDFVGBK-GFCCVEGCSA-N 0 3 237.343 2.640 20 0 BFADHN Cc1nccnc1CN[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000621325635 342866605 /nfs/dbraw/zinc/86/66/05/342866605.db2.gz FCIUBQARVFOMBL-GMXVVIOVSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1nccnc1CN[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000621325636 342866778 /nfs/dbraw/zinc/86/67/78/342866778.db2.gz FCIUBQARVFOMBL-NQBHXWOUSA-N 0 3 233.359 2.699 20 0 BFADHN CN1CCCC[C@@H]1CNc1nc(Cl)cs1 ZINC000165014822 342868462 /nfs/dbraw/zinc/86/84/62/342868462.db2.gz BVLMPMWLUJYXST-MRVPVSSYSA-N 0 3 245.779 2.693 20 0 BFADHN CCc1nocc1CN[C@@H]1CCC(F)(F)C1 ZINC000382110428 398305785 /nfs/dbraw/zinc/30/57/85/398305785.db2.gz DPVFATUJBQFGJT-SECBINFHSA-N 0 3 230.258 2.514 20 0 BFADHN CSCC[C@H](C)N(C)Cc1cncs1 ZINC000093558619 398311524 /nfs/dbraw/zinc/31/15/24/398311524.db2.gz FDQOEZUPYGLQGG-VIFPVBQESA-N 0 3 230.402 2.717 20 0 BFADHN CCc1ccc(CN2CCC(COC)CC2)o1 ZINC000093103607 398308416 /nfs/dbraw/zinc/30/84/16/398308416.db2.gz CNPNVXSMGNYOAU-UHFFFAOYSA-N 0 3 237.343 2.700 20 0 BFADHN CCN(Cc1ccoc1)C[C@@H]1CCO[C@H]1C ZINC000639470795 398315781 /nfs/dbraw/zinc/31/57/81/398315781.db2.gz SVSUDUAWSNNNHW-AAEUAGOBSA-N 0 3 223.316 2.527 20 0 BFADHN CCN(Cc1ccoc1)C[C@H]1CCO[C@@H]1C ZINC000639470796 398315834 /nfs/dbraw/zinc/31/58/34/398315834.db2.gz SVSUDUAWSNNNHW-DGCLKSJQSA-N 0 3 223.316 2.527 20 0 BFADHN CCC1(CNCc2cnccn2)CCCC1 ZINC000233063677 342894924 /nfs/dbraw/zinc/89/49/24/342894924.db2.gz WNPAQPRCAJEAFX-UHFFFAOYSA-N 0 3 219.332 2.537 20 0 BFADHN FC(F)SCc1ccc(CNC2CC2)o1 ZINC000035014654 342882131 /nfs/dbraw/zinc/88/21/31/342882131.db2.gz CPLPQEYHEQITTA-UHFFFAOYSA-N 0 3 233.283 2.987 20 0 BFADHN CSCCN[C@@H]1CCc2ccc(F)cc21 ZINC000165206654 342882535 /nfs/dbraw/zinc/88/25/35/342882535.db2.gz JIODQIYVHPUSLF-GFCCVEGCSA-N 0 3 225.332 2.766 20 0 BFADHN Cc1ccoc1CN[C@H]1Cc2cccc(O)c2C1 ZINC000336478280 398319620 /nfs/dbraw/zinc/31/96/20/398319620.db2.gz SXTULOFXQXFCGD-LBPRGKRZSA-N 0 3 243.306 2.551 20 0 BFADHN Cc1ccoc1CN[C@@H]1Cc2cccc(O)c2C1 ZINC000336478279 398319663 /nfs/dbraw/zinc/31/96/63/398319663.db2.gz SXTULOFXQXFCGD-GFCCVEGCSA-N 0 3 243.306 2.551 20 0 BFADHN C[C@H](N[C@@H]1CC2CCC1CC2)c1nccn1C ZINC000334754797 398313567 /nfs/dbraw/zinc/31/35/67/398313567.db2.gz RGMNACJTKVBHQR-YWPUVAFDSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1ccc2c(c1C)OC[C@@H]2NC(C)C ZINC000035653695 342890930 /nfs/dbraw/zinc/89/09/30/342890930.db2.gz CQNAHVPKZIAZAZ-LBPRGKRZSA-N 0 3 205.301 2.735 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2ccncc2F)C1 ZINC000449298377 398314562 /nfs/dbraw/zinc/31/45/62/398314562.db2.gz JUNOAKVAVOJRBR-GHMZBOCLSA-N 0 3 222.307 2.747 20 0 BFADHN CC1(CNCc2cnccn2)CCCCC1 ZINC000232951921 342892276 /nfs/dbraw/zinc/89/22/76/342892276.db2.gz HWUKRGHBVMKAMC-UHFFFAOYSA-N 0 3 219.332 2.537 20 0 BFADHN CCn1c2ccccc2nc1CNC[C@@H]1C[C@H]1C ZINC000132374357 342907892 /nfs/dbraw/zinc/90/78/92/342907892.db2.gz GKAYDECNVAEMEZ-NEPJUHHUSA-N 0 3 243.354 2.802 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1cncc(OC)n1 ZINC000625186419 342903923 /nfs/dbraw/zinc/90/39/23/342903923.db2.gz VFKTVHFCYQZFRZ-CYBMUJFWSA-N 0 3 249.358 2.640 20 0 BFADHN CCCCCC[C@@H](C)NCc1cc[nH]n1 ZINC000037139272 342922025 /nfs/dbraw/zinc/92/20/25/342922025.db2.gz DUUWUXGIGLCQLQ-LLVKDONJSA-N 0 3 209.337 2.858 20 0 BFADHN Cc1cccc([C@@H](NC/C=C\CO)C(C)C)c1 ZINC000624011502 346874973 /nfs/dbraw/zinc/87/49/73/346874973.db2.gz BHZZZACRJQUNCX-XVWMLYKFSA-N 0 3 233.355 2.830 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@H]1CO[C@@H](C)C1 ZINC000401937382 259329203 /nfs/dbraw/zinc/32/92/03/259329203.db2.gz CRZCWWDBZVOMMR-RWMBFGLXSA-N 0 3 249.354 2.832 20 0 BFADHN Cc1cc(Cl)cc(CN(C)CC[C@@H](C)O)c1 ZINC000351619835 136248044 /nfs/dbraw/zinc/24/80/44/136248044.db2.gz LJWPVZPGPOQGDL-LLVKDONJSA-N 0 3 241.762 2.851 20 0 BFADHN CCCC[C@@H](COC)N[C@H](C)c1ccncc1 ZINC000174112581 346877033 /nfs/dbraw/zinc/87/70/33/346877033.db2.gz JVSRHTPWOQXGQP-OCCSQVGLSA-N 0 3 236.359 2.937 20 0 BFADHN Cc1cc(-c2ccc(C)cc2)n(CCN(C)C)n1 ZINC000634588729 342934821 /nfs/dbraw/zinc/93/48/21/342934821.db2.gz CLLPGKZYTXCMLD-UHFFFAOYSA-N 0 3 243.354 2.729 20 0 BFADHN c1cc(CCN[C@H]2CCCc3scnc32)co1 ZINC000624012558 346880445 /nfs/dbraw/zinc/88/04/45/346880445.db2.gz ANDARXPXNPZTSV-NSHDSACASA-N 0 3 248.351 2.946 20 0 BFADHN CC[C@@H](N[C@H](C)c1ccc(C)o1)[C@@H](O)CC ZINC000313092259 259330995 /nfs/dbraw/zinc/33/09/95/259330995.db2.gz PKKATCLYBFNGDR-UTUOFQBUSA-N 0 3 225.332 2.788 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCCC[C@@H]2O)o1 ZINC000038097773 398336243 /nfs/dbraw/zinc/33/62/43/398336243.db2.gz OYBLGNNMXAHINS-UTUOFQBUSA-N 0 3 223.316 2.542 20 0 BFADHN CCCN[C@H](CO)c1cccc(C(F)(F)F)c1 ZINC000038123409 398336311 /nfs/dbraw/zinc/33/63/11/398336311.db2.gz VFHHGBMNQQRZGW-LLVKDONJSA-N 0 3 247.260 2.738 20 0 BFADHN CCC[C@@H](NC[C@@H](C)CCO)c1ccccn1 ZINC000305717551 398336846 /nfs/dbraw/zinc/33/68/46/398336846.db2.gz IEVVVQCZVIADRH-QWHCGFSZSA-N 0 3 236.359 2.531 20 0 BFADHN CCC[C@@H](NCC[C@@H](O)CC)c1ccccn1 ZINC000305721728 398336988 /nfs/dbraw/zinc/33/69/88/398336988.db2.gz KAGOTIOJYWUGBY-QWHCGFSZSA-N 0 3 236.359 2.673 20 0 BFADHN c1csc(N2CCN(C3CCCC3)CC2)c1 ZINC000120161913 398337092 /nfs/dbraw/zinc/33/70/92/398337092.db2.gz JGEPWNOOHAGXKA-UHFFFAOYSA-N 0 3 236.384 2.813 20 0 BFADHN COc1ccc(C)cc1CN1CC(C2CC2)C1 ZINC000643345415 398337223 /nfs/dbraw/zinc/33/72/23/398337223.db2.gz WESYAGMTGZQKDG-UHFFFAOYSA-N 0 3 231.339 2.845 20 0 BFADHN CC[C@H](NCc1ccn(C(C)C)n1)[C@@H]1C[C@@H]1C ZINC000560880294 398337544 /nfs/dbraw/zinc/33/75/44/398337544.db2.gz HOEJPQVBHMAKKE-YUTCNCBUSA-N 0 3 235.375 2.988 20 0 BFADHN COCc1ccc(CN[C@H](C)CC(C)C)o1 ZINC000125804529 398339506 /nfs/dbraw/zinc/33/95/06/398339506.db2.gz AGLUQSDATXEBNC-LLVKDONJSA-N 0 3 225.332 2.950 20 0 BFADHN Cc1cnc([C@@H](C)NCCc2ccccn2)s1 ZINC000124376813 398338873 /nfs/dbraw/zinc/33/88/73/398338873.db2.gz CIWRTWWICDTPSQ-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN CC(C)(C)OCCCCN1CCOCC1(C)C ZINC000354856354 398330942 /nfs/dbraw/zinc/33/09/42/398330942.db2.gz JLZHSQDQOXHCBU-UHFFFAOYSA-N 0 3 243.391 2.693 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C)C[C@@H](C)C2)o1 ZINC000246728802 398331232 /nfs/dbraw/zinc/33/12/32/398331232.db2.gz OOQJRPGPDCKOJK-GHMZBOCLSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1ccncc1CN1CCCC[C@H]1C[C@@H](C)O ZINC000355110975 398331598 /nfs/dbraw/zinc/33/15/98/398331598.db2.gz COHJHSARBKZSPW-HIFRSBDPSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1noc(C)c1CCN[C@H](C)c1cccnc1 ZINC000112545493 398332383 /nfs/dbraw/zinc/33/23/83/398332383.db2.gz LOXQFYGVMWVYBP-SNVBAGLBSA-N 0 3 245.326 2.580 20 0 BFADHN C[C@H](NCCOC(C)(C)C)c1cccnc1 ZINC000112537705 398332410 /nfs/dbraw/zinc/33/24/10/398332410.db2.gz LYIXIDFSZWATQT-NSHDSACASA-N 0 3 222.332 2.547 20 0 BFADHN COC(=O)[C@@H](CC(C)C)N1CCC(C)(C)CC1 ZINC000351750925 136250471 /nfs/dbraw/zinc/25/04/71/136250471.db2.gz CTJDRYUCDIKVFC-GFCCVEGCSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1cc[nH]c(=O)c1 ZINC000392617628 398334007 /nfs/dbraw/zinc/33/40/07/398334007.db2.gz ALWDWMCBTSBTSK-GFCCVEGCSA-N 0 3 242.322 2.946 20 0 BFADHN Cc1ccc([C@@H](C)NC(=O)[C@H](N)CC(C)C)cc1 ZINC000037764949 398334297 /nfs/dbraw/zinc/33/42/97/398334297.db2.gz GUTGYOATVVZOEK-TZMCWYRMSA-N 0 3 248.370 2.546 20 0 BFADHN COC[C@@H](C)CN1Cc2ccccc2O[C@@H](C)C1 ZINC000576807877 365690013 /nfs/dbraw/zinc/69/00/13/365690013.db2.gz ZJMVMXFVIRIBLP-STQMWFEESA-N 0 3 249.354 2.552 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1C)c1cc2n(n1)CCCC2 ZINC000647303511 398339956 /nfs/dbraw/zinc/33/99/56/398339956.db2.gz BBJGKBNFATZOJF-NTZNESFSSA-N 0 3 233.359 2.669 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1cc2n(n1)CCCC2 ZINC000647309806 398340571 /nfs/dbraw/zinc/34/05/71/398340571.db2.gz YXQAXUHQETUNAA-FVCCEPFGSA-N 0 3 245.370 2.669 20 0 BFADHN CCN(Cc1ncc(C)o1)[C@H](C)C(C)C ZINC000075673595 344015041 /nfs/dbraw/zinc/01/50/41/344015041.db2.gz WNCWGSBXCNDUMK-LLVKDONJSA-N 0 3 210.321 2.849 20 0 BFADHN C[C@@H](CC1CCCCC1)NCc1cncnc1 ZINC000447097543 201709113 /nfs/dbraw/zinc/70/91/13/201709113.db2.gz LSQUELCUKVIPEM-LBPRGKRZSA-N 0 3 233.359 2.925 20 0 BFADHN CC[C@@H](COC)N[C@H]1CCCOc2ccccc21 ZINC000133661351 398341711 /nfs/dbraw/zinc/34/17/11/398341711.db2.gz FULXCHAKFNIECA-JSGCOSHPSA-N 0 3 249.354 2.915 20 0 BFADHN Cc1cccc([C@@H](C)N[C@H](C)C(=O)NC(C)C)c1 ZINC000160234289 398343446 /nfs/dbraw/zinc/34/34/46/398343446.db2.gz SDOMNJICROZKRN-CHWSQXEVSA-N 0 3 248.370 2.559 20 0 BFADHN NCc1cccc(NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)c1 ZINC000403463162 398343892 /nfs/dbraw/zinc/34/38/92/398343892.db2.gz MPYAFQPOLXXFKU-JHJVBQTASA-N 0 3 244.338 2.520 20 0 BFADHN C[C@@H](F)CCN1CC=C(C(F)(F)F)CC1 ZINC000351751751 136251188 /nfs/dbraw/zinc/25/11/88/136251188.db2.gz KRBDTDWHXOOMCE-MRVPVSSYSA-N 0 3 225.229 2.929 20 0 BFADHN CC[C@@H](O)CN1CCC[C@@H]1c1ccc(F)cc1 ZINC000075595357 344014569 /nfs/dbraw/zinc/01/45/69/344014569.db2.gz IOCKVJISGYUFBU-ZIAGYGMSSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H](F)CCN(C)[C@@H](C)c1ccccn1 ZINC000351751990 136251391 /nfs/dbraw/zinc/25/13/91/136251391.db2.gz BJPSTLGNNGTTKK-MNOVXSKESA-N 0 3 210.296 2.823 20 0 BFADHN N[C@@H](CC(=O)NC1CCCCC1)c1ccccc1 ZINC000019425636 342948220 /nfs/dbraw/zinc/94/82/20/342948220.db2.gz GPBBTFGODALRTP-AWEZNQCLSA-N 0 3 246.354 2.525 20 0 BFADHN Cc1ccc(NC(=O)[C@H](N)C(C)C)cc1Cl ZINC000019519198 342950998 /nfs/dbraw/zinc/95/09/98/342950998.db2.gz LGVLDIASZMSQEG-LLVKDONJSA-N 0 3 240.734 2.570 20 0 BFADHN C[C@@H](F)CCN(C)C[C@H](C)c1nccs1 ZINC000351761360 136252493 /nfs/dbraw/zinc/25/24/93/136252493.db2.gz XHBIPWXDLPKPLO-VHSXEESVSA-N 0 3 230.352 2.927 20 0 BFADHN Cc1cnc(CCN(C)CC[C@@H](C)F)s1 ZINC000351759789 136252499 /nfs/dbraw/zinc/25/24/99/136252499.db2.gz LMNCVHXMBVEOFD-SECBINFHSA-N 0 3 230.352 2.674 20 0 BFADHN CCN(CC[C@H](C)F)Cc1ccncc1 ZINC000351758863 136252740 /nfs/dbraw/zinc/25/27/40/136252740.db2.gz KIUBOQPZEKZAST-NSHDSACASA-N 0 3 210.296 2.652 20 0 BFADHN CCOc1ccccc1NC1CCN(C)CC1 ZINC000019772351 342957049 /nfs/dbraw/zinc/95/70/49/342957049.db2.gz QHPQOJZYSQNROG-UHFFFAOYSA-N 0 3 234.343 2.591 20 0 BFADHN COC(=O)c1ccc(CNCCC(C)(C)C)o1 ZINC000164439985 536703785 /nfs/dbraw/zinc/70/37/85/536703785.db2.gz QQNNNBFNDKXSFR-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN CCCN1CCN(Cc2c(C)cccc2C)CC1 ZINC000342637057 534928255 /nfs/dbraw/zinc/92/82/55/534928255.db2.gz OYPFMQBBFSJKMG-UHFFFAOYSA-N 0 3 246.398 2.831 20 0 BFADHN Cn1cc(CN[C@@H]2CCCc3ccccc32)cn1 ZINC000020133776 342971090 /nfs/dbraw/zinc/97/10/90/342971090.db2.gz SWFCZRHJSUMCIU-OAHLLOKOSA-N 0 3 241.338 2.587 20 0 BFADHN C[C@H](CCc1ccco1)NCc1ccccn1 ZINC000020145087 342972141 /nfs/dbraw/zinc/97/21/41/342972141.db2.gz OZJFFGYBXVKBLY-GFCCVEGCSA-N 0 3 230.311 2.786 20 0 BFADHN Cc1cc([C@@H]2CCCN2CCCCF)on1 ZINC000351855554 136258012 /nfs/dbraw/zinc/25/80/12/136258012.db2.gz QGVGKKCUMLITBF-NSHDSACASA-N 0 3 226.295 2.870 20 0 BFADHN CC[C@@H]1CCCN([C@@H](CC(C)C)C(=O)OC)C1 ZINC000351853680 136258252 /nfs/dbraw/zinc/25/82/52/136258252.db2.gz FKYOKGRYDXAGPZ-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN Fc1ccc(CNCCc2ccccn2)c(F)c1 ZINC000019916367 342963047 /nfs/dbraw/zinc/96/30/47/342963047.db2.gz ICUUCHKCCIRTOL-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN CCN1CCC[C@@H]1CN[C@@H](C)c1ccc(C)o1 ZINC000019960158 342964640 /nfs/dbraw/zinc/96/46/40/342964640.db2.gz VMPVVXDHJRASRX-QWHCGFSZSA-N 0 3 236.359 2.723 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)NC[C@H]1CCCO1 ZINC000019963820 342965072 /nfs/dbraw/zinc/96/50/72/342965072.db2.gz UNZGLACJKACLDU-CHWSQXEVSA-N 0 3 249.354 2.833 20 0 BFADHN CCCCCNCc1cn2ccccc2n1 ZINC000020039799 342967045 /nfs/dbraw/zinc/96/70/45/342967045.db2.gz VVICPYHSNPHXHZ-UHFFFAOYSA-N 0 3 217.316 2.614 20 0 BFADHN CCCC[C@@H](NCCO)c1ccc(F)cc1 ZINC000020596875 342992301 /nfs/dbraw/zinc/99/23/01/342992301.db2.gz TXHMQVXNMSVLIS-CYBMUJFWSA-N 0 3 225.307 2.639 20 0 BFADHN CC(C)NCc1nc2ccc(Cl)cc2n1C ZINC000214406313 349710274 /nfs/dbraw/zinc/71/02/74/349710274.db2.gz JDHVFHWKMMRHNL-UHFFFAOYSA-N 0 3 237.734 2.725 20 0 BFADHN CCN(CC)C(=O)[C@H](C)N[C@@H](C)c1ccccc1 ZINC000020368005 342984098 /nfs/dbraw/zinc/98/40/98/342984098.db2.gz FDGHUKOLFPUFEI-STQMWFEESA-N 0 3 248.370 2.594 20 0 BFADHN CSC1CCN(Cc2scnc2C)CC1 ZINC000602725641 349715243 /nfs/dbraw/zinc/71/52/43/349715243.db2.gz KVFQOBQWKGRFDU-UHFFFAOYSA-N 0 3 242.413 2.779 20 0 BFADHN CCN(C#N)CCN[C@@H](C)c1cc(C)cc(C)c1 ZINC000602798254 349738454 /nfs/dbraw/zinc/73/84/54/349738454.db2.gz BHSKTECERGFCED-AWEZNQCLSA-N 0 3 245.370 2.757 20 0 BFADHN CC[C@@H](NCCN(C#N)CC)c1ccc(C)cc1 ZINC000602802622 349742800 /nfs/dbraw/zinc/74/28/00/349742800.db2.gz RSMQASISWQSLNE-OAHLLOKOSA-N 0 3 245.370 2.839 20 0 BFADHN CCN(C#N)CCN(C)C[C@@H](C)c1ccccc1 ZINC000602771962 349726147 /nfs/dbraw/zinc/72/61/47/349726147.db2.gz DAFIVYOKLBXNFH-CQSZACIVSA-N 0 3 245.370 2.525 20 0 BFADHN CCN(C#N)CCN[C@@H](c1ccccc1)C(C)C ZINC000602761664 349733512 /nfs/dbraw/zinc/73/35/12/349733512.db2.gz XNRFLHYMMAPGHI-OAHLLOKOSA-N 0 3 245.370 2.776 20 0 BFADHN Fc1cc(Cl)ccc1CNCC1CC1 ZINC000037927773 343009297 /nfs/dbraw/zinc/00/92/97/343009297.db2.gz KPMDCRZDZILWJC-UHFFFAOYSA-N 0 3 213.683 2.979 20 0 BFADHN CCCN(CC(=O)OCC)CC1CCCCC1 ZINC000048570554 343016720 /nfs/dbraw/zinc/01/67/20/343016720.db2.gz JVAODXATYSSVBQ-UHFFFAOYSA-N 0 3 241.375 2.842 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1ccccc1Cl ZINC000049948918 343019246 /nfs/dbraw/zinc/01/92/46/343019246.db2.gz AWTXBKJUKWBKMP-CHWSQXEVSA-N 0 3 239.746 2.997 20 0 BFADHN CCN(C#N)CCN1CCC[C@]2(CC=CCC2)C1 ZINC000602782929 349748253 /nfs/dbraw/zinc/74/82/53/349748253.db2.gz CCMIJZHBDMWCSO-OAHLLOKOSA-N 0 3 247.386 2.612 20 0 BFADHN Cc1ccc(C)c([C@H](C)NCc2cnn(C)c2)c1 ZINC000035010695 358452202 /nfs/dbraw/zinc/45/22/02/358452202.db2.gz XWFHDTDUXBYZGR-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN CC[C@H](C(=O)OC(C)(C)C)N1C[C@@H](C)[C@H](C)C1 ZINC000483919334 529624285 /nfs/dbraw/zinc/62/42/85/529624285.db2.gz HADXMIGLMPCVGC-IJLUTSLNSA-N 0 3 241.375 2.695 20 0 BFADHN CCc1ccc(CCN2CCO[C@@H](C)[C@@H]2C)cc1 ZINC000483477521 529628411 /nfs/dbraw/zinc/62/84/11/529628411.db2.gz RHRHTFAZXQEYNR-KBPBESRZSA-N 0 3 247.382 2.901 20 0 BFADHN CCC[C@@H]1CCCCN1CC(=O)NC(C)(C)C ZINC000185852993 343046127 /nfs/dbraw/zinc/04/61/27/343046127.db2.gz KIHAAPZZLPEQPD-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1ccc(CNCC2(C)COC2)c(Cl)c1 ZINC000235862904 343064483 /nfs/dbraw/zinc/06/44/83/343064483.db2.gz FSLONBJXSZTBRW-UHFFFAOYSA-N 0 3 239.746 2.775 20 0 BFADHN Cc1nccnc1CN[C@@H]1CC[C@@H](C)C[C@H]1C ZINC000621335126 343066903 /nfs/dbraw/zinc/06/69/03/343066903.db2.gz BOYDHPPGIRBFKS-NQBHXWOUSA-N 0 3 233.359 2.699 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1CCC(F)(F)F ZINC000077317992 343099398 /nfs/dbraw/zinc/09/93/98/343099398.db2.gz QELYWGPERIOFGF-SFYZADRCSA-N 0 3 227.295 2.765 20 0 BFADHN CSCCNCc1nccc2ccccc21 ZINC000309920286 343094262 /nfs/dbraw/zinc/09/42/62/343094262.db2.gz RPQYFWRHDZIPLY-UHFFFAOYSA-N 0 3 232.352 2.687 20 0 BFADHN CCC[C@H](C)n1cc([C@H](N)C(C)(C)C)nn1 ZINC000640942398 362084892 /nfs/dbraw/zinc/08/48/92/362084892.db2.gz RNMOZAADHDMQRH-ONGXEEELSA-N 0 3 224.352 2.685 20 0 BFADHN CC(C)CCCn1cc([C@H](N)C(C)(C)C)nn1 ZINC000640940791 362087351 /nfs/dbraw/zinc/08/73/51/362087351.db2.gz ZTNAEUOZSSRKFS-LBPRGKRZSA-N 0 3 238.379 2.760 20 0 BFADHN CC(C)[C@H](N[C@@H](C)CO)c1ccc(F)cc1F ZINC000634680089 343147400 /nfs/dbraw/zinc/14/74/00/343147400.db2.gz JZWOXWIJKIJMLK-ZANVPECISA-N 0 3 243.297 2.632 20 0 BFADHN CC(C)CCN(C)Cc1cnc(N(C)C)s1 ZINC000678137432 488203963 /nfs/dbraw/zinc/20/39/63/488203963.db2.gz NHYWGLKCAZVFGZ-UHFFFAOYSA-N 0 3 241.404 2.687 20 0 BFADHN CC(C)[C@@H](N[C@H](C)CO)c1ccc(F)cc1F ZINC000634679042 343141929 /nfs/dbraw/zinc/14/19/29/343141929.db2.gz JZWOXWIJKIJMLK-NOZJJQNGSA-N 0 3 243.297 2.632 20 0 BFADHN CC(C)[C@H](N[C@H](C)CO)c1ccc(F)cc1F ZINC000634679043 343142084 /nfs/dbraw/zinc/14/20/84/343142084.db2.gz JZWOXWIJKIJMLK-RNCFNFMXSA-N 0 3 243.297 2.632 20 0 BFADHN CC[C@H](N[C@H](C)c1ccccc1OC)[C@H](C)O ZINC000386940400 343143013 /nfs/dbraw/zinc/14/30/13/343143013.db2.gz VQROKKJUPNTTCM-MDZLAQPJSA-N 0 3 237.343 2.505 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccccc1OC)[C@@H](C)O ZINC000386940383 343143134 /nfs/dbraw/zinc/14/31/34/343143134.db2.gz VQROKKJUPNTTCM-LOWVWBTDSA-N 0 3 237.343 2.505 20 0 BFADHN C[C@H](c1cccnc1)N1C[C@@H](C)S[C@H](C)C1 ZINC000525274552 259354034 /nfs/dbraw/zinc/35/40/34/259354034.db2.gz AMFXMZMLIHKXCD-IJLUTSLNSA-N 0 3 236.384 2.968 20 0 BFADHN Cc1nnsc1CN1C[C@H](C)C[C@H](C)[C@H]1C ZINC000569697332 323037384 /nfs/dbraw/zinc/03/73/84/323037384.db2.gz NLZOSTXCXIQGLH-WCABBAIRSA-N 0 3 239.388 2.713 20 0 BFADHN COc1cncc(CN[C@H](C)C2CCCCC2)n1 ZINC000625283120 343219422 /nfs/dbraw/zinc/21/94/22/343219422.db2.gz GVPNGKXBGMUDMS-LLVKDONJSA-N 0 3 249.358 2.544 20 0 BFADHN CC(C)n1ccc(CN[C@H](C)C2CCC2)n1 ZINC000134100568 343186926 /nfs/dbraw/zinc/18/69/26/343186926.db2.gz ZUKUEIJKFSJWSP-LLVKDONJSA-N 0 3 221.348 2.742 20 0 BFADHN CCO[C@@H](CN(C)[C@H](C)c1ccncc1)C1CC1 ZINC000625272454 343190132 /nfs/dbraw/zinc/19/01/32/343190132.db2.gz SJQQVNQZFXURTD-DOMZBBRYSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)C)c1cnccn1 ZINC000133338553 343190316 /nfs/dbraw/zinc/19/03/16/343190316.db2.gz RDITVTHBUMXJMY-NXEZZACHSA-N 0 3 207.321 2.562 20 0 BFADHN CCO[C@H](CN(C)[C@@H](C)c1ccncc1)C1CC1 ZINC000625272458 343190583 /nfs/dbraw/zinc/19/05/83/343190583.db2.gz SJQQVNQZFXURTD-SWLSCSKDSA-N 0 3 248.370 2.890 20 0 BFADHN Cc1n[nH]cc1CCCNCc1ccccc1F ZINC000030666811 343192416 /nfs/dbraw/zinc/19/24/16/343192416.db2.gz ZCKKGYDPEFUSTK-UHFFFAOYSA-N 0 3 247.317 2.580 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1cncc(OC)n1 ZINC000625329475 343235177 /nfs/dbraw/zinc/23/51/77/343235177.db2.gz HUNNVHQDWXFIAZ-AAEUAGOBSA-N 0 3 249.358 2.544 20 0 BFADHN CCC[C@@H]1CCCCN1CC(=O)N(CC)CC ZINC000185865155 343236996 /nfs/dbraw/zinc/23/69/96/343236996.db2.gz BBZJPUIGCDOPHI-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@@H](C)O[C@H]2C)c1F ZINC000384506763 343242875 /nfs/dbraw/zinc/24/28/75/343242875.db2.gz RMJHHZAYPVQCNQ-NTZNESFSSA-N 0 3 237.318 2.790 20 0 BFADHN C[C@@H](O)CCN[C@H](C)c1ccc(Cl)c(F)c1 ZINC000212784837 343248019 /nfs/dbraw/zinc/24/80/19/343248019.db2.gz CORKFCOLSYPIHN-RKDXNWHRSA-N 0 3 245.725 2.901 20 0 BFADHN CC[C@@H](N[C@@H](C)C(=O)N(C)C)c1ccc(C)cc1 ZINC000035138543 343286341 /nfs/dbraw/zinc/28/63/41/343286341.db2.gz HQRIVFUQYMFSOC-GXTWGEPZSA-N 0 3 248.370 2.512 20 0 BFADHN Clc1ccc(CNC[C@@H]2CCCCO2)cc1 ZINC000035150993 343286646 /nfs/dbraw/zinc/28/66/46/343286646.db2.gz YVPMMAQMVQRQKH-ZDUSSCGKSA-N 0 3 239.746 2.999 20 0 BFADHN CC[C@H](NCc1cnn(C)c1)c1ccc(C)cc1 ZINC000034996370 343282667 /nfs/dbraw/zinc/28/26/67/343282667.db2.gz SLODHJNAKSQDMD-HNNXBMFYSA-N 0 3 243.354 2.969 20 0 BFADHN C[C@H](CCc1ccccc1)NCc1cc[nH]n1 ZINC000036900193 343326611 /nfs/dbraw/zinc/32/66/11/343326611.db2.gz PZCGOFSQUVZTTH-GFCCVEGCSA-N 0 3 229.327 2.521 20 0 BFADHN C[C@H](N)C(=O)N[C@@H](C)c1ccc(C(C)(C)C)cc1 ZINC000037007440 343330809 /nfs/dbraw/zinc/33/08/09/343330809.db2.gz IBLLDQOYBPBHKE-QWRGUYRKSA-N 0 3 248.370 2.509 20 0 BFADHN CCCCNC(=O)CN1CCCC[C@@H]1CCC ZINC000185877109 343295672 /nfs/dbraw/zinc/29/56/72/343295672.db2.gz QQOOSRSKLWCABP-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN CCC[C@@H](CC)N[C@H](C)c1nccn1C ZINC000070008230 343296679 /nfs/dbraw/zinc/29/66/79/343296679.db2.gz OVIYOYBLEJPYLA-GHMZBOCLSA-N 0 3 209.337 2.649 20 0 BFADHN CCCC[C@@H](C)N[C@@H](c1nncn1C)C(C)C ZINC000348711544 136293796 /nfs/dbraw/zinc/29/37/96/136293796.db2.gz GDCJUDUGYWMFAL-VXGBXAGGSA-N 0 3 238.379 2.681 20 0 BFADHN C[C@@H](NC[C@H](C)O)c1cccc(C(F)(F)F)c1 ZINC000035601923 343298936 /nfs/dbraw/zinc/29/89/36/343298936.db2.gz QQPZPJAZZRBRSC-DTWKUNHWSA-N 0 3 247.260 2.737 20 0 BFADHN CC(C)C[C@@H](C)N[C@H](c1nncn1C)C(C)C ZINC000348714324 136294530 /nfs/dbraw/zinc/29/45/30/136294530.db2.gz YJWPFILPJQRSSU-NEPJUHHUSA-N 0 3 238.379 2.536 20 0 BFADHN CC(C)n1ccnc1CNC(C)(C)C(C)C ZINC000309343716 343302455 /nfs/dbraw/zinc/30/24/55/343302455.db2.gz LARPELLQWPHQRE-UHFFFAOYSA-N 0 3 223.364 2.988 20 0 BFADHN CCN(CC)Cc1ccc(C(C)=O)cc1 ZINC000035837380 343304903 /nfs/dbraw/zinc/30/49/03/343304903.db2.gz XTFAIMNIOVYPGG-UHFFFAOYSA-N 0 3 205.301 2.731 20 0 BFADHN CCO[C@H](CN(C)Cc1cnccc1C)C1CC1 ZINC000625382650 343365985 /nfs/dbraw/zinc/36/59/85/343365985.db2.gz DAWQGGKRHDOLSW-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN CC/C=C\CCn1cc([C@H](N)C(C)(C)C)nn1 ZINC000641065991 362173906 /nfs/dbraw/zinc/17/39/06/362173906.db2.gz QYWNAAGOGKXYRQ-DGMVEKRQSA-N 0 3 236.363 2.680 20 0 BFADHN CC1(O)CCN([C@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000335635841 136300796 /nfs/dbraw/zinc/30/07/96/136300796.db2.gz GMQKJXYJXHLQKD-KBPBESRZSA-N 0 3 249.329 2.528 20 0 BFADHN C[C@@H](N[C@@H](C)Cn1cccn1)c1cccs1 ZINC000037155398 343336876 /nfs/dbraw/zinc/33/68/76/343336876.db2.gz OXTDISYNURLKMT-WDEREUQCSA-N 0 3 235.356 2.684 20 0 BFADHN C[C@@H](Cn1cccn1)N[C@@H](C)c1cccc(F)c1 ZINC000037155914 343337322 /nfs/dbraw/zinc/33/73/22/343337322.db2.gz JYJLPBZODZWWGR-RYUDHWBXSA-N 0 3 247.317 2.762 20 0 BFADHN Cc1ccc(CN[C@H](CC(C)C)CN(C)C)o1 ZINC000037166780 343337826 /nfs/dbraw/zinc/33/78/26/343337826.db2.gz WJYGRMVGIQZODI-CYBMUJFWSA-N 0 3 238.375 2.654 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1NCc1cccc(F)c1 ZINC000037246981 343340948 /nfs/dbraw/zinc/34/09/48/343340948.db2.gz OUJFTRLPDHMZPW-UONOGXRCSA-N 0 3 237.318 2.873 20 0 BFADHN Cc1c2ccccc2oc1[C@H](C)NC[C@H](C)O ZINC000037257636 343341282 /nfs/dbraw/zinc/34/12/82/343341282.db2.gz SVVJTYAAQYUELL-ONGXEEELSA-N 0 3 233.311 2.773 20 0 BFADHN C[C@@H](O)CN[C@H](C)c1ccc(F)cc1Cl ZINC000037257874 343341794 /nfs/dbraw/zinc/34/17/94/343341794.db2.gz KXUCXTQEFUBHLN-HTQZYQBOSA-N 0 3 231.698 2.511 20 0 BFADHN CCCC[C@H](C)N[C@@H](C)c1nnc2ccccn21 ZINC000037281387 343342778 /nfs/dbraw/zinc/34/27/78/343342778.db2.gz FQVWCXCXAKUABY-RYUDHWBXSA-N 0 3 246.358 2.959 20 0 BFADHN CCCC[C@H](C)NCc1cccnc1OC ZINC000037485393 343346904 /nfs/dbraw/zinc/34/69/04/343346904.db2.gz MUUPDCPKRQFSAK-NSHDSACASA-N 0 3 222.332 2.759 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1ccc(CC)cc1 ZINC000037621318 343349408 /nfs/dbraw/zinc/34/94/08/343349408.db2.gz UFCMKAWWIGZFBF-AWEZNQCLSA-N 0 3 234.343 2.705 20 0 BFADHN Clc1cnccc1CN1CC[C@H](C2CC2)C1 ZINC000335651924 136305058 /nfs/dbraw/zinc/30/50/58/136305058.db2.gz JWGOZCCWJWFWOU-NSHDSACASA-N 0 3 236.746 2.967 20 0 BFADHN CCOc1ccc(NC2CCN(C)CC2)c(C)c1 ZINC000037781771 343354757 /nfs/dbraw/zinc/35/47/57/343354757.db2.gz SJTCUNYTZUJHIS-UHFFFAOYSA-N 0 3 248.370 2.900 20 0 BFADHN c1cncc([C@H]2CCCN2CC2=CCCOC2)c1 ZINC000335674419 136317835 /nfs/dbraw/zinc/31/78/35/136317835.db2.gz WMNNPVKDPXSZRE-OAHLLOKOSA-N 0 3 244.338 2.565 20 0 BFADHN CC1CN(Cc2cn(C)nc2-c2ccccc2)C1 ZINC000335675839 136318862 /nfs/dbraw/zinc/31/88/62/136318862.db2.gz SWQUXKJRZYSJJM-UHFFFAOYSA-N 0 3 241.338 2.539 20 0 BFADHN Cc1cc(CN[C@H]2C[C@@H]2C(F)F)cc(C)c1O ZINC000349018892 136312822 /nfs/dbraw/zinc/31/28/22/136312822.db2.gz AENXJSIVVNURBO-QWRGUYRKSA-N 0 3 241.281 2.752 20 0 BFADHN Cc1cccc(C)c1CN[C@H]1CO[C@@H](C)C1 ZINC000335662074 136315474 /nfs/dbraw/zinc/31/54/74/136315474.db2.gz DXSZFJCMVQAGCL-QWHCGFSZSA-N 0 3 219.328 2.570 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(Cl)c(F)c2)CO1 ZINC000335661997 136315732 /nfs/dbraw/zinc/31/57/32/136315732.db2.gz AKAKLQSZBQWJOH-PSASIEDQSA-N 0 3 243.709 2.746 20 0 BFADHN CC[C@](C)(N)c1cn(CCC2=CCCCC2)nn1 ZINC000641080196 362194596 /nfs/dbraw/zinc/19/45/96/362194596.db2.gz UXMJHZZAPDMZTD-AWEZNQCLSA-N 0 3 248.374 2.753 20 0 BFADHN COC[C@H](C)CNc1ccnc2ccccc21 ZINC000041674570 343422823 /nfs/dbraw/zinc/42/28/23/343422823.db2.gz UVABIURURBQTEC-LLVKDONJSA-N 0 3 230.311 2.929 20 0 BFADHN CN(C)Cc1cccc(NCc2cscn2)c1 ZINC000040436063 343402870 /nfs/dbraw/zinc/40/28/70/343402870.db2.gz VZPYVLAKCJKGPO-UHFFFAOYSA-N 0 3 247.367 2.817 20 0 BFADHN CC(C)(C)[C@H](N)C(=O)Nc1ccccc1Cl ZINC000040458422 343404486 /nfs/dbraw/zinc/40/44/86/343404486.db2.gz ASDQCSJKDMWSJI-SNVBAGLBSA-N 0 3 240.734 2.652 20 0 BFADHN CCCCOCCN[C@H](C)c1cccnc1 ZINC000040506228 343406095 /nfs/dbraw/zinc/40/60/95/343406095.db2.gz NZPSROLQEPPSHP-GFCCVEGCSA-N 0 3 222.332 2.549 20 0 BFADHN NC(=O)c1cccc(CN2CCCCCCC2)c1 ZINC000047461445 343407205 /nfs/dbraw/zinc/40/72/05/343407205.db2.gz USLHMKOFBNKQPI-UHFFFAOYSA-N 0 3 246.354 2.552 20 0 BFADHN CC[C@H](NCc1cnn(C)c1)c1ccccc1 ZINC000041158870 343416304 /nfs/dbraw/zinc/41/63/04/343416304.db2.gz NKNVXUYIGIUWOZ-AWEZNQCLSA-N 0 3 229.327 2.661 20 0 BFADHN CSCCN1CCCc2sccc2C1 ZINC000609338454 343419738 /nfs/dbraw/zinc/41/97/38/343419738.db2.gz ILTQAWCDDJEWHL-UHFFFAOYSA-N 0 3 227.398 2.859 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H](C)c1ccccc1F ZINC000349379113 136343564 /nfs/dbraw/zinc/34/35/64/136343564.db2.gz NDNQYQNBMBBVIY-OPVGQWETSA-N 0 3 237.318 2.803 20 0 BFADHN CN(C/C=C/c1ccccc1)CCN(C)C1CC1 ZINC000349380805 136344087 /nfs/dbraw/zinc/34/40/87/136344087.db2.gz FDSLMRLLRKUIFN-RMKNXTFCSA-N 0 3 244.382 2.726 20 0 BFADHN C[C@@H]1CCN(C/C=C\c2ccc(F)cc2)C[C@H]1O ZINC000349411720 136345164 /nfs/dbraw/zinc/34/51/64/136345164.db2.gz AIILEYAYZWNMHX-QADGWQRPSA-N 0 3 249.329 2.542 20 0 BFADHN C[C@@H]1CCN(C/C=C/c2ccc(F)cc2)C[C@H]1O ZINC000349411718 136345280 /nfs/dbraw/zinc/34/52/80/136345280.db2.gz AIILEYAYZWNMHX-FKZRYSJHSA-N 0 3 249.329 2.542 20 0 BFADHN Cc1cc(CN[C@@H]2CCC23CCCC3)nc(C)n1 ZINC000335726374 136347304 /nfs/dbraw/zinc/34/73/04/136347304.db2.gz ZZJYAQSZCCUABY-CQSZACIVSA-N 0 3 245.370 2.906 20 0 BFADHN Cc1ccc([C@@H](NC[C@H](C)CO)C2CCC2)o1 ZINC000349450493 136352128 /nfs/dbraw/zinc/35/21/28/136352128.db2.gz LELXYKWFNPKKMR-HZMBPMFUSA-N 0 3 237.343 2.647 20 0 BFADHN CC[C@H](N)c1cn(C[C@H]2CCCC[C@@H]2C)nn1 ZINC000641088417 362211713 /nfs/dbraw/zinc/21/17/13/362211713.db2.gz NLKDWGTXBGRNBM-TUAOUCFPSA-N 0 3 236.363 2.514 20 0 BFADHN CC[C@@H](N[C@H](C)COC)c1ccccc1F ZINC000166290759 136331752 /nfs/dbraw/zinc/33/17/52/136331752.db2.gz MXLZDNFISKCMOG-ZWNOBZJWSA-N 0 3 225.307 2.901 20 0 BFADHN C[C@H]1CCCC[C@@H]1N(C)Cc1ccno1 ZINC000335707923 136336373 /nfs/dbraw/zinc/33/63/73/136336373.db2.gz FTCSIBKMSGZELM-JQWIXIFHSA-N 0 3 208.305 2.685 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@@H]1O)c1ccccc1F ZINC000042237390 343432134 /nfs/dbraw/zinc/43/21/34/343432134.db2.gz AJWQJOIZPYOVDM-BPNCWPANSA-N 0 3 237.318 2.780 20 0 BFADHN Cc1cc2[nH]c(CN(C)[C@H](C)CO)cc2c(C)c1 ZINC000349294884 136337785 /nfs/dbraw/zinc/33/77/85/136337785.db2.gz ITBQDLPZGBJGDU-GFCCVEGCSA-N 0 3 246.354 2.597 20 0 BFADHN Cc1occc1CN(C)C[C@@H](O)c1ccccc1 ZINC000042375742 343435814 /nfs/dbraw/zinc/43/58/14/343435814.db2.gz SHQBYXGVPQFNLZ-OAHLLOKOSA-N 0 3 245.322 2.753 20 0 BFADHN CC(C)N(CCO)Cc1coc2ccccc12 ZINC000350078312 136354089 /nfs/dbraw/zinc/35/40/89/136354089.db2.gz QUWUBMAJXKCFNV-UHFFFAOYSA-N 0 3 233.311 2.636 20 0 BFADHN CC[C@H](NCCn1cccn1)c1cccc(F)c1 ZINC000166545309 136358744 /nfs/dbraw/zinc/35/87/44/136358744.db2.gz KEEGARAECDZEFM-AWEZNQCLSA-N 0 3 247.317 2.763 20 0 BFADHN Cc1ncccc1CN1CCC[C@H]1[C@H]1CCCO1 ZINC000335896929 136359153 /nfs/dbraw/zinc/35/91/53/136359153.db2.gz BHUCBSUFXYCLIA-LSDHHAIUSA-N 0 3 246.354 2.533 20 0 BFADHN Cc1ncccc1CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000335896926 136359393 /nfs/dbraw/zinc/35/93/93/136359393.db2.gz BHUCBSUFXYCLIA-CABCVRRESA-N 0 3 246.354 2.533 20 0 BFADHN CC(C)C[C@H](C)n1cc([C@H](N)C(C)(C)C)nn1 ZINC000641096943 362222904 /nfs/dbraw/zinc/22/29/04/362222904.db2.gz YYAMFOBSOCPPDE-JQWIXIFHSA-N 0 3 238.379 2.931 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc(SC)cc1 ZINC000166844231 136364272 /nfs/dbraw/zinc/36/42/72/136364272.db2.gz NIODVKKFZDYOBO-GHMZBOCLSA-N 0 3 239.384 2.922 20 0 BFADHN C[C@@H](N[C@H]1CCN(C)C1)c1ccc(Cl)s1 ZINC000042545412 343443106 /nfs/dbraw/zinc/44/31/06/343443106.db2.gz YFAVBBOVDGLIIJ-BDAKNGLRSA-N 0 3 244.791 2.756 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H](C)CC[C@@H]2C)no1 ZINC000082859064 362236838 /nfs/dbraw/zinc/23/68/38/362236838.db2.gz OPCMUBQNLVIHBP-GBIKHYSHSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(C)cc(CN[C@H]2CO[C@@H](C)C2)c1 ZINC000402117801 349810249 /nfs/dbraw/zinc/81/02/49/349810249.db2.gz GCUPMHNCEYIEMP-GXTWGEPZSA-N 0 3 219.328 2.570 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(Cl)cc1 ZINC000379923106 365750239 /nfs/dbraw/zinc/75/02/39/365750239.db2.gz JDYXXQNPAJFHJV-NEPJUHHUSA-N 0 3 225.719 2.607 20 0 BFADHN CCC(CC)(CC)NCc1ncccn1 ZINC000083388046 343480071 /nfs/dbraw/zinc/48/00/71/343480071.db2.gz CDBPPIYPLAFJLT-UHFFFAOYSA-N 0 3 207.321 2.535 20 0 BFADHN CCCN1CC[C@](F)(c2ccccc2)C1 ZINC000570059052 323048960 /nfs/dbraw/zinc/04/89/60/323048960.db2.gz UVFQQDKZDMRPNU-CYBMUJFWSA-N 0 3 207.292 2.967 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC(C(F)F)CC2)O1 ZINC000335856835 344033755 /nfs/dbraw/zinc/03/37/55/344033755.db2.gz KFFVJWMHJPHKMZ-KOLCDFICSA-N 0 3 233.302 2.531 20 0 BFADHN Cc1cccc(CNCc2cccnc2C)c1 ZINC000310362892 343462339 /nfs/dbraw/zinc/46/23/39/343462339.db2.gz UIFCOGLCPAVJJV-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN COc1cc(C)nc(CN(C)C(C)(C)C)c1 ZINC000580548105 365749175 /nfs/dbraw/zinc/74/91/75/365749175.db2.gz DHOQFIBCJXUUSV-UHFFFAOYSA-N 0 3 222.332 2.629 20 0 BFADHN Cc1csc([C@@H](C)NCCC2CC2)n1 ZINC000083926596 343510229 /nfs/dbraw/zinc/51/02/29/343510229.db2.gz ILMNKBJZQJMKKS-SECBINFHSA-N 0 3 210.346 2.902 20 0 BFADHN CN[C@H](CC(C)(C)C)C(=O)Nc1cccc(C)c1 ZINC000387614572 346945789 /nfs/dbraw/zinc/94/57/89/346945789.db2.gz ZRNGETGRNSOUIQ-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1ccc(CNC[C@H](O)c2ccc(F)cc2)o1 ZINC000047888003 343567205 /nfs/dbraw/zinc/56/72/05/343567205.db2.gz FEIXAMPACXFVGU-AWEZNQCLSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1ncc2c(n1)CCN(C[C@@H](C)CC(C)C)C2 ZINC000518765482 259364613 /nfs/dbraw/zinc/36/46/13/259364613.db2.gz DMYLEOPUONTLRY-LBPRGKRZSA-N 0 3 247.386 2.825 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCC[C@@H]2C)no1 ZINC000192627329 365773239 /nfs/dbraw/zinc/77/32/39/365773239.db2.gz FBYGQHQVKRTDEU-GXFFZTMASA-N 0 3 222.332 2.994 20 0 BFADHN Fc1ccc(CNC[C@H]2CCCCO2)cc1F ZINC000049577654 343603323 /nfs/dbraw/zinc/60/33/23/343603323.db2.gz WLXPJGFUSYCFBK-LLVKDONJSA-N 0 3 241.281 2.624 20 0 BFADHN CCO[C@H]1C[C@H](NCc2cccnc2C)C1(C)C ZINC000310925909 343605545 /nfs/dbraw/zinc/60/55/45/343605545.db2.gz HZHOMAHGUBOVHQ-KBPBESRZSA-N 0 3 248.370 2.683 20 0 BFADHN CNCc1c(Cl)cccc1OC(F)F ZINC000083522950 343624293 /nfs/dbraw/zinc/62/42/93/343624293.db2.gz AVZWOXXMEATMFZ-UHFFFAOYSA-N 0 3 221.634 2.661 20 0 BFADHN CC(C)CN(C)Cc1ccnc(Cl)c1 ZINC000051608690 343626937 /nfs/dbraw/zinc/62/69/37/343626937.db2.gz VTXVXLIVIXCPRK-UHFFFAOYSA-N 0 3 212.724 2.823 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1ccnc(C#N)c1 ZINC000379971463 343662227 /nfs/dbraw/zinc/66/22/27/343662227.db2.gz NCQTWBCWGKKEDJ-GFCCVEGCSA-N 0 3 231.343 2.964 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1snnc1C ZINC000379973462 343662428 /nfs/dbraw/zinc/66/24/28/343662428.db2.gz CIKSVNBQPOSCQZ-VHSXEESVSA-N 0 3 225.361 2.515 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC12CC2)c1ccn(C)n1 ZINC000624316411 343691945 /nfs/dbraw/zinc/69/19/45/343691945.db2.gz PDJNVWJRONPAFQ-NEPJUHHUSA-N 0 3 233.359 2.651 20 0 BFADHN c1cn2cc(CN[C@@H]3CC[C@H]3C3CC3)nc2s1 ZINC000348052943 537804484 /nfs/dbraw/zinc/80/44/84/537804484.db2.gz GTIFHLXXQFVJGK-NWDGAFQWSA-N 0 3 247.367 2.674 20 0 BFADHN c1cc(CN2CCC3(CCCC3)CC2)on1 ZINC000335870925 344036411 /nfs/dbraw/zinc/03/64/11/344036411.db2.gz GCZPVXRUPLQCRB-UHFFFAOYSA-N 0 3 220.316 2.831 20 0 BFADHN CCC[C@H](C)N(C)CC(=O)N1CCCCCC1 ZINC000048071116 343708238 /nfs/dbraw/zinc/70/82/38/343708238.db2.gz ILWJPCAZFFNURC-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC(C)n1ccc(CNCC2(C)CCC2)n1 ZINC000232798798 537805468 /nfs/dbraw/zinc/80/54/68/537805468.db2.gz NYRQOBRXVRSMSK-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN CC[C@@H](C)N[C@@H]1CCCc2scnc21 ZINC000623849245 343688888 /nfs/dbraw/zinc/68/88/88/343688888.db2.gz ABFKFGHSCKZEJT-RKDXNWHRSA-N 0 3 210.346 2.909 20 0 BFADHN CN(C/C=C/c1ccncc1)[C@@H]1CCSC1 ZINC000495063282 529820443 /nfs/dbraw/zinc/82/04/43/529820443.db2.gz GIBAOHRUWCZCEG-YWVDXFKGSA-N 0 3 234.368 2.532 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NCCSC(C)C ZINC000380272407 343716697 /nfs/dbraw/zinc/71/66/97/343716697.db2.gz WTBODVDVGSRFNY-SECBINFHSA-N 0 3 227.377 2.510 20 0 BFADHN C[C@H](NCCCF)c1ccc(F)cc1N(C)C ZINC000127000982 343719191 /nfs/dbraw/zinc/71/91/91/343719191.db2.gz XTPNKEXFGISODF-JTQLQIEISA-N 0 3 242.313 2.902 20 0 BFADHN CCN(Cc1cccc(F)c1)C[C@@H]1CCCO1 ZINC000061799875 343729145 /nfs/dbraw/zinc/72/91/45/343729145.db2.gz SAZXDBGMAHTYKH-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN Cc1cc(CNCC2CC(C)(C)C2)on1 ZINC000631117151 346968629 /nfs/dbraw/zinc/96/86/29/346968629.db2.gz FYAWILXPBCUYTG-UHFFFAOYSA-N 0 3 208.305 2.509 20 0 BFADHN CC1(C)COC[C@@H]1NCc1cc(Cl)cs1 ZINC000313065315 343798003 /nfs/dbraw/zinc/79/80/03/343798003.db2.gz MNBVXCCWQIUHDP-JTQLQIEISA-N 0 3 245.775 2.916 20 0 BFADHN CC[C@@H](Cc1ccccc1)NCc1cocn1 ZINC000182060492 346980177 /nfs/dbraw/zinc/98/01/77/346980177.db2.gz QIFVFKPNAIWUED-ZDUSSCGKSA-N 0 3 230.311 2.786 20 0 BFADHN CCN(Cc1cnc[nH]1)Cc1ccccc1C ZINC000179303470 346980632 /nfs/dbraw/zinc/98/06/32/346980632.db2.gz MNDNUUBBOODRJA-UHFFFAOYSA-N 0 3 229.327 2.740 20 0 BFADHN Cc1ncc(CN2CC3(CCC3)[C@H]2C(C)C)o1 ZINC000628291122 346982994 /nfs/dbraw/zinc/98/29/94/346982994.db2.gz OOTRUNAJCWQRDY-CYBMUJFWSA-N 0 3 234.343 2.994 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1cncnc1 ZINC000128340096 343824463 /nfs/dbraw/zinc/82/44/63/343824463.db2.gz QSPZZNQPTXTEJU-CYBMUJFWSA-N 0 3 219.332 2.631 20 0 BFADHN CC[C@@H](C)N(C)Cc1cn(C)nc1C(C)(C)C ZINC000179697026 346993341 /nfs/dbraw/zinc/99/33/41/346993341.db2.gz ZCIPBWFIWCEIPO-LLVKDONJSA-N 0 3 237.391 2.948 20 0 BFADHN Cc1nc(C)c(CN(C)[C@@H](C)C2CC2)o1 ZINC000683897188 488239076 /nfs/dbraw/zinc/23/90/76/488239076.db2.gz QYEASXOMIFXXNB-VIFPVBQESA-N 0 3 208.305 2.522 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CC[C@@H]1C(C)C ZINC000336691499 537826070 /nfs/dbraw/zinc/82/60/70/537826070.db2.gz WWMWYGMPQPKEOC-DGCLKSJQSA-N 0 3 222.332 2.816 20 0 BFADHN CCN(Cc1cccc2nccn21)C(C)C ZINC000179666517 346992416 /nfs/dbraw/zinc/99/24/16/346992416.db2.gz FDHNWZINAYDPGJ-UHFFFAOYSA-N 0 3 217.316 2.565 20 0 BFADHN CCN1CCC[C@@H]1c1cccc2c1OCCO2 ZINC000066898774 343883741 /nfs/dbraw/zinc/88/37/41/343883741.db2.gz DPSPRKIQZPAZKN-GFCCVEGCSA-N 0 3 233.311 2.615 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CC[C@H]1C(C)C ZINC000336691500 537827213 /nfs/dbraw/zinc/82/72/13/537827213.db2.gz WWMWYGMPQPKEOC-WCQYABFASA-N 0 3 222.332 2.816 20 0 BFADHN C/C=C\CNCc1ccc(OC)c(OCC)c1 ZINC000394937352 343886183 /nfs/dbraw/zinc/88/61/83/343886183.db2.gz CBALFKWKCDQDHW-XQRVVYSFSA-N 0 3 235.327 2.760 20 0 BFADHN Oc1ccc(CN2CC(CC3CC3)C2)cc1 ZINC000621740506 343886466 /nfs/dbraw/zinc/88/64/66/343886466.db2.gz UFRJQSXDOPPQGQ-UHFFFAOYSA-N 0 3 217.312 2.624 20 0 BFADHN CC[C@@]1(NCc2ccc(C#N)cc2C)CCOC1 ZINC000393560570 343893018 /nfs/dbraw/zinc/89/30/18/343893018.db2.gz OFEPFYQNKVJNLO-OAHLLOKOSA-N 0 3 244.338 2.525 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1ccc(C)o1 ZINC000393690390 343911388 /nfs/dbraw/zinc/91/13/88/343911388.db2.gz PULQMVRMCVFNPG-MNOVXSKESA-N 0 3 211.330 2.572 20 0 BFADHN COC[C@@H](CC(C)C)NC1(CF)CCC1 ZINC000570572168 323063349 /nfs/dbraw/zinc/06/33/49/323063349.db2.gz ZQPXXVQZBIWGMR-LLVKDONJSA-N 0 3 217.328 2.529 20 0 BFADHN CC[C@H](CNCc1ncc[nH]1)c1ccccc1 ZINC000067695146 343900927 /nfs/dbraw/zinc/90/09/27/343900927.db2.gz DLCJEKWORLWULF-GFCCVEGCSA-N 0 3 229.327 2.693 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1cc(C#N)cs1 ZINC000393635770 343902631 /nfs/dbraw/zinc/90/26/31/343902631.db2.gz WCZSRHDWTFJNKT-GZMMTYOYSA-N 0 3 238.381 2.603 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1cnc(C)s1 ZINC000090727094 343938764 /nfs/dbraw/zinc/93/87/64/343938764.db2.gz NHLVRGQDUDRSRC-HTQZYQBOSA-N 0 3 230.402 2.854 20 0 BFADHN CC[C@H](CNCc1ccc(C(F)F)nc1)OC ZINC000631141289 343939856 /nfs/dbraw/zinc/93/98/56/343939856.db2.gz UBOISUKMTQRNCP-SNVBAGLBSA-N 0 3 244.285 2.534 20 0 BFADHN FCCCCNCc1ccnn1CC1CCC1 ZINC000631140680 343916652 /nfs/dbraw/zinc/91/66/52/343916652.db2.gz YYUUJBLAUJYCGX-UHFFFAOYSA-N 0 3 239.338 2.523 20 0 BFADHN CCN(Cc1cn2ccccc2n1)CC1CC1 ZINC000070014091 343946912 /nfs/dbraw/zinc/94/69/12/343946912.db2.gz NFFYKJXMFCWXOD-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN C[C@H](CCN[C@@H](C)c1ccsc1)[S@](C)=O ZINC000090518014 343933322 /nfs/dbraw/zinc/93/33/22/343933322.db2.gz SITAKAGSTIOBFO-HFBDOXOYSA-N 0 3 245.413 2.556 20 0 BFADHN CC(C)CC[C@H](C)NCc1ncc(Cl)n1C ZINC000070184462 343948881 /nfs/dbraw/zinc/94/88/81/343948881.db2.gz HYMRXDRSJADJLJ-JTQLQIEISA-N 0 3 243.782 2.988 20 0 BFADHN C[C@H](NC1CCCC1)c1ccc(F)cn1 ZINC000092194959 343953507 /nfs/dbraw/zinc/95/35/07/343953507.db2.gz CAWVPKHVFSUHIN-VIFPVBQESA-N 0 3 208.280 2.814 20 0 BFADHN CC(C)CCN[C@H](C)c1ccc(F)cn1 ZINC000092195048 343953625 /nfs/dbraw/zinc/95/36/25/343953625.db2.gz QYGSVMXMXHPFRY-SNVBAGLBSA-N 0 3 210.296 2.917 20 0 BFADHN C/C=C\CNCc1cc(C)c(O)c(C)c1 ZINC000395146324 362275238 /nfs/dbraw/zinc/27/52/38/362275238.db2.gz VHRSVVYMAXWSOP-PLNGDYQASA-N 0 3 205.301 2.675 20 0 BFADHN CCS[C@@H]1CCCC[C@H]1NCc1cn[nH]c1 ZINC000393802626 343963326 /nfs/dbraw/zinc/96/33/26/343963326.db2.gz NWHZFOKFRLQWGK-VXGBXAGGSA-N 0 3 239.388 2.564 20 0 BFADHN CCCN(Cc1cnc(C)o1)CC1CC1 ZINC000628117128 343966421 /nfs/dbraw/zinc/96/64/21/343966421.db2.gz TWYBLEDPQJKBFW-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cn2cccn2)cc1F ZINC000106410117 178620605 /nfs/dbraw/zinc/62/06/05/178620605.db2.gz BLZCSAXJIWMRHM-LBPRGKRZSA-N 0 3 247.317 2.509 20 0 BFADHN Oc1ccc2c(c1)CN([C@@H]1C=CCCC1)C2 ZINC000625726444 344057999 /nfs/dbraw/zinc/05/79/99/344057999.db2.gz IJHOXNIUBYWBIT-CYBMUJFWSA-N 0 3 215.296 2.817 20 0 BFADHN CCC[C@@H](C)N1Cc2ccc(O)cc2C1 ZINC000625728210 344059997 /nfs/dbraw/zinc/05/99/97/344059997.db2.gz ZPGJFZOMUVSURO-SNVBAGLBSA-N 0 3 205.301 2.896 20 0 BFADHN FC1(F)CCCN(CCOC2CCC2)CC1 ZINC000625771529 344066974 /nfs/dbraw/zinc/06/69/74/344066974.db2.gz GZEMOIUAVZAVNJ-UHFFFAOYSA-N 0 3 233.302 2.677 20 0 BFADHN CC(C)(C)OCCN1CCCC(F)(F)CC1 ZINC000625768958 344069275 /nfs/dbraw/zinc/06/92/75/344069275.db2.gz YWTNWCKYFDLEFQ-UHFFFAOYSA-N 0 3 235.318 2.923 20 0 BFADHN FC1(F)CCCN(Cc2ccncc2)CC1 ZINC000625768544 344069404 /nfs/dbraw/zinc/06/94/04/344069404.db2.gz LBYBUFOYEWLSKN-UHFFFAOYSA-N 0 3 226.270 2.703 20 0 BFADHN CC(C)C1(CN2CCO[C@@H](C(F)F)CC2)CC1 ZINC000634733681 344114000 /nfs/dbraw/zinc/11/40/00/344114000.db2.gz LBGOXEPYDXPXOL-LLVKDONJSA-N 0 3 247.329 2.779 20 0 BFADHN Cc1c([C@@H](C)NCc2ccc(C)cc2)cnn1C ZINC000020349437 178631806 /nfs/dbraw/zinc/63/18/06/178631806.db2.gz RHYMATLJBXYPMJ-GFCCVEGCSA-N 0 3 243.354 2.888 20 0 BFADHN C/C=C/CN[C@H]1CCCc2ccc(O)cc21 ZINC000384743740 344088236 /nfs/dbraw/zinc/08/82/36/344088236.db2.gz MJFADWAKRMQOHV-HSWBROFVSA-N 0 3 217.312 2.935 20 0 BFADHN CC[C@@](C)(NC[C@@H](C)COC)c1nccs1 ZINC000187981934 259372709 /nfs/dbraw/zinc/37/27/09/259372709.db2.gz IDQIEXPDYQDRIQ-ZYHUDNBSSA-N 0 3 242.388 2.640 20 0 BFADHN Cc1noc(C)c1CCN[C@H](C)c1ccoc1 ZINC000156073333 344124432 /nfs/dbraw/zinc/12/44/32/344124432.db2.gz QDTDYERMKGIGNB-SECBINFHSA-N 0 3 234.299 2.778 20 0 BFADHN CC/C=C\CCN1CCO[C@@H]2CCC[C@@H]21 ZINC000625853169 344125021 /nfs/dbraw/zinc/12/50/21/344125021.db2.gz PNKCPSDJDCVXGZ-RSNNXLNZSA-N 0 3 209.333 2.596 20 0 BFADHN Cc1cccc(N(C)C(=O)C(C)C(F)(F)F)n1 ZINC000080334136 344127026 /nfs/dbraw/zinc/12/70/26/344127026.db2.gz DQFPDDISXUXCAT-MRVPVSSYSA-N 0 3 246.232 2.551 20 0 BFADHN C/C=C\CN[C@H](C)c1cnc2cc(C)nn2c1C ZINC000395267722 344136172 /nfs/dbraw/zinc/13/61/72/344136172.db2.gz ZQQDQJCWXCNUNJ-ISALQUGTSA-N 0 3 244.342 2.573 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1ccc(=O)[nH]c1 ZINC000631112492 344143091 /nfs/dbraw/zinc/14/30/91/344143091.db2.gz PWQFIOQPKOZMSF-SECBINFHSA-N 0 3 248.248 2.608 20 0 BFADHN CCN(C)Cc1ccc(OC)c(OC2CC2)c1 ZINC000628129524 344157952 /nfs/dbraw/zinc/15/79/52/344157952.db2.gz GEJBUKREHXAZJI-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN CSC[C@@H](C)N[C@@H]1CCCc2cccnc21 ZINC000127358459 344239012 /nfs/dbraw/zinc/23/90/12/344239012.db2.gz VVFOVBGCARVBQJ-ZYHUDNBSSA-N 0 3 236.384 2.800 20 0 BFADHN CC(C)=CCN1C[C@@H](C)OC2(CCC2)C1 ZINC000564928319 344217932 /nfs/dbraw/zinc/21/79/32/344217932.db2.gz AIYFVKAYLWVHJB-GFCCVEGCSA-N 0 3 209.333 2.596 20 0 BFADHN Cc1ccc(CNCCC(C)(C)C)nc1 ZINC000131359680 344231468 /nfs/dbraw/zinc/23/14/68/344231468.db2.gz IRQUTOIMKAOBJG-UHFFFAOYSA-N 0 3 206.333 2.916 20 0 BFADHN COc1ccc(CN(C)C2CC2)cc1OC1CC1 ZINC000628135028 344194082 /nfs/dbraw/zinc/19/40/82/344194082.db2.gz KYXJAMVUBLFNJA-UHFFFAOYSA-N 0 3 247.338 2.831 20 0 BFADHN C[C@@H]1C[C@@H](Nc2ccnc3ccccc32)[C@H](C)O1 ZINC000384870777 344203335 /nfs/dbraw/zinc/20/33/35/344203335.db2.gz IJQZCJRVGNEFGS-JRPNMDOOSA-N 0 3 242.322 2.635 20 0 BFADHN C/C=C\CNCc1cc(C)ccc1OC ZINC000384876801 344203446 /nfs/dbraw/zinc/20/34/46/344203446.db2.gz HJZVCHWXTAGUKE-PLNGDYQASA-N 0 3 205.301 2.669 20 0 BFADHN C[C@H]1CCCC[C@H]1SCCN(C)C ZINC000600531759 344258726 /nfs/dbraw/zinc/25/87/26/344258726.db2.gz VYOMFAWDVJYZEB-WDEREUQCSA-N 0 3 201.379 2.860 20 0 BFADHN C[C@H](F)CCNCc1ccc(C(F)F)nc1 ZINC000631143659 344271035 /nfs/dbraw/zinc/27/10/35/344271035.db2.gz UNRBCCZSTLDHEL-QMMMGPOBSA-N 0 3 232.249 2.857 20 0 BFADHN C[C@H](N[C@@H](CO)CC(C)(C)C)c1ccccn1 ZINC000090588427 344271394 /nfs/dbraw/zinc/27/13/94/344271394.db2.gz KLSOVWIACIKREX-NWDGAFQWSA-N 0 3 236.359 2.529 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CC[C@@H](C)[C@@H](C)C1 ZINC000228203006 259374830 /nfs/dbraw/zinc/37/48/30/259374830.db2.gz RGBXMGFDTOJFTA-FRRDWIJNSA-N 0 3 241.375 2.696 20 0 BFADHN COCCCN(C)Cc1cc(C)ccc1F ZINC000092536917 344298054 /nfs/dbraw/zinc/29/80/54/344298054.db2.gz YVEDRROMOTZJMX-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN C[C@@H](NCC1C(C)(C)C1(C)C)c1ncc[nH]1 ZINC000336758746 537842884 /nfs/dbraw/zinc/84/28/84/537842884.db2.gz ZRLOJJMOOURYJP-SECBINFHSA-N 0 3 221.348 2.743 20 0 BFADHN CCN(Cc1ccsc1)C[C@H]1CCCO1 ZINC000092407810 344294811 /nfs/dbraw/zinc/29/48/11/344294811.db2.gz FXOBSMAMAHSJDT-GFCCVEGCSA-N 0 3 225.357 2.749 20 0 BFADHN CCC1(CNCc2nccn2C)CCCCC1 ZINC000358178044 168480629 /nfs/dbraw/zinc/48/06/29/168480629.db2.gz YKWWDFATWLZSOQ-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN CCCC[C@@H]1CCC[C@@H]1NC(=O)[C@@H](N)CCC ZINC000236864433 344425470 /nfs/dbraw/zinc/42/54/70/344425470.db2.gz SCVGBSNKMSNPIB-AGIUHOORSA-N 0 3 240.391 2.589 20 0 BFADHN CCc1nc(CCNCc2ccco2)cs1 ZINC000102431578 344431550 /nfs/dbraw/zinc/43/15/50/344431550.db2.gz MLGHVEAZFWWJHT-UHFFFAOYSA-N 0 3 236.340 2.631 20 0 BFADHN CC[C@@H]1CN([C@@H]2C=CCCC2)C[C@@H](C)O1 ZINC000247704726 344385442 /nfs/dbraw/zinc/38/54/42/344385442.db2.gz RTZRHYGOINVGIJ-JHJVBQTASA-N 0 3 209.333 2.594 20 0 BFADHN C[C@@H](C(=O)N(C)C(C)(C)C)[C@H](N)c1ccccc1 ZINC000381959785 347050772 /nfs/dbraw/zinc/05/07/72/347050772.db2.gz FNSYRPLWRVBUEB-YPMHNXCESA-N 0 3 248.370 2.579 20 0 BFADHN CC(C)[C@H]1CCCC[C@H]1NCc1cnccn1 ZINC000214940797 344404224 /nfs/dbraw/zinc/40/42/24/344404224.db2.gz KTOFGEHICVQWDT-ZIAGYGMSSA-N 0 3 233.359 2.781 20 0 BFADHN CC[C@@H](N[C@@H]1CCC1(C)C)c1ccn(C)n1 ZINC000309624224 344418939 /nfs/dbraw/zinc/41/89/39/344418939.db2.gz FIVXBHGKXZHONT-ZYHUDNBSSA-N 0 3 221.348 2.649 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H](C)c1cnccc1C ZINC000381403367 344502949 /nfs/dbraw/zinc/50/29/49/344502949.db2.gz RSYTYJSACAPAHZ-CYZMBNFOSA-N 0 3 236.384 2.935 20 0 BFADHN CCC[C@H](N)C(=O)N[C@H](CC)c1ccc(C)cc1 ZINC000037028316 344487093 /nfs/dbraw/zinc/48/70/93/344487093.db2.gz BVLUPFYOWBVERM-UONOGXRCSA-N 0 3 248.370 2.690 20 0 BFADHN CN[C@@H](C)C(=O)N1CCC[C@H](C)c2ccccc21 ZINC000573742763 344511861 /nfs/dbraw/zinc/51/18/61/344511861.db2.gz ZWUZNAOVVIIOFS-RYUDHWBXSA-N 0 3 246.354 2.525 20 0 BFADHN CSCCNCc1cc(F)c(F)c(F)c1 ZINC000068890431 344511905 /nfs/dbraw/zinc/51/19/05/344511905.db2.gz IBTHUBBUNUTXSS-UHFFFAOYSA-N 0 3 235.274 2.557 20 0 BFADHN C[C@H](NCc1ccn(C)n1)[C@@H]1CC2CCC1CC2 ZINC000381534538 344518019 /nfs/dbraw/zinc/51/80/19/344518019.db2.gz QHGAHPSAJPRZDY-CLTVBZQCSA-N 0 3 247.386 2.725 20 0 BFADHN CC[C@@](C)(N)c1cn(C[C@@H](C)CC(C)C)nn1 ZINC000395274500 362406255 /nfs/dbraw/zinc/40/62/55/362406255.db2.gz WDLKAAWJGUTIIA-WCQYABFASA-N 0 3 238.379 2.544 20 0 BFADHN CC1(C)C[C@@H]1NCc1cc(F)ccc1F ZINC000044370505 344539668 /nfs/dbraw/zinc/53/96/68/344539668.db2.gz OLRHWQKPRBTUQG-NSHDSACASA-N 0 3 211.255 2.853 20 0 BFADHN CC[C@](C)(N)c1cn(C[C@@H](C)CC(C)C)nn1 ZINC000395274494 362406656 /nfs/dbraw/zinc/40/66/56/362406656.db2.gz WDLKAAWJGUTIIA-AAEUAGOBSA-N 0 3 238.379 2.544 20 0 BFADHN CCn1nccc1CNCCc1ccc(C)cc1 ZINC000119248321 487535495 /nfs/dbraw/zinc/53/54/95/487535495.db2.gz DXTARUVUQXTNMV-UHFFFAOYSA-N 0 3 243.354 2.544 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1C[C@H]1C1CCCCC1 ZINC000368093999 344552763 /nfs/dbraw/zinc/55/27/63/344552763.db2.gz VBCCOLSHOKAZGS-QWHCGFSZSA-N 0 3 233.359 2.777 20 0 BFADHN c1[nH]c(CNCC2CC2)nc1-c1ccccc1 ZINC000037613741 344553130 /nfs/dbraw/zinc/55/31/30/344553130.db2.gz IISGOKAFTMPWAO-UHFFFAOYSA-N 0 3 227.311 2.576 20 0 BFADHN CCC[C@](C)(N)C(=O)N(CC)CC(CC)CC ZINC000037621572 344553275 /nfs/dbraw/zinc/55/32/75/344553275.db2.gz UMQRYHDOXHQCOZ-AWEZNQCLSA-N 0 3 242.407 2.789 20 0 BFADHN COCC[C@@H](C)NCc1scnc1C1CC1 ZINC000336769643 537850526 /nfs/dbraw/zinc/85/05/26/537850526.db2.gz SCYRVUNPQGVTEQ-SECBINFHSA-N 0 3 240.372 2.535 20 0 BFADHN Cc1ccsc1[C@@H](C)NCc1cncn1C ZINC000289595768 537848401 /nfs/dbraw/zinc/84/84/01/537848401.db2.gz CIPIKTXZCGDUIZ-SNVBAGLBSA-N 0 3 235.356 2.641 20 0 BFADHN CNCc1ccccc1NC(=O)/C=C\C(C)(C)C ZINC000493390823 530009507 /nfs/dbraw/zinc/00/95/07/530009507.db2.gz PKNBCTDHSHILGZ-KTKRTIGZSA-N 0 3 246.354 2.947 20 0 BFADHN CCc1nc(CN[C@@H](C)C2CC2)cs1 ZINC000049591185 344655763 /nfs/dbraw/zinc/65/57/63/344655763.db2.gz HDQWLLFGSIFOKJ-QMMMGPOBSA-N 0 3 210.346 2.594 20 0 BFADHN FCC[C@@H]1CN(CC2CCCCC2)CCO1 ZINC000625999339 344661013 /nfs/dbraw/zinc/66/10/13/344661013.db2.gz QANDJTBZKUXBNI-CYBMUJFWSA-N 0 3 229.339 2.627 20 0 BFADHN FCC[C@H]1CN(CC2CCCCC2)CCO1 ZINC000625999340 344661301 /nfs/dbraw/zinc/66/13/01/344661301.db2.gz QANDJTBZKUXBNI-ZDUSSCGKSA-N 0 3 229.339 2.627 20 0 BFADHN Oc1cccc2c1CCN(CCC1CCC1)C2 ZINC000626028453 344681182 /nfs/dbraw/zinc/68/11/82/344681182.db2.gz KLYNOARZUSCLTM-UHFFFAOYSA-N 0 3 231.339 2.941 20 0 BFADHN FCC[C@H]1CN(CCC2CCCC2)CCO1 ZINC000626002598 344663390 /nfs/dbraw/zinc/66/33/90/344663390.db2.gz QQJNHDYJMBFCRO-ZDUSSCGKSA-N 0 3 229.339 2.627 20 0 BFADHN COc1cccc2c1CN(CC1CC=CC1)C2 ZINC000626023587 344678652 /nfs/dbraw/zinc/67/86/52/344678652.db2.gz LVFJZTADSYAFOY-UHFFFAOYSA-N 0 3 229.323 2.977 20 0 BFADHN CC(C)OCCCN1CCc2c(O)cccc2C1 ZINC000626026569 344679107 /nfs/dbraw/zinc/67/91/07/344679107.db2.gz PHBVGHVAPVMXNA-UHFFFAOYSA-N 0 3 249.354 2.565 20 0 BFADHN CO[C@H](CN1CCC(F)(F)C[C@@H]1C)C1CCC1 ZINC000625981520 344647542 /nfs/dbraw/zinc/64/75/42/344647542.db2.gz WAEGPQANCPSHNW-CMPLNLGQSA-N 0 3 247.329 2.921 20 0 BFADHN CCOCCCN1CCC(F)(F)C[C@H]1C ZINC000625979951 344648011 /nfs/dbraw/zinc/64/80/11/344648011.db2.gz NTIIWYWEKNVDSS-SNVBAGLBSA-N 0 3 221.291 2.533 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1CCC[C@H]1CCOC1 ZINC000625981353 344648159 /nfs/dbraw/zinc/64/81/59/344648159.db2.gz PTYNKWJHPGDFEH-NEPJUHHUSA-N 0 3 247.329 2.923 20 0 BFADHN Cc1csc([C@@H](C)NCC[C@H]2CCCO2)n1 ZINC000083526823 133526901 /nfs/dbraw/zinc/52/69/01/133526901.db2.gz PMSTZUPMEHZKIT-GHMZBOCLSA-N 0 3 240.372 2.671 20 0 BFADHN C[C@]12CCCC[C@H]1CN2CCO[C@@H]1CC1(F)F ZINC000626103123 344739788 /nfs/dbraw/zinc/73/97/88/344739788.db2.gz MWFIEDHBTFYYCP-TUAOUCFPSA-N 0 3 245.313 2.675 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc2occc2c1 ZINC000560495994 344743595 /nfs/dbraw/zinc/74/35/95/344743595.db2.gz GZBVTDDNZNBALC-JSGCOSHPSA-N 0 3 231.295 2.700 20 0 BFADHN C/C=C\C[C@H](CO)N[C@@H](C)c1ncccc1CC ZINC000349425720 344691992 /nfs/dbraw/zinc/69/19/92/344691992.db2.gz VJFNVMURLXWHLS-MDUKQBBOSA-N 0 3 248.370 2.622 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1NCc1cccc2c1OCO2 ZINC000560514321 344752444 /nfs/dbraw/zinc/75/24/44/344752444.db2.gz WZMBISQMODLOHO-QWHCGFSZSA-N 0 3 247.338 2.940 20 0 BFADHN CC(C)n1cc(CN2CCC(C)CC2)cn1 ZINC000205532609 347067485 /nfs/dbraw/zinc/06/74/85/347067485.db2.gz QJPNEWREKHFEQV-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1nc(C)c(C)o1 ZINC000075021919 344762079 /nfs/dbraw/zinc/76/20/79/344762079.db2.gz KZFKWQALVVQFSE-SKDRFNHKSA-N 0 3 222.332 2.912 20 0 BFADHN CN(C)CCSc1nccn1-c1ccccc1 ZINC000075527967 344773832 /nfs/dbraw/zinc/77/38/32/344773832.db2.gz BOSAUMPQRKXDBI-UHFFFAOYSA-N 0 3 247.367 2.526 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1ccsc1 ZINC000050344906 344783384 /nfs/dbraw/zinc/78/33/84/344783384.db2.gz GDSXLVCQEOQWKT-ZJUUUORDSA-N 0 3 213.346 2.824 20 0 BFADHN OC[C@H](N[C@H]1CC[C@H]1C1CC1)c1cccc(F)c1 ZINC000348367287 537859770 /nfs/dbraw/zinc/85/97/70/537859770.db2.gz CXARKILQZJYGFR-KKUMJFAQSA-N 0 3 249.329 2.637 20 0 BFADHN C[C@@H](c1cccnc1)N1C[C@@H](C)S[C@H](C)C1 ZINC000075892210 344787229 /nfs/dbraw/zinc/78/72/29/344787229.db2.gz AMFXMZMLIHKXCD-UTUOFQBUSA-N 0 3 236.384 2.968 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1ccccn1)OC ZINC000318941057 259380626 /nfs/dbraw/zinc/38/06/26/259380626.db2.gz CDUDIKBPZQPCLQ-DGCLKSJQSA-N 0 3 222.332 2.547 20 0 BFADHN C[C@H]1CN(CCOc2ccccc2F)C[C@@H]1C ZINC000076111227 344795632 /nfs/dbraw/zinc/79/56/32/344795632.db2.gz HOKGTZYPVDYEGD-RYUDHWBXSA-N 0 3 237.318 2.792 20 0 BFADHN C[C@@H]1CN(CCOc2ccccc2F)C[C@H]1C ZINC000076111230 344795682 /nfs/dbraw/zinc/79/56/82/344795682.db2.gz HOKGTZYPVDYEGD-VXGBXAGGSA-N 0 3 237.318 2.792 20 0 BFADHN COc1ccc(C)cc1CN1CCC[C@H](OC)C1 ZINC000076346886 344801328 /nfs/dbraw/zinc/80/13/28/344801328.db2.gz ZHNSPHJVKXGNEA-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN OC[C@H](N[C@@H]1CC[C@@H]1C1CC1)c1cccc(F)c1 ZINC000348367286 537860384 /nfs/dbraw/zinc/86/03/84/537860384.db2.gz CXARKILQZJYGFR-KFWWJZLASA-N 0 3 249.329 2.637 20 0 BFADHN CCCCN1CCC[C@H]1c1cc(C)no1 ZINC000076713005 344808575 /nfs/dbraw/zinc/80/85/75/344808575.db2.gz BWYWYXPKDWRDHZ-NSHDSACASA-N 0 3 208.305 2.920 20 0 BFADHN CCN(Cc1nc(C)c[nH]1)[C@H](C)C(C)C ZINC000628156569 344849804 /nfs/dbraw/zinc/84/98/04/344849804.db2.gz UCSJDFNGIHBLCL-LLVKDONJSA-N 0 3 209.337 2.585 20 0 BFADHN Cc1cccc2c1ccnc2N(C)CCN(C)C ZINC000574448652 344853918 /nfs/dbraw/zinc/85/39/18/344853918.db2.gz YFVWRHCZAJTYSK-UHFFFAOYSA-N 0 3 243.354 2.541 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]3CCC[C@@H]32)o1 ZINC000628154697 344844488 /nfs/dbraw/zinc/84/44/88/344844488.db2.gz DIXRTSICHGQBGZ-AAEUAGOBSA-N 0 3 220.316 2.748 20 0 BFADHN c1nc(C2CC2)oc1CN1CCC[C@@H]1C1CC1 ZINC000628163419 344866167 /nfs/dbraw/zinc/86/61/67/344866167.db2.gz BIEJFLSCYCXFAS-CYBMUJFWSA-N 0 3 232.327 2.926 20 0 BFADHN Cc1cc(CN[C@H]2CCCC(F)(F)C2)on1 ZINC000631089270 344880402 /nfs/dbraw/zinc/88/04/02/344880402.db2.gz AIUBOHVCDFUZIK-VIFPVBQESA-N 0 3 230.258 2.651 20 0 BFADHN CC[C@@H](NCc1cccc(C)c1)c1cnn(C)c1 ZINC000120411585 344889938 /nfs/dbraw/zinc/88/99/38/344889938.db2.gz ZLFIGTSSHLDPIQ-OAHLLOKOSA-N 0 3 243.354 2.969 20 0 BFADHN CCCCN(C)Cc1ccc2c(n1)CCC2 ZINC000577070039 365803181 /nfs/dbraw/zinc/80/31/81/365803181.db2.gz AYFBNFWBPJYWJC-UHFFFAOYSA-N 0 3 218.344 2.802 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1nc(C)c(C)[nH]1 ZINC000628179383 344913879 /nfs/dbraw/zinc/91/38/79/344913879.db2.gz YJWUILNIGNBNCO-JOYOIKCWSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1ncc(CN2C[C@H](C)CCC[C@H]2C)o1 ZINC000628179401 344914369 /nfs/dbraw/zinc/91/43/69/344914369.db2.gz YYJHRTOYTAGOAQ-GHMZBOCLSA-N 0 3 222.332 2.994 20 0 BFADHN CC1(NCc2nccs2)CCCCC1 ZINC000082404010 344917995 /nfs/dbraw/zinc/91/79/95/344917995.db2.gz YAKDBMLYVNJOAZ-UHFFFAOYSA-N 0 3 210.346 2.956 20 0 BFADHN c1cc(CCNCc2cnc(C3CC3)o2)cs1 ZINC000628201084 344973629 /nfs/dbraw/zinc/97/36/29/344973629.db2.gz QNQUXJXABKRFJV-UHFFFAOYSA-N 0 3 248.351 2.946 20 0 BFADHN CCN1CCC[C@@H]1CC(=O)c1cccs1 ZINC000121822238 344938895 /nfs/dbraw/zinc/93/88/95/344938895.db2.gz SZIVZZFCNLYNHC-SNVBAGLBSA-N 0 3 223.341 2.805 20 0 BFADHN Cc1c[nH]c(CN2CC[C@H](C)C[C@@H](C)C2)n1 ZINC000628189708 344942393 /nfs/dbraw/zinc/94/23/93/344942393.db2.gz KNYWQEMBXQLAOB-WDEREUQCSA-N 0 3 221.348 2.586 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1ccc(C)cc1F ZINC000631150276 344979849 /nfs/dbraw/zinc/97/98/49/344979849.db2.gz CTUCOGJOXBRDLB-ZIAGYGMSSA-N 0 3 237.318 2.791 20 0 BFADHN CCC1CC(NCc2cnc(C)s2)C1 ZINC000308585936 168511260 /nfs/dbraw/zinc/51/12/60/168511260.db2.gz IIMMZNKFYALPHZ-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN C/C=C/C[C@H](CO)NCc1ccc(C)cc1F ZINC000631113913 344981946 /nfs/dbraw/zinc/98/19/46/344981946.db2.gz NHCCZYCVCJYMQI-ITDFMYJTSA-N 0 3 237.318 2.551 20 0 BFADHN CCC(CC)CN1CCS[C@@H]2COCC[C@@H]21 ZINC000153925418 345002916 /nfs/dbraw/zinc/00/29/16/345002916.db2.gz SXGVHMUPTZHASY-QWHCGFSZSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1cnc(NC(=O)C(C)C(F)(F)F)s1 ZINC000079633012 345007954 /nfs/dbraw/zinc/00/79/54/345007954.db2.gz XPJLIFLVMGZRAT-YFKPBYRVSA-N 0 3 238.234 2.588 20 0 BFADHN CN(CCCC1CCCC1)Cc1cnn(C)c1 ZINC000154186624 345019575 /nfs/dbraw/zinc/01/95/75/345019575.db2.gz OGFPBBNTAMGBSX-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN Cc1ccc(OCCNCc2ccccn2)cc1 ZINC000032100268 178812596 /nfs/dbraw/zinc/81/25/96/178812596.db2.gz OJVBZJDFTUFVHA-UHFFFAOYSA-N 0 3 242.322 2.559 20 0 BFADHN C[C@H](NCc1ccccc1Cl)C(C)(C)O ZINC000082431752 345055019 /nfs/dbraw/zinc/05/50/19/345055019.db2.gz RQNNQUJVNAEVFX-VIFPVBQESA-N 0 3 227.735 2.589 20 0 BFADHN CC[C@H](N[C@H](C)c1cscn1)C1CC1 ZINC000323867647 323083443 /nfs/dbraw/zinc/08/34/43/323083443.db2.gz KTQXHZKHYNMBME-SCZZXKLOSA-N 0 3 210.346 2.982 20 0 BFADHN CC[C@H]1CC[C@H](NCc2ccon2)CC1 ZINC000634981468 345161161 /nfs/dbraw/zinc/16/11/61/345161161.db2.gz JNFGUQGKYMESHT-XYPYZODXSA-N 0 3 208.305 2.733 20 0 BFADHN CC[C@H](Cc1ccc(F)cc1)NCc1ccon1 ZINC000634986005 345165797 /nfs/dbraw/zinc/16/57/97/345165797.db2.gz JSHCRSBJYLPPOS-CYBMUJFWSA-N 0 3 248.301 2.925 20 0 BFADHN CC(C)(C)[C@H]1CCC[C@@H]1NCc1ccon1 ZINC000634984462 345167813 /nfs/dbraw/zinc/16/78/13/345167813.db2.gz SHBHMBJTOBOFFO-RYUDHWBXSA-N 0 3 222.332 2.979 20 0 BFADHN c1cc(CNC[C@H]2CC3CCC2CC3)no1 ZINC000634985066 345169307 /nfs/dbraw/zinc/16/93/07/345169307.db2.gz KISQLBVJZNJZLR-HTAVTVPLSA-N 0 3 220.316 2.591 20 0 BFADHN C[C@H](c1ccccc1)[C@H](C)NCc1cc[nH]n1 ZINC000080247839 345126561 /nfs/dbraw/zinc/12/65/61/345126561.db2.gz WPEMBKNWDCVVOG-RYUDHWBXSA-N 0 3 229.327 2.692 20 0 BFADHN C[C@H]1C[C@H]1CNCc1nc2cc(F)ccc2o1 ZINC000634952766 345128827 /nfs/dbraw/zinc/12/88/27/345128827.db2.gz ISHXJZTVTJKBJI-IUCAKERBSA-N 0 3 234.274 2.713 20 0 BFADHN COCC[C@H](C)N[C@H]1CSc2ccccc21 ZINC000156215838 345140075 /nfs/dbraw/zinc/14/00/75/345140075.db2.gz XMEUPLJGOPZQHA-JQWIXIFHSA-N 0 3 237.368 2.848 20 0 BFADHN CC[C@@H](c1ccccc1)N1CCN(C2CC2)CC1 ZINC000126968898 345149546 /nfs/dbraw/zinc/14/95/46/345149546.db2.gz LJKFEWZIWZNXJQ-INIZCTEOSA-N 0 3 244.382 2.918 20 0 BFADHN Cc1cccc(N(C)C(=O)C(C)C(F)(F)F)n1 ZINC000080334140 345149556 /nfs/dbraw/zinc/14/95/56/345149556.db2.gz DQFPDDISXUXCAT-QMMMGPOBSA-N 0 3 246.232 2.551 20 0 BFADHN CC[C@@H](COC)N[C@@H]1CSc2ccccc21 ZINC000156357604 345152654 /nfs/dbraw/zinc/15/26/54/345152654.db2.gz YFLWDDJZTMTADD-CMPLNLGQSA-N 0 3 237.368 2.848 20 0 BFADHN CC[C@H](NCc1ncc(C)n1C)C1CCCC1 ZINC000449666062 345158386 /nfs/dbraw/zinc/15/83/86/345158386.db2.gz GRUNDUMMMOELKQ-ZDUSSCGKSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1cc(CNCCCC(C)(F)F)on1 ZINC000390046305 345197400 /nfs/dbraw/zinc/19/74/00/345197400.db2.gz CDJNQIXZHKPNAT-UHFFFAOYSA-N 0 3 218.247 2.508 20 0 BFADHN Cc1ccc(CN(C)CCOCC2CC2)cc1 ZINC000158335479 345321598 /nfs/dbraw/zinc/32/15/98/345321598.db2.gz HQBVPCWWBAFQIW-UHFFFAOYSA-N 0 3 233.355 2.853 20 0 BFADHN CCC(O)(CC)CN(C)[C@@H](C)c1ccccn1 ZINC000626111398 345273578 /nfs/dbraw/zinc/27/35/78/345273578.db2.gz MVGONHDVCIWAMY-LBPRGKRZSA-N 0 3 236.359 2.626 20 0 BFADHN Cc1ccc([C@@H](C)NCCC(=O)OC(C)C)cc1 ZINC000182339056 178840481 /nfs/dbraw/zinc/84/04/81/178840481.db2.gz HWZDJPLUDYCSKR-CYBMUJFWSA-N 0 3 249.354 2.987 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H](CO)C(C)C)o1 ZINC000309654367 178841768 /nfs/dbraw/zinc/84/17/68/178841768.db2.gz YPWWZMGCCFXRJK-VXGBXAGGSA-N 0 3 225.332 2.503 20 0 BFADHN OC[C@H](N[C@@H]1CC[C@@H]1C1CC1)c1ccc(F)cc1 ZINC000348380694 537895338 /nfs/dbraw/zinc/89/53/38/537895338.db2.gz PYJUPEIFEOBEJD-KFWWJZLASA-N 0 3 249.329 2.637 20 0 BFADHN CC[C@@H](O)CCNCc1ccc(F)cc1Cl ZINC000133128998 345362067 /nfs/dbraw/zinc/36/20/67/345362067.db2.gz DTWXHEGWPDOSPZ-LLVKDONJSA-N 0 3 245.725 2.730 20 0 BFADHN c1ccc2c(c1)CC[C@@]21CN(CC2CC2)CCO1 ZINC000158677183 345365734 /nfs/dbraw/zinc/36/57/34/345365734.db2.gz QNZPAMUJYCBOBC-MRXNPFEDSA-N 0 3 243.350 2.570 20 0 BFADHN CCN(Cc1ccccc1OCCOC)C1CC1 ZINC000133330211 345370066 /nfs/dbraw/zinc/37/00/66/345370066.db2.gz QLVBPJUFPHPLFE-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccncc1CN1CCCC2(CC2)CC1 ZINC000626114954 345325183 /nfs/dbraw/zinc/32/51/83/345325183.db2.gz ALVTWWHQDLOUHP-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN CCn1cc(CN2CCCC3(CC3)CC2)cn1 ZINC000626115027 345326091 /nfs/dbraw/zinc/32/60/91/345326091.db2.gz DBSKXTVPEYCSMN-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN Cc1nccc(CN2CCCC3(CC3)CC2)n1 ZINC000626115483 345333574 /nfs/dbraw/zinc/33/35/74/345333574.db2.gz VLESLNRMOHPCQD-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN CC(C)c1nc(CN2CCCC3(CC3)CC2)n[nH]1 ZINC000626115554 345335206 /nfs/dbraw/zinc/33/52/06/345335206.db2.gz XLWDRCHVSBGWOO-UHFFFAOYSA-N 0 3 248.374 2.694 20 0 BFADHN CC(C)c1nnc(C[N@@H+]2CCCC3(CC3)CC2)[n-]1 ZINC000626115554 345335207 /nfs/dbraw/zinc/33/52/07/345335207.db2.gz XLWDRCHVSBGWOO-UHFFFAOYSA-N 0 3 248.374 2.694 20 0 BFADHN CC(C)c1nnc(C[N@H+]2CCCC3(CC3)CC2)[n-]1 ZINC000626115554 345335208 /nfs/dbraw/zinc/33/52/08/345335208.db2.gz XLWDRCHVSBGWOO-UHFFFAOYSA-N 0 3 248.374 2.694 20 0 BFADHN CSCCNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000070184480 345455517 /nfs/dbraw/zinc/45/55/17/345455517.db2.gz NQEKXJHYOKDYAS-MWLCHTKSSA-N 0 3 225.357 2.856 20 0 BFADHN C[C@H]1C[C@H]1CNCc1ccn(C2CCCC2)n1 ZINC000159186016 345414058 /nfs/dbraw/zinc/41/40/58/345414058.db2.gz NHGKXSDVNQMQOF-RYUDHWBXSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H](O)C[C@@H]1CCCN1Cc1cccc(F)c1 ZINC000159180855 345415288 /nfs/dbraw/zinc/41/52/88/345415288.db2.gz GEQCZSNFHRUCTE-RISCZKNCSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1nc2c(s1)CCC2 ZINC000678814273 488286550 /nfs/dbraw/zinc/28/65/50/488286550.db2.gz HEMUKPBKKHUJIL-VIFPVBQESA-N 0 3 236.384 2.862 20 0 BFADHN CSCCNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000070184481 345455485 /nfs/dbraw/zinc/45/54/85/345455485.db2.gz NQEKXJHYOKDYAS-GXSJLCMTSA-N 0 3 225.357 2.856 20 0 BFADHN CCc1ccc(CN(C)CCC(C)(C)O)cc1 ZINC000081644461 345488804 /nfs/dbraw/zinc/48/88/04/345488804.db2.gz SERUMHLSBWVCBE-UHFFFAOYSA-N 0 3 235.371 2.842 20 0 BFADHN Cc1cnccc1CCN[C@@H](C)c1ccco1 ZINC000090847323 345577161 /nfs/dbraw/zinc/57/71/61/345577161.db2.gz UFGVDPNPMNRTJY-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN C[C@@H](N[C@H](CO)CC(C)(C)C)c1ccncc1 ZINC000090588432 345573650 /nfs/dbraw/zinc/57/36/50/345573650.db2.gz XGKURVGLSCXYIM-YPMHNXCESA-N 0 3 236.359 2.529 20 0 BFADHN CCC[C@@H](NC1CCC(O)CC1)c1ccccn1 ZINC000090800145 345575937 /nfs/dbraw/zinc/57/59/37/345575937.db2.gz AMTVPKZXBFOMRB-SSDMNJCBSA-N 0 3 248.370 2.816 20 0 BFADHN CN(CCNc1ccnc2ccsc21)C1CC1 ZINC000091459659 345593621 /nfs/dbraw/zinc/59/36/21/345593621.db2.gz PQKCASYPGFYWQM-UHFFFAOYSA-N 0 3 247.367 2.802 20 0 BFADHN COc1cc(C)ccc1CNC[C@@]1(C)CCCO1 ZINC000449196149 201834701 /nfs/dbraw/zinc/83/47/01/201834701.db2.gz RUSPYDBIVOKYKH-OAHLLOKOSA-N 0 3 249.354 2.662 20 0 BFADHN Cc1ccc(F)c(CN(C[C@H](C)O)C(C)C)c1 ZINC000093143360 345657512 /nfs/dbraw/zinc/65/75/12/345657512.db2.gz PLJISUGANXEJET-LBPRGKRZSA-N 0 3 239.334 2.725 20 0 BFADHN COc1cccc(CN2C[C@H](C)[C@@H](C)C2)c1OC ZINC000093503608 345660887 /nfs/dbraw/zinc/66/08/87/345660887.db2.gz GXFDNIRBCPVELM-RYUDHWBXSA-N 0 3 249.354 2.792 20 0 BFADHN COc1cccc(CN2C[C@H](C)[C@H](C)C2)c1OC ZINC000093503607 345661108 /nfs/dbraw/zinc/66/11/08/345661108.db2.gz GXFDNIRBCPVELM-TXEJJXNPSA-N 0 3 249.354 2.792 20 0 BFADHN CCC1(CO)CCN(Cc2ccc(C)o2)CC1 ZINC000093591712 345661903 /nfs/dbraw/zinc/66/19/03/345661903.db2.gz CDCKLGCPZQWURJ-UHFFFAOYSA-N 0 3 237.343 2.573 20 0 BFADHN C[C@H]1CN(Cc2cnn(C(C)(C)C)c2)C[C@@H]1C ZINC000093504136 345662693 /nfs/dbraw/zinc/66/26/93/345662693.db2.gz CDRUSUGGYJLFJY-RYUDHWBXSA-N 0 3 235.375 2.726 20 0 BFADHN CCc1ncc(CN2C[C@@H]3[C@H](C2)C3(C)C)s1 ZINC000093660979 345663482 /nfs/dbraw/zinc/66/34/82/345663482.db2.gz NCFGRSSDAGKUSK-PHIMTYICSA-N 0 3 236.384 2.793 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@@]3(CCOC3)C2)c1 ZINC000093819963 345665810 /nfs/dbraw/zinc/66/58/10/345665810.db2.gz JYSIKYTVIWUXDV-OAHLLOKOSA-N 0 3 249.329 2.747 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@H](C)O[C@@H]2C)o1 ZINC000296866346 178896275 /nfs/dbraw/zinc/89/62/75/178896275.db2.gz ZGXVVYRHUSLZTD-NNYUYHANSA-N 0 3 223.316 2.805 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCCC[C@@H]2O)o1 ZINC000038097774 178896868 /nfs/dbraw/zinc/89/68/68/178896868.db2.gz OYBLGNNMXAHINS-TUAOUCFPSA-N 0 3 223.316 2.542 20 0 BFADHN COc1ccsc1CN1CCC(C)(C)C1 ZINC000093339820 345653655 /nfs/dbraw/zinc/65/36/55/345653655.db2.gz WHLHEFHTOVCTRS-UHFFFAOYSA-N 0 3 225.357 2.989 20 0 BFADHN Cc1ncc(CN(C2CCC2)C2CCC2)o1 ZINC000628292611 347087100 /nfs/dbraw/zinc/08/71/00/347087100.db2.gz LWSAKOWDPWSZTH-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1ccco1)OC ZINC000319113759 259384644 /nfs/dbraw/zinc/38/46/44/259384644.db2.gz ZVPABFGCISAUBR-ZYHUDNBSSA-N 0 3 211.305 2.745 20 0 BFADHN Nc1cnccc1CN[C@@H]1C[C@@H]1C1CCCCC1 ZINC000641729011 362651473 /nfs/dbraw/zinc/65/14/73/362651473.db2.gz OHJPOYGFLWJZMD-UKRRQHHQSA-N 0 3 245.370 2.722 20 0 BFADHN CSc1ccc(CN[C@@H]2CCSC2)o1 ZINC000641730880 362656788 /nfs/dbraw/zinc/65/67/88/362656788.db2.gz QVRHNMYWKHUEBP-MRVPVSSYSA-N 0 3 229.370 2.597 20 0 BFADHN CSc1ccc(CNC[C@H]2CCCS2)o1 ZINC000641735534 362659527 /nfs/dbraw/zinc/65/95/27/362659527.db2.gz OKEIRNDTVCJISW-SNVBAGLBSA-N 0 3 243.397 2.987 20 0 BFADHN COCCC(C)(C)NCc1ccc(SC)o1 ZINC000641734353 362661649 /nfs/dbraw/zinc/66/16/49/362661649.db2.gz ZKOCMVHJIPVDKU-UHFFFAOYSA-N 0 3 243.372 2.906 20 0 BFADHN C[C@@H](NCc1ccc(Cl)s1)C(C)(C)O ZINC000082717507 345701948 /nfs/dbraw/zinc/70/19/48/345701948.db2.gz MOXCIDRFRRXXBZ-SSDOTTSWSA-N 0 3 233.764 2.651 20 0 BFADHN C[C@H](c1ccccc1)N1CCOC(C)(C)C1 ZINC000146021088 345712773 /nfs/dbraw/zinc/71/27/73/345712773.db2.gz OKWWDHCRRHJWOO-GFCCVEGCSA-N 0 3 219.328 2.858 20 0 BFADHN CCOCCN(CC)Cc1cccc(OC)c1 ZINC000128233495 345723049 /nfs/dbraw/zinc/72/30/49/345723049.db2.gz ZJHCOXHPMLTAFS-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN c1ccc2[nH]c(CN3CC[C@@H]4CCC[C@@H]43)nc2c1 ZINC000212290602 345726517 /nfs/dbraw/zinc/72/65/17/345726517.db2.gz BOGAATNPAFNVRO-FZMZJTMJSA-N 0 3 241.338 2.937 20 0 BFADHN Cc1cc(CN2CCC(C(C)C)CC2)n(C)n1 ZINC000200997357 345729281 /nfs/dbraw/zinc/72/92/81/345729281.db2.gz MOFWVJIRUFBGSV-UHFFFAOYSA-N 0 3 235.375 2.597 20 0 BFADHN CCC[C@@H](CC)NCc1oc(C)nc1C ZINC000311651857 345956875 /nfs/dbraw/zinc/95/68/75/345956875.db2.gz CRFDCQAMQUGBAI-LLVKDONJSA-N 0 3 210.321 2.960 20 0 BFADHN CCCN1CCN(CCc2ccc(C)cc2)CC1 ZINC000605574287 345968524 /nfs/dbraw/zinc/96/85/24/345968524.db2.gz SLIZPNFZAMFNFI-UHFFFAOYSA-N 0 3 246.398 2.565 20 0 BFADHN CC(C)[C@H]1CCC[C@H](NCc2cn(C)cn2)C1 ZINC000673601409 488296910 /nfs/dbraw/zinc/29/69/10/488296910.db2.gz RKNHAWDWUYYPLA-STQMWFEESA-N 0 3 235.375 2.725 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1cn(C)cn1 ZINC000673603469 488297005 /nfs/dbraw/zinc/29/70/05/488297005.db2.gz ZSVPFFOPMRJVDU-AAEUAGOBSA-N 0 3 235.375 2.725 20 0 BFADHN CC1(C)CCC[C@H](NCc2ccc(CO)o2)C1 ZINC000149368936 346106517 /nfs/dbraw/zinc/10/65/17/346106517.db2.gz DBWJDTOISSGNTL-NSHDSACASA-N 0 3 237.343 2.830 20 0 BFADHN CC(C)c1cnc(NCC2(N(C)C)CC2)s1 ZINC000419004592 192097282 /nfs/dbraw/zinc/09/72/82/192097282.db2.gz FNSUSKQNYNZLNG-UHFFFAOYSA-N 0 3 239.388 2.773 20 0 BFADHN c1nc(C2CC2)oc1CN1CC[C@@H]2CCC[C@@H]21 ZINC000628241900 346201951 /nfs/dbraw/zinc/20/19/51/346201951.db2.gz LFXFGJOCZSYLSU-GWCFXTLKSA-N 0 3 232.327 2.926 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1nc(C)c(C)[nH]1 ZINC000628240813 346203212 /nfs/dbraw/zinc/20/32/12/346203212.db2.gz UVOIGOIRIRXAEN-JOYOIKCWSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1ncc(CNCC2CC(C)(C)C2)o1 ZINC000628243635 346207428 /nfs/dbraw/zinc/20/74/28/346207428.db2.gz ASNBKDXCUVASEZ-UHFFFAOYSA-N 0 3 208.305 2.509 20 0 BFADHN CC[C@]1(C)CN(C[C@]2(C)CCCS2)CCO1 ZINC000628245071 346209875 /nfs/dbraw/zinc/20/98/75/346209875.db2.gz HQTIFMDHGISFOP-OLZOCXBDSA-N 0 3 243.416 2.773 20 0 BFADHN Cc1ncc(CNC2(C)Cc3ccccc3C2)o1 ZINC000628230666 346181659 /nfs/dbraw/zinc/18/16/59/346181659.db2.gz OPYLLMCHIQTJAH-UHFFFAOYSA-N 0 3 242.322 2.630 20 0 BFADHN Cc1ncc(CNc2cc(C)cc(C)n2)o1 ZINC000628230662 346181675 /nfs/dbraw/zinc/18/16/75/346181675.db2.gz OMDQRKVGBQJXKW-UHFFFAOYSA-N 0 3 217.272 2.607 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3n[nH]cc32)C[C@H]1F ZINC000628234464 346187728 /nfs/dbraw/zinc/18/77/28/346187728.db2.gz BIMGRERPWVIVAE-ZWNOBZJWSA-N 0 3 247.317 2.743 20 0 BFADHN Cc1ncc(CN(C)C2(C)CCCC2)o1 ZINC000628254005 346235110 /nfs/dbraw/zinc/23/51/10/346235110.db2.gz HZIMLDFAFRTYKW-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN COCC1(N[C@H](C)c2ccc(C)nc2C)CC1 ZINC000679074893 488305963 /nfs/dbraw/zinc/30/59/63/488305963.db2.gz ZDCFCMHRLLAXMS-GFCCVEGCSA-N 0 3 234.343 2.528 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2ccno2)C1 ZINC000626160204 346242119 /nfs/dbraw/zinc/24/21/19/346242119.db2.gz CUAQJGXTZZJKME-JDGPPOGSSA-N 0 3 220.316 2.853 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CC[C@@H](C)C2)o1 ZINC000628256133 346253666 /nfs/dbraw/zinc/25/36/66/346253666.db2.gz KSEGFALVQQDVKA-BXKDBHETSA-N 0 3 222.332 2.817 20 0 BFADHN FC1(F)CCC[C@@H]1CNCc1cncs1 ZINC000381528098 346254670 /nfs/dbraw/zinc/25/46/70/346254670.db2.gz OQJHXIUCODUFQT-MRVPVSSYSA-N 0 3 232.299 2.668 20 0 BFADHN CSc1ccc(CN[C@H]2CCO[C@@H](C)C2)o1 ZINC000641744445 362665499 /nfs/dbraw/zinc/66/54/99/362665499.db2.gz SBFMTBQXZNTNDA-UWVGGRQHSA-N 0 3 241.356 2.659 20 0 BFADHN CSc1ccc(CN[C@@H]2CCO[C@@H](C)C2)o1 ZINC000641744447 362665622 /nfs/dbraw/zinc/66/56/22/362665622.db2.gz SBFMTBQXZNTNDA-VHSXEESVSA-N 0 3 241.356 2.659 20 0 BFADHN CSc1ccc(CN[C@H]2CCO[C@H](C)C2)o1 ZINC000641744450 362666099 /nfs/dbraw/zinc/66/60/99/362666099.db2.gz SBFMTBQXZNTNDA-ZJUUUORDSA-N 0 3 241.356 2.659 20 0 BFADHN CSCCCNCc1ccc(SC)o1 ZINC000603420564 349947271 /nfs/dbraw/zinc/94/72/71/349947271.db2.gz NTPLNCPRQGMFJM-UHFFFAOYSA-N 0 3 231.386 2.844 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCCC[C@@H]2C)o1 ZINC000628265882 346265474 /nfs/dbraw/zinc/26/54/74/346265474.db2.gz VNXHENINKBTCRG-GXFFZTMASA-N 0 3 222.332 2.994 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cncn2C)C1 ZINC000626161960 346272418 /nfs/dbraw/zinc/27/24/18/346272418.db2.gz MBKXCISJVCPLDS-SCOBNMCVSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cnn(C)c2C)C1 ZINC000626162343 346273440 /nfs/dbraw/zinc/27/34/40/346273440.db2.gz SLKIPIABDYCXJS-ZRUQZJFASA-N 0 3 247.386 2.907 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2cc(C)ncn2)C1 ZINC000626164141 346277000 /nfs/dbraw/zinc/27/70/00/346277000.db2.gz XIGKUSRFNCWFBR-XGACYXMMSA-N 0 3 245.370 2.963 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1ccccc1OCC ZINC000641747507 362674542 /nfs/dbraw/zinc/67/45/42/362674542.db2.gz JZWBLJYKQBUXHT-MFBWXBCUSA-N 0 3 249.354 2.502 20 0 BFADHN CSc1ccc(CN[C@@H]2CCOC[C@@H]2C)o1 ZINC000641751800 362679624 /nfs/dbraw/zinc/67/96/24/362679624.db2.gz YLQAEQJLBWXWCS-GXSJLCMTSA-N 0 3 241.356 2.516 20 0 BFADHN Cc1cccc(C(C)(C)CNCc2ncc[nH]2)c1 ZINC000067695578 179026996 /nfs/dbraw/zinc/02/69/96/179026996.db2.gz CBODMNJJVNQZJU-UHFFFAOYSA-N 0 3 243.354 2.786 20 0 BFADHN CCOC(=O)CCCN(C)Cc1ccccc1C ZINC000034458760 346287784 /nfs/dbraw/zinc/28/77/84/346287784.db2.gz HLRAULMGXTXLDK-UHFFFAOYSA-N 0 3 249.354 2.770 20 0 BFADHN CSc1ccc(CN[C@@]2(C)CCCOC2)o1 ZINC000641746301 362672950 /nfs/dbraw/zinc/67/29/50/362672950.db2.gz SLHHYMQMGHDTNV-LBPRGKRZSA-N 0 3 241.356 2.660 20 0 BFADHN C[C@@H](NC(=O)CN(C)CC(C)(C)C)C(C)(C)C ZINC000636127868 346329160 /nfs/dbraw/zinc/32/91/60/346329160.db2.gz HHJDWLXICWUNJM-LLVKDONJSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@H]1CCC[C@H]([NH2+]Cc2nnc(C3CC3)[n-]2)CC1 ZINC000641759390 362691726 /nfs/dbraw/zinc/69/17/26/362691726.db2.gz ZUYRJDGHOMYEEL-JQWIXIFHSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@H]1CCC[C@H](NCc2nnc(C3CC3)[nH]2)CC1 ZINC000641759390 362691734 /nfs/dbraw/zinc/69/17/34/362691734.db2.gz ZUYRJDGHOMYEEL-JQWIXIFHSA-N 0 3 248.374 2.741 20 0 BFADHN CSc1ccc(CN[C@@H]2CSC[C@@H]2C)o1 ZINC000641755388 362683421 /nfs/dbraw/zinc/68/34/21/362683421.db2.gz XPSACCBDUCGQIV-WCBMZHEXSA-N 0 3 243.397 2.843 20 0 BFADHN CSc1ccc(CN[C@@H]2CC[C@@H]2C)o1 ZINC000641755120 362683765 /nfs/dbraw/zinc/68/37/65/362683765.db2.gz VRHVATMJBJLGOI-WCBMZHEXSA-N 0 3 211.330 2.890 20 0 BFADHN CCn1ccc(CN[C@H]2CCC[C@@H](C)CC2)n1 ZINC000641762128 362697224 /nfs/dbraw/zinc/69/72/24/362697224.db2.gz FNTFVZJKSSSXTQ-OLZOCXBDSA-N 0 3 235.375 2.961 20 0 BFADHN Cc1cccc(CCCNCc2ccccn2)n1 ZINC000285409194 179056766 /nfs/dbraw/zinc/05/67/66/179056766.db2.gz DAOOVTYOVFVHJV-UHFFFAOYSA-N 0 3 241.338 2.507 20 0 BFADHN CC[C@@H](O)CN[C@@H](C)c1ccccc1SC ZINC000281761414 346366712 /nfs/dbraw/zinc/36/67/12/346366712.db2.gz RRAUQKVKLTXLAW-WDEREUQCSA-N 0 3 239.384 2.830 20 0 BFADHN CCC[C@H](CCO)NCc1ccc(SC)o1 ZINC000641773022 362713115 /nfs/dbraw/zinc/71/31/15/362713115.db2.gz CPIHWKXERKVRTB-SNVBAGLBSA-N 0 3 243.372 2.642 20 0 BFADHN Cc1cccc(CN(C)[C@H]2CCOC2)c1C ZINC000132087146 179071461 /nfs/dbraw/zinc/07/14/61/179071461.db2.gz CNLJAQOUNJUHLC-AWEZNQCLSA-N 0 3 219.328 2.524 20 0 BFADHN CCN1CCCC[C@@H]1CN[C@@H](C)c1ccccn1 ZINC000159097256 346406891 /nfs/dbraw/zinc/40/68/91/346406891.db2.gz CIEWOTAESZTYLU-UONOGXRCSA-N 0 3 247.386 2.607 20 0 BFADHN Cc1cccc(CN(C)CCOC2CCC2)c1 ZINC000287313321 179065469 /nfs/dbraw/zinc/06/54/69/179065469.db2.gz NFAPIOHUYJVMHN-UHFFFAOYSA-N 0 3 233.355 2.996 20 0 BFADHN Cc1cccc(CN(C)CCn2cccn2)c1C ZINC000125275965 179066487 /nfs/dbraw/zinc/06/64/87/179066487.db2.gz KDEXLAJTOKOSOH-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN Cc1cccc(CN(C)CCOC(C)C)c1 ZINC000340410872 179067071 /nfs/dbraw/zinc/06/70/71/179067071.db2.gz ZGDBBEKKRLNJRZ-UHFFFAOYSA-N 0 3 221.344 2.852 20 0 BFADHN Cc1cnn(CCN(C)Cc2cccc(C)c2)c1 ZINC000341832876 179067593 /nfs/dbraw/zinc/06/75/93/179067593.db2.gz CEYSZSQJJPZRPY-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN CC[C@H](CN(CC)Cc1cccs1)OC ZINC000419203180 192102526 /nfs/dbraw/zinc/10/25/26/192102526.db2.gz NSCJXNYURVUMBP-LLVKDONJSA-N 0 3 227.373 2.995 20 0 BFADHN COC[C@H](C)NCc1cc2ccc(C)cc2[nH]1 ZINC000641790297 362724987 /nfs/dbraw/zinc/72/49/87/362724987.db2.gz PIGSAMDSCRXAAO-NSHDSACASA-N 0 3 232.327 2.601 20 0 BFADHN Cc1cccc(CN2CCN(C)[C@H](C)[C@H]2C)c1C ZINC000361153788 179077700 /nfs/dbraw/zinc/07/77/00/179077700.db2.gz NLUAPVUYROWQMO-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN COc1ccc(F)c(CN[C@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000574928279 346416425 /nfs/dbraw/zinc/41/64/25/346416425.db2.gz PISCDFBHZUKGBI-IMRBUKKESA-N 0 3 235.302 2.722 20 0 BFADHN Cc1cccc(CN2CC[C@@H](O)C(C)(C)C2)c1 ZINC000258899998 179078810 /nfs/dbraw/zinc/07/88/10/179078810.db2.gz UVOBVGLTVJYPBP-CQSZACIVSA-N 0 3 233.355 2.588 20 0 BFADHN Cc1cccc(CN2CC[C@H](O)C(C)(C)C2)c1 ZINC000258899996 179081216 /nfs/dbraw/zinc/08/12/16/179081216.db2.gz UVOBVGLTVJYPBP-AWEZNQCLSA-N 0 3 233.355 2.588 20 0 BFADHN COC[C@H](C)NCc1cc2c(cccc2C)[nH]1 ZINC000641790522 362724154 /nfs/dbraw/zinc/72/41/54/362724154.db2.gz ZFBAERQKARWGOY-NSHDSACASA-N 0 3 232.327 2.601 20 0 BFADHN Cc1cccc(CN2CCN(C)[C@@H](C)[C@@H]2C)c1 ZINC000356981624 179076846 /nfs/dbraw/zinc/07/68/46/179076846.db2.gz RFYLOUHWQWNCSC-KBPBESRZSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1cccc(CN2C[C@@H](C)S[C@@H](C)C2)n1 ZINC000336406933 179085254 /nfs/dbraw/zinc/08/52/54/179085254.db2.gz MALXXDUURORPOR-TXEJJXNPSA-N 0 3 236.384 2.716 20 0 BFADHN CCc1ccc(CN[C@@H]2CO[C@@H](C)C2)s1 ZINC000401803830 323125357 /nfs/dbraw/zinc/12/53/57/323125357.db2.gz ASFSEAVXPXQDBF-UWVGGRQHSA-N 0 3 225.357 2.578 20 0 BFADHN Cc1cccc(CNCCCCCCO)c1F ZINC000083367282 179090466 /nfs/dbraw/zinc/09/04/66/179090466.db2.gz TUTKZIWQWDLNBM-UHFFFAOYSA-N 0 3 239.334 2.776 20 0 BFADHN Cc1cccc(C[NH2+]Cc2ncccc2[O-])c1C ZINC000355289391 179095803 /nfs/dbraw/zinc/09/58/03/179095803.db2.gz JQWZPLBJLYDZGT-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN Cc1cccc(CN[C@@H]2CCSC2)c1F ZINC000295588976 179098888 /nfs/dbraw/zinc/09/88/88/179098888.db2.gz FBVQUPVBWUOYED-LLVKDONJSA-N 0 3 225.332 2.729 20 0 BFADHN CO[C@@H](CC(C)C)CN(C)C[C@H]1CCCCO1 ZINC000419206456 192104246 /nfs/dbraw/zinc/10/42/46/192104246.db2.gz KZXNULFLQMDUSV-KGLIPLIRSA-N 0 3 243.391 2.548 20 0 BFADHN Cc1cccc(Cl)c1CN1CC[C@@](C)(O)C1 ZINC000295125971 179108591 /nfs/dbraw/zinc/10/85/91/179108591.db2.gz XLDONBNMXAKKKH-CYBMUJFWSA-N 0 3 239.746 2.605 20 0 BFADHN Cc1cccc(CN[C@H](C)Cn2cccn2)c1C ZINC000047889683 179099801 /nfs/dbraw/zinc/09/98/01/179099801.db2.gz WASXLCHYPMWXMF-CYBMUJFWSA-N 0 3 243.354 2.678 20 0 BFADHN Cc1cccc(CN[C@H](C)c2ccnn2C)c1 ZINC000192246628 179099886 /nfs/dbraw/zinc/09/98/86/179099886.db2.gz MKQJEDSMMRVTIR-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN CCC[C@@H](C)[C@@H](CO)NCc1ccc(Cl)o1 ZINC000580083257 346515243 /nfs/dbraw/zinc/51/52/43/346515243.db2.gz LUWLBJUVEBKJKW-MWLCHTKSSA-N 0 3 245.750 2.820 20 0 BFADHN CCC[C@@H](C)[C@H](CO)NCc1ccc(Cl)o1 ZINC000580083256 346515341 /nfs/dbraw/zinc/51/53/41/346515341.db2.gz LUWLBJUVEBKJKW-KOLCDFICSA-N 0 3 245.750 2.820 20 0 BFADHN C[C@H]1SCCN(CCOCC2CCC2)[C@H]1C ZINC000419204873 192103463 /nfs/dbraw/zinc/10/34/63/192103463.db2.gz JRCRBAPCOZPZNF-NWDGAFQWSA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@H](CN1CCC[C@H](C(F)(F)F)C1)OC ZINC000419207147 192103564 /nfs/dbraw/zinc/10/35/64/192103564.db2.gz STQFDJLDXNBZNE-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H]1SCCN(CCOCC2CCC2)[C@H]1C ZINC000419204875 192103716 /nfs/dbraw/zinc/10/37/16/192103716.db2.gz JRCRBAPCOZPZNF-RYUDHWBXSA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@@H](C)N1CCN(c2cccc(OC)c2)CC1 ZINC000148918185 346488864 /nfs/dbraw/zinc/48/88/64/346488864.db2.gz PTNTYXNZOULSCK-CYBMUJFWSA-N 0 3 248.370 2.616 20 0 BFADHN CC(C)(C)CCCNCc1cn2cccnc2n1 ZINC000580044613 346492219 /nfs/dbraw/zinc/49/22/19/346492219.db2.gz AAHZQTPWQWDAEC-UHFFFAOYSA-N 0 3 246.358 2.645 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1cccc(F)c1 ZINC000390488683 259390657 /nfs/dbraw/zinc/39/06/57/259390657.db2.gz PNSCJZFYBLTDJS-ZWKOPEQDSA-N 0 3 223.291 2.654 20 0 BFADHN CCc1ccccc1CNCc1cnc(C)n1C ZINC000580097670 346522721 /nfs/dbraw/zinc/52/27/21/346522721.db2.gz VXRNETRKDOKQKO-UHFFFAOYSA-N 0 3 243.354 2.581 20 0 BFADHN CC/C=C\CCN1C[C@H](C)O[C@@H](CC)C1 ZINC000342204282 346557985 /nfs/dbraw/zinc/55/79/85/346557985.db2.gz LZYVWZGPXVRMNR-JSIVBPPZSA-N 0 3 211.349 2.842 20 0 BFADHN C[C@H]1CCN(CCCOC(C)(C)C)C[C@@H]1F ZINC000679227814 488322433 /nfs/dbraw/zinc/32/24/33/488322433.db2.gz SXQQZJATCIZTJZ-RYUDHWBXSA-N 0 3 231.355 2.872 20 0 BFADHN Cc1cc(Cl)cc(CN[C@@H]2CO[C@@H](C)C2)c1 ZINC000402163381 349974058 /nfs/dbraw/zinc/97/40/58/349974058.db2.gz SZRLQJLDKGCOBW-GWCFXTLKSA-N 0 3 239.746 2.915 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NCc1ccc(Cl)cc1 ZINC000163322895 346555610 /nfs/dbraw/zinc/55/56/10/346555610.db2.gz ITODXCUVXDGDKS-NWDGAFQWSA-N 0 3 239.746 2.589 20 0 BFADHN CCC(=O)CCCN1CCC(SC)CC1 ZINC000300844315 346575002 /nfs/dbraw/zinc/57/50/02/346575002.db2.gz MSADMACSNOTWMR-UHFFFAOYSA-N 0 3 229.389 2.573 20 0 BFADHN CC[C@@H](C)[C@@H](C)N(Cc1cnccn1)C1CC1 ZINC000580164501 346585548 /nfs/dbraw/zinc/58/55/48/346585548.db2.gz VUFDOTLGUKEJHU-VXGBXAGGSA-N 0 3 233.359 2.876 20 0 BFADHN CN1CC[C@H](CSc2ncccc2Cl)C1 ZINC000187677098 346597501 /nfs/dbraw/zinc/59/75/01/346597501.db2.gz DRBCMUSIAYOQDD-VIFPVBQESA-N 0 3 242.775 2.779 20 0 BFADHN CCC[C@@H](C)N1CCSC2(CCOCC2)C1 ZINC000187727997 346603931 /nfs/dbraw/zinc/60/39/31/346603931.db2.gz JEWJJGFIPIDDPG-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN Cc1cccc(NC(=O)CN2C[C@@H](C)[C@H](C)C2)c1 ZINC000267677451 179127437 /nfs/dbraw/zinc/12/74/37/179127437.db2.gz PVZYMBWQOYPTKB-CHWSQXEVSA-N 0 3 246.354 2.521 20 0 BFADHN C[C@@H](NC[C@H]1CCCC1(F)F)c1cnn(C)c1 ZINC000381674227 346655141 /nfs/dbraw/zinc/65/51/41/346655141.db2.gz LJSHRZGEVFKGBB-MWLCHTKSSA-N 0 3 243.301 2.506 20 0 BFADHN Cc1cccc(NC(=O)[C@@H](N)CC(C)C)c1C ZINC000011961491 179137705 /nfs/dbraw/zinc/13/77/05/179137705.db2.gz NRVDNHWJCDROAV-LBPRGKRZSA-N 0 3 234.343 2.615 20 0 BFADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1ccoc1 ZINC000187864254 346634899 /nfs/dbraw/zinc/63/48/99/346634899.db2.gz JSZCPGPPPTUIKE-CMPLNLGQSA-N 0 3 223.316 2.888 20 0 BFADHN CCCCCC(=O)N(CC)[C@H]1CCN(CC)C1 ZINC000617932109 346702989 /nfs/dbraw/zinc/70/29/89/346702989.db2.gz KVTOTLCUSHWCNF-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1nnsc1CN(C)[C@@H]1CCCC[C@H]1C ZINC000580648214 365843442 /nfs/dbraw/zinc/84/34/42/365843442.db2.gz DVRRHHGGTUDLMN-MWLCHTKSSA-N 0 3 239.388 2.857 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2ccccc2F)[nH]n1 ZINC000589643231 346720019 /nfs/dbraw/zinc/72/00/19/346720019.db2.gz MNBNNSQUGCJYAE-JTQLQIEISA-N 0 3 247.317 2.578 20 0 BFADHN Cc1ccncc1CNC[C@H]1CCCC1(F)F ZINC000381709041 346727041 /nfs/dbraw/zinc/72/70/41/346727041.db2.gz QBLIRRKDHHDGLF-GFCCVEGCSA-N 0 3 240.297 2.915 20 0 BFADHN Cc1ccc2c(c1)CN(CCC1CC1)CCO2 ZINC000352833624 187370385 /nfs/dbraw/zinc/37/03/85/187370385.db2.gz SQELRAFGWCBDRS-UHFFFAOYSA-N 0 3 231.339 2.990 20 0 BFADHN CCN1CCC(F)(c2ccccc2)CC1 ZINC000167179086 346700144 /nfs/dbraw/zinc/70/01/44/346700144.db2.gz AHYQVXVVZDOBCR-UHFFFAOYSA-N 0 3 207.292 2.967 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(C)cc1C ZINC000381729281 346764935 /nfs/dbraw/zinc/76/49/35/346764935.db2.gz NCVVHMSYJYQBIV-KGLIPLIRSA-N 0 3 219.328 2.570 20 0 BFADHN CCC(C)(C)CCN1CCO[C@@](C)(COC)C1 ZINC000626174298 346753730 /nfs/dbraw/zinc/75/37/30/346753730.db2.gz OPNRNQWAXYYKQE-CQSZACIVSA-N 0 3 243.391 2.550 20 0 BFADHN COc1cncc(CN(C)[C@@H](C)C(C)(C)C)c1 ZINC000580671704 365870135 /nfs/dbraw/zinc/87/01/35/365870135.db2.gz DBHSXTNFGKJGMF-NSHDSACASA-N 0 3 236.359 2.957 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1nc(C)cs1 ZINC000387881416 347117147 /nfs/dbraw/zinc/11/71/47/347117147.db2.gz WUYOGCZHMHYTLR-ZJUUUORDSA-N 0 3 210.346 2.587 20 0 BFADHN CC[C@@H](N[C@H]1CC=CCC1)c1ccn(C)n1 ZINC000309689046 347134488 /nfs/dbraw/zinc/13/44/88/347134488.db2.gz INSWCYXCDVVOMV-NWDGAFQWSA-N 0 3 219.332 2.570 20 0 BFADHN CCc1nc(C)c(CN[C@H](C)C2(C)CC2)o1 ZINC000309679851 347134670 /nfs/dbraw/zinc/13/46/70/347134670.db2.gz ZELKISWRECYWAX-SNVBAGLBSA-N 0 3 222.332 2.824 20 0 BFADHN Cc1cc(CNC[C@H]2CC3CCC2CC3)no1 ZINC000580328962 347165964 /nfs/dbraw/zinc/16/59/64/347165964.db2.gz OBTNKIDPTKXFSM-WXRRBKDZSA-N 0 3 234.343 2.899 20 0 BFADHN CCc1nc(C)c(CNC2CC(C)(C)C2)o1 ZINC000309627978 347125021 /nfs/dbraw/zinc/12/50/21/347125021.db2.gz GZPZGJHNABKYQE-UHFFFAOYSA-N 0 3 222.332 2.824 20 0 BFADHN CC(C)Cn1cc(CN2C[C@@H]3[C@H](C2)C3(C)C)cn1 ZINC000183357895 347125539 /nfs/dbraw/zinc/12/55/39/347125539.db2.gz GREBAGOZBVQJQE-OKILXGFUSA-N 0 3 247.386 2.627 20 0 BFADHN CCc1nc(C)c(CN[C@@H](C)CC2CC2)o1 ZINC000309636372 347128047 /nfs/dbraw/zinc/12/80/47/347128047.db2.gz JNWJLTOKWYVXTO-VIFPVBQESA-N 0 3 222.332 2.824 20 0 BFADHN Cc1cccc([C@@H](C)NC[C@@H](O)C(F)(F)F)c1 ZINC000160225028 179182064 /nfs/dbraw/zinc/18/20/64/179182064.db2.gz LOUDCWVVXVAFIQ-MWLCHTKSSA-N 0 3 247.260 2.569 20 0 BFADHN C[C@H](N[C@@H]1COC(C)(C)C1)c1cccc(C#N)c1 ZINC000396511237 347182259 /nfs/dbraw/zinc/18/22/59/347182259.db2.gz DQVZIUPPKNCKAD-FZMZJTMJSA-N 0 3 244.338 2.776 20 0 BFADHN CCCC[C@H](C)N[C@H]1CCCc2c[nH]nc21 ZINC000310018926 347219414 /nfs/dbraw/zinc/21/94/14/347219414.db2.gz MFWLVJXVPFGWKG-JQWIXIFHSA-N 0 3 221.348 2.955 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc2c(c1)CCC2 ZINC000353216814 187373271 /nfs/dbraw/zinc/37/32/71/187373271.db2.gz GHANEYNMYJZPDG-LBPRGKRZSA-N 0 3 233.355 2.642 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCCC2(C)C)o1 ZINC000628296314 347244416 /nfs/dbraw/zinc/24/44/16/347244416.db2.gz GMDSFHKPPLEKKB-NSHDSACASA-N 0 3 222.332 2.899 20 0 BFADHN Cc1ncc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)o1 ZINC000628296791 347245505 /nfs/dbraw/zinc/24/55/05/347245505.db2.gz YZZKXOFEWHAPAU-CHWSQXEVSA-N 0 3 234.343 2.994 20 0 BFADHN CC(C)CNCc1ccncc1Br ZINC000395965292 362804867 /nfs/dbraw/zinc/80/48/67/362804867.db2.gz VAABZCKFKZEIOV-UHFFFAOYSA-N 0 3 243.148 2.590 20 0 BFADHN CO[C@H]([C@H](C)NCc1csc(C)c1)C1CC1 ZINC000388239726 347258080 /nfs/dbraw/zinc/25/80/80/347258080.db2.gz QGKDLTZJDRZJDU-GXFFZTMASA-N 0 3 239.384 2.960 20 0 BFADHN Fc1ccc(CN2C3CCC2CC3)cc1 ZINC000641943540 362808436 /nfs/dbraw/zinc/80/84/36/362808436.db2.gz QSXJWHNEQANKOX-UHFFFAOYSA-N 0 3 205.276 2.953 20 0 BFADHN CCc1nc(C)c([C@@H](C)NC[C@H](C)OC)s1 ZINC000188113222 347272351 /nfs/dbraw/zinc/27/23/51/347272351.db2.gz FSUUMMYIZMRMLA-DTWKUNHWSA-N 0 3 242.388 2.699 20 0 BFADHN CO[C@@H](CC(C)C)CN1C[C@@H](C)OC(C)(C)C1 ZINC000419209683 192105025 /nfs/dbraw/zinc/10/50/25/192105025.db2.gz PQIWVNXVOUUHQE-OLZOCXBDSA-N 0 3 243.391 2.547 20 0 BFADHN Cc1cccc2c1CN(C[C@@H]1CC[C@H](C)O1)CC2 ZINC000277231096 179216535 /nfs/dbraw/zinc/21/65/35/179216535.db2.gz ORDJVVUBOFNIRK-ZFWWWQNUSA-N 0 3 245.366 2.921 20 0 BFADHN Cc1ccc(F)cc1CN1CC=CCC1 ZINC000679357535 488344769 /nfs/dbraw/zinc/34/47/69/488344769.db2.gz ZCIBVDIGWLAPRD-UHFFFAOYSA-N 0 3 205.276 2.896 20 0 BFADHN CO[C@@H]([C@H](C)N[C@@H](C)c1ccoc1)C1CC1 ZINC000388360258 347355575 /nfs/dbraw/zinc/35/55/75/347355575.db2.gz SIKDWEXCEKBVKI-KWBADKCTSA-N 0 3 223.316 2.744 20 0 BFADHN C[C@H]1C[C@@H]1CN(Cc1ccccn1)C1CC1 ZINC000349235908 136742997 /nfs/dbraw/zinc/74/29/97/136742997.db2.gz CRBUVZBDTGESOU-NWDGAFQWSA-N 0 3 216.328 2.702 20 0 BFADHN CC[C@@H](N[C@H](C)C(=O)N(C)C)c1cccc(C)c1 ZINC000310844234 347310371 /nfs/dbraw/zinc/31/03/71/347310371.db2.gz BTAVQDJBIMDVAN-TZMCWYRMSA-N 0 3 248.370 2.512 20 0 BFADHN CO[C@H]([C@@H](C)NCc1ccc(F)cc1)C1CC1 ZINC000388361723 347357444 /nfs/dbraw/zinc/35/74/44/347357444.db2.gz SWTIQJQASVWPLH-QMTHXVAHSA-N 0 3 237.318 2.729 20 0 BFADHN CCCCN(C)Cc1ccc(Cl)nc1 ZINC000019407456 347322052 /nfs/dbraw/zinc/32/20/52/347322052.db2.gz NTRNWHRGHUYZCJ-UHFFFAOYSA-N 0 3 212.724 2.967 20 0 BFADHN Clc1ccc(CNC[C@@H]2CCCO2)s1 ZINC000020055656 347402008 /nfs/dbraw/zinc/40/20/08/347402008.db2.gz ZGMVVTLCTRWDMM-QMMMGPOBSA-N 0 3 231.748 2.670 20 0 BFADHN Clc1ccc(CNCCN2CCCC2)s1 ZINC000020127034 347408967 /nfs/dbraw/zinc/40/89/67/347408967.db2.gz OWVJJJMTAAPWRH-UHFFFAOYSA-N 0 3 244.791 2.587 20 0 BFADHN CC[C@H](NCc1ncccn1)C1CCCC1 ZINC000382777363 347413692 /nfs/dbraw/zinc/41/36/92/347413692.db2.gz DDNROLYZLHAGTP-LBPRGKRZSA-N 0 3 219.332 2.535 20 0 BFADHN COC[C@H](C)NCc1ccc(Cl)s1 ZINC000020042047 347401385 /nfs/dbraw/zinc/40/13/85/347401385.db2.gz MTJOBPXVAFPIFV-ZETCQYMHSA-N 0 3 219.737 2.526 20 0 BFADHN Cc1cccc2nc(CN3C[C@H](C)C[C@@H]3C)cn21 ZINC000354207717 179230156 /nfs/dbraw/zinc/23/01/56/179230156.db2.gz NDDQOBVKWSGETK-YPMHNXCESA-N 0 3 243.354 2.873 20 0 BFADHN Cc1cccc2ncc(CN3C[C@H](C)C[C@H]3C)n21 ZINC000353748497 179230939 /nfs/dbraw/zinc/23/09/39/179230939.db2.gz PXYQEPJTAHIBPE-DGCLKSJQSA-N 0 3 243.354 2.873 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1nccn1C ZINC000150746026 347419951 /nfs/dbraw/zinc/41/99/51/347419951.db2.gz APRKVRZMJAEEDJ-GFCCVEGCSA-N 0 3 221.348 2.575 20 0 BFADHN CCCCNC(=O)CN1CCCCC[C@@H]1CC ZINC000150747428 347422664 /nfs/dbraw/zinc/42/26/64/347422664.db2.gz OOSPMORSAVWJHC-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1cc(CNC[C@@H](C)CC(F)(F)F)on1 ZINC000396911998 362839802 /nfs/dbraw/zinc/83/98/02/362839802.db2.gz PQWHLNBZPTXPJO-ZETCQYMHSA-N 0 3 236.237 2.661 20 0 BFADHN C[C@@H](NC[C@H]1CCCC1(F)F)c1ccnn1C ZINC000390611621 259395641 /nfs/dbraw/zinc/39/56/41/259395641.db2.gz WYPLZAVOFGBPMC-NXEZZACHSA-N 0 3 243.301 2.506 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H]1CS[C@H](C)C1 ZINC000250995069 362830229 /nfs/dbraw/zinc/83/02/29/362830229.db2.gz SQRZYMBTUHYNHA-VHSKPIJISA-N 0 3 240.372 2.836 20 0 BFADHN C[C@@H](NCc1cccn1C)c1cccs1 ZINC000020360431 347441716 /nfs/dbraw/zinc/44/17/16/347441716.db2.gz WRWABXDQGKQOSF-SNVBAGLBSA-N 0 3 220.341 2.937 20 0 BFADHN Cc1cccc2nc(CN[C@H](C)C(C)C)cn21 ZINC000178057317 179229204 /nfs/dbraw/zinc/22/92/04/179229204.db2.gz VDGPBTRHIITPFT-GFCCVEGCSA-N 0 3 231.343 2.777 20 0 BFADHN Cc1cccc2nc(CN[C@H](C)C3CCC3)cn21 ZINC000178057702 179229698 /nfs/dbraw/zinc/22/96/98/179229698.db2.gz SUIHWVRIHKUQSW-GFCCVEGCSA-N 0 3 243.354 2.921 20 0 BFADHN FC(F)C1CCN(CCOCC2CCC2)CC1 ZINC000419229504 192106851 /nfs/dbraw/zinc/10/68/51/192106851.db2.gz HOZRCSNZBVWTHR-UHFFFAOYSA-N 0 3 247.329 2.780 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCCCCF ZINC000389308906 537990320 /nfs/dbraw/zinc/99/03/20/537990320.db2.gz AZUUZPRZTMSLSH-QMMMGPOBSA-N 0 3 214.284 2.692 20 0 BFADHN CC(C)CCCNCc1ncccc1F ZINC000380106637 365882490 /nfs/dbraw/zinc/88/24/90/365882490.db2.gz NDZUVXFTWXSDPT-UHFFFAOYSA-N 0 3 210.296 2.747 20 0 BFADHN Cc1cc(CN[C@H]2CO[C@H](C)C2)ccc1Cl ZINC000581548055 347514157 /nfs/dbraw/zinc/51/41/57/347514157.db2.gz NYCMCPYRDBUYLL-ZYHUDNBSSA-N 0 3 239.746 2.915 20 0 BFADHN Cc1nnsc1CN1CCCCC(C)(C)C1 ZINC000577224513 365889787 /nfs/dbraw/zinc/88/97/87/365889787.db2.gz YMJUBNCWWBTKJI-UHFFFAOYSA-N 0 3 239.388 2.859 20 0 BFADHN CCC1(NCc2ncnn2C(C)C)CCCC1 ZINC000394626274 347578235 /nfs/dbraw/zinc/57/82/35/347578235.db2.gz FZEUUEHEMWIWCH-UHFFFAOYSA-N 0 3 236.363 2.671 20 0 BFADHN CC1(C)CCC[C@H](N2CCC(=O)CC2)C1 ZINC000150033541 347590660 /nfs/dbraw/zinc/59/06/60/347590660.db2.gz MCDUKEFKDYIVNY-NSHDSACASA-N 0 3 209.333 2.620 20 0 BFADHN CCCN(C[C@H]1COc2ccccc2O1)C1CC1 ZINC000590966498 347596171 /nfs/dbraw/zinc/59/61/71/347596171.db2.gz XRXKJDMUCMWHIG-ZDUSSCGKSA-N 0 3 247.338 2.701 20 0 BFADHN c1cn([C@H]2CCCN(Cc3ccccc3)C2)cn1 ZINC000591867975 347708435 /nfs/dbraw/zinc/70/84/35/347708435.db2.gz VCXLCSKZGNBJDR-HNNXBMFYSA-N 0 3 241.338 2.720 20 0 BFADHN CCc1nc(C)c(CN2CCCCCC2)o1 ZINC000334538218 347764850 /nfs/dbraw/zinc/76/48/50/347764850.db2.gz ZPEFPKSIWCFTBZ-UHFFFAOYSA-N 0 3 222.332 2.921 20 0 BFADHN Cc1ccccc1CN[C@H](C)COC(C)C ZINC000309358683 179284741 /nfs/dbraw/zinc/28/47/41/179284741.db2.gz OIHVCRGIUIPMCP-CYBMUJFWSA-N 0 3 221.344 2.898 20 0 BFADHN CSCCCN1C[C@@H](C)OC2(CCCC2)C1 ZINC000592192749 347748846 /nfs/dbraw/zinc/74/88/46/347748846.db2.gz KPBNPZUBDGCODL-GFCCVEGCSA-N 0 3 243.416 2.773 20 0 BFADHN CCN(CCSC)CCc1cccc(C)n1 ZINC000592201826 347754182 /nfs/dbraw/zinc/75/41/82/347754182.db2.gz IFPAQTGRMZUPQY-UHFFFAOYSA-N 0 3 238.400 2.617 20 0 BFADHN CCN(CCSC)Cc1cc(C)ccn1 ZINC000592202972 347754792 /nfs/dbraw/zinc/75/47/92/347754792.db2.gz UCHHPLIWFFXGOE-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN CCN(CCSC)C[C@H]1CCC(C)(C)O1 ZINC000592203824 347755728 /nfs/dbraw/zinc/75/57/28/347755728.db2.gz PKUYSAHEPXBVJY-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN CCN(CCSC)C[C@@H]1CCC(C)(C)O1 ZINC000592203826 347756095 /nfs/dbraw/zinc/75/60/95/347756095.db2.gz PKUYSAHEPXBVJY-NSHDSACASA-N 0 3 231.405 2.629 20 0 BFADHN CCN(CCSC)Cc1cnc2ccccn12 ZINC000592205461 347756977 /nfs/dbraw/zinc/75/69/77/347756977.db2.gz ZMPRHHMGJNZJDR-UHFFFAOYSA-N 0 3 249.383 2.519 20 0 BFADHN C[C@H]1CC[C@H](NCc2nccs2)CC1 ZINC000252960971 347801502 /nfs/dbraw/zinc/80/15/02/347801502.db2.gz NUYBMVPPPCYDKZ-MGCOHNPYSA-N 0 3 210.346 2.811 20 0 BFADHN Cc1ncc(CNCCc2cc(C)ccc2F)o1 ZINC000628300028 347810912 /nfs/dbraw/zinc/81/09/12/347810912.db2.gz LOSKNPIJGFRLMQ-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN CC(C)OCCN1CCCc2sccc2C1 ZINC000592982234 347841994 /nfs/dbraw/zinc/84/19/94/347841994.db2.gz IQBVKHAFPOGLAZ-UHFFFAOYSA-N 0 3 239.384 2.921 20 0 BFADHN CC[C@H]1COC(C)(C)CN1CCCSC ZINC000593056361 347848368 /nfs/dbraw/zinc/84/83/68/347848368.db2.gz GYYGHWNIGLLEMJ-NSHDSACASA-N 0 3 231.405 2.629 20 0 BFADHN CCSCCN1C[C@@H](CC)OC[C@H]1CC ZINC000593059419 347848588 /nfs/dbraw/zinc/84/85/88/347848588.db2.gz QVVOMMYPEAHIEF-VXGBXAGGSA-N 0 3 231.405 2.629 20 0 BFADHN Cc1ccccc1NC(=O)CN(C)[C@@H](C)C(C)C ZINC000298438840 179298450 /nfs/dbraw/zinc/29/84/50/179298450.db2.gz QHYNFXLLKOVEDC-ZDUSSCGKSA-N 0 3 248.370 2.910 20 0 BFADHN C[C@@H](NC[C@@H]1CCCCC1(F)F)c1cn[nH]c1 ZINC000390687586 259397891 /nfs/dbraw/zinc/39/78/91/259397891.db2.gz YYMHWOOUMLHREN-KOLCDFICSA-N 0 3 243.301 2.886 20 0 BFADHN CC(C)(C)[C@H]1CCCN(Cc2cncnc2)C1 ZINC000200990818 347864825 /nfs/dbraw/zinc/86/48/25/347864825.db2.gz HSFDFWIUCNMSHS-ZDUSSCGKSA-N 0 3 233.359 2.735 20 0 BFADHN Cc1ccccc1OCCNCc1ccn(C)c1 ZINC000124072198 179310321 /nfs/dbraw/zinc/31/03/21/179310321.db2.gz MBGVWEJKJLALRM-UHFFFAOYSA-N 0 3 244.338 2.502 20 0 BFADHN CC[C@H](C)N(C)Cc1ccc(OC)cc1OC ZINC000195003029 259398592 /nfs/dbraw/zinc/39/85/92/259398592.db2.gz VTMPOQBODWZOJB-NSHDSACASA-N 0 3 237.343 2.934 20 0 BFADHN CCCCN(C)CCNC(=O)C[C@H](C)C(C)C ZINC000112310480 323168872 /nfs/dbraw/zinc/16/88/72/323168872.db2.gz DVUFDJHZAKRDDU-ZDUSSCGKSA-N 0 3 242.407 2.517 20 0 BFADHN CO[C@H](COc1cc(C)nc(C)c1C)C1CC1 ZINC000577388052 365941639 /nfs/dbraw/zinc/94/16/39/365941639.db2.gz AWZFLAVEAIPCOS-CQSZACIVSA-N 0 3 235.327 2.811 20 0 BFADHN Cc1ccccc1[C@@H](C)N[C@@H](C)Cn1cccn1 ZINC000037155363 179320675 /nfs/dbraw/zinc/32/06/75/179320675.db2.gz XEIDGNMZXQCOPF-UONOGXRCSA-N 0 3 243.354 2.931 20 0 BFADHN C[C@@H](N[C@H]1CCCn2ccnc21)C(C)(C)C ZINC000655780910 490114965 /nfs/dbraw/zinc/11/49/65/490114965.db2.gz QSCHZUCSFLPAAY-MNOVXSKESA-N 0 3 221.348 2.742 20 0 BFADHN COc1cccc(CN(C)CC(C)(C)OC)c1 ZINC000532086936 323172715 /nfs/dbraw/zinc/17/27/15/323172715.db2.gz KQNXGYWPSCZQSK-UHFFFAOYSA-N 0 3 237.343 2.552 20 0 BFADHN Cc1ccc2c(c1)CCN(Cc1ccn(C)c1)C2 ZINC000361737988 187383670 /nfs/dbraw/zinc/38/36/70/187383670.db2.gz RMJSHJCCOYHSFW-UHFFFAOYSA-N 0 3 240.350 2.892 20 0 BFADHN Cc1ccccc1[C@H](C)N[C@H](C)Cn1cccn1 ZINC000037155368 179339858 /nfs/dbraw/zinc/33/98/58/179339858.db2.gz XEIDGNMZXQCOPF-KGLIPLIRSA-N 0 3 243.354 2.931 20 0 BFADHN Cc1csc(CNC[C@@H]2C[C@H]2C(C)C)n1 ZINC000449555321 201963826 /nfs/dbraw/zinc/96/38/26/201963826.db2.gz QZCNDZADKNDJDG-QWRGUYRKSA-N 0 3 224.373 2.833 20 0 BFADHN Cc1ccccc1[C@H](C)N[C@@H]1CO[C@H](C)C1 ZINC000401824787 348035802 /nfs/dbraw/zinc/03/58/02/348035802.db2.gz LLZSYHRCOOXYKJ-AGIUHOORSA-N 0 3 219.328 2.823 20 0 BFADHN C1=C[C@@H](N2CCOCC23CCCC3)CCC1 ZINC000371250201 187385858 /nfs/dbraw/zinc/38/58/58/187385858.db2.gz UEIRVVBQONOWEB-CYBMUJFWSA-N 0 3 221.344 2.740 20 0 BFADHN OCC[C@H]1CCCN1Cc1ccc(Cl)cc1 ZINC000303743324 187386434 /nfs/dbraw/zinc/38/64/34/187386434.db2.gz YGNFTXGYSBIROR-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN CC[C@H](CSCCN(C)C)CC(F)F ZINC000594891558 348125195 /nfs/dbraw/zinc/12/51/95/348125195.db2.gz KIXMKPVXJBYFBL-VIFPVBQESA-N 0 3 225.348 2.963 20 0 BFADHN CCC[C@H](C)NCc1cc(C(=O)OC)oc1C ZINC000594602137 348080562 /nfs/dbraw/zinc/08/05/62/348080562.db2.gz VABWHFNZAMQAFK-VIFPVBQESA-N 0 3 239.315 2.653 20 0 BFADHN CCC[C@@H](C)NCc1cc(C(=O)OC)oc1C ZINC000594602134 348080634 /nfs/dbraw/zinc/08/06/34/348080634.db2.gz VABWHFNZAMQAFK-SECBINFHSA-N 0 3 239.315 2.653 20 0 BFADHN COC(=O)c1cc(CN[C@@H]2CC=CCC2)c(C)o1 ZINC000594608296 348081328 /nfs/dbraw/zinc/08/13/28/348081328.db2.gz LSMALRZAWXVHRT-GFCCVEGCSA-N 0 3 249.310 2.573 20 0 BFADHN CS[C@H](CNCc1nc(C)c[nH]1)C(C)(C)C ZINC000594941933 348143741 /nfs/dbraw/zinc/14/37/41/348143741.db2.gz CNKLNDPPQOEBBE-SNVBAGLBSA-N 0 3 241.404 2.585 20 0 BFADHN CSCCNCc1ccc(F)c(F)c1F ZINC000594915759 348152301 /nfs/dbraw/zinc/15/23/01/348152301.db2.gz BUZJIMAUSOFFLK-UHFFFAOYSA-N 0 3 235.274 2.557 20 0 BFADHN CSC[C@H](C)NCc1ccc(F)c(F)c1F ZINC000594923853 348156418 /nfs/dbraw/zinc/15/64/18/348156418.db2.gz VUGDMMOFFQVWGT-ZETCQYMHSA-N 0 3 249.301 2.945 20 0 BFADHN CSCC1(CCNCc2conc2C)CC1 ZINC000594976285 348163226 /nfs/dbraw/zinc/16/32/26/348163226.db2.gz YMTANVYBVQOPCR-UHFFFAOYSA-N 0 3 240.372 2.606 20 0 BFADHN Cc1cccnc1CN1CCC2(CC2)CC1 ZINC000378384737 179372404 /nfs/dbraw/zinc/37/24/04/179372404.db2.gz VSIXMCBITCHURP-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN Cc1cccnc1CN1CCC[C@H](C)C1 ZINC000093158389 179373378 /nfs/dbraw/zinc/37/33/78/179373378.db2.gz FEDUKLUHBCQFQN-NSHDSACASA-N 0 3 204.317 2.622 20 0 BFADHN Fc1ccccc1CNCCc1cscn1 ZINC000082626304 348186027 /nfs/dbraw/zinc/18/60/27/348186027.db2.gz LIQSJWASXSWBGC-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1ccccc1 ZINC000401855123 348204825 /nfs/dbraw/zinc/20/48/25/348204825.db2.gz FLPWDGMAYLBGRY-NTZNESFSSA-N 0 3 205.301 2.515 20 0 BFADHN Cc1cccnc1CN1CCCC[C@@H]1C[C@@H](C)O ZINC000123722343 179372156 /nfs/dbraw/zinc/37/21/56/179372156.db2.gz XNKGIXXAHBNFGL-ZIAGYGMSSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@H](CN1Cc2ccccc2OC[C@@H]1C)OC ZINC000419236463 192112348 /nfs/dbraw/zinc/11/23/48/192112348.db2.gz AXGGNBWJGYRDNJ-GXTWGEPZSA-N 0 3 249.354 2.695 20 0 BFADHN COC(=O)[C@H]1CCCCCN1CCC1CCC1 ZINC000595427694 348247635 /nfs/dbraw/zinc/24/76/35/348247635.db2.gz BGPOMWWVLBQREI-CYBMUJFWSA-N 0 3 239.359 2.594 20 0 BFADHN CCc1cnccc1[C@H](C)NC[C@@H](CC)OC ZINC000419252079 192115958 /nfs/dbraw/zinc/11/59/58/192115958.db2.gz SBKXHMQTWXCLET-WCQYABFASA-N 0 3 236.359 2.720 20 0 BFADHN CCS[C@H]1CCC[C@H]1NCc1conc1C ZINC000595484667 348253255 /nfs/dbraw/zinc/25/32/55/348253255.db2.gz MVHHZGGTZBRNLM-NEPJUHHUSA-N 0 3 240.372 2.747 20 0 BFADHN CC[C@@]1(C)CN(C[C@@H](CC(C)C)OC)CCO1 ZINC000419249375 192114147 /nfs/dbraw/zinc/11/41/47/192114147.db2.gz DMHHVBSFXMBTJJ-KGLIPLIRSA-N 0 3 243.391 2.548 20 0 BFADHN Cc1cccnc1CN1CC[C@H](OCC(C)C)C1 ZINC000093189633 179377002 /nfs/dbraw/zinc/37/70/02/179377002.db2.gz AFCDZCDXLUDTPI-AWEZNQCLSA-N 0 3 248.370 2.637 20 0 BFADHN CC[C@@H](CN1CCc2cc(C)ccc2C1)OC ZINC000419248339 192114473 /nfs/dbraw/zinc/11/44/73/192114473.db2.gz CSGVWYVPDXBOFM-HNNXBMFYSA-N 0 3 233.355 2.778 20 0 BFADHN Cc1cccnc1CN[C@@H]1C[C@H](C)[C@@H]1C ZINC000336689538 179384655 /nfs/dbraw/zinc/38/46/55/179384655.db2.gz MAVBSKDGRGAJPV-SDDRHHMPSA-N 0 3 204.317 2.524 20 0 BFADHN C[C@@H](NCc1cnc2ccccc2n1)C1CC1 ZINC000037259361 348293883 /nfs/dbraw/zinc/29/38/83/348293883.db2.gz SCVLNRMPVKJTMZ-SNVBAGLBSA-N 0 3 227.311 2.518 20 0 BFADHN CC[C@H](CN1Cc2ccccc2O[C@@H](C)C1)OC ZINC000419297634 192119194 /nfs/dbraw/zinc/11/91/94/192119194.db2.gz ZNBHRNLXYVTVIO-GXTWGEPZSA-N 0 3 249.354 2.695 20 0 BFADHN CC(C)CSCCCN(C)Cc1cnc[nH]1 ZINC000595593559 348278356 /nfs/dbraw/zinc/27/83/56/348278356.db2.gz WHZGPRAJOACPRI-UHFFFAOYSA-N 0 3 241.404 2.621 20 0 BFADHN CC[C@H](C)N(CC)Cc1cc(C(=O)OC)co1 ZINC000595592626 348278506 /nfs/dbraw/zinc/27/85/06/348278506.db2.gz HINCBFNNYQHASZ-JTQLQIEISA-N 0 3 239.315 2.687 20 0 BFADHN Cc1occc1CN[C@H](CO)C1CCCCC1 ZINC000320971526 490145845 /nfs/dbraw/zinc/14/58/45/490145845.db2.gz GHNGUQVQTXNLFU-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2CO[C@@H](C)C2)c1 ZINC000401866179 348296373 /nfs/dbraw/zinc/29/63/73/348296373.db2.gz VIXLXAFXDPZGQA-GVXVVHGQSA-N 0 3 235.327 2.523 20 0 BFADHN CC[C@H](NCc1ncc(C)cn1)[C@@H]1CC1(C)C ZINC000419322076 192120909 /nfs/dbraw/zinc/12/09/09/192120909.db2.gz RSSYNMHKBDUGOD-RYUDHWBXSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@@H](CN1CCOc2ccc(C)cc2C1)OC ZINC000419279424 192122227 /nfs/dbraw/zinc/12/22/27/192122227.db2.gz ODCGKVRBXBYGQZ-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CCC[C@H](NCc1ncc[nH]1)[C@H]1CC1(C)C ZINC000397564459 362894102 /nfs/dbraw/zinc/89/41/02/362894102.db2.gz BGFHIMBUKVXDJB-MNOVXSKESA-N 0 3 221.348 2.714 20 0 BFADHN c1ccc2nc(CN[C@@H]3C[C@H]4C[C@H]4C3)cnc2c1 ZINC000397609291 362896227 /nfs/dbraw/zinc/89/62/27/362896227.db2.gz BSKLTWVXRNJLIB-ZSBIGDGJSA-N 0 3 239.322 2.518 20 0 BFADHN CCCCN(CCCC)C[C@@H](O)C(F)(F)F ZINC000082680029 348325752 /nfs/dbraw/zinc/32/57/52/348325752.db2.gz CNAGRYHDWBVBJW-SNVBAGLBSA-N 0 3 241.297 2.812 20 0 BFADHN CC(C)[C@H](NCc1cncnc1)c1ccccc1 ZINC000054767415 187395396 /nfs/dbraw/zinc/39/53/96/187395396.db2.gz PCQSSVCIFUAANH-HNNXBMFYSA-N 0 3 241.338 2.964 20 0 BFADHN CS[C@H](C)CNCc1ccncc1Cl ZINC000122102320 362915682 /nfs/dbraw/zinc/91/56/82/362915682.db2.gz VFOOBIBMRRGERC-MRVPVSSYSA-N 0 3 230.764 2.576 20 0 BFADHN CC(C)Cn1cc(CN2CC[C@H](C3CC3)C2)cn1 ZINC000359996099 187395583 /nfs/dbraw/zinc/39/55/83/187395583.db2.gz QGCYUOKTOAYEHZ-HNNXBMFYSA-N 0 3 247.386 2.771 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@@H](C)[C@H]2C)nc1 ZINC000419355947 192126839 /nfs/dbraw/zinc/12/68/39/192126839.db2.gz NNIUIDJPOOIGFS-QJPTWQEYSA-N 0 3 234.343 2.567 20 0 BFADHN Cc1nc(CN[C@H]2CS[C@@H](C)C2)sc1C ZINC000397856568 362925933 /nfs/dbraw/zinc/92/59/33/362925933.db2.gz WUKAELDMDJKMOL-OIBJUYFYSA-N 0 3 242.413 2.744 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2COCc3ccccc32)CS1 ZINC000397853812 362925941 /nfs/dbraw/zinc/92/59/41/362925941.db2.gz LIQJGJGPNGWJFG-MPKXVKKWSA-N 0 3 249.379 2.742 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@H](C)[C@@H]2C)oc1C ZINC000419336784 192124799 /nfs/dbraw/zinc/12/47/99/192124799.db2.gz ASXOGZMBWZGEHY-QXEWZRGKSA-N 0 3 222.332 2.768 20 0 BFADHN CCc1noc([C@H](C)N2C[C@H](C)[C@@H](C)[C@H]2C)n1 ZINC000419337239 192125340 /nfs/dbraw/zinc/12/53/40/192125340.db2.gz BAIXUEKOUDYFQZ-ZDCRXTMVSA-N 0 3 237.347 2.669 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@H](C)[C@@H](C)[C@@H]2C)cn1 ZINC000419355442 192126584 /nfs/dbraw/zinc/12/65/84/192126584.db2.gz NAGNMJVRMNWRIL-RYDUCSDGSA-N 0 3 233.359 2.822 20 0 BFADHN COc1cc(CN2C[C@H](C)[C@H](C)[C@H]2C)ccn1 ZINC000419381785 192132542 /nfs/dbraw/zinc/13/25/42/192132542.db2.gz YMVUVDRTDZJMMY-SDDRHHMPSA-N 0 3 234.343 2.567 20 0 BFADHN CC(C)n1ccnc1CN1C[C@@H](C)[C@@H](C)[C@@H]1C ZINC000419368163 192127739 /nfs/dbraw/zinc/12/77/39/192127739.db2.gz FNWGGQCGUUBKNV-UPJWGTAASA-N 0 3 235.375 2.940 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2C[C@@H]3OCCC[C@H]23)c1 ZINC000375898102 179468536 /nfs/dbraw/zinc/46/85/36/179468536.db2.gz OUCFIZQKGYUEIZ-MXYBEHONSA-N 0 3 246.354 2.608 20 0 BFADHN COC(C)(C)C[C@@H](C)NCc1oc(C)nc1C ZINC000311727599 349994376 /nfs/dbraw/zinc/99/43/76/349994376.db2.gz CAVBAHZUIGPKNH-SECBINFHSA-N 0 3 240.347 2.585 20 0 BFADHN Cc1ccncc1CN[C@@H](C)Cc1ccoc1 ZINC000354478238 179488033 /nfs/dbraw/zinc/48/80/33/179488033.db2.gz NUVBHJUVQWPSBS-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN CC(C)(C)c1ccncc1CNCC1(C)COC1 ZINC000419800330 192144799 /nfs/dbraw/zinc/14/47/99/192144799.db2.gz HMBKLUNFHKHGCH-UHFFFAOYSA-N 0 3 248.370 2.505 20 0 BFADHN Cc1ccncc1[C@@H](C)NCc1ccn(C)c1 ZINC000271536826 179497304 /nfs/dbraw/zinc/49/73/04/179497304.db2.gz SAZUREJLQXLOSN-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1ccoc1CN[C@H]1CCO[C@@H](C2CC2)C1 ZINC000156907987 179530311 /nfs/dbraw/zinc/53/03/11/179530311.db2.gz MWICRJDNYOSIRH-QWHCGFSZSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1cnc(CNC2[C@@H](C)CCC[C@@H]2C)n1C ZINC000341023521 179602841 /nfs/dbraw/zinc/60/28/41/179602841.db2.gz JKURHMNUUCTHBS-QWRGUYRKSA-N 0 3 235.375 2.643 20 0 BFADHN FC1(F)CCC(NCc2nccs2)CC1 ZINC000389908449 538009095 /nfs/dbraw/zinc/00/90/95/538009095.db2.gz IAZRIYWRFPSRMY-UHFFFAOYSA-N 0 3 232.299 2.811 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1ccc(F)c(F)c1 ZINC000401925042 348739244 /nfs/dbraw/zinc/73/92/44/348739244.db2.gz QNOAOLMICSPLNN-YWVKMMECSA-N 0 3 241.281 2.793 20 0 BFADHN CCC[C@H](C)NCc1csc(CC)n1 ZINC000054918103 348785497 /nfs/dbraw/zinc/78/54/97/348785497.db2.gz VSXBWCFEDLGCMR-VIFPVBQESA-N 0 3 212.362 2.984 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)[C@H](C)C2)no1 ZINC000082837191 348820406 /nfs/dbraw/zinc/82/04/06/348820406.db2.gz MFRBGBPAUZWUNN-HOSYDEDBSA-N 0 3 222.332 2.897 20 0 BFADHN COCCN(Cc1cccc(C)n1)C1CCCC1 ZINC000605901719 348896071 /nfs/dbraw/zinc/89/60/71/348896071.db2.gz RAQZKIUVYDDYBP-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CCC1(CNCc2cnc(C)o2)CCC1 ZINC000397891971 362933368 /nfs/dbraw/zinc/93/33/68/362933368.db2.gz GRKKXLHYLWWHKQ-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CC(C)NCc1cccc(OC(C)(C)C)n1 ZINC000051527133 348962319 /nfs/dbraw/zinc/96/23/19/348962319.db2.gz BCPLQNCSLOXVSA-UHFFFAOYSA-N 0 3 222.332 2.757 20 0 BFADHN Cc1cncc([C@@H](C)NC[C@H]2CCCS2)c1 ZINC000184386787 349073350 /nfs/dbraw/zinc/07/33/50/349073350.db2.gz DBSYLJWMYRWTPF-DGCLKSJQSA-N 0 3 236.384 2.936 20 0 BFADHN CC[C@@H](C)NCc1snc(OC)c1Cl ZINC000616573889 349073863 /nfs/dbraw/zinc/07/38/63/349073863.db2.gz MACYPDDKIHFSMM-ZCFIWIBFSA-N 0 3 234.752 2.693 20 0 BFADHN Cc1ccccc1C[C@H](C)NCc1cnccn1 ZINC000054766502 349078544 /nfs/dbraw/zinc/07/85/44/349078544.db2.gz UMNKGQRZWVZKBR-ZDUSSCGKSA-N 0 3 241.338 2.506 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1ccncc1Cl ZINC000421828345 192387448 /nfs/dbraw/zinc/38/74/48/192387448.db2.gz CGTZFWSMZOKPJB-VHSXEESVSA-N 0 3 242.750 2.547 20 0 BFADHN Fc1cnccc1CNC[C@H]1CCCC12CC2 ZINC000623825844 349126032 /nfs/dbraw/zinc/12/60/32/349126032.db2.gz MDBQCPJKQJXFBO-GFCCVEGCSA-N 0 3 234.318 2.891 20 0 BFADHN COC1([C@H](C)NCc2cccnc2)CCCCC1 ZINC000421800513 192384080 /nfs/dbraw/zinc/38/40/80/192384080.db2.gz HUWVNZLJHBWIGK-ZDUSSCGKSA-N 0 3 248.370 2.909 20 0 BFADHN COC1([C@@H](C)NCc2cccnc2)CCCC1 ZINC000421799878 192384158 /nfs/dbraw/zinc/38/41/58/192384158.db2.gz GAKAXRJSVHPRAJ-GFCCVEGCSA-N 0 3 234.343 2.519 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccncc1Cl)[C@H](C)O ZINC000421863855 192396054 /nfs/dbraw/zinc/39/60/54/192396054.db2.gz XWHOLYATDQERTC-AUTRQRHGSA-N 0 3 242.750 2.545 20 0 BFADHN CC[C@@H](O)CN[C@@H]1CC(C)(C)Cc2occc21 ZINC000421866043 192396467 /nfs/dbraw/zinc/39/64/67/192396467.db2.gz ADTMXTGVCSTOIJ-ZYHUDNBSSA-N 0 3 237.343 2.654 20 0 BFADHN CC(C)(C)OCCNCc1ccc(F)c(F)c1 ZINC000122183007 362941783 /nfs/dbraw/zinc/94/17/83/362941783.db2.gz WGZSIJUQCRCXJF-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CC[C@H](C)CN[C@H](C)c1cc(C(=O)OC)co1 ZINC000421875813 192398776 /nfs/dbraw/zinc/39/87/76/192398776.db2.gz JHWXQUNVEFHEAI-VHSXEESVSA-N 0 3 239.315 2.763 20 0 BFADHN COc1ncc(CN[C@H]2CCC(C)(C)C2)s1 ZINC000309385808 490231266 /nfs/dbraw/zinc/23/12/66/490231266.db2.gz RKKHYJHQYCUSQA-VIFPVBQESA-N 0 3 240.372 2.820 20 0 BFADHN C[C@H](O)CCCN[C@@H](C)c1ccncc1Cl ZINC000421854170 192389363 /nfs/dbraw/zinc/38/93/63/192389363.db2.gz KZLCZYURTXOOHW-UWVGGRQHSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2cncs2)CCO1 ZINC000421853264 192389618 /nfs/dbraw/zinc/38/96/18/192389618.db2.gz IUEQEESKIBBOBY-GARJFASQSA-N 0 3 240.372 2.751 20 0 BFADHN CCC[C@H](C)CCCN(C)[C@@H](C)C[S@@](C)=O ZINC000599362458 349159797 /nfs/dbraw/zinc/15/97/97/349159797.db2.gz NSSYRHLYFKLOMZ-HEHGZKQESA-N 0 3 247.448 2.902 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1ccncc1Cl ZINC000421834843 192391677 /nfs/dbraw/zinc/39/16/77/192391677.db2.gz PBYMBBVJDDUYFH-JOYOIKCWSA-N 0 3 242.750 2.547 20 0 BFADHN COC[C@H](C)CN1CCS[C@H]2CCCC[C@H]21 ZINC000249849288 349165734 /nfs/dbraw/zinc/16/57/34/349165734.db2.gz PCRGKOCWDWNHHP-UPJWGTAASA-N 0 3 243.416 2.629 20 0 BFADHN COc1ccccc1CNCCOC(C)(C)C ZINC000122185811 362940966 /nfs/dbraw/zinc/94/09/66/362940966.db2.gz IPDOSKGCJQZDBS-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN COC(C)(C)CN[C@H](C)c1ccncc1Cl ZINC000421845701 192393230 /nfs/dbraw/zinc/39/32/30/192393230.db2.gz QRHCCGWQQHPEJD-SECBINFHSA-N 0 3 242.750 2.811 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1ccncc1Cl ZINC000421845702 192393652 /nfs/dbraw/zinc/39/36/52/192393652.db2.gz QRHCCGWQQHPEJD-VIFPVBQESA-N 0 3 242.750 2.811 20 0 BFADHN COC(=O)c1ccc(CN(C2CC2)C2CC2)cc1 ZINC000599596101 349222752 /nfs/dbraw/zinc/22/27/52/349222752.db2.gz ZOUICIXYTMOBKA-UHFFFAOYSA-N 0 3 245.322 2.600 20 0 BFADHN CCCC1(CN[C@H](C)c2cnccn2)CC1 ZINC000090402392 168601384 /nfs/dbraw/zinc/60/13/84/168601384.db2.gz SZIYPEBPJYMXFH-LLVKDONJSA-N 0 3 219.332 2.708 20 0 BFADHN Cc1nc(CNC[C@H]2Cc3ccccc32)cs1 ZINC000037565783 349270018 /nfs/dbraw/zinc/27/00/18/349270018.db2.gz ZZQLRLADRRYVEV-GFCCVEGCSA-N 0 3 244.363 2.881 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(C3CC3)cc2)CO1 ZINC000402053520 349278157 /nfs/dbraw/zinc/27/81/57/349278157.db2.gz QJJXAGQLQSNFHX-IAQYHMDHSA-N 0 3 231.339 2.831 20 0 BFADHN CCO[C@@H]1C[C@H](N[C@H](C)c2cccnc2)C1(C)C ZINC000160900947 349336957 /nfs/dbraw/zinc/33/69/57/349336957.db2.gz WMBSSXKRRMJHTK-KWCYVHTRSA-N 0 3 248.370 2.936 20 0 BFADHN CC[C@H](NC[C@@H](C)COC)c1ccc(F)cn1 ZINC000161330781 349423749 /nfs/dbraw/zinc/42/37/49/349423749.db2.gz KELXAGGKWGXSDG-PWSUYJOCSA-N 0 3 240.322 2.544 20 0 BFADHN CCOC[C@H]1CCCN([C@@H](C)c2ccncc2)C1 ZINC000603713574 350015216 /nfs/dbraw/zinc/01/52/16/350015216.db2.gz WRDMOHGBPSSCSD-KBPBESRZSA-N 0 3 248.370 2.891 20 0 BFADHN CCOCCCN[C@H](CC)c1ccc(F)cn1 ZINC000161309373 349419361 /nfs/dbraw/zinc/41/93/61/349419361.db2.gz IRMKWFGRRIHGTB-GFCCVEGCSA-N 0 3 240.322 2.688 20 0 BFADHN COc1c(C)cnc(CN2C[C@@H](C)C[C@@H]2C)c1C ZINC000409445150 191013555 /nfs/dbraw/zinc/01/35/55/191013555.db2.gz CMUPRHQMGDGYHC-JQWIXIFHSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)n1cncc1CN1C[C@H](C)[C@@H](C)C1 ZINC000335145506 535102082 /nfs/dbraw/zinc/10/20/82/535102082.db2.gz ABGSVXMACYASQT-RYUDHWBXSA-N 0 3 221.348 2.552 20 0 BFADHN CC[C@@H](COC)NCc1ccc(F)cc1C ZINC000070658031 350039753 /nfs/dbraw/zinc/03/97/53/350039753.db2.gz QOGNVUPBBAEJBW-ZDUSSCGKSA-N 0 3 225.307 2.649 20 0 BFADHN Cc1cc(Cl)ccc1CN[C@@H]1CO[C@@H](C)C1 ZINC000402203688 350043070 /nfs/dbraw/zinc/04/30/70/350043070.db2.gz HIKPBQUGTXWCOF-GWCFXTLKSA-N 0 3 239.746 2.915 20 0 BFADHN C[C@H](NCc1ccc(=O)[nH]c1)c1cccs1 ZINC000392823592 350061255 /nfs/dbraw/zinc/06/12/55/350061255.db2.gz IEZKYKPCSFCHJL-VIFPVBQESA-N 0 3 234.324 2.700 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccnc3ccccc23)CO1 ZINC000402263814 350101472 /nfs/dbraw/zinc/10/14/72/350101472.db2.gz CFPVSGVRNYQOMB-DGCLKSJQSA-N 0 3 242.322 2.502 20 0 BFADHN CCc1ncc(CN[C@H](C)[C@H]2CC2(C)C)o1 ZINC000449610225 201985482 /nfs/dbraw/zinc/98/54/82/201985482.db2.gz PWHPZKRNROUMGA-MWLCHTKSSA-N 0 3 222.332 2.761 20 0 BFADHN Cc1nc([C@@H](C)NCC2CC2)c(C)s1 ZINC000020086323 180036088 /nfs/dbraw/zinc/03/60/88/180036088.db2.gz YTEVSNRTOVBLKI-SSDOTTSWSA-N 0 3 210.346 2.821 20 0 BFADHN CC(C)Oc1cccc(CN[C@H]2CO[C@H](C)C2)c1 ZINC000402282876 350114345 /nfs/dbraw/zinc/11/43/45/350114345.db2.gz GMLCICBFSWCEGX-TZMCWYRMSA-N 0 3 249.354 2.741 20 0 BFADHN Cc1ccc(CN2CCCCC[C@@H]2CCO)cn1 ZINC000342823738 538051920 /nfs/dbraw/zinc/05/19/20/538051920.db2.gz NUJSNUVILBVPMJ-OAHLLOKOSA-N 0 3 248.370 2.517 20 0 BFADHN Cc1nc([C@@H](C)NC[C@@H]2CCCOC2)cs1 ZINC000038044782 180038103 /nfs/dbraw/zinc/03/81/03/180038103.db2.gz UPXRMLCCLSETGG-KOLCDFICSA-N 0 3 240.372 2.529 20 0 BFADHN Cc1nc([C@@H](C)N[C@@H](CCO)C(C)C)cs1 ZINC000227590943 180038240 /nfs/dbraw/zinc/03/82/40/180038240.db2.gz LPJRSWKVNUTCBB-KOLCDFICSA-N 0 3 242.388 2.509 20 0 BFADHN [O-]c1cccc(C[N@H+]2CCCCC[C@@H]2CCO)c1 ZINC000342817701 538052054 /nfs/dbraw/zinc/05/20/54/538052054.db2.gz FWZYMFQTAAYSSO-CQSZACIVSA-N 0 3 249.354 2.519 20 0 BFADHN [O-]c1cccc(C[N@@H+]2CCCCC[C@@H]2CCO)c1 ZINC000342817701 538052059 /nfs/dbraw/zinc/05/20/59/538052059.db2.gz FWZYMFQTAAYSSO-CQSZACIVSA-N 0 3 249.354 2.519 20 0 BFADHN CCC(CC)CN[C@@H](CC)c1nccn1C ZINC000132158574 191026608 /nfs/dbraw/zinc/02/66/08/191026608.db2.gz BIXYDDBSOGRZID-LBPRGKRZSA-N 0 3 223.364 2.897 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H](C)C2CCCCC2)[n-]1 ZINC000362307803 180050528 /nfs/dbraw/zinc/05/05/28/180050528.db2.gz ARJDYIDTTHXABC-UWVGGRQHSA-N 0 3 236.363 2.733 20 0 BFADHN CC[C@@H](NC[C@H](C)C(C)C)c1nccn1C ZINC000132198201 191027198 /nfs/dbraw/zinc/02/71/98/191027198.db2.gz BICZDRYZNFJFSB-NWDGAFQWSA-N 0 3 223.364 2.753 20 0 BFADHN CC(C)C[C@H](C)CN1CCN(CC(C)C)CC1 ZINC000410299393 191027551 /nfs/dbraw/zinc/02/75/51/191027551.db2.gz UJEIVRFBZATLBX-HNNXBMFYSA-N 0 3 240.435 2.942 20 0 BFADHN CCC1(CN[C@@H](C)c2nccn2C)CCC1 ZINC000132236655 191027797 /nfs/dbraw/zinc/02/77/97/191027797.db2.gz JSNGIXAQGGJKJF-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CCCCN1CCOC[C@H]1Cc1ccccc1 ZINC000426820552 191047266 /nfs/dbraw/zinc/04/72/66/191047266.db2.gz LONOGSLLWQUKSX-OAHLLOKOSA-N 0 3 233.355 2.730 20 0 BFADHN Cc1nc2ccccn2c1CN1[C@H](C)C[C@@H]1C ZINC000336582529 180107471 /nfs/dbraw/zinc/10/74/71/180107471.db2.gz CVANCQFALGPHIC-PHIMTYICSA-N 0 3 229.327 2.625 20 0 BFADHN CCCn1nccc1CNCCSC(C)C ZINC000309187449 350159542 /nfs/dbraw/zinc/15/95/42/350159542.db2.gz HPCDQTDVUCRIIK-UHFFFAOYSA-N 0 3 241.404 2.524 20 0 BFADHN COc1ncccc1CN[C@@H](C)C(C)(C)C ZINC000133303614 191038505 /nfs/dbraw/zinc/03/85/05/191038505.db2.gz AEIMHLAYYBJXPC-JTQLQIEISA-N 0 3 222.332 2.614 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H](C)C1)c1ccncn1 ZINC000395914747 323263210 /nfs/dbraw/zinc/26/32/10/323263210.db2.gz QSXRCNOJZGXOBI-WOPDTQHZSA-N 0 3 219.332 2.706 20 0 BFADHN Cc1ncc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)cn1 ZINC000336439839 180140052 /nfs/dbraw/zinc/14/00/52/180140052.db2.gz BVWVBGKGHLQJRK-ZIAGYGMSSA-N 0 3 245.370 2.796 20 0 BFADHN Cc1ncc(CN2CCSC[C@H](C)C2)s1 ZINC000190394461 180142690 /nfs/dbraw/zinc/14/26/90/180142690.db2.gz LSDIVNHBOMUJKM-SECBINFHSA-N 0 3 242.413 2.636 20 0 BFADHN Cc1nc2sccn2c1CN(C)CC(C)C ZINC000268199801 180117195 /nfs/dbraw/zinc/11/71/95/180117195.db2.gz GAYMWOUAHBNPJY-UHFFFAOYSA-N 0 3 237.372 2.792 20 0 BFADHN COc1c(C)cc(CN2CCCOCC2)cc1C ZINC000334330806 538066521 /nfs/dbraw/zinc/06/65/21/538066521.db2.gz KVVPLIWFJINIDM-UHFFFAOYSA-N 0 3 249.354 2.534 20 0 BFADHN Cc1cc(CN2CC[C@@H](c3ccco3)C2)ccn1 ZINC000621257122 350192230 /nfs/dbraw/zinc/19/22/30/350192230.db2.gz IBGDWQYPZNJUPZ-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN Cc1ncc(CN[C@H]2CCC2(C)C)s1 ZINC000284370697 180158769 /nfs/dbraw/zinc/15/87/69/180158769.db2.gz OGALXXJGZGHISN-JTQLQIEISA-N 0 3 210.346 2.730 20 0 BFADHN C[C@H](Cc1ccc(O)cc1)NCc1cscn1 ZINC000105446942 191067629 /nfs/dbraw/zinc/06/76/29/191067629.db2.gz XVQGTHRRORHHDY-SNVBAGLBSA-N 0 3 248.351 2.570 20 0 BFADHN CC(C)N(CC(=O)c1cccn1C)C1CCCC1 ZINC000108364521 191069455 /nfs/dbraw/zinc/06/94/55/191069455.db2.gz SPOHGERIWXSDAI-UHFFFAOYSA-N 0 3 248.370 2.861 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C3CC3)C2)s1 ZINC000336383329 180144902 /nfs/dbraw/zinc/14/49/02/180144902.db2.gz RTMQBWRPEKUTGB-LLVKDONJSA-N 0 3 222.357 2.683 20 0 BFADHN Cc1ncc(CN2[C@H](C)CCC2(C)C)cn1 ZINC000336305513 180148582 /nfs/dbraw/zinc/14/85/82/180148582.db2.gz QMYWCHALPNXUII-SNVBAGLBSA-N 0 3 219.332 2.548 20 0 BFADHN CCCc1nc(C)c(CN2CCCC2)o1 ZINC000428445571 191074080 /nfs/dbraw/zinc/07/40/80/191074080.db2.gz GOYWJBMAEHQXEQ-UHFFFAOYSA-N 0 3 208.305 2.531 20 0 BFADHN Cc1nccc(CN[C@H](C)C2CCCCC2)n1 ZINC000038037711 180190849 /nfs/dbraw/zinc/19/08/49/180190849.db2.gz VIXPREPRRHYGGM-LLVKDONJSA-N 0 3 233.359 2.843 20 0 BFADHN CCc1nc(C)c(CN(C(C)C)C2CC2)o1 ZINC000428471815 191078309 /nfs/dbraw/zinc/07/83/09/191078309.db2.gz ZKIUQLYUKANBND-UHFFFAOYSA-N 0 3 222.332 2.918 20 0 BFADHN C[C@@H](NCCc1ccc(Cl)cc1)c1ncc[nH]1 ZINC000149256908 538071879 /nfs/dbraw/zinc/07/18/79/538071879.db2.gz PZVVKQOBQKMXKN-SNVBAGLBSA-N 0 3 249.745 2.956 20 0 BFADHN Cc1nccc(CN(CC2CCC2)C(C)C)n1 ZINC000353231927 180183362 /nfs/dbraw/zinc/18/33/62/180183362.db2.gz ODUPNMVUTODTRF-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1nccc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)n1 ZINC000336360367 180184335 /nfs/dbraw/zinc/18/43/35/180184335.db2.gz KNCVBAUOQZCURA-ZIAGYGMSSA-N 0 3 245.370 2.796 20 0 BFADHN Cc1ncccc1CN1CCCC[C@@H]1C[C@H](C)O ZINC000336792276 180219385 /nfs/dbraw/zinc/21/93/85/180219385.db2.gz RGHPNLSJSKNZHJ-SWLSCSKDSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1ncccc1CNCC1(C(F)F)CC1 ZINC000309854082 180220585 /nfs/dbraw/zinc/22/05/85/180220585.db2.gz JTAXESHHLUMKSN-UHFFFAOYSA-N 0 3 226.270 2.525 20 0 BFADHN Cc1ncccc1CN1CC[C@@H](c2ccco2)C1 ZINC000378348495 180220751 /nfs/dbraw/zinc/22/07/51/180220751.db2.gz YIVDQONZYBFCEA-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN Cc1ncccc1CNC1C(C)(C)C1(C)C ZINC000336647867 180221107 /nfs/dbraw/zinc/22/11/07/180221107.db2.gz FVNQGLCKMKIUEZ-UHFFFAOYSA-N 0 3 218.344 2.914 20 0 BFADHN CC(C)c1nc(CN(C(C)C)C(C)C)n[nH]1 ZINC000428309601 191080946 /nfs/dbraw/zinc/08/09/46/191080946.db2.gz LODCXOFZOYIRMV-UHFFFAOYSA-N 0 3 224.352 2.547 20 0 BFADHN C[C@H](c1ccncc1)N1CCCOC(C)(C)C1 ZINC000604999368 350235737 /nfs/dbraw/zinc/23/57/37/350235737.db2.gz JHPYPEVWDAQHQM-GFCCVEGCSA-N 0 3 234.343 2.644 20 0 BFADHN Cc1nccn1CCCCN1CCC=C(F)C1 ZINC000352780251 180232148 /nfs/dbraw/zinc/23/21/48/180232148.db2.gz SNCZUFSUNIJKFL-UHFFFAOYSA-N 0 3 237.322 2.531 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@H]1C)c1ncc[nH]1 ZINC000124695162 490398290 /nfs/dbraw/zinc/39/82/90/490398290.db2.gz WUUKPJDTDFBRRO-OUAUKWLOSA-N 0 3 207.321 2.639 20 0 BFADHN Cc1nccn1CCN1CC[C@@H]1c1ccccc1 ZINC000336693728 180254401 /nfs/dbraw/zinc/25/44/01/180254401.db2.gz DAFHFAWAJKKCAE-OAHLLOKOSA-N 0 3 241.338 2.639 20 0 BFADHN Cc1cnc(CN[C@@H](C)[C@H]2CC2(C)C)s1 ZINC000309098916 323317057 /nfs/dbraw/zinc/31/70/57/323317057.db2.gz DWJMUJISMNRTEI-VHSXEESVSA-N 0 3 224.373 2.976 20 0 BFADHN Cc1nccn1C[C@@H](C)CN[C@H](C)c1ccco1 ZINC000121489003 180264996 /nfs/dbraw/zinc/26/49/96/180264996.db2.gz VQSTXCNHXPMALB-NWDGAFQWSA-N 0 3 247.342 2.771 20 0 BFADHN CCN[C@H](C)C(=O)Nc1ccccc1C(C)C ZINC000606145592 350279877 /nfs/dbraw/zinc/27/98/77/350279877.db2.gz QZHIOJRHGVMNNB-LLVKDONJSA-N 0 3 234.343 2.747 20 0 BFADHN CC(C)[C@H](N[C@@H]1C[C@H](C)n2ccnc21)C1CC1 ZINC000324389426 350295575 /nfs/dbraw/zinc/29/55/75/350295575.db2.gz MVMNMDVDCRDUQQ-UHTWSYAYSA-N 0 3 233.359 2.913 20 0 BFADHN CC(C)c1ccccc1NC(=O)[C@H](N)C(C)C ZINC000019437847 350304043 /nfs/dbraw/zinc/30/40/43/350304043.db2.gz VAHWKGMQZVWUJN-CYBMUJFWSA-N 0 3 234.343 2.732 20 0 BFADHN CCCN(C)[C@H](C)C(=O)Nc1cc(C)cc(C)c1 ZINC000069091380 350326957 /nfs/dbraw/zinc/32/69/57/350326957.db2.gz UFROFBBFFOTEKE-CYBMUJFWSA-N 0 3 248.370 2.972 20 0 BFADHN C[C@@](CO)(NCc1ccccc1)c1ccccc1 ZINC000038110845 350307098 /nfs/dbraw/zinc/30/70/98/350307098.db2.gz JFVPJSBOOGIMDK-INIZCTEOSA-N 0 3 241.334 2.684 20 0 BFADHN CN(C)CCSCCC1CCCC1 ZINC000157752506 191095420 /nfs/dbraw/zinc/09/54/20/191095420.db2.gz UFTRSQAPHQTFNG-UHFFFAOYSA-N 0 3 201.379 2.862 20 0 BFADHN Cc1nccnc1[C@H](C)NCCSC(C)C ZINC000286941893 180281361 /nfs/dbraw/zinc/28/13/61/180281361.db2.gz GWTYZJVVNDPHDS-NSHDSACASA-N 0 3 239.388 2.577 20 0 BFADHN Cc1nccnc1[C@H](C)NCCc1ccsc1 ZINC000187727823 180282133 /nfs/dbraw/zinc/28/21/33/180282133.db2.gz DNLVUBCQBKXUMZ-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN Cc1ncn(CCN(C)Cc2ccccc2)c1C ZINC000297592467 180287117 /nfs/dbraw/zinc/28/71/17/180287117.db2.gz UIFCUPRGRAMNMV-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN Cc1ncsc1CN(C)C[C@@H]1CCSC1 ZINC000278410997 180312433 /nfs/dbraw/zinc/31/24/33/180312433.db2.gz HFJULCKAMYGFJJ-JTQLQIEISA-N 0 3 242.413 2.636 20 0 BFADHN Cc1ncsc1CN(C)CCCOC(C)C ZINC000171774013 180313081 /nfs/dbraw/zinc/31/30/81/180313081.db2.gz ZTNVAQJFRBCUJD-UHFFFAOYSA-N 0 3 242.388 2.698 20 0 BFADHN C[C@@H]1c2c(F)cccc2CCN1C[C@H]1CCOC1 ZINC000606449700 350338971 /nfs/dbraw/zinc/33/89/71/350338971.db2.gz BQOXTCWXSUKXPV-VXGBXAGGSA-N 0 3 249.329 2.781 20 0 BFADHN COCCCN1CCc2cccc(F)c2[C@@H]1C ZINC000606450908 350339421 /nfs/dbraw/zinc/33/94/21/350339421.db2.gz WVDBUUIQNKMXQW-NSHDSACASA-N 0 3 237.318 2.781 20 0 BFADHN C[C@@H]1c2ccc(F)cc2CCN1CCCCO ZINC000606449855 350339452 /nfs/dbraw/zinc/33/94/52/350339452.db2.gz HGZCCTGTXJSTOV-LLVKDONJSA-N 0 3 237.318 2.517 20 0 BFADHN Cc1ncsc1CN(C)CCc1cccnc1 ZINC000172164290 180313891 /nfs/dbraw/zinc/31/38/91/180313891.db2.gz VENMKNVBHUGSCU-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN Cc1ncsc1CN(C)C[C@H]1CCSC1 ZINC000278411001 180314528 /nfs/dbraw/zinc/31/45/28/180314528.db2.gz HFJULCKAMYGFJJ-SNVBAGLBSA-N 0 3 242.413 2.636 20 0 BFADHN CC(=Cc1ccccc1)CNCc1cc(C)[nH]n1 ZINC000606470818 350344881 /nfs/dbraw/zinc/34/48/81/350344881.db2.gz XYIHNZVPRYHJGK-WQLSENKSSA-N 0 3 241.338 2.911 20 0 BFADHN CC(=Cc1ccccc1)CNCc1cc(C)n[nH]1 ZINC000606470818 350344886 /nfs/dbraw/zinc/34/48/86/350344886.db2.gz XYIHNZVPRYHJGK-WQLSENKSSA-N 0 3 241.338 2.911 20 0 BFADHN Cc1ccc(CNCC2(F)CC2)c(C)c1 ZINC000309211677 323328899 /nfs/dbraw/zinc/32/88/99/323328899.db2.gz SVMUGLCDSQOQBZ-UHFFFAOYSA-N 0 3 207.292 2.895 20 0 BFADHN Cc1nn(C)c(Cl)c1CNC1(C(C)C)CC1 ZINC000292984037 180351414 /nfs/dbraw/zinc/35/14/14/180351414.db2.gz LMJCHTPPIKAYHE-UHFFFAOYSA-N 0 3 241.766 2.660 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cnccc2C)C1(C)C ZINC000162697156 350416982 /nfs/dbraw/zinc/41/69/82/350416982.db2.gz JKFANWGQMUCGBA-KGLIPLIRSA-N 0 3 248.370 2.683 20 0 BFADHN CC1(C)CC[C@@H](NCc2nccn2C(F)F)C1 ZINC000162718565 350420080 /nfs/dbraw/zinc/42/00/80/350420080.db2.gz GDOVIJGIZIMDOQ-SECBINFHSA-N 0 3 243.301 2.947 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@H]2CCC(C)(C)C2)n1 ZINC000162727095 350422111 /nfs/dbraw/zinc/42/21/11/350422111.db2.gz GPLVVITUBSIGQR-NSHDSACASA-N 0 3 234.343 2.764 20 0 BFADHN Cc1nn(C)c(C)c1CN1CC[C@@H](C)C(C)(C)C1 ZINC000354023778 180337328 /nfs/dbraw/zinc/33/73/28/180337328.db2.gz FYUZUNOUVFDOOB-LLVKDONJSA-N 0 3 249.402 2.905 20 0 BFADHN CCC[C@](C)(O)CN[C@H](C)c1cnc(C)s1 ZINC000126975384 490530095 /nfs/dbraw/zinc/53/00/95/490530095.db2.gz GLRWUNHRQPMYTG-SKDRFNHKSA-N 0 3 242.388 2.653 20 0 BFADHN CCN(Cc1cnc(C)s1)C1CCC1 ZINC000336672251 350429954 /nfs/dbraw/zinc/42/99/54/350429954.db2.gz LQDWFWKNCRNVEY-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CCCO2)cc(C)c1O ZINC000132967638 191104486 /nfs/dbraw/zinc/10/44/86/191104486.db2.gz NACQKWHYSXVGBZ-CQSZACIVSA-N 0 3 249.354 2.620 20 0 BFADHN CC(C)CCCN[C@@H](C)c1nccn1C ZINC000070007913 350490201 /nfs/dbraw/zinc/49/02/01/350490201.db2.gz RSWDTROQNSXBOZ-NSHDSACASA-N 0 3 209.337 2.507 20 0 BFADHN C[C@@H](N[C@H](C)C1CCCC1)c1nccn1C ZINC000070008524 350491673 /nfs/dbraw/zinc/49/16/73/350491673.db2.gz XELBDJFYLXQOJL-GHMZBOCLSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1c([C@H](C)NCc2ccccc2)cnn1C ZINC000020349432 350471049 /nfs/dbraw/zinc/47/10/49/350471049.db2.gz IPZHGUHJKLFEBA-NSHDSACASA-N 0 3 229.327 2.579 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@@H](C)Cc1ccoc1 ZINC000361919378 180382541 /nfs/dbraw/zinc/38/25/41/180382541.db2.gz QMIBGAHWLHBJBL-QWRGUYRKSA-N 0 3 247.342 2.603 20 0 BFADHN CC(C)CC[C@@H](C)N[C@H](C)c1nccn1C ZINC000070007383 350487967 /nfs/dbraw/zinc/48/79/67/350487967.db2.gz WUZWMTIZEAVOGQ-VXGBXAGGSA-N 0 3 223.364 2.895 20 0 BFADHN Cc1cc(F)ccc1OCCN1CCCCC1 ZINC000163343157 350516408 /nfs/dbraw/zinc/51/64/08/350516408.db2.gz PDQOXFUZFZAAAX-UHFFFAOYSA-N 0 3 237.318 2.999 20 0 BFADHN CCN(Cc1ccnn1C(C)C)CC(C)C ZINC000337143545 350559925 /nfs/dbraw/zinc/55/99/25/350559925.db2.gz YPKRTTRHUQBCKO-UHFFFAOYSA-N 0 3 223.364 2.942 20 0 BFADHN CCN(Cc1ccnn1C(C)C)CC1CC1 ZINC000337188899 350562824 /nfs/dbraw/zinc/56/28/24/350562824.db2.gz QRONRUJZEOLLEW-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@@H](C)c1cc(C)n(C)n1 ZINC000337446238 350585827 /nfs/dbraw/zinc/58/58/27/350585827.db2.gz GRJSHBGSROUSQX-XQQFMLRXSA-N 0 3 235.375 2.958 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1cncs1 ZINC000309428226 323403351 /nfs/dbraw/zinc/40/33/51/323403351.db2.gz BAIRTDPHWGPODH-VLEAKVRGSA-N 0 3 240.372 2.750 20 0 BFADHN CC[C@@H](F)CN1C[C@@H](C)S[C@@H](C)C1 ZINC000307144437 490655875 /nfs/dbraw/zinc/65/58/75/490655875.db2.gz ADZFYELURMBWRL-KXUCPTDWSA-N 0 3 205.342 2.560 20 0 BFADHN CCC[C@H](C)CN1CCCC[C@@H]1C(=O)OCC ZINC000157428106 191116581 /nfs/dbraw/zinc/11/65/81/191116581.db2.gz YYUZUIBGCCBSJK-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN CC1(C)CCN(C[C@@H](O)c2ccccc2F)C1 ZINC000231700343 350633005 /nfs/dbraw/zinc/63/30/05/350633005.db2.gz VXPBNFHLLWAMSS-CYBMUJFWSA-N 0 3 237.318 2.591 20 0 BFADHN CC[C@@H](N[C@@H](C)CO)c1ccc(Cl)s1 ZINC000123353371 350661249 /nfs/dbraw/zinc/66/12/49/350661249.db2.gz FSVFJCYHBQNKLI-JGVFFNPUSA-N 0 3 233.764 2.823 20 0 BFADHN CC1(C)COCCN1C[C@@H]1CCCC(F)(F)C1 ZINC000338238057 350674771 /nfs/dbraw/zinc/67/47/71/350674771.db2.gz WUZBZFGKZUSLPV-LLVKDONJSA-N 0 3 247.329 2.923 20 0 BFADHN CC(C)C[C@@H](NCC1(C)COC1)c1ccccn1 ZINC000164367707 191119503 /nfs/dbraw/zinc/11/95/03/191119503.db2.gz IOZDYQGQKRGBIG-CQSZACIVSA-N 0 3 248.370 2.795 20 0 BFADHN CC1(C)CN(C[C@H]2CCCC(F)(F)C2)CCO1 ZINC000338265474 350675719 /nfs/dbraw/zinc/67/57/19/350675719.db2.gz ZNANSYWOXNLJMT-NSHDSACASA-N 0 3 247.329 2.923 20 0 BFADHN COc1cc(CN[C@@H]2CC23CC3)ccc1Cl ZINC000623554677 362975192 /nfs/dbraw/zinc/97/51/92/362975192.db2.gz JAOIAKQKFDHQGU-GFCCVEGCSA-N 0 3 237.730 2.991 20 0 BFADHN CC[C@@H](c1ccccc1)N1CC[C@@](F)(CO)C1 ZINC000338584939 350697288 /nfs/dbraw/zinc/69/72/88/350697288.db2.gz JANQCKHRYJHDKY-KBPBESRZSA-N 0 3 237.318 2.544 20 0 BFADHN CCOc1ccc2c(c1)[C@@H](NC(C)C)CCO2 ZINC000035654068 358457804 /nfs/dbraw/zinc/45/78/04/358457804.db2.gz TYVGGDHVDFRVGK-ZDUSSCGKSA-N 0 3 235.327 2.907 20 0 BFADHN CC[C@@H](O)CN(CC)Cc1ccc(Cl)cc1 ZINC000338936906 350721626 /nfs/dbraw/zinc/72/16/26/350721626.db2.gz RMYHBYWPDJIJNG-CYBMUJFWSA-N 0 3 241.762 2.933 20 0 BFADHN CO[C@@H]1CCC[C@H]1CN[C@@H](C)c1cscn1 ZINC000309558816 323425217 /nfs/dbraw/zinc/42/52/17/323425217.db2.gz KKVWCRXOLJBRFF-JBLDHEPKSA-N 0 3 240.372 2.609 20 0 BFADHN CCCc1ccc([C@H](C)NCCOC)cc1 ZINC000019881660 350816900 /nfs/dbraw/zinc/81/69/00/350816900.db2.gz KFCUQYHRLZCOJG-LBPRGKRZSA-N 0 3 221.344 2.936 20 0 BFADHN Cc1nc(C)c(CN[C@]2(C)CC=CCC2)o1 ZINC000628309455 350786177 /nfs/dbraw/zinc/78/61/77/350786177.db2.gz WMLLVMOUHQMJOO-CYBMUJFWSA-N 0 3 220.316 2.880 20 0 BFADHN Cc1nocc1CNC[C@H](C)Oc1ccccc1 ZINC000293665498 180507721 /nfs/dbraw/zinc/50/77/21/180507721.db2.gz UJMYLJSMLFDHHW-NSHDSACASA-N 0 3 246.310 2.540 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccnc(OC)c2)C1 ZINC000339867440 350848974 /nfs/dbraw/zinc/84/89/74/350848974.db2.gz RRNWKUDOZLDJOF-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CC1(NCc2ccncc2Cl)CC=CC1 ZINC000623560768 362984699 /nfs/dbraw/zinc/98/46/99/362984699.db2.gz RMNAOAHHFCGBHB-UHFFFAOYSA-N 0 3 222.719 2.933 20 0 BFADHN CCCC[C@H](C)[C@H](C)NCc1ccn(C)n1 ZINC000336681775 350906765 /nfs/dbraw/zinc/90/67/65/350906765.db2.gz DBJCUZXEKDUXON-RYUDHWBXSA-N 0 3 223.364 2.725 20 0 BFADHN OCCCN1CCC[C@H]1c1cccc(Cl)c1 ZINC000052077800 350933837 /nfs/dbraw/zinc/93/38/37/350933837.db2.gz OOANVVAFXYKHGX-ZDUSSCGKSA-N 0 3 239.746 2.859 20 0 BFADHN CCC1CCN(Cc2c(C)noc2C)CC1 ZINC000052279922 350942954 /nfs/dbraw/zinc/94/29/54/350942954.db2.gz VYYWNWDVKBCXHH-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN Cc1ncc(CN[C@@H]2CC23CCCCC3)n1C ZINC000623569148 362996625 /nfs/dbraw/zinc/99/66/25/362996625.db2.gz WAXXHKJUMTVNAQ-CYBMUJFWSA-N 0 3 233.359 2.541 20 0 BFADHN Clc1cnccc1CNCCc1ccoc1 ZINC000623569363 362997434 /nfs/dbraw/zinc/99/74/34/362997434.db2.gz DJKNIWWMYJYZCK-UHFFFAOYSA-N 0 3 236.702 2.660 20 0 BFADHN C=Cn1cc(CN(C)[C@H](C)C2(C)CC2)cn1 ZINC000194063324 191129708 /nfs/dbraw/zinc/12/97/08/191129708.db2.gz RCSGDNOBDFVLSW-LLVKDONJSA-N 0 3 219.332 2.604 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cccc(OC)c2)C1 ZINC000151071291 351050272 /nfs/dbraw/zinc/05/02/72/351050272.db2.gz XEBYRABGRSUDIP-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN CCO[C@H]1CCCN(CCOCCC(C)C)C1 ZINC000151071737 351051302 /nfs/dbraw/zinc/05/13/02/351051302.db2.gz WDNFXEAYOSQHJB-AWEZNQCLSA-N 0 3 243.391 2.550 20 0 BFADHN CC(C)(F)CCNCc1ccncc1Cl ZINC000623565491 362991818 /nfs/dbraw/zinc/99/18/18/362991818.db2.gz PAAVRUFJOTYNNK-UHFFFAOYSA-N 0 3 230.714 2.963 20 0 BFADHN O=C(C[C@H]1C=CCC1)Nc1ccc2c(c1)CNC2 ZINC000386449444 362993626 /nfs/dbraw/zinc/99/36/26/362993626.db2.gz LOTBJECTGJPKEC-NSHDSACASA-N 0 3 242.322 2.585 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2C[C@H]2C2CCC2)o1 ZINC000628318810 351106179 /nfs/dbraw/zinc/10/61/79/351106179.db2.gz VDZWFAZNVZYEEW-STQMWFEESA-N 0 3 234.343 2.817 20 0 BFADHN CO[C@@H](CNCc1ccnc(C)c1)C1CCCC1 ZINC000623573740 363003408 /nfs/dbraw/zinc/00/34/08/363003408.db2.gz TYYQGAZJQNCUPL-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN CC(C)(C)n1cc(CN[C@H]2CCC2(C)C)cn1 ZINC000336704537 351069693 /nfs/dbraw/zinc/06/96/93/351069693.db2.gz MCQRMIVEXFXLJG-LBPRGKRZSA-N 0 3 235.375 2.916 20 0 BFADHN CCN(CC(=O)NC(C)(C)C)C1CCCCC1 ZINC000052873513 351075153 /nfs/dbraw/zinc/07/51/53/351075153.db2.gz AEYNQCNPPQGUFQ-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@H]2CC(C)(C)C)o1 ZINC000628324523 351236724 /nfs/dbraw/zinc/23/67/24/351236724.db2.gz XHOFOKRWOJLXCU-CMPLNLGQSA-N 0 3 222.332 2.897 20 0 BFADHN c1nc(C2CC2)oc1CNC1(C2CCC2)CC1 ZINC000628324727 351244236 /nfs/dbraw/zinc/24/42/36/351244236.db2.gz GWPVAWPKVGNQFI-UHFFFAOYSA-N 0 3 232.327 2.974 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000628322899 351212629 /nfs/dbraw/zinc/21/26/29/351212629.db2.gz QUOIZNBPYSWPLL-SDDRHHMPSA-N 0 3 232.327 2.830 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1ccncc1Cl ZINC000379954015 351299896 /nfs/dbraw/zinc/29/98/96/351299896.db2.gz UTNLYEHDEWZDAB-KWQFWETISA-N 0 3 242.775 2.719 20 0 BFADHN Cc1ncc(CN[C@@H](C)C2CCC(F)CC2)o1 ZINC000628350291 351309505 /nfs/dbraw/zinc/30/95/05/351309505.db2.gz CPAIXFXDBBOMGT-GCVQQVDUSA-N 0 3 240.322 2.989 20 0 BFADHN c1ccc2nc(CN[C@@H]3[C@@H]4CCC[C@@H]43)cnc2c1 ZINC000628349999 351309774 /nfs/dbraw/zinc/30/97/74/351309774.db2.gz VILOVRVIYJXEKO-JJLQDPRZSA-N 0 3 239.322 2.518 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC2(C)C)nn1C ZINC000414453142 351311242 /nfs/dbraw/zinc/31/12/42/351311242.db2.gz CGYUSQDXWDCDGL-LBPRGKRZSA-N 0 3 235.375 2.644 20 0 BFADHN Cc1ncc(CN2CCC[C@H](C3CCC3)C2)o1 ZINC000628351835 351314404 /nfs/dbraw/zinc/31/44/04/351314404.db2.gz PWVVNSSDTVVSGM-ZDUSSCGKSA-N 0 3 234.343 2.995 20 0 BFADHN CCOCCN1CCC[C@H]1c1ccc(O)cc1 ZINC000607357233 351331567 /nfs/dbraw/zinc/33/15/67/351331567.db2.gz VUEFVWQZJOQTGB-AWEZNQCLSA-N 0 3 235.327 2.566 20 0 BFADHN CCN1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000265651541 351273353 /nfs/dbraw/zinc/27/33/53/351273353.db2.gz ONLWJCQQARSKLW-GFCCVEGCSA-N 0 3 235.302 2.740 20 0 BFADHN c1ccc2nc(CN[C@@]34C[C@@H]3CCC4)cnc2c1 ZINC000628336302 351279033 /nfs/dbraw/zinc/27/90/33/351279033.db2.gz DSVXVKNHDHVAST-NHYWBVRUSA-N 0 3 239.322 2.662 20 0 BFADHN CC[C@@H](NCc1cn2ccc(C)cc2n1)C1CC1 ZINC000268907764 351342729 /nfs/dbraw/zinc/34/27/29/351342729.db2.gz NJHHESPYSORMHW-CQSZACIVSA-N 0 3 243.354 2.921 20 0 BFADHN CC[C@@H](O)CCN[C@@H]1CCCOc2ccccc21 ZINC000268988742 351345567 /nfs/dbraw/zinc/34/55/67/351345567.db2.gz FOOWQEKWMYLYHC-TZMCWYRMSA-N 0 3 249.354 2.651 20 0 BFADHN Cc1cc(CNCC2(C)CCCC2)on1 ZINC000380083976 351345904 /nfs/dbraw/zinc/34/59/04/351345904.db2.gz LCSOYANVWWUFLV-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN c1cc(CNC2CCC3(CC3)CC2)sn1 ZINC000623597797 363033839 /nfs/dbraw/zinc/03/38/39/363033839.db2.gz CLORRZOTBAHXRO-UHFFFAOYSA-N 0 3 222.357 2.956 20 0 BFADHN CCC[C@@H](CNCc1ccco1)OCC ZINC000623597972 363034028 /nfs/dbraw/zinc/03/40/28/363034028.db2.gz LCIPYMNDUHBSFO-NSHDSACASA-N 0 3 211.305 2.574 20 0 BFADHN CCN(Cc1ccccc1OC)C[C@H](C)OC ZINC000272757475 351493269 /nfs/dbraw/zinc/49/32/69/351493269.db2.gz BQEQSMQESIXVEY-LBPRGKRZSA-N 0 3 237.343 2.552 20 0 BFADHN CCC[C@@H](CNCc1ccc(C)cn1)OCC ZINC000623599087 363036539 /nfs/dbraw/zinc/03/65/39/363036539.db2.gz XKXXCKIOUZIPKO-AWEZNQCLSA-N 0 3 236.359 2.685 20 0 BFADHN CCC[C@H](CNCc1cnccc1C)OCC ZINC000623599889 363038433 /nfs/dbraw/zinc/03/84/33/363038433.db2.gz UOLUWPBFDHHMNQ-CQSZACIVSA-N 0 3 236.359 2.685 20 0 BFADHN CC(C)C[C@H](C)CN1CCOC2(CCC2)C1 ZINC000337193122 191136833 /nfs/dbraw/zinc/13/68/33/191136833.db2.gz VCWJAIRWYNSZMV-ZDUSSCGKSA-N 0 3 225.376 2.924 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@H](C)c1ccccc1OC ZINC000186504480 187485734 /nfs/dbraw/zinc/48/57/34/187485734.db2.gz PJIFNXPVVVMFSV-DRHCNIFCSA-N 0 3 249.354 2.673 20 0 BFADHN CC[C@H](NC1CC(C)(C)C1)c1ccn(C)n1 ZINC000577651763 366023008 /nfs/dbraw/zinc/02/30/08/366023008.db2.gz PVEUVSSUCDBKDE-NSHDSACASA-N 0 3 221.348 2.649 20 0 BFADHN CC(C)CN(Cc1ccc(F)cn1)C1CC1 ZINC000276198797 351612819 /nfs/dbraw/zinc/61/28/19/351612819.db2.gz DYOVYKMJJOCLFO-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1nsc(C)c1CN1C[C@@H](C)C[C@@H]1C ZINC000577646478 366031417 /nfs/dbraw/zinc/03/14/17/366031417.db2.gz LXDCMEKJQXOBRT-IUCAKERBSA-N 0 3 224.373 2.990 20 0 BFADHN COc1cncc(CN2CCCCCC2)c1C ZINC000637828607 351676843 /nfs/dbraw/zinc/67/68/43/351676843.db2.gz GVRVFHLYMAIULP-UHFFFAOYSA-N 0 3 234.343 2.775 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CCCCCC1 ZINC000637830003 351678946 /nfs/dbraw/zinc/67/89/46/351678946.db2.gz YYYFAYPPMWWKAD-ZDUSSCGKSA-N 0 3 233.359 2.747 20 0 BFADHN C[C@H]1CCC[C@H](C)N1Cc1ccns1 ZINC000637833767 351683103 /nfs/dbraw/zinc/68/31/03/351683103.db2.gz WOUZYDCQRRNFTB-UWVGGRQHSA-N 0 3 210.346 2.906 20 0 BFADHN C[C@@H]1CCCN(Cc2c3c(nn2C)CCCC3)C1 ZINC000637833849 351683866 /nfs/dbraw/zinc/68/38/66/351683866.db2.gz VJGBQPYEPAFDTQ-GFCCVEGCSA-N 0 3 247.386 2.531 20 0 BFADHN CC1CCN([C@H](c2nccn2C)C2CC2)CC1 ZINC000637835160 351685388 /nfs/dbraw/zinc/68/53/88/351685388.db2.gz DUACODFXKGZJGJ-ZDUSSCGKSA-N 0 3 233.359 2.603 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)C2)sn1 ZINC000637833923 351688251 /nfs/dbraw/zinc/68/82/51/351688251.db2.gz WQSOPCUBZAZYHH-SECBINFHSA-N 0 3 210.346 2.683 20 0 BFADHN COc1cccc(C)c1CN(C)C(C)C ZINC000637837434 351691492 /nfs/dbraw/zinc/69/14/92/351691492.db2.gz FBDCTWRUIGXINY-UHFFFAOYSA-N 0 3 207.317 2.844 20 0 BFADHN CN(CCC[C@@H]1CCO1)Cc1cccs1 ZINC000637845290 351702338 /nfs/dbraw/zinc/70/23/38/351702338.db2.gz ANFCIMDGTPKZOS-LLVKDONJSA-N 0 3 225.357 2.749 20 0 BFADHN COc1ncc(CN(C)C2CCCCC2)s1 ZINC000637830780 351675860 /nfs/dbraw/zinc/67/58/60/351675860.db2.gz FNHPBHNYJBNKTA-UHFFFAOYSA-N 0 3 240.372 2.916 20 0 BFADHN CCCN(CCO)Cc1csc(C)c1C ZINC000637857995 351718711 /nfs/dbraw/zinc/71/87/11/351718711.db2.gz STOWHDWJSBQZFZ-UHFFFAOYSA-N 0 3 227.373 2.569 20 0 BFADHN CCN[C@@H](C)C(=O)N[C@@H](CC)c1ccc(C)cc1 ZINC000637885260 351751556 /nfs/dbraw/zinc/75/15/56/351751556.db2.gz JUEAZFJTECWPPB-JSGCOSHPSA-N 0 3 248.370 2.560 20 0 BFADHN C[C@H](c1ccccc1)N(C)Cc1ccc(=O)[nH]c1 ZINC000637871269 351738218 /nfs/dbraw/zinc/73/82/18/351738218.db2.gz OLANGPCOCOAXAM-GFCCVEGCSA-N 0 3 242.322 2.980 20 0 BFADHN CC[C@](C)(CN(C)Cc1ccsc1)OC ZINC000637872904 351738818 /nfs/dbraw/zinc/73/88/18/351738818.db2.gz AMPMWLAIKZFEFL-GFCCVEGCSA-N 0 3 227.373 2.995 20 0 BFADHN CN(CCC[C@@H]1CCO1)Cc1ccsc1 ZINC000637873725 351740596 /nfs/dbraw/zinc/74/05/96/351740596.db2.gz RIOFJRYWQVVXER-GFCCVEGCSA-N 0 3 225.357 2.749 20 0 BFADHN CC(C)CC[C@H](N)C(=O)NC1CCCCCC1 ZINC000637873879 351740908 /nfs/dbraw/zinc/74/09/08/351740908.db2.gz HBLYUBVHTSVGPH-ZDUSSCGKSA-N 0 3 240.391 2.589 20 0 BFADHN COc1ncc(CN(C)[C@H](C)C(C)C)s1 ZINC000637903012 351775724 /nfs/dbraw/zinc/77/57/24/351775724.db2.gz NUNXTOFZCQPDRC-SECBINFHSA-N 0 3 228.361 2.628 20 0 BFADHN CCN([C@@H](C)c1cnc(C)nc1C)C1CC1 ZINC000637905544 351778446 /nfs/dbraw/zinc/77/84/46/351778446.db2.gz RAZDAFHCQFXHSQ-JTQLQIEISA-N 0 3 219.332 2.639 20 0 BFADHN CCN([C@H](C)c1cnc(C)nc1C)C1CC1 ZINC000637905562 351778569 /nfs/dbraw/zinc/77/85/69/351778569.db2.gz RAZDAFHCQFXHSQ-SNVBAGLBSA-N 0 3 219.332 2.639 20 0 BFADHN CCN(Cc1c(C)cccc1OC)C1CC1 ZINC000637906022 351779311 /nfs/dbraw/zinc/77/93/11/351779311.db2.gz WJQJWSRKPCRIJA-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN COc1cc2c(cc1OC)[C@H](N(C)C1CC1)CC2 ZINC000637910004 351781682 /nfs/dbraw/zinc/78/16/82/351781682.db2.gz JZZAYCZBBRVZIU-CYBMUJFWSA-N 0 3 247.338 2.785 20 0 BFADHN Cc1cc(C)c(/C=C\CN2CC[C@H](O)C2)c(C)c1 ZINC000637920265 351794713 /nfs/dbraw/zinc/79/47/13/351794713.db2.gz RONRQHIIQZAHNE-XVWMLYKFSA-N 0 3 245.366 2.692 20 0 BFADHN O[C@@H]1CCN(Cc2ccc(F)c3ccccc23)C1 ZINC000637890328 351759765 /nfs/dbraw/zinc/75/97/65/351759765.db2.gz QAUBXUGEBFHPPB-GFCCVEGCSA-N 0 3 245.297 2.546 20 0 BFADHN CC(C)N(Cc1ccco1)Cc1ccc(=O)[nH]c1 ZINC000637896187 351763946 /nfs/dbraw/zinc/76/39/46/351763946.db2.gz RKGZNLIWOPBLJX-UHFFFAOYSA-N 0 3 246.310 2.791 20 0 BFADHN CCN(Cc1ccc(F)nc1C)C1CC1 ZINC000278509678 351768112 /nfs/dbraw/zinc/76/81/12/351768112.db2.gz WQTRNMPYJINOKR-UHFFFAOYSA-N 0 3 208.280 2.513 20 0 BFADHN CCCc1ccccc1NC(=O)[C@@H](C)NCC ZINC000637903532 351773181 /nfs/dbraw/zinc/77/31/81/351773181.db2.gz CIVOXDQESWKWDM-LLVKDONJSA-N 0 3 234.343 2.576 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1cc(C)ccc1Cl ZINC000637904046 351773699 /nfs/dbraw/zinc/77/36/99/351773699.db2.gz FUAABGQQWRYZNK-VIFPVBQESA-N 0 3 240.734 2.585 20 0 BFADHN CC[C@@H](C)[C@@H](CN(C)Cc1ccoc1)OC ZINC000637964987 351838979 /nfs/dbraw/zinc/83/89/79/351838979.db2.gz FAALYTQNYJVGTE-DGCLKSJQSA-N 0 3 225.332 2.773 20 0 BFADHN Cn1cc(CN[C@@H]2CC2(C)C)c(C(C)(C)C)n1 ZINC000126529766 180650831 /nfs/dbraw/zinc/65/08/31/180650831.db2.gz WMEDMDDOQJKEOX-LLVKDONJSA-N 0 3 235.375 2.606 20 0 BFADHN CC(C)N(CC1CC1)[C@@H](c1nccn1C)C1CC1 ZINC000637933017 351809819 /nfs/dbraw/zinc/80/98/19/351809819.db2.gz KPQPNDKSBQPNGW-CQSZACIVSA-N 0 3 247.386 2.992 20 0 BFADHN CCN[C@H](C)C(=O)N1CC[C@@H](C)c2ccccc21 ZINC000637933441 351810221 /nfs/dbraw/zinc/81/02/21/351810221.db2.gz MRACQGOUSDKZAG-VXGBXAGGSA-N 0 3 246.354 2.525 20 0 BFADHN CC[C@H](C)CN(C)Cc1cncc(OC)c1C ZINC000637941087 351815416 /nfs/dbraw/zinc/81/54/16/351815416.db2.gz NWEBSHRJXFACKA-NSHDSACASA-N 0 3 236.359 2.877 20 0 BFADHN COc1ncc(CN2CCC[C@@H](C)CC2)s1 ZINC000637979043 351854345 /nfs/dbraw/zinc/85/43/45/351854345.db2.gz RNRHCJORFHHYAN-SNVBAGLBSA-N 0 3 240.372 2.774 20 0 BFADHN COCCCN(C)Cc1ccc(C)cc1F ZINC000637976741 351854409 /nfs/dbraw/zinc/85/44/09/351854409.db2.gz KRJDUVAPFJCJQY-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN CC[C@@H]1CCCN1[C@H](c1nccn1C)C1CC1 ZINC000637965411 351839588 /nfs/dbraw/zinc/83/95/88/351839588.db2.gz RLQQQEBLIWLADY-OLZOCXBDSA-N 0 3 233.359 2.746 20 0 BFADHN CC[C@@H]1CCCN1Cc1cc(C)ns1 ZINC000637965728 351839979 /nfs/dbraw/zinc/83/99/79/351839979.db2.gz UPQJGTVAHUWUMZ-SNVBAGLBSA-N 0 3 210.346 2.826 20 0 BFADHN CO[C@@](C)(CN(C)Cc1ccoc1)C1CC1 ZINC000637966463 351840074 /nfs/dbraw/zinc/84/00/74/351840074.db2.gz RDJVBKLRNABMTP-ZDUSSCGKSA-N 0 3 223.316 2.527 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@H](O)C(F)F)c(C)o1 ZINC000631702848 363096609 /nfs/dbraw/zinc/09/66/09/363096609.db2.gz RWYMYCSMJWGHKV-KCJUWKMLSA-N 0 3 247.285 2.563 20 0 BFADHN C[C@@H]1CCCN([C@H](c2nccn2C)C2CC2)[C@H]1C ZINC000637993912 351868435 /nfs/dbraw/zinc/86/84/35/351868435.db2.gz PAVWHYGRMKZYPN-DYEKYZERSA-N 0 3 247.386 2.992 20 0 BFADHN C[C@@H]1CCCN([C@H](c2nccn2C)C2CC2)[C@@H]1C ZINC000637993911 351868615 /nfs/dbraw/zinc/86/86/15/351868615.db2.gz PAVWHYGRMKZYPN-BZPMIXESSA-N 0 3 247.386 2.992 20 0 BFADHN CC[C@](C)(CN1Cc2ccccc2C1)OC ZINC000638039092 351920318 /nfs/dbraw/zinc/92/03/18/351920318.db2.gz ZHOBBZQPBQNRDY-CQSZACIVSA-N 0 3 219.328 2.817 20 0 BFADHN c1cc(CN2CCC[C@H]2C2CC2)sn1 ZINC000638029017 351909295 /nfs/dbraw/zinc/90/92/95/351909295.db2.gz QYGHGBQXBPLWRI-NSHDSACASA-N 0 3 208.330 2.518 20 0 BFADHN CN(CC(C)(C)Oc1ccccc1)[C@@H]1CCOC1 ZINC000638029580 351910385 /nfs/dbraw/zinc/91/03/85/351910385.db2.gz XXBLTNICUZEKIC-CYBMUJFWSA-N 0 3 249.354 2.565 20 0 BFADHN CCN(CC1CC1)[C@H](C)c1cnc(C)nc1C ZINC000638075133 351946806 /nfs/dbraw/zinc/94/68/06/351946806.db2.gz GLMYKZPHQGVTLI-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN c1n[nH]cc1[C@@H]1CCCCN1CCC[C@H]1CCO1 ZINC000638081880 351955280 /nfs/dbraw/zinc/95/52/80/351955280.db2.gz AKNKQLOGXFAFDI-KBPBESRZSA-N 0 3 249.358 2.506 20 0 BFADHN c1cc(CN2CCC3(CCC3)C2)sn1 ZINC000638088237 351965131 /nfs/dbraw/zinc/96/51/31/351965131.db2.gz KPXRTIBYRNBFHE-UHFFFAOYSA-N 0 3 208.330 2.519 20 0 BFADHN Cc1cc(CN2CCC3(CCC3)C2)sn1 ZINC000638089080 351967254 /nfs/dbraw/zinc/96/72/54/351967254.db2.gz ROUSRQIMKOTKAU-UHFFFAOYSA-N 0 3 222.357 2.828 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1ccns1 ZINC000638095186 351971986 /nfs/dbraw/zinc/97/19/86/351971986.db2.gz RARQJXNIZCHNIF-VHSXEESVSA-N 0 3 210.346 2.764 20 0 BFADHN CCC[C@H]1CN(CC2CCSCC2)CCO1 ZINC000638095243 351975468 /nfs/dbraw/zinc/97/54/68/351975468.db2.gz BUVREMRSYSJOPE-ZDUSSCGKSA-N 0 3 243.416 2.631 20 0 BFADHN COc1ccc(CNC2(C3CC3)CC2)c(F)c1 ZINC000575808848 351980000 /nfs/dbraw/zinc/98/00/00/351980000.db2.gz ZJLRAOPDNXEZEK-UHFFFAOYSA-N 0 3 235.302 2.867 20 0 BFADHN CC[C@]1(C)CCN([C@H](c2nccn2C)C2CC2)C1 ZINC000638134867 352002769 /nfs/dbraw/zinc/00/27/69/352002769.db2.gz QBFMFEZPCDQKFV-DZGCQCFKSA-N 0 3 247.386 2.993 20 0 BFADHN CN(CCC1CC1)[C@@H](c1nccn1C)C1CC1 ZINC000638181530 352048192 /nfs/dbraw/zinc/04/81/92/352048192.db2.gz MYISMBOWFZAQGI-CYBMUJFWSA-N 0 3 233.359 2.603 20 0 BFADHN CCCCOCCN[C@H](C)c1cc(C)ccn1 ZINC000285522846 352048484 /nfs/dbraw/zinc/04/84/84/352048484.db2.gz BGGVNXCTFMJEIB-CYBMUJFWSA-N 0 3 236.359 2.857 20 0 BFADHN CN(C)Cc1cccc(NCCC[C@@H]2CCO2)c1 ZINC000638192615 352054960 /nfs/dbraw/zinc/05/49/60/352054960.db2.gz YHSJQYKQGCOGDE-OAHLLOKOSA-N 0 3 248.370 2.729 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)[C@@H]2C)sn1 ZINC000638164548 352032055 /nfs/dbraw/zinc/03/20/55/352032055.db2.gz ZOWCRQRUWHHXHP-SCZZXKLOSA-N 0 3 210.346 2.682 20 0 BFADHN CC(C)[C@H]1CCN(Cc2ccns2)C1 ZINC000638168425 352036314 /nfs/dbraw/zinc/03/63/14/352036314.db2.gz FNMKIIDTFNPIOJ-JTQLQIEISA-N 0 3 210.346 2.621 20 0 BFADHN Fc1ccccc1CNC1CC(n2cccn2)C1 ZINC000638291553 352127274 /nfs/dbraw/zinc/12/72/74/352127274.db2.gz BPDFWQUXKCCKHK-UHFFFAOYSA-N 0 3 245.301 2.516 20 0 BFADHN CCC[C@H](C)NCc1cc(C2CC2)no1 ZINC000638301145 352132156 /nfs/dbraw/zinc/13/21/56/352132156.db2.gz XGFVNKUNDRZDRR-VIFPVBQESA-N 0 3 208.305 2.830 20 0 BFADHN CCN1CCN(C[C@H](C)c2ccccc2)[C@H](C)C1 ZINC000286418149 352088769 /nfs/dbraw/zinc/08/87/69/352088769.db2.gz IFPPJFQQQYOBFS-LSDHHAIUSA-N 0 3 246.398 2.816 20 0 BFADHN CCc1cc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)on1 ZINC000638275018 352110225 /nfs/dbraw/zinc/11/02/25/352110225.db2.gz ULUSRJRCCYTVKQ-GRYCIOLGSA-N 0 3 234.343 2.763 20 0 BFADHN CC1(NCc2cc(C3CC3)no2)CCCC1 ZINC000638308214 352136596 /nfs/dbraw/zinc/13/65/96/352136596.db2.gz WBVXDBSXMMUXSY-UHFFFAOYSA-N 0 3 220.316 2.974 20 0 BFADHN CC(C)CN1CCC2(C1)Oc1ccccc1O2 ZINC000288546793 352154909 /nfs/dbraw/zinc/15/49/09/352154909.db2.gz ALCDINPCIXGLDT-UHFFFAOYSA-N 0 3 233.311 2.516 20 0 BFADHN CCN1CCC[C@H](N[C@@H](C)c2cc(C)ccn2)C1 ZINC000353511302 352166712 /nfs/dbraw/zinc/16/67/12/352166712.db2.gz AXFCCJCGOXSMHZ-KBPBESRZSA-N 0 3 247.386 2.525 20 0 BFADHN CCN(Cc1ccncc1)CC1(SC)CC1 ZINC000289775622 352197984 /nfs/dbraw/zinc/19/79/84/352197984.db2.gz KXJBKWHOYINFDT-UHFFFAOYSA-N 0 3 236.384 2.799 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1ccc(CC)c(CC)c1 ZINC000638377243 352188478 /nfs/dbraw/zinc/18/84/78/352188478.db2.gz OUYHLVVQTDTPNN-NSHDSACASA-N 0 3 248.370 2.748 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cnc2cnccn12 ZINC000290766088 352240017 /nfs/dbraw/zinc/24/00/17/352240017.db2.gz BVSJWBPKTNXTRG-LBPRGKRZSA-N 0 3 246.358 2.740 20 0 BFADHN CCN(Cc1ccccn1)[C@H]1CCOC(C)(C)C1 ZINC000289970664 352205338 /nfs/dbraw/zinc/20/53/38/352205338.db2.gz XCJVHZBOPCTOCJ-AWEZNQCLSA-N 0 3 248.370 2.861 20 0 BFADHN C[C@@H](N[C@@H](C)Cn1cccn1)c1ccccc1F ZINC000037155476 358468902 /nfs/dbraw/zinc/46/89/02/358468902.db2.gz RLNVGLZDOMUVQI-NWDGAFQWSA-N 0 3 247.317 2.762 20 0 BFADHN Cc1ccc(CN(C)CCC2(O)CCC2)s1 ZINC000411116181 191159991 /nfs/dbraw/zinc/15/99/91/191159991.db2.gz PXDXPFJNSFVTNL-UHFFFAOYSA-N 0 3 239.384 2.793 20 0 BFADHN CCN(Cc1n[nH]cc1C)[C@H](C)C(C)C ZINC000290420628 352223608 /nfs/dbraw/zinc/22/36/08/352223608.db2.gz MFTLBVPLRYPQBN-LLVKDONJSA-N 0 3 209.337 2.585 20 0 BFADHN CCN(Cc1cncc(OC)c1)CC(C)(C)C ZINC000291116136 352262266 /nfs/dbraw/zinc/26/22/66/352262266.db2.gz AKLWVYMRFHNCBO-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN COc1cncc(CN[C@@]23C[C@@H]2CCCC3)c1C ZINC000630072782 363155622 /nfs/dbraw/zinc/15/56/22/363155622.db2.gz JEFAFZUOCSEGOV-ZFWWWQNUSA-N 0 3 246.354 2.821 20 0 BFADHN CCN(Cc1sccc1OC)C[C@H](C)OC ZINC000291022210 352255190 /nfs/dbraw/zinc/25/51/90/352255190.db2.gz CQQIWGMVFZRJMC-JTQLQIEISA-N 0 3 243.372 2.614 20 0 BFADHN CCN(Cc1sccc1OC)C[C@@H](C)OC ZINC000291022214 352255220 /nfs/dbraw/zinc/25/52/20/352255220.db2.gz CQQIWGMVFZRJMC-SNVBAGLBSA-N 0 3 243.372 2.614 20 0 BFADHN CC[C@@H](C)c1cccc(NC(=O)C2(N)CC2)c1 ZINC000638468752 352278533 /nfs/dbraw/zinc/27/85/33/352278533.db2.gz SSRFQPIEUZXWKB-SNVBAGLBSA-N 0 3 232.327 2.630 20 0 BFADHN CCC(C)(C)N[C@H](c1nccn1C)C1CC1 ZINC000638472798 352285703 /nfs/dbraw/zinc/28/57/03/352285703.db2.gz WKXINGGJXOMLBP-NSHDSACASA-N 0 3 221.348 2.649 20 0 BFADHN CCN(Cc1cc(C)ncn1)[C@@H](C)C(C)C ZINC000292161669 352305086 /nfs/dbraw/zinc/30/50/86/352305086.db2.gz KQYMCLSVOQMDDH-LBPRGKRZSA-N 0 3 221.348 2.651 20 0 BFADHN CSC[C@H]1CCCN1Cc1oc(C)nc1C ZINC000618905151 352345546 /nfs/dbraw/zinc/34/55/46/352345546.db2.gz ZCZGYCXYGJSJDH-LLVKDONJSA-N 0 3 240.372 2.619 20 0 BFADHN OC1(CCNC2(c3ccc(F)cc3)CC2)CCC1 ZINC000411370187 191181185 /nfs/dbraw/zinc/18/11/85/191181185.db2.gz SIPUHUCGGNKMGY-UHFFFAOYSA-N 0 3 249.329 2.710 20 0 BFADHN Cc1c(N)cccc1NC(=O)C(C)C(F)(F)F ZINC000638525463 352359560 /nfs/dbraw/zinc/35/95/60/352359560.db2.gz CWBCYVVPFIHCCG-ZETCQYMHSA-N 0 3 246.232 2.714 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@@]3(CC[C@H](C)C3)C2)n[nH]1 ZINC000411512860 191190157 /nfs/dbraw/zinc/19/01/57/191190157.db2.gz SRDXZLFKDXLMNL-COPLHBTASA-N 0 3 248.374 2.686 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@@]3(CC[C@@H](C)C3)C2)n[nH]1 ZINC000411512863 191190688 /nfs/dbraw/zinc/19/06/88/191190688.db2.gz SRDXZLFKDXLMNL-UHIISALHSA-N 0 3 248.374 2.686 20 0 BFADHN Cc1nnc([C@H](C)N2CC[C@@H](C)C[C@@H](C)C2)[nH]1 ZINC000411396148 191182068 /nfs/dbraw/zinc/18/20/68/191182068.db2.gz JCUPJYXCQRHNHS-MXWKQRLJSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@H](C)[N@@H+]2CC[C@@H](C)C[C@@H](C)C2)[n-]1 ZINC000411396148 191182071 /nfs/dbraw/zinc/18/20/71/191182071.db2.gz JCUPJYXCQRHNHS-MXWKQRLJSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@H](C)[N@H+]2CC[C@@H](C)C[C@@H](C)C2)[n-]1 ZINC000411396148 191182073 /nfs/dbraw/zinc/18/20/73/191182073.db2.gz JCUPJYXCQRHNHS-MXWKQRLJSA-N 0 3 236.363 2.542 20 0 BFADHN CNc1ccc(NC(=O)C(C)C(F)(F)F)cc1 ZINC000638564170 352389388 /nfs/dbraw/zinc/38/93/88/352389388.db2.gz VHSOPKPDIPMOGA-ZETCQYMHSA-N 0 3 246.232 2.865 20 0 BFADHN CC[C@@H](NCc1ccsc1C)[C@H](O)C(F)F ZINC000294968644 352390735 /nfs/dbraw/zinc/39/07/35/352390735.db2.gz FOHPVAWBGQRJPX-ZJUUUORDSA-N 0 3 249.326 2.551 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCc1cncs1 ZINC000411431107 191184717 /nfs/dbraw/zinc/18/47/17/191184717.db2.gz ZICKBKXRKMXHLD-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN C[C@@]1(O)CCCN([C@@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411417751 191184960 /nfs/dbraw/zinc/18/49/60/191184960.db2.gz DZXATVPEVFQUAJ-RRFJBIMHSA-N 0 3 249.329 2.528 20 0 BFADHN C[C@@]1(O)CCCN([C@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411417752 191185300 /nfs/dbraw/zinc/18/53/00/191185300.db2.gz DZXATVPEVFQUAJ-SOUVJXGZSA-N 0 3 249.329 2.528 20 0 BFADHN CC[C@@H](C)[C@H](CN[C@@H](C)c1cccnc1)OC ZINC000638590420 352420970 /nfs/dbraw/zinc/42/09/70/352420970.db2.gz WKRLVUXDQAXWMR-DYEKYZERSA-N 0 3 236.359 2.793 20 0 BFADHN CCCC[C@@H](COC)NCc1ccncc1C ZINC000295744864 352422965 /nfs/dbraw/zinc/42/29/65/352422965.db2.gz PCZYTZAIFQNVPX-AWEZNQCLSA-N 0 3 236.359 2.685 20 0 BFADHN CC[C@@H](O)CN1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000295962480 352429914 /nfs/dbraw/zinc/42/99/14/352429914.db2.gz JHORIGJHZCOKGN-CABCVRRESA-N 0 3 245.366 2.985 20 0 BFADHN Cc1ccc([C@H](C)NCCc2cncs2)o1 ZINC000411537795 191192669 /nfs/dbraw/zinc/19/26/69/191192669.db2.gz SOEOPFASSRIKFI-JTQLQIEISA-N 0 3 236.340 2.938 20 0 BFADHN CCc1ccc(CN[C@H]2CCCC[C@@H]2OC)nc1 ZINC000411553927 191194624 /nfs/dbraw/zinc/19/46/24/191194624.db2.gz DUJPAHHRVUJXDF-GJZGRUSLSA-N 0 3 248.370 2.691 20 0 BFADHN COC[C@@H](NCc1ccc(F)c(F)c1)C(C)C ZINC000086683100 352497557 /nfs/dbraw/zinc/49/75/57/352497557.db2.gz HQCXGEATBHSWGC-CYBMUJFWSA-N 0 3 243.297 2.725 20 0 BFADHN CCN(Cc1ncc(C)cn1)C1CCCCC1 ZINC000340659102 352550574 /nfs/dbraw/zinc/55/05/74/352550574.db2.gz NRKNLRIEMSGMTI-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N(C)CCOC ZINC000299403421 352530724 /nfs/dbraw/zinc/53/07/24/352530724.db2.gz WMTGTHRHDJRGFX-ZDUSSCGKSA-N 0 3 225.307 2.855 20 0 BFADHN CC(C)=CCNCc1cc(O)cc(F)c1 ZINC000191627252 187740929 /nfs/dbraw/zinc/74/09/29/187740929.db2.gz MKSGNCPOAAYFMZ-UHFFFAOYSA-N 0 3 209.264 2.587 20 0 BFADHN CCCC[C@H](CC)CNC(=O)[C@@H](N)CC(C)C ZINC000040806304 352604624 /nfs/dbraw/zinc/60/46/24/352604624.db2.gz CIFFGCTVOFCSJI-STQMWFEESA-N 0 3 242.407 2.692 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@H](C)CCCC(C)C ZINC000040858727 352605453 /nfs/dbraw/zinc/60/54/53/352605453.db2.gz SBJMHRBJLUFMTK-OCCSQVGLSA-N 0 3 242.407 2.835 20 0 BFADHN Cn1ncc2c1CCCN(CC1CCCCC1)C2 ZINC000411850299 191219263 /nfs/dbraw/zinc/21/92/63/191219263.db2.gz VXJRPVAECDCPTK-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN Cc1cnc([C@@H](C)NCCC[C@H]2CCO2)s1 ZINC000638725141 352582805 /nfs/dbraw/zinc/58/28/05/352582805.db2.gz FWFLTGLFGJLRAS-MNOVXSKESA-N 0 3 240.372 2.671 20 0 BFADHN CC1(C)C[C@@H]1NCc1ccc(Br)o1 ZINC000045115439 187779077 /nfs/dbraw/zinc/77/90/77/187779077.db2.gz FJEUVXTYHKGSHR-QMMMGPOBSA-N 0 3 244.132 2.930 20 0 BFADHN Cn1ncc2c1CCCN(CCC1CCCC1)C2 ZINC000411852683 191221489 /nfs/dbraw/zinc/22/14/89/191221489.db2.gz ZVFJDUDONVJVQD-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1ncc(C)n1C ZINC000341085444 352687280 /nfs/dbraw/zinc/68/72/80/352687280.db2.gz BEWQPFBZDRKFPT-CHWSQXEVSA-N 0 3 235.375 2.787 20 0 BFADHN CO[C@](C)([C@H](C)NCc1cncc(C)c1)C1CC1 ZINC000411956703 191233419 /nfs/dbraw/zinc/23/34/19/191233419.db2.gz AFZQOMOSVAUQKC-SWLSCSKDSA-N 0 3 248.370 2.683 20 0 BFADHN CC[C@H](F)CN[C@@H](C)[C@@](C)(OC)C1CC1 ZINC000411965469 191234215 /nfs/dbraw/zinc/23/42/15/191234215.db2.gz FLVXUUQTOZTGAG-ZMLRMANQSA-N 0 3 217.328 2.528 20 0 BFADHN CCCC[C@H](CCC)CNCc1ncnn1C ZINC000638759877 352697281 /nfs/dbraw/zinc/69/72/81/352697281.db2.gz MTZUKBDHQRPLTN-LBPRGKRZSA-N 0 3 238.379 2.511 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1ncccc1C)C1CC1 ZINC000411969159 191235900 /nfs/dbraw/zinc/23/59/00/191235900.db2.gz LEQHZBUICVTPTH-DOMZBBRYSA-N 0 3 248.370 2.683 20 0 BFADHN CO[C@](C)([C@H](C)NCc1ncccc1C)C1CC1 ZINC000411969161 191235915 /nfs/dbraw/zinc/23/59/15/191235915.db2.gz LEQHZBUICVTPTH-SWLSCSKDSA-N 0 3 248.370 2.683 20 0 BFADHN CC[C@@H](C)N(C)CCOc1ccccc1C(C)=O ZINC000037323176 358472514 /nfs/dbraw/zinc/47/25/14/358472514.db2.gz NEKYDCMCKOTDBS-GFCCVEGCSA-N 0 3 249.354 2.998 20 0 BFADHN CC(C)O[C@H](CN[C@@H]1CCOC1)c1ccccc1 ZINC000638772943 352713949 /nfs/dbraw/zinc/71/39/49/352713949.db2.gz BUQTWEIRZXFEPV-HUUCEWRRSA-N 0 3 249.354 2.531 20 0 BFADHN CCN(CC[C@H]1CCCO1)Cc1occc1C ZINC000412025844 191240290 /nfs/dbraw/zinc/24/02/90/191240290.db2.gz JYWNHISXYCEVBZ-CYBMUJFWSA-N 0 3 237.343 2.979 20 0 BFADHN CCOCCCN(CC)Cc1occc1C ZINC000412025857 191240318 /nfs/dbraw/zinc/24/03/18/191240318.db2.gz KALJGOJGBCOABG-UHFFFAOYSA-N 0 3 225.332 2.837 20 0 BFADHN CCN(CCCCOC)Cc1occc1C ZINC000412031015 191240891 /nfs/dbraw/zinc/24/08/91/191240891.db2.gz XGSQFPFENLADMT-UHFFFAOYSA-N 0 3 225.332 2.837 20 0 BFADHN c1c(CNC[C@@H]2CCCS2)onc1C1CC1 ZINC000638740891 352675136 /nfs/dbraw/zinc/67/51/36/352675136.db2.gz APNNZELLAZLBLA-NSHDSACASA-N 0 3 238.356 2.537 20 0 BFADHN FC1(F)CCC[C@@H](NCc2ccns2)C1 ZINC000638747176 352679917 /nfs/dbraw/zinc/67/99/17/352679917.db2.gz BSDHPSQVKIXCBB-MRVPVSSYSA-N 0 3 232.299 2.811 20 0 BFADHN FC1(F)CCC[C@H](NCc2ccns2)C1 ZINC000638747177 352680142 /nfs/dbraw/zinc/68/01/42/352680142.db2.gz BSDHPSQVKIXCBB-QMMMGPOBSA-N 0 3 232.299 2.811 20 0 BFADHN Cc1cc(CN2CCC[C@H]2C(C)(C)C)no1 ZINC000334177484 352762745 /nfs/dbraw/zinc/76/27/45/352762745.db2.gz CIKQEFZBHJQAQO-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN CC(C)n1ccc(CN2CC[C@@H]3CCC[C@H]32)n1 ZINC000334212686 352810772 /nfs/dbraw/zinc/81/07/72/352810772.db2.gz CYZFODKDRMSYOH-GXTWGEPZSA-N 0 3 233.359 2.838 20 0 BFADHN CCN(Cc1cnc(C)cn1)C1CC(C)(C)C1 ZINC000412041785 191249590 /nfs/dbraw/zinc/24/95/90/191249590.db2.gz UIMJPHBRJSZNGS-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1noc([C@H]2CCCN2CC2CCCC2)n1 ZINC000334200826 352793921 /nfs/dbraw/zinc/79/39/21/352793921.db2.gz OLOGTVNXSYXYOS-GFCCVEGCSA-N 0 3 235.331 2.705 20 0 BFADHN FC1(F)CCC[C@H](CN2CCSCC2)C1 ZINC000334202253 352795701 /nfs/dbraw/zinc/79/57/01/352795701.db2.gz OUOSSRXAYWJWGZ-JTQLQIEISA-N 0 3 235.343 2.861 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnc(C)nc2)CC1 ZINC000334210428 352807772 /nfs/dbraw/zinc/80/77/72/352807772.db2.gz YJTPPWAIPHKXBW-CYBMUJFWSA-N 0 3 233.359 2.797 20 0 BFADHN Cc1cnc([C@@H](C)N2CCC[C@H](C)CC2)cn1 ZINC000334211146 352808417 /nfs/dbraw/zinc/80/84/17/352808417.db2.gz PAAUUHDCYMQRLW-WCQYABFASA-N 0 3 233.359 2.968 20 0 BFADHN CO[C@@H]1CCN(Cc2cc3cc(F)ccc3o2)C1 ZINC000334211868 352809302 /nfs/dbraw/zinc/80/93/02/352809302.db2.gz ZKZSLCBNQFQTHK-GFCCVEGCSA-N 0 3 249.285 2.793 20 0 BFADHN Cc1ncsc1CN1CC[C@H]1C(C)C ZINC000334234153 352850900 /nfs/dbraw/zinc/85/09/00/352850900.db2.gz YZKTZFPZCLEDSX-JTQLQIEISA-N 0 3 210.346 2.682 20 0 BFADHN Cc1ncsc1CN1CC[C@@H]1C(C)C ZINC000334234157 352850910 /nfs/dbraw/zinc/85/09/10/352850910.db2.gz YZKTZFPZCLEDSX-SNVBAGLBSA-N 0 3 210.346 2.682 20 0 BFADHN C[C@@H](F)CCN1CCN(C)CC12CCCCC2 ZINC000334235319 352852559 /nfs/dbraw/zinc/85/25/59/352852559.db2.gz QORIYGLTSZEARI-CYBMUJFWSA-N 0 3 242.382 2.685 20 0 BFADHN COc1cc(CN2CCC[C@@H]3CCC[C@@H]32)ccn1 ZINC000342858496 352877478 /nfs/dbraw/zinc/87/74/78/352877478.db2.gz PLLRFIAPFMAAGW-KBPBESRZSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@@H]1[C@H](CO)CCCN1C/C=C/c1ccccc1 ZINC000412085619 191254609 /nfs/dbraw/zinc/25/46/09/191254609.db2.gz OIFKCEGSDCGIJB-VUNQMSRZSA-N 0 3 245.366 2.793 20 0 BFADHN C[C@@H]1[C@H](CO)CCCN1C/C=C\c1ccccc1 ZINC000412085617 191255868 /nfs/dbraw/zinc/25/58/68/191255868.db2.gz OIFKCEGSDCGIJB-SPKVYTQQSA-N 0 3 245.366 2.793 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CC[C@H]1C(C)C ZINC000342846004 352873475 /nfs/dbraw/zinc/87/34/75/352873475.db2.gz CNKKUNFFEFKMSQ-HNNXBMFYSA-N 0 3 249.402 2.775 20 0 BFADHN COc1cc(CN2CC[C@H]2C(C)C)cc(OC)c1 ZINC000342847037 352873486 /nfs/dbraw/zinc/87/34/86/352873486.db2.gz GLKVQSPQRRUJQK-HNNXBMFYSA-N 0 3 249.354 2.934 20 0 BFADHN COc1cccc(CN2CC[C@@H]2C(C)C)c1OC ZINC000342852749 352874982 /nfs/dbraw/zinc/87/49/82/352874982.db2.gz RQCALWMTDPDPIZ-CYBMUJFWSA-N 0 3 249.354 2.934 20 0 BFADHN Cc1ccoc1CN1CC[C@@]2(C1)CCCOC2 ZINC000342833749 352835733 /nfs/dbraw/zinc/83/57/33/352835733.db2.gz AVZMAIMPMDTILM-CQSZACIVSA-N 0 3 235.327 2.591 20 0 BFADHN Cc1nccc(CN2CC[C@@H](C)C[C@H](C)C2)n1 ZINC000334298467 352918653 /nfs/dbraw/zinc/91/86/53/352918653.db2.gz OBFQHIAZNWYQBE-NEPJUHHUSA-N 0 3 233.359 2.653 20 0 BFADHN CCc1ncc(CN2CC[C@@H]2C(C)C)s1 ZINC000342862999 352880418 /nfs/dbraw/zinc/88/04/18/352880418.db2.gz VGHDXTYUWPXCPD-LLVKDONJSA-N 0 3 224.373 2.936 20 0 BFADHN COc1ccsc1CN1CC[C@@H]1C(C)C ZINC000342863020 352880436 /nfs/dbraw/zinc/88/04/36/352880436.db2.gz VKXSMSGLWLZBTO-SNVBAGLBSA-N 0 3 225.357 2.987 20 0 BFADHN CCc1ncc(CN2CC[C@H]2C(C)C)s1 ZINC000342863000 352880750 /nfs/dbraw/zinc/88/07/50/352880750.db2.gz VGHDXTYUWPXCPD-NSHDSACASA-N 0 3 224.373 2.936 20 0 BFADHN COc1cc(CN2CC[C@H](CC(C)C)C2)ccn1 ZINC000342864904 352881877 /nfs/dbraw/zinc/88/18/77/352881877.db2.gz RCXADCGALMWXFA-CQSZACIVSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)CCC[C@H]2C)cn1 ZINC000334262848 352896500 /nfs/dbraw/zinc/89/65/00/352896500.db2.gz JEFYQDYBMHUDNK-WCQYABFASA-N 0 3 233.359 2.796 20 0 BFADHN Cc1nocc1CN1CC[C@@H](C)C(C)(C)C1 ZINC000334314686 352945327 /nfs/dbraw/zinc/94/53/27/352945327.db2.gz MMNYSMJPGLLNRC-SNVBAGLBSA-N 0 3 222.332 2.851 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1[C@@H]1C=CCCC1 ZINC000343037524 352947962 /nfs/dbraw/zinc/94/79/62/352947962.db2.gz KAWSULNDWLPOIH-JHJVBQTASA-N 0 3 209.333 2.594 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H]2C(C)C)s1 ZINC000334318442 352948867 /nfs/dbraw/zinc/94/88/67/352948867.db2.gz SCRUPQFLEWFWRX-LLVKDONJSA-N 0 3 224.373 2.990 20 0 BFADHN Cc1ccc(CNCc2ccn(C)c2)c(C)c1 ZINC000343041187 352952391 /nfs/dbraw/zinc/95/23/91/352952391.db2.gz WAZBNVWBFWGVNI-UHFFFAOYSA-N 0 3 228.339 2.932 20 0 BFADHN CCc1cnc(CNC[C@@H]2CC2(C)C)s1 ZINC000336748440 352957279 /nfs/dbraw/zinc/95/72/79/352957279.db2.gz CRXUQPPVFCMSNR-VIFPVBQESA-N 0 3 224.373 2.841 20 0 BFADHN CC[C@H](N)C(=O)N(c1ccccc1)[C@H](C)C1CC1 ZINC000638840172 353016293 /nfs/dbraw/zinc/01/62/93/353016293.db2.gz NIYBPJBSEJNSCJ-RISCZKNCSA-N 0 3 246.354 2.555 20 0 BFADHN CC[C@H](N)C(=O)N(c1ccccc1)[C@@H](C)C1CC1 ZINC000638840171 353016547 /nfs/dbraw/zinc/01/65/47/353016547.db2.gz NIYBPJBSEJNSCJ-FZMZJTMJSA-N 0 3 246.354 2.555 20 0 BFADHN c1cc(CNC23CC4CC(CC(C4)C2)C3)no1 ZINC000638841181 353017306 /nfs/dbraw/zinc/01/73/06/353017306.db2.gz QNYBLJVFHOKWKI-UHFFFAOYSA-N 0 3 232.327 2.733 20 0 BFADHN Cc1cscc1-c1noc([C@@H]2CCN(C)C2)n1 ZINC000334372918 353026031 /nfs/dbraw/zinc/02/60/31/353026031.db2.gz ZBWMMLMJZBOXDQ-SECBINFHSA-N 0 3 249.339 2.526 20 0 BFADHN CCc1nocc1CN1CCCC[C@@H](C)C1 ZINC000334372816 353026040 /nfs/dbraw/zinc/02/60/40/353026040.db2.gz RZVNTMJIRNRKDI-LLVKDONJSA-N 0 3 222.332 2.859 20 0 BFADHN OCC1(NCc2ccc(F)c3ccccc23)CC1 ZINC000638902309 353063851 /nfs/dbraw/zinc/06/38/51/353063851.db2.gz XUDFUSIMDMWYQC-UHFFFAOYSA-N 0 3 245.297 2.593 20 0 BFADHN CCC[C@H](CN(C)[C@@H](C)c1ccccn1)OC ZINC000638922508 353079969 /nfs/dbraw/zinc/07/99/69/353079969.db2.gz HXCUBALZERQLKD-QWHCGFSZSA-N 0 3 236.359 2.890 20 0 BFADHN CCc1nocc1CN1CCCC(C)(C)C1 ZINC000334468739 353116252 /nfs/dbraw/zinc/11/62/52/353116252.db2.gz YEBXFLMVFCMDGT-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN CC[C@@H](C)[C@H](CN(C)Cc1cccnc1)OC ZINC000638917455 353081184 /nfs/dbraw/zinc/08/11/84/353081184.db2.gz FLCREMTXTAIIGP-OCCSQVGLSA-N 0 3 236.359 2.575 20 0 BFADHN CC[C@H](C)N(C)C(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000412177771 191266445 /nfs/dbraw/zinc/26/64/45/191266445.db2.gz MDWBDXLWFCLSTA-CYDGBPFRSA-N 0 3 240.391 2.505 20 0 BFADHN CCCCC[C@@H]1CCCN1Cc1cnn(C)c1 ZINC000355209063 188064285 /nfs/dbraw/zinc/06/42/85/188064285.db2.gz JOHJCFFQVODREO-CQSZACIVSA-N 0 3 235.375 2.965 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)NCc1nccn1C ZINC000356845814 188066642 /nfs/dbraw/zinc/06/66/42/188066642.db2.gz IJDJZCLIXSOGSE-STQMWFEESA-N 0 3 237.391 2.971 20 0 BFADHN C[C@H]1CN(C)CCN1CCCCc1ccccc1 ZINC000359850451 188069155 /nfs/dbraw/zinc/06/91/55/188069155.db2.gz AHZHQKKJRZPJOS-HNNXBMFYSA-N 0 3 246.398 2.645 20 0 BFADHN C=Cn1cc(CN2CC[C@]3(CC[C@H](C)C3)C2)cn1 ZINC000373205710 188075181 /nfs/dbraw/zinc/07/51/81/188075181.db2.gz LWJDEHVJZKMNGL-ZFWWWQNUSA-N 0 3 245.370 2.996 20 0 BFADHN CC(C)N(Cc1ccc(F)c(F)c1)C[C@@H](C)O ZINC000303983342 188076819 /nfs/dbraw/zinc/07/68/19/188076819.db2.gz HBKGQRDGXVGCFE-SNVBAGLBSA-N 0 3 243.297 2.556 20 0 BFADHN CC[C@H](C)N(C)C(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000412177772 191265956 /nfs/dbraw/zinc/26/59/56/191265956.db2.gz MDWBDXLWFCLSTA-LOWDOPEQSA-N 0 3 240.391 2.505 20 0 BFADHN CC(C)=CCNC[C@H](O)c1ccccc1C ZINC000192312318 188081836 /nfs/dbraw/zinc/08/18/36/188081836.db2.gz NBJJBOQJYMQDNM-AWEZNQCLSA-N 0 3 219.328 2.584 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2NCC1=CCCOC1 ZINC000335317013 188082836 /nfs/dbraw/zinc/08/28/36/188082836.db2.gz KTKXTOLQRWIOLK-HNNXBMFYSA-N 0 3 229.323 2.610 20 0 BFADHN CCCc1csc(CNCC[C@H](C)F)n1 ZINC000339484868 188083710 /nfs/dbraw/zinc/08/37/10/188083710.db2.gz OMCXAKYDIVEFIF-VIFPVBQESA-N 0 3 230.352 2.933 20 0 BFADHN CCCc1csc(CNCCCCOC)n1 ZINC000336782843 188083969 /nfs/dbraw/zinc/08/39/69/188083969.db2.gz YUTCVPQPVAGOSO-UHFFFAOYSA-N 0 3 242.388 2.612 20 0 BFADHN CC(C)(C)n1cc(CN[C@@H]2CC2(C)C)cn1 ZINC000044370341 188085475 /nfs/dbraw/zinc/08/54/75/188085475.db2.gz DVVUPTWSLHQFLJ-LLVKDONJSA-N 0 3 221.348 2.526 20 0 BFADHN Cc1n[nH]cc1CNC1(c2ccccc2)CCC1 ZINC000051188647 353157439 /nfs/dbraw/zinc/15/74/39/353157439.db2.gz TXIIQKCPXDPGCB-UHFFFAOYSA-N 0 3 241.338 2.887 20 0 BFADHN CC[C@H](NC[C@@H](C)OC)c1cccc(F)c1 ZINC000276898433 188094027 /nfs/dbraw/zinc/09/40/27/188094027.db2.gz IRBLHLBVDFZOLK-MFKMUULPSA-N 0 3 225.307 2.901 20 0 BFADHN COC1(CNCc2ccsc2)CCCC1 ZINC000276984233 188094144 /nfs/dbraw/zinc/09/41/44/188094144.db2.gz UKYJXQVALIWEGN-UHFFFAOYSA-N 0 3 225.357 2.797 20 0 BFADHN CC(C)=CCCNCc1cnc(C)s1 ZINC000276662945 188094154 /nfs/dbraw/zinc/09/41/54/188094154.db2.gz WUCBIHUBEUEXOO-UHFFFAOYSA-N 0 3 210.346 2.897 20 0 BFADHN CC1(C)SC[C@H]1NCc1ccc(F)c(F)c1 ZINC000282845709 188095710 /nfs/dbraw/zinc/09/57/10/188095710.db2.gz PCBUEFKGKMLUBJ-LLVKDONJSA-N 0 3 243.322 2.948 20 0 BFADHN CC[C@H](O)[C@@H]1CCCCN1Cc1cccnc1C ZINC000093322439 538109859 /nfs/dbraw/zinc/10/98/59/538109859.db2.gz PKVGFECPPZDQNO-GJZGRUSLSA-N 0 3 248.370 2.515 20 0 BFADHN CC(C)(C)c1noc([C@@H]2C[C@@H]3CCCC[C@@H]3N2)n1 ZINC000020551941 188113037 /nfs/dbraw/zinc/11/30/37/188113037.db2.gz UOSDORKRGSIGGG-DCAQKATOSA-N 0 3 249.358 2.960 20 0 BFADHN Cc1n[nH]cc1CNCCSc1ccccc1 ZINC000037904179 188115175 /nfs/dbraw/zinc/11/51/75/188115175.db2.gz IWINHFQJOUSOPP-UHFFFAOYSA-N 0 3 247.367 2.600 20 0 BFADHN Cc1sccc1NC(=O)[C@@H](N)CCC(C)C ZINC000638981688 353192454 /nfs/dbraw/zinc/19/24/54/353192454.db2.gz MGGMCPBDHRHLRK-JTQLQIEISA-N 0 3 240.372 2.759 20 0 BFADHN CN(CCc1nccs1)Cc1cccs1 ZINC000093843819 188145083 /nfs/dbraw/zinc/14/50/83/188145083.db2.gz QTUZRFTUQJBJKR-UHFFFAOYSA-N 0 3 238.381 2.879 20 0 BFADHN COc1ccc(CN[C@@H]2CC2(C)C)c(OC)c1 ZINC000044371849 188123690 /nfs/dbraw/zinc/12/36/90/188123690.db2.gz IBHNOYVLLCLOOU-CYBMUJFWSA-N 0 3 235.327 2.592 20 0 BFADHN CC(C)[C@H](C)C(=O)Nc1ccc2c(c1)CNCC2 ZINC000070125610 188130748 /nfs/dbraw/zinc/13/07/48/188130748.db2.gz XVJNADZKIBIJOW-NSHDSACASA-N 0 3 246.354 2.563 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2cccnc2N)CC1 ZINC000079397470 188136085 /nfs/dbraw/zinc/13/60/85/188136085.db2.gz XNAXUEIYLHAOAM-CYBMUJFWSA-N 0 3 247.386 2.922 20 0 BFADHN CC(C)(C)c1ccc(-n2cnc(CN)c2)cc1 ZINC000082541715 188138669 /nfs/dbraw/zinc/13/86/69/188138669.db2.gz XPTWQAHNITZFCO-UHFFFAOYSA-N 0 3 229.327 2.629 20 0 BFADHN CCc1cnc(CN[C@@H]2CC[C@@H]2C2CC2)s1 ZINC000336762807 353209697 /nfs/dbraw/zinc/20/96/97/353209697.db2.gz LSJQIMZFYHZVTR-VXGBXAGGSA-N 0 3 236.384 2.984 20 0 BFADHN CCc1cnc(CN[C@H]2CC[C@@H]2C2CC2)s1 ZINC000336762804 353209786 /nfs/dbraw/zinc/20/97/86/353209786.db2.gz LSJQIMZFYHZVTR-NEPJUHHUSA-N 0 3 236.384 2.984 20 0 BFADHN C[C@H](O)[C@H]1CCCCN1C/C=C\c1ccccc1 ZINC000353232852 353211280 /nfs/dbraw/zinc/21/12/80/353211280.db2.gz LOHRJFLJPIMLNG-UUMOCBLWSA-N 0 3 245.366 2.935 20 0 BFADHN CCC[C@H](C)NCc1ccc(COC)o1 ZINC000166653384 538110984 /nfs/dbraw/zinc/11/09/84/538110984.db2.gz VHEAOTOTRGPQCG-JTQLQIEISA-N 0 3 211.305 2.704 20 0 BFADHN CC(=O)Nc1ccccc1CN1CCC(C)CC1 ZINC000158865886 188158039 /nfs/dbraw/zinc/15/80/39/188158039.db2.gz YOSABOLJILITJX-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CSc1ccc(CN[C@H]2CCCOC2)s1 ZINC000309077470 188166083 /nfs/dbraw/zinc/16/60/83/188166083.db2.gz MFLJMQGTNVQFTH-VIFPVBQESA-N 0 3 243.397 2.739 20 0 BFADHN CC(C)N(C)Cc1cnn(Cc2ccccc2)c1 ZINC000185239433 188170558 /nfs/dbraw/zinc/17/05/58/188170558.db2.gz WSOXOCTXFVSGBS-UHFFFAOYSA-N 0 3 243.354 2.772 20 0 BFADHN CC(C)=CCC[C@H](C)N[C@H](C)c1nncn1C ZINC000268905938 188197980 /nfs/dbraw/zinc/19/79/80/188197980.db2.gz NCVKPTVQJNAODM-NWDGAFQWSA-N 0 3 236.363 2.601 20 0 BFADHN CC(C)N(C)Cc1cnc2ccc(Cl)cn12 ZINC000271020402 188198759 /nfs/dbraw/zinc/19/87/59/188198759.db2.gz TXEUKDMARMIBLX-UHFFFAOYSA-N 0 3 237.734 2.828 20 0 BFADHN COc1ccc(CN2[C@H](C)CCC[C@H]2C)nc1 ZINC000271711929 188198916 /nfs/dbraw/zinc/19/89/16/188198916.db2.gz BBNZUVGVJJXLGF-VXGBXAGGSA-N 0 3 234.343 2.853 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3ccccc3F)CC2)CCO1 ZINC000271927075 188199370 /nfs/dbraw/zinc/19/93/70/188199370.db2.gz CLZLEWYJMYUDSD-NEPJUHHUSA-N 0 3 249.329 2.972 20 0 BFADHN COc1ccc(CN2CCCO[C@@H](C)C2)cc1C ZINC000271146162 188199474 /nfs/dbraw/zinc/19/94/74/188199474.db2.gz HNOWDQTVGQRBMJ-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN FC(F)O[C@@H]1CCC[C@@H]1NCc1ccco1 ZINC000337308471 180905514 /nfs/dbraw/zinc/90/55/14/180905514.db2.gz SURLRBGPKFZMHH-VHSXEESVSA-N 0 3 231.242 2.530 20 0 BFADHN C=Cn1cc(CN2CCCCCCC2)cn1 ZINC000193597506 188175774 /nfs/dbraw/zinc/17/57/74/188175774.db2.gz YLMMKGWEXWYIMY-UHFFFAOYSA-N 0 3 219.332 2.750 20 0 BFADHN CCCc1csc(CNCC[C@@H](C)OC)n1 ZINC000336780045 188177378 /nfs/dbraw/zinc/17/73/78/188177378.db2.gz QFRVZPNQOIKEOE-SNVBAGLBSA-N 0 3 242.388 2.610 20 0 BFADHN c1cc([C@H]2CCCN([C@H]3C=CCCC3)C2)ncn1 ZINC000365131615 188177954 /nfs/dbraw/zinc/17/79/54/188177954.db2.gz CWUVPNSHJDPZAR-KBPBESRZSA-N 0 3 243.354 2.765 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NC[C@H]1CCSC1)CO2 ZINC000373300504 188180482 /nfs/dbraw/zinc/18/04/82/188180482.db2.gz BLTDKQPLVVVZOX-YPMHNXCESA-N 0 3 249.379 2.771 20 0 BFADHN CC(C)C[C@@H]1COCCN1CCSC(C)C ZINC000336664306 188181622 /nfs/dbraw/zinc/18/16/22/188181622.db2.gz DQLGOQUEKCZZAX-CYBMUJFWSA-N 0 3 245.432 2.875 20 0 BFADHN CC(C)COCCN1CCS[C@@H](C(C)C)C1 ZINC000336666048 188181709 /nfs/dbraw/zinc/18/17/09/188181709.db2.gz KJOJDNPJPUFWRY-CYBMUJFWSA-N 0 3 245.432 2.732 20 0 BFADHN CC(C)C[C@H](C)CN1CCOC(C)(C)C1 ZINC000337139997 188184996 /nfs/dbraw/zinc/18/49/96/188184996.db2.gz SZJXMTVBARNARB-LBPRGKRZSA-N 0 3 213.365 2.779 20 0 BFADHN Cc1nn(C)cc1CNC(C)(C)c1cccs1 ZINC000339514736 188192253 /nfs/dbraw/zinc/19/22/53/188192253.db2.gz PDHRFZCJWWAMOQ-UHFFFAOYSA-N 0 3 249.383 2.815 20 0 BFADHN CC(=O)c1ccc(CN(C)CC(C)C)cc1 ZINC000294798634 188213516 /nfs/dbraw/zinc/21/35/16/188213516.db2.gz BZDLENATCAMMHI-UHFFFAOYSA-N 0 3 219.328 2.977 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3ccccc3F)CC2)[C@@H](C)O1 ZINC000295407636 188213520 /nfs/dbraw/zinc/21/35/20/188213520.db2.gz MQRVLYFXXUHQQF-JTNHKYCSSA-N 0 3 249.329 2.970 20 0 BFADHN CC(=O)CCN1CCC[C@H]1c1ccsc1 ZINC000298735207 188214957 /nfs/dbraw/zinc/21/49/57/188214957.db2.gz IDKLNVLBQCNONQ-LBPRGKRZSA-N 0 3 223.341 2.864 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@@H]2C(F)F)cc1F ZINC000342196049 188218584 /nfs/dbraw/zinc/21/85/84/188218584.db2.gz AGRLZQJHUPQROO-WCBMZHEXSA-N 0 3 245.244 2.578 20 0 BFADHN C=Cn1cc(CN2CCC(C)(CC)CC2)cn1 ZINC000278259333 188203760 /nfs/dbraw/zinc/20/37/60/188203760.db2.gz QHYAZTSSIJWMGT-UHFFFAOYSA-N 0 3 233.359 2.996 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@@H](C)[C@H](C)C1 ZINC000278400757 188203976 /nfs/dbraw/zinc/20/39/76/188203976.db2.gz FULSDSARFLMMRS-NXEZZACHSA-N 0 3 222.307 2.617 20 0 BFADHN Cc1nc(F)ccc1CN1CCC2(CCC2)C1 ZINC000278452547 188203990 /nfs/dbraw/zinc/20/39/90/188203990.db2.gz NJEFCVRKLNCZJX-UHFFFAOYSA-N 0 3 234.318 2.905 20 0 BFADHN Cc1nc(F)ccc1CN(C)CC(C)C ZINC000278388840 188204267 /nfs/dbraw/zinc/20/42/67/188204267.db2.gz DNVAMMXXCIGKTL-UHFFFAOYSA-N 0 3 210.296 2.617 20 0 BFADHN CC(C)[C@H](O)CN1CC=C(c2ccccc2)CC1 ZINC000366619343 188207231 /nfs/dbraw/zinc/20/72/31/188207231.db2.gz LDAYHLHFGTWFSE-MRXNPFEDSA-N 0 3 245.366 2.793 20 0 BFADHN CC1(C)CN(Cc2cccc(N)c2)CCS1 ZINC000160517218 353266565 /nfs/dbraw/zinc/26/65/65/353266565.db2.gz IFQXYFQURGMFPS-UHFFFAOYSA-N 0 3 236.384 2.596 20 0 BFADHN COc1ccc(CN2CCCC23CCC3)cc1O ZINC000336569240 188231371 /nfs/dbraw/zinc/23/13/71/188231371.db2.gz DFTGDUSDPBYGAB-UHFFFAOYSA-N 0 3 247.338 2.919 20 0 BFADHN N#Cc1cccc(OCCN2C3CCC2CC3)c1 ZINC000398876833 353295945 /nfs/dbraw/zinc/29/59/45/353295945.db2.gz BVVARAREQNBWNQ-UHFFFAOYSA-N 0 3 242.322 2.564 20 0 BFADHN CCc1cnc(CN[C@@H]2CC[C@H](F)C2)s1 ZINC000336770056 353295420 /nfs/dbraw/zinc/29/54/20/353295420.db2.gz VIFFKRUNZHMQDF-DTWKUNHWSA-N 0 3 228.336 2.686 20 0 BFADHN CCc1cnc(CN[C@H]2CC[C@@H](F)C2)s1 ZINC000336770054 353295661 /nfs/dbraw/zinc/29/56/61/353295661.db2.gz VIFFKRUNZHMQDF-BDAKNGLRSA-N 0 3 228.336 2.686 20 0 BFADHN Cc1[nH]nc2ncc(CN3[C@H](C)CC[C@@H]3C)cc12 ZINC000334501207 353334594 /nfs/dbraw/zinc/33/45/94/353334594.db2.gz VBGNWVPSOZWMIR-AOOOYVTPSA-N 0 3 244.342 2.639 20 0 BFADHN CC[C@@](C)(CN(C)[C@@H](C)c1cccnc1)OC ZINC000639040900 353318612 /nfs/dbraw/zinc/31/86/12/353318612.db2.gz PAAWQAGPMGNPSD-JSGCOSHPSA-N 0 3 236.359 2.890 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCC[C@H]1CCO1 ZINC000639040722 353318728 /nfs/dbraw/zinc/31/87/28/353318728.db2.gz MRWNBYYNYBGZSB-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN COc1cc(C)nc(CNCCC(C)(C)C)c1 ZINC000165379818 353326848 /nfs/dbraw/zinc/32/68/48/353326848.db2.gz BPZCCVYBRNDHLD-UHFFFAOYSA-N 0 3 236.359 2.924 20 0 BFADHN CC[C@]1(C)COCCN1CC1CCSCC1 ZINC000639057721 353362708 /nfs/dbraw/zinc/36/27/08/353362708.db2.gz DEMGAWNPFIUDTO-CYBMUJFWSA-N 0 3 243.416 2.631 20 0 BFADHN CCN(Cc1cccc2c1NCC2)C1CC1 ZINC000130477845 353353200 /nfs/dbraw/zinc/35/32/00/353353200.db2.gz DSIPSHYNVQBROY-UHFFFAOYSA-N 0 3 216.328 2.639 20 0 BFADHN CC(C)C1(CN2CCc3ncsc3C2)CC1 ZINC000639086207 353426415 /nfs/dbraw/zinc/42/64/15/353426415.db2.gz POQKEUUKKNHYDK-UHFFFAOYSA-N 0 3 236.384 2.937 20 0 BFADHN CC1(CNCc2ccc(Cl)o2)CCOCC1 ZINC000165606699 353406788 /nfs/dbraw/zinc/40/67/88/353406788.db2.gz LBRZDPYZOBMWNF-UHFFFAOYSA-N 0 3 243.734 2.839 20 0 BFADHN Cc1cc(CN2CC[C@@H]3CCC[C@@H]32)sn1 ZINC000639094230 353477873 /nfs/dbraw/zinc/47/78/73/353477873.db2.gz QDTVBTFLWXSRFT-JQWIXIFHSA-N 0 3 222.357 2.826 20 0 BFADHN CC(C)[C@H]1N(Cc2cnccn2)CC12CCCC2 ZINC000334512138 353525925 /nfs/dbraw/zinc/52/59/25/353525925.db2.gz TVRKCBDTRDZBIK-CQSZACIVSA-N 0 3 245.370 2.877 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CCC2(C)C)o1 ZINC000336777794 353504701 /nfs/dbraw/zinc/50/47/01/353504701.db2.gz JOKKQFLJXBZMOW-LLVKDONJSA-N 0 3 222.332 2.824 20 0 BFADHN CCN(Cc1cnn2ccccc12)C1CCC1 ZINC000353802743 353599592 /nfs/dbraw/zinc/59/95/92/353599592.db2.gz IOBXJORIOGTOHP-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN Cc1cc(CN2CCS[C@H](C)CC2)sn1 ZINC000639105176 353600969 /nfs/dbraw/zinc/60/09/69/353600969.db2.gz OLZYRQYNFGTFKP-SNVBAGLBSA-N 0 3 242.413 2.779 20 0 BFADHN Cc1cc(CN2CC(C(C)(C)O)C2)c(C)s1 ZINC000334513874 353571598 /nfs/dbraw/zinc/57/15/98/353571598.db2.gz XELNGLAWFZZNSY-UHFFFAOYSA-N 0 3 239.384 2.568 20 0 BFADHN Cc1nnc(CN[C@@]2(C)CCCC[C@H]2C)s1 ZINC000334516244 353622521 /nfs/dbraw/zinc/62/25/21/353622521.db2.gz DFGHPJUUNXRSII-SKDRFNHKSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1cnc(CN[C@]2(C)CCCC[C@H]2C)nc1 ZINC000334516813 353632676 /nfs/dbraw/zinc/63/26/76/353632676.db2.gz QPTAOTWFLMIAEA-TZMCWYRMSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1cc(CN2CCSCC[C@H]2C)sn1 ZINC000639107173 353640460 /nfs/dbraw/zinc/64/04/60/353640460.db2.gz YMDFGWSMIHQYQD-SNVBAGLBSA-N 0 3 242.413 2.779 20 0 BFADHN CCN1C[C@@H](C)N(Cc2cccs2)C[C@@H]1C ZINC000353804100 353648908 /nfs/dbraw/zinc/64/89/08/353648908.db2.gz MHXYIUSTECNTFB-NWDGAFQWSA-N 0 3 238.400 2.663 20 0 BFADHN CC(C)C1CC(N[C@@H](C)c2nccn2C)C1 ZINC000309351833 491054040 /nfs/dbraw/zinc/05/40/40/491054040.db2.gz MXZMGASPXSOLLC-UNXYVOJBSA-N 0 3 221.348 2.505 20 0 BFADHN COc1ncc(CN[C@]2(C)CC=CCC2)s1 ZINC000398456100 363245257 /nfs/dbraw/zinc/24/52/57/363245257.db2.gz HFVGKEJZXNTKFE-GFCCVEGCSA-N 0 3 238.356 2.740 20 0 BFADHN CC[C@H](C)[C@@H]1CCCCN1Cc1cc[nH]n1 ZINC000353809116 353716854 /nfs/dbraw/zinc/71/68/54/353716854.db2.gz TVLRTZMWLVJUSU-AAEUAGOBSA-N 0 3 221.348 2.810 20 0 BFADHN Fc1ccccc1CN1CCC[C@@]12CCOC2 ZINC000368499204 181012150 /nfs/dbraw/zinc/01/21/50/181012150.db2.gz OBPWXARFADHGTD-AWEZNQCLSA-N 0 3 235.302 2.581 20 0 BFADHN FC(F)C1(CNCc2cc(C3CC3)no2)CC1 ZINC000639118128 353750641 /nfs/dbraw/zinc/75/06/41/353750641.db2.gz NCMAOEKKGLNZQI-UHFFFAOYSA-N 0 3 242.269 2.687 20 0 BFADHN Fc1ccccc1CNCCc1ccccn1 ZINC000019916896 181012486 /nfs/dbraw/zinc/01/24/86/181012486.db2.gz GOIGWOAEBBFYRL-UHFFFAOYSA-N 0 3 230.286 2.553 20 0 BFADHN Fc1ccccc1CNCCc1ccco1 ZINC000035121465 181013391 /nfs/dbraw/zinc/01/33/91/181013391.db2.gz FRPMFWJWKOTHNY-UHFFFAOYSA-N 0 3 219.259 2.751 20 0 BFADHN CC(C)C1CN(Cc2ccc3nccnc3c2)C1 ZINC000639119635 353757978 /nfs/dbraw/zinc/75/79/78/353757978.db2.gz YODCHIJBUAAIIC-UHFFFAOYSA-N 0 3 241.338 2.718 20 0 BFADHN C[C@H](CC1CC1)N[C@H](c1nccn1C)C1CC1 ZINC000353822809 353807457 /nfs/dbraw/zinc/80/74/57/353807457.db2.gz UPWBMAXDYRXLHE-MFKMUULPSA-N 0 3 233.359 2.649 20 0 BFADHN COc1cncc(CN2CCC(C)=C(C)C2)c1C ZINC000639128813 353834090 /nfs/dbraw/zinc/83/40/90/353834090.db2.gz KOBDKWWFRTUHGH-UHFFFAOYSA-N 0 3 246.354 2.941 20 0 BFADHN C[C@H](NCCc1ccccc1O)c1ccoc1 ZINC000178417632 366122673 /nfs/dbraw/zinc/12/26/73/366122673.db2.gz YDCYIZKKCZFVRJ-NSHDSACASA-N 0 3 231.295 2.879 20 0 BFADHN C[C@H](NC1CC(C)(F)C1)c1ccncc1F ZINC000334520331 353884534 /nfs/dbraw/zinc/88/45/34/353884534.db2.gz QWJXBMJBGNGYTI-QTZUAFFRSA-N 0 3 226.270 2.762 20 0 BFADHN C[C@@H](O)CN1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000334520423 353884790 /nfs/dbraw/zinc/88/47/90/353884790.db2.gz YRGYVAGUQHPSNO-UKRRQHHQSA-N 0 3 245.366 2.985 20 0 BFADHN Cc1cnc(CN[C@@]2(C)CCCC[C@@H]2C)cn1 ZINC000334522790 353890717 /nfs/dbraw/zinc/89/07/17/353890717.db2.gz VXRLPBAOIYGRLM-FZMZJTMJSA-N 0 3 233.359 2.843 20 0 BFADHN CCc1ccc(CN(C)CC[C@@H]2CCCO2)o1 ZINC000343502735 353915542 /nfs/dbraw/zinc/91/55/42/353915542.db2.gz ZSORBWUFGPQBCK-ZDUSSCGKSA-N 0 3 237.343 2.843 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C=C[C@@H](CO)C2)c2ccccc21 ZINC000343608468 353932106 /nfs/dbraw/zinc/93/21/06/353932106.db2.gz LKBWLRXMGONOND-JXFSHQFZSA-N 0 3 243.350 2.762 20 0 BFADHN CC[C@H](C)N(CC(=O)OC)C[C@@H]1CC=CCC1 ZINC000343610591 353932987 /nfs/dbraw/zinc/93/29/87/353932987.db2.gz YKJYLERPSAVDFW-QWHCGFSZSA-N 0 3 239.359 2.616 20 0 BFADHN CCOC(=O)CCCN1CC[C@H]1c1ccccc1 ZINC000343588464 353941040 /nfs/dbraw/zinc/94/10/40/353941040.db2.gz WOCAFQLKBLDZSL-AWEZNQCLSA-N 0 3 247.338 2.777 20 0 BFADHN COCCN(C)Cc1ccc(C(F)(F)F)cc1 ZINC000053419775 363275834 /nfs/dbraw/zinc/27/58/34/363275834.db2.gz MFCTVFWDCWGBKH-UHFFFAOYSA-N 0 3 247.260 2.784 20 0 BFADHN CCCC[C@@H](CC)CC(=O)NC[C@@H](C)N(C)C ZINC000343691161 353965336 /nfs/dbraw/zinc/96/53/36/353965336.db2.gz NDNQTBHXRWDLQL-CHWSQXEVSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCCC(C)C ZINC000086109670 491057349 /nfs/dbraw/zinc/05/73/49/491057349.db2.gz ADUHOFORPQVDPH-SECBINFHSA-N 0 3 210.321 2.988 20 0 BFADHN COc1cncc(CN2CCC(C)(C)CC2)c1 ZINC000343740288 353969554 /nfs/dbraw/zinc/96/95/54/353969554.db2.gz SKLYJQLMBSEBON-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN C1=CC[C@H](NCc2ccc3c(n2)CCC3)CC1 ZINC000334540120 353973430 /nfs/dbraw/zinc/97/34/30/353973430.db2.gz GQOHRFXQSMGWKN-ZDUSSCGKSA-N 0 3 228.339 2.769 20 0 BFADHN Cc1cc(CNCC[C@]2(C)CC2(F)F)on1 ZINC000630078395 363279886 /nfs/dbraw/zinc/27/98/86/363279886.db2.gz WBCLZTZLZMDQOD-SNVBAGLBSA-N 0 3 230.258 2.508 20 0 BFADHN Cc1nc([C@H](C)N2CCC[C@@H](C(C)C)C2)n[nH]1 ZINC000334549270 353988933 /nfs/dbraw/zinc/98/89/33/353988933.db2.gz BGEFWDOICATRAS-CMPLNLGQSA-N 0 3 236.363 2.542 20 0 BFADHN COc1cncc(CN2CC[C@@H](CC(C)C)C2)c1 ZINC000343790974 353995866 /nfs/dbraw/zinc/99/58/66/353995866.db2.gz IWLXCVSEHFLVTI-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN CCCN(CC)[C@H](C)C(=O)N(C(C)C)C(C)C ZINC000343654541 353955031 /nfs/dbraw/zinc/95/50/31/353955031.db2.gz WACFZWQGFQLTPI-CYBMUJFWSA-N 0 3 242.407 2.752 20 0 BFADHN COc1cncc(CN(C)[C@H](C)C2CCC2)c1 ZINC000343716839 353957190 /nfs/dbraw/zinc/95/71/90/353957190.db2.gz JOVZGUBLBNZLOZ-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@H]1CCCCN1Cc1cnc(C2CC2)nc1 ZINC000334553603 353996536 /nfs/dbraw/zinc/99/65/36/353996536.db2.gz FWXDUXFFDBVAFX-LLVKDONJSA-N 0 3 231.343 2.728 20 0 BFADHN CC(C)Nc1cccc2c1OCC[C@H]2N(C)C ZINC000334555321 354000329 /nfs/dbraw/zinc/00/03/29/354000329.db2.gz CSLVNHBEJRTVLE-CYBMUJFWSA-N 0 3 234.343 2.892 20 0 BFADHN COc1cncc(CN(C)[C@H]2CC[C@H](C)C2)c1 ZINC000343920808 354042175 /nfs/dbraw/zinc/04/21/75/354042175.db2.gz IQHGWLZHJVTOHA-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1cnccn1 ZINC000334558877 354007625 /nfs/dbraw/zinc/00/76/25/354007625.db2.gz GYIBXRHELKUZMY-AAEUAGOBSA-N 0 3 233.359 2.781 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cc2ccccc2n1C ZINC000639166174 354007688 /nfs/dbraw/zinc/00/76/88/354007688.db2.gz XOTFXVUMANAFCD-LBPRGKRZSA-N 0 3 246.354 2.645 20 0 BFADHN COc1cncc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)c1 ZINC000343919869 354042389 /nfs/dbraw/zinc/04/23/89/354042389.db2.gz IEKLJJFXSDADQI-UPJWGTAASA-N 0 3 248.370 2.957 20 0 BFADHN CCCCn1cc(CNC2CC(C)C2)c(C)n1 ZINC000343827402 354016863 /nfs/dbraw/zinc/01/68/63/354016863.db2.gz AXNZKELZKVAIML-UHFFFAOYSA-N 0 3 235.375 2.880 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1CCCSC1 ZINC000086109885 491057618 /nfs/dbraw/zinc/05/76/18/491057618.db2.gz DCENAJUUYUTREA-GZMMTYOYSA-N 0 3 240.372 2.838 20 0 BFADHN CC1CC(NC2(c3ccc4c(c3)OCO4)CC2)C1 ZINC000343853423 354022683 /nfs/dbraw/zinc/02/26/83/354022683.db2.gz IBDJCKFAKJXTOQ-UHFFFAOYSA-N 0 3 245.322 2.793 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@@H]1CCCSC1 ZINC000086109883 491057689 /nfs/dbraw/zinc/05/76/89/491057689.db2.gz DCENAJUUYUTREA-LDYMZIIASA-N 0 3 240.372 2.838 20 0 BFADHN CCCC[C@H](C)[C@@H](C)N[C@H](C)c1ncnn1C ZINC000343947406 354067743 /nfs/dbraw/zinc/06/77/43/354067743.db2.gz XSZGURIQNMPQJQ-QJPTWQEYSA-N 0 3 238.379 2.681 20 0 BFADHN CO[C@@H]1[C@H](C)[C@@H](NCc2ccoc2C)C1(C)C ZINC000344062484 354129035 /nfs/dbraw/zinc/12/90/35/354129035.db2.gz OCALPYZKJCGYLJ-OASPWFOLSA-N 0 3 237.343 2.737 20 0 BFADHN C[C@H](c1ccccc1F)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000344071513 354132521 /nfs/dbraw/zinc/13/25/21/354132521.db2.gz QSORRHFPOALGIQ-OICBVUGWSA-N 0 3 249.329 2.589 20 0 BFADHN Cc1c(CN(C)C[C@@H]2CC=CCC2)cnn1C ZINC000344077749 354134688 /nfs/dbraw/zinc/13/46/88/354134688.db2.gz WZZOVCLGFLBPAL-CYBMUJFWSA-N 0 3 233.359 2.517 20 0 BFADHN CCC(=O)CCCSCCN(CC)CC ZINC000230825210 363324060 /nfs/dbraw/zinc/32/40/60/363324060.db2.gz MTNKWXIBHYJTMT-UHFFFAOYSA-N 0 3 231.405 2.821 20 0 BFADHN Cc1cnc(CN(C)C2(C)CCCC2)cn1 ZINC000334570380 354097388 /nfs/dbraw/zinc/09/73/88/354097388.db2.gz SGQIUJCURKKMFB-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN Cc1c(CN2C[C@H](C)CC(C)(C)C2)cnn1C ZINC000344081623 354135490 /nfs/dbraw/zinc/13/54/90/354135490.db2.gz RKYLGSPTUDXCIL-LLVKDONJSA-N 0 3 235.375 2.597 20 0 BFADHN COc1ccc(CN(C)C[C@H]2CC=CCC2)cn1 ZINC000344081389 354135505 /nfs/dbraw/zinc/13/55/05/354135505.db2.gz ZWFVHAKTCJDTHK-ZDUSSCGKSA-N 0 3 246.354 2.878 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1Cc1ccncc1F ZINC000334576528 354109888 /nfs/dbraw/zinc/10/98/88/354109888.db2.gz MEIGBFLCHMMXJB-GHMZBOCLSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@H]1CCSCCN1CCc1cncs1 ZINC000334561879 354116575 /nfs/dbraw/zinc/11/65/75/354116575.db2.gz QTLKOSTUJPJGQC-JTQLQIEISA-N 0 3 242.413 2.513 20 0 BFADHN CC1(O)CCN(Cc2ccc(C3CC3)cc2)CC1 ZINC000334562181 354117166 /nfs/dbraw/zinc/11/71/66/354117166.db2.gz VJEGWWIRSYOXEP-UHFFFAOYSA-N 0 3 245.366 2.911 20 0 BFADHN C[C@@H]1CCCN(Cc2cnc(C3CC3)nc2)[C@H]1C ZINC000334562221 354117358 /nfs/dbraw/zinc/11/73/58/354117358.db2.gz VUHLNXOFULHWSF-NEPJUHHUSA-N 0 3 245.370 2.974 20 0 BFADHN CCCC[C@H]1CCC[C@H]1NCc1ccn(C)n1 ZINC000344083519 354136286 /nfs/dbraw/zinc/13/62/86/354136286.db2.gz IUUJIYDVKUCRIS-GXTWGEPZSA-N 0 3 235.375 2.869 20 0 BFADHN CCC[C@H](N[C@H]1CCCOC1)c1ccccn1 ZINC000344099847 354119583 /nfs/dbraw/zinc/11/95/83/354119583.db2.gz TTXNWDARTGNABE-JSGCOSHPSA-N 0 3 234.343 2.691 20 0 BFADHN CCCC[C@@H]1CCC[C@H]1NCc1ccn(C)n1 ZINC000344083522 354136346 /nfs/dbraw/zinc/13/63/46/354136346.db2.gz IUUJIYDVKUCRIS-TZMCWYRMSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1nc(CNC[C@H]2CCC[C@H]2C)cs1 ZINC000230666053 363318494 /nfs/dbraw/zinc/31/84/94/363318494.db2.gz DSYFJVPOFMRMDK-MWLCHTKSSA-N 0 3 224.373 2.977 20 0 BFADHN Cc1csc(CNC[C@H]2CCC[C@H]2C)n1 ZINC000230665261 363318940 /nfs/dbraw/zinc/31/89/40/363318940.db2.gz LLTPQBBSGHNBLP-MWLCHTKSSA-N 0 3 224.373 2.977 20 0 BFADHN COc1cc(CN2CC[C@@H](C(C)(C)C)C2)ccn1 ZINC000344161249 354167542 /nfs/dbraw/zinc/16/75/42/354167542.db2.gz IYIWIKBOBHZREQ-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN COCC(C)(C)NCc1cnc(C(C)C)s1 ZINC000165920721 354173986 /nfs/dbraw/zinc/17/39/86/354173986.db2.gz SJEJVKONHROSBD-UHFFFAOYSA-N 0 3 242.388 2.781 20 0 BFADHN COc1cc(CN2C[C@H](C)CC(C)(C)C2)ccn1 ZINC000344096076 354139037 /nfs/dbraw/zinc/13/90/37/354139037.db2.gz WTBOPYPRALZFIP-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1cnc(C2CC2)o1 ZINC000449708148 202007703 /nfs/dbraw/zinc/00/77/03/202007703.db2.gz UEQHEBJDQVNELJ-JOYOIKCWSA-N 0 3 220.316 2.830 20 0 BFADHN CCC1CC(N[C@@H](C)c2cnn(CC)c2)C1 ZINC000449676571 202000612 /nfs/dbraw/zinc/00/06/12/202000612.db2.gz IDAHXKRDRUETEU-ZBOXLXRLSA-N 0 3 221.348 2.742 20 0 BFADHN COCCCCCN(C)CCC(F)(F)F ZINC000344145258 354153329 /nfs/dbraw/zinc/15/33/29/354153329.db2.gz UESXIFBAHGMNCB-UHFFFAOYSA-N 0 3 227.270 2.687 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1cnc(C2CC2)o1 ZINC000449694959 202002946 /nfs/dbraw/zinc/00/29/46/202002946.db2.gz CZUCPXQEZPIMOQ-BXKDBHETSA-N 0 3 220.316 2.830 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1CCCSC1 ZINC000449702755 202004346 /nfs/dbraw/zinc/00/43/46/202004346.db2.gz ZVYRZDZEBWNOFI-SNVBAGLBSA-N 0 3 238.356 2.537 20 0 BFADHN CCC(CC)NCc1cnc(C2CC2)o1 ZINC000449700827 202005756 /nfs/dbraw/zinc/00/57/56/202005756.db2.gz BIDZBKMPLYCJOR-UHFFFAOYSA-N 0 3 208.305 2.830 20 0 BFADHN c1nc(C2CC2)oc1CN[C@H]1CC[C@H]1C1CC1 ZINC000449706809 202006131 /nfs/dbraw/zinc/00/61/31/202006131.db2.gz OJIITTMGTOIWFU-STQMWFEESA-N 0 3 232.327 2.830 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2c(C)cnn2C)C1 ZINC000449715218 202006458 /nfs/dbraw/zinc/00/64/58/202006458.db2.gz DQUSBLMCEMNOQB-QWHCGFSZSA-N 0 3 235.375 2.739 20 0 BFADHN CCC[C@@H](C)NCc1cnc(C2CC2)o1 ZINC000449706652 202007123 /nfs/dbraw/zinc/00/71/23/202007123.db2.gz OAHUPHNBMMFEQC-SECBINFHSA-N 0 3 208.305 2.830 20 0 BFADHN Cc1ccc(CNCC[C@@H]2CCO[C@H](C)C2)nc1 ZINC000344263251 354192989 /nfs/dbraw/zinc/19/29/89/354192989.db2.gz IJUWIQBALNTUNY-ZIAGYGMSSA-N 0 3 248.370 2.685 20 0 BFADHN C[C@H](N[C@H]1CCC12CCCC2)c1ccn(C)n1 ZINC000334580062 354196464 /nfs/dbraw/zinc/19/64/64/354196464.db2.gz LZUOTOSLXXTFTC-AAEUAGOBSA-N 0 3 233.359 2.794 20 0 BFADHN Cc1cnc(CNC[C@@H]2C[C@H]2C2CC2)s1 ZINC000449741366 202016825 /nfs/dbraw/zinc/01/68/25/202016825.db2.gz KEXJIBRUAGDYIS-QWRGUYRKSA-N 0 3 222.357 2.587 20 0 BFADHN C[C@H]1CN(CCc2cncs2)CCC1(F)F ZINC000334583325 354204185 /nfs/dbraw/zinc/20/41/85/354204185.db2.gz IPFDDRIQQFALMD-VIFPVBQESA-N 0 3 246.326 2.663 20 0 BFADHN CCC[C@H](CN(Cc1cccnc1)C1CC1)OC ZINC000639177898 354252010 /nfs/dbraw/zinc/25/20/10/354252010.db2.gz CSNUZUDYRBCOQI-OAHLLOKOSA-N 0 3 248.370 2.861 20 0 BFADHN CC[C@@](C)(CN(Cc1ccccn1)C1CC1)OC ZINC000639178486 354254732 /nfs/dbraw/zinc/25/47/32/354254732.db2.gz KAKJZUZXJVSZLY-HNNXBMFYSA-N 0 3 248.370 2.861 20 0 BFADHN CCn1cncc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000334598074 354232739 /nfs/dbraw/zinc/23/27/39/354232739.db2.gz UPDOALJTTYBOBQ-JSGCOSHPSA-N 0 3 233.359 2.668 20 0 BFADHN Cc1occc1CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000334599247 354234490 /nfs/dbraw/zinc/23/44/90/354234490.db2.gz SKDBVRZMOMDYKZ-KBPBESRZSA-N 0 3 235.327 2.731 20 0 BFADHN C[C@H]1CC(NCc2ccno2)C[C@H](C)C1 ZINC000231881234 363357294 /nfs/dbraw/zinc/35/72/94/363357294.db2.gz YQZMKJIVVBOPQW-NXEZZACHSA-N 0 3 208.305 2.589 20 0 BFADHN Cn1cncc1CN1CCCC2(CCCC2)C1 ZINC000334606485 354313107 /nfs/dbraw/zinc/31/31/07/354313107.db2.gz OTCHJGTXJLRULO-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN Cc1nc(CN[C@@H](C)Cc2ccncc2)cs1 ZINC000344768970 354357789 /nfs/dbraw/zinc/35/77/89/354357789.db2.gz GYYNNFSOWXSFAI-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN FC(F)(F)C1CC(NCc2ccns2)C1 ZINC000639182692 354337905 /nfs/dbraw/zinc/33/79/05/354337905.db2.gz IYFABHLCWSVXHJ-UHFFFAOYSA-N 0 3 236.262 2.574 20 0 BFADHN CO[C@@H](CN[C@H](C)CF)C1CCCCC1 ZINC000639182740 354338866 /nfs/dbraw/zinc/33/88/66/354338866.db2.gz KQZHNNKKSPJERG-PWSUYJOCSA-N 0 3 217.328 2.529 20 0 BFADHN COc1ccc2c(c1)CC[C@H]2N[C@@H](C)CF ZINC000639183832 354346849 /nfs/dbraw/zinc/34/68/49/354346849.db2.gz WARBIKDYXDDDJA-TVQRCGJNSA-N 0 3 223.291 2.630 20 0 BFADHN Cc1ccc(CN2CCN(C3CC3)C[C@H](C)C2)o1 ZINC000334621938 354359312 /nfs/dbraw/zinc/35/93/12/354359312.db2.gz XIAADWWLQWJBLU-GFCCVEGCSA-N 0 3 248.370 2.504 20 0 BFADHN COc1cccnc1CN[C@H]1CC[C@H]1C1CCC1 ZINC000449748207 202019540 /nfs/dbraw/zinc/01/95/40/202019540.db2.gz KUIOWZMURLWXHM-STQMWFEESA-N 0 3 246.354 2.759 20 0 BFADHN c1coc([C@@H]2N(C[C@@H]3CCOC3)CC23CCC3)c1 ZINC000334634127 354378901 /nfs/dbraw/zinc/37/89/01/354378901.db2.gz QRCGNLUNIXQSCQ-JSGCOSHPSA-N 0 3 247.338 2.843 20 0 BFADHN CC[C@](C)(CN[C@H]1c2ccccc2O[C@@H]1C)OC ZINC000639186197 354379861 /nfs/dbraw/zinc/37/98/61/354379861.db2.gz KJKTYTCTIRPYRW-KCPJHIHWSA-N 0 3 249.354 2.913 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1ccc2c(c1)COC2 ZINC000344958416 354383342 /nfs/dbraw/zinc/38/33/42/354383342.db2.gz UNUHZWMALOTEEH-UONOGXRCSA-N 0 3 249.379 2.700 20 0 BFADHN CC(C)C[C@@H](CO)NCc1cscc1Cl ZINC000308852420 491062502 /nfs/dbraw/zinc/06/25/02/491062502.db2.gz OWBFLPUXXIMUBN-JTQLQIEISA-N 0 3 247.791 2.898 20 0 BFADHN CC1(CO)CN(Cc2cccc3ccccc32)C1 ZINC000334646835 354437148 /nfs/dbraw/zinc/43/71/48/354437148.db2.gz KYCLWCHEYHQSFG-UHFFFAOYSA-N 0 3 241.334 2.654 20 0 BFADHN CC(C)[C@@H]1CN(C)CCN1Cc1cccs1 ZINC000184739350 535260723 /nfs/dbraw/zinc/26/07/23/535260723.db2.gz LHKIDZYQEREQBS-ZDUSSCGKSA-N 0 3 238.400 2.520 20 0 BFADHN C1=C(CN2CC(C3CCCCC3)C2)COCC1 ZINC000334644412 354402426 /nfs/dbraw/zinc/40/24/26/354402426.db2.gz CYTDSEBKDHTWLX-UHFFFAOYSA-N 0 3 235.371 2.845 20 0 BFADHN Cc1cccnc1CN1CCSC[C@H]1C1CC1 ZINC000334678356 354422530 /nfs/dbraw/zinc/42/25/30/354422530.db2.gz ABKFXADUQMUWCQ-AWEZNQCLSA-N 0 3 248.395 2.717 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1cc2cccnc2o1 ZINC000334678437 354423409 /nfs/dbraw/zinc/42/34/09/354423409.db2.gz LJJHNISYUKDQFM-NXEZZACHSA-N 0 3 216.284 2.811 20 0 BFADHN Cc1ccc(CN2CCSC[C@H]2C2CC2)nc1 ZINC000334678903 354423969 /nfs/dbraw/zinc/42/39/69/354423969.db2.gz KCHSXHJZSQHUPN-AWEZNQCLSA-N 0 3 248.395 2.717 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cccnc2N)C1 ZINC000334652685 354445671 /nfs/dbraw/zinc/44/56/71/354445671.db2.gz RSZUSOOHIZVBIS-CQSZACIVSA-N 0 3 233.359 2.676 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1ccncc1F ZINC000334652833 354445948 /nfs/dbraw/zinc/44/59/48/354445948.db2.gz NZPTWLCNLXKPKF-MFKMUULPSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1noc(C)c1CN1CC(C)(C)[C@@H]1C1CC1 ZINC000334664934 354466004 /nfs/dbraw/zinc/46/60/04/354466004.db2.gz MYEPKTQCHYMCGS-ZDUSSCGKSA-N 0 3 234.343 2.912 20 0 BFADHN CC[C@@H](N[C@@H](C)CCOC)c1c(C)noc1C ZINC000179119610 366207308 /nfs/dbraw/zinc/20/73/08/366207308.db2.gz PHDBPKCNJOECPB-JOYOIKCWSA-N 0 3 240.347 2.757 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(F)cc2)C[C@@H]1C ZINC000334738298 354540815 /nfs/dbraw/zinc/54/08/15/354540815.db2.gz IVAWAOZPQAQMIH-FZMZJTMJSA-N 0 3 237.318 2.683 20 0 BFADHN CO[C@H]1CCN(Cc2ccccc2F)C[C@@H]1C ZINC000334738879 354542252 /nfs/dbraw/zinc/54/22/52/354542252.db2.gz RAEZANCSWXWKKL-FZMZJTMJSA-N 0 3 237.318 2.683 20 0 BFADHN CC1(C)CC[C@@H]1NCc1ccc2c(n1)CCC2 ZINC000334721645 354521649 /nfs/dbraw/zinc/52/16/49/354521649.db2.gz LLQNNGLIHIFOBL-AWEZNQCLSA-N 0 3 230.355 2.849 20 0 BFADHN CCC[C@H](CN1CCCc2occc2C1)OC ZINC000639192625 354578561 /nfs/dbraw/zinc/57/85/61/354578561.db2.gz NUMBJGNNIOVRGL-CYBMUJFWSA-N 0 3 237.343 2.843 20 0 BFADHN Cc1cc(CN2CCOC[C@H](C)C2)cs1 ZINC000334764158 354584711 /nfs/dbraw/zinc/58/47/11/354584711.db2.gz SNQGOKXUHCIYRK-SNVBAGLBSA-N 0 3 225.357 2.525 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1ccncc1F ZINC000334765243 354586186 /nfs/dbraw/zinc/58/61/86/354586186.db2.gz DXBCRBAGWREUNN-UWVGGRQHSA-N 0 3 208.280 2.594 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1ccncc1F ZINC000334765242 354586381 /nfs/dbraw/zinc/58/63/81/354586381.db2.gz DXBCRBAGWREUNN-NXEZZACHSA-N 0 3 208.280 2.594 20 0 BFADHN CCN(Cc1c(C)cccc1OC)[C@H]1CCOC1 ZINC000639198548 354599887 /nfs/dbraw/zinc/59/98/87/354599887.db2.gz QMERUJHRWRWABC-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN CC[C@H]1CC[C@H](NCc2snnc2C)CC1 ZINC000639205144 354666182 /nfs/dbraw/zinc/66/61/82/354666182.db2.gz VETSVBHQIMCKLP-XYPYZODXSA-N 0 3 239.388 2.905 20 0 BFADHN CC(C)C1(CNCc2nccs2)CC1 ZINC000227832231 491065671 /nfs/dbraw/zinc/06/56/71/491065671.db2.gz MRWYRAWQOGDBFY-UHFFFAOYSA-N 0 3 210.346 2.669 20 0 BFADHN CCc1nc(C)c(CN[C@@H](C)[C@@H]2CC2(F)F)o1 ZINC000639202903 354608891 /nfs/dbraw/zinc/60/88/91/354608891.db2.gz NEFMTAVKIULUJG-CBAPKCEASA-N 0 3 244.285 2.679 20 0 BFADHN CC[C@H]1CCN1Cc1c(C)cccc1OC ZINC000639203149 354611489 /nfs/dbraw/zinc/61/14/89/354611489.db2.gz RNGWJFXVEREBHH-LBPRGKRZSA-N 0 3 219.328 2.988 20 0 BFADHN Cc1ccc2[nH]c(CN[C@@H]3CCOC3)cc2c1C ZINC000345469158 354662479 /nfs/dbraw/zinc/66/24/79/354662479.db2.gz ZYKXLTRFFQYUOP-GFCCVEGCSA-N 0 3 244.338 2.663 20 0 BFADHN C[C@@H]1CC2(CCC2)CN1Cc1cncc(F)c1 ZINC000335086662 354621381 /nfs/dbraw/zinc/62/13/81/354621381.db2.gz PEHSDKGBEJXTCM-LLVKDONJSA-N 0 3 234.318 2.985 20 0 BFADHN CC1=C[C@H](C)CN(Cc2cncn2C(C)C)C1 ZINC000335108278 354694297 /nfs/dbraw/zinc/69/42/97/354694297.db2.gz FKFNPVWNPGIJGN-LBPRGKRZSA-N 0 3 233.359 2.862 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1ccc(F)c(C)c1 ZINC000349819729 366231115 /nfs/dbraw/zinc/23/11/15/366231115.db2.gz DEHCFTOQPPSXGE-SCOBNMCVSA-N 0 3 237.318 2.551 20 0 BFADHN CCCN(CC)Cc1cnc2ccccn12 ZINC000345736144 354748141 /nfs/dbraw/zinc/74/81/41/354748141.db2.gz AJMCAVUESBZQPK-UHFFFAOYSA-N 0 3 217.316 2.566 20 0 BFADHN COc1cccc(C)c1CNCC[C@@H](C)F ZINC000639211175 354748233 /nfs/dbraw/zinc/74/82/33/354748233.db2.gz RIBDDZKAUYIQPV-LLVKDONJSA-N 0 3 225.307 2.841 20 0 BFADHN CC[C@@H](C(=O)OC)N1CCC[C@H](CC(C)C)C1 ZINC000345953010 354818842 /nfs/dbraw/zinc/81/88/42/354818842.db2.gz MJXWGKJOUOETAN-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN CCC[C@@H](C)N(C)C(=O)[C@H](CC)N(CC)CC ZINC000345983636 354832735 /nfs/dbraw/zinc/83/27/35/354832735.db2.gz XKBRVRNAIVODSW-OLZOCXBDSA-N 0 3 242.407 2.754 20 0 BFADHN Cc1csc([C@H](C)NC[C@H](C)C[C@H](C)O)n1 ZINC000623897081 354870206 /nfs/dbraw/zinc/87/02/06/354870206.db2.gz GFPJZCCSIHDGCD-MIMYLULJSA-N 0 3 242.388 2.509 20 0 BFADHN CC(C)n1cc(CN2CCC(C)(C)C2)cn1 ZINC000335944526 134019384 /nfs/dbraw/zinc/01/93/84/134019384.db2.gz MXQFFPUTIWGMCJ-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CC[C@H](C(=O)N(C)CC(C)(C)C)N(CC)CC ZINC000346037337 354840695 /nfs/dbraw/zinc/84/06/95/354840695.db2.gz RKPQIDAILPESIQ-GFCCVEGCSA-N 0 3 242.407 2.611 20 0 BFADHN CC[C@@H](C(=O)N(C)CC(C)(C)C)N(CC)CC ZINC000346037338 354841011 /nfs/dbraw/zinc/84/10/11/354841011.db2.gz RKPQIDAILPESIQ-LBPRGKRZSA-N 0 3 242.407 2.611 20 0 BFADHN COc1cccnc1CN[C@@H]1CCC[C@H]1C1CC1 ZINC000449748363 202020402 /nfs/dbraw/zinc/02/04/02/202020402.db2.gz LOJIGKIURQRMRC-QWHCGFSZSA-N 0 3 246.354 2.759 20 0 BFADHN CO[C@H]1CC[C@H](NCc2ccc(F)cc2F)C1 ZINC000190936449 358491660 /nfs/dbraw/zinc/49/16/60/358491660.db2.gz OKYHHAZBOPLQLX-RYUDHWBXSA-N 0 3 241.281 2.622 20 0 BFADHN CC(C)[C@H](CO)N[C@H]1CCCOc2ccccc21 ZINC000346061604 354850764 /nfs/dbraw/zinc/85/07/64/354850764.db2.gz AGGYTMJAHNECIO-KBPBESRZSA-N 0 3 249.354 2.507 20 0 BFADHN Cc1nn(C)cc1CN(C)C[C@H]1CC=CCC1 ZINC000346336833 354899819 /nfs/dbraw/zinc/89/98/19/354899819.db2.gz PSIKHGQBKWPQTK-ZDUSSCGKSA-N 0 3 233.359 2.517 20 0 BFADHN CCn1cc(CN2C[C@@H](C)CC(C)(C)C2)cn1 ZINC000346336009 354899975 /nfs/dbraw/zinc/89/99/75/354899975.db2.gz PJOQGRADIVTJIK-LBPRGKRZSA-N 0 3 235.375 2.771 20 0 BFADHN CCOC(=O)[C@@H](CC)N(CC1CCC1)C1CC1 ZINC000346386964 354906708 /nfs/dbraw/zinc/90/67/08/354906708.db2.gz ZBNNVZIVDYGVDB-CYBMUJFWSA-N 0 3 239.359 2.593 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cn(C)nc2C(F)F)C1 ZINC000335162793 354916002 /nfs/dbraw/zinc/91/60/02/354916002.db2.gz KYWYSOGVCXBMIF-RKDXNWHRSA-N 0 3 243.301 2.588 20 0 BFADHN CC(=O)Nc1cccc(CN2CC[C@@H]2C2CC2)c1 ZINC000639222933 354922005 /nfs/dbraw/zinc/92/20/05/354922005.db2.gz PEEDJGLWZIZGMX-OAHLLOKOSA-N 0 3 244.338 2.629 20 0 BFADHN COC(C)(C)CCN[C@@H](C)c1cncc(F)c1 ZINC000346588136 355002162 /nfs/dbraw/zinc/00/21/62/355002162.db2.gz XBMKAINEQVTDCP-JTQLQIEISA-N 0 3 240.322 2.686 20 0 BFADHN N#Cc1cccc(CN[C@@H]2CCC(F)(F)C2)c1 ZINC000383696785 354964516 /nfs/dbraw/zinc/96/45/16/354964516.db2.gz UXQMSHFASAIQNB-GFCCVEGCSA-N 0 3 236.265 2.836 20 0 BFADHN C[C@H]1CN(CCc2cncs2)C[C@H](C)S1 ZINC000335179040 354965738 /nfs/dbraw/zinc/96/57/38/354965738.db2.gz QDWUZHPMXOJFDN-UWVGGRQHSA-N 0 3 242.413 2.511 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@H](C)[C@H]1CCCO1 ZINC000346627915 354997785 /nfs/dbraw/zinc/99/77/85/354997785.db2.gz SBUMZPBMIRCABX-YWPYICTPSA-N 0 3 248.370 2.862 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@H](C)CCC2CC2)[n-]1 ZINC000346578225 354999852 /nfs/dbraw/zinc/99/98/52/354999852.db2.gz SLJRAAYVOQPNAV-NXEZZACHSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@@H](C)N[C@H](C)CCC2CC2)[nH]1 ZINC000346578225 354999858 /nfs/dbraw/zinc/99/98/58/354999858.db2.gz SLJRAAYVOQPNAV-NXEZZACHSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@@H]2CCC(C)(C)C2)[n-]1 ZINC000346638979 355015235 /nfs/dbraw/zinc/01/52/35/355015235.db2.gz QAAGVQMVVVFVOL-NXEZZACHSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@@H](C)N[C@@H]2CCC(C)(C)C2)[nH]1 ZINC000346638979 355015238 /nfs/dbraw/zinc/01/52/38/355015238.db2.gz QAAGVQMVVVFVOL-NXEZZACHSA-N 0 3 236.363 2.596 20 0 BFADHN Cc1cccc(CN2CCCC[C@H]2C)n1 ZINC000335188969 355020575 /nfs/dbraw/zinc/02/05/75/355020575.db2.gz NSXGQIPGAMASLP-GFCCVEGCSA-N 0 3 204.317 2.764 20 0 BFADHN Cc1nocc1CN[C@@H]1CC[C@@H]1C1CCC1 ZINC000639227094 355056340 /nfs/dbraw/zinc/05/63/40/355056340.db2.gz JZJBKGQOSCCJGU-CHWSQXEVSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2COCC2(C)C)oc1C ZINC000335222795 355063465 /nfs/dbraw/zinc/06/34/65/355063465.db2.gz NXBHRTBHBZUDTB-MFKMUULPSA-N 0 3 237.343 2.972 20 0 BFADHN CO[C@@H](C)[C@H](C)NC1(c2ccc(F)cc2)CC1 ZINC000346803152 355109326 /nfs/dbraw/zinc/10/93/26/355109326.db2.gz WSMVYLXIVHNREK-QWRGUYRKSA-N 0 3 237.318 2.828 20 0 BFADHN C[C@@H](NC1(c2ccc(F)cc2)CC1)[C@H]1CCCO1 ZINC000346785547 355115952 /nfs/dbraw/zinc/11/59/52/355115952.db2.gz CIVQKMYTIBFWNB-BXUZGUMPSA-N 0 3 249.329 2.972 20 0 BFADHN CC[C@H]1CCCN(Cc2cnccc2OC)C1 ZINC000346866053 355118689 /nfs/dbraw/zinc/11/86/89/355118689.db2.gz FKVOHAAAECXNPZ-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1cnc([C@H](C)N2CC(C)(C)C[C@@H]2C)cn1 ZINC000335188210 355087677 /nfs/dbraw/zinc/08/76/77/355087677.db2.gz FMMOTCUYCSWTLS-RYUDHWBXSA-N 0 3 233.359 2.966 20 0 BFADHN Cc1cnc([C@@H](C)N2CC(C)(C)C[C@@H]2C)cn1 ZINC000335188209 355087845 /nfs/dbraw/zinc/08/78/45/355087845.db2.gz FMMOTCUYCSWTLS-NWDGAFQWSA-N 0 3 233.359 2.966 20 0 BFADHN Cc1cnc([C@@H](C)N2CC(C)(C)C[C@H]2C)cn1 ZINC000335188211 355088110 /nfs/dbraw/zinc/08/81/10/355088110.db2.gz FMMOTCUYCSWTLS-VXGBXAGGSA-N 0 3 233.359 2.966 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1ccc(C)nn1 ZINC000335231377 355091711 /nfs/dbraw/zinc/09/17/11/355091711.db2.gz KHWMZRDJGMJVAL-YPMHNXCESA-N 0 3 219.332 2.548 20 0 BFADHN Cc1c(CNC2CC3(C2)CCCCC3)cnn1C ZINC000335233964 355094706 /nfs/dbraw/zinc/09/47/06/355094706.db2.gz UPZJBBJYJXHJRF-UHFFFAOYSA-N 0 3 247.386 2.931 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1cccnc1C ZINC000449757206 202025341 /nfs/dbraw/zinc/02/53/41/202025341.db2.gz CSFTZZANLLMMQX-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN CCO[C@@H]1CCCC[C@H]1NCc1ccc(C)cn1 ZINC000449760522 202025429 /nfs/dbraw/zinc/02/54/29/202025429.db2.gz PJLIUQJIDFQILV-HUUCEWRRSA-N 0 3 248.370 2.827 20 0 BFADHN Cc1nocc1CNC[C@@H](C)Cc1ccccc1 ZINC000639229130 355133550 /nfs/dbraw/zinc/13/35/50/355133550.db2.gz XZCIWSAHFCGOJA-LBPRGKRZSA-N 0 3 244.338 2.951 20 0 BFADHN CCc1cccnc1[C@@H](C)NCC[C@@H]1CCOC1 ZINC000346877062 355141727 /nfs/dbraw/zinc/14/17/27/355141727.db2.gz SEXULDBCBPXKGX-CHWSQXEVSA-N 0 3 248.370 2.721 20 0 BFADHN CCc1ccc([C@H](C)NCC[C@H]2CCOC2)o1 ZINC000346880682 355143214 /nfs/dbraw/zinc/14/32/14/355143214.db2.gz WVPYGAUIFBZQMA-RYUDHWBXSA-N 0 3 237.343 2.919 20 0 BFADHN CCCCN(C)Cc1c(C)cc(C)nc1OC ZINC000346890641 355145337 /nfs/dbraw/zinc/14/53/37/355145337.db2.gz RZVZXHPGOJBIGL-UHFFFAOYSA-N 0 3 236.359 2.939 20 0 BFADHN Cc1nc(C)c([C@H](C)NC[C@@H]2CCCO2)s1 ZINC000040518781 358494271 /nfs/dbraw/zinc/49/42/71/358494271.db2.gz BHUYUZUTMNEISO-KWQFWETISA-N 0 3 240.372 2.590 20 0 BFADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1ccco1 ZINC000166077694 355158106 /nfs/dbraw/zinc/15/81/06/355158106.db2.gz ZDUJOPJALODAOH-GHMZBOCLSA-N 0 3 223.316 2.888 20 0 BFADHN CCc1cnccc1[C@@H](C)NCCOC1CCC1 ZINC000346972238 355208311 /nfs/dbraw/zinc/20/83/11/355208311.db2.gz SFDYNHWECIUXAH-GFCCVEGCSA-N 0 3 248.370 2.864 20 0 BFADHN Cc1cc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)on1 ZINC000639232428 355215939 /nfs/dbraw/zinc/21/59/39/355215939.db2.gz ILFZKCDPCZXXPH-KBPBESRZSA-N 0 3 232.327 2.603 20 0 BFADHN C[C@@H](F)CCN1CCC[C@@H]1c1cccnc1 ZINC000346975829 355210876 /nfs/dbraw/zinc/21/08/76/355210876.db2.gz JVSJEZNXJMUKGW-DGCLKSJQSA-N 0 3 222.307 2.967 20 0 BFADHN Cc1cc(CNC[C@@H]2C[C@H]2C(C)C)sn1 ZINC000639233829 355216357 /nfs/dbraw/zinc/21/63/57/355216357.db2.gz VCTJFHVJNNJNTK-JQWIXIFHSA-N 0 3 224.373 2.833 20 0 BFADHN CCN(CC)CCS[C@@H]1CCC(C)(C)C1=O ZINC000412996029 191332183 /nfs/dbraw/zinc/33/21/83/191332183.db2.gz FEHHVEZUMAQQKC-LLVKDONJSA-N 0 3 243.416 2.819 20 0 BFADHN CC[C@H](C)N1CCN(CC2(C(C)C)CC2)CC1 ZINC000639235830 355220801 /nfs/dbraw/zinc/22/08/01/355220801.db2.gz VSKRHUIAJYRZPX-AWEZNQCLSA-N 0 3 238.419 2.839 20 0 BFADHN CC[C@@H](CN1CCc2ccc(F)cc2C1)OC ZINC000412976678 191347000 /nfs/dbraw/zinc/34/70/00/191347000.db2.gz JUZWSIOEQUTAQQ-AWEZNQCLSA-N 0 3 237.318 2.609 20 0 BFADHN CC[C@@H](CN(C)[C@H](C)c1cccc(O)c1)OC ZINC000412976303 191347480 /nfs/dbraw/zinc/34/74/80/191347480.db2.gz IITPUFKXWQQMBS-RISCZKNCSA-N 0 3 237.343 2.810 20 0 BFADHN CC[C@H](CN(C)[C@@H](CC)c1ccncc1)OC ZINC000412980345 191349994 /nfs/dbraw/zinc/34/99/94/191349994.db2.gz WZWZYSMWYNWIEK-KGLIPLIRSA-N 0 3 236.359 2.890 20 0 BFADHN CCC(C)(C)NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000413110748 191354301 /nfs/dbraw/zinc/35/43/01/191354301.db2.gz SSZCGZZQOUKPRA-TUAOUCFPSA-N 0 3 240.391 2.553 20 0 BFADHN Cc1cnc(CNC23CCC(CC2)CC3)o1 ZINC000639236586 355219385 /nfs/dbraw/zinc/21/93/85/355219385.db2.gz QCVOCVHYBIVMPU-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN CCCN(Cc1ccn(C(F)F)n1)CC1CC1 ZINC000347672548 355261078 /nfs/dbraw/zinc/26/10/78/355261078.db2.gz CPTKECDOZLUYAI-UHFFFAOYSA-N 0 3 243.301 2.900 20 0 BFADHN COCC[C@H](C)CNc1ccnc2ccccc21 ZINC000413488499 191388728 /nfs/dbraw/zinc/38/87/28/191388728.db2.gz FKSPJXNPQCUBRK-LBPRGKRZSA-N 0 3 244.338 2.741 20 0 BFADHN Cc1ccc(CN2CCN(C)CC2(C)C)cc1 ZINC000347604479 355253828 /nfs/dbraw/zinc/25/38/28/355253828.db2.gz ZVTRIXCKWDSRIS-UHFFFAOYSA-N 0 3 232.371 2.521 20 0 BFADHN F[C@@H]1CCCC[C@H]1NCc1cccc2c[nH]nc21 ZINC000335287827 355257742 /nfs/dbraw/zinc/25/77/42/355257742.db2.gz JQDSGHGDDFVJKH-CHWSQXEVSA-N 0 3 247.317 2.933 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CC1(F)F)c1cncc(F)c1 ZINC000639257827 355304048 /nfs/dbraw/zinc/30/40/48/355304048.db2.gz ZHSSSTCPSGFSHV-DKCNOQQISA-N 0 3 244.260 2.915 20 0 BFADHN C[C@@H](N[C@@H](C)c1cncc(F)c1)[C@@H]1CC1(F)F ZINC000639257829 355304362 /nfs/dbraw/zinc/30/43/62/355304362.db2.gz ZHSSSTCPSGFSHV-RNSXUZJQSA-N 0 3 244.260 2.915 20 0 BFADHN Cn1ccnc1[C@H](N[C@@]1(C)CC=CCC1)C1CC1 ZINC000639258823 355304430 /nfs/dbraw/zinc/30/44/30/355304430.db2.gz NWVUHAZNIILGEL-HIFRSBDPSA-N 0 3 245.370 2.960 20 0 BFADHN Cn1ccnc1[C@@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)C1CC1 ZINC000639259187 355308844 /nfs/dbraw/zinc/30/88/44/355308844.db2.gz UBUVKCDXOPTNSR-RQJABVFESA-N 0 3 245.370 2.649 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CN[C@H](C)c1cc2n(n1)CCC2 ZINC000414140203 191440646 /nfs/dbraw/zinc/44/06/46/191440646.db2.gz GNQGCLJNSDIIID-DYEKYZERSA-N 0 3 247.386 2.772 20 0 BFADHN CC[C@H](N[C@H](CCO)c1ccco1)[C@@H]1C[C@@H]1C ZINC000414149306 191443937 /nfs/dbraw/zinc/44/39/37/191443937.db2.gz XLQBLMIRIMTVJL-QNWHQSFQSA-N 0 3 237.343 2.727 20 0 BFADHN C[C@@H](NC[C@@H]1CC[C@@H](C)C1)c1cc2n(n1)CCC2 ZINC000414149485 191444668 /nfs/dbraw/zinc/44/46/68/191444668.db2.gz OJTHPQVCBJPEHH-JHJVBQTASA-N 0 3 247.386 2.916 20 0 BFADHN CCC[C@@H](CN[C@@H]1CCCC[C@H]1F)OC ZINC000639264794 355323948 /nfs/dbraw/zinc/32/39/48/355323948.db2.gz GKYGHLJLILBSDK-QJPTWQEYSA-N 0 3 217.328 2.672 20 0 BFADHN CCc1ncc(CNCCc2ccc(C)cc2)o1 ZINC000639248653 355279148 /nfs/dbraw/zinc/27/91/48/355279148.db2.gz DMLPNHHUECXQJO-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN CCc1ncc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)o1 ZINC000639251312 355284337 /nfs/dbraw/zinc/28/43/37/355284337.db2.gz JEZFTAACBOLVNB-GRYCIOLGSA-N 0 3 234.343 2.763 20 0 BFADHN CCC[C@@H](NCc1cocn1)C1CCC1 ZINC000395061210 355378300 /nfs/dbraw/zinc/37/83/00/355378300.db2.gz GVVYEHQOYVPSBG-GFCCVEGCSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H](O)CCN[C@H](C)c1csc(Cl)c1 ZINC000191289987 355384130 /nfs/dbraw/zinc/38/41/30/355384130.db2.gz KPBFRIFHTGWHQE-HTQZYQBOSA-N 0 3 233.764 2.823 20 0 BFADHN COC1([C@H](C)N[C@@H](C)c2cc(C)ccn2)CCC1 ZINC000414311713 191487980 /nfs/dbraw/zinc/48/79/80/191487980.db2.gz VBNMLKMNSVVVAI-STQMWFEESA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@](C)(CNCc1cc(C)nc(C)c1)OC ZINC000639270281 355338423 /nfs/dbraw/zinc/33/84/23/355338423.db2.gz BMGREKPTNHXRPM-CQSZACIVSA-N 0 3 236.359 2.603 20 0 BFADHN CC(C)(CNCc1ccns1)c1cccnc1 ZINC000639270096 355339095 /nfs/dbraw/zinc/33/90/95/355339095.db2.gz NNKMRYHYQGOLKZ-UHFFFAOYSA-N 0 3 247.367 2.606 20 0 BFADHN Cc1cc(CN[C@H]2CS[C@H](C)C2)cc(C)n1 ZINC000639274223 355342210 /nfs/dbraw/zinc/34/22/10/355342210.db2.gz VNRJPBUUQDCUSS-DGCLKSJQSA-N 0 3 236.384 2.682 20 0 BFADHN Cc1cc(CN[C@@H]2CS[C@H](C)C2)cc(C)n1 ZINC000639274229 355342415 /nfs/dbraw/zinc/34/24/15/355342415.db2.gz VNRJPBUUQDCUSS-YPMHNXCESA-N 0 3 236.384 2.682 20 0 BFADHN CC[C@H](C)[C@H](CN[C@@H]1C[C@H]1c1ccco1)OC ZINC000639272341 355342976 /nfs/dbraw/zinc/34/29/76/355342976.db2.gz JASGHJUTMPGETR-SFTQSGBHSA-N 0 3 237.343 2.786 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCSC2)[C@H](CC)CO1 ZINC000651913407 491078269 /nfs/dbraw/zinc/07/82/69/491078269.db2.gz VZRCROALHYKOJQ-JHJVBQTASA-N 0 3 243.416 2.629 20 0 BFADHN F[C@]1(c2ccccc2)C[C@@H]1NCCC[C@@H]1CCO1 ZINC000639276300 355349054 /nfs/dbraw/zinc/34/90/54/355349054.db2.gz AYRMFVOHIOWGBF-ILXRZTDVSA-N 0 3 249.329 2.782 20 0 BFADHN C[C@@H]1CC[C@H](N2CCc3n[nH]cc3C2)[C@@H](C)C1 ZINC000623240505 355417389 /nfs/dbraw/zinc/41/73/89/355417389.db2.gz NFRWFTAWQNSIDX-SUNKGSAMSA-N 0 3 233.359 2.593 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@@H]1N1CCc2n[nH]cc2C1 ZINC000623240583 355417733 /nfs/dbraw/zinc/41/77/33/355417733.db2.gz PBDPAAQNYMJGFM-FZMZJTMJSA-N 0 3 247.386 2.983 20 0 BFADHN Cc1cccc2c1CC[C@@H]2NCCOC(C)C ZINC000349723569 355419848 /nfs/dbraw/zinc/41/98/48/355419848.db2.gz RWNRVBQRCWZOJZ-HNNXBMFYSA-N 0 3 233.355 2.997 20 0 BFADHN CC(C)OCCN[C@H](c1cccnc1)C(C)C ZINC000349722321 355419864 /nfs/dbraw/zinc/41/98/64/355419864.db2.gz OCIHWRFBQYXZOH-AWEZNQCLSA-N 0 3 236.359 2.793 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1cc2ccc(F)cc2[nH]1 ZINC000335784245 355433150 /nfs/dbraw/zinc/43/31/50/355433150.db2.gz KLZHGTZIXZVROJ-RNCFNFMXSA-N 0 3 248.301 2.574 20 0 BFADHN C[C@@H]1CCSCCN1C/C=C/c1ccncc1 ZINC000335785767 355435396 /nfs/dbraw/zinc/43/53/96/355435396.db2.gz GCILJXIVMHLVPV-YWVDXFKGSA-N 0 3 248.395 2.922 20 0 BFADHN CC(C)n1cc(CN2C[C@H](C)[C@@H](C)C2)cn1 ZINC000335788967 355440207 /nfs/dbraw/zinc/44/02/07/355440207.db2.gz YVTZWLKALGKYGP-RYUDHWBXSA-N 0 3 221.348 2.552 20 0 BFADHN CC(C)=CCN1CCOCC12CCCC2 ZINC000367096944 355394313 /nfs/dbraw/zinc/39/43/13/355394313.db2.gz UGZRHABZOXGCBQ-UHFFFAOYSA-N 0 3 209.333 2.598 20 0 BFADHN Cc1cc(CN[C@@H]2CCC23CCCC3)n(C)n1 ZINC000335798384 355456829 /nfs/dbraw/zinc/45/68/29/355456829.db2.gz OKSLRENQJVOGMQ-CYBMUJFWSA-N 0 3 233.359 2.541 20 0 BFADHN C/C=C\C[C@H](CO)NCc1cc(C)ccc1F ZINC000349784147 355461266 /nfs/dbraw/zinc/46/12/66/355461266.db2.gz CJRLTOFOEDFADW-DHCBQETCSA-N 0 3 237.318 2.551 20 0 BFADHN CC[C@@H](NCC1(C2CC2)CC1)c1ccn(C)n1 ZINC000349783568 355461349 /nfs/dbraw/zinc/46/13/49/355461349.db2.gz MGVZBNOCLARABE-GFCCVEGCSA-N 0 3 233.359 2.651 20 0 BFADHN c1ccc([C@@H]2C[C@H]2CN2CCSCC2)cc1 ZINC000349789740 355462714 /nfs/dbraw/zinc/46/27/14/355462714.db2.gz FOGIGOKXXLVNLN-KBPBESRZSA-N 0 3 233.380 2.839 20 0 BFADHN CC(=O)Nc1ccc(CN2[C@H](C)C[C@H]2C)cc1 ZINC000335804445 355474199 /nfs/dbraw/zinc/47/41/99/355474199.db2.gz DJGRYOUZNJTNKO-GHMZBOCLSA-N 0 3 232.327 2.628 20 0 BFADHN C[C@H](CC1CCCC1)N1CCc2n[nH]cc2C1 ZINC000623241277 355487621 /nfs/dbraw/zinc/48/76/21/355487621.db2.gz NFTIVGJRRHTQLN-LLVKDONJSA-N 0 3 233.359 2.737 20 0 BFADHN C[C@H](CC1CCOCC1)N[C@@H](C)c1ccon1 ZINC000349834473 355489917 /nfs/dbraw/zinc/48/99/17/355489917.db2.gz PANXPLRWIRHCJV-MNOVXSKESA-N 0 3 238.331 2.530 20 0 BFADHN Cn1ccnc1[C@@H](NC1(C2CCC2)CC1)C1CC1 ZINC000639293458 355532963 /nfs/dbraw/zinc/53/29/63/355532963.db2.gz YPVZIXAORQYEEU-ZDUSSCGKSA-N 0 3 245.370 2.794 20 0 BFADHN C[C@@H](CCC(C)(C)C)N1CCc2n[nH]cc2C1 ZINC000623241990 355541148 /nfs/dbraw/zinc/54/11/48/355541148.db2.gz LVVILGUKLFWMSB-NSHDSACASA-N 0 3 235.375 2.983 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cnn(C(C)(C)C)c2)C1 ZINC000335824305 355546269 /nfs/dbraw/zinc/54/62/69/355546269.db2.gz VPHSMEZRDGRIHA-NEPJUHHUSA-N 0 3 235.375 2.868 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccncc2)C1 ZINC000335844569 355589424 /nfs/dbraw/zinc/58/94/24/355589424.db2.gz CRTANCFLGHLRHL-CYBMUJFWSA-N 0 3 204.317 2.704 20 0 BFADHN C[C@@H]1CC[C@@H](N(C)Cc2ccc(F)cn2)C1 ZINC000335851664 355595814 /nfs/dbraw/zinc/59/58/14/355595814.db2.gz NNYUWOWFVUXIEL-ZWNOBZJWSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1ccc2nccc(N[C@@H]3CO[C@H](C)C3)c2c1 ZINC000582178482 355611621 /nfs/dbraw/zinc/61/16/21/355611621.db2.gz BYFYYHPCUIVHDU-NEPJUHHUSA-N 0 3 242.322 2.554 20 0 BFADHN Cn1cc(CN2CCCC3(CCCC3)C2)cn1 ZINC000335838724 355568359 /nfs/dbraw/zinc/56/83/59/355568359.db2.gz UTWDBVCEIGTYGW-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN C(N[C@@H]1CCC[C@H]2C[C@H]21)c1nnc(C2CC2)s1 ZINC000583552219 355579797 /nfs/dbraw/zinc/57/97/97/355579797.db2.gz ZDCCEMJZIXSTGF-HBNTYKKESA-N 0 3 249.383 2.694 20 0 BFADHN Cc1ccc(CN2CCC(C)=C(C)C2)cn1 ZINC000335872812 355640486 /nfs/dbraw/zinc/64/04/86/355640486.db2.gz AXVOFWFRXUPVIO-UHFFFAOYSA-N 0 3 216.328 2.932 20 0 BFADHN CCO[C@H]1C[C@H](NCc2c(C)cccc2OC)C1 ZINC000639311625 355671153 /nfs/dbraw/zinc/67/11/53/355671153.db2.gz PIMVYMHSIFRFOG-JOCQHMNTSA-N 0 3 249.354 2.661 20 0 BFADHN CC[C@@H](C)CCNCc1cnc(OC)s1 ZINC000639309258 355665520 /nfs/dbraw/zinc/66/55/20/355665520.db2.gz RQWRXZAGRQQGKD-SECBINFHSA-N 0 3 228.361 2.678 20 0 BFADHN COC[C@@H]1CCCCN1Cc1cccc(F)c1 ZINC000449761479 202026312 /nfs/dbraw/zinc/02/63/12/202026312.db2.gz XZFPEPHACYJFGE-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2ccccn2)sn1 ZINC000639299418 355627497 /nfs/dbraw/zinc/62/74/97/355627497.db2.gz NYDCDOFGQLBXPF-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N1CCCOCC1 ZINC000335869984 355636321 /nfs/dbraw/zinc/63/63/21/355636321.db2.gz LBOABUKYFLKAGK-AWEZNQCLSA-N 0 3 237.318 2.999 20 0 BFADHN CCCCN1C[C@H](CC)N(C(C)=O)C[C@H]1CC ZINC000350471231 355710699 /nfs/dbraw/zinc/71/06/99/355710699.db2.gz AJOUMDMDLYCOHN-KGLIPLIRSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2cc(OC)no2)C1 ZINC000639323392 355716599 /nfs/dbraw/zinc/71/65/99/355716599.db2.gz BPKGTZVWMHBIHD-MNOVXSKESA-N 0 3 238.331 2.742 20 0 BFADHN COc1cc(CN[C@@H]2CC[C@H](C)[C@@H](C)C2)on1 ZINC000639323377 355716611 /nfs/dbraw/zinc/71/66/11/355716611.db2.gz BIGORVKQXNNYMJ-GARJFASQSA-N 0 3 238.331 2.598 20 0 BFADHN COc1cc(CN[C@@H]2CCCC(C)(C)C2)on1 ZINC000639323975 355718054 /nfs/dbraw/zinc/71/80/54/355718054.db2.gz HTICRTBPKBZOEB-SNVBAGLBSA-N 0 3 238.331 2.742 20 0 BFADHN COc1cc(CN[C@@H]2C[C@H](C)CC[C@H]2C)on1 ZINC000639324514 355719215 /nfs/dbraw/zinc/71/92/15/355719215.db2.gz MXUVRRFIDAXWET-CKYFFXLPSA-N 0 3 238.331 2.598 20 0 BFADHN CN1CC[C@H](c2nc(CC3(C)CCCC3)no2)C1 ZINC000336000871 134047101 /nfs/dbraw/zinc/04/71/01/134047101.db2.gz JMTZYDKREOYDQZ-NSHDSACASA-N 0 3 249.358 2.612 20 0 BFADHN Cc1cnc([C@@H](C)N2CCC[C@H](C3CC3)C2)cn1 ZINC000335893825 355687717 /nfs/dbraw/zinc/68/77/17/355687717.db2.gz LQNYXDMRTDPJTC-OCCSQVGLSA-N 0 3 245.370 2.968 20 0 BFADHN CC(C)=CCNCc1cnn(C(C)C)c1 ZINC000191632576 355757509 /nfs/dbraw/zinc/75/75/09/355757509.db2.gz FLAPBEQPBBLZBY-UHFFFAOYSA-N 0 3 207.321 2.520 20 0 BFADHN COc1cc(CN[C@H](C)CC2CCCC2)on1 ZINC000639324770 355724675 /nfs/dbraw/zinc/72/46/75/355724675.db2.gz OSYVEJXQERLLLT-SNVBAGLBSA-N 0 3 238.331 2.742 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2[C@@H]3CCC[C@@H]32)c(C)n1 ZINC000639325869 355724873 /nfs/dbraw/zinc/72/48/73/355724873.db2.gz VMNQKYDCJNHFKN-PQFRYHKHSA-N 0 3 231.343 2.543 20 0 BFADHN c1cc(CN2CC[C@@H]3C[C@@H]3C2)n(CC2CCC2)n1 ZINC000639326009 355725022 /nfs/dbraw/zinc/72/50/22/355725022.db2.gz VLWHHCPDKFJGQW-ZIAGYGMSSA-N 0 3 245.370 2.525 20 0 BFADHN C/C=C\CNCc1c(C)cccc1OC ZINC000639353615 355803063 /nfs/dbraw/zinc/80/30/63/355803063.db2.gz VIXUYDLBUVYPCA-PLNGDYQASA-N 0 3 205.301 2.669 20 0 BFADHN CCC[C@H](CN1CCc2c(O)cccc2C1)OC ZINC000639355142 355808871 /nfs/dbraw/zinc/80/88/71/355808871.db2.gz PSPSAGZEZFOLPN-CYBMUJFWSA-N 0 3 249.354 2.565 20 0 BFADHN CCC[C@@H](CN1CC(c2ccccc2)C1)OC ZINC000639361923 355816195 /nfs/dbraw/zinc/81/61/95/355816195.db2.gz YRBXZDYPEOQQNA-HNNXBMFYSA-N 0 3 233.355 2.901 20 0 BFADHN c1ccc(C2CN(CC[C@@H]3CCOC3)C2)cc1 ZINC000639361823 355816554 /nfs/dbraw/zinc/81/65/54/355816554.db2.gz TVRCHVATNWIUOB-CYBMUJFWSA-N 0 3 231.339 2.512 20 0 BFADHN CCN(C[C@H]1CCCO1)[C@@H](C)c1ccncc1 ZINC000608494694 355818848 /nfs/dbraw/zinc/81/88/48/355818848.db2.gz JQTBLUVKSQPVEE-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](C)[C@@H](C)OC)o1 ZINC000191649409 355821521 /nfs/dbraw/zinc/82/15/21/355821521.db2.gz DVGOQZIYMAQSMH-HBNTYKKESA-N 0 3 225.332 2.916 20 0 BFADHN Cn1ccc(CN2CCC(CCF)CC2)c1 ZINC000639376589 355902569 /nfs/dbraw/zinc/90/25/69/355902569.db2.gz QBPZRURUUBCTOD-UHFFFAOYSA-N 0 3 224.323 2.597 20 0 BFADHN CCC(C)(C)CCNCc1snnc1C ZINC000639380339 355917251 /nfs/dbraw/zinc/91/72/51/355917251.db2.gz DJSNBEOEGCNFTP-UHFFFAOYSA-N 0 3 227.377 2.762 20 0 BFADHN C[C@H](NC1(C2CC2)CC1)c1cn2ccccc2n1 ZINC000583641172 355946889 /nfs/dbraw/zinc/94/68/89/355946889.db2.gz GUQLYLFTHKIPBS-NSHDSACASA-N 0 3 241.338 2.928 20 0 BFADHN CC[C@@]1(O)CCCN(C/C=C\c2ccccc2)C1 ZINC000639384686 355967790 /nfs/dbraw/zinc/96/77/90/355967790.db2.gz IWBHZMOWQHXLHE-CXSQMQJXSA-N 0 3 245.366 2.937 20 0 BFADHN CO[C@H](CN[C@@H]1C[C@H]1C(F)(F)F)CC(C)C ZINC000639390909 356034500 /nfs/dbraw/zinc/03/45/00/356034500.db2.gz PYLCGEBYLOHDON-IVZWLZJFSA-N 0 3 239.281 2.588 20 0 BFADHN Cn1cc(CN2CCC[C@@H](C3CCC3)CC2)cn1 ZINC000639391864 356046564 /nfs/dbraw/zinc/04/65/64/356046564.db2.gz LZDGVWMDELRGSG-OAHLLOKOSA-N 0 3 247.386 2.822 20 0 BFADHN CCc1nocc1CN1CC[C@](C)(CC)C1 ZINC000351686272 356051013 /nfs/dbraw/zinc/05/10/13/356051013.db2.gz JGVBUKXEXVGYHH-ZDUSSCGKSA-N 0 3 222.332 2.859 20 0 BFADHN CC1(C)CN(Cc2cccc(-n3cccn3)c2)C1 ZINC000639393553 356060186 /nfs/dbraw/zinc/06/01/86/356060186.db2.gz NCKLXJLUIUIPRK-UHFFFAOYSA-N 0 3 241.338 2.714 20 0 BFADHN CCc1nocc1CN1CCC[C@H]1CSC ZINC000351694603 356060810 /nfs/dbraw/zinc/06/08/10/356060810.db2.gz NGGSZJQVZSNQDE-NSHDSACASA-N 0 3 240.372 2.564 20 0 BFADHN Cc1occc1CN1CCC(C)(F)CC1 ZINC000639389197 356012316 /nfs/dbraw/zinc/01/23/16/356012316.db2.gz TVTDATADQCJQHQ-UHFFFAOYSA-N 0 3 211.280 2.912 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@H](C)[C@@H]2C)cs1 ZINC000418140482 191986204 /nfs/dbraw/zinc/98/62/04/191986204.db2.gz XAISDPYGPFIFMY-GUBZILKMSA-N 0 3 224.373 2.928 20 0 BFADHN COc1cc(CN(C)CC[C@H](C)F)ccc1O ZINC000351882485 356125202 /nfs/dbraw/zinc/12/52/02/356125202.db2.gz QNQSRMHMNUWQNP-JTQLQIEISA-N 0 3 241.306 2.581 20 0 BFADHN CO[C@@H](Cc1ccccc1)CN1CC(C)(C)C1 ZINC000639393345 356071683 /nfs/dbraw/zinc/07/16/83/356071683.db2.gz JYVYQMSERMFMBX-AWEZNQCLSA-N 0 3 233.355 2.586 20 0 BFADHN C[C@H](F)CCN1CCC[C@@H]1c1ccncc1 ZINC000351733152 356073527 /nfs/dbraw/zinc/07/35/27/356073527.db2.gz YQWZGXHHDWYQCS-WCQYABFASA-N 0 3 222.307 2.967 20 0 BFADHN C[C@H](c1cccnc1)N(C)CC[C@H](C)F ZINC000351734157 356074811 /nfs/dbraw/zinc/07/48/11/356074811.db2.gz HACWXNGJWFWTNM-WDEREUQCSA-N 0 3 210.296 2.823 20 0 BFADHN COC(=O)[C@@H](CC(C)C)N1CCCC[C@@H](C)C1 ZINC000351821779 356090391 /nfs/dbraw/zinc/09/03/91/356090391.db2.gz IJZFHDUGLBUJBW-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1CCCC[C@@H](C)C1 ZINC000351821780 356090427 /nfs/dbraw/zinc/09/04/27/356090427.db2.gz IJZFHDUGLBUJBW-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H](c1ccc(Cl)cc1)N1C[C@@H](C)[C@H](O)C1 ZINC000351822617 356090906 /nfs/dbraw/zinc/09/09/06/356090906.db2.gz XJSODESYIPXXFU-GIPNMCIBSA-N 0 3 239.746 2.714 20 0 BFADHN C[C@@H](c1ccc(Cl)cc1)N1C[C@@H](C)[C@@H](O)C1 ZINC000351822618 356091053 /nfs/dbraw/zinc/09/10/53/356091053.db2.gz XJSODESYIPXXFU-NRUUGDAUSA-N 0 3 239.746 2.714 20 0 BFADHN COc1ccccc1CN(C)CC[C@@H](C)F ZINC000351862225 356099743 /nfs/dbraw/zinc/09/97/43/356099743.db2.gz TWASNGSHYQGWIR-LLVKDONJSA-N 0 3 225.307 2.875 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1nccc(C)n1 ZINC000335914937 356152296 /nfs/dbraw/zinc/15/22/96/356152296.db2.gz AVHLXUVQZUMZKL-NWDGAFQWSA-N 0 3 219.332 2.548 20 0 BFADHN C[C@H](C(N)=O)N1CCC[C@@H](C(C)(C)C)C1 ZINC000336087885 134076914 /nfs/dbraw/zinc/07/69/14/134076914.db2.gz KIVQAEGEFCDLBI-NXEZZACHSA-N 0 3 212.337 2.668 20 0 BFADHN C[C@@H]1OCC[C@H]1CN(C)Cc1ccsc1 ZINC000639440617 356217304 /nfs/dbraw/zinc/21/73/04/356217304.db2.gz DSJNUMIHJKKSLY-JQWIXIFHSA-N 0 3 225.357 2.605 20 0 BFADHN COc1ncc(CN2CCCC2)c2ccccc21 ZINC000639443452 356221212 /nfs/dbraw/zinc/22/12/12/356221212.db2.gz JNGHYUAGNBFUED-UHFFFAOYSA-N 0 3 242.322 2.839 20 0 BFADHN C[C@H](NCc1ccn(C)n1)[C@@H]1CCC[C@@H](C)C1 ZINC000449765438 202027671 /nfs/dbraw/zinc/02/76/71/202027671.db2.gz IFYKMPRQMWSEOM-FRRDWIJNSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1cc(C)c(CN(C)CC2CC2)c(C)n1 ZINC000639455764 356241252 /nfs/dbraw/zinc/24/12/52/356241252.db2.gz VXQXNSJOHRAMOD-UHFFFAOYSA-N 0 3 218.344 2.849 20 0 BFADHN C[C@@H](c1ccco1)N(C)C[C@H]1CCO[C@H]1C ZINC000639461637 356245305 /nfs/dbraw/zinc/24/53/05/356245305.db2.gz HANVCXHFHAFVER-SDDRHHMPSA-N 0 3 223.316 2.697 20 0 BFADHN Cc1cnc([C@H](C)N2CCC(C)CC2)cn1 ZINC000336118635 134089639 /nfs/dbraw/zinc/08/96/39/134089639.db2.gz BLMXOFOSLDPJJT-LBPRGKRZSA-N 0 3 219.332 2.578 20 0 BFADHN CC(C)(C)C1CCN(CCc2cnccn2)CC1 ZINC000639490220 356307223 /nfs/dbraw/zinc/30/72/23/356307223.db2.gz RGRYZOAWPALJOH-UHFFFAOYSA-N 0 3 247.386 2.777 20 0 BFADHN C[C@@H]1SCCN(C[C@H]2CC(C)(C)CO2)[C@H]1C ZINC000639492941 356313945 /nfs/dbraw/zinc/31/39/45/356313945.db2.gz MUJNAMLVMSJPNU-SDDRHHMPSA-N 0 3 243.416 2.627 20 0 BFADHN C[C@@H]1CN(C[C@H]2CC(C)(C)CO2)C[C@@H](C)S1 ZINC000639499949 356329242 /nfs/dbraw/zinc/32/92/42/356329242.db2.gz GSNPHQGEROTMQO-IJLUTSLNSA-N 0 3 243.416 2.627 20 0 BFADHN CCc1nc(C)c(CN2C[C@@H]3[C@H](C2)C3(C)C)o1 ZINC000336307841 356334217 /nfs/dbraw/zinc/33/42/17/356334217.db2.gz OCXMABVKIKFMSJ-PHIMTYICSA-N 0 3 234.343 2.633 20 0 BFADHN C[C@]1(F)CNCCN(CCCC2CCCC2)C1 ZINC000420329988 192214382 /nfs/dbraw/zinc/21/43/82/192214382.db2.gz KRXPAQVVEKNMNN-AWEZNQCLSA-N 0 3 242.382 2.590 20 0 BFADHN CCCC[C@H](N)C(=O)NC[C@@H](CC)CCCC ZINC000040806503 358503330 /nfs/dbraw/zinc/50/33/30/358503330.db2.gz KAZRVSUUUHIYRL-STQMWFEESA-N 0 3 242.407 2.837 20 0 BFADHN Cc1ccc(C)c(CN(C)[C@H]2CCCOC2)c1 ZINC000352200846 134100085 /nfs/dbraw/zinc/10/00/85/134100085.db2.gz BZDGHHHLJYLRGU-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1ncc(CN2CC[C@H](C(C)(C)C)C2)cn1 ZINC000336133032 134097838 /nfs/dbraw/zinc/09/78/38/134097838.db2.gz ZJLRJIUOQGAFDZ-ZDUSSCGKSA-N 0 3 233.359 2.653 20 0 BFADHN CC(=O)CN[C@H](c1ccccc1)C(C)(C)C ZINC000639523169 356369770 /nfs/dbraw/zinc/36/97/70/356369770.db2.gz WWKMTRXFHWTEJU-CYBMUJFWSA-N 0 3 219.328 2.952 20 0 BFADHN COC(C)(C)C[C@@H](C)NCc1csc(C)n1 ZINC000041010485 358504749 /nfs/dbraw/zinc/50/47/49/358504749.db2.gz AJNCUZUNMHPTEB-SECBINFHSA-N 0 3 242.388 2.745 20 0 BFADHN C[C@H]1CCN(CCc2cnccn2)CC12CCC2 ZINC000639557943 356462317 /nfs/dbraw/zinc/46/23/17/356462317.db2.gz AAZPNCUZBAYGJJ-ZDUSSCGKSA-N 0 3 245.370 2.531 20 0 BFADHN C[C@H](NCCC(C)(F)F)c1ccoc1 ZINC000379282922 356439760 /nfs/dbraw/zinc/43/97/60/356439760.db2.gz FCCBUURNPXCMRT-QMMMGPOBSA-N 0 3 203.232 2.976 20 0 BFADHN CC[C@@H](C)[C@@H](N)C(=O)Nc1cc(C)cc(C)c1 ZINC000019478410 356482953 /nfs/dbraw/zinc/48/29/53/356482953.db2.gz VXFVJPCTADMQRV-DGCLKSJQSA-N 0 3 234.343 2.615 20 0 BFADHN CC(=O)CN[C@H](C)C(C)(C)c1ccccc1 ZINC000639583046 356506129 /nfs/dbraw/zinc/50/61/29/356506129.db2.gz XXUUPBQDERCJTE-GFCCVEGCSA-N 0 3 219.328 2.531 20 0 BFADHN CN(Cc1ccc(Cl)s1)C[C@H]1C[C@@H](O)C1 ZINC000420905027 192269753 /nfs/dbraw/zinc/26/97/53/192269753.db2.gz QLOOHTVSIMQOAH-DTORHVGOSA-N 0 3 245.775 2.604 20 0 BFADHN Cc1nc(C)c(CNC[C@H]2Cc3ccccc32)o1 ZINC000394126496 356508011 /nfs/dbraw/zinc/50/80/11/356508011.db2.gz RMTCNSGFIPLRMM-CYBMUJFWSA-N 0 3 242.322 2.721 20 0 BFADHN CC[C@@H]1CN(CC=C(C)C)C[C@H](CC)O1 ZINC000420954874 192277281 /nfs/dbraw/zinc/27/72/81/192277281.db2.gz KMAYNWFWATVEQR-BETUJISGSA-N 0 3 211.349 2.842 20 0 BFADHN C[C@H](C(N)=O)N1CCCC2(CCCC2)C1 ZINC000336180564 134119549 /nfs/dbraw/zinc/11/95/49/134119549.db2.gz KVSXKCPXYMCXNM-SNVBAGLBSA-N 0 3 210.321 2.566 20 0 BFADHN CO[C@@H](C)CN1CCC[C@H](CC(F)(F)F)C1 ZINC000420954343 192278150 /nfs/dbraw/zinc/27/81/50/192278150.db2.gz UMDMXZFWEFFWPL-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN CC(=O)CN[C@H]1CCC[C@H]1Cc1ccccc1 ZINC000639603180 356524059 /nfs/dbraw/zinc/52/40/59/356524059.db2.gz JQUHJZPMWKNHKZ-GJZGRUSLSA-N 0 3 231.339 2.576 20 0 BFADHN CCSCCN1C[C@H](CC)O[C@@H](CC)C1 ZINC000420963356 192282779 /nfs/dbraw/zinc/28/27/79/192282779.db2.gz VJYOCGFOEXKHPE-RYUDHWBXSA-N 0 3 231.405 2.629 20 0 BFADHN O[C@H]1C[C@@H](CN2CC3(CCC3)[C@H]2c2ccco2)C1 ZINC000420971900 192286051 /nfs/dbraw/zinc/28/60/51/192286051.db2.gz LFDPNXUIKBLQLS-MBNYWOFBSA-N 0 3 247.338 2.578 20 0 BFADHN CC[C@@H]1CN(CC[C@H](C)F)C[C@@H](CC)O1 ZINC000420966088 192285132 /nfs/dbraw/zinc/28/51/32/192285132.db2.gz ZALXHPQGVKQEAY-QJPTWQEYSA-N 0 3 217.328 2.624 20 0 BFADHN O[C@H]1C[C@@H](CN2CCCC[C@H]2c2ccco2)C1 ZINC000420917934 192273998 /nfs/dbraw/zinc/27/39/98/192273998.db2.gz UQXZQJYUTZWJKX-XQQFMLRXSA-N 0 3 235.327 2.578 20 0 BFADHN C[C@@H]1c2ccccc2CCN1CCn1ccnc1 ZINC000179460337 366260431 /nfs/dbraw/zinc/26/04/31/366260431.db2.gz QGEBZVNXJXRORQ-CYBMUJFWSA-N 0 3 241.338 2.502 20 0 BFADHN Cc1cc(C)c(CN2[C@H](C)C[C@@H]2C)c(C)n1 ZINC000639618235 356535131 /nfs/dbraw/zinc/53/51/31/356535131.db2.gz GNZQREPLXLHCNY-TXEJJXNPSA-N 0 3 218.344 2.990 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1cccc2c1CCOC2 ZINC000639618362 356536349 /nfs/dbraw/zinc/53/63/49/356536349.db2.gz JOAJMJVHOPVQQP-TXEJJXNPSA-N 0 3 231.339 2.742 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cn(C)nc1C(C)(C)C ZINC000179714985 366291949 /nfs/dbraw/zinc/29/19/49/366291949.db2.gz CHXXDJSMMYLPNI-NSHDSACASA-N 0 3 249.402 2.948 20 0 BFADHN FC1(F)CCC[C@@H](CN2CC[C@@]23CCOC3)C1 ZINC000421009544 192300391 /nfs/dbraw/zinc/30/03/91/192300391.db2.gz BQZXWKRNVDOETC-NEPJUHHUSA-N 0 3 245.313 2.677 20 0 BFADHN CCc1cccc(CN2CC[C@@]23CCOC3)c1 ZINC000421023222 192306164 /nfs/dbraw/zinc/30/61/64/192306164.db2.gz QYDRICUSEFHTDI-HNNXBMFYSA-N 0 3 231.339 2.614 20 0 BFADHN C(CC1CCCC1)CN1CC[C@@]12CCOC2 ZINC000421026744 192307850 /nfs/dbraw/zinc/30/78/50/192307850.db2.gz STTLHOGGVLKABW-AWEZNQCLSA-N 0 3 223.360 2.822 20 0 BFADHN C[C@H]1CN(Cc2cccc3c2CCOC3)[C@H]1C ZINC000639654681 356559485 /nfs/dbraw/zinc/55/94/85/356559485.db2.gz FGCCWXONRKJBOU-RYUDHWBXSA-N 0 3 231.339 2.600 20 0 BFADHN Cc1cc(C)c(CN2C[C@H](C)[C@H]2C)c(C)n1 ZINC000639655107 356559635 /nfs/dbraw/zinc/55/96/35/356559635.db2.gz JBQGALKCSTVBGM-GXFFZTMASA-N 0 3 218.344 2.847 20 0 BFADHN C[C@@H]1CN(Cc2cccc3c2CCOC3)[C@@H]1C ZINC000639654682 356559869 /nfs/dbraw/zinc/55/98/69/356559869.db2.gz FGCCWXONRKJBOU-VXGBXAGGSA-N 0 3 231.339 2.600 20 0 BFADHN Cc1cc(CN2C[C@@H](O)CC[C@H]2C)c(C)s1 ZINC000421027309 192309866 /nfs/dbraw/zinc/30/98/66/192309866.db2.gz SZRKRYKBGAKHSN-RNCFNFMXSA-N 0 3 239.384 2.710 20 0 BFADHN C[C@@H]1CN(CCc2cscn2)C[C@@H](C)S1 ZINC000336201184 134130433 /nfs/dbraw/zinc/13/04/33/134130433.db2.gz XKISMFCDOBNCCU-NXEZZACHSA-N 0 3 242.413 2.511 20 0 BFADHN CO[C@H]1CCN(Cc2cccc(C3CC3)c2)C1 ZINC000421323509 192317993 /nfs/dbraw/zinc/31/79/93/192317993.db2.gz WDYLQGGKCRPOJN-HNNXBMFYSA-N 0 3 231.339 2.785 20 0 BFADHN CC[C@H]1CCN1Cc1cccc2c1CCOC2 ZINC000639654315 356566382 /nfs/dbraw/zinc/56/63/82/356566382.db2.gz GNHVAYGSQQVKRW-AWEZNQCLSA-N 0 3 231.339 2.744 20 0 BFADHN O[C@@H]1CN(Cc2cccc(C3CC3)c2)CC12CC2 ZINC000421344254 192318832 /nfs/dbraw/zinc/31/88/32/192318832.db2.gz OZENPQVPUWJORJ-OAHLLOKOSA-N 0 3 243.350 2.521 20 0 BFADHN COc1cc(C)ccc1CN1CCCO[C@H](C)C1 ZINC000336206070 134132474 /nfs/dbraw/zinc/13/24/74/134132474.db2.gz ADVACNXIGCMGRA-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN CN(Cc1cccc(C2CC2)c1)[C@H]1CCOC1 ZINC000421322204 192317429 /nfs/dbraw/zinc/31/74/29/192317429.db2.gz SNHFQCMFTOZCPN-HNNXBMFYSA-N 0 3 231.339 2.785 20 0 BFADHN C[C@H]1CCCCCN1Cc1ccncc1F ZINC000336217369 134137811 /nfs/dbraw/zinc/13/78/11/134137811.db2.gz DVSUNNWYFXGHEA-NSHDSACASA-N 0 3 222.307 2.985 20 0 BFADHN CC(=O)CN1CCC[C@@]1(C)Cc1ccc(F)cc1 ZINC000639673153 356589595 /nfs/dbraw/zinc/58/95/95/356589595.db2.gz AHXGNJHMFBEMAH-HNNXBMFYSA-N 0 3 249.329 2.812 20 0 BFADHN Cc1cnccc1CN(C)[C@@H]1CC1(C)C ZINC000336217739 134138156 /nfs/dbraw/zinc/13/81/56/134138156.db2.gz LLRBKWPGFWKKRC-GFCCVEGCSA-N 0 3 204.317 2.620 20 0 BFADHN CC(=O)CN(C)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000639674981 356591513 /nfs/dbraw/zinc/59/15/13/356591513.db2.gz YEDNLNGJTAJQDG-NWDGAFQWSA-N 0 3 211.349 2.722 20 0 BFADHN Cc1cc(C)c(CN[C@@H]2COCC2(C)C)c(C)n1 ZINC000639664867 356579849 /nfs/dbraw/zinc/57/98/49/356579849.db2.gz IZZYVPVKBXKVAH-CQSZACIVSA-N 0 3 248.370 2.521 20 0 BFADHN C[C@@H]1COCCN1CCC1=CCCCC1 ZINC000450258014 202111460 /nfs/dbraw/zinc/11/14/60/202111460.db2.gz YTDWBJUCYFTAKE-GFCCVEGCSA-N 0 3 209.333 2.598 20 0 BFADHN C1=C(CCN2CCN(CC3CC3)CC2)CCCC1 ZINC000450268896 202114126 /nfs/dbraw/zinc/11/41/26/202114126.db2.gz IYDBUJTUTMSONO-UHFFFAOYSA-N 0 3 248.414 2.905 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1C[C@@H]1CCC(F)(F)C1 ZINC000450262690 202114428 /nfs/dbraw/zinc/11/44/28/202114428.db2.gz UMPNYTWIDBHLHH-QJPTWQEYSA-N 0 3 247.329 2.921 20 0 BFADHN OCc1ccc(CN2CC[C@H](C3CCCC3)C2)o1 ZINC000582235139 356638047 /nfs/dbraw/zinc/63/80/47/356638047.db2.gz ZRXGDUHUXWSCFV-ZDUSSCGKSA-N 0 3 249.354 2.784 20 0 BFADHN CCc1cc(N2C[C@@H](C)OC(C)(C)C2)ccn1 ZINC000450275191 202116043 /nfs/dbraw/zinc/11/60/43/202116043.db2.gz IZSZUDYJCQGGOE-LLVKDONJSA-N 0 3 234.343 2.648 20 0 BFADHN C(N1CCO[C@@H]2CCC[C@@H]21)C12CCC(CC1)C2 ZINC000450281710 202117605 /nfs/dbraw/zinc/11/76/05/202117605.db2.gz DUUREZZJLQKFFL-RAFNIBEQSA-N 0 3 235.371 2.820 20 0 BFADHN FC1(F)CC[C@H](CN2CCO[C@H]3CCC[C@@H]32)C1 ZINC000450283392 202117838 /nfs/dbraw/zinc/11/78/38/202117838.db2.gz JPCZVABCWBOATK-SRVKXCTJSA-N 0 3 245.313 2.675 20 0 BFADHN CN(Cc1ccoc1)CC1CC(F)(F)C1 ZINC000450292369 202120337 /nfs/dbraw/zinc/12/03/37/202120337.db2.gz WLIUQQLVCVIEJL-UHFFFAOYSA-N 0 3 215.243 2.757 20 0 BFADHN Cc1ccc(CN2CC[C@@H](OC(C)C)C2)cc1 ZINC000582239192 356669347 /nfs/dbraw/zinc/66/93/47/356669347.db2.gz SCBJAGFSIKYICT-OAHLLOKOSA-N 0 3 233.355 2.994 20 0 BFADHN COc1cc(CNCCCC(C)C)sn1 ZINC000399096377 366324892 /nfs/dbraw/zinc/32/48/92/366324892.db2.gz YUYZCGPWEMNPFC-UHFFFAOYSA-N 0 3 228.361 2.678 20 0 BFADHN CCC[C@@H](C)CN(CC)Cc1cnn(C)c1 ZINC000179839005 366319227 /nfs/dbraw/zinc/31/92/27/366319227.db2.gz ARNQYQGAKIFVDJ-GFCCVEGCSA-N 0 3 223.364 2.678 20 0 BFADHN c1cc(-c2nc3c(s2)CNCC3)cs1 ZINC000053335654 356668008 /nfs/dbraw/zinc/66/80/08/356668008.db2.gz LNMXKZVOLNTIDG-UHFFFAOYSA-N 0 3 222.338 2.517 20 0 BFADHN Cc1ccc([C@@H](C)NCC[C@@H](O)C(C)C)o1 ZINC000168231862 134160722 /nfs/dbraw/zinc/16/07/22/134160722.db2.gz NRCNEKNPKSZNGE-VXGBXAGGSA-N 0 3 225.332 2.646 20 0 BFADHN C[C@@H](NCC(C)(C)C[C@H](C)O)c1ccoc1 ZINC000130222313 325025841 /nfs/dbraw/zinc/02/58/41/325025841.db2.gz RLZQBKAZVINKAL-WDEREUQCSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@H](NCCCc1cccs1)c1ccncn1 ZINC000583675509 356686112 /nfs/dbraw/zinc/68/61/12/356686112.db2.gz NGYHXIBFMMVAEX-NSHDSACASA-N 0 3 247.367 2.822 20 0 BFADHN Cc1ccc(-c2cnn3c2CNCC3)cc1Cl ZINC000675077127 538512673 /nfs/dbraw/zinc/51/26/73/538512673.db2.gz YGNPKVHHICZDSI-UHFFFAOYSA-N 0 3 247.729 2.615 20 0 BFADHN CC[C@@H]1COCCN1C[C@H]1CCCCC1(F)F ZINC000450407443 202156338 /nfs/dbraw/zinc/15/63/38/202156338.db2.gz HUVFAZBHNOTXLM-VXGBXAGGSA-N 0 3 247.329 2.923 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCCCC[C@H]1C ZINC000088506877 356726860 /nfs/dbraw/zinc/72/68/60/356726860.db2.gz ABJCVTRZQBIOEU-CHWSQXEVSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1ccnc1CN[C@H]1CCCCC[C@@H]1C ZINC000088506875 356727099 /nfs/dbraw/zinc/72/70/99/356727099.db2.gz ABJCVTRZQBIOEU-STQMWFEESA-N 0 3 235.375 2.961 20 0 BFADHN CCn1ccnc1CN[C@H]1CCCCC[C@H]1C ZINC000088506882 356727264 /nfs/dbraw/zinc/72/72/64/356727264.db2.gz ABJCVTRZQBIOEU-OLZOCXBDSA-N 0 3 235.375 2.961 20 0 BFADHN c1n[nH]cc1[C@H]1CCCN1[C@@H]1C=CCCC1 ZINC000639781616 356730541 /nfs/dbraw/zinc/73/05/41/356730541.db2.gz PEYYAWINWNWQDL-CHWSQXEVSA-N 0 3 217.316 2.655 20 0 BFADHN c1n[nH]cc1[C@H]1CCCN1[C@H]1C=CCCC1 ZINC000639781618 356730772 /nfs/dbraw/zinc/73/07/72/356730772.db2.gz PEYYAWINWNWQDL-QWHCGFSZSA-N 0 3 217.316 2.655 20 0 BFADHN CCc1cc(N(C)C[C@H]2CCCC[C@@H]2O)ccn1 ZINC000450430245 202166425 /nfs/dbraw/zinc/16/64/25/202166425.db2.gz QGBZUXDBPJWBGH-DOMZBBRYSA-N 0 3 248.370 2.631 20 0 BFADHN c1n[nH]nc1CN1CCCC2(C1)CCCCCC2 ZINC000450443137 202168413 /nfs/dbraw/zinc/16/84/13/202168413.db2.gz ZPTBPVJIXCKDEE-UHFFFAOYSA-N 0 3 248.374 2.741 20 0 BFADHN c1[nH]nnc1CN1CCCC2(C1)CCCCCC2 ZINC000450443137 202168415 /nfs/dbraw/zinc/16/84/15/202168415.db2.gz ZPTBPVJIXCKDEE-UHFFFAOYSA-N 0 3 248.374 2.741 20 0 BFADHN CCc1cc(N2CCC[C@](CC)(CO)C2)ccn1 ZINC000450453436 202169228 /nfs/dbraw/zinc/16/92/28/202169228.db2.gz PHSWBIOCGBANOI-HNNXBMFYSA-N 0 3 248.370 2.633 20 0 BFADHN CCCCN(CCCC)Cc1cnn(C)c1 ZINC000070926887 325045181 /nfs/dbraw/zinc/04/51/81/325045181.db2.gz ZEVSTSBCZNLGEE-UHFFFAOYSA-N 0 3 223.364 2.822 20 0 BFADHN c1cc(CNC[C@@H]2C[C@@H]2c2ccccc2)on1 ZINC000578193405 366378947 /nfs/dbraw/zinc/37/89/47/366378947.db2.gz WCSQRWDDKHHIIZ-GXTWGEPZSA-N 0 3 228.295 2.568 20 0 BFADHN CCc1cc(N(C)CC[C@@H]2CCCO2)ccn1 ZINC000450469020 202174280 /nfs/dbraw/zinc/17/42/80/202174280.db2.gz OHCJDUXEVKAKFB-AWEZNQCLSA-N 0 3 234.343 2.649 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CCCS1 ZINC000130427893 325050743 /nfs/dbraw/zinc/05/07/43/325050743.db2.gz LRXQQGZSSWLSGR-JTQLQIEISA-N 0 3 211.330 2.573 20 0 BFADHN CCc1cc(N2CCS[C@H](C)CC2)ccn1 ZINC000450490716 202185007 /nfs/dbraw/zinc/18/50/07/202185007.db2.gz UOUFECVXSTZXHY-LLVKDONJSA-N 0 3 236.384 2.976 20 0 BFADHN C1=C(CN2CCC[C@H]3COCC[C@H]32)CCCC1 ZINC000450482108 202179029 /nfs/dbraw/zinc/17/90/29/202179029.db2.gz GAJCJRLCKBIUKR-LSDHHAIUSA-N 0 3 235.371 2.988 20 0 BFADHN C1=C(CN2CCC[C@@H]3COCC[C@@H]32)CCCC1 ZINC000450482105 202180904 /nfs/dbraw/zinc/18/09/04/202180904.db2.gz GAJCJRLCKBIUKR-CABCVRRESA-N 0 3 235.371 2.988 20 0 BFADHN Cc1ccccc1CN1CCO[C@H]2CCC[C@@H]21 ZINC000054345173 363569162 /nfs/dbraw/zinc/56/91/62/363569162.db2.gz FQAATYIDIXHPEE-GJZGRUSLSA-N 0 3 231.339 2.748 20 0 BFADHN CCO[C@@H]1CCCN(Cc2ccccc2OC)C1 ZINC000155466716 538716348 /nfs/dbraw/zinc/71/63/48/538716348.db2.gz FLZVELUPCFPFBG-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CCO[C@@H]1CCCN(Cc2occc2C)C1 ZINC000155450583 538717674 /nfs/dbraw/zinc/71/76/74/538717674.db2.gz CHVNKJYUSHJERO-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN CCO[C@H]1CCCN(Cc2ccsc2)C1 ZINC000155482313 538719604 /nfs/dbraw/zinc/71/96/04/538719604.db2.gz IXIQLDMVCASFES-LBPRGKRZSA-N 0 3 225.357 2.749 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@@H](C)[C@H](C)OC ZINC000359315375 356888290 /nfs/dbraw/zinc/88/82/90/356888290.db2.gz SRLZACHUVJPGMB-SRVKXCTJSA-N 0 3 236.359 2.718 20 0 BFADHN C/C(=C/c1ccccc1)CNCc1cncn1C ZINC000352480838 538723427 /nfs/dbraw/zinc/72/34/27/538723427.db2.gz LZNXUGDMPZWMPO-JYRVWZFOSA-N 0 3 241.338 2.613 20 0 BFADHN CCN(Cc1ccc(C)c(F)c1)C[C@H](C)OC ZINC000361017335 356915009 /nfs/dbraw/zinc/91/50/09/356915009.db2.gz MIVWHKZURXUTAE-LBPRGKRZSA-N 0 3 239.334 2.991 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2ncc[nH]2)c(C)c1 ZINC000353501366 538746904 /nfs/dbraw/zinc/74/69/04/538746904.db2.gz ZIKZDWOLSJNRDK-ZDUSSCGKSA-N 0 3 243.354 2.920 20 0 BFADHN CCN(Cc1ccc(C)c(F)c1)[C@@H]1CCOC1 ZINC000361238285 356921000 /nfs/dbraw/zinc/92/10/00/356921000.db2.gz STOREWWUKUQNQQ-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN C[C@@H](NC[C@H]1CCc2ccccc21)c1ncc[nH]1 ZINC000353521351 538748479 /nfs/dbraw/zinc/74/84/79/538748479.db2.gz RFWZFORASRUMJQ-DGCLKSJQSA-N 0 3 241.338 2.790 20 0 BFADHN CCN(Cc1ccnc(OC)c1)CC1CCC1 ZINC000361485182 356930737 /nfs/dbraw/zinc/93/07/37/356930737.db2.gz MGLVFLLYWQLAKF-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CC(C)[C@H]1CC[C@H]1NCc1cn2ccsc2n1 ZINC000353391888 538739025 /nfs/dbraw/zinc/73/90/25/538739025.db2.gz FHXCZNZPXPUURT-VXGBXAGGSA-N 0 3 249.383 2.920 20 0 BFADHN CC(C)=CCN(C)CCc1ccccc1O ZINC000543249993 325078626 /nfs/dbraw/zinc/07/86/26/325078626.db2.gz SZKPZEUGCBTYTE-UHFFFAOYSA-N 0 3 219.328 2.833 20 0 BFADHN C[C@H](NCCc1cccc(Cl)c1)c1ncc[nH]1 ZINC000353436223 538742630 /nfs/dbraw/zinc/74/26/30/538742630.db2.gz ORVSRSSCFGEZGF-JTQLQIEISA-N 0 3 249.745 2.956 20 0 BFADHN CC1(C)CCN(CCOc2ccc(F)cc2)C1 ZINC000362410183 356945340 /nfs/dbraw/zinc/94/53/40/356945340.db2.gz LMUQWEAHHZVBSV-UHFFFAOYSA-N 0 3 237.318 2.936 20 0 BFADHN CCN(Cc1nnc(C)o1)[C@H]1CCCC[C@@H]1C ZINC000120127079 357036698 /nfs/dbraw/zinc/03/66/98/357036698.db2.gz OYVVJOUFMLBSGE-JQWIXIFHSA-N 0 3 237.347 2.779 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1ncccn1 ZINC000582312926 357052613 /nfs/dbraw/zinc/05/26/13/357052613.db2.gz PYUNKLZHUXCDIJ-RYUDHWBXSA-N 0 3 221.348 2.781 20 0 BFADHN Clc1ccc(CNC2(C3CC3)CC2)nc1 ZINC000389862328 357054693 /nfs/dbraw/zinc/05/46/93/357054693.db2.gz FDSCYGKHWXYISY-UHFFFAOYSA-N 0 3 222.719 2.767 20 0 BFADHN COc1ccc(CN(C)C)cc1NC[C@@H]1C[C@H]1C ZINC000582336482 357075320 /nfs/dbraw/zinc/07/53/20/357075320.db2.gz SXSJXFKSLPGRRT-YPMHNXCESA-N 0 3 248.370 2.825 20 0 BFADHN COc1cc(C)cc(CNCC2(F)CC2)c1 ZINC000390403504 357097000 /nfs/dbraw/zinc/09/70/00/357097000.db2.gz APXDRCNIGOYHAV-UHFFFAOYSA-N 0 3 223.291 2.595 20 0 BFADHN Cc1nocc1CN1CCc2ccccc2CC1 ZINC000582436109 357155340 /nfs/dbraw/zinc/15/53/40/357155340.db2.gz GUZOWPRKXNURKV-UHFFFAOYSA-N 0 3 242.322 2.584 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]C2(C3CC3)CC2)c1 ZINC000390813924 357117328 /nfs/dbraw/zinc/11/73/28/357117328.db2.gz FKLVSZAOJOXACQ-UHFFFAOYSA-N 0 3 221.275 2.564 20 0 BFADHN c1[nH]nc(-c2ccccc2)c1CN1C[C@@H]2C[C@@H]2C1 ZINC000628407527 357205328 /nfs/dbraw/zinc/20/53/28/357205328.db2.gz IEDYMIAITUAPJQ-BETUJISGSA-N 0 3 239.322 2.528 20 0 BFADHN Clc1ccccc1CN1C[C@@H]2C[C@@H]2C1 ZINC000628407703 357205454 /nfs/dbraw/zinc/20/54/54/357205454.db2.gz LNAYUWXJONNDDH-PHIMTYICSA-N 0 3 207.704 2.792 20 0 BFADHN C[C@H](N[C@H]1CCCC12CC2)c1cc2n(n1)CCC2 ZINC000631663061 357226086 /nfs/dbraw/zinc/22/60/86/357226086.db2.gz IDXBZFFYDQNUAJ-FZMZJTMJSA-N 0 3 245.370 2.813 20 0 BFADHN CCO[C@H]1C[C@H](NCC(C)(C)F)C1(C)C ZINC000631658879 357177790 /nfs/dbraw/zinc/17/77/90/357177790.db2.gz ODSOMBZJAKTWPT-UWVGGRQHSA-N 0 3 217.328 2.528 20 0 BFADHN CC[C@@H](N[C@@H](CO)C(C)C)c1ccccc1F ZINC000122558016 357181993 /nfs/dbraw/zinc/18/19/93/357181993.db2.gz IJHDQHQHWBGJEN-KGLIPLIRSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)[nH]c1C ZINC000583704420 357284577 /nfs/dbraw/zinc/28/45/77/357284577.db2.gz GKGGICYHMCXBKM-GIPNMCIBSA-N 0 3 235.375 2.941 20 0 BFADHN CO[C@H](CNCc1cc(C)sc1C)C1CC1 ZINC000393484843 357284617 /nfs/dbraw/zinc/28/46/17/357284617.db2.gz WRSFEKSCMXUJFO-CYBMUJFWSA-N 0 3 239.384 2.880 20 0 BFADHN Cc1nc(CNC2(C3CC3)CC2)sc1C ZINC000393625411 357290765 /nfs/dbraw/zinc/29/07/65/357290765.db2.gz ADMJIQXYOPIWGJ-UHFFFAOYSA-N 0 3 222.357 2.792 20 0 BFADHN CC[C@@H](C)N[C@H](C)c1cn2ccccc2n1 ZINC000393744793 357295269 /nfs/dbraw/zinc/29/52/69/357295269.db2.gz IJONHPBBLWRAOL-GHMZBOCLSA-N 0 3 217.316 2.783 20 0 BFADHN CCc1cc(CN[C@H]2CC[C@@H]2C2CC2)on1 ZINC000393743999 357295759 /nfs/dbraw/zinc/29/57/59/357295759.db2.gz DYUABYRSOPDLRX-OLZOCXBDSA-N 0 3 220.316 2.515 20 0 BFADHN FC1(F)CCCN(Cc2ccco2)CC1 ZINC000628421863 357243754 /nfs/dbraw/zinc/24/37/54/357243754.db2.gz CGVABZMQEMFJNO-UHFFFAOYSA-N 0 3 215.243 2.901 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN1CC2(C1)CCCO2 ZINC000628427118 357256189 /nfs/dbraw/zinc/25/61/89/357256189.db2.gz HNRJFTVOVBLGAG-QWHCGFSZSA-N 0 3 223.360 2.678 20 0 BFADHN Cc1cc(CN[C@H]2CCCC23CCC3)no1 ZINC000394718408 357369775 /nfs/dbraw/zinc/36/97/75/357369775.db2.gz DBIMSWACQYUECT-LBPRGKRZSA-N 0 3 220.316 2.795 20 0 BFADHN Cn1cc(CN[C@@H]2[C@@H]3CCC[C@@H]32)c(C(C)(C)C)n1 ZINC000582497908 357328040 /nfs/dbraw/zinc/32/80/40/357328040.db2.gz HJRPOYQAJFZJKL-CLLJXQQHSA-N 0 3 247.386 2.606 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1NCC[C@H]1CCOC1 ZINC000582813670 357402657 /nfs/dbraw/zinc/40/26/57/357402657.db2.gz QTWUPWLQGHXKGE-HUBLWGQQSA-N 0 3 247.338 2.525 20 0 BFADHN C[C@H]1CN(CC2CCSCC2)CC(C)(C)O1 ZINC000637972323 491110922 /nfs/dbraw/zinc/11/09/22/491110922.db2.gz ZKCVLEOMFVZZPV-NSHDSACASA-N 0 3 243.416 2.629 20 0 BFADHN C[C@H](NCc1cocn1)[C@H]1CC2CCC1CC2 ZINC000395177570 357415456 /nfs/dbraw/zinc/41/54/56/357415456.db2.gz JKTLLDPJZFKVCN-DLOFLVKXSA-N 0 3 234.343 2.979 20 0 BFADHN C[C@@H]1CN(C)CCN1CCCC1CCCCC1 ZINC000583172167 357500852 /nfs/dbraw/zinc/50/08/52/357500852.db2.gz WAIPRJBZVDLBIS-CQSZACIVSA-N 0 3 238.419 2.983 20 0 BFADHN COc1ccncc1CN[C@@H]1CCCC12CC2 ZINC000583035307 357465830 /nfs/dbraw/zinc/46/58/30/357465830.db2.gz ORYTXOQGBXIRQU-CYBMUJFWSA-N 0 3 232.327 2.513 20 0 BFADHN CC1(C)C[C@H](NCc2ccc3cc[nH]c3c2)CO1 ZINC000396545060 357481120 /nfs/dbraw/zinc/48/11/20/357481120.db2.gz UAAUIXARCHYIGI-ZDUSSCGKSA-N 0 3 244.338 2.825 20 0 BFADHN O=c1ccc(CN[C@H]2CCCc3occc32)c[nH]1 ZINC000392656386 357520553 /nfs/dbraw/zinc/52/05/53/357520553.db2.gz XXTQDUKQOGAVGD-LBPRGKRZSA-N 0 3 244.294 2.547 20 0 BFADHN C[C@@H](NC[C@H]1CC[C@@H](C)C1)c1cnccn1 ZINC000230409171 357539922 /nfs/dbraw/zinc/53/99/22/357539922.db2.gz AFPGIECQBLZBRZ-UTUOFQBUSA-N 0 3 219.332 2.563 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@H]2CCC[C@H]2C)n1 ZINC000230666962 357547319 /nfs/dbraw/zinc/54/73/19/357547319.db2.gz BDBNNIVSLXIPEQ-ZYHUDNBSSA-N 0 3 234.343 2.621 20 0 BFADHN COC1CC(N[C@@H](C)c2ccc(F)cc2F)C1 ZINC000230676107 357548979 /nfs/dbraw/zinc/54/89/79/357548979.db2.gz ILQLQRYJVLXUBR-PUSIOWJLSA-N 0 3 241.281 2.793 20 0 BFADHN Cc1ccc([C@@H](C)NCC[C@@H]2CCOC2)o1 ZINC000231708019 357622581 /nfs/dbraw/zinc/62/25/81/357622581.db2.gz NRQPYFBUUVHEGA-VXGBXAGGSA-N 0 3 223.316 2.665 20 0 BFADHN Cc1cccc(CCNCc2cncc(F)c2)c1 ZINC000230939909 357582080 /nfs/dbraw/zinc/58/20/80/357582080.db2.gz MPMHFOUBHUAMPM-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN CCSCC[C@@H](C)NCc1cncc(F)c1 ZINC000230941367 357583321 /nfs/dbraw/zinc/58/33/21/357583321.db2.gz OTQZZTQIANTWQS-SNVBAGLBSA-N 0 3 242.363 2.842 20 0 BFADHN C[C@H](N[C@@H](CO)Cc1ccccc1)c1ccco1 ZINC000063218277 357594650 /nfs/dbraw/zinc/59/46/50/357594650.db2.gz XSDAYEXHMDQKTO-GXTWGEPZSA-N 0 3 245.322 2.534 20 0 BFADHN Oc1ccc2c(c1)CN([C@@H]1C=CCCC1)CC2 ZINC000583231013 357652763 /nfs/dbraw/zinc/65/27/63/357652763.db2.gz GPJFIUBYQPVNQQ-CQSZACIVSA-N 0 3 229.323 2.859 20 0 BFADHN CC[C@H](COC)N[C@@H]1CCOc2c(C)cccc21 ZINC000189376992 357635256 /nfs/dbraw/zinc/63/52/56/357635256.db2.gz PSSOUSPDYSLRHX-TZMCWYRMSA-N 0 3 249.354 2.833 20 0 BFADHN CC[C@@H](C)C(=O)N(CCN(C)C)c1ccccc1 ZINC000063829307 357718173 /nfs/dbraw/zinc/71/81/73/357718173.db2.gz OGZYWTOPQZHJFM-CYBMUJFWSA-N 0 3 248.370 2.627 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@@H]1CCC[C@@H](C)CC1 ZINC000232991254 357688813 /nfs/dbraw/zinc/68/88/13/357688813.db2.gz SWMLQAZYGGMZEV-UPJWGTAASA-N 0 3 240.391 2.589 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@H]1CCC[C@@H](C)CC1 ZINC000232992989 357688816 /nfs/dbraw/zinc/68/88/16/357688816.db2.gz SWMLQAZYGGMZEV-AGIUHOORSA-N 0 3 240.391 2.589 20 0 BFADHN CCC(=O)CCN1CCC[C@@H]1c1cccc(C)n1 ZINC000190282289 357699218 /nfs/dbraw/zinc/69/92/18/357699218.db2.gz APOZQASSPKUYSU-OAHLLOKOSA-N 0 3 246.354 2.896 20 0 BFADHN CCN(C)c1ccc(CN2CCCC[C@@H]2C)cn1 ZINC000191165235 357738809 /nfs/dbraw/zinc/73/88/09/357738809.db2.gz SDHIFMQBMAPRKG-ZDUSSCGKSA-N 0 3 247.386 2.912 20 0 BFADHN CCN(C)c1ccc(CN2CCC(C)(C)C2)cn1 ZINC000191353256 357765922 /nfs/dbraw/zinc/76/59/22/357765922.db2.gz IWRQUGWADPKCCB-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN CCN(C)c1ccc(CN[C@@H]2C=CCCC2)cn1 ZINC000191315345 357765971 /nfs/dbraw/zinc/76/59/71/357765971.db2.gz QHHSQYUGXWKKAR-CQSZACIVSA-N 0 3 245.370 2.736 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000583274724 357797279 /nfs/dbraw/zinc/79/72/79/357797279.db2.gz NCCWGYYBMLIECH-AGGWBTHJSA-N 0 3 231.339 2.892 20 0 BFADHN CC(C)CN(Cc1ncccn1)CC(C)C ZINC000191929796 357805327 /nfs/dbraw/zinc/80/53/27/357805327.db2.gz YLQHWWOBBKOOBT-UHFFFAOYSA-N 0 3 221.348 2.591 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1Cc1ncccn1 ZINC000192077552 357817046 /nfs/dbraw/zinc/81/70/46/357817046.db2.gz YNKIHFRAIGZCIG-CHWSQXEVSA-N 0 3 233.359 2.877 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2cccc(F)c2F)O1 ZINC000398130138 357847912 /nfs/dbraw/zinc/84/79/12/357847912.db2.gz KINZKCLAFQRIBU-MWLCHTKSSA-N 0 3 241.281 2.622 20 0 BFADHN CC1(C)CN([C@@H]2CCCC[C@H]2O)[C@H]1c1ccco1 ZINC000639927480 357861835 /nfs/dbraw/zinc/86/18/35/357861835.db2.gz SFXHTKKRCNTEPF-BZPMIXESSA-N 0 3 249.354 2.966 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2cnccn2)CC1 ZINC000235324842 357864858 /nfs/dbraw/zinc/86/48/58/357864858.db2.gz UTKRJJRSMJWQSF-VXGBXAGGSA-N 0 3 219.332 2.535 20 0 BFADHN CC[C@@](C)(NCCOC)c1ccc(F)cc1 ZINC000639927595 357863507 /nfs/dbraw/zinc/86/35/07/357863507.db2.gz GAQDNYPCWXFKBB-CYBMUJFWSA-N 0 3 225.307 2.687 20 0 BFADHN CCCCCN1C[C@H](C)OC2(CCC2)C1 ZINC000583320381 357902252 /nfs/dbraw/zinc/90/22/52/357902252.db2.gz RKLMEWRYDIMDII-LBPRGKRZSA-N 0 3 211.349 2.820 20 0 BFADHN CCc1cccc(CN2CC[C@@H](O)C[C@H]2C)c1 ZINC000639938820 357945881 /nfs/dbraw/zinc/94/58/81/357945881.db2.gz MHVHMKUSRSISBY-IUODEOHRSA-N 0 3 233.355 2.594 20 0 BFADHN COC[C@@H](N[C@H](C)c1cncc(C)c1)C(C)C ZINC000546645790 325297911 /nfs/dbraw/zinc/29/79/11/325297911.db2.gz XWDHYJOBDSPCDG-TZMCWYRMSA-N 0 3 236.359 2.712 20 0 BFADHN Cc1ccccc1CNCc1cccnc1C ZINC000237742863 358010147 /nfs/dbraw/zinc/01/01/47/358010147.db2.gz LPQAFFMOMIKECX-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN Cc1n[nH]cc1CN(C)CCCc1ccsc1 ZINC000639971132 358019842 /nfs/dbraw/zinc/01/98/42/358019842.db2.gz NQJAKLXLWPNZTK-UHFFFAOYSA-N 0 3 249.383 2.844 20 0 BFADHN FCCC1CCN(CCOCC2CCC2)CC1 ZINC000639989838 358025497 /nfs/dbraw/zinc/02/54/97/358025497.db2.gz FOXPJGQUNJNFDE-UHFFFAOYSA-N 0 3 243.366 2.875 20 0 BFADHN CC/C=C/CCN1CCOC[C@@H]1C1CCC1 ZINC000584527921 358041437 /nfs/dbraw/zinc/04/14/37/358041437.db2.gz PCSQBOBIEONISR-RDFMZFSFSA-N 0 3 223.360 2.844 20 0 BFADHN CC[C@@H](N)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000036871125 358055687 /nfs/dbraw/zinc/05/56/87/358055687.db2.gz DCIBJNOMHZFXLR-GFCCVEGCSA-N 0 3 234.343 2.660 20 0 BFADHN COc1ccc(CN(C)C)cc1N[C@@H](C)C1CC1 ZINC000036972160 358056983 /nfs/dbraw/zinc/05/69/83/358056983.db2.gz DXCZYIOCWFWQSV-NSHDSACASA-N 0 3 248.370 2.967 20 0 BFADHN COc1ccc(CN(C)C)cc1NCC(C)C ZINC000036972062 358057008 /nfs/dbraw/zinc/05/70/08/358057008.db2.gz DFIIZLZIKHYFIM-UHFFFAOYSA-N 0 3 236.359 2.825 20 0 BFADHN CCC[C@H](C)C(=O)Nc1cccc2c1CCNC2 ZINC000037372721 358077731 /nfs/dbraw/zinc/07/77/31/358077731.db2.gz GCHHHGBBHSKXSE-NSHDSACASA-N 0 3 246.354 2.707 20 0 BFADHN Cc1ccccc1[C@@H](CO)NCc1cccs1 ZINC000038123820 358117620 /nfs/dbraw/zinc/11/76/20/358117620.db2.gz XGYHVSSFCXYHFW-CQSZACIVSA-N 0 3 247.363 2.880 20 0 BFADHN CC[C@H](C)N(C)Cc1cn2c(cccc2C)n1 ZINC000170915576 134255606 /nfs/dbraw/zinc/25/56/06/134255606.db2.gz MNCWWDTYVRGQOO-NSHDSACASA-N 0 3 231.343 2.873 20 0 BFADHN COc1ccccc1[C@@H](CO)NC1CCCCC1 ZINC000038111350 358115136 /nfs/dbraw/zinc/11/51/36/358115136.db2.gz NSIRYSPFGKCGOS-CQSZACIVSA-N 0 3 249.354 2.651 20 0 BFADHN COc1ccc2c(c1)[C@@H](NCC1(C)CC1)CCO2 ZINC000222194787 358150551 /nfs/dbraw/zinc/15/05/51/358150551.db2.gz IYNFUWMQYDPXRA-ZDUSSCGKSA-N 0 3 247.338 2.909 20 0 BFADHN CC[C@@H](NCc1ncc[nH]1)C1CCCCC1 ZINC000052336196 358156888 /nfs/dbraw/zinc/15/68/88/358156888.db2.gz MBPXOWZBCREWBR-GFCCVEGCSA-N 0 3 221.348 2.858 20 0 BFADHN CCCN(Cc1cnn(CC(F)F)c1)C(C)C ZINC000584729932 358168122 /nfs/dbraw/zinc/16/81/22/358168122.db2.gz IJCHGKVFAIMNEJ-UHFFFAOYSA-N 0 3 245.317 2.769 20 0 BFADHN C[C@H](c1cccnc1)N1CCC(C)(F)CC1 ZINC000640074440 358172763 /nfs/dbraw/zinc/17/27/63/358172763.db2.gz SQZDQXYGIRCGRB-LLVKDONJSA-N 0 3 222.307 2.967 20 0 BFADHN C[C@@H](NC[C@@H]1CC2CCC1CC2)c1ccn(C)n1 ZINC000584779768 358176277 /nfs/dbraw/zinc/17/62/77/358176277.db2.gz MYBSYSDRQWVGPX-RYSNWHEDSA-N 0 3 247.386 2.897 20 0 BFADHN CCC1(CNCc2ccc(C)cn2)CC1 ZINC000134394195 325357263 /nfs/dbraw/zinc/35/72/63/325357263.db2.gz ZMGCGHJDERVLIZ-UHFFFAOYSA-N 0 3 204.317 2.670 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1nnc(C2CC2)s1 ZINC000450680083 202231698 /nfs/dbraw/zinc/23/16/98/202231698.db2.gz LOOORDCONSWENV-IUCAKERBSA-N 0 3 239.388 2.940 20 0 BFADHN COCC[C@@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000090406805 491126102 /nfs/dbraw/zinc/12/61/02/491126102.db2.gz OEKZGWQHZOCDMC-BDAKNGLRSA-N 0 3 228.361 2.527 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cc[nH]n1 ZINC000184234951 535410203 /nfs/dbraw/zinc/41/02/03/535410203.db2.gz MWASSYRAXCMZAB-GFCCVEGCSA-N 0 3 207.321 2.564 20 0 BFADHN Fc1cccc(C2CC(NCc3cc[nH]n3)C2)c1 ZINC000041015082 358241229 /nfs/dbraw/zinc/24/12/29/358241229.db2.gz CUMORGLUMILRIL-UHFFFAOYSA-N 0 3 245.301 2.585 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H](C)[C@@H]2CCCO2)s1 ZINC000090402495 491125859 /nfs/dbraw/zinc/12/58/59/491125859.db2.gz HRVCVCQOZOKGGN-QXEWZRGKSA-N 0 3 240.372 2.670 20 0 BFADHN CCCC[C@@H]1CCC[C@@H]1NCc1cnns1 ZINC000450729161 202248666 /nfs/dbraw/zinc/24/86/66/202248666.db2.gz NZOQKIPQXRUJKM-PWSUYJOCSA-N 0 3 239.388 2.987 20 0 BFADHN Cc1ncc([C@H](C)NC[C@@H](C)C[C@@H](C)O)s1 ZINC000090417727 491126548 /nfs/dbraw/zinc/12/65/48/491126548.db2.gz UASOQVDUSBCREP-AEJSXWLSSA-N 0 3 242.388 2.509 20 0 BFADHN OC[C@@H](NCC1=CCCCC1)c1cccc(F)c1 ZINC000450710200 202242571 /nfs/dbraw/zinc/24/25/71/202242571.db2.gz MWZQUFYEWYGLDM-OAHLLOKOSA-N 0 3 249.329 2.949 20 0 BFADHN C1=C(CN2CCOCC3(CC3)C2)CCCC1 ZINC000450719422 202245319 /nfs/dbraw/zinc/24/53/19/202245319.db2.gz QOXKNHUWOCZYDL-UHFFFAOYSA-N 0 3 221.344 2.599 20 0 BFADHN C[C@@H](NCC12CCC(CC1)C2)c1ccon1 ZINC000450744636 202252973 /nfs/dbraw/zinc/25/29/73/202252973.db2.gz NMMHEWXUASNISE-XSRFYTQQSA-N 0 3 220.316 2.906 20 0 BFADHN C[C@H](NC[C@@H]1CCC(F)(F)C1)c1ccon1 ZINC000450748151 202253211 /nfs/dbraw/zinc/25/32/11/202253211.db2.gz VUKNAMGMDBJTNT-DTWKUNHWSA-N 0 3 230.258 2.761 20 0 BFADHN CCC(=O)CCCN1CCCC2(CC2)C1 ZINC000450753131 202255113 /nfs/dbraw/zinc/25/51/13/202255113.db2.gz HDGJLAYDDZXBNS-UHFFFAOYSA-N 0 3 209.333 2.622 20 0 BFADHN COC1(CNCc2ccc(Cl)cc2)CCC1 ZINC000134659149 325370819 /nfs/dbraw/zinc/37/08/19/325370819.db2.gz WZYATIQKOBRNBW-UHFFFAOYSA-N 0 3 239.746 2.999 20 0 BFADHN CC(C)[C@H]1CCCC[C@@H]1NCc1ccno1 ZINC000227016013 358347218 /nfs/dbraw/zinc/34/72/18/358347218.db2.gz RUTKPXHWQDOYFQ-OLZOCXBDSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1ncccc1CN[C@@H]1CC(C)(C)OC1(C)C ZINC000180369620 366436083 /nfs/dbraw/zinc/43/60/83/366436083.db2.gz DPEHVLIWDGGXBL-CYBMUJFWSA-N 0 3 248.370 2.826 20 0 BFADHN Cc1ncccc1CN[C@H]1CC(C)(C)OC1(C)C ZINC000180369638 366436121 /nfs/dbraw/zinc/43/61/21/366436121.db2.gz DPEHVLIWDGGXBL-ZDUSSCGKSA-N 0 3 248.370 2.826 20 0 BFADHN COC1CC(NCc2ccc([C@@H]3C[C@@H]3C)o2)C1 ZINC000230688818 358409284 /nfs/dbraw/zinc/40/92/84/358409284.db2.gz CZQMRUZYJRTRHR-WIUHASLOSA-N 0 3 235.327 2.670 20 0 BFADHN CCOC[C@H](C)NCc1cc(C)sc1C ZINC000044692069 358392554 /nfs/dbraw/zinc/39/25/54/358392554.db2.gz NDADNXNJEVPGTL-VIFPVBQESA-N 0 3 227.373 2.880 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1ccno1 ZINC000230972986 358413882 /nfs/dbraw/zinc/41/38/82/358413882.db2.gz JAHQWTXAGQWAGL-PWSUYJOCSA-N 0 3 222.332 2.979 20 0 BFADHN CSCCN1CCOc2ccccc2[C@H]1C ZINC000610976847 358419654 /nfs/dbraw/zinc/41/96/54/358419654.db2.gz ZNNQJPMJISABGX-LLVKDONJSA-N 0 3 237.368 2.805 20 0 BFADHN CCN(Cc1cnc2c(C)cccn12)CC1CC1 ZINC000125657945 358543099 /nfs/dbraw/zinc/54/30/99/358543099.db2.gz ITJFGUPVEHOLHN-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CC(C)(C)n1cc(CN[C@H]2CC2(C)C)cn1 ZINC000044370336 358548282 /nfs/dbraw/zinc/54/82/82/358548282.db2.gz DVVUPTWSLHQFLJ-NSHDSACASA-N 0 3 221.348 2.526 20 0 BFADHN CC[C@H](NCCn1cccn1)c1cccs1 ZINC000042539128 358525777 /nfs/dbraw/zinc/52/57/77/358525777.db2.gz BGRHIGLVOHSKQF-NSHDSACASA-N 0 3 235.356 2.686 20 0 BFADHN CCOc1cccc(CN2CCC(C)(C)C2)n1 ZINC000124848080 358528588 /nfs/dbraw/zinc/52/85/88/358528588.db2.gz PGNVOJHYZKXNSY-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CCc1ccccc1F ZINC000547769467 325391539 /nfs/dbraw/zinc/39/15/39/325391539.db2.gz XJMDASYJLLRLCF-GHMZBOCLSA-N 0 3 207.292 2.851 20 0 BFADHN COC(=O)c1ccccc1CN1CCC[C@H](C)C1 ZINC000130084295 358606999 /nfs/dbraw/zinc/60/69/99/358606999.db2.gz VSIIRUNRVKMJJM-LBPRGKRZSA-N 0 3 247.338 2.705 20 0 BFADHN COC(=O)c1ccccc1CN1CCC[C@@H](C)C1 ZINC000130084076 358607657 /nfs/dbraw/zinc/60/76/57/358607657.db2.gz VSIIRUNRVKMJJM-GFCCVEGCSA-N 0 3 247.338 2.705 20 0 BFADHN C[C@H](CN(C)C)NCc1ccc(Cl)c(F)c1 ZINC000130143493 358610954 /nfs/dbraw/zinc/61/09/54/358610954.db2.gz XEZPIEJCOHVJGS-SECBINFHSA-N 0 3 244.741 2.519 20 0 BFADHN C[C@H](CN(C)C)N[C@H](C)c1ccccc1Cl ZINC000130198561 358612583 /nfs/dbraw/zinc/61/25/83/358612583.db2.gz OYHIEUYEPFWXMB-GHMZBOCLSA-N 0 3 240.778 2.941 20 0 BFADHN Fc1cccc(CNCCOc2ccccc2)c1 ZINC000048298227 358623768 /nfs/dbraw/zinc/62/37/68/358623768.db2.gz AVJCNAOKBTUUTD-UHFFFAOYSA-N 0 3 245.297 2.994 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cc(C(=O)OC)co1 ZINC000130433123 358625525 /nfs/dbraw/zinc/62/55/25/358625525.db2.gz ZXYYQQXASYQMCU-VHSXEESVSA-N 0 3 239.315 2.590 20 0 BFADHN CC(C)C[C@H](CO)NCc1coc2ccccc12 ZINC000130964990 358654391 /nfs/dbraw/zinc/65/43/91/358654391.db2.gz GGNSAIPBEYKIJD-CYBMUJFWSA-N 0 3 247.338 2.929 20 0 BFADHN C[C@@H](N[C@H]1CCOC1)c1cnc2ccsc2c1 ZINC000131255358 358667440 /nfs/dbraw/zinc/66/74/40/358667440.db2.gz YJKZADKEDJBMLN-KOLCDFICSA-N 0 3 248.351 2.736 20 0 BFADHN Clc1ccc2c(c1)[C@H](N[C@@H]1CCOC1)CC2 ZINC000131327795 358671389 /nfs/dbraw/zinc/67/13/89/358671389.db2.gz FJELATVDTWEGAU-DGCLKSJQSA-N 0 3 237.730 2.706 20 0 BFADHN CCSc1ccccc1[C@@H](C)NC[C@@H](C)O ZINC000131812619 358695711 /nfs/dbraw/zinc/69/57/11/358695711.db2.gz GJSCSXFAEWEWGY-GHMZBOCLSA-N 0 3 239.384 2.830 20 0 BFADHN COC[C@@H](C)N[C@H]1CC(C)(C)Cc2occc21 ZINC000131902705 358700612 /nfs/dbraw/zinc/70/06/12/358700612.db2.gz YPSXNLOOLFXXPU-PWSUYJOCSA-N 0 3 237.343 2.918 20 0 BFADHN CC[C@H]1CCCN1Cc1ccc(OC)c(O)c1 ZINC000132432019 358722938 /nfs/dbraw/zinc/72/29/38/358722938.db2.gz UVLREODXNVNWTP-LBPRGKRZSA-N 0 3 235.327 2.775 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cnc(N)s1 ZINC000214223190 358769285 /nfs/dbraw/zinc/76/92/85/358769285.db2.gz DOLYCIFEJDUJMO-SNVBAGLBSA-N 0 3 239.388 2.880 20 0 BFADHN C[C@H](NCCOC1CCCC1)c1cncs1 ZINC000132973221 358741278 /nfs/dbraw/zinc/74/12/78/358741278.db2.gz QVXCNPFLTGLYAG-JTQLQIEISA-N 0 3 240.372 2.753 20 0 BFADHN CC[C@H](CCO)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000134983712 358813487 /nfs/dbraw/zinc/81/34/87/358813487.db2.gz OEIPZWJVEOCKLV-DMDPSCGWSA-N 0 3 237.343 2.654 20 0 BFADHN CC[C@H](CCO)NCc1cc2ccccc2o1 ZINC000134985785 358813720 /nfs/dbraw/zinc/81/37/20/358813720.db2.gz OGYLOECHKLGMTJ-GFCCVEGCSA-N 0 3 233.311 2.683 20 0 BFADHN C[C@H](NCC1(CO)CCCC1)c1cccc(O)c1 ZINC000135067569 358818955 /nfs/dbraw/zinc/81/89/55/358818955.db2.gz XPOGNDRLKRKDJO-LBPRGKRZSA-N 0 3 249.354 2.596 20 0 BFADHN C[C@@H](NCC1(CO)CCCC1)c1cccc(O)c1 ZINC000135067590 358818963 /nfs/dbraw/zinc/81/89/63/358818963.db2.gz XPOGNDRLKRKDJO-GFCCVEGCSA-N 0 3 249.354 2.596 20 0 BFADHN CS[C@@H]1CCCCN(Cc2ccccn2)C1 ZINC000134553420 358795590 /nfs/dbraw/zinc/79/55/90/358795590.db2.gz LLOSAFVUGAEPQE-CYBMUJFWSA-N 0 3 236.384 2.799 20 0 BFADHN CC(C)=CCN1CCC[C@@H](c2nc(C)no2)C1 ZINC000052862107 358797829 /nfs/dbraw/zinc/79/78/29/358797829.db2.gz SQSOMKDYLRGNLM-GFCCVEGCSA-N 0 3 235.331 2.524 20 0 BFADHN C[C@H]1C[C@H]1CNCc1cn2cc(Cl)ccc2n1 ZINC000134604721 358798138 /nfs/dbraw/zinc/79/81/38/358798138.db2.gz WEWOWAKZZOQZNY-UWVGGRQHSA-N 0 3 249.745 2.733 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H]1C)c1nc2c(s1)CCC2 ZINC000134645632 358800287 /nfs/dbraw/zinc/80/02/87/358800287.db2.gz OFTZRWHYHKJDMK-LPEHRKFASA-N 0 3 236.384 2.938 20 0 BFADHN Cc1ccc(CN(C)CCC2CC2)nc1 ZINC000135305042 358830793 /nfs/dbraw/zinc/83/07/93/358830793.db2.gz QHYHGGKGOAJVCI-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CCCOc1cccc(CN[C@@H]2CCO[C@@H]2C)c1 ZINC000135307899 358831346 /nfs/dbraw/zinc/83/13/46/358831346.db2.gz HZFGXICDGUNZBP-IUODEOHRSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H](Cc1ccsc1)N1CCCO[C@H](C)C1 ZINC000578258624 366472926 /nfs/dbraw/zinc/47/29/26/366472926.db2.gz XMTACILIUAUWAX-NWDGAFQWSA-N 0 3 239.384 2.790 20 0 BFADHN Cc1ccncc1CNCCc1ccccc1F ZINC000135430703 358837412 /nfs/dbraw/zinc/83/74/12/358837412.db2.gz ZBPRDNFJMUZQJU-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN Cc1cnc(CN2CC[C@H](C)[C@@H]2C)s1 ZINC000180531099 366496974 /nfs/dbraw/zinc/49/69/74/366496974.db2.gz ZVWIRCLBTZAKNB-WPRPVWTQSA-N 0 3 210.346 2.682 20 0 BFADHN C1=CCC(N[C@@H]2CCCc3occc32)C1 ZINC000132606443 491137276 /nfs/dbraw/zinc/13/72/76/491137276.db2.gz NJSALKDEUIOXBC-GFCCVEGCSA-N 0 3 203.285 2.965 20 0 BFADHN C[C@]1(C2CCCCC2)CCN1Cc1cn[nH]c1 ZINC000640218251 358871538 /nfs/dbraw/zinc/87/15/38/358871538.db2.gz DSMLRCYCCNLCBB-CQSZACIVSA-N 0 3 233.359 2.954 20 0 BFADHN CSCCN1CCS[C@@H]2CCCC[C@@H]21 ZINC000176928405 134294745 /nfs/dbraw/zinc/29/47/45/134294745.db2.gz VDYLMMGKOODESV-WDEREUQCSA-N 0 3 231.430 2.709 20 0 BFADHN CCC[C@@H](C)N(C)CC(=O)N(CCC)CCC ZINC000058773612 358915276 /nfs/dbraw/zinc/91/52/76/358915276.db2.gz QFALGZFNRDWBLR-CYBMUJFWSA-N 0 3 242.407 2.755 20 0 BFADHN Cc1cc(CN[C@H](C)CC2CCC2)no1 ZINC000132682176 491137779 /nfs/dbraw/zinc/13/77/79/491137779.db2.gz XFGXMNVPRKHCGJ-SECBINFHSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@@]1(C2CCCCC2)CCN1Cc1cc[nH]n1 ZINC000640217920 358875603 /nfs/dbraw/zinc/87/56/03/358875603.db2.gz BYOGJWMNYOMOBQ-AWEZNQCLSA-N 0 3 233.359 2.954 20 0 BFADHN Cn1nccc1CN1CC[C@]1(C)C1CCCCC1 ZINC000640219033 358884729 /nfs/dbraw/zinc/88/47/29/358884729.db2.gz JMAUVQXAVDKCPT-OAHLLOKOSA-N 0 3 247.386 2.965 20 0 BFADHN Cn1cnc(CN2CC[C@@]2(C)C2CCCCC2)c1 ZINC000640219296 358887377 /nfs/dbraw/zinc/88/73/77/358887377.db2.gz LIZCBMFGYUFXFN-HNNXBMFYSA-N 0 3 247.386 2.965 20 0 BFADHN C[C@H]1C[C@@H](c2nc([C@@H]3CC34CC4)no2)CCN1C ZINC000351318842 366503174 /nfs/dbraw/zinc/50/31/74/366503174.db2.gz SBCMNXNMAGVHMZ-DCAQKATOSA-N 0 3 247.342 2.535 20 0 BFADHN Cc1noc(CN2CCC[C@@H]2CC(C)(C)C)n1 ZINC000057863299 358908104 /nfs/dbraw/zinc/90/81/04/358908104.db2.gz NYLJVFRKZVXTIK-LLVKDONJSA-N 0 3 237.347 2.779 20 0 BFADHN CC1(C)C[C@H]1NCc1cc(F)cc(F)c1 ZINC000162827143 358959835 /nfs/dbraw/zinc/95/98/35/358959835.db2.gz JQQVESZPBPNRAL-LLVKDONJSA-N 0 3 211.255 2.853 20 0 BFADHN CN(Cc1ccsc1)C[C@H](O)C(C)(C)C ZINC000308830284 491138374 /nfs/dbraw/zinc/13/83/74/491138374.db2.gz SZPUCECEGLMLBW-NSHDSACASA-N 0 3 227.373 2.587 20 0 BFADHN CC[C@@H](O)CNCc1ccccc1C(F)(F)F ZINC000061626616 358935475 /nfs/dbraw/zinc/93/54/75/358935475.db2.gz YACYGBXOCUVPLZ-SNVBAGLBSA-N 0 3 247.260 2.566 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cnc(C2CC2)s1 ZINC000309626871 358949382 /nfs/dbraw/zinc/94/93/82/358949382.db2.gz GQTDVKVYBVVBHV-RKDXNWHRSA-N 0 3 240.372 2.534 20 0 BFADHN CCOCCN1CCC[C@H]1c1ccccc1OC ZINC000069610780 359030899 /nfs/dbraw/zinc/03/08/99/359030899.db2.gz XVPSYRNCLWRXFN-AWEZNQCLSA-N 0 3 249.354 2.869 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccccc2F)CCO1 ZINC000070344788 359049614 /nfs/dbraw/zinc/04/96/14/359049614.db2.gz RYIJJLWVFXJIEW-CHWSQXEVSA-N 0 3 237.318 2.873 20 0 BFADHN Cc1nc(CN[C@@H](C)C2CCCC2)oc1C ZINC000069804713 359034101 /nfs/dbraw/zinc/03/41/01/359034101.db2.gz FXFXWZOXZSEBHP-JTQLQIEISA-N 0 3 222.332 2.960 20 0 BFADHN C[C@@H]1CN(Cc2cccc(N)c2)C[C@H](C)S1 ZINC000069908084 359036025 /nfs/dbraw/zinc/03/60/25/359036025.db2.gz PEZKQWOYWQLZCN-PHIMTYICSA-N 0 3 236.384 2.595 20 0 BFADHN C[C@@H](NCC1(C)CCCC1)c1nccn1C ZINC000070008444 359037857 /nfs/dbraw/zinc/03/78/57/359037857.db2.gz UXOWNOJQDLYTFW-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN Cn1ccnc1CN[C@@H]1CC[C@H]2CCCC[C@@H]2C1 ZINC000071138699 359072219 /nfs/dbraw/zinc/07/22/19/359072219.db2.gz KLEXBUUJUWAVIU-MGPQQGTHSA-N 0 3 247.386 2.869 20 0 BFADHN CCN(C)Cc1ccc(OC)c(OC(F)F)c1 ZINC000073618088 359104857 /nfs/dbraw/zinc/10/48/57/359104857.db2.gz WXEWMUKATRNOOC-UHFFFAOYSA-N 0 3 245.269 2.748 20 0 BFADHN C[C@H](CCc1ccco1)N[C@H](C)c1nccn1C ZINC000070443657 359053978 /nfs/dbraw/zinc/05/39/78/359053978.db2.gz YVQGXWVCHRPOOL-VXGBXAGGSA-N 0 3 247.342 2.685 20 0 BFADHN C[C@H](CCc1ccco1)N[C@@H](C)c1nccn1C ZINC000070443655 359054134 /nfs/dbraw/zinc/05/41/34/359054134.db2.gz YVQGXWVCHRPOOL-NEPJUHHUSA-N 0 3 247.342 2.685 20 0 BFADHN C[C@@H](NCCCc1ccccc1)c1nccn1C ZINC000070443521 359054604 /nfs/dbraw/zinc/05/46/04/359054604.db2.gz HQXOKEZQBOJYMI-CYBMUJFWSA-N 0 3 243.354 2.704 20 0 BFADHN C[C@H](CN[C@@H](C)c1nccn1C)c1ccccc1 ZINC000070443625 359054823 /nfs/dbraw/zinc/05/48/23/359054823.db2.gz QYMONVUUPPOYIR-OLZOCXBDSA-N 0 3 243.354 2.874 20 0 BFADHN FC1(F)CC[C@@H](CNCc2nccs2)C1 ZINC000308876343 491139354 /nfs/dbraw/zinc/13/93/54/491139354.db2.gz NPRGFSBGCFLUOG-MRVPVSSYSA-N 0 3 232.299 2.668 20 0 BFADHN C[C@@H]1CCCN(Cc2ccccn2)[C@H]1C ZINC000247047570 359190089 /nfs/dbraw/zinc/19/00/89/359190089.db2.gz VQMWHLDWAGQMLU-NEPJUHHUSA-N 0 3 204.317 2.702 20 0 BFADHN Fc1cccc(CN2CC[C@@H]3OCCC[C@H]3C2)c1 ZINC000246636547 359153175 /nfs/dbraw/zinc/15/31/75/359153175.db2.gz KOQWMPRLIXMVEL-ZFWWWQNUSA-N 0 3 249.329 2.827 20 0 BFADHN OC1(CCNCc2cscc2Cl)CCC1 ZINC000308861462 491139292 /nfs/dbraw/zinc/13/92/92/491139292.db2.gz BNDUOMQLTQHZSY-UHFFFAOYSA-N 0 3 245.775 2.796 20 0 BFADHN Cc1ccc(CCN2CCO[C@@H](C)[C@H]2C)cc1 ZINC000246915835 359174521 /nfs/dbraw/zinc/17/45/21/359174521.db2.gz MTYYYVACIZBNJP-KGLIPLIRSA-N 0 3 233.355 2.647 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccs1)[C@@H]1C[C@H]1C ZINC000321971089 259483176 /nfs/dbraw/zinc/48/31/76/259483176.db2.gz ZZQRDIAHXGTMBU-DOLQZWNJSA-N 0 3 210.346 2.838 20 0 BFADHN CC[C@H](C)CN(C)[C@H](C)C(=O)NCCC(C)C ZINC000247726445 359242158 /nfs/dbraw/zinc/24/21/58/359242158.db2.gz SRYPVOOMZRGIMT-QWHCGFSZSA-N 0 3 242.407 2.515 20 0 BFADHN COC(=O)c1cccc(CN2CCC[C@@H](C)C2)c1 ZINC000247817374 359245942 /nfs/dbraw/zinc/24/59/42/359245942.db2.gz GOGAMISQENILOS-GFCCVEGCSA-N 0 3 247.338 2.705 20 0 BFADHN C[C@H]1CCN(Cc2ccco2)C[C@H]1n1ccnc1 ZINC000247269817 359207784 /nfs/dbraw/zinc/20/77/84/359207784.db2.gz YBAFJDQYUXMOON-GXTWGEPZSA-N 0 3 245.326 2.559 20 0 BFADHN COc1cccc([C@@H](C)N2CCCO[C@@H](C)C2)c1 ZINC000247336928 359213360 /nfs/dbraw/zinc/21/33/60/359213360.db2.gz ZLRGRKNOEVHFGX-QWHCGFSZSA-N 0 3 249.354 2.867 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@@]2(CCOC2)C1 ZINC000247403725 359219198 /nfs/dbraw/zinc/21/91/98/359219198.db2.gz RHAIBADWEJUXOD-IUODEOHRSA-N 0 3 249.329 2.999 20 0 BFADHN Cn1ccc(CN2CCCC3(CC3)CC2)c1 ZINC000628480384 359317696 /nfs/dbraw/zinc/31/76/96/359317696.db2.gz WXNNTXNXTFAHCH-UHFFFAOYSA-N 0 3 218.344 2.791 20 0 BFADHN Cn1cccc1CN1CCCC2(CC2)CC1 ZINC000628480386 359317767 /nfs/dbraw/zinc/31/77/67/359317767.db2.gz XCLXRMPNYLWCKR-UHFFFAOYSA-N 0 3 218.344 2.791 20 0 BFADHN Cc1nccnc1CN1CCCC2(CC2)CC1 ZINC000628480335 359318210 /nfs/dbraw/zinc/31/82/10/359318210.db2.gz RGYNVOXSSHPVEC-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN COC[C@H](C)CN1CC(Cc2cccs2)C1 ZINC000628478693 359313598 /nfs/dbraw/zinc/31/35/98/359313598.db2.gz MHBLJZKUYPXCEK-LLVKDONJSA-N 0 3 239.384 2.505 20 0 BFADHN COc1ccccc1OCCN1CCC(C)(C)C1 ZINC000076014047 359269113 /nfs/dbraw/zinc/26/91/13/359269113.db2.gz BMQUQBGAWZCSNO-UHFFFAOYSA-N 0 3 249.354 2.806 20 0 BFADHN C[C@@H](c1ccccc1Cl)N1CC[C@](C)(O)C1 ZINC000248358148 359270279 /nfs/dbraw/zinc/27/02/79/359270279.db2.gz QKHNWQJHRKROHS-GWCFXTLKSA-N 0 3 239.746 2.858 20 0 BFADHN Oc1ccc([C@H]2CCN(Cc3ccco3)C2)cc1 ZINC000628480426 359328904 /nfs/dbraw/zinc/32/89/04/359328904.db2.gz AWYUSUSNRUSVKC-ZDUSSCGKSA-N 0 3 243.306 2.975 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(C#N)cc2F)[C@@H]1C ZINC000385694053 359419976 /nfs/dbraw/zinc/41/99/76/359419976.db2.gz SLKPZUJIZPOKCP-RULNRJAQSA-N 0 3 232.302 2.831 20 0 BFADHN OC[C@H](CC1CC1)NCc1cscc1Cl ZINC000308947173 491140925 /nfs/dbraw/zinc/14/09/25/491140925.db2.gz SPIYTXPMPCOSPK-JTQLQIEISA-N 0 3 245.775 2.652 20 0 BFADHN COc1cccc(CN2[C@H](C)C[C@H]2C)c1 ZINC000548754661 325478978 /nfs/dbraw/zinc/47/89/78/325478978.db2.gz FNGBPZNIUUPZIY-GHMZBOCLSA-N 0 3 205.301 2.678 20 0 BFADHN CCOC(=O)CC1CCN(CC=C(C)C)CC1 ZINC000130334883 359584870 /nfs/dbraw/zinc/58/48/70/359584870.db2.gz RYHOFRXQLLFAGG-UHFFFAOYSA-N 0 3 239.359 2.618 20 0 BFADHN Cc1csc([C@H](C)NCC[C@@H](C)CCO)n1 ZINC000623990582 359667574 /nfs/dbraw/zinc/66/75/74/359667574.db2.gz GXPPBJGKIIXHGB-KOLCDFICSA-N 0 3 242.388 2.511 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2c(C)cnn2C)C1 ZINC000628495400 359697203 /nfs/dbraw/zinc/69/72/03/359697203.db2.gz PVOKTPKSDNFIKI-ZRUQZJFASA-N 0 3 247.386 2.907 20 0 BFADHN CCN(Cc1cnns1)[C@@H]1CCCC[C@H]1C ZINC000450813168 202273780 /nfs/dbraw/zinc/27/37/80/202273780.db2.gz VCFMCIPENQJDMO-ZYHUDNBSSA-N 0 3 239.388 2.939 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1cocn1 ZINC000309067155 491143916 /nfs/dbraw/zinc/14/39/16/491143916.db2.gz ACIIWXBEQPEWAK-QWRGUYRKSA-N 0 3 210.321 2.979 20 0 BFADHN CC[C@@]1(C)CN(C[C@H]2CCC(F)(F)C2)CCO1 ZINC000450824299 202278704 /nfs/dbraw/zinc/27/87/04/202278704.db2.gz DOJJOVIMLYEZNF-RYUDHWBXSA-N 0 3 247.329 2.923 20 0 BFADHN CCC[C@@H](O)CN(C)Cc1ccc(F)cc1C ZINC000450851921 202288579 /nfs/dbraw/zinc/28/85/79/202288579.db2.gz YOEBBYZKXWIYEQ-CQSZACIVSA-N 0 3 239.334 2.727 20 0 BFADHN Cc1ccc(F)c(CSCCN(C)C)c1 ZINC000450876477 202296464 /nfs/dbraw/zinc/29/64/64/202296464.db2.gz WPEQEFQTUONFSF-UHFFFAOYSA-N 0 3 227.348 2.929 20 0 BFADHN C[C@H](NCCC(C)(F)F)c1ccncc1F ZINC000450939707 202314839 /nfs/dbraw/zinc/31/48/39/202314839.db2.gz QOFYBCAZFFDNEZ-QMMMGPOBSA-N 0 3 232.249 2.917 20 0 BFADHN CC/C=C/CCN[C@@](C)(CO)c1cccc(O)c1 ZINC000451045619 202335315 /nfs/dbraw/zinc/33/53/15/202335315.db2.gz YEPQQLGMGSUYHY-RGDDUWESSA-N 0 3 249.354 2.546 20 0 BFADHN CCc1cccc(CN[C@]2(C)CCOC2)c1 ZINC000578446752 366570638 /nfs/dbraw/zinc/57/06/38/366570638.db2.gz DGBRNAAABMHUBD-CQSZACIVSA-N 0 3 219.328 2.518 20 0 BFADHN CCN(Cc1c(C)cccc1Cl)C[C@H](C)O ZINC000450977030 202325493 /nfs/dbraw/zinc/32/54/93/202325493.db2.gz GHMQEEFOMXEEHZ-NSHDSACASA-N 0 3 241.762 2.851 20 0 BFADHN CC[C@@H]1CN([C@H](C)CC(C)C)C[C@H](C)[S@]1=O ZINC000450977778 202326122 /nfs/dbraw/zinc/32/61/22/202326122.db2.gz BGGSQZYNMPHDRF-OQMKEHIESA-N 0 3 245.432 2.652 20 0 BFADHN CC(C)SCCN1CCC[C@](C)(F)C1 ZINC000451110094 202355011 /nfs/dbraw/zinc/35/50/11/202355011.db2.gz CBOBTZVTIKTCNE-NSHDSACASA-N 0 3 219.369 2.952 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@@H]1C[C@H](C)O[C@H]1C ZINC000451153613 202365126 /nfs/dbraw/zinc/36/51/26/202365126.db2.gz HWOLVWGNODZLJA-JUFZMCDQSA-N 0 3 248.370 2.861 20 0 BFADHN COCCSCCCN1CCC[C@@](C)(F)C1 ZINC000451110019 202355619 /nfs/dbraw/zinc/35/56/19/202355619.db2.gz BJOCQSCFVYGHGW-GFCCVEGCSA-N 0 3 249.395 2.580 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCC[C@@H]2C)o1 ZINC000309133626 491145441 /nfs/dbraw/zinc/14/54/41/491145441.db2.gz RMXAOJXCFWDHNK-KWQFWETISA-N 0 3 208.305 2.570 20 0 BFADHN COc1cccc(CCCN2CC[C@@H](F)C2)c1 ZINC000451194718 202376741 /nfs/dbraw/zinc/37/67/41/202376741.db2.gz WOSJFBLFZHNOLZ-CYBMUJFWSA-N 0 3 237.318 2.672 20 0 BFADHN COC[C@]1(C)CCN(Cc2ccc(C)nc2C)C1 ZINC000451202421 202377617 /nfs/dbraw/zinc/37/76/17/202377617.db2.gz MYUSLFSYQKIFHL-OAHLLOKOSA-N 0 3 248.370 2.557 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1ccc(F)c(F)c1 ZINC000087012241 359893300 /nfs/dbraw/zinc/89/33/00/359893300.db2.gz RYOMSTNWNHGRHU-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN CCC1(NCc2cn(CC3CC3)nn2)CCCC1 ZINC000451282316 202395052 /nfs/dbraw/zinc/39/50/52/202395052.db2.gz DJZSVGNWWYYEBJ-UHFFFAOYSA-N 0 3 248.374 2.501 20 0 BFADHN Cc1nnc(CN2CCC[C@]2(C)CC(C)C)[nH]1 ZINC000451294850 202397293 /nfs/dbraw/zinc/39/72/93/202397293.db2.gz YKWJRMMTFFMHNT-CYBMUJFWSA-N 0 3 236.363 2.514 20 0 BFADHN CCN(CC/C=C\c1ccccc1)C1COC1 ZINC000451295198 202398034 /nfs/dbraw/zinc/39/80/34/202398034.db2.gz YSNJOULNOYUXOB-POHAHGRESA-N 0 3 231.339 2.811 20 0 BFADHN C[C@H](NC[C@@H]1CCCS1)c1cccc(O)c1 ZINC000087668183 359923513 /nfs/dbraw/zinc/92/35/13/359923513.db2.gz WNVYRJVZBIUTAQ-GWCFXTLKSA-N 0 3 237.368 2.938 20 0 BFADHN CC[C@H](C)N(C)Cc1ccc2c(n1)CCC2 ZINC000578614942 366607400 /nfs/dbraw/zinc/60/74/00/366607400.db2.gz VDEFEEYUAHXCPG-NSHDSACASA-N 0 3 218.344 2.801 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1O)c1ccccc1Cl ZINC000088162610 359939426 /nfs/dbraw/zinc/93/94/26/359939426.db2.gz JZNPTADGDVRKEG-ZWKOPEQDSA-N 0 3 239.746 2.904 20 0 BFADHN CC[C@H](C)CN1CCc2ccc(O)cc2C1 ZINC000088525082 359965810 /nfs/dbraw/zinc/96/58/10/359965810.db2.gz OUUURTPPFGIKRL-NSHDSACASA-N 0 3 219.328 2.796 20 0 BFADHN CCN1CCN(CC[C@@H]2CCC[C@H](C)C2)CC1 ZINC000089100048 359989786 /nfs/dbraw/zinc/98/97/86/359989786.db2.gz HVZNDZSGQIGBEC-GJZGRUSLSA-N 0 3 238.419 2.840 20 0 BFADHN CC(C)(C)CCN1CCOC[C@H]1C1CC1 ZINC000090008253 360054049 /nfs/dbraw/zinc/05/40/49/360054049.db2.gz OJUQIAHSJPFPJR-LBPRGKRZSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1ccc2c(c1)[C@@H](N[C@@H](C)C1CC1)CO2 ZINC000090452434 360073046 /nfs/dbraw/zinc/07/30/46/360073046.db2.gz QSSRKOTUHOICTF-GWCFXTLKSA-N 0 3 217.312 2.817 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCc3n[nH]cc3C2)o1 ZINC000090453937 360073364 /nfs/dbraw/zinc/07/33/64/360073364.db2.gz IYXLITFCBKKDJO-PWSUYJOCSA-N 0 3 245.326 2.519 20 0 BFADHN CC[C@H](N[C@H]1CCOC1)c1cc(C)ccc1OC ZINC000090774137 360086944 /nfs/dbraw/zinc/08/69/44/360086944.db2.gz YESFJSUAPHWNCN-JSGCOSHPSA-N 0 3 249.354 2.833 20 0 BFADHN CCc1nn(C)c(CC)c1CN(C)CCC1CC1 ZINC000092067258 360149704 /nfs/dbraw/zinc/14/97/04/360149704.db2.gz GFBRDNAEUFZFSC-UHFFFAOYSA-N 0 3 249.402 2.777 20 0 BFADHN C[C@H](NCC1C(C)(C)C1(C)C)c1nccn1C ZINC000092804759 360200433 /nfs/dbraw/zinc/20/04/33/360200433.db2.gz AZRODQJAEGBSHI-JTQLQIEISA-N 0 3 235.375 2.753 20 0 BFADHN CC[N@H+](Cc1nc(C)ccc1[O-])[C@H](C)C(C)C ZINC000092590208 360184284 /nfs/dbraw/zinc/18/42/84/360184284.db2.gz BYKIMJNAAOIXEJ-GFCCVEGCSA-N 0 3 236.359 2.962 20 0 BFADHN CC[N@@H+](Cc1nc(C)ccc1[O-])[C@H](C)C(C)C ZINC000092590208 360184286 /nfs/dbraw/zinc/18/42/86/360184286.db2.gz BYKIMJNAAOIXEJ-GFCCVEGCSA-N 0 3 236.359 2.962 20 0 BFADHN CC(C)(C)C[C@H]1CCCN1Cc1cc[nH]n1 ZINC000092644268 360187908 /nfs/dbraw/zinc/18/79/08/360187908.db2.gz ONLQPJJACKNLGH-GFCCVEGCSA-N 0 3 221.348 2.810 20 0 BFADHN c1ncc(CN2CC[C@@H]3CCCC[C@@H]32)s1 ZINC000092709571 360192724 /nfs/dbraw/zinc/19/27/24/360192724.db2.gz APEUCPSOFRECQX-JQWIXIFHSA-N 0 3 222.357 2.908 20 0 BFADHN CCC[C@]1(C)CCCN(Cc2ccnn2C)C1 ZINC000093509082 360252608 /nfs/dbraw/zinc/25/26/08/360252608.db2.gz DKKTYPKLFHCWPE-CQSZACIVSA-N 0 3 235.375 2.822 20 0 BFADHN CC[C@H](C)[C@@H](C)N(Cc1ccnn1C)C1CC1 ZINC000093132222 360220089 /nfs/dbraw/zinc/22/00/89/360220089.db2.gz AOAPCIORLXBGKR-NWDGAFQWSA-N 0 3 235.375 2.819 20 0 BFADHN CC[C@H](C)[C@H](C)N(Cc1cc[nH]n1)C1CC1 ZINC000093132288 360220191 /nfs/dbraw/zinc/22/01/91/360220191.db2.gz MXACROPCNKXASE-QWRGUYRKSA-N 0 3 221.348 2.809 20 0 BFADHN CCC(CC)N(CC(C)C)C(=O)[C@@H](N)C(C)C ZINC000093310381 360227309 /nfs/dbraw/zinc/22/73/09/360227309.db2.gz VTMMBSKNADIKSO-ZDUSSCGKSA-N 0 3 242.407 2.643 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)CC1=CCCOC1 ZINC000093316082 360229439 /nfs/dbraw/zinc/22/94/39/360229439.db2.gz HSVTWYXIAPJJMP-LBPRGKRZSA-N 0 3 247.338 2.732 20 0 BFADHN CCc1ccc(CN2CCC[C@@H](COC)C2)o1 ZINC000093337704 360232444 /nfs/dbraw/zinc/23/24/44/360232444.db2.gz OCRPDHFHKRYJKP-GFCCVEGCSA-N 0 3 237.343 2.700 20 0 BFADHN Cc1nn(C)cc1CN1CC[C@@H](CC(C)C)C1 ZINC000093338440 360234528 /nfs/dbraw/zinc/23/45/28/360234528.db2.gz UVTHOCYRELDUGX-ZDUSSCGKSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1n[nH]cc1CN1CC[C@@H](CC(C)C)C1 ZINC000093338604 360234868 /nfs/dbraw/zinc/23/48/68/360234868.db2.gz MYICISSIJNLHBU-LBPRGKRZSA-N 0 3 221.348 2.586 20 0 BFADHN CCOC[C@H]1CCCN(Cc2cccnc2C)C1 ZINC000093419458 360241120 /nfs/dbraw/zinc/24/11/20/360241120.db2.gz ZVJYZSFTCFYVJU-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN CCn1cc(CN2CCC[C@](C)(CC)C2)cn1 ZINC000093458952 360245895 /nfs/dbraw/zinc/24/58/95/360245895.db2.gz YTIFEFXCYLIWJF-AWEZNQCLSA-N 0 3 235.375 2.915 20 0 BFADHN c1cc(CN2CCC[C@H]3CCCC[C@H]32)n[nH]1 ZINC000093480747 360246866 /nfs/dbraw/zinc/24/68/66/360246866.db2.gz MIMPSDBFRDHFLN-DGCLKSJQSA-N 0 3 219.332 2.564 20 0 BFADHN Cc1ccc([O-])c(C[NH+](CC2CC2)CC2CC2)n1 ZINC000093480059 360246953 /nfs/dbraw/zinc/24/69/53/360246953.db2.gz JGWIUBUFJVGSJT-UHFFFAOYSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1nccc(CN[C@@H]2CCCCC2(C)C)n1 ZINC000094278971 360301720 /nfs/dbraw/zinc/30/17/20/360301720.db2.gz DQTDPZARQWIFDA-CYBMUJFWSA-N 0 3 233.359 2.843 20 0 BFADHN CCC[C@@H]1CCCN(Cc2c[nH]nc2C)C1 ZINC000093704820 360273403 /nfs/dbraw/zinc/27/34/03/360273403.db2.gz JARIWWBJPQMOPS-GFCCVEGCSA-N 0 3 221.348 2.730 20 0 BFADHN CCC(C)(C)[C@@H]1CCCN1Cc1c[nH]cn1 ZINC000093809440 360280108 /nfs/dbraw/zinc/28/01/08/360280108.db2.gz RCFVJHXTABNDGI-LBPRGKRZSA-N 0 3 221.348 2.810 20 0 BFADHN CCS[C@H]1CC[C@H](N(C)Cc2c[nH]cn2)C1 ZINC000093868724 360285103 /nfs/dbraw/zinc/28/51/03/360285103.db2.gz BFMZBRKAOPMYNQ-RYUDHWBXSA-N 0 3 239.388 2.516 20 0 BFADHN CCS[C@H]1CC[C@H](N(C)Cc2cnc[nH]2)C1 ZINC000093868724 360285107 /nfs/dbraw/zinc/28/51/07/360285107.db2.gz BFMZBRKAOPMYNQ-RYUDHWBXSA-N 0 3 239.388 2.516 20 0 BFADHN C[C@H](NC[C@@]1(C)CCCO1)c1cccc(O)c1 ZINC000094797434 360318081 /nfs/dbraw/zinc/31/80/81/360318081.db2.gz XYHJXQAYWMVOCZ-SMDDNHRTSA-N 0 3 235.327 2.612 20 0 BFADHN C[C@@]1(CNCc2cc(F)ccc2F)CCCO1 ZINC000094798155 360318456 /nfs/dbraw/zinc/31/84/56/360318456.db2.gz OZVYLVRDLFXVRG-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCCOC2)cc1F ZINC000094838003 360323166 /nfs/dbraw/zinc/32/31/66/360323166.db2.gz FLIFHWDYOSMNPO-YPMHNXCESA-N 0 3 237.318 2.964 20 0 BFADHN Cc1ccc([C@@H](C)NCCn2ccnc2)cc1F ZINC000094837651 360323199 /nfs/dbraw/zinc/32/31/99/360323199.db2.gz BQACOPYKWMCJOT-GFCCVEGCSA-N 0 3 247.317 2.681 20 0 BFADHN CCN(CC)c1ncc(CN2CCCC2)s1 ZINC000123110488 360368452 /nfs/dbraw/zinc/36/84/52/360368452.db2.gz KYUULALHXUQRNE-UHFFFAOYSA-N 0 3 239.388 2.585 20 0 BFADHN CCCCN(C)[C@@H](C)C(=O)N(C(C)C)C(C)C ZINC000096965865 360357710 /nfs/dbraw/zinc/35/77/10/360357710.db2.gz YIZIWVWBCCKESH-ZDUSSCGKSA-N 0 3 242.407 2.752 20 0 BFADHN CO[C@@H]1CCC[C@H](N[C@H](C)c2cscn2)C1 ZINC000309459159 491148660 /nfs/dbraw/zinc/14/86/60/491148660.db2.gz NKIHKNWUZSUZKE-OUAUKWLOSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@@H]2C2CC2)on1 ZINC000631161558 360578852 /nfs/dbraw/zinc/57/88/52/360578852.db2.gz NKAKXIIAUCBWSU-GXTWGEPZSA-N 0 3 234.343 2.899 20 0 BFADHN C[C@@H](N[C@@H]1CCC(F)(F)C1)c1ccccn1 ZINC000309532980 491150631 /nfs/dbraw/zinc/15/06/31/491150631.db2.gz DKGQUQRQPAQTBD-NXEZZACHSA-N 0 3 226.270 2.920 20 0 BFADHN CCC[C@H](CCO)NCc1ccc(C)cc1F ZINC000631199080 360761541 /nfs/dbraw/zinc/76/15/41/360761541.db2.gz OPQXTXCSOJYUBC-CYBMUJFWSA-N 0 3 239.334 2.775 20 0 BFADHN CCO[C@H]1CCN(Cc2cnccc2C)C[C@@H]1C ZINC000449509687 200687853 /nfs/dbraw/zinc/68/78/53/200687853.db2.gz WRXBOVLNRDPUOZ-ZFWWWQNUSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@H](N[C@H]1CCS[C@@H]1C)c1cncc(F)c1 ZINC000309606155 491152258 /nfs/dbraw/zinc/15/22/58/491152258.db2.gz YRZLFXVKFSWXOP-SBMIAAHKSA-N 0 3 240.347 2.765 20 0 BFADHN CCC[C@H](NCCCOC)c1cc(C)ccn1 ZINC000631560401 360969908 /nfs/dbraw/zinc/96/99/08/360969908.db2.gz BFLWWUZXWIEQID-ZDUSSCGKSA-N 0 3 236.359 2.857 20 0 BFADHN CCC[C@@H](NC[C@@H]1CCCO1)c1cc(C)ccn1 ZINC000631560438 360976825 /nfs/dbraw/zinc/97/68/25/360976825.db2.gz BZKCKZUNQHPRSX-UONOGXRCSA-N 0 3 248.370 3.000 20 0 BFADHN CC(C)C[C@H](C)NCc1nccn1C(C)C ZINC000309650397 491153547 /nfs/dbraw/zinc/15/35/47/491153547.db2.gz XQVXDQCXQZDRND-LBPRGKRZSA-N 0 3 223.364 2.988 20 0 BFADHN CCc1nc(CN[C@H](C)C2CCC2)cs1 ZINC000133400726 491153560 /nfs/dbraw/zinc/15/35/60/491153560.db2.gz VCIMGXBUEGLUAF-SECBINFHSA-N 0 3 224.373 2.984 20 0 BFADHN C[C@@H](CN(C)Cc1cn(C)cn1)C(C)(C)C ZINC000640347449 361065232 /nfs/dbraw/zinc/06/52/32/361065232.db2.gz GYTGWNHUSCRCBI-NSHDSACASA-N 0 3 223.364 2.534 20 0 BFADHN CC[C@@H](C)NC(=O)CN(C)C[C@H](C)C(C)(C)C ZINC000640350166 361074128 /nfs/dbraw/zinc/07/41/28/361074128.db2.gz FXVPLUYKBDLIHP-NWDGAFQWSA-N 0 3 242.407 2.515 20 0 BFADHN CCCNC(=O)[C@@H](C)N(C)C[C@H](C)C(C)(C)C ZINC000640351591 361081647 /nfs/dbraw/zinc/08/16/47/361081647.db2.gz VYNDNWNAHDCGOH-NWDGAFQWSA-N 0 3 242.407 2.515 20 0 BFADHN CC(C)n1cncc1CN(C)C(C)(C)C ZINC000578987631 366686913 /nfs/dbraw/zinc/68/69/13/366686913.db2.gz BOHHLIJFAIFJAV-UHFFFAOYSA-N 0 3 209.337 2.694 20 0 BFADHN CC[C@@H](C)CN1CCN(C)C2(CCCCC2)C1 ZINC000574999972 361248763 /nfs/dbraw/zinc/24/87/63/361248763.db2.gz QBWRXIHOWFVROJ-CQSZACIVSA-N 0 3 238.419 2.983 20 0 BFADHN CS[C@@H](C)CNCc1ccc(Cl)o1 ZINC000159311359 361230329 /nfs/dbraw/zinc/23/03/29/361230329.db2.gz IKZHYMQUFJLPRJ-ZETCQYMHSA-N 0 3 219.737 2.774 20 0 BFADHN CCc1nnc(C[NH2+][C@@H]2CCCC23CCCC3)[n-]1 ZINC000333600013 361239268 /nfs/dbraw/zinc/23/92/68/361239268.db2.gz UZNBBXOWVQHSJY-LLVKDONJSA-N 0 3 248.374 2.570 20 0 BFADHN CCc1nnc(CN[C@@H]2CCCC23CCCC3)[nH]1 ZINC000333600013 361239272 /nfs/dbraw/zinc/23/92/72/361239272.db2.gz UZNBBXOWVQHSJY-LLVKDONJSA-N 0 3 248.374 2.570 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1cc(F)cc(F)c1 ZINC000309752880 491155599 /nfs/dbraw/zinc/15/55/99/491155599.db2.gz HBSCXNPBHARZRJ-PRHODGIISA-N 0 3 243.322 2.948 20 0 BFADHN COCC1(NCc2ccccc2OC)CCCC1 ZINC000575073014 361293912 /nfs/dbraw/zinc/29/39/12/361293912.db2.gz NVTIVUVWJDHZHE-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN C[C@H](C[C@@H]1CCOC1)N[C@H](C)c1cscn1 ZINC000309744048 491155744 /nfs/dbraw/zinc/15/57/44/491155744.db2.gz IOUBPOUYOSYMBW-MXWKQRLJSA-N 0 3 240.372 2.609 20 0 BFADHN CC[C@H](N[C@H](C)c1ccoc1)[C@@H](O)C(C)C ZINC000579093427 366708003 /nfs/dbraw/zinc/70/80/03/366708003.db2.gz DIPHUPZSOJGHPJ-WXHSDQCUSA-N 0 3 225.332 2.726 20 0 BFADHN CC1(C)CCC[C@H](CNCc2ccon2)C1 ZINC000309772377 491156215 /nfs/dbraw/zinc/15/62/15/491156215.db2.gz KUXLGFWEWSYUFP-NSHDSACASA-N 0 3 222.332 2.981 20 0 BFADHN CCc1nc(C)c(CN2CC[C@H](C3CC3)C2)o1 ZINC000428288894 201005441 /nfs/dbraw/zinc/00/54/41/201005441.db2.gz IFWAXRADGYUNCA-LBPRGKRZSA-N 0 3 234.343 2.777 20 0 BFADHN CCc1nc(C)c(CN(C)CCC2CC2)o1 ZINC000428392646 201014094 /nfs/dbraw/zinc/01/40/94/201014094.db2.gz KIWMXVRTGXUINS-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1n[nH]c(C(C)C)n1 ZINC000428420215 201016042 /nfs/dbraw/zinc/01/60/42/201016042.db2.gz HZDWXSXJUBXCNO-MNOVXSKESA-N 0 3 236.363 2.549 20 0 BFADHN CCN(CC)Cc1oc(C(C)C)nc1C ZINC000428440680 201017959 /nfs/dbraw/zinc/01/79/59/201017959.db2.gz FNRFKLVGECFBSS-UHFFFAOYSA-N 0 3 210.321 2.948 20 0 BFADHN CCCN(CCC)Cc1n[nH]c(C(C)C)n1 ZINC000428459055 201019127 /nfs/dbraw/zinc/01/91/27/201019127.db2.gz DJAMGJFJMWICJJ-UHFFFAOYSA-N 0 3 224.352 2.550 20 0 BFADHN Cc1cnc([C@@H](C)N2C[C@H](C)C[C@H](C)C2)cn1 ZINC000428461251 201020273 /nfs/dbraw/zinc/02/02/73/201020273.db2.gz QYTVYQKCAGUEKK-NTZNESFSSA-N 0 3 233.359 2.824 20 0 BFADHN CCc1ccc(CN(C)[C@@H]2CCCC[C@H]2O)o1 ZINC000428454623 201020495 /nfs/dbraw/zinc/02/04/95/201020495.db2.gz DCZNUXOIXRAVCO-ZIAGYGMSSA-N 0 3 237.343 2.577 20 0 BFADHN CCCN(Cc1cc(C)ncn1)CC(C)C ZINC000428455250 201020674 /nfs/dbraw/zinc/02/06/74/201020674.db2.gz DYOGQDCLURADQO-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN CCN(Cc1n[nH]c(C(C)C)n1)[C@H](C)C(C)C ZINC000428468126 201022005 /nfs/dbraw/zinc/02/20/05/201022005.db2.gz MKFAMPNRJWTAQV-LLVKDONJSA-N 0 3 238.379 2.795 20 0 BFADHN CC[N@H+](Cc1nnc(C(C)C)[n-]1)[C@H](C)C(C)C ZINC000428468126 201022008 /nfs/dbraw/zinc/02/20/08/201022008.db2.gz MKFAMPNRJWTAQV-LLVKDONJSA-N 0 3 238.379 2.795 20 0 BFADHN CC[N@@H+](Cc1nnc(C(C)C)[n-]1)[C@H](C)C(C)C ZINC000428468126 201022010 /nfs/dbraw/zinc/02/20/10/201022010.db2.gz MKFAMPNRJWTAQV-LLVKDONJSA-N 0 3 238.379 2.795 20 0 BFADHN Cc1nc(CN(C2CC2)C2CCC(C)CC2)n[nH]1 ZINC000428475271 201023146 /nfs/dbraw/zinc/02/31/46/201023146.db2.gz VQSQKQOMAUIFCX-UHFFFAOYSA-N 0 3 248.374 2.656 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1ccc2c(n1)CCCC2 ZINC000446897157 370693892 /nfs/dbraw/zinc/69/38/92/370693892.db2.gz WFCKDSGUOYJKLG-YPMHNXCESA-N 0 3 230.355 2.706 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CNCc1cocn1 ZINC000309794759 491156764 /nfs/dbraw/zinc/15/67/64/491156764.db2.gz PWUOMXJHISHNEY-WCQYABFASA-N 0 3 220.316 2.757 20 0 BFADHN c1coc(C2=CCCN(CC[C@@H]3CCCO3)C2)c1 ZINC000285327305 370688242 /nfs/dbraw/zinc/68/82/42/370688242.db2.gz NNXYWJAKRHBBNB-AWEZNQCLSA-N 0 3 247.338 2.938 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc2c(c1)OCO2 ZINC000309811936 491157109 /nfs/dbraw/zinc/15/71/09/491157109.db2.gz UKMRXNCOSSBDHJ-HMDXOVGESA-N 0 3 219.284 2.642 20 0 BFADHN CCC[C@@H](NCC1(C)COC1)c1cc(C)ccn1 ZINC000631583697 361372112 /nfs/dbraw/zinc/37/21/12/361372112.db2.gz URGRXBKXWSYVOW-CYBMUJFWSA-N 0 3 248.370 2.857 20 0 BFADHN CCN(Cc1n[nH]c(C(C)C)n1)CC(C)(C)C ZINC000428969578 201055010 /nfs/dbraw/zinc/05/50/10/201055010.db2.gz RXGBCIFISAGMIH-UHFFFAOYSA-N 0 3 238.379 2.796 20 0 BFADHN CC(C)CCCN1CCO[C@H]2CCC[C@@H]21 ZINC000429047187 201063376 /nfs/dbraw/zinc/06/33/76/201063376.db2.gz JTKKKVDWJNTRLN-STQMWFEESA-N 0 3 211.349 2.676 20 0 BFADHN COc1cc(CN(C2CCC2)C2CCC2)ccn1 ZINC000429144597 201074826 /nfs/dbraw/zinc/07/48/26/201074826.db2.gz STGXWXIWJVSKHV-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN COCC(C)(C)N(C)Cc1ccc(C)nc1C ZINC000429266855 201083159 /nfs/dbraw/zinc/08/31/59/201083159.db2.gz YUGHYSKJEHMTBQ-UHFFFAOYSA-N 0 3 236.359 2.555 20 0 BFADHN C=Cn1cc(CN(CC)CCC2CC2)cn1 ZINC000579312773 366756642 /nfs/dbraw/zinc/75/66/42/366756642.db2.gz PJLFGFBNBFUKRG-UHFFFAOYSA-N 0 3 219.332 2.606 20 0 BFADHN Cn1ccnc1[C@H](N[C@H]1CC12CCCC2)C1CC1 ZINC000429421241 201108578 /nfs/dbraw/zinc/10/85/78/201108578.db2.gz DSWJXXGZUXXDNW-QWHCGFSZSA-N 0 3 245.370 2.794 20 0 BFADHN CC[C@H](N[C@@H](CO)CC(F)F)c1ccccc1 ZINC000429470036 201110218 /nfs/dbraw/zinc/11/02/18/201110218.db2.gz RWLQONIEEJPANA-NEPJUHHUSA-N 0 3 243.297 2.743 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](CO)CC(F)F)cc1 ZINC000429463473 201111025 /nfs/dbraw/zinc/11/10/25/201111025.db2.gz TYKPIIIQERXTAU-CMPLNLGQSA-N 0 3 243.297 2.662 20 0 BFADHN CC[C@H]1CCN1Cc1cc(C)ccc1OC ZINC000429289653 201095113 /nfs/dbraw/zinc/09/51/13/201095113.db2.gz YEVKVFLMVJSOGT-ZDUSSCGKSA-N 0 3 219.328 2.988 20 0 BFADHN CCC[C@@H]1CN(CCCC(C)C)CCO1 ZINC000429330487 201101316 /nfs/dbraw/zinc/10/13/16/201101316.db2.gz MQIFJDFKQZWUHX-CYBMUJFWSA-N 0 3 213.365 2.924 20 0 BFADHN CCc1ccc(CN(C)C[C@@H](C)OC)s1 ZINC000579409234 366778846 /nfs/dbraw/zinc/77/88/46/366778846.db2.gz JDZOPOJVKHQMPR-SNVBAGLBSA-N 0 3 227.373 2.777 20 0 BFADHN COc1cccc(CN(C)[C@@H]2CC[C@@H](C)C2)n1 ZINC000429575268 201117738 /nfs/dbraw/zinc/11/77/38/201117738.db2.gz CLTZLWISBKQHMG-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ccc([C@H](C)NCCc2cnc(C)cn2)o1 ZINC000429678236 201123144 /nfs/dbraw/zinc/12/31/44/201123144.db2.gz FKBWTBJPSPDMSL-LBPRGKRZSA-N 0 3 245.326 2.580 20 0 BFADHN OCc1ccc(CN[C@@H]2CCC[C@H](C3CC3)C2)o1 ZINC000579462276 366813010 /nfs/dbraw/zinc/81/30/10/366813010.db2.gz BDOPHENDSADXFZ-QWHCGFSZSA-N 0 3 249.354 2.830 20 0 BFADHN c1ccc(C[C@H]2CN(C3CCCC3)CCO2)cc1 ZINC000181532792 366820699 /nfs/dbraw/zinc/82/06/99/366820699.db2.gz ZCDUPFUNNATYNC-INIZCTEOSA-N 0 3 245.366 2.873 20 0 BFADHN CC(C)CN1CCO[C@@H](Cc2ccccc2)C1 ZINC000181529808 366821454 /nfs/dbraw/zinc/82/14/54/366821454.db2.gz QDSKFGVDSLRDPY-HNNXBMFYSA-N 0 3 233.355 2.586 20 0 BFADHN C[C@H]1C[C@@H](O)CN1Cc1ccc2c(c1)CCCC2 ZINC000430061129 201141031 /nfs/dbraw/zinc/14/10/31/201141031.db2.gz WQPNKGWBDSTJQU-BLLLJJGKSA-N 0 3 245.366 2.521 20 0 BFADHN NC1(c2nc(C3CC3)cs2)CCCC1 ZINC000068906219 181334699 /nfs/dbraw/zinc/33/46/99/181334699.db2.gz CUFRROUZNLGFSE-UHFFFAOYSA-N 0 3 208.330 2.749 20 0 BFADHN CN(C)CCN(C)Cc1ccc2c(c1)CCCC2 ZINC000430085190 201142916 /nfs/dbraw/zinc/14/29/16/201142916.db2.gz ZWRONFKEROSICY-UHFFFAOYSA-N 0 3 246.398 2.559 20 0 BFADHN CCc1ccc(CN2CC[C@H]2CC)cn1 ZINC000430194706 201151483 /nfs/dbraw/zinc/15/14/83/201151483.db2.gz KGFLPLHVSHALPG-CYBMUJFWSA-N 0 3 204.317 2.628 20 0 BFADHN CC[C@@H]1CCN1Cc1cc(-n2ccnc2)cs1 ZINC000430187836 201152183 /nfs/dbraw/zinc/15/21/83/201152183.db2.gz DGBPERVRKDDHGO-LLVKDONJSA-N 0 3 247.367 2.918 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc(N(C)CC)nc1 ZINC000430207306 201155080 /nfs/dbraw/zinc/15/50/80/201155080.db2.gz QINAWLYPJGIDDM-CYBMUJFWSA-N 0 3 233.359 2.522 20 0 BFADHN CC(C)CN1CCCc2cccc(CN)c21 ZINC000629558427 361453063 /nfs/dbraw/zinc/45/30/63/361453063.db2.gz HOOCZLUOZLXMAN-UHFFFAOYSA-N 0 3 218.344 2.554 20 0 BFADHN C[C@H]1C[C@H]1CN1CCCc2cccc(CN)c21 ZINC000629561435 361456786 /nfs/dbraw/zinc/45/67/86/361456786.db2.gz VOOCGHXEDZGVMB-FZMZJTMJSA-N 0 3 230.355 2.554 20 0 BFADHN CC(C)CCNCc1ccc(-c2cc[nH]n2)o1 ZINC000433320267 201181238 /nfs/dbraw/zinc/18/12/38/201181238.db2.gz RNBKPHKKNIGJCI-UHFFFAOYSA-N 0 3 233.315 2.805 20 0 BFADHN CC1(C)C[C@H]1CNCc1ccc(-c2cc[nH]n2)o1 ZINC000433328220 201182903 /nfs/dbraw/zinc/18/29/03/201182903.db2.gz VMIHBXVPKHMRJM-JTQLQIEISA-N 0 3 245.326 2.805 20 0 BFADHN Cc1cc(CN(C)C[C@H](O)C(C)C)ccc1F ZINC000433202117 201169175 /nfs/dbraw/zinc/16/91/75/201169175.db2.gz OQVKLCWGHAXHAR-AWEZNQCLSA-N 0 3 239.334 2.583 20 0 BFADHN CCC[C@@H]1CCC[C@H]1[NH2+]Cc1cnc(C)[n-]c1=O ZINC000433239397 201171851 /nfs/dbraw/zinc/17/18/51/201171851.db2.gz GDUMFZAQZJQRRU-DGCLKSJQSA-N 0 3 249.358 2.549 20 0 BFADHN CC(C)n1cncc1CN[C@@]12C[C@@H]1CCCC2 ZINC000631224621 361562170 /nfs/dbraw/zinc/56/21/70/361562170.db2.gz BSJYFBYBFCYDCI-JSGCOSHPSA-N 0 3 233.359 2.886 20 0 BFADHN C1=C(CN2CCN3CCCC[C@@H]3C2)CCCC1 ZINC000379751918 361562252 /nfs/dbraw/zinc/56/22/52/361562252.db2.gz NUZSSVKENAYPSI-OAHLLOKOSA-N 0 3 234.387 2.657 20 0 BFADHN c1nc(C2CC2)ncc1CN[C@]12C[C@H]1CCCC2 ZINC000631226024 361591016 /nfs/dbraw/zinc/59/10/16/361591016.db2.gz LGJLWJNFYSCGQF-UKRRQHHQSA-N 0 3 243.354 2.776 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2ccc(N)nc2)C1 ZINC000629674232 361597452 /nfs/dbraw/zinc/59/74/52/361597452.db2.gz YYBCLIHIYKJFEB-ZRMMWKCHSA-N 0 3 245.370 2.672 20 0 BFADHN COc1cccc(CN[C@@]23C[C@@H]2CCCC3)n1 ZINC000631225502 361579272 /nfs/dbraw/zinc/57/92/72/361579272.db2.gz JSDJNRRKAXZBPO-FZMZJTMJSA-N 0 3 232.327 2.513 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC000435130013 201253154 /nfs/dbraw/zinc/25/31/54/201253154.db2.gz PIAJVWZQAXGRGE-ZDUSSCGKSA-N 0 3 245.326 2.900 20 0 BFADHN CNc1ccc(-c2cccc(CN(C)C)c2)nc1 ZINC000629706855 361641294 /nfs/dbraw/zinc/64/12/94/361641294.db2.gz NUMLEVBHVFSVFO-UHFFFAOYSA-N 0 3 241.338 2.852 20 0 BFADHN Cc1cc(CN2CC[C@@H](CC(C)(C)C)C2)nn1C ZINC000448554947 201261482 /nfs/dbraw/zinc/26/14/82/201261482.db2.gz OGHQVUJTWWAJMM-ZDUSSCGKSA-N 0 3 249.402 2.987 20 0 BFADHN c1cc(-c2cccc([C@H]3CNCCO3)c2)co1 ZINC000629714406 361650906 /nfs/dbraw/zinc/65/09/06/361650906.db2.gz FFGZOLKCHZFIBS-CQSZACIVSA-N 0 3 229.279 2.608 20 0 BFADHN CC(C)[C@H](COCc1ccccc1)NCCF ZINC000436286273 201319675 /nfs/dbraw/zinc/31/96/75/201319675.db2.gz AHNSFWDFXLLYLZ-AWEZNQCLSA-N 0 3 239.334 2.787 20 0 BFADHN CCOc1cccc(CN[C@@H]2CC[C@H](C)C2)n1 ZINC000436406454 201324098 /nfs/dbraw/zinc/32/40/98/201324098.db2.gz HUKTZIVGTGRSHQ-NWDGAFQWSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccc(CN2CCC[C@H](C)[C@@H]2C)cn1 ZINC000437707641 201369746 /nfs/dbraw/zinc/36/97/46/201369746.db2.gz FXTQHAIVBNDGMI-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN CCN(C)Cc1c[nH]nc1-c1ccsc1 ZINC000437590908 201360074 /nfs/dbraw/zinc/36/00/74/201360074.db2.gz NQNMNAFJTGATGP-UHFFFAOYSA-N 0 3 221.329 2.590 20 0 BFADHN CN(C)Cc1cccc(NCC[C@@H]2CCOC2)c1 ZINC000438121346 201391816 /nfs/dbraw/zinc/39/18/16/201391816.db2.gz NVQCCCBQSRNZGT-CYBMUJFWSA-N 0 3 248.370 2.587 20 0 BFADHN FC(F)(F)C1=CCN(CC[C@@H]2CCOC2)CC1 ZINC000438117692 201392720 /nfs/dbraw/zinc/39/27/20/201392720.db2.gz GQHSIBSZMCOTDI-SNVBAGLBSA-N 0 3 249.276 2.607 20 0 BFADHN C[C@H](c1ccccn1)N(C)CC[C@H]1CCOC1 ZINC000437797013 201374616 /nfs/dbraw/zinc/37/46/16/201374616.db2.gz IQSBJLVFSOKXLG-OLZOCXBDSA-N 0 3 234.343 2.501 20 0 BFADHN c1ccc2c(c1)CCN(Cc1ccncc1)CC2 ZINC000130626849 363840026 /nfs/dbraw/zinc/84/00/26/363840026.db2.gz FKEPSRNDBKZDJQ-UHFFFAOYSA-N 0 3 238.334 2.682 20 0 BFADHN Cc1cccc([C@H](C)NCc2ccnc(N)c2)c1 ZINC000452082012 202523981 /nfs/dbraw/zinc/52/39/81/202523981.db2.gz IMAGCRQOXAKYDM-LBPRGKRZSA-N 0 3 241.338 2.653 20 0 BFADHN Fc1ccc2c(c1)[C@@H](N[C@H]1CCCOC1)CC2 ZINC000287381985 541304293 /nfs/dbraw/zinc/30/42/93/541304293.db2.gz POIVNKHWNLXZBA-JSGCOSHPSA-N 0 3 235.302 2.582 20 0 BFADHN CCc1cccc(CNCc2ccc[nH]c2=O)c1 ZINC000623777913 364204954 /nfs/dbraw/zinc/20/49/54/364204954.db2.gz WTAFEALFVNSMCM-UHFFFAOYSA-N 0 3 242.322 2.639 20 0 BFADHN C[C@@]1(NCc2ccccc2C2CC2)CCOC1 ZINC000160190111 541355983 /nfs/dbraw/zinc/35/59/83/541355983.db2.gz QQJCEIZGVSWGNN-OAHLLOKOSA-N 0 3 231.339 2.833 20 0 BFADHN Cc1cc(CN[C@@H]2CCO[C@H]2C)c(C)s1 ZINC000134081053 364387655 /nfs/dbraw/zinc/38/76/55/364387655.db2.gz ZCEPAPJWPTZZSM-JOYOIKCWSA-N 0 3 225.357 2.632 20 0 BFADHN Cc1oncc1CN(C1CC1)C1CCCC1 ZINC000181695692 366840167 /nfs/dbraw/zinc/84/01/67/366840167.db2.gz LPWYMMBGQCRLDL-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN CC[C@@H](C)CN[C@H](C)c1nnc(C)s1 ZINC000135000276 364422137 /nfs/dbraw/zinc/42/21/37/364422137.db2.gz FXNBEYVHBILEDH-HTQZYQBOSA-N 0 3 213.350 2.543 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H]2CCC[C@@H]2C)s1 ZINC000135007598 364422352 /nfs/dbraw/zinc/42/23/52/364422352.db2.gz LRFTYKITODKNEV-OYNCUSHFSA-N 0 3 225.361 2.686 20 0 BFADHN CC[C@H](N[C@H](C)c1nnc(C)s1)C1CC1 ZINC000135009382 364423525 /nfs/dbraw/zinc/42/35/25/364423525.db2.gz GVJHGRFBFAZVQO-XCBNKYQSSA-N 0 3 225.361 2.686 20 0 BFADHN CC[C@H](CC(=O)NC[C@H](C)N(C)C)C(C)(C)C ZINC000630717186 364495619 /nfs/dbraw/zinc/49/56/19/364495619.db2.gz VUKMJVMNMAZZNA-NWDGAFQWSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@@H]1COC2(CCC2)CN1CCCCCF ZINC000661464027 541363360 /nfs/dbraw/zinc/36/33/60/541363360.db2.gz WPUPHFLKQPEZAM-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN CC[C@H](N[C@H](C)c1nccn1CC)C1CC1 ZINC000453343701 202744064 /nfs/dbraw/zinc/74/40/64/202744064.db2.gz ILSPRFZNWYAEFW-PWSUYJOCSA-N 0 3 221.348 2.742 20 0 BFADHN CCOC(=O)CN(CC1(C)CCC1)C(C)(C)C ZINC000432818267 192963567 /nfs/dbraw/zinc/96/35/67/192963567.db2.gz UXWNBRMXOSEXFM-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H](CN1C[C@@H](C)[S@@](=O)[C@@H](C)C1)C(C)(C)C ZINC000619845817 364658660 /nfs/dbraw/zinc/65/86/60/364658660.db2.gz LSNOOWZQWHXNOI-LSSIXWDNSA-N 0 3 245.432 2.510 20 0 BFADHN CCCCCNC(=O)[C@H](C)N1CCCCCC1 ZINC000248297931 364628440 /nfs/dbraw/zinc/62/84/40/364628440.db2.gz YMTRZKRXIDKISF-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN CC[C@@H](NC[C@H](C)OC)c1cccc(F)c1 ZINC000276898431 364760879 /nfs/dbraw/zinc/76/08/79/364760879.db2.gz IRBLHLBVDFZOLK-GXFFZTMASA-N 0 3 225.307 2.901 20 0 BFADHN COc1cc(CNC2(C)CCCCC2)sn1 ZINC000399069340 364762536 /nfs/dbraw/zinc/76/25/36/364762536.db2.gz BDIWVIQBBSSCJK-UHFFFAOYSA-N 0 3 240.372 2.964 20 0 BFADHN COc1cc(CNCC2(C(C)C)CC2)sn1 ZINC000399068312 364762864 /nfs/dbraw/zinc/76/28/64/364762864.db2.gz AZISYTSBNDCZHQ-UHFFFAOYSA-N 0 3 240.372 2.678 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2ccnc(OC)n2)C1 ZINC000295729007 364781138 /nfs/dbraw/zinc/78/11/38/364781138.db2.gz OAFDJVJVODMWTB-NEPJUHHUSA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@@H](NCc1cccc(C)c1F)C(C)(C)O ZINC000296069666 364782387 /nfs/dbraw/zinc/78/23/87/364782387.db2.gz PTKASJJJBOWETF-GFCCVEGCSA-N 0 3 239.334 2.773 20 0 BFADHN C[C@H](NC[C@H](O)CC(C)(C)C)c1ccoc1 ZINC000167858092 364816680 /nfs/dbraw/zinc/81/66/80/364816680.db2.gz AZKABVHJRUZPPS-CMPLNLGQSA-N 0 3 225.332 2.727 20 0 BFADHN CC(C)(C)[C@@H]1CCC[C@H]1NCc1cnccn1 ZINC000573458130 364839664 /nfs/dbraw/zinc/83/96/64/364839664.db2.gz UVMGZOZDHXAZMW-CHWSQXEVSA-N 0 3 233.359 2.781 20 0 BFADHN C[C@H](NCCCC(C)(C)C)c1cnccn1 ZINC000090076989 325674803 /nfs/dbraw/zinc/67/48/03/325674803.db2.gz OGORHUBKGIRRNB-NSHDSACASA-N 0 3 221.348 2.954 20 0 BFADHN C[C@H](CC1CCCCC1)NCc1cnccn1 ZINC000573662751 364886512 /nfs/dbraw/zinc/88/65/12/364886512.db2.gz USTCNNVARRLMEK-GFCCVEGCSA-N 0 3 233.359 2.925 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@H]2CC2(F)F)o1 ZINC000666444572 541385954 /nfs/dbraw/zinc/38/59/54/541385954.db2.gz IMNVBSUXFBPRAK-WCBMZHEXSA-N 0 3 215.243 2.721 20 0 BFADHN Cc1ccc(NC(=O)CN(C)C(C)(C)C)c(C)c1 ZINC000573680902 364891117 /nfs/dbraw/zinc/89/11/17/364891117.db2.gz HONRELQXRXWLMJ-UHFFFAOYSA-N 0 3 248.370 2.972 20 0 BFADHN COCC1(NCc2ccsc2)CCCC1 ZINC000573862984 364935086 /nfs/dbraw/zinc/93/50/86/364935086.db2.gz FDPVTWWOYWTRJS-UHFFFAOYSA-N 0 3 225.357 2.797 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCC(F)(F)C1 ZINC000390011463 541405723 /nfs/dbraw/zinc/40/57/23/541405723.db2.gz ZKCIYRVYABTFDT-SECBINFHSA-N 0 3 215.243 2.865 20 0 BFADHN CC(C)C1CCC(NCc2cnccn2)CC1 ZINC000573899435 364940545 /nfs/dbraw/zinc/94/05/45/364940545.db2.gz XCRWABJDWKZSSG-UHFFFAOYSA-N 0 3 233.359 2.781 20 0 BFADHN CC[C@H](NCCOCC1CCC1)c1ccncc1 ZINC000574034222 364971259 /nfs/dbraw/zinc/97/12/59/364971259.db2.gz NZHHOELICLOZJP-HNNXBMFYSA-N 0 3 248.370 2.939 20 0 BFADHN CCN(C(=O)CNC(C)C)c1cc(C)cc(C)c1 ZINC000574101496 364988005 /nfs/dbraw/zinc/98/80/05/364988005.db2.gz TXBPPOIPFFTMPM-UHFFFAOYSA-N 0 3 248.370 2.654 20 0 BFADHN CCC[C@H](C)[C@H](CO)N[C@@H](C)c1ccccn1 ZINC000574457527 365066601 /nfs/dbraw/zinc/06/66/01/365066601.db2.gz WISKNXPTEPXBLG-OBJOEFQTSA-N 0 3 236.359 2.529 20 0 BFADHN CCC[C@H](C)[C@@H](CO)N[C@H](C)c1ccccn1 ZINC000574457528 365066947 /nfs/dbraw/zinc/06/69/47/365066947.db2.gz WISKNXPTEPXBLG-OUCADQQQSA-N 0 3 236.359 2.529 20 0 BFADHN CCc1nocc1CN[C@@H]1CCC[C@@H](F)C1 ZINC000574321958 365035823 /nfs/dbraw/zinc/03/58/23/365035823.db2.gz MNNWRZDSPANDJV-GHMZBOCLSA-N 0 3 226.295 2.607 20 0 BFADHN CC(C)[C@@H]1C[C@@H](NC2(CF)CCC2)CS1 ZINC000574324654 365037196 /nfs/dbraw/zinc/03/71/96/365037196.db2.gz ZTYFROBNDWMYDB-MNOVXSKESA-N 0 3 231.380 2.998 20 0 BFADHN CN(C[C@@H]1COc2ccccc2O1)CC(C)(C)C ZINC000171148140 365173084 /nfs/dbraw/zinc/17/30/84/365173084.db2.gz UDHPQZKQXSVGAZ-GFCCVEGCSA-N 0 3 249.354 2.804 20 0 BFADHN CO[C@H](C)CNCc1cc2c(cc(C)cc2C)[nH]1 ZINC000443002159 193009768 /nfs/dbraw/zinc/00/97/68/193009768.db2.gz OIQDBGLYMBBWOV-GFCCVEGCSA-N 0 3 246.354 2.909 20 0 BFADHN C[C@@H]1CCN(C/C=C\c2ccc(F)cc2)[C@@H]1CO ZINC000443858914 193030682 /nfs/dbraw/zinc/03/06/82/193030682.db2.gz XFWBYFVBGKPKDH-QADGWQRPSA-N 0 3 249.329 2.542 20 0 BFADHN Cc1ccoc1CN1CC[C@H](C)C[C@@H]1[C@H](C)O ZINC000443791339 193028605 /nfs/dbraw/zinc/02/86/05/193028605.db2.gz RBIILXYJXWXBTE-WCFLWFBJSA-N 0 3 237.343 2.569 20 0 BFADHN Cc1ccoc1CN1CC[C@H](C)C[C@H]1[C@H](C)O ZINC000443791336 193028735 /nfs/dbraw/zinc/02/87/35/193028735.db2.gz RBIILXYJXWXBTE-DRZSPHRISA-N 0 3 237.343 2.569 20 0 BFADHN CN(CC1CCC1)C[C@@H]1COc2ccccc2O1 ZINC000171884735 365251969 /nfs/dbraw/zinc/25/19/69/365251969.db2.gz RVWOESYWEJCCEW-CYBMUJFWSA-N 0 3 247.338 2.558 20 0 BFADHN CC[C@H](C)CN(C)Cc1cn2ccsc2n1 ZINC000171950553 365260687 /nfs/dbraw/zinc/26/06/87/365260687.db2.gz CMWKTZZQTYFLBJ-JTQLQIEISA-N 0 3 237.372 2.874 20 0 BFADHN CC[C@@H](C)CN(C)CC(=O)NCCC(C)(C)C ZINC000171949644 365261306 /nfs/dbraw/zinc/26/13/06/365261306.db2.gz UPQYUPVHAJJPKO-GFCCVEGCSA-N 0 3 242.407 2.517 20 0 BFADHN CCc1ccccc1CCN(C)C[C@H]1CCCO1 ZINC000444585469 193050933 /nfs/dbraw/zinc/05/09/33/193050933.db2.gz ZELVWSVUPSJJLM-MRXNPFEDSA-N 0 3 247.382 2.902 20 0 BFADHN Cc1ccc(CNC[C@H](C)c2nccs2)cn1 ZINC000156531947 201445538 /nfs/dbraw/zinc/44/55/38/201445538.db2.gz ZKAZTYMUPVATEM-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN CCc1nc(C)c(CN[C@H](CC)C2CC2)o1 ZINC000336673934 365348848 /nfs/dbraw/zinc/34/88/48/365348848.db2.gz BCOVOIFFVBEITJ-LLVKDONJSA-N 0 3 222.332 2.824 20 0 BFADHN Cc1nc(CN(CC(C)C)C(C)C)co1 ZINC000659842608 541458432 /nfs/dbraw/zinc/45/84/32/541458432.db2.gz QHUTYQKFAXRNEB-UHFFFAOYSA-N 0 3 210.321 2.849 20 0 BFADHN CC[C@H](C)N1CCN(c2ccccc2F)CC1 ZINC000172690639 365374889 /nfs/dbraw/zinc/37/48/89/365374889.db2.gz TYBPKBFAGFWMDW-LBPRGKRZSA-N 0 3 236.334 2.746 20 0 BFADHN CC(C)CC[C@H](NCc1ncccn1)C1CC1 ZINC000576053274 365467783 /nfs/dbraw/zinc/46/77/83/365467783.db2.gz IOWMHKNSGBYVKJ-ZDUSSCGKSA-N 0 3 233.359 2.781 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2cccnc2C)C1(C)C ZINC000174134317 365473454 /nfs/dbraw/zinc/47/34/54/365473454.db2.gz ZWWBSLAPJDINPK-HIFRSBDPSA-N 0 3 248.370 2.683 20 0 BFADHN C[C@@H](CC(F)(F)F)NCc1ccc(O)cc1 ZINC000167190641 541495548 /nfs/dbraw/zinc/49/55/48/541495548.db2.gz OFEHCSULGKYGEJ-QMMMGPOBSA-N 0 3 233.233 2.823 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CCOCC2)C1 ZINC000182928923 366957604 /nfs/dbraw/zinc/95/76/04/366957604.db2.gz BXBLRBWZNSKDRT-OLZOCXBDSA-N 0 3 211.349 2.535 20 0 BFADHN CCOC(C)(C)CN[C@H]1CCCc2cccnc21 ZINC000159247741 541493080 /nfs/dbraw/zinc/49/30/80/541493080.db2.gz CURQVBCDARYNDD-ZDUSSCGKSA-N 0 3 248.370 2.864 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)NCc1ccccc1C ZINC000179998610 541506425 /nfs/dbraw/zinc/50/64/25/541506425.db2.gz WWKDVRQMKMSSDB-LLVKDONJSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CCC(F)(F)C1 ZINC000383811181 541514098 /nfs/dbraw/zinc/51/40/98/541514098.db2.gz GBOARFUBQFJZCC-UWVGGRQHSA-N 0 3 241.285 2.623 20 0 BFADHN CCN(Cc1cnn(CC(C)C)c1)C1CC1 ZINC000183055153 366970813 /nfs/dbraw/zinc/97/08/13/366970813.db2.gz QXIIVQBUQPRBCB-UHFFFAOYSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@@H](CN(C)Cc1cc[nH]c1)c1nccs1 ZINC000183250024 367005536 /nfs/dbraw/zinc/00/55/36/367005536.db2.gz IQUMPDHNWPCIHM-JTQLQIEISA-N 0 3 235.356 2.707 20 0 BFADHN CC(C)C[C@@H](C)NCc1cc(C(F)(F)F)n[nH]1 ZINC000440638379 201498956 /nfs/dbraw/zinc/49/89/56/201498956.db2.gz QFOLDHNEQSQKKJ-MRVPVSSYSA-N 0 3 249.280 2.953 20 0 BFADHN CC(C)Cn1cc(CN2CCC(C)(C)C2)cn1 ZINC000183295680 367011497 /nfs/dbraw/zinc/01/14/97/367011497.db2.gz VNUWFPRXDBQWRF-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN CC(C)CC1(CN[C@@H](C)c2nccn2C)CC1 ZINC000183327969 367015147 /nfs/dbraw/zinc/01/51/47/367015147.db2.gz LBHJXVKYHGTRLN-LBPRGKRZSA-N 0 3 235.375 2.897 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1conc1C ZINC000453614697 202785027 /nfs/dbraw/zinc/78/50/27/202785027.db2.gz QJOJSACYAFFYNE-QWHCGFSZSA-N 0 3 222.332 2.897 20 0 BFADHN c1cc(CNCC2(C3CC3)CC2)nc2c1CCC2 ZINC000580759920 367158682 /nfs/dbraw/zinc/15/86/82/367158682.db2.gz IBWVQOYVGCGVBE-UHFFFAOYSA-N 0 3 242.366 2.850 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1cc(C)c(C)cc1C ZINC000580872380 367193187 /nfs/dbraw/zinc/19/31/87/367193187.db2.gz VOVQYDIMMOSMPV-CABCVRRESA-N 0 3 233.355 2.879 20 0 BFADHN C[C@H](NCCCOC(F)F)c1ccoc1 ZINC000194042907 367244229 /nfs/dbraw/zinc/24/42/29/367244229.db2.gz MNHZZKDCXSAVBL-QMMMGPOBSA-N 0 3 219.231 2.560 20 0 BFADHN CN(Cc1cnn(C)c1)[C@@H]1CCc2ccccc21 ZINC000195052962 367333750 /nfs/dbraw/zinc/33/37/50/367333750.db2.gz KXHUTHLXVGTCEG-OAHLLOKOSA-N 0 3 241.338 2.539 20 0 BFADHN CC[C@@H](N[C@H](C)C(C)(C)C)c1ccn(C)n1 ZINC000381347867 367385623 /nfs/dbraw/zinc/38/56/23/367385623.db2.gz ZOSPIYFYHFHDIP-GHMZBOCLSA-N 0 3 223.364 2.895 20 0 BFADHN Cc1cc([C@H](C)NCCn2cccn2)cs1 ZINC000381373248 367390307 /nfs/dbraw/zinc/39/03/07/367390307.db2.gz NEDYPSBAEJELJC-NSHDSACASA-N 0 3 235.356 2.604 20 0 BFADHN CCOc1ccc(CNCCCF)cc1F ZINC000381458946 367409122 /nfs/dbraw/zinc/40/91/22/367409122.db2.gz KIRCTLIGIMZREY-UHFFFAOYSA-N 0 3 229.270 2.674 20 0 BFADHN FC1(F)CCC[C@H]1CNCc1ccncc1 ZINC000381523354 367424198 /nfs/dbraw/zinc/42/41/98/367424198.db2.gz NGISBRBYOYCPOW-NSHDSACASA-N 0 3 226.270 2.607 20 0 BFADHN CC(C)[C@@H]1CCCC[C@H]1NCc1cnns1 ZINC000381207959 367364586 /nfs/dbraw/zinc/36/45/86/367364586.db2.gz GOJWWTHHWSRQIK-NWDGAFQWSA-N 0 3 239.388 2.843 20 0 BFADHN Cc1nocc1CNCCCC(C)(C)C ZINC000382159878 367492088 /nfs/dbraw/zinc/49/20/88/367492088.db2.gz SJPWJRXWCWXGJC-UHFFFAOYSA-N 0 3 210.321 2.899 20 0 BFADHN CC[C@H](N[C@H](C)COC)c1ccsc1 ZINC000382167979 367493731 /nfs/dbraw/zinc/49/37/31/367493731.db2.gz VQRGZLIMDAFKBE-KOLCDFICSA-N 0 3 213.346 2.824 20 0 BFADHN Cc1ncc(CNC[C@H]2CCCC2(F)F)s1 ZINC000381583470 367433294 /nfs/dbraw/zinc/43/32/94/367433294.db2.gz CDOIESMYBXQILT-SECBINFHSA-N 0 3 246.326 2.977 20 0 BFADHN CC[C@H](F)CN1CCC(OC(C)C)CC1 ZINC000581408280 367446317 /nfs/dbraw/zinc/44/63/17/367446317.db2.gz BSQUOPRNGIWVTJ-NSHDSACASA-N 0 3 217.328 2.624 20 0 BFADHN Cc1csc(CNC[C@@H]2CCCC2(F)F)n1 ZINC000381760271 367455407 /nfs/dbraw/zinc/45/54/07/367455407.db2.gz XAKVJUASZGMURS-VIFPVBQESA-N 0 3 246.326 2.977 20 0 BFADHN CCC1(NCc2cnccc2OC)CCCC1 ZINC000382574514 367541936 /nfs/dbraw/zinc/54/19/36/367541936.db2.gz USUAUXINCUZMEV-UHFFFAOYSA-N 0 3 234.343 2.903 20 0 BFADHN C[C@H](NCc1cc[nH]n1)[C@H]1CC2CCC1CC2 ZINC000382280497 367502497 /nfs/dbraw/zinc/50/24/97/367502497.db2.gz ZJJPWBVATDSPCG-DLOFLVKXSA-N 0 3 233.359 2.714 20 0 BFADHN C[C@@H](NCc1cc[nH]n1)[C@@H]1CC2CCC1CC2 ZINC000382280504 367504221 /nfs/dbraw/zinc/50/42/21/367504221.db2.gz ZJJPWBVATDSPCG-NPZBDFSRSA-N 0 3 233.359 2.714 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1ccoc1)OC ZINC000382411101 367517559 /nfs/dbraw/zinc/51/75/59/367517559.db2.gz ULWPDLOMLCBWID-JQWIXIFHSA-N 0 3 211.305 2.745 20 0 BFADHN Cc1csc(CN[C@H]2CCC[C@@H]3C[C@@H]32)n1 ZINC000382429853 367522159 /nfs/dbraw/zinc/52/21/59/367522159.db2.gz ZMAVPUIVINOHPK-VWYCJHECSA-N 0 3 222.357 2.730 20 0 BFADHN COC[C@H](NCc1cc2cccnc2o1)C(C)C ZINC000581647768 367650055 /nfs/dbraw/zinc/65/00/55/367650055.db2.gz KKYOFAKTBWGJSS-ZDUSSCGKSA-N 0 3 248.326 2.588 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3c2cccc3O)[C@H](C)O1 ZINC000384558856 367700096 /nfs/dbraw/zinc/70/00/96/367700096.db2.gz HKCCQOKXEGLUAV-QOBDMFJFSA-N 0 3 247.338 2.535 20 0 BFADHN Cc1cccc(CN[C@H](C)Cn2ccnc2)c1F ZINC000384497707 367694290 /nfs/dbraw/zinc/69/42/90/367694290.db2.gz OFGQPHHHAQDPLY-GFCCVEGCSA-N 0 3 247.317 2.509 20 0 BFADHN C[C@@H](N[C@@H]1CCC1(C)C)C(=O)Nc1ccccc1 ZINC000384983431 367770083 /nfs/dbraw/zinc/77/00/83/367770083.db2.gz ZMPOTTHHONTUTO-DGCLKSJQSA-N 0 3 246.354 2.792 20 0 BFADHN CC/C=C\CNCc1c[nH]nc1-c1cccnc1 ZINC000384750530 367725982 /nfs/dbraw/zinc/72/59/82/367725982.db2.gz OCRGSGKMBAWIEL-ARJAWSKDSA-N 0 3 242.326 2.528 20 0 BFADHN CSCCN[C@@H](C)c1ccsc1 ZINC000068993997 370869563 /nfs/dbraw/zinc/86/95/63/370869563.db2.gz SKOINBGREQPADF-QMMMGPOBSA-N 0 3 201.360 2.762 20 0 BFADHN CC/C=C/CNCc1c(C)nc2ccccn21 ZINC000384869829 367747683 /nfs/dbraw/zinc/74/76/83/367747683.db2.gz GAACCTJPAZVJJG-GQCTYLIASA-N 0 3 229.327 2.699 20 0 BFADHN CC/C=C\CNCc1cc(OC)ccc1OC ZINC000384934827 367760547 /nfs/dbraw/zinc/76/05/47/367760547.db2.gz SXQMEVOARBLIDN-WAYWQWQTSA-N 0 3 235.327 2.760 20 0 BFADHN CC/C=C\CNCc1cnn(C(C)(C)C)c1 ZINC000384956985 367763652 /nfs/dbraw/zinc/76/36/52/367763652.db2.gz GVZVNEJKKQPHEU-SREVYHEPSA-N 0 3 221.348 2.694 20 0 BFADHN CCc1ccc(CN(C)CCCCO)s1 ZINC000384970608 367767909 /nfs/dbraw/zinc/76/79/09/367767909.db2.gz WCYVQCOHGVJGJW-UHFFFAOYSA-N 0 3 227.373 2.515 20 0 BFADHN Cc1nccn1Cc1c(C)cc(C)nc1C ZINC000631011657 370879969 /nfs/dbraw/zinc/87/99/69/370879969.db2.gz AXCFQPUADNOADW-UHFFFAOYSA-N 0 3 215.300 2.560 20 0 BFADHN CCC1(CN[C@H](C)c2nc(C)cs2)COC1 ZINC000623996005 370884821 /nfs/dbraw/zinc/88/48/21/370884821.db2.gz PPMHHQPBMKZGDN-SNVBAGLBSA-N 0 3 240.372 2.529 20 0 BFADHN C[C@H](CC(C)(C)C)NCc1ccccn1 ZINC000385103453 367790497 /nfs/dbraw/zinc/79/04/97/367790497.db2.gz IAQQDPRAGAPUKT-LLVKDONJSA-N 0 3 206.333 2.996 20 0 BFADHN C[C@@H](CC(C)(C)C)NCc1ccccn1 ZINC000385103454 367791314 /nfs/dbraw/zinc/79/13/14/367791314.db2.gz IAQQDPRAGAPUKT-NSHDSACASA-N 0 3 206.333 2.996 20 0 BFADHN Cc1nc(CNC(C)(C)C2CCC2)[nH]c1C ZINC000581737693 367801300 /nfs/dbraw/zinc/80/13/00/367801300.db2.gz OMUNPUVKOWJSIR-UHFFFAOYSA-N 0 3 221.348 2.695 20 0 BFADHN Cc1cc(CNCC2CC(C)(C)C2)no1 ZINC000581870197 367859091 /nfs/dbraw/zinc/85/90/91/367859091.db2.gz ORHIUOKLEYZULT-UHFFFAOYSA-N 0 3 208.305 2.509 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H]2CC(C)C)cn1 ZINC000385814581 367866748 /nfs/dbraw/zinc/86/67/48/367866748.db2.gz IUEXKVMLCUCHPQ-CHWSQXEVSA-N 0 3 234.343 2.614 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cccnc1 ZINC000385814338 367867352 /nfs/dbraw/zinc/86/73/52/367867352.db2.gz ISDGXONNGSVWSA-CHWSQXEVSA-N 0 3 204.317 2.606 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1C[C@H]1CC(C)C ZINC000385823567 367868065 /nfs/dbraw/zinc/86/80/65/367868065.db2.gz KGLAUTCNNQDFEC-DGCLKSJQSA-N 0 3 222.332 2.816 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H](C)c1cnn(C)c1 ZINC000385821955 367868829 /nfs/dbraw/zinc/86/88/29/367868829.db2.gz JXYHCUDCDQNTFG-DMDPSCGWSA-N 0 3 221.348 2.505 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1ccccn1 ZINC000385849620 367869864 /nfs/dbraw/zinc/86/98/64/367869864.db2.gz POAZIYKUWDLAEV-DGCLKSJQSA-N 0 3 204.317 2.606 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]2CC(C)C)nc1 ZINC000385869834 367872708 /nfs/dbraw/zinc/87/27/08/367872708.db2.gz URISOPDQVBZSLG-TZMCWYRMSA-N 0 3 218.344 2.914 20 0 BFADHN CCn1ccnc1CN[C@H]1CC(C)(C)C[C@H]1C ZINC000385300271 367817888 /nfs/dbraw/zinc/81/78/88/367817888.db2.gz DSVALDWPHNOLJF-NEPJUHHUSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccnc1CN[C@@H]1C[C@@H](C)CC[C@H]1C ZINC000385401986 367826594 /nfs/dbraw/zinc/82/65/94/367826594.db2.gz WRLBQHGPVNDTDZ-YNEHKIRRSA-N 0 3 235.375 2.817 20 0 BFADHN C1=C(CN2CCOC[C@H]2C2CCC2)CCCC1 ZINC000581822815 367831113 /nfs/dbraw/zinc/83/11/13/367831113.db2.gz QLQTVRCSXMBGPE-HNNXBMFYSA-N 0 3 235.371 2.988 20 0 BFADHN COCC1(NCc2occc2C)CCCC1 ZINC000581835628 367840508 /nfs/dbraw/zinc/84/05/08/367840508.db2.gz FVORUKJJYNQWAR-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN COc1ccc(OC)c(CN[C@@H]2C[C@H](C)[C@H]2C)c1 ZINC000385636260 367850566 /nfs/dbraw/zinc/85/05/66/367850566.db2.gz LETBAKUNCLTNOV-MISXGVKJSA-N 0 3 249.354 2.838 20 0 BFADHN COc1cc(CNCC2=CCCCC2)sn1 ZINC000399211155 367908231 /nfs/dbraw/zinc/90/82/31/367908231.db2.gz QACFRKXHQIMQRU-UHFFFAOYSA-N 0 3 238.356 2.742 20 0 BFADHN CCCC1(C(=O)Nc2ccc3c(c2)CNC3)CC1 ZINC000386458662 367977708 /nfs/dbraw/zinc/97/77/08/367977708.db2.gz NAISCIALMZBEJR-UHFFFAOYSA-N 0 3 244.338 2.809 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccccc1OC)[C@H](C)O ZINC000386940370 368036659 /nfs/dbraw/zinc/03/66/59/368036659.db2.gz VQROKKJUPNTTCM-GVXVVHGQSA-N 0 3 237.343 2.505 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccc(F)c(F)c1)[C@@H](C)O ZINC000386942183 368036716 /nfs/dbraw/zinc/03/67/16/368036716.db2.gz VXDPYQIPUHDISS-RWEMILLDSA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccc(F)cc1F)[C@H](C)O ZINC000386960297 368039780 /nfs/dbraw/zinc/03/97/80/368039780.db2.gz YYLXURSAGQQRMY-RVBZMBCESA-N 0 3 243.297 2.775 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CC23CCCC3)c1 ZINC000387027025 368041954 /nfs/dbraw/zinc/04/19/54/368041954.db2.gz PNMSZMPMYIOJKI-ZDUSSCGKSA-N 0 3 235.302 2.954 20 0 BFADHN CC(C)n1cncc1CN[C@H]1CC12CCCC2 ZINC000387098869 368050842 /nfs/dbraw/zinc/05/08/42/368050842.db2.gz FHICSAVZHAIEBW-ZDUSSCGKSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@H](N[C@H](C)c1ccccc1F)[C@@H](C)O ZINC000386736052 368014915 /nfs/dbraw/zinc/01/49/15/368014915.db2.gz WUMXNJIBWZPWRW-BREBYQMCSA-N 0 3 225.307 2.636 20 0 BFADHN C[C@H](CN(C)Cc1ccc(CO)o1)C(C)(C)C ZINC000399487288 368169068 /nfs/dbraw/zinc/16/90/68/368169068.db2.gz FQFYEIIPERWXRA-LLVKDONJSA-N 0 3 239.359 2.886 20 0 BFADHN CCCn1cc(CN[C@@H]2CC23CCCC3)cn1 ZINC000387315552 368150584 /nfs/dbraw/zinc/15/05/84/368150584.db2.gz QKZDDZICUCCXFM-CYBMUJFWSA-N 0 3 233.359 2.715 20 0 BFADHN CCCCN1CCN(Cc2ccccc2)CC1 ZINC000034521664 368265203 /nfs/dbraw/zinc/26/52/03/368265203.db2.gz IFJVJPISVPNUOU-UHFFFAOYSA-N 0 3 232.371 2.604 20 0 BFADHN CCCOc1ncccc1CNCC1(C)CC1 ZINC000572576557 326066459 /nfs/dbraw/zinc/06/64/59/326066459.db2.gz XDOLRTCCVHJCNJ-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN C[C@H](CN(C)Cc1cnc(N)s1)C(C)(C)C ZINC000399636115 368432243 /nfs/dbraw/zinc/43/22/43/368432243.db2.gz IIOOFCFEOANTIZ-SECBINFHSA-N 0 3 241.404 2.670 20 0 BFADHN CCOCCN1CCc2sccc2[C@@H]1C ZINC000057645348 368497394 /nfs/dbraw/zinc/49/73/94/368497394.db2.gz UNLWYLBKSYPNGU-JTQLQIEISA-N 0 3 225.357 2.704 20 0 BFADHN CCCCC(=O)NCc1ccccc1CN(C)C ZINC000061231832 368560675 /nfs/dbraw/zinc/56/06/75/368560675.db2.gz MELLUIBNDJRHNB-UHFFFAOYSA-N 0 3 248.370 2.555 20 0 BFADHN Cc1scc(CN[C@@H]2C[C@H](O)C2(C)C)c1C ZINC000399811820 368604372 /nfs/dbraw/zinc/60/43/72/368604372.db2.gz MUDXAEMGIBROSO-NEPJUHHUSA-N 0 3 239.384 2.614 20 0 BFADHN C[C@@H](NCc1ccc(CO)o1)C1CCCCC1 ZINC000081556080 368607567 /nfs/dbraw/zinc/60/75/67/368607567.db2.gz UMQHGJFSZSVYCP-LLVKDONJSA-N 0 3 237.343 2.830 20 0 BFADHN Cc1scc(CNC[C@@H]2CCCO2)c1C ZINC000399826543 368610188 /nfs/dbraw/zinc/61/01/88/368610188.db2.gz JWWZRRLCUAWCNZ-LBPRGKRZSA-N 0 3 225.357 2.634 20 0 BFADHN CC[C@H]1CCN(Cc2ccc(C)nc2)C1 ZINC000154024761 326125495 /nfs/dbraw/zinc/12/54/95/326125495.db2.gz OVTSOZXUSSVKCC-LBPRGKRZSA-N 0 3 204.317 2.622 20 0 BFADHN CCN(CC)CC(=O)N[C@@H](C)c1cccc(C)c1 ZINC000457027411 203001184 /nfs/dbraw/zinc/00/11/84/203001184.db2.gz GMZNLJRESZLWAZ-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN C(CN1CCOC2(CCC2)C1)C1CCCC1 ZINC000154077081 326129342 /nfs/dbraw/zinc/12/93/42/326129342.db2.gz MCOSMQWFLLFLRY-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CSCCN[C@H](C)c1nc2ccccc2n1C ZINC000069430421 370930304 /nfs/dbraw/zinc/93/03/04/370930304.db2.gz LXDZGXSJNNBBHJ-SNVBAGLBSA-N 0 3 249.383 2.587 20 0 BFADHN CSCCN[C@@H](C)c1nc2ccccc2n1C ZINC000069430416 370930542 /nfs/dbraw/zinc/93/05/42/370930542.db2.gz LXDZGXSJNNBBHJ-JTQLQIEISA-N 0 3 249.383 2.587 20 0 BFADHN CC(C)N(C)CC(=O)N(C)C1CCCCCC1 ZINC000068936936 368742324 /nfs/dbraw/zinc/74/23/24/368742324.db2.gz BGQDWNKBMMGVIB-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN CCCC[C@H](CC)C(=O)NC(C)(C)CN(C)C ZINC000457200800 203016734 /nfs/dbraw/zinc/01/67/34/203016734.db2.gz FJBFPOYNJQRONF-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@H](CC(=O)NC(C)(C)CN(C)C)C(C)(C)C ZINC000457200913 203016820 /nfs/dbraw/zinc/01/68/20/203016820.db2.gz FOYSWLSLNBCOEL-LLVKDONJSA-N 0 3 242.407 2.515 20 0 BFADHN CC[C@]1(C)C[C@H]1C(=O)Nc1cc(C)cc(CN)c1 ZINC000457384873 203051798 /nfs/dbraw/zinc/05/17/98/203051798.db2.gz UXHYLJJRXAPADG-DZGCQCFKSA-N 0 3 246.354 2.828 20 0 BFADHN CCCCCN(Cc1ccnn1C)C(C)C ZINC000154356742 326140772 /nfs/dbraw/zinc/14/07/72/326140772.db2.gz NNKJCDKIRUJFHZ-UHFFFAOYSA-N 0 3 223.364 2.821 20 0 BFADHN CCC1(C(=O)Nc2cc(C)cc(CN)c2)CCC1 ZINC000457368112 203047532 /nfs/dbraw/zinc/04/75/32/203047532.db2.gz FKCAAKJBDYZCFY-UHFFFAOYSA-N 0 3 246.354 2.973 20 0 BFADHN CCc1ccc(-c2ccc(CNCCO)o2)cc1 ZINC000072921691 368784079 /nfs/dbraw/zinc/78/40/79/368784079.db2.gz IHPOFDLFJQJDLH-UHFFFAOYSA-N 0 3 245.322 2.591 20 0 BFADHN CCOC(=O)C(C)(C)N(C)CC1CCCCC1 ZINC000112356494 368871053 /nfs/dbraw/zinc/87/10/53/368871053.db2.gz VWAFAJRSJPFCGY-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2ccsc2)n(C)n1 ZINC000089183188 368938156 /nfs/dbraw/zinc/93/81/56/368938156.db2.gz OOCGVIRVFPDGPR-SNVBAGLBSA-N 0 3 249.383 2.511 20 0 BFADHN C[C@@H](NCc1ncccc1F)[C@H]1CC1(C)C ZINC000309823946 326170496 /nfs/dbraw/zinc/17/04/96/326170496.db2.gz WYDWBRCLDLJSGB-NXEZZACHSA-N 0 3 222.307 2.745 20 0 BFADHN COc1ccc(CN2[C@H](C)CC[C@@H]2C)cc1O ZINC000091937576 369023205 /nfs/dbraw/zinc/02/32/05/369023205.db2.gz VEUZXIKKCSOCKU-PHIMTYICSA-N 0 3 235.327 2.774 20 0 BFADHN NCc1c(F)cccc1NC1CCCC1 ZINC000071511203 181472308 /nfs/dbraw/zinc/47/23/08/181472308.db2.gz LNAAKWCAJUKYDT-UHFFFAOYSA-N 0 3 208.280 2.639 20 0 BFADHN CCCC(=O)Nc1ccc(CN(C)C)c(C)c1 ZINC000458319167 203160066 /nfs/dbraw/zinc/16/00/66/203160066.db2.gz OJVSMRKWNBOHPZ-UHFFFAOYSA-N 0 3 234.343 2.795 20 0 BFADHN CCCCN(CC)Cc1cnc(C2CC2)nc1 ZINC000459288018 203247226 /nfs/dbraw/zinc/24/72/26/203247226.db2.gz FKPCIUITHFJURW-UHFFFAOYSA-N 0 3 233.359 2.976 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1CC1CCC(F)(F)CC1 ZINC000459282260 203247937 /nfs/dbraw/zinc/24/79/37/203247937.db2.gz DHHACAQMFXPAFC-MNOVXSKESA-N 0 3 247.329 2.921 20 0 BFADHN C[C@H]1CCCCCN1CCn1cc(Cl)cn1 ZINC000459385641 203272326 /nfs/dbraw/zinc/27/23/26/203272326.db2.gz ZERPULHJSPYVNV-NSHDSACASA-N 0 3 241.766 2.801 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1cc(C)on1 ZINC000459411522 203276294 /nfs/dbraw/zinc/27/62/94/203276294.db2.gz DOGQWNWZMJLRQO-NXEZZACHSA-N 0 3 210.321 2.897 20 0 BFADHN C[C@@H]1CCCN(CCn2cc(Cl)cn2)CC1 ZINC000459318732 203255506 /nfs/dbraw/zinc/25/55/06/203255506.db2.gz CYMYNOONCGYMIT-LLVKDONJSA-N 0 3 241.766 2.659 20 0 BFADHN CC(C)OC1CC(N(C)CCC(F)(F)F)C1 ZINC000459326824 203259329 /nfs/dbraw/zinc/25/93/29/203259329.db2.gz NMWIBMUCUVRPLY-UHFFFAOYSA-N 0 3 239.281 2.827 20 0 BFADHN C(CN1CCC12CCC2)OCC1CCCC1 ZINC000459337396 203260200 /nfs/dbraw/zinc/26/02/00/203260200.db2.gz DHYVOPWQDVMVMP-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CC[C@H]1COCCN1CC1CCC(F)(F)CC1 ZINC000459378034 203264262 /nfs/dbraw/zinc/26/42/62/203264262.db2.gz PJSZCAXQIFFWQL-LBPRGKRZSA-N 0 3 247.329 2.923 20 0 BFADHN C[C@H]1CC[C@H](C)N(CCn2cc(Cl)cn2)C1 ZINC000459346793 203265570 /nfs/dbraw/zinc/26/55/70/203265570.db2.gz BRSXFVRRIISBTK-QWRGUYRKSA-N 0 3 241.766 2.657 20 0 BFADHN CC[C@@H]1CN(C2CC(OC(C)C)C2)CCS1 ZINC000459357616 203266558 /nfs/dbraw/zinc/26/65/58/203266558.db2.gz PHCMCOJWYVCABJ-WXRRBKDZSA-N 0 3 243.416 2.770 20 0 BFADHN CC[C@]1(C)CCN(CCn2cc(Cl)cn2)C1 ZINC000459356311 203266914 /nfs/dbraw/zinc/26/69/14/203266914.db2.gz CSHFIDJZWVSINN-GFCCVEGCSA-N 0 3 241.766 2.659 20 0 BFADHN CC[C@H]1CN(C2CC(OC(C)C)C2)CCS1 ZINC000459357615 203267000 /nfs/dbraw/zinc/26/70/00/203267000.db2.gz PHCMCOJWYVCABJ-BPCQOVAHSA-N 0 3 243.416 2.770 20 0 BFADHN CCN1C[C@@H](C)N(CCSC(C)C)[C@H](C)C1 ZINC000459456425 203285040 /nfs/dbraw/zinc/28/50/40/203285040.db2.gz HRIJHRIPYFEWRU-CHWSQXEVSA-N 0 3 244.448 2.543 20 0 BFADHN CC(C)[NH+](Cc1cc(=O)[n-]c(C2CC2)n1)C(C)C ZINC000459480743 203291590 /nfs/dbraw/zinc/29/15/90/203291590.db2.gz WKKXPXCMBNSDBV-UHFFFAOYSA-N 0 3 249.358 2.678 20 0 BFADHN C[C@@H]1CC[C@H](N(C)Cc2cnc(C3CC3)nc2)C1 ZINC000459518417 203295790 /nfs/dbraw/zinc/29/57/90/203295790.db2.gz XMWVSBDKGBCHNE-RISCZKNCSA-N 0 3 245.370 2.974 20 0 BFADHN CC1=CCCN(CCOCC2CCCC2)C1 ZINC000459436124 203281437 /nfs/dbraw/zinc/28/14/37/203281437.db2.gz FEUYJEOTOTZSFE-UHFFFAOYSA-N 0 3 223.360 2.845 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC2(C)C)no1 ZINC000459583181 203308187 /nfs/dbraw/zinc/30/81/87/203308187.db2.gz FNOUAXAYJFKXON-LLVKDONJSA-N 0 3 222.332 2.899 20 0 BFADHN CCc1noc(C)c1CNC1CC2(CCC2)C1 ZINC000459590535 203309190 /nfs/dbraw/zinc/30/91/90/203309190.db2.gz NHIVNGOPFOVVCF-UHFFFAOYSA-N 0 3 234.343 2.968 20 0 BFADHN C[C@@H](NC[C@H]1CC2CCC1CC2)c1nccn1C ZINC000400014343 369340390 /nfs/dbraw/zinc/34/03/90/369340390.db2.gz GZBQKPKMRFJWCN-BLYZHGLHSA-N 0 3 247.386 2.897 20 0 BFADHN c1nc(CNC[C@@H]2CC3CCC2CC3)co1 ZINC000400071025 369342529 /nfs/dbraw/zinc/34/25/29/369342529.db2.gz LRUBQOSUKVSBQJ-MCIGGMRASA-N 0 3 220.316 2.591 20 0 BFADHN CC(C)c1ccc(CCN2CC(CCO)C2)cc1 ZINC000459598911 203312726 /nfs/dbraw/zinc/31/27/26/203312726.db2.gz XEKFMWNVAGPZRO-UHFFFAOYSA-N 0 3 247.382 2.667 20 0 BFADHN COCC1(C)CN(Cc2cc(C)ccc2C)C1 ZINC000459617786 203314417 /nfs/dbraw/zinc/31/44/17/203314417.db2.gz CRYGQSHZNQUIHG-UHFFFAOYSA-N 0 3 233.355 2.772 20 0 BFADHN Cc1cccc(C)c1CN1CC2(C1)CCCOC2 ZINC000459606788 203314459 /nfs/dbraw/zinc/31/44/59/203314459.db2.gz NNAPUPSVBKNUDN-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1cccs1 ZINC000401886082 369373077 /nfs/dbraw/zinc/37/30/77/369373077.db2.gz PMIQGGRGNSAZIE-KXUCPTDWSA-N 0 3 211.330 2.576 20 0 BFADHN CCn1ccnc1[C@H](C)NC1CC2(CCC2)C1 ZINC000459567432 203303742 /nfs/dbraw/zinc/30/37/42/203303742.db2.gz IIFXNIBMPCUHML-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1ccccc1F ZINC000401886765 369374397 /nfs/dbraw/zinc/37/43/97/369374397.db2.gz QBRXXXVMHNPYHD-VWYCJHECSA-N 0 3 223.291 2.654 20 0 BFADHN C[C@H]1C[C@H](NCc2cccc(C3CC3)c2)CO1 ZINC000402070550 369378880 /nfs/dbraw/zinc/37/88/80/369378880.db2.gz ZAUOBDKAOMPIEE-NHYWBVRUSA-N 0 3 231.339 2.831 20 0 BFADHN COCC1(C)CN(Cc2sccc2C)C1 ZINC000459622327 203318926 /nfs/dbraw/zinc/31/89/26/203318926.db2.gz MUIFCLOQNNLYQC-UHFFFAOYSA-N 0 3 225.357 2.525 20 0 BFADHN CC1(CO)CN(CCCC2CCCCC2)C1 ZINC000459617747 203319097 /nfs/dbraw/zinc/31/90/97/203319097.db2.gz CAXGPIJYDWELHB-UHFFFAOYSA-N 0 3 225.376 2.661 20 0 BFADHN COCC1(C)CN(CCc2cccc(C)c2)C1 ZINC000459621107 203319323 /nfs/dbraw/zinc/31/93/23/203319323.db2.gz JRRGNOJVHPIAOK-UHFFFAOYSA-N 0 3 233.355 2.506 20 0 BFADHN Cc1ccc([O-])c(C[NH+]2CC(C3CCCC3)C2)n1 ZINC000459620330 203319668 /nfs/dbraw/zinc/31/96/68/203319668.db2.gz VRBGWJLAUHJUOA-UHFFFAOYSA-N 0 3 246.354 2.718 20 0 BFADHN COc1ccccc1CN[C@H]1C[C@@H](OC(C)C)C1 ZINC000459626448 203321289 /nfs/dbraw/zinc/32/12/89/203321289.db2.gz CBFSQWDPGYTQCJ-OKILXGFUSA-N 0 3 249.354 2.741 20 0 BFADHN CCc1ccc(CN2CC(C)(COC)C2)cc1 ZINC000459623357 203321502 /nfs/dbraw/zinc/32/15/02/203321502.db2.gz RHJVXNZOKKTDTE-UHFFFAOYSA-N 0 3 233.355 2.717 20 0 BFADHN Cc1ncc(CN[C@@H]2CS[C@@H](C(C)C)C2)o1 ZINC000459667897 203332623 /nfs/dbraw/zinc/33/26/23/203332623.db2.gz ATWQPMMRGWONJD-CMPLNLGQSA-N 0 3 240.372 2.603 20 0 BFADHN CC(C)(C)C1CN(Cc2cnn3ccccc23)C1 ZINC000459630471 203322916 /nfs/dbraw/zinc/32/29/16/203322916.db2.gz AHBHHNSGPPTQOQ-UHFFFAOYSA-N 0 3 243.354 2.812 20 0 BFADHN Cc1ccc([O-])c(C[NH+]2CC(C(C)(C)C)C2)n1 ZINC000459638693 203325829 /nfs/dbraw/zinc/32/58/29/203325829.db2.gz JDKCBWSDIABKRV-UHFFFAOYSA-N 0 3 234.343 2.574 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1cccnc1C ZINC000459670173 203332490 /nfs/dbraw/zinc/33/24/90/203332490.db2.gz FDWKBECZEGTCSW-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccsc2C)C1 ZINC000459635369 203326298 /nfs/dbraw/zinc/32/62/98/203326298.db2.gz PQQOXOJADVKKFV-TXEJJXNPSA-N 0 3 225.357 2.714 20 0 BFADHN CCS[C@@H]1CCC[C@H]1NCc1ccns1 ZINC000404461469 369429546 /nfs/dbraw/zinc/42/95/46/369429546.db2.gz KOWFTJLBYRTKHU-GHMZBOCLSA-N 0 3 242.413 2.907 20 0 BFADHN CC[C@H](CSC)NCc1cc(C)ns1 ZINC000404491043 369430303 /nfs/dbraw/zinc/43/03/03/369430303.db2.gz SREMXYVDFCICGT-SECBINFHSA-N 0 3 230.402 2.683 20 0 BFADHN CC[C@@H](CSC)NCc1cc(C)ns1 ZINC000404491045 369430740 /nfs/dbraw/zinc/43/07/40/369430740.db2.gz SREMXYVDFCICGT-VIFPVBQESA-N 0 3 230.402 2.683 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cc(F)ccc2F)C1 ZINC000459642403 203328531 /nfs/dbraw/zinc/32/85/31/203328531.db2.gz HDTOMSGLBSXYAH-TXEJJXNPSA-N 0 3 241.281 2.622 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1ccc(C)o1 ZINC000459671914 203332718 /nfs/dbraw/zinc/33/27/18/203332718.db2.gz HIISLOIBLSWMKV-CYBMUJFWSA-N 0 3 237.343 2.979 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1cnccc1C ZINC000459672344 203332555 /nfs/dbraw/zinc/33/25/55/203332555.db2.gz HVLNKABMCAQLOJ-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1ncc(CN[C@H]2CC[C@@H]2C2CCC2)o1 ZINC000459673671 203332572 /nfs/dbraw/zinc/33/25/72/203332572.db2.gz JKDPQBBKOLLVDT-OLZOCXBDSA-N 0 3 220.316 2.651 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1ccc(C)c(C)n1 ZINC000459682604 203334931 /nfs/dbraw/zinc/33/49/31/203334931.db2.gz ZCNVWQDTUDYHEZ-JSGCOSHPSA-N 0 3 218.344 2.977 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1ccc(C)cn1 ZINC000459678129 203335355 /nfs/dbraw/zinc/33/53/55/203335355.db2.gz QZKNNQJNCODUKN-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1ccco1 ZINC000459675798 203336187 /nfs/dbraw/zinc/33/61/87/203336187.db2.gz MZBKBVGCHGJAKU-GFCCVEGCSA-N 0 3 223.316 2.671 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1ncccc1C ZINC000459682377 203336409 /nfs/dbraw/zinc/33/64/09/203336409.db2.gz YNHNCJLNTWVOHP-AWEZNQCLSA-N 0 3 248.370 2.781 20 0 BFADHN CCc1ccc(CNC2CCC(OC)CC2)o1 ZINC000459691849 203337792 /nfs/dbraw/zinc/33/77/92/203337792.db2.gz UYKPVHOIICRKSC-UHFFFAOYSA-N 0 3 237.343 2.889 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1ccc(F)c(F)c1 ZINC000459733697 203344204 /nfs/dbraw/zinc/34/42/04/203344204.db2.gz BJDTYAIENXYGNY-OLZOCXBDSA-N 0 3 241.281 2.622 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CCC[C@@H]3C[C@@H]32)c1 ZINC000459738256 203348797 /nfs/dbraw/zinc/34/87/97/203348797.db2.gz AFFZQKGDUDLOPB-SWHYSGLUSA-N 0 3 235.302 2.810 20 0 BFADHN c1nc(CNC2(C3CCC3)CCC2)cs1 ZINC000459754640 203356442 /nfs/dbraw/zinc/35/64/42/203356442.db2.gz RZJLOZNZUMAOSM-UHFFFAOYSA-N 0 3 222.357 2.956 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)[C@@H](C)C1)c1ccn(C)n1 ZINC000459759943 203358416 /nfs/dbraw/zinc/35/84/16/203358416.db2.gz RSFLDAYDYTXEGU-MROQNXINSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1cnc([C@@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)cn1 ZINC000459772398 203363821 /nfs/dbraw/zinc/36/38/21/203363821.db2.gz IBBQCLIZQNLLGF-NDBYEHHHSA-N 0 3 231.343 2.624 20 0 BFADHN CSCCCN(C)Cc1ccc(O)cc1 ZINC000619613157 369493357 /nfs/dbraw/zinc/49/33/57/369493357.db2.gz UBQJFYHXCFIXFE-UHFFFAOYSA-N 0 3 225.357 2.577 20 0 BFADHN Cc1cc(C)cc(CN2C[C@@H]3C[C@H]2CS3)c1 ZINC000459743588 203351569 /nfs/dbraw/zinc/35/15/69/203351569.db2.gz CWANNLYHOAYWTD-KBPBESRZSA-N 0 3 233.380 2.993 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]1C1CCC1)c1ccn(C)n1 ZINC000459750253 203352812 /nfs/dbraw/zinc/35/28/12/203352812.db2.gz LIGJJWJTXFBOIW-ZKYQVNSYSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H]1C1CCC1)c1ccn(C)n1 ZINC000459750250 203353575 /nfs/dbraw/zinc/35/35/75/203353575.db2.gz LIGJJWJTXFBOIW-SUHUHFCYSA-N 0 3 233.359 2.649 20 0 BFADHN CC[C@H](F)CN1CC[C@@H](O)[C@H](C2CCCC2)C1 ZINC000459795266 203373447 /nfs/dbraw/zinc/37/34/47/203373447.db2.gz NXDWKFQYQQFZRG-MELADBBJSA-N 0 3 243.366 2.608 20 0 BFADHN c1cn2cc(CN[C@H]3CCC[C@@H]4C[C@@H]43)nc2s1 ZINC000459795072 203374176 /nfs/dbraw/zinc/37/41/76/203374176.db2.gz XMSLKKWDIVTSQN-USWWRNFRSA-N 0 3 247.367 2.674 20 0 BFADHN COc1cncc(CNCc2cccs2)c1C ZINC000631033845 370984335 /nfs/dbraw/zinc/98/43/35/370984335.db2.gz ACTICGKGOAJUDZ-UHFFFAOYSA-N 0 3 248.351 2.750 20 0 BFADHN CCc1cccc(CN2C[C@@H]3C[C@H]2CS3)c1 ZINC000459785326 203367390 /nfs/dbraw/zinc/36/73/90/203367390.db2.gz BFMQQALKKJRCQM-KBPBESRZSA-N 0 3 233.380 2.939 20 0 BFADHN CC[C@H](F)CN(C)C[C@H](C)C(=O)OC(C)(C)C ZINC000459785795 203367896 /nfs/dbraw/zinc/36/78/96/203367896.db2.gz ADSADHIKRXVVRA-QWRGUYRKSA-N 0 3 247.354 2.644 20 0 BFADHN CC[C@@H](F)CN(C)C[C@H](C)C(=O)OC(C)(C)C ZINC000459785796 203368451 /nfs/dbraw/zinc/36/84/51/203368451.db2.gz ADSADHIKRXVVRA-WDEREUQCSA-N 0 3 247.354 2.644 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H](C)c1cccc(OC)c1 ZINC000459785891 203368802 /nfs/dbraw/zinc/36/88/02/203368802.db2.gz ZXZWDLYSWCJBFC-DFBGVHRSSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@H](NCCC1CCCC1)c1ccn(C)n1 ZINC000459788577 203369460 /nfs/dbraw/zinc/36/94/60/203369460.db2.gz LSQMUXCITAORFK-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN C[C@@H](NCCCC(C)(C)C)c1ccn(C)n1 ZINC000459801075 203372712 /nfs/dbraw/zinc/37/27/12/203372712.db2.gz XDHDNEDUNSKNCG-LLVKDONJSA-N 0 3 223.364 2.897 20 0 BFADHN Cc1ccc(CNCCCn2cccn2)c(F)c1 ZINC000631037600 370990134 /nfs/dbraw/zinc/99/01/34/370990134.db2.gz GRUUNXLZAACKEQ-UHFFFAOYSA-N 0 3 247.317 2.511 20 0 BFADHN COC(=O)[C@H]1CCCCN1C[C@@H](C)C(C)(C)C ZINC000619844110 369616458 /nfs/dbraw/zinc/61/64/58/369616458.db2.gz VONUXWZAGCVUHK-VXGBXAGGSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H](CN1CC[C@@H](C)[S@@](=O)CC1)C(C)(C)C ZINC000619846008 369618930 /nfs/dbraw/zinc/61/89/30/369618930.db2.gz NTEOLQOWSKVWBD-HSMVNMDESA-N 0 3 245.432 2.512 20 0 BFADHN Cc1nnsc1CN1CCC[C@H]1C(C)(C)C ZINC000567989898 326292540 /nfs/dbraw/zinc/29/25/40/326292540.db2.gz UINAJZWJQBGIHG-NSHDSACASA-N 0 3 239.388 2.857 20 0 BFADHN CCC[C@H](NCCCOCC)c1ccccn1 ZINC000158441426 326321442 /nfs/dbraw/zinc/32/14/42/326321442.db2.gz IXOIORTVKWPMOD-ZDUSSCGKSA-N 0 3 236.359 2.939 20 0 BFADHN CCOCCN(CC)[C@@H](C)c1ccncc1 ZINC000075718746 370168529 /nfs/dbraw/zinc/16/85/29/370168529.db2.gz YCAYNMRZGHPYST-LBPRGKRZSA-N 0 3 222.332 2.501 20 0 BFADHN CCCCN(C)Cc1cncc(Cl)c1 ZINC000621243211 370176289 /nfs/dbraw/zinc/17/62/89/370176289.db2.gz PHYAGYSQAAOHGN-UHFFFAOYSA-N 0 3 212.724 2.967 20 0 BFADHN Cc1cc(CN2CC[C@@]3(CC3(F)F)C2)ccn1 ZINC000621313223 370238178 /nfs/dbraw/zinc/23/81/78/370238178.db2.gz ZSIXIGPDTJWUSD-GFCCVEGCSA-N 0 3 238.281 2.621 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cncc(Cl)c2)C1 ZINC000621259297 370191794 /nfs/dbraw/zinc/19/17/94/370191794.db2.gz QYNHBYTYXVKGLK-ZJUUUORDSA-N 0 3 224.735 2.965 20 0 BFADHN Clc1cncc(CN2C[C@@H]3CCC[C@@H]3C2)c1 ZINC000621262862 370194840 /nfs/dbraw/zinc/19/48/40/370194840.db2.gz SRMVYDISTJLLPZ-TXEJJXNPSA-N 0 3 236.746 2.967 20 0 BFADHN Cc1ccoc1CNCC[C@H]1CC1(F)F ZINC000621377906 370320447 /nfs/dbraw/zinc/32/04/47/370320447.db2.gz JECMDQJRNQTMES-VIFPVBQESA-N 0 3 215.243 2.723 20 0 BFADHN Cc1nccnc1CN[C@@H](C)C1CCCCC1 ZINC000621337824 370271129 /nfs/dbraw/zinc/27/11/29/370271129.db2.gz KLZCKPLXPPPGCR-NSHDSACASA-N 0 3 233.359 2.843 20 0 BFADHN CCOc1cncc(CNCCC(C)(F)F)c1 ZINC000621358241 370293374 /nfs/dbraw/zinc/29/33/74/370293374.db2.gz BUFKMAYWTKLULF-UHFFFAOYSA-N 0 3 244.285 2.615 20 0 BFADHN CC[C@H](NCc1nccnc1C)[C@@H]1CC1(C)C ZINC000621363297 370297537 /nfs/dbraw/zinc/29/75/37/370297537.db2.gz LUBPREUANZUIFP-RYUDHWBXSA-N 0 3 233.359 2.699 20 0 BFADHN CCOc1cncc(CNC2(C3CCC3)CC2)c1 ZINC000621368346 370303769 /nfs/dbraw/zinc/30/37/69/370303769.db2.gz RFTHTFLHLJNFMO-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1nccnc1C ZINC000621369760 370305196 /nfs/dbraw/zinc/30/51/96/370305196.db2.gz VOCNZDWZHKVIPW-LLVKDONJSA-N 0 3 221.348 2.699 20 0 BFADHN COc1cccc(OC)c1CNCC1CC(C)C1 ZINC000621384454 370328465 /nfs/dbraw/zinc/32/84/65/370328465.db2.gz HXPSIWHGVFEAOL-UHFFFAOYSA-N 0 3 249.354 2.840 20 0 BFADHN COc1ccc(CNCC2CC(C)C2)c(F)c1 ZINC000621384891 370329681 /nfs/dbraw/zinc/32/96/81/370329681.db2.gz ZSPIIUYIBALTRZ-UHFFFAOYSA-N 0 3 237.318 2.970 20 0 BFADHN Cc1cc(C)cc([C@@H](C)NCc2cn[nH]c2)c1 ZINC000113413057 370409977 /nfs/dbraw/zinc/40/99/77/370409977.db2.gz MATAZANWINFRRA-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN CC[C@@H]1CCCCN1Cc1nccnc1C ZINC000621708809 370453318 /nfs/dbraw/zinc/45/33/18/370453318.db2.gz AQEKWHKOILNFCV-GFCCVEGCSA-N 0 3 219.332 2.550 20 0 BFADHN CCCc1ccc(CN2CCC[C@@H](O)C2)s1 ZINC000621708878 370453422 /nfs/dbraw/zinc/45/34/22/370453422.db2.gz CWARUIQTXAEVHA-LLVKDONJSA-N 0 3 239.384 2.657 20 0 BFADHN Cc1nccnc1CN(C)C1CCCCCC1 ZINC000621710740 370456102 /nfs/dbraw/zinc/45/61/02/370456102.db2.gz OFQIOAYJVUIBCC-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN CCOc1cncc(CN(C)CC(C)(C)C)c1 ZINC000621713011 370459173 /nfs/dbraw/zinc/45/91/73/370459173.db2.gz XSECCKHDRTZQAU-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN Cc1nccnc1CN1CCC[C@H]1C(C)(C)C ZINC000621714938 370462917 /nfs/dbraw/zinc/46/29/17/370462917.db2.gz DRYZFOHQQDXBHP-ZDUSSCGKSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1nccnc1CN1CC[C@H](CC(C)C)C1 ZINC000621715999 370464990 /nfs/dbraw/zinc/46/49/90/370464990.db2.gz NTTWIPABZMLBNR-CYBMUJFWSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1nccnc1CN1CCCC(C)(C)CC1 ZINC000621717870 370467356 /nfs/dbraw/zinc/46/73/56/370467356.db2.gz QRCQNZPQNXDFPN-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1nccnc1C ZINC000621728366 370478753 /nfs/dbraw/zinc/47/87/53/370478753.db2.gz NBIXACAASCGAJB-JQWIXIFHSA-N 0 3 219.332 2.548 20 0 BFADHN Cc1nccnc1CN1CC[C@H](C)C[C@@H](C)C1 ZINC000621719295 370469163 /nfs/dbraw/zinc/46/91/63/370469163.db2.gz IJTIJWJVXYIYRR-NWDGAFQWSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1nccnc1CN1CC[C@H](C)C[C@H](C)C1 ZINC000621719296 370469328 /nfs/dbraw/zinc/46/93/28/370469328.db2.gz IJTIJWJVXYIYRR-RYUDHWBXSA-N 0 3 233.359 2.653 20 0 BFADHN CCOc1cncc(CN2CC[C@H](C3CC3)C2)c1 ZINC000621718998 370469868 /nfs/dbraw/zinc/46/98/68/370469868.db2.gz ZFUCGGGIGQHZNS-AWEZNQCLSA-N 0 3 246.354 2.712 20 0 BFADHN CCOc1cncc(CN2CC[C@@H](C3CC3)C2)c1 ZINC000621718999 370470027 /nfs/dbraw/zinc/47/00/27/370470027.db2.gz ZFUCGGGIGQHZNS-CQSZACIVSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1nccnc1CN1CC[C@@H](C(C)(C)C)C1 ZINC000621722255 370473278 /nfs/dbraw/zinc/47/32/78/370473278.db2.gz PVQKEEJHNBCPPL-GFCCVEGCSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1nccnc1CN1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000621724328 370475388 /nfs/dbraw/zinc/47/53/88/370475388.db2.gz FSDRYHLQANLMQB-WZRBSPASSA-N 0 3 233.359 2.651 20 0 BFADHN C[C@@H](NCC[C@@H]1CC1(F)F)c1ccc(F)cn1 ZINC000621768307 370507951 /nfs/dbraw/zinc/50/79/51/370507951.db2.gz MUQFPRLRNBQQKO-RKDXNWHRSA-N 0 3 244.260 2.917 20 0 BFADHN CC1CC(CN[C@H]2C[C@H](C)n3ccnc32)C1 ZINC000621769681 370508595 /nfs/dbraw/zinc/50/85/95/370508595.db2.gz FMYDXSMYMXGTNP-ADPBJBESSA-N 0 3 219.332 2.525 20 0 BFADHN CCn1ccnc1[C@H](C)NCC[C@@H]1CC1(F)F ZINC000621767547 370509580 /nfs/dbraw/zinc/50/95/80/370509580.db2.gz GEIUAPWQTMXESX-VHSXEESVSA-N 0 3 243.301 2.599 20 0 BFADHN C[C@@H]1C[C@@H](NCC[C@@H]2CC2(F)F)c2nccn21 ZINC000621768985 370511302 /nfs/dbraw/zinc/51/13/02/370511302.db2.gz XDFZMNPOCRCFGQ-OPRDCNLKSA-N 0 3 241.285 2.524 20 0 BFADHN CC[C@@H](NCC1CC(C)C1)c1ccn(C)n1 ZINC000621771324 370513856 /nfs/dbraw/zinc/51/38/56/370513856.db2.gz CDXKBCSXWNBMDI-HTAVTVPLSA-N 0 3 221.348 2.507 20 0 BFADHN CCCC[C@H](C)N(C)Cc1nccnc1C ZINC000621728323 370480780 /nfs/dbraw/zinc/48/07/80/370480780.db2.gz LNHVUWRABDHBCI-NSHDSACASA-N 0 3 221.348 2.796 20 0 BFADHN Cc1ccc(CN[C@H](C)Cn2ccnc2)c(F)c1 ZINC000631041949 371002911 /nfs/dbraw/zinc/00/29/11/371002911.db2.gz VCCUMPFVGNATRE-GFCCVEGCSA-N 0 3 247.317 2.509 20 0 BFADHN CCc1nocc1CNC[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000623740836 371072332 /nfs/dbraw/zinc/07/23/32/371072332.db2.gz FCKQIPTYOPBDLZ-GNXNZQSNSA-N 0 3 234.343 2.763 20 0 BFADHN COc1cccc(CNC[C@]2(C)C[C@H]3C[C@H]3C2)n1 ZINC000623740932 371075989 /nfs/dbraw/zinc/07/59/89/371075989.db2.gz GVCUJRSNOOWIAX-JJLQDPRZSA-N 0 3 246.354 2.616 20 0 BFADHN Cc1ccc(CN[C@@H](CO)CC(C)C)c(F)c1 ZINC000631049771 371094386 /nfs/dbraw/zinc/09/43/86/371094386.db2.gz ODUFWWDIBKSIQO-CYBMUJFWSA-N 0 3 239.334 2.631 20 0 BFADHN C[C@@H]1C[C@H]1CN[C@@H]1CCCc2scnc21 ZINC000623874685 371137304 /nfs/dbraw/zinc/13/73/04/371137304.db2.gz OEAAAWQDKTYJJL-KXUCPTDWSA-N 0 3 222.357 2.766 20 0 BFADHN CO[C@H](C)CCN[C@@H]1CCCc2scnc21 ZINC000623883513 371140004 /nfs/dbraw/zinc/14/00/04/371140004.db2.gz CNJDMXIZATYLDJ-NXEZZACHSA-N 0 3 240.372 2.535 20 0 BFADHN CCC[C@](C)(O)CN[C@H](C)c1nc(C)cs1 ZINC000623893026 371142792 /nfs/dbraw/zinc/14/27/92/371142792.db2.gz CJEJYBFPHUBCLM-PWSUYJOCSA-N 0 3 242.388 2.653 20 0 BFADHN c1nc(CNC[C@@H]2CCCC23CC3)cs1 ZINC000623824674 371113139 /nfs/dbraw/zinc/11/31/39/371113139.db2.gz MKLSCHXPVAOLAE-JTQLQIEISA-N 0 3 222.357 2.813 20 0 BFADHN C[C@H](N[C@@H]1CCCc2scnc21)C1CC1 ZINC000623852942 371127787 /nfs/dbraw/zinc/12/77/87/371127787.db2.gz GSDVOCSICVBAHD-WCBMZHEXSA-N 0 3 222.357 2.909 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(C)c(C)c1 ZINC000390604188 371218398 /nfs/dbraw/zinc/21/83/98/371218398.db2.gz CASKAZFNTVNKKH-KBPBESRZSA-N 0 3 219.328 2.570 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(C)c(C)c1 ZINC000390604192 371218883 /nfs/dbraw/zinc/21/88/83/371218883.db2.gz CASKAZFNTVNKKH-KGLIPLIRSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1cc(CN[C@H]2C[C@H](O)C2(C)C)c(C)s1 ZINC000163404319 326401486 /nfs/dbraw/zinc/40/14/86/326401486.db2.gz YYMXTBRSCOPPHA-RYUDHWBXSA-N 0 3 239.384 2.614 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@H]2CCCCO2)nc1C ZINC000623999202 371231807 /nfs/dbraw/zinc/23/18/07/371231807.db2.gz NKTCWXNDSAPYIX-DZGCQCFKSA-N 0 3 248.370 2.746 20 0 BFADHN CCc1nc(CNCCCC2CC2)cs1 ZINC000124959634 371262475 /nfs/dbraw/zinc/26/24/75/371262475.db2.gz MXTNFMSWMXQYMU-UHFFFAOYSA-N 0 3 224.373 2.985 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1ccncc1)OCC ZINC000624049194 371275277 /nfs/dbraw/zinc/27/52/77/371275277.db2.gz BKUCCSHRUKODRP-OCCSQVGLSA-N 0 3 236.359 2.937 20 0 BFADHN CC(C)CC[C@@H](CO)N[C@@H](C)c1ccncc1 ZINC000624109693 371314239 /nfs/dbraw/zinc/31/42/39/371314239.db2.gz DTTNEIIDACRREZ-JSGCOSHPSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)CC[C@@H](CO)N[C@H](C)c1ccco1 ZINC000624109497 371314546 /nfs/dbraw/zinc/31/45/46/371314546.db2.gz ZPSJPLILJRXBAP-NEPJUHHUSA-N 0 3 225.332 2.727 20 0 BFADHN CC(C)CC[C@H](CO)N[C@H](C)c1ccccn1 ZINC000624110100 371314664 /nfs/dbraw/zinc/31/46/64/371314664.db2.gz PCMBQJCOKNPJLO-CHWSQXEVSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1cc(CNCCc2ccc(C)cc2)on1 ZINC000631075993 371361238 /nfs/dbraw/zinc/36/12/38/371361238.db2.gz VFRKFCJQJMZCDK-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN C/C=C\CN[C@H](C)[C@H](OC)c1ccccc1 ZINC000624197938 371385923 /nfs/dbraw/zinc/38/59/23/371385923.db2.gz AGFHBMUCUKRBTA-QDSCNLCFSA-N 0 3 219.328 2.928 20 0 BFADHN C/C=C\CN[C@H](c1cncc(F)c1)C1CC1 ZINC000624198168 371387439 /nfs/dbraw/zinc/38/74/39/371387439.db2.gz HALAAAHPTIEKTK-ZRMMWKCHSA-N 0 3 220.291 2.838 20 0 BFADHN C/C=C/CN[C@H](C)c1sccc1OC ZINC000624198278 371387450 /nfs/dbraw/zinc/38/74/50/371387450.db2.gz KECDNIHZDCBMRU-XNPJLODASA-N 0 3 211.330 2.983 20 0 BFADHN C/C=C\CN[C@H](c1cccnc1)C(C)C ZINC000624198519 371387797 /nfs/dbraw/zinc/38/77/97/371387797.db2.gz QRLQPWGUZGLBOP-ZFDPJTLLSA-N 0 3 204.317 2.944 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc(OC)c(O)c1 ZINC000624199166 371388598 /nfs/dbraw/zinc/38/85/98/371388598.db2.gz IAEGVKFMXPSXIE-UMCURTJPSA-N 0 3 221.300 2.628 20 0 BFADHN CC=CC[NH2+][C@H](c1nnc[n-]1)C1CCCCC1 ZINC000624199464 371390173 /nfs/dbraw/zinc/39/01/73/371390173.db2.gz PXMAKDMPUXORRM-DLGQBQFBSA-N 0 3 234.347 2.592 20 0 BFADHN CC=CCN[C@H](c1nnc[nH]1)C1CCCCC1 ZINC000624199464 371390175 /nfs/dbraw/zinc/39/01/75/371390175.db2.gz PXMAKDMPUXORRM-DLGQBQFBSA-N 0 3 234.347 2.592 20 0 BFADHN C[C@]1(CN[C@@H]2CCCn3ccnc32)C[C@H]2C[C@H]2C1 ZINC000624201290 371392815 /nfs/dbraw/zinc/39/28/15/371392815.db2.gz DVZUXNXDJCCYCD-COMQUAJESA-N 0 3 245.370 2.744 20 0 BFADHN CC[C@@H](NC[C@]1(C)C[C@H]2C[C@H]2C1)c1nccn1C ZINC000624200865 371392863 /nfs/dbraw/zinc/39/28/63/371392863.db2.gz UQBDFYRCCSGLQD-QVHKTLOISA-N 0 3 247.386 2.897 20 0 BFADHN c1n[nH]cc1CNCc1cc2c(s1)CCCC2 ZINC000624217292 371405188 /nfs/dbraw/zinc/40/51/88/371405188.db2.gz KYFHFQOIKZEUCO-UHFFFAOYSA-N 0 3 247.367 2.640 20 0 BFADHN Cc1cc(CNCc2csc(C)c2C)n[nH]1 ZINC000631076990 371373277 /nfs/dbraw/zinc/37/32/77/371373277.db2.gz URXSOIJWHNAKPN-UHFFFAOYSA-N 0 3 235.356 2.686 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccc2c(c1)COC2 ZINC000624197327 371384123 /nfs/dbraw/zinc/38/41/23/371384123.db2.gz JJHKDYUUGSLKPK-UFFNRZRYSA-N 0 3 217.312 2.944 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccccc1N(C)C ZINC000624197255 371384678 /nfs/dbraw/zinc/38/46/78/371384678.db2.gz HAULRZPEXLPEMO-FYJFLYSWSA-N 0 3 218.344 2.979 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2ccc(C)nc2C)C1 ZINC000624299919 371463082 /nfs/dbraw/zinc/46/30/82/371463082.db2.gz YEUSNIOBFATSHE-JHJVBQTASA-N 0 3 234.343 2.526 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2cc(C)oc2C)C1 ZINC000624298503 371464248 /nfs/dbraw/zinc/46/42/48/371464248.db2.gz TXTDPGDCEPCDSC-DLOVCJGASA-N 0 3 223.316 2.724 20 0 BFADHN COc1ccsc1[C@H](C)N[C@H]1C[C@H](OC)C1 ZINC000624299133 371464908 /nfs/dbraw/zinc/46/49/08/371464908.db2.gz DTWZQVXQJXZBFS-GUBZILKMSA-N 0 3 241.356 2.585 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2cc3cnccc3o2)C1 ZINC000624300555 371468204 /nfs/dbraw/zinc/46/82/04/371468204.db2.gz KOECSUJKQXFNMA-YUSALJHKSA-N 0 3 246.310 2.656 20 0 BFADHN Cc1ccc(CN[C@@H](CCO)C(C)C)c(F)c1 ZINC000631080311 371494067 /nfs/dbraw/zinc/49/40/67/371494067.db2.gz WFPBOMNGHSJUBI-AWEZNQCLSA-N 0 3 239.334 2.631 20 0 BFADHN CC1CC(NCc2ccc(C(F)F)nc2)C1 ZINC000631081695 371572551 /nfs/dbraw/zinc/57/25/51/371572551.db2.gz DALVMHFKPVKUSI-UHFFFAOYSA-N 0 3 226.270 2.907 20 0 BFADHN Clc1cnccc1CNC1CC=CC1 ZINC000132929437 371951420 /nfs/dbraw/zinc/95/14/20/371951420.db2.gz MZMYUUMRJJXNHH-UHFFFAOYSA-N 0 3 208.692 2.543 20 0 BFADHN CSCCCCNCc1ccncc1Cl ZINC000229250923 372131553 /nfs/dbraw/zinc/13/15/53/372131553.db2.gz OLVYISTWRCGSCM-UHFFFAOYSA-N 0 3 244.791 2.968 20 0 BFADHN Cc1ccc(CNC[C@@H]2CC[C@H](C)O2)c(F)c1 ZINC000631155058 372209635 /nfs/dbraw/zinc/20/96/35/372209635.db2.gz AVVWRVXHAGGSIM-AAEUAGOBSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ccc(CN[C@H]2COCC2(C)C)c(F)c1 ZINC000631152388 372209873 /nfs/dbraw/zinc/20/98/73/372209873.db2.gz GLWVEVLHVOKQOO-ZDUSSCGKSA-N 0 3 237.318 2.649 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@H](O)C23CCC3)c(F)c1 ZINC000631175573 372217831 /nfs/dbraw/zinc/21/78/31/372217831.db2.gz QEQQLTRAKRTJGN-KBPBESRZSA-N 0 3 249.329 2.527 20 0 BFADHN CC(=O)Nc1cccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000631176690 372217986 /nfs/dbraw/zinc/21/79/86/372217986.db2.gz CDCSAPYVROXBJD-QDMKHBRRSA-N 0 3 244.338 2.533 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H](O)C23CCC3)c(F)c1 ZINC000631175574 372218278 /nfs/dbraw/zinc/21/82/78/372218278.db2.gz QEQQLTRAKRTJGN-KGLIPLIRSA-N 0 3 249.329 2.527 20 0 BFADHN Cc1sccc1CNCC(C)(C)F ZINC000631189677 372224091 /nfs/dbraw/zinc/22/40/91/372224091.db2.gz WORTWQUYCLCHQL-UHFFFAOYSA-N 0 3 201.310 2.894 20 0 BFADHN COCc1cccc(CNCC(C)(C)F)c1 ZINC000631190097 372224957 /nfs/dbraw/zinc/22/49/57/372224957.db2.gz WWRROYRZYFRQII-UHFFFAOYSA-N 0 3 225.307 2.671 20 0 BFADHN COCc1ccc(CNCC(C)(C)F)cc1 ZINC000631190107 372225181 /nfs/dbraw/zinc/22/51/81/372225181.db2.gz XIYCQOUEEFXMQH-UHFFFAOYSA-N 0 3 225.307 2.671 20 0 BFADHN c1nc(C2CC2)ncc1CN[C@H]1CCCC12CC2 ZINC000631193979 372225649 /nfs/dbraw/zinc/22/56/49/372225649.db2.gz SXXJBSUAXSCULA-ZDUSSCGKSA-N 0 3 243.354 2.776 20 0 BFADHN Cc1scc(CNCC2(F)CC2)c1C ZINC000631206378 372235312 /nfs/dbraw/zinc/23/53/12/372235312.db2.gz MABHRSHVOXMLDO-UHFFFAOYSA-N 0 3 213.321 2.957 20 0 BFADHN Cc1ncc(CNCc2csc(C)c2C)n1C ZINC000631207977 372237175 /nfs/dbraw/zinc/23/71/75/372237175.db2.gz YIWJNPHRPQDUHU-UHFFFAOYSA-N 0 3 249.383 2.697 20 0 BFADHN CC(C)n1cc(CN[C@]23C[C@H]2CCCC3)cn1 ZINC000631226150 372248061 /nfs/dbraw/zinc/24/80/61/372248061.db2.gz LPBSTWRZOUDQFO-ZIAGYGMSSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1ccc(CN[C@H]2CC[C@H](C)SC2)o1 ZINC000631243096 372255375 /nfs/dbraw/zinc/25/53/75/372255375.db2.gz RPIGKJYQBRPNGT-QWRGUYRKSA-N 0 3 225.357 2.962 20 0 BFADHN Cc1nc(CN[C@@H](C)CC2CCC2)[nH]c1C ZINC000631250994 372258969 /nfs/dbraw/zinc/25/89/69/372258969.db2.gz RNSQFTLRAPWWJN-VIFPVBQESA-N 0 3 221.348 2.695 20 0 BFADHN Cc1ncc(CN[C@H](C)c2ccccc2C)n1C ZINC000631248566 372259114 /nfs/dbraw/zinc/25/91/14/372259114.db2.gz RPZMYGVBRBXVPR-GFCCVEGCSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@H]2CCCc3ncccc32)O1 ZINC000631635599 372473851 /nfs/dbraw/zinc/47/38/51/372473851.db2.gz NVZVPEJURUXHEK-JMSVASOKSA-N 0 3 246.354 2.616 20 0 BFADHN CCC[C@H](NC[C@H](O)CC)c1cc(C)ccn1 ZINC000631638695 372475279 /nfs/dbraw/zinc/47/52/79/372475279.db2.gz GWIHOZVKOAQTQS-OLZOCXBDSA-N 0 3 236.359 2.592 20 0 BFADHN CCC[C@@H](NC[C@@H](C)N(C)C)c1cc(C)ccn1 ZINC000631578322 372438809 /nfs/dbraw/zinc/43/88/09/372438809.db2.gz RCBPJSMDSLQLDI-ZIAGYGMSSA-N 0 3 249.402 2.771 20 0 BFADHN CC/C=C/CCN(CC)C[C@H](O)C(F)(F)F ZINC000189498332 259553890 /nfs/dbraw/zinc/55/38/90/259553890.db2.gz OWQWUCSSQWPHMO-PORFMDCZSA-N 0 3 239.281 2.588 20 0 BFADHN C/C=C\CN[C@@H]1CCc2cc(OC)ccc21 ZINC000631651686 372481964 /nfs/dbraw/zinc/48/19/64/372481964.db2.gz VTIQTBMFUFYVTB-VQTKUKTRSA-N 0 3 217.312 2.848 20 0 BFADHN CC1(C)OCC[C@H]1N[C@H]1CCCc2ncccc21 ZINC000631632696 372470855 /nfs/dbraw/zinc/47/08/55/372470855.db2.gz ACUSITBAYZLGNK-UONOGXRCSA-N 0 3 246.354 2.616 20 0 BFADHN Cc1ccc(CN[C@H]2CCCSCC2)o1 ZINC000631729853 372535286 /nfs/dbraw/zinc/53/52/86/372535286.db2.gz JTBHMHOYFFDWMX-NSHDSACASA-N 0 3 225.357 2.963 20 0 BFADHN CC[C@@H](NCC(C)(C)F)c1ccncc1 ZINC000631663804 372489552 /nfs/dbraw/zinc/48/95/52/372489552.db2.gz PFGLIQAVPUAHGK-LLVKDONJSA-N 0 3 210.296 2.870 20 0 BFADHN CCC[C@@H](CCO)N[C@@H]1CCCc2cccnc21 ZINC000631668008 372493716 /nfs/dbraw/zinc/49/37/16/372493716.db2.gz IWAWUUMBYKRZTE-UONOGXRCSA-N 0 3 248.370 2.600 20 0 BFADHN Cc1cc([C@H](C)NCC[C@H](O)C(F)F)oc1C ZINC000631698240 372510180 /nfs/dbraw/zinc/51/01/80/372510180.db2.gz DHYJVGFGILTENV-WPRPVWTQSA-N 0 3 247.285 2.563 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H](O)C(F)(F)F)c1ccccc1 ZINC000631717294 372520724 /nfs/dbraw/zinc/52/07/24/372520724.db2.gz HXQLAELNFSEPPG-ATZCPNFKSA-N 0 3 247.260 2.649 20 0 BFADHN CO[C@H]1C[C@H]2C[C@@H](NCc3ccc(C)o3)C[C@H]2C1 ZINC000631729333 372533353 /nfs/dbraw/zinc/53/33/53/372533353.db2.gz CGGKLGTWIBKPJC-LAVKHLEISA-N 0 3 249.354 2.881 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@@H]1CCCc2occc21 ZINC000193300963 205699177 /nfs/dbraw/zinc/69/91/77/205699177.db2.gz IEOUCTGGEHXYSQ-XTGUKYSCSA-N 0 3 235.327 2.574 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H](C)CC(C)C ZINC000188262910 205829164 /nfs/dbraw/zinc/82/91/64/205829164.db2.gz VRGWFNBDTOEIDO-PWSUYJOCSA-N 0 3 221.348 2.870 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CC[C@H](OC)C1 ZINC000190930275 205921328 /nfs/dbraw/zinc/92/13/28/205921328.db2.gz JMPCNPGNVNJHGX-KGLIPLIRSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@H](NCCCOC(F)F)c1ccco1 ZINC000194043548 206000712 /nfs/dbraw/zinc/00/07/12/206000712.db2.gz CZXXCUFVTYRJLA-QMMMGPOBSA-N 0 3 219.231 2.560 20 0 BFADHN CCOc1ccccc1CN(C)CCSC ZINC000193962066 206001359 /nfs/dbraw/zinc/00/13/59/206001359.db2.gz DVJRYMMYSGLQJX-UHFFFAOYSA-N 0 3 239.384 2.880 20 0 BFADHN C=Cn1cc(CN(C(C)C)C2CCC2)cn1 ZINC000193994726 206000765 /nfs/dbraw/zinc/00/07/65/206000765.db2.gz JPRBKJRVCPHQJX-UHFFFAOYSA-N 0 3 219.332 2.747 20 0 BFADHN C[C@H]1CCN(Cc2ccon2)C[C@@H](C)C1 ZINC000246575379 374276770 /nfs/dbraw/zinc/27/67/70/374276770.db2.gz FUEGEGPSHXVZLK-QWRGUYRKSA-N 0 3 208.305 2.543 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnc(C2CC2)nc1 ZINC000459308864 206009140 /nfs/dbraw/zinc/00/91/40/206009140.db2.gz URSRHZDFUNYBDM-NSHDSACASA-N 0 3 233.359 2.974 20 0 BFADHN C[C@H](CN1CC[C@]12CCOC2)c1ccccc1 ZINC000421022510 206025023 /nfs/dbraw/zinc/02/50/23/206025023.db2.gz QKZVDUAWDQLESN-UKRRQHHQSA-N 0 3 231.339 2.655 20 0 BFADHN CC[C@H](C)CN[C@@H](CC)c1ccn(C)n1 ZINC000421879125 206026947 /nfs/dbraw/zinc/02/69/47/206026947.db2.gz MUKXOOXANHJLLI-QWRGUYRKSA-N 0 3 209.337 2.507 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2CC23CC3)c(OC)c1 ZINC000424328865 206037404 /nfs/dbraw/zinc/03/74/04/206037404.db2.gz RBKWNRZVWVKSAM-HZMBPMFUSA-N 0 3 247.338 2.907 20 0 BFADHN Cc1cnc([C@@H](C)N(C)CC2CCC2)cn1 ZINC000428239284 206055066 /nfs/dbraw/zinc/05/50/66/206055066.db2.gz NRSHAENPUHRRFE-LLVKDONJSA-N 0 3 219.332 2.578 20 0 BFADHN CN(Cc1cccc2nccn21)[C@H]1CC1(C)C ZINC000426555448 206051631 /nfs/dbraw/zinc/05/16/31/206051631.db2.gz LEUWHEDRZWJJFO-LBPRGKRZSA-N 0 3 229.327 2.565 20 0 BFADHN CCC(CC)N(Cc1nnc(C)[nH]1)CC(C)C ZINC000428236187 206054168 /nfs/dbraw/zinc/05/41/68/206054168.db2.gz GIYKJVIAQSFPKN-UHFFFAOYSA-N 0 3 238.379 2.760 20 0 BFADHN C[C@H]1C[C@@H]1NCc1nc(-c2ccccc2)c[nH]1 ZINC000194291701 206063051 /nfs/dbraw/zinc/06/30/51/206063051.db2.gz PSEKLABKWUMUFP-JQWIXIFHSA-N 0 3 227.311 2.575 20 0 BFADHN CC(C)c1ncc(CN(C)CCC2CC2)cn1 ZINC000440461825 206078524 /nfs/dbraw/zinc/07/85/24/206078524.db2.gz VGHAXWMABYQEPW-UHFFFAOYSA-N 0 3 233.359 2.832 20 0 BFADHN CCc1ccc(CN2CC[C@H]2C2CC2)o1 ZINC000449425918 206098507 /nfs/dbraw/zinc/09/85/07/206098507.db2.gz JJXLWVNEGDAAHV-ZDUSSCGKSA-N 0 3 205.301 2.826 20 0 BFADHN CSc1ccccc1[C@@H](C)NCC[C@H](C)O ZINC000194891922 206112725 /nfs/dbraw/zinc/11/27/25/206112725.db2.gz HOGJNLOCFCGLPP-WDEREUQCSA-N 0 3 239.384 2.830 20 0 BFADHN C[C@H](Nc1cccc(CN(C)C)c1)[C@@H]1CCOC1 ZINC000165139456 206139443 /nfs/dbraw/zinc/13/94/43/206139443.db2.gz JMBKGURQPZYWGD-GXTWGEPZSA-N 0 3 248.370 2.585 20 0 BFADHN CCC1(CC)CN(Cc2c(C)n[nH]c2C)C1 ZINC000459591640 206134977 /nfs/dbraw/zinc/13/49/77/206134977.db2.gz GKUZUVRQLQQQIJ-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN CCCCOCCNCc1cccc(F)c1 ZINC000040505953 168901669 /nfs/dbraw/zinc/90/16/69/168901669.db2.gz QFRRYKOGNROXLD-UHFFFAOYSA-N 0 3 225.307 2.732 20 0 BFADHN OC1(CNCc2cc3ccccc3o2)CCCC1 ZINC000096479044 183019939 /nfs/dbraw/zinc/01/99/39/183019939.db2.gz FDPRPPLSSQTXGZ-UHFFFAOYSA-N 0 3 245.322 2.828 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1ccc(CC)cc1 ZINC000037619263 168968480 /nfs/dbraw/zinc/96/84/80/168968480.db2.gz UWXIYNXVLDTBSZ-CYBMUJFWSA-N 0 3 234.343 2.705 20 0 BFADHN OCC1CCN(C/C=C/c2ccc(F)cc2)CC1 ZINC000127078474 183040336 /nfs/dbraw/zinc/04/03/36/183040336.db2.gz WMZVULUIQRZWIZ-OWOJBTEDSA-N 0 3 249.329 2.543 20 0 BFADHN OCCCCCCNCc1cc(F)ccc1F ZINC000083371477 183043853 /nfs/dbraw/zinc/04/38/53/183043853.db2.gz QMFMXZYKJLMMLJ-UHFFFAOYSA-N 0 3 243.297 2.607 20 0 BFADHN OCCCCN(C/C=C\c1ccccc1)C1CC1 ZINC000353554804 183048704 /nfs/dbraw/zinc/04/87/04/183048704.db2.gz LVTKGZWDYIUHLE-TWGQIWQCSA-N 0 3 245.366 2.937 20 0 BFADHN OCC1(NCc2ccccc2F)CCCCC1 ZINC000053096193 183038054 /nfs/dbraw/zinc/03/80/54/183038054.db2.gz LCDRWXRVZUTOQP-UHFFFAOYSA-N 0 3 237.318 2.611 20 0 BFADHN OCCCCNCc1ccc(Cl)cc1Cl ZINC000006888702 183052450 /nfs/dbraw/zinc/05/24/50/183052450.db2.gz SOPRNVLVHPXRDY-UHFFFAOYSA-N 0 3 248.153 2.856 20 0 BFADHN OCCCN[C@@H](CC(F)(F)F)c1ccccc1 ZINC000037236627 183058479 /nfs/dbraw/zinc/05/84/79/183058479.db2.gz KNVGMPXGVCVQMY-NSHDSACASA-N 0 3 247.260 2.652 20 0 BFADHN OCCN(Cc1ccc(Cl)s1)C1CCC1 ZINC000221148121 183067386 /nfs/dbraw/zinc/06/73/86/183067386.db2.gz BEZSQMSBHOCTLC-UHFFFAOYSA-N 0 3 245.775 2.748 20 0 BFADHN OCCN(Cc1ccc(Cl)cc1)C1CCC1 ZINC000221155992 183067933 /nfs/dbraw/zinc/06/79/33/183067933.db2.gz KRSXMBVBTUPSLH-UHFFFAOYSA-N 0 3 239.746 2.687 20 0 BFADHN OCCN1CCCC[C@H]1c1cc2ccccc2[nH]1 ZINC000081671401 183071767 /nfs/dbraw/zinc/07/17/67/183071767.db2.gz RXDPSMIKQDCJFK-HNNXBMFYSA-N 0 3 244.338 2.687 20 0 BFADHN OCCN1CCCC[C@@H]1c1cccc(Cl)c1 ZINC000370214071 183071900 /nfs/dbraw/zinc/07/19/00/183071900.db2.gz ZEDPAVLWSPQMOL-CYBMUJFWSA-N 0 3 239.746 2.859 20 0 BFADHN OCC[C@@H](NCCC(F)(F)F)c1ccccc1 ZINC000271662990 183089772 /nfs/dbraw/zinc/08/97/72/183089772.db2.gz LJJXZYWLZNRWKY-LLVKDONJSA-N 0 3 247.260 2.652 20 0 BFADHN OCC[C@@H](NC[C@H]1CC=CCC1)c1ccco1 ZINC000184505978 183091208 /nfs/dbraw/zinc/09/12/08/183091208.db2.gz NRXRRIKDFZXZOW-QWHCGFSZSA-N 0 3 235.327 2.649 20 0 BFADHN OCC[C@@H](N[C@@H]1CCC12CCC2)c1ccco1 ZINC000282654518 183091660 /nfs/dbraw/zinc/09/16/60/183091660.db2.gz IEBOUSHTCKOSSF-DGCLKSJQSA-N 0 3 235.327 2.625 20 0 BFADHN OCC[C@@H]1CCCN1Cc1ccc(Cl)cc1 ZINC000303743326 183092000 /nfs/dbraw/zinc/09/20/00/183092000.db2.gz YGNFTXGYSBIROR-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN OC[C@@H]1CCCCN1C/C=C\c1ccccc1 ZINC000033394701 183116506 /nfs/dbraw/zinc/11/65/06/183116506.db2.gz PPKHVWPJPUOATP-AJHXJQRKSA-N 0 3 231.339 2.547 20 0 BFADHN OC[C@@H]1CCCN(Cc2cc3ccccc3o2)C1 ZINC000268962658 183117957 /nfs/dbraw/zinc/11/79/57/183117957.db2.gz JCLPDKBRSPFZBA-GFCCVEGCSA-N 0 3 245.322 2.637 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1ccc(F)cc1C ZINC000037619272 169007337 /nfs/dbraw/zinc/00/73/37/169007337.db2.gz ZISHPFWMKUFEPD-NSHDSACASA-N 0 3 238.306 2.590 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@H](C)c1ccccc1C ZINC000040854802 169008174 /nfs/dbraw/zinc/00/81/74/169008174.db2.gz XIKUGSNWLUOSEC-OCCSQVGLSA-N 0 3 248.370 2.690 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@H](N)CCC ZINC000225538831 169001697 /nfs/dbraw/zinc/00/16/97/169001697.db2.gz XJCSRUUHCIMHCD-NWDGAFQWSA-N 0 3 228.380 2.589 20 0 BFADHN OC[C@H](CC1CCCC1)NCc1ccsc1 ZINC000309601363 183137534 /nfs/dbraw/zinc/13/75/34/183137534.db2.gz WQALZRSEOYZBOA-ZDUSSCGKSA-N 0 3 239.384 2.779 20 0 BFADHN OC[C@H](CNCc1ccco1)CC1CCCC1 ZINC000341480235 183137894 /nfs/dbraw/zinc/13/78/94/183137894.db2.gz HXPOAHIGNMYYJB-ZDUSSCGKSA-N 0 3 237.343 2.558 20 0 BFADHN OC[C@H](NCCCCCF)c1ccc(F)cc1 ZINC000284172664 183139527 /nfs/dbraw/zinc/13/95/27/183139527.db2.gz QVVJSPSQJZSNAR-ZDUSSCGKSA-N 0 3 243.297 2.589 20 0 BFADHN OC[C@H](N[C@@H]1CCCc2occc21)C1CCCC1 ZINC000290307719 183140976 /nfs/dbraw/zinc/14/09/76/183140976.db2.gz ZKIMJZPMYLBYIM-KGLIPLIRSA-N 0 3 249.354 2.798 20 0 BFADHN OC[C@H](N[C@@H]1C=CCCC1)c1ccc(F)cc1 ZINC000272973526 183141599 /nfs/dbraw/zinc/14/15/99/183141599.db2.gz COAQKVMIISEODG-KGLIPLIRSA-N 0 3 235.302 2.557 20 0 BFADHN OC[C@H]1CCCCN1C/C=C\c1ccccc1 ZINC000033394702 183147537 /nfs/dbraw/zinc/14/75/37/183147537.db2.gz PPKHVWPJPUOATP-WUKHSURWSA-N 0 3 231.339 2.547 20 0 BFADHN OC[C@H]1CCCN([C@H]2CCc3ccc(F)cc32)C1 ZINC000267125648 183149755 /nfs/dbraw/zinc/14/97/55/183149755.db2.gz BOEGTOYGUWWQGD-NHYWBVRUSA-N 0 3 249.329 2.517 20 0 BFADHN CCCCn1c2ccccc2nc1[C@@H](C)N ZINC000019734260 169035468 /nfs/dbraw/zinc/03/54/68/169035468.db2.gz FNOWYYPHXJCUQO-SNVBAGLBSA-N 0 3 217.316 2.856 20 0 BFADHN OCc1ccc(CN(C2CCC2)C2CCC2)o1 ZINC000292731325 183163521 /nfs/dbraw/zinc/16/35/21/183163521.db2.gz GGNSKDDWZBUUKP-UHFFFAOYSA-N 0 3 235.327 2.679 20 0 BFADHN OCc1ccc(CN2CCCCCCC2)o1 ZINC000161153651 183163921 /nfs/dbraw/zinc/16/39/21/183163921.db2.gz GHBCMIXNWBPBIH-UHFFFAOYSA-N 0 3 223.316 2.538 20 0 BFADHN O[C@@H](CN1Cc2ccccc2C1)C1CCCCC1 ZINC000158418783 183178124 /nfs/dbraw/zinc/17/81/24/183178124.db2.gz KUXPHDIJJSGDED-INIZCTEOSA-N 0 3 245.366 2.943 20 0 BFADHN O[C@@H](CNCc1cccs1)c1ccsc1 ZINC000164291377 183179988 /nfs/dbraw/zinc/17/99/88/183179988.db2.gz WINYDOAJBOGHSB-NSHDSACASA-N 0 3 239.365 2.633 20 0 BFADHN O[C@@H](CN1CC2(C1)CCCC2)c1ccc(F)cc1 ZINC000364488449 183176411 /nfs/dbraw/zinc/17/64/11/183176411.db2.gz UTCCNYGEXNXCII-AWEZNQCLSA-N 0 3 249.329 2.735 20 0 BFADHN O[C@@H]1CCCC[C@H]1NCc1sccc1Cl ZINC000278362668 183190134 /nfs/dbraw/zinc/19/01/34/183190134.db2.gz JAHMAXOBUBLYGQ-NXEZZACHSA-N 0 3 245.775 2.795 20 0 BFADHN O[C@@H]1CCCC[C@H]1NCc1cc(Cl)cs1 ZINC000086332737 183190523 /nfs/dbraw/zinc/19/05/23/183190523.db2.gz SAFQBUAJPNHNON-GHMZBOCLSA-N 0 3 245.775 2.795 20 0 BFADHN CCCN(C)CC(=O)N1CCCCC[C@@H]1CC ZINC000355449061 169064694 /nfs/dbraw/zinc/06/46/94/169064694.db2.gz YNHFCSFITBTZPI-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN O[C@@H]1CCCN(c2ccnc3ccccc32)CC1 ZINC000294085362 183192864 /nfs/dbraw/zinc/19/28/64/183192864.db2.gz NWWHJTKSYHIXLO-GFCCVEGCSA-N 0 3 242.322 2.586 20 0 BFADHN O[C@@H]1CCCN(Cc2ccccc2Cl)CC1 ZINC000293042243 183193505 /nfs/dbraw/zinc/19/35/05/183193505.db2.gz HENVAVCEBFHLHZ-GFCCVEGCSA-N 0 3 239.746 2.687 20 0 BFADHN CCCN(CC(=O)OC)C[C@H]1CCC[C@H](C)C1 ZINC000310405259 169100367 /nfs/dbraw/zinc/10/03/67/169100367.db2.gz LMMKYLLUJHSKDW-STQMWFEESA-N 0 3 241.375 2.698 20 0 BFADHN O[C@H](CN1CC2CCC1CC2)c1ccccc1F ZINC000367567410 183220163 /nfs/dbraw/zinc/22/01/63/183220163.db2.gz YMMNAEUFUKQVRQ-KOHJWAIASA-N 0 3 249.329 2.734 20 0 BFADHN CCCN(C)Cc1cncc(C(F)(F)F)c1 ZINC000361291580 169080369 /nfs/dbraw/zinc/08/03/69/169080369.db2.gz ZBOJJQOIVSIFHL-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN O[C@H](CN1Cc2ccccc2C1)C1CCCCC1 ZINC000158418930 183222898 /nfs/dbraw/zinc/22/28/98/183222898.db2.gz KUXPHDIJJSGDED-MRXNPFEDSA-N 0 3 245.366 2.943 20 0 BFADHN CCCN(CC)C(=O)[C@H](C)[C@@H](N)c1ccccc1 ZINC000131647958 169116069 /nfs/dbraw/zinc/11/60/69/169116069.db2.gz PSYLRNFQAXAXOW-TZMCWYRMSA-N 0 3 248.370 2.581 20 0 BFADHN CCCN(CC)CC(=O)Nc1ccc(C)cc1C ZINC000299382392 169120173 /nfs/dbraw/zinc/12/01/73/169120173.db2.gz OKMFJUWRIATDGQ-UHFFFAOYSA-N 0 3 248.370 2.974 20 0 BFADHN O[C@H]1CCCC[C@@H]1N[C@@H]1CCCc2occc21 ZINC000124025930 183232459 /nfs/dbraw/zinc/23/24/59/183232459.db2.gz CROJXLASTVREQY-AGIUHOORSA-N 0 3 235.327 2.550 20 0 BFADHN O[C@H]1CCCC[C@@H]1NCc1ccsc1Cl ZINC000334310479 183232935 /nfs/dbraw/zinc/23/29/35/183232935.db2.gz JGLHRGYGAGPGSQ-UWVGGRQHSA-N 0 3 245.775 2.795 20 0 BFADHN CCCN(CCC)Cc1cnn(CC(F)F)c1 ZINC000294769241 169151118 /nfs/dbraw/zinc/15/11/18/169151118.db2.gz ASUJRZJWRZQGKN-UHFFFAOYSA-N 0 3 245.317 2.770 20 0 BFADHN CCCN(CC)Cc1ccnc(Cl)c1 ZINC000051608696 169129048 /nfs/dbraw/zinc/12/90/48/169129048.db2.gz NWESISDHVIGNCC-UHFFFAOYSA-N 0 3 212.724 2.967 20 0 BFADHN CCCN(CC)Cc1c(C)cc(OC)nc1C ZINC000359230748 169129153 /nfs/dbraw/zinc/12/91/53/169129153.db2.gz RSMBNCHSAFFNCK-UHFFFAOYSA-N 0 3 236.359 2.939 20 0 BFADHN CCCN(CC)C[C@H](O)c1ccc(F)cc1F ZINC000299409295 169129236 /nfs/dbraw/zinc/12/92/36/169129236.db2.gz ZAWGYMYUUXQMAJ-ZDUSSCGKSA-N 0 3 243.297 2.730 20 0 BFADHN CCCN(CC)Cc1ccc(C)nc1C ZINC000360064837 169129261 /nfs/dbraw/zinc/12/92/61/169129261.db2.gz XAVNOCOEGHAGHH-UHFFFAOYSA-N 0 3 206.333 2.930 20 0 BFADHN CCCN(CC)Cc1ccccc1OC ZINC000299356287 169130191 /nfs/dbraw/zinc/13/01/91/169130191.db2.gz CILYLKJZWAPFDZ-UHFFFAOYSA-N 0 3 207.317 2.927 20 0 BFADHN CCCN(CC)Cc1ccc(COC)o1 ZINC000269348117 169130811 /nfs/dbraw/zinc/13/08/11/169130811.db2.gz JHNNPAZRDRRAOL-UHFFFAOYSA-N 0 3 211.305 2.658 20 0 BFADHN CCCN(CC)[C@H](C(=O)N(C)C)c1ccccc1 ZINC000270819899 169131137 /nfs/dbraw/zinc/13/11/37/169131137.db2.gz YFXFBTBWBHMSGJ-AWEZNQCLSA-N 0 3 248.370 2.548 20 0 BFADHN CCCN(CC)[C@@H]1CCc2ccccc2NC1=O ZINC000276862557 169131140 /nfs/dbraw/zinc/13/11/40/169131140.db2.gz XHRPQURBLDBJDR-CQSZACIVSA-N 0 3 246.354 2.672 20 0 BFADHN CCCN(CC1CCC1)[C@@H](CC)C(=O)OCC ZINC000361989659 169138522 /nfs/dbraw/zinc/13/85/22/169138522.db2.gz YQWXNJPDHVCHAQ-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN Oc1ccc2c(c1)[C@@H](NC[C@H]1CCCO1)CCC2 ZINC000236597715 183280904 /nfs/dbraw/zinc/28/09/04/183280904.db2.gz PGOQGBJMZWGCFH-HIFRSBDPSA-N 0 3 247.338 2.538 20 0 BFADHN Oc1ccc2c(c1)[C@H](NC[C@H]1CCCO1)CCC2 ZINC000236589457 183280956 /nfs/dbraw/zinc/28/09/56/183280956.db2.gz PGOQGBJMZWGCFH-UKRRQHHQSA-N 0 3 247.338 2.538 20 0 BFADHN CCCN(Cc1cc(C)no1)CC(C)C ZINC000299369584 169172990 /nfs/dbraw/zinc/17/29/90/169172990.db2.gz FCCHAJOPUVXLMI-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN CCCN(Cc1ccc(F)cn1)CC1CC1 ZINC000276347932 169175248 /nfs/dbraw/zinc/17/52/48/169175248.db2.gz JSBQJIBCXIZWFB-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN CCCN(Cc1cnc[nH]1)Cc1ccc(F)cc1 ZINC000280532231 169175992 /nfs/dbraw/zinc/17/59/92/169175992.db2.gz RZXPNMWDJDJNOR-UHFFFAOYSA-N 0 3 247.317 2.961 20 0 BFADHN CCCN(CCO)Cc1cc2ccccc2o1 ZINC000178515925 169166611 /nfs/dbraw/zinc/16/66/11/169166611.db2.gz KJURBGNKBMNQHR-UHFFFAOYSA-N 0 3 233.311 2.637 20 0 BFADHN CCCN(CCO)Cc1cc(F)cc(Cl)c1 ZINC000275274820 169167588 /nfs/dbraw/zinc/16/75/88/169167588.db2.gz MAYKKAOMNQGEGD-UHFFFAOYSA-N 0 3 245.725 2.683 20 0 BFADHN CCCN1CCC(F)(c2ccccn2)CC1 ZINC000289395095 169187147 /nfs/dbraw/zinc/18/71/47/169187147.db2.gz RKDVJNYLLZQERW-UHFFFAOYSA-N 0 3 222.307 2.752 20 0 BFADHN c1[nH]nc2c1CN(CC1CCCCCC1)CC2 ZINC000358035294 183320274 /nfs/dbraw/zinc/32/02/74/183320274.db2.gz IPZNSIFZUZGBJU-UHFFFAOYSA-N 0 3 233.359 2.738 20 0 BFADHN CCCN1CCC=C(c2cccnc2)C1 ZINC000289288817 169191146 /nfs/dbraw/zinc/19/11/46/169191146.db2.gz GXXZAMCXWBWGGG-UHFFFAOYSA-N 0 3 202.301 2.581 20 0 BFADHN c1c[nH]c([C@@H](NC2CC2)C2CCCCC2)n1 ZINC000309306292 183328241 /nfs/dbraw/zinc/32/82/41/183328241.db2.gz AWFXUDLUQQNLLV-LBPRGKRZSA-N 0 3 219.332 2.783 20 0 BFADHN CCCN1CCC[C@H](Oc2ccccc2OC)C1 ZINC000353283511 169198614 /nfs/dbraw/zinc/19/86/14/169198614.db2.gz QQWSKDBCJNJIQK-ZDUSSCGKSA-N 0 3 249.354 2.948 20 0 BFADHN CCCN1CCN(Cc2ccccc2)C[C@@H]1C ZINC000303696684 169203235 /nfs/dbraw/zinc/20/32/35/169203235.db2.gz AJOMIAYTEIKLNM-AWEZNQCLSA-N 0 3 232.371 2.603 20 0 BFADHN CCCN(Cc1cnc(C)cn1)CC(C)C ZINC000336644772 169179265 /nfs/dbraw/zinc/17/92/65/169179265.db2.gz CNKCCPXBDWJVPR-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN CCCN(Cc1cn2ccccc2n1)C1CC1 ZINC000171679868 169179593 /nfs/dbraw/zinc/17/95/93/169179593.db2.gz DGSORHDFDAJWDO-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN c1cc(CN(CC[C@H]2CCCO2)C2CC2)ccn1 ZINC000189771640 183338019 /nfs/dbraw/zinc/33/80/19/183338019.db2.gz AFDTYZCMZOENPM-OAHLLOKOSA-N 0 3 246.354 2.615 20 0 BFADHN c1cn2c(cccc2CN2CCCCCC2)n1 ZINC000183451976 183340541 /nfs/dbraw/zinc/34/05/41/183340541.db2.gz ALOJBKSNUDLHCK-UHFFFAOYSA-N 0 3 229.327 2.710 20 0 BFADHN c1cc(CN2CCC3(CCC3)CC2)c[nH]1 ZINC000377705960 183341082 /nfs/dbraw/zinc/34/10/82/183341082.db2.gz SSWFPVCAOOVLQB-UHFFFAOYSA-N 0 3 204.317 2.781 20 0 BFADHN c1cc(CN2CCC[C@@]23CCOC3)cs1 ZINC000375305916 183342742 /nfs/dbraw/zinc/34/27/42/183342742.db2.gz BAJPITMMPDDACT-LBPRGKRZSA-N 0 3 223.341 2.503 20 0 BFADHN c1cc(CNCCOC2CCCCCC2)c[nH]1 ZINC000175711836 183350215 /nfs/dbraw/zinc/35/02/15/183350215.db2.gz QJPKNQZWNYPVFF-UHFFFAOYSA-N 0 3 236.359 2.844 20 0 BFADHN CCCN1C[C@H](C)OC[C@@H]1c1ccccc1 ZINC000076147963 169210361 /nfs/dbraw/zinc/21/03/61/169210361.db2.gz GHHVDFYODNFSSS-GXTWGEPZSA-N 0 3 219.328 2.858 20 0 BFADHN c1cc(CCCN2CCSCC2)cs1 ZINC000361020587 183335896 /nfs/dbraw/zinc/33/58/96/183335896.db2.gz NCLMXAALJBKULH-UHFFFAOYSA-N 0 3 227.398 2.730 20 0 BFADHN c1cc2c(o1)CCCN(CCc1cscn1)C2 ZINC000295163898 183371391 /nfs/dbraw/zinc/37/13/91/183371391.db2.gz FAAAMNYWKXMIDN-UHFFFAOYSA-N 0 3 248.351 2.727 20 0 BFADHN c1cc(CNC2CCSCC2)nc2c1CCC2 ZINC000334553064 183371845 /nfs/dbraw/zinc/37/18/45/183371845.db2.gz CQOLIJIIWMXQKD-UHFFFAOYSA-N 0 3 248.395 2.556 20 0 BFADHN c1cc2c(o1)CCCN(C[C@@H]1CCCCO1)C2 ZINC000288887736 183372125 /nfs/dbraw/zinc/37/21/25/183372125.db2.gz RORCEUZHWRILDC-ZDUSSCGKSA-N 0 3 235.327 2.597 20 0 BFADHN c1cc2c(o1)CCC[C@H]2N[C@@H]1CCSC1 ZINC000020203991 183372831 /nfs/dbraw/zinc/37/28/31/183372831.db2.gz CYAJXHYWUSPUCB-MWLCHTKSSA-N 0 3 223.341 2.752 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@@H]1CCSC1 ZINC000020203994 183373171 /nfs/dbraw/zinc/37/31/71/183373171.db2.gz CYAJXHYWUSPUCB-KOLCDFICSA-N 0 3 223.341 2.752 20 0 BFADHN c1cc2c(s1)CN(CCOC1CCC1)CC2 ZINC000287815299 183373722 /nfs/dbraw/zinc/37/37/22/183373722.db2.gz PJQAJEHPCKSJIZ-UHFFFAOYSA-N 0 3 237.368 2.675 20 0 BFADHN CCCNC(=O)[C@@H](C)N[C@H](CC)c1ccccc1 ZINC000036959763 169242243 /nfs/dbraw/zinc/24/22/43/169242243.db2.gz YQHMAZHKSGOCBV-TZMCWYRMSA-N 0 3 248.370 2.642 20 0 BFADHN c1[nH]c(-c2ccccc2)nc1CNC1CCC1 ZINC000267849343 183382267 /nfs/dbraw/zinc/38/22/67/183382267.db2.gz BIHFUOKEQMSCCZ-UHFFFAOYSA-N 0 3 227.311 2.719 20 0 BFADHN c1cc([C@@H]2CCCN(Cc3ccsc3)C2)n[nH]1 ZINC000121282080 183360245 /nfs/dbraw/zinc/36/02/45/183360245.db2.gz YUZOQEYOBRUANC-GFCCVEGCSA-N 0 3 247.367 2.851 20 0 BFADHN c1cc(CN[C@@H](Cc2ccccc2)C2CC2)on1 ZINC000273287116 183411031 /nfs/dbraw/zinc/41/10/31/183411031.db2.gz MAGBKKYPAXISCN-HNNXBMFYSA-N 0 3 242.322 2.786 20 0 BFADHN c1c[nH]c(CNCC2CC(c3ccccc3)C2)n1 ZINC000282531013 183388927 /nfs/dbraw/zinc/38/89/27/183388927.db2.gz AYLJCKFYDZZYRA-UHFFFAOYSA-N 0 3 241.338 2.693 20 0 BFADHN c1cnn(CCNC2(c3ccccc3)CCC2)c1 ZINC000170907767 183389474 /nfs/dbraw/zinc/38/94/74/183389474.db2.gz FXCIUIKFMKKNMQ-UHFFFAOYSA-N 0 3 241.338 2.552 20 0 BFADHN CCCNCc1cc(C(=O)OC)ccc1Cl ZINC000309466405 169265956 /nfs/dbraw/zinc/26/59/56/169265956.db2.gz PMRVZMSXBCOHRQ-UHFFFAOYSA-N 0 3 241.718 2.626 20 0 BFADHN CCCNCc1nc2c(s1)CCCC2 ZINC000049814806 169269820 /nfs/dbraw/zinc/26/98/20/169269820.db2.gz WHVLFWJDDBVQRM-UHFFFAOYSA-N 0 3 210.346 2.522 20 0 BFADHN c1ccc(CN(CC[C@H]2CCCO2)C2CC2)nc1 ZINC000189808408 183396868 /nfs/dbraw/zinc/39/68/68/183396868.db2.gz SFOIDKCZCQZQER-OAHLLOKOSA-N 0 3 246.354 2.615 20 0 BFADHN c1ccc(CN(CC2CCC2)C2CC2)nc1 ZINC000271557079 183397279 /nfs/dbraw/zinc/39/72/79/183397279.db2.gz PCGPTQLZPOCZIZ-UHFFFAOYSA-N 0 3 216.328 2.846 20 0 BFADHN CCCN[C@@H](C(=O)N(CC)CC)c1ccccc1 ZINC000098081191 169274045 /nfs/dbraw/zinc/27/40/45/169274045.db2.gz MWTMEBVAQGXDNG-CQSZACIVSA-N 0 3 248.370 2.596 20 0 BFADHN CCCN[C@@H](COCC(C)C)c1ccco1 ZINC000184194708 169279649 /nfs/dbraw/zinc/27/96/49/169279649.db2.gz BWUQTAVTBWLHBC-LBPRGKRZSA-N 0 3 225.332 2.993 20 0 BFADHN CCCO[C@H]1CCCN(Cc2ccncc2)CC1 ZINC000130430706 169312773 /nfs/dbraw/zinc/31/27/73/169312773.db2.gz DJAFGOKJWRCBGU-HNNXBMFYSA-N 0 3 248.370 2.863 20 0 BFADHN CCCOc1ccc(CN[C@@H](C)COC)cc1 ZINC000020087450 169318758 /nfs/dbraw/zinc/31/87/58/169318758.db2.gz MPUPSLAEFGZVJA-LBPRGKRZSA-N 0 3 237.343 2.600 20 0 BFADHN CCCOc1cccc(NC2CCN(C)CC2)c1 ZINC000036995422 169326061 /nfs/dbraw/zinc/32/60/61/169326061.db2.gz LWRNFLVXHSTGJA-UHFFFAOYSA-N 0 3 248.370 2.982 20 0 BFADHN CCCOc1ncccc1CNCC(C)C ZINC000049832369 169329052 /nfs/dbraw/zinc/32/90/52/169329052.db2.gz INSOLZZEBNHSQK-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN CCC[C@@H](C)NC(=O)[C@H](C)N1CCCCCC1 ZINC000125688764 169368694 /nfs/dbraw/zinc/36/86/94/169368694.db2.gz MFGVCODBEMTIAU-OLZOCXBDSA-N 0 3 240.391 2.556 20 0 BFADHN c1cnc2ccc(CNC[C@H]3CCCO3)cc2c1 ZINC000020248277 183486755 /nfs/dbraw/zinc/48/67/55/183486755.db2.gz IPXZUYBTWHURLC-CQSZACIVSA-N 0 3 242.322 2.503 20 0 BFADHN CCC[C@@H](C)C(=O)N[C@@H](CC(C)C)CN(C)C ZINC000077729604 169352341 /nfs/dbraw/zinc/35/23/41/169352341.db2.gz CIISIZHZGKHATC-OLZOCXBDSA-N 0 3 242.407 2.515 20 0 BFADHN CCC[C@@H](C)CN1CCO[C@H](COC(C)C)C1 ZINC000372115383 169358730 /nfs/dbraw/zinc/35/87/30/169358730.db2.gz BVWYOSYAAOQVOO-KGLIPLIRSA-N 0 3 243.391 2.548 20 0 BFADHN CCC[C@@H](C)CN[C@@H]1CCCc2c[nH]nc21 ZINC000336740132 169360326 /nfs/dbraw/zinc/36/03/26/169360326.db2.gz PWOCXQGEFDLJHV-ZYHUDNBSSA-N 0 3 221.348 2.813 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1Cc1ccn(C)n1 ZINC000354033073 169374574 /nfs/dbraw/zinc/37/45/74/169374574.db2.gz HRMMCUZAAGUZRR-TZMCWYRMSA-N 0 3 235.375 2.821 20 0 BFADHN CCC[C@@H](CC)NC(=O)C[C@@H](N)c1ccccc1 ZINC000050405289 169376159 /nfs/dbraw/zinc/37/61/59/169376159.db2.gz SEQVERYHLVCRMW-ZIAGYGMSSA-N 0 3 248.370 2.771 20 0 BFADHN CCC[C@@H](CC)NC(=O)[C@H]1CCCN1CCC ZINC000362698575 169377067 /nfs/dbraw/zinc/37/70/67/169377067.db2.gz JNZUGGIAKLJMFP-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN CCC[C@@H](CC)N[C@H](C)c1cnccn1 ZINC000070025738 169380238 /nfs/dbraw/zinc/38/02/38/169380238.db2.gz YKIKCGBXQXSUJE-GHMZBOCLSA-N 0 3 207.321 2.706 20 0 BFADHN CCC[C@@H](CCO)CN[C@@H](C)c1cncs1 ZINC000122039022 169383282 /nfs/dbraw/zinc/38/32/82/169383282.db2.gz CEOHCUDZCANOJX-QWRGUYRKSA-N 0 3 242.388 2.592 20 0 BFADHN CCC[C@@H](CNCc1ccc(CC)o1)OC ZINC000293660589 169384408 /nfs/dbraw/zinc/38/44/08/169384408.db2.gz LJQNRNIQLDLEGF-LBPRGKRZSA-N 0 3 225.332 2.747 20 0 BFADHN CCC[C@@H](CNCc1cccc(F)c1F)OC ZINC000293760135 169384754 /nfs/dbraw/zinc/38/47/54/169384754.db2.gz UWVYCLIFYIIIRX-NSHDSACASA-N 0 3 243.297 2.870 20 0 BFADHN CCC[C@@H](CNCc1cc(F)ccc1F)OC ZINC000293565374 169384847 /nfs/dbraw/zinc/38/48/47/169384847.db2.gz DBQOWEBHTWFRGK-LBPRGKRZSA-N 0 3 243.297 2.870 20 0 BFADHN CCC[C@@H](CNCc1sccc1OC)OC ZINC000295047035 169385057 /nfs/dbraw/zinc/38/50/57/169385057.db2.gz FLGXRLIAACTBFS-JTQLQIEISA-N 0 3 243.372 2.661 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1cccc(O)c1)OC ZINC000293547244 169385648 /nfs/dbraw/zinc/38/56/48/169385648.db2.gz BIEYUJQADAZGAR-FZMZJTMJSA-N 0 3 237.343 2.858 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1ccncc1)OC ZINC000293737266 169385934 /nfs/dbraw/zinc/38/59/34/169385934.db2.gz SAPMMEKFLRAOSY-AAEUAGOBSA-N 0 3 222.332 2.547 20 0 BFADHN CCC[C@@H](N)C(=O)N(C)CCC1CCCCC1 ZINC000236890932 169387075 /nfs/dbraw/zinc/38/70/75/169387075.db2.gz LVWJZLKVEAEQFD-CYBMUJFWSA-N 0 3 240.391 2.543 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1ccc(C(C)C)cc1 ZINC000036872779 169391363 /nfs/dbraw/zinc/39/13/63/169391363.db2.gz JITZGFNOQXJNCT-CYBMUJFWSA-N 0 3 234.343 2.876 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1cccc(C(F)F)c1 ZINC000157564244 169391612 /nfs/dbraw/zinc/39/16/12/169391612.db2.gz HRPCMQQYVWLWNX-SNVBAGLBSA-N 0 3 242.269 2.690 20 0 BFADHN CC(C)[C@@H](NC[C@@H](O)C(F)F)c1ccccc1 ZINC000223142979 134337210 /nfs/dbraw/zinc/33/72/10/134337210.db2.gz CZHNLJSEPKFNTL-VXGBXAGGSA-N 0 3 243.297 2.599 20 0 BFADHN c1coc(CN2CCC([C@H]3CCCO3)CC2)c1 ZINC000188271944 183508978 /nfs/dbraw/zinc/50/89/78/183508978.db2.gz QUYBYBNCCDFDLV-CQSZACIVSA-N 0 3 235.327 2.671 20 0 BFADHN CCC[C@@H]1C[C@@H]1NCc1ccc(CC)nc1 ZINC000336748148 169433931 /nfs/dbraw/zinc/43/39/31/169433931.db2.gz XWMRKGXZEUMPNB-OCCSQVGLSA-N 0 3 218.344 2.922 20 0 BFADHN COc1ccc(OC)c(CNCC2(C)CC2)c1 ZINC000224175283 134342146 /nfs/dbraw/zinc/34/21/46/134342146.db2.gz SATICVOAKPDMMR-UHFFFAOYSA-N 0 3 235.327 2.594 20 0 BFADHN CCC[C@@]1(NCc2ccc(Cl)o2)CCOC1 ZINC000336750768 169463133 /nfs/dbraw/zinc/46/31/33/169463133.db2.gz ZTOTZKMEUCNTHX-GFCCVEGCSA-N 0 3 243.734 2.982 20 0 BFADHN CCC[C@H](C)C(=O)Nc1ccccc1CNC ZINC000036280407 169475036 /nfs/dbraw/zinc/47/50/36/169475036.db2.gz JMPZWYOOTUQCDY-NSHDSACASA-N 0 3 234.343 2.781 20 0 BFADHN CCC[C@H](C)CN[C@@H](c1nccn1C)C1CC1 ZINC000353036341 169482767 /nfs/dbraw/zinc/48/27/67/169482767.db2.gz RBSYTSLNCQCIGM-WCQYABFASA-N 0 3 235.375 2.897 20 0 BFADHN CCC[C@H](C)CN[C@H]1CCCc2c[nH]nc21 ZINC000336740130 169483127 /nfs/dbraw/zinc/48/31/27/169483127.db2.gz PWOCXQGEFDLJHV-JQWIXIFHSA-N 0 3 221.348 2.813 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1cccc(F)c1 ZINC000133605573 169519841 /nfs/dbraw/zinc/51/98/41/169519841.db2.gz OTIVXSUKIWXKLX-GWCFXTLKSA-N 0 3 225.307 2.637 20 0 BFADHN C[C@H](NC[C@@H](O)C(F)F)c1ccc(Cl)cc1 ZINC000224472803 134347461 /nfs/dbraw/zinc/34/74/61/134347461.db2.gz RYCOBKHBTLDDEG-OIBJUYFYSA-N 0 3 249.688 2.617 20 0 BFADHN CCCc1cccc(CNC[C@H](C)OC)c1 ZINC000336763575 169577107 /nfs/dbraw/zinc/57/71/07/169577107.db2.gz MKCQMJFJIIGDGZ-LBPRGKRZSA-N 0 3 221.344 2.764 20 0 BFADHN CC1(C)C[C@@H]1C[NH2+]Cc1cc([O-])cc(F)c1 ZINC000225345595 134355074 /nfs/dbraw/zinc/35/50/74/134355074.db2.gz CDAQZJFEOSLJIJ-SNVBAGLBSA-N 0 3 223.291 2.667 20 0 BFADHN Clc1ccc(CNC[C@H]2CCSC2)o1 ZINC000226372842 134364609 /nfs/dbraw/zinc/36/46/09/134364609.db2.gz OTTBKIMQWZTULX-MRVPVSSYSA-N 0 3 231.748 2.776 20 0 BFADHN CCOC[C@H](C)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000250471031 259640404 /nfs/dbraw/zinc/64/04/04/259640404.db2.gz CNCBWCLLJHHIOK-MDZLAQPJSA-N 0 3 237.343 2.918 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCSC2)o1 ZINC000035013398 383825146 /nfs/dbraw/zinc/82/51/46/383825146.db2.gz JUPDFXRURFIUNV-NXEZZACHSA-N 0 3 211.330 2.744 20 0 BFADHN COc1ncccc1CNCCCC(C)C ZINC000087414960 383836259 /nfs/dbraw/zinc/83/62/59/383836259.db2.gz UCHZVYXUUWITPQ-UHFFFAOYSA-N 0 3 222.332 2.616 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cnc(C)s1 ZINC000111255009 383848014 /nfs/dbraw/zinc/84/80/14/383848014.db2.gz SQTWFTOYHROGMQ-SECBINFHSA-N 0 3 212.362 2.929 20 0 BFADHN C[C@H](NCc1cccc(F)c1F)[C@H]1CCCO1 ZINC000035276387 383849184 /nfs/dbraw/zinc/84/91/84/383849184.db2.gz JKSRSEDHJHDAJI-JOYOIKCWSA-N 0 3 241.281 2.622 20 0 BFADHN CO[C@H](C)CCN[C@@H](C)c1ccc(Cl)cn1 ZINC000274921999 383852215 /nfs/dbraw/zinc/85/22/15/383852215.db2.gz PGBZEIVQNYFVCD-ZJUUUORDSA-N 0 3 242.750 2.811 20 0 BFADHN Cc1ccc(CNCCC(C)(C)O)c(Cl)c1 ZINC000309338525 383857110 /nfs/dbraw/zinc/85/71/10/383857110.db2.gz WCCXBRAGPYZMCD-UHFFFAOYSA-N 0 3 241.762 2.899 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccncc2)C12CCC2 ZINC000087709106 383859698 /nfs/dbraw/zinc/85/96/98/383859698.db2.gz SCRCRSARQWKGPE-KGLIPLIRSA-N 0 3 246.354 2.519 20 0 BFADHN C[C@H](NC1Cc2ccccc2C1)c1ccccn1 ZINC000035657988 383865541 /nfs/dbraw/zinc/86/55/41/383865541.db2.gz FWMLRHUYQPUDMU-LBPRGKRZSA-N 0 3 238.334 2.900 20 0 BFADHN C[C@@H](NC1Cc2ccccc2C1)c1ccccn1 ZINC000035657989 383866387 /nfs/dbraw/zinc/86/63/87/383866387.db2.gz FWMLRHUYQPUDMU-GFCCVEGCSA-N 0 3 238.334 2.900 20 0 BFADHN Cc1noc(C2CCN([C@H]3C=CCCC3)CC2)n1 ZINC000066495590 383866418 /nfs/dbraw/zinc/86/64/18/383866418.db2.gz ASVPSIPXIQFYND-ZDUSSCGKSA-N 0 3 247.342 2.666 20 0 BFADHN CCc1nocc1CNC[C@H]1CCCC1(F)F ZINC000449494468 383868460 /nfs/dbraw/zinc/86/84/60/383868460.db2.gz NDCQXZAWBNIRAY-SNVBAGLBSA-N 0 3 244.285 2.762 20 0 BFADHN Cc1n[nH]c(C)c1CN[C@@H]1CCc2ccccc21 ZINC000036864697 383912953 /nfs/dbraw/zinc/91/29/53/383912953.db2.gz UXSWZSTZSFVFOK-OAHLLOKOSA-N 0 3 241.338 2.804 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1ccc(Cl)nc1 ZINC000290265352 383913339 /nfs/dbraw/zinc/91/33/39/383913339.db2.gz VQOQAIJUCAUTMQ-OPRDCNLKSA-N 0 3 242.750 2.809 20 0 BFADHN Cn1cncc1CN[C@H]1CCCc2ccccc21 ZINC000112337487 383916343 /nfs/dbraw/zinc/91/63/43/383916343.db2.gz GZEVLNQIDVCYLX-HNNXBMFYSA-N 0 3 241.338 2.587 20 0 BFADHN CN(Cc1ccccc1)[C@H](CO)c1ccccc1 ZINC000001668287 383918093 /nfs/dbraw/zinc/91/80/93/383918093.db2.gz SNUUNSDZCIEWPI-MRXNPFEDSA-N 0 3 241.334 2.852 20 0 BFADHN C[C@@H](CCNCc1ccn(C)n1)c1ccccc1 ZINC000112344765 383920112 /nfs/dbraw/zinc/92/01/12/383920112.db2.gz KKHBCPKWHQZOJK-ZDUSSCGKSA-N 0 3 243.354 2.704 20 0 BFADHN C[C@@H](Cc1ccccc1)CN(C1CC1)C1COC1 ZINC000449348019 383928501 /nfs/dbraw/zinc/92/85/01/383928501.db2.gz ABHKTTOOXZUXPX-ZDUSSCGKSA-N 0 3 245.366 2.728 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cccc(Cl)c1 ZINC000131900671 383886366 /nfs/dbraw/zinc/88/63/66/383886366.db2.gz KLNDUPQTSJZSHG-NXEZZACHSA-N 0 3 227.735 2.853 20 0 BFADHN CCOCCNc1cc(C)nc2ccccc21 ZINC000036230001 383890740 /nfs/dbraw/zinc/89/07/40/383890740.db2.gz WGVOMFWXNDWGJM-UHFFFAOYSA-N 0 3 230.311 2.992 20 0 BFADHN C[C@@H](N[C@H]1COCC1(C)C)c1ccccc1F ZINC000313109113 383894677 /nfs/dbraw/zinc/89/46/77/383894677.db2.gz DBOBVNLZVCGACS-MFKMUULPSA-N 0 3 237.318 2.901 20 0 BFADHN COCC1(CN(C)[C@H](C)c2cccnc2)CCC1 ZINC000291095386 383904266 /nfs/dbraw/zinc/90/42/66/383904266.db2.gz IMFOPJAISUIRAQ-CYBMUJFWSA-N 0 3 248.370 2.891 20 0 BFADHN CC[C@@H](C)CN(CCOC)Cc1ccco1 ZINC000088494303 383904932 /nfs/dbraw/zinc/90/49/32/383904932.db2.gz SAXBFBQCWXJWQO-GFCCVEGCSA-N 0 3 225.332 2.774 20 0 BFADHN CCC(=O)CCCN1CCC[C@@H]1c1ccccn1 ZINC000560220459 383979849 /nfs/dbraw/zinc/97/98/49/383979849.db2.gz PBUPCUDBHRVURK-OAHLLOKOSA-N 0 3 246.354 2.978 20 0 BFADHN CCC[C@H](C)N(C)C(=O)C[C@@H](N)c1ccccc1 ZINC000037505096 383980958 /nfs/dbraw/zinc/98/09/58/383980958.db2.gz VIBLEJFBAOQYKD-GXTWGEPZSA-N 0 3 248.370 2.724 20 0 BFADHN C[C@H](NC[C@@H]1CCOC1)c1cc(F)ccc1F ZINC000037507805 383983701 /nfs/dbraw/zinc/98/37/01/383983701.db2.gz ZCTZVTUTWJWXGE-UWVGGRQHSA-N 0 3 241.281 2.652 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1cc(C)ccc1F ZINC000037621423 383989496 /nfs/dbraw/zinc/98/94/96/383989496.db2.gz LTSDDGBACJDTNK-CYBMUJFWSA-N 0 3 238.306 2.590 20 0 BFADHN CCc1cnc(CN[C@H](C)[C@H]2C[C@H]2C)s1 ZINC000322359369 383999456 /nfs/dbraw/zinc/99/94/56/383999456.db2.gz WHGLHVMKBXWTIN-KKZNHRDASA-N 0 3 224.373 2.840 20 0 BFADHN CC(C)Oc1ccccc1NC1CCN(C)CC1 ZINC000037126035 383940839 /nfs/dbraw/zinc/94/08/39/383940839.db2.gz SZTZMWYYWVIJSV-UHFFFAOYSA-N 0 3 248.370 2.980 20 0 BFADHN CC(C)n1nccc1CNCCCC(C)(F)F ZINC000296159083 383943770 /nfs/dbraw/zinc/94/37/70/383943770.db2.gz WJCOXCCXLATCNA-UHFFFAOYSA-N 0 3 245.317 2.989 20 0 BFADHN C[C@H](N[C@@H]1CCOC1)c1cc2ccccc2o1 ZINC000112758947 383949537 /nfs/dbraw/zinc/94/95/37/383949537.db2.gz AFGXZHKHXMURIC-CMPLNLGQSA-N 0 3 231.295 2.872 20 0 BFADHN C[C@@H](N[C@H]1CCOC1)c1cc2ccccc2o1 ZINC000112758952 383949752 /nfs/dbraw/zinc/94/97/52/383949752.db2.gz AFGXZHKHXMURIC-PWSUYJOCSA-N 0 3 231.295 2.872 20 0 BFADHN c1ccc2c(c1)SCC[C@H]2N[C@@H]1CCCOC1 ZINC000112793170 383952066 /nfs/dbraw/zinc/95/20/66/383952066.db2.gz GDJXXGLBYAGDKG-DGCLKSJQSA-N 0 3 249.379 2.992 20 0 BFADHN CC[C@H](CN[C@@H](C)c1ccc(Cl)cn1)OC ZINC000290289896 383957503 /nfs/dbraw/zinc/95/75/03/383957503.db2.gz XVZUDMBVSHBXJH-GXSJLCMTSA-N 0 3 242.750 2.811 20 0 BFADHN CC[C@H](NCCCSC)c1nccs1 ZINC000070717124 383962478 /nfs/dbraw/zinc/96/24/78/383962478.db2.gz BYQUOBYCGLHBPV-VIFPVBQESA-N 0 3 230.402 2.937 20 0 BFADHN C[C@@H](NCc1sccc1Cl)[C@H]1CCOC1 ZINC000278477853 384003172 /nfs/dbraw/zinc/00/31/72/384003172.db2.gz KGXZOGAHIXJUKP-BDAKNGLRSA-N 0 3 245.775 2.916 20 0 BFADHN CO[C@H]1CCCC[C@@H]1NCc1cccc(F)c1 ZINC000037246983 383963542 /nfs/dbraw/zinc/96/35/42/383963542.db2.gz OUJFTRLPDHMZPW-KBPBESRZSA-N 0 3 237.318 2.873 20 0 BFADHN CC[C@@H](CN[C@H](C)c1ccc(Cl)nc1)OC ZINC000290310463 383965930 /nfs/dbraw/zinc/96/59/30/383965930.db2.gz ZSWBSNPOADOXHU-KOLCDFICSA-N 0 3 242.750 2.811 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1ccc(Cl)nc1)OC ZINC000290310467 383966668 /nfs/dbraw/zinc/96/66/68/383966668.db2.gz ZSWBSNPOADOXHU-ONGXEEELSA-N 0 3 242.750 2.811 20 0 BFADHN C[C@@H](N[C@H]1CCOC1)c1ccc(Cl)cc1 ZINC000070964345 383970797 /nfs/dbraw/zinc/97/07/97/383970797.db2.gz SEEBDUNJYLKQAR-SKDRFNHKSA-N 0 3 225.719 2.780 20 0 BFADHN C[C@H](N[C@@H]1CCOC1)c1ccccc1Cl ZINC000070964415 383972514 /nfs/dbraw/zinc/97/25/14/383972514.db2.gz JSHIXAZHRMYWCA-VHSXEESVSA-N 0 3 225.719 2.780 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1cnccn1 ZINC000403660964 383974455 /nfs/dbraw/zinc/97/44/55/383974455.db2.gz LWDHXCVPIZYJBI-LOWDOPEQSA-N 0 3 231.343 2.563 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCc3c(F)cccc32)CO1 ZINC000647213608 383976087 /nfs/dbraw/zinc/97/60/87/383976087.db2.gz OOHRAZJHKDJARA-HFAKWTLXSA-N 0 3 249.329 2.970 20 0 BFADHN CCCCN(CCOC)Cc1cnc(C)s1 ZINC000068852966 384018735 /nfs/dbraw/zinc/01/87/35/384018735.db2.gz CQDBYMIHYDEODN-UHFFFAOYSA-N 0 3 242.388 2.700 20 0 BFADHN CN(CCc1ccccc1F)Cc1ccccn1 ZINC000068864559 384019156 /nfs/dbraw/zinc/01/91/56/384019156.db2.gz OECBGUMEBVFWIF-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN Fc1cccc(CN2CCCSCC2)c1 ZINC000089983093 384019224 /nfs/dbraw/zinc/01/92/24/384019224.db2.gz CSEYZNWDEHRGLH-UHFFFAOYSA-N 0 3 225.332 2.765 20 0 BFADHN Cc1ccc(NC(=O)[C@@H]2NCCCC2(C)C)cc1 ZINC000235870828 384022933 /nfs/dbraw/zinc/02/29/33/384022933.db2.gz DAYSGNZGKIYGRX-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN CCCCCC[C@@H](C)NCc1ncc[nH]1 ZINC000049715397 384023765 /nfs/dbraw/zinc/02/37/65/384023765.db2.gz WPEJKAXFZLCABS-LLVKDONJSA-N 0 3 209.337 2.858 20 0 BFADHN Cc1ccc(NC(=O)[C@H]2NCCCC2(C)C)cc1 ZINC000235877254 384023892 /nfs/dbraw/zinc/02/38/92/384023892.db2.gz DAYSGNZGKIYGRX-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN CO[C@H](C)CCNCc1sccc1Cl ZINC000278502438 384012941 /nfs/dbraw/zinc/01/29/41/384012941.db2.gz NUWFAGZUBIGZNX-MRVPVSSYSA-N 0 3 233.764 2.916 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cnn(C)c2)cc1 ZINC000037770741 384014309 /nfs/dbraw/zinc/01/43/09/384014309.db2.gz HMKCNMHIKFWNQY-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN C[C@H](NC[C@@H]1CCOC1)c1ccccc1F ZINC000037996244 384053472 /nfs/dbraw/zinc/05/34/72/384053472.db2.gz HORNLOUYUXRHIU-QWRGUYRKSA-N 0 3 223.291 2.513 20 0 BFADHN CCc1ccccc1CN[C@H]1CO[C@@H](C)C1 ZINC000401810843 384051838 /nfs/dbraw/zinc/05/18/38/384051838.db2.gz DCKLBBJFUMSIEF-SMDDNHRTSA-N 0 3 219.328 2.516 20 0 BFADHN C[C@H](O)CC(C)(C)CN[C@@H](C)c1nccs1 ZINC000090085871 384028712 /nfs/dbraw/zinc/02/87/12/384028712.db2.gz BZUIDMCLYXWZCE-UWVGGRQHSA-N 0 3 242.388 2.591 20 0 BFADHN C(N[C@@H]1CC[C@H]2C[C@H]2C1)c1nc2c(s1)CCC2 ZINC000647257114 384052566 /nfs/dbraw/zinc/05/25/66/384052566.db2.gz RKUXEOXVOPMNGI-GARJFASQSA-N 0 3 248.395 2.910 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@@H]1CO[C@@H](C)C1 ZINC000647217175 384034226 /nfs/dbraw/zinc/03/42/26/384034226.db2.gz CRZCWWDBZVOMMR-XQQFMLRXSA-N 0 3 249.354 2.832 20 0 BFADHN C[C@H](NCCCn1ccnc1)c1ccccc1F ZINC000020506274 384035773 /nfs/dbraw/zinc/03/57/73/384035773.db2.gz MHHLYLOQTLTWJE-LBPRGKRZSA-N 0 3 247.317 2.763 20 0 BFADHN OCC1(CCNCc2ccsc2Cl)CC1 ZINC000312592550 384041001 /nfs/dbraw/zinc/04/10/01/384041001.db2.gz ZISWRRGAZRGCMV-UHFFFAOYSA-N 0 3 245.775 2.654 20 0 BFADHN Clc1cccc(CN2C[C@H]3CCC[C@@H]3C2)n1 ZINC000132027091 384041665 /nfs/dbraw/zinc/04/16/65/384041665.db2.gz ODSKWGSZQSUBHY-GHMZBOCLSA-N 0 3 236.746 2.967 20 0 BFADHN Clc1cccc(CN2C[C@@H]3CCC[C@H]3C2)n1 ZINC000132027297 384041852 /nfs/dbraw/zinc/04/18/52/384041852.db2.gz ODSKWGSZQSUBHY-QWRGUYRKSA-N 0 3 236.746 2.967 20 0 BFADHN Cn1ncc2c1CCC[C@H]2N[C@H]1CC12CCCC2 ZINC000335890106 384083147 /nfs/dbraw/zinc/08/31/47/384083147.db2.gz KTLNBZOBBRGJMB-OCCSQVGLSA-N 0 3 245.370 2.720 20 0 BFADHN CC(=O)Nc1ccccc1CN[C@H]1CC1(C)C ZINC000090962501 384086720 /nfs/dbraw/zinc/08/67/20/384086720.db2.gz SVTOWGAZCJAZGV-ZDUSSCGKSA-N 0 3 232.327 2.533 20 0 BFADHN CC(C)Cn1nccc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000647219297 384089668 /nfs/dbraw/zinc/08/96/68/384089668.db2.gz SYRCWJHJWMSMSH-LSDHHAIUSA-N 0 3 247.386 2.817 20 0 BFADHN C[C@@H](NC[C@H]1CCOC1)c1ccccc1F ZINC000037996247 384054998 /nfs/dbraw/zinc/05/49/98/384054998.db2.gz HORNLOUYUXRHIU-GHMZBOCLSA-N 0 3 223.291 2.513 20 0 BFADHN CCc1cccc(OCCN2C[C@@H](C)[C@@H]2C)c1 ZINC000559352911 384057076 /nfs/dbraw/zinc/05/70/76/384057076.db2.gz NDAUJTGTYPUAPK-OLZOCXBDSA-N 0 3 233.355 2.968 20 0 BFADHN Nc1ccncc1-c1ccc(Cl)cc1 ZINC000069841243 384065899 /nfs/dbraw/zinc/06/58/99/384065899.db2.gz KJSAFCLXZZQXDB-UHFFFAOYSA-N 0 3 204.660 2.984 20 0 BFADHN CCOc1cccc(CN[C@@H]2C[C@@H](C)O[C@@H]2C)c1 ZINC000296371249 384066283 /nfs/dbraw/zinc/06/62/83/384066283.db2.gz JYJZLWFYPFVSBH-LALPHHSUSA-N 0 3 249.354 2.741 20 0 BFADHN C[C@H](CN[C@H](C)c1cc(F)ccc1F)N(C)C ZINC000038088276 384066816 /nfs/dbraw/zinc/06/68/16/384066816.db2.gz XXGKVGKXXJOHRF-NXEZZACHSA-N 0 3 242.313 2.566 20 0 BFADHN C[C@@H](N[C@H]1CCOC(C)(C)C1)c1ccco1 ZINC000069861358 384067494 /nfs/dbraw/zinc/06/74/94/384067494.db2.gz ZEXNZXNOJTZVPM-MNOVXSKESA-N 0 3 223.316 2.888 20 0 BFADHN C[C@H](N[C@H]1CCOC(C)(C)C1)c1ccco1 ZINC000069861356 384067776 /nfs/dbraw/zinc/06/77/76/384067776.db2.gz ZEXNZXNOJTZVPM-QWRGUYRKSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccccc2Cl)CCO1 ZINC000069857301 384067924 /nfs/dbraw/zinc/06/79/24/384067924.db2.gz GMCFWQJGODDPNA-ZYHUDNBSSA-N 0 3 239.746 2.997 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCCC[C@@H]2O)o1 ZINC000038097775 384070917 /nfs/dbraw/zinc/07/09/17/384070917.db2.gz OYBLGNNMXAHINS-WOPDTQHZSA-N 0 3 223.316 2.542 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](COC)C2CC2)o1 ZINC000090717568 384072089 /nfs/dbraw/zinc/07/20/89/384072089.db2.gz NQTWJDKROVDHAQ-GWCFXTLKSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@H](NCC1(C)CCCC1)c1cnccn1 ZINC000070025910 384073944 /nfs/dbraw/zinc/07/39/44/384073944.db2.gz QBPFLMREDNFQAT-NSHDSACASA-N 0 3 219.332 2.708 20 0 BFADHN C[C@H](NCc1cccc(N(C)C)n1)C(C)(C)C ZINC000090851281 384078270 /nfs/dbraw/zinc/07/82/70/384078270.db2.gz SYXLVHJVKYHSHZ-NSHDSACASA-N 0 3 235.375 2.672 20 0 BFADHN COC(C)(C)CN[C@H](C)c1cc(C)c(C)o1 ZINC000309449188 384097403 /nfs/dbraw/zinc/09/74/03/384097403.db2.gz KPWPEHOVJIXJAF-SNVBAGLBSA-N 0 3 225.332 2.972 20 0 BFADHN CC[C@H](CSC)N(C)Cc1ncccc1C ZINC000091097776 384103156 /nfs/dbraw/zinc/10/31/56/384103156.db2.gz RSMWWAPBVPIKGI-GFCCVEGCSA-N 0 3 238.400 2.963 20 0 BFADHN CO[C@@H](C)CNCc1sccc1Cl ZINC000278682076 384107367 /nfs/dbraw/zinc/10/73/67/384107367.db2.gz IBTDZURTKZXVPL-ZETCQYMHSA-N 0 3 219.737 2.526 20 0 BFADHN C[C@@H](NCCc1cccc(F)c1)c1cnccn1 ZINC000070477946 384114779 /nfs/dbraw/zinc/11/47/79/384114779.db2.gz LABUAEOORYTYLR-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN COc1ccc(CN2CC[C@H](C)[C@H]2C)cc1O ZINC000091859735 384116805 /nfs/dbraw/zinc/11/68/05/384116805.db2.gz NZZAUSVGFSFOAQ-WDEREUQCSA-N 0 3 235.327 2.631 20 0 BFADHN COC(C)(C)C[C@H](C)N[C@H](C)c1nccs1 ZINC000070732332 384133598 /nfs/dbraw/zinc/13/35/98/384133598.db2.gz YTHQQCZNRFPICF-VHSXEESVSA-N 0 3 242.388 2.997 20 0 BFADHN CC[C@@H](N)c1cn(CCC2CCCCC2)nn1 ZINC000302297107 384131914 /nfs/dbraw/zinc/13/19/14/384131914.db2.gz YWWDFXGZEHFGMJ-GFCCVEGCSA-N 0 3 236.363 2.658 20 0 BFADHN CCOc1ccccc1CN[C@@H]1C[C@H](C)O[C@@H]1C ZINC000296477346 384148687 /nfs/dbraw/zinc/14/86/87/384148687.db2.gz PWDZLDFPSMYPFA-OUCADQQQSA-N 0 3 249.354 2.741 20 0 BFADHN CCOc1ccccc1CN[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000296477363 384148965 /nfs/dbraw/zinc/14/89/65/384148965.db2.gz PWDZLDFPSMYPFA-YRGRVCCFSA-N 0 3 249.354 2.741 20 0 BFADHN C[C@H](N[C@H]1CCOC1(C)C)c1cccc(O)c1 ZINC000296442492 384138700 /nfs/dbraw/zinc/13/87/00/384138700.db2.gz MINZTJYQKHNFCM-GWCFXTLKSA-N 0 3 235.327 2.610 20 0 BFADHN CC1(C)OCC[C@@H]1N[C@@H]1CCCc2occc21 ZINC000296448636 384138743 /nfs/dbraw/zinc/13/87/43/384138743.db2.gz MPNUFQUZSUAIGN-YPMHNXCESA-N 0 3 235.327 2.814 20 0 BFADHN CC[C@](C)(CO)NCc1ccc(Cl)c(F)c1 ZINC000070898481 384140697 /nfs/dbraw/zinc/14/06/97/384140697.db2.gz XVTSYPWEDSQAON-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN Nc1ncc(CN(C2CC2)C2CCCC2)s1 ZINC000309637094 384141080 /nfs/dbraw/zinc/14/10/80/384141080.db2.gz SXXVEODEGQJNOG-UHFFFAOYSA-N 0 3 237.372 2.632 20 0 BFADHN CC[C@H](CN1CC[C@@H]1c1cccc(F)c1)OC ZINC000642692696 384142029 /nfs/dbraw/zinc/14/20/29/384142029.db2.gz NZRURIFNYGEFFW-ZIAGYGMSSA-N 0 3 237.318 2.998 20 0 BFADHN CCOc1cccc(CN2CCC[C@H](OC)C2)c1 ZINC000091772181 384143676 /nfs/dbraw/zinc/14/36/76/384143676.db2.gz UQRXSWXVXPYKPI-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1ccc(CNC[C@H]2COc3ccccc32)o1 ZINC000092300744 384173219 /nfs/dbraw/zinc/17/32/19/384173219.db2.gz UBFAZJLKTJRWIB-LBPRGKRZSA-N 0 3 243.306 2.854 20 0 BFADHN Clc1ccc(CNCc2cc[nH]c2)cc1 ZINC000080778006 384176611 /nfs/dbraw/zinc/17/66/11/384176611.db2.gz STDDQTHYJYKSRX-UHFFFAOYSA-N 0 3 220.703 2.958 20 0 BFADHN CC(C)C[C@@H](CO)NCc1cc2ccccc2o1 ZINC000040774811 384166064 /nfs/dbraw/zinc/16/60/64/384166064.db2.gz QEAUAAIZKPMQKN-ZDUSSCGKSA-N 0 3 247.338 2.929 20 0 BFADHN CCC[C@@](C)(N)C(=O)N[C@H](C)c1ccccc1C ZINC000040854811 384167239 /nfs/dbraw/zinc/16/72/39/384167239.db2.gz YATIHBWHLDGXDH-IUODEOHRSA-N 0 3 248.370 2.690 20 0 BFADHN Cc1noc(C)c1CNC[C@H](C)C(C)C ZINC000081047428 384180867 /nfs/dbraw/zinc/18/08/67/384180867.db2.gz OEGOQNYQUQXIBV-VIFPVBQESA-N 0 3 210.321 2.673 20 0 BFADHN CC[C@H](COC)Nc1cc(C)cc(C)n1 ZINC000132273945 384182012 /nfs/dbraw/zinc/18/20/12/384182012.db2.gz YSWHPAJXRPWBHS-LLVKDONJSA-N 0 3 208.305 2.535 20 0 BFADHN c1ncc(CN2CCC[C@H]3CCC[C@@H]32)s1 ZINC000092485459 384182447 /nfs/dbraw/zinc/18/24/47/384182447.db2.gz ODADNQGXMKXCOX-PWSUYJOCSA-N 0 3 222.357 2.908 20 0 BFADHN Cc1ccsc1CN1CCC([C@@H](C)O)CC1 ZINC000092969901 384203363 /nfs/dbraw/zinc/20/33/63/384203363.db2.gz OCEBPRIYHGDLJZ-LLVKDONJSA-N 0 3 239.384 2.649 20 0 BFADHN CC(C)c1nc(CN(C)[C@@H](C)C(C)(C)C)n[nH]1 ZINC000569545903 384205619 /nfs/dbraw/zinc/20/56/19/384205619.db2.gz MRRVFZOEGICOJA-JTQLQIEISA-N 0 3 238.379 2.795 20 0 BFADHN Cc1ncc(CN(C)C2CCC(C)CC2)o1 ZINC000628121847 384188103 /nfs/dbraw/zinc/18/81/03/384188103.db2.gz YLRQPIOGDPXAJQ-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN CN(Cc1cncs1)CC1CCCC1 ZINC000092667192 384188579 /nfs/dbraw/zinc/18/85/79/384188579.db2.gz CLCKWXRYLSSGKI-UHFFFAOYSA-N 0 3 210.346 2.765 20 0 BFADHN CC[C@H](C)[C@H](CNC/C=C/c1ccncc1)OC ZINC000449400209 384189639 /nfs/dbraw/zinc/18/96/39/384189639.db2.gz ARRFQGCXXFXXES-RFMXEPQUSA-N 0 3 248.370 2.746 20 0 BFADHN c1ccc(OCCCNCc2ccncc2)cc1 ZINC000041582242 384192788 /nfs/dbraw/zinc/19/27/88/384192788.db2.gz BVLPYESLUSSWMC-UHFFFAOYSA-N 0 3 242.322 2.640 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1ccsc1 ZINC000050338305 384194109 /nfs/dbraw/zinc/19/41/09/384194109.db2.gz ZMAJUAGMGLZIIQ-ONGXEEELSA-N 0 3 211.330 2.578 20 0 BFADHN Cc1ccc([C@H](C)NCCC(C)(C)CO)o1 ZINC000296593669 384195088 /nfs/dbraw/zinc/19/50/88/384195088.db2.gz VDJRRJVSBBVFKP-NSHDSACASA-N 0 3 225.332 2.647 20 0 BFADHN CCc1ccc(CN[C@@H](C)[C@@H]2CCCOC2)nc1 ZINC000389035114 384195615 /nfs/dbraw/zinc/19/56/15/384195615.db2.gz PLVNIOKDVXSDBY-GXTWGEPZSA-N 0 3 248.370 2.549 20 0 BFADHN Cc1ccoc1CNCC[C@@H](O)c1ccccc1 ZINC000289496868 384197539 /nfs/dbraw/zinc/19/75/39/384197539.db2.gz SJEPGVITMSHJMQ-CQSZACIVSA-N 0 3 245.322 2.801 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)C1CCC1 ZINC000132686587 384200768 /nfs/dbraw/zinc/20/07/68/384200768.db2.gz GLDFOJCAAWZDKK-MRVPVSSYSA-N 0 3 208.305 2.570 20 0 BFADHN CCCC[N@H+](CC)Cc1nc(C)ccc1[O-] ZINC000132431981 384230068 /nfs/dbraw/zinc/23/00/68/384230068.db2.gz QWVXZVJZWWSEPR-UHFFFAOYSA-N 0 3 222.332 2.718 20 0 BFADHN CCCC[N@@H+](CC)Cc1nc(C)ccc1[O-] ZINC000132431981 384230074 /nfs/dbraw/zinc/23/00/74/384230074.db2.gz QWVXZVJZWWSEPR-UHFFFAOYSA-N 0 3 222.332 2.718 20 0 BFADHN CCc1ccc([C@H](C)NC2CCOCC2)o1 ZINC000119068143 384230813 /nfs/dbraw/zinc/23/08/13/384230813.db2.gz JAUHQMPLIYKVFI-JTQLQIEISA-N 0 3 223.316 2.672 20 0 BFADHN C[C@H](N[C@@H]1CCN(C)C1)c1ccc(Cl)s1 ZINC000042545406 384231694 /nfs/dbraw/zinc/23/16/94/384231694.db2.gz YFAVBBOVDGLIIJ-DTWKUNHWSA-N 0 3 244.791 2.756 20 0 BFADHN Cn1ccc(CN[C@H]2CCc3cc(F)ccc32)c1 ZINC000158441294 384232219 /nfs/dbraw/zinc/23/22/19/384232219.db2.gz IUYOCJLNMOALQI-HNNXBMFYSA-N 0 3 244.313 2.941 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2ccsc2)C1 ZINC000093419497 384235350 /nfs/dbraw/zinc/23/53/50/384235350.db2.gz CVCQXGUEUAZWSL-GFCCVEGCSA-N 0 3 239.384 2.997 20 0 BFADHN COC(CN[C@H](C)c1cc(C)cc(C)c1)OC ZINC000132547724 384235770 /nfs/dbraw/zinc/23/57/70/384235770.db2.gz FHNZAMJDAOJDGM-GFCCVEGCSA-N 0 3 237.343 2.573 20 0 BFADHN Cn1cccc1CN[C@@H]1CCc2ccc(F)cc21 ZINC000119135196 384238217 /nfs/dbraw/zinc/23/82/17/384238217.db2.gz VLZBKQWRAGYFBF-OAHLLOKOSA-N 0 3 244.313 2.941 20 0 BFADHN Cc1cc(C)cc([C@H](C)NCCn2cccn2)c1 ZINC000132572179 384238744 /nfs/dbraw/zinc/23/87/44/384238744.db2.gz NMTWBALOWXOJCO-AWEZNQCLSA-N 0 3 243.354 2.851 20 0 BFADHN CSCCCN[C@H](C)c1cnn(C(C)C)c1 ZINC000132580562 384239552 /nfs/dbraw/zinc/23/95/52/384239552.db2.gz RTIBPXYFWKYGQC-LLVKDONJSA-N 0 3 241.404 2.868 20 0 BFADHN CO[C@H](CC(C)C)CN1CC[C@@H](C)[C@@H](F)C1 ZINC000642700240 384212091 /nfs/dbraw/zinc/21/20/91/384212091.db2.gz QNDLNDTZRYMPOS-UPJWGTAASA-N 0 3 231.355 2.727 20 0 BFADHN CC(C)c1ccc(CN(C)[C@H](C)CO)cc1 ZINC000132066485 384213498 /nfs/dbraw/zinc/21/34/98/384213498.db2.gz IYECYZSFDMWFSN-GFCCVEGCSA-N 0 3 221.344 2.623 20 0 BFADHN CCN(CCO)[C@H](C)c1ccc(Cl)cc1 ZINC000118835251 384213730 /nfs/dbraw/zinc/21/37/30/384213730.db2.gz NJSQZTJSXAWGNS-SNVBAGLBSA-N 0 3 227.735 2.715 20 0 BFADHN CC(C)c1ccc(CN(C)[C@@H](C)CO)cc1 ZINC000132066248 384214523 /nfs/dbraw/zinc/21/45/23/384214523.db2.gz IYECYZSFDMWFSN-LBPRGKRZSA-N 0 3 221.344 2.623 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1ccccc1F ZINC000132077226 384214638 /nfs/dbraw/zinc/21/46/38/384214638.db2.gz SUNVTYATJJQHOJ-GMTAPVOTSA-N 0 3 225.307 2.900 20 0 BFADHN COC[C@@H](NC(C)C)c1ccc(F)c(F)c1 ZINC000132082230 384214693 /nfs/dbraw/zinc/21/46/93/384214693.db2.gz BOTQVPPWCXLNRF-GFCCVEGCSA-N 0 3 229.270 2.650 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000527974005 384216751 /nfs/dbraw/zinc/21/67/51/384216751.db2.gz JNQJNYHXJKQCHX-KFWWJZLASA-N 0 3 249.329 2.970 20 0 BFADHN CC[C@H](O)[C@@H]1CCCCN1Cc1ncccc1C ZINC000093175133 384220871 /nfs/dbraw/zinc/22/08/71/384220871.db2.gz HDGYDOIEARJCPK-GJZGRUSLSA-N 0 3 248.370 2.515 20 0 BFADHN CC(C)C[C@H](NCC[C@@H](C)O)c1ccccn1 ZINC000119008474 384223053 /nfs/dbraw/zinc/22/30/53/384223053.db2.gz JHCSUKUNOBHJPM-OCCSQVGLSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@H](NCC(C)(C)SC)c1nccn1C ZINC000132229924 384223064 /nfs/dbraw/zinc/22/30/64/384223064.db2.gz GQKZGCYPOVSONJ-JTQLQIEISA-N 0 3 241.404 2.602 20 0 BFADHN Cc1ccc(CN(C)CCc2ccncc2)o1 ZINC000072589643 384227455 /nfs/dbraw/zinc/22/74/55/384227455.db2.gz YXXGYAMKOOJGLU-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN C[C@H]1SCCN(Cc2cccc(O)c2)[C@@H]1C ZINC000093501402 384244454 /nfs/dbraw/zinc/24/44/54/384244454.db2.gz OOPDCNTVTYWRQW-GHMZBOCLSA-N 0 3 237.368 2.718 20 0 BFADHN CC[C@H]1CCC[C@@H](CN2CCO[C@H](C)C2)C1 ZINC000560605983 384246700 /nfs/dbraw/zinc/24/67/00/384246700.db2.gz HOKKUCNJIPYMGZ-HZSPNIEDSA-N 0 3 225.376 2.924 20 0 BFADHN COC[C@@H](N[C@@H](C)c1ccncc1F)C(C)C ZINC000558698014 384248671 /nfs/dbraw/zinc/24/86/71/384248671.db2.gz NCGAICJESWNADX-GXFFZTMASA-N 0 3 240.322 2.542 20 0 BFADHN CCC1(c2ccccc2)CN(C[C@@H](C)COC)C1 ZINC000569566761 384252300 /nfs/dbraw/zinc/25/23/00/384252300.db2.gz CUHHWHMIZYQCOY-CQSZACIVSA-N 0 3 247.382 2.933 20 0 BFADHN CC[C@H](Cc1ccccc1)N(C)Cc1cc[nH]n1 ZINC000093660956 384256883 /nfs/dbraw/zinc/25/68/83/384256883.db2.gz SDTBNGGDDCWRLC-OAHLLOKOSA-N 0 3 243.354 2.863 20 0 BFADHN CCc1ccc(CNCc2ccn(C)c2)cc1 ZINC000224166025 384257501 /nfs/dbraw/zinc/25/75/01/384257501.db2.gz JMJFUJVQZRJZOX-UHFFFAOYSA-N 0 3 228.339 2.877 20 0 BFADHN CC(C)N(C)CC1=Cc2ccccc2OC1 ZINC000158722522 384261711 /nfs/dbraw/zinc/26/17/11/384261711.db2.gz ZPSDKHMWYAUQLB-UHFFFAOYSA-N 0 3 217.312 2.803 20 0 BFADHN C[C@@H](NCc1ccnc(N)c1)c1cccs1 ZINC000278867917 384265055 /nfs/dbraw/zinc/26/50/55/384265055.db2.gz NXNNZQWVSGNWQA-SECBINFHSA-N 0 3 233.340 2.576 20 0 BFADHN CC[C@H](CN[C@H](C)c1cccc(OC)c1)OC ZINC000290244410 384265786 /nfs/dbraw/zinc/26/57/86/384265786.db2.gz SVODTAOAULKBEF-DGCLKSJQSA-N 0 3 237.343 2.771 20 0 BFADHN CCSCC[C@H](C)NCc1ccnn1CC ZINC000119425062 384271292 /nfs/dbraw/zinc/27/12/92/384271292.db2.gz RRDXPGFYPAUGRZ-NSHDSACASA-N 0 3 241.404 2.524 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1ccc(Cl)cc1F ZINC000133116504 384273693 /nfs/dbraw/zinc/27/36/93/384273693.db2.gz NRBKQKARLBAAJA-PELKAZGASA-N 0 3 243.709 2.746 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1ccc(Cl)cc1F ZINC000133116209 384274372 /nfs/dbraw/zinc/27/43/72/384274372.db2.gz NRBKQKARLBAAJA-PRHODGIISA-N 0 3 243.709 2.746 20 0 BFADHN CC(C)[C@@H](CO)NCc1cc(Cl)ccc1F ZINC000119489784 384275689 /nfs/dbraw/zinc/27/56/89/384275689.db2.gz FDIAYGOQEOYBCB-GFCCVEGCSA-N 0 3 245.725 2.586 20 0 BFADHN Cc1ccc(CN(C)CCc2nccs2)o1 ZINC000093843820 384276578 /nfs/dbraw/zinc/27/65/78/384276578.db2.gz DKRKVOSQTQRGMO-UHFFFAOYSA-N 0 3 236.340 2.719 20 0 BFADHN CCSCC[C@@H](C)N(C)Cc1cccn1C ZINC000093871088 384276809 /nfs/dbraw/zinc/27/68/09/384276809.db2.gz WEUKTMGWBJHRMK-GFCCVEGCSA-N 0 3 240.416 2.989 20 0 BFADHN CN(Cc1cccc2c1OCCCO2)C1CCC1 ZINC000093475668 384242326 /nfs/dbraw/zinc/24/23/26/384242326.db2.gz PRZLZDQHZPIXJC-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN CCc1ccc(NC(=O)[C@@H](N)[C@@H](C)CC)cc1 ZINC000011959738 384305250 /nfs/dbraw/zinc/30/52/50/384305250.db2.gz GOPPMHUSQPDVAV-GWCFXTLKSA-N 0 3 234.343 2.561 20 0 BFADHN CC[C@H](c1ccncc1)N(C)CCOC(C)C ZINC000119817693 384305575 /nfs/dbraw/zinc/30/55/75/384305575.db2.gz AOPWLKNVOYDCMK-CQSZACIVSA-N 0 3 236.359 2.890 20 0 BFADHN C[C@@H](CCc1ccsc1)NCc1nccn1C ZINC000361238564 384307927 /nfs/dbraw/zinc/30/79/27/384307927.db2.gz USVHEBMXWPQKFO-NSHDSACASA-N 0 3 249.383 2.593 20 0 BFADHN Cc1nc(CNC[C@H](C)CC(F)F)cs1 ZINC000647225720 384309944 /nfs/dbraw/zinc/30/99/44/384309944.db2.gz WUTHUBIULVBRBT-SSDOTTSWSA-N 0 3 234.315 2.832 20 0 BFADHN CCOCCCN[C@H](CC)c1nccs1 ZINC000083551150 384310756 /nfs/dbraw/zinc/31/07/56/384310756.db2.gz BCIDSOFBCUCCKG-SNVBAGLBSA-N 0 3 228.361 2.610 20 0 BFADHN C[C@@H](NC[C@H](C)N(C)C)c1cc(F)cc(F)c1 ZINC000094827123 384315457 /nfs/dbraw/zinc/31/54/57/384315457.db2.gz JLQCLVNBERUDQQ-VHSXEESVSA-N 0 3 242.313 2.566 20 0 BFADHN CC(C)[C@@H](CO)N[C@@H](C)c1cc(F)cc(F)c1 ZINC000094827840 384317659 /nfs/dbraw/zinc/31/76/59/384317659.db2.gz XYCFPIYAPIOTOM-TVQRCGJNSA-N 0 3 243.297 2.632 20 0 BFADHN C[C@@H](N[C@@H](C)CCCO)c1cc(F)ccc1F ZINC000094942030 384319323 /nfs/dbraw/zinc/31/93/23/384319323.db2.gz OZEFFDSUDOFBOM-VHSXEESVSA-N 0 3 243.297 2.776 20 0 BFADHN Fc1ccc(F)c(CN[C@@H]2CCSC2)c1 ZINC000075154177 384320346 /nfs/dbraw/zinc/32/03/46/384320346.db2.gz WKSIIDJZGAUTJQ-SNVBAGLBSA-N 0 3 229.295 2.560 20 0 BFADHN CCN(C)Cc1ccccc1OCC1CC1 ZINC000126304363 384282457 /nfs/dbraw/zinc/28/24/57/384282457.db2.gz IQZXSGCLJWUPSV-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN C[C@@H](CC1CC1)N[C@@H](C)c1nccs1 ZINC000083143456 384283781 /nfs/dbraw/zinc/28/37/81/384283781.db2.gz IFTYLFJMRUQZGE-IUCAKERBSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@]1(NCc2cc(F)ccc2F)CCCOC1 ZINC000221367986 384286721 /nfs/dbraw/zinc/28/67/21/384286721.db2.gz FZQDNEGMAPCJDF-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN COc1ccc(F)cc1CNCCC1CC1 ZINC000291308366 384286747 /nfs/dbraw/zinc/28/67/47/384286747.db2.gz XMVXQZQGPYJJFH-UHFFFAOYSA-N 0 3 223.291 2.724 20 0 BFADHN CC(C)N(C)c1ccc(CN2[C@H](C)C[C@H]2C)cn1 ZINC000525997054 384290010 /nfs/dbraw/zinc/29/00/10/384290010.db2.gz UKPYPGWUKCARBE-CHWSQXEVSA-N 0 3 247.386 2.909 20 0 BFADHN COC[C@@H](NCc1ccsc1)C(C)C ZINC000083398063 384296198 /nfs/dbraw/zinc/29/61/98/384296198.db2.gz FKHOPCWDGPDVLW-LLVKDONJSA-N 0 3 213.346 2.509 20 0 BFADHN C[C@@H](CN(C)C)NCc1ccc(F)cc1Cl ZINC000159095819 384300710 /nfs/dbraw/zinc/30/07/10/384300710.db2.gz ZNASMNPZFHMFCV-VIFPVBQESA-N 0 3 244.741 2.519 20 0 BFADHN CSCCCN(Cc1ccncc1)C1CC1 ZINC000119776259 384300831 /nfs/dbraw/zinc/30/08/31/384300831.db2.gz GEIXVIJIANOOMW-UHFFFAOYSA-N 0 3 236.384 2.799 20 0 BFADHN CCc1ccc(NC(=O)[C@@H](N)[C@H](C)CC)cc1 ZINC000011959732 384303575 /nfs/dbraw/zinc/30/35/75/384303575.db2.gz GOPPMHUSQPDVAV-MFKMUULPSA-N 0 3 234.343 2.561 20 0 BFADHN CC(C)[C@H](N)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000011958614 384303702 /nfs/dbraw/zinc/30/37/02/384303702.db2.gz UTTFLOVLWFHEFF-ZDUSSCGKSA-N 0 3 248.370 2.906 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1cccc(F)c1 ZINC000133605994 384303678 /nfs/dbraw/zinc/30/36/78/384303678.db2.gz OTIVXSUKIWXKLX-MFKMUULPSA-N 0 3 225.307 2.637 20 0 BFADHN CC[C@H](COC)NCc1cc(C)ccc1F ZINC000120197331 384338434 /nfs/dbraw/zinc/33/84/34/384338434.db2.gz NCEABDWIVCYVAD-GFCCVEGCSA-N 0 3 225.307 2.649 20 0 BFADHN C[C@H](CO)NCc1c(Cl)oc2ccccc21 ZINC000134223704 384340940 /nfs/dbraw/zinc/34/09/40/384340940.db2.gz PXPRQBVMHHCASS-MRVPVSSYSA-N 0 3 239.702 2.557 20 0 BFADHN Cc1ccc(-c2nn(C)cc2CN2CCCC2)o1 ZINC000647169655 384344997 /nfs/dbraw/zinc/34/49/97/384344997.db2.gz VYKKUPCTPIIMSH-UHFFFAOYSA-N 0 3 245.326 2.584 20 0 BFADHN CCCn1ccc(CN2CC[C@@H]3OCC[C@@H]3C2)c1 ZINC000564990909 384349711 /nfs/dbraw/zinc/34/97/11/384349711.db2.gz OXSMFCAYRONNNN-CABCVRRESA-N 0 3 248.370 2.509 20 0 BFADHN CC(C)[C@H]1CN(Cc2ccccn2)CCS1 ZINC000076064341 384356296 /nfs/dbraw/zinc/35/62/96/384356296.db2.gz NEGWYESZPUKLQE-CYBMUJFWSA-N 0 3 236.384 2.655 20 0 BFADHN CCCn1cc(CN(C)C2CCCC2)cn1 ZINC000179797896 384359321 /nfs/dbraw/zinc/35/93/21/384359321.db2.gz RAUHEBPVBGNSNB-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CS[C@@H]1CCCCN(Cc2ccncc2)C1 ZINC000134554330 384364000 /nfs/dbraw/zinc/36/40/00/384364000.db2.gz LNYVEIIOOHWCHR-CYBMUJFWSA-N 0 3 236.384 2.799 20 0 BFADHN C[C@H](NCc1ccncc1Cl)C1CC1 ZINC000084084762 384364346 /nfs/dbraw/zinc/36/43/46/384364346.db2.gz SJVLOJKZAZKDSC-QMMMGPOBSA-N 0 3 210.708 2.623 20 0 BFADHN COCC[C@H](C)Nc1cccc(CN(C)C)c1 ZINC000045021327 384368323 /nfs/dbraw/zinc/36/83/23/384368323.db2.gz PUGROGYMFOZYBR-LBPRGKRZSA-N 0 3 236.359 2.585 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CCC[C@H]2C)o1 ZINC000300395380 384368861 /nfs/dbraw/zinc/36/88/61/384368861.db2.gz APAANUFOUHUACV-DVVUODLYSA-N 0 3 208.305 2.822 20 0 BFADHN CCCn1nc(C)c(CN2CC[C@@H]2C2CC2)c1C ZINC000449425591 384327188 /nfs/dbraw/zinc/32/71/88/384327188.db2.gz HZJKLDPEBVMYKI-OAHLLOKOSA-N 0 3 247.386 2.894 20 0 BFADHN C[C@@]1(NCc2ccc(Cl)c(F)c2)CCOC1 ZINC000120180103 384335006 /nfs/dbraw/zinc/33/50/06/384335006.db2.gz GEZVYPZBHWLMSN-GFCCVEGCSA-N 0 3 243.709 2.748 20 0 BFADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1ccccn1 ZINC000160214593 384394713 /nfs/dbraw/zinc/39/47/13/384394713.db2.gz VHOLFZTXMZMFQP-VXGBXAGGSA-N 0 3 234.343 2.690 20 0 BFADHN OC[C@@H]1CC[C@H](NCc2ccccc2Cl)C1 ZINC000449430180 384398033 /nfs/dbraw/zinc/39/80/33/384398033.db2.gz WZFPFLVTQYNPFB-PWSUYJOCSA-N 0 3 239.746 2.591 20 0 BFADHN COC[C@@H](C)CN[C@@H](C)c1ccccc1F ZINC000045656375 384400481 /nfs/dbraw/zinc/40/04/81/384400481.db2.gz UMBKYZSMIPMDAI-QWRGUYRKSA-N 0 3 225.307 2.759 20 0 BFADHN COC(=O)c1ccc(CNC[C@H]2CC=CCC2)o1 ZINC000045629232 384400603 /nfs/dbraw/zinc/40/06/03/384400603.db2.gz PZLGCHDNNZYYPK-NSHDSACASA-N 0 3 249.310 2.512 20 0 BFADHN Cc1cnc([C@@H](C)CNCc2ccco2)s1 ZINC000134989685 384404225 /nfs/dbraw/zinc/40/42/25/384404225.db2.gz LPXDXFSCANDARU-VIFPVBQESA-N 0 3 236.340 2.938 20 0 BFADHN Cc1cnc([C@H](C)CNCc2ccco2)s1 ZINC000134989707 384405147 /nfs/dbraw/zinc/40/51/47/384405147.db2.gz LPXDXFSCANDARU-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN COc1cc2c(c(CN3CCCC3)c1)O[C@H](C)C2 ZINC000170790497 384407422 /nfs/dbraw/zinc/40/74/22/384407422.db2.gz WJZFKHYKZNKGIW-LLVKDONJSA-N 0 3 247.338 2.614 20 0 BFADHN Cc1nnc([C@@H](C)NC[C@@H]2CC=CCC2)s1 ZINC000135000423 384408031 /nfs/dbraw/zinc/40/80/31/384408031.db2.gz RROSCUGYIIAMHQ-MWLCHTKSSA-N 0 3 237.372 2.853 20 0 BFADHN CC(C)C(C)(C)CNCc1ccns1 ZINC000404479236 384408272 /nfs/dbraw/zinc/40/82/72/384408272.db2.gz DBBHKCKHAGATNA-UHFFFAOYSA-N 0 3 212.362 2.915 20 0 BFADHN C[C@H](CCO)N[C@@H]1CC(C)(C)Cc2occc21 ZINC000121329665 384409844 /nfs/dbraw/zinc/40/98/44/384409844.db2.gz WIFFNQGLILBLCE-ZYHUDNBSSA-N 0 3 237.343 2.654 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1cccc(O)c1 ZINC000313474862 384413406 /nfs/dbraw/zinc/41/34/06/384413406.db2.gz XTGBPHKCJGGYIB-CQSZACIVSA-N 0 3 249.354 2.783 20 0 BFADHN COc1ccsc1CN1CC[C@H]1C1CC1 ZINC000449430867 384418630 /nfs/dbraw/zinc/41/86/30/384418630.db2.gz ZDNUSVKYNTZIKN-JTQLQIEISA-N 0 3 223.341 2.741 20 0 BFADHN COC[C@@H](NCc1coc2ccccc12)C1CC1 ZINC000121330919 384420386 /nfs/dbraw/zinc/42/03/86/384420386.db2.gz GSLLODRATKABTE-CQSZACIVSA-N 0 3 245.322 2.947 20 0 BFADHN COCc1nc(CN[C@H]2CC[C@H](C)C2)cs1 ZINC000275842702 384420696 /nfs/dbraw/zinc/42/06/96/384420696.db2.gz QHDZJGJWYYGRMI-UWVGGRQHSA-N 0 3 240.372 2.568 20 0 BFADHN C[C@@H](NCc1cc(F)ccc1F)C1CC1 ZINC000035732754 384422915 /nfs/dbraw/zinc/42/29/15/384422915.db2.gz ULTPGYYSLGSUET-MRVPVSSYSA-N 0 3 211.255 2.853 20 0 BFADHN COc1cc(CN2CC(C3CC3)C2)ccc1C ZINC000643346094 384423171 /nfs/dbraw/zinc/42/31/71/384423171.db2.gz ZWVXSXPZLJECDV-UHFFFAOYSA-N 0 3 231.339 2.845 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1cccc(Cl)c1 ZINC000096033423 384423784 /nfs/dbraw/zinc/42/37/84/384423784.db2.gz JIJNUYMRPSXEMC-BXKDBHETSA-N 0 3 225.719 2.607 20 0 BFADHN Cc1ccc(F)cc1CN1CC(C2CC2)C1 ZINC000643345786 384424014 /nfs/dbraw/zinc/42/40/14/384424014.db2.gz MMUVLLLNBSITMC-UHFFFAOYSA-N 0 3 219.303 2.976 20 0 BFADHN CCOc1ccccc1CN1CC(C2CC2)C1 ZINC000643345791 384424463 /nfs/dbraw/zinc/42/44/63/384424463.db2.gz MRNDVDDPOPRQGI-UHFFFAOYSA-N 0 3 231.339 2.927 20 0 BFADHN C[C@@H](NC1CCSCC1)c1ccncc1F ZINC000335605387 384378273 /nfs/dbraw/zinc/37/82/73/384378273.db2.gz ZQEJGHURABDRDK-SECBINFHSA-N 0 3 240.347 2.767 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCCC(C)(C)C1 ZINC000334189299 384380942 /nfs/dbraw/zinc/38/09/42/384380942.db2.gz GWYFUNOUZFOZLA-SNVBAGLBSA-N 0 3 239.388 2.905 20 0 BFADHN Fc1ccc(Cl)cc1CNC1CCOCC1 ZINC000160049292 384381555 /nfs/dbraw/zinc/38/15/55/384381555.db2.gz QSZLWKOMMIFKKA-UHFFFAOYSA-N 0 3 243.709 2.748 20 0 BFADHN Cc1nnsc1CN[C@H]1CCCC(C)(C)C1 ZINC000334189298 384381811 /nfs/dbraw/zinc/38/18/11/384381811.db2.gz GWYFUNOUZFOZLA-JTQLQIEISA-N 0 3 239.388 2.905 20 0 BFADHN CN1CCC(Nc2ccc3ncsc3c2)CC1 ZINC000076691962 384381851 /nfs/dbraw/zinc/38/18/51/384381851.db2.gz QUGJYZBPCGNFJR-UHFFFAOYSA-N 0 3 247.367 2.802 20 0 BFADHN C[C@@H](NCC[C@@H]1CCCCO1)c1cncs1 ZINC000134730636 384384901 /nfs/dbraw/zinc/38/49/01/384384901.db2.gz DPVUPOFOADDXKW-MNOVXSKESA-N 0 3 240.372 2.753 20 0 BFADHN CN(CCCF)Cc1ccc(F)c(F)c1 ZINC000120928545 384389345 /nfs/dbraw/zinc/38/93/45/384389345.db2.gz WUORUUOQTXSLQJ-UHFFFAOYSA-N 0 3 217.234 2.756 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2C2CC2)oc1C ZINC000363386293 384390065 /nfs/dbraw/zinc/39/00/65/384390065.db2.gz MRAUBRQGQQZUIB-GFCCVEGCSA-N 0 3 220.316 2.666 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1cc2ccccc2o1 ZINC000135305410 384434228 /nfs/dbraw/zinc/43/42/28/384434228.db2.gz CSKAYITXBRPAME-MFKMUULPSA-N 0 3 231.295 2.700 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCO[C@@H]2C)o1 ZINC000135304158 384434667 /nfs/dbraw/zinc/43/46/67/384434667.db2.gz DYULNSKQGOYDDW-VCDKRKBESA-N 0 3 235.327 2.670 20 0 BFADHN CCOCCN[C@H](CC)c1cccs1 ZINC000036228343 384435501 /nfs/dbraw/zinc/43/55/01/384435501.db2.gz XDPXDTGAGXQCIQ-SNVBAGLBSA-N 0 3 213.346 2.825 20 0 BFADHN CCCN(Cc1cnn(C(C)C)c1)C1CC1 ZINC000180098261 384439259 /nfs/dbraw/zinc/43/92/59/384439259.db2.gz NCSLZZRIVZCBQH-UHFFFAOYSA-N 0 3 221.348 2.838 20 0 BFADHN Cn1cc(CN2CCC3(CCCCC3)CC2)cn1 ZINC000102410657 384460055 /nfs/dbraw/zinc/46/00/55/384460055.db2.gz IBDHXFDZSCIPQW-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCC2(O)CCCC2)o1 ZINC000096478898 384460803 /nfs/dbraw/zinc/46/08/03/384460803.db2.gz NMLYOTGQVAHBSL-DGCLKSJQSA-N 0 3 249.354 2.798 20 0 BFADHN CSCCN(C)Cc1ccc(C)cc1 ZINC000096954140 384485144 /nfs/dbraw/zinc/48/51/44/384485144.db2.gz RGESNKWXBKQGLF-UHFFFAOYSA-N 0 3 209.358 2.790 20 0 BFADHN COCC1(CCNCc2ccccc2F)CC1 ZINC000647227380 384463006 /nfs/dbraw/zinc/46/30/06/384463006.db2.gz QMNJDZNTYXFPNY-UHFFFAOYSA-N 0 3 237.318 2.732 20 0 BFADHN C[C@@H](O)CCNCc1cccc(Cl)c1Cl ZINC000078781730 384464497 /nfs/dbraw/zinc/46/44/97/384464497.db2.gz JHAPAJHHDOAJRX-MRVPVSSYSA-N 0 3 248.153 2.854 20 0 BFADHN C[C@@H](O)CCNCc1ccc(Cl)cc1Cl ZINC000078782079 384465685 /nfs/dbraw/zinc/46/56/85/384465685.db2.gz GKANBINABSDASQ-MRVPVSSYSA-N 0 3 248.153 2.854 20 0 BFADHN COC[C@H](N[C@H](C)CC1CC1)c1ccco1 ZINC000122306591 384471042 /nfs/dbraw/zinc/47/10/42/384471042.db2.gz VBUDBHPBLYCPCR-PWSUYJOCSA-N 0 3 223.316 2.745 20 0 BFADHN COC[C@H](N[C@H]1CCC[C@H]1C)c1ccco1 ZINC000122300492 384472836 /nfs/dbraw/zinc/47/28/36/384472836.db2.gz XXSWJGPXECCTDH-WOPDTQHZSA-N 0 3 223.316 2.745 20 0 BFADHN COC[C@@H](N[C@H](C)C(C)C)c1ccc(C)o1 ZINC000122293573 384472850 /nfs/dbraw/zinc/47/28/50/384472850.db2.gz FLQBSGCVRPCEDA-VXGBXAGGSA-N 0 3 225.332 2.910 20 0 BFADHN COC[C@@H](NC1CCCCC1)c1ccco1 ZINC000122314332 384474835 /nfs/dbraw/zinc/47/48/35/384474835.db2.gz VEBUEZQIXFGSDW-GFCCVEGCSA-N 0 3 223.316 2.889 20 0 BFADHN C[C@H]1[C@@H](CO)CCN1Cc1ccccc1Cl ZINC000335812577 384500585 /nfs/dbraw/zinc/50/05/85/384500585.db2.gz RFYYLTWKTLIFFO-CMPLNLGQSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H]1[C@H](CO)CCN1Cc1ccccc1Cl ZINC000335812578 384501899 /nfs/dbraw/zinc/50/18/99/384501899.db2.gz RFYYLTWKTLIFFO-JQWIXIFHSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H]1CC[C@]2(CCN(Cc3ccc(N)nc3)C2)C1 ZINC000647518513 384506278 /nfs/dbraw/zinc/50/62/78/384506278.db2.gz OFVOUYDTLKVXKU-WFASDCNBSA-N 0 3 245.370 2.506 20 0 BFADHN c1ccc2c(c1)OCC[C@H]2N[C@@H]1CCCSC1 ZINC000061577740 384510964 /nfs/dbraw/zinc/51/09/64/384510964.db2.gz XBIMMJYQIGBEMM-DGCLKSJQSA-N 0 3 249.379 2.995 20 0 BFADHN Cc1nocc1CN1C[C@H](C)CC[C@H]1C ZINC000295390670 384492117 /nfs/dbraw/zinc/49/21/17/384492117.db2.gz PVBVFMLVJCOVQP-NXEZZACHSA-N 0 3 208.305 2.603 20 0 BFADHN Cn1cnc(CN[C@H]2CCC[C@@H]2C(C)(C)C)c1 ZINC000642891121 384494192 /nfs/dbraw/zinc/49/41/92/384494192.db2.gz CXZURBMQQPGAMY-STQMWFEESA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3ccc(F)cc3)CCC2)CO1 ZINC000647178255 384517069 /nfs/dbraw/zinc/51/70/69/384517069.db2.gz IUYHITZGKYSVTN-RISCZKNCSA-N 0 3 249.329 2.972 20 0 BFADHN CCC[C@H](CCO)CNCc1ccc(CC)o1 ZINC000150875533 384517249 /nfs/dbraw/zinc/51/72/49/384517249.db2.gz HBCUGWXMVWLYOC-GFCCVEGCSA-N 0 3 239.359 2.730 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](C)CCCO)o1 ZINC000122909420 384520284 /nfs/dbraw/zinc/52/02/84/384520284.db2.gz YFOJRGQTGPVGOG-WDEREUQCSA-N 0 3 225.332 2.654 20 0 BFADHN COC(C)(C)C[C@@H](C)NCc1ncc(C)s1 ZINC000122928500 384522139 /nfs/dbraw/zinc/52/21/39/384522139.db2.gz NWDFYIRNBRRZCX-SECBINFHSA-N 0 3 242.388 2.745 20 0 BFADHN CN(Cc1cnc(C2CC2)s1)CC1CC1 ZINC000122948527 384522822 /nfs/dbraw/zinc/52/28/22/384522822.db2.gz ADIHVPALEDICMS-UHFFFAOYSA-N 0 3 222.357 2.862 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H](C)[C@H]1CC1(C)C ZINC000449561183 384536270 /nfs/dbraw/zinc/53/62/70/384536270.db2.gz BFINPWNSACGXLQ-SDDRHHMPSA-N 0 3 235.375 2.988 20 0 BFADHN Cc1nc(CN[C@@H]2CC=CCC2)cs1 ZINC000080123848 384531787 /nfs/dbraw/zinc/53/17/87/384531787.db2.gz FOKJOHCVZUZIQJ-SNVBAGLBSA-N 0 3 208.330 2.650 20 0 BFADHN c1coc(CN[C@@H]2CCc3cccnc3CC2)c1 ZINC000335074087 384531967 /nfs/dbraw/zinc/53/19/67/384531967.db2.gz MTSLFKZVHWGDDY-CYBMUJFWSA-N 0 3 242.322 2.712 20 0 BFADHN CCOCCN[C@H](C)c1cccc(OCC)c1 ZINC000161920519 384544764 /nfs/dbraw/zinc/54/47/64/384544764.db2.gz VCMYGHHBXNXJEI-GFCCVEGCSA-N 0 3 237.343 2.772 20 0 BFADHN C[C@@H](N[C@@H]1CCOC(C)(C)C1)c1cncs1 ZINC000161979434 384551189 /nfs/dbraw/zinc/55/11/89/384551189.db2.gz FPLWIZNKMFIUOI-NXEZZACHSA-N 0 3 240.372 2.751 20 0 BFADHN C[C@H](NCCN1CCCCC1)c1cccc(O)c1 ZINC000049574568 384551594 /nfs/dbraw/zinc/55/15/94/384551594.db2.gz UDIVMCATDNFRGH-ZDUSSCGKSA-N 0 3 248.370 2.529 20 0 BFADHN CCC[C@@H](O)CNCc1ccc(Cl)c(F)c1 ZINC000123309001 384552425 /nfs/dbraw/zinc/55/24/25/384552425.db2.gz UWNGBPNBQILFNS-SNVBAGLBSA-N 0 3 245.725 2.730 20 0 BFADHN CC(C)C[C@H](C)Cn1cc([C@H](N)C(C)C)nn1 ZINC000305909378 384553071 /nfs/dbraw/zinc/55/30/71/384553071.db2.gz HKGGTCLOAGHJLH-WCQYABFASA-N 0 3 238.379 2.616 20 0 BFADHN C[C@H](NCC1CC1)c1nc2ccccc2n1C ZINC000019885007 384553560 /nfs/dbraw/zinc/55/35/60/384553560.db2.gz QTAJTHPUJBFTGU-JTQLQIEISA-N 0 3 229.327 2.634 20 0 BFADHN CCc1ccc(CN2CCCO[C@@H](C)C2)cc1 ZINC000172246856 384556393 /nfs/dbraw/zinc/55/63/93/384556393.db2.gz BMDAFOQPZJBGTF-ZDUSSCGKSA-N 0 3 233.355 2.860 20 0 BFADHN CC(C)[C@H](N)c1cn(CCCC(C)(C)C)nn1 ZINC000305954045 384557692 /nfs/dbraw/zinc/55/76/92/384557692.db2.gz VGHDNXKQRNRQJS-LBPRGKRZSA-N 0 3 238.379 2.760 20 0 BFADHN C[C@H](NC[C@@]1(C)CCOC1)c1ccccc1F ZINC000354601213 384559450 /nfs/dbraw/zinc/55/94/50/384559450.db2.gz RDBRRHFXESWCKC-SMDDNHRTSA-N 0 3 237.318 2.903 20 0 BFADHN C[C@@H](NCCc1ccccc1)c1ccccn1 ZINC000019919826 384560606 /nfs/dbraw/zinc/56/06/06/384560606.db2.gz LEXKAKOMNKZMIW-CYBMUJFWSA-N 0 3 226.323 2.975 20 0 BFADHN Cc1ncncc1[C@H](C)NCCCC(F)(F)F ZINC000568238535 384560701 /nfs/dbraw/zinc/56/07/01/384560701.db2.gz XBZDSJFRZJHYPM-QMMMGPOBSA-N 0 3 247.264 2.778 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1ccc(F)cc1 ZINC000019963579 384562238 /nfs/dbraw/zinc/56/22/38/384562238.db2.gz IEMHLSBYFOWHJW-GXFFZTMASA-N 0 3 223.291 2.655 20 0 BFADHN CCn1ccnc1[C@H](C)NCCc1ccccc1 ZINC000449561181 384537188 /nfs/dbraw/zinc/53/71/88/384537188.db2.gz BFBQSSVBJDLBTJ-ZDUSSCGKSA-N 0 3 243.354 2.796 20 0 BFADHN c1ccc2c(c1)SCC[C@@H]2NC[C@H]1CCCO1 ZINC000019963710 384562587 /nfs/dbraw/zinc/56/25/87/384562587.db2.gz STDMFUYNWMLAOE-YPMHNXCESA-N 0 3 249.379 2.992 20 0 BFADHN COC[C@@H](Cc1ccccc1)NCc1ccco1 ZINC000080243721 384539420 /nfs/dbraw/zinc/53/94/20/384539420.db2.gz GDQIUUUDXPBSMK-CQSZACIVSA-N 0 3 245.322 2.627 20 0 BFADHN C[C@H](NCc1ccn(C)c1)c1cccs1 ZINC000085590248 384540422 /nfs/dbraw/zinc/54/04/22/384540422.db2.gz MWEJZHHJAAPRCW-JTQLQIEISA-N 0 3 220.341 2.937 20 0 BFADHN Clc1cnccc1CNCCc1ccco1 ZINC000084084825 384570553 /nfs/dbraw/zinc/57/05/53/384570553.db2.gz IYQJRTBSCGHQNG-UHFFFAOYSA-N 0 3 236.702 2.660 20 0 BFADHN COC1(C)CCN(Cc2ccoc2C)CC1 ZINC000334836899 384576204 /nfs/dbraw/zinc/57/62/04/384576204.db2.gz UGTADJBDFPGTGP-UHFFFAOYSA-N 0 3 223.316 2.589 20 0 BFADHN CC(C)N(Cc1nccn1C)C1CCCCC1 ZINC000102768700 384576225 /nfs/dbraw/zinc/57/62/25/384576225.db2.gz PVKDIZGMUKVVCU-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN CCC[C@H](O)CNCc1cc(Cl)cs1 ZINC000183871097 384579152 /nfs/dbraw/zinc/57/91/52/384579152.db2.gz GTXCNLOXLSSWMI-VIFPVBQESA-N 0 3 233.764 2.652 20 0 BFADHN CCC[C@H]1CCCCN1Cc1c[nH]cn1 ZINC000184233565 384581377 /nfs/dbraw/zinc/58/13/77/384581377.db2.gz XAWNZUYOFFVXOW-LBPRGKRZSA-N 0 3 207.321 2.564 20 0 BFADHN Cc1nc(CNCCCC(F)(F)F)cs1 ZINC000097988814 384581721 /nfs/dbraw/zinc/58/17/21/384581721.db2.gz ZYKQZSITSITCOC-UHFFFAOYSA-N 0 3 238.278 2.884 20 0 BFADHN Cc1ccc(CN[C@H](C)C[C@H]2CCCO2)o1 ZINC000309754496 384581759 /nfs/dbraw/zinc/58/17/59/384581759.db2.gz LEMFKORAZGSAPH-ZYHUDNBSSA-N 0 3 223.316 2.635 20 0 BFADHN CCN(C/C=C/c1ccccc1)CCC(=O)OC ZINC000050053772 384585972 /nfs/dbraw/zinc/58/59/72/384585972.db2.gz QBCGIEQQIOQMSQ-JXMROGBWSA-N 0 3 247.338 2.585 20 0 BFADHN C[C@@H](NCCCO)c1ccccc1C(F)(F)F ZINC000020187948 384588614 /nfs/dbraw/zinc/58/86/14/384588614.db2.gz LYPCEWKXPABKJR-SECBINFHSA-N 0 3 247.260 2.738 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1cc(F)ccc1F ZINC000019963799 384567500 /nfs/dbraw/zinc/56/75/00/384567500.db2.gz LHXFPUHAQKNPOR-ONGXEEELSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@@H](Cn1ccnc1)N[C@H]1CCCc2occc21 ZINC000123969496 384602535 /nfs/dbraw/zinc/60/25/35/384602535.db2.gz XGIOPJGYQBZFPH-AAEUAGOBSA-N 0 3 245.326 2.532 20 0 BFADHN Cc1nnsc1CNCCC1CCCCC1 ZINC000309807005 384632426 /nfs/dbraw/zinc/63/24/26/384632426.db2.gz XYGXKAUOSSHVHI-UHFFFAOYSA-N 0 3 239.388 2.907 20 0 BFADHN CCCCN(CC)[C@H](C)c1cnccn1 ZINC000184401211 384602910 /nfs/dbraw/zinc/60/29/10/384602910.db2.gz UMQXYCQVVKTTFS-LLVKDONJSA-N 0 3 207.321 2.660 20 0 BFADHN COCc1ccc(CN[C@@H]2CC[C@@H]3C[C@@H]3C2)o1 ZINC000403205932 384603569 /nfs/dbraw/zinc/60/35/69/384603569.db2.gz GXTBOSLSKGMFFP-IJLUTSLNSA-N 0 3 235.327 2.704 20 0 BFADHN C[C@@]1(O)CCCN(Cc2cccc(Cl)c2)C1 ZINC000124324815 384632598 /nfs/dbraw/zinc/63/25/98/384632598.db2.gz NPPJHTDAKLNFQT-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN CC[C@H](NCc1c(C)noc1C)C1CC1 ZINC000086109921 384609646 /nfs/dbraw/zinc/60/96/46/384609646.db2.gz ODRALRMISPINNK-LBPRGKRZSA-N 0 3 208.305 2.570 20 0 BFADHN c1cc2c(o1)CCC[C@H]2NC[C@H]1CCC=CO1 ZINC000050267800 384612728 /nfs/dbraw/zinc/61/27/28/384612728.db2.gz KUHYFKPYUKAERJ-DGCLKSJQSA-N 0 3 233.311 2.939 20 0 BFADHN COC(CN[C@@H](C)c1cccc(C)c1C)OC ZINC000162377551 384613289 /nfs/dbraw/zinc/61/32/89/384613289.db2.gz FBTMODRCUZMKFO-LBPRGKRZSA-N 0 3 237.343 2.573 20 0 BFADHN CC[C@@H](N)c1cn(CCC(C)(C)C2CC2)nn1 ZINC000406005453 384614133 /nfs/dbraw/zinc/61/41/33/384614133.db2.gz RQMLPBYYINVATG-LLVKDONJSA-N 0 3 236.363 2.514 20 0 BFADHN C[C@H](N[C@@H](C)c1ccccc1)C(=O)NC(C)(C)C ZINC000020311953 384614625 /nfs/dbraw/zinc/61/46/25/384614625.db2.gz WLYVGLFEXUARRB-RYUDHWBXSA-N 0 3 248.370 2.640 20 0 BFADHN C[C@H](NCC1CCCC1)c1nnc2ccccn21 ZINC000050271638 384614999 /nfs/dbraw/zinc/61/49/99/384614999.db2.gz UYQVAENZJSDSBE-NSHDSACASA-N 0 3 244.342 2.570 20 0 BFADHN CCc1cc(CNC2CCC(F)(F)CC2)on1 ZINC000647185605 384616227 /nfs/dbraw/zinc/61/62/27/384616227.db2.gz JJNMAUTWNPPQDD-UHFFFAOYSA-N 0 3 244.285 2.905 20 0 BFADHN C[C@@H]1CCC[C@@H](CCN2CCN(C)C[C@@H]2C)C1 ZINC000362483447 384618442 /nfs/dbraw/zinc/61/84/42/384618442.db2.gz HHVLEDLFIQNWPR-ILXRZTDVSA-N 0 3 238.419 2.839 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2cncnc2OC)C1 ZINC000647185683 384618898 /nfs/dbraw/zinc/61/88/98/384618898.db2.gz NLKPQDDTTASNMW-WCQYABFASA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2cncnc2OC)C1 ZINC000647185684 384619477 /nfs/dbraw/zinc/61/94/77/384619477.db2.gz NLKPQDDTTASNMW-YPMHNXCESA-N 0 3 249.358 2.544 20 0 BFADHN COC[C@H](NC[C@@H]1CC=CCC1)c1ccco1 ZINC000124200985 384623898 /nfs/dbraw/zinc/62/38/98/384623898.db2.gz YLBZBKILTRQRKZ-OLZOCXBDSA-N 0 3 235.327 2.913 20 0 BFADHN CCCCN(CCCC)C(=O)[C@@H](N)CCC ZINC000036873093 384626139 /nfs/dbraw/zinc/62/61/39/384626139.db2.gz UFMDRXUDASJHAY-LBPRGKRZSA-N 0 3 228.380 2.543 20 0 BFADHN C[C@H](NCCC(C)(C)O)c1cccc(F)c1F ZINC000271680355 384627912 /nfs/dbraw/zinc/62/79/12/384627912.db2.gz BMHFRENOFSFGGB-VIFPVBQESA-N 0 3 243.297 2.776 20 0 BFADHN C[C@H](NCCO)c1ccc(C(C)(C)C)cc1 ZINC000020597034 384628506 /nfs/dbraw/zinc/62/85/06/384628506.db2.gz DFLHVMREQXIACF-NSHDSACASA-N 0 3 221.344 2.627 20 0 BFADHN c1cncc(CNCCCOC2CCCCC2)c1 ZINC000020191380 384591959 /nfs/dbraw/zinc/59/19/59/384591959.db2.gz IGAFWOGPBMLHSL-UHFFFAOYSA-N 0 3 248.370 2.911 20 0 BFADHN Cc1cncc([C@@H](C)NCC2(O)CCCCC2)c1 ZINC000184334205 384594910 /nfs/dbraw/zinc/59/49/10/384594910.db2.gz DIPFDPMAFUHCEF-CYBMUJFWSA-N 0 3 248.370 2.736 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1cccc(OC)c1 ZINC000044688863 384663490 /nfs/dbraw/zinc/66/34/90/384663490.db2.gz OYFZQUVRDLKHHB-NWDGAFQWSA-N 0 3 237.343 2.771 20 0 BFADHN COC[C@@H](C)CNCc1cc(Cl)ccc1F ZINC000162687813 384668033 /nfs/dbraw/zinc/66/80/33/384668033.db2.gz OUSHYNXVLAECPI-VIFPVBQESA-N 0 3 245.725 2.851 20 0 BFADHN CC[C@](C)(CO)NCc1cc(Cl)ccc1F ZINC000162691671 384668722 /nfs/dbraw/zinc/66/87/22/384668722.db2.gz CIEDJLVNKAQPPJ-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN CCCc1csc(CNCC2CCC2)n1 ZINC000336748934 384672910 /nfs/dbraw/zinc/67/29/10/384672910.db2.gz DDFCCVZDXXKKGV-UHFFFAOYSA-N 0 3 224.373 2.985 20 0 BFADHN CC[C@@H](C)NC(=O)CN[C@H](CC)c1ccccc1 ZINC000051628246 384674894 /nfs/dbraw/zinc/67/48/94/384674894.db2.gz SYMNQQVZBJEMPI-TZMCWYRMSA-N 0 3 248.370 2.642 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]2C[C@H]2C1)c1cc2n(n1)CCC2 ZINC000647353102 384638373 /nfs/dbraw/zinc/63/83/73/384638373.db2.gz SBWLTWKDDPASPC-ZDEQEGDKSA-N 0 3 245.370 2.669 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1c(C)nn(C)c1Cl ZINC000162530511 384639525 /nfs/dbraw/zinc/63/95/25/384639525.db2.gz UEDDJDSIAGDVCW-BDAKNGLRSA-N 0 3 243.782 2.906 20 0 BFADHN CCC[C@H](CNc1ccnc2ccccc21)OC ZINC000356423015 384645210 /nfs/dbraw/zinc/64/52/10/384645210.db2.gz WHVFBPXGBGGIFS-GFCCVEGCSA-N 0 3 244.338 2.884 20 0 BFADHN C[C@H](NCc1ccc(Cl)o1)[C@H]1CCCOC1 ZINC000309826011 384645734 /nfs/dbraw/zinc/64/57/34/384645734.db2.gz XIZZBQFJHTWDCX-UWVGGRQHSA-N 0 3 243.734 2.838 20 0 BFADHN CN(Cc1n[nH]c(C2CC2)n1)[C@H]1CCC(C)(C)C1 ZINC000361469635 384646641 /nfs/dbraw/zinc/64/66/41/384646641.db2.gz NIDKTLPJAWTUTR-NSHDSACASA-N 0 3 248.374 2.693 20 0 BFADHN CCc1ccc([C@H](COC)NCC2CCC2)o1 ZINC000184779098 384647677 /nfs/dbraw/zinc/64/76/77/384647677.db2.gz NSZIAWXVAWGMRN-ZDUSSCGKSA-N 0 3 237.343 2.919 20 0 BFADHN C[C@H](NC1CCCC1)c1cc2n(n1)CCCC2 ZINC000647280028 384652647 /nfs/dbraw/zinc/65/26/47/384652647.db2.gz OLMKZEIUBFIDGZ-NSHDSACASA-N 0 3 233.359 2.813 20 0 BFADHN CCCCN[C@@H](C)c1cc2n(n1)CCCC2 ZINC000647279945 384653103 /nfs/dbraw/zinc/65/31/03/384653103.db2.gz IBGXOXHEFOQPLJ-NSHDSACASA-N 0 3 221.348 2.670 20 0 BFADHN CCc1nc(CN[C@@H]2CC[C@H]3C[C@H]3C2)cs1 ZINC000403411672 384653916 /nfs/dbraw/zinc/65/39/16/384653916.db2.gz USVCIBYOBRDXMU-GARJFASQSA-N 0 3 236.384 2.984 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(N)nc2)CC12CCC2 ZINC000647538969 384675772 /nfs/dbraw/zinc/67/57/72/384675772.db2.gz PQDOCOQBLPJJNM-GFCCVEGCSA-N 0 3 245.370 2.506 20 0 BFADHN CC1(C)CC[C@H](CNCc2cccc(F)c2)O1 ZINC000160207088 384659008 /nfs/dbraw/zinc/65/90/08/384659008.db2.gz SABNDWHLQSGLGV-CYBMUJFWSA-N 0 3 237.318 2.873 20 0 BFADHN CCOC[C@H](C)NCc1cc(C)ccc1OC ZINC000044690952 384662864 /nfs/dbraw/zinc/66/28/64/384662864.db2.gz NXUUTRSLSAMAKE-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN CCC1(CNCc2cncnc2OC)CCCC1 ZINC000647192088 384724299 /nfs/dbraw/zinc/72/42/99/384724299.db2.gz PGKFNHRMLJBJPC-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN Cc1c[nH]nc1CNCCCC1CCCC1 ZINC000309926681 384724773 /nfs/dbraw/zinc/72/47/73/384724773.db2.gz VPTWQAWKNFRUSA-UHFFFAOYSA-N 0 3 221.348 2.778 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc3cnccc3c2)CO1 ZINC000402365145 384725509 /nfs/dbraw/zinc/72/55/09/384725509.db2.gz WTZVYHORMUABJB-NHYWBVRUSA-N 0 3 242.322 2.502 20 0 BFADHN COc1ccc2c(c1F)CC[C@H]2N[C@@H]1CC12CC2 ZINC000335249769 384678520 /nfs/dbraw/zinc/67/85/20/384678520.db2.gz RKZALNANPUYCOU-DGCLKSJQSA-N 0 3 247.313 2.964 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1ccncc1Cl ZINC000335249713 384679117 /nfs/dbraw/zinc/67/91/17/384679117.db2.gz PMHTZJXMWABWID-GZMMTYOYSA-N 0 3 222.719 2.938 20 0 BFADHN CO[C@H](C)CNCc1ccc(SC)s1 ZINC000336659302 384684382 /nfs/dbraw/zinc/68/43/82/384684382.db2.gz QYTYVXYUTVTDTK-MRVPVSSYSA-N 0 3 231.386 2.595 20 0 BFADHN COC[C@H](C)N1CC=C(c2ccc(F)cc2)CC1 ZINC000173462482 384685162 /nfs/dbraw/zinc/68/51/62/384685162.db2.gz NUKFCAHEFHEZFL-LBPRGKRZSA-N 0 3 249.329 2.950 20 0 BFADHN Clc1cccc(NCCN2CCCCC2)n1 ZINC000082461304 384687702 /nfs/dbraw/zinc/68/77/02/384687702.db2.gz OTQNURXCYLYIHI-UHFFFAOYSA-N 0 3 239.750 2.633 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1cccc(F)c1F ZINC000050373086 384692009 /nfs/dbraw/zinc/69/20/09/384692009.db2.gz JRKNRJISEBLBDK-VXGBXAGGSA-N 0 3 241.281 2.622 20 0 BFADHN c1cn(CCN2CCC[C@H]2c2ccsc2)cn1 ZINC000162828824 384691889 /nfs/dbraw/zinc/69/18/89/384691889.db2.gz AUCAVUHXFKAEOC-ZDUSSCGKSA-N 0 3 247.367 2.782 20 0 BFADHN CS[C@H](CNCc1cccnc1)C(C)(C)C ZINC000309886670 384692625 /nfs/dbraw/zinc/69/26/25/384692625.db2.gz YRRVFQQQFFXNOT-GFCCVEGCSA-N 0 3 238.400 2.949 20 0 BFADHN C[C@@H](O)CN(C)Cc1csc2ccccc12 ZINC000082584639 384694162 /nfs/dbraw/zinc/69/41/62/384694162.db2.gz WHOZQWLNRDCNPL-SNVBAGLBSA-N 0 3 235.352 2.714 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC[C@H]1C1CCC1 ZINC000309895512 384697696 /nfs/dbraw/zinc/69/76/96/384697696.db2.gz FSQDLDZDDYGTDA-WDEREUQCSA-N 0 3 237.372 2.515 20 0 BFADHN CCC[C@@H](NC[C@@H](O)C(C)C)c1cccnc1 ZINC000185462991 384726924 /nfs/dbraw/zinc/72/69/24/384726924.db2.gz GRDABNAIGZDMOF-ZIAGYGMSSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)C[C@@H](CO)N(C)Cc1ccc(F)cc1 ZINC000185314710 384700812 /nfs/dbraw/zinc/70/08/12/384700812.db2.gz QGNLQYHXRHANST-AWEZNQCLSA-N 0 3 239.334 2.665 20 0 BFADHN CCc1cc(NCC[C@@H](C)O)c2ccccc2n1 ZINC000125176987 384702390 /nfs/dbraw/zinc/70/23/90/384702390.db2.gz SYTBEYGUCJKYRL-LLVKDONJSA-N 0 3 244.338 2.980 20 0 BFADHN CC(C)(O)CNCc1cc(Cl)cc(Cl)c1 ZINC000082687406 384704098 /nfs/dbraw/zinc/70/40/98/384704098.db2.gz OZFYXAMLFJJSIG-UHFFFAOYSA-N 0 3 248.153 2.854 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1cc(C)c(C)o1 ZINC000309902627 384705297 /nfs/dbraw/zinc/70/52/97/384705297.db2.gz IOZVMNGCEXGSDU-GHMZBOCLSA-N 0 3 225.332 2.972 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1CC12CC2 ZINC000335276612 384706465 /nfs/dbraw/zinc/70/64/65/384706465.db2.gz GSQAHJWUUVVJLD-CMPLNLGQSA-N 0 3 202.301 2.593 20 0 BFADHN Cn1ccc(CN[C@H]2CCCC23CCCC3)n1 ZINC000335919438 384707796 /nfs/dbraw/zinc/70/77/96/384707796.db2.gz FGIFELUMBKCGQR-ZDUSSCGKSA-N 0 3 233.359 2.623 20 0 BFADHN CSC1(CN[C@@H](C)c2ccncc2F)CC1 ZINC000309914699 384708114 /nfs/dbraw/zinc/70/81/14/384708114.db2.gz OQMMGHFQAGLFCY-VIFPVBQESA-N 0 3 240.347 2.767 20 0 BFADHN CCCc1ncc(CN[C@H](C)C(C)C)o1 ZINC000449609492 384709729 /nfs/dbraw/zinc/70/97/29/384709729.db2.gz BWPDQGZOUXQIQQ-SNVBAGLBSA-N 0 3 210.321 2.761 20 0 BFADHN Oc1ccc2c(c1)[C@H](N[C@@H]1CC13CC3)CCC2 ZINC000335278656 384710025 /nfs/dbraw/zinc/71/00/25/384710025.db2.gz LTPCUAKPFGUMLI-ZIAGYGMSSA-N 0 3 229.323 2.912 20 0 BFADHN Cc1cncc([C@@H](C)N[C@H]2CC23CC3)c1 ZINC000335279571 384711015 /nfs/dbraw/zinc/71/10/15/384711015.db2.gz TZLFZAWJQIWKFB-PWSUYJOCSA-N 0 3 202.301 2.593 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@@H]2CC23CC3)s1 ZINC000335280774 384711651 /nfs/dbraw/zinc/71/16/51/384711651.db2.gz SSGAGGIONUJSGP-WCBMZHEXSA-N 0 3 222.357 2.963 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1ccc2c(c1)OCO2 ZINC000335279021 384712179 /nfs/dbraw/zinc/71/21/79/384712179.db2.gz OJAWTUZWRBUVAV-RNCFNFMXSA-N 0 3 231.295 2.618 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1CC12CC2 ZINC000335278960 384712237 /nfs/dbraw/zinc/71/22/37/384712237.db2.gz NDOWECUVQGMRFV-GFCCVEGCSA-N 0 3 205.276 2.776 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1cccc(O)c1 ZINC000335279528 384712307 /nfs/dbraw/zinc/71/23/07/384712307.db2.gz QMBIQGHTBGMATN-SKDRFNHKSA-N 0 3 203.285 2.595 20 0 BFADHN C[C@@H]1OCC[C@@H]1NC1(c2cccc(F)c2)CCC1 ZINC000647233448 384714740 /nfs/dbraw/zinc/71/47/40/384714740.db2.gz UNVXPRWXYUCDFQ-FZMZJTMJSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H]1OCC[C@H]1NC1(c2cccc(F)c2)CCC1 ZINC000647233447 384715666 /nfs/dbraw/zinc/71/56/66/384715666.db2.gz UNVXPRWXYUCDFQ-BXUZGUMPSA-N 0 3 249.329 2.972 20 0 BFADHN CCCCN(CCOC)Cc1ccc(C)o1 ZINC000125367952 384716499 /nfs/dbraw/zinc/71/64/99/384716499.db2.gz BWZIHHNHOPGPSF-UHFFFAOYSA-N 0 3 225.332 2.837 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(F)c(Cl)c2)CO1 ZINC000335426285 384718438 /nfs/dbraw/zinc/71/84/38/384718438.db2.gz SFHYEYWFHYIKFD-SCZZXKLOSA-N 0 3 243.709 2.746 20 0 BFADHN CC[C@H]1CCN(Cc2cn3cc(C)ccc3n2)C1 ZINC000125447848 384720596 /nfs/dbraw/zinc/72/05/96/384720596.db2.gz FKPXNHMCAPRYNC-ZDUSSCGKSA-N 0 3 243.354 2.875 20 0 BFADHN CCC[C@@H](NC[C@@H](O)CCC)c1cccnc1 ZINC000185436426 384721953 /nfs/dbraw/zinc/72/19/53/384721953.db2.gz RKDGOVWQAANITK-UONOGXRCSA-N 0 3 236.359 2.673 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1sc(C)nc1C ZINC000083463041 384774031 /nfs/dbraw/zinc/77/40/31/384774031.db2.gz OHDQLWPNIQVRFG-PELKAZGASA-N 0 3 242.388 2.572 20 0 BFADHN CCc1ccc(C(C)(C)NCc2c[nH]cn2)cc1 ZINC000083477826 384775935 /nfs/dbraw/zinc/77/59/35/384775935.db2.gz BNVINYXUKOHMBQ-UHFFFAOYSA-N 0 3 243.354 2.997 20 0 BFADHN CCc1ccc(C(C)(C)NCc2cnc[nH]2)cc1 ZINC000083477826 384775938 /nfs/dbraw/zinc/77/59/38/384775938.db2.gz BNVINYXUKOHMBQ-UHFFFAOYSA-N 0 3 243.354 2.997 20 0 BFADHN CCOc1cccc(CN[C@H]2CC23CC3)c1 ZINC000424184048 384777591 /nfs/dbraw/zinc/77/75/91/384777591.db2.gz KYXGHDIQYBINHX-ZDUSSCGKSA-N 0 3 217.312 2.727 20 0 BFADHN CC[C@H](N[C@@H]1CO[C@@H](C)C1)c1cccc(OC)c1 ZINC000518184033 384777632 /nfs/dbraw/zinc/77/76/32/384777632.db2.gz VSULAAUMBMNQHO-WHOFXGATSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H](N[C@@H]1CCn2ccnc21)C1CCCCC1 ZINC000335953911 384734795 /nfs/dbraw/zinc/73/47/95/384734795.db2.gz HRXYSUQIXHNATQ-DGCLKSJQSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H](O)CCN[C@H](C)c1ccc(Cl)s1 ZINC000082994104 384735008 /nfs/dbraw/zinc/73/50/08/384735008.db2.gz RBRMLIRALPRZBT-HTQZYQBOSA-N 0 3 233.764 2.823 20 0 BFADHN CC(C)C1CCN(Cc2cccnc2)CC1 ZINC000143499467 384736336 /nfs/dbraw/zinc/73/63/36/384736336.db2.gz IHHJSDNAMKEJLG-UHFFFAOYSA-N 0 3 218.344 2.950 20 0 BFADHN FC1(F)CCCC[C@@H]1CNCc1cccnc1 ZINC000294294788 384738840 /nfs/dbraw/zinc/73/88/40/384738840.db2.gz ULDQWIUORYPGLI-GFCCVEGCSA-N 0 3 240.297 2.997 20 0 BFADHN CCC1(C[NH2+]Cc2cc([O-])cc(F)c2)CC1 ZINC000185567079 384741104 /nfs/dbraw/zinc/74/11/04/384741104.db2.gz SOTYOANLYLGFFH-UHFFFAOYSA-N 0 3 223.291 2.811 20 0 BFADHN C[C@H](NCCC(C)(F)F)c1ccc(F)cn1 ZINC000294307654 384743291 /nfs/dbraw/zinc/74/32/91/384743291.db2.gz VQMMYSGVQXPGPJ-QMMMGPOBSA-N 0 3 232.249 2.917 20 0 BFADHN Cc1ccc(CN[C@@H]2CC(C)(C)OC2(C)C)nc1 ZINC000335966551 384743801 /nfs/dbraw/zinc/74/38/01/384743801.db2.gz BQSCEDIHJDGCCB-CYBMUJFWSA-N 0 3 248.370 2.826 20 0 BFADHN CC(C)COCCCN[C@H](C)c1nccs1 ZINC000083142501 384745287 /nfs/dbraw/zinc/74/52/87/384745287.db2.gz XBFFILIVGFQUNB-LLVKDONJSA-N 0 3 242.388 2.856 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1c(F)cccc1Cl ZINC000125726261 384745815 /nfs/dbraw/zinc/74/58/15/384745815.db2.gz BTJNNEFOWDNQBV-BDAKNGLRSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@H]1Oc2cc(F)ccc2[C@H]1NCC1CC1 ZINC000309969535 384746693 /nfs/dbraw/zinc/74/66/93/384746693.db2.gz OSPSSMYYBLWQNG-OQPBUACISA-N 0 3 221.275 2.647 20 0 BFADHN c1c[nH]c(CN[C@@H](C2CCC2)C2CCCC2)n1 ZINC000647236196 384779661 /nfs/dbraw/zinc/77/96/61/384779661.db2.gz YPRCBVJAULXECB-CQSZACIVSA-N 0 3 233.359 2.858 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H]1CCSC1 ZINC000309961605 384752615 /nfs/dbraw/zinc/75/26/15/384752615.db2.gz LMAYKIYLSNNMKB-ZYHUDNBSSA-N 0 3 236.384 2.800 20 0 BFADHN Cc1ccccc1-n1cc(CNCCCF)cn1 ZINC000647193222 384752669 /nfs/dbraw/zinc/75/26/69/384752669.db2.gz QAFRTVYYXNIFPM-UHFFFAOYSA-N 0 3 247.317 2.630 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H]1CCSC1 ZINC000309961602 384753137 /nfs/dbraw/zinc/75/31/37/384753137.db2.gz LMAYKIYLSNNMKB-JQWIXIFHSA-N 0 3 236.384 2.800 20 0 BFADHN Cc1nn(C)cc1CN[C@@H](C)c1ccccc1 ZINC000125923621 384759258 /nfs/dbraw/zinc/75/92/58/384759258.db2.gz KDHASSFDHQOXDL-NSHDSACASA-N 0 3 229.327 2.579 20 0 BFADHN C[C@H](C1CCC1)N(C)Cc1ccccn1 ZINC000357048468 384763836 /nfs/dbraw/zinc/76/38/36/384763836.db2.gz WNLCWTREMAHRHT-LLVKDONJSA-N 0 3 204.317 2.702 20 0 BFADHN CCOCCNCc1ccc(F)c(Cl)c1 ZINC000053156175 384766901 /nfs/dbraw/zinc/76/69/01/384766901.db2.gz CUWMBOSABGBNHL-UHFFFAOYSA-N 0 3 231.698 2.605 20 0 BFADHN COC[C@@H](N[C@H]1CCCc2occc21)C1CC1 ZINC000126076643 384768788 /nfs/dbraw/zinc/76/87/88/384768788.db2.gz SYMRDTARUJDFNT-QWHCGFSZSA-N 0 3 235.327 2.672 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)[C@H]1C)c1nccs1 ZINC000306517165 384769383 /nfs/dbraw/zinc/76/93/83/384769383.db2.gz ADMLKHAHVDWRJB-DOLQZWNJSA-N 0 3 210.346 2.838 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1cc(F)ccc1OC ZINC000053173939 384770275 /nfs/dbraw/zinc/77/02/75/384770275.db2.gz UTBXCOGRRNYHFA-UWVGGRQHSA-N 0 3 241.306 2.520 20 0 BFADHN FC(F)COc1ccc(CN2CCCC2)cc1 ZINC000174252958 384770232 /nfs/dbraw/zinc/77/02/32/384770232.db2.gz SUZBWUQMEQFRBK-UHFFFAOYSA-N 0 3 241.281 2.926 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)[C@@H]1C)c1nccs1 ZINC000306517176 384771175 /nfs/dbraw/zinc/77/11/75/384771175.db2.gz ADMLKHAHVDWRJB-XFWSIPNHSA-N 0 3 210.346 2.838 20 0 BFADHN CO[C@@H](CNCc1cc(F)cc(F)c1)C(C)C ZINC000270043312 384818224 /nfs/dbraw/zinc/81/82/24/384818224.db2.gz CRJAUOWCNLKEPV-ZDUSSCGKSA-N 0 3 243.297 2.725 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1ccc(Cl)nc1 ZINC000126847375 384837353 /nfs/dbraw/zinc/83/73/53/384837353.db2.gz MEDUZJFCDRNUCF-SKDRFNHKSA-N 0 3 242.750 2.547 20 0 BFADHN CC(C)Cn1nccc1CNC1(C)CCC1 ZINC000647196956 384821342 /nfs/dbraw/zinc/82/13/42/384821342.db2.gz UQKWNWAMCNZQTO-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN C[C@H](O)CCCNCc1ccsc1Cl ZINC000308588161 384825641 /nfs/dbraw/zinc/82/56/41/384825641.db2.gz JEVKXMLFKDDQEQ-QMMMGPOBSA-N 0 3 233.764 2.652 20 0 BFADHN Cc1cc(CNC[C@H]2CC[C@H](C)O2)cc(C)c1O ZINC000398174303 384826426 /nfs/dbraw/zinc/82/64/26/384826426.db2.gz VGARLDHSDDPUHA-GXTWGEPZSA-N 0 3 249.354 2.666 20 0 BFADHN C[C@@H](C1CC1)[N@H+](C)Cc1cc([O-])cc(F)c1 ZINC000186185134 384826577 /nfs/dbraw/zinc/82/65/77/384826577.db2.gz YETPTRYGEXWMBH-VIFPVBQESA-N 0 3 223.291 2.762 20 0 BFADHN C[C@@H](C1CC1)[N@@H+](C)Cc1cc([O-])cc(F)c1 ZINC000186185134 384826586 /nfs/dbraw/zinc/82/65/86/384826586.db2.gz YETPTRYGEXWMBH-VIFPVBQESA-N 0 3 223.291 2.762 20 0 BFADHN Cc1sccc1CNC[C@@H]1CC[C@H](C)O1 ZINC000398178833 384828893 /nfs/dbraw/zinc/82/88/93/384828893.db2.gz RTNAIKNJDYFRQK-CABZTGNLSA-N 0 3 225.357 2.714 20 0 BFADHN Clc1ccccc1CN[C@H]1C[C@H]2CC[C@@H]1O2 ZINC000087689780 384829554 /nfs/dbraw/zinc/82/95/54/384829554.db2.gz JCXLALSZNKRXNP-WXHSDQCUSA-N 0 3 237.730 2.750 20 0 BFADHN Cc1cc(C)c(CNCc2ccccc2)cn1 ZINC000306784272 384830011 /nfs/dbraw/zinc/83/00/11/384830011.db2.gz RUWBYULVPGFHPS-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN C[C@@H](NCC(C)(C)O)c1ccc(Cl)s1 ZINC000042587849 384832238 /nfs/dbraw/zinc/83/22/38/384832238.db2.gz ZZIDSCMPRRJROD-SSDOTTSWSA-N 0 3 233.764 2.823 20 0 BFADHN C[C@H](NCCN1CCCC[C@@H]1C)c1ccco1 ZINC000053343468 384781178 /nfs/dbraw/zinc/78/11/78/384781178.db2.gz XCCHLEGYMSXQOQ-STQMWFEESA-N 0 3 236.359 2.805 20 0 BFADHN C[C@H](CC1CCOCC1)NCc1ccco1 ZINC000309733821 384781888 /nfs/dbraw/zinc/78/18/88/384781888.db2.gz FVNBTCDUVLJYCK-LLVKDONJSA-N 0 3 223.316 2.574 20 0 BFADHN CC1(C)CN(CCCCF)[C@H]1[C@@H]1CCCO1 ZINC000368066788 384782561 /nfs/dbraw/zinc/78/25/61/384782561.db2.gz YVSRSTOAFYFYHS-RYUDHWBXSA-N 0 3 229.339 2.626 20 0 BFADHN Cc1occc1CN[C@H](C)C[C@H]1CCCO1 ZINC000309736404 384783868 /nfs/dbraw/zinc/78/38/68/384783868.db2.gz GOVKSJMRILLBPB-ZWNOBZJWSA-N 0 3 223.316 2.635 20 0 BFADHN CCC1CC(NCc2ccccc2OC)C1 ZINC000310009756 384784136 /nfs/dbraw/zinc/78/41/36/384784136.db2.gz IEPLDVNSHSJEKL-UHFFFAOYSA-N 0 3 219.328 2.973 20 0 BFADHN CCSCCNCc1ccc(C)c(C)n1 ZINC000314071005 384784426 /nfs/dbraw/zinc/78/44/26/384784426.db2.gz ULOCSYZENYKZJF-UHFFFAOYSA-N 0 3 224.373 2.541 20 0 BFADHN CC(C)[C@H]1C[C@@H](NCc2cccnc2)CS1 ZINC000277355863 384785670 /nfs/dbraw/zinc/78/56/70/384785670.db2.gz WCTWWORAFYCBEO-CHWSQXEVSA-N 0 3 236.384 2.701 20 0 BFADHN CCOc1cccc(CNCC2=CCCOC2)c1 ZINC000126532576 384786752 /nfs/dbraw/zinc/78/67/52/384786752.db2.gz DHBFBFOJTFSHPC-UHFFFAOYSA-N 0 3 247.338 2.522 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1cc(C)c(C)o1)OC ZINC000310021021 384787171 /nfs/dbraw/zinc/78/71/71/384787171.db2.gz NHCSXNPWSJGTLZ-JQWIXIFHSA-N 0 3 225.332 2.972 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCCOC2)o1 ZINC000103965349 384790181 /nfs/dbraw/zinc/79/01/81/384790181.db2.gz OJKQOJVQYLFLEM-WZRBSPASSA-N 0 3 235.327 2.672 20 0 BFADHN CSCCCN1CC(C)(C)[C@@H]1[C@H]1CCCO1 ZINC000368069261 384791546 /nfs/dbraw/zinc/79/15/46/384791546.db2.gz FLTCLDUIICDUEE-NEPJUHHUSA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2n[nH]cc2C)C1 ZINC000310014229 384793325 /nfs/dbraw/zinc/79/33/25/384793325.db2.gz KDQHYUIVYUFGLB-RYUDHWBXSA-N 0 3 221.348 2.777 20 0 BFADHN COC[C@H](N[C@@H](C)c1ccccc1F)C1CC1 ZINC000126373515 384794378 /nfs/dbraw/zinc/79/43/78/384794378.db2.gz RNQWCAVGJTWQQI-HZMBPMFUSA-N 0 3 237.318 2.901 20 0 BFADHN COC[C@@H](N[C@@H](C)c1ccccc1F)C1CC1 ZINC000126373942 384795130 /nfs/dbraw/zinc/79/51/30/384795130.db2.gz RNQWCAVGJTWQQI-IINYFYTJSA-N 0 3 237.318 2.901 20 0 BFADHN CCOC(=O)[C@H]1CCCN1C1CCCCCC1 ZINC000148941213 384795536 /nfs/dbraw/zinc/79/55/36/384795536.db2.gz SXBWDFIOYFTPFP-CYBMUJFWSA-N 0 3 239.359 2.737 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1cc(C)c(C)o1 ZINC000310029619 384797556 /nfs/dbraw/zinc/79/75/56/384797556.db2.gz QSFDHUMEADDFSH-JQWIXIFHSA-N 0 3 225.332 2.708 20 0 BFADHN C[C@H](CF)N[C@@H]1CS[C@H](C(C)(C)C)C1 ZINC000308564292 384800524 /nfs/dbraw/zinc/80/05/24/384800524.db2.gz GZBPWVABYHPZHS-UTLUCORTSA-N 0 3 219.369 2.854 20 0 BFADHN CN(CCCCO)Cc1ccc(Cl)s1 ZINC000042489151 384808069 /nfs/dbraw/zinc/80/80/69/384808069.db2.gz FGGNSGSPHHMJBQ-UHFFFAOYSA-N 0 3 233.764 2.606 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)o1 ZINC000398122096 384810683 /nfs/dbraw/zinc/81/06/83/384810683.db2.gz XHUBFYKKUBZXCH-OUJBWJOFSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@]1(NCc2ccccn2)CC=CCC1 ZINC000335241204 384870378 /nfs/dbraw/zinc/87/03/78/384870378.db2.gz SESXOCPEOBPVOB-ZDUSSCGKSA-N 0 3 202.301 2.670 20 0 BFADHN CC[C@H](NC[C@H]1C[C@@H]1C)c1nccs1 ZINC000308612594 384871986 /nfs/dbraw/zinc/87/19/86/384871986.db2.gz RCBNZZZIGBPTOO-AEJSXWLSSA-N 0 3 210.346 2.840 20 0 BFADHN FC(F)(F)OCCN[C@@H]1CCCc2occc21 ZINC000398268365 384872523 /nfs/dbraw/zinc/87/25/23/384872523.db2.gz XRRVJOHLWKCQOD-SECBINFHSA-N 0 3 249.232 2.783 20 0 BFADHN CC[C@H](CNCc1cc(C)ccc1OC)OC ZINC000310174666 384872493 /nfs/dbraw/zinc/87/24/93/384872493.db2.gz QTWMBBXDABFPSS-CYBMUJFWSA-N 0 3 237.343 2.518 20 0 BFADHN CCOc1ccccc1[C@@H](C)NC[C@H](O)CC ZINC000163902607 384873275 /nfs/dbraw/zinc/87/32/75/384873275.db2.gz IUGJQKPTMQHWAG-VXGBXAGGSA-N 0 3 237.343 2.507 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1N[C@H]1CCCc2c[nH]nc21 ZINC000552276294 384878109 /nfs/dbraw/zinc/87/81/09/384878109.db2.gz RSINDAHTKHSCSX-XQQFMLRXSA-N 0 3 233.359 2.811 20 0 BFADHN Cc1ccc(CNC[C@H]2CCSC2)nc1C ZINC000314251382 384879528 /nfs/dbraw/zinc/87/95/28/384879528.db2.gz YJNKASRZMWIZIG-GFCCVEGCSA-N 0 3 236.384 2.541 20 0 BFADHN Cc1ncc(CNC2[C@H](C)CCC[C@H]2C)o1 ZINC000335276285 384882495 /nfs/dbraw/zinc/88/24/95/384882495.db2.gz DQQSDMMJRAPSHI-NXEZZACHSA-N 0 3 222.332 2.897 20 0 BFADHN CSC(C)(C)CN[C@@H]1C[C@H](C)n2ccnc21 ZINC000294129648 384883291 /nfs/dbraw/zinc/88/32/91/384883291.db2.gz OMPLXNSTNCBRQP-VHSXEESVSA-N 0 3 239.388 2.620 20 0 BFADHN CC(C)Cn1cc(CNC2(C3(C)CC3)CC2)cn1 ZINC000449675324 384890147 /nfs/dbraw/zinc/89/01/47/384890147.db2.gz ZJQLUGCRWCUENS-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN Cc1cccc(CCNCc2ccns2)c1 ZINC000404462072 384838829 /nfs/dbraw/zinc/83/88/29/384838829.db2.gz KEPNJKRJBMJIGF-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN COc1cc(C)nc(CN[C@H]2CCC2(C)C)c1 ZINC000310100809 384841648 /nfs/dbraw/zinc/84/16/48/384841648.db2.gz XPESGVDNDCKESA-ZDUSSCGKSA-N 0 3 234.343 2.677 20 0 BFADHN C[C@H](CCC1CC1)NCc1cccc(N(C)C)n1 ZINC000186433358 384843121 /nfs/dbraw/zinc/84/31/21/384843121.db2.gz ALDCSKGKAKRSED-GFCCVEGCSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@H](NC[C@@H]1C[C@@H]1C)c1nc2ccccc2n1C ZINC000163675863 384843517 /nfs/dbraw/zinc/84/35/17/384843517.db2.gz NGMWKPMEIFBYEN-SRVKXCTJSA-N 0 3 243.354 2.880 20 0 BFADHN Cc1nnc(CN2[C@H](C)C[C@H]3CCCC[C@H]32)o1 ZINC000247643797 384843991 /nfs/dbraw/zinc/84/39/91/384843991.db2.gz UAMPHISEDZWRHM-YUSALJHKSA-N 0 3 235.331 2.531 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@@H](C)c1ccc(F)cc1 ZINC000163984421 384888332 /nfs/dbraw/zinc/88/83/32/384888332.db2.gz UBCWPCUCYVVYKU-COPLHBTASA-N 0 3 239.334 2.883 20 0 BFADHN CC1(C2([NH2+]Cc3cc([O-])cc(F)c3)CC2)CC1 ZINC000398212340 384845457 /nfs/dbraw/zinc/84/54/57/384845457.db2.gz ICJBRTLLLYRDBG-UHFFFAOYSA-N 0 3 235.302 2.954 20 0 BFADHN CCc1ccc(CCNCc2conc2C)cc1 ZINC000339268306 384846729 /nfs/dbraw/zinc/84/67/29/384846729.db2.gz OBRHUZBHMQUWLM-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN Cc1ccc(CN[C@H]2CCCSC2)nc1C ZINC000314176899 384847709 /nfs/dbraw/zinc/84/77/09/384847709.db2.gz NZFULEQGMMOSEX-ZDUSSCGKSA-N 0 3 236.384 2.684 20 0 BFADHN c1nc(CN[C@@H](c2ccccc2)C2CC2)c[nH]1 ZINC000054764738 384849887 /nfs/dbraw/zinc/84/98/87/384849887.db2.gz SIJMKIQMMVTCEG-AWEZNQCLSA-N 0 3 227.311 2.651 20 0 BFADHN c1ncc(CN[C@@H](c2ccccc2)C2CC2)[nH]1 ZINC000054764738 384849895 /nfs/dbraw/zinc/84/98/95/384849895.db2.gz SIJMKIQMMVTCEG-AWEZNQCLSA-N 0 3 227.311 2.651 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@@H](C)c1ccccc1F ZINC000186522803 384850134 /nfs/dbraw/zinc/85/01/34/384850134.db2.gz NDNQYQNBMBBVIY-QSKOYVBTSA-N 0 3 237.318 2.803 20 0 BFADHN C[C@H]1OCC[C@@H]1NC1(c2ccccc2F)CCC1 ZINC000647237431 384852891 /nfs/dbraw/zinc/85/28/91/384852891.db2.gz ZYQDPZFYZHLZCI-RISCZKNCSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@@H]1OCC[C@@H]1NC1(c2ccccc2F)CCC1 ZINC000647237430 384853718 /nfs/dbraw/zinc/85/37/18/384853718.db2.gz ZYQDPZFYZHLZCI-FZMZJTMJSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1cscn1 ZINC000403713662 384855736 /nfs/dbraw/zinc/85/57/36/384855736.db2.gz YARTWTMJUVUFKJ-LNFKQOIKSA-N 0 3 222.357 2.982 20 0 BFADHN CC[C@@H](N[C@@H](CC)COC)c1ccncc1 ZINC000163791477 384858956 /nfs/dbraw/zinc/85/89/56/384858956.db2.gz XUGQBNUFBNLUFO-QWHCGFSZSA-N 0 3 222.332 2.547 20 0 BFADHN Cc1ncc(CNC2(C3(C)CC3)CC2)s1 ZINC000398225996 384859414 /nfs/dbraw/zinc/85/94/14/384859414.db2.gz UCKWNZXGTBKKRL-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN CC[C@@H](NCCN(C)CC)c1ccccc1F ZINC000163790998 384860771 /nfs/dbraw/zinc/86/07/71/384860771.db2.gz ZPXAEGMZGFPUDK-CQSZACIVSA-N 0 3 238.350 2.818 20 0 BFADHN CC(C)[C@@H]1OCCC[C@@H]1CNCc1ccco1 ZINC000175063150 384861865 /nfs/dbraw/zinc/86/18/65/384861865.db2.gz DNPKQFVDFUJYQW-OCCSQVGLSA-N 0 3 237.343 2.820 20 0 BFADHN COc1cc(CNC2CC3(CCC3)C2)ccn1 ZINC000448059017 384889191 /nfs/dbraw/zinc/88/91/91/384889191.db2.gz VYVXBRIVDNLUCC-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN CSC[C@@H](C)N[C@@H]1CCCc2occc21 ZINC000127403459 384862479 /nfs/dbraw/zinc/86/24/79/384862479.db2.gz ULWUDQOWUYWIQL-MWLCHTKSSA-N 0 3 225.357 2.998 20 0 BFADHN CC(C)[C@H]1OCCC[C@@H]1CNCc1ccco1 ZINC000175063133 384862548 /nfs/dbraw/zinc/86/25/48/384862548.db2.gz DNPKQFVDFUJYQW-TZMCWYRMSA-N 0 3 237.343 2.820 20 0 BFADHN COc1cc(CN(C)[C@H](C)C(C)(C)C)ccn1 ZINC000536475594 384863889 /nfs/dbraw/zinc/86/38/89/384863889.db2.gz KLWPMEIUCCISSA-LLVKDONJSA-N 0 3 236.359 2.957 20 0 BFADHN CCSCC[C@H](C)NCc1ccco1 ZINC000127495285 384866472 /nfs/dbraw/zinc/86/64/72/384866472.db2.gz XDRVMEYMUXYXSV-JTQLQIEISA-N 0 3 213.346 2.901 20 0 BFADHN CC[C@@H](NCC1(C)COC1)c1ccccc1F ZINC000163841081 384866875 /nfs/dbraw/zinc/86/68/75/384866875.db2.gz IADGQVMSAFGSAH-CYBMUJFWSA-N 0 3 237.318 2.903 20 0 BFADHN CC1(C)[C@H](NCc2ccco2)[C@H]2CCCO[C@@H]21 ZINC000085669567 384960663 /nfs/dbraw/zinc/96/06/63/384960663.db2.gz PWHPROVNOGOOMC-UPJWGTAASA-N 0 3 235.327 2.573 20 0 BFADHN COC(C)(C)C[C@@H](C)N[C@@H](C)c1cscn1 ZINC000127875296 384957217 /nfs/dbraw/zinc/95/72/17/384957217.db2.gz CLJFZICRRPQMIJ-ZJUUUORDSA-N 0 3 242.388 2.997 20 0 BFADHN F[C@@H]1CCN(C[C@H]2CCCC(F)(F)C2)C1 ZINC000336263022 384892226 /nfs/dbraw/zinc/89/22/26/384892226.db2.gz NAWKJVQUQZRKFR-VHSXEESVSA-N 0 3 221.266 2.856 20 0 BFADHN COC[C@H](C)N1CCC(c2ccsc2)CC1 ZINC000186932731 384896221 /nfs/dbraw/zinc/89/62/21/384896221.db2.gz IPCCYVZQBMFHBI-NSHDSACASA-N 0 3 239.384 2.962 20 0 BFADHN C[C@@H](NCc1cn2cc(Cl)ccc2n1)C1CC1 ZINC000105969816 384897067 /nfs/dbraw/zinc/89/70/67/384897067.db2.gz GMSDWVSEQGVOOB-SECBINFHSA-N 0 3 249.745 2.876 20 0 BFADHN FCCCN1CCOC2(C1)CCCCCC2 ZINC000152004928 384902611 /nfs/dbraw/zinc/90/26/11/384902611.db2.gz FTPCRXBELUVBEK-UHFFFAOYSA-N 0 3 229.339 2.771 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccsc1)C1CC1 ZINC000293737829 384902637 /nfs/dbraw/zinc/90/26/37/384902637.db2.gz OZMZSBOKURMREW-BXKDBHETSA-N 0 3 225.357 2.824 20 0 BFADHN C[C@]1(F)CCCN(CCC2CCOCC2)C1 ZINC000336266725 384905360 /nfs/dbraw/zinc/90/53/60/384905360.db2.gz IMBDLTQCGKXKNP-ZDUSSCGKSA-N 0 3 229.339 2.627 20 0 BFADHN Cc1ccc(NC(=O)[C@H](C(C)C)N(C)C)cc1C ZINC000106407799 384911564 /nfs/dbraw/zinc/91/15/64/384911564.db2.gz OQNHUKGJSDZIOS-AWEZNQCLSA-N 0 3 248.370 2.828 20 0 BFADHN COCc1ccc(CN[C@H]2CCC[C@@H]2C)o1 ZINC000127523324 384912976 /nfs/dbraw/zinc/91/29/76/384912976.db2.gz CFBSARKGNBUZSS-GWCFXTLKSA-N 0 3 223.316 2.704 20 0 BFADHN CCOC(=O)[C@H]1CCCN1C1CCC(C)CC1 ZINC000085081917 384915653 /nfs/dbraw/zinc/91/56/53/384915653.db2.gz SSWPDOABZMSVKZ-WXRRBKDZSA-N 0 3 239.359 2.593 20 0 BFADHN COc1ccc(CN2CC[C@H]3CCC[C@@H]32)cc1O ZINC000336484069 384918118 /nfs/dbraw/zinc/91/81/18/384918118.db2.gz KZNQBIIKEOYQAU-OLZOCXBDSA-N 0 3 247.338 2.775 20 0 BFADHN CCC[C@H]1CCCC[C@H]1NCc1nccn1C ZINC000516882864 384924747 /nfs/dbraw/zinc/92/47/47/384924747.db2.gz PBWPRNNKXYGNIL-QWHCGFSZSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2NC[C@@H](C)O ZINC000644523279 384933966 /nfs/dbraw/zinc/93/39/66/384933966.db2.gz USNSMECWGFXNPR-BXKDBHETSA-N 0 3 237.343 2.572 20 0 BFADHN CCCC(O)(CCC)CNCc1ccco1 ZINC000164217920 384941500 /nfs/dbraw/zinc/94/15/00/384941500.db2.gz SLXKNPZRYRLYAS-UHFFFAOYSA-N 0 3 225.332 2.701 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@@H]1C[C@H](C)[C@@H]1C ZINC000644536290 384945365 /nfs/dbraw/zinc/94/53/65/384945365.db2.gz NIPNCRMFJOAMBD-DUFXMDAXSA-N 0 3 248.370 2.841 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1sccc1OC ZINC000309952375 384946400 /nfs/dbraw/zinc/94/64/00/384946400.db2.gz GTGZHLUZKZVAIZ-VHSXEESVSA-N 0 3 243.372 2.832 20 0 BFADHN CC(C)n1ccnc1CN[C@@H]1CCC[C@@H]1C ZINC000309315891 384965942 /nfs/dbraw/zinc/96/59/42/384965942.db2.gz CZNSVZVUYHSHNR-NWDGAFQWSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1cc(C)ns1)OC ZINC000404486648 385021328 /nfs/dbraw/zinc/02/13/28/385021328.db2.gz LKLYAVNEOSOOFC-BXKDBHETSA-N 0 3 242.388 2.602 20 0 BFADHN C[C@@H](NCCC[C@H](C)O)c1ccc(Cl)nc1 ZINC000279142959 384969469 /nfs/dbraw/zinc/96/94/69/384969469.db2.gz SBOYIPVHGDSAMT-VHSXEESVSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1scnc1C)OC ZINC000294138026 384971190 /nfs/dbraw/zinc/97/11/90/384971190.db2.gz GCVWQTAFFZYMCO-MWLCHTKSSA-N 0 3 242.388 2.602 20 0 BFADHN CC[C@@H](C)N1CCN([C@H](C)c2ccccn2)CC1 ZINC000187725591 384975552 /nfs/dbraw/zinc/97/55/52/384975552.db2.gz WDEQCIFUUNGPQX-ZIAGYGMSSA-N 0 3 247.386 2.559 20 0 BFADHN CCOc1cccc(CN(C)C[C@@H]2CCCO2)c1 ZINC000127974408 384976774 /nfs/dbraw/zinc/97/67/74/384976774.db2.gz JVIVYZSPKZABTI-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN CCOc1cccc(CN(C)C[C@H]2CCCO2)c1 ZINC000127974655 384977353 /nfs/dbraw/zinc/97/73/53/384977353.db2.gz JVIVYZSPKZABTI-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN C[C@H]1CC[C@H](NCc2ccns2)CC1 ZINC000404406328 384979061 /nfs/dbraw/zinc/97/90/61/384979061.db2.gz DOZNFUVSNBPQHW-MGCOHNPYSA-N 0 3 210.346 2.811 20 0 BFADHN Cc1nocc1CNCC12CCC(CC1)C2 ZINC000339326934 384982126 /nfs/dbraw/zinc/98/21/26/384982126.db2.gz VDXWWRUXSWTCGV-UHFFFAOYSA-N 0 3 220.316 2.653 20 0 BFADHN CC(C)N(CCO)Cc1ccc(Cl)c(F)c1 ZINC000128055671 384985016 /nfs/dbraw/zinc/98/50/16/384985016.db2.gz KRKCMMDMCOFOTH-UHFFFAOYSA-N 0 3 245.725 2.682 20 0 BFADHN C[C@H](CN1CCCCC1)N[C@@H](C)c1ccoc1 ZINC000094868815 384987927 /nfs/dbraw/zinc/98/79/27/384987927.db2.gz UXTAGAJJRGLKGX-OLZOCXBDSA-N 0 3 236.359 2.805 20 0 BFADHN c1cc(CNC[C@H]2Cc3ccccc32)sn1 ZINC000404433097 384990492 /nfs/dbraw/zinc/99/04/92/384990492.db2.gz CLCOIRLYSCQTPD-LLVKDONJSA-N 0 3 230.336 2.573 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@@H]2F)cc1 ZINC000339581915 385022341 /nfs/dbraw/zinc/02/23/41/385022341.db2.gz FBYYTSKSZBKIFU-QWHCGFSZSA-N 0 3 207.292 2.975 20 0 BFADHN CC1(C)CCCC[C@H]1NCc1ccon1 ZINC000088052660 384992954 /nfs/dbraw/zinc/99/29/54/384992954.db2.gz VNWOVAWZICLJSF-LLVKDONJSA-N 0 3 208.305 2.733 20 0 BFADHN CCc1ccc([C@H](C)NCC2(C)COC2)o1 ZINC000164465644 384995095 /nfs/dbraw/zinc/99/50/95/384995095.db2.gz BSTCULIVVDADDJ-JTQLQIEISA-N 0 3 223.316 2.529 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCc3c(O)cccc32)CO1 ZINC000647205476 384995486 /nfs/dbraw/zinc/99/54/86/384995486.db2.gz BTCDYSPUBFOOMV-SUNKGSAMSA-N 0 3 247.338 2.537 20 0 BFADHN Cc1cc(CNCCOCCC(C)C)sn1 ZINC000404449045 384996047 /nfs/dbraw/zinc/99/60/47/384996047.db2.gz LMIBZYYSOSXAAU-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN C[C@@H](NCCN1CCC(C)CC1)c1ccoc1 ZINC000094869153 384996513 /nfs/dbraw/zinc/99/65/13/384996513.db2.gz YEPXPBDFIQJDFK-CYBMUJFWSA-N 0 3 236.359 2.662 20 0 BFADHN C/C=C\C[C@H](CO)NCc1ccc(Cl)cc1 ZINC000309985684 385000723 /nfs/dbraw/zinc/00/07/23/385000723.db2.gz YFYFPRWSDFUCGX-XQJDBVBESA-N 0 3 239.746 2.757 20 0 BFADHN CC(C)C[C@H](C)N1CC[S@@](=O)C(C)(C)CC1 ZINC000336305263 385002845 /nfs/dbraw/zinc/00/28/45/385002845.db2.gz CXNVBPHGOLZAGB-BLLLJJGKSA-N 0 3 245.432 2.654 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1cc(F)ccc1F ZINC000128146570 385005240 /nfs/dbraw/zinc/00/52/40/385005240.db2.gz YOBDXGQNIAZQAA-KOLCDFICSA-N 0 3 243.297 2.776 20 0 BFADHN COc1cccc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)n1 ZINC000403365106 385007061 /nfs/dbraw/zinc/00/70/61/385007061.db2.gz LKYMITUXBHRRKD-FRRDWIJNSA-N 0 3 246.354 2.616 20 0 BFADHN Fc1ccc2c(c1)CC[C@H]2NC[C@@H]1CCCO1 ZINC000128189332 385011645 /nfs/dbraw/zinc/01/16/45/385011645.db2.gz JIHLINBXSACBSJ-GXTWGEPZSA-N 0 3 235.302 2.582 20 0 BFADHN Cc1cc(CN[C@@H](C)CC(F)(F)F)sn1 ZINC000404498827 385023034 /nfs/dbraw/zinc/02/30/34/385023034.db2.gz UZCWCLIRNRJRJZ-ZETCQYMHSA-N 0 3 238.278 2.882 20 0 BFADHN CO[C@@H](C)CN[C@H]1CCCOc2cc(C)ccc21 ZINC000188135312 385016891 /nfs/dbraw/zinc/01/68/91/385016891.db2.gz RPWXCYFWINQVPX-JSGCOSHPSA-N 0 3 249.354 2.833 20 0 BFADHN C[C@@H](N[C@H]1COCC1(C)C)c1ccsc1 ZINC000312986754 385020519 /nfs/dbraw/zinc/02/05/19/385020519.db2.gz UHJYVJZKODWCIM-KOLCDFICSA-N 0 3 225.357 2.824 20 0 BFADHN COc1cc2c(cc1CNC1CCC1)O[C@H](C)C2 ZINC000107322817 384962201 /nfs/dbraw/zinc/96/22/01/384962201.db2.gz WJSLYMZTKXCBNR-SNVBAGLBSA-N 0 3 247.338 2.661 20 0 BFADHN CCc1noc([C@H](C)N2C[C@H]3CCCC[C@@H]3C2)n1 ZINC000177533882 385047774 /nfs/dbraw/zinc/04/77/74/385047774.db2.gz CNIACPANOFWQGY-QJPTWQEYSA-N 0 3 249.358 2.815 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](F)C1)c1ccncc1F ZINC000340394272 385054814 /nfs/dbraw/zinc/05/48/14/385054814.db2.gz OQDRGUVYFIPJRK-IVZWLZJFSA-N 0 3 226.270 2.762 20 0 BFADHN C[C@@H](N[C@H]1CCCC1(C)C)c1cnccn1 ZINC000086872970 385057331 /nfs/dbraw/zinc/05/73/31/385057331.db2.gz CWNVOKROELNQRJ-PWSUYJOCSA-N 0 3 219.332 2.706 20 0 BFADHN CC[C@H](C)C[C@@H](CO)NCc1csc(C)c1 ZINC000647244689 385057874 /nfs/dbraw/zinc/05/78/74/385057874.db2.gz FOOXFXQXENWBBB-GWCFXTLKSA-N 0 3 241.400 2.943 20 0 BFADHN Cn1c(Cl)cnc1CN[C@H]1CCCC1(C)C ZINC000086874648 385058257 /nfs/dbraw/zinc/05/82/57/385058257.db2.gz RAUKBTOALXRBIZ-VIFPVBQESA-N 0 3 241.766 2.742 20 0 BFADHN Fc1ccc(F)c(CN[C@H]2CCCOCC2)c1 ZINC000086874657 385058368 /nfs/dbraw/zinc/05/83/68/385058368.db2.gz YCVOPNKFUVOWPG-LBPRGKRZSA-N 0 3 241.281 2.624 20 0 BFADHN CC(C)[C@@H](O)CN1CCc2sccc2[C@H]1C ZINC000300933382 385059920 /nfs/dbraw/zinc/05/99/20/385059920.db2.gz WKGJDGAKTZTUEP-PWSUYJOCSA-N 0 3 239.384 2.684 20 0 BFADHN CC[C@@H](O)CNCc1cc(C2CC2)ccc1F ZINC000644656181 385062357 /nfs/dbraw/zinc/06/23/57/385062357.db2.gz YCRHFOPFHLNGHL-CYBMUJFWSA-N 0 3 237.318 2.564 20 0 BFADHN CSC(C)(C)CNCc1cnn(C(C)C)c1 ZINC000165020495 385064054 /nfs/dbraw/zinc/06/40/54/385064054.db2.gz NTVWGJFYINMOSO-UHFFFAOYSA-N 0 3 241.404 2.695 20 0 BFADHN CO[C@@H](CNCc1occc1C)C(C)(C)C ZINC000165076428 385065151 /nfs/dbraw/zinc/06/51/51/385065151.db2.gz LVGDOFOUBVRSLL-LBPRGKRZSA-N 0 3 225.332 2.739 20 0 BFADHN C[C@@H](F)CCN[C@H](C)c1ccc(F)cn1 ZINC000340463715 385066622 /nfs/dbraw/zinc/06/66/22/385066622.db2.gz YPKTWWUPLIDUNZ-RKDXNWHRSA-N 0 3 214.259 2.619 20 0 BFADHN C[C@@H](F)CCN[C@@H](C)c1cncs1 ZINC000340469694 385066662 /nfs/dbraw/zinc/06/66/62/385066662.db2.gz YFRPTPYSWKMLEV-SFYZADRCSA-N 0 3 202.298 2.542 20 0 BFADHN CO[C@H](CNCc1ccc(C)o1)C(C)(C)C ZINC000165072501 385066933 /nfs/dbraw/zinc/06/69/33/385066933.db2.gz MFTKMXVUXWDWGL-GFCCVEGCSA-N 0 3 225.332 2.739 20 0 BFADHN CC[C@@H](C)N[C@H](C)c1cc(OC)ncc1F ZINC000336671357 385069791 /nfs/dbraw/zinc/06/97/91/385069791.db2.gz AKTQFKUUUZEUSO-RKDXNWHRSA-N 0 3 226.295 2.678 20 0 BFADHN C[C@H](NC[C@@H](O)CC1CCCC1)c1ccccn1 ZINC000188631103 385069987 /nfs/dbraw/zinc/06/99/87/385069987.db2.gz DZTWXVMBIWKWRB-JSGCOSHPSA-N 0 3 248.370 2.673 20 0 BFADHN Cc1cc(CN(CCO)C(C)C)c(C)s1 ZINC000176361651 385073124 /nfs/dbraw/zinc/07/31/24/385073124.db2.gz UOVGNCCJVAADBO-UHFFFAOYSA-N 0 3 227.373 2.568 20 0 BFADHN Cc1nc(CN2CCC[C@H]2C)sc1C ZINC000176386892 385075600 /nfs/dbraw/zinc/07/56/00/385075600.db2.gz ROQSJKSBSZAUJC-MRVPVSSYSA-N 0 3 210.346 2.744 20 0 BFADHN CC[C@@H](CO)N[C@H]1CC(C)(C)Cc2occc21 ZINC000188677278 385078574 /nfs/dbraw/zinc/07/85/74/385078574.db2.gz NCWFXQADSXUUKN-JQWIXIFHSA-N 0 3 237.343 2.654 20 0 BFADHN CCc1ccc(CNC2CCSCC2)o1 ZINC000131254126 385079882 /nfs/dbraw/zinc/07/98/82/385079882.db2.gz NKXWSLMWKYLHAV-UHFFFAOYSA-N 0 3 225.357 2.827 20 0 BFADHN Cc1cc(CN[C@H](C)CC(F)(F)F)sn1 ZINC000404498825 385023902 /nfs/dbraw/zinc/02/39/02/385023902.db2.gz UZCWCLIRNRJRJZ-SSDOTTSWSA-N 0 3 238.278 2.882 20 0 BFADHN CCc1ccc(CN[C@H](CC)CSC)cn1 ZINC000310013947 385024034 /nfs/dbraw/zinc/02/40/34/385024034.db2.gz JZTQPDBVQNRAGC-CYBMUJFWSA-N 0 3 238.400 2.875 20 0 BFADHN C[C@@H](CC1CC1)N[C@@H]1CCCc2c[nH]nc21 ZINC000377248430 385024016 /nfs/dbraw/zinc/02/40/16/385024016.db2.gz GBXIBPOMLYKYJK-JOYOIKCWSA-N 0 3 219.332 2.565 20 0 BFADHN CC[C@@H](C)C[C@H](CO)NCc1ccc(F)cc1 ZINC000647243313 385031954 /nfs/dbraw/zinc/03/19/54/385031954.db2.gz HPVKHLDXFHZING-BXUZGUMPSA-N 0 3 239.334 2.712 20 0 BFADHN CCCCN(C)Cc1cc(C(=O)OC)c(C)o1 ZINC000058875027 385032765 /nfs/dbraw/zinc/03/27/65/385032765.db2.gz NUQHQEXJSXTIRP-UHFFFAOYSA-N 0 3 239.315 2.607 20 0 BFADHN C[C@@H]1CCCN(Cc2cccc(F)c2N)C1 ZINC000156332974 385034789 /nfs/dbraw/zinc/03/47/89/385034789.db2.gz MBSKIKSXSYDXPA-SNVBAGLBSA-N 0 3 222.307 2.640 20 0 BFADHN Cc1csc(CNC2CC(C)(C)C2)n1 ZINC000306501282 385037521 /nfs/dbraw/zinc/03/75/21/385037521.db2.gz AORVCPZAOOLAFS-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN CCc1ccc(CN2CC[C@H](C)[C@H](OC)C2)o1 ZINC000188307185 385037795 /nfs/dbraw/zinc/03/77/95/385037795.db2.gz ZATYYBOFEVCFBL-SMDDNHRTSA-N 0 3 237.343 2.699 20 0 BFADHN CC[C@H](C)C[C@@H](CO)NCc1cccc(F)c1 ZINC000647243965 385042921 /nfs/dbraw/zinc/04/29/21/385042921.db2.gz RGBCYOUVFMUTJW-FZMZJTMJSA-N 0 3 239.334 2.712 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2C[C@H](C)N(C3CC3)C2)o1 ZINC000128511528 385045108 /nfs/dbraw/zinc/04/51/08/385045108.db2.gz CKZGYTMAUCROKY-UHTWSYAYSA-N 0 3 248.370 2.864 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C[C@H](C)N(C3CC3)C2)o1 ZINC000128511726 385046006 /nfs/dbraw/zinc/04/60/06/385046006.db2.gz CKZGYTMAUCROKY-DRZSPHRISA-N 0 3 248.370 2.864 20 0 BFADHN c1cc(CN[C@H]2CC23CC3)n(-c2ccccc2)n1 ZINC000424181083 385109754 /nfs/dbraw/zinc/10/97/54/385109754.db2.gz APPLLMZZRIFFPQ-AWEZNQCLSA-N 0 3 239.322 2.514 20 0 BFADHN CCCn1nc(C)c(CN[C@@H]2CC23CC3)c1C ZINC000424181613 385109848 /nfs/dbraw/zinc/10/98/48/385109848.db2.gz CYUKXVFHUFCWPF-CYBMUJFWSA-N 0 3 233.359 2.552 20 0 BFADHN CCOc1ccc(CN[C@@H]2CC23CC3)cc1 ZINC000424182777 385112642 /nfs/dbraw/zinc/11/26/42/385112642.db2.gz HNCUGHWBNJFOFH-CYBMUJFWSA-N 0 3 217.312 2.727 20 0 BFADHN CO[C@@H](C)CCNCc1cccc(C)c1F ZINC000294686583 385116169 /nfs/dbraw/zinc/11/61/69/385116169.db2.gz AAASUNPEBJWTNA-NSHDSACASA-N 0 3 225.307 2.649 20 0 BFADHN Cc1ccc2c(c1)OCCC[C@@H]2N[C@@H](C)CCO ZINC000151929992 385141759 /nfs/dbraw/zinc/14/17/59/385141759.db2.gz RWHJUEGWRXVUEH-JSGCOSHPSA-N 0 3 249.354 2.569 20 0 BFADHN CCC1(NCc2nc3ccccc3n2C)CC1 ZINC000294692146 385117379 /nfs/dbraw/zinc/11/73/79/385117379.db2.gz CJJBXZPVCANSMG-UHFFFAOYSA-N 0 3 229.327 2.606 20 0 BFADHN COC[C@@H](N[C@H](C)C1CC1)c1ccc(C)o1 ZINC000165402732 385118253 /nfs/dbraw/zinc/11/82/53/385118253.db2.gz WYQMJDDLPAUKIK-ZYHUDNBSSA-N 0 3 223.316 2.664 20 0 BFADHN COc1ncccc1CN[C@H]1CCC12CCCC2 ZINC000189060295 385119566 /nfs/dbraw/zinc/11/95/66/385119566.db2.gz XHKQMMXWQAYJNT-ZDUSSCGKSA-N 0 3 246.354 2.903 20 0 BFADHN COc1ncccc1CN[C@@H]1CCC12CCCC2 ZINC000189060272 385121518 /nfs/dbraw/zinc/12/15/18/385121518.db2.gz XHKQMMXWQAYJNT-CYBMUJFWSA-N 0 3 246.354 2.903 20 0 BFADHN CCc1cc(N[C@H](C)CO)c2ccccc2n1 ZINC000165417206 385121669 /nfs/dbraw/zinc/12/16/69/385121669.db2.gz CNSCEUMCGUPLFC-SNVBAGLBSA-N 0 3 230.311 2.590 20 0 BFADHN C[C@H](N[C@@H]1CCCSC1)c1ccccn1 ZINC000061571659 385121582 /nfs/dbraw/zinc/12/15/82/385121582.db2.gz QYXBASMCATYPGK-WDEREUQCSA-N 0 3 222.357 2.628 20 0 BFADHN CCS[C@@H]1CCCC[C@@H]1NCc1c[nH]cn1 ZINC000165488788 385134265 /nfs/dbraw/zinc/13/42/65/385134265.db2.gz MIXKDAAGOVWLFK-NWDGAFQWSA-N 0 3 239.388 2.564 20 0 BFADHN CCC1(NCc2cc(F)ccc2OC)CC1 ZINC000294718185 385137553 /nfs/dbraw/zinc/13/75/53/385137553.db2.gz FFDBNCNMZGHNBR-UHFFFAOYSA-N 0 3 223.291 2.867 20 0 BFADHN CCCCCNCc1cn(C)nc1C(F)F ZINC000291019756 385137994 /nfs/dbraw/zinc/13/79/94/385137994.db2.gz APVOFDZGGYOAAE-UHFFFAOYSA-N 0 3 231.290 2.638 20 0 BFADHN CCN(CC)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000061757335 385139727 /nfs/dbraw/zinc/13/97/27/385139727.db2.gz GQNCEMCALGRDDB-ZDUSSCGKSA-N 0 3 248.370 2.548 20 0 BFADHN CO[C@H]1CN(Cc2ccc(F)cc2)CC[C@H]1C ZINC000189280875 385140143 /nfs/dbraw/zinc/14/01/43/385140143.db2.gz ACCWRHROFJHGOA-RISCZKNCSA-N 0 3 237.318 2.683 20 0 BFADHN Cc1cnc([C@@H](C)N[C@H](C)[C@@H]2CCOC2)s1 ZINC000157140976 385085595 /nfs/dbraw/zinc/08/55/95/385085595.db2.gz JOWNICAXEWEYRQ-GMTAPVOTSA-N 0 3 240.372 2.527 20 0 BFADHN CO[C@H]1CN(Cc2ccc(F)cc2)CC[C@@H]1C ZINC000189280898 385140287 /nfs/dbraw/zinc/14/02/87/385140287.db2.gz ACCWRHROFJHGOA-FZMZJTMJSA-N 0 3 237.318 2.683 20 0 BFADHN CC[C@H](NC[C@H]1CCOC1)c1nc(C)cs1 ZINC000128910081 385087148 /nfs/dbraw/zinc/08/71/48/385087148.db2.gz MUGAOVUSGIJBTP-MNOVXSKESA-N 0 3 240.372 2.529 20 0 BFADHN C[C@H](NCCCOCC1CC1)c1ccoc1 ZINC000087289628 385088933 /nfs/dbraw/zinc/08/89/33/385088933.db2.gz CIAMPKVTAJAOKB-NSHDSACASA-N 0 3 223.316 2.747 20 0 BFADHN CCOCCN1CC[C@H](c2ccccc2OC)C1 ZINC000151171805 385091069 /nfs/dbraw/zinc/09/10/69/385091069.db2.gz JUEMFNXISCSWRM-ZDUSSCGKSA-N 0 3 249.354 2.521 20 0 BFADHN CCOC[C@@H](NCc1ccccc1F)C(C)C ZINC000188871510 385101734 /nfs/dbraw/zinc/10/17/34/385101734.db2.gz FQBRZAIZSNXBPE-CQSZACIVSA-N 0 3 239.334 2.976 20 0 BFADHN CC[C@H](CN[C@H](CC)c1ccc(F)cn1)OC ZINC000310517189 385102523 /nfs/dbraw/zinc/10/25/23/385102523.db2.gz VRQUTTYHPZDPLL-VXGBXAGGSA-N 0 3 240.322 2.686 20 0 BFADHN CCOC[C@H](NCc1cccc(F)c1)C(C)C ZINC000188864258 385102755 /nfs/dbraw/zinc/10/27/55/385102755.db2.gz DDUPRTJTDVWYHY-AWEZNQCLSA-N 0 3 239.334 2.976 20 0 BFADHN c1ccc2c(c1)ccnc2CN[C@@H]1CC12CC2 ZINC000643800353 385103351 /nfs/dbraw/zinc/10/33/51/385103351.db2.gz VDCJVKCNOQEJNW-CQSZACIVSA-N 0 3 224.307 2.877 20 0 BFADHN COc1nccnc1CNCC1CCCCCC1 ZINC000449484134 385183876 /nfs/dbraw/zinc/18/38/76/385183876.db2.gz FJPTZBWVFZBMJG-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN C[C@H](CSc1ccc(N)cc1Cl)N(C)C ZINC000308815168 385185362 /nfs/dbraw/zinc/18/53/62/385185362.db2.gz DVOJRKLLFMKHOQ-MRVPVSSYSA-N 0 3 244.791 2.964 20 0 BFADHN CCCCN(CCCC)Cc1n[nH]c(CC)n1 ZINC000157474226 385186590 /nfs/dbraw/zinc/18/65/90/385186590.db2.gz QGKHZUXIHDQWHW-UHFFFAOYSA-N 0 3 238.379 2.769 20 0 BFADHN Cc1cncc(CN2CCS[C@@H](C)[C@@H]2C)c1 ZINC000189894172 385194860 /nfs/dbraw/zinc/19/48/60/385194860.db2.gz WLKYBSVOFOMOLT-RYUDHWBXSA-N 0 3 236.384 2.716 20 0 BFADHN COC[C@H]1CCCN(Cc2ccco2)CC1 ZINC000449776570 385202182 /nfs/dbraw/zinc/20/21/82/385202182.db2.gz OKMAWVXXZLFGEC-LBPRGKRZSA-N 0 3 223.316 2.528 20 0 BFADHN CC[C@H](O)[C@H]1CCCCN1Cc1cncc(C)c1 ZINC000189895898 385195187 /nfs/dbraw/zinc/19/51/87/385195187.db2.gz VFYNOCVURPGIBM-CABCVRRESA-N 0 3 248.370 2.515 20 0 BFADHN COC[C@@H](C)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000019904478 385195480 /nfs/dbraw/zinc/19/54/80/385195480.db2.gz NRGIBOKMWUBSIA-UMNHJUIQSA-N 0 3 223.316 2.528 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H](C)c1cc2n(n1)CCCC2 ZINC000647306113 385195486 /nfs/dbraw/zinc/19/54/86/385195486.db2.gz YWMSCASHXDDANV-UPJWGTAASA-N 0 3 247.386 2.916 20 0 BFADHN CCC1(C)CCN(Cc2ccnc(N)c2)CC1 ZINC000062956752 385199810 /nfs/dbraw/zinc/19/98/10/385199810.db2.gz HQVIGOLHXGYCSV-UHFFFAOYSA-N 0 3 233.359 2.676 20 0 BFADHN C[C@@H](N(C)Cc1cn2ccccc2n1)C1(C)CC1 ZINC000177225900 385200032 /nfs/dbraw/zinc/20/00/32/385200032.db2.gz IWADORNVZHREOA-GFCCVEGCSA-N 0 3 243.354 2.955 20 0 BFADHN CN(CCNc1ccc2ccccc2n1)C1CC1 ZINC000062010337 385151060 /nfs/dbraw/zinc/15/10/60/385151060.db2.gz ZXBBGZOPYLTIMA-UHFFFAOYSA-N 0 3 241.338 2.741 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@@H]1CC12CC2 ZINC000424312939 385158668 /nfs/dbraw/zinc/15/86/68/385158668.db2.gz AFUOBOZQQUQMQW-PSASIEDQSA-N 0 3 223.341 2.960 20 0 BFADHN C[C@@H](NCCCCO)c1csc(Cl)c1 ZINC000279633242 385159665 /nfs/dbraw/zinc/15/96/65/385159665.db2.gz ZIKANHQYJPXFCI-MRVPVSSYSA-N 0 3 233.764 2.825 20 0 BFADHN Fc1cncc([C@H](N[C@@H]2CC23CC3)C2CC2)c1 ZINC000424319359 385161659 /nfs/dbraw/zinc/16/16/59/385161659.db2.gz IAPQMRRIOLBOIO-CHWSQXEVSA-N 0 3 232.302 2.814 20 0 BFADHN CC(C)(C)CCC(=O)NC[C@@H](N)c1ccccc1 ZINC000152202337 385161763 /nfs/dbraw/zinc/16/17/63/385161763.db2.gz XDFSUDLPJZECTN-CYBMUJFWSA-N 0 3 248.370 2.629 20 0 BFADHN COC[C@@H]1CCCN(Cc2cccc(F)c2)C1 ZINC000062161039 385163239 /nfs/dbraw/zinc/16/32/39/385163239.db2.gz DZTQRTLAOOJFFD-CYBMUJFWSA-N 0 3 237.318 2.684 20 0 BFADHN CCOc1ccc(CN[C@H]2COCC2(C)C)cc1 ZINC000313018448 385165459 /nfs/dbraw/zinc/16/54/59/385165459.db2.gz COWSYNUQICREKX-AWEZNQCLSA-N 0 3 249.354 2.600 20 0 BFADHN CCC1(CNCc2cn(C(C)C)nn2)CCC1 ZINC000336688531 385166180 /nfs/dbraw/zinc/16/61/80/385166180.db2.gz FZVUSJOHPXUKLG-UHFFFAOYSA-N 0 3 236.363 2.529 20 0 BFADHN Cc1c[nH]nc1CNC1CCCCCC1 ZINC000289679590 385169876 /nfs/dbraw/zinc/16/98/76/385169876.db2.gz AULPLTLWYXGOMK-UHFFFAOYSA-N 0 3 207.321 2.531 20 0 BFADHN C[C@@H](CN1CC[C@@H](O)C1)c1cccc(Cl)c1 ZINC000189626949 385173810 /nfs/dbraw/zinc/17/38/10/385173810.db2.gz IXQQFBZPMSAQAL-GXFFZTMASA-N 0 3 239.746 2.510 20 0 BFADHN C[C@H](CN1CC[C@@H](O)C1)c1cccc(Cl)c1 ZINC000189626975 385173990 /nfs/dbraw/zinc/17/39/90/385173990.db2.gz IXQQFBZPMSAQAL-ZWNOBZJWSA-N 0 3 239.746 2.510 20 0 BFADHN CCOc1ccc([C@H](C)N[C@@H]2CCCOC2)cc1 ZINC000109543226 385173941 /nfs/dbraw/zinc/17/39/41/385173941.db2.gz UDTRWEPRHITMJE-GXTWGEPZSA-N 0 3 249.354 2.915 20 0 BFADHN c1c2ccccc2oc1CN[C@H]1CCCOC1 ZINC000109544326 385174056 /nfs/dbraw/zinc/17/40/56/385174056.db2.gz HJXUSSNDGNVIBP-LBPRGKRZSA-N 0 3 231.295 2.701 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@H]1CCCOC1 ZINC000109543265 385174838 /nfs/dbraw/zinc/17/48/38/385174838.db2.gz BSEZCJJIVFYZDW-OLZOCXBDSA-N 0 3 249.354 2.915 20 0 BFADHN CC(C)OC1CCN(CCOC(C)(C)C)CC1 ZINC000530926139 385175850 /nfs/dbraw/zinc/17/58/50/385175850.db2.gz FZWFOALHZMHYAY-UHFFFAOYSA-N 0 3 243.391 2.691 20 0 BFADHN Fc1ccc2c(c1)CC[C@H]2NC[C@H]1CCCCO1 ZINC000129708750 385178009 /nfs/dbraw/zinc/17/80/09/385178009.db2.gz AQPRTBXMOZCLPV-UKRRQHHQSA-N 0 3 249.329 2.972 20 0 BFADHN CN(Cc1cccs1)C[C@@H](O)C(C)(C)C ZINC000308808510 385179206 /nfs/dbraw/zinc/17/92/06/385179206.db2.gz PKXXLJGUXPVBID-LLVKDONJSA-N 0 3 227.373 2.587 20 0 BFADHN Fc1ccc2c(c1)CN(CC[C@H]1CCCO1)CC2 ZINC000189719289 385179895 /nfs/dbraw/zinc/17/98/95/385179895.db2.gz IRWCTIVKNBPHHM-OAHLLOKOSA-N 0 3 249.329 2.753 20 0 BFADHN Cn1cncc1CN1CCC[C@@H]1c1ccccc1 ZINC000177242959 385206893 /nfs/dbraw/zinc/20/68/93/385206893.db2.gz PRWFUERIECTGRI-OAHLLOKOSA-N 0 3 241.338 2.757 20 0 BFADHN Cc1nocc1CN1CCSC(C)(C)CC1 ZINC000294672295 385208709 /nfs/dbraw/zinc/20/87/09/385208709.db2.gz MFOLJFSBAVWBRK-UHFFFAOYSA-N 0 3 240.372 2.701 20 0 BFADHN C[C@@H](CO)[C@@H](C)NCc1cc(F)ccc1Cl ZINC000165940319 385209946 /nfs/dbraw/zinc/20/99/46/385209946.db2.gz DHCKLAVENOLTBJ-DTWKUNHWSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@H](NCC1CC(F)(F)C1)c1nccs1 ZINC000293761699 385210534 /nfs/dbraw/zinc/21/05/34/385210534.db2.gz SDNKQUPOIXLUOX-ZETCQYMHSA-N 0 3 232.299 2.839 20 0 BFADHN COC[C@H](N[C@@H](C)C1CCC1)c1ccco1 ZINC000178229819 385210698 /nfs/dbraw/zinc/21/06/98/385210698.db2.gz YFQHZZPMPSCVAW-JQWIXIFHSA-N 0 3 223.316 2.745 20 0 BFADHN FC(F)c1ccc(CNC[C@H]2CCCO2)cc1 ZINC000150065193 385211223 /nfs/dbraw/zinc/21/12/23/385211223.db2.gz CHTXTXXQMIIKBB-GFCCVEGCSA-N 0 3 241.281 2.893 20 0 BFADHN C[C@@H](O)[C@H]1CCN(Cc2ccc(Cl)cc2)C1 ZINC000166082951 385218977 /nfs/dbraw/zinc/21/89/77/385218977.db2.gz NTOBFOXFDFAYTQ-PWSUYJOCSA-N 0 3 239.746 2.543 20 0 BFADHN CCOC[C@@H](C)NCc1ccccc1Cl ZINC000044688130 385219089 /nfs/dbraw/zinc/21/90/89/385219089.db2.gz FYVJNMYBPKCZPT-SNVBAGLBSA-N 0 3 227.735 2.855 20 0 BFADHN C[C@@H](O)[C@H]1CCN(Cc2ccccc2Cl)C1 ZINC000166083344 385219693 /nfs/dbraw/zinc/21/96/93/385219693.db2.gz DORPJJJJJNZFMI-MNOVXSKESA-N 0 3 239.746 2.543 20 0 BFADHN CCc1ccc([C@@H](C)NCCN(CC)CC)o1 ZINC000152863481 385220620 /nfs/dbraw/zinc/22/06/20/385220620.db2.gz IRYBFNZRAVFGTH-GFCCVEGCSA-N 0 3 238.375 2.834 20 0 BFADHN CC(C)[C@H](C)N[C@@H](C)c1ccncc1F ZINC000308859930 385223091 /nfs/dbraw/zinc/22/30/91/385223091.db2.gz RBUWXITUYFBMLW-UWVGGRQHSA-N 0 3 210.296 2.916 20 0 BFADHN CCc1ccc(CN[C@H](CC)[C@@H]2CCCO2)o1 ZINC000119791749 385223208 /nfs/dbraw/zinc/22/32/08/385223208.db2.gz KIEQQOBGEGYIMV-KGLIPLIRSA-N 0 3 237.343 2.889 20 0 BFADHN Clc1sccc1CNCC[C@H]1CCOC1 ZINC000308868802 385225766 /nfs/dbraw/zinc/22/57/66/385225766.db2.gz HXLAYWICOIOKKM-VIFPVBQESA-N 0 3 245.775 2.918 20 0 BFADHN C[C@@H](CF)NCCc1ccc(F)cc1Cl ZINC000308861316 385227140 /nfs/dbraw/zinc/22/71/40/385227140.db2.gz RMZBGFHIRGQTKV-QMMMGPOBSA-N 0 3 233.689 2.969 20 0 BFADHN CC[C@@H](O)CNC1(c2ccc(Cl)cc2)CC1 ZINC000305784368 385227487 /nfs/dbraw/zinc/22/74/87/385227487.db2.gz WYDONRIBFVOLBJ-GFCCVEGCSA-N 0 3 239.746 2.690 20 0 BFADHN CCC(C)(C)NC(=O)c1ccc(CN(C)C)cc1 ZINC000559911205 385228759 /nfs/dbraw/zinc/22/87/59/385228759.db2.gz KRHATIMRRMLNTJ-UHFFFAOYSA-N 0 3 248.370 2.667 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1CC[C@H](O)CC1 ZINC000252729680 385233749 /nfs/dbraw/zinc/23/37/49/385233749.db2.gz HUCVZDKQYJDHQZ-AVGNSLFASA-N 0 3 249.354 2.649 20 0 BFADHN COCC[C@H](C)N[C@H](C)c1ccc(C)o1 ZINC000045020850 385235409 /nfs/dbraw/zinc/23/54/09/385235409.db2.gz NFXDHBPQSLXLFV-GXSJLCMTSA-N 0 3 211.305 2.664 20 0 BFADHN CC(C)N(C)C(=O)[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000177407070 385235948 /nfs/dbraw/zinc/23/59/48/385235948.db2.gz KQKQBIUXQOIYRI-QWHCGFSZSA-N 0 3 248.370 2.593 20 0 BFADHN FC1(CNCCc2ccc(Cl)cc2)CC1 ZINC000308881648 385236503 /nfs/dbraw/zinc/23/65/03/385236503.db2.gz QOXULCMTCDBYBY-UHFFFAOYSA-N 0 3 227.710 2.974 20 0 BFADHN c1coc(CN[C@H]2CC[C@H]2C2CCC2)c1 ZINC000308883472 385236588 /nfs/dbraw/zinc/23/65/88/385236588.db2.gz VAPCQSFTCUFJGE-STQMWFEESA-N 0 3 205.301 2.948 20 0 BFADHN COCC[C@H](C)N[C@@H](C)c1ccc(C)o1 ZINC000045020853 385236767 /nfs/dbraw/zinc/23/67/67/385236767.db2.gz NFXDHBPQSLXLFV-ONGXEEELSA-N 0 3 211.305 2.664 20 0 BFADHN CC(C)n1nccc1CNCCc1cccs1 ZINC000279997116 385244697 /nfs/dbraw/zinc/24/46/97/385244697.db2.gz ROYQYDMIUKZKOJ-UHFFFAOYSA-N 0 3 249.383 2.858 20 0 BFADHN C[C@@H](NCCCCF)c1ccc(F)cn1 ZINC000308885042 385249527 /nfs/dbraw/zinc/24/95/27/385249527.db2.gz FHJRCQLJHWGXKL-SECBINFHSA-N 0 3 214.259 2.621 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc(F)c(C)c1 ZINC000166525516 385250141 /nfs/dbraw/zinc/25/01/41/385250141.db2.gz RCQOSUBVTSUMDE-MNOVXSKESA-N 0 3 225.307 2.647 20 0 BFADHN CN(Cc1cc(F)cc(F)c1)[C@H]1CCSC1 ZINC000130486300 385253046 /nfs/dbraw/zinc/25/30/46/385253046.db2.gz AVZVYFHEFDCUBD-LBPRGKRZSA-N 0 3 243.322 2.902 20 0 BFADHN CC[C@@H](C)NCc1nccn1-c1ccccc1 ZINC000190674090 385255025 /nfs/dbraw/zinc/25/50/25/385255025.db2.gz UZYRLDKGURPPHX-GFCCVEGCSA-N 0 3 229.327 2.760 20 0 BFADHN CCCN1CCN(Cc2csc(C)c2)CC1 ZINC000449003785 385255270 /nfs/dbraw/zinc/25/52/70/385255270.db2.gz SSKVAVZALAOCEQ-UHFFFAOYSA-N 0 3 238.400 2.584 20 0 BFADHN COCC[C@H](C)N[C@@H](C)c1cccnc1Cl ZINC000152722191 385203928 /nfs/dbraw/zinc/20/39/28/385203928.db2.gz MKFRKWLCUKEUCH-UWVGGRQHSA-N 0 3 242.750 2.811 20 0 BFADHN CCC[C@@H](O)CN[C@@H]1CCc2c1cccc2F ZINC000131072717 385304267 /nfs/dbraw/zinc/30/42/67/385304267.db2.gz YBGCCYOVQUWSFS-QMTHXVAHSA-N 0 3 237.318 2.564 20 0 BFADHN CC(C)COCCN[C@H](C)c1cccc(O)c1 ZINC000167315029 385308524 /nfs/dbraw/zinc/30/85/24/385308524.db2.gz QULBXOMYIQDJHG-GFCCVEGCSA-N 0 3 237.343 2.715 20 0 BFADHN C[C@@H](CO)[C@@H](C)NCc1cccc(Cl)c1F ZINC000131138525 385309827 /nfs/dbraw/zinc/30/98/27/385309827.db2.gz YOVDOCNJCRBQDL-DTWKUNHWSA-N 0 3 245.725 2.586 20 0 BFADHN CC(C)(CCO)NCc1cccc(Cl)c1F ZINC000131140604 385310239 /nfs/dbraw/zinc/31/02/39/385310239.db2.gz BAVLYCNIVWRFDL-UHFFFAOYSA-N 0 3 245.725 2.730 20 0 BFADHN CC(C)=CCNCc1ccc(-n2cccn2)cc1 ZINC000191631790 385310433 /nfs/dbraw/zinc/31/04/33/385310433.db2.gz TTWLRJCYKCDAII-UHFFFAOYSA-N 0 3 241.338 2.928 20 0 BFADHN CC[C@](C)(CO)NCc1cccc(Cl)c1F ZINC000131127293 385310459 /nfs/dbraw/zinc/31/04/59/385310459.db2.gz HLKNJHZCAAQQIG-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@H](N[C@@H](C)[C@@H](C)OC)c1ccncc1 ZINC000168212195 385313089 /nfs/dbraw/zinc/31/30/89/385313089.db2.gz MTONXYSUBFGNKC-DMDPSCGWSA-N 0 3 222.332 2.546 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@H]1CCOC1 ZINC000131161329 385313408 /nfs/dbraw/zinc/31/34/08/385313408.db2.gz OZOOTZUKODAUSP-RYUDHWBXSA-N 0 3 235.327 2.525 20 0 BFADHN CC/C=C/CNCc1ccc(OC)c(F)c1 ZINC000294925327 385313752 /nfs/dbraw/zinc/31/37/52/385313752.db2.gz BGAWBZBMUOYGNF-SNAWJCMRSA-N 0 3 223.291 2.890 20 0 BFADHN C[C@@](O)(CNCc1cccnc1)C1CCCCC1 ZINC000191673004 385316309 /nfs/dbraw/zinc/31/63/09/385316309.db2.gz JJBXTAZZKOBIJO-OAHLLOKOSA-N 0 3 248.370 2.503 20 0 BFADHN C[C@@](O)(CNCc1ccncc1)C1CCCCC1 ZINC000191671968 385316500 /nfs/dbraw/zinc/31/65/00/385316500.db2.gz QLMHHMJARZQUIF-OAHLLOKOSA-N 0 3 248.370 2.503 20 0 BFADHN C[C@](O)(CNCc1ccccn1)C1CCCCC1 ZINC000191670724 385317018 /nfs/dbraw/zinc/31/70/18/385317018.db2.gz GEJJCTHWSANALK-HNNXBMFYSA-N 0 3 248.370 2.503 20 0 BFADHN C[C@@H](NCC1CCSCC1)c1nccs1 ZINC000161541006 385318244 /nfs/dbraw/zinc/31/82/44/385318244.db2.gz YPASTYCOGWTRSO-SECBINFHSA-N 0 3 242.413 2.937 20 0 BFADHN C[C@@H](NCCCCF)c1nc2ccccc2n1C ZINC000310988050 385263279 /nfs/dbraw/zinc/26/32/79/385263279.db2.gz KVEDGBNUKYHXBP-LLVKDONJSA-N 0 3 249.333 2.974 20 0 BFADHN Cc1ccoc1CN(C)[C@H]1CCSC1 ZINC000130601532 385266713 /nfs/dbraw/zinc/26/67/13/385266713.db2.gz ZCNHJCCJJMIZMD-JTQLQIEISA-N 0 3 211.330 2.525 20 0 BFADHN FC(F)COCCNCc1ccccc1Cl ZINC000190899238 385266970 /nfs/dbraw/zinc/26/69/70/385266970.db2.gz LKGVFHWLSHEJOI-UHFFFAOYSA-N 0 3 249.688 2.711 20 0 BFADHN CC(C)N(C)CCNCc1ccsc1Cl ZINC000308982231 385322557 /nfs/dbraw/zinc/32/25/57/385322557.db2.gz IOHJHJVNRKCGGG-UHFFFAOYSA-N 0 3 246.807 2.831 20 0 BFADHN CCN(CCO)[C@H](C)c1cc2ccccc2o1 ZINC000177690990 385271737 /nfs/dbraw/zinc/27/17/37/385271737.db2.gz XEPPXHMYTYLVQC-LLVKDONJSA-N 0 3 233.311 2.808 20 0 BFADHN CCOc1ccccc1CNC[C@@]1(C)CCOC1 ZINC000344374091 385271764 /nfs/dbraw/zinc/27/17/64/385271764.db2.gz UAXSIVZQLWXJEV-OAHLLOKOSA-N 0 3 249.354 2.602 20 0 BFADHN CCOc1cc(CN(C)C2CC2)ccc1OC ZINC000153769166 385277934 /nfs/dbraw/zinc/27/79/34/385277934.db2.gz KRNWETKLZHTITG-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN c1ccc2c(c1)CCN(C[C@@H]1CCC=CO1)C2 ZINC000191055444 385281238 /nfs/dbraw/zinc/28/12/38/385281238.db2.gz LLWHCDQNFCNERU-HNNXBMFYSA-N 0 3 229.323 2.737 20 0 BFADHN Cc1cnccc1CNCCSCC(C)C ZINC000294940260 385323058 /nfs/dbraw/zinc/32/30/58/385323058.db2.gz CRIICWQDRSODEY-UHFFFAOYSA-N 0 3 238.400 2.869 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc(SC)cc1 ZINC000166844330 385285182 /nfs/dbraw/zinc/28/51/82/385285182.db2.gz NIODVKKFZDYOBO-QWRGUYRKSA-N 0 3 239.384 2.922 20 0 BFADHN Clc1ncccc1CNC[C@@H]1CCSC1 ZINC000280231396 385285148 /nfs/dbraw/zinc/28/51/48/385285148.db2.gz XQOFPGKCOSMLBP-VIFPVBQESA-N 0 3 242.775 2.578 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](CC)CCO)o1 ZINC000166862120 385289155 /nfs/dbraw/zinc/28/91/55/385289155.db2.gz VURUXIKYPOELBL-RYUDHWBXSA-N 0 3 239.359 2.901 20 0 BFADHN CCOCCN1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000177825269 385290005 /nfs/dbraw/zinc/29/00/05/385290005.db2.gz GCUYOCFRFMVENU-TZMCWYRMSA-N 0 3 219.328 2.716 20 0 BFADHN COC[C@H](C)N1C[C@H](C)[C@H]1c1ccccc1 ZINC000177824013 385290577 /nfs/dbraw/zinc/29/05/77/385290577.db2.gz SREQIPBGTLRMFS-OBJOEFQTSA-N 0 3 219.328 2.714 20 0 BFADHN CO[C@H](C)CNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000191200166 385290882 /nfs/dbraw/zinc/29/08/82/385290882.db2.gz QXHRSUGPDPRDDL-UMNHJUIQSA-N 0 3 223.316 2.528 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2cccc(Cl)c2)O1 ZINC000148683322 134448201 /nfs/dbraw/zinc/44/82/01/134448201.db2.gz FRFOPUSRBYCDDU-MFKMUULPSA-N 0 3 239.746 2.997 20 0 BFADHN CN(Cc1ccco1)C[C@@H](O)C1CCCCC1 ZINC000191229219 385295050 /nfs/dbraw/zinc/29/50/50/385295050.db2.gz XCWURGLRWYRCMA-CQSZACIVSA-N 0 3 237.343 2.653 20 0 BFADHN CC(C)N(CCOC1CCC1)Cc1ccccn1 ZINC000558080993 385295315 /nfs/dbraw/zinc/29/53/15/385295315.db2.gz FLARDEZBVQVIIV-UHFFFAOYSA-N 0 3 248.370 2.861 20 0 BFADHN CO[C@@H](C)CNCc1ccc(C(C)C)cc1 ZINC000167051726 385296557 /nfs/dbraw/zinc/29/65/57/385296557.db2.gz VBXUGCVLXSZCLB-LBPRGKRZSA-N 0 3 221.344 2.935 20 0 BFADHN C(N[C@@H]1C[C@H]2C[C@H]2C1)c1nc2c(s1)CCCC2 ZINC000643808321 385299055 /nfs/dbraw/zinc/29/90/55/385299055.db2.gz DXVWQFKSODKULI-JGPRNRPPSA-N 0 3 248.395 2.910 20 0 BFADHN C[C@H](O)CCCNCc1ccccc1Cl ZINC000227961481 385300136 /nfs/dbraw/zinc/30/01/36/385300136.db2.gz USVZTQHMSQPXQO-JTQLQIEISA-N 0 3 227.735 2.591 20 0 BFADHN Cc1cc(C)cc(CNCC2(C)OCCCO2)c1 ZINC000192250337 385349770 /nfs/dbraw/zinc/34/97/70/385349770.db2.gz CSJGRSPDMKJZNQ-UHFFFAOYSA-N 0 3 249.354 2.546 20 0 BFADHN CCc1ccc(CNCCCCCOC)o1 ZINC000131635107 385349712 /nfs/dbraw/zinc/34/97/12/385349712.db2.gz LXUQRHGRWOQTMT-UHFFFAOYSA-N 0 3 225.332 2.748 20 0 BFADHN CC1(C)C[C@@H](N[C@H]2CCc3c2cccc3O)CO1 ZINC000335358078 385351928 /nfs/dbraw/zinc/35/19/28/385351928.db2.gz IQFSCWBPFFHMEI-MFKMUULPSA-N 0 3 247.338 2.537 20 0 BFADHN Fc1cc(Cl)cc(CN[C@H]2CCCOC2)c1 ZINC000168260311 385357422 /nfs/dbraw/zinc/35/74/22/385357422.db2.gz YTZDXRDXXGNXDA-LBPRGKRZSA-N 0 3 243.709 2.748 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1cc(F)cc(Cl)c1 ZINC000168274171 385358067 /nfs/dbraw/zinc/35/80/67/385358067.db2.gz BLEYNCKQFQLDPO-BDAKNGLRSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@@H](NCc1cc(F)cc(Cl)c1)[C@H](C)CO ZINC000168273974 385358677 /nfs/dbraw/zinc/35/86/77/385358677.db2.gz BLEYNCKQFQLDPO-RKDXNWHRSA-N 0 3 245.725 2.586 20 0 BFADHN CCOCCN1CCC[C@H](Nc2ccccc2)C1 ZINC000155030780 385369054 /nfs/dbraw/zinc/36/90/54/385369054.db2.gz QSRQLHPOKHBSRZ-HNNXBMFYSA-N 0 3 248.370 2.599 20 0 BFADHN CCc1ccc(CN[C@H]2CC2(C)C)cn1 ZINC000309026149 385369279 /nfs/dbraw/zinc/36/92/79/385369279.db2.gz ASWHXZBKQKENOS-LBPRGKRZSA-N 0 3 204.317 2.532 20 0 BFADHN CCC[C@@H](NC[C@H](C)O)c1ccc(F)cc1F ZINC000192786363 385369412 /nfs/dbraw/zinc/36/94/12/385369412.db2.gz KCQPCQVITITLGB-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@H](CNCc1ccccc1Cl)OC ZINC000309015797 385371026 /nfs/dbraw/zinc/37/10/26/385371026.db2.gz ZIHUAGZQSZTSOF-NSHDSACASA-N 0 3 227.735 2.855 20 0 BFADHN C[C@@H](NCCCCO)c1cc2ccccc2o1 ZINC000193276156 385390485 /nfs/dbraw/zinc/39/04/85/385390485.db2.gz KKYIMWPFMBCYPV-LLVKDONJSA-N 0 3 233.311 2.856 20 0 BFADHN C[C@@H](O)CN(Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000192961254 385378900 /nfs/dbraw/zinc/37/89/00/385378900.db2.gz URIWUPUVFIBYQX-GYSYKLTISA-N 0 3 249.354 2.748 20 0 BFADHN CCCc1ccccc1NC(=O)[C@@H]1NCC1(C)C ZINC000644016955 385378953 /nfs/dbraw/zinc/37/89/53/385378953.db2.gz STZGCEGCHXKZQJ-ZDUSSCGKSA-N 0 3 246.354 2.576 20 0 BFADHN CN(Cc1ccc(Br)o1)CC1CC1 ZINC000155434797 385390915 /nfs/dbraw/zinc/39/09/15/385390915.db2.gz NEUGBVXNAMNNAB-UHFFFAOYSA-N 0 3 244.132 2.884 20 0 BFADHN C[C@@H](NCCO[C@H]1CCCCO1)c1ccccc1 ZINC000193082703 385383041 /nfs/dbraw/zinc/38/30/41/385383041.db2.gz XZKHGCRPYVPNEM-HIFRSBDPSA-N 0 3 249.354 2.880 20 0 BFADHN Cc1cc(CN(CCO)CC(C)(C)C)cs1 ZINC000648368829 385385188 /nfs/dbraw/zinc/38/51/88/385385188.db2.gz HEDNMIPASGZBTJ-UHFFFAOYSA-N 0 3 241.400 2.897 20 0 BFADHN C[C@@H](N[C@@H](C)CN(C)C)c1ccc(F)c(F)c1 ZINC000168756642 385386053 /nfs/dbraw/zinc/38/60/53/385386053.db2.gz VASUFGAFSSWYCB-VHSXEESVSA-N 0 3 242.313 2.566 20 0 BFADHN CSc1ccccc1C[C@H](C)NCCF ZINC000280982029 385386734 /nfs/dbraw/zinc/38/67/34/385386734.db2.gz JDXLGZCFMUXUPK-JTQLQIEISA-N 0 3 227.348 2.899 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1C)c1ccncc1F ZINC000308972100 385326695 /nfs/dbraw/zinc/32/66/95/385326695.db2.gz FOBIYTQITOLUPP-VDDIYKPWSA-N 0 3 208.280 2.670 20 0 BFADHN Cc1noc(C)c1CN1CCSCC[C@@H]1C ZINC000191810496 385327647 /nfs/dbraw/zinc/32/76/47/385327647.db2.gz SMXWBIRVRVYWIB-VIFPVBQESA-N 0 3 240.372 2.619 20 0 BFADHN CO[C@H](CNCc1csc(C)c1C)C1CC1 ZINC000400152306 385327583 /nfs/dbraw/zinc/32/75/83/385327583.db2.gz GBKKFLPJECZGTM-CYBMUJFWSA-N 0 3 239.384 2.880 20 0 BFADHN C[C@](O)(CNCc1ccsc1Cl)C1CC1 ZINC000308972763 385327951 /nfs/dbraw/zinc/32/79/51/385327951.db2.gz AGUSMYRWCYZVFE-NSHDSACASA-N 0 3 245.775 2.652 20 0 BFADHN COC[C@@H](N[C@@H]1CCc2ccc(F)cc21)C1CC1 ZINC000178554844 385391408 /nfs/dbraw/zinc/39/14/08/385391408.db2.gz FESMNZMLDPIEGV-HUUCEWRRSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1ccc(CNCCC2(C)CC2)nc1 ZINC000309068360 385392145 /nfs/dbraw/zinc/39/21/45/385392145.db2.gz ANLSIPFNXDYWDX-UHFFFAOYSA-N 0 3 204.317 2.670 20 0 BFADHN CCOc1ccccc1CNCCOC(C)C ZINC000168955352 385395647 /nfs/dbraw/zinc/39/56/47/385395647.db2.gz VZCXSHZOLAGNGQ-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN CCO[C@@H](CN[C@H]1CCO[C@@H]1C)c1ccccc1 ZINC000193428629 385396218 /nfs/dbraw/zinc/39/62/18/385396218.db2.gz BRQPORGESATLGU-SNPRPXQTSA-N 0 3 249.354 2.531 20 0 BFADHN CCCc1csc(CN[C@H]2CC[C@H]2C)n1 ZINC000309061737 385397361 /nfs/dbraw/zinc/39/73/61/385397361.db2.gz XBNYRJJLLYHXGJ-KOLCDFICSA-N 0 3 224.373 2.984 20 0 BFADHN Cc1nnsc1CNC[C@@H](C)CC(C)C ZINC000309077618 385398393 /nfs/dbraw/zinc/39/83/93/385398393.db2.gz MMQKTTMUKQNFGB-VIFPVBQESA-N 0 3 227.377 2.618 20 0 BFADHN COC1(CNCc2cc(F)cc(F)c2)CCC1 ZINC000181072775 385403091 /nfs/dbraw/zinc/40/30/91/385403091.db2.gz FCLKTTGYZCNZCG-UHFFFAOYSA-N 0 3 241.281 2.624 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1O)c1cc(F)ccc1F ZINC000181066491 385403335 /nfs/dbraw/zinc/40/33/35/385403335.db2.gz OWBBTDQBGOQQOY-HJIKLVIJSA-N 0 3 241.281 2.529 20 0 BFADHN CC(=O)CCN1CCc2ccccc2[C@@H]1C ZINC000178656576 385405836 /nfs/dbraw/zinc/40/58/36/385405836.db2.gz DRDQFILXNUSZIT-LBPRGKRZSA-N 0 3 217.312 2.585 20 0 BFADHN C[C@H](NCc1ccsc1Cl)[C@@H]1CCOC1 ZINC000309094111 385405977 /nfs/dbraw/zinc/40/59/77/385405977.db2.gz CDCBKRQNOOINEN-WCBMZHEXSA-N 0 3 245.775 2.916 20 0 BFADHN CC(=O)CCN1CCc2ccccc2[C@H]1C ZINC000178656564 385406067 /nfs/dbraw/zinc/40/60/67/385406067.db2.gz DRDQFILXNUSZIT-GFCCVEGCSA-N 0 3 217.312 2.585 20 0 BFADHN CSC1(CNCc2ccc(F)cc2F)CC1 ZINC000193663377 385406322 /nfs/dbraw/zinc/40/63/22/385406322.db2.gz VONOPHNXBDRUFR-UHFFFAOYSA-N 0 3 243.322 2.950 20 0 BFADHN CN(CCc1ccccc1)C[C@H]1CCC=CO1 ZINC000193669440 385406691 /nfs/dbraw/zinc/40/66/91/385406691.db2.gz BTKXHYWBOQSPHW-OAHLLOKOSA-N 0 3 231.339 2.854 20 0 BFADHN CN(CCc1ccccc1)C[C@@H]1CCC=CO1 ZINC000193669426 385407287 /nfs/dbraw/zinc/40/72/87/385407287.db2.gz BTKXHYWBOQSPHW-HNNXBMFYSA-N 0 3 231.339 2.854 20 0 BFADHN C=Cn1cc(CN([C@@H](C)C2CC2)C2CC2)cn1 ZINC000193720700 385408106 /nfs/dbraw/zinc/40/81/06/385408106.db2.gz CPLUUNYDJJHMPL-NSHDSACASA-N 0 3 231.343 2.747 20 0 BFADHN C=Cn1cc(CN(C)[C@H]2CCC[C@H](C)C2)cn1 ZINC000193687261 385408494 /nfs/dbraw/zinc/40/84/94/385408494.db2.gz PYHFFRPMSUPMBD-JSGCOSHPSA-N 0 3 233.359 2.994 20 0 BFADHN C[C@@H](NCCN1CC=CCC1)c1ccc(F)cc1 ZINC000281215949 385409884 /nfs/dbraw/zinc/40/98/84/385409884.db2.gz SXRFEDCCKCERMH-CYBMUJFWSA-N 0 3 248.345 2.738 20 0 BFADHN Cc1ccc(CN(C)CC(C)(C)O)cc1Cl ZINC000193960322 385418507 /nfs/dbraw/zinc/41/85/07/385418507.db2.gz XJTRFHDDWXMXAD-UHFFFAOYSA-N 0 3 241.762 2.851 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cc(Cl)cs1 ZINC000289779529 385422559 /nfs/dbraw/zinc/42/25/59/385422559.db2.gz KKYPMOWGYUOCTP-HTQZYQBOSA-N 0 3 233.764 2.915 20 0 BFADHN CC/C=C/CNCc1ccc(-n2cccn2)cc1 ZINC000295105919 385439247 /nfs/dbraw/zinc/43/92/47/385439247.db2.gz UFTWWOSQFPUPOS-ONEGZZNKSA-N 0 3 241.338 2.928 20 0 BFADHN CCOc1ccc(CN(C)C[C@H]2CCCO2)cc1 ZINC000170696136 385440648 /nfs/dbraw/zinc/44/06/48/385440648.db2.gz IPVPUOPXCXCXMG-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@](C)(NC[C@H](C)OC)c1nc(C)cs1 ZINC000182345063 385440666 /nfs/dbraw/zinc/44/06/66/385440666.db2.gz DCJGUGUROUJNAC-JQWIXIFHSA-N 0 3 242.388 2.701 20 0 BFADHN C[C@@H](N(C)Cc1ccccc1Cl)C(C)(C)O ZINC000289426370 385443949 /nfs/dbraw/zinc/44/39/49/385443949.db2.gz VEFCGWHRGYVFIO-SNVBAGLBSA-N 0 3 241.762 2.931 20 0 BFADHN CC[C@@H](NC[C@@H](C)OC)c1ccccc1OC ZINC000182425834 385444706 /nfs/dbraw/zinc/44/47/06/385444706.db2.gz UUNRFOVRFBODJT-DGCLKSJQSA-N 0 3 237.343 2.771 20 0 BFADHN C[C@H](N(C)Cc1ccccc1Cl)C(C)(C)O ZINC000289426369 385444904 /nfs/dbraw/zinc/44/49/04/385444904.db2.gz VEFCGWHRGYVFIO-JTQLQIEISA-N 0 3 241.762 2.931 20 0 BFADHN Nc1c(F)cccc1CNCc1ccccc1 ZINC000156350558 385447375 /nfs/dbraw/zinc/44/73/75/385447375.db2.gz QDKFBULBGCUBCW-UHFFFAOYSA-N 0 3 230.286 2.698 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1cc(C)cc(Cl)c1 ZINC000378665240 385458276 /nfs/dbraw/zinc/45/82/76/385458276.db2.gz AHPURNQLCMIFNO-CHWSQXEVSA-N 0 3 239.746 2.915 20 0 BFADHN CCSCCCNCc1cnc(CC)s1 ZINC000281862320 385454716 /nfs/dbraw/zinc/45/47/16/385454716.db2.gz CBYYRJDGLGSSRW-UHFFFAOYSA-N 0 3 244.429 2.938 20 0 BFADHN CSCCN(C)Cc1cc2cccnc2o1 ZINC000449029307 385429279 /nfs/dbraw/zinc/42/92/79/385429279.db2.gz NYPNMBGZUDTDFH-UHFFFAOYSA-N 0 3 236.340 2.623 20 0 BFADHN Cc1noc(C)c1CCN[C@H](C)c1ccco1 ZINC000156033005 385429997 /nfs/dbraw/zinc/42/99/97/385429997.db2.gz VIMSWPNFZFCJJT-SNVBAGLBSA-N 0 3 234.299 2.778 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)CC2CCCC2)n1 ZINC000156023537 385430685 /nfs/dbraw/zinc/43/06/85/385430685.db2.gz LAWXHENZBQDCQA-UHFFFAOYSA-N 0 3 234.343 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)CC2CCCC2)n1 ZINC000156023537 385430689 /nfs/dbraw/zinc/43/06/89/385430689.db2.gz LAWXHENZBQDCQA-UHFFFAOYSA-N 0 3 234.343 2.718 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2cncc(C)c2)C1(C)C ZINC000182085016 385430936 /nfs/dbraw/zinc/43/09/36/385430936.db2.gz UBSMVBPTIQFFEE-UONOGXRCSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1ccsc1CN(C)C[C@@H](O)C(C)(C)C ZINC000309132574 385431823 /nfs/dbraw/zinc/43/18/23/385431823.db2.gz HQMWPSDPQHPVKI-GFCCVEGCSA-N 0 3 241.400 2.895 20 0 BFADHN Cc1csc(CN[C@H](C)[C@@H]2CC2(C)C)n1 ZINC000309138742 385433504 /nfs/dbraw/zinc/43/35/04/385433504.db2.gz SODALBAYURZSMK-ZJUUUORDSA-N 0 3 224.373 2.976 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cnn2ccccc12 ZINC000179412576 385464748 /nfs/dbraw/zinc/46/47/48/385464748.db2.gz GLQVUAJFAXUHNQ-LLVKDONJSA-N 0 3 229.327 2.565 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1ccccc1N(C)C ZINC000179410942 385465157 /nfs/dbraw/zinc/46/51/57/385465157.db2.gz COCOTUAAZHJFSI-QWHCGFSZSA-N 0 3 248.370 2.582 20 0 BFADHN C/C(=C\c1ccccc1)CN1CCC(C)(O)CC1 ZINC000179725147 385487918 /nfs/dbraw/zinc/48/79/18/385487918.db2.gz IJCFQXXAHLSOSA-WYMLVPIESA-N 0 3 245.366 2.937 20 0 BFADHN c1nn2ccccc2c1CN1CCCCCC1 ZINC000179504431 385468766 /nfs/dbraw/zinc/46/87/66/385468766.db2.gz KHYVRDVMSDVWIU-UHFFFAOYSA-N 0 3 229.327 2.710 20 0 BFADHN CC1(C)SC[C@@H]1NCc1ccccc1F ZINC000336770304 385470167 /nfs/dbraw/zinc/47/01/67/385470167.db2.gz WLNOBBAAXLQUOM-NSHDSACASA-N 0 3 225.332 2.809 20 0 BFADHN CC1(C)CN(C/C=C/c2ccc(F)cc2)C[C@@H]1O ZINC000448375331 385470265 /nfs/dbraw/zinc/47/02/65/385470265.db2.gz MSHWMQSTUMMRCD-XGACYXMMSA-N 0 3 249.329 2.542 20 0 BFADHN CCC[C@H](NCC1(C)OCCO1)c1ccccc1 ZINC000179565887 385474861 /nfs/dbraw/zinc/47/48/61/385474861.db2.gz HZTLNSDHULVKMJ-AWEZNQCLSA-N 0 3 249.354 2.880 20 0 BFADHN CCCCC[C@@H](NC(=O)[C@H](C)N)c1ccccc1 ZINC000156959246 385476770 /nfs/dbraw/zinc/47/67/70/385476770.db2.gz MKNPLPPNYBKIIP-GXTWGEPZSA-N 0 3 248.370 2.771 20 0 BFADHN CC[C@H](COC)N[C@@H](C)c1cc(C)c(C)o1 ZINC000282013373 385477254 /nfs/dbraw/zinc/47/72/54/385477254.db2.gz DQPDNOZYQWDXHZ-CMPLNLGQSA-N 0 3 225.332 2.972 20 0 BFADHN CC[C@H](O)CN[C@@H](CC)c1ccc(F)cc1F ZINC000179623221 385477546 /nfs/dbraw/zinc/47/75/46/385477546.db2.gz QACOXLBFSRGQBN-GWCFXTLKSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1cc(C)c(C)o1 ZINC000282013378 385477934 /nfs/dbraw/zinc/47/79/34/385477934.db2.gz DQPDNOZYQWDXHZ-PWSUYJOCSA-N 0 3 225.332 2.972 20 0 BFADHN Cn1ccc(CN2CCC[C@H]2c2ccc[nH]2)c1 ZINC000156605834 385460935 /nfs/dbraw/zinc/46/09/35/385460935.db2.gz HRGHTPPYHGSTLS-AWEZNQCLSA-N 0 3 229.327 2.690 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1cc(C)c(C)o1 ZINC000282049779 385492013 /nfs/dbraw/zinc/49/20/13/385492013.db2.gz GJFJXVGBGHBFEO-PWSUYJOCSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC23CCC3)s1 ZINC000309231543 385492116 /nfs/dbraw/zinc/49/21/16/385492116.db2.gz WUAFDLGYZGEXFU-SNVBAGLBSA-N 0 3 222.357 2.874 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)[C@@H](C)CO)o1 ZINC000157276566 385493806 /nfs/dbraw/zinc/49/38/06/385493806.db2.gz FGGITNCPNDPSOO-AXFHLTTASA-N 0 3 225.332 2.510 20 0 BFADHN CN(C)Cc1cccc(NC(=O)[C@@H]2CC2(C)C)c1 ZINC000157287613 385495669 /nfs/dbraw/zinc/49/56/69/385495669.db2.gz WMYPOFOSPFRQPC-ZDUSSCGKSA-N 0 3 246.354 2.733 20 0 BFADHN c1ncc(CN2CCC[C@H]2c2ccccc2)[nH]1 ZINC000179873603 385496088 /nfs/dbraw/zinc/49/60/88/385496088.db2.gz ISFGSKTWBNWLDZ-AWEZNQCLSA-N 0 3 227.311 2.747 20 0 BFADHN CN(Cc1cnc[nH]1)[C@@H]1CCc2ccccc21 ZINC000179907104 385500141 /nfs/dbraw/zinc/50/01/41/385500141.db2.gz ZTFOYBIFTNSTNR-CQSZACIVSA-N 0 3 227.311 2.529 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1nccn1C(C)C ZINC000183040822 385502325 /nfs/dbraw/zinc/50/23/25/385502325.db2.gz HYDBRCFQEDCTCO-VXGBXAGGSA-N 0 3 223.364 2.988 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1ccc(F)cc1Cl ZINC000157476455 385503468 /nfs/dbraw/zinc/50/34/68/385503468.db2.gz LNOZAMFUEODPNC-BDAKNGLRSA-N 0 3 245.725 2.586 20 0 BFADHN CC[C@@H](CCO)NCc1ccc(F)cc1Cl ZINC000157477245 385503828 /nfs/dbraw/zinc/50/38/28/385503828.db2.gz UOVLNYQEOQABHT-NSHDSACASA-N 0 3 245.725 2.730 20 0 BFADHN CCOCCN[C@H](C)c1cc(C)c(C)o1 ZINC000282095293 385504243 /nfs/dbraw/zinc/50/42/43/385504243.db2.gz IHLOVKKGQSDLEX-SNVBAGLBSA-N 0 3 211.305 2.584 20 0 BFADHN CN(Cc1cncs1)C1CCCCC1 ZINC000157495736 385505526 /nfs/dbraw/zinc/50/55/26/385505526.db2.gz LJOOLDNWYYTOPP-UHFFFAOYSA-N 0 3 210.346 2.908 20 0 BFADHN Cc1ccc2nccc(N3CCO[C@H]4C[C@H]43)c2c1 ZINC000644135675 385518010 /nfs/dbraw/zinc/51/80/10/385518010.db2.gz SJPKBZFMYFQAKL-CABCVRRESA-N 0 3 240.306 2.521 20 0 BFADHN Cc1ccc(F)cc1CN1CCCO[C@H](C)C1 ZINC000180063874 385506541 /nfs/dbraw/zinc/50/65/41/385506541.db2.gz CFHVMJAZVNNCIC-GFCCVEGCSA-N 0 3 237.318 2.745 20 0 BFADHN COC[C@H](N[C@@H]1C[C@@H](C)O[C@@H]1C)c1ccccc1 ZINC000295261426 385507742 /nfs/dbraw/zinc/50/77/42/385507742.db2.gz BSBKWZRTMGOEPG-GBOPCIDUSA-N 0 3 249.354 2.530 20 0 BFADHN CCCN(Cc1c(C)nn(CC)c1C)C1CC1 ZINC000180097443 385508410 /nfs/dbraw/zinc/50/84/10/385508410.db2.gz FTXPLVBYJITRIK-UHFFFAOYSA-N 0 3 235.375 2.894 20 0 BFADHN COC[C@H](N[C@@H]1C[C@@H](C)O[C@H]1C)c1ccccc1 ZINC000295261437 385510576 /nfs/dbraw/zinc/51/05/76/385510576.db2.gz BSBKWZRTMGOEPG-OSRDXIQISA-N 0 3 249.354 2.530 20 0 BFADHN C=Cn1cc(CN2CC[C@H](c3ccco3)C2)cn1 ZINC000364758170 385511923 /nfs/dbraw/zinc/51/19/23/385511923.db2.gz JFGKCCJSQLLYSX-ZDUSSCGKSA-N 0 3 243.310 2.566 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN[C@H]1CC1(C)C ZINC000309325766 385531571 /nfs/dbraw/zinc/53/15/71/385531571.db2.gz GLJGVZFUOIBLRP-JTQLQIEISA-N 0 3 221.348 2.595 20 0 BFADHN CCN(CC1CC1)[C@H](C)C(=O)Nc1ccccc1 ZINC000157885963 385533378 /nfs/dbraw/zinc/53/33/78/385533378.db2.gz LAGLDPKGHDARRY-GFCCVEGCSA-N 0 3 246.354 2.746 20 0 BFADHN COCc1ccc(CN2C[C@H](C)C[C@@H](C)C2)o1 ZINC000157989244 385541100 /nfs/dbraw/zinc/54/11/00/385541100.db2.gz FHUZUUAPBXEPKW-VXGBXAGGSA-N 0 3 237.343 2.904 20 0 BFADHN COCc1ccc(CN(C)[C@H](C)C2CC2)o1 ZINC000158023912 385542908 /nfs/dbraw/zinc/54/29/08/385542908.db2.gz PEONTJMFVXYSMO-SNVBAGLBSA-N 0 3 223.316 2.656 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C2[C@@H](C)CCC[C@@H]2C)[n-]1 ZINC000392338883 385519825 /nfs/dbraw/zinc/51/98/25/385519825.db2.gz AIVTXJUGYHTCNH-GUBZILKMSA-N 0 3 236.363 2.588 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2[C@H](C)CCC[C@@H]2C)[n-]1 ZINC000392338886 385520039 /nfs/dbraw/zinc/52/00/39/385520039.db2.gz AIVTXJUGYHTCNH-MIZYBKAJSA-N 0 3 236.363 2.588 20 0 BFADHN CC[C@H]1CN(c2ccnc3ccccc32)CCO1 ZINC000171813564 385520412 /nfs/dbraw/zinc/52/04/12/385520412.db2.gz FLECGZCYWUCVRF-LBPRGKRZSA-N 0 3 242.322 2.850 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CC[C@@H](C)C[C@H]2C)[n-]1 ZINC000392373810 385522227 /nfs/dbraw/zinc/52/22/27/385522227.db2.gz NPJGJMRLLGFTDM-MWGHHZFTSA-N 0 3 236.363 2.588 20 0 BFADHN CCc1ccc(CNC[C@H]2CCCS2)cn1 ZINC000309423704 385577650 /nfs/dbraw/zinc/57/76/50/385577650.db2.gz DZGLZIOZRQDOCG-CYBMUJFWSA-N 0 3 236.384 2.629 20 0 BFADHN C[C@H](NCCc1ccccc1O)c1cccnc1 ZINC000181408335 385576561 /nfs/dbraw/zinc/57/65/61/385576561.db2.gz JIASKIYKBRVNDL-LBPRGKRZSA-N 0 3 242.322 2.681 20 0 BFADHN Cc1sccc1CN[C@H]1CCO[C@@H]1C1CC1 ZINC000309377714 385557761 /nfs/dbraw/zinc/55/77/61/385557761.db2.gz GDEVVUDMIMUUNB-QWHCGFSZSA-N 0 3 237.368 2.714 20 0 BFADHN CC1(C)CC[C@H](CNCc2ccc(Cl)o2)O1 ZINC000309398943 385563021 /nfs/dbraw/zinc/56/30/21/385563021.db2.gz HBGFZBZDCLEOGO-SNVBAGLBSA-N 0 3 243.734 2.980 20 0 BFADHN CC[C@@H](C)N1CCC(c2noc(C3CC3)n2)CC1 ZINC000337320947 385593918 /nfs/dbraw/zinc/59/39/18/385593918.db2.gz PNRXVRVJJHSXQY-SNVBAGLBSA-N 0 3 249.358 2.925 20 0 BFADHN Cn1ncc2c1CCC[C@@H]2NCc1ccccc1 ZINC000037024055 385595734 /nfs/dbraw/zinc/59/57/34/385595734.db2.gz BQLKJCNZKOPYGJ-AWEZNQCLSA-N 0 3 241.338 2.587 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccncc1)c1ccccn1 ZINC000337324872 385599174 /nfs/dbraw/zinc/59/91/74/385599174.db2.gz USZZURONXQLKCL-QWHCGFSZSA-N 0 3 241.338 2.758 20 0 BFADHN CCC[C@H](NCC(=O)N(C)CC)c1ccccc1 ZINC000181786031 385605379 /nfs/dbraw/zinc/60/53/79/385605379.db2.gz AXXQBLXBRFZSMJ-AWEZNQCLSA-N 0 3 248.370 2.596 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1sc(C)nc1C ZINC000082589336 385586426 /nfs/dbraw/zinc/58/64/26/385586426.db2.gz NYSYXLPOMFJSCA-BDAKNGLRSA-N 0 3 242.388 2.836 20 0 BFADHN CCC[C@H](C)CNCc1ncnn1C(C)(C)C ZINC000337300211 385589243 /nfs/dbraw/zinc/58/92/43/385589243.db2.gz PIVACKOKOSEURY-NSHDSACASA-N 0 3 238.379 2.559 20 0 BFADHN Cc1ccc(CN[C@H]2CCC[C@H]2OC(F)F)o1 ZINC000337302058 385591027 /nfs/dbraw/zinc/59/10/27/385591027.db2.gz PWEGVQGGJFMAIU-WDEREUQCSA-N 0 3 245.269 2.838 20 0 BFADHN Cc1ccncc1CN1CCSC(C)(C)C1 ZINC000269621804 385615339 /nfs/dbraw/zinc/61/53/39/385615339.db2.gz NVEQPPXEXBOXTR-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN CCC[C@@H](O)CN(C)[C@H](C)c1ccccc1F ZINC000251136277 385615788 /nfs/dbraw/zinc/61/57/88/385615788.db2.gz KLDGRQATTZVDTR-VXGBXAGGSA-N 0 3 239.334 2.980 20 0 BFADHN C[C@@H](N[C@H](CCO)C(C)(C)C)c1cncs1 ZINC000229575922 385636264 /nfs/dbraw/zinc/63/62/64/385636264.db2.gz MSFKTUCZTLBKDL-MWLCHTKSSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2ncc[nH]2)[C@H]1C ZINC000336724271 385618476 /nfs/dbraw/zinc/61/84/76/385618476.db2.gz BFRVQBWPCSNMLC-GRYCIOLGSA-N 0 3 221.348 2.572 20 0 BFADHN CC[C@@H](NCCF)c1ccc2c(c1)CCO2 ZINC000280938439 385619384 /nfs/dbraw/zinc/61/93/84/385619384.db2.gz FYZZIAKNPAIDFH-GFCCVEGCSA-N 0 3 223.291 2.632 20 0 BFADHN Cc1ccncc1CN1CCC(C)CC1 ZINC000269669698 385621811 /nfs/dbraw/zinc/62/18/11/385621811.db2.gz KFGBUJQMAPHXMG-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1nccs1 ZINC000269688202 385623344 /nfs/dbraw/zinc/62/33/44/385623344.db2.gz VPWQOTXKDFDSQR-SNVBAGLBSA-N 0 3 210.346 2.764 20 0 BFADHN CS[C@@H]1CCCC[C@H]1NCc1cc(C)no1 ZINC000309498327 385625065 /nfs/dbraw/zinc/62/50/65/385625065.db2.gz ZNMHCPNLHUCTHT-VXGBXAGGSA-N 0 3 240.372 2.747 20 0 BFADHN CO[C@@H](C)CN(C)[C@@H](C)c1ccc(F)cc1 ZINC000181999688 385626025 /nfs/dbraw/zinc/62/60/25/385626025.db2.gz LSHHULAZRYCJTA-QWRGUYRKSA-N 0 3 225.307 2.853 20 0 BFADHN Cc1ccncc1CN1CCS[C@@H](C)[C@H]1C ZINC000269742344 385632259 /nfs/dbraw/zinc/63/22/59/385632259.db2.gz ZWBMNKADLFJMFC-NEPJUHHUSA-N 0 3 236.384 2.716 20 0 BFADHN Cc1noc(C)c1CN1C[C@@H](C)C[C@H](C)C1 ZINC000058874659 385607878 /nfs/dbraw/zinc/60/78/78/385607878.db2.gz ZACDONZVMJNHCC-UWVGGRQHSA-N 0 3 222.332 2.769 20 0 BFADHN CC[C@H](O)CN(C)[C@H](C)c1ccccc1F ZINC000251039964 385613317 /nfs/dbraw/zinc/61/33/17/385613317.db2.gz CGBTYGDOSYXCSC-MNOVXSKESA-N 0 3 225.307 2.589 20 0 BFADHN COCC[C@H](NCc1nccs1)C(C)(C)C ZINC000336724103 385613394 /nfs/dbraw/zinc/61/33/94/385613394.db2.gz BAXYYCTVPWBHFZ-JTQLQIEISA-N 0 3 242.388 2.684 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc(Cl)c(F)c1 ZINC000182381810 385664246 /nfs/dbraw/zinc/66/42/46/385664246.db2.gz SOOCUYNKRKOPLR-VIFPVBQESA-N 0 3 245.725 2.946 20 0 BFADHN c1nc(CN(CC2CC2)CC2CCC2)co1 ZINC000355723096 385639542 /nfs/dbraw/zinc/63/95/42/385639542.db2.gz CDJWHPAAGXNYIF-UHFFFAOYSA-N 0 3 220.316 2.687 20 0 BFADHN CO[C@@H](C)CN1CCc2cc(F)cc(C)c2C1 ZINC000337390223 385640399 /nfs/dbraw/zinc/64/03/99/385640399.db2.gz GXWMLPVTFYOGJK-NSHDSACASA-N 0 3 237.318 2.527 20 0 BFADHN C1=CC[C@H](CN2C[C@]3(CCCO3)[C@@H]2C2CC2)CC1 ZINC000354707541 385640733 /nfs/dbraw/zinc/64/07/33/385640733.db2.gz OGVJQDQGBZCJMB-CWRNSKLLSA-N 0 3 247.382 2.986 20 0 BFADHN CC[C@@H](NCCc1ccccc1)c1nccn1C ZINC000182197625 385643349 /nfs/dbraw/zinc/64/33/49/385643349.db2.gz VMZTYYJMUFHFHW-CQSZACIVSA-N 0 3 243.354 2.704 20 0 BFADHN C[C@@H]1C[C@H](CNCc2ccc(Cl)o2)[C@H](C)O1 ZINC000414517781 385645072 /nfs/dbraw/zinc/64/50/72/385645072.db2.gz RHVYMKFWOGZXGP-KXUCPTDWSA-N 0 3 243.734 2.836 20 0 BFADHN CCC[C@H](NC[C@@H](O)C(F)F)c1ccsc1 ZINC000336682973 385646866 /nfs/dbraw/zinc/64/68/66/385646866.db2.gz DIUKRJDRJYGMMC-VHSXEESVSA-N 0 3 249.326 2.805 20 0 BFADHN C[C@@H](NC1(c2ccccc2F)CC1)[C@H]1CCOC1 ZINC000182238897 385650567 /nfs/dbraw/zinc/65/05/67/385650567.db2.gz RFJLDKKSZBCFNR-NEPJUHHUSA-N 0 3 249.329 2.829 20 0 BFADHN CC[C@H](O)CCNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000252711999 385657733 /nfs/dbraw/zinc/65/77/33/385657733.db2.gz LFCBNJIAHWSNKS-MDZLAQPJSA-N 0 3 237.343 2.654 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@H]2CC2(C)C)cn1 ZINC000336734124 385657761 /nfs/dbraw/zinc/65/77/61/385657761.db2.gz HEDBVXAVBUVFEG-JQWIXIFHSA-N 0 3 221.348 2.913 20 0 BFADHN Fc1ccc(CN[C@H]2CC[C@@H](F)C2)c(F)c1 ZINC000309537075 385676178 /nfs/dbraw/zinc/67/61/78/385676178.db2.gz XFTNNCJXFIYFQD-KOLCDFICSA-N 0 3 229.245 2.945 20 0 BFADHN Fc1ccc(CN[C@@H]2CC[C@@H](F)C2)c(F)c1 ZINC000309537076 385677194 /nfs/dbraw/zinc/67/71/94/385677194.db2.gz XFTNNCJXFIYFQD-MWLCHTKSSA-N 0 3 229.245 2.945 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN2C[C@H](O)C[C@H](C)C2)o1 ZINC000414531318 385681113 /nfs/dbraw/zinc/68/11/13/385681113.db2.gz YXRIRTUOBZLLPZ-FMSGJZPZSA-N 0 3 249.354 2.606 20 0 BFADHN Cc1cscc1CN[C@H](C)[C@@H]1CCCO1 ZINC000336685000 385682016 /nfs/dbraw/zinc/68/20/16/385682016.db2.gz KLQJROYOCNBFIS-PWSUYJOCSA-N 0 3 225.357 2.714 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1cccc(N(C)C)c1 ZINC000645218986 385682551 /nfs/dbraw/zinc/68/25/51/385682551.db2.gz BKUOKSVQMMNOTM-NWDGAFQWSA-N 0 3 218.344 2.593 20 0 BFADHN CC[C@H](O)CN[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000281588086 385684128 /nfs/dbraw/zinc/68/41/28/385684128.db2.gz GRVDDCZLIBUSPV-CBAPKCEASA-N 0 3 247.260 2.525 20 0 BFADHN Fc1ccc(CNC[C@H]2CC=CCC2)nc1 ZINC000309554217 385686569 /nfs/dbraw/zinc/68/65/69/385686569.db2.gz GZXOOBCRGXCTGE-NSHDSACASA-N 0 3 220.291 2.667 20 0 BFADHN CC[C@H](O)CN[C@@H]1CCSc2ccccc21 ZINC000229963659 385692689 /nfs/dbraw/zinc/69/26/89/385692689.db2.gz KZHCXZYFARPVBQ-CMPLNLGQSA-N 0 3 237.368 2.584 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1ccccc1F ZINC000221731802 385693361 /nfs/dbraw/zinc/69/33/61/385693361.db2.gz SUNVTYATJJQHOJ-VWYCJHECSA-N 0 3 225.307 2.900 20 0 BFADHN CCC[C@H](C)CNCc1csc(COC)n1 ZINC000289912440 385700530 /nfs/dbraw/zinc/70/05/30/385700530.db2.gz UTTUXAWJNHHRQL-JTQLQIEISA-N 0 3 242.388 2.815 20 0 BFADHN CC(C)n1cc(CN[C@@H]2CCCC2(C)C)nn1 ZINC000336686377 385700551 /nfs/dbraw/zinc/70/05/51/385700551.db2.gz DSMLKEUBDCHBLW-GFCCVEGCSA-N 0 3 236.363 2.527 20 0 BFADHN C=Cn1cc(CN(CC)C2CC(C)(C)C2)cn1 ZINC000414538835 385702975 /nfs/dbraw/zinc/70/29/75/385702975.db2.gz RIOWNHXBMQCREN-UHFFFAOYSA-N 0 3 233.359 2.994 20 0 BFADHN F[C@H]1CCN(C[C@@H]2CCc3ccccc3C2)C1 ZINC000451201410 385706081 /nfs/dbraw/zinc/70/60/81/385706081.db2.gz UUMNMRLZMHMFPW-DOMZBBRYSA-N 0 3 233.330 2.835 20 0 BFADHN COC[C@H](NCCCSC)c1ccc(C)o1 ZINC000184734832 385708508 /nfs/dbraw/zinc/70/85/08/385708508.db2.gz NFWHNIBDZYNQGB-NSHDSACASA-N 0 3 243.372 2.618 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1ccc(F)c(F)c1 ZINC000182419145 385669012 /nfs/dbraw/zinc/66/90/12/385669012.db2.gz YYPJAPZSAGQKLP-IUCAKERBSA-N 0 3 229.270 2.650 20 0 BFADHN CCOc1cccc([C@@H](C)NC[C@@H](C)OC)c1 ZINC000182429633 385669570 /nfs/dbraw/zinc/66/95/70/385669570.db2.gz YEWNZLCFGKKROP-VXGBXAGGSA-N 0 3 237.343 2.771 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000182435322 385670273 /nfs/dbraw/zinc/67/02/73/385670273.db2.gz GERJSSVSPXNWDR-YUMQZZPRSA-N 0 3 247.260 2.789 20 0 BFADHN COCc1cccc(CN2CC=C(C)CC2)c1 ZINC000270097745 385670307 /nfs/dbraw/zinc/67/03/07/385670307.db2.gz BHMGSPQEOKAZBE-UHFFFAOYSA-N 0 3 231.339 2.985 20 0 BFADHN CO[C@](C)(CN[C@@H](C)c1cccnc1)C1CC1 ZINC000291805527 385729595 /nfs/dbraw/zinc/72/95/95/385729595.db2.gz HFNHGIIPUSWZMI-SMDDNHRTSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1nnc(-c2ccco2)o1 ZINC000183033141 385729705 /nfs/dbraw/zinc/72/97/05/385729705.db2.gz JXWPIHZRASCGPF-NXEZZACHSA-N 0 3 249.314 2.854 20 0 BFADHN COc1ncccc1CN1CC[C@@H](C)C(C)(C)C1 ZINC000488288359 385730582 /nfs/dbraw/zinc/73/05/82/385730582.db2.gz SERJASCBBPKGOF-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN CC(C)C[C@H](C)CN(C)Cc1cnccn1 ZINC000282101658 385731691 /nfs/dbraw/zinc/73/16/91/385731691.db2.gz WDMSGYHBZRFYSS-LBPRGKRZSA-N 0 3 221.348 2.591 20 0 BFADHN CC[C@H](CCO)CN[C@H](C)c1cc(C)c(C)o1 ZINC000282105677 385731963 /nfs/dbraw/zinc/73/19/63/385731963.db2.gz IUQGDIPAYSLWFS-DGCLKSJQSA-N 0 3 239.359 2.956 20 0 BFADHN CC[C@@H](CNCc1cc(F)ccc1C)OC ZINC000289926776 385732087 /nfs/dbraw/zinc/73/20/87/385732087.db2.gz VVMHTLUPJOPBLJ-ZDUSSCGKSA-N 0 3 225.307 2.649 20 0 BFADHN c1csc([C@@H]2CCCN2CC2CC2)n1 ZINC000363840803 385732115 /nfs/dbraw/zinc/73/21/15/385732115.db2.gz HCKHHERIRGTRQP-JTQLQIEISA-N 0 3 208.330 2.690 20 0 BFADHN CCN(C[C@@H]1CCCO1)[C@H](C)c1ccccn1 ZINC000270727870 385735800 /nfs/dbraw/zinc/73/58/00/385735800.db2.gz MPIBUENVPNXAJP-OLZOCXBDSA-N 0 3 234.343 2.644 20 0 BFADHN CO[C@H]1CCN(Cc2ccsc2)[C@H](C)C1 ZINC000282148788 385736553 /nfs/dbraw/zinc/73/65/53/385736553.db2.gz COFWERQUJVIMKD-PWSUYJOCSA-N 0 3 225.357 2.747 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+]C[C@H]2CC=CCC2)[n-]1 ZINC000270750311 385738487 /nfs/dbraw/zinc/73/84/87/385738487.db2.gz YCCGZTAWQIUYLM-NEPJUHHUSA-N 0 3 248.374 2.935 20 0 BFADHN CC(C)c1nnc([C@@H](C)NC[C@H]2CC=CCC2)[nH]1 ZINC000270750311 385738492 /nfs/dbraw/zinc/73/84/92/385738492.db2.gz YCCGZTAWQIUYLM-NEPJUHHUSA-N 0 3 248.374 2.935 20 0 BFADHN CO[C@@H]1CCN(Cc2occc2C)[C@H](C)C1 ZINC000282194183 385741350 /nfs/dbraw/zinc/74/13/50/385741350.db2.gz GMYYCUSURXDHAM-VXGBXAGGSA-N 0 3 223.316 2.587 20 0 BFADHN CCC[C@@](C)(O)CN[C@@H](C)c1cccc(O)c1 ZINC000230684309 385749042 /nfs/dbraw/zinc/74/90/42/385749042.db2.gz VTGTYUQMOXSZJF-SMDDNHRTSA-N 0 3 237.343 2.594 20 0 BFADHN CC[C@H](CCO)N[C@H](C)c1sccc1OC ZINC000186175231 385751854 /nfs/dbraw/zinc/75/18/54/385751854.db2.gz BJZPIUJMWNUZSA-NXEZZACHSA-N 0 3 243.372 2.568 20 0 BFADHN CCOc1ccccc1CN1CC[C@@H](C)C1 ZINC000337700320 385753441 /nfs/dbraw/zinc/75/34/41/385753441.db2.gz WCPJWPLAMSHXTJ-GFCCVEGCSA-N 0 3 219.328 2.927 20 0 BFADHN COc1c2ccccc2oc1CNC(C)C ZINC000336698701 385753844 /nfs/dbraw/zinc/75/38/44/385753844.db2.gz MVSNDUWCOGRSGN-UHFFFAOYSA-N 0 3 219.284 2.939 20 0 BFADHN COc1cc(C)c(CN2CC[C@@H](C)C2)cc1OC ZINC000337699988 385754819 /nfs/dbraw/zinc/75/48/19/385754819.db2.gz VVSOMKWGJNBNHH-LLVKDONJSA-N 0 3 249.354 2.854 20 0 BFADHN CC(C)(C)C1=CCN(CCn2ccnc2)CC1 ZINC000270887889 385756366 /nfs/dbraw/zinc/75/63/66/385756366.db2.gz OKQZIXPDMODYJM-UHFFFAOYSA-N 0 3 233.359 2.561 20 0 BFADHN CCC1(CO)CCN([C@H](C)c2cccnc2)CC1 ZINC000270910969 385759116 /nfs/dbraw/zinc/75/91/16/385759116.db2.gz QCGWHLWYVRRYJI-CYBMUJFWSA-N 0 3 248.370 2.627 20 0 BFADHN CCSCCCN[C@H](C)c1nccnc1C ZINC000282486662 385767285 /nfs/dbraw/zinc/76/72/85/385767285.db2.gz HKCOMJITPINITH-SNVBAGLBSA-N 0 3 239.388 2.579 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]1C)c1cncc(F)c1 ZINC000336701510 385767504 /nfs/dbraw/zinc/76/75/04/385767504.db2.gz PZTKUYGPQNWLTJ-HOTUBEGUSA-N 0 3 208.280 2.670 20 0 BFADHN COCC[C@H](NC[C@H]1C[C@H]1C)c1ccco1 ZINC000309652625 385769068 /nfs/dbraw/zinc/76/90/68/385769068.db2.gz OQUWVNYOEYJMRK-UTUOFQBUSA-N 0 3 223.316 2.603 20 0 BFADHN COC[C@H](C)N(C)Cc1ccc2ncccc2c1 ZINC000271112215 385788263 /nfs/dbraw/zinc/78/82/63/385788263.db2.gz FHRSQIXACVGMLW-LBPRGKRZSA-N 0 3 244.338 2.702 20 0 BFADHN Cn1ccc(CN2CCC[C@@H]2c2cccn2C)c1 ZINC000271041739 385775521 /nfs/dbraw/zinc/77/55/21/385775521.db2.gz OPZIIGDDVPCROS-OAHLLOKOSA-N 0 3 243.354 2.701 20 0 BFADHN CC(C)[C@H](O)C1(CNCc2ccsc2)CC1 ZINC000309674430 385778605 /nfs/dbraw/zinc/77/86/05/385778605.db2.gz LDNGITQQHJBGHA-LBPRGKRZSA-N 0 3 239.384 2.635 20 0 BFADHN CCc1ccc(CN[C@H](CCO)C(C)(C)C)o1 ZINC000231074909 385779372 /nfs/dbraw/zinc/77/93/72/385779372.db2.gz ANSGGPGWIHBGKI-CYBMUJFWSA-N 0 3 239.359 2.729 20 0 BFADHN COCc1ccc(CN(C)[C@H](C)C(C)C)o1 ZINC000271062184 385781876 /nfs/dbraw/zinc/78/18/76/385781876.db2.gz YGEDAFFFUVUHDH-LLVKDONJSA-N 0 3 225.332 2.902 20 0 BFADHN C[C@@H]1C[C@@H]1NCc1ccc(Cl)c(F)c1 ZINC000052275535 385720128 /nfs/dbraw/zinc/72/01/28/385720128.db2.gz RHOXMEHWLAIGFE-HQJQHLMTSA-N 0 3 213.683 2.977 20 0 BFADHN CC(C)[C@](C)(CO)NCc1ccccc1Cl ZINC000309593483 385722151 /nfs/dbraw/zinc/72/21/51/385722151.db2.gz HOXLXHHQGLREDG-ZDUSSCGKSA-N 0 3 241.762 2.837 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](F)C1)c1cncc(F)c1 ZINC000309596437 385724305 /nfs/dbraw/zinc/72/43/05/385724305.db2.gz WHCGGGSCPXVWFL-XRNSZHNASA-N 0 3 226.270 2.762 20 0 BFADHN CC(C)(C)[C@H]1C[C@@H](NCc2ccco2)CCO1 ZINC000270638138 385724517 /nfs/dbraw/zinc/72/45/17/385724517.db2.gz JAGVTOBXYXUTPW-WCQYABFASA-N 0 3 237.343 2.963 20 0 BFADHN C[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccncc1 ZINC000340452702 385799342 /nfs/dbraw/zinc/79/93/42/385799342.db2.gz UELJNPIFCMMCPQ-MNOVXSKESA-N 0 3 243.310 2.594 20 0 BFADHN CCSCCN(C)C[C@H](C)c1nccs1 ZINC000183920811 385803866 /nfs/dbraw/zinc/80/38/66/385803866.db2.gz RVTOIWQDJACJAZ-JTQLQIEISA-N 0 3 244.429 2.932 20 0 BFADHN Cc1cc(C)cc(CN2CC3(C2)CCOC3)c1 ZINC000294102981 385805425 /nfs/dbraw/zinc/80/54/25/385805425.db2.gz SFEUWQNTXGQUNC-UHFFFAOYSA-N 0 3 231.339 2.526 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccsc1)c1ccccn1 ZINC000231634817 385808742 /nfs/dbraw/zinc/80/87/42/385808742.db2.gz KYGHVTOJPLMQNB-MFKMUULPSA-N 0 3 248.351 2.527 20 0 BFADHN Cc1cc(C)c(CN2CC3(C2)CCOC3)cc1C ZINC000294205448 385822589 /nfs/dbraw/zinc/82/25/89/385822589.db2.gz XYWGXWLMCJGMKR-UHFFFAOYSA-N 0 3 245.366 2.834 20 0 BFADHN CC/C=C/CCN1C[C@H](C)OC2(CCC2)C1 ZINC000521432317 385823166 /nfs/dbraw/zinc/82/31/66/385823166.db2.gz XSTBXPVVINUXTH-IHVVCDCBSA-N 0 3 223.360 2.986 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)[C@H](C)C2)s1 ZINC000271424143 385827212 /nfs/dbraw/zinc/82/72/12/385827212.db2.gz ZEHDHAXMJZVKEW-RKDXNWHRSA-N 0 3 210.346 2.539 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H]1COc2c1ccc(C)c2C ZINC000271423265 385827425 /nfs/dbraw/zinc/82/74/25/385827425.db2.gz CDQOUYGNCMCXPW-YRGRVCCFSA-N 0 3 249.354 2.750 20 0 BFADHN Cc1sccc1CN(C)C[C@@H]1CCC[C@H]1O ZINC000294271491 385831779 /nfs/dbraw/zinc/83/17/79/385831779.db2.gz OKNBEMKCAHTHLK-QWHCGFSZSA-N 0 3 239.384 2.649 20 0 BFADHN CCc1ccc([C@@H](C)NCCC[C@@H](C)CO)o1 ZINC000271479933 385832518 /nfs/dbraw/zinc/83/25/18/385832518.db2.gz JPRXNNDNPLHOHI-VXGBXAGGSA-N 0 3 239.359 2.901 20 0 BFADHN CCCCN(C)CCNC(=O)Nc1ccccc1 ZINC000112384538 385833350 /nfs/dbraw/zinc/83/33/50/385833350.db2.gz HSMQNRIODMAKRV-UHFFFAOYSA-N 0 3 249.358 2.540 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cscn1 ZINC000271503495 385834202 /nfs/dbraw/zinc/83/42/02/385834202.db2.gz JIVBYTPHCAIKTA-KOLCDFICSA-N 0 3 210.346 2.906 20 0 BFADHN CC[C@@H](C(=O)N(C(C)C)C1CCCC1)N(C)C ZINC000413367883 385834849 /nfs/dbraw/zinc/83/48/49/385834849.db2.gz WXOIMRKKDQLYOU-ZDUSSCGKSA-N 0 3 240.391 2.506 20 0 BFADHN Cc1ccc2nc(CN[C@H]3CC=CCC3)cn2c1 ZINC000283818309 385835556 /nfs/dbraw/zinc/83/55/56/385835556.db2.gz DZASRSWLTPWMLM-ZDUSSCGKSA-N 0 3 241.338 2.841 20 0 BFADHN CCCCN(C)CCNC(=O)C[C@H](C)CCC ZINC000112308697 385838083 /nfs/dbraw/zinc/83/80/83/385838083.db2.gz RATBWNZPKWSAOY-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cnccc1C)C(C)C ZINC000271622899 385841703 /nfs/dbraw/zinc/84/17/03/385841703.db2.gz YRDIMGUWPUHNKE-OCCSQVGLSA-N 0 3 236.359 2.712 20 0 BFADHN CC(C)N(Cc1ccns1)C1CCOCC1 ZINC000638112475 385842516 /nfs/dbraw/zinc/84/25/16/385842516.db2.gz MCZYCTWVFAXYOK-UHFFFAOYSA-N 0 3 240.372 2.533 20 0 BFADHN CCCn1cc(CN2CCC(C3CC3)CC2)cn1 ZINC000644836734 385843163 /nfs/dbraw/zinc/84/31/63/385843163.db2.gz CAFASSIBPFHXRA-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CC[C@H](NCC1(C)COC1)c1nc(C)cs1 ZINC000273553454 385843414 /nfs/dbraw/zinc/84/34/14/385843414.db2.gz XXIUGTHIZXERKM-JTQLQIEISA-N 0 3 240.372 2.529 20 0 BFADHN CCOc1cccc([C@@H](C)NC[C@@H](O)CC)c1 ZINC000271696578 385846310 /nfs/dbraw/zinc/84/63/10/385846310.db2.gz RLUPPGHDQJFBOO-YPMHNXCESA-N 0 3 237.343 2.507 20 0 BFADHN CCC[C@H](O)CN[C@@H]1CCCc2c(O)cccc21 ZINC000284031445 385846408 /nfs/dbraw/zinc/84/64/08/385846408.db2.gz JISRUTAYOKTWTN-SMDDNHRTSA-N 0 3 249.354 2.520 20 0 BFADHN Cc1nnsc1CN[C@H]1CCC[C@@H]1C1CC1 ZINC000336718604 385847865 /nfs/dbraw/zinc/84/78/65/385847865.db2.gz XLBGMYPITBBCGY-MNOVXSKESA-N 0 3 237.372 2.515 20 0 BFADHN COC1(C)CCN(Cc2ccccc2F)CC1 ZINC000271772739 385849444 /nfs/dbraw/zinc/84/94/44/385849444.db2.gz QVRFFPIHFRPUTM-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN CC(C)(O)CCNC1(c2cccc(F)c2)CC1 ZINC000271803759 385850292 /nfs/dbraw/zinc/85/02/92/385850292.db2.gz PQMSBXWHALIANR-UHFFFAOYSA-N 0 3 237.318 2.565 20 0 BFADHN COc1ccccc1CN[C@@H]1C[C@H]1c1ccco1 ZINC000414584870 385858850 /nfs/dbraw/zinc/85/88/50/385858850.db2.gz NDTMSELVHKKVNU-CHWSQXEVSA-N 0 3 243.306 2.934 20 0 BFADHN CC(C)[C@@H](O)C1(CN[C@@H](C)c2ccco2)CC1 ZINC000271812446 385853024 /nfs/dbraw/zinc/85/30/24/385853024.db2.gz AZULPQKHLIBMMD-WCQYABFASA-N 0 3 237.343 2.727 20 0 BFADHN COc1ccc(CN2CCC[C@@H]2C(C)C)nc1 ZINC000271898630 385859070 /nfs/dbraw/zinc/85/90/70/385859070.db2.gz GILUSBNQHZFTKR-CQSZACIVSA-N 0 3 234.343 2.711 20 0 BFADHN c1cc(CN[C@@H]2CCc3ccccc3OC2)co1 ZINC000337801415 385788679 /nfs/dbraw/zinc/78/86/79/385788679.db2.gz IKZYYAHXSMKFOO-CQSZACIVSA-N 0 3 243.306 2.763 20 0 BFADHN CCC[C@H](C)N[C@@H](CO)c1ccsc1 ZINC000336656771 385788786 /nfs/dbraw/zinc/78/87/86/385788786.db2.gz OYGIQQMGKUQPRB-ONGXEEELSA-N 0 3 213.346 2.560 20 0 BFADHN CCC[C@H](C)N[C@H](CO)c1ccsc1 ZINC000336656768 385789072 /nfs/dbraw/zinc/78/90/72/385789072.db2.gz OYGIQQMGKUQPRB-GXSJLCMTSA-N 0 3 213.346 2.560 20 0 BFADHN CC[C@H](N[C@@H]1CC=CCC1)c1ccn(C)n1 ZINC000309689045 385791320 /nfs/dbraw/zinc/79/13/20/385791320.db2.gz INSWCYXCDVVOMV-NEPJUHHUSA-N 0 3 219.332 2.570 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1ccc(F)c(F)c1 ZINC000336706080 385791643 /nfs/dbraw/zinc/79/16/43/385791643.db2.gz PUZUXPZJQFIJIW-RYUDHWBXSA-N 0 3 243.322 2.948 20 0 BFADHN Cc1nc(C)c(CNCCSC(C)C)s1 ZINC000336706802 385791754 /nfs/dbraw/zinc/79/17/54/385791754.db2.gz RNTDQKILYMDJHD-UHFFFAOYSA-N 0 3 244.429 2.991 20 0 BFADHN CO[C@@H](C)CN(Cc1cccs1)C(C)C ZINC000271167821 385797187 /nfs/dbraw/zinc/79/71/87/385797187.db2.gz CYIMFCDUSXHATC-NSHDSACASA-N 0 3 227.373 2.993 20 0 BFADHN C[C@H](O)CN[C@H]1C[C@H](C)Sc2sccc21 ZINC000122025546 385884610 /nfs/dbraw/zinc/88/46/10/385884610.db2.gz MGFZKEJZAJEXRK-NRPADANISA-N 0 3 243.397 2.644 20 0 BFADHN CO[C@@H](C)CN1CCC=C(c2ccccc2)C1 ZINC000284844282 385886968 /nfs/dbraw/zinc/88/69/68/385886968.db2.gz SUGTVRPFHDKKCD-ZDUSSCGKSA-N 0 3 231.339 2.811 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H](C)O1)c1ccsc1 ZINC000148690082 385887096 /nfs/dbraw/zinc/88/70/96/385887096.db2.gz PDSZUAXFCUFSBU-SCVCMEIPSA-N 0 3 225.357 2.966 20 0 BFADHN CN(CCc1ccc(Cl)cc1)[C@H]1CCOC1 ZINC000284886643 385888670 /nfs/dbraw/zinc/88/86/70/385888670.db2.gz FRUXFLJEUSPZQO-ZDUSSCGKSA-N 0 3 239.746 2.603 20 0 BFADHN Cc1cc(CN(C)[C@H](CO)C(C)(C)C)cs1 ZINC000294733108 385889691 /nfs/dbraw/zinc/88/96/91/385889691.db2.gz GYLRRILKJMCWHP-GFCCVEGCSA-N 0 3 241.400 2.895 20 0 BFADHN C[C@H](CO)N(C)Cc1ccc(C2CCC2)cc1 ZINC000284864417 385889915 /nfs/dbraw/zinc/88/99/15/385889915.db2.gz SGNAREFGRYBTMW-GFCCVEGCSA-N 0 3 233.355 2.767 20 0 BFADHN CO[C@@H]1CCN(Cc2cccc(O)c2)CC1(C)C ZINC000294732306 385890677 /nfs/dbraw/zinc/89/06/77/385890677.db2.gz DKXFWSJLCWPOHU-CQSZACIVSA-N 0 3 249.354 2.639 20 0 BFADHN Cc1ccc(C)n1C1CCN([C@@H]2CCOC2)CC1 ZINC000284984893 385891177 /nfs/dbraw/zinc/89/11/77/385891177.db2.gz KVCBZNLWMAZEHI-OAHLLOKOSA-N 0 3 248.370 2.531 20 0 BFADHN CC[C@@H]1CN(Cc2ccncc2C)CCS1 ZINC000294772740 385893311 /nfs/dbraw/zinc/89/33/11/385893311.db2.gz JMBSQMQZRDGQNP-CYBMUJFWSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1sccc1CN1CC[C@H](O)C(C)(C)C1 ZINC000294762770 385893404 /nfs/dbraw/zinc/89/34/04/385893404.db2.gz SFWOSYGDAFAYCO-LBPRGKRZSA-N 0 3 239.384 2.649 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CCC2(CCC2)C1 ZINC000123586913 385894950 /nfs/dbraw/zinc/89/49/50/385894950.db2.gz TYAWZBCNYZFXQC-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN COc1c(O)cccc1CN1CC[C@H](C)[C@@H](C)C1 ZINC000272339611 385895889 /nfs/dbraw/zinc/89/58/89/385895889.db2.gz YLLUCSUTZOKXSW-RYUDHWBXSA-N 0 3 249.354 2.879 20 0 BFADHN COC1(C)CCN(Cc2ccsc2)CC1 ZINC000272350740 385896427 /nfs/dbraw/zinc/89/64/27/385896427.db2.gz KNJNMYFOAHFLOL-UHFFFAOYSA-N 0 3 225.357 2.749 20 0 BFADHN CCC[C@H](O)CN(C)[C@@H](C)c1cccs1 ZINC000294800328 385897334 /nfs/dbraw/zinc/89/73/34/385897334.db2.gz CCKZIZSWNSWPKB-QWRGUYRKSA-N 0 3 227.373 2.902 20 0 BFADHN COc1nccnc1CNCCC1CCCCC1 ZINC000294807522 385897637 /nfs/dbraw/zinc/89/76/37/385897637.db2.gz QCZITBLSRDSMNR-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN CN(Cc1ccc2occc2c1)CC(C)(C)CO ZINC000294795599 385898194 /nfs/dbraw/zinc/89/81/94/385898194.db2.gz MJWSMGHRSJDTBD-UHFFFAOYSA-N 0 3 247.338 2.883 20 0 BFADHN C[C@H](CSc1cccs1)N(C)C ZINC000307940611 385903393 /nfs/dbraw/zinc/90/33/93/385903393.db2.gz BQUHQAHSTKDWIR-MRVPVSSYSA-N 0 3 201.360 2.790 20 0 BFADHN CCC[C@@H](O)CN(C)[C@@H](CC)c1ccncc1 ZINC000294874080 385907696 /nfs/dbraw/zinc/90/76/96/385907696.db2.gz DMHRUDARCJBWDX-KGLIPLIRSA-N 0 3 236.359 2.626 20 0 BFADHN CC[C@@H](NC[C@@](C)(CC)OC)c1nccs1 ZINC000319666372 385908556 /nfs/dbraw/zinc/90/85/56/385908556.db2.gz OYMMENCCKBPNJC-ZYHUDNBSSA-N 0 3 242.388 2.999 20 0 BFADHN CCCCCN1CC=C(c2ccnn2C)CC1 ZINC000285238994 385909387 /nfs/dbraw/zinc/90/93/87/385909387.db2.gz BPLYIGOFKPBRKB-UHFFFAOYSA-N 0 3 233.359 2.699 20 0 BFADHN C[C@@H](O)[C@H]1CCN(C/C=C/c2ccc(F)cc2)C1 ZINC000272568338 385912324 /nfs/dbraw/zinc/91/23/24/385912324.db2.gz VJDGFILGPDPDQQ-VJTBZZIASA-N 0 3 249.329 2.542 20 0 BFADHN C[C@H](N[C@H]1C[C@H]1Cc1ccccc1)c1cn[nH]c1 ZINC000285323426 385914477 /nfs/dbraw/zinc/91/44/77/385914477.db2.gz TXKNZAUDJCBLMQ-LNSITVRQSA-N 0 3 241.338 2.692 20 0 BFADHN C[C@@H](O)CCCN1CCC=C(c2ccccc2)C1 ZINC000341987471 385915846 /nfs/dbraw/zinc/91/58/46/385915846.db2.gz GYDKMGQVTYSLOX-CQSZACIVSA-N 0 3 245.366 2.937 20 0 BFADHN CN(C/C=C/c1ccccc1)CC(C)(C)CO ZINC000294923686 385919699 /nfs/dbraw/zinc/91/96/99/385919699.db2.gz YWKVLKSHDTYUET-JXMROGBWSA-N 0 3 233.355 2.650 20 0 BFADHN C[C@H](CN[C@@H](C)c1cn[nH]c1)Oc1ccccc1 ZINC000285384476 385922071 /nfs/dbraw/zinc/92/20/71/385922071.db2.gz WNOYGLGYPUWGLI-NEPJUHHUSA-N 0 3 245.326 2.528 20 0 BFADHN CCC[C@@H](O)CN([C@H](C)c1ccco1)C1CC1 ZINC000294952637 385925114 /nfs/dbraw/zinc/92/51/14/385925114.db2.gz MQJHDYUTGBRLSP-DGCLKSJQSA-N 0 3 237.343 2.966 20 0 BFADHN CCN1CCN([C@@H]2C[C@@H]2c2ccccc2)C[C@@H]1C ZINC000643375237 385925946 /nfs/dbraw/zinc/92/59/46/385925946.db2.gz MFHZMZQXOAMOCG-NUEKZKHPSA-N 0 3 244.382 2.569 20 0 BFADHN CC(C)(C)CNCc1cnc(C(C)(C)C)nc1 ZINC000284282559 385860327 /nfs/dbraw/zinc/86/03/27/385860327.db2.gz HQODNJNJCKNVKJ-UHFFFAOYSA-N 0 3 235.375 2.910 20 0 BFADHN CCC[C@H]1CCCCN1C(=O)[C@H](CC)N(C)C ZINC000413690716 385863105 /nfs/dbraw/zinc/86/31/05/385863105.db2.gz TYIYKPFWKVLBMJ-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H](F)CN(C)[C@@H](CC)CSC ZINC000308325662 385865986 /nfs/dbraw/zinc/86/59/86/385865986.db2.gz FDDLHYVACFFLPA-ZJUUUORDSA-N 0 3 207.358 2.808 20 0 BFADHN COc1ccc(CN2CC[C@H](C)[C@@H](C)C2)nc1 ZINC000271998978 385866234 /nfs/dbraw/zinc/86/62/34/385866234.db2.gz HWNJZYZVSWDLAA-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN CC(C)C(CN(C)Cc1cnccn1)C(C)C ZINC000284408872 385869365 /nfs/dbraw/zinc/86/93/65/385869365.db2.gz QHFWJVQXQCNHGH-UHFFFAOYSA-N 0 3 235.375 2.837 20 0 BFADHN CCC[C@@H](O)CNCc1ccccc1Cl ZINC000123294698 385869498 /nfs/dbraw/zinc/86/94/98/385869498.db2.gz NSPWKTVLZKBXSO-LLVKDONJSA-N 0 3 227.735 2.591 20 0 BFADHN CCN(CCOC)Cc1csc(C)c1 ZINC000294582635 385871327 /nfs/dbraw/zinc/87/13/27/385871327.db2.gz QJBPMTJSTJAHBO-UHFFFAOYSA-N 0 3 213.346 2.525 20 0 BFADHN C[C@@H](N[C@@H](CO)CC1CCCC1)c1ccccn1 ZINC000338007189 385877138 /nfs/dbraw/zinc/87/71/38/385877138.db2.gz KSMGMKNJRRSZGL-TZMCWYRMSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@H](NC[C@@H]1CCSC1)c1cscn1 ZINC000228556708 385878480 /nfs/dbraw/zinc/87/84/80/385878480.db2.gz MFNXDXLMBLAYCE-IUCAKERBSA-N 0 3 228.386 2.547 20 0 BFADHN CO[C@H](C)[C@H](C)NC1(c2cccc(F)c2)CC1 ZINC000272137315 385878664 /nfs/dbraw/zinc/87/86/64/385878664.db2.gz MFVQEAYCADTAKQ-WDEREUQCSA-N 0 3 237.318 2.828 20 0 BFADHN CCCCN(CCOC)Cc1ccncc1C ZINC000294668102 385880444 /nfs/dbraw/zinc/88/04/44/385880444.db2.gz CLKSZLHYLAMXRX-UHFFFAOYSA-N 0 3 236.359 2.639 20 0 BFADHN c1cn2c(cccc2CNCC2=CCCCC2)n1 ZINC000295136201 385975761 /nfs/dbraw/zinc/97/57/61/385975761.db2.gz PGHFITVFTWHVLM-UHFFFAOYSA-N 0 3 241.338 2.924 20 0 BFADHN FCC1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000295129746 385975984 /nfs/dbraw/zinc/97/59/84/385975984.db2.gz XXJUCCWYTUZQQF-UHFFFAOYSA-N 0 3 249.329 2.803 20 0 BFADHN COc1nccc(CN[C@H](C)CCC=C(C)C)n1 ZINC000295140993 385977734 /nfs/dbraw/zinc/97/77/34/385977734.db2.gz PUTNDPQZBBXTST-GFCCVEGCSA-N 0 3 249.358 2.710 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H](C)N(C)C2CC2)c1 ZINC000285908572 385978242 /nfs/dbraw/zinc/97/82/42/385978242.db2.gz DDJPNXGQGKFSAH-OLZOCXBDSA-N 0 3 247.386 2.523 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1ccn(C)n1 ZINC000414131905 385978411 /nfs/dbraw/zinc/97/84/11/385978411.db2.gz YMYIOUPSTBGYIO-XQHKEYJVSA-N 0 3 235.375 2.895 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@H](C)c2cccc(O)c2)C1 ZINC000414132315 385979113 /nfs/dbraw/zinc/97/91/13/385979113.db2.gz AJQFOIZJHBCLTP-KYOSRNDESA-N 0 3 249.354 2.999 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@H](C)c2cncs2)C1 ZINC000414132313 385979782 /nfs/dbraw/zinc/97/97/82/385979782.db2.gz AJJPTGDYYFDKBE-MXWKQRLJSA-N 0 3 240.372 2.750 20 0 BFADHN Cc1ccoc1CN(C)CCc1ccncc1 ZINC000273384771 385994901 /nfs/dbraw/zinc/99/49/01/385994901.db2.gz IMYSVFWYFYHICX-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN Cc1ccc2nc(CN(C)CC3CCC3)cn2c1 ZINC000111696618 385983212 /nfs/dbraw/zinc/98/32/12/385983212.db2.gz DEIJNAAVMWKDFJ-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CCC[C@@H](O)CNC(C)(C)c1ccccc1F ZINC000295192723 385986604 /nfs/dbraw/zinc/98/66/04/385986604.db2.gz LKYVENRMAQIKQO-LLVKDONJSA-N 0 3 239.334 2.811 20 0 BFADHN C[C@H](CCO)NCc1ccc(Cl)cc1Cl ZINC000096811619 385987001 /nfs/dbraw/zinc/98/70/01/385987001.db2.gz JKCFNGHVGATIPA-MRVPVSSYSA-N 0 3 248.153 2.854 20 0 BFADHN C[C@H](CCO)NCc1cccc(Cl)c1Cl ZINC000096811433 385987997 /nfs/dbraw/zinc/98/79/97/385987997.db2.gz OUVOMQJQHWVJAK-MRVPVSSYSA-N 0 3 248.153 2.854 20 0 BFADHN COc1ccc(CCN(C)Cc2ccoc2)cc1 ZINC000345089476 385992480 /nfs/dbraw/zinc/99/24/80/385992480.db2.gz HHCBBSRMXBYWBT-UHFFFAOYSA-N 0 3 245.322 2.963 20 0 BFADHN CC[C@H](F)CN[C@H]1C[C@@H](OC)C1(CC)CC ZINC000336749292 385992502 /nfs/dbraw/zinc/99/25/02/385992502.db2.gz YRMDIYWWMPAKGF-SDDRHHMPSA-N 0 3 231.355 2.918 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1occc1C(=O)OC ZINC000273358658 385992677 /nfs/dbraw/zinc/99/26/77/385992677.db2.gz WEORMPWZJMPMRR-NXEZZACHSA-N 0 3 239.315 2.590 20 0 BFADHN Cc1nnsc1CNC(C)(C)C(C)(C)C ZINC000308993383 385930454 /nfs/dbraw/zinc/93/04/54/385930454.db2.gz ONSNEOBLYCDWKO-UHFFFAOYSA-N 0 3 227.377 2.761 20 0 BFADHN C[C@H](C(=O)NC(C)(C)C)N(C)CCC(C)(C)C ZINC000342123256 385931379 /nfs/dbraw/zinc/93/13/79/385931379.db2.gz ICTHOFLHGUAFKR-LLVKDONJSA-N 0 3 242.407 2.658 20 0 BFADHN C[C@@H](C(=O)NC(C)(C)C)N(C)CCC(C)(C)C ZINC000342123258 385931766 /nfs/dbraw/zinc/93/17/66/385931766.db2.gz ICTHOFLHGUAFKR-NSHDSACASA-N 0 3 242.407 2.658 20 0 BFADHN Cc1ccncc1CN(C)CCCCCF ZINC000342123498 385931823 /nfs/dbraw/zinc/93/18/23/385931823.db2.gz HAULTPMCASNMMM-UHFFFAOYSA-N 0 3 224.323 2.962 20 0 BFADHN COc1ccc(CN(C)C2CCCCCC2)nn1 ZINC000338264365 385933949 /nfs/dbraw/zinc/93/39/49/385933949.db2.gz NESMRLXNFCFBGZ-UHFFFAOYSA-N 0 3 249.358 2.640 20 0 BFADHN CC(C)CCN[C@H](C)c1cc2n(n1)CCC2 ZINC000414056484 385933946 /nfs/dbraw/zinc/93/39/46/385933946.db2.gz QAHFPNGUSYAXRT-LLVKDONJSA-N 0 3 221.348 2.526 20 0 BFADHN C[C@@H]1OCCN(C[C@H]2CCCC(F)(F)C2)[C@H]1C ZINC000338270998 385934986 /nfs/dbraw/zinc/93/49/86/385934986.db2.gz QNMINAGMLQOTAI-SRVKXCTJSA-N 0 3 247.329 2.921 20 0 BFADHN CS[C@@H]1CCN(Cc2ccccc2F)C1 ZINC000294986444 385935369 /nfs/dbraw/zinc/93/53/69/385935369.db2.gz HDHUOSLYUGFRCC-LLVKDONJSA-N 0 3 225.332 2.763 20 0 BFADHN CO[C@H](C)CN1CC[C@@H](c2ccccc2F)C1 ZINC000272805928 385937707 /nfs/dbraw/zinc/93/77/07/385937707.db2.gz MAHCEAUTCGPEGM-VXGBXAGGSA-N 0 3 237.318 2.650 20 0 BFADHN CSC1(CN2C[C@H](C)OC(C)(C)C2)CCC1 ZINC000356049549 385938804 /nfs/dbraw/zinc/93/88/04/385938804.db2.gz DVUJARNWBIYOLE-NSHDSACASA-N 0 3 243.416 2.771 20 0 BFADHN CN(Cc1cccnc1)CC1CC(F)(F)C1 ZINC000295000860 385940142 /nfs/dbraw/zinc/94/01/42/385940142.db2.gz RMAZUJPUSHPWMP-UHFFFAOYSA-N 0 3 226.270 2.559 20 0 BFADHN CC[C@H](NCc1cn2ccsc2n1)[C@H]1C[C@H]1C ZINC000414066071 385941288 /nfs/dbraw/zinc/94/12/88/385941288.db2.gz HNVZHOGKOMQCOR-USWWRNFRSA-N 0 3 249.383 2.920 20 0 BFADHN CC/C=C/CCN1CCOC(C)(C)[C@@H]1C ZINC000342196157 385945225 /nfs/dbraw/zinc/94/52/25/385945225.db2.gz DTWUVPSUFQSQHU-SYTKJHMZSA-N 0 3 211.349 2.842 20 0 BFADHN CCOc1ccccc1CN[C@@H]1C[C@H]1C(F)F ZINC000342196090 385945572 /nfs/dbraw/zinc/94/55/72/385945572.db2.gz AJQZZKABQIAADV-GHMZBOCLSA-N 0 3 241.281 2.829 20 0 BFADHN CC/C=C/CNCc1sccc1OC ZINC000295033150 385947687 /nfs/dbraw/zinc/94/76/87/385947687.db2.gz LVIUUFOLZZKYBP-SNAWJCMRSA-N 0 3 211.330 2.813 20 0 BFADHN COC[C@@]1(C)CCN(Cc2cccc(OC)c2)C1 ZINC000295033441 385948606 /nfs/dbraw/zinc/94/86/06/385948606.db2.gz DJBSKGLZQVKRAT-HNNXBMFYSA-N 0 3 249.354 2.554 20 0 BFADHN C[C@@H](NC(C1CC1)C1CC1)c1cc2n(n1)CCC2 ZINC000414078484 385948906 /nfs/dbraw/zinc/94/89/06/385948906.db2.gz SAQSQRUHIYCCFN-SNVBAGLBSA-N 0 3 245.370 2.669 20 0 BFADHN c1cc(CN[C@@H](Cc2ccccc2)C2CC2)n[nH]1 ZINC000295040436 385952035 /nfs/dbraw/zinc/95/20/35/385952035.db2.gz SSBXGSIPPQEBAX-HNNXBMFYSA-N 0 3 241.338 2.521 20 0 BFADHN C[C@@H](NCC1CCCC1)c1cc2n(n1)CCC2 ZINC000414082821 385952367 /nfs/dbraw/zinc/95/23/67/385952367.db2.gz WLEVVEAYFYXINE-LLVKDONJSA-N 0 3 233.359 2.670 20 0 BFADHN C[C@@H](NC[C@H]1CC=CCC1)c1cc2n(n1)CCC2 ZINC000414080722 385952560 /nfs/dbraw/zinc/95/25/60/385952560.db2.gz USZWLWLGVYPVIU-OLZOCXBDSA-N 0 3 245.370 2.836 20 0 BFADHN Cc1ccn2cc(CN[C@H](C)[C@@H]3C[C@H]3C)nc2c1 ZINC000414082586 385953296 /nfs/dbraw/zinc/95/32/96/385953296.db2.gz VHFOOEVSLXURTC-YRGRVCCFSA-N 0 3 243.354 2.777 20 0 BFADHN COC[C@H](C)N(C)Cc1ccccc1SC ZINC000272931690 385953305 /nfs/dbraw/zinc/95/33/05/385953305.db2.gz QAJXUWGQSZXWEM-NSHDSACASA-N 0 3 239.384 2.875 20 0 BFADHN CC[C@H]1COCCN1C[C@H]1CCCC(F)(F)C1 ZINC000338302560 385953663 /nfs/dbraw/zinc/95/36/63/385953663.db2.gz CYXREILVQRBJNU-RYUDHWBXSA-N 0 3 247.329 2.923 20 0 BFADHN CC[C@H](NCc1ccc(F)cn1)[C@@H]1C[C@@H]1C ZINC000414087675 385955119 /nfs/dbraw/zinc/95/51/19/385955119.db2.gz IIIZOBHLMFKBPY-BIMULSAOSA-N 0 3 222.307 2.745 20 0 BFADHN CC/C=C/CNCc1ccc(OCCOC)cc1 ZINC000295055295 385955165 /nfs/dbraw/zinc/95/51/65/385955165.db2.gz NTXFQTHEIHADCC-SNAWJCMRSA-N 0 3 249.354 2.768 20 0 BFADHN C[C@H]1OCC[C@H]1NCc1cc(C2CC2)ccc1F ZINC000295069269 385958359 /nfs/dbraw/zinc/95/83/59/385958359.db2.gz HGIHQTJLGWMOND-MEBBXXQBSA-N 0 3 249.329 2.970 20 0 BFADHN FC(F)[C@@H]1C[C@H]1NCc1ccc2ncccc2c1 ZINC000342216206 385959814 /nfs/dbraw/zinc/95/98/14/385959814.db2.gz PSSIDPPMMHZBEO-DGCLKSJQSA-N 0 3 248.276 2.978 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@@H](C)c1ccn(C)n1 ZINC000414095671 385960062 /nfs/dbraw/zinc/96/00/62/385960062.db2.gz GZRWXEORDCBGOJ-DMDPSCGWSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1cc(CN[C@H]2CC[C@H](C)CC2)on1 ZINC000309097355 385961023 /nfs/dbraw/zinc/96/10/23/385961023.db2.gz HKQCNICMRBYAOJ-HOMQSWHASA-N 0 3 208.305 2.651 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C=C[C@@H](CO)C2)c(C)c1 ZINC000345048142 385961445 /nfs/dbraw/zinc/96/14/45/385961445.db2.gz AZKGMQGJGYZHKQ-RRFJBIMHSA-N 0 3 245.366 2.891 20 0 BFADHN C[C@@H](NCC1(C)CCC1)c1cc2n(n1)CCC2 ZINC000414099369 385961997 /nfs/dbraw/zinc/96/19/97/385961997.db2.gz ULTSQSVDCNXWBI-LLVKDONJSA-N 0 3 233.359 2.670 20 0 BFADHN C[C@H](NCC1(C2CC2)CC1)c1cc2n(n1)CCC2 ZINC000414098028 385962228 /nfs/dbraw/zinc/96/22/28/385962228.db2.gz JMPKGWVVZGFZJI-NSHDSACASA-N 0 3 245.370 2.670 20 0 BFADHN Cc1ccc(CN2CCOCC[C@H]2C)cc1C ZINC000365222352 385962354 /nfs/dbraw/zinc/96/23/54/385962354.db2.gz KEAUCRWTGIBRDJ-CQSZACIVSA-N 0 3 233.355 2.914 20 0 BFADHN CO[C@H](C)CN(C)[C@@H]1CCc2ccc(F)cc21 ZINC000285770435 385963625 /nfs/dbraw/zinc/96/36/25/385963625.db2.gz SBGFEUJGFNJUCI-QMTHXVAHSA-N 0 3 237.318 2.780 20 0 BFADHN FCCCCN1CCc2cc(F)ccc2C1 ZINC000285790851 385964978 /nfs/dbraw/zinc/96/49/78/385964978.db2.gz XTSOMODAIHBYAF-UHFFFAOYSA-N 0 3 225.282 2.934 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1C)c1cc2n(n1)CCC2 ZINC000414101087 385965220 /nfs/dbraw/zinc/96/52/20/385965220.db2.gz RXXYAXJPKUIOPG-NTZNESFSSA-N 0 3 233.359 2.669 20 0 BFADHN Cc1occc1CN1CCOC[C@@H](C2CCC2)C1 ZINC000334837335 385965394 /nfs/dbraw/zinc/96/53/94/385965394.db2.gz XEGXQMMWPKYQMD-HNNXBMFYSA-N 0 3 249.354 2.837 20 0 BFADHN C[C@@]1(NCc2cccc(F)c2)CCO[C@H]1C1CC1 ZINC000273079432 385966350 /nfs/dbraw/zinc/96/63/50/385966350.db2.gz QFKZHYZGMKMYCP-LSDHHAIUSA-N 0 3 249.329 2.873 20 0 BFADHN C[C@]1(NCc2cccc(F)c2)CCO[C@H]1C1CC1 ZINC000273079429 385966644 /nfs/dbraw/zinc/96/66/44/385966644.db2.gz QFKZHYZGMKMYCP-GJZGRUSLSA-N 0 3 249.329 2.873 20 0 BFADHN CC[C@H](NCc1cc(C)ncn1)[C@@H]1CC1(C)C ZINC000414110507 385966965 /nfs/dbraw/zinc/96/69/65/385966965.db2.gz WDIKIKHFAMJFAU-STQMWFEESA-N 0 3 233.359 2.699 20 0 BFADHN C[C@H](C1CCCCC1)N(C)Cc1cnn(C)c1 ZINC000338340992 385968793 /nfs/dbraw/zinc/96/87/93/385968793.db2.gz GTBJEOGQDTWPGU-GFCCVEGCSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@@H](C1CCCCC1)N(C)Cc1cnn(C)c1 ZINC000338340995 385971085 /nfs/dbraw/zinc/97/10/85/385971085.db2.gz GTBJEOGQDTWPGU-LBPRGKRZSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1cccc2nc(CN[C@H](C)[C@H]3C[C@@H]3C)cn21 ZINC000414124147 385971371 /nfs/dbraw/zinc/97/13/71/385971371.db2.gz PBXFWEUSFULVEC-SUHUHFCYSA-N 0 3 243.354 2.777 20 0 BFADHN COc1ccc(CN(C)[C@H]2CC[C@H](C)C2)nc1 ZINC000338361000 385971422 /nfs/dbraw/zinc/97/14/22/385971422.db2.gz NPIYOAKUIVWBDE-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](CNCc1cncc(F)c1)C(F)(F)F ZINC000336747372 385971754 /nfs/dbraw/zinc/97/17/54/385971754.db2.gz RXJBSIUWPASQAH-SSDOTTSWSA-N 0 3 236.212 2.509 20 0 BFADHN C[C@H](NC[C@@H]1CCCC1(C)C)c1ccn(C)n1 ZINC000414145717 385994509 /nfs/dbraw/zinc/99/45/09/385994509.db2.gz PPRKLMHEEREMBZ-RYUDHWBXSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1CCCCC1(F)F ZINC000295126269 385973367 /nfs/dbraw/zinc/97/33/67/385973367.db2.gz NYNQEZJGEKAVQH-SNVBAGLBSA-N 0 3 243.301 2.633 20 0 BFADHN CCc1ccc(CNCC(C)(C)CCCO)o1 ZINC000221382675 385994526 /nfs/dbraw/zinc/99/45/26/385994526.db2.gz MYHUIYUDJKHEIK-UHFFFAOYSA-N 0 3 239.359 2.730 20 0 BFADHN Cc1ccc(CN[C@]2(C)CCO[C@H]2C2CC2)o1 ZINC000273157176 385974935 /nfs/dbraw/zinc/97/49/35/385974935.db2.gz SLLMRVKRLYARSC-UONOGXRCSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccncc1F)[C@@H]1C[C@@H]1C ZINC000414148814 385998390 /nfs/dbraw/zinc/99/83/90/385998390.db2.gz OFQKKVDTIJRGHR-UXCLJVHYSA-N 0 3 222.307 2.916 20 0 BFADHN CC(C)[C@@](C)(CO)NCc1ccsc1Cl ZINC000336769813 386005758 /nfs/dbraw/zinc/00/57/58/386005758.db2.gz VXKTXOCSHOYGIQ-LLVKDONJSA-N 0 3 247.791 2.898 20 0 BFADHN CN(C/C=C\c1ccncc1)CC[C@@H]1CCCO1 ZINC000426003022 386006589 /nfs/dbraw/zinc/00/65/89/386006589.db2.gz QXOAUYDNDIIGQY-HKLWWIALSA-N 0 3 246.354 2.596 20 0 BFADHN CN(C/C=C\c1ccncc1)CC[C@H]1CCCO1 ZINC000426003023 386007821 /nfs/dbraw/zinc/00/78/21/386007821.db2.gz QXOAUYDNDIIGQY-NSRYLSIASA-N 0 3 246.354 2.596 20 0 BFADHN C[C@H](NCC(C)(C)CCCO)c1nccs1 ZINC000221588843 386011017 /nfs/dbraw/zinc/01/10/17/386011017.db2.gz ATIGURZQSVIKEU-JTQLQIEISA-N 0 3 242.388 2.592 20 0 BFADHN CC[C@H](N[C@@H]1CCn2ccnc21)[C@@H]1CC1(C)C ZINC000414119504 386011606 /nfs/dbraw/zinc/01/16/06/386011606.db2.gz DAUJYRLCCIOVSM-SDDRHHMPSA-N 0 3 233.359 2.742 20 0 BFADHN CC[C@H](NCc1ncccc1N(C)C)[C@@H]1C[C@H]1C ZINC000414171960 386015941 /nfs/dbraw/zinc/01/59/41/386015941.db2.gz XSEJNOGDWKYKEK-UPJWGTAASA-N 0 3 247.386 2.672 20 0 BFADHN COC[C@H](NC(C)C)c1ccc(F)cc1 ZINC000336752756 386016839 /nfs/dbraw/zinc/01/68/39/386016839.db2.gz GNLPBOLCMYHTRO-LBPRGKRZSA-N 0 3 211.280 2.511 20 0 BFADHN C[C@]1(O)CCCN(Cc2ccc3c(c2)CCC3)C1 ZINC000161860972 386018058 /nfs/dbraw/zinc/01/80/58/386018058.db2.gz KRBMRNLJVPYODV-INIZCTEOSA-N 0 3 245.366 2.522 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000414172515 386018476 /nfs/dbraw/zinc/01/84/76/386018476.db2.gz FJTKHYDFGSVVMN-RNJOBUHISA-N 0 3 233.359 2.742 20 0 BFADHN Cc1ccsc1CN[C@@H](C)COC(C)C ZINC000336753304 386023290 /nfs/dbraw/zinc/02/32/90/386023290.db2.gz HNKLOTZYMGIIBI-NSHDSACASA-N 0 3 227.373 2.960 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H]1C[C@H]1CC(C)C ZINC000414185108 386025078 /nfs/dbraw/zinc/02/50/78/386025078.db2.gz PBWVPGBHRWGIDO-JHJVBQTASA-N 0 3 235.375 2.988 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3CC[C@H](F)C3)cn2c1 ZINC000334421357 386025406 /nfs/dbraw/zinc/02/54/06/386025406.db2.gz BDQOOAXEPVVPHT-NWDGAFQWSA-N 0 3 247.317 2.623 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H](C)c1ccn(C)n1 ZINC000414188590 386028479 /nfs/dbraw/zinc/02/84/79/386028479.db2.gz RGPDOVFXAUYDLB-NQBHXWOUSA-N 0 3 221.348 2.505 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@@H]1CCC[C@H]1C1CC1)CC2 ZINC000414187006 386028848 /nfs/dbraw/zinc/02/88/48/386028848.db2.gz HUNRMHXZKFFKCF-MELADBBJSA-N 0 3 245.370 2.744 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@H]2CC(C)C)nn1C ZINC000414189417 386030069 /nfs/dbraw/zinc/03/00/69/386030069.db2.gz SCLQFOUBYLLCAC-OUCADQQQSA-N 0 3 235.375 2.814 20 0 BFADHN OCC[C@H]1CCCN1Cc1ccccc1Cl ZINC000303743450 386038543 /nfs/dbraw/zinc/03/85/43/386038543.db2.gz ZREQBOZHRRJFMW-GFCCVEGCSA-N 0 3 239.746 2.687 20 0 BFADHN CCCC[C@@H](C)NCc1ccn(CC)n1 ZINC000414203186 386039683 /nfs/dbraw/zinc/03/96/83/386039683.db2.gz DQOYHUUJSQGXMY-LLVKDONJSA-N 0 3 209.337 2.571 20 0 BFADHN CCn1ccc(CN[C@H]2CCC(C)(C)C2)n1 ZINC000414202655 386040907 /nfs/dbraw/zinc/04/09/07/386040907.db2.gz DFNXMVXHQMTICQ-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H](C)C[C@H]2CCCO2)c1 ZINC000353493946 386046249 /nfs/dbraw/zinc/04/62/49/386046249.db2.gz BBIKCISXJJSUML-HZSPNIEDSA-N 0 3 248.370 2.998 20 0 BFADHN C[C@@H](N[C@H]1CCc2cc(F)ccc21)c1cn[nH]c1 ZINC000222514206 386049462 /nfs/dbraw/zinc/04/94/62/386049462.db2.gz DBGDIBWQEFRSFY-OTYXRUKQSA-N 0 3 245.301 2.887 20 0 BFADHN C[C@H](N[C@H]1CCc2cc(F)ccc21)c1cn[nH]c1 ZINC000222514266 386050380 /nfs/dbraw/zinc/05/03/80/386050380.db2.gz DBGDIBWQEFRSFY-XPTSAGLGSA-N 0 3 245.301 2.887 20 0 BFADHN Cc1ccc([C@@H](C)NCCC[C@@H](C)CO)o1 ZINC000222536435 386051542 /nfs/dbraw/zinc/05/15/42/386051542.db2.gz ZOGYUTICWKBNME-ZYHUDNBSSA-N 0 3 225.332 2.647 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CS[C@@H](C)C2)c1 ZINC000414240318 386051873 /nfs/dbraw/zinc/05/18/73/386051873.db2.gz ZTDOQXABRLGWJB-SRVKXCTJSA-N 0 3 236.384 2.935 20 0 BFADHN CC/C=C/CCN(CCOC)Cc1ccccn1 ZINC000287187451 386100833 /nfs/dbraw/zinc/10/08/33/386100833.db2.gz CUFJCLFFPDATIL-SNAWJCMRSA-N 0 3 248.370 2.886 20 0 BFADHN CC[C@H](C)CN(C)Cc1cc(CO)ccc1F ZINC000528378420 386100969 /nfs/dbraw/zinc/10/09/69/386100969.db2.gz IBAHXRBWVBHVOA-NSHDSACASA-N 0 3 239.334 2.796 20 0 BFADHN Cc1ncc(CNCC2(C(F)F)CC2)s1 ZINC000336738612 386107741 /nfs/dbraw/zinc/10/77/41/386107741.db2.gz RJBZRNNSECJDJF-UHFFFAOYSA-N 0 3 232.299 2.586 20 0 BFADHN COC1([C@H](C)N[C@H](C)c2cnccc2C)CCC1 ZINC000414299726 386109037 /nfs/dbraw/zinc/10/90/37/386109037.db2.gz IFSCAFKVXHIRLL-OLZOCXBDSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccccn1)[C@H](O)C(C)C ZINC000414306466 386110865 /nfs/dbraw/zinc/11/08/65/386110865.db2.gz XVYUJOMMEGJFRF-SGMGOOAPSA-N 0 3 236.359 2.528 20 0 BFADHN COC1([C@@H](C)N[C@@H](C)c2cncc(C)c2)CCC1 ZINC000414309957 386113877 /nfs/dbraw/zinc/11/38/77/386113877.db2.gz SETDREWIRRJUEC-QWHCGFSZSA-N 0 3 248.370 2.998 20 0 BFADHN CCn1nc(CN(C)C(C)C)c2ccccc21 ZINC000274531312 386114622 /nfs/dbraw/zinc/11/46/22/386114622.db2.gz DJWZUGHGFLGNBW-UHFFFAOYSA-N 0 3 231.343 2.896 20 0 BFADHN Cc1cc(Cl)ccc1CNc1nccn1C ZINC000414312370 386116632 /nfs/dbraw/zinc/11/66/32/386116632.db2.gz ZTGNKLLPZQZLDM-UHFFFAOYSA-N 0 3 235.718 2.994 20 0 BFADHN C[C@H](N[C@@H]1CCCc2cn[nH]c21)c1cccnc1 ZINC000334461463 386119304 /nfs/dbraw/zinc/11/93/04/386119304.db2.gz VZYDPPNKMIXOQW-GXFFZTMASA-N 0 3 242.326 2.533 20 0 BFADHN c1ccc(C[C@H]2CN(CCC3CC3)CCO2)cc1 ZINC000345834061 386119415 /nfs/dbraw/zinc/11/94/15/386119415.db2.gz ZETWSJUJAGBCFO-INIZCTEOSA-N 0 3 245.366 2.730 20 0 BFADHN CCCCN(CC)Cc1cnccc1OC ZINC000287401004 386119627 /nfs/dbraw/zinc/11/96/27/386119627.db2.gz RVFNGXXVKHBXJP-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN Cc1cc(CNCc2cccc(C3CC3)c2)n[nH]1 ZINC000643289819 386058414 /nfs/dbraw/zinc/05/84/14/386058414.db2.gz LMTBEYWNKLJXIZ-UHFFFAOYSA-N 0 3 241.338 2.885 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H]1CCO[C@H]1CC ZINC000414205583 386059390 /nfs/dbraw/zinc/05/93/90/386059390.db2.gz ISVNNMVROXOSFC-BNOWGMLFSA-N 0 3 248.370 2.862 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cccc2nccn21 ZINC000334443103 386063379 /nfs/dbraw/zinc/06/33/79/386063379.db2.gz ATVHDRAECKSUMP-CYBMUJFWSA-N 0 3 229.327 2.565 20 0 BFADHN C[C@H](O)CN1CC(C)(C)[C@@H]1c1cccs1 ZINC000286655785 386063967 /nfs/dbraw/zinc/06/39/67/386063967.db2.gz AFOQQAFEKDHXDI-ONGXEEELSA-N 0 3 225.357 2.512 20 0 BFADHN CCn1ccc(CNC2CCCCCC2)n1 ZINC000414211738 386065885 /nfs/dbraw/zinc/06/58/85/386065885.db2.gz QLRXAHRHFNQIHQ-UHFFFAOYSA-N 0 3 221.348 2.715 20 0 BFADHN c1ccc2c(c1)C[C@@H]2CN1CCO[C@H]2CCC[C@H]21 ZINC000286688019 386067684 /nfs/dbraw/zinc/06/76/84/386067684.db2.gz BKNJMBDXYGVLMA-BMFZPTHFSA-N 0 3 243.350 2.580 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H](C)c1ccc(C)o1 ZINC000414214791 386070789 /nfs/dbraw/zinc/07/07/89/386070789.db2.gz TXJPRAFZFAHRRR-TUAOUCFPSA-N 0 3 223.316 2.806 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2CS[C@H](C)C2)c1 ZINC000414223973 386075320 /nfs/dbraw/zinc/07/53/20/386075320.db2.gz HAPXOXTXGJTJPL-NTZNESFSSA-N 0 3 236.384 2.935 20 0 BFADHN CC[C@H]1CC[C@@H]1N[C@@H](C)c1nccs1 ZINC000414221752 386075423 /nfs/dbraw/zinc/07/54/23/386075423.db2.gz LNENLLSZOUOBFT-GUBZILKMSA-N 0 3 210.346 2.982 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1CS[C@@H](C)C1 ZINC000414226956 386075468 /nfs/dbraw/zinc/07/54/68/386075468.db2.gz KFDBUWLMZIXQMM-SRVKXCTJSA-N 0 3 236.384 2.935 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2CS[C@@H](C)C2)c1 ZINC000414223970 386075891 /nfs/dbraw/zinc/07/58/91/386075891.db2.gz HAPXOXTXGJTJPL-GMXVVIOVSA-N 0 3 236.384 2.935 20 0 BFADHN CC[C@@H](C)CN1CCOc2cc(OC)ccc2C1 ZINC000338632126 386077833 /nfs/dbraw/zinc/07/78/33/386077833.db2.gz JLMHSWVCAIBHLC-GFCCVEGCSA-N 0 3 249.354 2.936 20 0 BFADHN CCC1CCN([C@H](C)c2cnccn2)CC1 ZINC000274242362 386085304 /nfs/dbraw/zinc/08/53/04/386085304.db2.gz DAATVHOIHDMHIO-LLVKDONJSA-N 0 3 219.332 2.660 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2C[C@@H](C)O[C@@H]2C)c1 ZINC000414268531 386086811 /nfs/dbraw/zinc/08/68/11/386086811.db2.gz UQLROUPYJJEOTD-RQJABVFESA-N 0 3 248.370 2.854 20 0 BFADHN C[C@@H]1[C@H](O)CCN1Cc1cccc(C2CC2)c1 ZINC000643293687 386087093 /nfs/dbraw/zinc/08/70/93/386087093.db2.gz HHVDWKNJPTYULG-IAQYHMDHSA-N 0 3 231.339 2.519 20 0 BFADHN COC(=O)CN(C[C@@H]1CCC[C@H](C)C1)C(C)C ZINC000336728962 386087388 /nfs/dbraw/zinc/08/73/88/386087388.db2.gz XCRQKHKUTGROAY-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN COC(=O)CN(C[C@H]1CCC[C@H](C)C1)C(C)C ZINC000336728967 386087426 /nfs/dbraw/zinc/08/74/26/386087426.db2.gz XCRQKHKUTGROAY-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN Cc1cc(CNCCC2CCCCC2)nn1C ZINC000414272899 386088629 /nfs/dbraw/zinc/08/86/29/386088629.db2.gz SBPJPKMQQQUGHA-UHFFFAOYSA-N 0 3 235.375 2.789 20 0 BFADHN C[C@H](NCCCCCO)c1ccc(F)cc1F ZINC000223598427 386088901 /nfs/dbraw/zinc/08/89/01/386088901.db2.gz FPYATMAUIWVSGB-JTQLQIEISA-N 0 3 243.297 2.778 20 0 BFADHN Cn1ccc(CNCCC2=CCCCCC2)n1 ZINC000274303506 386088999 /nfs/dbraw/zinc/08/89/99/386088999.db2.gz OJTSNGUAYDZAKG-UHFFFAOYSA-N 0 3 233.359 2.790 20 0 BFADHN CC[C@@H](F)CN1CCC(OCC(C)C)CC1 ZINC000440703442 386091162 /nfs/dbraw/zinc/09/11/62/386091162.db2.gz IAMCKFPELUBSST-GFCCVEGCSA-N 0 3 231.355 2.872 20 0 BFADHN Cc1cncc([C@@H](C)NC[C@@H]2CCCCO2)c1 ZINC000274343702 386091280 /nfs/dbraw/zinc/09/12/80/386091280.db2.gz BHJGKNISBFZYCG-OCCSQVGLSA-N 0 3 234.343 2.610 20 0 BFADHN Cc1ccc(CCN2CCN(C)[C@@H](C)[C@@H]2C)cc1 ZINC000334448054 386094688 /nfs/dbraw/zinc/09/46/88/386094688.db2.gz QSLXQDAFTLTSMS-GJZGRUSLSA-N 0 3 246.398 2.562 20 0 BFADHN Cc1occc1CNCCN1CCCC[C@@H]1C ZINC000414286310 386096211 /nfs/dbraw/zinc/09/62/11/386096211.db2.gz MZCAEIZYUUWKOZ-LBPRGKRZSA-N 0 3 236.359 2.552 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H](C)O[C@@H]1C)c1ccco1 ZINC000414286743 386096698 /nfs/dbraw/zinc/09/66/98/386096698.db2.gz SSVWFJMMLBEWND-WRWGMCAJSA-N 0 3 223.316 2.744 20 0 BFADHN CO[C@@H]1CCN([C@H](C)c2ccccn2)CC1(C)C ZINC000295873507 386097555 /nfs/dbraw/zinc/09/75/55/386097555.db2.gz FTKBOYVAMIXMGL-TZMCWYRMSA-N 0 3 248.370 2.890 20 0 BFADHN Fc1ccc(NC[C@H]2CCCCN2C2CC2)nc1 ZINC000287747728 386146272 /nfs/dbraw/zinc/14/62/72/386146272.db2.gz MLULCUUNRZYJRY-CYBMUJFWSA-N 0 3 249.333 2.650 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cnccc1OC ZINC000287842638 386150391 /nfs/dbraw/zinc/15/03/91/386150391.db2.gz QRQOEQJBFONAOT-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN Cc1cnccc1CN1CCCO[C@@H](C(C)C)C1 ZINC000296213307 386151292 /nfs/dbraw/zinc/15/12/92/386151292.db2.gz ZUNTYZCHGJJSCP-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cnccc1OC ZINC000287834125 386151432 /nfs/dbraw/zinc/15/14/32/386151432.db2.gz QIGIVLYXKHUCNJ-GFCCVEGCSA-N 0 3 236.359 2.958 20 0 BFADHN CC/C=C/CCN(CCCO)Cc1ccccn1 ZINC000287888933 386153533 /nfs/dbraw/zinc/15/35/33/386153533.db2.gz UATTWERVVNSPSQ-ONEGZZNKSA-N 0 3 248.370 2.622 20 0 BFADHN COC[C@H](NCCOC1CCC1)c1ccccc1 ZINC000287918287 386155551 /nfs/dbraw/zinc/15/55/51/386155551.db2.gz VYOKWJDYFJEELG-HNNXBMFYSA-N 0 3 249.354 2.533 20 0 BFADHN C[C@@H](NCc1ncccc1N(C)C)[C@H]1CC1(C)C ZINC000353183033 386156288 /nfs/dbraw/zinc/15/62/88/386156288.db2.gz GVSWVPUAMLKSJQ-VXGBXAGGSA-N 0 3 247.386 2.672 20 0 BFADHN Cc1ccc(CN(CC(C)C)C(C)C)nn1 ZINC000414370149 386157030 /nfs/dbraw/zinc/15/70/30/386157030.db2.gz JXUYRBMBJBNHOV-UHFFFAOYSA-N 0 3 221.348 2.651 20 0 BFADHN CCc1ncc(CN2CCCC[C@@H]2CC)cn1 ZINC000414367423 386164265 /nfs/dbraw/zinc/16/42/65/386164265.db2.gz QPTWTWRGPGWUQT-ZDUSSCGKSA-N 0 3 233.359 2.804 20 0 BFADHN CC(C)(O)CN1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000296390735 386171353 /nfs/dbraw/zinc/17/13/53/386171353.db2.gz WQTIYBGZJYZUAK-AWEZNQCLSA-N 0 3 245.366 2.985 20 0 BFADHN CCC(CC)NC(=O)Nc1cccc(CNC)c1 ZINC000415935063 386173073 /nfs/dbraw/zinc/17/30/73/386173073.db2.gz SKIBVBRFPYYVSF-UHFFFAOYSA-N 0 3 249.358 2.716 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccncc1F)C(C)C ZINC000340539453 386173563 /nfs/dbraw/zinc/17/35/63/386173563.db2.gz BNNOWINTXYRMOO-MFKMUULPSA-N 0 3 240.322 2.542 20 0 BFADHN CN(Cc1cccs1)[C@@H]1CCSC1 ZINC000130626005 386180542 /nfs/dbraw/zinc/18/05/42/386180542.db2.gz XKVJIWTWFOJLEB-SECBINFHSA-N 0 3 213.371 2.685 20 0 BFADHN CC(C)=CCC[C@@H](C)N[C@@H](C)c1ccc(=O)[nH]n1 ZINC000296526831 386181526 /nfs/dbraw/zinc/18/15/26/386181526.db2.gz QFZHYUOWQWNNTP-NEPJUHHUSA-N 0 3 249.358 2.555 20 0 BFADHN COC1(CCN(C)CCC(F)(F)F)CCC1 ZINC000338952898 386183795 /nfs/dbraw/zinc/18/37/95/386183795.db2.gz QJZROTAGEBMEKD-UHFFFAOYSA-N 0 3 239.281 2.830 20 0 BFADHN C[C@H](C1CCCCC1)N(C)Cc1cc[nH]n1 ZINC000338956495 386185983 /nfs/dbraw/zinc/18/59/83/386185983.db2.gz VATDRAOBAUDPOW-LLVKDONJSA-N 0 3 221.348 2.810 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1cncc(F)c1 ZINC000275166012 386188363 /nfs/dbraw/zinc/18/83/63/386188363.db2.gz VKVJWRHPLUWBBM-ZDUSSCGKSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1cc([C@@H](C)NCCOCC(F)F)c(C)o1 ZINC000189942278 386125235 /nfs/dbraw/zinc/12/52/35/386125235.db2.gz KPFXVSRZYBNMEI-SECBINFHSA-N 0 3 247.285 2.829 20 0 BFADHN Cc1nn(C)cc1CN1CCC(C)(C(C)C)CC1 ZINC000189949156 386125707 /nfs/dbraw/zinc/12/57/07/386125707.db2.gz OTKIYCNEISRXKP-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN Fc1ccc2c(c1)CC[C@H]2N[C@H]1CCCOC1 ZINC000129975563 386131845 /nfs/dbraw/zinc/13/18/45/386131845.db2.gz CSFLBRVXSGPZPN-GXTWGEPZSA-N 0 3 235.302 2.582 20 0 BFADHN c1cc2c(o1)CCCN(CC[C@@H]1CCCCO1)C2 ZINC000335131646 386132411 /nfs/dbraw/zinc/13/24/11/386132411.db2.gz HVZNTQAHLHXNFZ-AWEZNQCLSA-N 0 3 249.354 2.987 20 0 BFADHN CO[C@@H](CNC1(c2ccccc2)CC1)C1CC1 ZINC000425464370 386135088 /nfs/dbraw/zinc/13/50/88/386135088.db2.gz XNCIIKQQWWJHHT-AWEZNQCLSA-N 0 3 231.339 2.690 20 0 BFADHN CCCCCN[C@@H](C)c1nccn1CC ZINC000296092300 386135558 /nfs/dbraw/zinc/13/55/58/386135558.db2.gz TZFZVHSAKHRUFX-NSHDSACASA-N 0 3 209.337 2.744 20 0 BFADHN CCN(Cc1cccc(F)c1)CC1(C)COC1 ZINC000345867635 386136522 /nfs/dbraw/zinc/13/65/22/386136522.db2.gz LBZMGFLZUQMRLN-UHFFFAOYSA-N 0 3 237.318 2.684 20 0 BFADHN CC[C@H](N[C@@H](C)c1cccs1)[C@@H](O)C(F)F ZINC000296633550 386191328 /nfs/dbraw/zinc/19/13/28/386191328.db2.gz XOEDGOSEILJAAO-OYNCUSHFSA-N 0 3 249.326 2.803 20 0 BFADHN COc1ncccc1CN1CC2(CCC2)C[C@@H]1C ZINC000365507281 386138231 /nfs/dbraw/zinc/13/82/31/386138231.db2.gz HMJLSXBCFZEFMX-LBPRGKRZSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1)c1csc(Cl)c1 ZINC000296099097 386138551 /nfs/dbraw/zinc/13/85/51/386138551.db2.gz YRRCZJOYZPEMMA-CNUIFLNQSA-N 0 3 231.748 2.575 20 0 BFADHN CCN1CCCC[C@H]1CNCc1ccoc1C ZINC000414354396 386139760 /nfs/dbraw/zinc/13/97/60/386139760.db2.gz VFDMQYOARVQQRP-AWEZNQCLSA-N 0 3 236.359 2.552 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H]3CCC[C@H]3C2)nn1C ZINC000414356850 386142462 /nfs/dbraw/zinc/14/24/62/386142462.db2.gz HJZBZFJLELLWIE-MELADBBJSA-N 0 3 247.386 2.787 20 0 BFADHN CC(C)N(CCCCO)Cc1ccccc1F ZINC000264027523 386203598 /nfs/dbraw/zinc/20/35/98/386203598.db2.gz YVZBBQONQKAWAF-UHFFFAOYSA-N 0 3 239.334 2.809 20 0 BFADHN COc1nc(C)cc(C)c1CN1CC[C@@H](C)[C@@H]1C ZINC000347051524 386207661 /nfs/dbraw/zinc/20/76/61/386207661.db2.gz KRDHKWPDCZKKTJ-MFKMUULPSA-N 0 3 248.370 2.937 20 0 BFADHN COc1ccncc1CN1CCCCC[C@@H]1C ZINC000347058127 386210496 /nfs/dbraw/zinc/21/04/96/386210496.db2.gz RATQRWZDAZHRMH-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN COc1nc(C)cc(C)c1CN1C[C@@H](C)[C@H](C)C1 ZINC000347065703 386211720 /nfs/dbraw/zinc/21/17/20/386211720.db2.gz YSWFYERLVLGARG-VXGBXAGGSA-N 0 3 248.370 2.795 20 0 BFADHN O[C@@H](CNCc1sccc1Cl)C1CCC1 ZINC000316047549 386214813 /nfs/dbraw/zinc/21/48/13/386214813.db2.gz WTLSXVCKJWMYEB-JTQLQIEISA-N 0 3 245.775 2.652 20 0 BFADHN Cc1occc1CN(CCCO)CC(C)(C)C ZINC000414379404 386215638 /nfs/dbraw/zinc/21/56/38/386215638.db2.gz DBDRXNILRUXRSZ-UHFFFAOYSA-N 0 3 239.359 2.819 20 0 BFADHN CCC1(CC)CN(Cc2cccn2C)C1 ZINC000297471682 386259808 /nfs/dbraw/zinc/25/98/08/386259808.db2.gz RGIRVFSDPHNDRV-UHFFFAOYSA-N 0 3 206.333 2.647 20 0 BFADHN CC[C@H](N[C@H]1CCO[C@H]1C1CC1)c1ccncc1 ZINC000453188556 386223397 /nfs/dbraw/zinc/22/33/97/386223397.db2.gz ZIXPEZPTJXENEI-KKUMJFAQSA-N 0 3 246.354 2.690 20 0 BFADHN CC[C@H](N[C@@H]1CCO[C@@H]1C1CC1)c1ccncc1 ZINC000453188558 386223694 /nfs/dbraw/zinc/22/36/94/386223694.db2.gz ZIXPEZPTJXENEI-RRFJBIMHSA-N 0 3 246.354 2.690 20 0 BFADHN COC(CN1CCC[C@H]1c1ccsc1)OC ZINC000275640388 386227494 /nfs/dbraw/zinc/22/74/94/386227494.db2.gz PHQSKUGMSSNMFM-NSHDSACASA-N 0 3 241.356 2.504 20 0 BFADHN Cc1ccoc1CN[C@@H](C)[C@@H](O)c1ccccc1 ZINC000288710862 386229012 /nfs/dbraw/zinc/22/90/12/386229012.db2.gz ZHRDLGRPPAXBJE-SWLSCSKDSA-N 0 3 245.322 2.800 20 0 BFADHN CCN(Cc1cncc(F)c1)C1CCC1 ZINC000347048288 386232049 /nfs/dbraw/zinc/23/20/49/386232049.db2.gz HWOFLJHDYOTNAA-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN CCC[C@@]1(CO)CCN([C@@H](C)c2ccccn2)C1 ZINC000288784310 386232678 /nfs/dbraw/zinc/23/26/78/386232678.db2.gz KQBODSIMHKBVRA-DZGCQCFKSA-N 0 3 248.370 2.627 20 0 BFADHN COC(CN(C)[C@H](C)c1ccccc1C)OC ZINC000275717542 386232860 /nfs/dbraw/zinc/23/28/60/386232860.db2.gz WWTOGUANCJETEN-GFCCVEGCSA-N 0 3 237.343 2.607 20 0 BFADHN Cc1cnc([C@H](C)N[C@H](C)C2CC2)s1 ZINC000125210922 386234434 /nfs/dbraw/zinc/23/44/34/386234434.db2.gz QMWRMQHLFLWZFX-BDAKNGLRSA-N 0 3 210.346 2.901 20 0 BFADHN CC(C)CCC[C@H](C)N[C@H]1CNCCC1(F)F ZINC000415001973 386239057 /nfs/dbraw/zinc/23/90/57/386239057.db2.gz MWJHDBRZRNGPRL-RYUDHWBXSA-N 0 3 248.361 2.788 20 0 BFADHN CC[C@H](O)CN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000264481838 386241454 /nfs/dbraw/zinc/24/14/54/386241454.db2.gz USDNTLQTHUTWLK-KOLCDFICSA-N 0 3 243.297 2.729 20 0 BFADHN CC[C@H](O)CN(CC)Cc1ccc(Cl)s1 ZINC000264505045 386243220 /nfs/dbraw/zinc/24/32/20/386243220.db2.gz YMUWPXPGIUEJAM-VIFPVBQESA-N 0 3 247.791 2.994 20 0 BFADHN CC(C)=CCN1CCC[C@H](c2nccn2C)C1 ZINC000365637567 386243729 /nfs/dbraw/zinc/24/37/29/386243729.db2.gz LBXXETVOJPUAOM-ZDUSSCGKSA-N 0 3 233.359 2.566 20 0 BFADHN CC(C)[C@H](C)N(C)CC(=O)NCCC(C)(C)C ZINC000339099318 386246363 /nfs/dbraw/zinc/24/63/63/386246363.db2.gz KYZVLKQUTOHOEG-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN CC(C)CC[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000275882540 386248447 /nfs/dbraw/zinc/24/84/47/386248447.db2.gz QNTMEVMRWPECAK-ZDUSSCGKSA-N 0 3 244.342 2.530 20 0 BFADHN CC(C)CCN[C@H](c1nnc[nH]1)c1ccccc1 ZINC000275882540 386248449 /nfs/dbraw/zinc/24/84/49/386248449.db2.gz QNTMEVMRWPECAK-ZDUSSCGKSA-N 0 3 244.342 2.530 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(CCO)C2CCC2)o1 ZINC000275883503 386249190 /nfs/dbraw/zinc/24/91/90/386249190.db2.gz BIBFSGCUEAIXJS-RISCZKNCSA-N 0 3 249.354 2.750 20 0 BFADHN CC[C@@H](O)CN(C)C/C(C)=C/c1ccccc1 ZINC000339126548 386252273 /nfs/dbraw/zinc/25/22/73/386252273.db2.gz REAXJEYDJXKUNX-NRMKIYEFSA-N 0 3 233.355 2.793 20 0 BFADHN C[C@@H](CF)NCCc1cccc(Cl)c1 ZINC000297437268 386256483 /nfs/dbraw/zinc/25/64/83/386256483.db2.gz NUKLROGPEWUXEA-VIFPVBQESA-N 0 3 215.699 2.830 20 0 BFADHN Cc1cncc(CN2CCSC(C)(C)C2)c1 ZINC000275974724 386258017 /nfs/dbraw/zinc/25/80/17/386258017.db2.gz DECDBMDOOYWRPQ-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1cnccc1CN1CCSCC[C@@H]1C ZINC000296714773 386195327 /nfs/dbraw/zinc/19/53/27/386195327.db2.gz IUVDCPHBHOXFGT-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN CSCCCN1CCC[C@@H]1c1cccnc1 ZINC000336663018 386282906 /nfs/dbraw/zinc/28/29/06/386282906.db2.gz CZAQBDQIBHNSJE-CYBMUJFWSA-N 0 3 236.384 2.972 20 0 BFADHN CCc1cccc(CN[C@H](C)c2cnn(C)c2)c1 ZINC000276187166 386283491 /nfs/dbraw/zinc/28/34/91/386283491.db2.gz HONSBVJLSCTUTQ-GFCCVEGCSA-N 0 3 243.354 2.833 20 0 BFADHN CC[C@@H](NCC1(C)CCC1)c1ccn(C)n1 ZINC000336743025 386283838 /nfs/dbraw/zinc/28/38/38/386283838.db2.gz SQTVHPCJTGDXOZ-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN CCN1C[C@H](C)N(Cc2ccoc2C)C[C@@H]1C ZINC000414395959 386283902 /nfs/dbraw/zinc/28/39/02/386283902.db2.gz LEAFDVSYYSQJFM-RYUDHWBXSA-N 0 3 236.359 2.503 20 0 BFADHN CCN1C[C@@H](C)N(Cc2ccoc2C)C[C@@H]1C ZINC000414395957 386283951 /nfs/dbraw/zinc/28/39/51/386283951.db2.gz LEAFDVSYYSQJFM-NWDGAFQWSA-N 0 3 236.359 2.503 20 0 BFADHN COC(C)(C)CNCc1cccc(Cl)c1 ZINC000133006595 386284448 /nfs/dbraw/zinc/28/44/48/386284448.db2.gz IVWKKUZOVRJPAG-UHFFFAOYSA-N 0 3 227.735 2.855 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)o1 ZINC000336663541 386285151 /nfs/dbraw/zinc/28/51/51/386285151.db2.gz URPJRYBMEZAWAA-SCVCMEIPSA-N 0 3 222.332 2.849 20 0 BFADHN C[C@@H](O)CN1CC[C@@H](C)[C@@H]1c1cccc(F)c1 ZINC000297915851 386286795 /nfs/dbraw/zinc/28/67/95/386286795.db2.gz SRCBTFQJILHVCQ-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1ccc(CN(C)C2CCCCC2)nn1 ZINC000335263077 386292696 /nfs/dbraw/zinc/29/26/96/386292696.db2.gz SABIZZSBACOVKX-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN CCn1cc(CN2CC(C)(C)C[C@@H]2C)cn1 ZINC000335263809 386295127 /nfs/dbraw/zinc/29/51/27/386295127.db2.gz WFRKUKVHLFSPJX-NSHDSACASA-N 0 3 221.348 2.523 20 0 BFADHN CN(Cc1ccc(Cl)cn1)C1CCC1 ZINC000334480574 386295668 /nfs/dbraw/zinc/29/56/68/386295668.db2.gz VFBRELPBERPWPT-UHFFFAOYSA-N 0 3 210.708 2.719 20 0 BFADHN CCCCN(CCCO)Cc1ccsc1 ZINC000289384950 386296224 /nfs/dbraw/zinc/29/62/24/386296224.db2.gz CZDZSXOJTJEBTP-UHFFFAOYSA-N 0 3 227.373 2.733 20 0 BFADHN CC[C@H](CSC)N(C)Cc1ccc(F)cn1 ZINC000276282711 386296885 /nfs/dbraw/zinc/29/68/85/386296885.db2.gz UKFJZTNJRISEHF-GFCCVEGCSA-N 0 3 242.363 2.794 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc2occc2c1 ZINC000289412480 386298132 /nfs/dbraw/zinc/29/81/32/386298132.db2.gz AKIMJYNLHRBUNN-MNOVXSKESA-N 0 3 233.311 2.946 20 0 BFADHN CC[C@]1(C)CCN(CCOCC(F)(F)F)C1 ZINC000336660741 386299681 /nfs/dbraw/zinc/29/96/81/386299681.db2.gz BICQEIFRUNYELK-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2ccc(F)cn2)C1 ZINC000335265839 386303066 /nfs/dbraw/zinc/30/30/66/386303066.db2.gz PPEZYUQNLMQNGI-LLVKDONJSA-N 0 3 222.307 2.699 20 0 BFADHN CCCCN(CC1CC1)[C@@](C)(CC)C(=O)OC ZINC000425518434 386309256 /nfs/dbraw/zinc/30/92/56/386309256.db2.gz YVZCZKITGXJBBL-AWEZNQCLSA-N 0 3 241.375 2.840 20 0 BFADHN CCCCCN(C(=O)[C@@H](N)CC)[C@H](C)CCC ZINC000236744301 386309570 /nfs/dbraw/zinc/30/95/70/386309570.db2.gz AFYCSPAZTSLYCX-OLZOCXBDSA-N 0 3 242.407 2.931 20 0 BFADHN CC[C@@H](NC(=O)[C@@H](CC)N(C)C)c1ccccc1 ZINC000298197495 386309517 /nfs/dbraw/zinc/30/95/17/386309517.db2.gz IAALCXOJSZICPY-ZIAGYGMSSA-N 0 3 248.370 2.594 20 0 BFADHN CC[C@@H](NC(=O)[C@H](CC)N(C)C)c1ccccc1 ZINC000298197487 386309614 /nfs/dbraw/zinc/30/96/14/386309614.db2.gz IAALCXOJSZICPY-KGLIPLIRSA-N 0 3 248.370 2.594 20 0 BFADHN Cc1ccc(F)cc1CSCCN(C)C ZINC000188222266 386310798 /nfs/dbraw/zinc/31/07/98/386310798.db2.gz DQSPWAZNIIIICO-UHFFFAOYSA-N 0 3 227.348 2.929 20 0 BFADHN Fc1ccc(CN2CCC[C@@H]3CCC[C@H]32)nc1 ZINC000276377431 386311999 /nfs/dbraw/zinc/31/19/99/386311999.db2.gz PPWZFFSIJWNUBS-SMDDNHRTSA-N 0 3 234.318 2.985 20 0 BFADHN CC[C@@H](C(=O)N(C)[C@@H](C)c1ccccc1)N(C)C ZINC000298238255 386312198 /nfs/dbraw/zinc/31/21/98/386312198.db2.gz LNUKVRAPEMCWIJ-JSGCOSHPSA-N 0 3 248.370 2.546 20 0 BFADHN CCC[C@H](N)C(=O)N(CC)c1cc(C)cc(C)c1 ZINC000236793676 386312593 /nfs/dbraw/zinc/31/25/93/386312593.db2.gz AMOAUTHIDONIMH-AWEZNQCLSA-N 0 3 248.370 2.784 20 0 BFADHN C[C@@]12CCN(Cc3ccncc3)C[C@@H]1C2(F)F ZINC000298306398 386321661 /nfs/dbraw/zinc/32/16/61/386321661.db2.gz PXUKYNBJJKHJGO-NWDGAFQWSA-N 0 3 238.281 2.559 20 0 BFADHN Cc1ccc(CN2CCCC3(CCCC3)C2)nn1 ZINC000335262603 386322639 /nfs/dbraw/zinc/32/26/39/386322639.db2.gz QLJSDBHIKWOFMM-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN Cc1nocc1CNCCCc1cccc(F)c1 ZINC000339247573 386323053 /nfs/dbraw/zinc/32/30/53/386323053.db2.gz GSVCPSFFRWGUKY-UHFFFAOYSA-N 0 3 248.301 2.845 20 0 BFADHN COc1ccncc1CN1C[C@H](C)C[C@H](C)[C@H]1C ZINC000347153382 386263317 /nfs/dbraw/zinc/26/33/17/386263317.db2.gz AKECVVSWCIMBLA-FRRDWIJNSA-N 0 3 248.370 2.957 20 0 BFADHN C[C@@H](NCC[C@H](C)O)c1nccc2ccccc21 ZINC000289528532 386323681 /nfs/dbraw/zinc/32/36/81/386323681.db2.gz BCXDQICLJSHJBC-NWDGAFQWSA-N 0 3 244.338 2.656 20 0 BFADHN CCc1ccc(CN(C)[C@@H]2CC2(C)C)nc1 ZINC000339133753 386264800 /nfs/dbraw/zinc/26/48/00/386264800.db2.gz PDWNQOWPBVCPDQ-CYBMUJFWSA-N 0 3 218.344 2.874 20 0 BFADHN CN(C/C=C\c1ccccc1)C[C@@H]1CCC[C@H]1O ZINC000339133511 386264967 /nfs/dbraw/zinc/26/49/67/386264967.db2.gz DEVVLYWLFJJNIN-HPRJGHKQSA-N 0 3 245.366 2.793 20 0 BFADHN CSCCN1CC[C@H]1Cc1ccccc1 ZINC000336653352 386269138 /nfs/dbraw/zinc/26/91/38/386269138.db2.gz YEDSCXVASIDSGH-ZDUSSCGKSA-N 0 3 221.369 2.666 20 0 BFADHN CCc1ccc(CN2CC[C@H](OC)C[C@H]2C)nc1 ZINC000339160917 386270874 /nfs/dbraw/zinc/27/08/74/386270874.db2.gz NSPSOJJDSOALRI-DOMZBBRYSA-N 0 3 248.370 2.643 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C=C[C@H](CO)C2)c2ccccc21 ZINC000289223011 386275422 /nfs/dbraw/zinc/27/54/22/386275422.db2.gz LKBWLRXMGONOND-IATRGZMQSA-N 0 3 243.350 2.762 20 0 BFADHN CSC1(CN2Cc3ccccc3C2)CC1 ZINC000289268646 386278570 /nfs/dbraw/zinc/27/85/70/386278570.db2.gz DTMLBCKWZIARSS-UHFFFAOYSA-N 0 3 219.353 2.898 20 0 BFADHN C[C@@H](CN1CC[C@@](F)(CO)C1)C1CCCCC1 ZINC000339177111 386278839 /nfs/dbraw/zinc/27/88/39/386278839.db2.gz NIMQYMBOBYGOIA-JSGCOSHPSA-N 0 3 243.366 2.609 20 0 BFADHN CSC1(CN(C)Cc2scnc2C)CC1 ZINC000289291933 386280164 /nfs/dbraw/zinc/28/01/64/386280164.db2.gz HGKUFFMMMKNGMZ-UHFFFAOYSA-N 0 3 242.413 2.779 20 0 BFADHN CC(C)=CCC[C@@H](C)N[C@H](C)c1ncnn1C ZINC000276525611 386341042 /nfs/dbraw/zinc/34/10/42/386341042.db2.gz CFEMSEYCGDOIKP-VXGBXAGGSA-N 0 3 236.363 2.601 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@H](C)C2)s1 ZINC000164837297 386342990 /nfs/dbraw/zinc/34/29/90/386342990.db2.gz LRZSKJHQZJSCTJ-WCBMZHEXSA-N 0 3 210.346 2.730 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cc2ccccc2o1 ZINC000289712169 386343807 /nfs/dbraw/zinc/34/38/07/386343807.db2.gz FMBKTHRKDBRLQX-GHMZBOCLSA-N 0 3 233.311 2.946 20 0 BFADHN COC[C@H]1CCN1CCCC1CCCC1 ZINC000339292442 386345488 /nfs/dbraw/zinc/34/54/88/386345488.db2.gz BVHWPIXXYXTUDL-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CC[C@@H](NCc1nccn1CC(C)C)C1CC1 ZINC000164849486 386346146 /nfs/dbraw/zinc/34/61/46/386346146.db2.gz SKKIPKRABDDVMH-CYBMUJFWSA-N 0 3 235.375 2.817 20 0 BFADHN CCO[C@H](CCNCc1conc1C)C(C)C ZINC000339292111 386347640 /nfs/dbraw/zinc/34/76/40/386347640.db2.gz YBUSECUSBKHAKC-CYBMUJFWSA-N 0 3 240.347 2.524 20 0 BFADHN CCc1ncc(CN2CC[C@H](C(C)C)C2)cn1 ZINC000414413052 386347803 /nfs/dbraw/zinc/34/78/03/386347803.db2.gz RLPWFFDRSCGKPT-ZDUSSCGKSA-N 0 3 233.359 2.517 20 0 BFADHN CC[C@@H](C)CN1CC[C@H](n2cc(Cl)cn2)C1 ZINC000289739542 386350922 /nfs/dbraw/zinc/35/09/22/386350922.db2.gz DWODJVAJDDRZKL-PWSUYJOCSA-N 0 3 241.766 2.829 20 0 BFADHN CCCc1ccccc1NC(=O)/C=C/CN(C)C ZINC000265665008 386357720 /nfs/dbraw/zinc/35/77/20/386357720.db2.gz RVMPMJZUFDTKIO-YRNVUSSQSA-N 0 3 246.354 2.695 20 0 BFADHN c1cc(C2CCN([C@H]3C=CCCC3)CC2)ncn1 ZINC000298536881 386358717 /nfs/dbraw/zinc/35/87/17/386358717.db2.gz WYSXULBMIRGIAG-AWEZNQCLSA-N 0 3 243.354 2.765 20 0 BFADHN Cc1cc(CN(C)CCCCO)c(C)s1 ZINC000276646550 386358859 /nfs/dbraw/zinc/35/88/59/386358859.db2.gz LTQMDVCIZHRKCN-UHFFFAOYSA-N 0 3 227.373 2.569 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@H]1CCOC1(C)C ZINC000453297493 386363354 /nfs/dbraw/zinc/36/33/54/386363354.db2.gz RSQGOSXSSSDQGM-YPMHNXCESA-N 0 3 248.370 2.862 20 0 BFADHN C[C@@H](N[C@@H](C)CCC1CC1)c1ccon1 ZINC000336774728 386364080 /nfs/dbraw/zinc/36/40/80/386364080.db2.gz ZOEZSHIBFILMDT-VHSXEESVSA-N 0 3 208.305 2.904 20 0 BFADHN COC(C)(C)CCN1CCOC[C@H]1CC(C)C ZINC000336692748 386366576 /nfs/dbraw/zinc/36/65/76/386366576.db2.gz IIJZZPYIAWNTMN-CYBMUJFWSA-N 0 3 243.391 2.548 20 0 BFADHN CCN(CCOC)Cc1ccccc1SC ZINC000347375441 386370455 /nfs/dbraw/zinc/37/04/55/386370455.db2.gz PGLFEBZIRPVQST-UHFFFAOYSA-N 0 3 239.384 2.877 20 0 BFADHN Cc1cnn(CCN(C)Cc2sccc2C)c1 ZINC000336690371 386372036 /nfs/dbraw/zinc/37/20/36/386372036.db2.gz GSUCJIHFBCQMOU-UHFFFAOYSA-N 0 3 249.383 2.693 20 0 BFADHN C[C@@H](CO)CN[C@@H](C)c1nccc2ccccc21 ZINC000289929955 386374016 /nfs/dbraw/zinc/37/40/16/386374016.db2.gz VQBVQVHNHZCILY-NEPJUHHUSA-N 0 3 244.338 2.514 20 0 BFADHN Clc1cnn([C@H]2CCN(CC3CCC3)C2)c1 ZINC000289952944 386377262 /nfs/dbraw/zinc/37/72/62/386377262.db2.gz QHJBOSBBXAXUQE-LBPRGKRZSA-N 0 3 239.750 2.583 20 0 BFADHN C[C@]1(F)CCN(CCc2ccc3c(c2)CCO3)C1 ZINC000347397570 386385209 /nfs/dbraw/zinc/38/52/09/386385209.db2.gz WLCBWGMVBKXMDD-HNNXBMFYSA-N 0 3 249.329 2.598 20 0 BFADHN CCC[C@H](N)C(=O)N[C@H](C)c1cccc(C)c1C ZINC000236920655 386326794 /nfs/dbraw/zinc/32/67/94/386326794.db2.gz YOSHAYTUDFCHHU-OCCSQVGLSA-N 0 3 248.370 2.608 20 0 BFADHN C[C@]1(CNCc2nccs2)C[C@H]2C[C@H]2C1 ZINC000623741254 386333558 /nfs/dbraw/zinc/33/35/58/386333558.db2.gz MEDDIYFVEVMFKH-IAZYJMLFSA-N 0 3 222.357 2.669 20 0 BFADHN CCC[C@H](C)CC(=O)NC[C@@H](N)c1ccccc1 ZINC000237003130 386335422 /nfs/dbraw/zinc/33/54/22/386335422.db2.gz HTFAJVDFZVFYGQ-GXTWGEPZSA-N 0 3 248.370 2.629 20 0 BFADHN CC[C@H](NCc1ncccc1N(C)C)C(C)C ZINC000289612347 386336117 /nfs/dbraw/zinc/33/61/17/386336117.db2.gz DEUZYYMPFQOZGM-LBPRGKRZSA-N 0 3 235.375 2.672 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@H](C)CC(C)C ZINC000188262892 386337686 /nfs/dbraw/zinc/33/76/86/386337686.db2.gz VRGWFNBDTOEIDO-ZYHUDNBSSA-N 0 3 221.348 2.870 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCC[C@](F)(CO)C2)C1 ZINC000347344065 386338577 /nfs/dbraw/zinc/33/85/77/386338577.db2.gz GGOAMDITKADJAH-HZSPNIEDSA-N 0 3 243.366 2.609 20 0 BFADHN C[C@@H]1COCCN([C@H]2CCc3ccc(F)cc32)C1 ZINC000335276543 386339253 /nfs/dbraw/zinc/33/92/53/386339253.db2.gz FKRRFKBBUVMPTI-NHYWBVRUSA-N 0 3 249.329 2.781 20 0 BFADHN CCc1ncc(CN2CC[C@H](C)C[C@H](C)C2)cn1 ZINC000414410019 386339422 /nfs/dbraw/zinc/33/94/22/386339422.db2.gz NXCDYAIRDSYHCE-STQMWFEESA-N 0 3 247.386 2.907 20 0 BFADHN Cc1cn[nH]c1CN1C[C@@H](C)[C@H]1c1ccccc1 ZINC000290449621 386437270 /nfs/dbraw/zinc/43/72/70/386437270.db2.gz OLFMCRNIENBMHZ-DOMZBBRYSA-N 0 3 241.338 2.911 20 0 BFADHN c1ccc2c(c1)C[C@@H]1[C@@H](NCc3cccnc3)[C@H]21 ZINC000290458219 386438326 /nfs/dbraw/zinc/43/83/26/386438326.db2.gz MVZCNGJBSDOWPA-ARFHVFGLSA-N 0 3 236.318 2.510 20 0 BFADHN CCSCC[C@@H](C)N(C)Cc1[nH]ncc1C ZINC000290455694 386440937 /nfs/dbraw/zinc/44/09/37/386440937.db2.gz OYDYFLNXZRRPKQ-LLVKDONJSA-N 0 3 241.404 2.682 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2C[C@H]2C(C)C)nn1C ZINC000453335379 386442074 /nfs/dbraw/zinc/44/20/74/386442074.db2.gz DLRGDPGSHZWSQE-AGIUHOORSA-N 0 3 235.375 2.671 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1ccc(C)nn1 ZINC000414434748 386443973 /nfs/dbraw/zinc/44/39/73/386443973.db2.gz QHKYHQJONZRZAW-CQSZACIVSA-N 0 3 233.359 2.940 20 0 BFADHN C[C@H](F)CCNCc1cn(C)nc1C(C)(C)C ZINC000339485515 386447560 /nfs/dbraw/zinc/44/75/60/386447560.db2.gz PYFJRCMEKLAGST-JTQLQIEISA-N 0 3 241.354 2.555 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H]2CC[C@@H](C)O2)o1 ZINC000227023168 386447979 /nfs/dbraw/zinc/44/79/79/386447979.db2.gz MFYHXQPINMBUKL-ADEWGFFLSA-N 0 3 223.316 2.806 20 0 BFADHN C[C@H](F)CCNCc1nccn1-c1ccccc1 ZINC000339485838 386449073 /nfs/dbraw/zinc/44/90/73/386449073.db2.gz RPCKYAPXAUXSJC-LBPRGKRZSA-N 0 3 247.317 2.710 20 0 BFADHN CC[C@H]1CCCCCN1Cc1cc(C)n(C)n1 ZINC000336719352 386449525 /nfs/dbraw/zinc/44/95/25/386449525.db2.gz ZJNLAVKONOGOQZ-AWEZNQCLSA-N 0 3 235.375 2.883 20 0 BFADHN C[C@H](F)CCNCc1cc(-c2ccccc2)n[nH]1 ZINC000339490540 386451039 /nfs/dbraw/zinc/45/10/39/386451039.db2.gz XIMNVCPBWLBYCE-NSHDSACASA-N 0 3 247.317 2.914 20 0 BFADHN CC[C@@H](C(=O)Nc1ccsc1)N(CC)CC ZINC000266008156 386388667 /nfs/dbraw/zinc/38/86/67/386388667.db2.gz IMZWGXJZGBQEER-NSHDSACASA-N 0 3 240.372 2.807 20 0 BFADHN CCC[C@H](N[C@H]1COC[C@@H]1OC)c1ccccc1 ZINC000290059733 386389349 /nfs/dbraw/zinc/38/93/49/386389349.db2.gz FMTNYDAVXNITDC-KKUMJFAQSA-N 0 3 249.354 2.531 20 0 BFADHN CCC[C@@H](N[C@H]1COC[C@@H]1OC)c1ccccc1 ZINC000290059719 386389392 /nfs/dbraw/zinc/38/93/92/386389392.db2.gz FMTNYDAVXNITDC-ILXRZTDVSA-N 0 3 249.354 2.531 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H](C)[C@@H]1CCCCO1 ZINC000347352520 386390147 /nfs/dbraw/zinc/39/01/47/386390147.db2.gz YOCJJXQZLLZETA-YDHLFZDLSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1c[nH]nc1CNCCc1ccccc1Cl ZINC000290057811 386390716 /nfs/dbraw/zinc/39/07/16/386390716.db2.gz XMGMQKIGIVFRHD-UHFFFAOYSA-N 0 3 249.745 2.704 20 0 BFADHN CCC1(CNCc2cccc(OC)c2OC)CC1 ZINC000226784567 386391183 /nfs/dbraw/zinc/39/11/83/386391183.db2.gz PNVGFZKYWFANGJ-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN C[C@H](Cc1ccccc1)CN1C[C@@H](C)OC[C@H]1C ZINC000347354921 386392432 /nfs/dbraw/zinc/39/24/32/386392432.db2.gz QCZURENFFQFSHU-RBSFLKMASA-N 0 3 247.382 2.974 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H]1CCc2c1cccc2F ZINC000290083908 386392846 /nfs/dbraw/zinc/39/28/46/386392846.db2.gz GYOBJNRBYPRNMA-ISTVAULSSA-N 0 3 237.318 2.826 20 0 BFADHN Cc1ccc([C@H](O)CNCc2cccc(F)c2)o1 ZINC000266049907 386394208 /nfs/dbraw/zinc/39/42/08/386394208.db2.gz VFDORJJXHNOKCC-CYBMUJFWSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1cncc(CN2CCS[C@@H](C)CC2)c1 ZINC000276936670 386394558 /nfs/dbraw/zinc/39/45/58/386394558.db2.gz NBDFMVVFDYLMPZ-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1nccn1-c1ccccc1 ZINC000276967762 386397654 /nfs/dbraw/zinc/39/76/54/386397654.db2.gz NYZVACBHQKGDFD-OLZOCXBDSA-N 0 3 241.338 2.618 20 0 BFADHN Cc1ccoc1CN1CCS[C@H](C)CC1 ZINC000276992029 386398886 /nfs/dbraw/zinc/39/88/86/386398886.db2.gz GWTSVSYKVHCYKA-LLVKDONJSA-N 0 3 225.357 2.915 20 0 BFADHN CC[C@@H](CN[C@H](c1cccnc1)C1CCC1)OC ZINC000290177341 386400602 /nfs/dbraw/zinc/40/06/02/386400602.db2.gz NEVDILYFORCMFT-GJZGRUSLSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1sccc1CN[C@@H]1C[C@H]1C(F)F ZINC000339398164 386405171 /nfs/dbraw/zinc/40/51/71/386405171.db2.gz BOPRHFYQUOKHIG-RKDXNWHRSA-N 0 3 217.284 2.800 20 0 BFADHN C[C@H](NC/C=C/c1ccccc1)c1cnn(C)c1 ZINC000266159495 386406375 /nfs/dbraw/zinc/40/63/75/386406375.db2.gz MZVIKLPXBMXXMX-PPGNKHEKSA-N 0 3 241.338 2.784 20 0 BFADHN c1ccc2c(c1)OCCC[C@H]2N[C@@H]1CCCOC1 ZINC000290218678 386408868 /nfs/dbraw/zinc/40/88/68/386408868.db2.gz HWNVGSSVGJMBLB-TZMCWYRMSA-N 0 3 247.338 2.669 20 0 BFADHN c1ncc(CN2CCC[C@H](C3CC3)C2)s1 ZINC000290241222 386409299 /nfs/dbraw/zinc/40/92/99/386409299.db2.gz UTBDQNBFQAIWGF-NSHDSACASA-N 0 3 222.357 2.765 20 0 BFADHN C[C@@H](NCc1cscn1)C1CCSCC1 ZINC000187122460 386415784 /nfs/dbraw/zinc/41/57/84/386415784.db2.gz KXZBZKWEAAHKSQ-SECBINFHSA-N 0 3 242.413 2.764 20 0 BFADHN C[C@H](F)CCNCc1nc(-c2ccccc2)c[nH]1 ZINC000339489683 386451864 /nfs/dbraw/zinc/45/18/64/386451864.db2.gz SHHVUWWAWSNDOF-NSHDSACASA-N 0 3 247.317 2.914 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1cccn1C ZINC000290318780 386420190 /nfs/dbraw/zinc/42/01/90/386420190.db2.gz CTYBFURPTFUMLH-NSHDSACASA-N 0 3 226.389 2.599 20 0 BFADHN CC1(C)C[C@H]1NCc1c(Cl)nc2ccccn21 ZINC000299149356 386420968 /nfs/dbraw/zinc/42/09/68/386420968.db2.gz DQSYJHWRNZCDHL-SNVBAGLBSA-N 0 3 249.745 2.876 20 0 BFADHN CCSCCN(C)Cc1ccc(O)cc1 ZINC000290363496 386425302 /nfs/dbraw/zinc/42/53/02/386425302.db2.gz GZONFIYLLYANQS-UHFFFAOYSA-N 0 3 225.357 2.577 20 0 BFADHN CCC=CC[NH2+][C@@H](c1nnc[n-]1)C1CCCCC1 ZINC000453326353 386426110 /nfs/dbraw/zinc/42/61/10/386426110.db2.gz RXGFMRBJFSSLEB-RBWDJNPFSA-N 0 3 248.374 2.982 20 0 BFADHN CCC=CCN[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000453326353 386426116 /nfs/dbraw/zinc/42/61/16/386426116.db2.gz RXGFMRBJFSSLEB-RBWDJNPFSA-N 0 3 248.374 2.982 20 0 BFADHN COc1c(C)cnc(CN2C[C@@H](C)[C@H](C)C2)c1C ZINC000336710836 386426222 /nfs/dbraw/zinc/42/62/22/386426222.db2.gz NHOBXBLLXRWAIS-VXGBXAGGSA-N 0 3 248.370 2.795 20 0 BFADHN CCCc1ccc(CN2CCC(CO)CC2)cc1 ZINC000299246530 386429419 /nfs/dbraw/zinc/42/94/19/386429419.db2.gz RUWSQBPFUHEERW-UHFFFAOYSA-N 0 3 247.382 2.843 20 0 BFADHN Cc1nc(CCNCc2ccsc2)c(C)o1 ZINC000336732898 386431275 /nfs/dbraw/zinc/43/12/75/386431275.db2.gz JQSOIIOFXDBTDA-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN CC[C@@]1(CO)CCCN(Cc2ccoc2C)C1 ZINC000414431465 386431516 /nfs/dbraw/zinc/43/15/16/386431516.db2.gz LCNMXCRWBHPDJX-CQSZACIVSA-N 0 3 237.343 2.573 20 0 BFADHN CCN(CC[C@H](C)O)[C@@H](C)c1cccc(O)c1 ZINC000336715017 386433354 /nfs/dbraw/zinc/43/33/54/386433354.db2.gz SDCJMQQKCQHLIU-RYUDHWBXSA-N 0 3 237.343 2.546 20 0 BFADHN C[C@H]1c2ccccc2CN1CC1(O)CCCC1 ZINC000336714476 386434353 /nfs/dbraw/zinc/43/43/53/386434353.db2.gz RPTARKPVISOZRG-LBPRGKRZSA-N 0 3 231.339 2.868 20 0 BFADHN C[C@]1(CNCc2cc[nH]n2)C[C@H]1c1ccccc1 ZINC000339444774 386436230 /nfs/dbraw/zinc/43/62/30/386436230.db2.gz BGEMUACNMQIXHQ-LSDHHAIUSA-N 0 3 241.338 2.693 20 0 BFADHN COc1ccc(CNCc2ccnc(C)c2)cc1 ZINC000391181647 386466526 /nfs/dbraw/zinc/46/65/26/386466526.db2.gz KNWXJBUWCKUSHP-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN COC[C@H](C)CNCc1cc(C)ccc1F ZINC000227285575 386472910 /nfs/dbraw/zinc/47/29/10/386472910.db2.gz GOKXKBRIQKXOAC-LLVKDONJSA-N 0 3 225.307 2.506 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CCCC[C@@H]2O)c1 ZINC000227288329 386473612 /nfs/dbraw/zinc/47/36/12/386473612.db2.gz PCICSGYDUUHTJK-KGLIPLIRSA-N 0 3 237.318 2.527 20 0 BFADHN CCOc1ccc(OCCN2C[C@@H](C)[C@@H]2C)cc1 ZINC000339573481 386473587 /nfs/dbraw/zinc/47/35/87/386473587.db2.gz IKCOBGGDOBKYGC-OLZOCXBDSA-N 0 3 249.354 2.804 20 0 BFADHN C[C@@H]1[C@H](C(F)(F)F)CCN1CC1=CCCOC1 ZINC000335425727 386476115 /nfs/dbraw/zinc/47/61/15/386476115.db2.gz VOCMKFGOPLSFBP-MWLCHTKSSA-N 0 3 249.276 2.606 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@@H]2OCC[C@@H]2C1 ZINC000335425571 386476523 /nfs/dbraw/zinc/47/65/23/386476523.db2.gz ZZJWIMLEHDCFQQ-DOMZBBRYSA-N 0 3 249.329 2.745 20 0 BFADHN C[C@@H]1CN(CCOc2cccc(F)c2)[C@H]1C ZINC000339566794 386478925 /nfs/dbraw/zinc/47/89/25/386478925.db2.gz RKZOJONSZRXQTM-MNOVXSKESA-N 0 3 223.291 2.545 20 0 BFADHN CCc1ccc(CN2CCC([C@@H](C)O)CC2)cc1 ZINC000227360888 386479679 /nfs/dbraw/zinc/47/96/79/386479679.db2.gz AHFZKRLVGNHQMK-CYBMUJFWSA-N 0 3 247.382 2.842 20 0 BFADHN CCc1ccc(CN2CCC([C@H](C)O)CC2)cc1 ZINC000227360891 386479709 /nfs/dbraw/zinc/47/97/09/386479709.db2.gz AHFZKRLVGNHQMK-ZDUSSCGKSA-N 0 3 247.382 2.842 20 0 BFADHN COc1cc(CN[C@H]2CCC[C@H]2F)ccc1C ZINC000339586534 386480592 /nfs/dbraw/zinc/48/05/92/386480592.db2.gz JZNFTLWNXMHLKC-OLZOCXBDSA-N 0 3 237.318 2.984 20 0 BFADHN Cc1occc1CNC[C@H]1CCCCN1C1CC1 ZINC000414442839 386483237 /nfs/dbraw/zinc/48/32/37/386483237.db2.gz YPQDXRBNAHMOQJ-OAHLLOKOSA-N 0 3 248.370 2.695 20 0 BFADHN CCCC(CCC)N[C@@H]1CNCCC1(F)F ZINC000415011253 386486982 /nfs/dbraw/zinc/48/69/82/386486982.db2.gz ZUZANAFVKQUHPM-LLVKDONJSA-N 0 3 234.334 2.542 20 0 BFADHN C[C@@H](NC[C@@H]1CC12CC2)c1ccncc1F ZINC000417346829 386487580 /nfs/dbraw/zinc/48/75/80/386487580.db2.gz ZWIWAXQFISJQRA-ZJUUUORDSA-N 0 3 220.291 2.671 20 0 BFADHN CCc1ccc(CNC2CC(F)(F)C2)cn1 ZINC000336779195 386491300 /nfs/dbraw/zinc/49/13/00/386491300.db2.gz NKXHKUMXVUKPTG-UHFFFAOYSA-N 0 3 226.270 2.531 20 0 BFADHN Cc1cccc([C@H](C)N[C@H]2C[C@@](C)(O)C2)c1 ZINC000417354727 386494180 /nfs/dbraw/zinc/49/41/80/386494180.db2.gz MKSBSDXXLHOKAH-FPMFFAJLSA-N 0 3 219.328 2.559 20 0 BFADHN Cc1ccc(Cl)cc1NC(=O)CNC(C)C ZINC000035154772 386494439 /nfs/dbraw/zinc/49/44/39/386494439.db2.gz FPPZHTXQIHVIPU-UHFFFAOYSA-N 0 3 240.734 2.585 20 0 BFADHN Cc1ccc(CNC[C@]2(C)CCCC[C@H]2O)o1 ZINC000267124295 386497542 /nfs/dbraw/zinc/49/75/42/386497542.db2.gz USBPVFUOQNLGCK-KGLIPLIRSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1cc(CN2CC[C@@H]3OCC[C@@H]3C2)ccc1F ZINC000335439809 386497727 /nfs/dbraw/zinc/49/77/27/386497727.db2.gz BKQURUUPIVKFMI-HIFRSBDPSA-N 0 3 249.329 2.745 20 0 BFADHN CCOC[C@@H]1CCN([C@H](C)c2cccnc2)C1 ZINC000267112686 386497802 /nfs/dbraw/zinc/49/78/02/386497802.db2.gz XVRNZPBZGNQTMO-CHWSQXEVSA-N 0 3 234.343 2.501 20 0 BFADHN Cc1ccoc1CN1CC[C@@H](c2cccnc2)C1 ZINC000335441150 386500293 /nfs/dbraw/zinc/50/02/93/386500293.db2.gz GJIOQXDKPOKTDT-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN Cc1cnn(CCN2CC=C(C(C)(C)C)CC2)c1 ZINC000336788814 386502644 /nfs/dbraw/zinc/50/26/44/386502644.db2.gz QZQCXUKURGAGLH-UHFFFAOYSA-N 0 3 247.386 2.870 20 0 BFADHN CC(C)=CCCNCc1cn(C)nc1C(F)F ZINC000291055334 386504626 /nfs/dbraw/zinc/50/46/26/386504626.db2.gz OEOCUYHLTWDHAJ-UHFFFAOYSA-N 0 3 243.301 2.804 20 0 BFADHN C[C@@H](NCc1cccn1C)c1ccsc1 ZINC000061360569 386507558 /nfs/dbraw/zinc/50/75/58/386507558.db2.gz NNJSFWIVTKOFQY-SNVBAGLBSA-N 0 3 220.341 2.937 20 0 BFADHN Cc1cnn(CCN2CCC[C@@H]3CCCC[C@H]32)c1 ZINC000336785452 386511334 /nfs/dbraw/zinc/51/13/34/386511334.db2.gz DNMMPJUONKZSAY-LSDHHAIUSA-N 0 3 247.386 2.846 20 0 BFADHN CN(CCC1CC1)C[C@H]1COc2ccccc2O1 ZINC000336784858 386511619 /nfs/dbraw/zinc/51/16/19/386511619.db2.gz YXQWXODQEXVFAB-ZDUSSCGKSA-N 0 3 247.338 2.558 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2ccncc2F)C1 ZINC000335446449 386512923 /nfs/dbraw/zinc/51/29/23/386512923.db2.gz ZEYRFMZTBLRGOB-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN CCC1(C(=O)Nc2ccc(CN(C)C)cc2)CC1 ZINC000267224048 386514564 /nfs/dbraw/zinc/51/45/64/386514564.db2.gz WXRNXPHGPCDQFW-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CCn1cncc1CN[C@H]1CCCC(F)(F)C1 ZINC000417930163 386514625 /nfs/dbraw/zinc/51/46/25/386514625.db2.gz JQEIFDHRUCXANJ-JTQLQIEISA-N 0 3 243.301 2.571 20 0 BFADHN COc1ncccc1CN1CC[C@H](CC2CC2)C1 ZINC000339671935 386516906 /nfs/dbraw/zinc/51/69/06/386516906.db2.gz JVAYPRGWXHOAPN-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)nn1C ZINC000339712487 386530233 /nfs/dbraw/zinc/53/02/33/386530233.db2.gz RYCUJTKKFCKFER-KBPBESRZSA-N 0 3 247.386 2.739 20 0 BFADHN C[C@@H](N[C@H]1CCCSC1)c1cccnc1 ZINC000061571769 386518756 /nfs/dbraw/zinc/51/87/56/386518756.db2.gz VZJJUNONSQISNB-PWSUYJOCSA-N 0 3 222.357 2.628 20 0 BFADHN COc1cc(CN2CC[C@@H](CC3CC3)C2)ccn1 ZINC000339681462 386518849 /nfs/dbraw/zinc/51/88/49/386518849.db2.gz HRRZQPDEUCFAQQ-AWEZNQCLSA-N 0 3 246.354 2.712 20 0 BFADHN C[C@@H](CO)N(C)Cc1cccc(C(F)(F)F)c1 ZINC000135507958 386520057 /nfs/dbraw/zinc/52/00/57/386520057.db2.gz BKAWARKOIUEKNA-VIFPVBQESA-N 0 3 247.260 2.518 20 0 BFADHN CN(CCCCCO)Cc1ccc(F)cc1F ZINC000227876626 386521046 /nfs/dbraw/zinc/52/10/46/386521046.db2.gz COIWJWIYTSEJSL-UHFFFAOYSA-N 0 3 243.297 2.559 20 0 BFADHN Cc1occc1CN[C@@H](CO)CCC(C)(C)C ZINC000414448816 386524482 /nfs/dbraw/zinc/52/44/82/386524482.db2.gz SVDDPENRNDEHKU-CYBMUJFWSA-N 0 3 239.359 2.865 20 0 BFADHN CN(C[C@@H]1CCOC1)c1ccnc2ccccc21 ZINC000267296476 386524562 /nfs/dbraw/zinc/52/45/62/386524562.db2.gz SSRMHBQHQDLXNY-LBPRGKRZSA-N 0 3 242.322 2.708 20 0 BFADHN c1ccc2c(c1)CN(C[C@@H]1CCSC1)C2 ZINC000278438220 386526048 /nfs/dbraw/zinc/52/60/48/386526048.db2.gz LCTUXCCRJGERCF-NSHDSACASA-N 0 3 219.353 2.755 20 0 BFADHN Cc1cccc(CCN2CCC23CCC3)n1 ZINC000335452973 386526291 /nfs/dbraw/zinc/52/62/91/386526291.db2.gz QJLLRLCKCMUOJW-UHFFFAOYSA-N 0 3 216.328 2.561 20 0 BFADHN C[C@@H](O)CCCNCc1cc2ccccc2o1 ZINC000227955524 386527441 /nfs/dbraw/zinc/52/74/41/386527441.db2.gz SHMJWDYTJGWWIO-LLVKDONJSA-N 0 3 233.311 2.683 20 0 BFADHN COc1cc(C)nc(CN2CC[C@@H](C)[C@@H](C)C2)c1 ZINC000347563430 386453213 /nfs/dbraw/zinc/45/32/13/386453213.db2.gz IYGSZBOBAYHEAR-NEPJUHHUSA-N 0 3 248.370 2.877 20 0 BFADHN Cc1nc(CN[C@@H]2CCCC[C@H]2C)co1 ZINC000647971005 386454844 /nfs/dbraw/zinc/45/48/44/386454844.db2.gz PANRZVZRYZQLKO-BXKDBHETSA-N 0 3 208.305 2.651 20 0 BFADHN CCSCC[C@@H](C)N(C)Cc1ccno1 ZINC000266611263 386455568 /nfs/dbraw/zinc/45/55/68/386455568.db2.gz HYCYLXWHXXHLBX-SNVBAGLBSA-N 0 3 228.361 2.638 20 0 BFADHN CCC(CC)CN(CC)Cc1c(C)cnn1C ZINC000347580456 386458923 /nfs/dbraw/zinc/45/89/23/386458923.db2.gz GNZHXNASMWQTFE-UHFFFAOYSA-N 0 3 237.391 2.987 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1C[C@@H]1c1ccccc1 ZINC000339513397 386460682 /nfs/dbraw/zinc/46/06/82/386460682.db2.gz JWTOUROHDGVWBN-UONOGXRCSA-N 0 3 241.338 2.611 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1cnc(C(C)(C)C)nc1 ZINC000284396049 386461609 /nfs/dbraw/zinc/46/16/09/386461609.db2.gz PLQFXIUESSYKHX-PWSUYJOCSA-N 0 3 233.359 2.520 20 0 BFADHN CC[C@H](N[C@H]1COC(C)(C)C1)c1ccncc1 ZINC000417920763 386462613 /nfs/dbraw/zinc/46/26/13/386462613.db2.gz DRRLHEZFXVFJJR-OLZOCXBDSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CC[C@H](C)[C@H](C)C1 ZINC000336778535 386462926 /nfs/dbraw/zinc/46/29/26/386462926.db2.gz LOIXZQZRGQGMBW-HOSYDEDBSA-N 0 3 221.348 2.632 20 0 BFADHN CC(C)[C@@H](N[C@H](C)c1cncs1)C(C)(C)O ZINC000336727589 386464131 /nfs/dbraw/zinc/46/41/31/386464131.db2.gz CAUWLAIGXPJXQF-MWLCHTKSSA-N 0 3 242.388 2.589 20 0 BFADHN Cc1cnc(CN2CCCCC(C)(C)C2)cn1 ZINC000335411501 386575772 /nfs/dbraw/zinc/57/57/72/386575772.db2.gz IBNXHUXTQDOYAQ-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CCc1ncc(CNC2CC(C(C)(C)C)C2)cn1 ZINC000414458827 386578888 /nfs/dbraw/zinc/57/88/88/386578888.db2.gz YUCJYFJJEPJNLK-UHFFFAOYSA-N 0 3 247.386 2.953 20 0 BFADHN C[C@@H](NC1CC1)c1ccc(Br)nc1 ZINC000289851414 386580462 /nfs/dbraw/zinc/58/04/62/386580462.db2.gz RCZLEGQPSODHJN-SSDOTTSWSA-N 0 3 241.132 2.657 20 0 BFADHN CCCCCN1CCC[C@@H]1c1cc[nH]n1 ZINC000278780149 386583999 /nfs/dbraw/zinc/58/39/99/386583999.db2.gz ZGXJTZFOXJQDAB-GFCCVEGCSA-N 0 3 207.321 2.737 20 0 BFADHN CCC(CC)CN(CC)Cc1cc(C)nn1C ZINC000417711614 386584283 /nfs/dbraw/zinc/58/42/83/386584283.db2.gz DSVWALNHKZCUJU-UHFFFAOYSA-N 0 3 237.391 2.987 20 0 BFADHN Cc1ccc(CN(C2CCC2)C2CCC2)nn1 ZINC000414458149 386584219 /nfs/dbraw/zinc/58/42/19/386584219.db2.gz XINSKLAECPZMJY-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN Cc1occc1CN1CC[C@@]2(C1)CCCOC2 ZINC000414460258 386586043 /nfs/dbraw/zinc/58/60/43/386586043.db2.gz XXZSELIKOJJPET-CQSZACIVSA-N 0 3 235.327 2.591 20 0 BFADHN CCCN(Cc1cncn1CC)CC(C)C ZINC000417718220 386586848 /nfs/dbraw/zinc/58/68/48/386586848.db2.gz OBWDOUBDWMPQCJ-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2CCOC[C@H]2C)o1 ZINC000278812158 386589830 /nfs/dbraw/zinc/58/98/30/386589830.db2.gz ZSOFXULFRJPQFX-NTZNESFSSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@@H]1CCN(Cc2ccno2)CC12CCC2 ZINC000335419088 386590294 /nfs/dbraw/zinc/59/02/94/386590294.db2.gz VVPCMFCIVOMLOL-LLVKDONJSA-N 0 3 220.316 2.687 20 0 BFADHN CC1(C)C[C@H]1NCc1c[nH]c(-c2ccccc2)n1 ZINC000267855160 386591981 /nfs/dbraw/zinc/59/19/81/386591981.db2.gz DNBZTNACCMLASM-CYBMUJFWSA-N 0 3 241.338 2.965 20 0 BFADHN CC1(C)C[C@H]1NCc1cnc(-c2ccccc2)[nH]1 ZINC000267855160 386591986 /nfs/dbraw/zinc/59/19/86/386591986.db2.gz DNBZTNACCMLASM-CYBMUJFWSA-N 0 3 241.338 2.965 20 0 BFADHN C[C@@H]1CN([C@H]2CCc3ccc(F)cc32)CCCO1 ZINC000248368825 386592573 /nfs/dbraw/zinc/59/25/73/386592573.db2.gz VPUSFCUVUIJCRA-ABAIWWIYSA-N 0 3 249.329 2.924 20 0 BFADHN CCN(C)[C@@H](COC)c1ccc(Cl)cc1 ZINC000278836609 386593509 /nfs/dbraw/zinc/59/35/09/386593509.db2.gz DOWWWZKEZBODDH-LBPRGKRZSA-N 0 3 227.735 2.979 20 0 BFADHN CC1(C(N)=O)CN(Cc2cccc3ccoc32)C1 ZINC000335421469 386593871 /nfs/dbraw/zinc/59/38/71/386593871.db2.gz AGCHBBNQAFHLRW-UHFFFAOYSA-N 0 3 244.294 2.790 20 0 BFADHN CCc1ncc(CN(CC)CC(C)(C)C)cn1 ZINC000414451688 386532533 /nfs/dbraw/zinc/53/25/33/386532533.db2.gz IUHZKDLYZOIROH-UHFFFAOYSA-N 0 3 235.375 2.907 20 0 BFADHN C[C@@H](O)CCCN[C@@H](C)c1ccc(F)c(F)c1 ZINC000228034702 386533005 /nfs/dbraw/zinc/53/30/05/386533005.db2.gz MYNXKTYTKIBVFM-ZJUUUORDSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@@H](NCCC[C@H](C)O)c1cc(F)ccc1F ZINC000228036224 386534312 /nfs/dbraw/zinc/53/43/12/386534312.db2.gz GBTGLXBCUDRYLS-VHSXEESVSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1nc(F)ccc1CN(C)[C@H](C)C1CC1 ZINC000278507839 386535608 /nfs/dbraw/zinc/53/56/08/386535608.db2.gz WKUHKCGCJFTSBA-SNVBAGLBSA-N 0 3 222.307 2.759 20 0 BFADHN C[C@@H](O)CCN(C)[C@H]1CCc2ccc(F)cc21 ZINC000267399795 386535850 /nfs/dbraw/zinc/53/58/50/386535850.db2.gz ZINGNIAYVSEVFT-YGRLFVJLSA-N 0 3 237.318 2.516 20 0 BFADHN C[C@@H](O)CCCN[C@@H](C)c1cc(F)cc(F)c1 ZINC000228036296 386535999 /nfs/dbraw/zinc/53/59/99/386535999.db2.gz UWOBIFDXJCVODZ-ZJUUUORDSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1occc1CN(CC1CCC1)[C@@H](C)CO ZINC000414451378 386536297 /nfs/dbraw/zinc/53/62/97/386536297.db2.gz NKNSFAIXWCMRQI-NSHDSACASA-N 0 3 237.343 2.571 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@H](C)c1ccccn1 ZINC000336733451 386543271 /nfs/dbraw/zinc/54/32/71/386543271.db2.gz MKQFCLPHRUADSY-YUSALJHKSA-N 0 3 222.357 2.626 20 0 BFADHN C(C1CC1)N1CCN(CC2CC2)[C@H]2CCCC[C@H]21 ZINC000339733871 386550582 /nfs/dbraw/zinc/55/05/82/386550582.db2.gz LUDGOVCPIFFELZ-IYBDPMFKSA-N 0 3 248.414 2.735 20 0 BFADHN CC(C)C1(C)CCN(Cc2ccnc(N)c2)CC1 ZINC000278862475 386597415 /nfs/dbraw/zinc/59/74/15/386597415.db2.gz NGENWHXNJHDJPA-UHFFFAOYSA-N 0 3 247.386 2.922 20 0 BFADHN CC[C@]1(O)CCN(Cc2cc3ccccc3o2)C1 ZINC000335402915 386558481 /nfs/dbraw/zinc/55/84/81/386558481.db2.gz VKBZHYWAGNFXSY-HNNXBMFYSA-N 0 3 245.322 2.780 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1cccc2[nH]ccc21 ZINC000442724151 386565937 /nfs/dbraw/zinc/56/59/37/386565937.db2.gz QVKKJQFHQMVUQU-NSHDSACASA-N 0 3 245.326 2.624 20 0 BFADHN CCc1ccc(NC(=O)[C@@]2(C)CCCCN2)cc1 ZINC000136132862 386569641 /nfs/dbraw/zinc/56/96/41/386569641.db2.gz YCSCBCDQTWQCLU-OAHLLOKOSA-N 0 3 246.354 2.720 20 0 BFADHN CCc1cccc(NC(=O)[C@@]2(C)CCCCN2)c1 ZINC000136134820 386570206 /nfs/dbraw/zinc/57/02/06/386570206.db2.gz BRWYGWWDBSDSPI-OAHLLOKOSA-N 0 3 246.354 2.720 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](O)C2CCC2)o1 ZINC000453397118 386573610 /nfs/dbraw/zinc/57/36/10/386573610.db2.gz ZCPDTICTCUPLHI-MFKMUULPSA-N 0 3 237.343 2.654 20 0 BFADHN CC[C@H]1CCCCN1Cc1cncn1CC ZINC000417742068 386619959 /nfs/dbraw/zinc/61/99/59/386619959.db2.gz JXDNPRACKAESFM-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CCC[C@H]2C)s1 ZINC000336758192 386622359 /nfs/dbraw/zinc/62/23/59/386622359.db2.gz ZISMFYWONICZLQ-ZJUUUORDSA-N 0 3 239.388 2.940 20 0 BFADHN CC(C)n1nccc1CN1C[C@H](C)C[C@H](C)C1 ZINC000337141938 386623061 /nfs/dbraw/zinc/62/30/61/386623061.db2.gz WLMQZCJRDTWTBU-BETUJISGSA-N 0 3 235.375 2.942 20 0 BFADHN CC[C@H]1CCN(Cc2ccnn2C(C)C)C1 ZINC000337174537 386631974 /nfs/dbraw/zinc/63/19/74/386631974.db2.gz HZQQJSCJNZNJAN-LBPRGKRZSA-N 0 3 221.348 2.696 20 0 BFADHN CN(Cc1ccc2c(c1)CC(C)(C)O2)C1CC1 ZINC000179304639 386633224 /nfs/dbraw/zinc/63/32/24/386633224.db2.gz GTJDIGBPQLDCQL-UHFFFAOYSA-N 0 3 231.339 2.994 20 0 BFADHN CCCN(Cc1ccoc1C)[C@H]1CCN(C)C1 ZINC000648056799 386656548 /nfs/dbraw/zinc/65/65/48/386656548.db2.gz WOFWBUAUQVYLSX-AWEZNQCLSA-N 0 3 236.359 2.504 20 0 BFADHN Cc1cc(CNCC(C)(C)C2CCC2)nn1C ZINC000414469323 386640598 /nfs/dbraw/zinc/64/05/98/386640598.db2.gz LTFNEPSIONTRRI-UHFFFAOYSA-N 0 3 235.375 2.644 20 0 BFADHN CC(C)[C@@H](O)CCNCc1ccsc1Cl ZINC000336758991 386656063 /nfs/dbraw/zinc/65/60/63/386656063.db2.gz LCOLOGQJAHGJDV-JTQLQIEISA-N 0 3 247.791 2.898 20 0 BFADHN CCc1cccc(F)c1CN1CCCC1 ZINC000353384221 386643811 /nfs/dbraw/zinc/64/38/11/386643811.db2.gz SUSBXWWPKXIEKL-UHFFFAOYSA-N 0 3 207.292 2.984 20 0 BFADHN CC(C)C[C@@H](C)CN1CCN(C2CCC2)CC1 ZINC000337196531 386644205 /nfs/dbraw/zinc/64/42/05/386644205.db2.gz WJWZEQKSFYSAQC-CQSZACIVSA-N 0 3 238.419 2.839 20 0 BFADHN C[C@@H](NCC1CC(F)(F)C1)c1ccncc1F ZINC000340391401 386644548 /nfs/dbraw/zinc/64/45/48/386644548.db2.gz SPAFQHHXPVAAAB-MRVPVSSYSA-N 0 3 244.260 2.917 20 0 BFADHN CC(C)(C)CCCN1C[C@H]2CC[C@@H](C1)O2 ZINC000337193377 386645073 /nfs/dbraw/zinc/64/50/73/386645073.db2.gz UNFDAMMMGOJWHH-TXEJJXNPSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)n1nccc1CN1CC[C@@H](C)[C@H](C)C1 ZINC000337196041 386645384 /nfs/dbraw/zinc/64/53/84/386645384.db2.gz XAWAGRRNTYVKHN-CHWSQXEVSA-N 0 3 235.375 2.942 20 0 BFADHN CC(=O)c1cccc(OCCN2CCCCC2)c1 ZINC000020253848 386645529 /nfs/dbraw/zinc/64/55/29/386645529.db2.gz CIHQKPZQOORNIJ-UHFFFAOYSA-N 0 3 247.338 2.754 20 0 BFADHN CC[C@@H](NCCC[C@@H](C)O)c1nc(C)cs1 ZINC000450727224 386647393 /nfs/dbraw/zinc/64/73/93/386647393.db2.gz MCBYLKDQPTYOFJ-GHMZBOCLSA-N 0 3 242.388 2.653 20 0 BFADHN CC[C@H]1CCCN(Cc2cc(C)ncn2)CC1 ZINC000292359063 386656314 /nfs/dbraw/zinc/65/63/14/386656314.db2.gz FMEUHVNKHXHSFB-ZDUSSCGKSA-N 0 3 233.359 2.797 20 0 BFADHN COc1ccccc1C1(NC[C@H]2C[C@@H]2C)CC1 ZINC000648056117 386652667 /nfs/dbraw/zinc/65/26/67/386652667.db2.gz VFZKMAONYOBVQL-NWDGAFQWSA-N 0 3 231.339 2.930 20 0 BFADHN Cc1cc(CN2C[C@H](C(C)C)[C@H]2C(C)C)nn1C ZINC000414472060 386652904 /nfs/dbraw/zinc/65/29/04/386652904.db2.gz RZGRSHYPNZBODJ-HUUCEWRRSA-N 0 3 249.402 2.841 20 0 BFADHN CO[C@]1(C)CCCN([C@H](C)c2ccccn2)C1 ZINC000279232945 386654228 /nfs/dbraw/zinc/65/42/28/386654228.db2.gz FAJMBJLHYYBERE-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cnc(OC)nc1C ZINC000337183629 386654308 /nfs/dbraw/zinc/65/43/08/386654308.db2.gz NVRSYOFMQUUWDP-GWCFXTLKSA-N 0 3 249.358 2.557 20 0 BFADHN CCn1cncc1CN([C@@H](C)C1CC1)C1CC1 ZINC000417723654 386599262 /nfs/dbraw/zinc/59/92/62/386599262.db2.gz XEBREJGBIBAAMF-NSHDSACASA-N 0 3 233.359 2.666 20 0 BFADHN C[C@H](NCCC1CCOCC1)c1nccs1 ZINC000336645217 386605531 /nfs/dbraw/zinc/60/55/31/386605531.db2.gz CTFWSOUHFKSOFY-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN Cc1ncc2c(n1)CCN(CCCC(C)(C)C)C2 ZINC000520206112 386605630 /nfs/dbraw/zinc/60/56/30/386605630.db2.gz YOHDUQNBMYGQGB-UHFFFAOYSA-N 0 3 247.386 2.969 20 0 BFADHN CCC1(CC)CCN(Cc2ccno2)C1 ZINC000268001626 386606566 /nfs/dbraw/zinc/60/65/66/386606566.db2.gz CZZOHEJTHIYRSA-UHFFFAOYSA-N 0 3 208.305 2.687 20 0 BFADHN Cc1occc1CN(CCO)[C@@H]1CC[C@H](C)C1 ZINC000414463107 386610503 /nfs/dbraw/zinc/61/05/03/386610503.db2.gz ZYSCTUWTWJQFGU-SMDDNHRTSA-N 0 3 237.343 2.571 20 0 BFADHN CCN(Cc1ccoc1)C[C@@H](OC)C1CC1 ZINC000644921172 386612577 /nfs/dbraw/zinc/61/25/77/386612577.db2.gz APCMTTUGMVBREJ-CYBMUJFWSA-N 0 3 223.316 2.527 20 0 BFADHN Cc1cc(CN2CC[C@@H](C3CCCC3)C2)on1 ZINC000335493812 386613182 /nfs/dbraw/zinc/61/31/82/386613182.db2.gz HGEOPBZHVNWNFJ-CYBMUJFWSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1cc(CN2CC[C@H](C3CCCC3)C2)on1 ZINC000335493813 386614185 /nfs/dbraw/zinc/61/41/85/386614185.db2.gz HGEOPBZHVNWNFJ-ZDUSSCGKSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1csc(CN2C[C@@H](C)[C@H](C)C2)n1 ZINC000268103816 386617897 /nfs/dbraw/zinc/61/78/97/386617897.db2.gz LEIXTQJONPOABE-RKDXNWHRSA-N 0 3 210.346 2.539 20 0 BFADHN C1=CC[C@H](CN2CCO[C@H](C3CCC3)C2)CC1 ZINC000414473387 386659365 /nfs/dbraw/zinc/65/93/65/386659365.db2.gz QMMOHZXFDQCTLA-ZFWWWQNUSA-N 0 3 235.371 2.844 20 0 BFADHN CO[C@@H]1C[C@H](N(C)Cc2ccoc2C)C12CCC2 ZINC000414473332 386659916 /nfs/dbraw/zinc/65/99/16/386659916.db2.gz VAMDJMFPKSQDAV-UONOGXRCSA-N 0 3 249.354 2.977 20 0 BFADHN CCC[C@H](O)CNCc1ccc(SC)s1 ZINC000336738928 386664854 /nfs/dbraw/zinc/66/48/54/386664854.db2.gz RWPFCUVGOZMYBN-VIFPVBQESA-N 0 3 245.413 2.721 20 0 BFADHN CCc1cccc(CN2CC[C@H](O)C[C@@H]2C)c1 ZINC000292415140 386665093 /nfs/dbraw/zinc/66/50/93/386665093.db2.gz MHVHMKUSRSISBY-WFASDCNBSA-N 0 3 233.355 2.594 20 0 BFADHN CN(Cc1ncc[nH]1)c1ccnc2ccccc21 ZINC000428128644 386665441 /nfs/dbraw/zinc/66/54/41/386665441.db2.gz CGIYUDKBQGTKMF-UHFFFAOYSA-N 0 3 238.294 2.594 20 0 BFADHN Cc1cc(CN2CCC[C@H]2C(C)(C)C)ncn1 ZINC000292407536 386666211 /nfs/dbraw/zinc/66/62/11/386666211.db2.gz KEAOINMFYBKFLO-ZDUSSCGKSA-N 0 3 233.359 2.796 20 0 BFADHN CN(Cc1cnccn1)C1CCCCCC1 ZINC000335523463 386666663 /nfs/dbraw/zinc/66/66/63/386666663.db2.gz OQGBRUWALAHEII-UHFFFAOYSA-N 0 3 219.332 2.631 20 0 BFADHN Cn1cccc1CN1CCSC(C)(C)CC1 ZINC000279375674 386673647 /nfs/dbraw/zinc/67/36/47/386673647.db2.gz IKCOPBPSOJHANS-UHFFFAOYSA-N 0 3 238.400 2.743 20 0 BFADHN COCC[C@@H](C)CNCc1ncc(Cl)s1 ZINC000414478763 386675941 /nfs/dbraw/zinc/67/59/41/386675941.db2.gz GSMDAJRKLMYPMY-MRVPVSSYSA-N 0 3 248.779 2.559 20 0 BFADHN CCC[C@H](C)N1CCN(c2cc(C)ccn2)CC1 ZINC000337246147 386676349 /nfs/dbraw/zinc/67/63/49/386676349.db2.gz JELBDNQKGQFEJN-AWEZNQCLSA-N 0 3 247.386 2.701 20 0 BFADHN CC[C@@H]1CN(C)CCN1CCCc1ccccc1 ZINC000647954107 386679799 /nfs/dbraw/zinc/67/97/99/386679799.db2.gz ZTANDBSXYAJBLG-MRXNPFEDSA-N 0 3 246.398 2.645 20 0 BFADHN CCn1cncc1CN(C)CC1CCCC1 ZINC000417761019 386680385 /nfs/dbraw/zinc/68/03/85/386680385.db2.gz YLIHSNNLGLCWAA-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@H](C)[C@@H]2C)cc1 ZINC000357003189 386680289 /nfs/dbraw/zinc/68/02/89/386680289.db2.gz NXVQAWCCRSFFIH-KGLIPLIRSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1cc(C)cc(CN2CCN(C)[C@@H](C)[C@@H]2C)c1 ZINC000356999332 386681564 /nfs/dbraw/zinc/68/15/64/386681564.db2.gz KDAQDIAUKQPGOE-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN C[C@@H](C[S@@](C)=O)N(C)CCCC(C)(C)C ZINC000337214990 386656833 /nfs/dbraw/zinc/65/68/33/386656833.db2.gz XYKWSPCTELOINI-XHDPSFHLSA-N 0 3 233.421 2.512 20 0 BFADHN CC[C@H]1COCCN1C[C@H](C)CC(C)C ZINC000337188080 386657277 /nfs/dbraw/zinc/65/72/77/386657277.db2.gz QAHFDKMKHHCQGO-OLZOCXBDSA-N 0 3 213.365 2.779 20 0 BFADHN CC1(CC(F)F)CN(C[C@@H]2CCSC2)C1 ZINC000648058769 386681967 /nfs/dbraw/zinc/68/19/67/386681967.db2.gz BEQKESDMJDUYIG-VIFPVBQESA-N 0 3 235.343 2.717 20 0 BFADHN FCCCN1CC[C@@H](c2ccccc2F)C1 ZINC000268872545 386709226 /nfs/dbraw/zinc/70/92/26/386709226.db2.gz GMBTVMUQSWCADD-LLVKDONJSA-N 0 3 225.282 2.975 20 0 BFADHN CCn1ccc(CNC[C@@H]2CCC[C@H](C)C2)n1 ZINC000414487917 386709328 /nfs/dbraw/zinc/70/93/28/386709328.db2.gz WBICDPXIPHKMHO-QWHCGFSZSA-N 0 3 235.375 2.819 20 0 BFADHN CC(C)[C@H](O)CN(C)Cc1cc2ccccc2o1 ZINC000292510475 386683338 /nfs/dbraw/zinc/68/33/38/386683338.db2.gz DBIQXRFVFCOETF-CQSZACIVSA-N 0 3 247.338 2.882 20 0 BFADHN C=Cn1cc(CN(C)CCC(C)(C)C)cn1 ZINC000648059804 386684114 /nfs/dbraw/zinc/68/41/14/386684114.db2.gz MABMRZYTMOFDMY-UHFFFAOYSA-N 0 3 221.348 2.852 20 0 BFADHN CCC[C@]1(NCc2ccc(C)nc2C)CCOC1 ZINC000352840136 386689390 /nfs/dbraw/zinc/68/93/90/386689390.db2.gz SJKCSRGYFXECMG-HNNXBMFYSA-N 0 3 248.370 2.747 20 0 BFADHN CCC[C@@H](NCc1ccc(OC)nn1)C1CCC1 ZINC000324940594 386693344 /nfs/dbraw/zinc/69/33/44/386693344.db2.gz XFWYGARIQQLLHA-CYBMUJFWSA-N 0 3 249.358 2.544 20 0 BFADHN Cc1ccc(C)c(CN2CCN(C)[C@H](C)[C@H]2C)c1 ZINC000357036021 386695819 /nfs/dbraw/zinc/69/58/19/386695819.db2.gz JUPJJXVECZANPS-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN CCOc1ccc(CN[C@H](C)[C@@H](C)OC)cc1 ZINC000268795588 386696150 /nfs/dbraw/zinc/69/61/50/386696150.db2.gz IDJDKORAAMWXNL-VXGBXAGGSA-N 0 3 237.343 2.598 20 0 BFADHN CC[C@@H](NCc1nccn1CC)C1CCC1 ZINC000322494476 386696421 /nfs/dbraw/zinc/69/64/21/386696421.db2.gz ZPNMJIUVCWGYMX-GFCCVEGCSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1ccc(C)c(CN2CCN(C)[C@@H](C)[C@@H]2C)c1 ZINC000357036019 386696742 /nfs/dbraw/zinc/69/67/42/386696742.db2.gz JUPJJXVECZANPS-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)C2CCC2)on1 ZINC000357042808 386700881 /nfs/dbraw/zinc/70/08/81/386700881.db2.gz QZWJJTCEROHZAT-JTQLQIEISA-N 0 3 208.305 2.603 20 0 BFADHN CCOc1cccc(CN[C@H]2CCC[C@@H]2C)n1 ZINC000337284121 386701863 /nfs/dbraw/zinc/70/18/63/386701863.db2.gz JHMDQTMUMZXUPH-AAEUAGOBSA-N 0 3 234.343 2.759 20 0 BFADHN FC(F)O[C@@H]1CCC[C@@H]1NCc1ccsc1 ZINC000337284749 386701923 /nfs/dbraw/zinc/70/19/23/386701923.db2.gz JRFMOXUTJVSXDG-VHSXEESVSA-N 0 3 247.310 2.998 20 0 BFADHN CCn1ccc(CNCCc2cccc(C)c2)n1 ZINC000414488857 386703116 /nfs/dbraw/zinc/70/31/16/386703116.db2.gz XRVLASONMMKFBX-UHFFFAOYSA-N 0 3 243.354 2.544 20 0 BFADHN CC(C)[C@@H](O)CN(Cc1ccco1)C(C)C ZINC000292652260 386704339 /nfs/dbraw/zinc/70/43/39/386704339.db2.gz PECFZOAOWDGAFF-ZDUSSCGKSA-N 0 3 225.332 2.507 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@H](C)C2)ncn1 ZINC000292657580 386704960 /nfs/dbraw/zinc/70/49/60/386704960.db2.gz AWMTZAMQTHCEMS-NEPJUHHUSA-N 0 3 233.359 2.653 20 0 BFADHN CC(C)C[C@@H](NC[C@@H](C)N(C)C)c1ccccn1 ZINC000268853853 386706849 /nfs/dbraw/zinc/70/68/49/386706849.db2.gz HXPCXSHWQCHIFH-UKRRQHHQSA-N 0 3 249.402 2.709 20 0 BFADHN CC1(C)CC(NCc2ccc(-c3cc[nH]n3)o2)C1 ZINC000520611468 386707720 /nfs/dbraw/zinc/70/77/20/386707720.db2.gz NMQAPBDDQOLKKL-UHFFFAOYSA-N 0 3 245.326 2.948 20 0 BFADHN CCn1cncc1CN1CCCC[C@H]1C(C)C ZINC000417773672 386708150 /nfs/dbraw/zinc/70/81/50/386708150.db2.gz JNCSASRQHBLMMW-AWEZNQCLSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1ccc(CNCC2CCC(C)CC2)n1 ZINC000414487232 386708552 /nfs/dbraw/zinc/70/85/52/386708552.db2.gz VALJGIHCNFOTCP-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN CC[C@H](N[C@H](C)CCO)c1cc(F)ccc1F ZINC000268997951 386736053 /nfs/dbraw/zinc/73/60/53/386736053.db2.gz IRZYCQKUIGEGKX-RNCFNFMXSA-N 0 3 243.297 2.776 20 0 BFADHN C=Cn1cc(CN2CC3(CCC3)[C@@H]2C(C)C)cn1 ZINC000292869726 386738144 /nfs/dbraw/zinc/73/81/44/386738144.db2.gz WFMKPSIMHFLRFL-AWEZNQCLSA-N 0 3 245.370 2.994 20 0 BFADHN COc1ccc([C@@H]2CCN(CC3(F)CC3)C2)cc1 ZINC000526970524 386714201 /nfs/dbraw/zinc/71/42/01/386714201.db2.gz DZMLLIQVHDJTQJ-CYBMUJFWSA-N 0 3 249.329 2.987 20 0 BFADHN Cc1ccc2c(c1)OCCC[C@@H]2NCC[C@H](C)O ZINC000268895710 386716229 /nfs/dbraw/zinc/71/62/29/386716229.db2.gz PCWVIINGOLOLAY-JSGCOSHPSA-N 0 3 249.354 2.569 20 0 BFADHN CC[C@H](Nc1ccnc2ccc(C)cc21)[C@@H](C)O ZINC000450802757 386719354 /nfs/dbraw/zinc/71/93/54/386719354.db2.gz VKOSMPCVMSXEPS-YPMHNXCESA-N 0 3 244.338 2.536 20 0 BFADHN CC(C)[C@H]1N(Cc2ccc(CO)o2)CC12CCC2 ZINC000292765253 386720053 /nfs/dbraw/zinc/72/00/53/386720053.db2.gz JURREXDSQWZAMY-CQSZACIVSA-N 0 3 249.354 2.782 20 0 BFADHN C=Cn1cc(CN(C2CCC2)C2CCC2)cn1 ZINC000292761166 386720145 /nfs/dbraw/zinc/72/01/45/386720145.db2.gz JNFNZXYSCNKNAN-UHFFFAOYSA-N 0 3 231.343 2.891 20 0 BFADHN CC[C@H]1CCCN(Cc2ccnc(OC)c2)C1 ZINC000339867442 386723516 /nfs/dbraw/zinc/72/35/16/386723516.db2.gz RRNWKUDOZLDJOF-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1cc(CCNCC2(F)CC2)cc(C)c1O ZINC000526988152 386724219 /nfs/dbraw/zinc/72/42/19/386724219.db2.gz RUKQIBICKPHKBF-UHFFFAOYSA-N 0 3 237.318 2.643 20 0 BFADHN CCC[C@@H](NCc1cnns1)C1CCC1 ZINC000325066504 386724570 /nfs/dbraw/zinc/72/45/70/386724570.db2.gz ZEHUNBDAGULFJU-LLVKDONJSA-N 0 3 225.361 2.597 20 0 BFADHN CCc1ccc(CN2CCC[C@@H](OC)CC2)nc1 ZINC000645116080 386730115 /nfs/dbraw/zinc/73/01/15/386730115.db2.gz LOJCBPWVSIKHEX-OAHLLOKOSA-N 0 3 248.370 2.645 20 0 BFADHN CC[C@H](N)C(=O)N(C)c1ccc(C(C)C)cc1 ZINC000269065521 386745736 /nfs/dbraw/zinc/74/57/36/386745736.db2.gz NSKKZNZICWEZPI-ZDUSSCGKSA-N 0 3 234.343 2.510 20 0 BFADHN CO[C@@H](CNC/C=C/c1ccc(F)cc1)C1CC1 ZINC000292908790 386748924 /nfs/dbraw/zinc/74/89/24/386748924.db2.gz FFYFWISECWXUAG-FAAWYNLUSA-N 0 3 249.329 2.854 20 0 BFADHN COc1ccc(F)c(CNC[C@@H](C)C2CC2)c1 ZINC000645150360 386755396 /nfs/dbraw/zinc/75/53/96/386755396.db2.gz WEGOKQWMRZAGDU-SNVBAGLBSA-N 0 3 237.318 2.970 20 0 BFADHN COc1ccc(F)c(CNC[C@H](C)C2CC2)c1 ZINC000645150356 386756549 /nfs/dbraw/zinc/75/65/49/386756549.db2.gz WEGOKQWMRZAGDU-JTQLQIEISA-N 0 3 237.318 2.970 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1ccc(C)nc1)C1CC1 ZINC000414501079 386757494 /nfs/dbraw/zinc/75/74/94/386757494.db2.gz MVIQNIDLDWSNRD-IUODEOHRSA-N 0 3 248.370 2.683 20 0 BFADHN CC[C@H](C)[C@H](CN[C@@H]1CCCC[C@H]1F)OC ZINC000639264320 386758986 /nfs/dbraw/zinc/75/89/86/386758986.db2.gz BVVNHQVUEVFERD-LOWDOPEQSA-N 0 3 231.355 2.918 20 0 BFADHN Cc1ccoc1CNC[C@@]1(O)CCCC1(C)C ZINC000293002729 386763248 /nfs/dbraw/zinc/76/32/48/386763248.db2.gz OXTUYHFZAMRPTQ-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN CN(Cc1ccnn1-c1ccccc1)CC1CC1 ZINC000280002404 386766600 /nfs/dbraw/zinc/76/66/00/386766600.db2.gz VIDOCTIPFGYTQG-UHFFFAOYSA-N 0 3 241.338 2.714 20 0 BFADHN COc1ccsc1CN[C@@H]1CS[C@@H](C)C1 ZINC000414501909 386767086 /nfs/dbraw/zinc/76/70/86/386767086.db2.gz STKKVICMQQFICD-IUCAKERBSA-N 0 3 243.397 2.740 20 0 BFADHN CC1(C)C[C@@H](N[C@@H]2CCc3cc(F)ccc32)CO1 ZINC000396512788 386768345 /nfs/dbraw/zinc/76/83/45/386768345.db2.gz CEXJMNMFYQTFEU-TZMCWYRMSA-N 0 3 249.329 2.970 20 0 BFADHN CCCC[C@H](CCC)NCc1cnnn1CC ZINC000417951505 386741042 /nfs/dbraw/zinc/74/10/42/386741042.db2.gz WYVMOVXDPXXVHI-LBPRGKRZSA-N 0 3 238.379 2.747 20 0 BFADHN COc1cc(CNC[C@@H](OC)C2CC2)ccc1C ZINC000292887848 386741644 /nfs/dbraw/zinc/74/16/44/386741644.db2.gz CPPDEONBPUFMGF-OAHLLOKOSA-N 0 3 249.354 2.518 20 0 BFADHN CC[C@H](O)CN[C@H]1CC(C)(C)Cc2occc21 ZINC000293214864 386789440 /nfs/dbraw/zinc/78/94/40/386789440.db2.gz ADTMXTGVCSTOIJ-JQWIXIFHSA-N 0 3 237.343 2.654 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cc(C)ncn1 ZINC000293223072 386790019 /nfs/dbraw/zinc/79/00/19/386790019.db2.gz PYPKZWWCRQGWCK-AWEZNQCLSA-N 0 3 233.359 2.940 20 0 BFADHN CCC[C@@H](NCCOC)c1ccsc1 ZINC000191181802 386772720 /nfs/dbraw/zinc/77/27/20/386772720.db2.gz CLVMUXVKFMNIFT-LLVKDONJSA-N 0 3 213.346 2.825 20 0 BFADHN CO[C@@H](C)CNCc1cc(Cl)ccc1F ZINC000191201598 386774055 /nfs/dbraw/zinc/77/40/55/386774055.db2.gz UEOJOHGCSIAKHT-QMMMGPOBSA-N 0 3 231.698 2.604 20 0 BFADHN CC(C)Cn1nccc1CN(C)C(C)(C)C ZINC000648069470 386775661 /nfs/dbraw/zinc/77/56/61/386775661.db2.gz BYBMMQUPYZSEAK-UHFFFAOYSA-N 0 3 223.364 2.769 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)nn1 ZINC000396559923 386775575 /nfs/dbraw/zinc/77/55/75/386775575.db2.gz JPUZEMZYIVOIPQ-UHIISALHSA-N 0 3 233.359 2.699 20 0 BFADHN CC(F)(F)c1ccc(CN[C@H]2CCOC2)cc1 ZINC000293115171 386778441 /nfs/dbraw/zinc/77/84/41/386778441.db2.gz YRXKJCGNPVTDLG-LBPRGKRZSA-N 0 3 241.281 2.677 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2ccc(C)nn2)C1 ZINC000396574072 386781015 /nfs/dbraw/zinc/78/10/15/386781015.db2.gz PCLOGRXICBNFFM-STQMWFEESA-N 0 3 233.359 2.843 20 0 BFADHN CCn1cncc1CN(C)CCc1cccs1 ZINC000417812254 386781374 /nfs/dbraw/zinc/78/13/74/386781374.db2.gz OFCHOTXHQZBZJX-UHFFFAOYSA-N 0 3 249.383 2.639 20 0 BFADHN CC(C)CCCSC[C@@H](O)CN(C)C1CC1 ZINC000357449453 386783437 /nfs/dbraw/zinc/78/34/37/386783437.db2.gz FXKFDKFCAHWEFB-ZDUSSCGKSA-N 0 3 245.432 2.611 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@@H](CO)C2)o1 ZINC000269373526 386785511 /nfs/dbraw/zinc/78/55/11/386785511.db2.gz UZEOSDLVZGTNHG-BZPMIXESSA-N 0 3 249.354 2.607 20 0 BFADHN C[C@@H](O)CN1CCC[C@H]1c1ccccc1Cl ZINC000248656576 386785740 /nfs/dbraw/zinc/78/57/40/386785740.db2.gz RSIINOZTMXTIAI-MFKMUULPSA-N 0 3 239.746 2.858 20 0 BFADHN Cc1ccoc1CNCCCc1ccccn1 ZINC000269381021 386785808 /nfs/dbraw/zinc/78/58/08/386785808.db2.gz XSTNKWLAKJTNFI-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1ccc(C)nn1 ZINC000396598766 386785906 /nfs/dbraw/zinc/78/59/06/386785906.db2.gz ZWXJBNLFLNYQAO-OCCSQVGLSA-N 0 3 233.359 2.843 20 0 BFADHN CCC1CC(NCc2nccn2C(F)F)C1 ZINC000336744001 386788870 /nfs/dbraw/zinc/78/88/70/386788870.db2.gz UJGJEJPXFXRLOS-UHFFFAOYSA-N 0 3 229.274 2.556 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccs1)[C@H]1CCCOC1 ZINC000336723975 386789052 /nfs/dbraw/zinc/78/90/52/386789052.db2.gz BAPTWGQLSLKEKT-VWYCJHECSA-N 0 3 240.372 2.609 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2cncc(C)c2)CC1 ZINC000280586109 386824811 /nfs/dbraw/zinc/82/48/11/386824811.db2.gz TZCAPHSVJHNIDR-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC(C)(C)[C@@H]2C(C)C)n1 ZINC000353747602 386821944 /nfs/dbraw/zinc/82/19/44/386821944.db2.gz PAFAYWDRHGODFB-AWEZNQCLSA-N 0 3 248.370 2.962 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC(C)(C)[C@@H]2C(C)C)n1 ZINC000353747602 386821949 /nfs/dbraw/zinc/82/19/49/386821949.db2.gz PAFAYWDRHGODFB-AWEZNQCLSA-N 0 3 248.370 2.962 20 0 BFADHN CC[C@H](N[C@@H]1CSC[C@@H]1C)c1nccs1 ZINC000336669243 386798298 /nfs/dbraw/zinc/79/82/98/386798298.db2.gz FLOJIODBCIVIRG-LPEHRKFASA-N 0 3 242.413 2.935 20 0 BFADHN CCCCCN[C@@H](CO)c1ccsc1 ZINC000336669284 386798752 /nfs/dbraw/zinc/79/87/52/386798752.db2.gz XPWOBYAVBOWSCH-NSHDSACASA-N 0 3 213.346 2.561 20 0 BFADHN CC[C@H](N[C@@H]1CSC[C@H]1C)c1nccs1 ZINC000336669242 386798985 /nfs/dbraw/zinc/79/89/85/386798985.db2.gz FLOJIODBCIVIRG-KXUCPTDWSA-N 0 3 242.413 2.935 20 0 BFADHN CC[C@H](N[C@H]1CSC[C@H]1C)c1nccs1 ZINC000336669246 386799804 /nfs/dbraw/zinc/79/98/04/386799804.db2.gz FLOJIODBCIVIRG-UTLUCORTSA-N 0 3 242.413 2.935 20 0 BFADHN C[C@H](NCC1=CCCOC1)c1ccsc1 ZINC000283091761 386802393 /nfs/dbraw/zinc/80/23/93/386802393.db2.gz WOMBIMMOEDBCGM-JTQLQIEISA-N 0 3 223.341 2.745 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CC=CCC2)n(C)n1 ZINC000417995663 386804971 /nfs/dbraw/zinc/80/49/71/386804971.db2.gz FXCFYPHBKAXPPJ-CYBMUJFWSA-N 0 3 233.359 2.517 20 0 BFADHN CCn1cncc1CNCc1ccc(C)cc1C ZINC000417865154 386808114 /nfs/dbraw/zinc/80/81/14/386808114.db2.gz CIFMERXAGKHOLJ-UHFFFAOYSA-N 0 3 243.354 2.810 20 0 BFADHN Cc1ccccc1OCCN[C@H]1COC(C)(C)C1 ZINC000417869874 386808511 /nfs/dbraw/zinc/80/85/11/386808511.db2.gz GFNYBEORIUUMSX-CYBMUJFWSA-N 0 3 249.354 2.531 20 0 BFADHN CCC[C@H](CC)NC(=O)CN1[C@H](C)CC[C@H]1C ZINC000344938855 386809981 /nfs/dbraw/zinc/80/99/81/386809981.db2.gz XKVZKPACKXIDBS-UPJWGTAASA-N 0 3 240.391 2.554 20 0 BFADHN COCc1cccc(CN2C[C@H](C)[C@@H]2C)c1 ZINC000293395934 386812528 /nfs/dbraw/zinc/81/25/28/386812528.db2.gz LCHDZRHRDUEBRD-RYUDHWBXSA-N 0 3 219.328 2.673 20 0 BFADHN CC(C)(CCNCc1ccc(CO)o1)C1CC1 ZINC000293305031 386813868 /nfs/dbraw/zinc/81/38/68/386813868.db2.gz FBLHFTBMWBUADX-UHFFFAOYSA-N 0 3 237.343 2.688 20 0 BFADHN CO[C@@H]1CC[C@@H](NCc2cc(F)ccc2F)C1 ZINC000190920713 386814351 /nfs/dbraw/zinc/81/43/51/386814351.db2.gz HGVWBOJCTNRXFN-VXGBXAGGSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3CC3(C)C)cn2c1 ZINC000341040155 386825517 /nfs/dbraw/zinc/82/55/17/386825517.db2.gz FHTOJKIWKPKZRR-GFCCVEGCSA-N 0 3 229.327 2.531 20 0 BFADHN c1cc([C@H]2CCCN2Cc2cnc[nH]2)cs1 ZINC000280583822 386825944 /nfs/dbraw/zinc/82/59/44/386825944.db2.gz XBQKRGXRIQTRBW-GFCCVEGCSA-N 0 3 233.340 2.808 20 0 BFADHN Cc1cnc(CN[C@@H]2C[C@@H]2C2CCCCC2)n1C ZINC000341042443 386828607 /nfs/dbraw/zinc/82/86/07/386828607.db2.gz HWYDHKZPXOAWKJ-ZIAGYGMSSA-N 0 3 247.386 2.787 20 0 BFADHN CO[C@]1(C)CCCN(Cc2occc2C)C1 ZINC000334776860 386828965 /nfs/dbraw/zinc/82/89/65/386828965.db2.gz LHWXZFLAKGPQCP-CYBMUJFWSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1nc(C)c(CN2C[C@H](C)[C@H]2C)s1 ZINC000293538892 386832562 /nfs/dbraw/zinc/83/25/62/386832562.db2.gz XUAYGCMWRSTKTC-IONNQARKSA-N 0 3 210.346 2.600 20 0 BFADHN Cn1c2ccccc2nc1CNCCC1(C)CC1 ZINC000280683817 386833947 /nfs/dbraw/zinc/83/39/47/386833947.db2.gz VBZQKLGRNOEROR-UHFFFAOYSA-N 0 3 243.354 2.853 20 0 BFADHN CCN[C@@H](C)C(=O)NC(CC(C)C)CC(C)C ZINC000637981096 386835264 /nfs/dbraw/zinc/83/52/64/386835264.db2.gz ORYNPALYVCOQEM-LBPRGKRZSA-N 0 3 242.407 2.561 20 0 BFADHN CCN[C@H](C)C(=O)NC(CC(C)C)CC(C)C ZINC000637981095 386835559 /nfs/dbraw/zinc/83/55/59/386835559.db2.gz ORYNPALYVCOQEM-GFCCVEGCSA-N 0 3 242.407 2.561 20 0 BFADHN Cc1cnc(CNC[C@H](C)c2ccccc2)n1C ZINC000341129003 386838053 /nfs/dbraw/zinc/83/80/53/386838053.db2.gz NTFUXXOZLHYUJE-LBPRGKRZSA-N 0 3 243.354 2.622 20 0 BFADHN Cc1cc(CN[C@H]2C[C@H]2C2CCCCC2)n(C)n1 ZINC000417959808 386843333 /nfs/dbraw/zinc/84/33/33/386843333.db2.gz DZBQUVKSIZIOLF-GJZGRUSLSA-N 0 3 247.386 2.787 20 0 BFADHN Cc1sccc1CN(C)CCc1cnccn1 ZINC000293588884 386844043 /nfs/dbraw/zinc/84/40/43/386844043.db2.gz KGPFEWOLNTYBFR-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN Cc1ccncc1[C@@H](C)NC[C@]1(C)CCCO1 ZINC000357799289 386846553 /nfs/dbraw/zinc/84/65/53/386846553.db2.gz VETPNXQAOZIXEQ-OCCSQVGLSA-N 0 3 234.343 2.610 20 0 BFADHN C[C@@H](O)CCN[C@@H](C)c1csc(Cl)c1 ZINC000191289965 386847518 /nfs/dbraw/zinc/84/75/18/386847518.db2.gz KPBFRIFHTGWHQE-SFYZADRCSA-N 0 3 233.764 2.823 20 0 BFADHN CC[C@@H](CNc1ccnc2ccc(C)cc21)OC ZINC000357804210 386849017 /nfs/dbraw/zinc/84/90/17/386849017.db2.gz LDEZFBWGDUHXON-LBPRGKRZSA-N 0 3 244.338 2.802 20 0 BFADHN CCC[C@@H](NC[C@@H](OC)C1CC1)c1cccnc1 ZINC000293637013 386852120 /nfs/dbraw/zinc/85/21/20/386852120.db2.gz GXBXOFPSLXHDLT-HUUCEWRRSA-N 0 3 248.370 2.937 20 0 BFADHN COC[C@H](C)NCc1cc2c(ccc(C)c2C)[nH]1 ZINC000349631581 386895209 /nfs/dbraw/zinc/89/52/09/386895209.db2.gz OZQFGUSXYYGHFZ-NSHDSACASA-N 0 3 246.354 2.909 20 0 BFADHN CC(C)c1nnc([C@H](C)NC2CC(C)(C)C2)[nH]1 ZINC000331754797 386893413 /nfs/dbraw/zinc/89/34/13/386893413.db2.gz HGAYFMQDRKOPBF-VIFPVBQESA-N 0 3 236.363 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+]C2CC(C)(C)C2)[n-]1 ZINC000331754797 386893415 /nfs/dbraw/zinc/89/34/15/386893415.db2.gz HGAYFMQDRKOPBF-VIFPVBQESA-N 0 3 236.363 2.767 20 0 BFADHN Cc1ccc2nc(CN[C@H]3CC[C@H](C)C3)cn2c1 ZINC000341362408 386893823 /nfs/dbraw/zinc/89/38/23/386893823.db2.gz PTJVNGMPXLWOIV-AAEUAGOBSA-N 0 3 243.354 2.921 20 0 BFADHN C[C@H](N[C@H]1CCC(C)(C)C1)c1ccn(C)n1 ZINC000334791775 386855523 /nfs/dbraw/zinc/85/55/23/386855523.db2.gz HZUUZYLHHQRQOE-QWRGUYRKSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1nocc1CNCCc1ccc(C)cc1 ZINC000293665378 386857513 /nfs/dbraw/zinc/85/75/13/386857513.db2.gz UHWBZNWZBCKQKA-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1noc(C2CN(C[C@@H]3CCC[C@@H](C)C3)C2)n1 ZINC000334792384 386857973 /nfs/dbraw/zinc/85/79/73/386857973.db2.gz MYVIWDODGCZPOL-ZYHUDNBSSA-N 0 3 249.358 2.604 20 0 BFADHN Cc1cnc(CN2CC3(CCC3)C[C@H]2C)cn1 ZINC000335742732 386860692 /nfs/dbraw/zinc/86/06/92/386860692.db2.gz KFQNFUXHBRINMB-GFCCVEGCSA-N 0 3 231.343 2.550 20 0 BFADHN CC1(C)CN(Cc2ccon2)[C@@H]2CCC[C@@H]21 ZINC000335744229 386863521 /nfs/dbraw/zinc/86/35/21/386863521.db2.gz CVELFGSPXJORKH-NWDGAFQWSA-N 0 3 220.316 2.685 20 0 BFADHN C[C@H](NC[C@@]1(O)CCCC1(C)C)c1ccccn1 ZINC000293707006 386864140 /nfs/dbraw/zinc/86/41/40/386864140.db2.gz SBXPULYYECTFFH-WFASDCNBSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@@H]1C[C@]1(F)c1ccccc1 ZINC000425351004 386871066 /nfs/dbraw/zinc/87/10/66/386871066.db2.gz IEAQCTDDVUCBTR-OXHZDVMGSA-N 0 3 219.303 2.869 20 0 BFADHN C[C@@H](CCC1CC1)N[C@@H](C)c1cnccn1 ZINC000336739121 386871028 /nfs/dbraw/zinc/87/10/28/386871028.db2.gz KVLBSHOUSRPLGG-QWRGUYRKSA-N 0 3 219.332 2.706 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C)C3(CCC3)C2)nn1 ZINC000334834924 386874324 /nfs/dbraw/zinc/87/43/24/386874324.db2.gz AOFFWHATZCRDSZ-GFCCVEGCSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)CC(C)(C)C2)nn1 ZINC000334834946 386874994 /nfs/dbraw/zinc/87/49/94/386874994.db2.gz BGQPTDFBQHWAIB-NSHDSACASA-N 0 3 233.359 2.653 20 0 BFADHN CCn1cncc1CN1C[C@@H](C)CC(C)(C)C1 ZINC000418007653 386875545 /nfs/dbraw/zinc/87/55/45/386875545.db2.gz QHZDRVUFIJIIQD-LBPRGKRZSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@@H]1CCN(Cc2ccno2)C[C@@H](C)C1 ZINC000335751765 386877051 /nfs/dbraw/zinc/87/70/51/386877051.db2.gz OCWQGNZDFPAAGJ-MNOVXSKESA-N 0 3 208.305 2.543 20 0 BFADHN C[C@H]1CCN(Cc2ccno2)C[C@@H](C)C1 ZINC000335751766 386877618 /nfs/dbraw/zinc/87/76/18/386877618.db2.gz OCWQGNZDFPAAGJ-QWRGUYRKSA-N 0 3 208.305 2.543 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1ccc(F)cn1 ZINC000335756284 386884555 /nfs/dbraw/zinc/88/45/55/386884555.db2.gz UWWBLOFQSONCLE-GWCFXTLKSA-N 0 3 222.307 2.841 20 0 BFADHN CCc1ncc(CN2C[C@H](C)CC[C@@H]2C)cn1 ZINC000334840450 386884881 /nfs/dbraw/zinc/88/48/81/386884881.db2.gz GWDALJQXKUEYAT-NEPJUHHUSA-N 0 3 233.359 2.659 20 0 BFADHN Cc1cnc(CN[C@@H]2CCCC(C)(C)C2)n1C ZINC000341337270 386885263 /nfs/dbraw/zinc/88/52/63/386885263.db2.gz WDBPQYNAUMRSMD-GFCCVEGCSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCC[C@H](C)[C@@H]2C)n1C ZINC000341342362 386885285 /nfs/dbraw/zinc/88/52/85/386885285.db2.gz LQAIYWYIRHUTAW-UBHSHLNASA-N 0 3 249.402 2.890 20 0 BFADHN Cc1ccc(CN2CCCC[C@@H]2C(C)C)nn1 ZINC000334841139 386886489 /nfs/dbraw/zinc/88/64/89/386886489.db2.gz NFKZUQHYTIKANE-CQSZACIVSA-N 0 3 233.359 2.796 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](OC)C2CC2)o1 ZINC000293827769 386887442 /nfs/dbraw/zinc/88/74/42/386887442.db2.gz WVLNZJXOEXHJQE-HZMBPMFUSA-N 0 3 237.343 2.918 20 0 BFADHN COC1(CCN[C@H](C)c2cc(C)ccn2)CCC1 ZINC000293846413 386888471 /nfs/dbraw/zinc/88/84/71/386888471.db2.gz YCQSRAXWXCCELB-CYBMUJFWSA-N 0 3 248.370 3.000 20 0 BFADHN CC[C@H]([NH2+][C@@H](C)c1nnc(C(C)C)[n-]1)C1CC1 ZINC000358248740 386920093 /nfs/dbraw/zinc/92/00/93/386920093.db2.gz MQXINXABPLBION-ONGXEEELSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@H](N[C@@H](C)c1nnc(C(C)C)[nH]1)C1CC1 ZINC000358248740 386920095 /nfs/dbraw/zinc/92/00/95/386920095.db2.gz MQXINXABPLBION-ONGXEEELSA-N 0 3 236.363 2.767 20 0 BFADHN Cc1cnc(CN[C@H]2CCC[C@H](C)CC2)n1C ZINC000341380459 386897276 /nfs/dbraw/zinc/89/72/76/386897276.db2.gz LLQJNIBNUKLITI-AAEUAGOBSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC23CCCC3)n1C ZINC000341393289 386900860 /nfs/dbraw/zinc/90/08/60/386900860.db2.gz WHDSJIYPCQPCKZ-GFCCVEGCSA-N 0 3 233.359 2.541 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc3c(n2)CCC3)CS1 ZINC000334852076 386902373 /nfs/dbraw/zinc/90/23/73/386902373.db2.gz FPCPNKZCHNHVCC-GWCFXTLKSA-N 0 3 248.395 2.554 20 0 BFADHN Cc1cccc(CNc2nccn2C)c1C ZINC000334855595 386906888 /nfs/dbraw/zinc/90/68/88/386906888.db2.gz JEUICGCFAUTXOC-UHFFFAOYSA-N 0 3 215.300 2.649 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cnoc2C)C1 ZINC000335766260 386908085 /nfs/dbraw/zinc/90/80/85/386908085.db2.gz DGQYCPLYVJMYEZ-LBPRGKRZSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1ccc2nccc(N(C)CC[C@@H](C)O)c2c1 ZINC000302178955 386911111 /nfs/dbraw/zinc/91/11/11/386911111.db2.gz YKXGXSKXOWMARA-GFCCVEGCSA-N 0 3 244.338 2.750 20 0 BFADHN COC(C)(C)CN[C@H]1CCCOc2ccccc21 ZINC000358194258 386911061 /nfs/dbraw/zinc/91/10/61/386911061.db2.gz BQZUCHGMAFNCRU-ZDUSSCGKSA-N 0 3 249.354 2.915 20 0 BFADHN Cc1ccc2nccc(N(C)CC[C@H](C)O)c2c1 ZINC000302178956 386911279 /nfs/dbraw/zinc/91/12/79/386911279.db2.gz YKXGXSKXOWMARA-LBPRGKRZSA-N 0 3 244.338 2.750 20 0 BFADHN COC[C@H](N[C@@H](C)C1CC1)c1ccc(F)cc1 ZINC000358195105 386911849 /nfs/dbraw/zinc/91/18/49/386911849.db2.gz UMUGLWXSDJPSQD-HZMBPMFUSA-N 0 3 237.318 2.901 20 0 BFADHN CC[C@H](COC)N[C@@H]1c2ccccc2O[C@H]1C ZINC000368143084 386947083 /nfs/dbraw/zinc/94/70/83/386947083.db2.gz POOSZYBNTNGZGA-WDMOLILDSA-N 0 3 235.327 2.523 20 0 BFADHN Cc1ccc(CN2CCOCC3(CC3)C2)c(C)c1 ZINC000341538701 386922774 /nfs/dbraw/zinc/92/27/74/386922774.db2.gz XHCOBYNWNIFMTP-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+][C@@H](C)C2CCC2)[n-]1 ZINC000358260956 386923111 /nfs/dbraw/zinc/92/31/11/386923111.db2.gz CDMIODYWYJRVRO-VHSXEESVSA-N 0 3 236.363 2.767 20 0 BFADHN CC(C)c1nnc([C@@H](C)N[C@@H](C)C2CCC2)[nH]1 ZINC000358260956 386923115 /nfs/dbraw/zinc/92/31/15/386923115.db2.gz CDMIODYWYJRVRO-VHSXEESVSA-N 0 3 236.363 2.767 20 0 BFADHN Cc1cc(CN2[C@@H](C)CCC2(C)C)on1 ZINC000334866135 386923290 /nfs/dbraw/zinc/92/32/90/386923290.db2.gz KTHGFQAYWABFRK-JTQLQIEISA-N 0 3 208.305 2.746 20 0 BFADHN Cc1ccc2nccc(N[C@@H]3CCC[C@H]3O)c2c1 ZINC000302636087 386929617 /nfs/dbraw/zinc/92/96/17/386929617.db2.gz HIWIVJAVHBHVMP-HUUCEWRRSA-N 0 3 242.322 2.869 20 0 BFADHN Cc1ccc2nccc(N[C@H]3CCC[C@@H]3O)c2c1 ZINC000302636085 386930243 /nfs/dbraw/zinc/93/02/43/386930243.db2.gz HIWIVJAVHBHVMP-GJZGRUSLSA-N 0 3 242.322 2.869 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000358302840 386930642 /nfs/dbraw/zinc/93/06/42/386930642.db2.gz SXNJIBDFCLWRPU-HTQZYQBOSA-N 0 3 247.260 2.789 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1ccc2ccccc2n1 ZINC000358307513 386931319 /nfs/dbraw/zinc/93/13/19/386931319.db2.gz RFJBHGNDPNOMCF-NEPJUHHUSA-N 0 3 244.338 2.920 20 0 BFADHN Cc1c[nH]nc1CN[C@H](CC(F)(F)F)C(C)C ZINC000352894418 386932477 /nfs/dbraw/zinc/93/24/77/386932477.db2.gz MYOHRRDNSOCWIK-SECBINFHSA-N 0 3 249.280 2.785 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1ccnc(C)n1 ZINC000352896232 386939101 /nfs/dbraw/zinc/93/91/01/386939101.db2.gz ZPXDJAUURRHVHL-CQSZACIVSA-N 0 3 233.359 2.940 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1CCO[C@@H]1C1CC1 ZINC000358372644 386943911 /nfs/dbraw/zinc/94/39/11/386943911.db2.gz WRWWHOZKCFHENU-NILFDRSVSA-N 0 3 246.354 2.608 20 0 BFADHN C[C@@H](N[C@@H](CO)CC(F)(F)F)c1ccccc1 ZINC000358381986 386945704 /nfs/dbraw/zinc/94/57/04/386945704.db2.gz ZVENMODMCQBMNB-MWLCHTKSSA-N 0 3 247.260 2.651 20 0 BFADHN C[C@@H](NCCN1CCC1)c1ccc(Cl)s1 ZINC000336763259 386954490 /nfs/dbraw/zinc/95/44/90/386954490.db2.gz OVTUNMWDXNUJBK-SECBINFHSA-N 0 3 244.791 2.758 20 0 BFADHN COCC[C@H](C)N[C@H]1CCCc2scnc21 ZINC000623883084 386960769 /nfs/dbraw/zinc/96/07/69/386960769.db2.gz RGIKTEABZSGGHP-UWVGGRQHSA-N 0 3 240.372 2.535 20 0 BFADHN COc1ccc(CN2CCC(C3CC3)CC2)nc1 ZINC000368336856 386963501 /nfs/dbraw/zinc/96/35/01/386963501.db2.gz QZZQLACYAINFTC-UHFFFAOYSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1cc(C)nc(N[C@H](C)[C@H]2CCCOC2)c1 ZINC000334890653 386964441 /nfs/dbraw/zinc/96/44/41/386964441.db2.gz LRDJOWXKOQNVLA-OLZOCXBDSA-N 0 3 234.343 2.925 20 0 BFADHN Cc1ccc(NC(=O)[C@@H]2C[C@@H](C)CCN2)cc1C ZINC000126033301 386968325 /nfs/dbraw/zinc/96/83/25/386968325.db2.gz RNMORLSAJVTZIF-HZMBPMFUSA-N 0 3 246.354 2.630 20 0 BFADHN CCCCN[C@H](c1nnc2ccccn21)C(C)C ZINC000352961304 386975547 /nfs/dbraw/zinc/97/55/47/386975547.db2.gz ALNHMDSFJNPONW-ZDUSSCGKSA-N 0 3 246.358 2.816 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@](C)(O)C1)c1cccc(OC)c1 ZINC000417395560 386982661 /nfs/dbraw/zinc/98/26/61/386982661.db2.gz FGSXLAYJPYZQIO-YUELXQCFSA-N 0 3 249.354 2.649 20 0 BFADHN FC(F)OC[C@@H]1CCN(Cc2ccccc2)C1 ZINC000353029222 386982911 /nfs/dbraw/zinc/98/29/11/386982911.db2.gz PUCXAAUVMQRELP-GFCCVEGCSA-N 0 3 241.281 2.748 20 0 BFADHN CC[C@@H]1CCC[C@@H]1[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000331205103 386990150 /nfs/dbraw/zinc/99/01/50/386990150.db2.gz XOWLCXNLCOLMPU-MNOVXSKESA-N 0 3 236.363 2.596 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1nnc(C(C)C)[nH]1 ZINC000331205103 386990154 /nfs/dbraw/zinc/99/01/54/386990154.db2.gz XOWLCXNLCOLMPU-MNOVXSKESA-N 0 3 236.363 2.596 20 0 BFADHN CCN(Cc1c(C)nn(C)c1C)CC(C)(C)C ZINC000353014235 386990946 /nfs/dbraw/zinc/99/09/46/386990946.db2.gz CMWGOBJRDLFOHY-UHFFFAOYSA-N 0 3 237.391 2.905 20 0 BFADHN FC(F)OC[C@H]1CCN(Cc2cccs2)C1 ZINC000353030725 386994471 /nfs/dbraw/zinc/99/44/71/386994471.db2.gz SVZOARVAJAJQHZ-VIFPVBQESA-N 0 3 247.310 2.809 20 0 BFADHN CCCC[C@@H](N)C(=O)N[C@H](CCC)CCCC ZINC000226066592 386996910 /nfs/dbraw/zinc/99/69/10/386996910.db2.gz NHZVZWXLBMSSOR-CHWSQXEVSA-N 0 3 242.407 2.979 20 0 BFADHN C/C(Cl)=C\CN1CC[C@H](C)C[C@@H]1[C@H](C)O ZINC000528476570 387008715 /nfs/dbraw/zinc/00/87/15/387008715.db2.gz UZZXIIUNLHIRHH-XZWFBYIESA-N 0 3 231.767 2.610 20 0 BFADHN C[C@H](NCC1=CCCOC1)c1ccc(F)cc1 ZINC000267194438 386998387 /nfs/dbraw/zinc/99/83/87/386998387.db2.gz LTDKNERXGXSHIM-NSHDSACASA-N 0 3 235.302 2.823 20 0 BFADHN CC/C=C/CCN1CCO[C@@H]2CCC[C@H]21 ZINC000368698611 387004008 /nfs/dbraw/zinc/00/40/08/387004008.db2.gz PNKCPSDJDCVXGZ-CDJBXZAQSA-N 0 3 209.333 2.596 20 0 BFADHN FCCCN1CC[C@H](Oc2ccc(F)cc2)C1 ZINC000368204814 386951050 /nfs/dbraw/zinc/95/10/50/386951050.db2.gz YMVSROWIHQSTCN-ZDUSSCGKSA-N 0 3 241.281 2.638 20 0 BFADHN c1cc(CN2CCSC[C@H]2C2CCC2)ccn1 ZINC000334954079 387031972 /nfs/dbraw/zinc/03/19/72/387031972.db2.gz YQWHAIGNQSCXRT-AWEZNQCLSA-N 0 3 248.395 2.799 20 0 BFADHN CC(C)Cn1nccc1CN1CC[C@@H]2CCC[C@@H]21 ZINC000648025994 387034902 /nfs/dbraw/zinc/03/49/02/387034902.db2.gz KVWDXWJCXPNFLD-ZFWWWQNUSA-N 0 3 247.386 2.914 20 0 BFADHN Fc1cnccc1CNC[C@@H]1Cc2ccccc21 ZINC000648447450 387036563 /nfs/dbraw/zinc/03/65/63/387036563.db2.gz QFZRVCKXLUEDKD-ZDUSSCGKSA-N 0 3 242.297 2.650 20 0 BFADHN CCCc1cccc(CN[C@@]2(C)CCOC2)c1 ZINC000358995309 387042388 /nfs/dbraw/zinc/04/23/88/387042388.db2.gz DCRVQMXUWDYAAQ-HNNXBMFYSA-N 0 3 233.355 2.908 20 0 BFADHN C[C@H](NC[C@@H]1CCCS1)c1cncs1 ZINC000157210050 387042981 /nfs/dbraw/zinc/04/29/81/387042981.db2.gz VPTJWCYBQDZRHJ-IUCAKERBSA-N 0 3 228.386 2.689 20 0 BFADHN CC(C)N(C)CCNCc1sccc1Cl ZINC000193076304 387047560 /nfs/dbraw/zinc/04/75/60/387047560.db2.gz NAAPVYIDUAZYEW-UHFFFAOYSA-N 0 3 246.807 2.831 20 0 BFADHN CC[C@@H](C(=O)N(C)C1CCCCCC1)N(C)C ZINC000359211009 387066934 /nfs/dbraw/zinc/06/69/34/387066934.db2.gz FEUFOZSMUNCFOV-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN CCC[C@H](C)[C@@H](CC)NCc1ncnn1CC ZINC000359055693 387050872 /nfs/dbraw/zinc/05/08/72/387050872.db2.gz NMLGAVTVEXJJKF-NWDGAFQWSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H](CO)CC1CCC1 ZINC000359053021 387050939 /nfs/dbraw/zinc/05/09/39/387050939.db2.gz ZGDMMGAREHKFTE-GXTWGEPZSA-N 0 3 248.370 2.592 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@H](N)C1CCC1 ZINC000399078942 387055004 /nfs/dbraw/zinc/05/50/04/387055004.db2.gz OYQDQNWUWVMJHZ-QWHCGFSZSA-N 0 3 240.391 2.589 20 0 BFADHN C[C@@H](O)CCCN[C@H](C)c1ccccc1F ZINC000228029593 387059904 /nfs/dbraw/zinc/05/99/04/387059904.db2.gz KZGKUVLGZMXHEL-GHMZBOCLSA-N 0 3 225.307 2.637 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@H]2OCC[C@H]2C1 ZINC000369659477 387060845 /nfs/dbraw/zinc/06/08/45/387060845.db2.gz ZZJWIMLEHDCFQQ-SWLSCSKDSA-N 0 3 249.329 2.745 20 0 BFADHN C[C@H](O)C[C@H](C)N[C@@H](C)c1ccc(F)c(F)c1 ZINC000228085521 387064889 /nfs/dbraw/zinc/06/48/89/387064889.db2.gz JMGHAEMOSPZHLJ-GUBZILKMSA-N 0 3 243.297 2.775 20 0 BFADHN CCC[C@]1(NCc2ccc(CC)nc2)CCOC1 ZINC000353036963 387012497 /nfs/dbraw/zinc/01/24/97/387012497.db2.gz UOTNKJKSLLCAFL-HNNXBMFYSA-N 0 3 248.370 2.693 20 0 BFADHN CC[C@H](N[C@H](C)c1nccn1C)[C@@H]1CC1(C)C ZINC000417400310 387020006 /nfs/dbraw/zinc/02/00/06/387020006.db2.gz JSBWJIISNWRRRP-WOPDTQHZSA-N 0 3 235.375 2.895 20 0 BFADHN CCn1cncc1CN1CC[C@@H](C)C2(CCC2)C1 ZINC000334943182 387020558 /nfs/dbraw/zinc/02/05/58/387020558.db2.gz HJSKWEOVBIMNKR-CYBMUJFWSA-N 0 3 247.386 2.915 20 0 BFADHN COc1cccc2c1CCN(CC1(C)CC1)C2 ZINC000514644675 387024435 /nfs/dbraw/zinc/02/44/35/387024435.db2.gz XKZRPNRBBWNUOK-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN CSC1(CN2CC(CC(F)F)C2)CCC1 ZINC000425367338 387024961 /nfs/dbraw/zinc/02/49/61/387024961.db2.gz SLSTVQWHBVOWCN-UHFFFAOYSA-N 0 3 235.343 2.859 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1cc(C)nc(C)c1 ZINC000425369886 387026264 /nfs/dbraw/zinc/02/62/64/387026264.db2.gz NFJWMTCOJMXBQL-STQMWFEESA-N 0 3 236.384 2.682 20 0 BFADHN CS[C@H]1CC[C@H]1N[C@@H](C)c1cncc(F)c1 ZINC000425367917 387026346 /nfs/dbraw/zinc/02/63/46/387026346.db2.gz JBTGGVVFKURVTC-AXTRIDKLSA-N 0 3 240.347 2.765 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H]1CCCC[C@H]1F ZINC000425369376 387026767 /nfs/dbraw/zinc/02/67/67/387026767.db2.gz LXPVRHAURXPXHL-GWOFURMSSA-N 0 3 217.353 2.751 20 0 BFADHN c1cc(CN[C@@H]2CCCc3ccccc3C2)on1 ZINC000648023652 387028426 /nfs/dbraw/zinc/02/84/26/387028426.db2.gz YOMXCIGGPWIEHU-CQSZACIVSA-N 0 3 242.322 2.712 20 0 BFADHN COc1cc(C)c(CN2CCC(C)(C)C2)c(C)n1 ZINC000359225003 387069627 /nfs/dbraw/zinc/06/96/27/387069627.db2.gz LLZXPKAQPCDGRR-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN CC(C)C1(N[C@@H]2C[C@H](C)n3ccnc32)CC1 ZINC000336235724 387072084 /nfs/dbraw/zinc/07/20/84/387072084.db2.gz QWPQGTBKPFOEHW-WDEREUQCSA-N 0 3 219.332 2.667 20 0 BFADHN COC[C@@H](C)NCc1cc2ccccc2o1 ZINC000020087430 387072538 /nfs/dbraw/zinc/07/25/38/387072538.db2.gz FRXIXODJYLTBIB-SNVBAGLBSA-N 0 3 219.284 2.557 20 0 BFADHN CC(C)C1(N[C@@H]2C[C@@H](C)n3ccnc32)CC1 ZINC000336235721 387073696 /nfs/dbraw/zinc/07/36/96/387073696.db2.gz QWPQGTBKPFOEHW-GHMZBOCLSA-N 0 3 219.332 2.667 20 0 BFADHN CCn1cncc1CN(C)[C@@H]1CCCC[C@@H]1C ZINC000418047153 387075564 /nfs/dbraw/zinc/07/55/64/387075564.db2.gz RVNKYHPPHNRWAH-GXTWGEPZSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2cnc(C3CC3)nc2)[C@H]1C ZINC000418120527 387075823 /nfs/dbraw/zinc/07/58/23/387075823.db2.gz HZIVMXFEUGMPSX-UTUOFQBUSA-N 0 3 245.370 2.830 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2ccncc2F)[C@H]1C ZINC000418120931 387076013 /nfs/dbraw/zinc/07/60/13/387076013.db2.gz IDQVASVPFOPNHO-MXWKQRLJSA-N 0 3 222.307 2.697 20 0 BFADHN CS[C@H]1CCN([C@H](C)c2cccnc2)C1 ZINC000336254662 387076492 /nfs/dbraw/zinc/07/64/92/387076492.db2.gz GFLVWEODQNXTAR-PWSUYJOCSA-N 0 3 222.357 2.580 20 0 BFADHN CCc1nnc(C[NH2+][C@H](CC)C[C@H](C)CC)[n-]1 ZINC000392422167 387079531 /nfs/dbraw/zinc/07/95/31/387079531.db2.gz QSZZRKKSRQIAFE-GHMZBOCLSA-N 0 3 238.379 2.672 20 0 BFADHN CCc1nnc(CN[C@H](CC)C[C@H](C)CC)[nH]1 ZINC000392422167 387079537 /nfs/dbraw/zinc/07/95/37/387079537.db2.gz QSZZRKKSRQIAFE-GHMZBOCLSA-N 0 3 238.379 2.672 20 0 BFADHN Cc1oncc1CN(C)CC1CCCCC1 ZINC000188771379 387081561 /nfs/dbraw/zinc/08/15/61/387081561.db2.gz ONRWSUWDOZWQRG-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN CCCC[C@H](N)C(=O)NCCCC1CCCC1 ZINC000228324721 387081782 /nfs/dbraw/zinc/08/17/82/387081782.db2.gz KUWDFUWMUIIWBP-ZDUSSCGKSA-N 0 3 240.391 2.591 20 0 BFADHN CC(C)C(C)(C)CN[C@@H](C)c1nccn1C ZINC000188708712 387083125 /nfs/dbraw/zinc/08/31/25/387083125.db2.gz VQBVELQAIONFCR-NSHDSACASA-N 0 3 223.364 2.753 20 0 BFADHN CCN(CC)CC(=O)N[C@H](C)CCCC(C)C ZINC000066406274 387083834 /nfs/dbraw/zinc/08/38/34/387083834.db2.gz PJSOPONYAVIXCZ-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@H](C)C[C@H](C)O)s1 ZINC000228269016 387085879 /nfs/dbraw/zinc/08/58/79/387085879.db2.gz QJCLHIXNYAKGIQ-VGMNWLOBSA-N 0 3 242.388 2.570 20 0 BFADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1ncc[nH]1 ZINC000066593865 387086229 /nfs/dbraw/zinc/08/62/29/387086229.db2.gz KIQLVJGJLPUDRD-RYUDHWBXSA-N 0 3 221.348 2.714 20 0 BFADHN CCn1cncc1CN1CCC(C)(C2CC2)CC1 ZINC000418075831 387086644 /nfs/dbraw/zinc/08/66/44/387086644.db2.gz FQDYOISFPBPTIB-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1cncc1CN1CC2(CCC2)[C@@H]1C(C)C ZINC000418081336 387089216 /nfs/dbraw/zinc/08/92/16/387089216.db2.gz NRLGJNNPWPLNFD-AWEZNQCLSA-N 0 3 247.386 2.914 20 0 BFADHN CCn1nncc1CN[C@@H](C)CCC=C(C)C ZINC000418078930 387090009 /nfs/dbraw/zinc/09/00/09/387090009.db2.gz PBTMOIZGCVEMAX-LBPRGKRZSA-N 0 3 236.363 2.523 20 0 BFADHN CC1(C)CCCCN(Cc2cccnc2N)C1 ZINC000336258951 387090437 /nfs/dbraw/zinc/09/04/37/387090437.db2.gz YLUYZXFRKQSMPZ-UHFFFAOYSA-N 0 3 233.359 2.676 20 0 BFADHN CN(Cc1cc(F)cc(F)c1)C1CCOCC1 ZINC000352338503 387092269 /nfs/dbraw/zinc/09/22/69/387092269.db2.gz SHUNIMCACTUYCK-UHFFFAOYSA-N 0 3 241.281 2.576 20 0 BFADHN CC(C)CN(Cc1cnn(C)c1)[C@@H]1C=CCCC1 ZINC000459591757 387092468 /nfs/dbraw/zinc/09/24/68/387092468.db2.gz LMAACTQFQBVDBP-OAHLLOKOSA-N 0 3 247.386 2.987 20 0 BFADHN Cc1ccc(CN2CCC(CF)CC2)c(C)n1 ZINC000336260077 387095044 /nfs/dbraw/zinc/09/50/44/387095044.db2.gz SKHPQTKSUDKEGZ-UHFFFAOYSA-N 0 3 236.334 2.880 20 0 BFADHN Cc1ccc2nc(CNC[C@H]3CC34CC4)cn2c1 ZINC000418090120 387095735 /nfs/dbraw/zinc/09/57/35/387095735.db2.gz DGOFXOZCQBTCMW-GFCCVEGCSA-N 0 3 241.338 2.532 20 0 BFADHN CCCc1csc(CNC[C@H]2CC23CC3)n1 ZINC000418099981 387131254 /nfs/dbraw/zinc/13/12/54/387131254.db2.gz QNMDVQFSWFAKQR-SNVBAGLBSA-N 0 3 236.384 2.985 20 0 BFADHN Fc1cccc(F)c1CNC[C@@H]1CC12CC2 ZINC000418090702 387098388 /nfs/dbraw/zinc/09/83/88/387098388.db2.gz FALOFULNRPUERC-VIFPVBQESA-N 0 3 223.266 2.855 20 0 BFADHN c1sc(C2CC2)nc1CNC[C@H]1CC12CC2 ZINC000418092789 387100493 /nfs/dbraw/zinc/10/04/93/387100493.db2.gz ICAGOTWYDMGLJP-SNVBAGLBSA-N 0 3 234.368 2.910 20 0 BFADHN CCc1cnc(CNC[C@@H]2CC23CC3)s1 ZINC000418093713 387100593 /nfs/dbraw/zinc/10/05/93/387100593.db2.gz JFIWVAVACUESTA-VIFPVBQESA-N 0 3 222.357 2.595 20 0 BFADHN CCCC[C@H](CCC)NC(=O)[C@@H](N)[C@H](C)CC ZINC000228508117 387102226 /nfs/dbraw/zinc/10/22/26/387102226.db2.gz JLPUBIZZYPWJGI-AGIUHOORSA-N 0 3 242.407 2.835 20 0 BFADHN COC1(C(F)(F)F)CCN(CC(C)C)CC1 ZINC000418095142 387103416 /nfs/dbraw/zinc/10/34/16/387103416.db2.gz GCQJBAGZZDAHFD-UHFFFAOYSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1ccccc1CN1C[C@@H](C)OC(C)(C)C1 ZINC000068476542 387104443 /nfs/dbraw/zinc/10/44/43/387104443.db2.gz CPXBTAOEBURYEF-CYBMUJFWSA-N 0 3 233.355 2.994 20 0 BFADHN CC1(C)CCCN(CCc2cncs2)C1 ZINC000336277960 387108323 /nfs/dbraw/zinc/10/83/23/387108323.db2.gz SUOPLPZBDDIQFL-UHFFFAOYSA-N 0 3 224.373 2.808 20 0 BFADHN Cc1cc(CN2CCCC3(CCCC3)C2)ncn1 ZINC000336275797 387108994 /nfs/dbraw/zinc/10/89/94/387108994.db2.gz QXDACHXNNOROHF-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN CC1(C)C[C@@H]1NCc1cc(F)c(F)c(F)c1 ZINC000062708665 387109903 /nfs/dbraw/zinc/10/99/03/387109903.db2.gz VUDVPJBQDVIFNC-JTQLQIEISA-N 0 3 229.245 2.992 20 0 BFADHN CC1(C)C[C@H]1NCc1cc(F)c(F)c(F)c1 ZINC000062708667 387110002 /nfs/dbraw/zinc/11/00/02/387110002.db2.gz VUDVPJBQDVIFNC-SNVBAGLBSA-N 0 3 229.245 2.992 20 0 BFADHN CCCN(C)[C@H](C)C(=O)Nc1ccc(C)c(C)c1 ZINC000069091576 387112368 /nfs/dbraw/zinc/11/23/68/387112368.db2.gz IXFXPROMVQKNKL-CYBMUJFWSA-N 0 3 248.370 2.972 20 0 BFADHN CCC1(C)CN(C[C@H](O)CCc2ccccc2)C1 ZINC000359504577 387113707 /nfs/dbraw/zinc/11/37/07/387113707.db2.gz JIHOYUSZQJTPKU-OAHLLOKOSA-N 0 3 247.382 2.712 20 0 BFADHN Cc1cc(CCNCc2cc(F)ccc2C)on1 ZINC000353093284 387115528 /nfs/dbraw/zinc/11/55/28/387115528.db2.gz GRNPWCCHIKHECO-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN CCCN1CC[C@]2(C1)OCc1ccccc12 ZINC000186634505 387116036 /nfs/dbraw/zinc/11/60/36/387116036.db2.gz LCVSSYCYPMYUEO-CQSZACIVSA-N 0 3 217.312 2.528 20 0 BFADHN Cc1noc(C)c1CN1CCCC2(CC2)C1 ZINC000186608971 387117248 /nfs/dbraw/zinc/11/72/48/387117248.db2.gz WGWQVYMFDUYXDV-UHFFFAOYSA-N 0 3 220.316 2.667 20 0 BFADHN CCCn1cc(CN2CCC[C@H](CC)C2)cn1 ZINC000119312467 387119371 /nfs/dbraw/zinc/11/93/71/387119371.db2.gz DLXLUQWKIMDOMH-ZDUSSCGKSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1cc(C)c2c(c1)CN(C[C@H]1CCCO1)CC2 ZINC000186699816 387122311 /nfs/dbraw/zinc/12/23/11/387122311.db2.gz HBPONPAGFHLSMJ-OAHLLOKOSA-N 0 3 245.366 2.841 20 0 BFADHN CCc1ccc(CNC[C@@H]2CC23CC3)o1 ZINC000418102836 387125239 /nfs/dbraw/zinc/12/52/39/387125239.db2.gz VGAZMWBPIDTZFH-JTQLQIEISA-N 0 3 205.301 2.732 20 0 BFADHN Cc1cc(CN(CC2CC2)C2CCC2)on1 ZINC000352365861 387129052 /nfs/dbraw/zinc/12/90/52/387129052.db2.gz XYMFYVDOKUOQIT-UHFFFAOYSA-N 0 3 220.316 2.748 20 0 BFADHN Cc1cnccc1CN1CCC12CCC2 ZINC000336227313 387067860 /nfs/dbraw/zinc/06/78/60/387067860.db2.gz LWVSNCVSIKOHAF-UHFFFAOYSA-N 0 3 202.301 2.518 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCCOC2)cs1 ZINC000336229188 387068200 /nfs/dbraw/zinc/06/82/00/387068200.db2.gz LNLUOBPGGYGVSS-LBPRGKRZSA-N 0 3 225.357 2.667 20 0 BFADHN CCC1(O)CCN(Cc2csc(C)c2)CC1 ZINC000336226525 387068274 /nfs/dbraw/zinc/06/82/74/387068274.db2.gz UZHVLGVKQGGIKX-UHFFFAOYSA-N 0 3 239.384 2.793 20 0 BFADHN Cc1nocc1CN1C[C@H](C)C[C@H](C)[C@H]1C ZINC000353153297 387146120 /nfs/dbraw/zinc/14/61/20/387146120.db2.gz JXRBUNZVQUALOG-JFGNBEQYSA-N 0 3 222.332 2.849 20 0 BFADHN CCN(Cc1cccc(F)c1)CC1=CCCOC1 ZINC000120018287 387148297 /nfs/dbraw/zinc/14/82/97/387148297.db2.gz PCZGKSJSICNPMC-UHFFFAOYSA-N 0 3 249.329 2.994 20 0 BFADHN CC[C@@H](F)CN[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000353185433 387149535 /nfs/dbraw/zinc/14/95/35/387149535.db2.gz UCVURBTVOCZUOV-JOYOIKCWSA-N 0 3 235.306 2.962 20 0 BFADHN OC[C@@H](CC1CCCCC1)NCc1ccccn1 ZINC000418126939 387152449 /nfs/dbraw/zinc/15/24/49/387152449.db2.gz MXCUVPGTRMPJIO-OAHLLOKOSA-N 0 3 248.370 2.503 20 0 BFADHN CO[C@@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC000336327372 387153506 /nfs/dbraw/zinc/15/35/06/387153506.db2.gz WUGPXGDOKIFKTQ-GHMZBOCLSA-N 0 3 223.291 2.607 20 0 BFADHN OC[C@H](CC1CCCCC1)NCc1cccnc1 ZINC000418127829 387154564 /nfs/dbraw/zinc/15/45/64/387154564.db2.gz PITQIJVWXHJXSE-HNNXBMFYSA-N 0 3 248.370 2.503 20 0 BFADHN CO[C@@H]1CCN([C@@H](C)c2ccccc2F)C1 ZINC000336327373 387154694 /nfs/dbraw/zinc/15/46/94/387154694.db2.gz WUGPXGDOKIFKTQ-WDEREUQCSA-N 0 3 223.291 2.607 20 0 BFADHN C[C@@H](NCC(C)(C)C(C)(C)O)c1cccnc1 ZINC000393587048 259706707 /nfs/dbraw/zinc/70/67/07/259706707.db2.gz AZBMKCNQLHEHQW-LLVKDONJSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cncc(F)c1 ZINC000418128323 387156713 /nfs/dbraw/zinc/15/67/13/387156713.db2.gz SJTCLXYLTQWVDT-OUAUKWLOSA-N 0 3 222.307 2.697 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cccc(O)c1 ZINC000336330947 387157281 /nfs/dbraw/zinc/15/72/81/387157281.db2.gz BVMJSROGEHUQHN-ZDUSSCGKSA-N 0 3 205.301 2.623 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2cscn2)[C@H]1C ZINC000418141480 387164429 /nfs/dbraw/zinc/16/44/29/387164429.db2.gz YBDAYTOBAFXNML-BBBLOLIVSA-N 0 3 210.346 2.619 20 0 BFADHN C[C@H](F)CCN(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC000352412299 387167250 /nfs/dbraw/zinc/16/72/50/387167250.db2.gz DNKUAORSOACULN-JTQLQIEISA-N 0 3 241.354 2.887 20 0 BFADHN COCCCCN(C)Cc1csc(C)c1 ZINC000418145463 387167192 /nfs/dbraw/zinc/16/71/92/387167192.db2.gz NELWKKHJWGHZQR-UHFFFAOYSA-N 0 3 227.373 2.915 20 0 BFADHN CCOC[C@@H]1CCN(Cc2ccc(C)nc2C)C1 ZINC000187115337 387168402 /nfs/dbraw/zinc/16/84/02/387168402.db2.gz LHOLAHIKDRFKIZ-CQSZACIVSA-N 0 3 248.370 2.557 20 0 BFADHN Cc1ccncc1CN1C[C@H](C(N)=O)CC[C@@H]1C ZINC000336340441 387171483 /nfs/dbraw/zinc/17/14/83/387171483.db2.gz UEDWWUDISPFVOW-NWDGAFQWSA-N 0 3 247.342 2.526 20 0 BFADHN Fc1ccc2c(c1)CN(C[C@@H]1CCCOC1)CC2 ZINC000336346714 387176409 /nfs/dbraw/zinc/17/64/09/387176409.db2.gz RSWHQRMSFHBZCA-LBPRGKRZSA-N 0 3 249.329 2.610 20 0 BFADHN Cc1ccc(CN2CCCCC2)c(C)n1 ZINC000336351032 387180182 /nfs/dbraw/zinc/18/01/82/387180182.db2.gz HCNGPUZASDGMPA-UHFFFAOYSA-N 0 3 204.317 2.684 20 0 BFADHN Cc1n[nH]cc1CN1CC(C)(C)[C@H]1C(C)C ZINC000336351302 387181190 /nfs/dbraw/zinc/18/11/90/387181190.db2.gz ZBJXHKORSDWVKP-GFCCVEGCSA-N 0 3 221.348 2.585 20 0 BFADHN Cc1ccc(CN2C[C@@H](C(N)=O)CC[C@H]2C)cn1 ZINC000336355827 387185119 /nfs/dbraw/zinc/18/51/19/387185119.db2.gz DOZDDEZVNOVPLX-YPMHNXCESA-N 0 3 247.342 2.526 20 0 BFADHN CCCC[C@H](N)C(=O)N1CCC(C)(CC)CC1 ZINC000062957989 387187211 /nfs/dbraw/zinc/18/72/11/387187211.db2.gz BCYAZJNGWUGWHN-LBPRGKRZSA-N 0 3 240.391 2.543 20 0 BFADHN Cc1ccc(NC(=O)CN2[C@H](C)CC[C@H]2C)cc1 ZINC000120136226 387189136 /nfs/dbraw/zinc/18/91/36/387189136.db2.gz JLWKMRSEBJHZCD-CHWSQXEVSA-N 0 3 246.354 2.806 20 0 BFADHN COc1ccc(CNCCC2CC2)c(OC)c1 ZINC000088454727 387189522 /nfs/dbraw/zinc/18/95/22/387189522.db2.gz IJUYOYWJWRXLEP-UHFFFAOYSA-N 0 3 235.327 2.594 20 0 BFADHN CC[C@]1(C)CCN(Cc2cncc(F)c2)C1 ZINC000336359657 387189474 /nfs/dbraw/zinc/18/94/74/387189474.db2.gz LPHUINWYUZRKJP-CYBMUJFWSA-N 0 3 222.307 2.843 20 0 BFADHN Cc1ccc(NC(=O)CN2[C@H](C)CC[C@@H]2C)cc1 ZINC000120135937 387189557 /nfs/dbraw/zinc/18/95/57/387189557.db2.gz JLWKMRSEBJHZCD-BETUJISGSA-N 0 3 246.354 2.806 20 0 BFADHN Cc1ccnc([C@H](C)NCCc2cscn2)c1 ZINC000353391277 387191857 /nfs/dbraw/zinc/19/18/57/387191857.db2.gz BVXPVOKVCLRVGG-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN CCCc1cccc(CN[C@H]2C[C@@](C)(O)C2)c1 ZINC000418101293 387132778 /nfs/dbraw/zinc/13/27/78/387132778.db2.gz FZXMAELFUHKWSG-GASCZTMLSA-N 0 3 233.355 2.642 20 0 BFADHN COc1ccc(F)c(CNC[C@@H]2CC23CC3)c1 ZINC000418105541 387134344 /nfs/dbraw/zinc/13/43/44/387134344.db2.gz YBGDUEXQKLMBFJ-NSHDSACASA-N 0 3 235.302 2.724 20 0 BFADHN Cc1cncc(CN2CCSC[C@@H](C)C2)c1 ZINC000336305673 387134915 /nfs/dbraw/zinc/13/49/15/387134915.db2.gz FRFKGLJEVZLKRF-LBPRGKRZSA-N 0 3 236.384 2.575 20 0 BFADHN CO[C@H]1CCCN(Cc2ccc(C)o2)CC1 ZINC000370709100 387136247 /nfs/dbraw/zinc/13/62/47/387136247.db2.gz QXKPMDPUJMFCPX-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN c1csc(CN2CCC[C@]23CCOC3)c1 ZINC000370738054 387139140 /nfs/dbraw/zinc/13/91/40/387139140.db2.gz HJNSMXKHSDEMLB-GFCCVEGCSA-N 0 3 223.341 2.503 20 0 BFADHN c1nc2ccccn2c1CNCC1=CCCCC1 ZINC000648632691 387202619 /nfs/dbraw/zinc/20/26/19/387202619.db2.gz JLXGUXUJHIHFSH-UHFFFAOYSA-N 0 3 241.338 2.924 20 0 BFADHN C[C@H](NC1CCOCC1)c1cccc(F)c1F ZINC000090963698 387204198 /nfs/dbraw/zinc/20/41/98/387204198.db2.gz DZJPNVRSZJULAA-VIFPVBQESA-N 0 3 241.281 2.794 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2nnc(C3CC3)[nH]2)[C@@H]1C ZINC000187575034 387206242 /nfs/dbraw/zinc/20/62/42/387206242.db2.gz HMCYCFZRVZHJTQ-FOGDFJRCSA-N 0 3 248.374 2.596 20 0 BFADHN CO[C@@H](C)CNC(C)(C)c1ccccc1F ZINC000292037642 387207031 /nfs/dbraw/zinc/20/70/31/387207031.db2.gz ACUXAJNKPYETIC-JTQLQIEISA-N 0 3 225.307 2.685 20 0 BFADHN CC(C)n1cc(CN2CCC[C@@H]2C2CC2)cn1 ZINC000371420307 387208422 /nfs/dbraw/zinc/20/84/22/387208422.db2.gz VMLQWLDWSWCHCD-CQSZACIVSA-N 0 3 233.359 2.838 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N(C)C1CC(O)C1 ZINC000353467860 387208509 /nfs/dbraw/zinc/20/85/09/387208509.db2.gz NEKNXZGLOJSAMM-JXQTWKCFSA-N 0 3 237.318 2.732 20 0 BFADHN C[C@H](NCCCC1CC1)c1cc2n(n1)CCC2 ZINC000571981043 387215452 /nfs/dbraw/zinc/21/54/52/387215452.db2.gz WLBABOAFPNJDFM-NSHDSACASA-N 0 3 233.359 2.670 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H]1CCCc2occc21 ZINC000193337540 387215834 /nfs/dbraw/zinc/21/58/34/387215834.db2.gz ZFBSHSZBKKJWLV-NHCYSSNCSA-N 0 3 223.316 2.670 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCC[C@]2(C)CO)c1 ZINC000353517174 387220491 /nfs/dbraw/zinc/22/04/91/387220491.db2.gz IQFHNVPCIKTAIF-NWANDNLSSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2CCC[C@@H](O)C2)c1 ZINC000353517430 387221439 /nfs/dbraw/zinc/22/14/39/387221439.db2.gz IUCVMMYNSAZJGR-BFHYXJOUSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1ccnc([C@@H](C)NCCC2CCOCC2)c1 ZINC000353535954 387224285 /nfs/dbraw/zinc/22/42/85/387224285.db2.gz WUADJWBEWIHSMC-CYBMUJFWSA-N 0 3 248.370 2.857 20 0 BFADHN CCc1ccc(CN2CCOCC3(CC3)C2)cc1 ZINC000360096763 387226545 /nfs/dbraw/zinc/22/65/45/387226545.db2.gz HUTILPGAMKIUDN-UHFFFAOYSA-N 0 3 245.366 2.861 20 0 BFADHN C[C@H](NCC[C@H]1CCOC1)c1ccccc1F ZINC000230061436 387229148 /nfs/dbraw/zinc/22/91/48/387229148.db2.gz ACTYVACSABNGCJ-RYUDHWBXSA-N 0 3 237.318 2.903 20 0 BFADHN CC[C@]1(NCc2ccc(C)cc2OC)CCOC1 ZINC000648555049 387253322 /nfs/dbraw/zinc/25/33/22/387253322.db2.gz XZGUFHWMEFEFLL-HNNXBMFYSA-N 0 3 249.354 2.662 20 0 BFADHN CC[C@@H](CN[C@H](c1cccnc1)C(C)C)OC ZINC000353557702 387230637 /nfs/dbraw/zinc/23/06/37/387230637.db2.gz IBJYSPFJIJRMDD-KBPBESRZSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@@H](CSC)NCc1ccoc1C ZINC000321483346 387231190 /nfs/dbraw/zinc/23/11/90/387231190.db2.gz FHLWKJMJAQVXHC-NSHDSACASA-N 0 3 213.346 2.819 20 0 BFADHN C[C@H]1OCC[C@]1(C)NCc1cc(F)cc(F)c1 ZINC000230153739 387237276 /nfs/dbraw/zinc/23/72/76/387237276.db2.gz VFFUARJDQXABHY-RNCFNFMXSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1cnc(CN2CC[C@H](CC(C)C)C2)cn1 ZINC000353592609 387238617 /nfs/dbraw/zinc/23/86/17/387238617.db2.gz SQXZJNNIQYERDB-CYBMUJFWSA-N 0 3 233.359 2.653 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@H](OC)C2(C)C)o1 ZINC000230198418 387242311 /nfs/dbraw/zinc/24/23/11/387242311.db2.gz NNWKINGLMYHKQH-OLZOCXBDSA-N 0 3 237.343 2.745 20 0 BFADHN C[C@@H](N[C@@H]1COc2ccccc21)[C@H]1C[C@H]1C ZINC000321475282 387242372 /nfs/dbraw/zinc/24/23/72/387242372.db2.gz IIFXVOQBOPDPKI-VCDKRKBESA-N 0 3 217.312 2.754 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@@H](OC)C2(C)C)o1 ZINC000230198413 387242857 /nfs/dbraw/zinc/24/28/57/387242857.db2.gz NNWKINGLMYHKQH-QWHCGFSZSA-N 0 3 237.343 2.745 20 0 BFADHN c1cc(CNC[C@@H](c2ccccc2)C2CC2)n[nH]1 ZINC000353630891 387245101 /nfs/dbraw/zinc/24/51/01/387245101.db2.gz JBZWOMYCCBZMPR-HNNXBMFYSA-N 0 3 241.338 2.693 20 0 BFADHN Cc1ccc(CN2CCOCC3(CCC3)C2)cc1 ZINC000353628321 387245985 /nfs/dbraw/zinc/24/59/85/387245985.db2.gz HWKQBFXMZKJDFI-UHFFFAOYSA-N 0 3 245.366 2.998 20 0 BFADHN CC[C@H](NCc1ccc(C)nc1C)[C@@H]1CCCO1 ZINC000187492335 387199454 /nfs/dbraw/zinc/19/94/54/387199454.db2.gz KBSVNBOFNGEQJG-GJZGRUSLSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1ccc2nccc(N3C[C@@H](O)C[C@H]3C)c2c1 ZINC000336367866 387199579 /nfs/dbraw/zinc/19/95/79/387199579.db2.gz ODCHKVHFQPJPQD-NEPJUHHUSA-N 0 3 242.322 2.503 20 0 BFADHN Cc1csc(CN2C[C@@H](C)C[C@H]2C)n1 ZINC000336486064 387313763 /nfs/dbraw/zinc/31/37/63/387313763.db2.gz FWYUQOKMXAHCPE-WCBMZHEXSA-N 0 3 210.346 2.682 20 0 BFADHN CCCC[C@H](C)[C@H](C)N[C@H]1CNCCC1(F)F ZINC000423468448 387315327 /nfs/dbraw/zinc/31/53/27/387315327.db2.gz BYMNLVHCSDOQEC-SRVKXCTJSA-N 0 3 248.361 2.788 20 0 BFADHN COCC[C@H](NCCCCF)c1ccco1 ZINC000285292738 387255697 /nfs/dbraw/zinc/25/56/97/387255697.db2.gz BGMHSIRWAINTSW-NSHDSACASA-N 0 3 229.295 2.697 20 0 BFADHN Cc1ccnc([C@H](C)NCC2(CO)CCCC2)c1 ZINC000353671841 387256436 /nfs/dbraw/zinc/25/64/36/387256436.db2.gz OSUMJDBTIKAQPD-ZDUSSCGKSA-N 0 3 248.370 2.593 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@H]2CCC(C)(C)O2)c1 ZINC000353680438 387257398 /nfs/dbraw/zinc/25/73/98/387257398.db2.gz JOGBEELZXYVXLO-STQMWFEESA-N 0 3 248.370 2.998 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CCO[C@H]2C2CC2)c1 ZINC000230313846 387259774 /nfs/dbraw/zinc/25/97/74/387259774.db2.gz HOQILWPGJGFNRL-CABCVRRESA-N 0 3 249.329 2.791 20 0 BFADHN CCc1ccc(CCN(C)[C@H]2CCOC2)cc1 ZINC000360292612 387259853 /nfs/dbraw/zinc/25/98/53/387259853.db2.gz NYRWLRZHKPSDBR-HNNXBMFYSA-N 0 3 233.355 2.512 20 0 BFADHN CC(C)N(C(=O)CN1CCCCCC1)C(C)C ZINC000301605585 387261832 /nfs/dbraw/zinc/26/18/32/387261832.db2.gz NKVHRYVDDPLGGL-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1ccc(F)cc1OCCN1CCCCC1 ZINC000230692895 134526839 /nfs/dbraw/zinc/52/68/39/134526839.db2.gz ZSJFJRHYJGZUSC-UHFFFAOYSA-N 0 3 237.318 2.999 20 0 BFADHN C[C@@H](N[C@@H](C)c1c(F)cncc1F)C1CC1 ZINC000285372881 387262816 /nfs/dbraw/zinc/26/28/16/387262816.db2.gz CDCIJCKMEWSYOE-SFYZADRCSA-N 0 3 226.270 2.809 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@H](C)[C@H]2C)nc1 ZINC000360339526 387266279 /nfs/dbraw/zinc/26/62/79/387266279.db2.gz UMHQUPKYGLXUKO-YNEHKIRRSA-N 0 3 233.359 2.699 20 0 BFADHN CCOc1cccc(CN2C[C@H](C)C[C@@H]2C)n1 ZINC000353746293 387267526 /nfs/dbraw/zinc/26/75/26/387267526.db2.gz JUAIUSFKRVRWRG-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ccnc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)n1 ZINC000360340432 387268279 /nfs/dbraw/zinc/26/82/79/387268279.db2.gz ZQEBFBXZTUUFKO-WCFLWFBJSA-N 0 3 233.359 2.699 20 0 BFADHN CCc1ccc(CN[C@@H](C)c2cnn(C)c2)s1 ZINC000230672064 387270640 /nfs/dbraw/zinc/27/06/40/387270640.db2.gz KZVISPFUTDJPEQ-JTQLQIEISA-N 0 3 249.383 2.895 20 0 BFADHN COCc1ccc(CN2C[C@H](C)C[C@H]2C)o1 ZINC000353754173 387271097 /nfs/dbraw/zinc/27/10/97/387271097.db2.gz YLUWDXUGWJKIAR-GHMZBOCLSA-N 0 3 223.316 2.656 20 0 BFADHN CO[C@H](C)CN(Cc1occc1C)C(C)C ZINC000353777830 387273045 /nfs/dbraw/zinc/27/30/45/387273045.db2.gz YNTGWHOMYQHABC-GFCCVEGCSA-N 0 3 225.332 2.833 20 0 BFADHN CCC[C@@]1(NCc2scnc2C)CCOC1 ZINC000285575884 387273418 /nfs/dbraw/zinc/27/34/18/387273418.db2.gz SWSHKUVYEHIQJI-GFCCVEGCSA-N 0 3 240.372 2.500 20 0 BFADHN CCC[C@]1(NCc2scnc2C)CCOC1 ZINC000285575887 387273681 /nfs/dbraw/zinc/27/36/81/387273681.db2.gz SWSHKUVYEHIQJI-LBPRGKRZSA-N 0 3 240.372 2.500 20 0 BFADHN Cc1cnc(NCCN2CCC[C@H](C)C2)s1 ZINC000302123711 387280023 /nfs/dbraw/zinc/28/00/23/387280023.db2.gz VJWGIZIYRFVJLI-JTQLQIEISA-N 0 3 239.388 2.595 20 0 BFADHN CCS[C@@H]1CCC[C@@H]1NCc1cc(C)no1 ZINC000285706116 387281219 /nfs/dbraw/zinc/28/12/19/387281219.db2.gz CYRFOWPOHYLDDH-NWDGAFQWSA-N 0 3 240.372 2.747 20 0 BFADHN Cc1cnc([C@@H](C)NCCCCF)s1 ZINC000285780510 387285868 /nfs/dbraw/zinc/28/58/68/387285868.db2.gz XGTIIJSEPNUBFA-SECBINFHSA-N 0 3 216.325 2.852 20 0 BFADHN CC(C)N(CCC(C)(C)O)Cc1ccco1 ZINC000360450589 387286485 /nfs/dbraw/zinc/28/64/85/387286485.db2.gz WYGYRQRUNYZOPN-UHFFFAOYSA-N 0 3 225.332 2.651 20 0 BFADHN C[C@@H](O)CCN(C)C/C=C\c1ccc(F)cc1 ZINC000353867105 387286590 /nfs/dbraw/zinc/28/65/90/387286590.db2.gz NURWOEJNOFMTKJ-VSQXVHSFSA-N 0 3 237.318 2.542 20 0 BFADHN C[C@H](NCc1cocn1)[C@H]1CCCC[C@H]1C ZINC000648456417 387286719 /nfs/dbraw/zinc/28/67/19/387286719.db2.gz LRBGELPDXPPSLU-MDZLAQPJSA-N 0 3 222.332 2.979 20 0 BFADHN CCOC[C@@H](C)NC1(c2cccc(OC)c2)CC1 ZINC000353869476 387287252 /nfs/dbraw/zinc/28/72/52/387287252.db2.gz LBFSIZJFGSKBAH-GFCCVEGCSA-N 0 3 249.354 2.699 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](CO)C2CC2)o1 ZINC000353878129 387290587 /nfs/dbraw/zinc/29/05/87/387290587.db2.gz HIISRJQRFMDKLY-CMPLNLGQSA-N 0 3 237.343 2.511 20 0 BFADHN CCc1noc(C)c1CN1CCC[C@H](C)C1 ZINC000161990834 387293516 /nfs/dbraw/zinc/29/35/16/387293516.db2.gz PFWXDSZBPHYOSE-JTQLQIEISA-N 0 3 222.332 2.777 20 0 BFADHN CCCCCN(C)C[C@H]1COc2ccccc2O1 ZINC000302835037 387293531 /nfs/dbraw/zinc/29/35/31/387293531.db2.gz ZOCJAQLRIUOFKP-ZDUSSCGKSA-N 0 3 249.354 2.948 20 0 BFADHN FCCCCCN1CCOC[C@@H]1C1CCC1 ZINC000514860471 387298243 /nfs/dbraw/zinc/29/82/43/387298243.db2.gz HCFJZRCHGNZPJS-CYBMUJFWSA-N 0 3 229.339 2.627 20 0 BFADHN Cc1cc(CN2CCC23CCOCC3)cs1 ZINC000648560555 387298627 /nfs/dbraw/zinc/29/86/27/387298627.db2.gz ULMCJOVUHXFLCZ-UHFFFAOYSA-N 0 3 237.368 2.811 20 0 BFADHN c1coc(CN2CCC(OCC3CC3)CC2)c1 ZINC000372399595 387298938 /nfs/dbraw/zinc/29/89/38/387298938.db2.gz PEVIHLSAUNGPDX-UHFFFAOYSA-N 0 3 235.327 2.671 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2ccn(C)n2)CC1 ZINC000353925679 387299852 /nfs/dbraw/zinc/29/98/52/387299852.db2.gz WLNVALZTCWKZSD-ZDUSSCGKSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@H]1c2ccccc2CN1C[C@@H]1CCOC1 ZINC000336471680 387301063 /nfs/dbraw/zinc/30/10/63/387301063.db2.gz MSXQWYGXZOGEQN-RYUDHWBXSA-N 0 3 217.312 2.600 20 0 BFADHN C[C@@H]1c2ccccc2CN1C[C@@H]1CCOC1 ZINC000336471669 387301879 /nfs/dbraw/zinc/30/18/79/387301879.db2.gz MSXQWYGXZOGEQN-NEPJUHHUSA-N 0 3 217.312 2.600 20 0 BFADHN Cc1ccnc(CN2CCC[C@@H]2C2CCC2)n1 ZINC000336480007 387306745 /nfs/dbraw/zinc/30/67/45/387306745.db2.gz RFDQNLSNCMWBCB-CYBMUJFWSA-N 0 3 231.343 2.550 20 0 BFADHN CCCCOC1CCN(Cc2cccnc2)CC1 ZINC000353998403 387308266 /nfs/dbraw/zinc/30/82/66/387308266.db2.gz VEUOPSWOHIKTDY-UHFFFAOYSA-N 0 3 248.370 2.863 20 0 BFADHN CCCN(Cc1c(C)nn(C)c1C)C(C)C ZINC000515309471 387358650 /nfs/dbraw/zinc/35/86/50/387358650.db2.gz GOQYMQBFHVPGLP-UHFFFAOYSA-N 0 3 223.364 2.657 20 0 BFADHN CCOCCN1C[C@H](C)C[C@@H]1c1cccnc1 ZINC000373412706 387363815 /nfs/dbraw/zinc/36/38/15/387363815.db2.gz MUYMRBUXXVKPPE-TZMCWYRMSA-N 0 3 234.343 2.501 20 0 BFADHN CC(=O)CN1CCCC2(CCCC2)CC1 ZINC000639785409 387374331 /nfs/dbraw/zinc/37/43/31/387374331.db2.gz XMTPCJHWEIEBCR-UHFFFAOYSA-N 0 3 209.333 2.622 20 0 BFADHN Cc1sccc1CN1C[C@@H](C)N(C)[C@H](C)C1 ZINC000354445788 387376700 /nfs/dbraw/zinc/37/67/00/387376700.db2.gz MCUOEHRPACWTDB-GHMZBOCLSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1ccc(CN(C(C)C)C2CC2)cn1 ZINC000360975331 387378881 /nfs/dbraw/zinc/37/88/81/387378881.db2.gz NVTNLIGXIFHJFM-UHFFFAOYSA-N 0 3 204.317 2.763 20 0 BFADHN CC[C@H](C)CN1CCC2(C1)Oc1ccccc1O2 ZINC000515465746 387381929 /nfs/dbraw/zinc/38/19/29/387381929.db2.gz KXLDMSMCZZXOAZ-LBPRGKRZSA-N 0 3 247.338 2.906 20 0 BFADHN C[C@@H](C(=O)OC(C)(C)C)N1CCCC[C@@H](C)C1 ZINC000360605318 387320086 /nfs/dbraw/zinc/32/00/86/387320086.db2.gz QXZBSLGNANHVFU-NEPJUHHUSA-N 0 3 241.375 2.839 20 0 BFADHN COc1cc(CN2CCCC[C@H](C)C2)ccn1 ZINC000360622400 387325014 /nfs/dbraw/zinc/32/50/14/387325014.db2.gz XMVNCHAHFORRMS-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN CCCn1cc(CN2CC[C@@H](C3CC3)C2)cn1 ZINC000354077587 387327660 /nfs/dbraw/zinc/32/76/60/387327660.db2.gz FQNBETBVAPUGPL-CQSZACIVSA-N 0 3 233.359 2.525 20 0 BFADHN CC(C)COCCN1CC[C@H](c2ccco2)C1 ZINC000372813821 387329346 /nfs/dbraw/zinc/32/93/46/387329346.db2.gz WJUNJNGBUDYMBZ-ZDUSSCGKSA-N 0 3 237.343 2.742 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cnn(C)c2C)C1 ZINC000354115159 387332692 /nfs/dbraw/zinc/33/26/92/387332692.db2.gz BSFKYHXORDYIEH-CYBMUJFWSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1cc(CN2CCC[C@@H]([C@H](C)O)C2)cs1 ZINC000572351613 387340554 /nfs/dbraw/zinc/34/05/54/387340554.db2.gz YZJCOVIFFXXXDJ-WCQYABFASA-N 0 3 239.384 2.649 20 0 BFADHN CC(C)CC[C@@H](O)CN(C)CCC(F)(F)F ZINC000360705918 387341183 /nfs/dbraw/zinc/34/11/83/387341183.db2.gz YKGJNUNRBBTLFY-SNVBAGLBSA-N 0 3 241.297 2.668 20 0 BFADHN Cc1cccc(CN2CC([C@@H]3CCOC3)C2)c1F ZINC000648563011 387342126 /nfs/dbraw/zinc/34/21/26/387342126.db2.gz GKNIPLDMHVMUII-CYBMUJFWSA-N 0 3 249.329 2.602 20 0 BFADHN CCCn1cc(CN(C(C)C)C2CC2)cn1 ZINC000121342277 387343041 /nfs/dbraw/zinc/34/30/41/387343041.db2.gz BYTQBTJPMBRNJI-UHFFFAOYSA-N 0 3 221.348 2.666 20 0 BFADHN C[C@H](CCc1ccc(O)cc1)N[C@@H](C)CF ZINC000290090842 387352199 /nfs/dbraw/zinc/35/21/99/387352199.db2.gz HAAUHEOKYAMTDI-MNOVXSKESA-N 0 3 225.307 2.661 20 0 BFADHN C[C@@H]1C[C@H]1CN1CC(c2ccccc2F)C1 ZINC000361248548 387423933 /nfs/dbraw/zinc/42/39/33/387423933.db2.gz CVPIRUHJSMZWEJ-MNOVXSKESA-N 0 3 219.303 2.881 20 0 BFADHN CCc1ccccc1CN1CCN(CC)C[C@@H]1C ZINC000352689278 387438049 /nfs/dbraw/zinc/43/80/49/387438049.db2.gz DDUDPFQUMVZMTN-AWEZNQCLSA-N 0 3 246.398 2.775 20 0 BFADHN C[C@H]1OCCN(CCCCOC(C)(C)C)[C@H]1C ZINC000354865020 387439080 /nfs/dbraw/zinc/43/90/80/387439080.db2.gz QOWHILMFXLQJPE-QWHCGFSZSA-N 0 3 243.391 2.691 20 0 BFADHN C[C@@H]1OCCN(CCCCOC(C)(C)C)[C@H]1C ZINC000354865021 387439304 /nfs/dbraw/zinc/43/93/04/387439304.db2.gz QOWHILMFXLQJPE-STQMWFEESA-N 0 3 243.391 2.691 20 0 BFADHN CC[C@H]1COCCN1CCCCOC(C)(C)C ZINC000354918609 387447561 /nfs/dbraw/zinc/44/75/61/387447561.db2.gz NBGOVFBCNOEENX-ZDUSSCGKSA-N 0 3 243.391 2.693 20 0 BFADHN CCc1ccc([C@H](C)NC[C@]2(C)CCOC2)o1 ZINC000361386928 387452139 /nfs/dbraw/zinc/45/21/39/387452139.db2.gz QWFSEFFCDOTABV-FZMZJTMJSA-N 0 3 237.343 2.919 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1ccncn1 ZINC000395807926 387453133 /nfs/dbraw/zinc/45/31/33/387453133.db2.gz DAHCEFXVLPMDLC-HBNTYKKESA-N 0 3 207.321 2.562 20 0 BFADHN CCC[C@](C)(CO)NCc1ccc(F)c(F)c1 ZINC000304279748 387456672 /nfs/dbraw/zinc/45/66/72/387456672.db2.gz YRGGFRBOUSQTKD-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN CCc1ccc(CN2[C@@H](C)C[C@@H]2C)cn1 ZINC000336618523 387458995 /nfs/dbraw/zinc/45/89/95/387458995.db2.gz FSQPNMIUZDIREJ-QWRGUYRKSA-N 0 3 204.317 2.627 20 0 BFADHN C[C@@H](O)CN(C)C/C=C\c1ccc(Cl)cc1 ZINC000361010316 387387415 /nfs/dbraw/zinc/38/74/15/387387415.db2.gz PADWKIBPGVDREK-DLRQAJBASA-N 0 3 239.746 2.666 20 0 BFADHN C[C@@H](CC1CCOCC1)CN1CCC[C@H]1CF ZINC000354518741 387388030 /nfs/dbraw/zinc/38/80/30/387388030.db2.gz BNDOMVKTDXULSJ-JSGCOSHPSA-N 0 3 243.366 2.873 20 0 BFADHN CCOc1ccc(CNCCSCC)cc1 ZINC000273635935 387459997 /nfs/dbraw/zinc/45/99/97/387459997.db2.gz ARFSNWFMGDDTHS-UHFFFAOYSA-N 0 3 239.384 2.928 20 0 BFADHN Cc1nocc1CN1CC[C@@H](CC2CC2)C1 ZINC000354531437 387390118 /nfs/dbraw/zinc/39/01/18/387390118.db2.gz XRLAUHWEOADFSI-LBPRGKRZSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1ccc2[nH]c(CN(C)C[C@@H](C)O)cc2c1C ZINC000361015250 387390379 /nfs/dbraw/zinc/39/03/79/387390379.db2.gz ADZOLWHTPGKXPT-LLVKDONJSA-N 0 3 246.354 2.597 20 0 BFADHN CCN(C)Cc1cc2ccc(F)cc2[nH]1 ZINC000336568189 387393984 /nfs/dbraw/zinc/39/39/84/387393984.db2.gz BFXYUPOQCAWFGI-UHFFFAOYSA-N 0 3 206.264 2.759 20 0 BFADHN CC[C@@H](C)[C@H](C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000423702311 387401388 /nfs/dbraw/zinc/40/13/88/387401388.db2.gz XXZFZFPQKMIEPY-MNOVXSKESA-N 0 3 246.354 2.911 20 0 BFADHN Cc1ccc(CN2CCC[C@H](C)C2)cn1 ZINC000121773876 387405644 /nfs/dbraw/zinc/40/56/44/387405644.db2.gz UNHDWZDXFYSRRB-NSHDSACASA-N 0 3 204.317 2.622 20 0 BFADHN Cc1ccnc([C@@H](C)NC[C@@H]2CCSC2)c1 ZINC000287284814 387405788 /nfs/dbraw/zinc/40/57/88/387405788.db2.gz DANGCEZCFMBCMW-NEPJUHHUSA-N 0 3 236.384 2.794 20 0 BFADHN CC1(C)COCCN1C[C@@H]1C[C@@H]1c1ccccc1 ZINC000352653760 387406413 /nfs/dbraw/zinc/40/64/13/387406413.db2.gz DYSOVEJZBWNRCJ-LSDHHAIUSA-N 0 3 245.366 2.901 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@@H](C)[C@H]2C)s1 ZINC000361156817 387407918 /nfs/dbraw/zinc/40/79/18/387407918.db2.gz UFCBNIYZPQFQON-NWDGAFQWSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@@H](C)[C@@H]2C)s1 ZINC000361156818 387409254 /nfs/dbraw/zinc/40/92/54/387409254.db2.gz UFCBNIYZPQFQON-RYUDHWBXSA-N 0 3 238.400 2.581 20 0 BFADHN CN(CCC1CC1)Cc1cn(C)nc1C(F)F ZINC000361187461 387412022 /nfs/dbraw/zinc/41/20/22/387412022.db2.gz IWZAEDIHLDZHJL-UHFFFAOYSA-N 0 3 243.301 2.590 20 0 BFADHN Cc1noc(C)c1CN1CC[C@@H](c2ccco2)C1 ZINC000374012569 387412470 /nfs/dbraw/zinc/41/24/70/387412470.db2.gz SJESFNJLNKKXAU-GFCCVEGCSA-N 0 3 246.310 2.874 20 0 BFADHN CCCn1cc(CN(C)C(C)(C)C)cn1 ZINC000515651647 387413501 /nfs/dbraw/zinc/41/35/01/387413501.db2.gz CXQGERAYBGEOGK-UHFFFAOYSA-N 0 3 209.337 2.523 20 0 BFADHN COC[C@@H](CC(C)C)NCc1ncccc1C ZINC000361231766 387419748 /nfs/dbraw/zinc/41/97/48/387419748.db2.gz UYRJMXADHVTQJU-CYBMUJFWSA-N 0 3 236.359 2.541 20 0 BFADHN CC(C)c1nnc(C[NH2+]C[C@@H](C)C(C)(C)C)[n-]1 ZINC000648670243 387420547 /nfs/dbraw/zinc/42/05/47/387420547.db2.gz AKHUYSWALUUHER-SNVBAGLBSA-N 0 3 238.379 2.700 20 0 BFADHN CC(C)c1nnc(CNC[C@@H](C)C(C)(C)C)[nH]1 ZINC000648670243 387420552 /nfs/dbraw/zinc/42/05/52/387420552.db2.gz AKHUYSWALUUHER-SNVBAGLBSA-N 0 3 238.379 2.700 20 0 BFADHN CCN(C/C=C\c1ccccc1)[C@@H]1CCOC1 ZINC000361239655 387422529 /nfs/dbraw/zinc/42/25/29/387422529.db2.gz WTQOAFKKOCPSJY-WUKHSURWSA-N 0 3 231.339 2.811 20 0 BFADHN COc1c(O)cccc1CN1CC[C@@H]2CCC[C@H]21 ZINC000336598653 387423068 /nfs/dbraw/zinc/42/30/68/387423068.db2.gz YFUPAQNAJODRAQ-WCQYABFASA-N 0 3 247.338 2.775 20 0 BFADHN CC[C@@H](NC(=O)CNC(C)C)c1ccc(C)cc1 ZINC000037389753 387423054 /nfs/dbraw/zinc/42/30/54/387423054.db2.gz JSTSXIWWYDGISA-CQSZACIVSA-N 0 3 248.370 2.560 20 0 BFADHN CCCCC[C@H]1CCCN1Cc1nccn1C ZINC000355219563 387499820 /nfs/dbraw/zinc/49/98/20/387499820.db2.gz KFGFKBAUDKKUBB-ZDUSSCGKSA-N 0 3 235.375 2.965 20 0 BFADHN CCC[C@@](C)(CO)NCc1cc(F)ccc1F ZINC000304679947 387500561 /nfs/dbraw/zinc/50/05/61/387500561.db2.gz ZHSBMOQQLFAVKV-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN CC[C@H](C)CNC(=O)c1ccccc1CN(C)C ZINC000421919418 387502485 /nfs/dbraw/zinc/50/24/85/387502485.db2.gz QKEWZMTUUSOBGZ-LBPRGKRZSA-N 0 3 248.370 2.524 20 0 BFADHN CC(C)CCN1CCC[C@H]1c1ncc[nH]1 ZINC000375003397 387504893 /nfs/dbraw/zinc/50/48/93/387504893.db2.gz GMKKXZQOJHPUSQ-NSHDSACASA-N 0 3 207.321 2.593 20 0 BFADHN CCN(C)Cc1cc(F)cc(Cl)c1 ZINC000275350783 387506855 /nfs/dbraw/zinc/50/68/55/387506855.db2.gz ZBHKMPBMYOMDLW-UHFFFAOYSA-N 0 3 201.672 2.931 20 0 BFADHN Cc1cccc(OCCN[C@H]2COC(C)(C)C2)c1 ZINC000396879193 387508061 /nfs/dbraw/zinc/50/80/61/387508061.db2.gz JYKXFUAYSIBZFA-CYBMUJFWSA-N 0 3 249.354 2.531 20 0 BFADHN CC1(C)C[C@@H]1NCc1ccc(F)cc1F ZINC000044371853 387511193 /nfs/dbraw/zinc/51/11/93/387511193.db2.gz DKLWVXJLXHIIAO-NSHDSACASA-N 0 3 211.255 2.853 20 0 BFADHN COC1(CN(C)Cc2cccnc2)CCCCC1 ZINC000361604472 387511209 /nfs/dbraw/zinc/51/12/09/387511209.db2.gz UGIHTQMXRFMDCC-UHFFFAOYSA-N 0 3 248.370 2.863 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2COC(C)(C)C2)o1 ZINC000396908577 387511699 /nfs/dbraw/zinc/51/16/99/387511699.db2.gz LJPXTHNOQXFNFN-GHMZBOCLSA-N 0 3 223.316 2.806 20 0 BFADHN CN(Cc1cc(Cl)cs1)CC1(O)CCC1 ZINC000426155765 387543712 /nfs/dbraw/zinc/54/37/12/387543712.db2.gz AQVHRVUBNLPWQL-UHFFFAOYSA-N 0 3 245.775 2.748 20 0 BFADHN COC(=O)[C@H](C)N(CC1CCCC1)C1CCC1 ZINC000361659202 387518710 /nfs/dbraw/zinc/51/87/10/387518710.db2.gz ZFTUTTFABBVTKV-NSHDSACASA-N 0 3 239.359 2.593 20 0 BFADHN Cc1ccc(F)cc1CN1CCC[C@@]12CCOC2 ZINC000375275641 387520897 /nfs/dbraw/zinc/52/08/97/387520897.db2.gz DUXCKVXWHHEJMT-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN C/C(=C\c1ccccc1)CN(C)C1CC(O)C1 ZINC000355365501 387525992 /nfs/dbraw/zinc/52/59/92/387525992.db2.gz MRDFMOUMNNOKJG-XYOKQWHBSA-N 0 3 231.339 2.545 20 0 BFADHN C[C@@H](CN[C@@H]1CCn2ccnc21)CC(F)(F)F ZINC000396982235 387526754 /nfs/dbraw/zinc/52/67/54/387526754.db2.gz WPOCOSBIRIYYPL-RKDXNWHRSA-N 0 3 247.264 2.506 20 0 BFADHN CC(C)=CCCN[C@H](C)c1nccnc1C ZINC000276986420 387528582 /nfs/dbraw/zinc/52/85/82/387528582.db2.gz ZZYAMWWUEUXKPW-LLVKDONJSA-N 0 3 219.332 2.792 20 0 BFADHN CCn1ccnc1CN[C@@H](C1CC1)C1CCC1 ZINC000324821274 387528838 /nfs/dbraw/zinc/52/88/38/387528838.db2.gz SDUMGPDBLVPPQP-CQSZACIVSA-N 0 3 233.359 2.571 20 0 BFADHN CO[C@H]1CCCC[C@H]1NCc1ccc(C)nc1C ZINC000361745391 387529096 /nfs/dbraw/zinc/52/90/96/387529096.db2.gz IKPVYIPUFZRHLX-CABCVRRESA-N 0 3 248.370 2.746 20 0 BFADHN C[C@H](N[C@@H](C1CC1)C1CCC1)c1cnccn1 ZINC000324825260 387530114 /nfs/dbraw/zinc/53/01/14/387530114.db2.gz TYOOXJVGBAHVJG-IINYFYTJSA-N 0 3 231.343 2.706 20 0 BFADHN CCn1cncc1CN[C@@H]1C[C@@H]1C1CCCCC1 ZINC000417971403 387538821 /nfs/dbraw/zinc/53/88/21/387538821.db2.gz RSMIKNDDACBYKZ-HUUCEWRRSA-N 0 3 247.386 2.961 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1c(C)cnn1C ZINC000352738131 387464187 /nfs/dbraw/zinc/46/41/87/387464187.db2.gz SEEYTOFWUTUQRX-VIFPVBQESA-N 0 3 249.280 2.549 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](C)C[C@H](C)C1)c1ccncn1 ZINC000395809164 387464590 /nfs/dbraw/zinc/46/45/90/387464590.db2.gz DMCSGFQBTDFANH-XQHKEYJVSA-N 0 3 233.359 2.952 20 0 BFADHN CCc1ccc(CNC[C@@H]2CCC(C)(C)O2)cn1 ZINC000352740338 387466639 /nfs/dbraw/zinc/46/66/39/387466639.db2.gz VWWQOTYTWTXNLP-AWEZNQCLSA-N 0 3 248.370 2.691 20 0 BFADHN CCN(CC1CCC1)[C@H](C)c1cnccn1 ZINC000361481204 387468500 /nfs/dbraw/zinc/46/85/00/387468500.db2.gz KJBLWZCMZACGRV-LLVKDONJSA-N 0 3 219.332 2.660 20 0 BFADHN CCN(CCC1CC1)Cc1ccc(OC)nc1 ZINC000355058281 387473128 /nfs/dbraw/zinc/47/31/28/387473128.db2.gz KTWWJXHRIFBMJM-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2cnn(C(C)C)c2)C1 ZINC000355077521 387474589 /nfs/dbraw/zinc/47/45/89/387474589.db2.gz GHIDSSWOIFHADF-CQSZACIVSA-N 0 3 235.375 2.942 20 0 BFADHN CCCn1cc(CN2CC[C@@H](C(C)C)C2)cn1 ZINC000355082229 387477579 /nfs/dbraw/zinc/47/75/79/387477579.db2.gz QESQWYICRHGIQR-CQSZACIVSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H](C)C[C@H](C)C2)n1 ZINC000355118608 387482867 /nfs/dbraw/zinc/48/28/67/387482867.db2.gz VQDHUVJTMMRCRC-NEPJUHHUSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H](C)C[C@H](C)C2)n1 ZINC000355118608 387482871 /nfs/dbraw/zinc/48/28/71/387482871.db2.gz VQDHUVJTMMRCRC-NEPJUHHUSA-N 0 3 248.370 2.964 20 0 BFADHN CN(Cc1ccc2c[nH]nc2c1)[C@@H]1CC1(C)C ZINC000426543483 387580433 /nfs/dbraw/zinc/58/04/33/387580433.db2.gz JECPSRZJAKPQPM-CYBMUJFWSA-N 0 3 229.327 2.793 20 0 BFADHN Cc1ccc(CN2CCCC[C@@H]2C[C@H](C)O)o1 ZINC000336695904 387580505 /nfs/dbraw/zinc/58/05/05/387580505.db2.gz IXIJYCYJFNSXPU-WCQYABFASA-N 0 3 237.343 2.713 20 0 BFADHN CC(C)Cn1ccnc1CNC[C@@H]1CC=CCC1 ZINC000123551701 387586339 /nfs/dbraw/zinc/58/63/39/387586339.db2.gz MFMOSAQSONLDPE-CQSZACIVSA-N 0 3 247.386 2.985 20 0 BFADHN FC1(CN2CC[C@@H](c3ccccc3)C2)CC1 ZINC000527510007 387586504 /nfs/dbraw/zinc/58/65/04/387586504.db2.gz VIINMVHTQMDGGV-CYBMUJFWSA-N 0 3 219.303 2.978 20 0 BFADHN CCC1(NCc2cscn2)CCCC1 ZINC000294155328 387590331 /nfs/dbraw/zinc/59/03/31/387590331.db2.gz AIIQSMHNIDJLCJ-UHFFFAOYSA-N 0 3 210.346 2.956 20 0 BFADHN CC[C@H](N[C@@H](C)C[S@](C)=O)c1ccsc1 ZINC000294446624 387592342 /nfs/dbraw/zinc/59/23/42/387592342.db2.gz RMELTHPFDKFOGJ-ZSGNIPIJSA-N 0 3 245.413 2.556 20 0 BFADHN CCN(CC1CC1)[C@H](C)c1cnc(C)cn1 ZINC000428223802 387592850 /nfs/dbraw/zinc/59/28/50/387592850.db2.gz BNMKZOCPCUTWFU-LLVKDONJSA-N 0 3 219.332 2.578 20 0 BFADHN C[C@@H](CNCC1(F)CC1)Oc1ccc(F)cc1 ZINC000527521824 387594005 /nfs/dbraw/zinc/59/40/05/387594005.db2.gz VGAHACOLKRVYCE-JTQLQIEISA-N 0 3 241.281 2.685 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)[C@H](C)C2)nc1 ZINC000122768133 387594486 /nfs/dbraw/zinc/59/44/86/387594486.db2.gz OPGXMTBZTVTQRP-QWHCGFSZSA-N 0 3 218.344 2.868 20 0 BFADHN c1csc(CCN[C@H]2CCCc3occc32)n1 ZINC000124036320 387603694 /nfs/dbraw/zinc/60/36/94/387603694.db2.gz UUYJAERUEZOZGQ-NSHDSACASA-N 0 3 248.351 2.946 20 0 BFADHN CO[C@@H]1CCN(Cc2ccnc(C)c2)CC1(C)C ZINC000527538498 387605821 /nfs/dbraw/zinc/60/58/21/387605821.db2.gz DBRBDJUTJQKUQV-CQSZACIVSA-N 0 3 248.370 2.637 20 0 BFADHN COC[C@@H](C)Nc1ccnc2ccccc21 ZINC000041720286 387607385 /nfs/dbraw/zinc/60/73/85/387607385.db2.gz JNDQGKOARLAVBA-SNVBAGLBSA-N 0 3 216.284 2.682 20 0 BFADHN Cc1cnc([C@@H](C)NCCc2cccnc2)s1 ZINC000124273511 387609862 /nfs/dbraw/zinc/60/98/62/387609862.db2.gz XXHCNJGHLDVSCX-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN CCOc1ccccc1OCCN1CCC[C@H]1C ZINC000362434105 387612502 /nfs/dbraw/zinc/61/25/02/387612502.db2.gz JQDQWBXSGCDLPE-CYBMUJFWSA-N 0 3 249.354 2.948 20 0 BFADHN COc1cc(C)c(CN2CCC[C@H]2C)cc1OC ZINC000124359126 387612740 /nfs/dbraw/zinc/61/27/40/387612740.db2.gz PRYFOUQCKGFAKL-GFCCVEGCSA-N 0 3 249.354 2.997 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1ccc(C)cn1 ZINC000122940701 387618665 /nfs/dbraw/zinc/61/86/65/387618665.db2.gz UKUZKUJWWZTPBW-GFCCVEGCSA-N 0 3 238.400 2.963 20 0 BFADHN Cc1c[nH]nc1CN1C[C@@H](C)CCC[C@@H]1C ZINC000356124191 387618928 /nfs/dbraw/zinc/61/89/28/387618928.db2.gz PBXDOVGAPLWGMJ-JQWIXIFHSA-N 0 3 221.348 2.729 20 0 BFADHN CC[C@H]1CCCCCN1Cc1n[nH]cc1C ZINC000356124453 387619451 /nfs/dbraw/zinc/61/94/51/387619451.db2.gz JEIKAMYDVDURCO-LBPRGKRZSA-N 0 3 221.348 2.873 20 0 BFADHN CCC[C@H](C)CN1CCO[C@H](C2CC2)C1 ZINC000362522624 387624426 /nfs/dbraw/zinc/62/44/26/387624426.db2.gz CAERIGOEONAUJX-AAEUAGOBSA-N 0 3 211.349 2.533 20 0 BFADHN CCC[C@@H](O)CNCc1cc2ccccc2o1 ZINC000112377098 387545169 /nfs/dbraw/zinc/54/51/69/387545169.db2.gz BCETWRICUYYDSX-GFCCVEGCSA-N 0 3 233.311 2.683 20 0 BFADHN CCN(C)c1ccc(CN2[C@@H](C)C[C@@H]2C)cn1 ZINC000527239135 387548085 /nfs/dbraw/zinc/54/80/85/387548085.db2.gz MZZLVPNQXPJPIF-RYUDHWBXSA-N 0 3 233.359 2.520 20 0 BFADHN Cc1cnc(CN[C@H](C)[C@H]2C[C@H]2C)s1 ZINC000321924562 387548831 /nfs/dbraw/zinc/54/88/31/387548831.db2.gz RNSXLAWYYDHVIU-QNSHHTMESA-N 0 3 210.346 2.586 20 0 BFADHN Cc1ccc(C)c([C@@H](C)NCc2cnn(C)c2)c1 ZINC000035010693 387550624 /nfs/dbraw/zinc/55/06/24/387550624.db2.gz XWFHDTDUXBYZGR-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@@H](Cc1ccoc1)N[C@@H]1COc2ccccc21 ZINC000361924328 387551510 /nfs/dbraw/zinc/55/15/10/387551510.db2.gz MPLDMNDRZFLTNU-SMDDNHRTSA-N 0 3 243.306 2.934 20 0 BFADHN C[C@H](NCCc1ccco1)c1cccnc1 ZINC000035661713 387552316 /nfs/dbraw/zinc/55/23/16/387552316.db2.gz GSPBBJPPAZURNO-NSHDSACASA-N 0 3 216.284 2.568 20 0 BFADHN CCOCCN[C@@H](C)c1ccccc1OCC ZINC000036228770 387553531 /nfs/dbraw/zinc/55/35/31/387553531.db2.gz KXEKYZJSSWZDCP-LBPRGKRZSA-N 0 3 237.343 2.772 20 0 BFADHN C[C@@H](NCCc1ccco1)c1ccccn1 ZINC000035661718 387553687 /nfs/dbraw/zinc/55/36/87/387553687.db2.gz PWXNHAVDPQBGCK-LLVKDONJSA-N 0 3 216.284 2.568 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@H](C)[C@@H](C)[C@H]2C)n1 ZINC000399573859 387555341 /nfs/dbraw/zinc/55/53/41/387555341.db2.gz PNMYEOSZGROKHA-MVWJERBFSA-N 0 3 234.343 2.572 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@H](C)[C@@H](C)[C@H]2C)n1 ZINC000399573859 387555345 /nfs/dbraw/zinc/55/53/45/387555345.db2.gz PNMYEOSZGROKHA-MVWJERBFSA-N 0 3 234.343 2.572 20 0 BFADHN Cc1cc(CN2CCC(C(F)F)CC2)ccn1 ZINC000527534486 387627260 /nfs/dbraw/zinc/62/72/60/387627260.db2.gz MZXNKMYJHGVOOD-UHFFFAOYSA-N 0 3 240.297 2.867 20 0 BFADHN CC[C@H](C)CNCc1c(OC)cccc1OC ZINC000422083600 387557877 /nfs/dbraw/zinc/55/78/77/387557877.db2.gz IWMNPMSPDQWRPI-NSHDSACASA-N 0 3 237.343 2.840 20 0 BFADHN C[C@H](NC1CCCCCC1)c1nnc2n1CCC2 ZINC000037148768 387560010 /nfs/dbraw/zinc/56/00/10/387560010.db2.gz QKFAQPRXRORUPQ-NSHDSACASA-N 0 3 248.374 2.598 20 0 BFADHN C[C@@H](O)CCCN[C@H](C)c1cccnc1Cl ZINC000279003462 387562286 /nfs/dbraw/zinc/56/22/86/387562286.db2.gz GDAVEIWKFWAXKR-NXEZZACHSA-N 0 3 242.750 2.547 20 0 BFADHN c1c(CNCCC2=CCCC2)nc2ccccn12 ZINC000120135098 387562332 /nfs/dbraw/zinc/56/23/32/387562332.db2.gz CWVVAALTEKSHDV-UHFFFAOYSA-N 0 3 241.338 2.924 20 0 BFADHN CCC[C@H](C)CN(CCO)Cc1ccc(C)o1 ZINC000355656231 387562712 /nfs/dbraw/zinc/56/27/12/387562712.db2.gz UWCHTBXGDULLOW-LBPRGKRZSA-N 0 3 239.359 2.819 20 0 BFADHN COc1ccc(CNCCc2ccco2)cc1F ZINC000037496195 387565725 /nfs/dbraw/zinc/56/57/25/387565725.db2.gz NTAAIBMLCPRIMO-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCCC3(CCC3)C2)n1 ZINC000375692389 387569668 /nfs/dbraw/zinc/56/96/68/387569668.db2.gz LRFYJRYUBGCIOE-UHFFFAOYSA-N 0 3 246.354 2.862 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCCC3(CCC3)C2)n1 ZINC000375692389 387569674 /nfs/dbraw/zinc/56/96/74/387569674.db2.gz LRFYJRYUBGCIOE-UHFFFAOYSA-N 0 3 246.354 2.862 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCCCC[C@@H]2O)o1 ZINC000038097544 387573163 /nfs/dbraw/zinc/57/31/63/387573163.db2.gz HKDLXLWVVLAKLI-AVGNSLFASA-N 0 3 237.343 2.932 20 0 BFADHN CSCC[C@H](C)N(C)Cc1ccc(C)nc1 ZINC000122665469 387573725 /nfs/dbraw/zinc/57/37/25/387573725.db2.gz ULJVHPYVBJGFAY-LBPRGKRZSA-N 0 3 238.400 2.963 20 0 BFADHN COc1ncc(CN2[C@H](C)CCC2(C)C)c(C)n1 ZINC000452001765 387694210 /nfs/dbraw/zinc/69/42/10/387694210.db2.gz DCFGQOIAHMHOEP-SNVBAGLBSA-N 0 3 249.358 2.557 20 0 BFADHN CCc1ccc([C@@H](C)NCCn2cccn2)s1 ZINC000042539657 387633179 /nfs/dbraw/zinc/63/31/79/387633179.db2.gz GDTLQBAJJPQPOF-LLVKDONJSA-N 0 3 249.383 2.858 20 0 BFADHN CN1CC=C(c2cccc3cc[nH]c32)CC1 ZINC000527588127 387634184 /nfs/dbraw/zinc/63/41/84/387634184.db2.gz OGUHEGWEURRYGR-UHFFFAOYSA-N 0 3 212.296 2.887 20 0 BFADHN CC(C)c1ncc2c(n1)CCN(C[C@@H]1C[C@@H]1C)C2 ZINC000527618207 387640235 /nfs/dbraw/zinc/64/02/35/387640235.db2.gz IDFCZBMESZFAPH-RYUDHWBXSA-N 0 3 245.370 2.614 20 0 BFADHN c1csc(CN2CCC23CCOCC3)c1 ZINC000376498586 387644177 /nfs/dbraw/zinc/64/41/77/387644177.db2.gz AMTMITPVKSJDKU-UHFFFAOYSA-N 0 3 223.341 2.503 20 0 BFADHN CC[C@H](C)[C@](C)(O)CN[C@H](C)c1ccccn1 ZINC000305746488 387644995 /nfs/dbraw/zinc/64/49/95/387644995.db2.gz VXCZHDNLWVBCKF-OUCADQQQSA-N 0 3 236.359 2.529 20 0 BFADHN CCC[C@H](N[C@H](CC)CCO)c1ccccn1 ZINC000305746746 387645039 /nfs/dbraw/zinc/64/50/39/387645039.db2.gz VZZGVWGDHXEKSJ-OCCSQVGLSA-N 0 3 236.359 2.673 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@H](C(C)(C)C)C1 ZINC000356333552 387645977 /nfs/dbraw/zinc/64/59/77/387645977.db2.gz HFLARYDVBXRVOP-LBPRGKRZSA-N 0 3 235.375 2.976 20 0 BFADHN c1coc([C@H]2CCN(CCOC3CCC3)C2)c1 ZINC000376510013 387646168 /nfs/dbraw/zinc/64/61/68/387646168.db2.gz BFCWMVAWSXLJLT-LBPRGKRZSA-N 0 3 235.327 2.638 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000527644440 387651823 /nfs/dbraw/zinc/65/18/23/387651823.db2.gz GIAZCJFUOVGDOK-HJIKLVIJSA-N 0 3 234.343 2.816 20 0 BFADHN CO[C@@H](CNCc1ccnc(C)c1)C(C)(C)C ZINC000527671042 387654321 /nfs/dbraw/zinc/65/43/21/387654321.db2.gz STQUIGNZMLWESB-ZDUSSCGKSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1cnc([C@H](C)NC[C@@H]2CCCOC2)s1 ZINC000125431165 387656371 /nfs/dbraw/zinc/65/63/71/387656371.db2.gz CGIQVLDVOSNAHL-QWRGUYRKSA-N 0 3 240.372 2.529 20 0 BFADHN COc1ccc(CN2CC(C)=C[C@H](C)C2)cc1O ZINC000430873996 387656515 /nfs/dbraw/zinc/65/65/15/387656515.db2.gz XTCVSMYCIUMUSV-NSHDSACASA-N 0 3 247.338 2.799 20 0 BFADHN CCc1ccc([C@@H](C)NCC(C)(C)OC)o1 ZINC000123127848 387657902 /nfs/dbraw/zinc/65/79/02/387657902.db2.gz USCILPNCXQDJFI-SNVBAGLBSA-N 0 3 225.332 2.918 20 0 BFADHN CC[C@H]1CCN(Cc2ccncc2Cl)C1 ZINC000125485004 387659593 /nfs/dbraw/zinc/65/95/93/387659593.db2.gz JOENNJOCTWDRHY-JTQLQIEISA-N 0 3 224.735 2.967 20 0 BFADHN CC(C)n1ccc(CN[C@@H](C)[C@@H]2C[C@H]2C2CC2)n1 ZINC000527663604 387664376 /nfs/dbraw/zinc/66/43/76/387664376.db2.gz FWXNYSDAHIPTKI-CQDKDKBSSA-N 0 3 247.386 2.988 20 0 BFADHN CC(C)CCN1CCOC[C@H]1CC(C)C ZINC000356515430 387666992 /nfs/dbraw/zinc/66/69/92/387666992.db2.gz LCWISDSXECYENV-CYBMUJFWSA-N 0 3 213.365 2.779 20 0 BFADHN Cc1ccccc1CN[C@H](C)c1cnccc1N ZINC000422768286 387667905 /nfs/dbraw/zinc/66/79/05/387667905.db2.gz RYSWHFUZOMGANG-GFCCVEGCSA-N 0 3 241.338 2.653 20 0 BFADHN Cc1cc(CNCC2(C(F)(F)F)CC2)ccn1 ZINC000527710140 387670881 /nfs/dbraw/zinc/67/08/81/387670881.db2.gz WCOPEPQLYWNSGX-UHFFFAOYSA-N 0 3 244.260 2.822 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000527716417 387671752 /nfs/dbraw/zinc/67/17/52/387671752.db2.gz LXVRZRCLVKXBIB-XWUBHJNHSA-N 0 3 247.386 2.988 20 0 BFADHN CCc1ncc(CN[C@H](C)[C@@H]2C[C@H]2C2CC2)o1 ZINC000527720787 387673636 /nfs/dbraw/zinc/67/36/36/387673636.db2.gz XXRFCTBHNHPBLQ-ICCXJUOJSA-N 0 3 234.343 2.761 20 0 BFADHN CCN[C@@](C)(CO)c1cc(Cl)cc(Cl)c1 ZINC000451900422 387673778 /nfs/dbraw/zinc/67/37/78/387673778.db2.gz AFYDOSURWJLYRR-NSHDSACASA-N 0 3 248.153 2.810 20 0 BFADHN Cc1cc(CNCc2cc(C)nc(C)c2)ccn1 ZINC000527717662 387674946 /nfs/dbraw/zinc/67/49/46/387674946.db2.gz AVOXWYYDJATIOQ-UHFFFAOYSA-N 0 3 241.338 2.692 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1CCOCC1CC1 ZINC000528771787 387677098 /nfs/dbraw/zinc/67/70/98/387677098.db2.gz ZWDHTKZNFBPPBS-SNVBAGLBSA-N 0 3 233.302 2.533 20 0 BFADHN CC1(C)CCC[C@H]1CCNCc1cnccn1 ZINC000527729259 387680814 /nfs/dbraw/zinc/68/08/14/387680814.db2.gz MNXHUIUVKCOEGI-LBPRGKRZSA-N 0 3 233.359 2.783 20 0 BFADHN CCCCN(C)CCN[C@H](C)c1cncs1 ZINC000163502579 387687402 /nfs/dbraw/zinc/68/74/02/387687402.db2.gz JKDPXHMXKOEJEM-LLVKDONJSA-N 0 3 241.404 2.526 20 0 BFADHN C[C@H](N[C@@H]1CCN(C2CC2)C1)c1ccccc1F ZINC000044684296 387688392 /nfs/dbraw/zinc/68/83/92/387688392.db2.gz WLVAJYYOBSIGSL-NWDGAFQWSA-N 0 3 248.345 2.713 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ccccc1F ZINC000044688768 387688696 /nfs/dbraw/zinc/68/86/96/387688696.db2.gz WBWXTMTXSMUNBJ-QWRGUYRKSA-N 0 3 225.307 2.901 20 0 BFADHN Cc1cnc(CNCC2CC=CC2)s1 ZINC000527743730 387689376 /nfs/dbraw/zinc/68/93/76/387689376.db2.gz IZIAWKMMOXLPGP-UHFFFAOYSA-N 0 3 208.330 2.507 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1ccc(F)cc1 ZINC000044688783 387689974 /nfs/dbraw/zinc/68/99/74/387689974.db2.gz FBVCNWCDGGNPRQ-GHMZBOCLSA-N 0 3 225.307 2.901 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1ccccc1F ZINC000044688766 387690126 /nfs/dbraw/zinc/69/01/26/387690126.db2.gz WBWXTMTXSMUNBJ-MNOVXSKESA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@H](F)CN1CC[C@H](Nc2ccccc2)C1 ZINC000440771881 387690381 /nfs/dbraw/zinc/69/03/81/387690381.db2.gz SLLONVZNDRCIOZ-JSGCOSHPSA-N 0 3 236.334 2.921 20 0 BFADHN CCOC[C@H](C)NCc1cccc(C)c1C ZINC000044692090 387691291 /nfs/dbraw/zinc/69/12/91/387691291.db2.gz VOLNLCIVXWKJMY-LBPRGKRZSA-N 0 3 221.344 2.818 20 0 BFADHN CCCCCN1CCC[C@H](c2noc(C)n2)C1 ZINC000377725162 387743345 /nfs/dbraw/zinc/74/33/45/387743345.db2.gz JAOBKDMKOBHFFK-LBPRGKRZSA-N 0 3 237.347 2.748 20 0 BFADHN CCSCCN1CC(C)(C)[C@H]1[C@@H]1CCCO1 ZINC000367729375 387747979 /nfs/dbraw/zinc/74/79/79/387747979.db2.gz TUAKZJCVMLKUIT-NWDGAFQWSA-N 0 3 243.416 2.629 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1C[C@H]1C)c1ncccc1F ZINC000527827796 387748751 /nfs/dbraw/zinc/74/87/51/387748751.db2.gz JMOIYSAPSYXWEQ-VPOLOUISSA-N 0 3 222.307 2.916 20 0 BFADHN C[C@@H](N[C@@H](C)c1ncccc1F)[C@H]1C[C@H]1C ZINC000527827797 387749336 /nfs/dbraw/zinc/74/93/36/387749336.db2.gz JMOIYSAPSYXWEQ-ZNSHCXBVSA-N 0 3 222.307 2.916 20 0 BFADHN Cc1csc(CN[C@H](C)[C@H]2CC23CC3)n1 ZINC000527844660 387752422 /nfs/dbraw/zinc/75/24/22/387752422.db2.gz HLZYOGWMIPOXGW-NXEZZACHSA-N 0 3 222.357 2.730 20 0 BFADHN CC[C@H](F)CN(C)CCc1cccs1 ZINC000440780448 387697864 /nfs/dbraw/zinc/69/78/64/387697864.db2.gz QIKBVDNDDWNUMI-JTQLQIEISA-N 0 3 215.337 2.971 20 0 BFADHN CC[C@H](F)CN1CCC[C@H]1c1cccn1C ZINC000440788326 387698883 /nfs/dbraw/zinc/69/88/83/387698883.db2.gz ZGTCIPUYBMSYDC-AAEUAGOBSA-N 0 3 224.323 2.910 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1ccncc1 ZINC000452009137 387700247 /nfs/dbraw/zinc/70/02/47/387700247.db2.gz AUFFYLDRDAWCOL-IACUBPJLSA-N 0 3 234.343 2.690 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1ccc(C)nc1C ZINC000452022717 387702200 /nfs/dbraw/zinc/70/22/00/387702200.db2.gz WNCJQUCIDIKORU-HUUCEWRRSA-N 0 3 248.370 2.746 20 0 BFADHN CC(=O)CN[C@H](c1cccc(C)c1)C(C)C ZINC000639893971 387703014 /nfs/dbraw/zinc/70/30/14/387703014.db2.gz LEIVQTPTHIQZGA-AWEZNQCLSA-N 0 3 219.328 2.871 20 0 BFADHN Cn1cnc(CNCC(C2CCC2)C2CCC2)c1 ZINC000527738840 387704788 /nfs/dbraw/zinc/70/47/88/387704788.db2.gz ZUTQPVQFORHAHY-UHFFFAOYSA-N 0 3 247.386 2.726 20 0 BFADHN CCc1ccc(CNCC[C@@H]2CCCOC2)o1 ZINC000527740331 387706962 /nfs/dbraw/zinc/70/69/62/387706962.db2.gz RVCWZVKFAUZWAQ-LBPRGKRZSA-N 0 3 237.343 2.748 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1cc2ccccc2[nH]1 ZINC000527782150 387709989 /nfs/dbraw/zinc/70/99/89/387709989.db2.gz AAOLPNHPTWGNSW-CABCVRRESA-N 0 3 244.338 2.825 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCC23CC(C2)CO3)o1 ZINC000527786531 387712108 /nfs/dbraw/zinc/71/21/08/387712108.db2.gz DHGAEVVTWPSHFR-NYXKVOKKSA-N 0 3 247.338 2.672 20 0 BFADHN Clc1ccc(CNCC23CC(C2)CO3)s1 ZINC000527789022 387715014 /nfs/dbraw/zinc/71/50/14/387715014.db2.gz MNHLATZESHCEFM-UHFFFAOYSA-N 0 3 243.759 2.670 20 0 BFADHN COC[C@H](C)CN[C@H](C)c1cccc(F)c1F ZINC000127469869 387715190 /nfs/dbraw/zinc/71/51/90/387715190.db2.gz VGELUTSQWLQDLP-NXEZZACHSA-N 0 3 243.297 2.898 20 0 BFADHN C[C@H](NCc1cnns1)[C@H]1CCC[C@H](C)C1 ZINC000452056069 387716100 /nfs/dbraw/zinc/71/61/00/387716100.db2.gz DAADXENDSVQKPD-DCAQKATOSA-N 0 3 239.388 2.843 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C3CC3)C2)nc1 ZINC000377495520 387720816 /nfs/dbraw/zinc/72/08/16/387720816.db2.gz RRSDKGMQSZGBOC-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1NCc1ccc(Cl)o1 ZINC000527801850 387722076 /nfs/dbraw/zinc/72/20/76/387722076.db2.gz LBHWUUGFMQUQGI-SREGZZRCSA-N 0 3 243.734 2.835 20 0 BFADHN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1NCc1ccc(F)cc1 ZINC000527800734 387722331 /nfs/dbraw/zinc/72/23/31/387722331.db2.gz DUPZLFCPSMSDLT-KZWBYHQPSA-N 0 3 237.318 2.727 20 0 BFADHN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1NCc1ccccc1F ZINC000527802368 387726083 /nfs/dbraw/zinc/72/60/83/387726083.db2.gz OENPFVJSRHOCBG-DYNIEEOBSA-N 0 3 237.318 2.727 20 0 BFADHN CCc1ccc(CN[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)o1 ZINC000527805013 387729173 /nfs/dbraw/zinc/72/91/73/387729173.db2.gz TYAFGZYLCOWZSQ-ZHPDPMBESA-N 0 3 237.343 2.744 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@]1(C)CCOC1 ZINC000356843378 387730511 /nfs/dbraw/zinc/73/05/11/387730511.db2.gz HAARXJJQRSJYNO-DOMZBBRYSA-N 0 3 248.370 2.721 20 0 BFADHN Cc1occc1CNC[C@H]1CCN1C1CCCC1 ZINC000527809767 387731823 /nfs/dbraw/zinc/73/18/23/387731823.db2.gz NAMCIRGWXVHRGB-OAHLLOKOSA-N 0 3 248.370 2.695 20 0 BFADHN FCCCN[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000367598410 387733741 /nfs/dbraw/zinc/73/37/41/387733741.db2.gz FARUAHJBMOUKJU-GFCCVEGCSA-N 0 3 239.338 2.980 20 0 BFADHN Fc1ccc(N2CCN(CC3CCC3)CC2)cc1 ZINC000441205701 387734135 /nfs/dbraw/zinc/73/41/35/387734135.db2.gz VWUMKCZLXBBZTJ-UHFFFAOYSA-N 0 3 248.345 2.748 20 0 BFADHN CCc1cccnc1[C@@H](C)NCCN(C)C(C)C ZINC000356863745 387735959 /nfs/dbraw/zinc/73/59/59/387735959.db2.gz BULFNRHCFUZGHH-CYBMUJFWSA-N 0 3 249.402 2.635 20 0 BFADHN CC(C)=CCN1CC(C)(C)[C@H]1[C@@H]1CCCO1 ZINC000377646182 387736455 /nfs/dbraw/zinc/73/64/55/387736455.db2.gz QOYLSXMEYOKNJF-QWHCGFSZSA-N 0 3 223.360 2.842 20 0 BFADHN CCc1nc(CN[C@@H](C)[C@H]2CC23CC3)cs1 ZINC000527862290 387738147 /nfs/dbraw/zinc/73/81/47/387738147.db2.gz OGGFGAIUKSAXIK-GXSJLCMTSA-N 0 3 236.384 2.984 20 0 BFADHN Cc1cccc(CN(C)CCC(=O)OC(C)C)c1 ZINC000441243778 387739959 /nfs/dbraw/zinc/73/99/59/387739959.db2.gz XQWGEUJAYJTQHA-UHFFFAOYSA-N 0 3 249.354 2.769 20 0 BFADHN Cc1cc(C)cc(CN[C@H]2C[C@@H](O)C2(C)C)c1 ZINC000128146359 387740793 /nfs/dbraw/zinc/74/07/93/387740793.db2.gz AQGBRJZDJDGZJX-UONOGXRCSA-N 0 3 233.355 2.552 20 0 BFADHN CC(C)n1ccc(CN[C@H](C)[C@@H]2CC23CC3)n1 ZINC000527869225 387741057 /nfs/dbraw/zinc/74/10/57/387741057.db2.gz QAMCGNOAGXYDAP-YPMHNXCESA-N 0 3 233.359 2.742 20 0 BFADHN OC[C@@]1(NCC2=CCCC2)CCc2ccccc21 ZINC000645837626 387762244 /nfs/dbraw/zinc/76/22/44/387762244.db2.gz PSPKVXFTFAUBAI-INIZCTEOSA-N 0 3 243.350 2.520 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)n2ccnc21)[C@@H]1CC12CC2 ZINC000527906644 387762426 /nfs/dbraw/zinc/76/24/26/387762426.db2.gz QDPQEWZZESBHHI-FIQHERPVSA-N 0 3 231.343 2.667 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C1CCC1)c1cnccn1 ZINC000424144442 387762455 /nfs/dbraw/zinc/76/24/55/387762455.db2.gz SFNGFHSKQRUHOJ-DRZSPHRISA-N 0 3 231.343 2.563 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@@H]3CCC[C@@H]3C2)o1 ZINC000356987243 387762778 /nfs/dbraw/zinc/76/27/78/387762778.db2.gz PEQAPMAKSRSZTD-SDDRHHMPSA-N 0 3 220.316 2.776 20 0 BFADHN Cc1ccncc1[C@H](C)NCCC(F)(F)F ZINC000129234479 387765465 /nfs/dbraw/zinc/76/54/65/387765465.db2.gz LDLPRDGIMHLKHB-VIFPVBQESA-N 0 3 232.249 2.993 20 0 BFADHN C[C@H](N[C@H]1CCCc2c[nH]nc21)[C@@H]1CC12CC2 ZINC000527874580 387766149 /nfs/dbraw/zinc/76/61/49/387766149.db2.gz WNILFZYFHJORFD-DLOVCJGASA-N 0 3 231.343 2.565 20 0 BFADHN CC(C)n1cncc1CN[C@@H]1C=CCCC1 ZINC000424153813 387811988 /nfs/dbraw/zinc/81/19/88/387811988.db2.gz LQFATZQZFQAORK-GFCCVEGCSA-N 0 3 219.332 2.662 20 0 BFADHN CCCCNC(=O)C(C)(C)[C@@H](N)c1ccccc1 ZINC000422909692 387768760 /nfs/dbraw/zinc/76/87/60/387768760.db2.gz OSICVMKIGLWCNQ-ZDUSSCGKSA-N 0 3 248.370 2.629 20 0 BFADHN CC[C@H](C)NC(=O)C(C)(C)[C@H](N)c1ccccc1 ZINC000422910901 387769681 /nfs/dbraw/zinc/76/96/81/387769681.db2.gz RUDNGGMRDWGSCR-WCQYABFASA-N 0 3 248.370 2.627 20 0 BFADHN C[C@H](CC[S@](C)=O)N[C@@H](C)c1ccsc1 ZINC000161849973 387770329 /nfs/dbraw/zinc/77/03/29/387770329.db2.gz JBURLBUFWACESS-HFBDOXOYSA-N 0 3 245.413 2.556 20 0 BFADHN C[C@H](N[C@@H](c1nccn1C)C1CC1)[C@H]1CC12CC2 ZINC000527896885 387778090 /nfs/dbraw/zinc/77/80/90/387778090.db2.gz MGRLINWVLKLDMT-CYZMBNFOSA-N 0 3 245.370 2.649 20 0 BFADHN CN(CCc1nccs1)CC1=CCCC1 ZINC000645839577 387785311 /nfs/dbraw/zinc/78/53/11/387785311.db2.gz SQJHRRAMLKYAKT-UHFFFAOYSA-N 0 3 222.357 2.728 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H]1CCc2cc(F)ccc21 ZINC000527973077 387812881 /nfs/dbraw/zinc/81/28/81/387812881.db2.gz DDNPVSNYVYFPCW-ZNMIVQPWSA-N 0 3 249.329 2.970 20 0 BFADHN CSC[C@H](C)N[C@H](C)c1cncc(F)c1 ZINC000151020689 387794812 /nfs/dbraw/zinc/79/48/12/387794812.db2.gz SPRLXKAYPWMALW-DTWKUNHWSA-N 0 3 228.336 2.623 20 0 BFADHN C[C@@H](NCC[C@H]1CC=CCC1)c1ccn(C)n1 ZINC000527981888 387799276 /nfs/dbraw/zinc/79/92/76/387799276.db2.gz SHHWLLQLEOKCMV-OLZOCXBDSA-N 0 3 233.359 2.817 20 0 BFADHN CCc1cc(N2CCC[C@@](C)(F)C2)ccn1 ZINC000450548998 387799700 /nfs/dbraw/zinc/79/97/00/387799700.db2.gz AARCFOUOBMEFSD-CYBMUJFWSA-N 0 3 222.307 2.972 20 0 BFADHN CSC1(CNCc2ccccc2F)CC1 ZINC000193660544 387799833 /nfs/dbraw/zinc/79/98/33/387799833.db2.gz HZJQGIGCWBPJNB-UHFFFAOYSA-N 0 3 225.332 2.811 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](c1cccnc1)C(C)C ZINC000527973009 387813219 /nfs/dbraw/zinc/81/32/19/387813219.db2.gz CKNRRERAXAEKJH-ILXRZTDVSA-N 0 3 248.370 2.936 20 0 BFADHN CC1(C)CC[C@H]1NCc1nccn1C(F)F ZINC000309472938 387808312 /nfs/dbraw/zinc/80/83/12/387808312.db2.gz XLZAGARRUJDHEY-MRVPVSSYSA-N 0 3 229.274 2.556 20 0 BFADHN CCc1noc(C)c1CN[C@@H](C)[C@@H]1CC12CC2 ZINC000527904922 387760915 /nfs/dbraw/zinc/76/09/15/387760915.db2.gz XOUWMVQACKHNJD-CABZTGNLSA-N 0 3 234.343 2.824 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)[C@H]1C)c1ncccc1F ZINC000528037184 387820017 /nfs/dbraw/zinc/82/00/17/387820017.db2.gz DTRGZVAEAIFFNQ-SVDPJWKOSA-N 0 3 222.307 2.916 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)c1 ZINC000528008399 387820272 /nfs/dbraw/zinc/82/02/72/387820272.db2.gz TZYLKDCCKPQHGP-CXOVXGEYSA-N 0 3 248.370 2.853 20 0 BFADHN C[C@@H](NC[C@H]1CCN1C1CCCC1)c1ccco1 ZINC000528014954 387821847 /nfs/dbraw/zinc/82/18/47/387821847.db2.gz WARFBEKULVWLRJ-TZMCWYRMSA-N 0 3 248.370 2.947 20 0 BFADHN CN(CCC(=O)c1ccccc1)[C@H]1CCSC1 ZINC000053931621 387822543 /nfs/dbraw/zinc/82/25/43/387822543.db2.gz PQXCWGOOONRFBR-ZDUSSCGKSA-N 0 3 249.379 2.697 20 0 BFADHN C[C@H]1N(CC2(C)CCC2)CCOC1(C)C ZINC000432806900 387825099 /nfs/dbraw/zinc/82/50/99/387825099.db2.gz BZMOFRRSSRFIKB-LLVKDONJSA-N 0 3 211.349 2.676 20 0 BFADHN COCC[C@H](C)NCc1cc(F)c(F)cc1F ZINC000136000529 387827699 /nfs/dbraw/zinc/82/76/99/387827699.db2.gz UGSSHDWXMMILAG-QMMMGPOBSA-N 0 3 247.260 2.619 20 0 BFADHN c1cnc(CNC23CC4CC(CC(C4)C2)C3)cn1 ZINC000054765389 387829498 /nfs/dbraw/zinc/82/94/98/387829498.db2.gz TYDRDSZZBOVZLJ-UHFFFAOYSA-N 0 3 243.354 2.535 20 0 BFADHN CC(C)(C)[C@@H]1CCN(Cc2cocn2)C1 ZINC000432918592 387841084 /nfs/dbraw/zinc/84/10/84/387841084.db2.gz QBNGVUZSAUMTDK-SNVBAGLBSA-N 0 3 208.305 2.543 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cc(C(F)(F)F)n[nH]1 ZINC000442798441 387844705 /nfs/dbraw/zinc/84/47/05/387844705.db2.gz ISRZNBOXYAEBGF-MRVPVSSYSA-N 0 3 249.280 2.905 20 0 BFADHN CCN(Cc1cccc(F)c1)C[C@@H](O)C(C)C ZINC000432939526 387845205 /nfs/dbraw/zinc/84/52/05/387845205.db2.gz TVDBSZFDBAMQML-CQSZACIVSA-N 0 3 239.334 2.665 20 0 BFADHN OCC[C@H](NCC1=CCCC1)c1ccccc1 ZINC000645846706 387853500 /nfs/dbraw/zinc/85/35/00/387853500.db2.gz UKKOXVIXJMYZQT-HNNXBMFYSA-N 0 3 231.339 2.810 20 0 BFADHN C[C@@H]1CC[C@H](N(C)Cc2cnn3ccccc23)C1 ZINC000433040774 387855228 /nfs/dbraw/zinc/85/52/28/387855228.db2.gz UFRUOBAHZUDGGO-OCCSQVGLSA-N 0 3 243.354 2.955 20 0 BFADHN CSC1(CN[C@H](C)c2cnc(C)s2)CC1 ZINC000193831637 387866397 /nfs/dbraw/zinc/86/63/97/387866397.db2.gz FWPNVURXFUVKIX-MRVPVSSYSA-N 0 3 242.413 2.998 20 0 BFADHN Fc1cccnc1NC[C@H]1CCN1C1CCCC1 ZINC000528206223 387869656 /nfs/dbraw/zinc/86/96/56/387869656.db2.gz CPLBKHXNSWIGBD-GFCCVEGCSA-N 0 3 249.333 2.650 20 0 BFADHN Cc1ccnc(NC[C@H]2CCN2C2CCCC2)c1 ZINC000528209138 387871284 /nfs/dbraw/zinc/87/12/84/387871284.db2.gz LVPRKUMMXYYTDD-CQSZACIVSA-N 0 3 245.370 2.819 20 0 BFADHN Cc1occc1CNC[C@H](c1ccco1)N(C)C ZINC000320963699 387816015 /nfs/dbraw/zinc/81/60/15/387816015.db2.gz NYCRAEUUJQHLHJ-CYBMUJFWSA-N 0 3 248.326 2.574 20 0 BFADHN C[C@H](NCC[C@H]1CC=CCC1)c1ccncn1 ZINC000527975912 387816354 /nfs/dbraw/zinc/81/63/54/387816354.db2.gz BMKMVWBURRFPMD-STQMWFEESA-N 0 3 231.343 2.874 20 0 BFADHN CN(CCC[C@H]1CCOC1)Cc1ccsc1 ZINC000645770941 387890866 /nfs/dbraw/zinc/89/08/66/387890866.db2.gz VFQJZLIZBKLUAC-LBPRGKRZSA-N 0 3 239.384 2.997 20 0 BFADHN CC(C)CN1CCN(CC2CC(C)(C)C2)CC1 ZINC000528338283 387895558 /nfs/dbraw/zinc/89/55/58/387895558.db2.gz MNYLMAKCTVRRIO-UHFFFAOYSA-N 0 3 238.419 2.696 20 0 BFADHN C[C@@H]1CN(CC2CC(C)(C)C2)[C@@H](C)CO1 ZINC000528340815 387895746 /nfs/dbraw/zinc/89/57/46/387895746.db2.gz NPOZDQLCVQJCDI-WDEREUQCSA-N 0 3 211.349 2.532 20 0 BFADHN Fc1ccc2nc(NCc3cscn3)[nH]c2c1 ZINC000236191845 387877654 /nfs/dbraw/zinc/87/76/54/387877654.db2.gz TWKQEBRJHGNMNW-UHFFFAOYSA-N 0 3 248.286 2.771 20 0 BFADHN Cc1cscc1CN[C@@H](C)c1cnccc1N ZINC000423081564 387877996 /nfs/dbraw/zinc/87/79/96/387877996.db2.gz CYNNBCLOTOEPHQ-JTQLQIEISA-N 0 3 247.367 2.715 20 0 BFADHN Cc1cscc1CN[C@H](C)c1cnccc1N ZINC000423081565 387879129 /nfs/dbraw/zinc/87/91/29/387879129.db2.gz CYNNBCLOTOEPHQ-SNVBAGLBSA-N 0 3 247.367 2.715 20 0 BFADHN CC1(C)CCCN(CCNc2ccccn2)C1 ZINC000443974005 387880221 /nfs/dbraw/zinc/88/02/21/387880221.db2.gz ROFBTFMUNIAQKI-UHFFFAOYSA-N 0 3 233.359 2.616 20 0 BFADHN O[C@@H]1CC[C@H]1NCc1ccc(-c2ccccc2)o1 ZINC000424194596 387918400 /nfs/dbraw/zinc/91/84/00/387918400.db2.gz BPUDRWQLRKABKS-ZIAGYGMSSA-N 0 3 243.306 2.560 20 0 BFADHN CCC[C@](C)(O)CN[C@@H]1CCCc2occc21 ZINC000219267090 387902622 /nfs/dbraw/zinc/90/26/22/387902622.db2.gz HEFGHDHZFZUKAQ-OCCSQVGLSA-N 0 3 237.343 2.798 20 0 BFADHN c1c(CN[C@@H]2C[C@H]2C2CCC2)nc2ccccn12 ZINC000424187270 387902995 /nfs/dbraw/zinc/90/29/95/387902995.db2.gz APFXNKXVVVFMKY-UONOGXRCSA-N 0 3 241.338 2.613 20 0 BFADHN C/C(Cl)=C/CN(C[C@H]1CCOC1)C1CC1 ZINC000528348309 387906350 /nfs/dbraw/zinc/90/63/50/387906350.db2.gz BUACLYSUUMAQGW-NEOSZVFXSA-N 0 3 229.751 2.630 20 0 BFADHN CN(CC1=CCCC1)C[C@@H]1CCCCO1 ZINC000645780875 387906897 /nfs/dbraw/zinc/90/68/97/387906897.db2.gz BROQWBJFOJABOF-ZDUSSCGKSA-N 0 3 209.333 2.598 20 0 BFADHN CCN(Cc1ccn(C)n1)[C@@H]1CCCC[C@H]1C ZINC000444679547 387907454 /nfs/dbraw/zinc/90/74/54/387907454.db2.gz VKKCUOWVUFVWED-TZMCWYRMSA-N 0 3 235.375 2.821 20 0 BFADHN CCOCCN(C)CCc1ccccc1CC ZINC000444687735 387907565 /nfs/dbraw/zinc/90/75/65/387907565.db2.gz WINFJLZWEAMIFJ-UHFFFAOYSA-N 0 3 235.371 2.760 20 0 BFADHN CC[C@@H](C)NCc1cc(OC)cc2c1O[C@H](C)C2 ZINC000236899665 387911260 /nfs/dbraw/zinc/91/12/60/387911260.db2.gz YBSKNUAYCWSHQC-GHMZBOCLSA-N 0 3 249.354 2.907 20 0 BFADHN CC(C)C[C@@H](N)C(=O)Nc1cccc(Cl)c1 ZINC000019438353 387911863 /nfs/dbraw/zinc/91/18/63/387911863.db2.gz UDGDSWIPMFMXLY-LLVKDONJSA-N 0 3 240.734 2.652 20 0 BFADHN Cc1ccncc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000424190303 387913331 /nfs/dbraw/zinc/91/33/31/387913331.db2.gz FUXUWVRIBFTUHO-UONOGXRCSA-N 0 3 216.328 2.668 20 0 BFADHN C[C@@H]1CCN(CCc2c(F)cccc2F)C1 ZINC000645789360 387913800 /nfs/dbraw/zinc/91/38/00/387913800.db2.gz SGTRDIWUHQZICQ-SNVBAGLBSA-N 0 3 225.282 2.849 20 0 BFADHN CCC[C@]1(C(=O)OCC)CCCN1CC(C)C ZINC000444781234 387929779 /nfs/dbraw/zinc/92/97/79/387929779.db2.gz GZOWDOQYBUFVQW-CQSZACIVSA-N 0 3 241.375 2.840 20 0 BFADHN c1sc(C2CC2)nc1CN[C@@H]1C[C@H]2C[C@H]2C1 ZINC000424211474 387931173 /nfs/dbraw/zinc/93/11/73/387931173.db2.gz XYJANFLOYXGQBU-JGPRNRPPSA-N 0 3 234.368 2.909 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2)[C@H](C)[C@@H](C)O1 ZINC000438375074 387931724 /nfs/dbraw/zinc/93/17/24/387931724.db2.gz SPNGLEIOMAFFMI-MGPQQGTHSA-N 0 3 233.355 2.727 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1cccc(F)c1F ZINC000222720798 387934247 /nfs/dbraw/zinc/93/42/47/387934247.db2.gz IRHHCTNMIDXXOM-UWVGGRQHSA-N 0 3 241.281 2.794 20 0 BFADHN COc1ccc(F)c(CN[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000424197941 387925117 /nfs/dbraw/zinc/92/51/17/387925117.db2.gz CIEDMUPTZGGRIW-PEGIJTEDSA-N 0 3 235.302 2.722 20 0 BFADHN Cc1ccccc1CN1C[C@H](C)O[C@H](C)[C@@H]1C ZINC000438352170 387925551 /nfs/dbraw/zinc/92/55/51/387925551.db2.gz HKPKUSDTTHDEGB-MELADBBJSA-N 0 3 233.355 2.993 20 0 BFADHN Cc1cnc(CN(CC2CCC2)C(C)C)nc1 ZINC000444772931 387926270 /nfs/dbraw/zinc/92/62/70/387926270.db2.gz XXGUNWHBXYMBMZ-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN CCc1nc(C)c(CN[C@H](C)[C@@H]2C[C@@H]2C)o1 ZINC000321144872 387952700 /nfs/dbraw/zinc/95/27/00/387952700.db2.gz VPUZPIPREFSAQU-IQJOONFLSA-N 0 3 222.332 2.680 20 0 BFADHN C[C@H]1CN(Cc2cccc3[nH]ccc32)[C@@H](C)CO1 ZINC000245515213 387954321 /nfs/dbraw/zinc/95/43/21/387954321.db2.gz HCVDPEUWIXNUTE-RYUDHWBXSA-N 0 3 244.338 2.777 20 0 BFADHN CCCCNC(=O)CCN[C@@H](C)c1ccccc1 ZINC000244321689 387940714 /nfs/dbraw/zinc/94/07/14/387940714.db2.gz XITXYPOEHGJOIZ-ZDUSSCGKSA-N 0 3 248.370 2.644 20 0 BFADHN C[C@@H](NC[C@@H](C)CCO)c1cccc(F)c1F ZINC000224997491 387941415 /nfs/dbraw/zinc/94/14/15/387941415.db2.gz SCSGUOCQZLTPJF-VHSXEESVSA-N 0 3 243.297 2.634 20 0 BFADHN C[C@@H](O)CN[C@@]1(c2ccc(Cl)cc2)C[C@@H]1C ZINC000459439227 387941705 /nfs/dbraw/zinc/94/17/05/387941705.db2.gz VVLRVLVMUBNYSQ-CWSCBRNRSA-N 0 3 239.746 2.546 20 0 BFADHN COCCN[C@H](C)c1ccc(OC)cc1Cl ZINC000424256200 387944708 /nfs/dbraw/zinc/94/47/08/387944708.db2.gz IUKUECVKESKZGS-SECBINFHSA-N 0 3 243.734 2.646 20 0 BFADHN CC[C@H](COC)NCc1cc2ccccc2o1 ZINC000079756664 387958415 /nfs/dbraw/zinc/95/84/15/387958415.db2.gz WLKUUGDFDAPQKC-GFCCVEGCSA-N 0 3 233.311 2.947 20 0 BFADHN C[C@H](N[C@@H]1c2ccccc2C[C@H]1C)c1cn[nH]c1 ZINC000438835460 387959290 /nfs/dbraw/zinc/95/92/90/387959290.db2.gz NHKQZSZRZYBWKU-ZETOZRRWSA-N 0 3 241.338 2.994 20 0 BFADHN CC1CN(Cc2ccc(OCC(F)F)cc2)C1 ZINC000438860076 387959945 /nfs/dbraw/zinc/95/99/45/387959945.db2.gz REZAQXKRAKBEJH-UHFFFAOYSA-N 0 3 241.281 2.782 20 0 BFADHN CCC1(C)CN(C[C@@H](O)[C@H](C)c2ccccc2)C1 ZINC000453017253 387962559 /nfs/dbraw/zinc/96/25/59/387962559.db2.gz MXHDBBSDZSFAJP-UKRRQHHQSA-N 0 3 247.382 2.883 20 0 BFADHN CCC1(C)CN(C[C@@H](O)[C@@H](C)c2ccccc2)C1 ZINC000453017249 387962688 /nfs/dbraw/zinc/96/26/88/387962688.db2.gz MXHDBBSDZSFAJP-DZGCQCFKSA-N 0 3 247.382 2.883 20 0 BFADHN C[C@@H]1CCCN1CCc1c(F)cccc1F ZINC000645811112 387962817 /nfs/dbraw/zinc/96/28/17/387962817.db2.gz YDQWNPDPMLVGSW-SNVBAGLBSA-N 0 3 225.282 2.992 20 0 BFADHN c1cn(CCN2CCC[C@@H]3CCCC[C@H]32)cn1 ZINC000245615576 387968303 /nfs/dbraw/zinc/96/83/03/387968303.db2.gz XUGFDIVDNGSMOG-UONOGXRCSA-N 0 3 233.359 2.538 20 0 BFADHN CCc1ccccc1CN(C)CCN(C)C1CC1 ZINC000445794761 387968679 /nfs/dbraw/zinc/96/86/79/387968679.db2.gz QWEODKTZENXANE-UHFFFAOYSA-N 0 3 246.398 2.775 20 0 BFADHN C[C@@H](O)CCN1CCC[C@H]1c1cccc(F)c1 ZINC000438980150 387968734 /nfs/dbraw/zinc/96/87/34/387968734.db2.gz QMSDLUAKEBFOBT-RISCZKNCSA-N 0 3 237.318 2.734 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1C[C@H]1C1CCC1)C1CC1 ZINC000424323830 387971580 /nfs/dbraw/zinc/97/15/80/387971580.db2.gz JKKLNOVTDXUADW-BFHYXJOUSA-N 0 3 245.370 2.649 20 0 BFADHN CC[C@H](C)N(C)Cc1ccc([S@@](C)=O)cc1 ZINC000245542561 387957009 /nfs/dbraw/zinc/95/70/09/387957009.db2.gz QABYMEPDEXLJRV-MEDUHNTESA-N 0 3 239.384 2.654 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@@H](C)c1cnccc1N ZINC000423171122 387957622 /nfs/dbraw/zinc/95/76/22/387957622.db2.gz ZJSPZISPLHBEOS-NILFDRSVSA-N 0 3 247.386 2.969 20 0 BFADHN CC[C@@H](NC[C@H]1CCCCO1)c1ccncc1 ZINC000453070558 387978551 /nfs/dbraw/zinc/97/85/51/387978551.db2.gz FNJLZJUZRSSSDG-ZIAGYGMSSA-N 0 3 234.343 2.691 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1C[C@H]1C1CCC1 ZINC000424337744 387979741 /nfs/dbraw/zinc/97/97/41/387979741.db2.gz ZWVHTQCMUTUIBK-WCFLWFBJSA-N 0 3 231.343 2.624 20 0 BFADHN c1cc(CN2CC[C@@H]3OCCC[C@H]3C2)cs1 ZINC000245689489 387972895 /nfs/dbraw/zinc/97/28/95/387972895.db2.gz BEAZCVAUGJSZQZ-STQMWFEESA-N 0 3 237.368 2.749 20 0 BFADHN CC[C@H](C)N[C@H](c1ccccc1)c1cncnc1 ZINC000453053778 387973210 /nfs/dbraw/zinc/97/32/10/387973210.db2.gz GWBUUBGWQCOTNA-SWLSCSKDSA-N 0 3 241.338 2.954 20 0 BFADHN C[C@H]1C[C@H](N[C@@H]2C[C@H]2C2CCC2)c2nccn21 ZINC000424327580 387974611 /nfs/dbraw/zinc/97/46/11/387974611.db2.gz MXMPOIAAAXKNDN-SYEHKZFSSA-N 0 3 231.343 2.667 20 0 BFADHN C[C@H]1C[C@@H](N[C@@H]2C[C@H]2C2CCC2)c2nccn21 ZINC000424327574 387975827 /nfs/dbraw/zinc/97/58/27/387975827.db2.gz MXMPOIAAAXKNDN-FTYKPCCVSA-N 0 3 231.343 2.667 20 0 BFADHN C[C@H](N[C@@H]1C[C@@]1(F)c1ccccc1)[C@H]1CCOC1 ZINC000424333112 387976834 /nfs/dbraw/zinc/97/68/34/387976834.db2.gz XLEBLJOZFXLFCI-DDHJSBNISA-N 0 3 249.329 2.638 20 0 BFADHN Cc1ccc(CN2CCS[C@H](C)[C@H]2C)o1 ZINC000245729736 387978079 /nfs/dbraw/zinc/97/80/79/387978079.db2.gz JMOBTGUXPFJEJO-GHMZBOCLSA-N 0 3 225.357 2.914 20 0 BFADHN CO[C@H]1C[C@H]2C[C@H](NCc3occc3C)C[C@H]2C1 ZINC000424353730 387987462 /nfs/dbraw/zinc/98/74/62/387987462.db2.gz RQJPALAKGIGHJZ-COVPZLACSA-N 0 3 249.354 2.881 20 0 BFADHN CCC(C)(C)CCN1C[C@H](COC)O[C@@H](C)C1 ZINC000439297498 387991760 /nfs/dbraw/zinc/99/17/60/387991760.db2.gz SGPDZDKYZCJYRY-QWHCGFSZSA-N 0 3 243.391 2.548 20 0 BFADHN CCN[C@@H](C)c1ncc(-c2ccccc2)n1C ZINC000453093372 387982994 /nfs/dbraw/zinc/98/29/94/387982994.db2.gz QWOXARKFYCAEQQ-NSHDSACASA-N 0 3 229.327 2.758 20 0 BFADHN C1=C(CN2CCC[C@H]3COCC[C@@H]32)CCC1 ZINC000645814166 387985597 /nfs/dbraw/zinc/98/55/97/387985597.db2.gz YIRQZRXICSBIOA-KBPBESRZSA-N 0 3 221.344 2.598 20 0 BFADHN Cn1cc(CN[C@@H]2CCC2(C)C)c(C(C)(C)C)n1 ZINC000336791269 387985678 /nfs/dbraw/zinc/98/56/78/387985678.db2.gz MYLIVHWDYFUWPN-GFCCVEGCSA-N 0 3 249.402 2.996 20 0 BFADHN CCC(CC)CN1CCS[C@@H]2COCC[C@H]21 ZINC000245953713 387997836 /nfs/dbraw/zinc/99/78/36/387997836.db2.gz SXGVHMUPTZHASY-CHWSQXEVSA-N 0 3 243.416 2.629 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000246001295 388001019 /nfs/dbraw/zinc/00/10/19/388001019.db2.gz RVORWIAIOQNXJZ-BFHYXJOUSA-N 0 3 249.329 2.562 20 0 BFADHN CCOCc1ccccc1CNCc1cc[nH]c1 ZINC000080773822 388001709 /nfs/dbraw/zinc/00/17/09/388001709.db2.gz MZAUMOGROPDWBK-UHFFFAOYSA-N 0 3 244.338 2.841 20 0 BFADHN C[C@@H](NCC12CCC(CC1)C2)c1ccncn1 ZINC000453169397 388001982 /nfs/dbraw/zinc/00/19/82/388001982.db2.gz GDBXPGSSVPNSAF-LKSINWNRSA-N 0 3 231.343 2.708 20 0 BFADHN CC[C@@H]1CN(CCOCCC(C)C)CCCO1 ZINC000439483313 388003438 /nfs/dbraw/zinc/00/34/38/388003438.db2.gz IEAFPAWIPAHQHB-CQSZACIVSA-N 0 3 243.391 2.550 20 0 BFADHN CCC[C@@H](NCc1cc(C)ncn1)C1CCC1 ZINC000453179844 388003511 /nfs/dbraw/zinc/00/35/11/388003511.db2.gz PLWORCALHYRMLS-CQSZACIVSA-N 0 3 233.359 2.843 20 0 BFADHN CCc1ccc(CN[C@@H]2[C@H]3CCO[C@H]3C2(C)C)o1 ZINC000246053901 388004063 /nfs/dbraw/zinc/00/40/63/388004063.db2.gz VATFYVOPTBSIFW-MGPQQGTHSA-N 0 3 249.354 2.745 20 0 BFADHN CCc1ccc(NC(=O)[C@@H]2CCCCCN2)cc1 ZINC000080993406 388005446 /nfs/dbraw/zinc/00/54/46/388005446.db2.gz NIUWAQXNURVRIO-AWEZNQCLSA-N 0 3 246.354 2.720 20 0 BFADHN CCn1c2ccccc2nc1CNC1CC(C)C1 ZINC000080249088 388005630 /nfs/dbraw/zinc/00/56/30/388005630.db2.gz JETCPWVLECYFMX-UHFFFAOYSA-N 0 3 243.354 2.944 20 0 BFADHN CCC1(NC(=O)Nc2cc(C)cc(CN)c2)CC1 ZINC000424414000 388005862 /nfs/dbraw/zinc/00/58/62/388005862.db2.gz FOUHCXIJOAQOGA-UHFFFAOYSA-N 0 3 247.342 2.518 20 0 BFADHN CCN(Cc1cccc(OC)c1)C1CC1 ZINC000046058746 388007304 /nfs/dbraw/zinc/00/73/04/388007304.db2.gz QWMWOIQGLDXLMQ-UHFFFAOYSA-N 0 3 205.301 2.680 20 0 BFADHN c1c[nH]c([C@H]2CCCN2CCOCC2CC2)c1 ZINC000159330267 388007890 /nfs/dbraw/zinc/00/78/90/388007890.db2.gz LQAKLAYEJSLWPE-CQSZACIVSA-N 0 3 234.343 2.578 20 0 BFADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1cn[nH]c1 ZINC000453198115 388008450 /nfs/dbraw/zinc/00/84/50/388008450.db2.gz OFMHYXRYMGXQKN-VXNVDRBHSA-N 0 3 235.253 2.791 20 0 BFADHN Cc1cccc([C@H](C)NCCC(=O)OC(C)C)c1 ZINC000439557697 388009883 /nfs/dbraw/zinc/00/98/83/388009883.db2.gz NTYFKPIVDGJKJD-ZDUSSCGKSA-N 0 3 249.354 2.987 20 0 BFADHN CCC[C@H](CN[C@H](CC)c1ccncc1)OC ZINC000453249415 388025016 /nfs/dbraw/zinc/02/50/16/388025016.db2.gz MFLRYVSCHWEEEP-ZIAGYGMSSA-N 0 3 236.359 2.937 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@H]1CCC[C@@H]1C ZINC000453218298 388012846 /nfs/dbraw/zinc/01/28/46/388012846.db2.gz PSXINVMLZNLWIU-RWMBFGLXSA-N 0 3 235.375 2.990 20 0 BFADHN CSCCN(C)CCC(=O)c1ccccc1 ZINC000163025038 388017782 /nfs/dbraw/zinc/01/77/82/388017782.db2.gz QYWDBPLATJLADQ-UHFFFAOYSA-N 0 3 237.368 2.554 20 0 BFADHN CCn1ccnc1CN[C@H]1CCC[C@@H]1C(C)C ZINC000453282066 388032418 /nfs/dbraw/zinc/03/24/18/388032418.db2.gz COLXMQMEJHGRSG-OLZOCXBDSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@H]1CC)c1ccn(C)n1 ZINC000453312615 388042063 /nfs/dbraw/zinc/04/20/63/388042063.db2.gz VNIMHTMMCIZVPT-WOPDTQHZSA-N 0 3 221.348 2.507 20 0 BFADHN CCC[C@H]1CCC[C@H]1NCc1ccnc(OC)n1 ZINC000453254085 388026409 /nfs/dbraw/zinc/02/64/09/388026409.db2.gz JKRQJXUOKMVISX-WCQYABFASA-N 0 3 249.358 2.544 20 0 BFADHN C[C@H](NCc1cccs1)[C@@H](O)c1ccccc1 ZINC000082311268 388027079 /nfs/dbraw/zinc/02/70/79/388027079.db2.gz VUBUIPNYRJGLNP-SMDDNHRTSA-N 0 3 247.363 2.960 20 0 BFADHN CCn1ccnc1CN[C@H]1CCCC[C@@H]1C1CC1 ZINC000453336428 388051028 /nfs/dbraw/zinc/05/10/28/388051028.db2.gz YBLCMGJBCNEQIM-KGLIPLIRSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@@H]1C[C@H]1CN(C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000447102719 388053237 /nfs/dbraw/zinc/05/32/37/388053237.db2.gz TXFPKIUPQBTCIC-MNOVXSKESA-N 0 3 235.375 2.795 20 0 BFADHN Cc1cc([C@@H](C)NC[C@](C)(O)C(C)C)c(C)o1 ZINC000160214930 388053983 /nfs/dbraw/zinc/05/39/83/388053983.db2.gz SLWOCTCSESYYFQ-RISCZKNCSA-N 0 3 239.359 2.954 20 0 BFADHN C[C@@H]1C[C@@H]1CN(C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000447102718 388054003 /nfs/dbraw/zinc/05/40/03/388054003.db2.gz TXFPKIUPQBTCIC-GHMZBOCLSA-N 0 3 235.375 2.795 20 0 BFADHN CCC1(CN[C@@H](C)c2cc(C)c(C)o2)COC1 ZINC000453351665 388055551 /nfs/dbraw/zinc/05/55/51/388055551.db2.gz GTCTYMWTLIJSIZ-NSHDSACASA-N 0 3 237.343 2.974 20 0 BFADHN CSc1ccccc1[C@H](C)N[C@H]1C[C@@H](O)C1 ZINC000453364287 388060024 /nfs/dbraw/zinc/06/00/24/388060024.db2.gz MWVOANLRLOOZRH-GARJFASQSA-N 0 3 237.368 2.582 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CN[C@@H]1C[C@H](C)n2ccnc21 ZINC000453365682 388060713 /nfs/dbraw/zinc/06/07/13/388060713.db2.gz STOASWZZIDEHEX-ZDEQEGDKSA-N 0 3 233.359 2.771 20 0 BFADHN CC[C@@H](F)CN[C@H](CCCO)c1ccccc1 ZINC000440604973 388062297 /nfs/dbraw/zinc/06/22/97/388062297.db2.gz VQARNVVRLLQGDG-ZIAGYGMSSA-N 0 3 239.334 2.838 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCC23CCC3)c2nccn21 ZINC000453374165 388062809 /nfs/dbraw/zinc/06/28/09/388062809.db2.gz XBBLYJLTOQJZBY-IJLUTSLNSA-N 0 3 231.343 2.811 20 0 BFADHN CC[C@@H](NC[C@@H]1C[C@H]1C(C)C)c1ccn(C)n1 ZINC000453377740 388063734 /nfs/dbraw/zinc/06/37/34/388063734.db2.gz YZHBPXQQPQHZFO-RWMBFGLXSA-N 0 3 235.375 2.753 20 0 BFADHN C[C@@H](NC[C@@H]1C[C@H]1C1CC1)c1ccc(F)cn1 ZINC000453375780 388064444 /nfs/dbraw/zinc/06/44/44/388064444.db2.gz UAIZNHSUHPPNIE-CDMKHQONSA-N 0 3 234.318 2.917 20 0 BFADHN COc1cccnc1CN[C@H]1CCC12CCCC2 ZINC000453379066 388064494 /nfs/dbraw/zinc/06/44/94/388064494.db2.gz WGNYZSYBFGIEDD-AWEZNQCLSA-N 0 3 246.354 2.903 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1cncc(C)c1 ZINC000453385470 388066895 /nfs/dbraw/zinc/06/68/95/388066895.db2.gz AYZQKXCXYMVVDU-BPLDGKMQSA-N 0 3 248.370 2.998 20 0 BFADHN CCCc1ncc(CN[C@@H]2CCC2(C)C)o1 ZINC000453384857 388067951 /nfs/dbraw/zinc/06/79/51/388067951.db2.gz NJGRBTKFGKXBAB-LLVKDONJSA-N 0 3 222.332 2.905 20 0 BFADHN C[C@H]1C[C@H](NC[C@@H]2C[C@H]2C2CC2)c2nccn21 ZINC000453392864 388069489 /nfs/dbraw/zinc/06/94/89/388069489.db2.gz USPWFXMBBMVIKY-BQUFFADESA-N 0 3 231.343 2.525 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@H]1CC[C@@H](C)O1 ZINC000453400805 388071978 /nfs/dbraw/zinc/07/19/78/388071978.db2.gz DDLYHNXLMWODMS-MBNYWOFBSA-N 0 3 248.370 2.862 20 0 BFADHN CC[C@H](NC[C@@H]1CC[C@@H](C)O1)c1ccncc1 ZINC000453398490 388072115 /nfs/dbraw/zinc/07/21/15/388072115.db2.gz ADNCDVSZKIIUSQ-XBFCOCLRSA-N 0 3 234.343 2.690 20 0 BFADHN CCCc1ncc(CN[C@@H](C)C(C)(C)OC)o1 ZINC000453400040 388072213 /nfs/dbraw/zinc/07/22/13/388072213.db2.gz YFCLRTWCSVAEEJ-JTQLQIEISA-N 0 3 240.347 2.530 20 0 BFADHN C[C@H](NCCOC(F)(F)F)c1ccccc1 ZINC000084177587 388072804 /nfs/dbraw/zinc/07/28/04/388072804.db2.gz FBUAFOUPKBYHNN-VIFPVBQESA-N 0 3 233.233 2.874 20 0 BFADHN Cc1cnc(CCN[C@H](C)c2ccsc2)cn1 ZINC000453418622 388079376 /nfs/dbraw/zinc/07/93/76/388079376.db2.gz YMUSJLBQHIHLJK-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN C/C=C\C[C@H](CO)N[C@@H]1CCCc2occc21 ZINC000447598406 388081578 /nfs/dbraw/zinc/08/15/78/388081578.db2.gz IEOUCTGGEHXYSQ-XFKWNRGUSA-N 0 3 235.327 2.574 20 0 BFADHN C[C@H](NCCC1CC(F)(F)C1)c1cnccn1 ZINC000453431285 388081555 /nfs/dbraw/zinc/08/15/55/388081555.db2.gz RHUNYWZEHYIIHA-VIFPVBQESA-N 0 3 241.285 2.563 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@H](C)c1ccnn1C ZINC000453458443 388083948 /nfs/dbraw/zinc/08/39/48/388083948.db2.gz WBSUPRRJRUXEIQ-KWCYVHTRSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@@H](C)c1cn[nH]c1 ZINC000453469104 388084088 /nfs/dbraw/zinc/08/40/88/388084088.db2.gz ZODWKMALIXVRKB-WCFLWFBJSA-N 0 3 221.348 2.885 20 0 BFADHN C[C@@H](CCN1CCN(C)C[C@H]1C)c1ccccc1 ZINC000447739497 388090359 /nfs/dbraw/zinc/09/03/59/388090359.db2.gz XOOZTGMXQBVUHL-LSDHHAIUSA-N 0 3 246.398 2.816 20 0 BFADHN C[C@H](CCN1CCN(C)C[C@H]1C)c1ccccc1 ZINC000447739496 388090619 /nfs/dbraw/zinc/09/06/19/388090619.db2.gz XOOZTGMXQBVUHL-HUUCEWRRSA-N 0 3 246.398 2.816 20 0 BFADHN CCC1CN(Cc2cn(C)nc2C(C)(C)C)C1 ZINC000453607340 388091457 /nfs/dbraw/zinc/09/14/57/388091457.db2.gz SIJJNYKFXHZLMT-UHFFFAOYSA-N 0 3 235.375 2.559 20 0 BFADHN CCCCCNC(=O)CN(CC)CC(C)(C)C ZINC000463369367 388091600 /nfs/dbraw/zinc/09/16/00/388091600.db2.gz QRVUINKJFSEQPS-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CCC1CN(Cc2ccc(F)cc2C)C1 ZINC000453603418 388091657 /nfs/dbraw/zinc/09/16/57/388091657.db2.gz LEMVJQLNFWHLLZ-UHFFFAOYSA-N 0 3 207.292 2.976 20 0 BFADHN COc1nccnc1CN[C@@]1(C)CCCC[C@@H]1C ZINC000453619587 388093852 /nfs/dbraw/zinc/09/38/52/388093852.db2.gz IEASDXPLNQJAMB-FZMZJTMJSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@@H]1CC[C@H](CNC/C=C/c2ccc(F)cc2)O1 ZINC000453632754 388095805 /nfs/dbraw/zinc/09/58/05/388095805.db2.gz QKBAFFPITJCPMR-FKZRYSJHSA-N 0 3 249.329 2.996 20 0 BFADHN COc1cc(CNC[C@H]2CC[C@@H](C)O2)ccc1C ZINC000453631064 388095940 /nfs/dbraw/zinc/09/59/40/388095940.db2.gz NCAFTFFUSLBKLK-TZMCWYRMSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNC[C@H]2CCC[C@@H]2O)o1 ZINC000453634935 388096062 /nfs/dbraw/zinc/09/60/62/388096062.db2.gz TWKCVJLYDZDXDD-UNJBNNCHSA-N 0 3 249.354 2.654 20 0 BFADHN Cc1ccc(CN2CCCC[C@H]2C[C@@H](C)O)nc1 ZINC000447841719 388096524 /nfs/dbraw/zinc/09/65/24/388096524.db2.gz YXVWNYZMVQYELT-HIFRSBDPSA-N 0 3 248.370 2.515 20 0 BFADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1cccc(O)c1 ZINC000086935164 388111514 /nfs/dbraw/zinc/11/15/14/388111514.db2.gz OOOHDXZBHZBWIY-JFGNBEQYSA-N 0 3 237.368 2.937 20 0 BFADHN CC[C@H](F)CN[C@@H]1CCCC[C@@H]1n1cccn1 ZINC000440501673 388045893 /nfs/dbraw/zinc/04/58/93/388045893.db2.gz FVDFCQOUYNYIHC-XQQFMLRXSA-N 0 3 239.338 2.705 20 0 BFADHN CC[C@H](F)CN1CCC(n2ccc(C)n2)CC1 ZINC000440505963 388047109 /nfs/dbraw/zinc/04/71/09/388047109.db2.gz PPUHMOFBULHURP-LBPRGKRZSA-N 0 3 239.338 2.577 20 0 BFADHN C[C@@H](NC[C@H](C)CO)c1ccc(F)cc1Cl ZINC000083219387 388048015 /nfs/dbraw/zinc/04/80/15/388048015.db2.gz CNVXTCUQDVINPB-DTWKUNHWSA-N 0 3 245.725 2.758 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1C[C@H]1C(C)C ZINC000453331775 388049239 /nfs/dbraw/zinc/04/92/39/388049239.db2.gz AISXSBKICSKARM-AVGNSLFASA-N 0 3 235.375 2.846 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccc(Cl)cn1)[C@H](C)O ZINC000453333346 388049668 /nfs/dbraw/zinc/04/96/68/388049668.db2.gz VWKTWTSJRSFTAY-QXEWZRGKSA-N 0 3 242.750 2.545 20 0 BFADHN C[C@@H](O)CCNC1(c2ccccc2Cl)CC1 ZINC000645978273 388114170 /nfs/dbraw/zinc/11/41/70/388114170.db2.gz AFMJFXLSFRVRKD-SNVBAGLBSA-N 0 3 239.746 2.690 20 0 BFADHN CC[C@@H](CSC)N[C@@H](C)c1cncs1 ZINC000162349368 388156435 /nfs/dbraw/zinc/15/64/35/388156435.db2.gz WABRVZBCAQULLV-IUCAKERBSA-N 0 3 230.402 2.935 20 0 BFADHN C[C@H](NCc1ccn(C)n1)[C@H]1CCCC[C@H]1C ZINC000449203138 388156611 /nfs/dbraw/zinc/15/66/11/388156611.db2.gz GZKZIVYBDVGMFS-DYEKYZERSA-N 0 3 235.375 2.725 20 0 BFADHN CC(C)(C)C[C@H](O)CNCc1ccsc1 ZINC000164139737 388159437 /nfs/dbraw/zinc/15/94/37/388159437.db2.gz CUNILLLCXBNFRT-NSHDSACASA-N 0 3 227.373 2.635 20 0 BFADHN CCCCCN(CCO)Cc1ccsc1 ZINC000164159877 388163211 /nfs/dbraw/zinc/16/32/11/388163211.db2.gz DMFKGFVNPWXFEB-UHFFFAOYSA-N 0 3 227.373 2.733 20 0 BFADHN CO[C@@H](C)CNCc1cccc2ccoc21 ZINC000449267630 388164534 /nfs/dbraw/zinc/16/45/34/388164534.db2.gz AOTZDFSRQXQVIM-JTQLQIEISA-N 0 3 219.284 2.557 20 0 BFADHN C[C@@H](CF)N[C@@H]1CS[C@@H](C(C)(C)C)C1 ZINC000646215157 388166090 /nfs/dbraw/zinc/16/60/90/388166090.db2.gz GZBPWVABYHPZHS-LPEHRKFASA-N 0 3 219.369 2.854 20 0 BFADHN CO[C@H]1c2ccccc2C[C@H]1NCC1=CCCC1 ZINC000645928342 388166129 /nfs/dbraw/zinc/16/61/29/388166129.db2.gz TZDCYHMRPFTGEN-CVEARBPZSA-N 0 3 243.350 2.999 20 0 BFADHN C[C@H](CCc1n[nH]c2ccccc12)N[C@@H](C)CF ZINC000646215407 388166651 /nfs/dbraw/zinc/16/66/51/388166651.db2.gz XMLOLTIKPYNKOD-MNOVXSKESA-N 0 3 249.333 2.832 20 0 BFADHN CC[C@@H](CO)N(C)Cc1ccc(SC)s1 ZINC000449378529 388187957 /nfs/dbraw/zinc/18/79/57/388187957.db2.gz XJGGSTKORFPXGE-VIFPVBQESA-N 0 3 245.413 2.673 20 0 BFADHN CSC1(CNCc2cc3cccnc3o2)CC1 ZINC000449289646 388168358 /nfs/dbraw/zinc/16/83/58/388168358.db2.gz BIYATQYZCSDALF-UHFFFAOYSA-N 0 3 248.351 2.813 20 0 BFADHN Cc1ccc(CN2C[C@H](C)[C@H]2C)cc1F ZINC000646252570 388170898 /nfs/dbraw/zinc/17/08/98/388170898.db2.gz SFTFPWNRWUTWJA-WDEREUQCSA-N 0 3 207.292 2.974 20 0 BFADHN COc1cc(C)ccc1CN(C)[C@@H]1CCCOC1 ZINC000449314725 388171940 /nfs/dbraw/zinc/17/19/40/388171940.db2.gz DTTHCZCLBCUZAH-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CC[C@H](CNCc1ccc(C)cc1OC)OC ZINC000449333882 388175028 /nfs/dbraw/zinc/17/50/28/388175028.db2.gz IAFBSRGCNHOVJN-CYBMUJFWSA-N 0 3 237.343 2.518 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1c(C)nnn1CC ZINC000449340804 388176365 /nfs/dbraw/zinc/17/63/65/388176365.db2.gz XKQNFDDVGNDOGJ-LLVKDONJSA-N 0 3 238.379 2.617 20 0 BFADHN Fc1cnccc1CN1CC[C@@H](CC2CC2)C1 ZINC000449343768 388176977 /nfs/dbraw/zinc/17/69/77/388176977.db2.gz MXKGOHLHEHFCQU-LBPRGKRZSA-N 0 3 234.318 2.843 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@H]1CCC[C@H]1F ZINC000449343551 388177175 /nfs/dbraw/zinc/17/71/75/388177175.db2.gz LKRYQODVDYMZND-JLLWLGSASA-N 0 3 211.280 2.691 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCO[C@H](Cc2ccccc2)C1 ZINC000488459517 388181090 /nfs/dbraw/zinc/18/10/90/388181090.db2.gz LQPQPAGYHRXZKE-FVQBIDKESA-N 0 3 245.366 2.586 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCO[C@@H](C2CCCCC2)C1 ZINC000488521785 388184401 /nfs/dbraw/zinc/18/44/01/388184401.db2.gz UXIQRWKYLLPZMG-VHDGCEQUSA-N 0 3 237.387 2.924 20 0 BFADHN CCC1(NC(=O)CN(C)C(C)C)CCCCC1 ZINC000456216827 388185685 /nfs/dbraw/zinc/18/56/85/388185685.db2.gz MGSDCKYLXDGISV-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN CCC[C@@H]1CCCN([C@H](CC)C(=O)OCC)C1 ZINC000466463816 388119409 /nfs/dbraw/zinc/11/94/09/388119409.db2.gz HXPJPPVQDIIYPD-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN CCOCCCNC1(c2ccccc2OC)CC1 ZINC000646000815 388122558 /nfs/dbraw/zinc/12/25/58/388122558.db2.gz WSGHVXXDVVSMOI-UHFFFAOYSA-N 0 3 249.354 2.701 20 0 BFADHN C[C@H](O)CCNC1(c2cccc(F)c2)CCC1 ZINC000646001915 388122801 /nfs/dbraw/zinc/12/28/01/388122801.db2.gz YSOVZTCPSDEGRA-NSHDSACASA-N 0 3 237.318 2.565 20 0 BFADHN CCCCN(C)CCNC(=O)CCCC(C)C ZINC000448960270 388123370 /nfs/dbraw/zinc/12/33/70/388123370.db2.gz DHSILUHCDUMEPB-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CCOCCN(Cc1ccc(C)nc1)CC1CC1 ZINC000646019170 388125176 /nfs/dbraw/zinc/12/51/76/388125176.db2.gz SQIBBAFEPFZGNB-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN CCN1CCN(Cc2cccc3ccoc32)CC1 ZINC000449001486 388127239 /nfs/dbraw/zinc/12/72/39/388127239.db2.gz DBSRZXNWEGODGH-UHFFFAOYSA-N 0 3 244.338 2.570 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1ccncc1F ZINC000449012208 388128452 /nfs/dbraw/zinc/12/84/52/388128452.db2.gz ZQJABEPMBWDGKD-JTQLQIEISA-N 0 3 210.296 2.697 20 0 BFADHN Cc1cccc(CCN(C)C[C@H]2CCCO2)c1 ZINC000449011523 388128903 /nfs/dbraw/zinc/12/89/03/388128903.db2.gz QSVXRAVQFUCEIT-OAHLLOKOSA-N 0 3 233.355 2.648 20 0 BFADHN COc1cc(C)ccc1CN(C)CC1CC1 ZINC000449014826 388129457 /nfs/dbraw/zinc/12/94/57/388129457.db2.gz JSKLSYAJBZOSHI-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN Cc1cccc(CCN2CCO[C@@H]3CCC[C@H]32)c1 ZINC000449017019 388130552 /nfs/dbraw/zinc/13/05/52/388130552.db2.gz OVQVLCRYRSIHKR-HZPDHXFCSA-N 0 3 245.366 2.791 20 0 BFADHN Cc1cccc(CCN2CCO[C@@H]3CCC[C@@H]32)c1 ZINC000449017020 388130870 /nfs/dbraw/zinc/13/08/70/388130870.db2.gz OVQVLCRYRSIHKR-JKSUJKDBSA-N 0 3 245.366 2.791 20 0 BFADHN Cc1nocc1CN1[C@@H](C)CCC[C@@H]1C ZINC000646046808 388131359 /nfs/dbraw/zinc/13/13/59/388131359.db2.gz GGNJOSJBGOHSNJ-UWVGGRQHSA-N 0 3 208.305 2.746 20 0 BFADHN COc1cc(C)ccc1CN(C)CCSC ZINC000449028235 388132818 /nfs/dbraw/zinc/13/28/18/388132818.db2.gz LEZHFRGXBMZGFC-UHFFFAOYSA-N 0 3 239.384 2.798 20 0 BFADHN C1=C(CN2CCOC[C@H]2C2CCC2)CCC1 ZINC000646058737 388134094 /nfs/dbraw/zinc/13/40/94/388134094.db2.gz YUIQGINSIAAALI-AWEZNQCLSA-N 0 3 221.344 2.598 20 0 BFADHN OCC[C@H](N[C@@H]1C=CCCC1)c1ccccc1F ZINC000646068697 388136088 /nfs/dbraw/zinc/13/60/88/388136088.db2.gz ZFYKWVAJKMIVHK-DOMZBBRYSA-N 0 3 249.329 2.948 20 0 BFADHN OCC[C@@H](NCC1=CCCC1)c1ccccc1F ZINC000646070313 388136453 /nfs/dbraw/zinc/13/64/53/388136453.db2.gz QFTKTQCZHUQPIN-OAHLLOKOSA-N 0 3 249.329 2.949 20 0 BFADHN OCC[C@H](NCCCCF)c1ccccc1F ZINC000646070141 388136496 /nfs/dbraw/zinc/13/64/96/388136496.db2.gz LTGOZQWTYRLVPZ-ZDUSSCGKSA-N 0 3 243.297 2.589 20 0 BFADHN CCc1nocc1CN1CCC[C@H]1C1CC1 ZINC000646073991 388137804 /nfs/dbraw/zinc/13/78/04/388137804.db2.gz BDVZTWYKMAPVRG-ZDUSSCGKSA-N 0 3 220.316 2.611 20 0 BFADHN CCN1CCN(Cc2ccsc2C)C[C@@H]1C ZINC000646077712 388138135 /nfs/dbraw/zinc/13/81/35/388138135.db2.gz POICTGOOEPEUKC-NSHDSACASA-N 0 3 238.400 2.583 20 0 BFADHN CCN1CCN(Cc2ccsc2C)C[C@H]1C ZINC000646077711 388138425 /nfs/dbraw/zinc/13/84/25/388138425.db2.gz POICTGOOEPEUKC-LLVKDONJSA-N 0 3 238.400 2.583 20 0 BFADHN Cc1sccc1CN1CCCOC[C@H]1C ZINC000646088860 388140590 /nfs/dbraw/zinc/14/05/90/388140590.db2.gz SXHMQMYBKOFWHT-SNVBAGLBSA-N 0 3 225.357 2.667 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ccncc1F ZINC000449099531 388142235 /nfs/dbraw/zinc/14/22/35/388142235.db2.gz UBTZHQLOEMZNQZ-NXEZZACHSA-N 0 3 210.296 2.745 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1cccc(F)c1 ZINC000164065792 388144947 /nfs/dbraw/zinc/14/49/47/388144947.db2.gz QNQOMPVUJAFQSA-ZJUUUORDSA-N 0 3 211.280 2.511 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1ccc(F)cc1 ZINC000164069703 388145010 /nfs/dbraw/zinc/14/50/10/388145010.db2.gz BBIRSBMBJCBBAS-VHSXEESVSA-N 0 3 211.280 2.511 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1ccc(F)cc1 ZINC000164069828 388145320 /nfs/dbraw/zinc/14/53/20/388145320.db2.gz BBIRSBMBJCBBAS-NXEZZACHSA-N 0 3 211.280 2.511 20 0 BFADHN CC[C@@H](C)[C@@H](O)CNCc1cccc(C)c1F ZINC000449144685 388148623 /nfs/dbraw/zinc/14/86/23/388148623.db2.gz JNMISUANZXQWQO-MFKMUULPSA-N 0 3 239.334 2.631 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1c(C)nnn1CC ZINC000449176678 388153162 /nfs/dbraw/zinc/15/31/62/388153162.db2.gz RAYZZGNZBXPVRS-GHMZBOCLSA-N 0 3 238.379 2.521 20 0 BFADHN Cn1ccnc1CN1CCCC[C@@H]1C1CCC1 ZINC000646138077 388154044 /nfs/dbraw/zinc/15/40/44/388154044.db2.gz ALSDDCUNCKPGHO-CYBMUJFWSA-N 0 3 233.359 2.575 20 0 BFADHN Cc1nccc(CN2CCCC[C@H]2C2CCC2)n1 ZINC000646140040 388154258 /nfs/dbraw/zinc/15/42/58/388154258.db2.gz PIGDDKADQFFMGY-HNNXBMFYSA-N 0 3 245.370 2.940 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@@H]1CCCc2c[nH]nc21 ZINC000449188432 388154936 /nfs/dbraw/zinc/15/49/36/388154936.db2.gz LSKAYXPQHMHNHI-ZWNOBZJWSA-N 0 3 245.326 2.601 20 0 BFADHN CC[C@@H](NCc1ncc(C)n1C)C1CCCC1 ZINC000449666061 388250693 /nfs/dbraw/zinc/25/06/93/388250693.db2.gz GRUNDUMMMOELKQ-CYBMUJFWSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1csc(CNCC2(C)CCC2)n1 ZINC000165482904 388252629 /nfs/dbraw/zinc/25/26/29/388252629.db2.gz SHMWPIGGBWWLIB-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN CCC1(CNCc2ccccn2)CCC1 ZINC000165576586 388253029 /nfs/dbraw/zinc/25/30/29/388253029.db2.gz XAUOLDLBZSETFW-UHFFFAOYSA-N 0 3 204.317 2.752 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1ccc(Br)o1 ZINC000166797677 388255510 /nfs/dbraw/zinc/25/55/10/388255510.db2.gz LLGIZNFOEUNRPO-SFYZADRCSA-N 0 3 244.132 2.788 20 0 BFADHN CO[C@@H](C)CN[C@H]1CCCc2sccc21 ZINC000167066929 388255941 /nfs/dbraw/zinc/25/59/41/388255941.db2.gz JYQXZEQOYVAOBK-ONGXEEELSA-N 0 3 225.357 2.750 20 0 BFADHN C[C@H](NC[C@H](O)C(C)(C)C)c1ccsc1 ZINC000167645335 388256254 /nfs/dbraw/zinc/25/62/54/388256254.db2.gz XYZFJTHJVFMEON-ONGXEEELSA-N 0 3 227.373 2.806 20 0 BFADHN C[C@H](NC[C@@H](O)C(C)(C)C)c1ccsc1 ZINC000167645577 388256736 /nfs/dbraw/zinc/25/67/36/388256736.db2.gz XYZFJTHJVFMEON-GXSJLCMTSA-N 0 3 227.373 2.806 20 0 BFADHN CC(C)O[C@@H]1CCN([C@@H](C)c2ccncc2)C1 ZINC000645945645 388256945 /nfs/dbraw/zinc/25/69/45/388256945.db2.gz YCSPSMHPGOSECJ-GXTWGEPZSA-N 0 3 234.343 2.642 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCc2cc(F)ccc2C1 ZINC000488585340 388190085 /nfs/dbraw/zinc/19/00/85/388190085.db2.gz TZFNASGSCXRZCR-MFKMUULPSA-N 0 3 219.303 2.840 20 0 BFADHN CC(C)CC[C@@H](C)NCc1nnc(C(C)C)[nH]1 ZINC000449404481 388195192 /nfs/dbraw/zinc/19/51/92/388195192.db2.gz CDHJOMWFIBDDNO-LLVKDONJSA-N 0 3 238.379 2.842 20 0 BFADHN CC(C)CC[C@@H](C)[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000449404481 388195193 /nfs/dbraw/zinc/19/51/93/388195193.db2.gz CDHJOMWFIBDDNO-LLVKDONJSA-N 0 3 238.379 2.842 20 0 BFADHN CC[C@H]1CCC[C@@H]([NH2+]Cc2nnc(C3CC3)[n-]2)C1 ZINC000449406155 388196012 /nfs/dbraw/zinc/19/60/12/388196012.db2.gz TUEJCHQYEGRMJP-CMPLNLGQSA-N 0 3 248.374 2.741 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC000449406155 388196014 /nfs/dbraw/zinc/19/60/14/388196014.db2.gz TUEJCHQYEGRMJP-CMPLNLGQSA-N 0 3 248.374 2.741 20 0 BFADHN CC(C)CC[C@@H](C)[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000449404931 388196158 /nfs/dbraw/zinc/19/61/58/388196158.db2.gz GTSAPJSARGJQEQ-SNVBAGLBSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)CC[C@@H](C)NCc1nnc(C2CC2)[nH]1 ZINC000449404931 388196160 /nfs/dbraw/zinc/19/61/60/388196160.db2.gz GTSAPJSARGJQEQ-SNVBAGLBSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CCC[C@H](C)C2)[n-]1 ZINC000449405004 388196403 /nfs/dbraw/zinc/19/64/03/388196403.db2.gz HNSXHSPGQWSUNJ-WDEREUQCSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCC[C@H](C)C2)[nH]1 ZINC000449405004 388196405 /nfs/dbraw/zinc/19/64/05/388196405.db2.gz HNSXHSPGQWSUNJ-WDEREUQCSA-N 0 3 236.363 2.596 20 0 BFADHN COCCN(C)[C@@H](C)c1ccc2c(c1)CCC2 ZINC000488819774 388196997 /nfs/dbraw/zinc/19/69/97/388196997.db2.gz PROBVQWBXSAYMT-LBPRGKRZSA-N 0 3 233.355 2.815 20 0 BFADHN CC(=O)Nc1ccc(CN2CC[C@@H]2C2CC2)cc1 ZINC000449422070 388201169 /nfs/dbraw/zinc/20/11/69/388201169.db2.gz CFODXYKJWWFKQN-OAHLLOKOSA-N 0 3 244.338 2.629 20 0 BFADHN COc1ncccc1CN1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000489012224 388202264 /nfs/dbraw/zinc/20/22/64/388202264.db2.gz OIBDGKAULCUPGD-AGIUHOORSA-N 0 3 248.370 2.957 20 0 BFADHN COc1cc(C)ccc1CN1CC[C@H]1C1CC1 ZINC000449430781 388203642 /nfs/dbraw/zinc/20/36/42/388203642.db2.gz YFLFHWODXXMKHJ-AWEZNQCLSA-N 0 3 231.339 2.988 20 0 BFADHN COc1ccc(CN2CC[C@H]2C2CC2)cc1OC ZINC000449429975 388203803 /nfs/dbraw/zinc/20/38/03/388203803.db2.gz XYWZRIMZAHNHGA-ZDUSSCGKSA-N 0 3 247.338 2.688 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1conc1C ZINC000449435168 388205420 /nfs/dbraw/zinc/20/54/20/388205420.db2.gz VFGDQIARBGSVPK-NEPJUHHUSA-N 0 3 222.332 2.899 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1cncnc1 ZINC000449442877 388207265 /nfs/dbraw/zinc/20/72/65/388207265.db2.gz NMZNODRYPRKQLH-LBPRGKRZSA-N 0 3 219.332 2.701 20 0 BFADHN Cc1cccc(CNC2(CF)CCOCC2)c1 ZINC000449445461 388207797 /nfs/dbraw/zinc/20/77/97/388207797.db2.gz ISEQDCBBYBZQRG-UHFFFAOYSA-N 0 3 237.318 2.603 20 0 BFADHN CC/C=C\CNCc1ccc2c(c1)ncn2C ZINC000449454124 388210274 /nfs/dbraw/zinc/21/02/74/388210274.db2.gz JKQXVFNWKLDAHT-PLNGDYQASA-N 0 3 229.327 2.629 20 0 BFADHN CC/C=C\CNCc1c(CC)nn(C)c1CC ZINC000449462189 388211105 /nfs/dbraw/zinc/21/11/05/388211105.db2.gz UTTRMJTXUCPFDA-HJWRWDBZSA-N 0 3 235.375 2.601 20 0 BFADHN CC/C=C\CNCc1cnc2ccc(C)cn12 ZINC000449463667 388212052 /nfs/dbraw/zinc/21/20/52/388212052.db2.gz XEQBWURBYIKSLK-PLNGDYQASA-N 0 3 229.327 2.699 20 0 BFADHN Cc1nocc1CNCC(C)(C)C1CCC1 ZINC000449484576 388216218 /nfs/dbraw/zinc/21/62/18/388216218.db2.gz IAGVOYCVFCCIKB-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC)[C@H](C)C2)o1 ZINC000449494211 388217898 /nfs/dbraw/zinc/21/78/98/388217898.db2.gz GGRKLKUEHHBQJA-BXUZGUMPSA-N 0 3 237.343 2.699 20 0 BFADHN CN(CCOc1ccc(F)cc1)[C@@H]1CC1(C)C ZINC000489487051 388218341 /nfs/dbraw/zinc/21/83/41/388218341.db2.gz ZXZAKVKROYGPBP-CYBMUJFWSA-N 0 3 237.318 2.935 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccsc2)C[C@H]1C ZINC000449503262 388220162 /nfs/dbraw/zinc/22/01/62/388220162.db2.gz XMVOTNDLPKGRNA-DGCLKSJQSA-N 0 3 239.384 2.995 20 0 BFADHN CCn1nccc1CN1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000449532537 388225938 /nfs/dbraw/zinc/22/59/38/388225938.db2.gz DGLPFYHASOWULE-LSDHHAIUSA-N 0 3 245.370 2.523 20 0 BFADHN Cc1n[nH]c(C)c1CN1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000449540653 388227123 /nfs/dbraw/zinc/22/71/23/388227123.db2.gz NEAUIFBSBQGGSI-CABCVRRESA-N 0 3 245.370 2.647 20 0 BFADHN CCn1cc(CN2C[C@H](C3CC3)[C@H]2C2CC2)cn1 ZINC000449545212 388227821 /nfs/dbraw/zinc/22/78/21/388227821.db2.gz YEANGMMGAKXFAP-HUUCEWRRSA-N 0 3 245.370 2.523 20 0 BFADHN CC(C)Cc1ncc(CNC2CCC2)s1 ZINC000162562751 388227907 /nfs/dbraw/zinc/22/79/07/388227907.db2.gz BQFPGLMPTYXCGG-UHFFFAOYSA-N 0 3 224.373 2.984 20 0 BFADHN Cc1cnc([C@H](C)NC[C@@H]2C[C@H]2C)s1 ZINC000336662079 388259802 /nfs/dbraw/zinc/25/98/02/388259802.db2.gz SMIXLQLROHJDBA-JEZHCXPESA-N 0 3 210.346 2.758 20 0 BFADHN Cc1ncc(CN2C[C@H](C(C)C)[C@@H]2C(C)C)cn1 ZINC000449559851 388231676 /nfs/dbraw/zinc/23/16/76/388231676.db2.gz ZMVQJGILEIAUOI-CABCVRRESA-N 0 3 247.386 2.897 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1cnccc1N(C)C ZINC000449557556 388232345 /nfs/dbraw/zinc/23/23/45/388232345.db2.gz VUDOOLDWBAXXGZ-JSGCOSHPSA-N 0 3 247.386 2.529 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)CC(C)C ZINC000449561347 388232621 /nfs/dbraw/zinc/23/26/21/388232621.db2.gz BTZDHPYWGXTSAQ-NEPJUHHUSA-N 0 3 223.364 2.988 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H](C)CC(C)C ZINC000449561349 388233107 /nfs/dbraw/zinc/23/31/07/388233107.db2.gz BTZDHPYWGXTSAQ-RYUDHWBXSA-N 0 3 223.364 2.988 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CC[C@H]1C1CC1 ZINC000449566584 388234568 /nfs/dbraw/zinc/23/45/68/388234568.db2.gz PQLIAOGLZJZZGN-DRZSPHRISA-N 0 3 233.359 2.742 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](C)c1nccn1CC ZINC000449567882 388235234 /nfs/dbraw/zinc/23/52/34/388235234.db2.gz ZEBSBEIWXQUYJR-QJPTWQEYSA-N 0 3 221.348 2.742 20 0 BFADHN CCC[C@H](C)CN[C@@H]1C[C@H](C)n2ccnc21 ZINC000449571742 388235902 /nfs/dbraw/zinc/23/59/02/388235902.db2.gz LZZBOBRZQRMFAM-SDDRHHMPSA-N 0 3 221.348 2.915 20 0 BFADHN CCc1ncc(CN[C@H]2CCC(C)(C)C2)o1 ZINC000449608202 388241087 /nfs/dbraw/zinc/24/10/87/388241087.db2.gz FXLLDPQVOGOZAQ-JTQLQIEISA-N 0 3 222.332 2.905 20 0 BFADHN CCc1ncc(CNC2CCCCC2)o1 ZINC000449608707 388241491 /nfs/dbraw/zinc/24/14/91/388241491.db2.gz JOLVYBWKZQIPLC-UHFFFAOYSA-N 0 3 208.305 2.659 20 0 BFADHN CCc1ncc(CN[C@H]2CCC[C@H](C)C2)o1 ZINC000449608461 388241666 /nfs/dbraw/zinc/24/16/66/388241666.db2.gz HXESRWRKGGELOL-QWRGUYRKSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@H]2C2CCC2)o1 ZINC000449608542 388241803 /nfs/dbraw/zinc/24/18/03/388241803.db2.gz IHNMGTZCUPHYKF-QWHCGFSZSA-N 0 3 234.343 2.905 20 0 BFADHN CCc1ncc(CN[C@@H](C)CCC2CC2)o1 ZINC000449610213 388242171 /nfs/dbraw/zinc/24/21/71/388242171.db2.gz PSGJAMMNECRTBM-JTQLQIEISA-N 0 3 222.332 2.905 20 0 BFADHN CCc1ncc(CN[C@H](C)[C@@H]2CC2(C)C)o1 ZINC000449610224 388242343 /nfs/dbraw/zinc/24/23/43/388242343.db2.gz PWHPZKRNROUMGA-KOLCDFICSA-N 0 3 222.332 2.761 20 0 BFADHN CCC[C@H](CC)NCc1cnc(CC)o1 ZINC000449610930 388242782 /nfs/dbraw/zinc/24/27/82/388242782.db2.gz SOTCJDSBZRFWCA-JTQLQIEISA-N 0 3 210.321 2.905 20 0 BFADHN CCc1ncc(CNC2CC(C)(C)C2)o1 ZINC000449611127 388242854 /nfs/dbraw/zinc/24/28/54/388242854.db2.gz UJRPPVQPOGRMAA-UHFFFAOYSA-N 0 3 208.305 2.515 20 0 BFADHN Cc1cc(F)ccc1CN(C)CCc1cn[nH]c1 ZINC000459422221 388243511 /nfs/dbraw/zinc/24/35/11/388243511.db2.gz NLPLLRMKYVBABE-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN CCCc1ncc(CN[C@@H]2CC[C@@H]2CC)o1 ZINC000449615266 388243673 /nfs/dbraw/zinc/24/36/73/388243673.db2.gz YNVCQAPEUNXCTB-CMPLNLGQSA-N 0 3 222.332 2.905 20 0 BFADHN CCCc1ncc(CN[C@H]2CC[C@@H](C)C2)o1 ZINC000449611978 388243740 /nfs/dbraw/zinc/24/37/40/388243740.db2.gz IYDPYWQMVRFIJX-MNOVXSKESA-N 0 3 222.332 2.905 20 0 BFADHN CCCc1ncc(CN[C@@H]2C[C@@H](C)[C@H]2C)o1 ZINC000449614252 388243776 /nfs/dbraw/zinc/24/37/76/388243776.db2.gz VCYGGWYXGKMJTN-CKYFFXLPSA-N 0 3 222.332 2.761 20 0 BFADHN COc1cc(CN[C@H]2COCC2(C)C)ccc1C ZINC000449624172 388244926 /nfs/dbraw/zinc/24/49/26/388244926.db2.gz MWIBSOAMXDLFMT-AWEZNQCLSA-N 0 3 249.354 2.518 20 0 BFADHN CCC[C@@H](CC(C)C)C(=O)N[C@@H](C)CN(C)C ZINC000451767177 388294494 /nfs/dbraw/zinc/29/44/94/388294494.db2.gz YMRHUMFNSNSJDT-STQMWFEESA-N 0 3 242.407 2.515 20 0 BFADHN CC/C=C\CCN1CCN([C@@H](C)CC)CC1 ZINC000451851200 388301126 /nfs/dbraw/zinc/30/11/26/388301126.db2.gz YXDYTRCGASOGBW-AFNCTOJWSA-N 0 3 224.392 2.759 20 0 BFADHN CC(C)c1cccc(NC(=O)/C=C\CN(C)C)c1 ZINC000491685713 388311062 /nfs/dbraw/zinc/31/10/62/388311062.db2.gz NAEPZXIRQMWXSX-TWGQIWQCSA-N 0 3 246.354 2.866 20 0 BFADHN OCCN[C@@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000451349231 388263963 /nfs/dbraw/zinc/26/39/63/388263963.db2.gz ATLCGMRLHKTHKM-GFCCVEGCSA-N 0 3 243.709 2.512 20 0 BFADHN Cc1ccc(F)c(CN(C)[C@H](C)C(C)(C)O)c1 ZINC000451369823 388267263 /nfs/dbraw/zinc/26/72/63/388267263.db2.gz NHFJIMSJQZYPBE-LLVKDONJSA-N 0 3 239.334 2.725 20 0 BFADHN CC(C)CCOCCN1CCC(CF)CC1 ZINC000451390085 388268306 /nfs/dbraw/zinc/26/83/06/388268306.db2.gz XYYWOLGMTISTII-UHFFFAOYSA-N 0 3 231.355 2.731 20 0 BFADHN CCc1ccc(CN2CCC(CF)CC2)nc1 ZINC000451388454 388268662 /nfs/dbraw/zinc/26/86/62/388268662.db2.gz CAJKRNOEUBPRID-UHFFFAOYSA-N 0 3 236.334 2.826 20 0 BFADHN FCC1CCN(CCC(F)(F)F)CC1 ZINC000451390340 388268833 /nfs/dbraw/zinc/26/88/33/388268833.db2.gz ZRVDFWTURPIZGK-UHFFFAOYSA-N 0 3 213.218 2.620 20 0 BFADHN COc1ccnc(CN[C@@H]2CCC23CCCC3)c1 ZINC000451454303 388274462 /nfs/dbraw/zinc/27/44/62/388274462.db2.gz IABAHWWZVWNTPP-CQSZACIVSA-N 0 3 246.354 2.903 20 0 BFADHN CCc1ccc(NC(=O)/C=C\CN(C)C)cc1C ZINC000492322273 388341435 /nfs/dbraw/zinc/34/14/35/388341435.db2.gz VMKVFFVPOJBXKP-SREVYHEPSA-N 0 3 246.354 2.614 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1ccc(Cl)cn1 ZINC000276162588 388347450 /nfs/dbraw/zinc/34/74/50/388347450.db2.gz RBAUMESTVRHSMJ-GUBZILKMSA-N 0 3 242.750 2.809 20 0 BFADHN CC(C)=CCCNCc1cnn(C(C)C)c1 ZINC000276666462 388350634 /nfs/dbraw/zinc/35/06/34/388350634.db2.gz XRAXFJSWVVGEKG-UHFFFAOYSA-N 0 3 221.348 2.910 20 0 BFADHN OCC1(CCNCc2cscc2Cl)CC1 ZINC000648781260 388350741 /nfs/dbraw/zinc/35/07/41/388350741.db2.gz BOFUZNVBUAQTPE-UHFFFAOYSA-N 0 3 245.775 2.654 20 0 BFADHN CC[C@H](O)CNCc1ccc(Cl)cc1C ZINC000234066848 388353655 /nfs/dbraw/zinc/35/36/55/388353655.db2.gz QPPWLDPWMWRHBZ-LBPRGKRZSA-N 0 3 227.735 2.509 20 0 BFADHN Cc1cc(C)nc(NC[C@@H]2CCSC2)c1 ZINC000278293014 388354680 /nfs/dbraw/zinc/35/46/80/388354680.db2.gz BNRYJIBVRRUQIW-NSHDSACASA-N 0 3 222.357 2.863 20 0 BFADHN CCCCN[C@H](C)c1cc(C(=O)OC)co1 ZINC000278308334 388355410 /nfs/dbraw/zinc/35/54/10/388355410.db2.gz SRBVCDNIEDECRN-SECBINFHSA-N 0 3 225.288 2.517 20 0 BFADHN CCc1ncc(CN[C@H](C)CC2CCC2)o1 ZINC000648789527 388357898 /nfs/dbraw/zinc/35/78/98/388357898.db2.gz JZUYZVAPWLHZML-SNVBAGLBSA-N 0 3 222.332 2.905 20 0 BFADHN CO[C@@H](CN[C@H]1CCCc2occc21)C1CC1 ZINC000293677249 388358004 /nfs/dbraw/zinc/35/80/04/388358004.db2.gz KCVUQNHRPBUEQJ-JSGCOSHPSA-N 0 3 235.327 2.672 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1conc1C ZINC000293690942 388359102 /nfs/dbraw/zinc/35/91/02/388359102.db2.gz WHJCFYKRDOUSOQ-ZJUUUORDSA-N 0 3 210.321 2.897 20 0 BFADHN COCC1(NCc2ccccc2F)CCCC1 ZINC000516585385 388359325 /nfs/dbraw/zinc/35/93/25/388359325.db2.gz PVOGUGKBXNACAB-UHFFFAOYSA-N 0 3 237.318 2.875 20 0 BFADHN Cc1nocc1CN[C@@H](C)Cc1ccccc1F ZINC000293692663 388359862 /nfs/dbraw/zinc/35/98/62/388359862.db2.gz WKJJPRDHVBUJKU-JTQLQIEISA-N 0 3 248.301 2.843 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@H](O)C2(C)C)s1 ZINC000163398296 388362038 /nfs/dbraw/zinc/36/20/38/388362038.db2.gz OIGHXOIABZWWTM-RYUDHWBXSA-N 0 3 239.384 2.560 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H](O)C2(C)C)c(C)s1 ZINC000163404208 388362180 /nfs/dbraw/zinc/36/21/80/388362180.db2.gz YYMXTBRSCOPPHA-NEPJUHHUSA-N 0 3 239.384 2.614 20 0 BFADHN CC[C@@H](NCCc1cn[nH]c1)c1ccccc1F ZINC000289913423 388363859 /nfs/dbraw/zinc/36/38/59/388363859.db2.gz UNNXYPMFAPMACM-CQSZACIVSA-N 0 3 247.317 2.832 20 0 BFADHN Cc1cc(F)ccc1CN[C@]1(C)CCO[C@H]1C ZINC000235448589 388369891 /nfs/dbraw/zinc/36/98/91/388369891.db2.gz RGFSEGVKGBMSGM-SMDDNHRTSA-N 0 3 237.318 2.791 20 0 BFADHN CC[C@H]1CCCC[C@@H]1CNCc1cnccn1 ZINC000572724947 388370810 /nfs/dbraw/zinc/37/08/10/388370810.db2.gz PFRNOFJZBUOXEO-QWHCGFSZSA-N 0 3 233.359 2.783 20 0 BFADHN CCC[C@H](C)NC(=O)[C@H](C)N(C)CCC(C)C ZINC000193569120 388377054 /nfs/dbraw/zinc/37/70/54/388377054.db2.gz XENRAUQFTKPRSA-STQMWFEESA-N 0 3 242.407 2.658 20 0 BFADHN CC[C@H]1CN(C)CCN1CCc1ccc(C)cc1 ZINC000572801084 388377550 /nfs/dbraw/zinc/37/75/50/388377550.db2.gz MOXJEPPCUHWSSG-INIZCTEOSA-N 0 3 246.398 2.564 20 0 BFADHN CCC[C@](C)(CO)NCc1ccc(F)cc1F ZINC000236793986 388383379 /nfs/dbraw/zinc/38/33/79/388383379.db2.gz DDMQTZYOYDRKTJ-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN CC[C@@H](N)c1cn(CCCC(C)(C)C)nn1 ZINC000300910872 388383568 /nfs/dbraw/zinc/38/35/68/388383568.db2.gz RYEWJDDAJGLZDQ-SNVBAGLBSA-N 0 3 224.352 2.514 20 0 BFADHN c1ccc(CN2CC[C@H]3CCCO[C@@H]3C2)cc1 ZINC000306631261 388385628 /nfs/dbraw/zinc/38/56/28/388385628.db2.gz NAONCAAJZIXQIV-HUUCEWRRSA-N 0 3 231.339 2.688 20 0 BFADHN Cc1nc(C)c(CNC[C@@]2(C)CCCS2)o1 ZINC000327742782 388386084 /nfs/dbraw/zinc/38/60/84/388386084.db2.gz JGDPGKKJAYGYEC-GFCCVEGCSA-N 0 3 240.372 2.667 20 0 BFADHN NCc1cccc(NC(=O)[C@H]2CC23CCCC3)c1 ZINC000646578190 388387230 /nfs/dbraw/zinc/38/72/30/388387230.db2.gz OYDMGQGQJDNTJE-CYBMUJFWSA-N 0 3 244.338 2.664 20 0 BFADHN CCCc1nc(C)c(CN[C@@H](C)CC)o1 ZINC000293387434 388388024 /nfs/dbraw/zinc/38/80/24/388388024.db2.gz IPBGEEFCAJMEIR-VIFPVBQESA-N 0 3 210.321 2.824 20 0 BFADHN CO[C@@H](CNCc1ccccc1Cl)C1CC1 ZINC000293210521 388388397 /nfs/dbraw/zinc/38/83/97/388388397.db2.gz YEBAGAOVUOZFIS-ZDUSSCGKSA-N 0 3 239.746 2.855 20 0 BFADHN CC[C@H](C)[C@@H](C)C(=O)Nc1cccc(CN)c1 ZINC000646579441 388388600 /nfs/dbraw/zinc/38/86/00/388388600.db2.gz GXOOBGJDUGLAFR-WDEREUQCSA-N 0 3 234.343 2.766 20 0 BFADHN CCCC1(CNCc2conc2C)CC1 ZINC000293631945 388390844 /nfs/dbraw/zinc/39/08/44/388390844.db2.gz RDBVBWOAVYCLCZ-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CC/C=C/CNCc1ccc(NC(C)=O)cc1 ZINC000294939880 388406382 /nfs/dbraw/zinc/40/63/82/388406382.db2.gz CFAPKSPKMFQDAS-SNAWJCMRSA-N 0 3 232.327 2.701 20 0 BFADHN CC(C)C1(N[C@@H](C)c2cncs2)CC1 ZINC000293825754 388391610 /nfs/dbraw/zinc/39/16/10/388391610.db2.gz WRKGILVXFORWIW-VIFPVBQESA-N 0 3 210.346 2.982 20 0 BFADHN COCC[C@H](C)NCc1cccc(C)c1F ZINC000294995341 388406464 /nfs/dbraw/zinc/40/64/64/388406464.db2.gz HWYTYNANEWPRHJ-NSHDSACASA-N 0 3 225.307 2.649 20 0 BFADHN CC[C@H](NCc1cnccc1OC)C(C)C ZINC000294073752 388394243 /nfs/dbraw/zinc/39/42/43/388394243.db2.gz HZMWJGUEEJPLKT-LBPRGKRZSA-N 0 3 222.332 2.614 20 0 BFADHN Cc1nocc1CN[C@H]1CCCC[C@H]1C ZINC000294097993 388394962 /nfs/dbraw/zinc/39/49/62/388394962.db2.gz MCQRCCGAXZFBQP-SKDRFNHKSA-N 0 3 208.305 2.651 20 0 BFADHN FC1(F)CCC[C@@H](CNCc2ccon2)C1 ZINC000300833546 388397095 /nfs/dbraw/zinc/39/70/95/388397095.db2.gz HQZGOYDWFSDDMS-SECBINFHSA-N 0 3 230.258 2.590 20 0 BFADHN c1c(CN[C@@H]2CCC[C@H]3C[C@H]32)nc2ccccn12 ZINC000572987524 388402386 /nfs/dbraw/zinc/40/23/86/388402386.db2.gz XIXHNMLEODJTLI-IACUBPJLSA-N 0 3 241.338 2.613 20 0 BFADHN OC[C@H]1C[C@@H](N[C@H]2CCSc3ccccc32)C1 ZINC000631668264 388402634 /nfs/dbraw/zinc/40/26/34/388402634.db2.gz KSPJWPFLURCNEI-LOWVWBTDSA-N 0 3 249.379 2.584 20 0 BFADHN Cc1sccc1CN[C@@H]1CCOC1(C)C ZINC000294741691 388403885 /nfs/dbraw/zinc/40/38/85/388403885.db2.gz HFXVLUKLQKUZGG-LLVKDONJSA-N 0 3 225.357 2.714 20 0 BFADHN CC[C@](C)(CNCc1ccsc1)OC ZINC000322631355 388441832 /nfs/dbraw/zinc/44/18/32/388441832.db2.gz RDFVRVUGUGNZGR-LLVKDONJSA-N 0 3 213.346 2.653 20 0 BFADHN CC[C@@](C)(CNCc1ccccc1F)OC ZINC000322642349 388442699 /nfs/dbraw/zinc/44/26/99/388442699.db2.gz CDFQQDQLJRURFO-ZDUSSCGKSA-N 0 3 225.307 2.730 20 0 BFADHN C[C@H](NCCCCCF)c1nccs1 ZINC000308553490 388442734 /nfs/dbraw/zinc/44/27/34/388442734.db2.gz RATFQRWDPXLSQC-VIFPVBQESA-N 0 3 216.325 2.934 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@@H](C)CC(F)(F)F ZINC000573981738 388442886 /nfs/dbraw/zinc/44/28/86/388442886.db2.gz VUAVJZCAKNSPOW-CBAPKCEASA-N 0 3 247.264 2.777 20 0 BFADHN CN(C)CC(C)(C)NCc1sccc1Cl ZINC000308609322 388444290 /nfs/dbraw/zinc/44/42/90/388444290.db2.gz RXHWNAKTAMKMNF-UHFFFAOYSA-N 0 3 246.807 2.831 20 0 BFADHN C[C@H]1C[C@@H](NCc2cc(F)cc(F)c2)CS1 ZINC000334851957 388447435 /nfs/dbraw/zinc/44/74/35/388447435.db2.gz DIUISISDNSOPCC-QPUJVOFHSA-N 0 3 243.322 2.948 20 0 BFADHN CCn1ccnc1CN[C@]1(C)CCCC[C@H]1C ZINC000308724961 388448367 /nfs/dbraw/zinc/44/83/67/388448367.db2.gz TWDGNDYGPHSTKI-TZMCWYRMSA-N 0 3 235.375 2.961 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc3ncccc3c2)CO1 ZINC000335433855 388450867 /nfs/dbraw/zinc/45/08/67/388450867.db2.gz GPWNQUFFRMUYCC-BXUZGUMPSA-N 0 3 242.322 2.502 20 0 BFADHN COc1cc(CN2[C@H](C)C[C@H]2C)ccc1C ZINC000525997008 388453599 /nfs/dbraw/zinc/45/35/99/388453599.db2.gz LAINEUZVEMYVRU-VXGBXAGGSA-N 0 3 219.328 2.986 20 0 BFADHN FC1(CNCc2ccc3ncccc3c2)CC1 ZINC000525999343 388454191 /nfs/dbraw/zinc/45/41/91/388454191.db2.gz VPEVQJODLJEJLN-UHFFFAOYSA-N 0 3 230.286 2.827 20 0 BFADHN Cc1nocc1CNC[C@H]1CCCC[C@@H]1C ZINC000339205704 388480970 /nfs/dbraw/zinc/48/09/70/388480970.db2.gz VDSFCHODMJMCIU-CMPLNLGQSA-N 0 3 222.332 2.899 20 0 BFADHN CCCn1cc(CNCCSC(C)C)cn1 ZINC000336679801 388462117 /nfs/dbraw/zinc/46/21/17/388462117.db2.gz XCZTUODGSPYMBY-UHFFFAOYSA-N 0 3 241.404 2.524 20 0 BFADHN Cc1c[nH]nc1CNCC(C)(C)C(C)(C)C ZINC000336679540 388462643 /nfs/dbraw/zinc/46/26/43/388462643.db2.gz NRNZXSBFTMXHDL-UHFFFAOYSA-N 0 3 223.364 2.880 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1cn(C(C)C)nn1 ZINC000336688684 388463701 /nfs/dbraw/zinc/46/37/01/388463701.db2.gz GGPUCJDPNDQFAU-NEPJUHHUSA-N 0 3 238.379 2.773 20 0 BFADHN Cc1nnsc1CNCC(C(C)C)C(C)C ZINC000309762221 388464091 /nfs/dbraw/zinc/46/40/91/388464091.db2.gz MVHYAYKYRRLGHZ-UHFFFAOYSA-N 0 3 241.404 2.864 20 0 BFADHN Cc1csc([C@H](C)N[C@H]2CSC[C@H]2C)n1 ZINC000336719199 388467490 /nfs/dbraw/zinc/46/74/90/388467490.db2.gz YYTBJEWSEWBHJO-JEZHCXPESA-N 0 3 242.413 2.854 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2CSC[C@@H]2C)n1 ZINC000336719200 388468013 /nfs/dbraw/zinc/46/80/13/388468013.db2.gz YYTBJEWSEWBHJO-UJNFCWOMSA-N 0 3 242.413 2.854 20 0 BFADHN CC[C@@H](NCCCCSC)c1ccn(C)n1 ZINC000336726340 388470102 /nfs/dbraw/zinc/47/01/02/388470102.db2.gz GHSBMLFSVDZHDV-LLVKDONJSA-N 0 3 241.404 2.604 20 0 BFADHN CC1CCC(N(Cc2cncn2C)C2CC2)CC1 ZINC000177014202 388470897 /nfs/dbraw/zinc/47/08/97/388470897.db2.gz RDAMOPMRXMAWPG-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN CCCN(CC[C@@H](C)O)Cc1ccccc1F ZINC000649266748 388477443 /nfs/dbraw/zinc/47/74/43/388477443.db2.gz IIDMSMPHZQZFNN-GFCCVEGCSA-N 0 3 239.334 2.809 20 0 BFADHN CCN(Cc1cc(C)c(C(=O)OC)o1)C(C)C ZINC000194095285 388477653 /nfs/dbraw/zinc/47/76/53/388477653.db2.gz ZAQNUXKRFLEEJD-UHFFFAOYSA-N 0 3 239.315 2.605 20 0 BFADHN CCCCCN(Cc1cncn1C)C(C)C ZINC000177251760 388478184 /nfs/dbraw/zinc/47/81/84/388478184.db2.gz DNINZIJGTBYTCK-UHFFFAOYSA-N 0 3 223.364 2.821 20 0 BFADHN C[C@H]1[C@@H](O)CCCN1Cc1ccc2c(c1)CCC2 ZINC000295017753 388407416 /nfs/dbraw/zinc/40/74/16/388407416.db2.gz MWCRNWYESUAPLV-LRDDRELGSA-N 0 3 245.366 2.521 20 0 BFADHN CCOC(=O)CN1CCCCC[C@H]1CC(C)C ZINC000524894449 388412815 /nfs/dbraw/zinc/41/28/15/388412815.db2.gz KYFZJLLHIKTBDM-ZDUSSCGKSA-N 0 3 241.375 2.840 20 0 BFADHN O[C@H](CNCc1cccc(Cl)c1)C1CCC1 ZINC000316486695 388415094 /nfs/dbraw/zinc/41/50/94/388415094.db2.gz VCYLSGFGEVVKGC-CYBMUJFWSA-N 0 3 239.746 2.591 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](O)C2CCC2)cs1 ZINC000316847212 388422282 /nfs/dbraw/zinc/42/22/82/388422282.db2.gz XJCMXHPLYZCWHI-GWCFXTLKSA-N 0 3 239.384 2.868 20 0 BFADHN CCc1cc(N[C@@H](CO)c2ccccc2)ccn1 ZINC000307773921 388425803 /nfs/dbraw/zinc/42/58/03/388425803.db2.gz GEDQWWPGRMLIHQ-HNNXBMFYSA-N 0 3 242.322 2.790 20 0 BFADHN CCOCCCCN[C@@H](C)c1ccoc1 ZINC000166639489 388429304 /nfs/dbraw/zinc/42/93/04/388429304.db2.gz MVQOWQIMSIZHPD-NSHDSACASA-N 0 3 211.305 2.747 20 0 BFADHN CCOCCCCN[C@H](C)c1ccoc1 ZINC000166639596 388429957 /nfs/dbraw/zinc/42/99/57/388429957.db2.gz MVQOWQIMSIZHPD-LLVKDONJSA-N 0 3 211.305 2.747 20 0 BFADHN CCOC1CCN(Cc2ccc(OC)cc2)CC1 ZINC000193782899 388431156 /nfs/dbraw/zinc/43/11/56/388431156.db2.gz UAWLRTWIRVBYAY-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@@H]1C[C@H](CN2CCC[C@H](F)C2)CCO1 ZINC000573212760 388432834 /nfs/dbraw/zinc/43/28/34/388432834.db2.gz HPFKVEYBUABNJY-FRRDWIJNSA-N 0 3 229.339 2.626 20 0 BFADHN Cc1noc(C)c1CN1CC[C@@H](C)[C@@H](C)C1 ZINC000245894197 388433929 /nfs/dbraw/zinc/43/39/29/388433929.db2.gz KDUAHWUNNSCQKK-ZJUUUORDSA-N 0 3 222.332 2.769 20 0 BFADHN C[C@]1(O)CCCN([C@H]2CCc3ccc(F)cc32)C1 ZINC000245914922 388434534 /nfs/dbraw/zinc/43/45/34/388434534.db2.gz MZZYETWYCJTMKL-GJZGRUSLSA-N 0 3 249.329 2.660 20 0 BFADHN CO[C@H]1C[C@H](NCc2cccc(F)c2)C12CCC2 ZINC000308341059 388435680 /nfs/dbraw/zinc/43/56/80/388435680.db2.gz BSMDXESJWMJATF-KBPBESRZSA-N 0 3 249.329 2.873 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1cncc(F)c1)OC ZINC000322500189 388436543 /nfs/dbraw/zinc/43/65/43/388436543.db2.gz FVHUEFOZHJZUGD-MFKMUULPSA-N 0 3 240.322 2.686 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2cccnc2)C12CCC2 ZINC000308368341 388436596 /nfs/dbraw/zinc/43/65/96/388436596.db2.gz NABRFQVAUAOMCT-KWCYVHTRSA-N 0 3 246.354 2.690 20 0 BFADHN CC[C@@H](NCc1nccs1)C1CCC1 ZINC000322509885 388437144 /nfs/dbraw/zinc/43/71/44/388437144.db2.gz GNNQKDFYZVOZDY-SNVBAGLBSA-N 0 3 210.346 2.811 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1ccc(F)cn1)OC ZINC000322566485 388438956 /nfs/dbraw/zinc/43/89/56/388438956.db2.gz YFSRXMICZGCAGT-GWCFXTLKSA-N 0 3 240.322 2.686 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1ccc(F)cn1)OC ZINC000322566491 388439370 /nfs/dbraw/zinc/43/93/70/388439370.db2.gz YFSRXMICZGCAGT-ZWNOBZJWSA-N 0 3 240.322 2.686 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1ccc(OC)cc1 ZINC000517118623 388538169 /nfs/dbraw/zinc/53/81/69/388538169.db2.gz BMERITBYAQAQCB-DDTOSNHZSA-N 0 3 235.327 2.523 20 0 BFADHN CN(Cc1ccccn1)CC1CC(C)(C)C1 ZINC000528519023 388541019 /nfs/dbraw/zinc/54/10/19/388541019.db2.gz LBUJRWNXXMOZAW-UHFFFAOYSA-N 0 3 218.344 2.950 20 0 BFADHN CC[C@@H]1CN(CC)CCN1C/C=C(/C)Cl ZINC000528522031 388542932 /nfs/dbraw/zinc/54/29/32/388542932.db2.gz VCKBXWWDMWZQLK-HUMZTAOYSA-N 0 3 230.783 2.545 20 0 BFADHN CC(C)C[C@@H]1CCCN1CC(=O)c1cccn1C ZINC000354682014 388544687 /nfs/dbraw/zinc/54/46/87/388544687.db2.gz KTMUUHMTUZAQPY-ZDUSSCGKSA-N 0 3 248.370 2.718 20 0 BFADHN CN(Cc1cc(CO)ccc1F)C(C)(C)C ZINC000528539120 388545089 /nfs/dbraw/zinc/54/50/89/388545089.db2.gz SACKAIFSQRTCIM-UHFFFAOYSA-N 0 3 225.307 2.548 20 0 BFADHN CC(C)C[C@H]1CCCN1CC(=O)c1cccn1C ZINC000354682013 388545308 /nfs/dbraw/zinc/54/53/08/388545308.db2.gz KTMUUHMTUZAQPY-CYBMUJFWSA-N 0 3 248.370 2.718 20 0 BFADHN C/C(Cl)=C\CN1CC[C@@H](OC(C)C)C1 ZINC000528538487 388545423 /nfs/dbraw/zinc/54/54/23/388545423.db2.gz RCBIKEJGOQHPLE-LLMHMKPQSA-N 0 3 217.740 2.628 20 0 BFADHN C[C@@H](NCc1ccccc1F)[C@@H]1CCCOC1 ZINC000355777612 388546964 /nfs/dbraw/zinc/54/69/64/388546964.db2.gz SIPNMCXTSYYRCH-DGCLKSJQSA-N 0 3 237.318 2.730 20 0 BFADHN CC(C)O[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC000517365543 388548238 /nfs/dbraw/zinc/54/82/38/388548238.db2.gz GAXAQOLUUXPYIK-CHWSQXEVSA-N 0 3 234.343 2.642 20 0 BFADHN Cc1nocc1CNC(C)(C)C(C)(C)C ZINC000339409248 388482918 /nfs/dbraw/zinc/48/29/18/388482918.db2.gz YAWBOVRLMFCPHO-UHFFFAOYSA-N 0 3 210.321 2.897 20 0 BFADHN CO[C@@H](CNC/C=C\c1ccccc1)C1CC1 ZINC000339526407 388485577 /nfs/dbraw/zinc/48/55/77/388485577.db2.gz BJBLDXHWMGEMAT-KKTNHOPESA-N 0 3 231.339 2.714 20 0 BFADHN C[C@H](NCc1cn2ccsc2n1)[C@@H]1CC1(C)C ZINC000397665147 388488525 /nfs/dbraw/zinc/48/85/25/388488525.db2.gz HXKIJWFTLRMFFI-ONGXEEELSA-N 0 3 249.383 2.920 20 0 BFADHN C[C@H](N[C@H]1CSC[C@H]1C)c1ccncc1F ZINC000340391105 388490108 /nfs/dbraw/zinc/49/01/08/388490108.db2.gz LFJGKQDHLLJMOS-PTRXPTGYSA-N 0 3 240.347 2.623 20 0 BFADHN CC[C@H](NCc1ccc(C)nn1)[C@H]1CC1(C)C ZINC000397786942 388498723 /nfs/dbraw/zinc/49/87/23/388498723.db2.gz PKEOCXDZUIKICQ-OLZOCXBDSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cc([C@H](C)NCCC2(O)CCC2)c(C)o1 ZINC000342810269 388499102 /nfs/dbraw/zinc/49/91/02/388499102.db2.gz QBWBIWNCAVAOOE-NSHDSACASA-N 0 3 237.343 2.852 20 0 BFADHN C/C(Cl)=C/CN1CC2(CCC2)[C@@H]2COC[C@@H]21 ZINC000528521704 388499544 /nfs/dbraw/zinc/49/95/44/388499544.db2.gz QEBUPOMEVOEEOK-GOUGKVJDSA-N 0 3 241.762 2.630 20 0 BFADHN Cc1ccc(CNCCC(C)(C)C2CC2)nn1 ZINC000406087868 388499751 /nfs/dbraw/zinc/49/97/51/388499751.db2.gz BACPGUIGWKVNOD-UHFFFAOYSA-N 0 3 233.359 2.701 20 0 BFADHN Cc1nocc1CNC[C@H]1CCCC1(F)F ZINC000397839149 388504298 /nfs/dbraw/zinc/50/42/98/388504298.db2.gz AZHCOBLILJMZLM-SNVBAGLBSA-N 0 3 230.258 2.508 20 0 BFADHN CC[C@]1(C)CN(CCC(C)(C)C)CCO1 ZINC000135690103 388505180 /nfs/dbraw/zinc/50/51/80/388505180.db2.gz WEHGESHACVRPDZ-CYBMUJFWSA-N 0 3 213.365 2.924 20 0 BFADHN Cc1ccc([C@H](C)NCC2=CCN(C)CC2)o1 ZINC000344298242 388505714 /nfs/dbraw/zinc/50/57/14/388505714.db2.gz NDSKXQFOPJMXND-LBPRGKRZSA-N 0 3 234.343 2.501 20 0 BFADHN Cc1csc(CN[C@H](C)c2cn[nH]c2)c1 ZINC000344648989 388508470 /nfs/dbraw/zinc/50/84/70/388508470.db2.gz YLMQPJSRQJKGMI-SECBINFHSA-N 0 3 221.329 2.630 20 0 BFADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1cncn1C ZINC000548075129 388508483 /nfs/dbraw/zinc/50/84/83/388508483.db2.gz MVOSHVWCPUIGAO-JSGCOSHPSA-N 0 3 235.375 2.821 20 0 BFADHN CC(C)C[C@H](C)N[C@H]1C[C@H](C)n2ccnc21 ZINC000345350547 388511701 /nfs/dbraw/zinc/51/17/01/388511701.db2.gz HUKXMBXLWXUDEO-SRVKXCTJSA-N 0 3 221.348 2.913 20 0 BFADHN CC(C)(C)C(C)(C)CN[C@H]1CCn2ccnc21 ZINC000345348579 388512301 /nfs/dbraw/zinc/51/23/01/388512301.db2.gz MOZSFOKKDMNJFJ-NSHDSACASA-N 0 3 235.375 2.990 20 0 BFADHN CC(C)(C)C(C)(C)CN[C@@H]1CCn2ccnc21 ZINC000345348576 388512452 /nfs/dbraw/zinc/51/24/52/388512452.db2.gz MOZSFOKKDMNJFJ-LLVKDONJSA-N 0 3 235.375 2.990 20 0 BFADHN CC(C)CC[C@@H](C)N[C@@H]1CCn2ccnc21 ZINC000345354903 388514001 /nfs/dbraw/zinc/51/40/01/388514001.db2.gz SJTBBIRBWJABAY-VXGBXAGGSA-N 0 3 221.348 2.742 20 0 BFADHN CSCCCCN[C@H]1C[C@H](C)n2ccnc21 ZINC000345363794 388514133 /nfs/dbraw/zinc/51/41/33/388514133.db2.gz WNBJMCJMVPUEGQ-QWRGUYRKSA-N 0 3 239.388 2.622 20 0 BFADHN Cc1cc(F)ccc1CNC[C@H]1CC[C@H](C)O1 ZINC000398051058 388515615 /nfs/dbraw/zinc/51/56/15/388515615.db2.gz WFNXIVMSOFHGIX-SMDDNHRTSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2cc(F)cc(F)c2)O1 ZINC000398065227 388516444 /nfs/dbraw/zinc/51/64/44/388516444.db2.gz DCQJCGYZKYKJHJ-ZANVPECISA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H]1CC[C@H](CNCc2cc(F)cc(F)c2)O1 ZINC000398065222 388516489 /nfs/dbraw/zinc/51/64/89/388516489.db2.gz DCQJCGYZKYKJHJ-TVQRCGJNSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@@H](C)CC[C@H]2C)o1 ZINC000398053014 388516979 /nfs/dbraw/zinc/51/69/79/388516979.db2.gz GMOKEYDGFAEWAZ-OPQQBVKSSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1nsc(C)c1CN1CCC(CF)CC1 ZINC000516875418 388521036 /nfs/dbraw/zinc/52/10/36/388521036.db2.gz PCRYLDXDONUQNX-UHFFFAOYSA-N 0 3 242.363 2.941 20 0 BFADHN C/C(Cl)=C/CN1CCC(c2c[nH]cn2)CC1 ZINC000528427171 388523474 /nfs/dbraw/zinc/52/34/74/388523474.db2.gz VJMADSBYRNPTPW-SGAXSIHGSA-N 0 3 239.750 2.732 20 0 BFADHN CC(C)N(Cc1cc(CO)ccc1F)C(C)C ZINC000528429164 388523740 /nfs/dbraw/zinc/52/37/40/388523740.db2.gz GAWRCNRTMUSIJY-UHFFFAOYSA-N 0 3 239.334 2.937 20 0 BFADHN COCC1(C)CCN(C/C=C(/C)Cl)CC1 ZINC000528430307 388524080 /nfs/dbraw/zinc/52/40/80/388524080.db2.gz IZBZEMDHZCWZPE-WCIBSUBMSA-N 0 3 231.767 2.878 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1CCc2cc(F)ccc21 ZINC000577821112 388524503 /nfs/dbraw/zinc/52/45/03/388524503.db2.gz AXFBIHUQLMBUED-MELADBBJSA-N 0 3 235.302 2.580 20 0 BFADHN CCOc1ccccc1OCCN1[C@@H](C)C[C@@H]1C ZINC000548424442 388535515 /nfs/dbraw/zinc/53/55/15/388535515.db2.gz IUPRKQQGLFXYOS-STQMWFEESA-N 0 3 249.354 2.947 20 0 BFADHN CC(C)n1ccc(CN[C@H](C)[C@H]2C[C@H]2C)n1 ZINC000521578912 388617022 /nfs/dbraw/zinc/61/70/22/388617022.db2.gz RXHFBJQNNKPYLM-WZRBSPASSA-N 0 3 221.348 2.598 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1nc(C)c(C)o1 ZINC000185627335 388589131 /nfs/dbraw/zinc/58/91/31/388589131.db2.gz FEZRNFMAKHCKKP-BXKDBHETSA-N 0 3 222.332 2.912 20 0 BFADHN CCc1cc(N(CC)C[C@@H]2CCOC2)ccn1 ZINC000649306937 388591583 /nfs/dbraw/zinc/59/15/83/388591583.db2.gz CFENBXYWCCKLPT-LBPRGKRZSA-N 0 3 234.343 2.507 20 0 BFADHN C/C=C/C[C@H](CO)NCc1coc2ccccc12 ZINC000185817740 388591661 /nfs/dbraw/zinc/59/16/61/388591661.db2.gz YSNLHGGDKNVCSH-YWVDXFKGSA-N 0 3 245.322 2.850 20 0 BFADHN CCC(=O)CCCN1CC[C@@H](c2ccco2)C1 ZINC000649307558 388594073 /nfs/dbraw/zinc/59/40/73/388594073.db2.gz AVHBLTJHTAILGO-GFCCVEGCSA-N 0 3 235.327 2.828 20 0 BFADHN C[C@H]1CCC[C@H](CCN2CCO[C@@H](C)C2)C1 ZINC000549936463 388595741 /nfs/dbraw/zinc/59/57/41/388595741.db2.gz VQTZONGJDCOZBS-MELADBBJSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1CCC[C@H](C)[C@H]1NCc1cocn1 ZINC000245924234 388610248 /nfs/dbraw/zinc/61/02/48/388610248.db2.gz RRZJOXMZHHRRFC-IAZYJMLFSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1C[C@H](NCc2cocn2)C[C@@H](C)C1 ZINC000245981109 388612661 /nfs/dbraw/zinc/61/26/61/388612661.db2.gz YFZOCKUGRODFCI-URLYPYJESA-N 0 3 208.305 2.589 20 0 BFADHN CC1(C)CN([C@@H]2C=CCCCCC2)CCO1 ZINC000550680716 388613464 /nfs/dbraw/zinc/61/34/64/388613464.db2.gz PUKVUTHIERRAAN-CYBMUJFWSA-N 0 3 223.360 2.986 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@@H](C)CC1)c1nccn1C ZINC000246059242 388614570 /nfs/dbraw/zinc/61/45/70/388614570.db2.gz QWVRRXFQZLAXGV-IJLUTSLNSA-N 0 3 221.348 2.649 20 0 BFADHN CSC[C@@H](C)N[C@H](C)c1cn2ccccc2n1 ZINC000548713477 388554100 /nfs/dbraw/zinc/55/41/00/388554100.db2.gz LUQFQMYXIHVOIP-GHMZBOCLSA-N 0 3 249.383 2.736 20 0 BFADHN COC[C@@H](N[C@@H]1CSc2ccccc21)C1CC1 ZINC000358343781 388554390 /nfs/dbraw/zinc/55/43/90/388554390.db2.gz UQEZECKNNCDQLX-CHWSQXEVSA-N 0 3 249.379 2.848 20 0 BFADHN CC(C)(O)CCN[C@H](c1ccccn1)C1CCC1 ZINC000528656562 388555394 /nfs/dbraw/zinc/55/53/94/388555394.db2.gz HRPSVXHDKKZWIH-AWEZNQCLSA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@H](CN[C@H](c1ccccn1)C1CCC1)OC ZINC000528653010 388555759 /nfs/dbraw/zinc/55/57/59/388555759.db2.gz LBANVYZOMXLWLU-HIFRSBDPSA-N 0 3 248.370 2.937 20 0 BFADHN CC/C=C/CCN(C)[C@@H](C)c1cnn(C)c1 ZINC000184740164 388558580 /nfs/dbraw/zinc/55/85/80/388558580.db2.gz RCXXSSFAPRDSSN-SYTKJHMZSA-N 0 3 221.348 2.769 20 0 BFADHN c1ccc(-c2ncc(CNC3CCC3)cn2)cc1 ZINC000520975209 388560150 /nfs/dbraw/zinc/56/01/50/388560150.db2.gz DKBCIOWSAVAPOT-UHFFFAOYSA-N 0 3 239.322 2.786 20 0 BFADHN Cc1nnc(CN2CC[C@H](C)[C@@H]3CCCC[C@H]32)o1 ZINC000548938468 388569830 /nfs/dbraw/zinc/56/98/30/388569830.db2.gz XCHMOHAUCRZORA-WCFLWFBJSA-N 0 3 249.358 2.779 20 0 BFADHN CC[C@H](NCc1ccc(F)c(Cl)c1)[C@H](C)O ZINC000386745757 388576216 /nfs/dbraw/zinc/57/62/16/388576216.db2.gz FHTYAYNUFNCMSJ-UFBFGSQYSA-N 0 3 245.725 2.728 20 0 BFADHN CC(C)[C@H]1CN(C[C@H]2CCCCO2)CCS1 ZINC000247562667 388665993 /nfs/dbraw/zinc/66/59/93/388665993.db2.gz QZGYJAHIGGSMGV-CHWSQXEVSA-N 0 3 243.416 2.629 20 0 BFADHN c1cncc(CN2CCC[C@@H]3CCC[C@H]32)c1 ZINC000247536701 388666282 /nfs/dbraw/zinc/66/62/82/388666282.db2.gz OSNUXHPOSXFHNI-UONOGXRCSA-N 0 3 216.328 2.846 20 0 BFADHN C[C@@H]1CCN(Cc2cnc3ccccn23)[C@H]1C ZINC000247740537 388669219 /nfs/dbraw/zinc/66/92/19/388669219.db2.gz VXFHBMFPJUNGNZ-NEPJUHHUSA-N 0 3 229.327 2.565 20 0 BFADHN CC(C)CN(Cc1ccco1)C[C@@H]1CCCO1 ZINC000064482404 388670366 /nfs/dbraw/zinc/67/03/66/388670366.db2.gz DTVBUZWQCCJMMP-AWEZNQCLSA-N 0 3 237.343 2.917 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CN1CCO[C@@H](C)C1 ZINC000552119876 388670867 /nfs/dbraw/zinc/67/08/67/388670867.db2.gz HGYIHUBEKNDNKF-HZSPNIEDSA-N 0 3 223.360 2.700 20 0 BFADHN Cc1nnc(CN[C@H]2CCCC2(C)C)n1C1CC1 ZINC000191848628 388671311 /nfs/dbraw/zinc/67/13/11/388671311.db2.gz FXJOETSEXFWFJP-LBPRGKRZSA-N 0 3 248.374 2.590 20 0 BFADHN COCCCOc1cccc(CN2CCCC2)c1 ZINC000125397552 388671740 /nfs/dbraw/zinc/67/17/40/388671740.db2.gz QHFADAVQWOMLKG-UHFFFAOYSA-N 0 3 249.354 2.698 20 0 BFADHN CC[C@H]1CN(C)CCN1Cc1sccc1C ZINC000552137935 388673169 /nfs/dbraw/zinc/67/31/69/388673169.db2.gz UDXUADUXYFXSJD-LBPRGKRZSA-N 0 3 238.400 2.583 20 0 BFADHN CC1=CC[C@H](NCc2ncccc2F)CC1 ZINC000552152220 388674378 /nfs/dbraw/zinc/67/43/78/388674378.db2.gz QJVVHMGXBQTBQX-NSHDSACASA-N 0 3 220.291 2.809 20 0 BFADHN CC[C@@H](C)N1CCO[C@@H](Cc2ccccc2)C1 ZINC000248374450 388677426 /nfs/dbraw/zinc/67/74/26/388677426.db2.gz QMZBQFKCOWHZGP-HIFRSBDPSA-N 0 3 233.355 2.728 20 0 BFADHN CCCN(C)[C@H](C)C(=O)N1[C@@H](C)CCC[C@@H]1C ZINC000246201631 388623021 /nfs/dbraw/zinc/62/30/21/388623021.db2.gz MHVXFGLAOPWCTL-RWMBFGLXSA-N 0 3 240.391 2.506 20 0 BFADHN CC(C)C[C@@H](C)NCc1cnc([C@@H](C)O)s1 ZINC000290200651 388627233 /nfs/dbraw/zinc/62/72/33/388627233.db2.gz CXIQYDICPGGVCV-NXEZZACHSA-N 0 3 242.388 2.721 20 0 BFADHN CC(C)C[C@H](C)NCc1cnc([C@@H](C)O)s1 ZINC000290200654 388628464 /nfs/dbraw/zinc/62/84/64/388628464.db2.gz CXIQYDICPGGVCV-VHSXEESVSA-N 0 3 242.388 2.721 20 0 BFADHN Cc1ccc(CCNCc2cccc(O)c2)o1 ZINC000521815138 388629738 /nfs/dbraw/zinc/62/97/38/388629738.db2.gz JXTYJKGXJILXHC-UHFFFAOYSA-N 0 3 231.295 2.626 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCC[C@@H](CO)C1 ZINC000246488530 388639362 /nfs/dbraw/zinc/63/93/62/388639362.db2.gz AYDVWVWCUOYJDH-VXGBXAGGSA-N 0 3 237.318 2.591 20 0 BFADHN CCN(CC)Cc1nc2ccccc2nc1C ZINC000061757332 388644444 /nfs/dbraw/zinc/64/44/44/388644444.db2.gz WKSFOUPODKTFAT-UHFFFAOYSA-N 0 3 229.327 2.780 20 0 BFADHN Cc1nnc([C@H](C)N2CC[C@@H](C)C[C@H](C)C2)o1 ZINC000246596791 388644833 /nfs/dbraw/zinc/64/48/33/388644833.db2.gz GYBDOVMJOUKSLT-VWYCJHECSA-N 0 3 237.347 2.807 20 0 BFADHN COC(C)(C)CCN(C)Cc1cccc(O)c1 ZINC000530335612 388650437 /nfs/dbraw/zinc/65/04/37/388650437.db2.gz YEWCPVUPDQGDQS-UHFFFAOYSA-N 0 3 237.343 2.639 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CCCC2)o1 ZINC000123934296 388659381 /nfs/dbraw/zinc/65/93/81/388659381.db2.gz UPIPAJBMAMETNU-ZYHUDNBSSA-N 0 3 205.301 2.999 20 0 BFADHN Cc1ccc(CN2CCN(C3CC3)CC2)cc1C ZINC000127931966 388734244 /nfs/dbraw/zinc/73/42/44/388734244.db2.gz VTMVYURLXNYSMM-UHFFFAOYSA-N 0 3 244.382 2.583 20 0 BFADHN CCn1ccnc1CN(C)[C@@H]1CCCC[C@H]1C ZINC000249857874 388734437 /nfs/dbraw/zinc/73/44/37/388734437.db2.gz DYCDORVEUDJCMY-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN CS[C@H](C)CNCc1sc(C)nc1C ZINC000128050849 388737281 /nfs/dbraw/zinc/73/72/81/388737281.db2.gz AMLDHCOQRBYLRJ-SSDOTTSWSA-N 0 3 230.402 2.601 20 0 BFADHN Cc1ccc(C)c(CN2CCOC[C@@H]2C2CC2)c1 ZINC000090009124 388744168 /nfs/dbraw/zinc/74/41/68/388744168.db2.gz KYNQUKNXCFNGME-MRXNPFEDSA-N 0 3 245.366 2.914 20 0 BFADHN CCN(Cc1cccc(OC)c1OC)C1CC1 ZINC000194968922 388747510 /nfs/dbraw/zinc/74/75/10/388747510.db2.gz CMAHGWQUHFPAMA-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN CCN(Cc1cnoc1C)[C@@H](C)C(C)C ZINC000128375592 388752256 /nfs/dbraw/zinc/75/22/56/388752256.db2.gz VYDUUPJPSNHQFE-JTQLQIEISA-N 0 3 210.321 2.849 20 0 BFADHN CC(C)N(C[C@H]1COc2ccccc2O1)C1CC1 ZINC000642634437 388752825 /nfs/dbraw/zinc/75/28/25/388752825.db2.gz DSXDHRZCOLKGSH-ZDUSSCGKSA-N 0 3 247.338 2.699 20 0 BFADHN Cn1cc(CN2CCC2(C)C)c(C(C)(C)C)n1 ZINC000539381546 388755498 /nfs/dbraw/zinc/75/54/98/388755498.db2.gz DYGOPVSSFHMPBY-UHFFFAOYSA-N 0 3 235.375 2.702 20 0 BFADHN C[C@H](N[C@@H]1CC1(C)C)c1cncc(F)c1 ZINC000225774304 388690190 /nfs/dbraw/zinc/69/01/90/388690190.db2.gz WVJBWFLKRKXODU-GZMMTYOYSA-N 0 3 208.280 2.670 20 0 BFADHN CCc1ccc(CN2CC[C@@H]2COC)s1 ZINC000552314079 388691753 /nfs/dbraw/zinc/69/17/53/388691753.db2.gz GAAKNARSUJVVGP-SNVBAGLBSA-N 0 3 225.357 2.531 20 0 BFADHN CC[C@@H](C)CN1CCO[C@H](Cc2ccccc2)C1 ZINC000538476726 388691977 /nfs/dbraw/zinc/69/19/77/388691977.db2.gz KYUXPTVUGZIYLJ-GDBMZVCRSA-N 0 3 247.382 2.976 20 0 BFADHN CC[C@@H](C)N(C)Cc1cc(OC)ccc1OC ZINC000195004244 388693036 /nfs/dbraw/zinc/69/30/36/388693036.db2.gz COYKQJOOHZGLOM-LLVKDONJSA-N 0 3 237.343 2.934 20 0 BFADHN CCN(C)Cc1cccn1CCC(C)C ZINC000126327896 388693451 /nfs/dbraw/zinc/69/34/51/388693451.db2.gz JBKSUIMGGMSBRJ-UHFFFAOYSA-N 0 3 208.349 2.986 20 0 BFADHN CCN(Cc1ccc([S@@](C)=O)cc1)C(C)C ZINC000126388281 388694782 /nfs/dbraw/zinc/69/47/82/388694782.db2.gz LLCNCOXMGBRIPS-INIZCTEOSA-N 0 3 239.384 2.654 20 0 BFADHN CCN(Cc1ccc([S@](C)=O)cc1)C(C)C ZINC000126388080 388694826 /nfs/dbraw/zinc/69/48/26/388694826.db2.gz LLCNCOXMGBRIPS-MRXNPFEDSA-N 0 3 239.384 2.654 20 0 BFADHN CC1CCC(N(Cc2cnn(C)c2)C2CC2)CC1 ZINC000195090267 388694912 /nfs/dbraw/zinc/69/49/12/388694912.db2.gz VCUMIBQQYNAGSN-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN CCCn1cc(CN(CC)C(C)C)cn1 ZINC000126366805 388695220 /nfs/dbraw/zinc/69/52/20/388695220.db2.gz ZNBPUGWGZHZQLD-UHFFFAOYSA-N 0 3 209.337 2.523 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)CCc1ccccc1 ZINC000126469616 388695975 /nfs/dbraw/zinc/69/59/75/388695975.db2.gz DSMMSQWUKLGCRZ-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN COc1ccc(OC)c(CN2CCC[C@@H](C)C2)c1 ZINC000126604429 388697975 /nfs/dbraw/zinc/69/79/75/388697975.db2.gz RHXBLSWBUSYHSM-GFCCVEGCSA-N 0 3 249.354 2.936 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cccnc1OC ZINC000193343336 388701434 /nfs/dbraw/zinc/70/14/34/388701434.db2.gz CPMVEPMRMPCUDS-LLVKDONJSA-N 0 3 222.332 2.568 20 0 BFADHN COc1ncccc1CN1CC[C@@H](C)[C@@H](C)C1 ZINC000193460387 388705272 /nfs/dbraw/zinc/70/52/72/388705272.db2.gz DFHSERUEULQFIK-NEPJUHHUSA-N 0 3 234.343 2.568 20 0 BFADHN CCn1nccc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC000126862784 388706517 /nfs/dbraw/zinc/70/65/17/388706517.db2.gz HOFVJODTSRWDQJ-STQMWFEESA-N 0 3 233.359 2.525 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@H](C)[C@@H]2CO)o1 ZINC000249062773 388707040 /nfs/dbraw/zinc/70/70/40/388707040.db2.gz IDYDFPRIXFEGAH-XCCSTKFXSA-N 0 3 249.354 2.606 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@@H](C)[C@@H]2CO)o1 ZINC000249062765 388707391 /nfs/dbraw/zinc/70/73/91/388707391.db2.gz IDYDFPRIXFEGAH-RFHZTLPTSA-N 0 3 249.354 2.606 20 0 BFADHN CN(CCOC(C)(C)C)CCc1ccccc1 ZINC000065950725 388707457 /nfs/dbraw/zinc/70/74/57/388707457.db2.gz RFQPTGBQCMIWFT-UHFFFAOYSA-N 0 3 235.371 2.976 20 0 BFADHN COc1ccc([C@H](C)NCCCF)c(F)c1 ZINC000126901955 388707745 /nfs/dbraw/zinc/70/77/45/388707745.db2.gz JMPQNPISUFXUJA-VIFPVBQESA-N 0 3 229.270 2.845 20 0 BFADHN CC[C@@H](NCc1ccnc(N)c1)c1cccs1 ZINC000193547261 388708112 /nfs/dbraw/zinc/70/81/12/388708112.db2.gz IFNQKUBRDYSORU-LLVKDONJSA-N 0 3 247.367 2.966 20 0 BFADHN COc1ncccc1CN1CCCC[C@H](C)C1 ZINC000193563109 388709204 /nfs/dbraw/zinc/70/92/04/388709204.db2.gz XFICCPYDCKQBEA-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cccnc1OC ZINC000193563661 388709593 /nfs/dbraw/zinc/70/95/93/388709593.db2.gz LSPCPBVMDAGKEP-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@H](NC[C@H]1CCCS1)c1ccoc1 ZINC000087667665 388710179 /nfs/dbraw/zinc/71/01/79/388710179.db2.gz BEYGAPCMNROHHV-MWLCHTKSSA-N 0 3 211.330 2.826 20 0 BFADHN COc1cccc(CN2C[C@@H](C)C[C@H]2C)c1OC ZINC000538717558 388711025 /nfs/dbraw/zinc/71/10/25/388711025.db2.gz JJUDTLCCYXPTGW-NWDGAFQWSA-N 0 3 249.354 2.934 20 0 BFADHN Cn1ccnc1CN1CCC[C@H]1C1CCCC1 ZINC000195515083 388711100 /nfs/dbraw/zinc/71/11/00/388711100.db2.gz KUCCOTVLDCHRDP-ZDUSSCGKSA-N 0 3 233.359 2.575 20 0 BFADHN CN(CCOCC1CCC1)Cc1cccc(O)c1 ZINC000552470586 388712315 /nfs/dbraw/zinc/71/23/15/388712315.db2.gz ZDBVFXJPJHVFRJ-UHFFFAOYSA-N 0 3 249.354 2.641 20 0 BFADHN CC[C@@H](CNCc1ccno1)c1ccccc1 ZINC000552474608 388712356 /nfs/dbraw/zinc/71/23/56/388712356.db2.gz SMHCGQDSAVCCPA-LBPRGKRZSA-N 0 3 230.311 2.958 20 0 BFADHN COCCCN(C)[C@@H](C)c1ccc(F)cc1F ZINC000127262509 388718224 /nfs/dbraw/zinc/71/82/24/388718224.db2.gz XQZWIOPGJSMCQN-JTQLQIEISA-N 0 3 243.297 2.994 20 0 BFADHN CC[C@@H]1CCCCN1C(=O)CN(CC)C(C)C ZINC000194088792 388722774 /nfs/dbraw/zinc/72/27/74/388722774.db2.gz MUTXOSYICSDVFF-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN Cn1cccc1CN1CCC[C@H]1c1ccc[nH]1 ZINC000127454979 388723496 /nfs/dbraw/zinc/72/34/96/388723496.db2.gz GOQQIQJBVLSWNM-AWEZNQCLSA-N 0 3 229.327 2.690 20 0 BFADHN CC[C@@H]1CN(C[C@H](C)Cc2ccccc2)CCO1 ZINC000249490053 388724178 /nfs/dbraw/zinc/72/41/78/388724178.db2.gz ZGYXAITXWOCLDO-GDBMZVCRSA-N 0 3 247.382 2.976 20 0 BFADHN CCCN(C)Cc1cc(OC)cc2c1O[C@@H](C)C2 ZINC000127520223 388725398 /nfs/dbraw/zinc/72/53/98/388725398.db2.gz JVUOFERNYBXNMX-NSHDSACASA-N 0 3 249.354 2.860 20 0 BFADHN Cc1cccc(N2CCN(CC3CC3)CC2)c1C ZINC000127573683 388726752 /nfs/dbraw/zinc/72/67/52/388726752.db2.gz WWSQPEAMFTTYDS-UHFFFAOYSA-N 0 3 244.382 2.835 20 0 BFADHN CCCc1ccc(CN2CC[C@H](O)[C@@H](C)C2)cc1 ZINC000249549790 388727196 /nfs/dbraw/zinc/72/71/96/388727196.db2.gz HMLQPSDLIIBJDP-BBRMVZONSA-N 0 3 247.382 2.842 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@@H](O)[C@H](C)C1 ZINC000249553363 388727419 /nfs/dbraw/zinc/72/74/19/388727419.db2.gz IVZXEHOMYWHDSS-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H]1CN([C@H]2CCc3ccc(F)cc32)CC[C@H]1O ZINC000249587551 388729469 /nfs/dbraw/zinc/72/94/69/388729469.db2.gz UIMGZUFHBBZXCC-WKPIXPDZSA-N 0 3 249.329 2.516 20 0 BFADHN Cc1cc(CN2CC[C@H](O)[C@@H](C)C2)c(C)s1 ZINC000249583255 388729670 /nfs/dbraw/zinc/72/96/70/388729670.db2.gz RYGDNXSJUCYTDC-ZANVPECISA-N 0 3 239.384 2.568 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@@H](O)[C@H](C)C1 ZINC000249601240 388729826 /nfs/dbraw/zinc/72/98/26/388729826.db2.gz YHQCGHARBHXCKZ-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](NCC(C1CC1)C1CC1)c1nccn1C ZINC000088455578 388731105 /nfs/dbraw/zinc/73/11/05/388731105.db2.gz WCAVQEQKDBTSQJ-SNVBAGLBSA-N 0 3 233.359 2.507 20 0 BFADHN C[C@H](CF)NC/C=C/c1ccc(F)cc1 ZINC000288515014 388760723 /nfs/dbraw/zinc/76/07/23/388760723.db2.gz UBUSHLCKDCRUMR-VMZHVLLKSA-N 0 3 211.255 2.787 20 0 BFADHN CCC[C@@H](C)CN1CCCC[C@H]1C(=O)OCC ZINC000252708040 388772074 /nfs/dbraw/zinc/77/20/74/388772074.db2.gz YYUZUIBGCCBSJK-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN CCO[C@H]1CCN(Cc2ccncc2C)C[C@@H]1C ZINC000648741411 388773054 /nfs/dbraw/zinc/77/30/54/388773054.db2.gz AWBRSXKMXVJFAP-ZFWWWQNUSA-N 0 3 248.370 2.637 20 0 BFADHN CCCN[C@@H](CO)c1cccc(Cl)c1F ZINC000229251012 388782931 /nfs/dbraw/zinc/78/29/31/388782931.db2.gz SBFIUNCCCBAXGT-JTQLQIEISA-N 0 3 231.698 2.512 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCC[C@H]2CCO)o1 ZINC000250119642 388783462 /nfs/dbraw/zinc/78/34/62/388783462.db2.gz VALFJVOAULMBTM-AVGNSLFASA-N 0 3 237.343 2.790 20 0 BFADHN C[C@@H](CF)NC/C=C/c1ccc(F)c(F)c1 ZINC000288537758 388788399 /nfs/dbraw/zinc/78/83/99/388788399.db2.gz WIISWVQVYMZWQS-HPOULIHZSA-N 0 3 229.245 2.926 20 0 BFADHN COc1ccc(CN(C)CC(C)(C)OC)cc1 ZINC000539927700 388788847 /nfs/dbraw/zinc/78/88/47/388788847.db2.gz RGNHUIOCPFNILU-UHFFFAOYSA-N 0 3 237.343 2.552 20 0 BFADHN CC[C@H](NCc1cscc1Cl)[C@H](C)O ZINC000648754259 388814628 /nfs/dbraw/zinc/81/46/28/388814628.db2.gz PCGHQVRZSBXLRK-XVKPBYJWSA-N 0 3 233.764 2.651 20 0 BFADHN CCn1cc(CN2CCC[C@@H](C)[C@@H]2C)cn1 ZINC000092737199 388816873 /nfs/dbraw/zinc/81/68/73/388816873.db2.gz NBXVCERBGYMTIE-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN CCN(Cc1ccc(Cl)cn1)C1CC1 ZINC000554496969 388817489 /nfs/dbraw/zinc/81/74/89/388817489.db2.gz KVVXAOZXWNRCSP-UHFFFAOYSA-N 0 3 210.708 2.719 20 0 BFADHN C[C@H]1OCC[C@@]1(C)NCc1ccc(Cl)o1 ZINC000230151023 388817784 /nfs/dbraw/zinc/81/77/84/388817784.db2.gz HWJVPKRNHZTWRJ-LDYMZIIASA-N 0 3 229.707 2.590 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)Nc1ccccc1CC ZINC000069091411 388823644 /nfs/dbraw/zinc/82/36/44/388823644.db2.gz WJUBFWQXNRYOBJ-LBPRGKRZSA-N 0 3 248.370 2.918 20 0 BFADHN CCCN(C)[C@H](C)C(=O)Nc1ccccc1CC ZINC000069091412 388824627 /nfs/dbraw/zinc/82/46/27/388824627.db2.gz WJUBFWQXNRYOBJ-GFCCVEGCSA-N 0 3 248.370 2.918 20 0 BFADHN CCc1ccc(CCN2CCOC[C@H]2CC)cc1 ZINC000554654568 388826108 /nfs/dbraw/zinc/82/61/08/388826108.db2.gz YZHPQJNJMJNKBT-MRXNPFEDSA-N 0 3 247.382 2.902 20 0 BFADHN C[C@@H]1c2c(F)cccc2CCN1CCCCO ZINC000523448771 388839971 /nfs/dbraw/zinc/83/99/71/388839971.db2.gz JFWQMBVMSDPVAI-LLVKDONJSA-N 0 3 237.318 2.517 20 0 BFADHN CC[C@H]1CCCCCN1Cc1cc[nH]n1 ZINC000093530251 388842357 /nfs/dbraw/zinc/84/23/57/388842357.db2.gz HMKQFKHNUVIYAU-LBPRGKRZSA-N 0 3 207.321 2.564 20 0 BFADHN COC[C@@H](NCCCF)c1cccc(Cl)c1 ZINC000288741784 388855371 /nfs/dbraw/zinc/85/53/71/388855371.db2.gz HJAWIXQWCSZSST-GFCCVEGCSA-N 0 3 245.725 2.977 20 0 BFADHN FCCCN[C@H](c1ccccc1)c1cncnc1 ZINC000541742771 388865794 /nfs/dbraw/zinc/86/57/94/388865794.db2.gz PEYQEUSNYMUKPY-CQSZACIVSA-N 0 3 245.301 2.515 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccoc1)c1cc[nH]c(=O)c1 ZINC000556224800 388870237 /nfs/dbraw/zinc/87/02/37/388870237.db2.gz PRLOSRUCTMVJDA-ZJUUUORDSA-N 0 3 232.283 2.792 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCC2CCOCC2)o1 ZINC000070161051 388876646 /nfs/dbraw/zinc/87/66/46/388876646.db2.gz OBYREKDYLWJVPE-RISCZKNCSA-N 0 3 249.354 2.919 20 0 BFADHN Cc1nnsc1CN1CC2(CCC2)C[C@@H]1C ZINC000565043321 388911611 /nfs/dbraw/zinc/91/16/11/388911611.db2.gz FYMNAGWNAUIKTQ-VIFPVBQESA-N 0 3 237.372 2.611 20 0 BFADHN CCCN(Cc1n[nH]c(C(C)C)n1)C(C)C ZINC000565172674 388921694 /nfs/dbraw/zinc/92/16/94/388921694.db2.gz OLZGFZDIQWEPFK-UHFFFAOYSA-N 0 3 224.352 2.549 20 0 BFADHN c1cc2c(c(CN[C@H]3CCCC34CC4)c1)OCO2 ZINC000565242405 388931450 /nfs/dbraw/zinc/93/14/50/388931450.db2.gz FGOAVBSZMDLFOX-ZDUSSCGKSA-N 0 3 245.322 2.838 20 0 BFADHN c1ccc(CCN2CCOC[C@H]2C2CCC2)cc1 ZINC000565653753 388960566 /nfs/dbraw/zinc/96/05/66/388960566.db2.gz JIMVLZGZDFACJE-INIZCTEOSA-N 0 3 245.366 2.730 20 0 BFADHN C[C@H](c1ccc(C(C)(C)C)cc1)N(C)CCO ZINC000153141116 388943753 /nfs/dbraw/zinc/94/37/53/388943753.db2.gz FCNRSVZDZDPNRK-GFCCVEGCSA-N 0 3 235.371 2.969 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)Cc1ccccc1F ZINC000565474526 388947394 /nfs/dbraw/zinc/94/73/94/388947394.db2.gz GAJQKEFBYUIHNK-ZLKJLUDKSA-N 0 3 237.318 2.524 20 0 BFADHN C[C@@H](CN1CCO[C@H](C)C1)C1CCCCC1 ZINC000565905701 388978502 /nfs/dbraw/zinc/97/85/02/388978502.db2.gz NHVJJQKYCOJXRU-QWHCGFSZSA-N 0 3 225.376 2.924 20 0 BFADHN CC(C)(C)c1ncc(CN[C@H]2[C@@H]3CCC[C@@H]32)cn1 ZINC000565963363 388981411 /nfs/dbraw/zinc/98/14/11/388981411.db2.gz YBOZXRDOGFNGGK-ITGUQSILSA-N 0 3 245.370 2.662 20 0 BFADHN CO[C@H](CNCc1ccc(F)cc1F)C(C)C ZINC000266641473 388997320 /nfs/dbraw/zinc/99/73/20/388997320.db2.gz UNPYHXCKQPQGFS-CYBMUJFWSA-N 0 3 243.297 2.725 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CCCCO1)c1ccco1 ZINC000271932456 389028535 /nfs/dbraw/zinc/02/85/35/389028535.db2.gz KOEXKOMGEPOBDU-SRVKXCTJSA-N 0 3 223.316 2.888 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1cocn1 ZINC000272392375 389031150 /nfs/dbraw/zinc/03/11/50/389031150.db2.gz VCBJXEICAUQIDM-JQWIXIFHSA-N 0 3 208.305 2.733 20 0 BFADHN CO[C@@H](C)CCN[C@H](C)c1cccnc1Cl ZINC000268822395 389004708 /nfs/dbraw/zinc/00/47/08/389004708.db2.gz HMERSYLEAGONPM-VHSXEESVSA-N 0 3 242.750 2.811 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cncc(F)c1)C(C)C ZINC000268987896 389006845 /nfs/dbraw/zinc/00/68/45/389006845.db2.gz QQMNJERHVVEPLX-GXFFZTMASA-N 0 3 240.322 2.542 20 0 BFADHN CO[C@@H](C)CCNCc1cc(Cl)ccc1F ZINC000269870760 389011694 /nfs/dbraw/zinc/01/16/94/389011694.db2.gz MQVUYULHTXBUNK-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN C[C@H](NCCCC(C)(C)CO)c1ccccn1 ZINC000270944821 389016547 /nfs/dbraw/zinc/01/65/47/389016547.db2.gz TZJYGPALTINHNS-LBPRGKRZSA-N 0 3 236.359 2.531 20 0 BFADHN CCc1ccc(CNC[C@@H]2CCO[C@H](C)C2)o1 ZINC000271260299 389020017 /nfs/dbraw/zinc/02/00/17/389020017.db2.gz AKCDALZXQWQIHL-VXGBXAGGSA-N 0 3 237.343 2.747 20 0 BFADHN C[C@@H](CSC(C)(C)C)N[C@@H](C)c1cn[nH]c1 ZINC000271514182 389021458 /nfs/dbraw/zinc/02/14/58/389021458.db2.gz NNLRWHLWTIDKBX-UWVGGRQHSA-N 0 3 241.404 2.981 20 0 BFADHN CC[C@@H](C)CNCc1csc([C@H](C)OC)n1 ZINC000271589384 389023587 /nfs/dbraw/zinc/02/35/87/389023587.db2.gz WOLJOZWMZLCKPG-ZJUUUORDSA-N 0 3 242.388 2.986 20 0 BFADHN COC[C@H](C)CN[C@H](C)c1ccc(Cl)cn1 ZINC000274942805 389050860 /nfs/dbraw/zinc/05/08/60/389050860.db2.gz TVDWVQCMODNUOK-NXEZZACHSA-N 0 3 242.750 2.668 20 0 BFADHN CC[C@@H](C)CN[C@@H](CCOC)c1ccco1 ZINC000272546557 389033555 /nfs/dbraw/zinc/03/35/55/389033555.db2.gz LTMWPDAMAYGZQT-NEPJUHHUSA-N 0 3 225.332 2.993 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cc(OC)ccn1 ZINC000273357013 389039319 /nfs/dbraw/zinc/03/93/19/389039319.db2.gz VZIWGERSBSXQCV-GHMZBOCLSA-N 0 3 222.332 2.614 20 0 BFADHN COc1ccc2c(c1)CN(C[C@@H]1C[C@@H]1C)CC2 ZINC000488294950 533261986 /nfs/dbraw/zinc/26/19/86/533261986.db2.gz MPLWAOSCCYZJTJ-AAEUAGOBSA-N 0 3 231.339 2.709 20 0 BFADHN Cc1cc(CN2CCC3(CCCC3)CC2)nn1C ZINC000487383040 533596625 /nfs/dbraw/zinc/59/66/25/533596625.db2.gz WOJGRLATXPJCQV-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN Cc1cc(CN2CC[C@@H](CC(C)C)C2)nn1C ZINC000487436034 533622731 /nfs/dbraw/zinc/62/27/31/533622731.db2.gz XKGPSQRVZATHNP-ZDUSSCGKSA-N 0 3 235.375 2.597 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@H](C)c2ccoc2)C1(C)C ZINC000300360469 322835056 /nfs/dbraw/zinc/83/50/56/322835056.db2.gz YYPMWQJDSIJXFL-YUSALJHKSA-N 0 3 223.316 2.744 20 0 BFADHN CCCCN1CCN(c2cccc(OC)c2)CC1 ZINC000058339080 132301982 /nfs/dbraw/zinc/30/19/82/132301982.db2.gz KYGRYTQTKKJFRE-UHFFFAOYSA-N 0 3 248.370 2.617 20 0 BFADHN CCCC1CCN(c2ccnc(CO)c2)CC1 ZINC000050905066 132359473 /nfs/dbraw/zinc/35/94/73/132359473.db2.gz FVONVCXKJPDRNU-UHFFFAOYSA-N 0 3 234.343 2.590 20 0 BFADHN CC[C@@H](CCO)NCc1ccc(Cl)s1 ZINC000125774131 133633319 /nfs/dbraw/zinc/63/33/19/133633319.db2.gz GJWIQZXTVDNSHN-QMMMGPOBSA-N 0 3 233.764 2.652 20 0 BFADHN CCCC[C@H](C)[C@@H](C)N[C@@H](C)c1nncn1C ZINC000343505474 133692172 /nfs/dbraw/zinc/69/21/72/133692172.db2.gz FBQZVFSNXMQFHV-TUAOUCFPSA-N 0 3 238.379 2.681 20 0 BFADHN Oc1ccc2c(c1)[C@@H](N[C@@H]1CC13CC3)CCC2 ZINC000335278655 133823617 /nfs/dbraw/zinc/82/36/17/133823617.db2.gz LTPCUAKPFGUMLI-UONOGXRCSA-N 0 3 229.323 2.912 20 0 BFADHN Cc1ccc(CN2C[C@H](C)[C@H]2C)c(C)n1 ZINC000335931123 134011067 /nfs/dbraw/zinc/01/10/67/134011067.db2.gz CBQYGHHCRGXDDC-JOYOIKCWSA-N 0 3 204.317 2.539 20 0 BFADHN Cc1nocc1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000335940780 134017166 /nfs/dbraw/zinc/01/71/66/134017166.db2.gz QAOHABKBBNTQLO-MFKMUULPSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1nccc(CN2[C@H](C)CCC[C@@H]2C)n1 ZINC000335941831 134017799 /nfs/dbraw/zinc/01/77/99/134017799.db2.gz KKIHNIAXMXFBAY-PHIMTYICSA-N 0 3 219.332 2.548 20 0 BFADHN Cc1ccnc([C@H](C)N[C@]2(C)CCCOC2)c1 ZINC000335942996 134018296 /nfs/dbraw/zinc/01/82/96/134018296.db2.gz ARIIELBLOKYCCV-GXTWGEPZSA-N 0 3 234.343 2.610 20 0 BFADHN c1coc(CN2CCCC3(CCOCC3)C2)c1 ZINC000335944879 134019325 /nfs/dbraw/zinc/01/93/25/134019325.db2.gz HWHOXHFLODBMJL-UHFFFAOYSA-N 0 3 235.327 2.672 20 0 BFADHN CC[C@H](C)CC(=O)Nc1ccccc1CNC ZINC000167558127 134021585 /nfs/dbraw/zinc/02/15/85/134021585.db2.gz ARRSIEASCGNFGK-NSHDSACASA-N 0 3 234.343 2.781 20 0 BFADHN CC[N@H+](Cc1cc([O-])cc(F)c1)C1CCC1 ZINC000351987771 134026684 /nfs/dbraw/zinc/02/66/84/134026684.db2.gz AJXSGROJHJHLEU-UHFFFAOYSA-N 0 3 223.291 2.906 20 0 BFADHN CC[N@@H+](Cc1cc([O-])cc(F)c1)C1CCC1 ZINC000351987771 134026685 /nfs/dbraw/zinc/02/66/85/134026685.db2.gz AJXSGROJHJHLEU-UHFFFAOYSA-N 0 3 223.291 2.906 20 0 BFADHN CSCCCN1CCC(F)(F)[C@H](C)C1 ZINC000351992403 134031671 /nfs/dbraw/zinc/03/16/71/134031671.db2.gz CTSISYPSOWKEOT-SECBINFHSA-N 0 3 223.332 2.717 20 0 BFADHN CSCCCN1CCC(F)(F)[C@@H](C)C1 ZINC000351992404 134031733 /nfs/dbraw/zinc/03/17/33/134031733.db2.gz CTSISYPSOWKEOT-VIFPVBQESA-N 0 3 223.332 2.717 20 0 BFADHN Cc1ncc(CN2CC[C@H](CC(C)C)C2)cn1 ZINC000352015633 134035781 /nfs/dbraw/zinc/03/57/81/134035781.db2.gz QXHZLGLYVQIVJV-CYBMUJFWSA-N 0 3 233.359 2.653 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCC[C@H](O)CC1 ZINC000335980493 134037121 /nfs/dbraw/zinc/03/71/21/134037121.db2.gz QXQJMLPJVWYVPR-RISCZKNCSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCC[C@@H](O)CC1 ZINC000335980495 134037279 /nfs/dbraw/zinc/03/72/79/134037279.db2.gz QXQJMLPJVWYVPR-SMDDNHRTSA-N 0 3 237.318 2.734 20 0 BFADHN Cc1cnc(CN2CC[C@@]3(CC[C@@H](C)C3)C2)cn1 ZINC000335981050 134037548 /nfs/dbraw/zinc/03/75/48/134037548.db2.gz HGESJBFSUPBKGP-IUODEOHRSA-N 0 3 245.370 2.797 20 0 BFADHN CC(C)OCCCN1CCC(F)(F)[C@H](C)C1 ZINC000352028275 134044279 /nfs/dbraw/zinc/04/42/79/134044279.db2.gz PGXUUTAHQBYCFO-LLVKDONJSA-N 0 3 235.318 2.779 20 0 BFADHN C[C@H]1CN(C)CC[C@@H]1Nc1cc(C2CC2)ccn1 ZINC000336003190 134047979 /nfs/dbraw/zinc/04/79/79/134047979.db2.gz CNURTXJPUFRDEY-FZMZJTMJSA-N 0 3 245.370 2.711 20 0 BFADHN CC1=C[C@H](C)CN(Cc2cccnc2C)C1 ZINC000336007333 134049259 /nfs/dbraw/zinc/04/92/59/134049259.db2.gz XMMPLJAVUKTBNJ-NSHDSACASA-N 0 3 216.328 2.788 20 0 BFADHN CC[C@H](C)CC(=O)Nc1ccc2c(c1)CNCC2 ZINC000167567370 134049596 /nfs/dbraw/zinc/04/95/96/134049596.db2.gz KJEGFSXSXXYHNF-NSHDSACASA-N 0 3 246.354 2.707 20 0 BFADHN Fc1ccc(CN2CC[C@@H]3CCC[C@H]32)nc1 ZINC000336052178 134065190 /nfs/dbraw/zinc/06/51/90/134065190.db2.gz IXTRODBEIRPHML-GXFFZTMASA-N 0 3 220.291 2.595 20 0 BFADHN CO[C@@H]1CCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC000336090475 134078326 /nfs/dbraw/zinc/07/83/26/134078326.db2.gz ZLLHVVVAYSFUMH-TZMCWYRMSA-N 0 3 235.302 2.534 20 0 BFADHN CCC[C@H](NC(C)C)C(=O)Nc1ccccc1 ZINC000352142967 134081920 /nfs/dbraw/zinc/08/19/20/134081920.db2.gz WSMICYAGWRPCNW-ZDUSSCGKSA-N 0 3 234.343 2.792 20 0 BFADHN CC[C@@]1(O)CCN([C@@H](C)c2cccc(F)c2)C1 ZINC000336108862 134085634 /nfs/dbraw/zinc/08/56/34/134085634.db2.gz MESFNHGUKBDXGE-SMDDNHRTSA-N 0 3 237.318 2.734 20 0 BFADHN Cc1cccnc1CN1CC(C)=C[C@@H](C)C1 ZINC000336113586 134087864 /nfs/dbraw/zinc/08/78/64/134087864.db2.gz VBAHMOOZVPOKED-LLVKDONJSA-N 0 3 216.328 2.788 20 0 BFADHN Cc1cnc([C@@H](C)N2CCCC[C@@H](C)C2)cn1 ZINC000336115444 134088097 /nfs/dbraw/zinc/08/80/97/134088097.db2.gz LUORABKEEHWEMW-DGCLKSJQSA-N 0 3 233.359 2.968 20 0 BFADHN Cc1cc(CN2CCC[C@H](C(C)C)C2)ncn1 ZINC000336116955 134088458 /nfs/dbraw/zinc/08/84/58/134088458.db2.gz ZHXTUTNEIZPNKJ-ZDUSSCGKSA-N 0 3 233.359 2.653 20 0 BFADHN c1ncc(CN2CC[C@H]3CCC[C@@H]32)s1 ZINC000336116875 134088742 /nfs/dbraw/zinc/08/87/42/134088742.db2.gz XMOOMHWHJUPZII-KOLCDFICSA-N 0 3 208.330 2.518 20 0 BFADHN CCc1nc(C)c(CN2CC(C)=C[C@H](C)C2)o1 ZINC000336125040 134092781 /nfs/dbraw/zinc/09/27/81/134092781.db2.gz UTFMVEUGGRXPHU-JTQLQIEISA-N 0 3 234.343 2.943 20 0 BFADHN C[C@@H](C(N)=O)N1CCC[C@@H](c2ccccc2)C1 ZINC000336130519 134094119 /nfs/dbraw/zinc/09/41/19/134094119.db2.gz FTYAVUBAOAZPPQ-WCQYABFASA-N 0 3 232.327 2.790 20 0 BFADHN c1cc([C@H]2CCCCN2CC[C@H]2CCCO2)n[nH]1 ZINC000352213120 134108379 /nfs/dbraw/zinc/10/83/79/134108379.db2.gz SZQBWYCRHUJWSN-TZMCWYRMSA-N 0 3 249.358 2.506 20 0 BFADHN COC1CC(N(C)C/C=C/c2ccccc2)C1 ZINC000352221862 134109472 /nfs/dbraw/zinc/10/94/72/134109472.db2.gz OLODHUBVOFUJRP-RMKNXTFCSA-N 0 3 231.339 2.809 20 0 BFADHN Cc1nn(C)c(C)c1CN1CC(C)(C)[C@H]1C1CC1 ZINC000336184695 134121194 /nfs/dbraw/zinc/12/11/94/134121194.db2.gz QBDCGYLFFXCBCH-CQSZACIVSA-N 0 3 247.386 2.657 20 0 BFADHN CC[C@]1(O)CCN([C@H](C)c2ccc(F)cc2)C1 ZINC000336198430 134127341 /nfs/dbraw/zinc/12/73/41/134127341.db2.gz DNUMXWOAPIGZPL-RISCZKNCSA-N 0 3 237.318 2.734 20 0 BFADHN Cc1cccc(CN2CC[C@]3(CCOC3)C2)c1F ZINC000336207911 134132647 /nfs/dbraw/zinc/13/26/47/134132647.db2.gz CPVYECANZJJJTN-HNNXBMFYSA-N 0 3 249.329 2.747 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(C)nc2)CC1(C)C ZINC000336208860 134133247 /nfs/dbraw/zinc/13/32/47/134133247.db2.gz UQZCNRPMAOMRGH-CQSZACIVSA-N 0 3 248.370 2.637 20 0 BFADHN CC1(F)CC(NCc2cc3cccnc3o2)C1 ZINC000336215535 134136451 /nfs/dbraw/zinc/13/64/51/134136451.db2.gz UWFJUDGDHIOCMN-UHFFFAOYSA-N 0 3 234.274 2.808 20 0 BFADHN c1[nH]nc2cc(CN3CC[C@@H]3C3CC3)ccc12 ZINC000336216392 134137631 /nfs/dbraw/zinc/13/76/31/134137631.db2.gz YADIZZJEDNLUDA-CQSZACIVSA-N 0 3 227.311 2.547 20 0 BFADHN FC1(F)CC[C@@H](CN2CCOC3(CCC3)C2)C1 ZINC000336627311 134152196 /nfs/dbraw/zinc/15/21/96/134152196.db2.gz XYWTWPPFSCRWIT-LLVKDONJSA-N 0 3 245.313 2.677 20 0 BFADHN CC[C@H](C)N(C)CC(=O)N(CC(C)C)C(C)C ZINC000170916297 134255411 /nfs/dbraw/zinc/25/54/11/134255411.db2.gz ORNSIWREHIETGW-ZDUSSCGKSA-N 0 3 242.407 2.610 20 0 BFADHN COc1cccc(CN2CCC[C@H](C)CC2)n1 ZINC000171826427 134260908 /nfs/dbraw/zinc/26/09/08/134260908.db2.gz QYAMIRPGOHMDQQ-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2cnn(C)c2)CC1 ZINC000172176920 134262523 /nfs/dbraw/zinc/26/25/23/134262523.db2.gz FELUENHJKKOXMU-CQSZACIVSA-N 0 3 235.375 2.678 20 0 BFADHN CC[C@H](C(=O)OC)N1CCC2(C1)CCCCC2 ZINC000172997463 134271962 /nfs/dbraw/zinc/27/19/62/134271962.db2.gz DPNWQPKLFYZRSV-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN COC[C@H](C)N1CC=C(c2ccccc2)CC1 ZINC000173512372 134275362 /nfs/dbraw/zinc/27/53/62/134275362.db2.gz PAJHQPRTXBRASY-ZDUSSCGKSA-N 0 3 231.339 2.811 20 0 BFADHN COc1cccc([C@H](C)N2CCCO[C@H](C)C2)c1 ZINC000175631733 134286698 /nfs/dbraw/zinc/28/66/98/134286698.db2.gz ZLRGRKNOEVHFGX-OLZOCXBDSA-N 0 3 249.354 2.867 20 0 BFADHN OCCCCCN1CCS[C@H]2CCCC[C@H]21 ZINC000177196490 134296257 /nfs/dbraw/zinc/29/62/57/134296257.db2.gz SNZFMDPEFVBUQK-OLZOCXBDSA-N 0 3 243.416 2.509 20 0 BFADHN Cn1cncc1CN(CC1CCCCC1)C1CC1 ZINC000177260780 134296717 /nfs/dbraw/zinc/29/67/17/134296717.db2.gz DTBNCEWQHIJNLO-UHFFFAOYSA-N 0 3 247.386 2.965 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3c2OCCO3)[C@@H]1C ZINC000177892853 134303904 /nfs/dbraw/zinc/30/39/04/134303904.db2.gz HRYPPPAVXHOMFU-VXGBXAGGSA-N 0 3 247.338 2.688 20 0 BFADHN CC(C)CCN(C)[C@H]1CCCc2c1cnn2C ZINC000178491538 134307455 /nfs/dbraw/zinc/30/74/55/134307455.db2.gz ZGLOVRRECGTOND-ZDUSSCGKSA-N 0 3 235.375 2.775 20 0 BFADHN CN([C@H]1C=CCCC1)[C@@H]1CCCc2c1cnn2C ZINC000178506034 134307529 /nfs/dbraw/zinc/30/75/29/134307529.db2.gz DRBUSLIVFNGRDN-GXTWGEPZSA-N 0 3 245.370 2.838 20 0 BFADHN CCc1ccc([C@@H](C)NCCN2CCCC2)o1 ZINC000178312254 134308432 /nfs/dbraw/zinc/30/84/32/134308432.db2.gz UITVMIMXTBYPDD-GFCCVEGCSA-N 0 3 236.359 2.588 20 0 BFADHN C[C@]1(NCc2cccc(F)c2F)CCCOC1 ZINC000221357143 134320504 /nfs/dbraw/zinc/32/05/04/134320504.db2.gz UAFVWEUOHGEXQJ-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1cnn2ccccc12 ZINC000179761471 134323293 /nfs/dbraw/zinc/32/32/93/134323293.db2.gz YWWXMVJZMKDALX-QWHCGFSZSA-N 0 3 243.354 2.955 20 0 BFADHN COc1cc(CN2CCC3(CCC3)C2)ccc1O ZINC000180083305 134326480 /nfs/dbraw/zinc/32/64/80/134326480.db2.gz GXJNVYLPKIPYET-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN Cc1cc(CN2CC[C@](C)(O)C2)ccc1Cl ZINC000180473022 134327502 /nfs/dbraw/zinc/32/75/02/134327502.db2.gz HTEKEPCAQKKCTO-ZDUSSCGKSA-N 0 3 239.746 2.605 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CSc3ccccc32)CCO1 ZINC000222775126 134334226 /nfs/dbraw/zinc/33/42/26/134334226.db2.gz OOFXYOCBIYEGIU-NQBHXWOUSA-N 0 3 249.379 2.991 20 0 BFADHN CCc1nnc(C[N@@H+]2CCC[C@@H]2C2CCCC2)[n-]1 ZINC000181652839 134338331 /nfs/dbraw/zinc/33/83/31/134338331.db2.gz VDUPGQMLASCVTN-GFCCVEGCSA-N 0 3 248.374 2.522 20 0 BFADHN CCc1nnc(C[N@H+]2CCC[C@@H]2C2CCCC2)[n-]1 ZINC000181652839 134338332 /nfs/dbraw/zinc/33/83/32/134338332.db2.gz VDUPGQMLASCVTN-GFCCVEGCSA-N 0 3 248.374 2.522 20 0 BFADHN Cc1ccc(CN(CCO)[C@@H]2C=CCCC2)cc1 ZINC000181969915 134340629 /nfs/dbraw/zinc/34/06/29/134340629.db2.gz LALZDLUNPHUPLI-MRXNPFEDSA-N 0 3 245.366 2.898 20 0 BFADHN CCCC[C@H](N)C(=O)N(C)c1cc(C)cc(C)c1 ZINC000223547784 134340772 /nfs/dbraw/zinc/34/07/72/134340772.db2.gz AODXADSZDIHAJD-AWEZNQCLSA-N 0 3 248.370 2.784 20 0 BFADHN C[C@@H](Cc1ccccc1)CN1CCO[C@H](C)C1 ZINC000182030065 134341642 /nfs/dbraw/zinc/34/16/42/134341642.db2.gz SKDHPUZZRCFEJR-UONOGXRCSA-N 0 3 233.355 2.586 20 0 BFADHN CC(C)(C)n1cc(CNCC2(C)CC2)cn1 ZINC000224179546 134342233 /nfs/dbraw/zinc/34/22/33/134342233.db2.gz VMQSSSXAONNUEM-UHFFFAOYSA-N 0 3 221.348 2.528 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1CCC1CCCC1 ZINC000182261343 134343508 /nfs/dbraw/zinc/34/35/08/134343508.db2.gz GVDAXDWGEAVEKZ-ZDUSSCGKSA-N 0 3 239.359 2.594 20 0 BFADHN CCn1ccnc1CN1C[C@@H](C)[C@H]2CCCC[C@@H]21 ZINC000182488017 134345704 /nfs/dbraw/zinc/34/57/04/134345704.db2.gz NLLNTQJHTDDNFZ-MCIONIFRSA-N 0 3 247.386 2.914 20 0 BFADHN CCC[C@H](N[C@@H]1C[C@H](O)C1(C)C)c1ccccn1 ZINC000182631079 134346322 /nfs/dbraw/zinc/34/63/22/134346322.db2.gz OOQMOYSEVDPIMN-MJBXVCDLSA-N 0 3 248.370 2.672 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H](O)C(F)F)c(C)c1 ZINC000224466286 134347444 /nfs/dbraw/zinc/34/74/44/134347444.db2.gz RIUOHJSRHAIKEP-PWSUYJOCSA-N 0 3 243.297 2.580 20 0 BFADHN Cc1ccc(C)c([C@@H](C)NC[C@@H](O)C(F)F)c1 ZINC000224448425 134347447 /nfs/dbraw/zinc/34/74/47/134347447.db2.gz IKWGEJNHWNCMTB-ZYHUDNBSSA-N 0 3 243.297 2.580 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@H](N)C(C)(C)C ZINC000226072081 134358821 /nfs/dbraw/zinc/35/88/21/134358821.db2.gz QTKVPWLPHCGQBL-NEPJUHHUSA-N 0 3 242.407 2.835 20 0 BFADHN Cn1c2ccccc2nc1CNC[C@H]1CC1(C)C ZINC000226255569 134362183 /nfs/dbraw/zinc/36/21/83/134362183.db2.gz RTBOSZLFQCQMQT-LLVKDONJSA-N 0 3 243.354 2.709 20 0 BFADHN CC1(C)C[C@@H]1CNCc1ccccc1F ZINC000226255729 134362573 /nfs/dbraw/zinc/36/25/73/134362573.db2.gz NEJNWXZRXYBMEJ-LLVKDONJSA-N 0 3 207.292 2.961 20 0 BFADHN CCc1cnc(CNC[C@H]2CCSC2)s1 ZINC000226383520 134364565 /nfs/dbraw/zinc/36/45/65/134364565.db2.gz NDDHVDCUANRWPG-SECBINFHSA-N 0 3 242.413 2.548 20 0 BFADHN CC(C)c1ccc(CNC[C@H](O)C(F)F)cc1 ZINC000226575063 134366801 /nfs/dbraw/zinc/36/68/01/134366801.db2.gz XRPRELGJMFVFCE-LBPRGKRZSA-N 0 3 243.297 2.526 20 0 BFADHN C/C=C/C[C@@H](CO)Nc1ccnc2ccccc21 ZINC000184808779 134369022 /nfs/dbraw/zinc/36/90/22/134369022.db2.gz PXLRKAFIAUUTOA-JDGPPOGSSA-N 0 3 242.322 2.974 20 0 BFADHN Cc1cccc2c1ncc(C)c2NC[C@H](C)CO ZINC000185279484 134376059 /nfs/dbraw/zinc/37/60/59/134376059.db2.gz FGWHNYMTLSTDEZ-JTQLQIEISA-N 0 3 244.338 2.892 20 0 BFADHN c1coc(CNCC2Cc3ccccc3C2)c1 ZINC000227371931 134378839 /nfs/dbraw/zinc/37/88/39/134378839.db2.gz CFMWPOUHLDTJDA-UHFFFAOYSA-N 0 3 227.307 2.784 20 0 BFADHN CC[C@H]1[C@H](C)CCN1CCOCC(F)(F)F ZINC000185661895 134387695 /nfs/dbraw/zinc/38/76/95/134387695.db2.gz KZHPDAFMQNFJGN-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CO[C@H]1C[C@@H](NCc2cccc(F)c2)C1(C)C ZINC000227826086 134389231 /nfs/dbraw/zinc/38/92/31/134389231.db2.gz NFQMBJDWYSSLRG-OLZOCXBDSA-N 0 3 237.318 2.729 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccccc2F)C1(C)C ZINC000227832065 134390107 /nfs/dbraw/zinc/39/01/07/134390107.db2.gz FFCOTEKEFHXRBP-STQMWFEESA-N 0 3 237.318 2.729 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1nc(C)c(C)o1 ZINC000227863214 134391070 /nfs/dbraw/zinc/39/10/70/134391070.db2.gz NTLPMAWIQMYZPE-NWDGAFQWSA-N 0 3 222.332 2.960 20 0 BFADHN CCCC[C@@H](N)C(=O)NCC(C)(C)CC(C)C ZINC000236732914 134834982 /nfs/dbraw/zinc/83/49/82/134834982.db2.gz SLHKWYHLBYXURK-GFCCVEGCSA-N 0 3 242.407 2.692 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@H](C)C2)c(C)c1 ZINC000335664535 134853880 /nfs/dbraw/zinc/85/38/80/134853880.db2.gz RFZLHJSQBMGZKU-OCCSQVGLSA-N 0 3 219.328 2.570 20 0 BFADHN CC[C@@H]1CC[C@@H](NCc2nccs2)C1 ZINC000070643593 135001425 /nfs/dbraw/zinc/00/14/25/135001425.db2.gz MTBPUCQHTOARRL-NXEZZACHSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2nnc(C3CC3)[nH]2)[C@H]1C ZINC000248549627 135003742 /nfs/dbraw/zinc/00/37/42/135003742.db2.gz HMCYCFZRVZHJTQ-SCVCMEIPSA-N 0 3 248.374 2.596 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1cc(C)oc1C ZINC000070656744 135007238 /nfs/dbraw/zinc/00/72/38/135007238.db2.gz RXJVEYSXYMRPDO-PWSUYJOCSA-N 0 3 225.332 2.972 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1cc(C)oc1C ZINC000070656745 135008678 /nfs/dbraw/zinc/00/86/78/135008678.db2.gz RXJVEYSXYMRPDO-ZYHUDNBSSA-N 0 3 225.332 2.972 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2nccs2)CCO1 ZINC000070732292 135009263 /nfs/dbraw/zinc/00/92/63/135009263.db2.gz SFGUQUNNZDRHFZ-GARJFASQSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@@H](N[C@H](C)CCOC)c1nccs1 ZINC000070717430 135009277 /nfs/dbraw/zinc/00/92/77/135009277.db2.gz UFJFATCFFRSLRW-NXEZZACHSA-N 0 3 228.361 2.609 20 0 BFADHN Cc1csc([C@@H](C)NC[C@H]2CCCOC2)n1 ZINC000070732718 135010261 /nfs/dbraw/zinc/01/02/61/135010261.db2.gz MYBLDPHGGIMXIX-GHMZBOCLSA-N 0 3 240.372 2.529 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(CCOCC(F)(F)F)C1 ZINC000076149389 135013674 /nfs/dbraw/zinc/01/36/74/135013674.db2.gz GONPUHVUIXBLIY-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cocn2)[C@@H](C)C1 ZINC000248498331 135014636 /nfs/dbraw/zinc/01/46/36/135014636.db2.gz RQPWLNFNPUJOSU-SCVCMEIPSA-N 0 3 208.305 2.589 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H](C)c1cc(C)c(C)o1 ZINC000337399511 135015969 /nfs/dbraw/zinc/01/59/69/135015969.db2.gz JWOPGLPSJBLIJR-SVJDAXRGSA-N 0 3 237.343 2.874 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@@H](C)C[C@H](C)C1 ZINC000248613280 135017600 /nfs/dbraw/zinc/01/76/00/135017600.db2.gz JCCVVCLCKSBEAA-AGIUHOORSA-N 0 3 233.359 2.906 20 0 BFADHN CCN(Cc1c[nH]cn1)[C@H]1CCCC[C@H]1C ZINC000248669982 135018523 /nfs/dbraw/zinc/01/85/23/135018523.db2.gz RXTJUUDBZXWKKG-YPMHNXCESA-N 0 3 221.348 2.810 20 0 BFADHN CCC[C@@H](C)CN([C@H](C)C(=O)OC)C1CCC1 ZINC000248912719 135025706 /nfs/dbraw/zinc/02/57/06/135025706.db2.gz YPQXBVQJEJFBQS-VXGBXAGGSA-N 0 3 241.375 2.839 20 0 BFADHN COc1ccccc1CN1CC[C@@H](C)[C@H](OC)C1 ZINC000249193348 135035727 /nfs/dbraw/zinc/03/57/27/135035727.db2.gz PSPBIEFGRDAPBI-IUODEOHRSA-N 0 3 249.354 2.552 20 0 BFADHN C[C@H](CCc1ccccc1)N1CCO[C@H](C)C1 ZINC000249768172 135045212 /nfs/dbraw/zinc/04/52/12/135045212.db2.gz QWIHLBGRBUGUCM-ZIAGYGMSSA-N 0 3 233.355 2.728 20 0 BFADHN c1c[nH]c(CN[C@@H]2CC[C@H]3CCCC[C@H]3C2)n1 ZINC000250231679 135050324 /nfs/dbraw/zinc/05/03/24/135050324.db2.gz AZAVVXSEOGXLBH-FRRDWIJNSA-N 0 3 233.359 2.858 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@H]2CCCc3occc32)O1 ZINC000251874067 135079968 /nfs/dbraw/zinc/07/99/68/135079968.db2.gz QSRBUUQQCKGIAV-WZRBSPASSA-N 0 3 235.327 2.814 20 0 BFADHN C[C@H](c1ccccc1F)N(C)[C@H]1CCC[C@H]1O ZINC000252445238 135089425 /nfs/dbraw/zinc/08/94/25/135089425.db2.gz UACBSGDGAKKKSR-DDTOSNHZSA-N 0 3 237.318 2.732 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(F)cc2F)CS1 ZINC000086935791 135102857 /nfs/dbraw/zinc/10/28/57/135102857.db2.gz YYXXQQNOZPPKDH-KWQFWETISA-N 0 3 243.322 2.948 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(F)cc2)CS1 ZINC000086934276 135103030 /nfs/dbraw/zinc/10/30/30/135103030.db2.gz HSDXYXREWWDIDK-SKDRFNHKSA-N 0 3 225.332 2.809 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(F)cc2F)CS1 ZINC000086935792 135103378 /nfs/dbraw/zinc/10/33/78/135103378.db2.gz YYXXQQNOZPPKDH-LDYMZIIASA-N 0 3 243.322 2.948 20 0 BFADHN C[C@@H](CCN[C@@H](C)c1cccs1)[S@](C)=O ZINC000252733228 135104786 /nfs/dbraw/zinc/10/47/86/135104786.db2.gz YLJSRDFCFWCCRT-YHAQOWFVSA-N 0 3 245.413 2.556 20 0 BFADHN C[C@@H]1CCN(Cc2ccncc2)C[C@H]1C ZINC000252799417 135110505 /nfs/dbraw/zinc/11/05/05/135110505.db2.gz XGAVGDVRDAMZGF-VXGBXAGGSA-N 0 3 204.317 2.560 20 0 BFADHN CC1(C)CC[C@H](N2CCN3CCCC[C@H]3C2)C1 ZINC000252912984 135116358 /nfs/dbraw/zinc/11/63/58/135116358.db2.gz MHLGJZJWBDLBHH-KBPBESRZSA-N 0 3 236.403 2.735 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(C)c(F)c1 ZINC000087284264 135116924 /nfs/dbraw/zinc/11/69/24/135116924.db2.gz GWNNCAXHRATYFB-NSHDSACASA-N 0 3 225.307 2.821 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](C)[C@H](C)C1)c1ncc[nH]1 ZINC000252982424 135118375 /nfs/dbraw/zinc/11/83/75/135118375.db2.gz WIACHKBOMQFABE-WHOHXGKFSA-N 0 3 221.348 2.885 20 0 BFADHN C[C@@H](CCCO)N[C@@H](C)c1ccccc1F ZINC000087358238 135124354 /nfs/dbraw/zinc/12/43/54/135124354.db2.gz COOXSFPSFYVFGY-QWRGUYRKSA-N 0 3 225.307 2.637 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCOC2)cc1F ZINC000087363970 135126907 /nfs/dbraw/zinc/12/69/07/135126907.db2.gz XHTUZXHJVXACDR-JQWIXIFHSA-N 0 3 223.291 2.574 20 0 BFADHN COc1ccc(OC)c(CN2CC[C@@H](C)C2)c1 ZINC000263707666 135127680 /nfs/dbraw/zinc/12/76/80/135127680.db2.gz MOIQUOXGRRWSDV-LLVKDONJSA-N 0 3 235.327 2.546 20 0 BFADHN COc1ccc(F)cc1CN(C)C(C)C ZINC000260323245 135148255 /nfs/dbraw/zinc/14/82/55/135148255.db2.gz ZYXFNFXYCDGHHI-UHFFFAOYSA-N 0 3 211.280 2.675 20 0 BFADHN Cc1ncsc1[C@H](C)NC[C@H]1CCCS1 ZINC000087667629 135162870 /nfs/dbraw/zinc/16/28/70/135162870.db2.gz BSIPPLOBPVYISX-WCBMZHEXSA-N 0 3 242.413 2.998 20 0 BFADHN CC(C)[C@H]1CCCC[C@H]1NCc1ncccn1 ZINC000215018853 135218184 /nfs/dbraw/zinc/21/81/84/135218184.db2.gz DYGKPXBJFZZTMI-CHWSQXEVSA-N 0 3 233.359 2.781 20 0 BFADHN CCCC1(C(=O)Nc2cccc(CNC)c2)CC1 ZINC000261592916 135233343 /nfs/dbraw/zinc/23/33/43/135233343.db2.gz YVSLWMZHQWJMMP-UHFFFAOYSA-N 0 3 246.354 2.925 20 0 BFADHN CCOC[C@H](C)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000044688489 322843021 /nfs/dbraw/zinc/84/30/21/322843021.db2.gz CNCBWCLLJHHIOK-GVXVVHGQSA-N 0 3 237.343 2.918 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN[C@H]1CC[C@H](F)C1 ZINC000342285493 135255646 /nfs/dbraw/zinc/25/56/46/135255646.db2.gz ROGIJWNFUXBDDS-QWRGUYRKSA-N 0 3 239.338 2.687 20 0 BFADHN CC(C)Cn1cc(CN[C@@H]2CC[C@@H](F)C2)cn1 ZINC000342322940 135263899 /nfs/dbraw/zinc/26/38/99/135263899.db2.gz UGZPOKQUMJKPSX-CHWSQXEVSA-N 0 3 239.338 2.519 20 0 BFADHN Cc1ccc([C@H](NC[C@@H](C)O)C2CCCC2)o1 ZINC000342533303 135281314 /nfs/dbraw/zinc/28/13/14/135281314.db2.gz CWMWCNXJEIATCF-QMTHXVAHSA-N 0 3 237.343 2.790 20 0 BFADHN CCCN1CCC[C@H]1C(=O)Nc1cccc(C)c1 ZINC000342557153 135288497 /nfs/dbraw/zinc/28/84/97/135288497.db2.gz NEQVFKLIYKEHHQ-AWEZNQCLSA-N 0 3 246.354 2.808 20 0 BFADHN COc1ccc([C@@H]2C[C@@H]2[C@H](C)NCCF)cc1 ZINC000342574683 135290989 /nfs/dbraw/zinc/29/09/89/135290989.db2.gz JLJUBUSBSRTHHV-GDLCADMTSA-N 0 3 237.318 2.746 20 0 BFADHN CCC[C@@H](C)CN1CCN2CCCC[C@H]2C1 ZINC000342617379 135296177 /nfs/dbraw/zinc/29/61/77/135296177.db2.gz ASAJXTVGZASOFZ-KGLIPLIRSA-N 0 3 224.392 2.593 20 0 BFADHN CC/C=C\CCN[C@H](C)c1nnc2ccccn21 ZINC000342629371 135297345 /nfs/dbraw/zinc/29/73/45/135297345.db2.gz GZVAUHPPKPOSAV-QMAVJUDZSA-N 0 3 244.342 2.736 20 0 BFADHN CCN(CCC1CC1)[C@@H](C)c1cnccn1 ZINC000342626062 135297904 /nfs/dbraw/zinc/29/79/04/135297904.db2.gz ARSIHDLHXIHHPM-NSHDSACASA-N 0 3 219.332 2.660 20 0 BFADHN CC/C=C\CCN1CCO[C@H](C(C)C)C1 ZINC000342635330 135298476 /nfs/dbraw/zinc/29/84/76/135298476.db2.gz QIKKWFBHCFILLZ-SZZPACECSA-N 0 3 211.349 2.700 20 0 BFADHN COc1cccc(CN(C)CC[C@H]2CCCO2)c1 ZINC000342601662 135301839 /nfs/dbraw/zinc/30/18/39/135301839.db2.gz WMCVERNGZONOHU-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN COC(=O)c1occc1CNCCC(C)(C)C ZINC000164439618 135304552 /nfs/dbraw/zinc/30/45/52/135304552.db2.gz CIVPPVNOQRXHJF-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN CCC1CC([NH2+][C@@H](C)c2nnc(C(C)C)[n-]2)C1 ZINC000342696460 135306131 /nfs/dbraw/zinc/30/61/31/135306131.db2.gz JAMMFNVQMSTYQO-WHXUTIOJSA-N 0 3 236.363 2.767 20 0 BFADHN C[C@@H](C[S@@](C)=O)N(C)CCC1CCCCC1 ZINC000342665409 135308807 /nfs/dbraw/zinc/30/88/07/135308807.db2.gz SVOMMFUGEUVBGD-BLLLJJGKSA-N 0 3 245.432 2.656 20 0 BFADHN CCC1CC(NCc2nccn2CC(C)C)C1 ZINC000342709296 135312683 /nfs/dbraw/zinc/31/26/83/135312683.db2.gz LWXDJNZAUBKTCV-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN CCCCN(Cc1c(C)nn(C)c1C)C1CC1 ZINC000342710420 135312972 /nfs/dbraw/zinc/31/29/72/135312972.db2.gz RBYPRHHCSRCDKB-UHFFFAOYSA-N 0 3 235.375 2.801 20 0 BFADHN CC1(C)CC[C@H]1N[C@H](CO)c1cccc(F)c1 ZINC000342735666 135316225 /nfs/dbraw/zinc/31/62/25/135316225.db2.gz PCDBZLVCYCBWHA-CHWSQXEVSA-N 0 3 237.318 2.637 20 0 BFADHN C[C@H](CCC(C)(C)C)N(C)[C@@H](C)C[S@@](C)=O ZINC000342781868 135317268 /nfs/dbraw/zinc/31/72/68/135317268.db2.gz QYOSOUBVBCNIJX-BFQNTYOBSA-N 0 3 247.448 2.900 20 0 BFADHN Cc1ccc([C@H](N[C@@H](C)CO)C2CCCC2)o1 ZINC000342779555 135317345 /nfs/dbraw/zinc/31/73/45/135317345.db2.gz JVWUZQDIWDPUOH-IINYFYTJSA-N 0 3 237.343 2.790 20 0 BFADHN Cc1cnc(CN2[C@H](C)C[C@@H]3CCCC[C@H]32)n1C ZINC000342780945 135317922 /nfs/dbraw/zinc/31/79/22/135317922.db2.gz DOHIXJFRSMGAGM-KWCYVHTRSA-N 0 3 247.386 2.882 20 0 BFADHN Cc1cnc(CN2CCC[C@H]2CC(C)C)n1C ZINC000342795036 135319725 /nfs/dbraw/zinc/31/97/25/135319725.db2.gz VSHMKDBIVYGJNH-ZDUSSCGKSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]2CC(C)C)n1C ZINC000342795035 135320113 /nfs/dbraw/zinc/32/01/13/135320113.db2.gz VSHMKDBIVYGJNH-CYBMUJFWSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cnc(CN2CCCC[C@H]2C(C)C)n1C ZINC000342797879 135320857 /nfs/dbraw/zinc/32/08/57/135320857.db2.gz QVTQQGDFAIGNFP-ZDUSSCGKSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@H](C)[C@H]2C)n1C ZINC000342800702 135321759 /nfs/dbraw/zinc/32/17/59/135321759.db2.gz UACIGJFTQCQOEZ-NTZNESFSSA-N 0 3 235.375 2.595 20 0 BFADHN C[C@H](O)CN1CC[C@H](c2cccc(Cl)c2)C1 ZINC000334168121 135324385 /nfs/dbraw/zinc/32/43/85/135324385.db2.gz AYKZGZTYNYYDSS-JQWIXIFHSA-N 0 3 239.746 2.510 20 0 BFADHN Cc1nocc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000334167963 135324647 /nfs/dbraw/zinc/32/46/47/135324647.db2.gz AKKUMZWSVZGVIY-TXEJJXNPSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1ccc(CN2CC[C@@H]2C(C)C)nc1 ZINC000334294629 135341389 /nfs/dbraw/zinc/34/13/89/135341389.db2.gz UJWWPSJPBOIKLU-CYBMUJFWSA-N 0 3 204.317 2.620 20 0 BFADHN CCC[C@@](C)(N)C(=O)NC1CCC(C)(C)CC1 ZINC000079678338 135344202 /nfs/dbraw/zinc/34/42/02/135344202.db2.gz ZEQAZWCIBPZTTQ-CQSZACIVSA-N 0 3 240.391 2.589 20 0 BFADHN Fc1ccc(CN2CCC[C@H]3COCC[C@@H]32)cc1 ZINC000334303972 135347391 /nfs/dbraw/zinc/34/73/91/135347391.db2.gz DDOIEVJJUBVSQI-ZFWWWQNUSA-N 0 3 249.329 2.827 20 0 BFADHN C[C@@]1(O)CCN(Cc2cc3cc(F)ccc3o2)C1 ZINC000334325966 135354175 /nfs/dbraw/zinc/35/41/75/135354175.db2.gz BIESGZAMJAFQST-CQSZACIVSA-N 0 3 249.285 2.529 20 0 BFADHN Cc1csc([C@H](C)NCC2CCOCC2)n1 ZINC000080271221 135368496 /nfs/dbraw/zinc/36/84/96/135368496.db2.gz RQQLDRMGECBVAM-JTQLQIEISA-N 0 3 240.372 2.529 20 0 BFADHN Cc1csc([C@@H](C)NCCc2ccccn2)n1 ZINC000080313723 135369144 /nfs/dbraw/zinc/36/91/44/135369144.db2.gz IXSXBDJPAUSJKC-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN C[C@@H](F)CCN1CC[C@]2(C1)OCc1ccccc12 ZINC000334358460 135371275 /nfs/dbraw/zinc/37/12/75/135371275.db2.gz SRFYYCUKCNXUJT-IUODEOHRSA-N 0 3 249.329 2.866 20 0 BFADHN C[C@@H](F)CCN1CC[C@@]2(C1)OCc1ccccc12 ZINC000334358459 135371374 /nfs/dbraw/zinc/37/13/74/135371374.db2.gz SRFYYCUKCNXUJT-DOMZBBRYSA-N 0 3 249.329 2.866 20 0 BFADHN c1c(CN2CC[C@@H](C3CC3)C2)nc2ccccn12 ZINC000334359437 135371847 /nfs/dbraw/zinc/37/18/47/135371847.db2.gz WBUVENMCXJXMCK-CYBMUJFWSA-N 0 3 241.338 2.566 20 0 BFADHN CC(C)n1nccc1CN1C[C@@H]2CCC[C@H]2C1 ZINC000334373548 135376159 /nfs/dbraw/zinc/37/61/59/135376159.db2.gz SZXDLMVVXSIUNN-STQMWFEESA-N 0 3 233.359 2.696 20 0 BFADHN CC1CN(Cc2ccc3ncccc3c2)C1 ZINC000334374165 135377271 /nfs/dbraw/zinc/37/72/71/135377271.db2.gz COYGOHIRBATCJU-UHFFFAOYSA-N 0 3 212.296 2.687 20 0 BFADHN Cc1cc(C)cc(NC(=O)[C@@H]2CCCCCN2)c1 ZINC000080993399 135384599 /nfs/dbraw/zinc/38/45/99/135384599.db2.gz DOGQDDFCPASUIK-AWEZNQCLSA-N 0 3 246.354 2.774 20 0 BFADHN C[C@H](NCc1cc[nH]c1)c1ccc2c(c1)OCO2 ZINC000080773498 135384754 /nfs/dbraw/zinc/38/47/54/135384754.db2.gz POHYJKTWOCHTMU-JTQLQIEISA-N 0 3 244.294 2.594 20 0 BFADHN CC(C)n1nccc1CN1CC[C@H](C)[C@@H]1C ZINC000334393125 135385404 /nfs/dbraw/zinc/38/54/04/135385404.db2.gz ZHOPOBZHJGFGLY-RYUDHWBXSA-N 0 3 221.348 2.694 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCC(C)(C)C2)nn1C ZINC000334400237 135389326 /nfs/dbraw/zinc/38/93/26/135389326.db2.gz MWYYWBGOJRAQBR-VXGBXAGGSA-N 0 3 235.375 2.958 20 0 BFADHN c1cc2c(c(CN[C@H]3CC=CCC3)c1)OCO2 ZINC000076770917 135391470 /nfs/dbraw/zinc/39/14/70/135391470.db2.gz KLOZDEKKCWJMQN-LBPRGKRZSA-N 0 3 231.295 2.614 20 0 BFADHN c1nn(-c2ccccc2)cc1CN1CCCCC1 ZINC000078337974 135392986 /nfs/dbraw/zinc/39/29/86/135392986.db2.gz BINALIIJVQIQLE-UHFFFAOYSA-N 0 3 241.338 2.858 20 0 BFADHN Cc1sccc1CN1CC[C@H](CO)C[C@@H]1C ZINC000334413197 135396611 /nfs/dbraw/zinc/39/66/11/135396611.db2.gz LWDVKMVZSSKCHG-JQWIXIFHSA-N 0 3 239.384 2.649 20 0 BFADHN Cc1nccc(CN[C@@H]2CCCC(C)(C)C2)n1 ZINC000079978339 135397941 /nfs/dbraw/zinc/39/79/41/135397941.db2.gz JWTGHKZFMBNEJR-GFCCVEGCSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1nc(CN2CC[C@H](C3CC3)C2)sc1C ZINC000334427164 135397934 /nfs/dbraw/zinc/39/79/34/135397934.db2.gz ZTKHCOYZNARGJN-LBPRGKRZSA-N 0 3 236.384 2.992 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@@H]1CCC[C@H](CC)C1 ZINC000085069373 135478618 /nfs/dbraw/zinc/47/86/18/135478618.db2.gz TYIFJURZEUEEOG-SCRDCRAPSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1cc(C)nc(N[C@@H](C)[C@H]2CCCOC2)c1 ZINC000334890660 135835721 /nfs/dbraw/zinc/83/57/21/135835721.db2.gz LRDJOWXKOQNVLA-STQMWFEESA-N 0 3 234.343 2.925 20 0 BFADHN CC[C@H](C(=O)NCCC(C)(C)C)N(CC)CC ZINC000345980127 135907466 /nfs/dbraw/zinc/90/74/66/135907466.db2.gz QEYNMFPNLFSNMR-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN CC(C)C[C@@H]1C[C@H](NCc2ccccn2)CCO1 ZINC000346675365 135947010 /nfs/dbraw/zinc/94/70/10/135947010.db2.gz WLAJVHFQKBPRSU-UKRRQHHQSA-N 0 3 248.370 2.765 20 0 BFADHN Cc1cc2cc(CN[C@@H]3CC[C@H]3O)oc2cc1C ZINC000335283782 136001736 /nfs/dbraw/zinc/00/17/36/136001736.db2.gz UARXYTJGKQFYEP-ZIAGYGMSSA-N 0 3 245.322 2.663 20 0 BFADHN Cc1cc(F)c(CNC2CCOCC2)c(F)c1 ZINC000335284566 136001870 /nfs/dbraw/zinc/00/18/70/136001870.db2.gz KSLGOVMRWOEMLA-UHFFFAOYSA-N 0 3 241.281 2.542 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCCC[C@H]2C)n(C)n1 ZINC000335297077 136006901 /nfs/dbraw/zinc/00/69/01/136006901.db2.gz BTZHNDRKYHSDGT-RISCZKNCSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@@H]1CN(CC23CCC(CC2)C3)[C@H](C)CO1 ZINC000347744377 136010799 /nfs/dbraw/zinc/01/07/99/136010799.db2.gz SVTVMNRLRWNGDN-IWMBGFJWSA-N 0 3 223.360 2.676 20 0 BFADHN C(C1CC1)N1CCN(CC23CCC(CC2)C3)CC1 ZINC000347750552 136011550 /nfs/dbraw/zinc/01/15/50/136011550.db2.gz HUFAHYFACGKYTI-UHFFFAOYSA-N 0 3 248.414 2.594 20 0 BFADHN CC[C@@H]1CCCN(Cc2c(C)cnn2C)CC1 ZINC000347848150 136012418 /nfs/dbraw/zinc/01/24/18/136012418.db2.gz ADOJYCURWHTBNI-CYBMUJFWSA-N 0 3 235.375 2.741 20 0 BFADHN C[C@H](CNC(=O)[C@@H](C)CCC(C)(C)C)N(C)C ZINC000347854068 136013019 /nfs/dbraw/zinc/01/30/19/136013019.db2.gz RBZHDUMPYJYVJE-NWDGAFQWSA-N 0 3 242.407 2.515 20 0 BFADHN CCCCCC(=O)N[C@H]1CCCN(C(C)C)C1 ZINC000347908807 136015893 /nfs/dbraw/zinc/01/58/93/136015893.db2.gz GKMKHHBTGLRSKD-ZDUSSCGKSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1nc([C@H]2CCCN(C3CCCC3)C2)no1 ZINC000367014250 136016591 /nfs/dbraw/zinc/01/65/91/136016591.db2.gz URDLDGKYHWKIMX-NSHDSACASA-N 0 3 235.331 2.500 20 0 BFADHN Fc1cccc2c1CN(CC1CCC1)CC2 ZINC000347943525 136019481 /nfs/dbraw/zinc/01/94/81/136019481.db2.gz FYVRJAYIYQGTOZ-UHFFFAOYSA-N 0 3 219.303 2.984 20 0 BFADHN CCN(C)CCNC(=O)[C@@H](C)CCC(C)(C)C ZINC000348023571 136022180 /nfs/dbraw/zinc/02/21/80/136022180.db2.gz QYTYNFYXUZSYOF-LBPRGKRZSA-N 0 3 242.407 2.517 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cnc(C)cn1 ZINC000335313932 136031544 /nfs/dbraw/zinc/03/15/44/136031544.db2.gz ZHBZDDZDGFVKEB-DGCLKSJQSA-N 0 3 219.332 2.548 20 0 BFADHN C[C@@H](O)CCN(C)Cc1cccc(C(F)F)c1 ZINC000348054439 136031593 /nfs/dbraw/zinc/03/15/93/136031593.db2.gz YRKUNIOTLQUDAI-SNVBAGLBSA-N 0 3 243.297 2.827 20 0 BFADHN Cc1cccc(C)c1C[NH2+]Cc1ncccc1[O-] ZINC000348092396 136035542 /nfs/dbraw/zinc/03/55/42/136035542.db2.gz OXZPOSCUIJMOGL-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1cn2cc(C)ccc2n1 ZINC000348107826 136036871 /nfs/dbraw/zinc/03/68/71/136036871.db2.gz JBLPZPGYLFSWNY-JSGCOSHPSA-N 0 3 243.354 2.921 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1cn2c(cccc2C)n1 ZINC000348111292 136037333 /nfs/dbraw/zinc/03/73/33/136037333.db2.gz AJMFXTOWECLHDK-JSGCOSHPSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1cccc(CN[C@H]2C[C@H]2C(F)F)c1 ZINC000348187266 136041503 /nfs/dbraw/zinc/04/15/03/136041503.db2.gz PQLQJGZGZKTANN-MNOVXSKESA-N 0 3 211.255 2.738 20 0 BFADHN O=C(CCN1CCC12CCC2)c1ccccc1 ZINC000348161860 136041742 /nfs/dbraw/zinc/04/17/42/136041742.db2.gz AMDYMIUGRKRQDV-UHFFFAOYSA-N 0 3 229.323 2.888 20 0 BFADHN C[C@@H]1C[C@H](C)N1C/C=C/c1ccncc1 ZINC000335337397 136044455 /nfs/dbraw/zinc/04/44/55/136044455.db2.gz XDLMXTOEPKUYGF-ROUHBEFFSA-N 0 3 202.301 2.578 20 0 BFADHN CCN(Cc1c[nH]c2ccccc12)[C@H]1CCOC1 ZINC000348214634 136045988 /nfs/dbraw/zinc/04/59/88/136045988.db2.gz ZFEUVJIYYMETKY-ZDUSSCGKSA-N 0 3 244.338 2.779 20 0 BFADHN FC(F)[C@@H]1C[C@@H]1N[C@H]1CCc2ccccc21 ZINC000348220070 136047019 /nfs/dbraw/zinc/04/70/19/136047019.db2.gz HRDDZELSGSCFIQ-WOPDTQHZSA-N 0 3 223.266 2.917 20 0 BFADHN Fc1ccc(CN[C@@H]2C[C@H]2C(F)F)cc1F ZINC000348221567 136047353 /nfs/dbraw/zinc/04/73/53/136047353.db2.gz OTASGJROJJYMSS-GMSGAONNSA-N 0 3 233.208 2.708 20 0 BFADHN Fc1ccc(CN[C@@H]2C[C@@H]2C(F)F)cc1 ZINC000348225238 136048400 /nfs/dbraw/zinc/04/84/00/136048400.db2.gz SXDQXQMWBWNYIA-VHSXEESVSA-N 0 3 215.218 2.569 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2C[C@@H]2C(F)F)s1 ZINC000348187961 136048887 /nfs/dbraw/zinc/04/88/87/136048887.db2.gz QSVFZLIHSZEYNI-JGVFFNPUSA-N 0 3 232.299 2.503 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]2C(F)F)ccc1F ZINC000348192742 136049561 /nfs/dbraw/zinc/04/95/61/136049561.db2.gz YKIIJSFNXMNVEV-MWLCHTKSSA-N 0 3 229.245 2.877 20 0 BFADHN C[C@H]1CN(C)CCN1CCC1CCCCC1 ZINC000335332213 136054328 /nfs/dbraw/zinc/05/43/28/136054328.db2.gz RVFKVCCGYNIWQZ-ZDUSSCGKSA-N 0 3 224.392 2.593 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](c1nccn1C)C1CC1 ZINC000348277109 136059359 /nfs/dbraw/zinc/05/93/59/136059359.db2.gz FYXVSODQCDSXNJ-WXHSDQCUSA-N 0 3 233.359 2.649 20 0 BFADHN CC(C)CCCN1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000348234424 136060627 /nfs/dbraw/zinc/06/06/27/136060627.db2.gz KRLYXQOFSIOGIX-GXTWGEPZSA-N 0 3 225.376 2.922 20 0 BFADHN CC(C)CCN1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000348231762 136060779 /nfs/dbraw/zinc/06/07/79/136060779.db2.gz PKTNTPBGWYLJPB-WCQYABFASA-N 0 3 211.349 2.532 20 0 BFADHN OC[C@]1(F)CCN(CCC2CCCCCC2)C1 ZINC000348285995 136061367 /nfs/dbraw/zinc/06/13/67/136061367.db2.gz VNJWDKMPGMOXDX-AWEZNQCLSA-N 0 3 243.366 2.753 20 0 BFADHN Cc1csc(CN[C@H]2CCC[C@@H](F)C2)n1 ZINC000335370884 136083084 /nfs/dbraw/zinc/08/30/84/136083084.db2.gz AUETUCGZAMSKRK-ZJUUUORDSA-N 0 3 228.336 2.822 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCC[C@@H](F)C1 ZINC000335372738 136083822 /nfs/dbraw/zinc/08/38/22/136083822.db2.gz OSFJLOPBVUAVNM-GHMZBOCLSA-N 0 3 211.280 2.958 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@H](F)C2)cs1 ZINC000335372426 136083927 /nfs/dbraw/zinc/08/39/27/136083927.db2.gz KWPBZCODSBFPJU-VHSXEESVSA-N 0 3 228.336 2.822 20 0 BFADHN Fc1cncc(CN[C@H]2CCC[C@H](F)C2)c1 ZINC000335384433 136088675 /nfs/dbraw/zinc/08/86/75/136088675.db2.gz FWCPDYVVKQIWEZ-JQWIXIFHSA-N 0 3 226.270 2.591 20 0 BFADHN COc1cncc(CN2CC[C@@H]3CCCC[C@@H]32)c1 ZINC000335388814 136089885 /nfs/dbraw/zinc/08/98/85/136089885.db2.gz LUEWLCDCSWAIKG-ZFWWWQNUSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc3ccc(F)cc3[nH]2)CO1 ZINC000335390826 136090591 /nfs/dbraw/zinc/09/05/91/136090591.db2.gz BDGQBEBRHHLEST-RNCFNFMXSA-N 0 3 248.301 2.574 20 0 BFADHN Cc1ccc(CN2CC(C)(C(N)=O)C2)c(C)c1 ZINC000335395438 136092093 /nfs/dbraw/zinc/09/20/93/136092093.db2.gz NSYYNLJXZXVGDS-UHFFFAOYSA-N 0 3 232.327 2.661 20 0 BFADHN Cc1cnc(CCN2C[C@@H](C)[C@H]2C)c(C)c1 ZINC000335364604 136095282 /nfs/dbraw/zinc/09/52/82/136095282.db2.gz BWULNIYLJMHEOL-CHWSQXEVSA-N 0 3 218.344 2.581 20 0 BFADHN CCN1CCN(Cc2ccc(C)s2)[C@@H](C)C1 ZINC000348550740 136096222 /nfs/dbraw/zinc/09/62/22/136096222.db2.gz SZSSSTBJFPKYPA-NSHDSACASA-N 0 3 238.400 2.583 20 0 BFADHN C[C@H]1CCN(CC2CC(F)(F)C2)[C@@H](C(N)=O)C1 ZINC000335586157 136101810 /nfs/dbraw/zinc/10/18/10/136101810.db2.gz MCDQEEMCBBTSDG-WCBMZHEXSA-N 0 3 246.301 2.667 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2cnc(C)nc2)C1 ZINC000335590198 136103193 /nfs/dbraw/zinc/10/31/93/136103193.db2.gz DIYCHYWQJAZDAP-WCQYABFASA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cc(CN2CCC(C)(C3CC3)CC2)n(C)n1 ZINC000335597296 136105513 /nfs/dbraw/zinc/10/55/13/136105513.db2.gz UDOUHRMIGMDMMX-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN c1cc(C2CCN([C@@H]3CCOC3)CC2)cs1 ZINC000335568364 136108364 /nfs/dbraw/zinc/10/83/64/136108364.db2.gz FTGQTFFTNHCBIA-CYBMUJFWSA-N 0 3 237.368 2.716 20 0 BFADHN C[C@@H](N[C@@H]1CCCC(F)(F)C1)c1cn[nH]c1 ZINC000335573120 136110215 /nfs/dbraw/zinc/11/02/15/136110215.db2.gz YTNYVJYFLQFSBC-PSASIEDQSA-N 0 3 229.274 2.638 20 0 BFADHN F[C@@H]1CC[C@@H](NCc2cc3cccnc3o2)C1 ZINC000335582246 136113061 /nfs/dbraw/zinc/11/30/61/136113061.db2.gz SJIJWGSUYQDRFB-GHMZBOCLSA-N 0 3 234.274 2.808 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCCOC2)cc1F ZINC000348699946 136116308 /nfs/dbraw/zinc/11/63/08/136116308.db2.gz AXIAFYMDGAIANU-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCCC[C@H]1c1cc[nH]n1 ZINC000348709323 136116732 /nfs/dbraw/zinc/11/67/32/136116732.db2.gz MGDXCMMTCXEMLR-MDZLAQPJSA-N 0 3 219.332 2.593 20 0 BFADHN CCN(C/C=C/c1ccc(F)cc1)C[C@@H](C)O ZINC000348709858 136116833 /nfs/dbraw/zinc/11/68/33/136116833.db2.gz BTIWPRQMRXDBTI-ZYOFXKKJSA-N 0 3 237.318 2.542 20 0 BFADHN CCC(CC)CN[C@@H](c1nncn1C)C(C)C ZINC000348708836 136116915 /nfs/dbraw/zinc/11/69/15/136116915.db2.gz LPQDVPRNFHVMTD-GFCCVEGCSA-N 0 3 238.379 2.538 20 0 BFADHN CCN(C/C=C\c1ccc(F)cc1)C[C@@H](C)O ZINC000348709856 136116986 /nfs/dbraw/zinc/11/69/86/136116986.db2.gz BTIWPRQMRXDBTI-QMAVJUDZSA-N 0 3 237.318 2.542 20 0 BFADHN CC(C)[C@H](C)C[NH2+]Cc1cc([O-])cc(F)c1 ZINC000159604364 397764471 /nfs/dbraw/zinc/76/44/71/397764471.db2.gz QMPXFAAPIGFMPB-SNVBAGLBSA-N 0 3 225.307 2.913 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC[C@H](C)CC1 ZINC000381628588 397774605 /nfs/dbraw/zinc/77/46/05/397774605.db2.gz MDIBXPUNZSXRGX-GXSJLCMTSA-N 0 3 239.388 2.905 20 0 BFADHN CCN1CCCN(Cc2csc(C)c2)CC1 ZINC000648346684 397801024 /nfs/dbraw/zinc/80/10/24/397801024.db2.gz XRWJIGBEPAWHQX-UHFFFAOYSA-N 0 3 238.400 2.584 20 0 BFADHN Cc1ccc2c(c1)CCN(CCCCCO)C2 ZINC000361840743 397801862 /nfs/dbraw/zinc/80/18/62/397801862.db2.gz XIXGBAPXBZOPDO-UHFFFAOYSA-N 0 3 233.355 2.516 20 0 BFADHN CC[C@H](O)CN(CC)Cc1ccccc1Cl ZINC000106382539 397856071 /nfs/dbraw/zinc/85/60/71/397856071.db2.gz SMFQIGQYCMQFDH-LBPRGKRZSA-N 0 3 241.762 2.933 20 0 BFADHN COCc1cnc(CN[C@H](C)C(C)(C)C)s1 ZINC000309870269 397871551 /nfs/dbraw/zinc/87/15/51/397871551.db2.gz QIVFDUWEONZLLD-SECBINFHSA-N 0 3 242.388 2.814 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1cc(F)ccc1C ZINC000185809831 397873922 /nfs/dbraw/zinc/87/39/22/397873922.db2.gz WLKIGDIXVBTNHF-XGACYXMMSA-N 0 3 237.318 2.551 20 0 BFADHN CC(C)[C@H]1CCCCN1Cc1cc2n(n1)CCC2 ZINC000649535492 397927566 /nfs/dbraw/zinc/92/75/66/397927566.db2.gz QKOWWULHUAQFPC-OAHLLOKOSA-N 0 3 247.386 2.840 20 0 BFADHN C[C@@H]1C[C@@H](NC2CSC2)c2ccccc2O1 ZINC000648698698 397928688 /nfs/dbraw/zinc/92/86/88/397928688.db2.gz BFNBTUOZYCQWJK-BXKDBHETSA-N 0 3 235.352 2.604 20 0 BFADHN C[C@]1(CN2CC3(CC3(F)F)C2)CCCS1 ZINC000628326658 397929025 /nfs/dbraw/zinc/92/90/25/397929025.db2.gz QFEWMDKXMSDVJW-SECBINFHSA-N 0 3 233.327 2.613 20 0 BFADHN CCC[C@H](NC[C@@H](C)OC)c1cc(C)ccn1 ZINC000631623222 397929033 /nfs/dbraw/zinc/92/90/33/397929033.db2.gz XSYKEAHHQYYOCT-OLZOCXBDSA-N 0 3 236.359 2.856 20 0 BFADHN CC[C@H](F)CN(CCO)CC1CCCCC1 ZINC000440528104 397931249 /nfs/dbraw/zinc/93/12/49/397931249.db2.gz RGAOLHXBPMAXKM-ZDUSSCGKSA-N 0 3 231.355 2.609 20 0 BFADHN CC[C@@H](F)CN(CCO)CC1CCCCC1 ZINC000440528103 397931634 /nfs/dbraw/zinc/93/16/34/397931634.db2.gz RGAOLHXBPMAXKM-CYBMUJFWSA-N 0 3 231.355 2.609 20 0 BFADHN CC(C)CCOCCN1CCS[C@H](C)[C@@H]1C ZINC000118534227 397945236 /nfs/dbraw/zinc/94/52/36/397945236.db2.gz UQRWELUPKXHMKL-QWHCGFSZSA-N 0 3 245.432 2.875 20 0 BFADHN CC[C@H]1CCC[C@@H](CNCc2ncc[nH]2)C1 ZINC000394652881 397946714 /nfs/dbraw/zinc/94/67/14/397946714.db2.gz YEJYBWXAJNVISS-NWDGAFQWSA-N 0 3 221.348 2.716 20 0 BFADHN Cc1ccsc1CCN[C@@H](C)c1cnccn1 ZINC000359314383 397951196 /nfs/dbraw/zinc/95/11/96/397951196.db2.gz DDGWMUGDLSOOQP-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN CC[C@H]([NH2+]Cc1cnc(C)[n-]c1=O)C1CCCC1 ZINC000449231504 397952565 /nfs/dbraw/zinc/95/25/65/397952565.db2.gz DVPOKHMCLVDVKY-ZDUSSCGKSA-N 0 3 249.358 2.549 20 0 BFADHN C[C@@H](NCCCO)c1ccc(-c2ccccc2)o1 ZINC000268716280 397953407 /nfs/dbraw/zinc/95/34/07/397953407.db2.gz MRPKRCVIMXBGQE-GFCCVEGCSA-N 0 3 245.322 2.980 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3C[C@@H](O)CC[C@H]3C2)o1 ZINC000449556636 397956428 /nfs/dbraw/zinc/95/64/28/397956428.db2.gz SQZHZTXNXCZTFW-RDBSUJKOSA-N 0 3 249.354 2.571 20 0 BFADHN CC[C@H](C)N1CCN([C@@H](C)c2ccncc2)CC1 ZINC000650178071 397956780 /nfs/dbraw/zinc/95/67/80/397956780.db2.gz VNAYCZAPSLRCQP-KBPBESRZSA-N 0 3 247.386 2.559 20 0 BFADHN CO[C@](C)([C@H](C)NCc1occc1C)C1CC1 ZINC000414500002 397957349 /nfs/dbraw/zinc/95/73/49/397957349.db2.gz KWOZJSLHUCFYKZ-SMDDNHRTSA-N 0 3 237.343 2.881 20 0 BFADHN C[C@H]1CCN(Cc2cc3n(n2)CCC3)C[C@H](C)C1 ZINC000649567590 397958037 /nfs/dbraw/zinc/95/80/37/397958037.db2.gz XCNVCAGCIDOSGA-QWHCGFSZSA-N 0 3 247.386 2.697 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2ccnn2C)cc1 ZINC000192245668 397892396 /nfs/dbraw/zinc/89/23/96/397892396.db2.gz JVNDYIXWYFIYLG-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN CCc1ccc(CN[C@@H](C)c2ccnn2C)s1 ZINC000192672512 397895074 /nfs/dbraw/zinc/89/50/74/397895074.db2.gz DFTYEDLGFMTIJB-JTQLQIEISA-N 0 3 249.383 2.895 20 0 BFADHN C=Cn1cc(CN(C)C2CCCCC2)cn1 ZINC000193590443 397896884 /nfs/dbraw/zinc/89/68/84/397896884.db2.gz YBIGUSKLCGNBQB-UHFFFAOYSA-N 0 3 219.332 2.748 20 0 BFADHN CC1(C)CCCC[C@H]1NCc1nnc(C2CC2)[nH]1 ZINC000119968248 397908956 /nfs/dbraw/zinc/90/89/56/397908956.db2.gz HZEGGAXVCPETTR-LLVKDONJSA-N 0 3 248.374 2.741 20 0 BFADHN COC(C)(C)[C@H](C)NCc1cc(F)ccc1F ZINC000302313788 397911188 /nfs/dbraw/zinc/91/11/88/397911188.db2.gz BNBBWHKIIQDDBW-VIFPVBQESA-N 0 3 243.297 2.868 20 0 BFADHN CC(C)(O)CN(Cc1ccc(F)cc1)C1CC1 ZINC000264094712 397915036 /nfs/dbraw/zinc/91/50/36/397915036.db2.gz QQCFWDMFTDISFR-UHFFFAOYSA-N 0 3 237.318 2.561 20 0 BFADHN COc1c(O)cccc1CNC[C@H]1CC[C@H](C)C1 ZINC000355602160 397915208 /nfs/dbraw/zinc/91/52/08/397915208.db2.gz UHVNRKBXCZGWMG-RYUDHWBXSA-N 0 3 249.354 2.927 20 0 BFADHN CCCn1cc(CN(C)[C@H]2CC[C@H](C)C2)cn1 ZINC000433046773 397916489 /nfs/dbraw/zinc/91/64/89/397916489.db2.gz IOSPYIFBKMXJEE-JSGCOSHPSA-N 0 3 235.375 2.914 20 0 BFADHN CCCn1cc(CN(C)[C@H]2CC[C@@H](C)C2)cn1 ZINC000433046774 397916661 /nfs/dbraw/zinc/91/66/61/397916661.db2.gz IOSPYIFBKMXJEE-OCCSQVGLSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1nocc1CNC1CC(C(C)C)C1 ZINC000382183160 397918989 /nfs/dbraw/zinc/91/89/89/397918989.db2.gz ZZWIJQIZVHSEMB-UHFFFAOYSA-N 0 3 208.305 2.507 20 0 BFADHN CCc1ccc([C@@H](C)NCCCOC)o1 ZINC000151708053 397919849 /nfs/dbraw/zinc/91/98/49/397919849.db2.gz XMPJVJPWNUOQSL-SNVBAGLBSA-N 0 3 211.305 2.529 20 0 BFADHN CCc1ncc(CN2C[C@@H](C)C[C@H]2CC)cn1 ZINC000414393734 397926815 /nfs/dbraw/zinc/92/68/15/397926815.db2.gz JFJRQNBXYDFYBZ-WCQYABFASA-N 0 3 233.359 2.659 20 0 BFADHN C[C@@H](NCC[C@@H]1CCO[C@H](C)C1)c1ccccn1 ZINC000273204268 397994983 /nfs/dbraw/zinc/99/49/83/397994983.db2.gz JGHLWMCUZAKIAM-MGPQQGTHSA-N 0 3 248.370 2.937 20 0 BFADHN COC[C@H](NCC1CCC1)c1ccc(C)o1 ZINC000184641251 398045250 /nfs/dbraw/zinc/04/52/50/398045250.db2.gz BKZHDJPFCWBSKW-LBPRGKRZSA-N 0 3 223.316 2.665 20 0 BFADHN c1coc(-c2noc([C@H]3CC[C@@H](C4CC4)N3)n2)c1 ZINC000650229210 397999352 /nfs/dbraw/zinc/99/93/52/397999352.db2.gz SBXZPOCZEJTZIS-VHSXEESVSA-N 0 3 245.282 2.533 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1cc(C)oc1C ZINC000167049535 398004051 /nfs/dbraw/zinc/00/40/51/398004051.db2.gz FVAPUNAAVIZNAF-NXEZZACHSA-N 0 3 211.305 2.582 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1cncc(C)c1 ZINC000274665318 398012322 /nfs/dbraw/zinc/01/23/22/398012322.db2.gz XARGTLOXTUEWKA-NWANDNLSSA-N 0 3 248.370 2.998 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cc2n(n1)CCCC2 ZINC000649632121 398014391 /nfs/dbraw/zinc/01/43/91/398014391.db2.gz XPZYSBMXRNUSQD-FRRDWIJNSA-N 0 3 247.386 2.696 20 0 BFADHN Cc1nc(F)ccc1CN1CCC(C)CC1 ZINC000279454398 398047363 /nfs/dbraw/zinc/04/73/63/398047363.db2.gz IMCGHXQXCPSWJS-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN CN(Cc1cccs1)[C@H](CO)c1ccccc1 ZINC000276741384 398023427 /nfs/dbraw/zinc/02/34/27/398023427.db2.gz DFVXSMBUCPJFNW-CQSZACIVSA-N 0 3 247.363 2.914 20 0 BFADHN Cc1nc(C)c(CN(C)[C@H]2CC2(C)C)s1 ZINC000489430458 398024707 /nfs/dbraw/zinc/02/47/07/398024707.db2.gz QVKVOSXGYGKOHC-NSHDSACASA-N 0 3 224.373 2.990 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@H]2CCCOC2)o1 ZINC000038043816 398024795 /nfs/dbraw/zinc/02/47/95/398024795.db2.gz GBOLIUIOZYHPFJ-DYEKYZERSA-N 0 3 249.354 2.919 20 0 BFADHN Cc1noc(C)c1CCN1CCC12CCCC2 ZINC000649657774 398025679 /nfs/dbraw/zinc/02/56/79/398025679.db2.gz LXUYRADPFCDFHE-UHFFFAOYSA-N 0 3 234.343 2.852 20 0 BFADHN COc1ccc(CN2CCC23CCCC3)nc1 ZINC000649657738 398026002 /nfs/dbraw/zinc/02/60/02/398026002.db2.gz LDXQHVKZTOIRIN-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C2CCC3(CC3)CC2)n1 ZINC000277546447 398030283 /nfs/dbraw/zinc/03/02/83/398030283.db2.gz XVRKBOVKWXKVOF-UHFFFAOYSA-N 0 3 246.354 2.908 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)NC1(C)CCCCC1 ZINC000637938171 398048894 /nfs/dbraw/zinc/04/88/94/398048894.db2.gz WOBSDNZSBPXANW-GFCCVEGCSA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@]1(O)CCN([C@@H](C)c2ccccc2F)C1 ZINC000334790581 398037093 /nfs/dbraw/zinc/03/70/93/398037093.db2.gz FNCSJGJTXBQEGF-FZMZJTMJSA-N 0 3 237.318 2.734 20 0 BFADHN Cc1cccc(Cl)c1CN1CC[C@@H](O)[C@H]1C ZINC000650191079 397974231 /nfs/dbraw/zinc/97/42/31/397974231.db2.gz ZEOXRYNUKIFCCS-ZWNOBZJWSA-N 0 3 239.746 2.604 20 0 BFADHN CCO[C@@H]1CCN(Cc2cccc(C)n2)C[C@@H]1C ZINC000451553502 397977139 /nfs/dbraw/zinc/97/71/39/397977139.db2.gz ZPNUCGBVDBNZDW-SWLSCSKDSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2cc3n(n2)CCC3)[C@@H]1C ZINC000649587164 397977211 /nfs/dbraw/zinc/97/72/11/397977211.db2.gz BYASUJHHUVWZOR-LALPHHSUSA-N 0 3 247.386 2.744 20 0 BFADHN C(=C/c1ccncc1)\CN[C@@H]1C[C@H]1c1ccco1 ZINC000414597767 397978873 /nfs/dbraw/zinc/97/88/73/397978873.db2.gz WYYRHQAEQOKZHG-ZQBZMKNESA-N 0 3 240.306 2.834 20 0 BFADHN COC[C@H](NCCC(C)C)c1ccco1 ZINC000184555656 398042845 /nfs/dbraw/zinc/04/28/45/398042845.db2.gz NGRYJQVPUVKWBL-NSHDSACASA-N 0 3 211.305 2.603 20 0 BFADHN CC(C)=CCN1CCC[C@H](OC(C)C)C1 ZINC000649699615 398050055 /nfs/dbraw/zinc/05/00/55/398050055.db2.gz OILSQKYICCEYTR-ZDUSSCGKSA-N 0 3 211.349 2.842 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1Cc1ccn(C)n1 ZINC000354033071 398043196 /nfs/dbraw/zinc/04/31/96/398043196.db2.gz HRMMCUZAAGUZRR-JSGCOSHPSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCN(c2ccccc2F)CC1 ZINC000488311311 398060150 /nfs/dbraw/zinc/06/01/50/398060150.db2.gz ITRCBXWFKCRVST-QWHCGFSZSA-N 0 3 248.345 2.604 20 0 BFADHN CC(C)c1ccc(NC(=O)/C=C\CN(C)C)cc1 ZINC000491685559 398060948 /nfs/dbraw/zinc/06/09/48/398060948.db2.gz MLMCRQUFHZHGQD-WAYWQWQTSA-N 0 3 246.354 2.866 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000161146605 398061117 /nfs/dbraw/zinc/06/11/17/398061117.db2.gz VJRSAVRNDHCWLI-CKYFFXLPSA-N 0 3 237.343 2.652 20 0 BFADHN CCC[C@H](NCc1cn(C)cn1)C(C)(C)C ZINC000649767755 398092493 /nfs/dbraw/zinc/09/24/93/398092493.db2.gz ADZCPEXFWIBCGQ-LBPRGKRZSA-N 0 3 223.364 2.725 20 0 BFADHN CC[C@@H]1CN(CCCOC(C)C)[C@H](CC)CO1 ZINC000649721481 398064632 /nfs/dbraw/zinc/06/46/32/398064632.db2.gz YRYPHBXAPRQPSQ-ZIAGYGMSSA-N 0 3 243.391 2.691 20 0 BFADHN CC[C@@H]1CN(Cc2ccccc2C)[C@@H](C)CO1 ZINC000649729927 398068110 /nfs/dbraw/zinc/06/81/10/398068110.db2.gz IBGZEIJMOSWUIB-DZGCQCFKSA-N 0 3 233.355 2.994 20 0 BFADHN CC[C@@H]1CN(CCc2ccccc2)[C@@H](C)CO1 ZINC000649731658 398071006 /nfs/dbraw/zinc/07/10/06/398071006.db2.gz KTJWNSVPFDFMAX-DZGCQCFKSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@@H]1CN(CCc2ccccc2)[C@H](C)CO1 ZINC000649731660 398071539 /nfs/dbraw/zinc/07/15/39/398071539.db2.gz KTJWNSVPFDFMAX-UKRRQHHQSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@H]1CN(CC2(C)CCC2)[C@@H](C)CO1 ZINC000649736698 398078796 /nfs/dbraw/zinc/07/87/96/398078796.db2.gz HGSVSXZTJMINAN-RYUDHWBXSA-N 0 3 211.349 2.676 20 0 BFADHN FC1(F)CC12CCN(CCOC1CCC1)CC2 ZINC000649773424 398095483 /nfs/dbraw/zinc/09/54/83/398095483.db2.gz RZVNMXCTJBHYSE-UHFFFAOYSA-N 0 3 245.313 2.677 20 0 BFADHN CC(C)O[C@H]1CCCN(CCc2cccnc2)C1 ZINC000649703170 398051401 /nfs/dbraw/zinc/05/14/01/398051401.db2.gz WFAOJDDELURIQE-HNNXBMFYSA-N 0 3 248.370 2.514 20 0 BFADHN CCc1ccc(CNCCc2ccccn2)o1 ZINC000051721380 398057359 /nfs/dbraw/zinc/05/73/59/398057359.db2.gz GDUKWIAVPJWXDN-UHFFFAOYSA-N 0 3 230.311 2.569 20 0 BFADHN CCc1ccc(CNCCc2cccnc2)o1 ZINC000051724252 398058624 /nfs/dbraw/zinc/05/86/24/398058624.db2.gz QLAHSBYHHJTUNH-UHFFFAOYSA-N 0 3 230.311 2.569 20 0 BFADHN CC[C@H]1CN(C)CCN1Cc1cc(C)ccc1C ZINC000556952624 398059010 /nfs/dbraw/zinc/05/90/10/398059010.db2.gz BFPQJVHVSFEVGN-INIZCTEOSA-N 0 3 246.398 2.829 20 0 BFADHN CCCCCC(=O)Nc1ccc2c(c1)CNCC2 ZINC000069052730 398100320 /nfs/dbraw/zinc/10/03/20/398100320.db2.gz ZWPYBZRTAYONDT-UHFFFAOYSA-N 0 3 246.354 2.851 20 0 BFADHN CCC[C@H](C)C(=O)Nc1ccc2c(c1)CNCC2 ZINC000069052645 398100372 /nfs/dbraw/zinc/10/03/72/398100372.db2.gz JKKNMKZFOCBVRC-NSHDSACASA-N 0 3 246.354 2.707 20 0 BFADHN CC(C)(C)CC(=O)Nc1ccc2c(c1)CNCC2 ZINC000069052503 398100434 /nfs/dbraw/zinc/10/04/34/398100434.db2.gz VNGZHCRGUFTJHV-UHFFFAOYSA-N 0 3 246.354 2.707 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(Cl)o2)[C@H](C)O1 ZINC000384766167 398104807 /nfs/dbraw/zinc/10/48/07/398104807.db2.gz OPPWZHBGEOAIBZ-KHQFGBGNSA-N 0 3 229.707 2.589 20 0 BFADHN CCC(CC)CN(CC)CC(=O)NCC(C)C ZINC000051824309 398105312 /nfs/dbraw/zinc/10/53/12/398105312.db2.gz XIUHPRPMVAKKBJ-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN CC[C@@H](NC[C@@H]1CCOC1)c1nc(C)cs1 ZINC000128909864 398097736 /nfs/dbraw/zinc/09/77/36/398097736.db2.gz MUGAOVUSGIJBTP-WDEREUQCSA-N 0 3 240.372 2.529 20 0 BFADHN COc1ncc(CN[C@H](C)C(C)(C)C)s1 ZINC000308848234 398097904 /nfs/dbraw/zinc/09/79/04/398097904.db2.gz LZYWTOHWRQLJSE-MRVPVSSYSA-N 0 3 228.361 2.676 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H](C)O[C@@H](C)C2)o1 ZINC000245720702 398117084 /nfs/dbraw/zinc/11/70/84/398117084.db2.gz PNUOQEJFNISYQU-ZSBIGDGJSA-N 0 3 223.316 2.634 20 0 BFADHN Cc1cccnc1CNC1(C2CCC2)CC1 ZINC000649810793 398117892 /nfs/dbraw/zinc/11/78/92/398117892.db2.gz ZNMGYLZUXWJBSE-UHFFFAOYSA-N 0 3 216.328 2.812 20 0 BFADHN COc1cncc(CNC2(C3CCC3)CC2)c1 ZINC000649811223 398118766 /nfs/dbraw/zinc/11/87/66/398118766.db2.gz JPOCYQMHCZCKNG-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ncccc1F ZINC000090721315 398111802 /nfs/dbraw/zinc/11/18/02/398111802.db2.gz OKGIHBWIFAHGCR-UWVGGRQHSA-N 0 3 210.296 2.745 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1cncc(F)c1 ZINC000090725021 398112007 /nfs/dbraw/zinc/11/20/07/398112007.db2.gz CBXRDYWQDQREEI-BDAKNGLRSA-N 0 3 228.336 2.623 20 0 BFADHN COc1nccnc1CN[C@@H](C)C1CCCCC1 ZINC000449161772 398123928 /nfs/dbraw/zinc/12/39/28/398123928.db2.gz GSQBUYYVIYOXDE-NSHDSACASA-N 0 3 249.358 2.544 20 0 BFADHN CO[C@H](CN[C@@H]1CCc2c1cccc2F)C1CC1 ZINC000293780717 398124444 /nfs/dbraw/zinc/12/44/44/398124444.db2.gz SXNLETQCLWHPOK-HUUCEWRRSA-N 0 3 249.329 2.828 20 0 BFADHN CC[C@H](C)N1CCN(C/C=C(\C)Cl)CC1 ZINC000528516832 398124578 /nfs/dbraw/zinc/12/45/78/398124578.db2.gz FSJQDDPEGPLATI-JVOXIWMLSA-N 0 3 230.783 2.545 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCCO2)cc1F ZINC000070160433 398127415 /nfs/dbraw/zinc/12/74/15/398127415.db2.gz NRKVFWFRKGNMPJ-CYBMUJFWSA-N 0 3 237.318 2.793 20 0 BFADHN CCCN(Cc1cnc(C2CC2)nc1)C(C)C ZINC000572016449 398129255 /nfs/dbraw/zinc/12/92/55/398129255.db2.gz WAUXWJLFOCHHJX-UHFFFAOYSA-N 0 3 233.359 2.974 20 0 BFADHN C[C@H](C[C@H]1CCCO1)N[C@@H](C)c1nccs1 ZINC000336742189 398131524 /nfs/dbraw/zinc/13/15/24/398131524.db2.gz NXNJXNQZPRGONE-OUAUKWLOSA-N 0 3 240.372 2.751 20 0 BFADHN COC[C@@H]1CCCN(Cc2cc(C)ccn2)CC1 ZINC000650338871 398122304 /nfs/dbraw/zinc/12/23/04/398122304.db2.gz KVUZDHNVZSBYJZ-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN CC/C=C\CCN1C[C@H](C)OCC12CCC2 ZINC000649821896 398123398 /nfs/dbraw/zinc/12/33/98/398123398.db2.gz TVOQKCXWWZMYCA-ZFDPJTLLSA-N 0 3 223.360 2.986 20 0 BFADHN CO[C@H](C)CN1CCc2ccccc2[C@@H](C)C1 ZINC000649835308 398133892 /nfs/dbraw/zinc/13/38/92/398133892.db2.gz FXDXIOHNEKALIW-QWHCGFSZSA-N 0 3 233.355 2.683 20 0 BFADHN CC(C)NCc1cc(Cl)cc2c1OCC2 ZINC000125911033 167116654 /nfs/dbraw/zinc/11/66/54/167116654.db2.gz SQZKCJBTAIAUNB-UHFFFAOYSA-N 0 3 225.719 2.773 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)C[C@@H](O)C1CC1 ZINC000685460768 487529518 /nfs/dbraw/zinc/52/95/18/487529518.db2.gz FBXOPSRDYLPAOC-XYBNCVKDSA-N 0 3 249.329 2.542 20 0 BFADHN CN(Cc1coc2ccccc12)C[C@H](O)C1CC1 ZINC000685461150 487529572 /nfs/dbraw/zinc/52/95/72/487529572.db2.gz RHRWAGWGSKCEDR-AWEZNQCLSA-N 0 3 245.322 2.636 20 0 BFADHN CC(C)OC(=O)CCN(C)[C@@H](C)c1ccco1 ZINC000075674390 167154689 /nfs/dbraw/zinc/15/46/89/167154689.db2.gz DRAYVDLFIMMNBO-NSHDSACASA-N 0 3 239.315 2.614 20 0 BFADHN CC(C)Oc1ccccc1[C@H](C)NC[C@@H](C)O ZINC000530616760 322887301 /nfs/dbraw/zinc/88/73/01/322887301.db2.gz HGBQUQCUARGELY-NEPJUHHUSA-N 0 3 237.343 2.505 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)C1(CO)CCC1 ZINC000668802671 487564890 /nfs/dbraw/zinc/56/48/90/487564890.db2.gz STMBQOIMMFYGEQ-RQOWECAXSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1cc(CNC[C@H]2CC(C)=C[C@@H](C)C2)ncn1 ZINC000685619378 487565881 /nfs/dbraw/zinc/56/58/81/487565881.db2.gz WYQIQRYQVDPOBX-BXUZGUMPSA-N 0 3 245.370 2.867 20 0 BFADHN c1c2ccccc2[nH]c1CNCC1=CCCOC1 ZINC000673957910 487566166 /nfs/dbraw/zinc/56/61/66/487566166.db2.gz BPGTTYPNFSCWFU-UHFFFAOYSA-N 0 3 242.322 2.604 20 0 BFADHN Cc1ccc([C@@H]2CCN(Cc3cn[nH]c3)C2)cc1 ZINC000680334693 487567028 /nfs/dbraw/zinc/56/70/28/487567028.db2.gz PNQNJSWLBAQQKU-OAHLLOKOSA-N 0 3 241.338 2.708 20 0 BFADHN Cn1cncc1CN(C1CC1)C1CCCCC1 ZINC000674370385 487567206 /nfs/dbraw/zinc/56/72/06/487567206.db2.gz UUTICVKQBLDVDN-UHFFFAOYSA-N 0 3 233.359 2.717 20 0 BFADHN CC[C@@H](CNCc1nccc2ccccc21)OC ZINC000685631804 487568674 /nfs/dbraw/zinc/56/86/74/487568674.db2.gz MFOAAOXHNBKVEN-ZDUSSCGKSA-N 0 3 244.338 2.749 20 0 BFADHN Cn1ccc(CNCC2=CCCCC2)c1 ZINC000295166942 487569589 /nfs/dbraw/zinc/56/95/89/487569589.db2.gz SYXSBHAZSPNBTL-UHFFFAOYSA-N 0 3 204.317 2.615 20 0 BFADHN CC[C@H](C(=O)NC[C@@H](C)C(C)C)N(CC)CC ZINC000530821408 322895081 /nfs/dbraw/zinc/89/50/81/322895081.db2.gz MZDUKKKYFDXVTJ-CHWSQXEVSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1csc(CNC[C@@H]2C[C@H]2C2CC2)n1 ZINC000309180763 322898809 /nfs/dbraw/zinc/89/88/09/322898809.db2.gz NSWKIWULEZEKBX-QWRGUYRKSA-N 0 3 222.357 2.587 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000393540379 487575910 /nfs/dbraw/zinc/57/59/10/487575910.db2.gz YBUOAVHDTZBJHS-WOPDTQHZSA-N 0 3 220.316 2.570 20 0 BFADHN CC[C@H](NCc1ncccc1F)C(C)C ZINC000090721767 322907167 /nfs/dbraw/zinc/90/71/67/322907167.db2.gz HIXPNYKKEVPDBW-NSHDSACASA-N 0 3 210.296 2.745 20 0 BFADHN CCc1ncc(CN(C)C[C@H]2CC2(C)C)cn1 ZINC000668814728 487582769 /nfs/dbraw/zinc/58/27/69/487582769.db2.gz RYIYGZWJFYPBOA-GFCCVEGCSA-N 0 3 233.359 2.517 20 0 BFADHN CC(C)OCCN1CC[C@H]1Cc1ccccc1 ZINC000336692855 167235231 /nfs/dbraw/zinc/23/52/31/167235231.db2.gz IVMAFNCYJPSKCT-HNNXBMFYSA-N 0 3 233.355 2.728 20 0 BFADHN Cc1cn[nH]c1CN1C2CCCC1CCC2 ZINC000685838168 487583406 /nfs/dbraw/zinc/58/34/06/487583406.db2.gz VUYYLDJWXSVYCJ-UHFFFAOYSA-N 0 3 219.332 2.625 20 0 BFADHN CN(Cc1cccn1C)C[C@@H]1CC1(C)C ZINC000668815386 487583468 /nfs/dbraw/zinc/58/34/68/487583468.db2.gz MDGPFJADHGOVHD-NSHDSACASA-N 0 3 206.333 2.503 20 0 BFADHN CO[C@H](CN[C@H](C)c1cccnc1)C1CCCC1 ZINC000658313740 487584516 /nfs/dbraw/zinc/58/45/16/487584516.db2.gz FLKOTARSOMEVLH-IUODEOHRSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)OCCNCc1cc(F)c(F)c(F)c1 ZINC000168948363 167241597 /nfs/dbraw/zinc/24/15/97/167241597.db2.gz BQQWWOKDUAQBQQ-UHFFFAOYSA-N 0 3 247.260 2.619 20 0 BFADHN CC(C)OCCNCc1ccc(Cl)c(F)c1 ZINC000134120188 167241867 /nfs/dbraw/zinc/24/18/67/167241867.db2.gz XQZJIBLUZSQCSU-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN COCc1ccc(CN(C)C[C@@H]2CC2(C)C)o1 ZINC000668815801 487585249 /nfs/dbraw/zinc/58/52/49/487585249.db2.gz WYARUURZEHDEKT-NSHDSACASA-N 0 3 237.343 2.904 20 0 BFADHN COC[C@H]1CCCN1Cc1ccc(Cl)cc1 ZINC000674470876 487585286 /nfs/dbraw/zinc/58/52/86/487585286.db2.gz XKKJMMUGDDKGGD-CYBMUJFWSA-N 0 3 239.746 2.951 20 0 BFADHN C[C@H]1CN(Cc2ccc(O)cc2)CCC1(F)F ZINC000685849508 487588011 /nfs/dbraw/zinc/58/80/11/487588011.db2.gz SNTFNFOOUGKREV-JTQLQIEISA-N 0 3 241.281 2.869 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cn(C)nc1CC ZINC000685852409 487589179 /nfs/dbraw/zinc/58/91/79/487589179.db2.gz NPTLWAZHNXHILF-GFCCVEGCSA-N 0 3 237.391 2.993 20 0 BFADHN CC(C)OC[C@H](C)NCc1ccccc1 ZINC000306674742 167265519 /nfs/dbraw/zinc/26/55/19/167265519.db2.gz QYOLYURDVQMQSC-LBPRGKRZSA-N 0 3 207.317 2.590 20 0 BFADHN CCCn1nccc1CN(C)CCC(C)C ZINC000667499205 487596827 /nfs/dbraw/zinc/59/68/27/487596827.db2.gz CAYPYPPZXRGFTF-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN C[C@H]1CCC[C@H](N(C)Cc2cnc3n2CCC3)C1 ZINC000667499467 487597386 /nfs/dbraw/zinc/59/73/86/487597386.db2.gz KURQKCNVEMEAEW-STQMWFEESA-N 0 3 247.386 2.840 20 0 BFADHN CCC[C@H](NC1CC(OC)C1)c1ccccn1 ZINC000680470064 487602012 /nfs/dbraw/zinc/60/20/12/487602012.db2.gz MTHRHTKIAQMQJK-YIZWMMSDSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H]2CSC2(C)C)s1 ZINC000308996678 487604756 /nfs/dbraw/zinc/60/47/56/487604756.db2.gz MTDFWGSHDGFZQP-XCBNKYQSSA-N 0 3 242.413 2.996 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H]2CSC2(C)C)s1 ZINC000308996676 487605079 /nfs/dbraw/zinc/60/50/79/487605079.db2.gz MTDFWGSHDGFZQP-GMSGAONNSA-N 0 3 242.413 2.996 20 0 BFADHN CC(C)OCCNC/C=C\c1ccc(F)cc1 ZINC000680485362 487605574 /nfs/dbraw/zinc/60/55/74/487605574.db2.gz GBQSVTCTVAMILX-ARJAWSKDSA-N 0 3 237.318 2.854 20 0 BFADHN CC(C)SCCN1CCSC[C@@H]1C ZINC000336645073 167325344 /nfs/dbraw/zinc/32/53/44/167325344.db2.gz UPBCUGZHVBIVMD-JTQLQIEISA-N 0 3 219.419 2.565 20 0 BFADHN CCC[C@@H](NC(=O)[C@@H](C)NCC)c1ccccc1 ZINC000674715437 487608654 /nfs/dbraw/zinc/60/86/54/487608654.db2.gz YLCRYWNXDIBRMI-TZMCWYRMSA-N 0 3 248.370 2.642 20 0 BFADHN CC(C)SCCN1C[C@@H](C)O[C@H](C)[C@H]1C ZINC000338365245 167328794 /nfs/dbraw/zinc/32/87/94/167328794.db2.gz LODMKQOASRBBIM-IJLUTSLNSA-N 0 3 231.405 2.626 20 0 BFADHN Cc1ccc(CN2CC3CC2(C)C3)c(C)n1 ZINC000662385379 409690081 /nfs/dbraw/zinc/69/00/81/409690081.db2.gz UMOOLGZVNBJETL-UHFFFAOYSA-N 0 3 216.328 2.683 20 0 BFADHN CC(C)SCCNCc1ccnn1C(C)C ZINC000290043392 167331583 /nfs/dbraw/zinc/33/15/83/167331583.db2.gz FZQYOFOAXBCVDD-UHFFFAOYSA-N 0 3 241.404 2.695 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1cccc2ccccc21 ZINC000662432969 409716124 /nfs/dbraw/zinc/71/61/24/409716124.db2.gz WPCNEFIFYBEHHK-CYBMUJFWSA-N 0 3 242.322 2.776 20 0 BFADHN CC[C@H](NC)C(=O)Nc1cccc2ccccc21 ZINC000662432974 409716445 /nfs/dbraw/zinc/71/64/45/409716445.db2.gz WPCNEFIFYBEHHK-ZDUSSCGKSA-N 0 3 242.322 2.776 20 0 BFADHN CC(C)SCCN[C@@H]1CCCc2n[nH]cc21 ZINC000309325388 167332117 /nfs/dbraw/zinc/33/21/17/167332117.db2.gz GHMCWLIEGTXOII-LLVKDONJSA-N 0 3 239.388 2.518 20 0 BFADHN CC(C)SCCN[C@@H](C)c1ccncc1 ZINC000283148443 167332341 /nfs/dbraw/zinc/33/23/41/167332341.db2.gz OJWMZNJJLBAFLQ-NSHDSACASA-N 0 3 224.373 2.874 20 0 BFADHN CC(C)=CCCN1C[C@H](C)OC2(CCC2)C1 ZINC000660907467 409955912 /nfs/dbraw/zinc/95/59/12/409955912.db2.gz QUKNSEFOEUFMFD-ZDUSSCGKSA-N 0 3 223.360 2.986 20 0 BFADHN C[C@@H](CF)NC[C@@H]1C[C@@H]1c1ccccc1 ZINC000308946562 409965684 /nfs/dbraw/zinc/96/56/84/409965684.db2.gz SHVADNMSNHUUCT-WCFLWFBJSA-N 0 3 207.292 2.738 20 0 BFADHN C[C@H]1CN(Cc2cn[nH]c2)CCc2ccccc21 ZINC000651977176 409978804 /nfs/dbraw/zinc/97/88/04/409978804.db2.gz XPNTXPBSPOQBBC-LBPRGKRZSA-N 0 3 241.338 2.572 20 0 BFADHN C[C@@H]1CCN(Cc2ncccn2)[C@@H]2CCCC[C@H]12 ZINC000558828985 410047279 /nfs/dbraw/zinc/04/72/79/410047279.db2.gz NNDFOVGVGLXATA-MGPQQGTHSA-N 0 3 245.370 2.877 20 0 BFADHN COc1ccc(OC)c(CN(C)C(C)(C)C)c1 ZINC000558709408 410037058 /nfs/dbraw/zinc/03/70/58/410037058.db2.gz MJEACJPXUZZVEI-UHFFFAOYSA-N 0 3 237.343 2.934 20 0 BFADHN C[C@@H]1CCN(Cc2ncccn2)[C@@H]2CCCC[C@@H]12 ZINC000558828983 410047721 /nfs/dbraw/zinc/04/77/21/410047721.db2.gz NNDFOVGVGLXATA-HZSPNIEDSA-N 0 3 245.370 2.877 20 0 BFADHN Cc1ccccc1CN[C@H](C)COCC1CC1 ZINC000651981199 410119599 /nfs/dbraw/zinc/11/95/99/410119599.db2.gz BNDNGCLSYDXWAU-CYBMUJFWSA-N 0 3 233.355 2.900 20 0 BFADHN Cc1cccc(CN[C@@H](C)COCC2CC2)c1 ZINC000651981445 410120499 /nfs/dbraw/zinc/12/04/99/410120499.db2.gz MZNRIGRKOYJQDH-ZDUSSCGKSA-N 0 3 233.355 2.900 20 0 BFADHN C[C@@H](COCC1CC1)NCc1cccs1 ZINC000651981582 410120675 /nfs/dbraw/zinc/12/06/75/410120675.db2.gz SLEWWTZKZAZBDP-JTQLQIEISA-N 0 3 225.357 2.653 20 0 BFADHN COc1cccc(CN[C@@H](C)COCC2CC2)c1 ZINC000651981382 410120686 /nfs/dbraw/zinc/12/06/86/410120686.db2.gz KIFQEJPSMNJQDK-LBPRGKRZSA-N 0 3 249.354 2.600 20 0 BFADHN C[C@H](COCC1CC1)NCc1cccc(F)c1 ZINC000651981712 410121714 /nfs/dbraw/zinc/12/17/14/410121714.db2.gz ZBIPWAUBONAFKR-LLVKDONJSA-N 0 3 237.318 2.730 20 0 BFADHN C[C@H](COCC1CC1)N[C@@H](C)c1ccccn1 ZINC000651983282 410127607 /nfs/dbraw/zinc/12/76/07/410127607.db2.gz VWBKNMYGHZVVIE-NEPJUHHUSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@H](COCC1CC1)N[C@H](C)c1ccccn1 ZINC000651983285 410127787 /nfs/dbraw/zinc/12/77/87/410127787.db2.gz VWBKNMYGHZVVIE-VXGBXAGGSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@H](CN1CCC(c2ccco2)CC1)OC ZINC000661161090 410312322 /nfs/dbraw/zinc/31/23/22/410312322.db2.gz KOMCCCNDKJHKBL-CYBMUJFWSA-N 0 3 237.343 2.884 20 0 BFADHN FC1(CNCC[C@H]2CCc3ccccc3N2)CC1 ZINC000650720922 410358753 /nfs/dbraw/zinc/35/87/53/410358753.db2.gz HGYFCFGEFCYZLJ-CYBMUJFWSA-N 0 3 248.345 2.895 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1cc(OC)no1 ZINC000661231383 410381375 /nfs/dbraw/zinc/38/13/75/410381375.db2.gz MEQKYGULIDCZEG-SECBINFHSA-N 0 3 226.320 2.598 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1cn2cccnc2n1 ZINC000661234688 410389819 /nfs/dbraw/zinc/38/98/19/410389819.db2.gz ORLKPHXTTOZMOB-NSHDSACASA-N 0 3 246.358 2.644 20 0 BFADHN Cc1cc(C)cc(OCCN(C)C2CC2)c1 ZINC000046601967 410513333 /nfs/dbraw/zinc/51/33/33/410513333.db2.gz DAPPHFGWUJFQBA-UHFFFAOYSA-N 0 3 219.328 2.776 20 0 BFADHN Nc1ncccc1CN1CCC(C2CCC2)CC1 ZINC000661373708 410590200 /nfs/dbraw/zinc/59/02/00/410590200.db2.gz DYWPXXJURNPXPC-UHFFFAOYSA-N 0 3 245.370 2.676 20 0 BFADHN CCN(CC)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC000066406092 169762869 /nfs/dbraw/zinc/76/28/69/169762869.db2.gz GQNCEMCALGRDDB-CYBMUJFWSA-N 0 3 248.370 2.548 20 0 BFADHN C[C@@H](NC[C@@H]1C[C@H]2C[C@H]2C1)c1cscn1 ZINC000661415973 410630539 /nfs/dbraw/zinc/63/05/39/410630539.db2.gz VMGKDUKSMYUGGQ-CHWFTXMASA-N 0 3 222.357 2.840 20 0 BFADHN CO[C@H](CN1CC[C@@H]1c1ccc(F)cc1)C1CC1 ZINC000661505467 410716197 /nfs/dbraw/zinc/71/61/97/410716197.db2.gz AAXHILFFWFWPPZ-HUUCEWRRSA-N 0 3 249.329 2.998 20 0 BFADHN CC[C@H](N[C@H](C)c1cnc(C)s1)C(C)(C)O ZINC000393520938 410747011 /nfs/dbraw/zinc/74/70/11/410747011.db2.gz ONILIMVGHXXELM-KCJUWKMLSA-N 0 3 242.388 2.652 20 0 BFADHN Cc1nc(C)c(CN2CC(C)(C)C[C@@H]2C)o1 ZINC000665221915 410723701 /nfs/dbraw/zinc/72/37/01/410723701.db2.gz RPZGETDIGKSLGN-VIFPVBQESA-N 0 3 222.332 2.912 20 0 BFADHN CC[C@@]1(NCc2csc(C)c2)CCOC1 ZINC000393572541 410752303 /nfs/dbraw/zinc/75/23/03/410752303.db2.gz TZCWVDOKCXCVAK-GFCCVEGCSA-N 0 3 225.357 2.715 20 0 BFADHN CC[C@]1(NCc2csc(C)c2)CCOC1 ZINC000393572543 410752636 /nfs/dbraw/zinc/75/26/36/410752636.db2.gz TZCWVDOKCXCVAK-LBPRGKRZSA-N 0 3 225.357 2.715 20 0 BFADHN CC[C@H](N[C@H]1CCCc2cccnc21)C(C)(C)O ZINC000394013097 410774511 /nfs/dbraw/zinc/77/45/11/410774511.db2.gz NJQWIPNVXJLSGV-STQMWFEESA-N 0 3 248.370 2.598 20 0 BFADHN CC(C)CNc1ccc(OCCN(C)C)cc1 ZINC000037774291 167016384 /nfs/dbraw/zinc/01/63/84/167016384.db2.gz CQFVXKXPQUOBNE-UHFFFAOYSA-N 0 3 236.359 2.695 20 0 BFADHN CC(C)CNc1cccc(CN(C)C)c1 ZINC000036979652 167016639 /nfs/dbraw/zinc/01/66/39/167016639.db2.gz PVHNXVZZOVNHNG-UHFFFAOYSA-N 0 3 206.333 2.816 20 0 BFADHN CC(C)NCC(=O)Nc1cccc2c1CCCC2 ZINC000037609775 167096565 /nfs/dbraw/zinc/09/65/65/167096565.db2.gz QTHQIPOIJPELEH-UHFFFAOYSA-N 0 3 246.354 2.502 20 0 BFADHN CC(C)NCc1cc(-c2ccccc2)on1 ZINC000082285141 167116675 /nfs/dbraw/zinc/11/66/75/167116675.db2.gz QHIPEQBSDCMLTJ-UHFFFAOYSA-N 0 3 216.284 2.840 20 0 BFADHN CC(C)NCc1cccc(Cl)c1F ZINC000083343697 167119046 /nfs/dbraw/zinc/11/90/46/167119046.db2.gz YOBDNWMKBKXATJ-UHFFFAOYSA-N 0 3 201.672 2.977 20 0 BFADHN CC(C)N[C@@H](C)c1nc2ccccc2n1C ZINC000019880902 167132974 /nfs/dbraw/zinc/13/29/74/167132974.db2.gz QVCCOMSRDSYFMU-JTQLQIEISA-N 0 3 217.316 2.632 20 0 BFADHN c1n[nH]cc1CN1CC[C@@H](C2CCCCC2)C1 ZINC000661578403 410907936 /nfs/dbraw/zinc/90/79/36/410907936.db2.gz MFKPIQBNSIPCDD-CQSZACIVSA-N 0 3 233.359 2.812 20 0 BFADHN CC(C)OCCCCN[C@H](C)c1nccs1 ZINC000134825790 167206045 /nfs/dbraw/zinc/20/60/45/167206045.db2.gz ZUHHRVKKGQONPK-LLVKDONJSA-N 0 3 242.388 2.999 20 0 BFADHN CC(C)OCCN(C)C[C@H](C)c1nccs1 ZINC000183929260 167228450 /nfs/dbraw/zinc/22/84/50/167228450.db2.gz ICFSEHLYWDYAJJ-NSHDSACASA-N 0 3 242.388 2.603 20 0 BFADHN CC(C)OCCNCc1ccc2ncccc2c1 ZINC000168947068 167240735 /nfs/dbraw/zinc/24/07/35/167240735.db2.gz OCBLYLZRBZKIFK-UHFFFAOYSA-N 0 3 244.338 2.749 20 0 BFADHN CC(C)OCCNCc1cccc2cccnc21 ZINC000127672499 167241450 /nfs/dbraw/zinc/24/14/50/167241450.db2.gz FPDBJCYFGZESKQ-UHFFFAOYSA-N 0 3 244.338 2.749 20 0 BFADHN CC(C)OCCNCc1ccc2occc2c1 ZINC000286138839 167241657 /nfs/dbraw/zinc/24/16/57/167241657.db2.gz VKSPTMRAMTVILC-UHFFFAOYSA-N 0 3 233.311 2.947 20 0 BFADHN CC(C)OCCN[C@@H](C)c1cccc(F)c1 ZINC000042224733 167244544 /nfs/dbraw/zinc/24/45/44/167244544.db2.gz BBIHCASWYDCPTN-NSHDSACASA-N 0 3 225.307 2.901 20 0 BFADHN CO[C@H]1CCCN(Cc2cc(F)ccc2C)C1 ZINC000179939498 410911742 /nfs/dbraw/zinc/91/17/42/410911742.db2.gz JISCGMQMZVSBMX-AWEZNQCLSA-N 0 3 237.318 2.745 20 0 BFADHN CC(C)Oc1ccc([C@H](C)N[C@H](C)CO)cc1 ZINC000035602803 167301490 /nfs/dbraw/zinc/30/14/90/167301490.db2.gz FXNMQCLNLKCGKH-NEPJUHHUSA-N 0 3 237.343 2.505 20 0 BFADHN CC(C)Oc1ccc([C@H](C)N[C@@H](C)CO)cc1 ZINC000035602799 167301541 /nfs/dbraw/zinc/30/15/41/167301541.db2.gz FXNMQCLNLKCGKH-RYUDHWBXSA-N 0 3 237.343 2.505 20 0 BFADHN CC(C)Oc1ccccc1CNCC1(C)COC1 ZINC000083523169 167310557 /nfs/dbraw/zinc/31/05/57/167310557.db2.gz WULXPROUHGNTEX-UHFFFAOYSA-N 0 3 249.354 2.600 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@H]3C[C@H]3C2)c1F ZINC000397835554 410936643 /nfs/dbraw/zinc/93/66/43/410936643.db2.gz TUUVGUQFACERAY-ZSBIGDGJSA-N 0 3 235.302 2.722 20 0 BFADHN CC(C)SCCNCc1cccc2nccn21 ZINC000290107903 167329975 /nfs/dbraw/zinc/32/99/75/167329975.db2.gz KTUNZKNLCMUXDU-UHFFFAOYSA-N 0 3 249.383 2.566 20 0 BFADHN CC(C)SCCN[C@H]1CCCc2n[nH]cc21 ZINC000309325389 167333923 /nfs/dbraw/zinc/33/39/23/167333923.db2.gz GHMCWLIEGTXOII-NSHDSACASA-N 0 3 239.388 2.518 20 0 BFADHN CC(C)[C@@H](C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000335664926 167353692 /nfs/dbraw/zinc/35/36/92/167353692.db2.gz YUJZLPOTMGNZNJ-SNVBAGLBSA-N 0 3 232.327 2.520 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cnc(N(C)C)s1 ZINC000271030385 167369769 /nfs/dbraw/zinc/36/97/69/167369769.db2.gz CBXLVUDYDVRITQ-SNVBAGLBSA-N 0 3 241.404 2.685 20 0 BFADHN CC(C)[C@@H](CCO)NCc1ccccc1Cl ZINC000164852585 167392614 /nfs/dbraw/zinc/39/26/14/167392614.db2.gz KVXMPBJKGFIFQW-CYBMUJFWSA-N 0 3 241.762 2.837 20 0 BFADHN CC(C)[C@@H](CCO)N[C@@H](C)c1ccccc1F ZINC000123491406 167393341 /nfs/dbraw/zinc/39/33/41/167393341.db2.gz WTNSXZQNMCPQSY-SMDDNHRTSA-N 0 3 239.334 2.883 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1csc(C)c1 ZINC000651337880 410924037 /nfs/dbraw/zinc/92/40/37/410924037.db2.gz CRWNVXPWBXOGMF-YUSALJHKSA-N 0 3 225.357 2.885 20 0 BFADHN CCN(CC)Cc1cccc2c1OCCCO2 ZINC000156906122 169791002 /nfs/dbraw/zinc/79/10/02/169791002.db2.gz AIVYWOVAIYQXNZ-UHFFFAOYSA-N 0 3 235.327 2.690 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2cocn2)C1 ZINC000397814458 410935770 /nfs/dbraw/zinc/93/57/70/410935770.db2.gz HQNYQGXBPSEWNO-JFGNBEQYSA-N 0 3 208.305 2.589 20 0 BFADHN CCc1cc(N2CCCC(F)(F)C2)ccn1 ZINC000651385348 410968408 /nfs/dbraw/zinc/96/84/08/410968408.db2.gz CJDDYEBJUBMBKO-UHFFFAOYSA-N 0 3 226.270 2.880 20 0 BFADHN CCC1(N[C@@H]2CCCc3cccnc32)CC1 ZINC000651397351 410977345 /nfs/dbraw/zinc/97/73/45/410977345.db2.gz SNPFZISJJDWKQS-GFCCVEGCSA-N 0 3 216.328 2.991 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H]2CCOC2(C)C)s1 ZINC000651397403 410978714 /nfs/dbraw/zinc/97/87/14/410978714.db2.gz ZVUGXZZOFUODGY-KCJUWKMLSA-N 0 3 240.372 2.670 20 0 BFADHN CCC1CC(N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000309995476 410993333 /nfs/dbraw/zinc/99/33/33/410993333.db2.gz CBHGFSVYCBZDJZ-QEWOUOOISA-N 0 3 219.332 2.565 20 0 BFADHN CN(CCC(C)(C)C)C[C@@](C)(O)C(F)(F)F ZINC000399684375 411058214 /nfs/dbraw/zinc/05/82/14/411058214.db2.gz RXDHOXDTJYPGAQ-SNVBAGLBSA-N 0 3 241.297 2.668 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H]2CC[C@H](C)O2)c(C)n1 ZINC000651499423 411072882 /nfs/dbraw/zinc/07/28/82/411072882.db2.gz NJGOIFRIEUMUQX-OBJOEFQTSA-N 0 3 248.370 2.917 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H](C(C)C)C1CC1 ZINC000651455065 411037416 /nfs/dbraw/zinc/03/74/16/411037416.db2.gz WVRZDEZYGAHQJS-AAEUAGOBSA-N 0 3 235.375 2.988 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C(C)C)C1CC1 ZINC000651455067 411037913 /nfs/dbraw/zinc/03/79/13/411037913.db2.gz WVRZDEZYGAHQJS-WCQYABFASA-N 0 3 235.375 2.988 20 0 BFADHN CCc1nc([C@H](C)N2CC[C@](C)(CC)C2)n[nH]1 ZINC000659999539 411163007 /nfs/dbraw/zinc/16/30/07/411163007.db2.gz CFDOQTBKSDBBJP-GWCFXTLKSA-N 0 3 236.363 2.550 20 0 BFADHN CCOCCN(CC)Cc1cc2cnccc2o1 ZINC000651624652 411131451 /nfs/dbraw/zinc/13/14/51/411131451.db2.gz BCAGUPOUPNBPCO-UHFFFAOYSA-N 0 3 248.326 2.686 20 0 BFADHN C[C@@H](NC1CC(C)(F)C1)c1ccncc1 ZINC000334518855 411132247 /nfs/dbraw/zinc/13/22/47/411132247.db2.gz CGWXWUSVTLWQEW-OIKLOGQESA-N 0 3 208.280 2.623 20 0 BFADHN CCCCNCc1cc(F)c(OC)cc1OC ZINC000651670801 411134981 /nfs/dbraw/zinc/13/49/81/411134981.db2.gz XDORRAICNKHQHX-UHFFFAOYSA-N 0 3 241.306 2.733 20 0 BFADHN CC1(C)C[C@H]1NCc1cc2cnccc2o1 ZINC000651684927 411135504 /nfs/dbraw/zinc/13/55/04/411135504.db2.gz CDIGVWNFCDCUKF-GFCCVEGCSA-N 0 3 216.284 2.716 20 0 BFADHN CC(C)c1nc(CN[C@@H]2C[C@H]2C)c2ccccn21 ZINC000651705309 411137337 /nfs/dbraw/zinc/13/73/37/411137337.db2.gz ONSGOHBWDOCCRF-VXGBXAGGSA-N 0 3 243.354 2.956 20 0 BFADHN CO[C@H](CNCc1cc2cnccc2o1)C(C)C ZINC000651724760 411139219 /nfs/dbraw/zinc/13/92/19/411139219.db2.gz FXEGTEOIVFAZOO-CQSZACIVSA-N 0 3 248.326 2.588 20 0 BFADHN CCC1(CC)CCCN(Cc2cn(C)cn2)C1 ZINC000661672941 411141055 /nfs/dbraw/zinc/14/10/55/411141055.db2.gz QNJZWKIMAWZMKR-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN COCC(C)(C)CNCc1cc2cnccc2o1 ZINC000651815931 411145100 /nfs/dbraw/zinc/14/51/00/411145100.db2.gz SSYCPNZCEFELFA-UHFFFAOYSA-N 0 3 248.326 2.590 20 0 BFADHN CC[C@@](C)(CNCc1cc2cnccc2o1)OC ZINC000651821289 411145790 /nfs/dbraw/zinc/14/57/90/411145790.db2.gz KKHBOYACMTWYPY-AWEZNQCLSA-N 0 3 248.326 2.733 20 0 BFADHN CC[C@@]1(C)CCC[C@H]1NCc1nnc(C2CC2)[nH]1 ZINC000651819939 411145956 /nfs/dbraw/zinc/14/59/56/411145956.db2.gz RFEYJCNUYUSGPF-RISCZKNCSA-N 0 3 248.374 2.741 20 0 BFADHN COc1ccc(CN2CCC23CCCC3)cc1O ZINC000651874170 411148393 /nfs/dbraw/zinc/14/83/93/411148393.db2.gz NOZYYLSIKHXOLA-UHFFFAOYSA-N 0 3 247.338 2.919 20 0 BFADHN Cc1cnccc1CN1CCC[C@H](OC(C)C)C1 ZINC000651897678 411149561 /nfs/dbraw/zinc/14/95/61/411149561.db2.gz HQCKLWULTGCHAE-HNNXBMFYSA-N 0 3 248.370 2.779 20 0 BFADHN CCn1nccc1CN[C@@H]1C[C@H]1CC(C)(C)C ZINC000651911612 411150223 /nfs/dbraw/zinc/15/02/23/411150223.db2.gz LWQQBPCEQPXSHM-WCQYABFASA-N 0 3 235.375 2.817 20 0 BFADHN Cc1nc(CN2[C@H](C)CC[C@@H]2C)c(C)o1 ZINC000659999957 411164424 /nfs/dbraw/zinc/16/44/24/411164424.db2.gz JOGLCPXGVFOOPH-DTORHVGOSA-N 0 3 208.305 2.664 20 0 BFADHN CC(C)c1cccc(CN2CC[C@](C)(O)C2)c1 ZINC000659985256 411155891 /nfs/dbraw/zinc/15/58/91/411155891.db2.gz FVNDSIQOWOYBGR-HNNXBMFYSA-N 0 3 233.355 2.767 20 0 BFADHN Fc1ccc(CN[C@H]2CCCSCC2)nc1 ZINC000657911167 411164484 /nfs/dbraw/zinc/16/44/84/411164484.db2.gz VGHZGINWCZXNRK-NSHDSACASA-N 0 3 240.347 2.596 20 0 BFADHN CCN(Cc1ccsc1)[C@@H]1CCCOC1 ZINC000651948543 411160261 /nfs/dbraw/zinc/16/02/61/411160261.db2.gz OVJZCIRLYTXSQU-GFCCVEGCSA-N 0 3 225.357 2.749 20 0 BFADHN COc1cc(CN2[C@H](C)CC[C@@H]2C)sn1 ZINC000660000173 411164637 /nfs/dbraw/zinc/16/46/37/411164637.db2.gz PHUQEZFUJJOSMC-DTORHVGOSA-N 0 3 226.345 2.525 20 0 BFADHN C[C@H](CCCO)N[C@@H](C)c1cc2cnccc2o1 ZINC000657903358 411161103 /nfs/dbraw/zinc/16/11/03/411161103.db2.gz BNPNLJXPSPZIHG-MNOVXSKESA-N 0 3 248.326 2.639 20 0 BFADHN C[C@@H](CCO)N(C)Cc1cc(F)cc(Cl)c1 ZINC000652049400 411095251 /nfs/dbraw/zinc/09/52/51/411095251.db2.gz GWKRFQWPEXZEBK-VIFPVBQESA-N 0 3 245.725 2.682 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCC[C@H]2C)s1 ZINC000336758189 167707731 /nfs/dbraw/zinc/70/77/31/167707731.db2.gz ZISMFYWONICZLQ-NXEZZACHSA-N 0 3 239.388 2.940 20 0 BFADHN F[C@@H]1CCCN(C[C@H]2CCOc3ccccc32)C1 ZINC000652077295 411109049 /nfs/dbraw/zinc/10/90/49/411109049.db2.gz ZABDQTPKDXUKNN-CHWSQXEVSA-N 0 3 249.329 2.987 20 0 BFADHN Cc1nc(CN2C[C@@H]3CCC[C@@H]3C2)c(C)o1 ZINC000659996815 411161865 /nfs/dbraw/zinc/16/18/65/411161865.db2.gz DKNSRDITSRBUTB-TXEJJXNPSA-N 0 3 220.316 2.523 20 0 BFADHN Cc1cc(CN[C@@H](C)C(C)(C)C(F)F)ncn1 ZINC000657931740 411170470 /nfs/dbraw/zinc/17/04/70/411170470.db2.gz IDJBPOGNBASQCH-VIFPVBQESA-N 0 3 243.301 2.554 20 0 BFADHN c1csc(-c2nc3c(s2)CNCC3)c1 ZINC000053335599 411172855 /nfs/dbraw/zinc/17/28/55/411172855.db2.gz HZSDRHMAKMGUIE-UHFFFAOYSA-N 0 3 222.338 2.517 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@H]1C)c1cc2cnccc2o1 ZINC000657937843 411173009 /nfs/dbraw/zinc/17/30/09/411173009.db2.gz WFHKORXOBIJWAJ-JBLDHEPKSA-N 0 3 246.310 2.656 20 0 BFADHN CC(C)=CCCN1CCOC2(CCCC2)C1 ZINC000660011724 411173829 /nfs/dbraw/zinc/17/38/29/411173829.db2.gz QJFWXSMUOGMWHO-UHFFFAOYSA-N 0 3 223.360 2.988 20 0 BFADHN Cc1cnc([C@@H](C)N[C@@H](C)C2CCC2)cn1 ZINC000309566055 411175329 /nfs/dbraw/zinc/17/53/29/411175329.db2.gz MDQHIUMUATZUMM-WDEREUQCSA-N 0 3 219.332 2.624 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1cn[nH]c1 ZINC000652240875 411177989 /nfs/dbraw/zinc/17/79/89/411177989.db2.gz ONKOZQQPXORKCD-JBLDHEPKSA-N 0 3 221.348 2.885 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2C(C)(C)C)co1 ZINC000659880701 411180449 /nfs/dbraw/zinc/18/04/49/411180449.db2.gz SFCSCICJVDVVJZ-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN COc1cc(CN2CCC[C@@H]2C(C)(C)C)on1 ZINC000659881089 411181690 /nfs/dbraw/zinc/18/16/90/411181690.db2.gz YRSODGGSDCPLCN-LLVKDONJSA-N 0 3 238.331 2.694 20 0 BFADHN CC[C@H](NC1(C2CCC2)CC1)c1ccn(C)n1 ZINC000652272181 411184696 /nfs/dbraw/zinc/18/46/96/411184696.db2.gz CAIUXRDOEHFBNG-LBPRGKRZSA-N 0 3 233.359 2.794 20 0 BFADHN C[C@@H](CC(F)(F)F)N[C@H]1CCCn2nccc21 ZINC000657977314 411185673 /nfs/dbraw/zinc/18/56/73/411185673.db2.gz YRGSBQJTOYFTDZ-IUCAKERBSA-N 0 3 247.264 2.649 20 0 BFADHN CN(Cc1cccnc1)C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000660125368 411243839 /nfs/dbraw/zinc/24/38/39/411243839.db2.gz HXTSAWYSILWJEL-VHDGCEQUSA-N 0 3 230.355 2.950 20 0 BFADHN C[C@H](CC(F)(F)F)N[C@@H]1CCCn2nccc21 ZINC000657977316 411186067 /nfs/dbraw/zinc/18/60/67/411186067.db2.gz YRGSBQJTOYFTDZ-RKDXNWHRSA-N 0 3 247.264 2.649 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H](CO)CCF)c2ccccc21 ZINC000652300921 411192100 /nfs/dbraw/zinc/19/21/00/411192100.db2.gz OIIXUEMXTIWGFU-GYSYKLTISA-N 0 3 237.318 2.545 20 0 BFADHN CC[C@H](N[C@@H](CO)CCF)c1cccc(F)c1 ZINC000652301625 411193630 /nfs/dbraw/zinc/19/36/30/411193630.db2.gz DCXHMBAIKMVMEO-OLZOCXBDSA-N 0 3 243.297 2.587 20 0 BFADHN Cc1cccc([C@@H](C)N[C@H](CO)CCF)c1C ZINC000652304122 411195157 /nfs/dbraw/zinc/19/51/57/411195157.db2.gz GKXNDZVVOQKZLV-OLZOCXBDSA-N 0 3 239.334 2.675 20 0 BFADHN C[C@H](CO)N(C)Cc1cccc(C(C)(C)C)c1 ZINC000660126240 411244826 /nfs/dbraw/zinc/24/48/26/411244826.db2.gz UDOSBIMANSCYOJ-GFCCVEGCSA-N 0 3 235.371 2.797 20 0 BFADHN Cc1ccc(F)cc1CN1C[C@H]2COC[C@]2(C)C1 ZINC000661700848 411196865 /nfs/dbraw/zinc/19/68/65/411196865.db2.gz HSOSPCHCXTUCFA-ZFWWWQNUSA-N 0 3 249.329 2.602 20 0 BFADHN CCN1CCN(CCCC2CCC2)C[C@H]1C ZINC000660125773 411244923 /nfs/dbraw/zinc/24/49/23/411244923.db2.gz KJFRQKYKUACWSK-CYBMUJFWSA-N 0 3 224.392 2.593 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)N1CCCC[C@H]1CC ZINC000245899575 411199973 /nfs/dbraw/zinc/19/99/73/411199973.db2.gz KDBRXCOFFWFJMM-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H](NCc1ccc(C)nc1)[C@@H]1CCCCO1 ZINC000653872810 411203020 /nfs/dbraw/zinc/20/30/20/411203020.db2.gz GLOXVFCOBRNMRN-CABCVRRESA-N 0 3 248.370 2.827 20 0 BFADHN C[C@@H]1CCC[C@@H]1CN[C@@H]1CCCn2ccnc21 ZINC000655762701 411206758 /nfs/dbraw/zinc/20/67/58/411206758.db2.gz IAFNVNXIUGQGEM-JHJVBQTASA-N 0 3 233.359 2.744 20 0 BFADHN Cn1nccc1CN1CCC[C@H]1CC1CCCC1 ZINC000653892812 411211606 /nfs/dbraw/zinc/21/16/06/411211606.db2.gz NJXWIYBKJZNZFJ-AWEZNQCLSA-N 0 3 247.386 2.965 20 0 BFADHN Fc1ccc(N[C@@H]2CCCN3CCCC[C@H]23)nc1 ZINC000656226694 411213136 /nfs/dbraw/zinc/21/31/36/411213136.db2.gz NJDBYPAEQCTWOK-CHWSQXEVSA-N 0 3 249.333 2.650 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1cc2cnccc2o1 ZINC000658043688 411216873 /nfs/dbraw/zinc/21/68/73/411216873.db2.gz RDHCNIQQNLUUOR-JFGNBEQYSA-N 0 3 246.310 2.656 20 0 BFADHN CCN(Cc1cccs1)C[C@H](O)C(C)C ZINC000284443269 411218532 /nfs/dbraw/zinc/21/85/32/411218532.db2.gz ZNFMMVRKXNUHAW-LBPRGKRZSA-N 0 3 227.373 2.587 20 0 BFADHN C[C@@H]1CN(CCCF)CC2(CCCCC2)O1 ZINC000653906087 411220352 /nfs/dbraw/zinc/22/03/52/411220352.db2.gz PLFQUTYYHODXNC-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN FC1(F)CC12CN(CC1Cc3ccccc3C1)C2 ZINC000656265321 411220400 /nfs/dbraw/zinc/22/04/00/411220400.db2.gz IUIRWQOKLMRCKV-UHFFFAOYSA-N 0 3 249.304 2.742 20 0 BFADHN CC(C)[C@@H](N[C@H]1COC(C)(C)C1)c1cccnc1 ZINC000658057870 411223847 /nfs/dbraw/zinc/22/38/47/411223847.db2.gz JSQKZYKWDUURSI-ZIAGYGMSSA-N 0 3 248.370 2.936 20 0 BFADHN CC(C)(CCN(C1CC1)C1COC1)C1CC1 ZINC000653712126 411224515 /nfs/dbraw/zinc/22/45/15/411224515.db2.gz MKOYYGGETJAMMG-UHFFFAOYSA-N 0 3 223.360 2.676 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2COC(C)(C)C2)c(C)n1 ZINC000658059152 411225492 /nfs/dbraw/zinc/22/54/92/411225492.db2.gz RGPFBUZBIKTEOS-STQMWFEESA-N 0 3 248.370 2.917 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2COC(C)(C)C2)c(C)n1 ZINC000658059151 411225775 /nfs/dbraw/zinc/22/57/75/411225775.db2.gz RGPFBUZBIKTEOS-QWHCGFSZSA-N 0 3 248.370 2.917 20 0 BFADHN C[C@@H](O)CN(Cc1cccc(C2CC2)c1)C1CC1 ZINC000656279576 411226083 /nfs/dbraw/zinc/22/60/83/411226083.db2.gz OHJFXBZFFYTMSA-GFCCVEGCSA-N 0 3 245.366 2.909 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2COC(C)(C)C2)c1 ZINC000658059706 411226467 /nfs/dbraw/zinc/22/64/67/411226467.db2.gz UDZOAMXYENPRRA-AAEUAGOBSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1csc(CN[C@H]2CC[C@@H](C)SC2)n1 ZINC000655686236 411227546 /nfs/dbraw/zinc/22/75/46/411227546.db2.gz ZROKLNPCUFEYFZ-ZJUUUORDSA-N 0 3 242.413 2.825 20 0 BFADHN C[C@@H](NC[C@H](C)c1ccccn1)c1nccs1 ZINC000658065355 411230517 /nfs/dbraw/zinc/23/05/17/411230517.db2.gz WSIIMLQIRMGCIU-WDEREUQCSA-N 0 3 247.367 2.992 20 0 BFADHN Cc1ccncc1CN[C@@H]1C=CCCC1 ZINC000235820196 411231582 /nfs/dbraw/zinc/23/15/82/411231582.db2.gz FVQJDONNTVKAQU-CYBMUJFWSA-N 0 3 202.301 2.588 20 0 BFADHN CC1(C)C(CN[C@@H]2CCCn3ccnc32)C1(C)C ZINC000655764479 411232751 /nfs/dbraw/zinc/23/27/51/411232751.db2.gz IQCSXOACBXSCET-LLVKDONJSA-N 0 3 247.386 2.990 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](CO)C2CCC2)o1 ZINC000658085769 411236278 /nfs/dbraw/zinc/23/62/78/411236278.db2.gz CDVJKMVNVCOKGG-GXFFZTMASA-N 0 3 237.343 2.654 20 0 BFADHN C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCOCC12CCC2 ZINC000660117070 411237882 /nfs/dbraw/zinc/23/78/82/411237882.db2.gz LKOQRPMYYKLEFY-MCIONIFRSA-N 0 3 235.371 2.678 20 0 BFADHN COc1cc(C)c(C)cc1N[C@H]1CN(C)C[C@H]1C ZINC000658113344 411247938 /nfs/dbraw/zinc/24/79/38/411247938.db2.gz IIUSPHPOQLKFCV-OCCSQVGLSA-N 0 3 248.370 2.674 20 0 BFADHN Cc1cc([C@H](C)N[C@H](CO)C2CCC2)c(C)o1 ZINC000658088200 411239633 /nfs/dbraw/zinc/23/96/33/411239633.db2.gz PBWVOSAVQHXQIV-IINYFYTJSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)NCC2CC=CC2)no1 ZINC000660482620 411240433 /nfs/dbraw/zinc/24/04/33/411240433.db2.gz GZCQMJVHQSQIFW-JTQLQIEISA-N 0 3 206.289 2.600 20 0 BFADHN CC[C@@]1(C)CCN(CCO[C@H]2CC2(F)F)C1 ZINC000660000606 411165527 /nfs/dbraw/zinc/16/55/27/411165527.db2.gz YRYCUXLIWPWNIO-QWRGUYRKSA-N 0 3 233.302 2.533 20 0 BFADHN C[C@@H]1CCN(CCOCC(F)(F)F)[C@H](C)C1 ZINC000119130876 411261616 /nfs/dbraw/zinc/26/16/16/411261616.db2.gz HXMOUEUTPUCVSX-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN CC(C)c1cccc(CN2C[C@@H](O)C[C@H]2C)c1 ZINC000660147335 411262949 /nfs/dbraw/zinc/26/29/49/411262949.db2.gz BYVGUYGZOVYBJF-DOMZBBRYSA-N 0 3 233.355 2.765 20 0 BFADHN COc1ccc([C@H](NC/C=C/CO)C(C)C)cc1 ZINC000658139385 411263504 /nfs/dbraw/zinc/26/35/04/411263504.db2.gz PFEJRFCSFLQGJF-MBVDDHJVSA-N 0 3 249.354 2.530 20 0 BFADHN CC[C@H](CC(F)F)CN1CCOC[C@H]1C1CC1 ZINC000660151881 411266019 /nfs/dbraw/zinc/26/60/19/411266019.db2.gz XLBUKLKNZXWDBX-PWSUYJOCSA-N 0 3 247.329 2.779 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](C)C2(CO)CC2)c(C)n1 ZINC000658155773 411269345 /nfs/dbraw/zinc/26/93/45/411269345.db2.gz QVPJUIFGDYCEOI-CHWSQXEVSA-N 0 3 248.370 2.510 20 0 BFADHN Cc1nc(CN2CC[C@@H](C3CC3)C2)c(C)o1 ZINC000660157571 411269415 /nfs/dbraw/zinc/26/94/15/411269415.db2.gz UXUYPDZQMCONGN-GFCCVEGCSA-N 0 3 220.316 2.523 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](C)C2(CO)CC2)c(C)n1 ZINC000658155777 411269452 /nfs/dbraw/zinc/26/94/52/411269452.db2.gz QVPJUIFGDYCEOI-QWHCGFSZSA-N 0 3 248.370 2.510 20 0 BFADHN C(CC1CCC1)CN1CCOC2(CCC2)C1 ZINC000660155897 411269530 /nfs/dbraw/zinc/26/95/30/411269530.db2.gz NBFCGQPNRPTBDW-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CC/C=C/CCN[C@H](CO)c1ccsc1 ZINC000309028125 167846860 /nfs/dbraw/zinc/84/68/60/167846860.db2.gz BZBPJUCORAWRPH-AAOUONPWSA-N 0 3 225.357 2.727 20 0 BFADHN Cc1ncsc1CN[C@@H]1CC[C@@H](C)SC1 ZINC000655716949 411272901 /nfs/dbraw/zinc/27/29/01/411272901.db2.gz QSLKPABEUGVTEF-PSASIEDQSA-N 0 3 242.413 2.825 20 0 BFADHN C[C@H](N[C@@H](C)C1(CO)CC1)c1ccsc1 ZINC000658161687 411275060 /nfs/dbraw/zinc/27/50/60/411275060.db2.gz UGKZHMDIYKVYIF-UWVGGRQHSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@@H]1CN(Cc2cccc(C(C)(C)C)c2)C[C@H]1O ZINC000660167425 411275076 /nfs/dbraw/zinc/27/50/76/411275076.db2.gz ZIZZSGRZKBAQNT-IUODEOHRSA-N 0 3 247.382 2.797 20 0 BFADHN CN(Cc1cn[nH]c1)CC1CCC(F)(F)CC1 ZINC000656471628 411275885 /nfs/dbraw/zinc/27/58/85/411275885.db2.gz ZEVWVGLRFCNXRH-UHFFFAOYSA-N 0 3 243.301 2.667 20 0 BFADHN CCO[C@H]1C[C@H](N[C@@H](C)c2cnc(C)s2)C1 ZINC000658164999 411276831 /nfs/dbraw/zinc/27/68/31/411276831.db2.gz YZPCUJFAEYJZQZ-LSJOCFKGSA-N 0 3 240.372 2.670 20 0 BFADHN CC[C@H](C)N[C@H](COC)c1cccc(OC)c1 ZINC000658165468 411277088 /nfs/dbraw/zinc/27/70/88/411277088.db2.gz QIGHKQAVRMALJX-SMDDNHRTSA-N 0 3 237.343 2.771 20 0 BFADHN C[C@@H](NCCO[C@H]1CC1(F)F)c1cccs1 ZINC000658164257 411277207 /nfs/dbraw/zinc/27/72/07/411277207.db2.gz CSQACFHQXOFUFB-SCZZXKLOSA-N 0 3 247.310 2.823 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H](C)c2cnc(C)s2)C1 ZINC000658164998 411277546 /nfs/dbraw/zinc/27/75/46/411277546.db2.gz YZPCUJFAEYJZQZ-FBIMIBRVSA-N 0 3 240.372 2.670 20 0 BFADHN C[C@H](NCCO[C@H]1CC1(F)F)c1ccccc1 ZINC000656684981 411328161 /nfs/dbraw/zinc/32/81/61/411328161.db2.gz ZLPWXBBANIJGJN-JQWIXIFHSA-N 0 3 241.281 2.761 20 0 BFADHN CCOC(=O)[C@H](CC)N1CCC[C@H](CC)CC1 ZINC000084121008 411278876 /nfs/dbraw/zinc/27/88/76/411278876.db2.gz AILCGDNFHVYKDR-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H](NCCCC1CCC1)c1cnccn1 ZINC000656685880 411328343 /nfs/dbraw/zinc/32/83/43/411328343.db2.gz BBZHGQOGJPUXJR-NSHDSACASA-N 0 3 219.332 2.708 20 0 BFADHN Cc1cc([C@@H](C)N[C@]2(C)CC2(C)C)nn1C ZINC000658304558 411328520 /nfs/dbraw/zinc/32/85/20/411328520.db2.gz PRUDMOQMJVYFBN-ZWNOBZJWSA-N 0 3 221.348 2.568 20 0 BFADHN C[C@@H](NCCCOC(C)(C)C)c1cncs1 ZINC000658186930 411284598 /nfs/dbraw/zinc/28/45/98/411284598.db2.gz ULJJVOYAWPMECA-SNVBAGLBSA-N 0 3 242.388 2.999 20 0 BFADHN C[C@H]1C[C@H](N[C@@H]2CCOC2)c2ccccc21 ZINC000129422395 411286356 /nfs/dbraw/zinc/28/63/56/411286356.db2.gz ROSNCXYHSJBGKC-WDMOLILDSA-N 0 3 217.312 2.613 20 0 BFADHN C[C@@H](NCCC(C)(C)F)c1cncs1 ZINC000658192191 411287293 /nfs/dbraw/zinc/28/72/93/411287293.db2.gz ATPRRRGPAMVWGU-MRVPVSSYSA-N 0 3 216.325 2.932 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@@]1(C)CC1(C)C ZINC000658305780 411328998 /nfs/dbraw/zinc/32/89/98/411328998.db2.gz AVXMYODINSOPRI-RNCFNFMXSA-N 0 3 221.348 2.568 20 0 BFADHN CC1(C)CCC[C@H]1N[C@H]1CCCn2ccnc21 ZINC000655720625 411289430 /nfs/dbraw/zinc/28/94/30/411289430.db2.gz BBHPWSCMOGHPJD-NWDGAFQWSA-N 0 3 233.359 2.886 20 0 BFADHN CC(C)Oc1ccnc(CN2CCC[C@H]2C)c1 ZINC000649545401 411289785 /nfs/dbraw/zinc/28/97/85/411289785.db2.gz DEOOVGIQDBFANK-GFCCVEGCSA-N 0 3 234.343 2.853 20 0 BFADHN C[C@H](NCCc1ccoc1)c1ccc(F)cn1 ZINC000658202445 411290865 /nfs/dbraw/zinc/29/08/65/411290865.db2.gz UJCGTFOKVJVVAH-JTQLQIEISA-N 0 3 234.274 2.707 20 0 BFADHN C[C@@H](NCCc1ccoc1)c1ccccn1 ZINC000658200514 411291049 /nfs/dbraw/zinc/29/10/49/411291049.db2.gz NXGAOWUZAFRZRP-LLVKDONJSA-N 0 3 216.284 2.568 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000658206555 411293504 /nfs/dbraw/zinc/29/35/04/411293504.db2.gz OVYAVRFJDDLSCE-CRWXNKLISA-N 0 3 245.370 2.872 20 0 BFADHN Cn1cc2c(n1)CCC[C@H]2N[C@]1(C)CC1(C)C ZINC000658306402 411329739 /nfs/dbraw/zinc/32/97/39/411329739.db2.gz XNHAKGCCUIJBOY-BXUZGUMPSA-N 0 3 233.359 2.576 20 0 BFADHN FC(F)C1CN(C[C@H]2CC=CCC2)C1 ZINC000656690376 411329761 /nfs/dbraw/zinc/32/97/61/411329761.db2.gz NDORTSRFZBFDJO-VIFPVBQESA-N 0 3 201.260 2.540 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1nc(C)oc1C ZINC000660198426 411295252 /nfs/dbraw/zinc/29/52/52/411295252.db2.gz XQJZVXDDDLVPEQ-IUCAKERBSA-N 0 3 210.321 2.816 20 0 BFADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)O1)c1cncs1 ZINC000658216975 411297149 /nfs/dbraw/zinc/29/71/49/411297149.db2.gz BUBPHZFBUSHPPM-MXWKQRLJSA-N 0 3 240.372 2.751 20 0 BFADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1ccccn1 ZINC000658217982 411297792 /nfs/dbraw/zinc/29/77/92/411297792.db2.gz IEXRLJZBJPHENF-MWLCHTKSSA-N 0 3 226.270 2.778 20 0 BFADHN CC[C@@H](NC[C@@]1(C)CC1(F)F)c1nccn1C ZINC000658217920 411298037 /nfs/dbraw/zinc/29/80/37/411298037.db2.gz HURYOCNPUNDGFA-MWLCHTKSSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@@H]1CN(CC[C@@H]2CCc3ccccc32)CCO1 ZINC000659810959 411298382 /nfs/dbraw/zinc/29/83/82/411298382.db2.gz FUELGOIPRIWHQS-HIFRSBDPSA-N 0 3 245.366 2.827 20 0 BFADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1ccc(F)cn1 ZINC000658221098 411299377 /nfs/dbraw/zinc/29/93/77/411299377.db2.gz RKVMZMLYVFZQJR-LDYMZIIASA-N 0 3 244.260 2.917 20 0 BFADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1ccco1 ZINC000658220522 411300136 /nfs/dbraw/zinc/30/01/36/411300136.db2.gz PVLJGGYPEQEEBS-WCBMZHEXSA-N 0 3 215.243 2.976 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@@H]1CCC[C@H](C)O1 ZINC000658220593 411300228 /nfs/dbraw/zinc/30/02/28/411300228.db2.gz QKMGHVVFDOFFJT-IHRRRGAJSA-N 0 3 248.370 2.998 20 0 BFADHN CC[C@@H](NC[C@]1(C)CC1(F)F)c1ccn(C)n1 ZINC000658222597 411302228 /nfs/dbraw/zinc/30/22/28/411302228.db2.gz WFQJAEWFQCKHIZ-KOLCDFICSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@@H](NCCCC1CCC1)c1nccn1C ZINC000658224748 411303576 /nfs/dbraw/zinc/30/35/76/411303576.db2.gz VQCHXMMDPMATDP-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1nccc(OC)c1F ZINC000658224772 411303750 /nfs/dbraw/zinc/30/37/50/411303750.db2.gz DFVKMQNWRGCMKE-UWVGGRQHSA-N 0 3 240.322 2.754 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1nccc(OC)c1F ZINC000658224773 411303894 /nfs/dbraw/zinc/30/38/94/411303894.db2.gz DFVKMQNWRGCMKE-VHSXEESVSA-N 0 3 240.322 2.754 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccccn1)C1CCC1 ZINC000660244954 411304459 /nfs/dbraw/zinc/30/44/59/411304459.db2.gz VYGFCGYQPPKNSQ-SMDDNHRTSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@@H](NCc1nccc(OC)c1F)C1CC1 ZINC000658228644 411304948 /nfs/dbraw/zinc/30/49/48/411304948.db2.gz VDXTWWMSCHSUCO-SNVBAGLBSA-N 0 3 238.306 2.508 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1nncn1CC ZINC000158449247 411304978 /nfs/dbraw/zinc/30/49/78/411304978.db2.gz VFOGTRNHEOLPML-RYUDHWBXSA-N 0 3 238.379 2.602 20 0 BFADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1ccncc1 ZINC000656691861 411331347 /nfs/dbraw/zinc/33/13/47/411331347.db2.gz YIXFFTGTQNWCIG-MWLCHTKSSA-N 0 3 226.270 2.778 20 0 BFADHN CN(CCO[C@@H]1CC1(F)F)Cc1ccccc1 ZINC000659827568 411310607 /nfs/dbraw/zinc/31/06/07/411310607.db2.gz RRMDORQDISADFV-GFCCVEGCSA-N 0 3 241.281 2.543 20 0 BFADHN C[C@@H]1CCCN(Cc2cnc3ccccc3n2)C1 ZINC000659828923 411311566 /nfs/dbraw/zinc/31/15/66/411311566.db2.gz KIMNGENZZPSEMP-GFCCVEGCSA-N 0 3 241.338 2.862 20 0 BFADHN CC(C)(C)C1CC([NH2+]Cc2nnc(C3CC3)[n-]2)C1 ZINC000656634481 411311999 /nfs/dbraw/zinc/31/19/99/411311999.db2.gz VIJKTHBVVJNASF-UHFFFAOYSA-N 0 3 248.374 2.596 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C[C@@H](O)C23CCC3)o1 ZINC000658251226 411314565 /nfs/dbraw/zinc/31/45/65/411314565.db2.gz DXTAAXFEYLATMW-WCFLWFBJSA-N 0 3 235.327 2.542 20 0 BFADHN CC(C)CC1(N[C@H]2CCCn3nccc32)CC1 ZINC000658320063 411332026 /nfs/dbraw/zinc/33/20/26/411332026.db2.gz VUZIDLWPVAIRTM-LBPRGKRZSA-N 0 3 233.359 2.886 20 0 BFADHN O=C(CCCN1CC[C@H]2C[C@H]2C1)c1ccccc1 ZINC000661965839 411332447 /nfs/dbraw/zinc/33/24/47/411332447.db2.gz AXVOOVFIKFGXDL-GJZGRUSLSA-N 0 3 243.350 2.991 20 0 BFADHN C[C@H](NC[C@H]1CCN1C(C)(C)C)c1cccnc1 ZINC000656675638 411321293 /nfs/dbraw/zinc/32/12/93/411321293.db2.gz HOZQVECBOVIXHN-GXTWGEPZSA-N 0 3 247.386 2.605 20 0 BFADHN CC[C@@H](C)CCN[C@H](C)c1nccn1C ZINC000658119746 411250039 /nfs/dbraw/zinc/25/00/39/411250039.db2.gz GYMBGDAAZVSJDW-GHMZBOCLSA-N 0 3 209.337 2.507 20 0 BFADHN CC[C@H](C)CCN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000658121331 411250228 /nfs/dbraw/zinc/25/02/28/411250228.db2.gz OMWOSOBXOAVZNV-QJPTWQEYSA-N 0 3 221.348 2.915 20 0 BFADHN COc1cccc(OC)c1CN[C@@H](C)C1CCC1 ZINC000658120829 411250297 /nfs/dbraw/zinc/25/02/97/411250297.db2.gz JZFPVTFMTIQLLW-NSHDSACASA-N 0 3 249.354 2.982 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2cc3n(n2)CCCC3)C1 ZINC000655768108 411250512 /nfs/dbraw/zinc/25/05/12/411250512.db2.gz PQMJVUITOBHSIN-CHWSQXEVSA-N 0 3 247.386 2.745 20 0 BFADHN C[C@H]1CC[C@H](CNCc2cc3n(n2)CCCC3)C1 ZINC000655768111 411250816 /nfs/dbraw/zinc/25/08/16/411250816.db2.gz PQMJVUITOBHSIN-STQMWFEESA-N 0 3 247.386 2.745 20 0 BFADHN CC[C@@H](C)CCN[C@@H](C)c1nccn1CC ZINC000658121852 411251423 /nfs/dbraw/zinc/25/14/23/411251423.db2.gz RBPPRXDPBCXVEU-NEPJUHHUSA-N 0 3 223.364 2.990 20 0 BFADHN C[C@H](N[C@@]1(C)CC1(C)C)c1cc2n(n1)CCC2 ZINC000658301729 411326453 /nfs/dbraw/zinc/32/64/53/411326453.db2.gz JQTAXONCXZFBTD-HZMBPMFUSA-N 0 3 233.359 2.669 20 0 BFADHN CC[C@H](C)CCN[C@@H](c1nccn1C)C1CC1 ZINC000658123286 411252442 /nfs/dbraw/zinc/25/24/42/411252442.db2.gz XMKZYUJZVSXIQG-WCQYABFASA-N 0 3 235.375 2.897 20 0 BFADHN CC[C@@H](C)CCN[C@@H](C)c1cc2n(n1)CCC2 ZINC000658124621 411252768 /nfs/dbraw/zinc/25/27/68/411252768.db2.gz QNCYQQPJJMGKAJ-NEPJUHHUSA-N 0 3 235.375 2.916 20 0 BFADHN CCn1nccc1CN1C[C@@H](C)CC[C@@H]1C ZINC000127777200 411252807 /nfs/dbraw/zinc/25/28/07/411252807.db2.gz JRVPJNYXQRKVLD-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN CN(Cc1c[nH]nc1C(C)(C)C)CC1CCC1 ZINC000127764151 411253080 /nfs/dbraw/zinc/25/30/80/411253080.db2.gz IEXALKGGJZTYAG-UHFFFAOYSA-N 0 3 235.375 2.939 20 0 BFADHN Cc1ccncc1CCN[C@@H](C)c1nccs1 ZINC000658125210 411253322 /nfs/dbraw/zinc/25/33/22/411253322.db2.gz GYKHRFPBOOSTFM-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN CC[C@H](C)CCN[C@@H]1CCCn2ccnc21 ZINC000658125969 411253590 /nfs/dbraw/zinc/25/35/90/411253590.db2.gz WLEGZYSVZIFJMW-NWDGAFQWSA-N 0 3 221.348 2.744 20 0 BFADHN CC[C@H](N[C@H](C(C)C)C1CC1)c1ccn(C)n1 ZINC000658299552 411326608 /nfs/dbraw/zinc/32/66/08/411326608.db2.gz GJXUKVMTELESLY-GXTWGEPZSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ccncc1CCN[C@@H](C)c1ccoc1 ZINC000658127409 411254331 /nfs/dbraw/zinc/25/43/31/411254331.db2.gz TUXDNJNZJQGRSX-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1ccncc1CN[C@@H]1CC[C@@H](C)SC1 ZINC000655772577 411255097 /nfs/dbraw/zinc/25/50/97/411255097.db2.gz OPOLYSVXICTUPP-DGCLKSJQSA-N 0 3 236.384 2.764 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)SC2)ccn1 ZINC000655772415 411255115 /nfs/dbraw/zinc/25/51/15/411255115.db2.gz HHQRCBNGFLVSMN-DGCLKSJQSA-N 0 3 236.384 2.764 20 0 BFADHN CCC(C)(C)[C@H]1CCCN1Cc1cnccn1 ZINC000656446260 411255101 /nfs/dbraw/zinc/25/51/01/411255101.db2.gz WUGDICYFYOQVCL-CYBMUJFWSA-N 0 3 233.359 2.877 20 0 BFADHN C[C@@H](NC/C=C/CO)c1ccc(Cl)s1 ZINC000658132475 411255887 /nfs/dbraw/zinc/25/58/87/411255887.db2.gz MCDODTCYILVCQA-UFUPEUMYSA-N 0 3 231.748 2.601 20 0 BFADHN Cc1c2ccccc2oc1[C@@H](C)NC/C=C\CO ZINC000658132529 411256057 /nfs/dbraw/zinc/25/60/57/411256057.db2.gz IFOGXKHVJOWKSW-FOQNGQEVSA-N 0 3 245.322 2.940 20 0 BFADHN CC[C@@H](NC/C=C\CO)c1ccc(C)cc1 ZINC000658132588 411256527 /nfs/dbraw/zinc/25/65/27/411256527.db2.gz IVPZLIXIMXKZBZ-ZRUQZJFASA-N 0 3 219.328 2.584 20 0 BFADHN C[C@H](NC/C=C/CO)c1ccc(F)c(Cl)c1 ZINC000658132594 411256861 /nfs/dbraw/zinc/25/68/61/411256861.db2.gz IVZKBTZVLUXAPO-HPOULIHZSA-N 0 3 243.709 2.678 20 0 BFADHN CC(C)[C@@H](NC/C=C/CO)c1ccccc1 ZINC000658132599 411256937 /nfs/dbraw/zinc/25/69/37/411256937.db2.gz AAMXIFBHLLKACQ-PSKZRQQASA-N 0 3 219.328 2.522 20 0 BFADHN Cc1ccc(C)c([C@H](C)NC/C=C/CO)c1 ZINC000658132222 411256943 /nfs/dbraw/zinc/25/69/43/411256943.db2.gz JOLPWFBAIFWRSJ-IHVVCDCBSA-N 0 3 219.328 2.503 20 0 BFADHN Cc1ccc(F)c(CN2C[C@H]3COC[C@@]3(C)C2)c1 ZINC000661708048 411257847 /nfs/dbraw/zinc/25/78/47/411257847.db2.gz LNWDOJCTOSDEET-DZGCQCFKSA-N 0 3 249.329 2.602 20 0 BFADHN C[C@@H](N[C@H]1CCO[C@H]1C)c1ccc(F)cc1F ZINC000536908932 411259505 /nfs/dbraw/zinc/25/95/05/411259505.db2.gz IRIMYZWKJRWFNN-ZDMBXUJBSA-N 0 3 241.281 2.793 20 0 BFADHN Cc1ccc([C@H](C)NC/C=C/CO)cc1C ZINC000658134322 411260049 /nfs/dbraw/zinc/26/00/49/411260049.db2.gz VSGIYXYMLDRJFZ-IHVVCDCBSA-N 0 3 219.328 2.503 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C(C)C)C2CC2)nn1C ZINC000658299269 411326892 /nfs/dbraw/zinc/32/68/92/411326892.db2.gz DVCZBTAEAKXWIY-FZMZJTMJSA-N 0 3 235.375 2.814 20 0 BFADHN CC(C)CN(C)Cc1cnc2ccccc2n1 ZINC000659859183 411351290 /nfs/dbraw/zinc/35/12/90/411351290.db2.gz ZBVIBMRRDQODPH-UHFFFAOYSA-N 0 3 229.327 2.718 20 0 BFADHN CC[C@H](N[C@H]1C[C@H](O)C1)c1cccc(Cl)c1 ZINC000658350578 411352188 /nfs/dbraw/zinc/35/21/88/411352188.db2.gz GIUCKYDNHNIVIU-AVGNSLFASA-N 0 3 239.746 2.904 20 0 BFADHN C[C@]12CCN([C@@H]3COC(C)(C)C3)C[C@H]1C2(F)F ZINC000660605369 411352648 /nfs/dbraw/zinc/35/26/48/411352648.db2.gz HOGHDMKHEAWDGK-UMNHJUIQSA-N 0 3 245.313 2.531 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@@H]2COC[C@H]2C1 ZINC000662039626 411352691 /nfs/dbraw/zinc/35/26/91/411352691.db2.gz YSHFZUWMGYTXEW-ZIAGYGMSSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1cc(NC(=O)CNC(C)C)ccc1Cl ZINC000659327942 411356738 /nfs/dbraw/zinc/35/67/38/411356738.db2.gz BTOMLCFYECSHLR-UHFFFAOYSA-N 0 3 240.734 2.585 20 0 BFADHN CC[C@@H]1CN(Cc2cccc(N)c2)[C@H](CC)CO1 ZINC000403737017 411356749 /nfs/dbraw/zinc/35/67/49/411356749.db2.gz QZXZBLUGCCYIIZ-HUUCEWRRSA-N 0 3 248.370 2.658 20 0 BFADHN Clc1cccc(CN2CCC3(COC3)C2)c1 ZINC000662073372 411357071 /nfs/dbraw/zinc/35/70/71/411357071.db2.gz MMVJTKKABCICRY-UHFFFAOYSA-N 0 3 237.730 2.562 20 0 BFADHN CNCc1cccc(NC(=O)[C@@H]2CCC2(C)C)c1 ZINC000658927217 411362046 /nfs/dbraw/zinc/36/20/46/411362046.db2.gz QMTRFRPSFOCYLP-ZDUSSCGKSA-N 0 3 246.354 2.781 20 0 BFADHN CC[C@@H](C)CNc1ccc([C@@H]2CNCCO2)cc1 ZINC000656794208 411364075 /nfs/dbraw/zinc/36/40/75/411364075.db2.gz XRDKFOCKIJNCSG-DOMZBBRYSA-N 0 3 248.370 2.806 20 0 BFADHN Cc1cc(CN2C[C@@H](C)[C@@](C)(CO)C2)cs1 ZINC000662144671 411366679 /nfs/dbraw/zinc/36/66/79/411366679.db2.gz TUPSBQNGLAIZNB-ZWNOBZJWSA-N 0 3 239.384 2.507 20 0 BFADHN Cc1nc(CN2CCCC[C@@H]2CC2CCC2)n[nH]1 ZINC000662158732 411369123 /nfs/dbraw/zinc/36/91/23/411369123.db2.gz WMCDIJAUSAMEQU-CYBMUJFWSA-N 0 3 248.374 2.658 20 0 BFADHN Cc1ncncc1[C@@H](C)NCCCc1ccco1 ZINC000658324627 411333813 /nfs/dbraw/zinc/33/38/13/411333813.db2.gz AJVRJTSKGTXMLE-LLVKDONJSA-N 0 3 245.326 2.661 20 0 BFADHN Fc1ccccc1CCN1CC[C@@H]2C[C@@H]2C1 ZINC000661967394 411335591 /nfs/dbraw/zinc/33/55/91/411335591.db2.gz OTVDKYVSABUTBX-CHWSQXEVSA-N 0 3 219.303 2.710 20 0 BFADHN C[C@H](NCCCc1ccco1)c1ccccn1 ZINC000658327622 411336216 /nfs/dbraw/zinc/33/62/16/411336216.db2.gz PFXCNJCFALJPLV-LBPRGKRZSA-N 0 3 230.311 2.958 20 0 BFADHN OC1(CN2CC[C@@H]2c2ccc(F)cc2)CCCC1 ZINC000656709151 411336358 /nfs/dbraw/zinc/33/63/58/411336358.db2.gz UGELUWBFROTIBX-CQSZACIVSA-N 0 3 249.329 2.878 20 0 BFADHN O=C(CCN1CC[C@H]2C[C@H]2C1)c1ccc(F)cc1 ZINC000661968849 411337874 /nfs/dbraw/zinc/33/78/74/411337874.db2.gz HZMBVHPLCYAXAM-STQMWFEESA-N 0 3 247.313 2.740 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCCNc2ccccc21 ZINC000658332212 411339202 /nfs/dbraw/zinc/33/92/02/411339202.db2.gz PFFONCVQGCYMMF-KFWWJZLASA-N 0 3 246.354 2.700 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1sc(C)nc1C ZINC000658332195 411340044 /nfs/dbraw/zinc/34/00/44/411340044.db2.gz OVAXIXGXBOMMFF-XRNSZHNASA-N 0 3 240.372 2.588 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1ccccc1N(C)C ZINC000658333361 411341047 /nfs/dbraw/zinc/34/10/47/411341047.db2.gz WUBMRPCOOSNEGC-DFBGVHRSSA-N 0 3 248.370 2.581 20 0 BFADHN c1cn(C2CCCC2)nc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000661973343 411341524 /nfs/dbraw/zinc/34/15/24/411341524.db2.gz LMMCXCCUWKEPPV-CHWSQXEVSA-N 0 3 245.370 2.840 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H]1N[C@@H](C)c1nccn1C ZINC000658335696 411343307 /nfs/dbraw/zinc/34/33/07/411343307.db2.gz FILBZUJCAWHULG-AVGNSLFASA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H](NC[C@H]1CCOC1(C)C)c1cscn1 ZINC000658331244 411343539 /nfs/dbraw/zinc/34/35/39/411343539.db2.gz NKXFAONBMUPTEN-VHSXEESVSA-N 0 3 240.372 2.609 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1NCc1cccc(C2CC2)c1 ZINC000128080102 168000032 /nfs/dbraw/zinc/00/00/32/168000032.db2.gz IYPZVJDGWVBZPF-GJZGRUSLSA-N 0 3 245.366 2.813 20 0 BFADHN CC(C)=CCCN1CCC[C@H](c2nc(C)no2)C1 ZINC000659853736 411344851 /nfs/dbraw/zinc/34/48/51/411344851.db2.gz SUIIKKSIEIGSDI-ZDUSSCGKSA-N 0 3 249.358 2.914 20 0 BFADHN C[C@H](N[C@H]1CCC[C@H]1CCF)c1nccn1C ZINC000658337657 411345072 /nfs/dbraw/zinc/34/50/72/411345072.db2.gz FUQUHEQQSKPFNL-SRVKXCTJSA-N 0 3 239.338 2.599 20 0 BFADHN CC1(C)[C@H](NCc2ccco2)[C@@H]2CCCO[C@H]21 ZINC000227075831 168006546 /nfs/dbraw/zinc/00/65/46/168006546.db2.gz PWHPROVNOGOOMC-YNEHKIRRSA-N 0 3 235.327 2.573 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000183899128 168008618 /nfs/dbraw/zinc/00/86/18/168008618.db2.gz RVORWIAIOQNXJZ-MGPQQGTHSA-N 0 3 249.329 2.562 20 0 BFADHN CC1(C)[C@@H]2CN(CCC(F)(F)F)C[C@@H]21 ZINC000336434805 168012930 /nfs/dbraw/zinc/01/29/30/168012930.db2.gz ZPIJQVSSXCZHRL-OCAPTIKFSA-N 0 3 207.239 2.527 20 0 BFADHN C[C@@H](N[C@@H]1CCCC12CCC2)c1nccn1C ZINC000658338171 411346178 /nfs/dbraw/zinc/34/61/78/411346178.db2.gz HQQXBWLVULWBFD-VXGBXAGGSA-N 0 3 233.359 2.794 20 0 BFADHN CC[C@H](N[C@H](C)c1nccn1C)[C@@H]1C[C@@H]1C ZINC000658339747 411346489 /nfs/dbraw/zinc/34/64/89/411346489.db2.gz VEWCBYCAPQGGRQ-QCNOEVLYSA-N 0 3 221.348 2.505 20 0 BFADHN CC1(CN2CCN(C3CCCC3)CC2)CCC1 ZINC000336249709 168023547 /nfs/dbraw/zinc/02/35/47/168023547.db2.gz KZDXELACRLGERL-UHFFFAOYSA-N 0 3 236.403 2.737 20 0 BFADHN CC1(CN2C[C@]3(CCCO3)[C@@H]2C2CC2)CCC1 ZINC000354703180 168026212 /nfs/dbraw/zinc/02/62/12/168026212.db2.gz JRCMXJUUCSOVBK-DZGCQCFKSA-N 0 3 235.371 2.820 20 0 BFADHN CC1(CN2C[C@]3(CCCO3)[C@H]2C2CC2)CCC1 ZINC000354703187 168027148 /nfs/dbraw/zinc/02/71/48/168027148.db2.gz JRCMXJUUCSOVBK-UKRRQHHQSA-N 0 3 235.371 2.820 20 0 BFADHN CC1(CNCc2csc(C3CC3)n2)CC1 ZINC000336761224 168043161 /nfs/dbraw/zinc/04/31/61/168043161.db2.gz NDGNUYTVLUZSIM-UHFFFAOYSA-N 0 3 222.357 2.910 20 0 BFADHN CC1(CNCc2ccc(CO)o2)CCCCC1 ZINC000229587099 168044541 /nfs/dbraw/zinc/04/45/41/168044541.db2.gz IOUZVVOXTHHJLU-UHFFFAOYSA-N 0 3 237.343 2.832 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@H]1CCC[C@H](F)C1 ZINC000655778547 411349179 /nfs/dbraw/zinc/34/91/79/411349179.db2.gz PBHWHJNEJSNPJN-SRVKXCTJSA-N 0 3 237.322 2.716 20 0 BFADHN CC(C)(C)C1CN(Cc2ccc(O)cc2)C1 ZINC000656834085 411377814 /nfs/dbraw/zinc/37/78/14/411377814.db2.gz DJNDFWNBYLBMIH-UHFFFAOYSA-N 0 3 219.328 2.870 20 0 BFADHN CC1=C(C)CN(Cc2cnn3ccccc23)CC1 ZINC000361124328 168083760 /nfs/dbraw/zinc/08/37/60/168083760.db2.gz FGCVSUFCBUZKRU-UHFFFAOYSA-N 0 3 241.338 2.876 20 0 BFADHN CC1=C(c2ccco2)CN(C[C@@H](O)C(C)C)CC1 ZINC000368392952 168089942 /nfs/dbraw/zinc/08/99/42/168089942.db2.gz LUFSKFSXBITKQZ-CQSZACIVSA-N 0 3 249.354 2.776 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CNCc1ccn(C)n1 ZINC000358977826 168102263 /nfs/dbraw/zinc/10/22/63/168102263.db2.gz FPRZCSNHHFPGPX-OCCSQVGLSA-N 0 3 233.359 2.502 20 0 BFADHN Cc1ncsc1CCN1CC=C(C)CC1 ZINC000270120954 168114998 /nfs/dbraw/zinc/11/49/98/168114998.db2.gz FUILFIQWOIDJIP-UHFFFAOYSA-N 0 3 222.357 2.646 20 0 BFADHN CC1=CC[C@@H](N[C@@H](c2nncn2C)C(C)C)CC1 ZINC000294250312 168118778 /nfs/dbraw/zinc/11/87/78/168118778.db2.gz AIFDEZNEAPKPCO-CHWSQXEVSA-N 0 3 248.374 2.601 20 0 BFADHN CC1=CC[C@@H](N[C@@H](CCO)c2ccco2)CC1 ZINC000285210954 168119010 /nfs/dbraw/zinc/11/90/10/168119010.db2.gz OMBBNSCCVYTSTL-OLZOCXBDSA-N 0 3 235.327 2.792 20 0 BFADHN CC1=CC[C@H](N[C@H](c2nncn2C)C(C)C)CC1 ZINC000294250335 168121264 /nfs/dbraw/zinc/12/12/64/168121264.db2.gz AIFDEZNEAPKPCO-STQMWFEESA-N 0 3 248.374 2.601 20 0 BFADHN CC1=CC[C@H](NCc2nnc(C(C)C)[nH]2)CC1 ZINC000294925616 168121486 /nfs/dbraw/zinc/12/14/86/168121486.db2.gz ZAZSSJSXFOJTFM-NSHDSACASA-N 0 3 234.347 2.517 20 0 BFADHN CC1=CC[C@H]([NH2+]Cc2nnc(C(C)C)[n-]2)CC1 ZINC000294925616 168121487 /nfs/dbraw/zinc/12/14/87/168121487.db2.gz ZAZSSJSXFOJTFM-NSHDSACASA-N 0 3 234.347 2.517 20 0 BFADHN Cc1ccncc1CN1CC(C)=C[C@@H](C)C1 ZINC000336290775 168123069 /nfs/dbraw/zinc/12/30/69/168123069.db2.gz IKOWHPZJHFQRFK-LLVKDONJSA-N 0 3 216.328 2.788 20 0 BFADHN Cc1nc(CCN2CC(C)=C[C@@H](C)C2)cs1 ZINC000336599545 168123548 /nfs/dbraw/zinc/12/35/48/168123548.db2.gz JNURZQVUPDJCOP-SNVBAGLBSA-N 0 3 236.384 2.892 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN(C)Cc2cnn(C)c2)C1 ZINC000290547435 168127445 /nfs/dbraw/zinc/12/74/45/168127445.db2.gz WMMUETLZDHMNOR-GXTWGEPZSA-N 0 3 247.386 2.844 20 0 BFADHN CC1=C[C@H](C)CN(Cc2cnn(C(C)C)c2)C1 ZINC000336316348 168127667 /nfs/dbraw/zinc/12/76/67/168127667.db2.gz QXLAZXCBTJBYPJ-LBPRGKRZSA-N 0 3 233.359 2.862 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN2CCO[C@H](C)C2)C1 ZINC000354317495 168129886 /nfs/dbraw/zinc/12/98/86/168129886.db2.gz GVWKDLLEATYQIS-YUTCNCBUSA-N 0 3 223.360 2.700 20 0 BFADHN CC1CC(NCc2ccn(-c3ccccc3)n2)C1 ZINC000163663709 168146833 /nfs/dbraw/zinc/14/68/33/168146833.db2.gz MGEBBMRTJLQJRQ-UHFFFAOYSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@H](NC1CCC(C)CC1)c1ccon1 ZINC000308841039 168164528 /nfs/dbraw/zinc/16/45/28/168164528.db2.gz KBTWVGHBFNNYAD-YVNMAJEFSA-N 0 3 208.305 2.904 20 0 BFADHN CC1CCC(N[C@H](CCO)c2ccco2)CC1 ZINC000186515481 168165305 /nfs/dbraw/zinc/16/53/05/168165305.db2.gz QRUJNQUCOGSDLL-WXRRBKDZSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](NCC1(O)CCC(C)CC1)c1cccnc1 ZINC000087044775 168167610 /nfs/dbraw/zinc/16/76/10/168167610.db2.gz IHJAROASOTWJGH-OWYJLGKBSA-N 0 3 248.370 2.673 20 0 BFADHN CC1CCN(C/C=C/c2ccncc2)CC1 ZINC000336567002 168177012 /nfs/dbraw/zinc/17/70/12/168177012.db2.gz IUSZOZLLMLMZME-NSCUHMNNSA-N 0 3 216.328 2.827 20 0 BFADHN C[C@@H](CN1CCC(C)CC1)C(F)(F)F ZINC000336353071 168182737 /nfs/dbraw/zinc/18/27/37/168182737.db2.gz QGMDZRDCZLRYIU-VIFPVBQESA-N 0 3 209.255 2.917 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cc(C3CC3)no2)C1 ZINC000659945261 411389519 /nfs/dbraw/zinc/38/95/19/411389519.db2.gz ANJWWSMJSSLEAU-NXEZZACHSA-N 0 3 220.316 2.782 20 0 BFADHN CCC(=O)CCN(CCOC)Cc1ccccc1 ZINC000190177755 168200361 /nfs/dbraw/zinc/20/03/61/168200361.db2.gz GSDZJDKFUINKAP-UHFFFAOYSA-N 0 3 249.354 2.504 20 0 BFADHN CCC(=O)CCN(CC)CCc1ccccc1 ZINC000190190535 168200642 /nfs/dbraw/zinc/20/06/42/168200642.db2.gz DRZPLVFHVHBHLT-UHFFFAOYSA-N 0 3 233.355 2.920 20 0 BFADHN CNCc1ccccc1NC(=O)C1CC2(CC2)C1 ZINC000659303212 411391029 /nfs/dbraw/zinc/39/10/29/411391029.db2.gz MBUFVDJMMWCOOT-UHFFFAOYSA-N 0 3 244.338 2.535 20 0 BFADHN Cc1ccc(NC(=O)C2(N)CC3(CCC3)C2)cc1 ZINC000659271168 411384636 /nfs/dbraw/zinc/38/46/36/411384636.db2.gz NHGOVQHUZMMLCC-UHFFFAOYSA-N 0 3 244.338 2.595 20 0 BFADHN CC[C@@H]1COCCN1CCCC1CCC1 ZINC000659935730 411386133 /nfs/dbraw/zinc/38/61/33/411386133.db2.gz ZMAPOGHQJOSXNG-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CC[C@H]1COCCN1CCCC1CCC1 ZINC000659935736 411386213 /nfs/dbraw/zinc/38/62/13/411386213.db2.gz ZMAPOGHQJOSXNG-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN CCC[C@@H](C)NC(=O)C[C@@H]1NCc2ccccc21 ZINC000659273034 411386647 /nfs/dbraw/zinc/38/66/47/411386647.db2.gz YTRYJSGXFJCMGT-RISCZKNCSA-N 0 3 246.354 2.526 20 0 BFADHN CCC(C)(C)CCN1CCO[C@@H](C2CC2)C1 ZINC000362555328 168275928 /nfs/dbraw/zinc/27/59/28/168275928.db2.gz IDMPINHDGHXPLH-CYBMUJFWSA-N 0 3 225.376 2.924 20 0 BFADHN CCC(C)(C)NC(=O)CN[C@H](C)c1ccccc1 ZINC000042211557 168289478 /nfs/dbraw/zinc/28/94/78/168289478.db2.gz OHLYSSQIABCLEN-GFCCVEGCSA-N 0 3 248.370 2.642 20 0 BFADHN COC[C@H](C)Oc1ccc(CN2CCCC2)cc1 ZINC000657295189 411454867 /nfs/dbraw/zinc/45/48/67/411454867.db2.gz KHORJVGCNZARQW-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN c1c(CNCCC2CCCCC2)nn2c1CCC2 ZINC000657300054 411455123 /nfs/dbraw/zinc/45/51/23/411455123.db2.gz GZEGVESPDKZIFR-UHFFFAOYSA-N 0 3 247.386 2.889 20 0 BFADHN CC[C@@H]1C[C@H](NCCOc2ccccc2C)CO1 ZINC000657323927 411464393 /nfs/dbraw/zinc/46/43/93/411464393.db2.gz IZBJOPHOLBSBAK-UONOGXRCSA-N 0 3 249.354 2.531 20 0 BFADHN CCc1ccc(CN[C@H]2CO[C@H](CC)C2)s1 ZINC000657310689 411458981 /nfs/dbraw/zinc/45/89/81/411458981.db2.gz QXVBREWFMXNMEE-GHMZBOCLSA-N 0 3 239.384 2.968 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1c2c(nn1C)CCCC2 ZINC000638275256 411459952 /nfs/dbraw/zinc/45/99/52/411459952.db2.gz LPFBWUVOEIYLBC-AWEZNQCLSA-N 0 3 247.386 2.529 20 0 BFADHN CC[C@H](C)[C@H]1CCCCN1Cc1cn(C)cn1 ZINC000659949998 411392631 /nfs/dbraw/zinc/39/26/31/411392631.db2.gz BZTRZEDXSRAMDN-GXTWGEPZSA-N 0 3 235.375 2.821 20 0 BFADHN CCc1nocc1CN1CCC[C@H](C)[C@@H]1C ZINC000659950476 411393005 /nfs/dbraw/zinc/39/30/05/411393005.db2.gz NZLNIXLNXVKSSS-QWRGUYRKSA-N 0 3 222.332 2.857 20 0 BFADHN CCCC1(CNCc2cnc(OC)s2)CC1 ZINC000380687231 411401082 /nfs/dbraw/zinc/40/10/82/411401082.db2.gz HXCWDDXCLYQUPJ-UHFFFAOYSA-N 0 3 240.372 2.822 20 0 BFADHN CO[C@H](CN(C)Cc1ccco1)C1CCCC1 ZINC000659815835 411461415 /nfs/dbraw/zinc/46/14/15/411461415.db2.gz KMMSKCOXLLCRHQ-CQSZACIVSA-N 0 3 237.343 2.917 20 0 BFADHN C/C=C\CNCc1ccc(O[C@@H](C)COC)cc1 ZINC000623740485 411403417 /nfs/dbraw/zinc/40/34/17/411403417.db2.gz XXOXQDDDFZDUCN-ZFDPJTLLSA-N 0 3 249.354 2.766 20 0 BFADHN CCc1nc([C@@H](C)N2CCCC[C@@H](C)C2)n[nH]1 ZINC000660309400 411403509 /nfs/dbraw/zinc/40/35/09/411403509.db2.gz GCIQDDBMEJKAQP-GHMZBOCLSA-N 0 3 236.363 2.550 20 0 BFADHN CCc1nnc([C@@H](C)[N@@H+]2CCCC[C@@H](C)C2)[n-]1 ZINC000660309400 411403511 /nfs/dbraw/zinc/40/35/11/411403511.db2.gz GCIQDDBMEJKAQP-GHMZBOCLSA-N 0 3 236.363 2.550 20 0 BFADHN CCc1nnc([C@@H](C)[N@H+]2CCCC[C@@H](C)C2)[n-]1 ZINC000660309400 411403514 /nfs/dbraw/zinc/40/35/14/411403514.db2.gz GCIQDDBMEJKAQP-GHMZBOCLSA-N 0 3 236.363 2.550 20 0 BFADHN C/C=C\CNCc1ccc(O[C@H](C)COC)cc1 ZINC000623740482 411403829 /nfs/dbraw/zinc/40/38/29/411403829.db2.gz XXOXQDDDFZDUCN-DSYXLKISSA-N 0 3 249.354 2.766 20 0 BFADHN Nc1ccc(CN2CCC3(C2)CCCCC3)cn1 ZINC000305612230 411415943 /nfs/dbraw/zinc/41/59/43/411415943.db2.gz CXJUECOEDGILJC-UHFFFAOYSA-N 0 3 245.370 2.820 20 0 BFADHN CCc1ccc(CN2CCC[C@@H]3COC[C@@H]32)cc1 ZINC000657209141 411418610 /nfs/dbraw/zinc/41/86/10/411418610.db2.gz VXJNTDKAWOGXQD-CVEARBPZSA-N 0 3 245.366 2.860 20 0 BFADHN Cc1ccsc1CN1CCC[C@@H]2COC[C@@H]21 ZINC000657208842 411418779 /nfs/dbraw/zinc/41/87/79/411418779.db2.gz DZXQVMFFLBNWTA-NEPJUHHUSA-N 0 3 237.368 2.667 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]3COC[C@@H]32)s1 ZINC000657209144 411419169 /nfs/dbraw/zinc/41/91/69/411419169.db2.gz VYHCOXSZQFAJFT-YPMHNXCESA-N 0 3 237.368 2.667 20 0 BFADHN CC[C@@H]1CN(CC)CCN1CC1=CCCCC1 ZINC000451745202 411420271 /nfs/dbraw/zinc/42/02/71/411420271.db2.gz XESWNLXSQSGTTR-OAHLLOKOSA-N 0 3 236.403 2.903 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1cnn2ccccc12 ZINC000414521208 411426065 /nfs/dbraw/zinc/42/60/65/411426065.db2.gz DXHYFXBYCCAJRE-GFCCVEGCSA-N 0 3 243.354 2.955 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)[C@H]2CO)cs1 ZINC000653587599 411427561 /nfs/dbraw/zinc/42/75/61/411427561.db2.gz JTYYIWNOWWDGSF-ZWNOBZJWSA-N 0 3 239.384 2.649 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2cccc(OC)c2)CO1 ZINC000657318192 411462833 /nfs/dbraw/zinc/46/28/33/411462833.db2.gz MLTSUNQLBYBYHH-MRVWCRGKSA-N 0 3 249.354 2.913 20 0 BFADHN CC(C)(CCN1CCOC[C@@H]1C1CC1)C1CC1 ZINC000653594306 411430710 /nfs/dbraw/zinc/43/07/10/411430710.db2.gz KDNRAATVPATPBV-CQSZACIVSA-N 0 3 237.387 2.924 20 0 BFADHN CC(C)C[C@H]1C[C@H](NCc2ccco2)CCO1 ZINC000271959665 411432498 /nfs/dbraw/zinc/43/24/98/411432498.db2.gz GAQXUNIWUHIAFL-OCCSQVGLSA-N 0 3 237.343 2.963 20 0 BFADHN C[C@@H]1CN(CCCC2CCC2)[C@@H](C)CO1 ZINC000659820760 411463161 /nfs/dbraw/zinc/46/31/61/411463161.db2.gz BTDUPNLROGTQIU-NWDGAFQWSA-N 0 3 211.349 2.676 20 0 BFADHN CCCc1nccn1Cc1ccc2cn[nH]c2c1 ZINC000657254257 411435626 /nfs/dbraw/zinc/43/56/26/411435626.db2.gz ONQBKGPZEPXMRT-UHFFFAOYSA-N 0 3 240.310 2.760 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@@H](C)[C@@H]2C)cs1 ZINC000306175050 411437294 /nfs/dbraw/zinc/43/72/94/411437294.db2.gz MCFMBYXCSIICAQ-VHSKPIJISA-N 0 3 210.346 2.586 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H]2CCc3cc(F)ccc32)CO1 ZINC000657334412 411463446 /nfs/dbraw/zinc/46/34/46/411463446.db2.gz ZMGJCPYXTLSXQO-UMVBOHGHSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CCC[C@@H](C)[C@H]2C)n1 ZINC000657285340 411444918 /nfs/dbraw/zinc/44/49/18/411444918.db2.gz DJBPAPMACNOCAL-YUSALJHKSA-N 0 3 221.348 2.632 20 0 BFADHN CC(C)NCc1cccc(OC2CC2)c1F ZINC000657287824 411446475 /nfs/dbraw/zinc/44/64/75/411446475.db2.gz YEZIUYCFJIQXRB-UHFFFAOYSA-N 0 3 223.291 2.865 20 0 BFADHN CO[C@]1(C)CCCN(CCOc2ccccc2)C1 ZINC000279289735 411446901 /nfs/dbraw/zinc/44/69/01/411446901.db2.gz KYDMOURNKVOZDV-OAHLLOKOSA-N 0 3 249.354 2.566 20 0 BFADHN Cc1c[nH]c(CNC2CCCCCC2)n1 ZINC000657287059 411447081 /nfs/dbraw/zinc/44/70/81/411447081.db2.gz XSPPLMHLXRGUOQ-UHFFFAOYSA-N 0 3 207.321 2.531 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@@H]1CC3CCC1CC3)CC2 ZINC000400089639 411447876 /nfs/dbraw/zinc/44/78/76/411447876.db2.gz WWWCCPNGXJPHFF-CLRIEMFWSA-N 0 3 245.370 2.744 20 0 BFADHN C[C@@H]1C[C@H](N(C)Cc2ccsc2)CCO1 ZINC000659821996 411464003 /nfs/dbraw/zinc/46/40/03/411464003.db2.gz RGFWYQQNCCDBIC-ZYHUDNBSSA-N 0 3 225.357 2.747 20 0 BFADHN Cc1c[nH]c(CNC2[C@@H](C)CCC[C@@H]2C)n1 ZINC000657331503 411468178 /nfs/dbraw/zinc/46/81/78/411468178.db2.gz FDSOJHJDWXRHBS-UWVGGRQHSA-N 0 3 221.348 2.632 20 0 BFADHN CC(C)n1ccnc1CNC[C@H]1CC=CCC1 ZINC000657332196 411468278 /nfs/dbraw/zinc/46/82/78/411468278.db2.gz YJAVTLSSFQPLLZ-ZDUSSCGKSA-N 0 3 233.359 2.910 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2ccc(C)c(OC)c2)CO1 ZINC000657332243 411468610 /nfs/dbraw/zinc/46/86/10/411468610.db2.gz DQYPGYDTXHXUAT-ZIAGYGMSSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1c[nH]c(CNCCc2ccc(C)cc2C)n1 ZINC000657331788 411468776 /nfs/dbraw/zinc/46/87/76/411468776.db2.gz PQRBRWWMTOEJNI-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN C[C@H](Cc1ccccn1)N[C@@H](C)c1cncs1 ZINC000655837567 411532634 /nfs/dbraw/zinc/53/26/34/411532634.db2.gz UQHWVESNVIUALV-MNOVXSKESA-N 0 3 247.367 2.820 20 0 BFADHN CC[C@H](Cc1ccccc1)NCc1nc(C)c[nH]1 ZINC000657344935 411470445 /nfs/dbraw/zinc/47/04/45/411470445.db2.gz DPZCNZLBBJYPPQ-CQSZACIVSA-N 0 3 243.354 2.829 20 0 BFADHN CCCN(CCC)[C@@H](C)c1n[nH]c(CC)n1 ZINC000659838417 411470675 /nfs/dbraw/zinc/47/06/75/411470675.db2.gz IHMIUODTKZNHEL-JTQLQIEISA-N 0 3 224.352 2.550 20 0 BFADHN CCC[NH+](CCC)[C@@H](C)c1nnc(CC)[n-]1 ZINC000659838417 411470676 /nfs/dbraw/zinc/47/06/76/411470676.db2.gz IHMIUODTKZNHEL-JTQLQIEISA-N 0 3 224.352 2.550 20 0 BFADHN CCCN(CCC)[C@H](C)c1n[nH]c(CC)n1 ZINC000659838418 411471339 /nfs/dbraw/zinc/47/13/39/411471339.db2.gz IHMIUODTKZNHEL-SNVBAGLBSA-N 0 3 224.352 2.550 20 0 BFADHN CCC[NH+](CCC)[C@H](C)c1nnc(CC)[n-]1 ZINC000659838418 411471341 /nfs/dbraw/zinc/47/13/41/411471341.db2.gz IHMIUODTKZNHEL-SNVBAGLBSA-N 0 3 224.352 2.550 20 0 BFADHN CCN(Cc1cn(C)cn1)C1CCCCC1 ZINC000659841055 411472476 /nfs/dbraw/zinc/47/24/76/411472476.db2.gz NFBBKSIDOPVUOP-UHFFFAOYSA-N 0 3 221.348 2.575 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CCC=C(C)C ZINC000659840135 411472739 /nfs/dbraw/zinc/47/27/39/411472739.db2.gz BANDPZVWBLHGGV-QWHCGFSZSA-N 0 3 211.349 2.842 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@]2(O)CCC[C@@H]2C)c1 ZINC000293981930 411472875 /nfs/dbraw/zinc/47/28/75/411472875.db2.gz LAJQGYHYMUTTEK-YDHLFZDLSA-N 0 3 248.370 2.592 20 0 BFADHN COCC1(CNCc2ccc([C@H]3C[C@H]3C)o2)CC1 ZINC000655837971 411532981 /nfs/dbraw/zinc/53/29/81/411532981.db2.gz HMRZCYKFHGZAHN-YPMHNXCESA-N 0 3 249.354 2.919 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H]2CCc3c2cccc3O)CO1 ZINC000657351571 411476512 /nfs/dbraw/zinc/47/65/12/411476512.db2.gz QDHYDRYUUYBIMA-WDMOLILDSA-N 0 3 247.338 2.537 20 0 BFADHN CC1(CNCc2cn(C3CCC3)nn2)CCCC1 ZINC000657359557 411477087 /nfs/dbraw/zinc/47/70/87/411477087.db2.gz WIAAGQOKQADDLO-UHFFFAOYSA-N 0 3 248.374 2.673 20 0 BFADHN COCC1(CNCc2ccccc2Cl)CC1 ZINC000655838529 411533062 /nfs/dbraw/zinc/53/30/62/411533062.db2.gz OFRHPDHLYUXFIC-UHFFFAOYSA-N 0 3 239.746 2.856 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CC[C@@H](C)C[C@H]2C)n1 ZINC000657357656 411479043 /nfs/dbraw/zinc/47/90/43/411479043.db2.gz COJLLIOCQKDYDB-CKYFFXLPSA-N 0 3 221.348 2.632 20 0 BFADHN Cc1c[nH]c(CN[C@@H](C)C2CCCCC2)n1 ZINC000657374354 411482750 /nfs/dbraw/zinc/48/27/50/411482750.db2.gz LZOGPHJORWDLLC-NSHDSACASA-N 0 3 221.348 2.777 20 0 BFADHN CCN(CCC=C(C)C)Cc1cnn(C)c1 ZINC000659869710 411484919 /nfs/dbraw/zinc/48/49/19/411484919.db2.gz ZISUTMNGRKHCQR-UHFFFAOYSA-N 0 3 221.348 2.598 20 0 BFADHN CCc1nocc1CN1CCCC1(C)C ZINC000659877021 411486888 /nfs/dbraw/zinc/48/68/88/411486888.db2.gz OUMYHEVIOZLKSL-UHFFFAOYSA-N 0 3 208.305 2.611 20 0 BFADHN Cc1cccc2c1CN([C@@H]1COC(C)(C)C1)CC2 ZINC000660416984 411489744 /nfs/dbraw/zinc/48/97/44/411489744.db2.gz ZUIHAFWMVKLEOA-AWEZNQCLSA-N 0 3 245.366 2.921 20 0 BFADHN Cc1cccc2c1CN([C@H]1COC(C)(C)C1)CC2 ZINC000660416985 411490070 /nfs/dbraw/zinc/49/00/70/411490070.db2.gz ZUIHAFWMVKLEOA-CQSZACIVSA-N 0 3 245.366 2.921 20 0 BFADHN CC1(C)CCC[C@@H]1NCc1cn(C2CCC2)nn1 ZINC000657388687 411490190 /nfs/dbraw/zinc/49/01/90/411490190.db2.gz OMAGSFGRHCSDIH-ZDUSSCGKSA-N 0 3 248.374 2.671 20 0 BFADHN CCCC1(CNCc2ccnn2CC2CC2)CC1 ZINC000657395344 411493498 /nfs/dbraw/zinc/49/34/98/411493498.db2.gz CPWPFTLLYVRWPK-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccc(C)cc2OC)CO1 ZINC000657395283 411493665 /nfs/dbraw/zinc/49/36/65/411493665.db2.gz TWHYVIIIUBTYNG-UONOGXRCSA-N 0 3 249.354 2.661 20 0 BFADHN CCCC1(CNCc2cn(C3CCC3)nn2)CC1 ZINC000657395675 411493919 /nfs/dbraw/zinc/49/39/19/411493919.db2.gz HMSQPKWLEXYGIB-UHFFFAOYSA-N 0 3 248.374 2.673 20 0 BFADHN COCC1(CNCc2cc3ccccc3o2)CC1 ZINC000655839508 411533889 /nfs/dbraw/zinc/53/38/89/411533889.db2.gz WYYIOCHTTHSJAE-UHFFFAOYSA-N 0 3 245.322 2.949 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccc(OC)cc2C)CO1 ZINC000657398686 411495524 /nfs/dbraw/zinc/49/55/24/411495524.db2.gz DZNOMYUHJXYIEA-KGLIPLIRSA-N 0 3 249.354 2.661 20 0 BFADHN c1cc([C@H]2CCCN2C[C@@H]2C[C@H]3C[C@H]3C2)n[nH]1 ZINC000660432797 411502025 /nfs/dbraw/zinc/50/20/25/411502025.db2.gz XHWNPLWFVKWRMS-GFQSEFKGSA-N 0 3 231.343 2.593 20 0 BFADHN CCN(Cc1cc(C2CC2)no1)C1CCC1 ZINC000659940111 411504202 /nfs/dbraw/zinc/50/42/02/411504202.db2.gz KYPIMYOGTWURFK-UHFFFAOYSA-N 0 3 220.316 2.926 20 0 BFADHN Cc1cccc(CN(C)C[C@@H]2C[C@H]3C[C@H]3C2)n1 ZINC000660079849 411534604 /nfs/dbraw/zinc/53/46/04/411534604.db2.gz BPNTWHDOWKFQAO-BTTYYORXSA-N 0 3 230.355 2.868 20 0 BFADHN Cc1c(CN(C)C[C@@H]2CC[C@@H]3C[C@@H]3C2)cnn1C ZINC000660442280 411510291 /nfs/dbraw/zinc/51/02/91/411510291.db2.gz ROSHIUOSKOEAKB-MCIONIFRSA-N 0 3 247.386 2.597 20 0 BFADHN Cn1ncc(C2CC2)c1CN[C@@H]1CC12CCCC2 ZINC000657449193 411510358 /nfs/dbraw/zinc/51/03/58/411510358.db2.gz QWQTVRALDLYCEO-CQSZACIVSA-N 0 3 245.370 2.720 20 0 BFADHN Cc1c(CN(C)C[C@@H]2CC[C@H]3C[C@H]3C2)cnn1C ZINC000660442279 411510433 /nfs/dbraw/zinc/51/04/33/411510433.db2.gz ROSHIUOSKOEAKB-HZSPNIEDSA-N 0 3 247.386 2.597 20 0 BFADHN CC(C)C(C)(C)CNCc1cc2n(n1)CCC2 ZINC000657442604 411511191 /nfs/dbraw/zinc/51/11/91/411511191.db2.gz SEPDZPNCTMGJIL-UHFFFAOYSA-N 0 3 235.375 2.601 20 0 BFADHN CC1(C)CCC[C@H](NCc2cc3n(n2)CCC3)C1 ZINC000657441797 411511287 /nfs/dbraw/zinc/51/12/87/411511287.db2.gz HSXMIZREXZKHTF-LBPRGKRZSA-N 0 3 247.386 2.888 20 0 BFADHN c1cc(CN[C@@H]2CC23CCCC3)n(CC2CC2)n1 ZINC000657449487 411511548 /nfs/dbraw/zinc/51/15/48/411511548.db2.gz ZJXBMCHRTJPFGT-CQSZACIVSA-N 0 3 245.370 2.715 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2cc3n(n2)CCC3)CC1 ZINC000657443022 411511591 /nfs/dbraw/zinc/51/15/91/411511591.db2.gz WHWYRAWFURAJDH-QWHCGFSZSA-N 0 3 247.386 2.888 20 0 BFADHN C[C@@H]1CN(Cc2cnc3ccccc3n2)C[C@H]1C ZINC000659971672 411514296 /nfs/dbraw/zinc/51/42/96/411514296.db2.gz OWKNTOPVMYEEGV-VXGBXAGGSA-N 0 3 241.338 2.718 20 0 BFADHN Cc1c[nH]c(CN[C@H]2C[C@H](c3ccccc3)C2)n1 ZINC000657454921 411515842 /nfs/dbraw/zinc/51/58/42/411515842.db2.gz OLHSZMQGDWZUCA-HDJSIYSDSA-N 0 3 241.338 2.754 20 0 BFADHN C[C@H]1CC[C@H](CNCc2cn(C3CCC3)nn2)C1 ZINC000657456908 411516087 /nfs/dbraw/zinc/51/60/87/411516087.db2.gz AYYOESBCNOWLHA-RYUDHWBXSA-N 0 3 248.374 2.529 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1coc(C)n1 ZINC000659975161 411516403 /nfs/dbraw/zinc/51/64/03/411516403.db2.gz VGBJUYWWWZMLBP-SKDRFNHKSA-N 0 3 208.305 2.603 20 0 BFADHN CO[C@@H](CN(C)Cc1ccc[nH]1)C1CCCC1 ZINC000659980882 411516447 /nfs/dbraw/zinc/51/64/47/411516447.db2.gz BGSNMJXENMHMHF-AWEZNQCLSA-N 0 3 236.359 2.652 20 0 BFADHN Cc1c[nH]c(CNCC2CCC(F)(F)CC2)n1 ZINC000657459028 411517569 /nfs/dbraw/zinc/51/75/69/411517569.db2.gz NGMMHDWUYVNTPF-UHFFFAOYSA-N 0 3 243.301 2.633 20 0 BFADHN C[C@@H]1CCN(Cc2cnc3ccccc3n2)[C@H]1C ZINC000659984855 411519510 /nfs/dbraw/zinc/51/95/10/411519510.db2.gz WNAVWOURSFMRNW-NEPJUHHUSA-N 0 3 241.338 2.860 20 0 BFADHN Cc1nc(CN2CC[C@H](C)[C@H]2C)c(C)o1 ZINC000659984660 411520190 /nfs/dbraw/zinc/52/01/90/411520190.db2.gz QLFHBTCBBYNRSK-DTWKUNHWSA-N 0 3 208.305 2.522 20 0 BFADHN CC(C)n1ccnc1CNC[C@H]1CC[C@H](C)C1 ZINC000657457620 411521051 /nfs/dbraw/zinc/52/10/51/411521051.db2.gz OJRCBBNFYFCPGK-STQMWFEESA-N 0 3 235.375 2.990 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CCCC(F)(F)C2)n1 ZINC000657458160 411521756 /nfs/dbraw/zinc/52/17/56/411521756.db2.gz JOEIEIKYLULJLR-SNVBAGLBSA-N 0 3 243.301 2.633 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)C2(C)CC2)nc(C)n1 ZINC000659992099 411522335 /nfs/dbraw/zinc/52/23/35/411522335.db2.gz BFYNSLISBDKEDF-NSHDSACASA-N 0 3 233.359 2.714 20 0 BFADHN CC[C@@H]1CCN(Cc2cc(C3CC3)no2)C1 ZINC000659995964 411523490 /nfs/dbraw/zinc/52/34/90/411523490.db2.gz JHNCSPPCRANVDK-SNVBAGLBSA-N 0 3 220.316 2.784 20 0 BFADHN COc1ccsc1CNC1(C)CC=CC1 ZINC000655814991 411523750 /nfs/dbraw/zinc/52/37/50/411523750.db2.gz WOTCQIRMSIYQEW-UHFFFAOYSA-N 0 3 223.341 2.955 20 0 BFADHN COCc1ccc(CNC2(C)CC=CC2)o1 ZINC000655814997 411523856 /nfs/dbraw/zinc/52/38/56/411523856.db2.gz WWBSRLNOROLZJS-UHFFFAOYSA-N 0 3 221.300 2.624 20 0 BFADHN CCc1cnc(CNC2(C)CC=CC2)s1 ZINC000655814608 411524065 /nfs/dbraw/zinc/52/40/65/411524065.db2.gz NHDYYDQNOFLYME-UHFFFAOYSA-N 0 3 222.357 2.904 20 0 BFADHN COCC1(CN[C@@H](C)c2cc(C)c(C)o2)CC1 ZINC000655815784 411524391 /nfs/dbraw/zinc/52/43/91/411524391.db2.gz IFDQKQYIRZELNV-NSHDSACASA-N 0 3 237.343 2.974 20 0 BFADHN CCC[C@@H]1CCCN(CCO[C@@H]2CC2(F)F)C1 ZINC000660000107 411525640 /nfs/dbraw/zinc/52/56/40/411525640.db2.gz NCLULQLBTWUHAT-VXGBXAGGSA-N 0 3 247.329 2.923 20 0 BFADHN CCc1cccnc1[C@H](C)NCC1(COC)CC1 ZINC000655818428 411525682 /nfs/dbraw/zinc/52/56/82/411525682.db2.gz RYLHXMQBOZMIBK-LBPRGKRZSA-N 0 3 248.370 2.721 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1cc2n(n1)CCC2 ZINC000657477987 411525773 /nfs/dbraw/zinc/52/57/73/411525773.db2.gz AYNVUZAMWNKEDF-QWHCGFSZSA-N 0 3 247.386 2.745 20 0 BFADHN c1ccc(CN[C@H](C2CC2)[C@H]2CCCCO2)nc1 ZINC000655811771 411525784 /nfs/dbraw/zinc/52/57/84/411525784.db2.gz WBBWMEZWQCUJMZ-HUUCEWRRSA-N 0 3 246.354 2.519 20 0 BFADHN c1ccc(CN[C@H](C2CC2)[C@@H]2CCCCO2)nc1 ZINC000655811772 411525875 /nfs/dbraw/zinc/52/58/75/411525875.db2.gz WBBWMEZWQCUJMZ-LSDHHAIUSA-N 0 3 246.354 2.519 20 0 BFADHN CC[C@H]1C[C@@H](NCc2cc(C)cs2)CO1 ZINC000657481896 411526924 /nfs/dbraw/zinc/52/69/24/411526924.db2.gz ZRTBNQHXAGFJMR-MNOVXSKESA-N 0 3 225.357 2.714 20 0 BFADHN CC(C)=CCCN1CCOC(C)(C)[C@H]1C ZINC000660007830 411527604 /nfs/dbraw/zinc/52/76/04/411527604.db2.gz TYGJRKCBYWQBTG-GFCCVEGCSA-N 0 3 211.349 2.842 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1nccn1C(C)C ZINC000657483664 411529017 /nfs/dbraw/zinc/52/90/17/411529017.db2.gz UBEYGGCKHSPRDM-STQMWFEESA-N 0 3 235.375 2.846 20 0 BFADHN C[C@H](Cc1ccccn1)N[C@H](C)c1ccoc1 ZINC000655835988 411531804 /nfs/dbraw/zinc/53/18/04/411531804.db2.gz AATCRXFQICLNME-VXGBXAGGSA-N 0 3 230.311 2.956 20 0 BFADHN CC1(C)C[C@H]1NCc1ccc(F)c(F)c1F ZINC000657325289 411464862 /nfs/dbraw/zinc/46/48/62/411464862.db2.gz CSCHAHJIDONDOT-SECBINFHSA-N 0 3 229.245 2.992 20 0 BFADHN CC[C@@H]1C[C@H](NC[C@@H](OC)c2ccccc2)CO1 ZINC000657326218 411465063 /nfs/dbraw/zinc/46/50/63/411465063.db2.gz KMSUSEVDPHVCQS-RRFJBIMHSA-N 0 3 249.354 2.531 20 0 BFADHN COc1cccc(CN(C)[C@@H]2COC(C)(C)C2)c1 ZINC000659826227 411465720 /nfs/dbraw/zinc/46/57/20/411465720.db2.gz JWDPTGJWXZVNME-ZDUSSCGKSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CCC[C@@H](C)C2)n1 ZINC000657328466 411465903 /nfs/dbraw/zinc/46/59/03/411465903.db2.gz WPGZUOCKIAYZDG-ZYHUDNBSSA-N 0 3 221.348 2.634 20 0 BFADHN CCN1CCS[C@H](c2ccccc2)C1 ZINC000363176572 170006362 /nfs/dbraw/zinc/00/63/62/170006362.db2.gz KKJMHOAWBGGZTQ-LBPRGKRZSA-N 0 3 207.342 2.796 20 0 BFADHN CO[C@H]1CCC[C@@H](NCc2ccc(C)c(C)n2)C1 ZINC000314044147 411466399 /nfs/dbraw/zinc/46/63/99/411466399.db2.gz NRDYQZCEVHOAIJ-HIFRSBDPSA-N 0 3 248.370 2.746 20 0 BFADHN CCN1CC[C@@H](Oc2ccc(Cl)cc2)C1 ZINC000339661350 170013719 /nfs/dbraw/zinc/01/37/19/170013719.db2.gz UXWOXFHUTOUSOW-GFCCVEGCSA-N 0 3 225.719 2.813 20 0 BFADHN CCN1CC[C@H](NCc2ccc(Cl)s2)C1 ZINC000328282398 170020758 /nfs/dbraw/zinc/02/07/58/170020758.db2.gz SGNLPNZQBOVVKB-VIFPVBQESA-N 0 3 244.791 2.585 20 0 BFADHN CCN1C[C@@H](C)N(Cc2occc2C)C[C@H]1C ZINC000353816885 170028638 /nfs/dbraw/zinc/02/86/38/170028638.db2.gz MWGZYHKLAMYTFU-CHWSQXEVSA-N 0 3 236.359 2.503 20 0 BFADHN CCN1C[C@H](C)N(Cc2ccc(C)o2)C[C@@H]1C ZINC000353814367 170033081 /nfs/dbraw/zinc/03/30/81/170033081.db2.gz ZTTIHSDJQOAICV-RYUDHWBXSA-N 0 3 236.359 2.503 20 0 BFADHN CCN1C[C@H](C)N(Cc2occc2C)C[C@@H]1C ZINC000353816891 170033800 /nfs/dbraw/zinc/03/38/00/170033800.db2.gz MWGZYHKLAMYTFU-STQMWFEESA-N 0 3 236.359 2.503 20 0 BFADHN COc1cc(CN2CC[C@H](C)C[C@H](C)C2)on1 ZINC000660122842 411540556 /nfs/dbraw/zinc/54/05/56/411540556.db2.gz ZKDAGZLECLWBDG-QWRGUYRKSA-N 0 3 238.331 2.551 20 0 BFADHN CO[C@H](CN(C)Cc1cccnc1)C1CCCC1 ZINC000660126728 411541468 /nfs/dbraw/zinc/54/14/68/411541468.db2.gz UVEIDEZEIMPGLC-OAHLLOKOSA-N 0 3 248.370 2.719 20 0 BFADHN CC1(C)C[C@H](NCc2cccc3c2CCC3)CO1 ZINC000657531628 411544493 /nfs/dbraw/zinc/54/44/93/411544493.db2.gz MXWVMCMXOHEUHS-AWEZNQCLSA-N 0 3 245.366 2.832 20 0 BFADHN C[C@H](N[C@@H]1CCCN2CCCC[C@H]12)c1ccoc1 ZINC000655849334 411536039 /nfs/dbraw/zinc/53/60/39/411536039.db2.gz UINICABDGMDWDJ-NWANDNLSSA-N 0 3 248.370 2.947 20 0 BFADHN C[C@H](N[C@@H]1CCCN2CCCC[C@H]12)c1ccco1 ZINC000655849967 411536537 /nfs/dbraw/zinc/53/65/37/411536537.db2.gz RVDWNCVMRNVINP-BFHYXJOUSA-N 0 3 248.370 2.947 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@H]2CC(C)(C)C)n1C ZINC000657500072 411537545 /nfs/dbraw/zinc/53/75/45/411537545.db2.gz GHNRYGFMLWBQEW-WCQYABFASA-N 0 3 235.375 2.643 20 0 BFADHN Cc1cccc2[nH]c(CN[C@@H]3CO[C@H](C)C3)cc21 ZINC000657500979 411537958 /nfs/dbraw/zinc/53/79/58/411537958.db2.gz SWORJRZWIIPYNO-YPMHNXCESA-N 0 3 244.338 2.743 20 0 BFADHN C[C@@H](N[C@H](CO)C1CCC1)c1ccccc1F ZINC000657559003 411551034 /nfs/dbraw/zinc/55/10/34/411551034.db2.gz JLTKSLKNADGNTN-QMTHXVAHSA-N 0 3 237.318 2.637 20 0 BFADHN CCc1nocc1CN1[C@H](C)CC[C@H]1CC ZINC000660169226 411551309 /nfs/dbraw/zinc/55/13/09/411551309.db2.gz KCFPQDYPVHBZAY-ZYHUDNBSSA-N 0 3 222.332 3.000 20 0 BFADHN COc1ccc(F)cc1CN[C@@]12C[C@@H]1CCC2 ZINC000657550092 411551703 /nfs/dbraw/zinc/55/17/03/411551703.db2.gz BCAHWEXCOABCBJ-FZMZJTMJSA-N 0 3 235.302 2.867 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cccc(O)c1)C1CCC1 ZINC000660191113 411552657 /nfs/dbraw/zinc/55/26/57/411552657.db2.gz IRAVVCWDCFUCKF-ABAIWWIYSA-N 0 3 249.354 2.858 20 0 BFADHN CCNCc1cc(OC)c(OC)cc1SC ZINC000077988121 170125064 /nfs/dbraw/zinc/12/50/64/170125064.db2.gz FIORSYOVUZDYJQ-UHFFFAOYSA-N 0 3 241.356 2.535 20 0 BFADHN CCNCc1cc(OC)ccc1Br ZINC000036947816 170125254 /nfs/dbraw/zinc/12/52/54/170125254.db2.gz GRSGGEHOPNQKGF-UHFFFAOYSA-N 0 3 244.132 2.567 20 0 BFADHN CC[NH2+]Cc1cc([O-])cc(C(F)(F)F)c1 ZINC000289850589 170125487 /nfs/dbraw/zinc/12/54/87/170125487.db2.gz KRAYYGCWKDSUGX-UHFFFAOYSA-N 0 3 219.206 2.521 20 0 BFADHN CCNCc1ccc(Br)cc1F ZINC000036923339 170126547 /nfs/dbraw/zinc/12/65/47/170126547.db2.gz DECDOPYYCGUSMC-UHFFFAOYSA-N 0 3 232.096 2.698 20 0 BFADHN CCNCc1ccc(OC)cc1Br ZINC000069803545 170127161 /nfs/dbraw/zinc/12/71/61/170127161.db2.gz KRHPDWJQKSOFDW-UHFFFAOYSA-N 0 3 244.132 2.567 20 0 BFADHN CCNCc1ccccc1OCc1ccccn1 ZINC000034652725 170128915 /nfs/dbraw/zinc/12/89/15/170128915.db2.gz KBUKFQUZYUFMEG-UHFFFAOYSA-N 0 3 242.322 2.770 20 0 BFADHN CCNCc1cnn(-c2ccc(Cl)cc2)c1 ZINC000040443671 170129898 /nfs/dbraw/zinc/12/98/98/170129898.db2.gz XLTWWHVIKLCIRL-UHFFFAOYSA-N 0 3 235.718 2.635 20 0 BFADHN CCNCc1cnn(Cc2ccccc2)c1Cl ZINC000283900511 170130815 /nfs/dbraw/zinc/13/08/15/170130815.db2.gz JZLUJVOIBRBZTI-UHFFFAOYSA-N 0 3 249.745 2.694 20 0 BFADHN C[C@H]1C[C@H](NC[C@H]2CCC[C@@H](C)C2)c2ncnn21 ZINC000657569744 411553703 /nfs/dbraw/zinc/55/37/03/411553703.db2.gz OVTYXNFRSMPENB-VOAKCMCISA-N 0 3 248.374 2.700 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1nc(C)c(C)[nH]1 ZINC000657579530 411553750 /nfs/dbraw/zinc/55/37/50/411553750.db2.gz QLFRNGWWEFKWJU-NSHDSACASA-N 0 3 223.364 2.941 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1cc(C)n(C)n1 ZINC000657579461 411553851 /nfs/dbraw/zinc/55/38/51/411553851.db2.gz PVNWMULEQULMNM-NSHDSACASA-N 0 3 223.364 2.643 20 0 BFADHN CCn1nnc(C)c1CN[C@@H](C)C(C)(C)CC ZINC000657578390 411554069 /nfs/dbraw/zinc/55/40/69/411554069.db2.gz JSKCRYNXHDEAQL-NSHDSACASA-N 0 3 238.379 2.521 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CC[C@H]3C[C@H]3C2)C[C@H](C)O1 ZINC000660216944 411554653 /nfs/dbraw/zinc/55/46/53/411554653.db2.gz KZIHMRAAVUXUDY-XHJNMGKDSA-N 0 3 223.360 2.532 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1cn(C(C)C)nn1 ZINC000657580579 411554797 /nfs/dbraw/zinc/55/47/97/411554797.db2.gz XEXQMEFIUTUSML-NSHDSACASA-N 0 3 238.379 2.773 20 0 BFADHN CCN[C@@H](C)c1cnn(-c2ccccc2)c1 ZINC000035556308 170143184 /nfs/dbraw/zinc/14/31/84/170143184.db2.gz KPGVQYNXAHUFOO-NSHDSACASA-N 0 3 215.300 2.543 20 0 BFADHN C[C@H]1C[C@H](CNCc2ccn(C)n2)C[C@@H](C)C1 ZINC000657589626 411555945 /nfs/dbraw/zinc/55/59/45/411555945.db2.gz NENITOGZSTWUNG-ITGUQSILSA-N 0 3 235.375 2.582 20 0 BFADHN C[C@H]1C[C@H](CNCc2cc[nH]n2)C[C@@H](C)C1 ZINC000657589611 411556007 /nfs/dbraw/zinc/55/60/07/411556007.db2.gz MWRYUYDMDFTRIJ-GDNZZTSVSA-N 0 3 221.348 2.572 20 0 BFADHN CCN[C@@H]1CCc2cc(F)c(F)c(F)c21 ZINC000062706492 170153960 /nfs/dbraw/zinc/15/39/60/170153960.db2.gz GEDBWYHXFXQWBO-MRVPVSSYSA-N 0 3 215.218 2.701 20 0 BFADHN CCN[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000178504642 170159842 /nfs/dbraw/zinc/15/98/42/170159842.db2.gz NMWWVUGYLRJWDA-MRVPVSSYSA-N 0 3 237.734 2.897 20 0 BFADHN Cc1occc1CNC[C@@H](C)c1ccccn1 ZINC000657534057 411545400 /nfs/dbraw/zinc/54/54/00/411545400.db2.gz DNJOWUSVDTYFPE-LLVKDONJSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1cnc(CNC[C@H](C)c2ccccn2)s1 ZINC000657536346 411545689 /nfs/dbraw/zinc/54/56/89/411545689.db2.gz WDAHRAGTRXLIGF-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN CCN[C@H]1CCSc2ccc(F)cc21 ZINC000035208069 170168779 /nfs/dbraw/zinc/16/87/79/170168779.db2.gz MCCSBJPKKXENIQ-JTQLQIEISA-N 0 3 211.305 2.972 20 0 BFADHN CC[C@H](Cc1ccc(F)cc1)NCc1ncc[nH]1 ZINC000657547438 411546303 /nfs/dbraw/zinc/54/63/03/411546303.db2.gz HNIILJXHADDRQM-CYBMUJFWSA-N 0 3 247.317 2.660 20 0 BFADHN CCc1cnc(CN[C@]23C[C@H]2CCC3)s1 ZINC000657549414 411546809 /nfs/dbraw/zinc/54/68/09/411546809.db2.gz DERIFVNSULDKDX-BXKDBHETSA-N 0 3 222.357 2.738 20 0 BFADHN C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCOC[C@@H]1C1CC1 ZINC000660151628 411546933 /nfs/dbraw/zinc/54/69/33/411546933.db2.gz UQJCMXQVKCAGIK-REBRKWNGSA-N 0 3 235.371 2.533 20 0 BFADHN COc1ccsc1CN[C@]12C[C@H]1CCC2 ZINC000657551535 411547646 /nfs/dbraw/zinc/54/76/46/411547646.db2.gz OVBSDIVENYCVOH-BXKDBHETSA-N 0 3 223.341 2.789 20 0 BFADHN COc1ccc(F)c(CN[C@]23C[C@H]2CCC3)c1 ZINC000657551680 411547717 /nfs/dbraw/zinc/54/77/17/411547717.db2.gz LFPPKPXTUHJDBI-BXUZGUMPSA-N 0 3 235.302 2.867 20 0 BFADHN Cc1cc(CN2CC[C@H](C)[C@@H](C)C2)nc(C)n1 ZINC000660156436 411548123 /nfs/dbraw/zinc/54/81/23/411548123.db2.gz GCJZUYUTSBENKJ-QWRGUYRKSA-N 0 3 233.359 2.571 20 0 BFADHN C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCOC2(CCC2)C1 ZINC000660158015 411548504 /nfs/dbraw/zinc/54/85/04/411548504.db2.gz VMIHBPXUKTXEEM-MCIONIFRSA-N 0 3 235.371 2.678 20 0 BFADHN CCOC(=O)C1(N(C)CC2CC2)CCCCC1 ZINC000354119352 170190910 /nfs/dbraw/zinc/19/09/10/170190910.db2.gz HNEUGAAULGYAEK-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN OC[C@@H](NCc1cc2ccccc2o1)C1CCC1 ZINC000657556976 411549838 /nfs/dbraw/zinc/54/98/38/411549838.db2.gz CBPDFSXYYVDWOY-CQSZACIVSA-N 0 3 245.322 2.683 20 0 BFADHN C[C@@H]1CCN(C[C@@H]2C[C@H]3C[C@H]3C2)C[C@H]1F ZINC000660223176 411556335 /nfs/dbraw/zinc/55/63/35/411556335.db2.gz ZUBRYONPDTUJLD-UJPOAAIJSA-N 0 3 211.324 2.712 20 0 BFADHN OC[C@H](NCCCC1CCC1)c1ccsc1 ZINC000660302658 411566231 /nfs/dbraw/zinc/56/62/31/411566231.db2.gz HAUNMWCLEPPLHM-ZDUSSCGKSA-N 0 3 239.384 2.951 20 0 BFADHN OC[C@H](NCCC1CCC1)c1ccsc1 ZINC000660302988 411566343 /nfs/dbraw/zinc/56/63/43/411566343.db2.gz KGFRIUKAELUCJT-LBPRGKRZSA-N 0 3 225.357 2.561 20 0 BFADHN CCOC(=O)CCN[C@@H](C)c1c(C)cccc1C ZINC000355030042 170209794 /nfs/dbraw/zinc/20/97/94/170209794.db2.gz CLUIFFDGXKINQX-ZDUSSCGKSA-N 0 3 249.354 2.907 20 0 BFADHN Cc1nc(CN2CCCC[C@@H](C)C2)c(C)o1 ZINC000660310359 411567378 /nfs/dbraw/zinc/56/73/78/411567378.db2.gz LQCKIGCLVBLFIJ-SNVBAGLBSA-N 0 3 222.332 2.913 20 0 BFADHN COc1ccccc1[C@@H](CO)NCCC1CCC1 ZINC000660235808 411557098 /nfs/dbraw/zinc/55/70/98/411557098.db2.gz IDBVVNALUKQUSZ-CQSZACIVSA-N 0 3 249.354 2.508 20 0 BFADHN CC[C@@H](C)CCNCc1cnccc1N(C)C ZINC000657586111 411557381 /nfs/dbraw/zinc/55/73/81/411557381.db2.gz HRETUAKJWFJYQO-GFCCVEGCSA-N 0 3 235.375 2.673 20 0 BFADHN CC[C@H](C)CCNCc1nc(C)c(C)[nH]1 ZINC000657585657 411557539 /nfs/dbraw/zinc/55/75/39/411557539.db2.gz CWOXQTSQZWRSAV-VIFPVBQESA-N 0 3 209.337 2.552 20 0 BFADHN CCc1nocc1CNCC[C@@H](C)CC ZINC000657587223 411557898 /nfs/dbraw/zinc/55/78/98/411557898.db2.gz SJXKHZIDDBTMPI-JTQLQIEISA-N 0 3 210.321 2.763 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cnccc1C)C1CCC1 ZINC000660243610 411558252 /nfs/dbraw/zinc/55/82/52/411558252.db2.gz YDGLHVLDSCDPLW-DOMZBBRYSA-N 0 3 248.370 2.856 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N1CCC[C@@H](C)C1 ZINC000359990057 170249885 /nfs/dbraw/zinc/24/98/85/170249885.db2.gz CBBSRHFBVRMHCC-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN CC(C)Cc1ccc(CNC/C=C/CO)cc1 ZINC000657593442 411559205 /nfs/dbraw/zinc/55/92/05/411559205.db2.gz TZNJVTAJZJTWLJ-ONEGZZNKSA-N 0 3 233.355 2.523 20 0 BFADHN CCc1nc([C@H](C)N(C)C[C@H]2CC=CCC2)n[nH]1 ZINC000660247515 411559512 /nfs/dbraw/zinc/55/95/12/411559512.db2.gz HGAMUOOMEOMBQS-RYUDHWBXSA-N 0 3 248.374 2.716 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CC(C)(C)C2)nc(C)n1 ZINC000660247387 411559571 /nfs/dbraw/zinc/55/95/71/411559571.db2.gz DGJJKAJQKNENRT-NSHDSACASA-N 0 3 247.386 2.961 20 0 BFADHN Cc1nc(CN[C@H]2CCCC[C@H]2C)c(C)o1 ZINC000660256309 411559733 /nfs/dbraw/zinc/55/97/33/411559733.db2.gz HMVXMOWNTLWTBF-SKDRFNHKSA-N 0 3 222.332 2.960 20 0 BFADHN CCOC(=O)[C@@H]1CCCCN1CCC(C)(C)C ZINC000105940705 170257263 /nfs/dbraw/zinc/25/72/63/170257263.db2.gz PZSOKJGTJFEYIS-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H](N[C@@H](C)C1(CO)CC1)c1ccccc1F ZINC000657608825 411561625 /nfs/dbraw/zinc/56/16/25/411561625.db2.gz YRKXGHAFMVWIOC-QWRGUYRKSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1nc(CN[C@@H](C)C2CCCC2)co1 ZINC000660267240 411562114 /nfs/dbraw/zinc/56/21/14/411562114.db2.gz LRZGFFPIKBUCLP-VIFPVBQESA-N 0 3 208.305 2.651 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccc(F)cc2F)C1 ZINC000657610196 411562709 /nfs/dbraw/zinc/56/27/09/411562709.db2.gz LBRAUYAINCAXJB-HAQNSBGRSA-N 0 3 241.281 2.622 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccc(C)c(F)c2)C1 ZINC000657610531 411562814 /nfs/dbraw/zinc/56/28/14/411562814.db2.gz VILPBCKDFUAKTH-JOCQHMNTSA-N 0 3 237.318 2.791 20 0 BFADHN CCO[C@H]1C[C@H](NCc2cccc(F)c2F)C1 ZINC000657610421 411562834 /nfs/dbraw/zinc/56/28/34/411562834.db2.gz SPNFXWCJYKWQMK-XYPYZODXSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1sccc1CNCCO[C@@H]1CC1(F)F ZINC000657618290 411564604 /nfs/dbraw/zinc/56/46/04/411564604.db2.gz FMBZGPOEIKXQNX-SNVBAGLBSA-N 0 3 247.310 2.570 20 0 BFADHN CO[C@@H](C)CNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000657620221 411565583 /nfs/dbraw/zinc/56/55/83/411565583.db2.gz QXHRSUGPDPRDDL-SCVCMEIPSA-N 0 3 223.316 2.528 20 0 BFADHN CCn1cncc1CNCc1cc(C)cc(C)c1 ZINC000657625833 411565680 /nfs/dbraw/zinc/56/56/80/411565680.db2.gz JDNXDOVHBYFBQH-UHFFFAOYSA-N 0 3 243.354 2.810 20 0 BFADHN COc1cccc(CNCCc2ccoc2)c1F ZINC000657653348 411570505 /nfs/dbraw/zinc/57/05/05/411570505.db2.gz JOZDZAQFHGQUCO-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN Fc1cnccc1CNC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000657654902 411571225 /nfs/dbraw/zinc/57/12/25/411571225.db2.gz ADIDKRKKRQSFDR-MDZLAQPJSA-N 0 3 234.318 2.747 20 0 BFADHN CCOC(=O)c1coc(CNC(C)(C)CC)c1 ZINC000354200846 170304546 /nfs/dbraw/zinc/30/45/46/170304546.db2.gz WOVMKSPYYOIXSM-UHFFFAOYSA-N 0 3 239.315 2.735 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1cnccc1C ZINC000275762600 170313486 /nfs/dbraw/zinc/31/34/86/170313486.db2.gz TWEWFRKAWUYNGM-GFCCVEGCSA-N 0 3 236.359 2.856 20 0 BFADHN c1c(CNCCCC2CCC2)nn2c1CCCC2 ZINC000657667460 411573194 /nfs/dbraw/zinc/57/31/94/411573194.db2.gz QZDUXGIKRKDKMQ-UHFFFAOYSA-N 0 3 247.386 2.889 20 0 BFADHN CCOC1(CNCc2cnccc2C)CCCC1 ZINC000292844337 170318781 /nfs/dbraw/zinc/31/87/81/170318781.db2.gz BKYSYKNMYVXMPR-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CCOC1(CNCc2ccco2)CCCC1 ZINC000292897305 170319450 /nfs/dbraw/zinc/31/94/50/170319450.db2.gz GIQWTDJGDJTROU-UHFFFAOYSA-N 0 3 223.316 2.719 20 0 BFADHN FC1(CN[C@H]2C[C@H](Oc3ccccc3)C2)CC1 ZINC000657648992 411573371 /nfs/dbraw/zinc/57/33/71/411573371.db2.gz ZUXIHOGBLJCWQG-AULYBMBSSA-N 0 3 235.302 2.688 20 0 BFADHN CC(C)n1cncc1CNC[C@]1(C)CC1(F)F ZINC000657667693 411573436 /nfs/dbraw/zinc/57/34/36/411573436.db2.gz XJUVXLDSDNSFHH-NSHDSACASA-N 0 3 243.301 2.599 20 0 BFADHN CCOC1CC(N[C@@H]2CCCc3occc32)C1 ZINC000124460249 170328867 /nfs/dbraw/zinc/32/88/67/170328867.db2.gz WWQZAYDDYPURMW-GCZXYKMCSA-N 0 3 235.327 2.814 20 0 BFADHN Cc1occc1CNC[C@@H]1CCC[C@@H](C)O1 ZINC000657670608 411574334 /nfs/dbraw/zinc/57/43/34/411574334.db2.gz VNXQURNCRUAODG-MFKMUULPSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1nc(CNCC[C@]2(C)CC2(F)F)cs1 ZINC000657671823 411575240 /nfs/dbraw/zinc/57/52/40/411575240.db2.gz SUFGXZLSPWYLKX-SNVBAGLBSA-N 0 3 246.326 2.977 20 0 BFADHN CCOCC(C)(C)CNCc1nc(C)cs1 ZINC000288611726 170351365 /nfs/dbraw/zinc/35/13/65/170351365.db2.gz QQQGIZDVJFXRPK-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN C[C@H]1CCC[C@@H](CNCc2cccc(F)c2)O1 ZINC000657662708 411575544 /nfs/dbraw/zinc/57/55/44/411575544.db2.gz FRNULBPPGITHBJ-FZMZJTMJSA-N 0 3 237.318 2.873 20 0 BFADHN COc1ccccc1CNC[C@@H]1CCC[C@@H](C)O1 ZINC000657662692 411575679 /nfs/dbraw/zinc/57/56/79/411575679.db2.gz FNECFQDGFPDMOG-OCCSQVGLSA-N 0 3 249.354 2.742 20 0 BFADHN CCOCC(C)(C)NCc1cnc(Cl)s1 ZINC000293400106 170354653 /nfs/dbraw/zinc/35/46/53/170354653.db2.gz YAWKHBMPTYQIRK-UHFFFAOYSA-N 0 3 248.779 2.701 20 0 BFADHN CCOCC(C)(C)NCc1ccc(OC)cc1 ZINC000293267971 170354778 /nfs/dbraw/zinc/35/47/78/170354778.db2.gz NFQYBKKUSAAPDW-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN CCOCC(C)(C)NCc1ccc(CC)o1 ZINC000293083470 170355078 /nfs/dbraw/zinc/35/50/78/170355078.db2.gz WJOJQLCIBJKCEL-UHFFFAOYSA-N 0 3 225.332 2.747 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cc(OC)ns1 ZINC000660318098 411567965 /nfs/dbraw/zinc/56/79/65/411567965.db2.gz WPLACTWRJHCMJM-MWLCHTKSSA-N 0 3 240.372 2.772 20 0 BFADHN COc1ccccc1CNCCC(C)(C)F ZINC000657644450 411568245 /nfs/dbraw/zinc/56/82/45/411568245.db2.gz KDGPPBDTTWDREN-UHFFFAOYSA-N 0 3 225.307 2.923 20 0 BFADHN Cn1nc(CNCCC(C)(C)F)c2ccccc21 ZINC000657644648 411568364 /nfs/dbraw/zinc/56/83/64/411568364.db2.gz RZDXGULZBJTITI-UHFFFAOYSA-N 0 3 249.333 2.801 20 0 BFADHN CCOCCCCN[C@@H](C)c1cc(C)ccn1 ZINC000285528372 170369836 /nfs/dbraw/zinc/36/98/36/170369836.db2.gz FGSVAUKWMJJVAV-ZDUSSCGKSA-N 0 3 236.359 2.857 20 0 BFADHN CCc1ncc(CNCCC(C)(C)F)s1 ZINC000657645341 411568746 /nfs/dbraw/zinc/56/87/46/411568746.db2.gz SDEPKGJVQYOQGT-UHFFFAOYSA-N 0 3 230.352 2.933 20 0 BFADHN CCOCCCN(C)[C@@H](C)c1cccc(O)c1 ZINC000119597810 170371309 /nfs/dbraw/zinc/37/13/09/170371309.db2.gz CWRHCSAISSREGO-LBPRGKRZSA-N 0 3 237.343 2.812 20 0 BFADHN Cn1cc(CNCCC(C)(C)F)c(C(F)F)n1 ZINC000657645243 411568884 /nfs/dbraw/zinc/56/88/84/411568884.db2.gz PDGTXLCSUAXRQO-UHFFFAOYSA-N 0 3 249.280 2.586 20 0 BFADHN CCOCCCN1Cc2ccccc2C2(CC2)C1 ZINC000360704948 170376200 /nfs/dbraw/zinc/37/62/00/170376200.db2.gz UIHNBDYAMVCUOT-UHFFFAOYSA-N 0 3 245.366 2.960 20 0 BFADHN CC(C)(F)CCNCc1cnc(C2CC2)nc1 ZINC000657646239 411569338 /nfs/dbraw/zinc/56/93/38/411569338.db2.gz ZNJQMOOTEDBTDN-UHFFFAOYSA-N 0 3 237.322 2.582 20 0 BFADHN CC(C)n1ccnc1CNCCC(C)(C)F ZINC000657645876 411569349 /nfs/dbraw/zinc/56/93/49/411569349.db2.gz LSKUFLGBIWYSOQ-UHFFFAOYSA-N 0 3 227.327 2.692 20 0 BFADHN CCOCCCN[C@@H]1CCCc2occc21 ZINC000169190786 170382737 /nfs/dbraw/zinc/38/27/37/170382737.db2.gz AXUUGTIIEHLGSV-GFCCVEGCSA-N 0 3 223.316 2.673 20 0 BFADHN Cc1nc(CN2CC[C@@H]3CCC[C@@H]32)c(C)o1 ZINC000660332235 411570073 /nfs/dbraw/zinc/57/00/73/411570073.db2.gz JFZWLQDKARWRSM-AAEUAGOBSA-N 0 3 220.316 2.666 20 0 BFADHN CCOCCN(C)Cc1cc(C)sc1C ZINC000181013895 170390165 /nfs/dbraw/zinc/39/01/65/170390165.db2.gz PWRKDBZBMYRTOT-UHFFFAOYSA-N 0 3 227.373 2.833 20 0 BFADHN CCOCCN(C)[C@H](CC)c1ccncc1 ZINC000119814760 170391794 /nfs/dbraw/zinc/39/17/94/170391794.db2.gz TVFZGOQUORMLAP-CYBMUJFWSA-N 0 3 222.332 2.501 20 0 BFADHN NCc1ccccc1OC[C@@H]1C[C@H]2C[C@H]2C1 ZINC000663336977 411628047 /nfs/dbraw/zinc/62/80/47/411628047.db2.gz GQSHYVLBGMZAHC-LMKPVCQUSA-N 0 3 217.312 2.570 20 0 BFADHN FCCOCCNCc1cccc(C2CC2)c1 ZINC000663338328 411629314 /nfs/dbraw/zinc/62/93/14/411629314.db2.gz HMLGKOFITUPAQW-UHFFFAOYSA-N 0 3 237.318 2.640 20 0 BFADHN Cc1cc(CNCCOCCF)ccc1Cl ZINC000663341340 411630787 /nfs/dbraw/zinc/63/07/87/411630787.db2.gz NFHGESRWPRAHMU-UHFFFAOYSA-N 0 3 245.725 2.724 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@H](C)[C@@H]2C)c(C)o1 ZINC000660824928 411646840 /nfs/dbraw/zinc/64/68/40/411646840.db2.gz RTNOZWXBHGRXFG-GUBZILKMSA-N 0 3 222.332 2.768 20 0 BFADHN CCOCCN[C@H]1CCCOc2cc(C)ccc21 ZINC000161906109 170415955 /nfs/dbraw/zinc/41/59/55/170415955.db2.gz UPRBMXNSJIQOCB-AWEZNQCLSA-N 0 3 249.354 2.835 20 0 BFADHN C([C@@H]1C[C@H]2C[C@H]2C1)N1CCO[C@@H](C2CCC2)C1 ZINC000660796512 411636029 /nfs/dbraw/zinc/63/60/29/411636029.db2.gz JUSZYVJVNQKRNF-FAAHXZRKSA-N 0 3 235.371 2.533 20 0 BFADHN Cc1c[nH]c(CNCC(C)(C)CC(F)(F)F)n1 ZINC000663356995 411636195 /nfs/dbraw/zinc/63/61/95/411636195.db2.gz WFJVUYXHBGJAGS-UHFFFAOYSA-N 0 3 249.280 2.786 20 0 BFADHN COc1ccnc(CNCC2(C)CCC2)c1F ZINC000657674434 411576028 /nfs/dbraw/zinc/57/60/28/411576028.db2.gz PAPCFNDQQZMVPT-UHFFFAOYSA-N 0 3 238.306 2.509 20 0 BFADHN CCC[C@H](C)NC(=O)[C@@H](C)[C@H](N)c1ccccc1 ZINC000131619567 411576117 /nfs/dbraw/zinc/57/61/17/411576117.db2.gz URVGRAWCKIBPNX-OBJOEFQTSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@@]1(C)CN(CCC=C(C)C)CCO1 ZINC000660381895 411576801 /nfs/dbraw/zinc/57/68/01/411576801.db2.gz ZZJPXYXDDYAPCI-ZDUSSCGKSA-N 0 3 211.349 2.844 20 0 BFADHN Cc1ccoc1CNC[C@@]1(C)CC1(F)F ZINC000657664092 411577225 /nfs/dbraw/zinc/57/72/25/411577225.db2.gz HUSCQPRHVLHUFF-SNVBAGLBSA-N 0 3 215.243 2.723 20 0 BFADHN Cc1occc1CNC[C@@]1(C)CC1(F)F ZINC000657666022 411577960 /nfs/dbraw/zinc/57/79/60/411577960.db2.gz OOPHTDNNINHAKF-SNVBAGLBSA-N 0 3 215.243 2.723 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@H](C)[C@H]2C)co1 ZINC000660391700 411578250 /nfs/dbraw/zinc/57/82/50/411578250.db2.gz BAWKVNPBKIYHQK-OUAUKWLOSA-N 0 3 222.332 2.849 20 0 BFADHN CC(C)OC[C@@H](C)N[C@@H]1CCc2ccc(O)cc21 ZINC000657692790 411580193 /nfs/dbraw/zinc/58/01/93/411580193.db2.gz DNXGNMNVRVMEQK-IAQYHMDHSA-N 0 3 249.354 2.783 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1coc(C)n1 ZINC000660409849 411580501 /nfs/dbraw/zinc/58/05/01/411580501.db2.gz ICPJABVLWLVZQQ-PWSUYJOCSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(F)ccc1CN[C@@H]1C[C@H](O)C12CCC2 ZINC000657701693 411582378 /nfs/dbraw/zinc/58/23/78/411582378.db2.gz AEJWLSRZGZLITN-KGLIPLIRSA-N 0 3 249.329 2.527 20 0 BFADHN O[C@@H]1C[C@H](NC/C=C\c2ccccc2)C12CCC2 ZINC000657704399 411583610 /nfs/dbraw/zinc/58/36/10/411583610.db2.gz GDAXFAOMCFKQQZ-FEDKZRLMSA-N 0 3 243.350 2.593 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@H](O)C23CCC3)cc1 ZINC000657704841 411583929 /nfs/dbraw/zinc/58/39/29/411583929.db2.gz VQCJWJWPFNZLHY-CABCVRRESA-N 0 3 245.366 2.642 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@H](O)C23CCC3)cc1F ZINC000657704172 411584134 /nfs/dbraw/zinc/58/41/34/411584134.db2.gz DKEQATVINOYIQA-KBPBESRZSA-N 0 3 249.329 2.527 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@@H](O)C23CCC3)c1C ZINC000657703819 411584154 /nfs/dbraw/zinc/58/41/54/411584154.db2.gz PYBDGKQKLLWUEV-HUUCEWRRSA-N 0 3 245.366 2.697 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H](O)C23CCC3)ccc1F ZINC000657704930 411584440 /nfs/dbraw/zinc/58/44/40/411584440.db2.gz JTJQXDCQSINFPQ-ZIAGYGMSSA-N 0 3 249.329 2.527 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C12CCC2)c1ccccc1F ZINC000657708577 411585427 /nfs/dbraw/zinc/58/54/27/411585427.db2.gz LURXERPGNFJJKD-LEWSCRJBSA-N 0 3 249.329 2.780 20 0 BFADHN COc1cc(CN(C)[C@@H]2CCC(C)(C)C2)on1 ZINC000660452104 411586889 /nfs/dbraw/zinc/58/68/89/411586889.db2.gz AXRNYHXLZAOJBD-SNVBAGLBSA-N 0 3 238.331 2.694 20 0 BFADHN Fc1cc(CN[C@@H]2[C@@H]3CCC[C@@H]32)cc(F)c1F ZINC000657733501 411586928 /nfs/dbraw/zinc/58/69/28/411586928.db2.gz DDPRSJGXQVCIDH-YFKFIEJBSA-N 0 3 241.256 2.992 20 0 BFADHN CC(=O)Nc1ccccc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000657733922 411587174 /nfs/dbraw/zinc/58/71/74/411587174.db2.gz UMWLEQRNOQCMIM-AGGWBTHJSA-N 0 3 244.338 2.533 20 0 BFADHN CC1(C)[C@@H](CNCc2cncs2)C1(F)F ZINC000657736205 411587708 /nfs/dbraw/zinc/58/77/08/411587708.db2.gz AQSYPPKWBBVEAE-MRVPVSSYSA-N 0 3 232.299 2.524 20 0 BFADHN Cc1ccncc1CNC[C@@H]1C(C)(C)C1(F)F ZINC000657736973 411588015 /nfs/dbraw/zinc/58/80/15/411588015.db2.gz ALOVKFBPMCCMGL-LLVKDONJSA-N 0 3 240.297 2.771 20 0 BFADHN CCc1nocc1CNC[C@H]1C(C)(C)C1(F)F ZINC000657737378 411588406 /nfs/dbraw/zinc/58/84/06/411588406.db2.gz DPBKNIGNFGWWPK-JTQLQIEISA-N 0 3 244.285 2.618 20 0 BFADHN CC1(C)[C@@H](CNCc2cccc(O)c2)C1(F)F ZINC000657737632 411588481 /nfs/dbraw/zinc/58/84/81/411588481.db2.gz VOEBIOBLSCLXHV-LLVKDONJSA-N 0 3 241.281 2.773 20 0 BFADHN CC1(C)[C@H](CNCc2ccncc2F)C1(F)F ZINC000657739226 411588954 /nfs/dbraw/zinc/58/89/54/411588954.db2.gz PVZDJYCKJLNCBR-JTQLQIEISA-N 0 3 244.260 2.602 20 0 BFADHN COc1cccc(CN[C@H]2[C@@H]3CCC[C@@H]32)c1F ZINC000657734526 411589404 /nfs/dbraw/zinc/58/94/04/411589404.db2.gz PBHZSOZEEZOIEJ-YABSGUDNSA-N 0 3 235.302 2.722 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1cccc2c1OCCO2 ZINC000657760846 411590784 /nfs/dbraw/zinc/59/07/84/411590784.db2.gz AHXDELJIQBBPJT-OAHLLOKOSA-N 0 3 247.338 2.736 20 0 BFADHN CC1(C)C[C@]1(C)NCc1cccc(O)c1 ZINC000657760673 411590819 /nfs/dbraw/zinc/59/08/19/411590819.db2.gz OQFWQYURXUEXJV-ZDUSSCGKSA-N 0 3 205.301 2.670 20 0 BFADHN Cn1nc(CN[C@]2(C)CC2(C)C)c2ccccc21 ZINC000657761001 411590957 /nfs/dbraw/zinc/59/09/57/411590957.db2.gz DHSLSIXWAVUVRT-OAHLLOKOSA-N 0 3 243.354 2.852 20 0 BFADHN CC(C)Cn1nccc1CN[C@@]1(C)CC1(C)C ZINC000657761275 411591075 /nfs/dbraw/zinc/59/10/75/411591075.db2.gz ICHYUUCBFXEJOX-AWEZNQCLSA-N 0 3 235.375 2.817 20 0 BFADHN CC(C)n1nccc1CN[C@]1(C)CC1(C)C ZINC000657761383 411591400 /nfs/dbraw/zinc/59/14/00/411591400.db2.gz JRDNNXXVFIKZNL-CYBMUJFWSA-N 0 3 221.348 2.742 20 0 BFADHN CCCn1nccc1CN[C@@]1(C)CC1(C)C ZINC000657761869 411591408 /nfs/dbraw/zinc/59/14/08/411591408.db2.gz UBZQFZPTXSTOIO-ZDUSSCGKSA-N 0 3 221.348 2.571 20 0 BFADHN CCOc1cccc(CN[C@@]2(C)CC2(C)C)n1 ZINC000657761876 411591610 /nfs/dbraw/zinc/59/16/10/411591610.db2.gz UGGRXOQDOAKZCA-AWEZNQCLSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1ccc2ncc(CN[C@@]3(C)CC3(C)C)n2c1 ZINC000657761857 411591659 /nfs/dbraw/zinc/59/16/59/411591659.db2.gz SYAUFXYHUORUQI-HNNXBMFYSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1ccc(CN[C@]2(C)CC2(C)C)nc1 ZINC000657761911 411591678 /nfs/dbraw/zinc/59/16/78/411591678.db2.gz VJEBBEZZCCUYHC-CYBMUJFWSA-N 0 3 204.317 2.668 20 0 BFADHN CCc1nn(C)c(CC)c1CNCC1=CCCC1 ZINC000657749520 411592198 /nfs/dbraw/zinc/59/21/98/411592198.db2.gz GEWAIMYRXSUPCF-UHFFFAOYSA-N 0 3 247.386 2.745 20 0 BFADHN c1cn2c(cccc2CNCC2=CCCC2)n1 ZINC000657749803 411592592 /nfs/dbraw/zinc/59/25/92/411592592.db2.gz NQDAIITUXAVVGU-UHFFFAOYSA-N 0 3 227.311 2.534 20 0 BFADHN CO[C@H](CNCc1ccncc1C)C1CCCC1 ZINC000657769365 411593436 /nfs/dbraw/zinc/59/34/36/411593436.db2.gz ZVCXLBRITMMNDI-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN CO[C@H](CNCc1ccoc1)C1CCCCC1 ZINC000657783435 411594876 /nfs/dbraw/zinc/59/48/76/411594876.db2.gz YIZILBASBKZKAZ-CQSZACIVSA-N 0 3 237.343 2.965 20 0 BFADHN CCc1nocc1CNCCCc1ccco1 ZINC000657783472 411594978 /nfs/dbraw/zinc/59/49/78/411594978.db2.gz SVRKOCHJQSROTI-UHFFFAOYSA-N 0 3 234.299 2.552 20 0 BFADHN CCCOc1cccc(CN[C@@H]2C[C@]2(C)OC)c1 ZINC000657788137 411595974 /nfs/dbraw/zinc/59/59/74/411595974.db2.gz GPSGQRRONBZJFD-CABCVRRESA-N 0 3 249.354 2.742 20 0 BFADHN CC1(C)C[C@H](N2CCC=C(c3ccco3)C2)CO1 ZINC000660534442 411596821 /nfs/dbraw/zinc/59/68/21/411596821.db2.gz SNHRVRIIGUSWCZ-ZDUSSCGKSA-N 0 3 247.338 2.936 20 0 BFADHN CC[C@@H](NCc1coc(C)n1)[C@@H]1CC1(C)C ZINC000660824710 411645926 /nfs/dbraw/zinc/64/59/26/411645926.db2.gz PWFKHCZSBARLJQ-NWDGAFQWSA-N 0 3 222.332 2.897 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccnc2ccccc12 ZINC000657788757 411596969 /nfs/dbraw/zinc/59/69/69/411596969.db2.gz VQBANRZLVAAWGX-CABCVRRESA-N 0 3 242.322 2.502 20 0 BFADHN CO[C@@H](CNCc1ccco1)C1CCCCC1 ZINC000657781286 411597168 /nfs/dbraw/zinc/59/71/68/411597168.db2.gz CTUHELFILVAJOC-AWEZNQCLSA-N 0 3 237.343 2.965 20 0 BFADHN COCc1ccc(CNCCCc2ccco2)o1 ZINC000657781580 411597236 /nfs/dbraw/zinc/59/72/36/411597236.db2.gz DNQQKKPMZMDEPN-UHFFFAOYSA-N 0 3 249.310 2.741 20 0 BFADHN C[C@H](N[C@@H]1CC(C)(C)C[C@@H]1C)c1nccn1C ZINC000657792424 411598314 /nfs/dbraw/zinc/59/83/14/411598314.db2.gz AYUWJHMBCMXVKE-SDDRHHMPSA-N 0 3 235.375 2.895 20 0 BFADHN CO[C@H](C)CN(C)Cc1cccc(SC)c1 ZINC000660551596 411598669 /nfs/dbraw/zinc/59/86/69/411598669.db2.gz MIDFDMDFBFWXQH-LLVKDONJSA-N 0 3 239.384 2.875 20 0 BFADHN CCC(CC)N(CC)Cc1coc(C)n1 ZINC000660559102 411600048 /nfs/dbraw/zinc/60/00/48/411600048.db2.gz APEFWCOYIXVLJT-UHFFFAOYSA-N 0 3 210.321 2.994 20 0 BFADHN CCc1nc([C@H](C)N2CCC[C@H](C3CC3)C2)n[nH]1 ZINC000660559436 411600608 /nfs/dbraw/zinc/60/06/08/411600608.db2.gz HQJBHHUTSRHRTH-JQWIXIFHSA-N 0 3 248.374 2.550 20 0 BFADHN O[C@H]1C[C@H](NCc2ccc(C3CCC3)cc2)C1 ZINC000657808101 411601020 /nfs/dbraw/zinc/60/10/20/411601020.db2.gz BBHNEQCIDNQPNP-SHTZXODSSA-N 0 3 231.339 2.567 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1)c1ccc(Cl)cc1 ZINC000657809654 411601977 /nfs/dbraw/zinc/60/19/77/411601977.db2.gz NBBFPBOIFLVRNH-UWJYBYFXSA-N 0 3 225.719 2.514 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1cc2cnccc2o1 ZINC000657818468 411605947 /nfs/dbraw/zinc/60/59/47/411605947.db2.gz TZLMGSYLJNFJMD-CMPLNLGQSA-N 0 3 246.310 2.657 20 0 BFADHN FC1(F)C[C@H]1OCCN1CCC(C2CC2)CC1 ZINC000660611022 411607174 /nfs/dbraw/zinc/60/71/74/411607174.db2.gz NTFMMALBEHNIMV-GFCCVEGCSA-N 0 3 245.313 2.533 20 0 BFADHN Cc1csc(CN[C@@H]2CCCSCC2)n1 ZINC000657826823 411608399 /nfs/dbraw/zinc/60/83/99/411608399.db2.gz BZRPWHKLZQERLZ-SNVBAGLBSA-N 0 3 242.413 2.827 20 0 BFADHN Cc1cc(CN[C@H]2CC3CCC2CC3)nc(C)n1 ZINC000660624543 411608669 /nfs/dbraw/zinc/60/86/69/411608669.db2.gz BQKNQQQTFUFMLT-PIMMBPRGSA-N 0 3 245.370 2.762 20 0 BFADHN CCc1nocc1CN[C@H]1CC2CCC1CC2 ZINC000660626401 411609172 /nfs/dbraw/zinc/60/91/72/411609172.db2.gz WTSCRBKTSDWIOP-MGULZYLOSA-N 0 3 234.343 2.905 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@@H]1COC2(CCC2)C1 ZINC000657835106 411609329 /nfs/dbraw/zinc/60/93/29/411609329.db2.gz OFAFQTRTTCSQGE-AAEUAGOBSA-N 0 3 247.338 2.958 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(C(F)F)nc1 ZINC000657840914 411609787 /nfs/dbraw/zinc/60/97/87/411609787.db2.gz YKUIUFIYYFWZAN-VIFPVBQESA-N 0 3 244.285 2.706 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCn3nccc32)s1 ZINC000657839764 411610046 /nfs/dbraw/zinc/61/00/46/411610046.db2.gz FZKQSLVJCYPWKE-GFCCVEGCSA-N 0 3 247.367 2.878 20 0 BFADHN Cc1cccc(CN[C@@H]2CCCC23CC3)n1 ZINC000657842372 411610789 /nfs/dbraw/zinc/61/07/89/411610789.db2.gz KKUSVLQWIOXCGE-CYBMUJFWSA-N 0 3 216.328 2.812 20 0 BFADHN Cc1ncc(CNC2CC(CF)(CF)C2)s1 ZINC000657873618 411615115 /nfs/dbraw/zinc/61/51/15/411615115.db2.gz YNBWZXHHTHTYRE-UHFFFAOYSA-N 0 3 246.326 2.629 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1cc2cnccc2o1 ZINC000657878389 411615802 /nfs/dbraw/zinc/61/58/02/411615802.db2.gz JTLLNUQVLUOMIG-JQWIXIFHSA-N 0 3 248.326 2.639 20 0 BFADHN CCc1nnc([C@@H](C)[N@H+]2C[C@@H]3CCCC[C@@H]3C2)[n-]1 ZINC000660725215 411619134 /nfs/dbraw/zinc/61/91/34/411619134.db2.gz ZKTSXNMSUGJTFS-UTUOFQBUSA-N 0 3 248.374 2.550 20 0 BFADHN CCc1nnc([C@@H](C)[N@@H+]2C[C@@H]3CCCC[C@@H]3C2)[n-]1 ZINC000660725215 411619135 /nfs/dbraw/zinc/61/91/35/411619135.db2.gz ZKTSXNMSUGJTFS-UTUOFQBUSA-N 0 3 248.374 2.550 20 0 BFADHN CC[C@H](C)N(CC)Cc1cncc(OC)c1 ZINC000661103071 411706488 /nfs/dbraw/zinc/70/64/88/411706488.db2.gz CWCZASPOCRJKDM-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN CC[C@H](C)[N@H+](CC)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000661105779 411709985 /nfs/dbraw/zinc/70/99/85/411709985.db2.gz ZSNKTZFLPUHRKL-JTQLQIEISA-N 0 3 249.358 2.680 20 0 BFADHN CC[C@H](C)[N@@H+](CC)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000661105779 411709988 /nfs/dbraw/zinc/70/99/88/411709988.db2.gz ZSNKTZFLPUHRKL-JTQLQIEISA-N 0 3 249.358 2.680 20 0 BFADHN CC1(C)CC[C@H](CN2CC[C@@H](C(F)F)C2)O1 ZINC000663464272 411665520 /nfs/dbraw/zinc/66/55/20/411665520.db2.gz VCBPNICKFMZFBF-NXEZZACHSA-N 0 3 233.302 2.531 20 0 BFADHN CCc1noc(C)c1CN1C[C@@H]2CCCC[C@@H]21 ZINC000660931214 411680980 /nfs/dbraw/zinc/68/09/80/411680980.db2.gz PMTJHQUIGYDUBS-FZMZJTMJSA-N 0 3 234.343 2.920 20 0 BFADHN CC(C)COCCN1C[C@@H]2CCCC[C@@H]21 ZINC000660930543 411682321 /nfs/dbraw/zinc/68/23/21/411682321.db2.gz JMXYTKVTMKINLE-STQMWFEESA-N 0 3 211.349 2.533 20 0 BFADHN CN(Cc1c[nH]cn1)C[C@@H]1CCc2ccccc21 ZINC000661088529 411698825 /nfs/dbraw/zinc/69/88/25/411698825.db2.gz LDELFOPNBCOAMM-ZDUSSCGKSA-N 0 3 241.338 2.572 20 0 BFADHN CN(Cc1cnc[nH]1)C[C@@H]1CCc2ccccc21 ZINC000661088529 411698828 /nfs/dbraw/zinc/69/88/28/411698828.db2.gz LDELFOPNBCOAMM-ZDUSSCGKSA-N 0 3 241.338 2.572 20 0 BFADHN CN(CCCC(C)(C)C)Cc1cnc[nH]1 ZINC000661089025 411699689 /nfs/dbraw/zinc/69/96/89/411699689.db2.gz ULOMXBJXMGOBLZ-UHFFFAOYSA-N 0 3 209.337 2.668 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1ncnn1C(C)C ZINC000661230428 411735438 /nfs/dbraw/zinc/73/54/38/411735438.db2.gz AVUGJXBMEANLDP-LLVKDONJSA-N 0 3 238.379 2.773 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCc1cncs1 ZINC000663758800 411741184 /nfs/dbraw/zinc/74/11/84/411741184.db2.gz FXLAOTUPHGXVAQ-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN CCCN(C)Cc1ccc(C(C)C)cc(=O)c1O ZINC000663825352 411756207 /nfs/dbraw/zinc/75/62/07/411756207.db2.gz DSVRXVNKCUZOQQ-UHFFFAOYSA-N 0 3 249.354 2.718 20 0 BFADHN CCC[C@@H](O)CN1CCc2ccc(C)cc2C1 ZINC000661373103 411758300 /nfs/dbraw/zinc/75/83/00/411758300.db2.gz RRTDLMJIZCQXJF-OAHLLOKOSA-N 0 3 233.355 2.514 20 0 BFADHN Cc1cc(CN2CCC(C3CCC3)CC2)ncn1 ZINC000661373449 411759047 /nfs/dbraw/zinc/75/90/47/411759047.db2.gz CVXNKADHZJELTP-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN CCn1cc(CN2CCC(C3CCC3)CC2)cn1 ZINC000661377690 411760529 /nfs/dbraw/zinc/76/05/29/411760529.db2.gz RBBFFMWXUFLOHF-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CCOC1CC(CN[C@@H](C)c2cscn2)C1 ZINC000661413604 411770785 /nfs/dbraw/zinc/77/07/85/411770785.db2.gz FNZVGQNGVKWJTC-WHXUTIOJSA-N 0 3 240.372 2.609 20 0 BFADHN Fc1ccc([C@H]2CCN2C[C@H]2CCCOC2)cc1 ZINC000661513890 411793471 /nfs/dbraw/zinc/79/34/71/411793471.db2.gz UULVMMJFLIQBGO-IUODEOHRSA-N 0 3 249.329 2.999 20 0 BFADHN CCSCCNCc1cccc(F)c1 ZINC000164789114 170637686 /nfs/dbraw/zinc/63/76/86/170637686.db2.gz SOHALWLPRIQGAX-UHFFFAOYSA-N 0 3 213.321 2.668 20 0 BFADHN C[C@H]1COC2(CCC2)CN1CCC1CCC1 ZINC000661462790 411779560 /nfs/dbraw/zinc/77/95/60/411779560.db2.gz KFEWXVFFKCYMTR-LBPRGKRZSA-N 0 3 223.360 2.820 20 0 BFADHN CC(C)CCN1CC2(CCC2)OC[C@H]1C ZINC000661464637 411782835 /nfs/dbraw/zinc/78/28/35/411782835.db2.gz APHUUJVZZOHONP-GFCCVEGCSA-N 0 3 211.349 2.676 20 0 BFADHN CC/C=C/CCN1CC2(CCC2)OC[C@@H]1C ZINC000661468537 411783615 /nfs/dbraw/zinc/78/36/15/411783615.db2.gz JCKRYYZIOGUDPN-IHVVCDCBSA-N 0 3 223.360 2.986 20 0 BFADHN CC1(CN2CC[C@@H]2c2ccc(F)cc2)COC1 ZINC000661507087 411790938 /nfs/dbraw/zinc/79/09/38/411790938.db2.gz IEOYZENELDKZLR-CYBMUJFWSA-N 0 3 235.302 2.609 20 0 BFADHN C[C@]1(C2CC2)CN(CCc2ccccc2)CCO1 ZINC000661165858 411726059 /nfs/dbraw/zinc/72/60/59/411726059.db2.gz CYORYOTWVKZTPM-MRXNPFEDSA-N 0 3 245.366 2.730 20 0 BFADHN CC/C=C\CCN1CCO[C@@](C)(C2CC2)C1 ZINC000661167376 411726498 /nfs/dbraw/zinc/72/64/98/411726498.db2.gz HKZGVCLUSLJUIG-ZRUQZJFASA-N 0 3 223.360 2.844 20 0 BFADHN CC(C)C[C@H](C)N1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000661539306 411798288 /nfs/dbraw/zinc/79/82/88/411798288.db2.gz PUUIQQBTGCWTQJ-UTUOFQBUSA-N 0 3 211.349 2.578 20 0 BFADHN Cc1nocc1COc1cc(C)nc(C)c1C ZINC000664042526 411825628 /nfs/dbraw/zinc/82/56/28/411825628.db2.gz CGGUSEQZFHCSRR-UHFFFAOYSA-N 0 3 232.283 2.882 20 0 BFADHN Cc1cc(OCCC2(O)CCC2)c(C)c(C)n1 ZINC000664043551 411825853 /nfs/dbraw/zinc/82/58/53/411825853.db2.gz MHUIBIXLSRTLOT-UHFFFAOYSA-N 0 3 235.327 2.691 20 0 BFADHN Cc1nocc1CN1CCC[C@H](CCF)C1 ZINC000661687464 411837200 /nfs/dbraw/zinc/83/72/00/411837200.db2.gz BXDVVYTTZILCBV-LLVKDONJSA-N 0 3 226.295 2.555 20 0 BFADHN C[C@@H](c1cnccn1)N1CCC[C@H](CCF)C1 ZINC000661688622 411840254 /nfs/dbraw/zinc/84/02/54/411840254.db2.gz FGTXYFSYKBRWMB-NWDGAFQWSA-N 0 3 237.322 2.609 20 0 BFADHN Cc1cc(F)ccc1CN1C[C@@H]2COC[C@]2(C)C1 ZINC000661702239 411848364 /nfs/dbraw/zinc/84/83/64/411848364.db2.gz VCECCLOTNGGNDY-HIFRSBDPSA-N 0 3 249.329 2.602 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2cc(C)n(C)n2)C1 ZINC000661860254 411907053 /nfs/dbraw/zinc/90/70/53/411907053.db2.gz XJTPNRAWTJUAOM-DGCLKSJQSA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2ccno2)C1 ZINC000661860500 411907835 /nfs/dbraw/zinc/90/78/35/411907835.db2.gz BXLAWYXUMLILCQ-GHMZBOCLSA-N 0 3 208.305 2.685 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000661972389 411928633 /nfs/dbraw/zinc/92/86/33/411928633.db2.gz PZKZJHRLCMILRW-DGCLKSJQSA-N 0 3 219.303 2.976 20 0 BFADHN COc1ccc(CN2CC[C@@H]3C[C@@H]3C2)cc1 ZINC000661972900 411929279 /nfs/dbraw/zinc/92/92/79/411929279.db2.gz RERVWADQUVQBKX-CHWSQXEVSA-N 0 3 217.312 2.537 20 0 BFADHN C1=C[C@@H](N2CCOC(C3CC3)(C3CC3)C2)CCC1 ZINC000661996578 411937412 /nfs/dbraw/zinc/93/74/12/411937412.db2.gz DJBDSHUXGUOTHT-OAHLLOKOSA-N 0 3 247.382 2.986 20 0 BFADHN Cc1cccc(NC[C@H]2CCN2C(C)(C)C)n1 ZINC000664142324 411869218 /nfs/dbraw/zinc/86/92/18/411869218.db2.gz IPYWDRUMWFBCKA-GFCCVEGCSA-N 0 3 233.359 2.675 20 0 BFADHN CC[C@H](NCc1nc(-c2ccoc2)no1)C1CC1 ZINC000661758743 411869849 /nfs/dbraw/zinc/86/98/49/411869849.db2.gz QKAGAEYTKWWUFF-NSHDSACASA-N 0 3 247.298 2.608 20 0 BFADHN Cc1cnc(NC[C@H]2CCN2C(C)(C)C)s1 ZINC000664141493 411870146 /nfs/dbraw/zinc/87/01/46/411870146.db2.gz CDRMQJYWNRFGLA-SNVBAGLBSA-N 0 3 239.388 2.736 20 0 BFADHN CC[C@H](NCc1nc(-c2ccco2)no1)C1CC1 ZINC000661759171 411871468 /nfs/dbraw/zinc/87/14/68/411871468.db2.gz ZZNBBEUSYQBTSE-JTQLQIEISA-N 0 3 247.298 2.608 20 0 BFADHN CC[C@@H](COC)N[C@@H]1CCCc2ccc(O)cc21 ZINC000233884021 170849916 /nfs/dbraw/zinc/84/99/16/170849916.db2.gz HHZDKYLLFYFZLE-SWLSCSKDSA-N 0 3 249.354 2.784 20 0 BFADHN c1cc(C2CCN(CC3=CCCC3)CC2)no1 ZINC000662049056 411966638 /nfs/dbraw/zinc/96/66/38/411966638.db2.gz OZVVSGVHAVRCQB-UHFFFAOYSA-N 0 3 232.327 2.964 20 0 BFADHN Cc1cc(CNC2(CC(C)C)CC2)on1 ZINC000662067122 411969494 /nfs/dbraw/zinc/96/94/94/411969494.db2.gz UBNLVZGLTUUTFL-UHFFFAOYSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1nnsc1CNC1(CC(C)C)CC1 ZINC000662066655 411969692 /nfs/dbraw/zinc/96/96/92/411969692.db2.gz PEGGKAMLFONZSL-UHFFFAOYSA-N 0 3 225.361 2.515 20 0 BFADHN CC(C)CC1(NCC(=O)Nc2ccccc2)CC1 ZINC000662070871 411971518 /nfs/dbraw/zinc/97/15/18/411971518.db2.gz PROLKFDBZDEARK-UHFFFAOYSA-N 0 3 246.354 2.793 20 0 BFADHN CC[C@@H](NCc1ncc(C)s1)C(C)C ZINC000122971444 170905268 /nfs/dbraw/zinc/90/52/68/170905268.db2.gz WFSKGHUNYHESDC-SNVBAGLBSA-N 0 3 212.362 2.976 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1c[nH]cn1 ZINC000662160553 412012496 /nfs/dbraw/zinc/01/24/96/412012496.db2.gz KRCLKMFPIJAIHC-CMPLNLGQSA-N 0 3 207.321 2.563 20 0 BFADHN CCCCNC(=O)CN1[C@H](CC)CCC[C@@H]1C ZINC000662158935 412012885 /nfs/dbraw/zinc/01/28/85/412012885.db2.gz ZBUFQXMLXQECBK-QWHCGFSZSA-N 0 3 240.391 2.556 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1ccnn1C ZINC000662159999 412012941 /nfs/dbraw/zinc/01/29/41/412012941.db2.gz GZGHYWOGQDLUJN-NWDGAFQWSA-N 0 3 221.348 2.573 20 0 BFADHN Cc1cc(CN2CCC[C@H](C3CCC3)C2)on1 ZINC000662035865 411952456 /nfs/dbraw/zinc/95/24/56/411952456.db2.gz BENRHKNHJIFIKK-ZDUSSCGKSA-N 0 3 234.343 2.995 20 0 BFADHN CCCNC(=O)CN(C)CCCC(CC)CC ZINC000662038551 411956360 /nfs/dbraw/zinc/95/63/60/411956360.db2.gz QIDGSVMHPYJDJB-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@@H]2COC[C@H]2C1 ZINC000662039956 411958887 /nfs/dbraw/zinc/95/88/87/411958887.db2.gz PZNFFLWWDFJSIT-MRVWCRGKSA-N 0 3 249.329 2.855 20 0 BFADHN Nc1ncccc1CN1CCC[C@@H](C2CCC2)C1 ZINC000662042434 411960563 /nfs/dbraw/zinc/96/05/63/411960563.db2.gz GSHZLPYWSRFOOU-CYBMUJFWSA-N 0 3 245.370 2.676 20 0 BFADHN c1[nH]nc2c1CN(C[C@@H]1CC[C@H]3C[C@H]3C1)CCC2 ZINC000664861831 412034107 /nfs/dbraw/zinc/03/41/07/412034107.db2.gz ZFPUPPYKGHGAOB-FRRDWIJNSA-N 0 3 245.370 2.594 20 0 BFADHN Cc1cccc(CN2CCCc3n[nH]cc3C2)c1 ZINC000664864320 412034217 /nfs/dbraw/zinc/03/42/17/412034217.db2.gz LRSIWVGXXCKNQJ-UHFFFAOYSA-N 0 3 241.338 2.667 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1snnc1C ZINC000336674236 170967666 /nfs/dbraw/zinc/96/76/66/170967666.db2.gz OZEXPIRRCIBEIM-MNOVXSKESA-N 0 3 239.388 2.905 20 0 BFADHN Cc1ccccc1CN1CCCc2n[nH]cc2C1 ZINC000664864132 412034489 /nfs/dbraw/zinc/03/44/89/412034489.db2.gz FEPPIJPMCFRQBK-UHFFFAOYSA-N 0 3 241.338 2.667 20 0 BFADHN CC(C)=CCN1Cc2cnn(C)c2CC(C)(C)C1 ZINC000664872886 412036414 /nfs/dbraw/zinc/03/64/14/412036414.db2.gz NQPWGMVDZYBPAW-UHFFFAOYSA-N 0 3 247.386 2.771 20 0 BFADHN COc1ccc(CN(C)CC2=CCSC2)cc1 ZINC000662262711 412053391 /nfs/dbraw/zinc/05/33/91/412053391.db2.gz CLQSYUSUQUGNEP-UHFFFAOYSA-N 0 3 249.379 2.800 20 0 BFADHN CC[C@@H]1CCN(Cc2cccc3c2OCCO3)C1 ZINC000125273848 171010726 /nfs/dbraw/zinc/01/07/26/171010726.db2.gz JWVTYQPEUFIDSR-GFCCVEGCSA-N 0 3 247.338 2.690 20 0 BFADHN CC[C@@H]1CCN(Cc2cc(OC)cc(C)n2)C1 ZINC000270374450 171012472 /nfs/dbraw/zinc/01/24/72/171012472.db2.gz ZQXZTRARNQPNMS-GFCCVEGCSA-N 0 3 234.343 2.631 20 0 BFADHN CC[C@@H]1CCN1Cc1cc2ccccc2[nH]c1=O ZINC000292810083 171024358 /nfs/dbraw/zinc/02/43/58/171024358.db2.gz ONYHAXCMUKAUFW-CYBMUJFWSA-N 0 3 242.322 2.925 20 0 BFADHN Cc1noc([C@@H](C)N(CCC2CC2)CC2CC2)n1 ZINC000662180741 412021433 /nfs/dbraw/zinc/02/14/33/412021433.db2.gz PLQCQCAKMGGXQK-SNVBAGLBSA-N 0 3 249.358 2.951 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1ccc(OC)nc1 ZINC000271604552 171038210 /nfs/dbraw/zinc/03/82/10/171038210.db2.gz VOXWVYJOMOPPRF-DGCLKSJQSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cncc(F)c1 ZINC000280166110 171038472 /nfs/dbraw/zinc/03/84/72/171038472.db2.gz FPUCOJSPHWCRTH-ZWNOBZJWSA-N 0 3 222.307 2.984 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1ncccc1C ZINC000336733875 171043566 /nfs/dbraw/zinc/04/35/66/171043566.db2.gz MRQUDYBJPMVXOK-NEPJUHHUSA-N 0 3 204.317 2.668 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](CO)c1ccsc1 ZINC000336742331 171044699 /nfs/dbraw/zinc/04/46/99/171044699.db2.gz WULVOGKRXRQLGF-ADEWGFFLSA-N 0 3 225.357 2.560 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1CCOCC(F)(F)F ZINC000357526671 171053162 /nfs/dbraw/zinc/05/31/62/171053162.db2.gz GUCWWBVHIHVJDB-VHSXEESVSA-N 0 3 239.281 2.828 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cc(C)no1 ZINC000271561198 171054317 /nfs/dbraw/zinc/05/43/17/171054317.db2.gz PQMVVACFPJOLKE-WDEREUQCSA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1ccc(F)cn1 ZINC000276193741 171054731 /nfs/dbraw/zinc/05/47/31/171054731.db2.gz IJAPRJXRCSBYBN-GXFFZTMASA-N 0 3 222.307 2.984 20 0 BFADHN CC[C@@H]1CN(C/C=C\c2ccc(F)cc2)C[C@H]1O ZINC000355202138 171073355 /nfs/dbraw/zinc/07/33/55/171073355.db2.gz TVJAPZNTLBGSOJ-SQLJOLKNSA-N 0 3 249.329 2.542 20 0 BFADHN CC[C@@H]1CN(CCCCCF)C[C@@H](C)O1 ZINC000341894888 171077696 /nfs/dbraw/zinc/07/76/96/171077696.db2.gz KFLVBWVAACVTGS-VXGBXAGGSA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@@H]1CN(CCC(C)(C)C)C[C@H](C)O1 ZINC000112698174 171078935 /nfs/dbraw/zinc/07/89/35/171078935.db2.gz HVOSPKDPSRLJCR-NWDGAFQWSA-N 0 3 213.365 2.922 20 0 BFADHN CC[C@@H]1CN(CCCc2ccncc2)C[C@@H](C)O1 ZINC000360454020 171081018 /nfs/dbraw/zinc/08/10/18/171081018.db2.gz HUPYHQGZJSFBFD-UKRRQHHQSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@@H]1CN(Cc2ccc([C@@H]3C[C@@H]3C)o2)C[C@@H]1O ZINC000271278058 171087649 /nfs/dbraw/zinc/08/76/49/171087649.db2.gz LJPLTDXDVABXAR-UNJBNNCHSA-N 0 3 249.354 2.606 20 0 BFADHN CC[C@@H]1CN([C@@H](C)c2ccccn2)CCS1 ZINC000177356662 171093625 /nfs/dbraw/zinc/09/36/25/171093625.db2.gz DBTUPRDXVOFTSA-NWDGAFQWSA-N 0 3 236.384 2.970 20 0 BFADHN CC[C@@H]1CN([C@@H](C)c2cccnc2)CCCO1 ZINC000281376256 171094615 /nfs/dbraw/zinc/09/46/15/171094615.db2.gz LZWZQKHCXCLHGN-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@H]1CN([C@@H]2CCc3ccc(F)cc32)C[C@@H]1O ZINC000270970809 171097148 /nfs/dbraw/zinc/09/71/48/171097148.db2.gz WFVSRLXJOJCKKD-KMUNFCNLSA-N 0 3 249.329 2.516 20 0 BFADHN CC[C@@H]1C[C@H](CN(C)Cc2ccco2)CCO1 ZINC000356927359 171129168 /nfs/dbraw/zinc/12/91/68/171129168.db2.gz TWPMWWJPGKOHOO-CHWSQXEVSA-N 0 3 237.343 2.917 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cc(OC)ccn1 ZINC000274943270 171160005 /nfs/dbraw/zinc/16/00/05/171160005.db2.gz FPOPIFDGIHDOEV-BXUZGUMPSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@](C)(CCO)NCc1cc(F)cc(F)c1 ZINC000163271542 171164958 /nfs/dbraw/zinc/16/49/58/171164958.db2.gz CIFIFXMVQLLHGG-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN CC[C@@](C)(O)CNCc1cc(Cl)ccc1F ZINC000119134447 171184057 /nfs/dbraw/zinc/18/40/57/171184057.db2.gz PWJJMOVLDJYJSB-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000282160897 171184077 /nfs/dbraw/zinc/18/40/77/171184077.db2.gz LRKMUNQGEGEHGF-GXFFZTMASA-N 0 3 225.332 2.708 20 0 BFADHN CC[C@@]1(C)C[C@H]1C(=O)N(C)c1nc(C)cs1 ZINC000121914973 171207123 /nfs/dbraw/zinc/20/71/23/171207123.db2.gz PYJMMGPMYLWNHF-CABZTGNLSA-N 0 3 238.356 2.851 20 0 BFADHN CC[C@H](C(=O)N1CCCC1(C)C)N(CC)CC ZINC000359807980 171224483 /nfs/dbraw/zinc/22/44/83/171224483.db2.gz PAVPSUXYUBAZMV-GFCCVEGCSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H](C(=O)NC1(C)CCCC1)N(CC)CC ZINC000359321727 171227161 /nfs/dbraw/zinc/22/71/61/171227161.db2.gz VQMPTXABBHTVDU-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CC[C@H](C)CN(C)Cc1scnc1C ZINC000176119114 171273897 /nfs/dbraw/zinc/27/38/97/171273897.db2.gz DYOLDONCDQDNIT-VIFPVBQESA-N 0 3 212.362 2.929 20 0 BFADHN CC[C@H](C)CN(CC)[C@@H](C)c1cnccn1 ZINC000184599733 171277521 /nfs/dbraw/zinc/27/75/21/171277521.db2.gz XVTFRHKFTWDKIG-RYUDHWBXSA-N 0 3 221.348 2.906 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cc(OC)ccn1 ZINC000267181965 171277926 /nfs/dbraw/zinc/27/79/26/171277926.db2.gz KOUWVDFFJKPYBH-LBPRGKRZSA-N 0 3 236.359 2.958 20 0 BFADHN CC[C@H](C)CN1CCC[C@H](c2noc(C)n2)C1 ZINC000372982729 171280372 /nfs/dbraw/zinc/28/03/72/171280372.db2.gz SGIDXOZWLVERRC-JQWIXIFHSA-N 0 3 237.347 2.604 20 0 BFADHN CC[C@H](C)CN1CCOc2cc(OC)ccc2C1 ZINC000338632128 171281918 /nfs/dbraw/zinc/28/19/18/171281918.db2.gz JLMHSWVCAIBHLC-LBPRGKRZSA-N 0 3 249.354 2.936 20 0 BFADHN CC[C@H](C)CN1CCn2cccc2[C@@H]1C ZINC000176897831 171282883 /nfs/dbraw/zinc/28/28/83/171282883.db2.gz RSWLOJKRBVIKBJ-RYUDHWBXSA-N 0 3 206.333 2.911 20 0 BFADHN CC[C@H](C)CNCc1csc([C@H](C)OC)n1 ZINC000271589379 171285963 /nfs/dbraw/zinc/28/59/63/171285963.db2.gz WOLJOZWMZLCKPG-UWVGGRQHSA-N 0 3 242.388 2.986 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1cn(C(C)C)nn1 ZINC000336688686 171295104 /nfs/dbraw/zinc/29/51/04/171295104.db2.gz GGPUCJDPNDQFAU-NWDGAFQWSA-N 0 3 238.379 2.773 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1ccn(C)n1 ZINC000124698986 171296136 /nfs/dbraw/zinc/29/61/36/171296136.db2.gz ASAYDCFMJNICBW-NWDGAFQWSA-N 0 3 223.364 2.725 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N[C@H](C)c1ncnn1C ZINC000353304894 171296928 /nfs/dbraw/zinc/29/69/28/171296928.db2.gz LSSYZZYJGBYXRQ-QJPTWQEYSA-N 0 3 238.379 2.681 20 0 BFADHN CC[C@H](C)C[C@H](CC)N[C@H](C)c1ncnn1C ZINC000353304899 171299021 /nfs/dbraw/zinc/29/90/21/171299021.db2.gz LSSYZZYJGBYXRQ-TUAOUCFPSA-N 0 3 238.379 2.681 20 0 BFADHN CC[C@H](C)C[C@H](CC)N[C@@H](C)c1nncn1C ZINC000037017119 171299194 /nfs/dbraw/zinc/29/91/94/171299194.db2.gz PPKVXQGNNGPQGM-SRVKXCTJSA-N 0 3 238.379 2.681 20 0 BFADHN CC[C@H](C)N(C)CC(=O)Nc1ccc(C)cc1 ZINC000264171030 171305186 /nfs/dbraw/zinc/30/51/86/171305186.db2.gz HVXOFESXZMJKGP-LBPRGKRZSA-N 0 3 234.343 2.664 20 0 BFADHN CC[C@H](C)N(C)Cc1cn(C)nc1C(F)F ZINC000361176343 171309598 /nfs/dbraw/zinc/30/95/98/171309598.db2.gz IWLVXZJQLSQMDM-QMMMGPOBSA-N 0 3 231.290 2.588 20 0 BFADHN CC[C@H](C)N(CCO)Cc1ccc(F)cc1F ZINC000267132695 171313924 /nfs/dbraw/zinc/31/39/24/171313924.db2.gz FCJVMHBLDFRFCH-JTQLQIEISA-N 0 3 243.297 2.558 20 0 BFADHN CC[C@@H](N)C(=O)N(Cc1ccccc1)[C@@H](C)CC ZINC000037800267 171314929 /nfs/dbraw/zinc/31/49/29/171314929.db2.gz GIFFPRNDCJTOEU-GXTWGEPZSA-N 0 3 248.370 2.551 20 0 BFADHN CC[C@H](C)N1CCN([C@H](C)c2cccnc2)CC1 ZINC000181128080 171318551 /nfs/dbraw/zinc/31/85/51/171318551.db2.gz ISUIAKKJLHTYJX-UONOGXRCSA-N 0 3 247.386 2.559 20 0 BFADHN CC[C@H](C)N1CCN([C@@H](C)c2cccnc2)CC1 ZINC000181128053 171318952 /nfs/dbraw/zinc/31/89/52/171318952.db2.gz ISUIAKKJLHTYJX-KBPBESRZSA-N 0 3 247.386 2.559 20 0 BFADHN CC[C@H](C)N1CCN([C@@H](C)c2ccccn2)CC1 ZINC000187725568 171319022 /nfs/dbraw/zinc/31/90/22/171319022.db2.gz WDEQCIFUUNGPQX-KBPBESRZSA-N 0 3 247.386 2.559 20 0 BFADHN CC[C@H](C)N1CCN(Cc2cccs2)CC1 ZINC000265877074 171319587 /nfs/dbraw/zinc/31/95/87/171319587.db2.gz IAMZJUOCLYASAF-LBPRGKRZSA-N 0 3 238.400 2.664 20 0 BFADHN CC[C@H](C)N1CCc2cc(OC)c(OC)cc2C1 ZINC000172691876 171319849 /nfs/dbraw/zinc/31/98/49/171319849.db2.gz ZGJCXNUSZWFHFE-NSHDSACASA-N 0 3 249.354 2.860 20 0 BFADHN CC[C@H](C)NC(=O)CN[C@@H](CC)c1ccccc1 ZINC000051628245 171326033 /nfs/dbraw/zinc/32/60/33/171326033.db2.gz SYMNQQVZBJEMPI-JSGCOSHPSA-N 0 3 248.370 2.642 20 0 BFADHN CC[C@H](C)NC(=O)CN[C@H](C)c1ccc(C)cc1 ZINC000049556797 171326914 /nfs/dbraw/zinc/32/69/14/171326914.db2.gz PAVIOGVDQXJWBP-QWHCGFSZSA-N 0 3 248.370 2.560 20 0 BFADHN CC[C@H](C)NCc1cn2cc(Cl)ccc2n1 ZINC000105851638 171344526 /nfs/dbraw/zinc/34/45/26/171344526.db2.gz IDEIQEHNEAWTFC-VIFPVBQESA-N 0 3 237.734 2.876 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ccc(C(=O)OC)o1 ZINC000042554266 171365367 /nfs/dbraw/zinc/36/53/67/171365367.db2.gz JWFARXGDKRJMSK-VHSXEESVSA-N 0 3 239.315 2.590 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1nnc2ccccn21 ZINC000036968822 171366224 /nfs/dbraw/zinc/36/62/24/171366224.db2.gz VNIGPLLQOSKZNU-TUAOUCFPSA-N 0 3 246.358 2.815 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@H](C)c1cncs1)OC ZINC000294142912 171368447 /nfs/dbraw/zinc/36/84/47/171368447.db2.gz GQDBMBYHTYZIJC-HBNTYKKESA-N 0 3 242.388 2.855 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1occc1C)OC ZINC000294310986 171368581 /nfs/dbraw/zinc/36/85/81/171368581.db2.gz VUODAMHUGAFOIG-CMPLNLGQSA-N 0 3 225.332 2.739 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1ccc(C)o1)OC ZINC000294328151 171368623 /nfs/dbraw/zinc/36/86/23/171368623.db2.gz XKPWPGGOHJMKRT-GXFFZTMASA-N 0 3 225.332 2.739 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1ccccc1F)OC ZINC000362977473 171368664 /nfs/dbraw/zinc/36/86/64/171368664.db2.gz RITNNFJUKFMGLR-SMDDNHRTSA-N 0 3 239.334 2.976 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)N(C)c1cc(C)cc(C)c1 ZINC000163544511 171370212 /nfs/dbraw/zinc/37/02/12/171370212.db2.gz CZBVZEDLXRWBJF-GXTWGEPZSA-N 0 3 248.370 2.640 20 0 BFADHN CC[C@H](C)[C@@H](N)C(=O)Nc1cccc(C)c1C ZINC000019479258 171372275 /nfs/dbraw/zinc/37/22/75/171372275.db2.gz QVLFBFLNOWKHCO-TVQRCGJNSA-N 0 3 234.343 2.615 20 0 BFADHN CC[C@H](C)[C@@](C)(O)CNCc1ccccc1F ZINC000304070311 171382709 /nfs/dbraw/zinc/38/27/09/171382709.db2.gz VFXKPXQAPHJKBT-FZMZJTMJSA-N 0 3 239.334 2.712 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1nccn1C(F)F ZINC000042555871 171389430 /nfs/dbraw/zinc/38/94/30/171389430.db2.gz LWHOCFREZJXQDI-IUCAKERBSA-N 0 3 231.290 2.802 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cccc(OC)n1 ZINC000069637601 171389464 /nfs/dbraw/zinc/38/94/64/171389464.db2.gz GOIFZTBVSAMSFC-QWRGUYRKSA-N 0 3 222.332 2.614 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ncc(C)s1 ZINC000122862504 171389625 /nfs/dbraw/zinc/38/96/25/171389625.db2.gz SZYYULYRKXKXHY-WPRPVWTQSA-N 0 3 212.362 2.976 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1c(C)noc1C ZINC000088423884 171389986 /nfs/dbraw/zinc/38/99/86/171389986.db2.gz PUNDDTZDOXGIRZ-IUCAKERBSA-N 0 3 210.321 2.816 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1cc(C)n(C)n1 ZINC000310024993 171390788 /nfs/dbraw/zinc/39/07/88/171390788.db2.gz OTPVKTKFJHIHSF-DLOVCJGASA-N 0 3 223.364 2.814 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](c1nccn1C)C1CC1 ZINC000353864942 171390978 /nfs/dbraw/zinc/39/09/78/171390978.db2.gz HGXONPDJAWCWGM-GVXVVHGQSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@H](C)[C@H](CNCc1ccc(C)nc1)OC ZINC000362977843 171392050 /nfs/dbraw/zinc/39/20/50/171392050.db2.gz VDHNTVGJZNAIOQ-FZMZJTMJSA-N 0 3 236.359 2.541 20 0 BFADHN CC[C@H](C)[C@H](CNCc1occc1C)OC ZINC000294310988 171392860 /nfs/dbraw/zinc/39/28/60/171392860.db2.gz VUODAMHUGAFOIG-JQWIXIFHSA-N 0 3 225.332 2.739 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)NC1CCCCCCC1 ZINC000019508809 171393878 /nfs/dbraw/zinc/39/38/78/171393878.db2.gz IDNLVVUFKXQZOS-AAEUAGOBSA-N 0 3 240.391 2.589 20 0 BFADHN CN(C)Cc1cccc(-c2cc(CO)co2)c1 ZINC000665143606 412109757 /nfs/dbraw/zinc/10/97/57/412109757.db2.gz FCJZQODKPZBKBE-UHFFFAOYSA-N 0 3 231.295 2.501 20 0 BFADHN CN(Cc1cncc(F)c1)C[C@H]1CC1(C)C ZINC000662344580 412112924 /nfs/dbraw/zinc/11/29/24/412112924.db2.gz QZYAIAJQLKJHMH-LLVKDONJSA-N 0 3 222.307 2.699 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2CC2(C)C)cn1 ZINC000662344457 412113350 /nfs/dbraw/zinc/11/33/50/412113350.db2.gz BKMXZHKTMKBXPD-LBPRGKRZSA-N 0 3 234.343 2.568 20 0 BFADHN Cc1cnc([C@@H](C)N(C)C[C@@H]2CC2(C)C)cn1 ZINC000662344611 412114029 /nfs/dbraw/zinc/11/40/29/412114029.db2.gz RIVQHOOVAIDCIR-NEPJUHHUSA-N 0 3 233.359 2.824 20 0 BFADHN Cc1ccncc1CN(C)C[C@@H]1CC1(C)C ZINC000662346234 412117383 /nfs/dbraw/zinc/11/73/83/412117383.db2.gz UAIUYVSJUZDTBZ-ZDUSSCGKSA-N 0 3 218.344 2.868 20 0 BFADHN COc1cccc(CN(C)C[C@H]2CC2(C)C)n1 ZINC000662347039 412118120 /nfs/dbraw/zinc/11/81/20/412118120.db2.gz XXCNNELNZIVEQU-LLVKDONJSA-N 0 3 234.343 2.568 20 0 BFADHN CCCC[C@@H](CC)CCCN(C)CC(=O)NC ZINC000662355597 412121431 /nfs/dbraw/zinc/12/14/31/412121431.db2.gz DJFIHAJUQOUWEF-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN C[C@@H]1CN(CCC2CCC2)C[C@](C)(C(F)F)O1 ZINC000662357701 412121907 /nfs/dbraw/zinc/12/19/07/412121907.db2.gz DHWNBPIEIOWCID-ZWNOBZJWSA-N 0 3 247.329 2.921 20 0 BFADHN CCC[C@H](C)N1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662360002 412123295 /nfs/dbraw/zinc/12/32/95/412123295.db2.gz OPECRFLYDFYLMZ-HOSYDEDBSA-N 0 3 235.318 2.920 20 0 BFADHN C[C@@H]1CN(C2CCCC2)C[C@](C)(C(F)F)O1 ZINC000662360726 412123926 /nfs/dbraw/zinc/12/39/26/412123926.db2.gz RYSFZCQIRJTDMK-BXKDBHETSA-N 0 3 233.302 2.674 20 0 BFADHN Cc1n[nH]c(C)c1-c1cc(CN(C)C)ccc1F ZINC000665195969 412129913 /nfs/dbraw/zinc/12/99/13/412129913.db2.gz XHYNQZFXHVQKTN-UHFFFAOYSA-N 0 3 247.317 2.894 20 0 BFADHN Cc1cc(-c2cc(CN(C)C)ccc2F)nn1C ZINC000665195938 412130869 /nfs/dbraw/zinc/13/08/69/412130869.db2.gz WBJBGKHWKVCICR-UHFFFAOYSA-N 0 3 247.317 2.596 20 0 BFADHN CC[C@H](COC)N[C@@H](c1cccnc1)C1CC1 ZINC000358380127 171461086 /nfs/dbraw/zinc/46/10/86/171461086.db2.gz XBCXETAJGURNMU-ZIAGYGMSSA-N 0 3 234.343 2.547 20 0 BFADHN COc1ccc(OCCN2CC3CC2(C)C3)cc1 ZINC000662381645 412133322 /nfs/dbraw/zinc/13/33/22/412133322.db2.gz YVFHVKPPFNPVGG-UHFFFAOYSA-N 0 3 247.338 2.558 20 0 BFADHN Cc1ccc(CN(CCC2(O)CCC2)C2CC2)o1 ZINC000662477151 412189573 /nfs/dbraw/zinc/18/95/73/412189573.db2.gz RVKCFNRASGYUTF-UHFFFAOYSA-N 0 3 249.354 2.858 20 0 BFADHN CC[C@@H](NC)C(=O)N(C)c1cccc(C(C)C)c1 ZINC000662536350 412208236 /nfs/dbraw/zinc/20/82/36/412208236.db2.gz UWKQWCILMUWDAO-CQSZACIVSA-N 0 3 248.370 2.771 20 0 BFADHN CC[C@H](NC[C@@H]1CCCO1)c1ccsc1 ZINC000294197411 171525649 /nfs/dbraw/zinc/52/56/49/171525649.db2.gz GWZNZMSJXOMALU-RYUDHWBXSA-N 0 3 225.357 2.968 20 0 BFADHN CC[C@H](N[C@@H](C)COC)c1ccc(F)cc1 ZINC000019904759 171537501 /nfs/dbraw/zinc/53/75/01/171537501.db2.gz DIKXFAUPSFEVAP-GWCFXTLKSA-N 0 3 225.307 2.901 20 0 BFADHN Cc1nc(CN2CC[C@H](C3CCC3)C2)oc1C ZINC000665286114 412230642 /nfs/dbraw/zinc/23/06/42/412230642.db2.gz FKNMUDXLWDAZSF-ZDUSSCGKSA-N 0 3 234.343 2.913 20 0 BFADHN Cc1cc(CN2CC[C@H](C3CCC3)C2)on1 ZINC000665286192 412231002 /nfs/dbraw/zinc/23/10/02/412231002.db2.gz GXDJMFBVOKSDNH-LBPRGKRZSA-N 0 3 220.316 2.605 20 0 BFADHN COc1ccc(CN2CC[C@@H](C3CCC3)C2)nc1 ZINC000665288055 412234336 /nfs/dbraw/zinc/23/43/36/412234336.db2.gz BILMOUWTCZVBMO-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN Fc1cncc(CN2CC[C@@H](C3CCC3)C2)c1 ZINC000665290238 412235361 /nfs/dbraw/zinc/23/53/61/412235361.db2.gz PKZUTZCNUDQROT-CYBMUJFWSA-N 0 3 234.318 2.843 20 0 BFADHN CC[C@H](NC)C(=O)Nc1ccc(Cl)c(C)c1 ZINC000662833189 412315297 /nfs/dbraw/zinc/31/52/97/412315297.db2.gz NATNZGMAERXEAX-NSHDSACASA-N 0 3 240.734 2.585 20 0 BFADHN CCCC[C@@H](CC)CCCN(C)C(=O)[C@H](C)N ZINC000663000925 412367061 /nfs/dbraw/zinc/36/70/61/412367061.db2.gz NGPUBQHLSUTMRN-QWHCGFSZSA-N 0 3 242.407 2.789 20 0 BFADHN CCCCC[C@@H]1CCCCN1C(=O)[C@H](N)CC ZINC000662932060 412347946 /nfs/dbraw/zinc/34/79/46/412347946.db2.gz HHLPXGZITPNMBS-CHWSQXEVSA-N 0 3 240.391 2.685 20 0 BFADHN Nc1cc(CN2C3CCCC2CCC3)ccn1 ZINC000662974450 412361723 /nfs/dbraw/zinc/36/17/23/412361723.db2.gz UJYDAAYJOBKMRB-UHFFFAOYSA-N 0 3 231.343 2.571 20 0 BFADHN CCc1ccc(CN(C[C@@H]2CCOC2)C2CC2)o1 ZINC000129969530 171796800 /nfs/dbraw/zinc/79/68/00/171796800.db2.gz JJQBWHKLPZTOTM-LBPRGKRZSA-N 0 3 249.354 2.843 20 0 BFADHN CCc1nc([C@@H](C)N[C@H](C)CCOC)cs1 ZINC000124508730 172002715 /nfs/dbraw/zinc/00/27/15/172002715.db2.gz MIZOJCXUIOVCQL-NXEZZACHSA-N 0 3 242.388 2.781 20 0 BFADHN CCc1nc2c(s1)[C@@H](NC1CC1)CCC2 ZINC000132718999 172008535 /nfs/dbraw/zinc/00/85/35/172008535.db2.gz FNYBHVIHFAPJNT-VIFPVBQESA-N 0 3 222.357 2.835 20 0 BFADHN CCc1ncc(CN2CC[C@@H](SC)C2)s1 ZINC000295138964 172016938 /nfs/dbraw/zinc/01/69/38/172016938.db2.gz ZERZTQBAQWUFKM-SECBINFHSA-N 0 3 242.413 2.643 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@@H]2C)s1 ZINC000308531362 172019147 /nfs/dbraw/zinc/01/91/47/172019147.db2.gz KUEUGXUXGUQJGQ-WCBMZHEXSA-N 0 3 210.346 2.594 20 0 BFADHN CCc1ncc(CN[C@@H]2CC2(C)C)s1 ZINC000045117866 172019636 /nfs/dbraw/zinc/01/96/36/172019636.db2.gz ARHYOHXTSLXZRT-SECBINFHSA-N 0 3 210.346 2.594 20 0 BFADHN CCc1ncc(CNC2CC(C)C2)s1 ZINC000129833496 172019655 /nfs/dbraw/zinc/01/96/55/172019655.db2.gz HDXJMCRLDRLSCJ-UHFFFAOYSA-N 0 3 210.346 2.594 20 0 BFADHN CCc1ncc(CN[C@H]2CCCSC2)s1 ZINC000129834161 172021012 /nfs/dbraw/zinc/02/10/12/172021012.db2.gz ALBAGGBPKNJMEN-VIFPVBQESA-N 0 3 242.413 2.691 20 0 BFADHN CCc1nnc(CNC[C@H]2CC=CCC2)s1 ZINC000289768212 172052545 /nfs/dbraw/zinc/05/25/45/172052545.db2.gz JOECBXLMXPFRHT-JTQLQIEISA-N 0 3 237.372 2.546 20 0 BFADHN CCc1nnc(CN[C@@H](C)[C@H](C)CC)s1 ZINC000308963940 172054423 /nfs/dbraw/zinc/05/44/23/172054423.db2.gz TZTQNSVCQGPPNX-BDAKNGLRSA-N 0 3 227.377 2.625 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CC[C@H]1C1CC1 ZINC000340438188 172078503 /nfs/dbraw/zinc/07/85/03/172078503.db2.gz GBUIHUHXQXFBNB-SMDDNHRTSA-N 0 3 234.343 2.824 20 0 BFADHN CCc1noc(C)c1CNCC1(SC)CC1 ZINC000293710572 172079385 /nfs/dbraw/zinc/07/93/85/172079385.db2.gz SIIMNIIIVMMLTP-UHFFFAOYSA-N 0 3 240.372 2.531 20 0 BFADHN CCc1noc(C)c1CN[C@@H](C)CC1CC1 ZINC000340442953 172079783 /nfs/dbraw/zinc/07/97/83/172079783.db2.gz SQXBCFLETSZNJG-VIFPVBQESA-N 0 3 222.332 2.824 20 0 BFADHN CCc1nocc1CN(C)CC(C)(C)C ZINC000295378795 172093107 /nfs/dbraw/zinc/09/31/07/172093107.db2.gz OZUCZUHXWMMNRZ-UHFFFAOYSA-N 0 3 210.321 2.715 20 0 BFADHN CCc1nocc1CNCC12CCC(CC1)C2 ZINC000339326834 172097443 /nfs/dbraw/zinc/09/74/43/172097443.db2.gz RRYDGMYRXQTBPX-UHFFFAOYSA-N 0 3 234.343 2.907 20 0 BFADHN CCc1nocc1CNCCSCC(C)C ZINC000339326741 172099118 /nfs/dbraw/zinc/09/91/18/172099118.db2.gz ONBUTNIYZIALSD-UHFFFAOYSA-N 0 3 242.388 2.716 20 0 BFADHN CCc1nocc1CN[C@@H]1CCCC(F)(F)C1 ZINC000339303430 172101786 /nfs/dbraw/zinc/10/17/86/172101786.db2.gz MLOIZCNLUZJQDE-SNVBAGLBSA-N 0 3 244.285 2.905 20 0 BFADHN CCn1cc(CN2CCC[C@H](C3CC3)C2)cn1 ZINC000368144153 172164313 /nfs/dbraw/zinc/16/43/13/172164313.db2.gz XCLURKUTPCLIQS-AWEZNQCLSA-N 0 3 233.359 2.525 20 0 BFADHN CCn1cc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)cn1 ZINC000355075405 172167645 /nfs/dbraw/zinc/16/76/45/172167645.db2.gz JTNVJPSEIAGWEI-UPJWGTAASA-N 0 3 235.375 2.769 20 0 BFADHN CCn1cc(CNCc2cc(C)ccc2C)cn1 ZINC000265381025 172171623 /nfs/dbraw/zinc/17/16/23/172171623.db2.gz CNOAZNRJIOQDEW-UHFFFAOYSA-N 0 3 243.354 2.810 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@H](C2CC2)C1 ZINC000344631177 172224882 /nfs/dbraw/zinc/22/48/82/172224882.db2.gz OURGJDAUQCDYCA-UONOGXRCSA-N 0 3 247.386 2.961 20 0 BFADHN CCn1ccnc1CNC1(C)CCCCC1 ZINC000051924289 172224960 /nfs/dbraw/zinc/22/49/60/172224960.db2.gz ZTHNCKDHSQOSQD-UHFFFAOYSA-N 0 3 221.348 2.715 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCC(C)C ZINC000295178305 172227124 /nfs/dbraw/zinc/22/71/24/172227124.db2.gz HDYBPQCQWWSANT-LLVKDONJSA-N 0 3 209.337 2.600 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H]1CC=CCC1 ZINC000296823017 172227804 /nfs/dbraw/zinc/22/78/04/172227804.db2.gz MHRNTAYTERERMA-NEPJUHHUSA-N 0 3 219.332 2.662 20 0 BFADHN CCn1nc(CN[C@H]2CC[C@@H]2C)c2ccccc21 ZINC000336786806 172250739 /nfs/dbraw/zinc/25/07/39/172250739.db2.gz COGIFRQQFBQYFW-AAEUAGOBSA-N 0 3 243.354 2.944 20 0 BFADHN CCN(Cc1ccoc1)CC(C)(C)COC ZINC000683160570 487624185 /nfs/dbraw/zinc/62/41/85/487624185.db2.gz LWOGVAWNXLAUBS-UHFFFAOYSA-N 0 3 225.332 2.774 20 0 BFADHN CCn1nccc1CN1CC[C@@H](C)C(C)(C)C1 ZINC000355516283 172266312 /nfs/dbraw/zinc/26/63/12/172266312.db2.gz RNKNOIANEVUJDB-GFCCVEGCSA-N 0 3 235.375 2.771 20 0 BFADHN CCn1nccc1CN1CC[C@@H](CC(C)C)C1 ZINC000124785136 172267250 /nfs/dbraw/zinc/26/72/50/172267250.db2.gz NZFFIIKEWILNQC-ZDUSSCGKSA-N 0 3 235.375 2.771 20 0 BFADHN CCn1nccc1CN1CC(C)(C)[C@H]2CCC[C@@H]21 ZINC000354580659 172267451 /nfs/dbraw/zinc/26/74/51/172267451.db2.gz OBSZRGGLGWASRF-KBPBESRZSA-N 0 3 247.386 2.914 20 0 BFADHN CN(Cc1cc(F)cc(F)c1)[C@@H]1CCSC1 ZINC000130486098 172841394 /nfs/dbraw/zinc/84/13/94/172841394.db2.gz AVZVYFHEFDCUBD-GFCCVEGCSA-N 0 3 243.322 2.902 20 0 BFADHN CN1CCC[C@@H]1CNCc1ccccc1Cl ZINC000163687404 173033708 /nfs/dbraw/zinc/03/37/08/173033708.db2.gz PXIMSHJLDVNGCQ-GFCCVEGCSA-N 0 3 238.762 2.524 20 0 BFADHN CN1CCN(CCC2CC2)[C@H](c2ccccc2)C1 ZINC000336783693 173080033 /nfs/dbraw/zinc/08/00/33/173080033.db2.gz FNYBDHOOSBEGIA-INIZCTEOSA-N 0 3 244.382 2.775 20 0 BFADHN CNCc1cccc(NC(=O)CC(C)(C)C)c1 ZINC000036282465 173389357 /nfs/dbraw/zinc/38/93/57/173389357.db2.gz KQIOYOPEBUQMMA-UHFFFAOYSA-N 0 3 234.343 2.781 20 0 BFADHN CNCc1cccc(NC(=O)CCC(C)C)c1 ZINC000036282341 173389795 /nfs/dbraw/zinc/38/97/95/173389795.db2.gz WHJCXWGOHTXLFJ-UHFFFAOYSA-N 0 3 234.343 2.781 20 0 BFADHN CNCc1cccc(NC(=O)[C@@H](C)C(C)C)c1 ZINC000070125463 173389932 /nfs/dbraw/zinc/38/99/32/173389932.db2.gz TVVVGSJDLQEDHP-NSHDSACASA-N 0 3 234.343 2.637 20 0 BFADHN CNCc1nc(-c2ccc(C)s2)cs1 ZINC000003711073 173394221 /nfs/dbraw/zinc/39/42/21/173394221.db2.gz KGNNSDKSRLZBQR-UHFFFAOYSA-N 0 3 224.354 2.899 20 0 BFADHN CNCc1nc(-c2ccc(C)cc2)cs1 ZINC000003710569 173394815 /nfs/dbraw/zinc/39/48/15/173394815.db2.gz SHDXPOCVHNGSIJ-UHFFFAOYSA-N 0 3 218.325 2.838 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1ccc(F)cc1F ZINC000310063774 173951867 /nfs/dbraw/zinc/95/18/67/173951867.db2.gz FZKAZQJKJHOGHY-SECBINFHSA-N 0 3 243.297 2.868 20 0 BFADHN COC1(CN[C@@H](C)c2cncc(C)c2)CCCC1 ZINC000297232677 174003927 /nfs/dbraw/zinc/00/39/27/174003927.db2.gz HYSIJEZXFQQCCQ-ZDUSSCGKSA-N 0 3 248.370 3.000 20 0 BFADHN COCC(C)(C)N(C)Cc1ccsc1 ZINC000292826332 174080212 /nfs/dbraw/zinc/08/02/12/174080212.db2.gz QPVJKQSTMRMLNA-UHFFFAOYSA-N 0 3 213.346 2.605 20 0 BFADHN COCC(C)(C)NCc1ccc(SC)s1 ZINC000309207121 174083097 /nfs/dbraw/zinc/08/30/97/174083097.db2.gz SHQUXRXUIGVGFO-UHFFFAOYSA-N 0 3 245.413 2.985 20 0 BFADHN COCC1(C)CCN([C@H](C)c2ccccn2)CC1 ZINC000358752393 174091807 /nfs/dbraw/zinc/09/18/07/174091807.db2.gz PLNWUEHXINRUCL-CYBMUJFWSA-N 0 3 248.370 2.891 20 0 BFADHN COCC1(CN[C@H](C)c2ccco2)CCC1 ZINC000282456800 174105367 /nfs/dbraw/zinc/10/53/67/174105367.db2.gz FTEPZLQBLKNQSD-LLVKDONJSA-N 0 3 223.316 2.747 20 0 BFADHN COCC1(NCc2cccc3cc[nH]c32)CCC1 ZINC000284085333 174109091 /nfs/dbraw/zinc/10/90/91/174109091.db2.gz RKAVBBDJZDJCAL-UHFFFAOYSA-N 0 3 244.338 2.827 20 0 BFADHN COCC1(NCc2cc(C)ccc2OC)CCC1 ZINC000341341905 174110060 /nfs/dbraw/zinc/11/00/60/174110060.db2.gz FCDJIAUNZNJLKM-UHFFFAOYSA-N 0 3 249.354 2.662 20 0 BFADHN COCC1=CCN(Cc2ccc(C)s2)CC1 ZINC000187617425 174118089 /nfs/dbraw/zinc/11/80/89/174118089.db2.gz ZUZJWJVNKDCKRV-UHFFFAOYSA-N 0 3 237.368 2.835 20 0 BFADHN COCC1CCN(Cc2ccsc2)CC1 ZINC000093103818 174120743 /nfs/dbraw/zinc/12/07/43/174120743.db2.gz HIMNZUMBXRKYQT-UHFFFAOYSA-N 0 3 225.357 2.607 20 0 BFADHN COCCC(C)(C)CN[C@H](C)c1nccs1 ZINC000135013926 174143061 /nfs/dbraw/zinc/14/30/61/174143061.db2.gz MSKFGAUZOUJOPU-SNVBAGLBSA-N 0 3 242.388 2.856 20 0 BFADHN COCCC(C)(C)CNCc1ccccc1F ZINC000230866394 174144304 /nfs/dbraw/zinc/14/43/04/174144304.db2.gz YLQWXIHFWBHGMT-UHFFFAOYSA-N 0 3 239.334 2.978 20 0 BFADHN COCCC(C)(C)NCc1ccc(Cl)o1 ZINC000309045888 174149105 /nfs/dbraw/zinc/14/91/05/174149105.db2.gz ONSPHNHUNHINDX-UHFFFAOYSA-N 0 3 231.723 2.838 20 0 BFADHN COCCC1(C)CN(Cc2cccc(C)c2)C1 ZINC000297307912 174152545 /nfs/dbraw/zinc/15/25/45/174152545.db2.gz CACBGNGLQYLVTE-UHFFFAOYSA-N 0 3 233.355 2.853 20 0 BFADHN COCCC1(CNCc2ccccc2F)CC1 ZINC000151189419 174156441 /nfs/dbraw/zinc/15/64/41/174156441.db2.gz DTJPGMYNBCRUEL-UHFFFAOYSA-N 0 3 237.318 2.732 20 0 BFADHN COCCCCCNCc1ccc(F)cc1F ZINC000119778661 174182294 /nfs/dbraw/zinc/18/22/94/174182294.db2.gz HVJYIDNVIMPZIA-UHFFFAOYSA-N 0 3 243.297 2.871 20 0 BFADHN COCCCCCNCc1ccccc1F ZINC000119780202 174183480 /nfs/dbraw/zinc/18/34/80/174183480.db2.gz OWKKRTYXRPJPFO-UHFFFAOYSA-N 0 3 225.307 2.732 20 0 BFADHN COCCCCN(C)[C@@H](C)c1ccccn1 ZINC000119781683 174187038 /nfs/dbraw/zinc/18/70/38/174187038.db2.gz JWJSYKFRZDVDDV-LBPRGKRZSA-N 0 3 222.332 2.501 20 0 BFADHN COCCCCN(C)[C@H](C)c1ccccn1 ZINC000119781825 174187460 /nfs/dbraw/zinc/18/74/60/174187460.db2.gz JWJSYKFRZDVDDV-GFCCVEGCSA-N 0 3 222.332 2.501 20 0 BFADHN COCCCCN(C)Cc1cc(C)ccc1F ZINC000352420853 174188803 /nfs/dbraw/zinc/18/88/03/174188803.db2.gz HPPKPYIZGDLXGA-UHFFFAOYSA-N 0 3 239.334 2.993 20 0 BFADHN COCCCCN1CCC[C@@H]1c1cccnc1 ZINC000336677336 174191353 /nfs/dbraw/zinc/19/13/53/174191353.db2.gz AZUUAHDPNAXFRX-CQSZACIVSA-N 0 3 234.343 2.645 20 0 BFADHN COCCCCN1CCC[C@H]1c1cccnc1 ZINC000336677325 174191576 /nfs/dbraw/zinc/19/15/76/174191576.db2.gz AZUUAHDPNAXFRX-AWEZNQCLSA-N 0 3 234.343 2.645 20 0 BFADHN COCCCN[C@H](C)c1cc(C)c(C)o1 ZINC000282424576 174250271 /nfs/dbraw/zinc/25/02/71/174250271.db2.gz YSZWMCHZPICFQD-SNVBAGLBSA-N 0 3 211.305 2.584 20 0 BFADHN COCCCN[C@H](c1ccc(F)cn1)C(C)C ZINC000353342478 174251362 /nfs/dbraw/zinc/25/13/62/174251362.db2.gz AAMMWODZRIVKNW-ZDUSSCGKSA-N 0 3 240.322 2.544 20 0 BFADHN COCCCNc1ccnc2ccccc21 ZINC000041720459 174251841 /nfs/dbraw/zinc/25/18/41/174251841.db2.gz OGTWDEDCAZGWPE-UHFFFAOYSA-N 0 3 216.284 2.683 20 0 BFADHN COCCCOc1cccc(CN(C)C2CC2)c1 ZINC000153799454 174256911 /nfs/dbraw/zinc/25/69/11/174256911.db2.gz BLHYPNBOKXPXAE-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN COCCC[C@H](C)N[C@@H](C)c1ccccn1 ZINC000178126990 174263996 /nfs/dbraw/zinc/26/39/96/174263996.db2.gz QKCDJYXGNFCTDO-RYUDHWBXSA-N 0 3 222.332 2.547 20 0 BFADHN COCCN(C)CCc1ccc(F)cc1Cl ZINC000356055857 174279096 /nfs/dbraw/zinc/27/90/96/174279096.db2.gz MBFGBPRZSMNXRJ-UHFFFAOYSA-N 0 3 245.725 2.600 20 0 BFADHN COCCN(C)Cc1cc2ccccc2s1 ZINC000127119197 174281556 /nfs/dbraw/zinc/28/15/56/174281556.db2.gz YALCQIDWFPQIOF-UHFFFAOYSA-N 0 3 235.352 2.980 20 0 BFADHN COCCN(CCCF)CCc1ccccc1 ZINC000268921588 174290266 /nfs/dbraw/zinc/29/02/66/174290266.db2.gz PBTAFHIVGCKFJE-UHFFFAOYSA-N 0 3 239.334 2.537 20 0 BFADHN COCCN(Cc1ccc(C)o1)C1CCCC1 ZINC000092561341 174298676 /nfs/dbraw/zinc/29/86/76/174298676.db2.gz XTQBTZVKSQKUPC-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN COCCN(Cc1ccccn1)[C@@H]1CC[C@H](C)C1 ZINC000276797132 174300225 /nfs/dbraw/zinc/30/02/25/174300225.db2.gz LTNQCUOJPHPRKP-DZGCQCFKSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN(Cc1ccncc1)[C@H]1CC[C@@H](C)C1 ZINC000361641073 174300334 /nfs/dbraw/zinc/30/03/34/174300334.db2.gz XLLHRMTWJSZBJO-HIFRSBDPSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN(Cc1occc1C)CC(C)C ZINC000092563789 174302381 /nfs/dbraw/zinc/30/23/81/174302381.db2.gz OIJRLAONBCHUQZ-UHFFFAOYSA-N 0 3 225.332 2.692 20 0 BFADHN COCCN1CCC(c2ccccc2C)CC1 ZINC000170953687 174305198 /nfs/dbraw/zinc/30/51/98/174305198.db2.gz CWARKNZYPVGLDN-UHFFFAOYSA-N 0 3 233.355 2.821 20 0 BFADHN COCCN[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000104703550 174337685 /nfs/dbraw/zinc/33/76/85/174337685.db2.gz RSPOKZOOWLUVPB-CQSZACIVSA-N 0 3 237.318 2.903 20 0 BFADHN COCCN[C@@H]1CC(C)(C)c2cc(OC)ccc21 ZINC000353273194 174337793 /nfs/dbraw/zinc/33/77/93/174337793.db2.gz PYAODDGUQQWDQT-CQSZACIVSA-N 0 3 249.354 2.654 20 0 BFADHN COCCN[C@@H](C)c1cccc(C)c1C ZINC000152103471 174338071 /nfs/dbraw/zinc/33/80/71/174338071.db2.gz BLSUDPHCPYEHRB-LBPRGKRZSA-N 0 3 207.317 2.600 20 0 BFADHN COCCN[C@@H](c1ccc(C)o1)C1CCC1 ZINC000336760920 174338230 /nfs/dbraw/zinc/33/82/30/174338230.db2.gz KBNVWVCCQMIQOU-CYBMUJFWSA-N 0 3 223.316 2.665 20 0 BFADHN COCCN[C@@]1(c2ccc(Cl)cc2)C[C@H]1C ZINC000336723309 174339329 /nfs/dbraw/zinc/33/93/29/174339329.db2.gz AQKSNGQEYGWCBH-MFKMUULPSA-N 0 3 239.746 2.811 20 0 BFADHN COCCN[C@H](CC(F)(F)F)c1ccccc1 ZINC000037186444 174339929 /nfs/dbraw/zinc/33/99/29/174339929.db2.gz BLMWYCNOEZPYGI-LLVKDONJSA-N 0 3 247.260 2.916 20 0 BFADHN COCCN[C@H](c1ccc(F)cc1)C1CC1 ZINC000037186430 174340058 /nfs/dbraw/zinc/34/00/58/174340058.db2.gz CVTGNHBDEGMNBR-ZDUSSCGKSA-N 0 3 223.291 2.513 20 0 BFADHN COCCN[C@H](C)c1ccc(Cl)s1 ZINC000035232623 174340444 /nfs/dbraw/zinc/34/04/44/174340444.db2.gz KGBGKYNDDLPEIM-SSDOTTSWSA-N 0 3 219.737 2.699 20 0 BFADHN Cc1cccc2[nH]c(CN(C)[C@@H](C)CCO)cc21 ZINC000668291673 487628376 /nfs/dbraw/zinc/62/83/76/487628376.db2.gz SETODDLIGQPACO-LBPRGKRZSA-N 0 3 246.354 2.679 20 0 BFADHN COCCOc1ccccc1CN[C@@H]1CC[C@H]1C ZINC000353123028 174370230 /nfs/dbraw/zinc/37/02/30/174370230.db2.gz WMAHIKRLLMZMQQ-TZMCWYRMSA-N 0 3 249.354 2.600 20 0 BFADHN COCC[C@@H](NC1CCCC1)c1ccco1 ZINC000272592755 174393597 /nfs/dbraw/zinc/39/35/97/174393597.db2.gz SESIWYJWSNOEEH-GFCCVEGCSA-N 0 3 223.316 2.889 20 0 BFADHN COCC[C@@H](NCCCSC)c1ccco1 ZINC000273325087 174394245 /nfs/dbraw/zinc/39/42/45/174394245.db2.gz XPQZJRRXUYSPCY-LLVKDONJSA-N 0 3 243.372 2.700 20 0 BFADHN COC[C@@H]1CCN(Cc2ccc([C@@H]3C[C@@H]3C)o2)C1 ZINC000271199883 174507261 /nfs/dbraw/zinc/50/72/61/174507261.db2.gz TWLMKXRSQDTJQF-OUCADQQQSA-N 0 3 249.354 2.871 20 0 BFADHN CCc1nn(C)cc1CN1CC[C@H](C)C(C)(C)C1 ZINC000680651487 487628856 /nfs/dbraw/zinc/62/88/56/487628856.db2.gz WACSHZDSTJFCFY-LBPRGKRZSA-N 0 3 249.402 2.851 20 0 BFADHN CCCn1nccc1CN1CCC[C@H](C)[C@H]1C ZINC000667568187 487628923 /nfs/dbraw/zinc/62/89/23/487628923.db2.gz WJOVCTHDOOXAOD-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN CO[C@@H](C)CCNCc1ncc(C(C)C)s1 ZINC000336775151 174690570 /nfs/dbraw/zinc/69/05/70/174690570.db2.gz YEYYPLNSPVDIPN-JTQLQIEISA-N 0 3 242.388 2.781 20 0 BFADHN CO[C@@H](C)CN[C@@H](c1nc(C)cs1)C1CC1 ZINC000183528957 174705518 /nfs/dbraw/zinc/70/55/18/174705518.db2.gz HHYXCCSJCLWMPG-GXSJLCMTSA-N 0 3 240.372 2.527 20 0 BFADHN CO[C@@H]1CCCC[C@H]1NCc1ccc(C)o1 ZINC000053036674 174742371 /nfs/dbraw/zinc/74/23/71/174742371.db2.gz AWRNADZVUXQHII-CHWSQXEVSA-N 0 3 223.316 2.635 20 0 BFADHN CO[C@@H]1[C@H](C)[C@H](N[C@H](C)c2ccco2)C1(C)C ZINC000271878025 174785059 /nfs/dbraw/zinc/78/50/59/174785059.db2.gz OQHYERRXZRVCKE-VCDKRKBESA-N 0 3 237.343 2.990 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H]1CCCc2ccc(O)cc21 ZINC000291098221 174844754 /nfs/dbraw/zinc/84/47/54/174844754.db2.gz DKYVPLKZBPJFAW-UEKVPHQBSA-N 0 3 249.354 2.783 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccc(C)o1)C1CC1 ZINC000293793344 174869743 /nfs/dbraw/zinc/86/97/43/174869743.db2.gz UMGRACSXXNWSNI-ZWNOBZJWSA-N 0 3 223.316 2.664 20 0 BFADHN CO[C@]1(C)C[C@H](N[C@@H](C)c2cccnc2)C1(C)C ZINC000173212436 174916160 /nfs/dbraw/zinc/91/61/60/174916160.db2.gz BCDSXWKOLGQWOP-CORIIIEPSA-N 0 3 248.370 2.936 20 0 BFADHN COc1cc(C)c(CN(C)CC2CCC2)c(C)n1 ZINC000359223883 174957490 /nfs/dbraw/zinc/95/74/90/174957490.db2.gz KBJRMIIBXYEPFS-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN COc1cc([C@@H](C)NCCC(C)C)c(F)cn1 ZINC000286889499 175014636 /nfs/dbraw/zinc/01/46/36/175014636.db2.gz IWBHTAZAWPCIAW-SNVBAGLBSA-N 0 3 240.322 2.926 20 0 BFADHN COc1cc2c(cc1CNCC1CC1)O[C@@H](C)C2 ZINC000098012845 175021804 /nfs/dbraw/zinc/02/18/04/175021804.db2.gz WLFDMENMNSMEGD-JTQLQIEISA-N 0 3 247.338 2.518 20 0 BFADHN COc1ccc(C)cc1CN[C@@H](C)[C@H]1CCCO1 ZINC000035250299 175075711 /nfs/dbraw/zinc/07/57/11/175075711.db2.gz XIYJLHHMMADQEA-GXTWGEPZSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@@H]1CCO[C@@H]1C ZINC000119917356 175080918 /nfs/dbraw/zinc/08/09/18/175080918.db2.gz AHYAKYJCRDITKC-OUCADQQQSA-N 0 3 249.354 2.832 20 0 BFADHN COc1ccc(CN(C)C2CC2)cc1Cl ZINC000179247267 175094726 /nfs/dbraw/zinc/09/47/26/175094726.db2.gz HEHGMUFHAIFEAI-UHFFFAOYSA-N 0 3 225.719 2.943 20 0 BFADHN COc1ccc(CN(C)[C@@H](C)C2CCC2)nc1 ZINC000338281111 175096424 /nfs/dbraw/zinc/09/64/24/175096424.db2.gz FKNWVGLKBVFAMT-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc(CN(CC(C)C)C2CC2)cn1 ZINC000267985002 175096917 /nfs/dbraw/zinc/09/69/17/175096917.db2.gz YVOVHEJOQDDBMM-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc(CN2CC3(CCC3)C[C@@H]2C)cn1 ZINC000365445035 175098321 /nfs/dbraw/zinc/09/83/21/175098321.db2.gz UWBSGIFUXJXTOI-LBPRGKRZSA-N 0 3 246.354 2.855 20 0 BFADHN COc1ccc(CN2CCC[C@H](C)C2)cc1O ZINC000131927573 175100641 /nfs/dbraw/zinc/10/06/41/175100641.db2.gz QALHRPRDMHIJSG-NSHDSACASA-N 0 3 235.327 2.633 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)[C@@H](C)C2)cn1 ZINC000123061285 175101269 /nfs/dbraw/zinc/10/12/69/175101269.db2.gz ZPTIPZNMPXSANF-NEPJUHHUSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C[C@@H](C)C2)nc1 ZINC000338275371 175101472 /nfs/dbraw/zinc/10/14/72/175101472.db2.gz LPUTYDJKUQZRCQ-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C2)cc1F ZINC000337701421 175101756 /nfs/dbraw/zinc/10/17/56/175101756.db2.gz WVEPBIKEHOFJGZ-SNVBAGLBSA-N 0 3 223.291 2.676 20 0 BFADHN COc1ccc(CN2CC[C@@H](CC3CC3)C2)nc1 ZINC000339675153 175102589 /nfs/dbraw/zinc/10/25/89/175102589.db2.gz CKVPPEYDTKZQTG-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ccc(CNC(C)(C)CF)cc1 ZINC000336729172 175105576 /nfs/dbraw/zinc/10/55/76/175105576.db2.gz ITSZOAMNENVCLN-UHFFFAOYSA-N 0 3 211.280 2.533 20 0 BFADHN COc1ccc(CNCCCCF)c(OC)c1 ZINC000310247137 175106810 /nfs/dbraw/zinc/10/68/10/175106810.db2.gz VFPZSVIMFJMDKO-UHFFFAOYSA-N 0 3 241.306 2.543 20 0 BFADHN COc1ccc(CN[C@H]2CCC2(C)C)c(OC)c1 ZINC000284381296 175112068 /nfs/dbraw/zinc/11/20/68/175112068.db2.gz OTNQSUNCIVPHCF-AWEZNQCLSA-N 0 3 249.354 2.982 20 0 BFADHN COc1ccc(C[C@@H](C)N[C@H](C)CF)cc1 ZINC000290245930 175116041 /nfs/dbraw/zinc/11/60/41/175116041.db2.gz VEHKFOXTDYOXDL-GHMZBOCLSA-N 0 3 225.307 2.574 20 0 BFADHN COc1ccc(F)c(CN[C@H](C)C2CC2)c1 ZINC000294341704 175125927 /nfs/dbraw/zinc/12/59/27/175125927.db2.gz YYRFSFHDXRIWFD-SECBINFHSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)c(CN[C@@H]2CC[C@@H]2C)c1 ZINC000339400382 175126074 /nfs/dbraw/zinc/12/60/74/175126074.db2.gz NOGSDXKNXWGUQH-TVQRCGJNSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)cc1CNC[C@@H]1C[C@H]1C ZINC000276847961 175129578 /nfs/dbraw/zinc/12/95/78/175129578.db2.gz CUBKKFMGZAZHAG-ZJUUUORDSA-N 0 3 223.291 2.580 20 0 BFADHN COc1ccc(F)cc1CN[C@H](C)CSC ZINC000291236991 175130098 /nfs/dbraw/zinc/13/00/98/175130098.db2.gz QIKOMMAJIVDQGL-SECBINFHSA-N 0 3 243.347 2.675 20 0 BFADHN COc1ccc(F)cc1CN[C@@H]1CC1(C)C ZINC000309206000 175130119 /nfs/dbraw/zinc/13/01/19/175130119.db2.gz CJOTUMTWCSKMQC-GFCCVEGCSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)cc1CNCCC(C)(F)F ZINC000294298376 175130777 /nfs/dbraw/zinc/13/07/77/175130777.db2.gz UUADLOFEEFKWBP-UHFFFAOYSA-N 0 3 247.260 2.969 20 0 BFADHN COc1ccc(F)cc1[C@@H](C)NCC1CC1 ZINC000037089990 175132335 /nfs/dbraw/zinc/13/23/35/175132335.db2.gz ORQKAUYNVFKQGJ-SECBINFHSA-N 0 3 223.291 2.895 20 0 BFADHN COc1ccc(OC)c(CNCCC2(C)CC2)c1 ZINC000355146244 175165048 /nfs/dbraw/zinc/16/50/48/175165048.db2.gz BNSAPCXTRABHJO-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN COc1ccc(OC)c(CN(C)CC2CCC2)c1 ZINC000111296611 175165179 /nfs/dbraw/zinc/16/51/79/175165179.db2.gz PTLUALJKOCWFPQ-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN COc1ccc(OC)c([C@@H](C)NCC2CC2)c1 ZINC000019884876 175167041 /nfs/dbraw/zinc/16/70/41/175167041.db2.gz VSNVPHIKEVMPGP-SNVBAGLBSA-N 0 3 235.327 2.764 20 0 BFADHN COc1ccc(OC)c([C@@H](C)NC2CC2)c1 ZINC000021964102 175167187 /nfs/dbraw/zinc/16/71/87/175167187.db2.gz XAEIMFAAFBVICY-SECBINFHSA-N 0 3 221.300 2.517 20 0 BFADHN COc1ccc(OC)c([C@H](C)NCCCF)c1 ZINC000136283406 175169269 /nfs/dbraw/zinc/16/92/69/175169269.db2.gz FAQAYJNMNZLZAK-JTQLQIEISA-N 0 3 241.306 2.714 20 0 BFADHN COc1ccc([C@@H](C)N[C@@H]2CC2(C)C)cc1O ZINC000290836349 175176951 /nfs/dbraw/zinc/17/69/51/175176951.db2.gz YCHBZZLBMSKPIG-NOZJJQNGSA-N 0 3 235.327 2.850 20 0 BFADHN COc1ccc([C@H](C)NCCOCC2CC2)cc1 ZINC000223392066 175188075 /nfs/dbraw/zinc/18/80/75/175188075.db2.gz OJHHTTJGGIQWJV-LBPRGKRZSA-N 0 3 249.354 2.772 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2C[C@H](O)C2(C)C)cc1 ZINC000129370240 175190109 /nfs/dbraw/zinc/19/01/09/175190109.db2.gz NQXXJJOTQXHGLP-BPNCWPANSA-N 0 3 249.354 2.505 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2CCO[C@@H]2C)cc1 ZINC000120686568 175190223 /nfs/dbraw/zinc/19/02/23/175190223.db2.gz QHXBXLLBMZCERY-WDMOLILDSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccc2c(c1)CN(CC(C)C)CC2 ZINC000336688898 175210082 /nfs/dbraw/zinc/21/00/82/175210082.db2.gz YZOPGWMALAQRMF-UHFFFAOYSA-N 0 3 219.328 2.709 20 0 BFADHN COc1cccc(C2(N[C@@H](C)[C@H](C)OC)CC2)c1 ZINC000272202028 175248586 /nfs/dbraw/zinc/24/85/86/175248586.db2.gz JCRWARUTSJUXDH-RYUDHWBXSA-N 0 3 249.354 2.697 20 0 BFADHN COc1cccc(CCNCc2ccc(C)o2)c1 ZINC000060998554 175252719 /nfs/dbraw/zinc/25/27/19/175252719.db2.gz GDBRIZLNPBCFPN-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN COc1cccc(CN(C)CCC2CC2)c1OC ZINC000173507096 175255139 /nfs/dbraw/zinc/25/51/39/175255139.db2.gz HMCUOFUNNHQBJY-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN COc1cccc(CN(C)C[C@@H]2CCCCO2)c1 ZINC000042976404 175255416 /nfs/dbraw/zinc/25/54/16/175255416.db2.gz FXUNQJHSIQHBDK-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN COc1cccc(CN2CCCC(C)(C)C2)n1 ZINC000353276703 175256521 /nfs/dbraw/zinc/25/65/21/175256521.db2.gz VDICAGATZIXENN-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cccc(CN2CCC[C@@H](C3CC3)C2)n1 ZINC000289421586 175257898 /nfs/dbraw/zinc/25/78/98/175257898.db2.gz MTZAXYYNOQJENC-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cccc(CN2CC[C@@H](SC)C2)c1 ZINC000295027010 175260209 /nfs/dbraw/zinc/26/02/09/175260209.db2.gz LFLWOUJKDDLWMP-CYBMUJFWSA-N 0 3 237.368 2.633 20 0 BFADHN COc1cccc(CN2CC[C@H](C)C[C@@H](C)C2)n1 ZINC000361554368 175260546 /nfs/dbraw/zinc/26/05/46/175260546.db2.gz SSLMJFXTIJDFAX-QWHCGFSZSA-N 0 3 248.370 2.958 20 0 BFADHN COc1cccc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)n1 ZINC000355782141 175261624 /nfs/dbraw/zinc/26/16/24/175261624.db2.gz DPUVAYHPIPYGTA-AGIUHOORSA-N 0 3 248.370 2.957 20 0 BFADHN COc1cccc(CNCC=C(C)C)c1 ZINC000219226123 175262996 /nfs/dbraw/zinc/26/29/96/175262996.db2.gz YRQMEZDFAFRHGG-UHFFFAOYSA-N 0 3 205.301 2.751 20 0 BFADHN COc1cccc(CNCCC2=CCCC2)n1 ZINC000191473974 175263302 /nfs/dbraw/zinc/26/33/02/175263302.db2.gz GFMUGGRGZPMENU-UHFFFAOYSA-N 0 3 232.327 2.680 20 0 BFADHN COc1cccc(CNCc2cnccc2C)c1 ZINC000135451390 175265309 /nfs/dbraw/zinc/26/53/09/175265309.db2.gz MCEJIRIAGPOMNR-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN COc1cccc(CN[C@@H]2CCC[C@@H]2F)c1 ZINC000339584833 175266372 /nfs/dbraw/zinc/26/63/72/175266372.db2.gz QKLJKSKMIOSHTG-QWHCGFSZSA-N 0 3 223.291 2.675 20 0 BFADHN COc1cccc(CN[C@H]2CCC2(C)C)c1OC ZINC000284372729 175267641 /nfs/dbraw/zinc/26/76/41/175267641.db2.gz OIIRTEJIDLIMQF-ZDUSSCGKSA-N 0 3 249.354 2.982 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1CC=CCC1 ZINC000352749105 175281627 /nfs/dbraw/zinc/28/16/27/175281627.db2.gz LQFPFJHQDLAUHI-GFCCVEGCSA-N 0 3 247.338 2.902 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1CC[C@H](C)C1 ZINC000352735083 175282023 /nfs/dbraw/zinc/28/20/23/175282023.db2.gz ANQLPGIXZZWBFG-NWDGAFQWSA-N 0 3 249.354 2.982 20 0 BFADHN COc1cccc(OC)c1CN[C@H]1CC[C@@H]1C ZINC000353140458 175282063 /nfs/dbraw/zinc/28/20/63/175282063.db2.gz PMLCFYJHULUCDK-JQWIXIFHSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc([C@@H](C)N(C)C[C@@H]2CCCO2)c1 ZINC000123028589 175287073 /nfs/dbraw/zinc/28/70/73/175287073.db2.gz ZBBRTQRKURLNPF-DOMZBBRYSA-N 0 3 249.354 2.867 20 0 BFADHN COc1cccc([C@H](C)NC[C@H]2CC[C@H](C)O2)c1 ZINC000128311246 175293441 /nfs/dbraw/zinc/29/34/41/175293441.db2.gz SNKFZSPEVXICEB-SLEUVZQESA-N 0 3 249.354 2.913 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2C[C@H](O)C2(C)C)c1 ZINC000129328619 175293767 /nfs/dbraw/zinc/29/37/67/175293767.db2.gz NGBUDVRRPSMDBK-GDLCADMTSA-N 0 3 249.354 2.505 20 0 BFADHN COc1ccccc1CCNCc1occc1C ZINC000230635589 175323298 /nfs/dbraw/zinc/32/32/98/175323298.db2.gz ONINPZIZJMYRBM-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN COc1ccccc1CN(C)CC(C)C ZINC000053906455 175324337 /nfs/dbraw/zinc/32/43/37/175324337.db2.gz LTIPPVIWMAUCFZ-UHFFFAOYSA-N 0 3 207.317 2.783 20 0 BFADHN COc1ccccc1CN1CC[C@@H](C)[C@@H](OC)C1 ZINC000188314635 175327928 /nfs/dbraw/zinc/32/79/28/175327928.db2.gz PSPBIEFGRDAPBI-DOMZBBRYSA-N 0 3 249.354 2.552 20 0 BFADHN COc1ccccc1CN1CC[C@H](OC)C[C@@H]1C ZINC000282484108 175328131 /nfs/dbraw/zinc/32/81/31/175328131.db2.gz XCRNBBRTEFCDSN-JSGCOSHPSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccccc1CN1CC[C@@H](OC)C[C@H]1C ZINC000282484112 175328291 /nfs/dbraw/zinc/32/82/91/175328291.db2.gz XCRNBBRTEFCDSN-TZMCWYRMSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccccc1CN[C@@H]1CC[C@H]1C ZINC000308790128 175330203 /nfs/dbraw/zinc/33/02/03/175330203.db2.gz HBTHVMTZOLFGGJ-ZYHUDNBSSA-N 0 3 205.301 2.583 20 0 BFADHN COc1ccccc1C[C@@H](NCCF)C(C)C ZINC000273322534 175333041 /nfs/dbraw/zinc/33/30/41/175333041.db2.gz XAPRSQCXNHRTBD-CYBMUJFWSA-N 0 3 239.334 2.821 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@](C)(O)C1CC1 ZINC000336796353 175344512 /nfs/dbraw/zinc/34/45/12/175344512.db2.gz RVZJVBAKFPYXHU-ABAIWWIYSA-N 0 3 249.354 2.507 20 0 BFADHN COc1ccccc1[C@@H](C)NCCC[C@H](C)O ZINC000228043893 175345428 /nfs/dbraw/zinc/34/54/28/175345428.db2.gz CFCNVQACHPEFSV-NWDGAFQWSA-N 0 3 237.343 2.507 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H](C)CCCO ZINC000094941564 175352089 /nfs/dbraw/zinc/35/20/89/175352089.db2.gz RWUCGBGTCLXPQS-NEPJUHHUSA-N 0 3 237.343 2.507 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1CCCOC1 ZINC000109543782 175352200 /nfs/dbraw/zinc/35/22/00/175352200.db2.gz BBPPYHKBPFJJGD-NWDGAFQWSA-N 0 3 235.327 2.525 20 0 BFADHN COc1ccncc1CN[C@@H]1CCC[C@H]2C[C@]21C ZINC000294127368 175371127 /nfs/dbraw/zinc/37/11/27/175371127.db2.gz LCMLGDBGTDQYCL-NWANDNLSSA-N 0 3 246.354 2.759 20 0 BFADHN COc1ccncc1CN[C@@H]1CCC[C@H](C)C1 ZINC000292723587 175371542 /nfs/dbraw/zinc/37/15/42/175371542.db2.gz PMDSZEIVPAVNFS-WCQYABFASA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccncc1CN[C@@H]1CCC(C)(C)C1 ZINC000294463382 175371824 /nfs/dbraw/zinc/37/18/24/175371824.db2.gz WHBIUGFSYBCIML-GFCCVEGCSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccncc1CN[C@@H](C)CC(C)C ZINC000292812695 175372199 /nfs/dbraw/zinc/37/21/99/175372199.db2.gz WMVDDIPJGXONHH-NSHDSACASA-N 0 3 222.332 2.614 20 0 BFADHN COc1ccncc1CN[C@H]1CCC[C@H]1C1CC1 ZINC000340402047 175373597 /nfs/dbraw/zinc/37/35/97/175373597.db2.gz BEGBMZSVMOUKME-KBPBESRZSA-N 0 3 246.354 2.759 20 0 BFADHN COc1ccsc1[C@H](C)NCCOC(C)C ZINC000186286398 175379468 /nfs/dbraw/zinc/37/94/68/175379468.db2.gz SVCPOPTWSSGXIF-JTQLQIEISA-N 0 3 243.372 2.832 20 0 BFADHN COc1ccsc1CN[C@H]1CSC[C@H]1C ZINC000308936052 175379670 /nfs/dbraw/zinc/37/96/70/175379670.db2.gz KVUWAHFIFILKOS-BDAKNGLRSA-N 0 3 243.397 2.598 20 0 BFADHN COc1ccsc1CN[C@H]1CC[C@@H](F)C1 ZINC000308967886 175379808 /nfs/dbraw/zinc/37/98/08/175379808.db2.gz XCMHPDOEJRUTCU-BDAKNGLRSA-N 0 3 229.320 2.737 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@@H](C)[C@@H](C)OC ZINC000276108057 175379845 /nfs/dbraw/zinc/37/98/45/175379845.db2.gz HGZAICPSJWCVNQ-IVZWLZJFSA-N 0 3 243.372 2.831 20 0 BFADHN COc1ccsc1[C@@H](C)NCC(C)(C)OC ZINC000309932403 175379913 /nfs/dbraw/zinc/37/99/13/175379913.db2.gz YOHKPBJBUQIMNM-SECBINFHSA-N 0 3 243.372 2.832 20 0 BFADHN COc1ccsc1[C@H](C)N[C@@H](C)[C@H](C)OC ZINC000276108054 175379959 /nfs/dbraw/zinc/37/99/59/175379959.db2.gz HGZAICPSJWCVNQ-GUBZILKMSA-N 0 3 243.372 2.831 20 0 BFADHN COc1cncc(CN2CC3(CCC3)C[C@H]2C)c1 ZINC000336580778 175384383 /nfs/dbraw/zinc/38/43/83/175384383.db2.gz HLYDZBUWRJPBBI-GFCCVEGCSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cncc(CN2CCCC23CCC3)c1 ZINC000336524056 175384407 /nfs/dbraw/zinc/38/44/07/175384407.db2.gz XUMHULNWXIJFNW-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN CSC[C@H](C)NCc1scnc1C1CC1 ZINC000309072975 175523964 /nfs/dbraw/zinc/52/39/64/175523964.db2.gz GWEVMDHNSLGJQY-QMMMGPOBSA-N 0 3 242.413 2.862 20 0 BFADHN C[C@@H](CN(C)C)NC(=O)CCCCC(C)(C)C ZINC000346138725 175696788 /nfs/dbraw/zinc/69/67/88/175696788.db2.gz NSXCVZXLCZVWSK-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@H](Cc1ccoc1)NCc1ccco1 ZINC000336773845 175776599 /nfs/dbraw/zinc/77/65/99/175776599.db2.gz ZHCZSLZDGPNEOR-JTQLQIEISA-N 0 3 205.257 2.593 20 0 BFADHN C[C@@H](O)c1ncc(CN(C)C2CCCC2)s1 ZINC000289133026 176000413 /nfs/dbraw/zinc/00/04/13/176000413.db2.gz OSKWXZPBQSJOJK-SECBINFHSA-N 0 3 240.372 2.571 20 0 BFADHN C[C@@H](c1cccc(Cl)c1)N(C)CCCO ZINC000052162372 176016698 /nfs/dbraw/zinc/01/66/98/176016698.db2.gz TVOHLRVQZHALIH-JTQLQIEISA-N 0 3 227.735 2.715 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1C[C@@H](O)C(C)(C)C1 ZINC000279669068 176017446 /nfs/dbraw/zinc/01/74/46/176017446.db2.gz DGTLXPDWLCUVFE-GXFFZTMASA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)CCOC1CCC1 ZINC000287725996 176018810 /nfs/dbraw/zinc/01/88/10/176018810.db2.gz KHHRATGDDQHZPS-LBPRGKRZSA-N 0 3 249.354 2.954 20 0 BFADHN C[C@@H](c1ccccc1)N(C)C[C@@H]1CCCO1 ZINC000102676364 176021148 /nfs/dbraw/zinc/02/11/48/176021148.db2.gz KKHSEUKCTQNRBY-JSGCOSHPSA-N 0 3 219.328 2.858 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)C[C@H]1CCOC1 ZINC000249725764 176024876 /nfs/dbraw/zinc/02/48/76/176024876.db2.gz PSBMMEKGLGLKMN-NWDGAFQWSA-N 0 3 237.318 2.855 20 0 BFADHN C[C@@H](c1ccccc1F)N1CCC(CO)CC1 ZINC000051854046 176025080 /nfs/dbraw/zinc/02/50/80/176025080.db2.gz WUPYXWUOSWZILE-NSHDSACASA-N 0 3 237.318 2.591 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCCCCCO ZINC000093236218 176029321 /nfs/dbraw/zinc/02/93/21/176029321.db2.gz ADKWQCCBRGDLGT-ZDUSSCGKSA-N 0 3 236.359 2.627 20 0 BFADHN C[C@@H](c1ccncc1)N(C)C[C@H]1CC[C@@H](C)O1 ZINC000188565579 176035031 /nfs/dbraw/zinc/03/50/31/176035031.db2.gz VZGPFFKYALUGAI-MBNYWOFBSA-N 0 3 234.343 2.642 20 0 BFADHN C[C@@H](NC1C[C@@H](C)O[C@H](C)C1)c1ccccn1 ZINC000123136999 176055449 /nfs/dbraw/zinc/05/54/49/176055449.db2.gz PYDHUZPPFMEPOH-IJLUTSLNSA-N 0 3 234.343 2.688 20 0 BFADHN C[C@@H]1CC(N[C@@H]2COc3ccccc32)C[C@@H](C)O1 ZINC000123065937 176055495 /nfs/dbraw/zinc/05/54/95/176055495.db2.gz JQVAQJKEEGHPGC-JTNHKYCSSA-N 0 3 247.338 2.666 20 0 BFADHN C[C@@H]1CCCC[C@@H]1OCCNCc1cccnc1 ZINC000048329490 176082571 /nfs/dbraw/zinc/08/25/71/176082571.db2.gz KSLWNLARAYJSBL-HIFRSBDPSA-N 0 3 248.370 2.767 20 0 BFADHN C[C@@H]1CCCC[C@@]1(C)NCc1cnns1 ZINC000308688766 176083392 /nfs/dbraw/zinc/08/33/92/176083392.db2.gz CIQIMSHRGLSFPF-MWLCHTKSSA-N 0 3 225.361 2.597 20 0 BFADHN C[C@@H]1CCCN(Cc2ccncc2F)[C@@H]1C ZINC000336227406 176102254 /nfs/dbraw/zinc/10/22/54/176102254.db2.gz BUMAHIWTVCCOBK-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CCCN1Cc1cnn(-c2ccccc2)c1 ZINC000076117861 176109939 /nfs/dbraw/zinc/10/99/39/176109939.db2.gz JQVUVSCOISGIPV-CYBMUJFWSA-N 0 3 241.338 2.857 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N(CC(=O)c2cccn2C)C1 ZINC000125385654 176114219 /nfs/dbraw/zinc/11/42/19/176114219.db2.gz UOWPZJFRIGRYHS-CHWSQXEVSA-N 0 3 248.370 2.718 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N(CC(=O)OC(C)(C)C)C1 ZINC000357718290 176114900 /nfs/dbraw/zinc/11/49/00/176114900.db2.gz VLINEGQSDIMSNU-VXGBXAGGSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1Cc1cccc(C(N)=O)c1 ZINC000104078626 176116312 /nfs/dbraw/zinc/11/63/12/176116312.db2.gz JTXVMHXIUITRNF-VXGBXAGGSA-N 0 3 246.354 2.548 20 0 BFADHN C[C@@H]1CCC[C@@H](CCN2CCO[C@H](C)C2)C1 ZINC000339588174 176117018 /nfs/dbraw/zinc/11/70/18/176117018.db2.gz VQTZONGJDCOZBS-MCIONIFRSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCOCC23CC3)C1 ZINC000338597498 176117620 /nfs/dbraw/zinc/11/76/20/176117620.db2.gz LIPDRXFSPULDSQ-CHWSQXEVSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@@H]1CCC[C@@H](CN(C)Cc2cnccn2)C1 ZINC000092799714 176117910 /nfs/dbraw/zinc/11/79/10/176117910.db2.gz YDXRQMHARXWOMQ-CHWSQXEVSA-N 0 3 233.359 2.735 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCOC[C@@H]2C2CC2)C1 ZINC000357013024 176118065 /nfs/dbraw/zinc/11/80/65/176118065.db2.gz WPLRRPOMOZOINE-UMVBOHGHSA-N 0 3 237.387 2.924 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1nc(-c2ccoc2)no1 ZINC000331372340 176132143 /nfs/dbraw/zinc/13/21/43/176132143.db2.gz BLZBOCZXKPWRCM-KOLCDFICSA-N 0 3 247.298 2.608 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCOC[C@H]2C2CC2)C1 ZINC000357013022 176137473 /nfs/dbraw/zinc/13/74/73/176137473.db2.gz WPLRRPOMOZOINE-IPYPFGDCSA-N 0 3 237.387 2.924 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2nccs2)C1 ZINC000040444056 176143953 /nfs/dbraw/zinc/14/39/53/176143953.db2.gz JYHCECGXDUPDOM-ZJUUUORDSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2nccn2C(F)F)C1 ZINC000037255059 176144104 /nfs/dbraw/zinc/14/41/04/176144104.db2.gz JWOBNNIEVAWODB-ZJUUUORDSA-N 0 3 243.301 2.947 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H](C)C1)c1cnccn1 ZINC000226964807 176144790 /nfs/dbraw/zinc/14/47/90/176144790.db2.gz OZTUQZKMHQXHCU-UTUOFQBUSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@@H]1CCC[C@H](N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000358312646 176145335 /nfs/dbraw/zinc/14/53/35/176145335.db2.gz BWAGAYLTOIGOBM-KGYLQXTDSA-N 0 3 233.359 2.955 20 0 BFADHN C[C@@H]1CCC[C@H]1NCc1nccn1C(F)F ZINC000070831331 176150335 /nfs/dbraw/zinc/15/03/35/176150335.db2.gz KESFJCDJWNVFMM-RKDXNWHRSA-N 0 3 229.274 2.556 20 0 BFADHN C[C@@H]1CCN(CCC(=O)c2ccccc2)C1 ZINC000053931715 176169115 /nfs/dbraw/zinc/16/91/15/176169115.db2.gz GQKCATFHOJZEJY-GFCCVEGCSA-N 0 3 217.312 2.601 20 0 BFADHN C[C@@H]1CCN(C[C@@H](O)c2cccc(Cl)c2)C1 ZINC000266539662 176172627 /nfs/dbraw/zinc/17/26/27/176172627.db2.gz PHJZIKGUWOAKCQ-ZWNOBZJWSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@@H]1CCN(C[C@@H](O)c2ccccc2F)[C@@H]1C ZINC000248368071 176173010 /nfs/dbraw/zinc/17/30/10/176173010.db2.gz UTXKWIWESGXTPH-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H]1CCN(Cc2cncs2)[C@H](C)C1 ZINC000092762288 176177211 /nfs/dbraw/zinc/17/72/11/176177211.db2.gz BCIKFHZZHOZUBN-NXEZZACHSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@@H](C)[C@@H](O)C1 ZINC000357576551 176180049 /nfs/dbraw/zinc/18/00/49/176180049.db2.gz ZMDZZCSQOHDYFJ-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H]1CC[C@@H](CN(C)Cc2ccccc2)O1 ZINC000190327152 176202656 /nfs/dbraw/zinc/20/26/56/176202656.db2.gz PYMOJWNUCUGCMY-OCCSQVGLSA-N 0 3 219.328 2.686 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@@H]2CCCc3occc32)O1 ZINC000127749818 176205950 /nfs/dbraw/zinc/20/59/50/176205950.db2.gz QSRBUUQQCKGIAV-NTZNESFSSA-N 0 3 235.327 2.814 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCc3ccsc3C2)O1 ZINC000276455205 176225573 /nfs/dbraw/zinc/22/55/73/176225573.db2.gz VFTJUNQOHAMBEI-ZYHUDNBSSA-N 0 3 237.368 2.674 20 0 BFADHN C[C@@H]1CC[C@H](CNC2(c3ccccc3)CC2)O1 ZINC000276361160 176227158 /nfs/dbraw/zinc/22/71/58/176227158.db2.gz APHXYGGRSWQOBD-TZMCWYRMSA-N 0 3 231.339 2.833 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cn3ccccc3n2)C1 ZINC000135131961 176233910 /nfs/dbraw/zinc/23/39/10/176233910.db2.gz PMUWJGMIEUYQQN-NEPJUHHUSA-N 0 3 229.327 2.613 20 0 BFADHN C[C@@H]1CN(C)CCN1CCCCc1ccccc1 ZINC000359850454 176267986 /nfs/dbraw/zinc/26/79/86/176267986.db2.gz AHZHQKKJRZPJOS-OAHLLOKOSA-N 0 3 246.398 2.645 20 0 BFADHN C[C@@H]1CN(C/C=C/c2ccc(F)cc2)CCCO1 ZINC000179399238 176280644 /nfs/dbraw/zinc/28/06/44/176280644.db2.gz NZOKUOKMYZWVCX-CXLRFSCWSA-N 0 3 249.329 2.950 20 0 BFADHN C[C@@H]1CN(CCCC(C)(C)C)[C@H](C)CO1 ZINC000337141674 176288568 /nfs/dbraw/zinc/28/85/68/176288568.db2.gz VVLZYPXUBMJCIP-VXGBXAGGSA-N 0 3 213.365 2.922 20 0 BFADHN C[C@@H]1CN(CCCOc2ccccc2)[C@@H]1C ZINC000293444522 176288923 /nfs/dbraw/zinc/28/89/23/176288923.db2.gz OVIAOLNRVYVCAD-CHWSQXEVSA-N 0 3 219.328 2.796 20 0 BFADHN C[C@@H]1CN(CCc2ccncc2)CCC1(F)F ZINC000336392989 176294818 /nfs/dbraw/zinc/29/48/18/176294818.db2.gz RVFZJCBNEZUFHT-LLVKDONJSA-N 0 3 240.297 2.601 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCC(F)(F)C2)[C@H](C)CO1 ZINC000338252100 176297691 /nfs/dbraw/zinc/29/76/91/176297691.db2.gz FJAHVTHURHVCRX-IJLUTSLNSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)CC(C)(C)O1 ZINC000340293887 176298158 /nfs/dbraw/zinc/29/81/58/176298158.db2.gz YYQHZVJACOSQPI-GHMZBOCLSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@H]1CN(Cc2ccc(Cl)nc2)C[C@H]1C ZINC000085697513 176302746 /nfs/dbraw/zinc/30/27/46/176302746.db2.gz ADKOUBFECYVERB-AOOOYVTPSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@@H]1CN(Cc2ccc3c[nH]nc3c2)CCS1 ZINC000131329784 176304197 /nfs/dbraw/zinc/30/41/97/176304197.db2.gz VGKCPTNRHGTWMX-SNVBAGLBSA-N 0 3 247.367 2.500 20 0 BFADHN C[C@@H]1CN(Cc2cncc(F)c2)C(C)(C)C1 ZINC000275123432 176310456 /nfs/dbraw/zinc/31/04/56/176310456.db2.gz JVTLCGAHQOZATQ-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1COCCN1CCCCc1ccccc1 ZINC000359843456 176336354 /nfs/dbraw/zinc/33/63/54/176336354.db2.gz XSTWHEZXOKVQBO-CQSZACIVSA-N 0 3 233.355 2.730 20 0 BFADHN C[C@@H](c1ccccn1)N1CCSC[C@@H](C)C1 ZINC000190413513 176350579 /nfs/dbraw/zinc/35/05/79/176350579.db2.gz GFMVJLFVLSZUMI-RYUDHWBXSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@@H]1CSCCN1Cc1ccc2c(c1)CCO2 ZINC000172592892 176351765 /nfs/dbraw/zinc/35/17/65/176351765.db2.gz SUGGVACCUNKPTI-LLVKDONJSA-N 0 3 249.379 2.559 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1ccc(Cl)o1 ZINC000306276288 176355865 /nfs/dbraw/zinc/35/58/65/176355865.db2.gz DQGXPGZGQHCCCP-VXNVDRBHSA-N 0 3 231.748 2.774 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2ccccn2)C1 ZINC000253604084 176361389 /nfs/dbraw/zinc/36/13/89/176361389.db2.gz SOTWCKWJWCCYKQ-VXGBXAGGSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCSC2)c2ccccc2O1 ZINC000294912398 176378617 /nfs/dbraw/zinc/37/86/17/176378617.db2.gz AFPWFLQFQRRLJM-NQBHXWOUSA-N 0 3 249.379 2.994 20 0 BFADHN C[C@@H]1C[C@@H](O)CN1Cc1ccc(C(F)F)cc1 ZINC000336354801 176383007 /nfs/dbraw/zinc/38/30/07/176383007.db2.gz JAOCNKKXZFBKNF-BXKDBHETSA-N 0 3 241.281 2.579 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1cc(F)ccc1F ZINC000136058878 176397029 /nfs/dbraw/zinc/39/70/29/176397029.db2.gz ZZQPTUMLJLJOAZ-RKDXNWHRSA-N 0 3 211.255 2.710 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H]2CCCOC2)o1 ZINC000103965358 176448277 /nfs/dbraw/zinc/44/82/77/176448277.db2.gz OJKQOJVQYLFLEM-NQBHXWOUSA-N 0 3 235.327 2.672 20 0 BFADHN C[C@H](NC1CCSCC1)c1cscn1 ZINC000161586584 176831460 /nfs/dbraw/zinc/83/14/60/176831460.db2.gz KISZYDBOOSQLJQ-QMMMGPOBSA-N 0 3 228.386 2.689 20 0 BFADHN CCOc1ccc(CN(C)C2CCCC2)nc1 ZINC000674861841 487635661 /nfs/dbraw/zinc/63/56/61/487635661.db2.gz LPKQHYLARJLZMF-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCOc1ccc(CN(C)[C@H](C)C(C)C)nc1 ZINC000674860888 487635705 /nfs/dbraw/zinc/63/57/05/487635705.db2.gz UMOIYVJQVVGMRW-GFCCVEGCSA-N 0 3 236.359 2.957 20 0 BFADHN C[C@H](NCCO)c1cc(Cl)ccc1Cl ZINC000020597048 176850184 /nfs/dbraw/zinc/85/01/84/176850184.db2.gz FIHYWIIPPRQUIB-ZETCQYMHSA-N 0 3 234.126 2.636 20 0 BFADHN C[C@H](NC[C@@H]1Cc2ccccc2O1)c1ccco1 ZINC000038009422 176863574 /nfs/dbraw/zinc/86/35/74/176863574.db2.gz NNWJIMGPIFYWHQ-AAEUAGOBSA-N 0 3 243.306 2.934 20 0 BFADHN C[C@H](NCc1cccn1C)c1cccc(O)c1 ZINC000050595797 176878846 /nfs/dbraw/zinc/87/88/46/176878846.db2.gz NYHWLPQIDPEDMR-NSHDSACASA-N 0 3 230.311 2.582 20 0 BFADHN C[C@H](N[C@H](CCO)c1ccco1)C(C)(C)C ZINC000186544553 176916879 /nfs/dbraw/zinc/91/68/79/176916879.db2.gz LHMKWKBKCWTTDY-WDEREUQCSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1O)c1ccccc1Cl ZINC000042237102 176922149 /nfs/dbraw/zinc/92/21/49/176922149.db2.gz JZNPTADGDVRKEG-XDTLVQLUSA-N 0 3 239.746 2.904 20 0 BFADHN C[C@H](O)[C@@H]1CCCCN1C/C=C/c1ccccc1 ZINC000264420849 177007996 /nfs/dbraw/zinc/00/79/96/177007996.db2.gz LOHRJFLJPIMLNG-HWHJAYSBSA-N 0 3 245.366 2.935 20 0 BFADHN C[C@H](O)c1ncc(CN2CCCC2(C)C)s1 ZINC000289264307 177018624 /nfs/dbraw/zinc/01/86/24/177018624.db2.gz DICKJPWLSZAUOP-VIFPVBQESA-N 0 3 240.372 2.571 20 0 BFADHN C[C@H](c1ccc(F)cc1)N(C)CCn1ccnc1 ZINC000179312638 177034781 /nfs/dbraw/zinc/03/47/81/177034781.db2.gz VXJKQKGVZAKRNS-GFCCVEGCSA-N 0 3 247.317 2.715 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCC[C@H](CO)C1 ZINC000177667875 177036250 /nfs/dbraw/zinc/03/62/50/177036250.db2.gz DLFGOQYPNVVOEM-NEPJUHHUSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@H](c1ccccc1)N1CCCC2(C1)OCCO2 ZINC000134000742 177039034 /nfs/dbraw/zinc/03/90/34/177039034.db2.gz NNSNTVFNWQAQBF-CYBMUJFWSA-N 0 3 247.338 2.587 20 0 BFADHN C[C@H](c1ccccc1)N(C)C[C@@H]1CCCO1 ZINC000102676365 177039404 /nfs/dbraw/zinc/03/94/04/177039404.db2.gz KKHSEUKCTQNRBY-OCCSQVGLSA-N 0 3 219.328 2.858 20 0 BFADHN C[C@H](c1ccccc1F)N1[C@@H]2CC[C@H]1CC(O)C2 ZINC000111313778 177041098 /nfs/dbraw/zinc/04/10/98/177041098.db2.gz DMUZPYJDJIERLD-FKJOKYEKSA-N 0 3 249.329 2.874 20 0 BFADHN C[C@H](c1ccccc1F)N(C)CCCCO ZINC000062016082 177041240 /nfs/dbraw/zinc/04/12/40/177041240.db2.gz KZXQNAUOUGWAQX-LLVKDONJSA-N 0 3 225.307 2.591 20 0 BFADHN C[C@H](c1ccccc1F)N(C)CC1(C)COC1 ZINC000336716016 177042061 /nfs/dbraw/zinc/04/20/61/177042061.db2.gz VNJZQCDXGQGGHX-LLVKDONJSA-N 0 3 237.318 2.855 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCN1CCCCC1 ZINC000162421732 177042427 /nfs/dbraw/zinc/04/24/27/177042427.db2.gz NWUJIYODSQTUIB-CQSZACIVSA-N 0 3 247.386 2.560 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCC1CCOCC1 ZINC000120678183 177042692 /nfs/dbraw/zinc/04/26/92/177042692.db2.gz YBVXGWOHVYPCTQ-CYBMUJFWSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCC1CC1 ZINC000135255927 177043021 /nfs/dbraw/zinc/04/30/21/177043021.db2.gz HVPVQLQILCPJDJ-LLVKDONJSA-N 0 3 204.317 2.875 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@H](O)C(C)(C)C ZINC000284350171 177046964 /nfs/dbraw/zinc/04/69/64/177046964.db2.gz RZJSJZAFGXPKDA-PWSUYJOCSA-N 0 3 225.332 2.679 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCOC1CCC1 ZINC000287600467 177050628 /nfs/dbraw/zinc/05/06/28/177050628.db2.gz DEHWEJUGLJAGHC-GFCCVEGCSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@H](c1cnccn1)N1CCC[C@@H](C2CC2)C1 ZINC000289543841 177051854 /nfs/dbraw/zinc/05/18/54/177051854.db2.gz WXHABAWEOQGRCR-DGCLKSJQSA-N 0 3 231.343 2.660 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@@H](CC2CC2)C1 ZINC000339680424 177052155 /nfs/dbraw/zinc/05/21/55/177052155.db2.gz UVXBFQGAOZJDSJ-YPMHNXCESA-N 0 3 231.343 2.660 20 0 BFADHN C[C@H]1CC(C)(C)C[C@H]1[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000333332701 177063840 /nfs/dbraw/zinc/06/38/40/177063840.db2.gz REDZWHJWVNJFTO-GXSJLCMTSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H]1CC(C)(C)C[C@H]1NCc1nnc(C2CC2)[nH]1 ZINC000333332701 177063841 /nfs/dbraw/zinc/06/38/41/177063841.db2.gz REDZWHJWVNJFTO-GXSJLCMTSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC(O)C[C@H](C)N1Cc1ccc(F)cc1 ZINC000191250316 177066130 /nfs/dbraw/zinc/06/61/30/177066130.db2.gz PPNXKGVWLFDFNS-BVUQATHDSA-N 0 3 237.318 2.559 20 0 BFADHN C[C@@H](NCCN1CCCC[C@@H]1C)c1ccccn1 ZINC000270923538 177074783 /nfs/dbraw/zinc/07/47/83/177074783.db2.gz OFQBODMERLSDGO-UONOGXRCSA-N 0 3 247.386 2.607 20 0 BFADHN C[C@H]1CCCCN1Cc1cnn2ccccc12 ZINC000179527075 177076152 /nfs/dbraw/zinc/07/61/52/177076152.db2.gz AVKOOEAPTYYQAZ-LBPRGKRZSA-N 0 3 229.327 2.709 20 0 BFADHN CCOc1ccc(CN2CCCC2(C)C)nc1 ZINC000674863698 487636912 /nfs/dbraw/zinc/63/69/12/487636912.db2.gz PBHLSKFEOAACIM-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN C[C@H]1CCCC[C@H]1NCc1ncc(Cl)n1C ZINC000036804652 177088768 /nfs/dbraw/zinc/08/87/68/177088768.db2.gz GWVWYXSUBZIVTL-VHSXEESVSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@H]1CCCN1Cc1ccc(N)cc1Cl ZINC000049963139 177110448 /nfs/dbraw/zinc/11/04/48/177110448.db2.gz GUTHTUJBOWMQGN-VIFPVBQESA-N 0 3 224.735 2.907 20 0 BFADHN C[C@H]1CCCN1Cc1c[nH]nc1C(C)(C)C ZINC000124367664 177110625 /nfs/dbraw/zinc/11/06/25/177110625.db2.gz PBTORFUYEDEDJE-JTQLQIEISA-N 0 3 221.348 2.692 20 0 BFADHN C[C@@H]1CCC[C@H](C)N(CC(=O)c2cccn2C)C1 ZINC000125385270 177114816 /nfs/dbraw/zinc/11/48/16/177114816.db2.gz UOWPZJFRIGRYHS-OLZOCXBDSA-N 0 3 248.370 2.718 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1cc(F)ncc1F ZINC000294961244 177126966 /nfs/dbraw/zinc/12/69/66/177126966.db2.gz FXGYLAIOMGFDAP-KWQFWETISA-N 0 3 226.270 2.638 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1nc(-c2ccoc2)no1 ZINC000331372342 177127152 /nfs/dbraw/zinc/12/71/52/177127152.db2.gz BLZBOCZXKPWRCM-ONGXEEELSA-N 0 3 247.298 2.608 20 0 BFADHN C[C@H]1CCC[C@H](C)N1Cc1cccc(C(N)=O)c1 ZINC000104078624 177131832 /nfs/dbraw/zinc/13/18/32/177131832.db2.gz JTXVMHXIUITRNF-RYUDHWBXSA-N 0 3 246.354 2.548 20 0 BFADHN C[C@H]1CCC[C@H](N(C)Cc2ccno2)C1 ZINC000264684746 177134034 /nfs/dbraw/zinc/13/40/34/177134034.db2.gz DWNDJIVCKUOEFW-QWRGUYRKSA-N 0 3 208.305 2.685 20 0 BFADHN C[C@H]1CCC[C@H](CO)N1Cc1ccc(F)cc1 ZINC000269705725 177134046 /nfs/dbraw/zinc/13/40/46/177134046.db2.gz NJRYIGAZGAQEER-SMDDNHRTSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@H]1CCC[C@H](N(C)Cc2ccc(CO)o2)C1 ZINC000169059613 177134055 /nfs/dbraw/zinc/13/40/55/177134055.db2.gz YJUNHBNSDNUFNL-RYUDHWBXSA-N 0 3 237.343 2.782 20 0 BFADHN C[C@H]1CCC[C@H](NCc2cocn2)CC1 ZINC000277017309 177137128 /nfs/dbraw/zinc/13/71/28/177137128.db2.gz MGJLDGZURLKXMS-QWRGUYRKSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@H]1CCC[C@H]1[NH2+]Cc1cc([O-])cc(F)c1 ZINC000159601315 177142257 /nfs/dbraw/zinc/14/22/57/177142257.db2.gz NZWCPGVNUSQVMH-TVQRCGJNSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@H]1CCN(CCC(=O)c2ccc(F)cc2)C1 ZINC000040719114 177159356 /nfs/dbraw/zinc/15/93/56/177159356.db2.gz GEILMQVFGLYMLC-NSHDSACASA-N 0 3 235.302 2.740 20 0 BFADHN C[C@H]1CCN(C[C@H](O)c2ccccc2Cl)C1 ZINC000263757744 177161689 /nfs/dbraw/zinc/16/16/89/177161689.db2.gz UEBULUMQEQXNKG-GWCFXTLKSA-N 0 3 239.746 2.715 20 0 BFADHN C[C@H]1CCN(C[C@@H](O)c2ccccc2Cl)C1 ZINC000263757747 177162250 /nfs/dbraw/zinc/16/22/50/177162250.db2.gz UEBULUMQEQXNKG-GXFFZTMASA-N 0 3 239.746 2.715 20 0 BFADHN C[C@H]1CN(Cc2ccccn2)CC[C@@H]1C ZINC000122573145 177164972 /nfs/dbraw/zinc/16/49/72/177164972.db2.gz YIMYJQVUTZJJKP-RYUDHWBXSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1Cc1cccc2nccn21 ZINC000271747842 177165639 /nfs/dbraw/zinc/16/56/39/177165639.db2.gz UFZYHJWQWSMCAS-QWHCGFSZSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@H]1CCN(Cc2cccnc2N)CC1(C)C ZINC000336264138 177165671 /nfs/dbraw/zinc/16/56/71/177165671.db2.gz SCJXDWIJHQEUKB-NSHDSACASA-N 0 3 233.359 2.532 20 0 BFADHN C[C@H]1CCN(Cc2ccnc(Cl)c2)[C@H]1C ZINC000248341059 177166591 /nfs/dbraw/zinc/16/65/91/177166591.db2.gz IUSGYPUTKNKFCV-UWVGGRQHSA-N 0 3 224.735 2.965 20 0 BFADHN C[C@H]1CCN(Cc2cn3ccccc3n2)[C@H]1C ZINC000248341610 177167183 /nfs/dbraw/zinc/16/71/83/177167183.db2.gz JDGSBYAFESNMRD-RYUDHWBXSA-N 0 3 229.327 2.565 20 0 BFADHN C[C@H]1CN(Cc2cncc(F)c2)CC[C@@H]1C ZINC000275174982 177167225 /nfs/dbraw/zinc/16/72/25/177167225.db2.gz YSJCCWTYJGVBHZ-QWRGUYRKSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@H]1CCOCCN1CCSC(C)(C)C ZINC000374856254 177178034 /nfs/dbraw/zinc/17/80/34/177178034.db2.gz NNODXKSFNZDHKN-NSHDSACASA-N 0 3 231.405 2.629 20 0 BFADHN C[C@@H]1CC[C@H](C)N1CC(=O)N(C)c1ccccc1 ZINC000120130191 177186592 /nfs/dbraw/zinc/18/65/92/177186592.db2.gz BWLNEECQGSYPSG-BETUJISGSA-N 0 3 246.354 2.522 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1nc(-c2ccccc2)c[nH]1 ZINC000353108587 177195785 /nfs/dbraw/zinc/19/57/85/177195785.db2.gz HOPCTEPHCDCFRZ-AAEUAGOBSA-N 0 3 241.338 2.965 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2cncs2)C1 ZINC000093498234 177199332 /nfs/dbraw/zinc/19/93/32/177199332.db2.gz WTLOTTNRSYCWEN-UWVGGRQHSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cn2ccccc2n1 ZINC000123128491 177199914 /nfs/dbraw/zinc/19/99/14/177199914.db2.gz FTCJSVMKFPUWJW-RYUDHWBXSA-N 0 3 229.327 2.707 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cn(C)nc1C(F)F ZINC000336350972 177199943 /nfs/dbraw/zinc/19/99/43/177199943.db2.gz QGJXNWXCNOEYTQ-IUCAKERBSA-N 0 3 243.301 2.731 20 0 BFADHN C[C@H]1CC[C@H](CN2CCc3ccccc3C2)O1 ZINC000188567416 177201995 /nfs/dbraw/zinc/20/19/95/177201995.db2.gz OOBHACUYUIMVJW-SWLSCSKDSA-N 0 3 231.339 2.612 20 0 BFADHN C[C@H]1CC[C@H](CNC2(c3cccc(F)c3)CC2)O1 ZINC000191055794 177202920 /nfs/dbraw/zinc/20/29/20/177202920.db2.gz FKWRZEXGGYZJOM-SMDDNHRTSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H]1CC[C@H](CNCc2ccn(C(F)F)n2)C1 ZINC000355602537 177202947 /nfs/dbraw/zinc/20/29/47/177202947.db2.gz VKCFMPGJXMMVSA-UWVGGRQHSA-N 0 3 243.301 2.804 20 0 BFADHN C[C@H]1CN(CCC2CCCCC2)CCO1 ZINC000339583835 177246910 /nfs/dbraw/zinc/24/69/10/177246910.db2.gz JFFYTVMKHKMORB-LBPRGKRZSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@H]1CN(CCOc2cccc(F)c2)[C@H]1C ZINC000339566796 177252609 /nfs/dbraw/zinc/25/26/09/177252609.db2.gz RKZOJONSZRXQTM-QWRGUYRKSA-N 0 3 223.291 2.545 20 0 BFADHN C[C@H]1CN(CCO[C@H]2CCCC[C@H]2C)[C@H]1C ZINC000339582266 177253327 /nfs/dbraw/zinc/25/33/27/177253327.db2.gz QOMSMYGKQJAGOZ-RFGFWPKPSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@H]1CN(CCc2ccccc2F)[C@H]1C ZINC000293328932 177254672 /nfs/dbraw/zinc/25/46/72/177254672.db2.gz GLMTZPWYSSPBKQ-QWRGUYRKSA-N 0 3 207.292 2.708 20 0 BFADHN C[C@@H]1CCC[C@@H](CCN2CCO[C@@H](C)C2)C1 ZINC000339588176 177254956 /nfs/dbraw/zinc/25/49/56/177254956.db2.gz VQTZONGJDCOZBS-RDBSUJKOSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H]1CN(CCn2ccnc2)[C@H]1c1ccccc1 ZINC000245591313 177255619 /nfs/dbraw/zinc/25/56/19/177255619.db2.gz GJQDNNQULIONEE-DZGCQCFKSA-N 0 3 241.338 2.576 20 0 BFADHN C[C@H]1CN(Cc2ccc(-n3ccnc3)cc2)[C@H]1C ZINC000292880205 177261882 /nfs/dbraw/zinc/26/18/82/177261882.db2.gz CAZXDQRICYPTFZ-STQMWFEESA-N 0 3 241.338 2.713 20 0 BFADHN C[C@H]1CN(Cc2cccnc2Cl)C[C@@H]1C ZINC000072870112 177268043 /nfs/dbraw/zinc/26/80/43/177268043.db2.gz HUSQEEGKNYBZFA-UWVGGRQHSA-N 0 3 224.735 2.823 20 0 BFADHN C[C@H]1CN(Cc2cn3ccccc3n2)C(C)(C)C1 ZINC000266957603 177269628 /nfs/dbraw/zinc/26/96/28/177269628.db2.gz WSBXFATZBTUUMD-GFCCVEGCSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@H]1COCC[C@H]1Nc1cccc(CN(C)C)c1 ZINC000195055569 177297062 /nfs/dbraw/zinc/29/70/62/177297062.db2.gz QQNVQZAQICTDBJ-SWLSCSKDSA-N 0 3 248.370 2.585 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)[C@@H](C)CO1 ZINC000340285646 177301683 /nfs/dbraw/zinc/30/16/83/177301683.db2.gz RYBXFTUSSSLWHQ-HBNTYKKESA-N 0 3 233.302 2.531 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1ccc(Cl)cn1 ZINC000309163045 177306110 /nfs/dbraw/zinc/30/61/10/177306110.db2.gz ZVNHYYFTVUOGMA-KWQFWETISA-N 0 3 242.775 2.576 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1ccc(F)c(F)c1 ZINC000304487616 177306463 /nfs/dbraw/zinc/30/64/63/177306463.db2.gz LZJWFMZSAJFVDU-UFBFGSQYSA-N 0 3 243.322 2.806 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1ccc(Cl)o1 ZINC000306276287 177307125 /nfs/dbraw/zinc/30/71/25/177307125.db2.gz DQGXPGZGQHCCCP-IONNQARKSA-N 0 3 231.748 2.774 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2ccc(F)cn2)C1 ZINC000276398714 177314553 /nfs/dbraw/zinc/31/45/53/177314553.db2.gz UCSMNAIGNOLQSQ-PHIMTYICSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(CO)o2)C[C@@H](C)C1 ZINC000247033460 177317809 /nfs/dbraw/zinc/31/78/09/177317809.db2.gz VQQDEGRGLGSDGI-GDNZZTSVSA-N 0 3 237.343 2.686 20 0 BFADHN C[C@H]1C[C@@H](Nc2ccncc2Cl)CCN1C ZINC000094267090 177334994 /nfs/dbraw/zinc/33/49/94/177334994.db2.gz CVMYRFYAVBZSJI-UWVGGRQHSA-N 0 3 239.750 2.630 20 0 BFADHN C[C@H]1C[C@@H](O)CN1Cc1ccc(C(F)F)cc1 ZINC000336354803 177337138 /nfs/dbraw/zinc/33/71/38/177337138.db2.gz JAOCNKKXZFBKNF-JOYOIKCWSA-N 0 3 241.281 2.579 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1nc(C2CC2)cs1 ZINC000336769590 177345428 /nfs/dbraw/zinc/34/54/28/177345428.db2.gz VUFGCCVHLZWHLZ-WCBMZHEXSA-N 0 3 222.357 2.766 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN[C@H]2CCCC[C@@H]2O)o1 ZINC000189789834 177351823 /nfs/dbraw/zinc/35/18/23/177351823.db2.gz ZZTOBKKQTSOJCO-PYJNHQTQSA-N 0 3 249.354 2.796 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN[C@H]2CC[C@@H](CO)C2)o1 ZINC000295130705 177352020 /nfs/dbraw/zinc/35/20/20/177352020.db2.gz YAJRMLIWYUWIEL-OPDFLTKYSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCCCCCO)o1 ZINC000192908007 177352163 /nfs/dbraw/zinc/35/21/63/177352163.db2.gz AFVDIUDRBWMJMQ-AAEUAGOBSA-N 0 3 237.343 2.655 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1cn(C)nc1C(C)(C)C ZINC000336608524 177360233 /nfs/dbraw/zinc/36/02/33/177360233.db2.gz DOQQHHXAICULCA-QWRGUYRKSA-N 0 3 235.375 2.700 20 0 BFADHN C[C@H]1C[C@H](CN(C)Cc2ccco2)CCO1 ZINC000352743500 177361421 /nfs/dbraw/zinc/36/14/21/177361421.db2.gz IJBDPRJTIWGSBW-NWDGAFQWSA-N 0 3 223.316 2.527 20 0 BFADHN C[C@H]1C[C@H](NC2(c3ccccc3F)CC2)CCO1 ZINC000271927076 177367945 /nfs/dbraw/zinc/36/79/45/177367945.db2.gz CLZLEWYJMYUDSD-NWDGAFQWSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H]1C[C@H](NCCC(C)(C)C)c2nccn21 ZINC000345365595 177369464 /nfs/dbraw/zinc/36/94/64/177369464.db2.gz YPVURLJAYBCFHS-QWRGUYRKSA-N 0 3 221.348 2.915 20 0 BFADHN C[C@H]1C[C@H](O)CN1Cc1ccc(C(F)F)cc1 ZINC000336354802 177373523 /nfs/dbraw/zinc/37/35/23/177373523.db2.gz JAOCNKKXZFBKNF-CABZTGNLSA-N 0 3 241.281 2.579 20 0 BFADHN C[C@@H]1C[C@@H](O)C[C@H](C)N1C/C=C/c1ccccc1 ZINC000299422886 177374867 /nfs/dbraw/zinc/37/48/67/177374867.db2.gz URNJDIIOYLWTRL-SHPSHSHZSA-N 0 3 245.366 2.934 20 0 BFADHN C[C@H](CCCO)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000227536760 177387425 /nfs/dbraw/zinc/38/74/25/177387425.db2.gz ZBWRKERTILZFTC-DMDPSCGWSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1ccc(F)c(Cl)c1 ZINC000120831534 177402151 /nfs/dbraw/zinc/40/21/51/177402151.db2.gz OHRBLYXDNKRZBX-PELKAZGASA-N 0 3 243.709 2.746 20 0 BFADHN CCOc1ccc(CN2C[C@H](C)[C@@H](C)C2)nc1 ZINC000674866235 487638388 /nfs/dbraw/zinc/63/83/88/487638388.db2.gz JGDDYHSOMBXUEY-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN CCn1ccnc1CNCC1CCCCC1 ZINC000051921456 322932425 /nfs/dbraw/zinc/93/24/25/322932425.db2.gz ZXUPIPKAJQVLFJ-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN COC[C@H](N[C@H](C)[C@H]1CC1(C)C)c1ccco1 ZINC000487320324 225297659 /nfs/dbraw/zinc/29/76/59/225297659.db2.gz IJCOQSHMLYIJBI-UTUOFQBUSA-N 0 3 237.343 2.991 20 0 BFADHN CO[C@H](CNC/C=C\c1ccncc1)C(C)(C)C ZINC000487330230 225299456 /nfs/dbraw/zinc/29/94/56/225299456.db2.gz UUQSSXDDWUVFPV-GEXIGZQTSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CCC[C@@H]2C)nn1C ZINC000487371441 225302361 /nfs/dbraw/zinc/30/23/61/225302361.db2.gz VWZJHKHKRWNTFH-RYUDHWBXSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cncc(CNC/C=C/c2ccncc2)c1 ZINC000487548565 225313698 /nfs/dbraw/zinc/31/36/98/225313698.db2.gz DPGRLRJOMUHPKX-NSCUHMNNSA-N 0 3 239.322 2.588 20 0 BFADHN C[C@H](N[C@@H](CCO)c1ccco1)[C@@H]1CC1(C)C ZINC000487575854 225316169 /nfs/dbraw/zinc/31/61/69/225316169.db2.gz RHRZLQBWBUBNOW-SRVKXCTJSA-N 0 3 237.343 2.727 20 0 BFADHN Cc1cc([C@@H]2CCCN2C[C@H]2C[C@@H]2C)on1 ZINC000488319203 225370599 /nfs/dbraw/zinc/37/05/99/225370599.db2.gz BUTXJULDABOXPR-WCQGTBRESA-N 0 3 220.316 2.776 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCc2c(F)cccc2C1 ZINC000488327387 225373484 /nfs/dbraw/zinc/37/34/84/225373484.db2.gz HJLLAYSDAHGZOH-CMPLNLGQSA-N 0 3 219.303 2.840 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCc2sccc2C1 ZINC000488329542 225374393 /nfs/dbraw/zinc/37/43/93/225374393.db2.gz YODQGLVSEUNASE-GXSJLCMTSA-N 0 3 207.342 2.762 20 0 BFADHN C[C@@H]1C[C@H](N2CCc3sccc3C2)CCO1 ZINC000335544968 487644619 /nfs/dbraw/zinc/64/46/19/487644619.db2.gz OXHRMVNTOLMMBB-ZYHUDNBSSA-N 0 3 237.368 2.674 20 0 BFADHN Cc1ncsc1CN(C)C[C@H]1C[C@H]1C ZINC000488291398 225361667 /nfs/dbraw/zinc/36/16/67/225361667.db2.gz DDZSMOFBGYAZLQ-PSASIEDQSA-N 0 3 210.346 2.539 20 0 BFADHN COCCN(Cc1cccs1)C[C@H]1C[C@H]1C ZINC000488314848 225368374 /nfs/dbraw/zinc/36/83/74/225368374.db2.gz CTNQCNJABLCJMV-VXGBXAGGSA-N 0 3 239.384 2.853 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H](C)COC(C)C ZINC000669684308 487647175 /nfs/dbraw/zinc/64/71/75/487647175.db2.gz YTOOXCVPQNOONH-OLZOCXBDSA-N 0 3 236.359 2.854 20 0 BFADHN C[C@@H](NCC1(CO)CC1)c1cc2ccccc2o1 ZINC000211097293 487648659 /nfs/dbraw/zinc/64/86/59/487648659.db2.gz MKVCUHZEDDOLGG-LLVKDONJSA-N 0 3 245.322 2.856 20 0 BFADHN OCCN(Cc1cccc(F)c1)[C@H]1C=CCCC1 ZINC000494286453 226263278 /nfs/dbraw/zinc/26/32/78/226263278.db2.gz ZZFCGOLZRXXTFH-HNNXBMFYSA-N 0 3 249.329 2.729 20 0 BFADHN OCCN(Cc1cccc(F)c1)[C@@H]1C=CCCC1 ZINC000494286454 226263300 /nfs/dbraw/zinc/26/33/00/226263300.db2.gz ZZFCGOLZRXXTFH-OAHLLOKOSA-N 0 3 249.329 2.729 20 0 BFADHN CCc1noc(CC)c1CN[C@@H]1C[C@@H](C)[C@@H]1C ZINC000495050365 226275180 /nfs/dbraw/zinc/27/51/80/226275180.db2.gz TXKDQVJLLUNLFU-GBIKHYSHSA-N 0 3 236.359 2.934 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CC[C@H](O)CC2)oc1C ZINC000502411462 226330011 /nfs/dbraw/zinc/33/00/11/226330011.db2.gz ATOFHYYXFZENEC-DRZSPHRISA-N 0 3 237.343 2.851 20 0 BFADHN C[C@H]1C[C@@H](O)CN(Cc2ccc(Cl)cc2)C1 ZINC000401971216 226363944 /nfs/dbraw/zinc/36/39/44/226363944.db2.gz ZSEXODHXFNDRRB-GXFFZTMASA-N 0 3 239.746 2.543 20 0 BFADHN Cc1nocc1CN(C)CC1CCCCC1 ZINC000507100863 226365214 /nfs/dbraw/zinc/36/52/14/226365214.db2.gz BVRQHQUTQLYRSC-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1nccc(CN(C)CC2CCCCC2)n1 ZINC000507104151 226365240 /nfs/dbraw/zinc/36/52/40/226365240.db2.gz PNKAIUMPRQSSQW-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN COCCN(Cc1ccc(OC)c(C)c1)C1CC1 ZINC000507114924 226365313 /nfs/dbraw/zinc/36/53/13/226365313.db2.gz BDEVRYSJEACHHD-UHFFFAOYSA-N 0 3 249.354 2.614 20 0 BFADHN CCOc1cccc(CN(CCOC)C2CC2)c1 ZINC000507166890 226366187 /nfs/dbraw/zinc/36/61/87/226366187.db2.gz GCBRNKMNQFPYHM-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@@H]1CN(CCCOC(C)(C)C)C[C@@H](C)O1 ZINC000674907577 487650990 /nfs/dbraw/zinc/65/09/90/487650990.db2.gz CNMKNLQXIOCDKL-CHWSQXEVSA-N 0 3 243.391 2.691 20 0 BFADHN CCn1ccc(CN[C@H](C)CC2CCC2)n1 ZINC000666196666 487651392 /nfs/dbraw/zinc/65/13/92/487651392.db2.gz MIQQQTAVSFFMKF-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN Fc1cccc(CN(C2CC2)C2CC2)c1 ZINC000680835198 487655253 /nfs/dbraw/zinc/65/52/53/487655253.db2.gz ZPMNYUMTBSUZLF-UHFFFAOYSA-N 0 3 205.276 2.953 20 0 BFADHN CC/C=C\CCN1CCC[C@H]1c1cc[nH]n1 ZINC000671628448 487658163 /nfs/dbraw/zinc/65/81/63/487658163.db2.gz FCFMSMUVHWPPDQ-SCOBNMCVSA-N 0 3 219.332 2.903 20 0 BFADHN COc1ccc(CN2[C@H](C)C[C@H]2C)cc1C ZINC000525996867 262730237 /nfs/dbraw/zinc/73/02/37/262730237.db2.gz PENQMOCKYYBMHJ-VXGBXAGGSA-N 0 3 219.328 2.986 20 0 BFADHN CCC(=O)CCCN(C)Cc1ccsc1 ZINC000232842085 487663762 /nfs/dbraw/zinc/66/37/62/487663762.db2.gz AEWMXCXYOSNGCV-UHFFFAOYSA-N 0 3 225.357 2.939 20 0 BFADHN CCN1CCC(Oc2ccc(OC)cc2)CC1 ZINC000522761103 262744593 /nfs/dbraw/zinc/74/45/93/262744593.db2.gz VGNUKMHNGUOFKE-UHFFFAOYSA-N 0 3 235.327 2.558 20 0 BFADHN OCCC1CN(Cc2csc3ccccc23)C1 ZINC000459590272 229143710 /nfs/dbraw/zinc/14/37/10/229143710.db2.gz JXXBTHNTGZJOGQ-UHFFFAOYSA-N 0 3 247.363 2.716 20 0 BFADHN C[C@@H](NCCCC1(O)CCC1)c1ccco1 ZINC000421859608 229154500 /nfs/dbraw/zinc/15/45/00/229154500.db2.gz QTLKLRSDSGAJJL-LLVKDONJSA-N 0 3 223.316 2.625 20 0 BFADHN CCn1nc(C)c(CN[C@@H]2C[C@H]2C2CCC2)c1C ZINC000424191787 229159195 /nfs/dbraw/zinc/15/91/95/229159195.db2.gz IBCZBKQBALSQEZ-DZGCQCFKSA-N 0 3 247.386 2.798 20 0 BFADHN Cc1cc(CN(CC(C)C)CC(C)C)nn1C ZINC000487414598 229179396 /nfs/dbraw/zinc/17/93/96/229179396.db2.gz NSMYJTUQOOGVTP-UHFFFAOYSA-N 0 3 237.391 2.843 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1ccsc1C ZINC000309115944 229360815 /nfs/dbraw/zinc/36/08/15/229360815.db2.gz QSHXWMIRATZRCQ-VXGBXAGGSA-N 0 3 225.357 2.714 20 0 BFADHN CCc1cc(N2CCC[C@H]2CF)ccn1 ZINC000335905123 487672852 /nfs/dbraw/zinc/67/28/52/487672852.db2.gz UJLSWCJTIDKZQN-LBPRGKRZSA-N 0 3 208.280 2.582 20 0 BFADHN CC[C@@H](C)N1CCC[C@](OC)(C(F)(F)F)C1 ZINC000669693508 487683072 /nfs/dbraw/zinc/68/30/72/487683072.db2.gz YHMQJDFCNCWICD-NXEZZACHSA-N 0 3 239.281 2.828 20 0 BFADHN CC(C)CO[C@H]1CCN([C@H](C)c2ccncc2)C1 ZINC000675250054 487684468 /nfs/dbraw/zinc/68/44/68/487684468.db2.gz DWEMGEDUNHLJME-HIFRSBDPSA-N 0 3 248.370 2.890 20 0 BFADHN c1cc(CN2C[C@@H]3CCC[C@@H]3C2)n(CC2CC2)n1 ZINC000667643003 487689507 /nfs/dbraw/zinc/68/95/07/487689507.db2.gz QOHFQNKDZVAQAY-OKILXGFUSA-N 0 3 245.370 2.525 20 0 BFADHN c1cc(CN2C[C@H]3CCC[C@@H]3C2)n(CC2CC2)n1 ZINC000667643004 487689882 /nfs/dbraw/zinc/68/98/82/487689882.db2.gz QOHFQNKDZVAQAY-ZIAGYGMSSA-N 0 3 245.370 2.525 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2ccncc2F)C1 ZINC000336214268 487690988 /nfs/dbraw/zinc/69/09/88/487690988.db2.gz CFKSLNZXKYKIOO-CMPLNLGQSA-N 0 3 222.307 2.889 20 0 BFADHN CC[C@@H](C)NC(=O)CN[C@H](C)c1ccccc1C ZINC000042224502 487691566 /nfs/dbraw/zinc/69/15/66/487691566.db2.gz XOIBYEWPUAIDAL-CHWSQXEVSA-N 0 3 248.370 2.560 20 0 BFADHN c1coc(C2=CCCN([C@@H]3CCCOC3)C2)c1 ZINC000671698413 487694069 /nfs/dbraw/zinc/69/40/69/487694069.db2.gz SKCZKYKXTMLHAJ-CYBMUJFWSA-N 0 3 233.311 2.548 20 0 BFADHN CCCn1nccc1CN1CC[C@@H](C)[C@H](C)C1 ZINC000667649620 487695212 /nfs/dbraw/zinc/69/52/12/487695212.db2.gz ZCGJVROTTNDVBD-CHWSQXEVSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@H]1CN([C@@H]2C[C@@H]2c2ccccc2)CCCO1 ZINC000411213882 487695670 /nfs/dbraw/zinc/69/56/70/487695670.db2.gz DPEOVPOZPZOSGN-NWANDNLSSA-N 0 3 231.339 2.653 20 0 BFADHN Cc1cccc(CN[C@]23C[C@H]2COC3(C)C)c1 ZINC000527757324 262879821 /nfs/dbraw/zinc/87/98/21/262879821.db2.gz DFZMJZKPJBEURO-DZGCQCFKSA-N 0 3 231.339 2.652 20 0 BFADHN C[C@H](N[C@@H]1CCCc2c[nH]nc21)[C@@H]1CC12CC2 ZINC000527874583 262889032 /nfs/dbraw/zinc/88/90/32/262889032.db2.gz WNILFZYFHJORFD-ZMLRMANQSA-N 0 3 231.343 2.565 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](C)c1cccc(O)c1 ZINC000527973018 262900948 /nfs/dbraw/zinc/90/09/48/262900948.db2.gz CNFMUKUIBSYAPA-GDLCADMTSA-N 0 3 235.327 2.610 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](C)c1ccccc1OC ZINC000527974137 262901248 /nfs/dbraw/zinc/90/12/48/262901248.db2.gz LHNGLNXPOIHOCH-DFBGVHRSSA-N 0 3 249.354 2.913 20 0 BFADHN C/C(Cl)=C/CN1CC2(CCC2)[C@@H]2COC[C@H]21 ZINC000528521706 262934061 /nfs/dbraw/zinc/93/40/61/262934061.db2.gz QEBUPOMEVOEEOK-VZAOXQLQSA-N 0 3 241.762 2.630 20 0 BFADHN C[C@@H]1CN(CC2CC(C)(C)C2)C[C@H](C)O1 ZINC000528442243 262948436 /nfs/dbraw/zinc/94/84/36/262948436.db2.gz XEGJNMVPPYRYDU-PHIMTYICSA-N 0 3 211.349 2.532 20 0 BFADHN Cc1ncc(CN(C)CCC(C)(C)C)n1C ZINC000668274511 490070460 /nfs/dbraw/zinc/07/04/60/490070460.db2.gz FIVYBJVNSBBSPX-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN Fc1cc2c(c(F)c1)CC[C@H]2NC1CC1 ZINC000120926889 490071483 /nfs/dbraw/zinc/07/14/83/490071483.db2.gz BJDBDMSTUHLOIZ-GFCCVEGCSA-N 0 3 209.239 2.704 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2ccnn2CC2CC2)C1 ZINC000667664841 487705176 /nfs/dbraw/zinc/70/51/76/487705176.db2.gz UGLFKEGTNXXKIG-RYUDHWBXSA-N 0 3 233.359 2.523 20 0 BFADHN Cc1cc(Cl)ccc1CN(C)C1(CO)CC1 ZINC000671727462 487709438 /nfs/dbraw/zinc/70/94/38/487709438.db2.gz XROQIQOGFSKQMR-UHFFFAOYSA-N 0 3 239.746 2.605 20 0 BFADHN CC(C)Cc1ccc(CN(C)C2(CO)CC2)cc1 ZINC000671727350 487710237 /nfs/dbraw/zinc/71/02/37/487710237.db2.gz WIKMMLPQILMKQO-UHFFFAOYSA-N 0 3 247.382 2.842 20 0 BFADHN CSCCCN(C)Cc1cncc(C)c1 ZINC000281294210 487710400 /nfs/dbraw/zinc/71/04/00/487710400.db2.gz HDKDXTOMLMQZMH-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN COc1cccc(CN2CC[C@H](C)C2)c1F ZINC000669707662 487710868 /nfs/dbraw/zinc/71/08/68/487710868.db2.gz CJGOMSUDLZENKO-JTQLQIEISA-N 0 3 223.291 2.676 20 0 BFADHN CCCN(CCC)Cc1oc(C)nc1C ZINC000683898598 487711001 /nfs/dbraw/zinc/71/10/01/487711001.db2.gz NMDRNONQLDUVAE-UHFFFAOYSA-N 0 3 210.321 2.913 20 0 BFADHN C[C@H](NCc1cn(C)cn1)[C@@H](C)c1ccccc1 ZINC000683899743 487711648 /nfs/dbraw/zinc/71/16/48/487711648.db2.gz JHFIYTAORIYOTA-OLZOCXBDSA-N 0 3 243.354 2.702 20 0 BFADHN CCCn1nccc1CN[C@@H](C)CC(F)(F)F ZINC000233410455 487714135 /nfs/dbraw/zinc/71/41/35/487714135.db2.gz RJGVHYJKLWOXCB-VIFPVBQESA-N 0 3 249.280 2.724 20 0 BFADHN C[C@H]1OCC[C@H]1NC1(c2ccc(F)cc2)CCC1 ZINC000679017025 487723684 /nfs/dbraw/zinc/72/36/84/487723684.db2.gz SOSFCJGNDNUZFA-BXUZGUMPSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@@H]1OCC[C@H]1NC1(c2ccc(F)cc2)CCC1 ZINC000679017028 487724688 /nfs/dbraw/zinc/72/46/88/487724688.db2.gz SOSFCJGNDNUZFA-SMDDNHRTSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H](CN(C)Cc1c[nH]cn1)C(C)(C)C ZINC000668422138 487725153 /nfs/dbraw/zinc/72/51/53/487725153.db2.gz RGFSISJCHUACSM-SNVBAGLBSA-N 0 3 209.337 2.524 20 0 BFADHN C[C@H](CN(C)Cc1cnc[nH]1)C(C)(C)C ZINC000668422138 487725158 /nfs/dbraw/zinc/72/51/58/487725158.db2.gz RGFSISJCHUACSM-SNVBAGLBSA-N 0 3 209.337 2.524 20 0 BFADHN c1ccc2nc(CNCCC3CC3)cnc2c1 ZINC000088454330 487726891 /nfs/dbraw/zinc/72/68/91/487726891.db2.gz YDLHNZGOMVHPNG-UHFFFAOYSA-N 0 3 227.311 2.520 20 0 BFADHN C[C@@H]1COCCCN1C/C=C/c1ccccc1 ZINC000676715378 487729443 /nfs/dbraw/zinc/72/94/43/487729443.db2.gz VRQSKCFDGUOJLU-VZUFXWRPSA-N 0 3 231.339 2.811 20 0 BFADHN CC[C@H](O)CNC1(c2ccc(F)cc2)CCC1 ZINC000675494066 487740238 /nfs/dbraw/zinc/74/02/38/487740238.db2.gz JGEAVUBBUKDDFS-ZDUSSCGKSA-N 0 3 237.318 2.565 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1cccc(C)n1)OC ZINC000381308054 487747229 /nfs/dbraw/zinc/74/72/29/487747229.db2.gz SKQJNJNKSBWUJH-BXUZGUMPSA-N 0 3 236.359 2.541 20 0 BFADHN OC[C@H](NCC1=CCCC1)c1ccc(F)cc1 ZINC000645851596 487759118 /nfs/dbraw/zinc/75/91/18/487759118.db2.gz RKAGXEGNNBOHEX-AWEZNQCLSA-N 0 3 235.302 2.559 20 0 BFADHN Cc1ncccc1CN1CCS[C@@H](C)[C@@H]1C ZINC000093501541 487771976 /nfs/dbraw/zinc/77/19/76/487771976.db2.gz MAMHCBUDNWVBMI-RYUDHWBXSA-N 0 3 236.384 2.716 20 0 BFADHN CCCc1ccc(CNC2(COC)CC2)cc1 ZINC000675763980 487775686 /nfs/dbraw/zinc/77/56/86/487775686.db2.gz ZXLPJJDCFKKLNU-UHFFFAOYSA-N 0 3 233.355 2.908 20 0 BFADHN Cc1occc1CN1CCC[C@@H](c2cc[nH]n2)C1 ZINC000666296898 487780561 /nfs/dbraw/zinc/78/05/61/487780561.db2.gz FKOMGOIXRHCSHK-CYBMUJFWSA-N 0 3 245.326 2.691 20 0 BFADHN CCC[C@H](N[C@H](C)[C@H](C)OC)c1ccccn1 ZINC000675817136 487782078 /nfs/dbraw/zinc/78/20/78/487782078.db2.gz RZWMIXMZGYKOCR-DYEKYZERSA-N 0 3 236.359 2.936 20 0 BFADHN CCc1ncc(CN2C3CCCC2CCC3)cn1 ZINC000666315427 487785922 /nfs/dbraw/zinc/78/59/22/487785922.db2.gz LPYKQEKOKVTAFW-UHFFFAOYSA-N 0 3 245.370 2.946 20 0 BFADHN Cc1ccc(CN(CCCF)C2CC2)o1 ZINC000675884351 487786777 /nfs/dbraw/zinc/78/67/77/487786777.db2.gz KPTBHMWDGLDJDI-UHFFFAOYSA-N 0 3 211.280 2.912 20 0 BFADHN CC(C)C[C@H](C)CN[C@H]1C[C@@H](C)n2ncnc21 ZINC000668467992 487791753 /nfs/dbraw/zinc/79/17/53/487791753.db2.gz BFUNGTCNLSVANZ-TUAOUCFPSA-N 0 3 236.363 2.556 20 0 BFADHN CC(C)C[C@H](C)CN[C@@H]1C[C@H](C)n2ncnc21 ZINC000668467990 487791841 /nfs/dbraw/zinc/79/18/41/487791841.db2.gz BFUNGTCNLSVANZ-SDDRHHMPSA-N 0 3 236.363 2.556 20 0 BFADHN CCO[C@@H]1CCCN(Cc2ccc(C)nc2C)C1 ZINC000681404062 487794275 /nfs/dbraw/zinc/79/42/75/487794275.db2.gz OVUAESNKHFYTTG-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN C[C@H](CCc1ccco1)NCc1ccc(F)cn1 ZINC000675938655 487795240 /nfs/dbraw/zinc/79/52/40/487795240.db2.gz DIJZGCZASJLDJF-LLVKDONJSA-N 0 3 248.301 2.925 20 0 BFADHN C[C@@H]1CCCCC[C@@H]1NCc1nnc(C2CC2)[nH]1 ZINC000681413010 487796626 /nfs/dbraw/zinc/79/66/26/487796626.db2.gz ZFDAZGYRWSBURS-PWSUYJOCSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@H]1CCCCC[C@H]1NCc1nnc(C2CC2)[nH]1 ZINC000681413008 487796731 /nfs/dbraw/zinc/79/67/31/487796731.db2.gz ZFDAZGYRWSBURS-CMPLNLGQSA-N 0 3 248.374 2.741 20 0 BFADHN FCCCN[C@H](Cn1cccn1)c1ccccc1 ZINC000675965665 487796930 /nfs/dbraw/zinc/79/69/30/487796930.db2.gz LYUZRPFTAWEBPK-CQSZACIVSA-N 0 3 247.317 2.574 20 0 BFADHN COCCC1CN(Cc2cccc(C)c2C)C1 ZINC000668473765 487799549 /nfs/dbraw/zinc/79/95/49/487799549.db2.gz AVXRSJLSUPNIIE-UHFFFAOYSA-N 0 3 233.355 2.772 20 0 BFADHN C[C@@H](CNCc1cocn1)Cc1ccccc1 ZINC000681486074 487805108 /nfs/dbraw/zinc/80/51/08/487805108.db2.gz CZIFDOCPMRBYLH-GFCCVEGCSA-N 0 3 230.311 2.643 20 0 BFADHN CN(CCOC(C)(C)C)[C@@H]1C=CCCC1 ZINC000676042351 487804806 /nfs/dbraw/zinc/80/48/06/487804806.db2.gz WCRHSOOWVWOBNV-GFCCVEGCSA-N 0 3 211.349 2.842 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000385139199 487805682 /nfs/dbraw/zinc/80/56/82/487805682.db2.gz LUYLIHCYNAKUOZ-WQWPXVFUSA-N 0 3 238.331 2.508 20 0 BFADHN COc1ccc(CN[C@@H](C)[C@H]2CC2(F)F)cc1 ZINC000666444946 487810181 /nfs/dbraw/zinc/81/01/81/487810181.db2.gz MMZNOIYKYZZMPA-JOYOIKCWSA-N 0 3 241.281 2.829 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cccc(F)c1N ZINC000385383651 487811019 /nfs/dbraw/zinc/81/10/19/487811019.db2.gz ZPYHAYRMDOKKAG-DGCLKSJQSA-N 0 3 236.334 2.932 20 0 BFADHN Cc1ccc(CN(C[C@@H]2CCCOC2)C2CC2)o1 ZINC000676082733 487811829 /nfs/dbraw/zinc/81/18/29/487811829.db2.gz QMIMERMVMSIQLO-ZDUSSCGKSA-N 0 3 249.354 2.979 20 0 BFADHN Cc1nocc1CN1CC[C@H](C2CCC2)C1 ZINC000666487928 487819085 /nfs/dbraw/zinc/81/90/85/487819085.db2.gz VUARYYUBKOPIRW-LBPRGKRZSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H](C3CCC3)C2)n1 ZINC000666487993 487819798 /nfs/dbraw/zinc/81/97/98/487819798.db2.gz BJONYRQAAFCZFR-CYBMUJFWSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H](C3CCC3)C2)n1 ZINC000666487993 487819800 /nfs/dbraw/zinc/81/98/00/487819800.db2.gz BJONYRQAAFCZFR-CYBMUJFWSA-N 0 3 246.354 2.718 20 0 BFADHN Cn1ccnc1NCc1ccccc1C1CC1 ZINC000666237858 487822478 /nfs/dbraw/zinc/82/24/78/487822478.db2.gz FVHQGIGOZSEUPS-UHFFFAOYSA-N 0 3 227.311 2.910 20 0 BFADHN CO[C@]1(C)CCCN(C/C=C\Cl)CC1 ZINC000796499921 586105026 /nfs/dbraw/zinc/10/50/26/586105026.db2.gz GSPXIOSFEJPDAS-MEQVVJDKSA-N 0 3 217.740 2.630 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]2C(C)C)o1 ZINC000075648295 487829181 /nfs/dbraw/zinc/82/91/81/487829181.db2.gz BHDRAFJDTSFYCN-LLVKDONJSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1cc2cnccc2o1 ZINC000651805410 487829264 /nfs/dbraw/zinc/82/92/64/487829264.db2.gz QQYUACWKODHKNC-CABZTGNLSA-N 0 3 248.351 2.669 20 0 BFADHN COc1nc(C)cc(C)c1CNC1(C2CC2)CC1 ZINC000558125522 322946236 /nfs/dbraw/zinc/94/62/36/322946236.db2.gz HOQJBRJMCNGPDM-UHFFFAOYSA-N 0 3 246.354 2.739 20 0 BFADHN CC1(C)CN([C@@H]2CCc3ccccc32)CCO1 ZINC000681673165 487834265 /nfs/dbraw/zinc/83/42/65/487834265.db2.gz WGTVFHKPCMPNEY-CQSZACIVSA-N 0 3 231.339 2.785 20 0 BFADHN CC1(C)CC[C@H]1C(=O)Nc1ccc2c(c1)CNC2 ZINC000659312544 487840319 /nfs/dbraw/zinc/84/03/19/487840319.db2.gz GXDSHNZVJATCMV-ZDUSSCGKSA-N 0 3 244.338 2.665 20 0 BFADHN C[C@@H](c1cccs1)N(C)CCOC(F)F ZINC000851728296 588618677 /nfs/dbraw/zinc/61/86/77/588618677.db2.gz LKPQIZVXCOOAFD-QMMMGPOBSA-N 0 3 235.299 2.980 20 0 BFADHN COCCN(C)Cc1cccc(Cl)c1C ZINC000876564151 590216702 /nfs/dbraw/zinc/21/67/02/590216702.db2.gz IHAXEGZHCHWENQ-UHFFFAOYSA-N 0 3 227.735 2.727 20 0 BFADHN C[C@H]1CN(CCO[C@@H]2CC2(F)F)CC(C)(C)C1 ZINC000660248965 487845689 /nfs/dbraw/zinc/84/56/89/487845689.db2.gz WHKSEOSPKFTDGS-GHMZBOCLSA-N 0 3 247.329 2.779 20 0 BFADHN COC[C@H](C)NCc1ccc(C)cc1Cl ZINC000234696630 487855504 /nfs/dbraw/zinc/85/55/04/487855504.db2.gz VVRYAMKKHKIMNB-JTQLQIEISA-N 0 3 227.735 2.773 20 0 BFADHN C[C@@H](CN(C)CC(=O)NC(C)(C)C)C(C)(C)C ZINC000670261739 487855848 /nfs/dbraw/zinc/85/58/48/487855848.db2.gz HPYIXNIWUUZEHZ-NSHDSACASA-N 0 3 242.407 2.515 20 0 BFADHN CC[C@H]1CN(C[C@H](C)C(C)(C)C)CCO1 ZINC000670262107 487857905 /nfs/dbraw/zinc/85/79/05/487857905.db2.gz QSNRFLZZPISENR-RYUDHWBXSA-N 0 3 213.365 2.779 20 0 BFADHN Cc1cccc(CN2CCC[C@H](CCF)C2)n1 ZINC000661688340 487868069 /nfs/dbraw/zinc/86/80/69/487868069.db2.gz FYFFVFITCSFBDU-CYBMUJFWSA-N 0 3 236.334 2.962 20 0 BFADHN CSCC(C)(C)NCc1c(C)noc1C ZINC000934671378 641241614 /nfs/dbraw/zinc/24/16/14/641241614.db2.gz XQENVQVAYFPGHC-UHFFFAOYSA-N 0 3 228.361 2.523 20 0 BFADHN Cc1nc(CN2CCC[C@@H](C)CC2)c(C)o1 ZINC000659856318 487871132 /nfs/dbraw/zinc/87/11/32/487871132.db2.gz CRMBWRXFZSTRFT-SNVBAGLBSA-N 0 3 222.332 2.913 20 0 BFADHN Cc1ncc(CNC(C)(C)CC(F)(F)F)cn1 ZINC000681989031 487875914 /nfs/dbraw/zinc/87/59/14/487875914.db2.gz OYHFUXOWGKVHJC-UHFFFAOYSA-N 0 3 247.264 2.606 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+]C[C@@H](C)C(C)(C)C)[n-]1 ZINC000670268088 487876825 /nfs/dbraw/zinc/87/68/25/487876825.db2.gz HCXIKRLUXHFNDU-NXEZZACHSA-N 0 3 238.379 2.700 20 0 BFADHN CCc1nnc([C@@H](C)NC[C@@H](C)C(C)(C)C)[nH]1 ZINC000670268088 487876829 /nfs/dbraw/zinc/87/68/29/487876829.db2.gz HCXIKRLUXHFNDU-NXEZZACHSA-N 0 3 238.379 2.700 20 0 BFADHN CCc1nnc([C@H](C)[NH2+]C[C@@H](C)C(C)(C)C)[n-]1 ZINC000670268091 487877020 /nfs/dbraw/zinc/87/70/20/487877020.db2.gz HCXIKRLUXHFNDU-ZJUUUORDSA-N 0 3 238.379 2.700 20 0 BFADHN CCc1nnc([C@H](C)NC[C@@H](C)C(C)(C)C)[nH]1 ZINC000670268091 487877024 /nfs/dbraw/zinc/87/70/24/487877024.db2.gz HCXIKRLUXHFNDU-ZJUUUORDSA-N 0 3 238.379 2.700 20 0 BFADHN CCCNC1(c2ccccc2OC)CC1 ZINC000645999396 487879810 /nfs/dbraw/zinc/87/98/10/487879810.db2.gz ZQDJUFIBCWHENC-UHFFFAOYSA-N 0 3 205.301 2.684 20 0 BFADHN C[C@H](CN(C)Cc1ccnn1C)C(C)(C)C ZINC000670270480 487886695 /nfs/dbraw/zinc/88/66/95/487886695.db2.gz JIJGLCOPXQSUAX-LLVKDONJSA-N 0 3 223.364 2.534 20 0 BFADHN CCCC[C@@H](CC)CCN1CC[C@@](F)(CO)C1 ZINC000670273500 487896444 /nfs/dbraw/zinc/89/64/44/487896444.db2.gz CCZYHFNZDDAFRS-KGLIPLIRSA-N 0 3 245.382 2.999 20 0 BFADHN CCn1ccnc1[C@@H](C)NC1(C2CCC2)CC1 ZINC000652273830 487897600 /nfs/dbraw/zinc/89/76/00/487897600.db2.gz YXARSBAMXUMKDH-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN CC1(C)CCC[C@@H](CN2CC[C@@](F)(CO)C2)C1 ZINC000670273726 487897737 /nfs/dbraw/zinc/89/77/37/487897737.db2.gz UWZGZZCGURGAAZ-OCCSQVGLSA-N 0 3 243.366 2.609 20 0 BFADHN c1coc(CNC[C@H]2CCC3(CCCC3)O2)c1 ZINC000229269145 487899959 /nfs/dbraw/zinc/89/99/59/487899959.db2.gz OXKFPYXHNVNPIV-CYBMUJFWSA-N 0 3 235.327 2.861 20 0 BFADHN C[C@H]1CCCC[C@@H]1OCCN1CC=CCC1 ZINC000679321478 487900127 /nfs/dbraw/zinc/90/01/27/487900127.db2.gz DGLMKUHDFJZTPT-KBPBESRZSA-N 0 3 223.360 2.844 20 0 BFADHN c1ccc(CNC[C@@H]2CCC3(CCCC3)O2)nc1 ZINC000229268099 487900282 /nfs/dbraw/zinc/90/02/82/487900282.db2.gz QLKXJHUURGPWQZ-AWEZNQCLSA-N 0 3 246.354 2.663 20 0 BFADHN OC[C@H]1CCCCCN1Cc1cccc(F)c1 ZINC000157060980 487901300 /nfs/dbraw/zinc/90/13/00/487901300.db2.gz GGSSOFBZNFBHSX-CQSZACIVSA-N 0 3 237.318 2.563 20 0 BFADHN OC[C@@H]1CCCCCN1Cc1cccc(F)c1 ZINC000157061155 487901199 /nfs/dbraw/zinc/90/11/99/487901199.db2.gz GGSSOFBZNFBHSX-AWEZNQCLSA-N 0 3 237.318 2.563 20 0 BFADHN Cc1cc(C)n(CCN[C@H](C)c2ccsc2)n1 ZINC000386951795 487903563 /nfs/dbraw/zinc/90/35/63/487903563.db2.gz XQVSKZHDLQQFFI-GFCCVEGCSA-N 0 3 249.383 2.912 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1CSC[C@H]1C ZINC000304538204 487904127 /nfs/dbraw/zinc/90/41/27/487904127.db2.gz RVXDFCPMERZXPA-VHSKPIJISA-N 0 3 240.372 2.694 20 0 BFADHN CCc1ccccc1CN1CCc2cn[nH]c2C1 ZINC000682085913 487906625 /nfs/dbraw/zinc/90/66/25/487906625.db2.gz WAJPSRYIJNJKBI-UHFFFAOYSA-N 0 3 241.338 2.530 20 0 BFADHN C[C@@H](N[C@@H](CO)CCF)c1ccccc1Cl ZINC000652306904 487907325 /nfs/dbraw/zinc/90/73/25/487907325.db2.gz IKYOSQKUYGWLOB-NXEZZACHSA-N 0 3 245.725 2.711 20 0 BFADHN CC[C@H](NCc1ccc(=O)[nH]c1)c1ccccc1 ZINC000083370547 487909331 /nfs/dbraw/zinc/90/93/31/487909331.db2.gz JWRNPLNONKMECI-AWEZNQCLSA-N 0 3 242.322 2.616 20 0 BFADHN C[C@@H](c1ccncc1)N1CC[C@@]2(C1)CCCOC2 ZINC000671254352 487911516 /nfs/dbraw/zinc/91/15/16/487911516.db2.gz FFSJVGNOSNKINA-DZGCQCFKSA-N 0 3 246.354 2.645 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2ncc[nH]2)CC1 ZINC000083435886 487912756 /nfs/dbraw/zinc/91/27/56/487912756.db2.gz MZXYXXFEIRPFNB-NEPJUHHUSA-N 0 3 221.348 2.858 20 0 BFADHN CCN(CCC(F)(F)F)C[C@H](C)COC ZINC000682153970 487912865 /nfs/dbraw/zinc/91/28/65/487912865.db2.gz ZZBGQWBYEXGTOQ-VIFPVBQESA-N 0 3 227.270 2.543 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2cc[nH]n2)CC1 ZINC000083435640 487913010 /nfs/dbraw/zinc/91/30/10/487913010.db2.gz JKYLOYPUBZRUEQ-VXGBXAGGSA-N 0 3 221.348 2.858 20 0 BFADHN C[C@@H](NCCC1CC1)c1cn2ccccc2n1 ZINC000671117982 487913823 /nfs/dbraw/zinc/91/38/23/487913823.db2.gz ADIQICFFYXMMGB-LLVKDONJSA-N 0 3 229.327 2.785 20 0 BFADHN COC[C@@H](C)CN1CC[C@@H]1c1cccc(F)c1 ZINC000682155824 487914884 /nfs/dbraw/zinc/91/48/84/487914884.db2.gz CYLGNYSQSLPOEK-SMDDNHRTSA-N 0 3 237.318 2.855 20 0 BFADHN C[C@@H](CN(C)Cc1cn[nH]c1)c1ccc(F)cc1 ZINC000682155379 487917187 /nfs/dbraw/zinc/91/71/87/487917187.db2.gz VUBCACICHHCBFT-NSHDSACASA-N 0 3 247.317 2.784 20 0 BFADHN CCn1cncc1CN[C@H](C)Cc1ccsc1 ZINC000090172766 487919691 /nfs/dbraw/zinc/91/96/91/487919691.db2.gz OWYINMFMELWCDN-LLVKDONJSA-N 0 3 249.383 2.685 20 0 BFADHN CC(C)O[C@H]1CCCN(Cc2ccc(O)cc2)C1 ZINC000404572055 487927155 /nfs/dbraw/zinc/92/71/55/487927155.db2.gz IJORUCFZPVYMFE-HNNXBMFYSA-N 0 3 249.354 2.782 20 0 BFADHN CC[C@]1(C)CN(CCCOC(C)(C)C)CCO1 ZINC000682368886 487941052 /nfs/dbraw/zinc/94/10/52/487941052.db2.gz KMMBCBBIFNKUKU-CQSZACIVSA-N 0 3 243.391 2.693 20 0 BFADHN CC[C@@]1(C)CN(CCCOC(C)(C)C)CCO1 ZINC000682368885 487941161 /nfs/dbraw/zinc/94/11/61/487941161.db2.gz KMMBCBBIFNKUKU-AWEZNQCLSA-N 0 3 243.391 2.693 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1C[C@@H]2COC[C@@]2(C)C1 ZINC000661704567 487948613 /nfs/dbraw/zinc/94/86/13/487948613.db2.gz XMIRTBHPIADTHB-UXIGCNINSA-N 0 3 249.329 2.855 20 0 BFADHN CC1(C)C[C@@H](N[C@@H]2CCCc3cccnc32)CO1 ZINC000396543287 487953395 /nfs/dbraw/zinc/95/33/95/487953395.db2.gz SPSYCVMQMNIKLQ-CHWSQXEVSA-N 0 3 246.354 2.616 20 0 BFADHN CCn1c2ccccc2nc1CNC1CCC1 ZINC000052208725 322852939 /nfs/dbraw/zinc/85/29/39/322852939.db2.gz OKKSQBAYAZFGCF-UHFFFAOYSA-N 0 3 229.327 2.698 20 0 BFADHN c1cnc(CN[C@@H]2CC[C@@H]3CCC[C@H]3C2)cn1 ZINC000560604209 322990222 /nfs/dbraw/zinc/99/02/22/322990222.db2.gz BSWLMMDRLBEHOM-RWMBFGLXSA-N 0 3 231.343 2.535 20 0 BFADHN Cc1ncc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)o1 ZINC000403711022 322993174 /nfs/dbraw/zinc/99/31/74/322993174.db2.gz XFGRDENACHLBMJ-UTUOFQBUSA-N 0 3 220.316 2.509 20 0 BFADHN Cc1cc(CN([C@@H](C)C2CC2)C2CC2)on1 ZINC000047909171 323000442 /nfs/dbraw/zinc/00/04/42/323000442.db2.gz APFGAAWOCQTNJO-JTQLQIEISA-N 0 3 220.316 2.746 20 0 BFADHN CCN(CC)CC(=O)NCC(CC)(CC)CC ZINC000150864538 323001071 /nfs/dbraw/zinc/00/10/71/323001071.db2.gz XJYQPFDWAVQTRA-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CCc1ccc(CNC[C@H](OC)C(C)(C)C)o1 ZINC000150899375 323002028 /nfs/dbraw/zinc/00/20/28/323002028.db2.gz ILXLDUIVFVWGMZ-ZDUSSCGKSA-N 0 3 239.359 2.993 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H]1CCCNc2ccccc21 ZINC000561959361 323009300 /nfs/dbraw/zinc/00/93/00/323009300.db2.gz BQWZAEVSZVTQDX-RBSFLKMASA-N 0 3 246.354 2.700 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](CO)C(C)C)o1 ZINC000562064380 323011310 /nfs/dbraw/zinc/01/13/10/323011310.db2.gz LBGRVMNJESLSSV-NWDGAFQWSA-N 0 3 239.359 2.757 20 0 BFADHN Cc1ncc(CN[C@H]2C=CCCC2)s1 ZINC000151186972 323012782 /nfs/dbraw/zinc/01/27/82/323012782.db2.gz YLLQPIKGEURMES-JTQLQIEISA-N 0 3 208.330 2.650 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1c2cc(F)ccc2C[C@H]1C ZINC000562603638 323025346 /nfs/dbraw/zinc/02/53/46/323025346.db2.gz YHWWVLXDCNQFLE-UVCAVOOOSA-N 0 3 249.329 2.826 20 0 BFADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1ccn(C)n1 ZINC000562661710 323026712 /nfs/dbraw/zinc/02/67/12/323026712.db2.gz JTYXPGVXNUXSMO-ZIAGYGMSSA-N 0 3 235.375 2.533 20 0 BFADHN Cc1ccoc1CNCCc1ccc(C)nc1 ZINC000525696426 323029421 /nfs/dbraw/zinc/02/94/21/323029421.db2.gz SXBXHFCNNSOLKA-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN C[C@@H](N[C@H]1CC1(C)C)c1cn2ccccc2n1 ZINC000565219417 323034156 /nfs/dbraw/zinc/03/41/56/323034156.db2.gz VLURPZBMPPYZFL-PWSUYJOCSA-N 0 3 229.327 2.783 20 0 BFADHN COc1cccc(CN[C@H]2[C@@H]3CCC[C@@H]32)c1OC ZINC000565241997 323036973 /nfs/dbraw/zinc/03/69/73/323036973.db2.gz BCTFNDKIMKLUNW-IMRBUKKESA-N 0 3 247.338 2.592 20 0 BFADHN FC1(CNCc2cccc3c2CCCN3)CC1 ZINC000569691079 323037064 /nfs/dbraw/zinc/03/70/64/323037064.db2.gz FEVYSVGBHOROBR-UHFFFAOYSA-N 0 3 234.318 2.636 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]CCCC2CC2)c1 ZINC000159627498 323040802 /nfs/dbraw/zinc/04/08/02/323040802.db2.gz LKTNQAUOHDVTGV-UHFFFAOYSA-N 0 3 223.291 2.811 20 0 BFADHN Cc1nnc(COc2cc(C)nc(C)c2C)s1 ZINC000569867164 323043067 /nfs/dbraw/zinc/04/30/67/323043067.db2.gz FGLADCTXVYXDIZ-UHFFFAOYSA-N 0 3 249.339 2.746 20 0 BFADHN CCCN(C)[C@H](C)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC000153162109 323050353 /nfs/dbraw/zinc/05/03/53/323050353.db2.gz MHVXFGLAOPWCTL-FRRDWIJNSA-N 0 3 240.391 2.506 20 0 BFADHN CN(Cc1cnn(C)c1C(F)(F)F)C(C)(C)C ZINC000570266178 323054708 /nfs/dbraw/zinc/05/47/08/323054708.db2.gz OQLTZIBGVCCOLM-UHFFFAOYSA-N 0 3 249.280 2.669 20 0 BFADHN Cc1nnc(CN[C@H](C2CC2)C2CCC2)s1 ZINC000570485892 323060986 /nfs/dbraw/zinc/06/09/86/323060986.db2.gz MGCPFECZJUSKGF-LBPRGKRZSA-N 0 3 237.372 2.515 20 0 BFADHN CCC[C@H](N)C(=O)N1CCC[C@H]1CC(C)(C)C ZINC000156346154 323065623 /nfs/dbraw/zinc/06/56/23/323065623.db2.gz VXKVRRZBQPONFZ-RYUDHWBXSA-N 0 3 240.391 2.541 20 0 BFADHN FC1(CNCc2ccc3c(c2)CCCN3)CC1 ZINC000570663854 323066336 /nfs/dbraw/zinc/06/63/36/323066336.db2.gz JHGWRYCLOSAFQT-UHFFFAOYSA-N 0 3 234.318 2.636 20 0 BFADHN CC[C@@H](N[C@@H](CC)C(F)F)C1CCOCC1 ZINC000570773625 323069701 /nfs/dbraw/zinc/06/97/01/323069701.db2.gz FGIRHGCWYGXKGR-MNOVXSKESA-N 0 3 235.318 2.825 20 0 BFADHN COc1c(C)cnc(CN(C)C(C)(C)C)c1C ZINC000570904504 323073755 /nfs/dbraw/zinc/07/37/55/323073755.db2.gz FQBRMNJYDCLZSW-UHFFFAOYSA-N 0 3 236.359 2.937 20 0 BFADHN Cc1nc(C)c(COc2ccccc2CN)s1 ZINC000562980568 323077852 /nfs/dbraw/zinc/07/78/52/323077852.db2.gz DIBQRLUMRSFGGV-UHFFFAOYSA-N 0 3 248.351 2.798 20 0 BFADHN CC/C=C\CCN1C[C@H](C)O[C@H](C)[C@H]1C ZINC000446060294 323087343 /nfs/dbraw/zinc/08/73/43/323087343.db2.gz MFGOHOYAQJITFW-ZXSNLUEJSA-N 0 3 211.349 2.840 20 0 BFADHN C[C@@H]1C[C@@H](NC/C=C\c2ccc(F)cc2)CO1 ZINC000563121594 323093566 /nfs/dbraw/zinc/09/35/66/323093566.db2.gz RAEULJZDIIWACA-ZRNPCAPESA-N 0 3 235.302 2.606 20 0 BFADHN Cc1cc(OCC2CCOCC2)c(C)c(C)n1 ZINC000570985264 323102979 /nfs/dbraw/zinc/10/29/79/323102979.db2.gz HJRKUSUARURFEC-UHFFFAOYSA-N 0 3 235.327 2.812 20 0 BFADHN CC(C)CC[C@H](O)CN[C@@H]1CCCC[C@H]1F ZINC000571039599 323104415 /nfs/dbraw/zinc/10/44/15/323104415.db2.gz DBRMFYZSHJFOLU-YNEHKIRRSA-N 0 3 231.355 2.654 20 0 BFADHN C[C@H](NCC1(CCO)CCCC1)c1ccoc1 ZINC000531728767 323111654 /nfs/dbraw/zinc/11/16/54/323111654.db2.gz HGOFHUZKOIBAGQ-LBPRGKRZSA-N 0 3 237.343 2.873 20 0 BFADHN COc1cc(CN2CCC(C(C)C)CC2)ccn1 ZINC000534221110 323114742 /nfs/dbraw/zinc/11/47/42/323114742.db2.gz LNRABKWFPTUJRV-UHFFFAOYSA-N 0 3 248.370 2.958 20 0 BFADHN CN1CCN(C/C=C\c2ccccc2)CC1(C)C ZINC000563356224 323120578 /nfs/dbraw/zinc/12/05/78/323120578.db2.gz VKSJCBOXNIQWJU-YFHOEESVSA-N 0 3 244.382 2.726 20 0 BFADHN COCC1(NCc2ccc(C)o2)CCCC1 ZINC000563439797 323125744 /nfs/dbraw/zinc/12/57/44/323125744.db2.gz OPVBEXVIOVRULX-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN CC(C)NCC(=O)NCC[C@H](C)CC(C)(C)C ZINC000563451151 323126378 /nfs/dbraw/zinc/12/63/78/323126378.db2.gz LBXQVIOSYBJESU-LBPRGKRZSA-N 0 3 242.407 2.563 20 0 BFADHN COC[C@H](NCc1c(C)nsc1C)C(C)C ZINC000565167660 323128014 /nfs/dbraw/zinc/12/80/14/323128014.db2.gz ZAECTXNZZMOEKD-LBPRGKRZSA-N 0 3 242.388 2.521 20 0 BFADHN CSCCN(C)CCc1ccc(F)c(F)c1 ZINC000152174149 323131788 /nfs/dbraw/zinc/13/17/88/323131788.db2.gz JLASLKYMSJHVHY-UHFFFAOYSA-N 0 3 245.338 2.802 20 0 BFADHN Cc1ccc2oc(CNCC3(C)COC3)cc2c1 ZINC000152234034 323133841 /nfs/dbraw/zinc/13/38/41/323133841.db2.gz CHBCQVJQGZTRSE-UHFFFAOYSA-N 0 3 245.322 2.867 20 0 BFADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@H]1CCC[C@H]1F ZINC000563673250 323134467 /nfs/dbraw/zinc/13/44/67/323134467.db2.gz NEGJAPMPYBMDEG-AXFHLTTASA-N 0 3 245.338 2.587 20 0 BFADHN C/C(=C\c1ccccc1)CN[C@@H]1CO[C@@H](C)C1 ZINC000571208576 323138513 /nfs/dbraw/zinc/13/85/13/323138513.db2.gz SHPREGXVAWVFQD-RKIJOGDYSA-N 0 3 231.339 2.857 20 0 BFADHN C/C(=C\c1ccccc1)CN[C@@H]1CO[C@H](C)C1 ZINC000571208574 323138537 /nfs/dbraw/zinc/13/85/37/323138537.db2.gz SHPREGXVAWVFQD-CSPHYMHISA-N 0 3 231.339 2.857 20 0 BFADHN CC(C)N1CCN([C@H]2C=CCCCCC2)CC1 ZINC000571230410 323138920 /nfs/dbraw/zinc/13/89/20/323138920.db2.gz WNVWCRAYRGMJBT-HNNXBMFYSA-N 0 3 236.403 2.901 20 0 BFADHN CC(C)N(Cc1cnccn1)C1CC(C)(C)C1 ZINC000571381710 323143099 /nfs/dbraw/zinc/14/30/99/323143099.db2.gz NTGJEOSWSWOXCO-UHFFFAOYSA-N 0 3 233.359 2.876 20 0 BFADHN CCc1ccc(CN(C)C(C)(C)C)cn1 ZINC000571444206 323144968 /nfs/dbraw/zinc/14/49/68/323144968.db2.gz RPICSFGVEZMKEB-UHFFFAOYSA-N 0 3 206.333 2.874 20 0 BFADHN COc1cccc(OC)c1CNC1CC(C)(C)C1 ZINC000571463373 323145389 /nfs/dbraw/zinc/14/53/89/323145389.db2.gz DVLRVHBVMHBTGZ-UHFFFAOYSA-N 0 3 249.354 2.982 20 0 BFADHN CC(C)[C@@H](NCc1cn2ccccc2n1)C1CC1 ZINC000324289630 323145572 /nfs/dbraw/zinc/14/55/72/323145572.db2.gz RRFXQMAPLBCPTE-OAHLLOKOSA-N 0 3 243.354 2.859 20 0 BFADHN C[C@H](N(C)Cc1ccc(CO)o1)C(C)(C)C ZINC000161160472 323149430 /nfs/dbraw/zinc/14/94/30/323149430.db2.gz IFWOHRYKFFOUHU-JTQLQIEISA-N 0 3 225.332 2.638 20 0 BFADHN CCCCN1CCN(Cc2ccsc2)CC1 ZINC000534523683 323153133 /nfs/dbraw/zinc/15/31/33/323153133.db2.gz HBZYOHJUXYHXDI-UHFFFAOYSA-N 0 3 238.400 2.666 20 0 BFADHN COc1cc(C)nc(CN2CC[C@@H](C(C)C)C2)c1 ZINC000534547338 323153322 /nfs/dbraw/zinc/15/33/22/323153322.db2.gz CPOMIVXQMKCLOR-CYBMUJFWSA-N 0 3 248.370 2.877 20 0 BFADHN CCOc1cccc(CNC(C)(C)C2CC2)n1 ZINC000535022285 323163318 /nfs/dbraw/zinc/16/33/18/323163318.db2.gz ZGSUOJSEZUAAOF-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN Fc1cccnc1CN[C@@H]1CCCC12CC2 ZINC000571658611 323164521 /nfs/dbraw/zinc/16/45/21/323164521.db2.gz NSNSMDVMNVKROT-GFCCVEGCSA-N 0 3 220.291 2.643 20 0 BFADHN CCc1ccc(CN(CCO)C(C)C)s1 ZINC000050103710 323164932 /nfs/dbraw/zinc/16/49/32/323164932.db2.gz LHBFQSGLFUKQFM-UHFFFAOYSA-N 0 3 227.373 2.513 20 0 BFADHN C[C@@H]1CCN(Cc2cnn(C)c2)[C@H]2CCCC[C@H]12 ZINC000531988677 323165147 /nfs/dbraw/zinc/16/51/47/323165147.db2.gz CBANYYLCHHMWKM-YUELXQCFSA-N 0 3 247.386 2.821 20 0 BFADHN Cc1ccc(CN(C)CCn2cccn2)c(C)c1 ZINC000049983279 323165645 /nfs/dbraw/zinc/16/56/45/323165645.db2.gz AJGHCEVIKCCRQB-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN COC(=O)C(C)(C)N(C)CC(C(C)C)C(C)C ZINC000563681015 323167704 /nfs/dbraw/zinc/16/77/04/323167704.db2.gz AUSXGOFKVYUHBR-UHFFFAOYSA-N 0 3 243.391 2.798 20 0 BFADHN CCCCN(C)CCNC(=O)C[C@@H](C)C(C)C ZINC000112310478 323168945 /nfs/dbraw/zinc/16/89/45/323168945.db2.gz DVUFDJHZAKRDDU-CYBMUJFWSA-N 0 3 242.407 2.517 20 0 BFADHN CCc1ccc(CNCCCCn2ccnc2)o1 ZINC000051725029 323190797 /nfs/dbraw/zinc/19/07/97/323190797.db2.gz CVZMNCRBZZIMLW-UHFFFAOYSA-N 0 3 247.342 2.609 20 0 BFADHN CC(C)=CCNCc1cnn(C(C)(C)C)c1 ZINC000191630453 323192089 /nfs/dbraw/zinc/19/20/89/323192089.db2.gz VXODKVQDPBXOOX-UHFFFAOYSA-N 0 3 221.348 2.694 20 0 BFADHN CC(C)CN1CCN(Cc2ccsc2)CC1 ZINC000052585106 323193591 /nfs/dbraw/zinc/19/35/91/323193591.db2.gz IUSQFSKBOZTMJD-UHFFFAOYSA-N 0 3 238.400 2.522 20 0 BFADHN CCc1nn(C)c(CC)c1CN1C2CCC1CC2 ZINC000536632140 323198939 /nfs/dbraw/zinc/19/89/39/323198939.db2.gz PSIQZPHUNKVWED-UHFFFAOYSA-N 0 3 247.386 2.672 20 0 BFADHN CC(C)c1cccc(NC(=O)[C@@H](N)C2CCC2)c1 ZINC000399233977 323201006 /nfs/dbraw/zinc/20/10/06/323201006.db2.gz QGJRWAAXYOFHSS-AWEZNQCLSA-N 0 3 246.354 2.876 20 0 BFADHN Cc1nc(CNC[C@H]2CC[C@H](C)C2)[nH]c1C ZINC000563898334 323206304 /nfs/dbraw/zinc/20/63/04/323206304.db2.gz FJFBPOCVDBSEHC-CABZTGNLSA-N 0 3 221.348 2.552 20 0 BFADHN Cc1nc(CN[C@H](C)C2CC2)nc2ccccc12 ZINC000532218833 323209298 /nfs/dbraw/zinc/20/92/98/323209298.db2.gz ZWSURAOHAHZIHF-SNVBAGLBSA-N 0 3 241.338 2.826 20 0 BFADHN CC(C)N(CCCF)Cc1ccccn1 ZINC000532243168 323211563 /nfs/dbraw/zinc/21/15/63/323211563.db2.gz YRSPARYHIIHXFF-UHFFFAOYSA-N 0 3 210.296 2.652 20 0 BFADHN CC[C@@H](NCc1cc(C)no1)[C@H]1CC1(C)C ZINC000397677236 323229206 /nfs/dbraw/zinc/22/92/06/323229206.db2.gz RZIKODKKQULXIG-VXGBXAGGSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@H](NC[C@@H]1CCCC[C@@H]1C)c1ccncn1 ZINC000395917164 323263334 /nfs/dbraw/zinc/26/33/34/323263334.db2.gz RQHFLHFYVGBIJG-AVGNSLFASA-N 0 3 233.359 2.954 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)[C@@H](C)C1)c1ccncn1 ZINC000395929117 323265704 /nfs/dbraw/zinc/26/57/04/323265704.db2.gz WZSCZCKNCALKKF-MROQNXINSA-N 0 3 233.359 2.952 20 0 BFADHN CCC(C)(C)NC(=O)CN(C)[C@H](C)C(C)(C)C ZINC000532491300 323268944 /nfs/dbraw/zinc/26/89/44/323268944.db2.gz VNBIHVAIKPOAJY-LLVKDONJSA-N 0 3 242.407 2.658 20 0 BFADHN CCC(CC)N(Cc1cncn1C)C1CC1 ZINC000532529948 323273350 /nfs/dbraw/zinc/27/33/50/323273350.db2.gz UYRRDIXHCILOEC-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CC[C@@H](NCc1nccn1C(F)F)C1CCC1 ZINC000324785008 323282602 /nfs/dbraw/zinc/28/26/02/323282602.db2.gz JOJPSFOTAPWBAK-SNVBAGLBSA-N 0 3 243.301 2.947 20 0 BFADHN Fc1ccccc1CNCCc1cccnc1 ZINC000037502616 323289454 /nfs/dbraw/zinc/28/94/54/323289454.db2.gz YLSJLTDODYGORM-UHFFFAOYSA-N 0 3 230.286 2.553 20 0 BFADHN CC(C)n1nccc1CN1C2CCC1CC2 ZINC000526969235 323308825 /nfs/dbraw/zinc/30/88/25/323308825.db2.gz BFUNGEFEGCVHMI-UHFFFAOYSA-N 0 3 219.332 2.591 20 0 BFADHN CCc1cccc(CN2CCN(C)C[C@H]2CC)c1 ZINC000563932217 323325250 /nfs/dbraw/zinc/32/52/50/323325250.db2.gz UIXSDWFQNJXDBL-MRXNPFEDSA-N 0 3 246.398 2.775 20 0 BFADHN Fc1ccccc1CNC[C@@H]1CC12CC2 ZINC000418099196 323349850 /nfs/dbraw/zinc/34/98/50/323349850.db2.gz PRTSFHSTWLHYHV-NSHDSACASA-N 0 3 205.276 2.715 20 0 BFADHN CC(C)n1ccc(CN[C@@H]2CCC2(C)C)n1 ZINC000309401000 323380748 /nfs/dbraw/zinc/38/07/48/323380748.db2.gz HGHUMTDGJHRYDF-GFCCVEGCSA-N 0 3 221.348 2.742 20 0 BFADHN COCC1(N[C@@H](C)c2ccc(F)cc2)CC1 ZINC000309404787 323381543 /nfs/dbraw/zinc/38/15/43/323381543.db2.gz ASJFSUFRINMQSW-JTQLQIEISA-N 0 3 223.291 2.655 20 0 BFADHN CCc1cc(CN[C@H](C)CCC2CC2)on1 ZINC000309418238 323394726 /nfs/dbraw/zinc/39/47/26/323394726.db2.gz DCGRLWKCKXYSIE-SNVBAGLBSA-N 0 3 222.332 2.905 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cnc2ccccn12 ZINC000162024528 323586950 /nfs/dbraw/zinc/58/69/50/323586950.db2.gz KCSGDEBWNIIOQR-RYUDHWBXSA-N 0 3 229.327 2.707 20 0 BFADHN CCC[C@H](NCc1ccno1)C(C)(C)C ZINC000572472737 323645667 /nfs/dbraw/zinc/64/56/67/323645667.db2.gz HDBVWWQPBQUQCB-NSHDSACASA-N 0 3 210.321 2.979 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CC[C@@H]2CC=CCC2)n1 ZINC000527786439 323693137 /nfs/dbraw/zinc/69/31/37/323693137.db2.gz FIEMHSVYBMZJSX-CYBMUJFWSA-N 0 3 246.354 2.932 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@H](C)[C@H]1C)c1cncs1 ZINC000527997903 323734862 /nfs/dbraw/zinc/73/48/62/323734862.db2.gz AAMGIXMUSGYMPB-ULHKAFAUSA-N 0 3 240.372 2.606 20 0 BFADHN CC(C)[C@@H](N)c1cn(CC2CCCCC2)nn1 ZINC000302397339 487961013 /nfs/dbraw/zinc/96/10/13/487961013.db2.gz RGLARTZJRKTCJD-CYBMUJFWSA-N 0 3 236.363 2.514 20 0 BFADHN C[C@H](NCCCCn1ccnc1)c1ccco1 ZINC000045094614 323836624 /nfs/dbraw/zinc/83/66/24/323836624.db2.gz IVVIMEDJFMUINE-LBPRGKRZSA-N 0 3 233.315 2.607 20 0 BFADHN CCCOc1ccc(CN[C@@]2(C)CCOC2)cc1 ZINC000120147527 323979706 /nfs/dbraw/zinc/97/97/06/323979706.db2.gz PMKYJIJMVDNDTF-HNNXBMFYSA-N 0 3 249.354 2.744 20 0 BFADHN CN(C)CCSCc1nc2ccccc2o1 ZINC000120231065 323981430 /nfs/dbraw/zinc/98/14/30/323981430.db2.gz PLFPCGBXTGGVIL-UHFFFAOYSA-N 0 3 236.340 2.623 20 0 BFADHN CCC[C@H](C)CN1C[C@@H](C)O[C@H](CC)C1 ZINC000537206364 324036192 /nfs/dbraw/zinc/03/61/92/324036192.db2.gz KKZOGSQSHROXOP-YNEHKIRRSA-N 0 3 213.365 2.922 20 0 BFADHN CC[C@H](N)C(=O)N1CCCC[C@H]1CCC(C)C ZINC000122587642 324050127 /nfs/dbraw/zinc/05/01/27/324050127.db2.gz QFNNMFYYDZYZLX-STQMWFEESA-N 0 3 240.391 2.541 20 0 BFADHN CCn1ccnc1CNCCCC1CCCC1 ZINC000218212957 324072736 /nfs/dbraw/zinc/07/27/36/324072736.db2.gz GNUWZYXDJAWKFE-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN Cc1cccn2c(CN3C[C@H](C)C[C@@H]3C)cnc12 ZINC000537618215 324092924 /nfs/dbraw/zinc/09/29/24/324092924.db2.gz PYYJJDGXCWLPQF-YPMHNXCESA-N 0 3 243.354 2.873 20 0 BFADHN CC(=O)Nc1ccccc1CN1CC[C@H]1C1CC1 ZINC000449424164 324140176 /nfs/dbraw/zinc/14/01/76/324140176.db2.gz CWLYWFAYPCYDRN-HNNXBMFYSA-N 0 3 244.338 2.629 20 0 BFADHN CCCC[C@H](CC)CNC(=O)CN(C)C(C)C ZINC000152576258 324188535 /nfs/dbraw/zinc/18/85/35/324188535.db2.gz BCADOSMTDCQKMB-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cc(CO)ccc1F ZINC000528391153 324218813 /nfs/dbraw/zinc/21/88/13/324218813.db2.gz YNEOVJWFJQYAEA-WDEREUQCSA-N 0 3 237.318 2.548 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccc3cc[nH]c3c2)C1 ZINC000529523864 324323512 /nfs/dbraw/zinc/32/35/12/324323512.db2.gz DQFCWPLMZKWQGC-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN Fc1ccc(CNCC2(C3CC3)CCC2)nc1 ZINC000529543688 324333615 /nfs/dbraw/zinc/33/36/15/324333615.db2.gz MESCUJGGYWLRLI-UHFFFAOYSA-N 0 3 234.318 2.891 20 0 BFADHN Fc1ccc(CN[C@H]2CCCC(F)(F)C2)nc1 ZINC000529544507 324334454 /nfs/dbraw/zinc/33/44/54/324334454.db2.gz NURLYLIRUOMVGH-JTQLQIEISA-N 0 3 244.260 2.888 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc2cc[nH]c2c1 ZINC000529564488 324344740 /nfs/dbraw/zinc/34/47/40/324344740.db2.gz CVHWSAVTBRXMFK-NSHDSACASA-N 0 3 232.327 2.635 20 0 BFADHN CCN(Cc1ccc2cc[nH]c2c1)[C@@H]1CCOC1 ZINC000529572598 324347119 /nfs/dbraw/zinc/34/71/19/324347119.db2.gz HEHYFWJOUKSSGX-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN CCC(CC)(CNCc1ccc(F)cn1)OC ZINC000529581402 324350961 /nfs/dbraw/zinc/35/09/61/324350961.db2.gz KTEWXHLVBXJALB-UHFFFAOYSA-N 0 3 240.322 2.516 20 0 BFADHN Fc1ccc(CNCC2=CCCCC2)nc1 ZINC000529583227 324351586 /nfs/dbraw/zinc/35/15/86/324351586.db2.gz PZXXGPUUYGQGKQ-UHFFFAOYSA-N 0 3 220.291 2.811 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1ccc(F)cn1 ZINC000529597354 324358122 /nfs/dbraw/zinc/35/81/22/324358122.db2.gz WHAKPLROEPFZLP-GWCFXTLKSA-N 0 3 222.307 2.602 20 0 BFADHN Cc1ncc(CNCC[C@H]2CC=CCC2)o1 ZINC000529661831 324372589 /nfs/dbraw/zinc/37/25/89/324372589.db2.gz AMYAVNCXEMOGIU-LBPRGKRZSA-N 0 3 220.316 2.819 20 0 BFADHN CC(C)(C)CCN[C@H]1Cc2ccccc2NC1=O ZINC000529667727 324374543 /nfs/dbraw/zinc/37/45/43/324374543.db2.gz CUDLNTWVHUPDMZ-ZDUSSCGKSA-N 0 3 246.354 2.576 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1ccncc1 ZINC000037217925 324439526 /nfs/dbraw/zinc/43/95/26/324439526.db2.gz BHIREQXKYNJDLL-UBHSHLNASA-N 0 3 234.343 2.690 20 0 BFADHN Cc1cc(CN[C@H](C(C)C)C2CC2)on1 ZINC000125688770 324647809 /nfs/dbraw/zinc/64/78/09/324647809.db2.gz FXEXXYJNZMVSLV-GFCCVEGCSA-N 0 3 208.305 2.507 20 0 BFADHN COCC1(N[C@H](C)c2ccc(C)cc2OC)CC1 ZINC000538550200 324714790 /nfs/dbraw/zinc/71/47/90/324714790.db2.gz XFFDNCWKGDVFLU-GFCCVEGCSA-N 0 3 249.354 2.833 20 0 BFADHN Cc1ncc([C@H](C)N2C[C@H]3CCC[C@H]32)c(C)n1 ZINC000682439282 487964738 /nfs/dbraw/zinc/96/47/38/487964738.db2.gz PCSGPLZUUJWSPT-ZKYQVNSYSA-N 0 3 231.343 2.639 20 0 BFADHN C[C@@H](NCCCc1cccs1)c1nccn1C ZINC000540396319 324898004 /nfs/dbraw/zinc/89/80/04/324898004.db2.gz HCHLUFGEIDFSSH-LLVKDONJSA-N 0 3 249.383 2.765 20 0 BFADHN CC[C@H](NC[C@H]1CC=CCC1)c1ccn(C)n1 ZINC000541743456 324976411 /nfs/dbraw/zinc/97/64/11/324976411.db2.gz IVPIEXXIVOUFJA-STQMWFEESA-N 0 3 233.359 2.817 20 0 BFADHN Cc1cccc(CN[C@H]2CC[C@@H](C)C2)n1 ZINC000070643942 325020096 /nfs/dbraw/zinc/02/00/96/325020096.db2.gz YNPQNMXWBJRSSM-PWSUYJOCSA-N 0 3 204.317 2.668 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCCC[C@@H]2C)CCO1 ZINC000542466946 325028784 /nfs/dbraw/zinc/02/87/84/325028784.db2.gz PDKORMUJGUOUIP-MELADBBJSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccon1)C1CCOCC1 ZINC000542698625 325042153 /nfs/dbraw/zinc/04/21/53/325042153.db2.gz YXSIGLDEOUACEB-JQWIXIFHSA-N 0 3 238.331 2.530 20 0 BFADHN CC(C)C[C@H]1CCCCN1Cc1ccnn1C ZINC000130338264 325042505 /nfs/dbraw/zinc/04/25/05/325042505.db2.gz QNCBDLNLOCNNQM-CYBMUJFWSA-N 0 3 235.375 2.821 20 0 BFADHN FC1(CN2CC3(CCC3)[C@@H]2C2CC2)CC1 ZINC000564262313 325062380 /nfs/dbraw/zinc/06/23/80/325062380.db2.gz XQRSIOBQYROMBJ-NSHDSACASA-N 0 3 209.308 2.753 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)[C@@H]1CCCO1)CO2 ZINC000543423324 325087504 /nfs/dbraw/zinc/08/75/04/325087504.db2.gz WPZUDHJKRFYUOK-YUTCNCBUSA-N 0 3 247.338 2.586 20 0 BFADHN CC[C@H](NCCc1ccccc1)c1ccn(C)n1 ZINC000543607550 325097331 /nfs/dbraw/zinc/09/73/31/325097331.db2.gz JRSWZTZIMBCFJZ-AWEZNQCLSA-N 0 3 243.354 2.704 20 0 BFADHN CC(C)CC[C@@H](NCc1cc[nH]n1)C1CC1 ZINC000304352370 325100895 /nfs/dbraw/zinc/10/08/95/325100895.db2.gz QPZGLYGRTLALDL-CYBMUJFWSA-N 0 3 221.348 2.714 20 0 BFADHN CO[C@@H]1CC[C@H](NCc2cccc(F)c2F)C1 ZINC000231680821 325101967 /nfs/dbraw/zinc/10/19/67/325101967.db2.gz AVDZUEKPPYFBQI-WDEREUQCSA-N 0 3 241.281 2.622 20 0 BFADHN CC(C)(CCc1ccccc1)NCCF ZINC000130981745 325106074 /nfs/dbraw/zinc/10/60/74/325106074.db2.gz OEFHVPCOLVPJMM-UHFFFAOYSA-N 0 3 209.308 2.957 20 0 BFADHN Cc1ccc(CSCCN(C)C)cc1 ZINC000072261345 325132773 /nfs/dbraw/zinc/13/27/73/325132773.db2.gz YFZQQSQZYZBIDB-UHFFFAOYSA-N 0 3 209.358 2.790 20 0 BFADHN CCCOc1cccc(CN[C@@H]2C[C@H]2OCC)c1 ZINC000564283395 325171568 /nfs/dbraw/zinc/17/15/68/325171568.db2.gz GKEJNAKTAFNLAD-HUUCEWRRSA-N 0 3 249.354 2.742 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2ccco2)C1(C)C ZINC000072926406 325181523 /nfs/dbraw/zinc/18/15/23/325181523.db2.gz HTJFLAIWCXAZPK-DGCLKSJQSA-N 0 3 223.316 2.573 20 0 BFADHN CCc1ccc(CN[C@@H](C)C[C@@H]2CCCO2)o1 ZINC000132149228 325202079 /nfs/dbraw/zinc/20/20/79/325202079.db2.gz OLNNSEGGMSLKBT-AAEUAGOBSA-N 0 3 237.343 2.889 20 0 BFADHN C[C@@H](CN1CCOC[C@@H]1C1CC1)c1ccccc1 ZINC000132358644 325212197 /nfs/dbraw/zinc/21/21/97/325212197.db2.gz HQEBADSFRXIVGV-XJKSGUPXSA-N 0 3 245.366 2.901 20 0 BFADHN CCCCN(CC)[C@H](C)C(=O)NCCC(C)C ZINC000132606609 325228969 /nfs/dbraw/zinc/22/89/69/325228969.db2.gz HKQNIDLREGLEFE-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN CCCn1nc(C)c(CN2CCCC2)c1C ZINC000132808098 325246296 /nfs/dbraw/zinc/24/62/96/325246296.db2.gz VGTYUWJPALHUMP-UHFFFAOYSA-N 0 3 221.348 2.506 20 0 BFADHN CCCN(Cc1cccc2c1OCO2)C1CC1 ZINC000133237249 325278856 /nfs/dbraw/zinc/27/88/56/325278856.db2.gz AMUFNGVUPFATHT-UHFFFAOYSA-N 0 3 233.311 2.790 20 0 BFADHN CC[C@H]1CN(CCOC(C)(C)C)CCS1 ZINC000076073113 325329185 /nfs/dbraw/zinc/32/91/85/325329185.db2.gz CEBLCIIJDKNEMV-NSHDSACASA-N 0 3 231.405 2.629 20 0 BFADHN COC[C@@H](N[C@@H](C)c1ccc(F)cn1)C(C)C ZINC000134068098 325340836 /nfs/dbraw/zinc/34/08/36/325340836.db2.gz UZKVMXNOAMWXPI-GXFFZTMASA-N 0 3 240.322 2.542 20 0 BFADHN c1ccc(N2CCN([C@H]3C=CCCC3)CC2)cc1 ZINC000076667739 325352340 /nfs/dbraw/zinc/35/23/40/325352340.db2.gz DSWGIIUUHZZDTJ-INIZCTEOSA-N 0 3 242.366 2.917 20 0 BFADHN COC(C)(C)C[C@@H](C)NCc1ccc(C)cn1 ZINC000134365946 325355678 /nfs/dbraw/zinc/35/56/78/325355678.db2.gz HJTKVAFALLNOLJ-GFCCVEGCSA-N 0 3 236.359 2.683 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2ccc(C)cn2)C1(C)C ZINC000134381390 325356532 /nfs/dbraw/zinc/35/65/32/325356532.db2.gz YHBGEVTUOOZXCJ-HIFRSBDPSA-N 0 3 248.370 2.683 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1ccc(C)nc1 ZINC000564323461 325366457 /nfs/dbraw/zinc/36/64/57/325366457.db2.gz KJSQSOVUZZXNBR-ZDUSSCGKSA-N 0 3 238.400 2.963 20 0 BFADHN C[C@H](NCC[C@@H]1CCCCO1)c1ccoc1 ZINC000134732436 325374155 /nfs/dbraw/zinc/37/41/55/325374155.db2.gz BXMRUILHVYLJGW-AAEUAGOBSA-N 0 3 223.316 2.889 20 0 BFADHN Cc1cc(CN(C)CC[C@H](C)O)ccc1Cl ZINC000134733473 325374643 /nfs/dbraw/zinc/37/46/43/325374643.db2.gz MEBLUICYXKTOHF-NSHDSACASA-N 0 3 241.762 2.851 20 0 BFADHN CC[C@H]1CCCC[C@H]1N(C)Cc1cc[nH]n1 ZINC000564326153 325376403 /nfs/dbraw/zinc/37/64/03/325376403.db2.gz REBZQEAMWIZASU-WCQYABFASA-N 0 3 221.348 2.810 20 0 BFADHN CC(C)[C@@H]1CCN([C@@H](C)C(=O)OC(C)(C)C)C1 ZINC000134982575 325386426 /nfs/dbraw/zinc/38/64/26/325386426.db2.gz IDCGVTANFIKTRN-NWDGAFQWSA-N 0 3 241.375 2.695 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1cn2ccccc2n1 ZINC000548713410 325475057 /nfs/dbraw/zinc/47/50/57/325475057.db2.gz FWOVDAUECRQEJQ-QWRGUYRKSA-N 0 3 249.383 2.736 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ccc(CO)o2)C[C@H]1C ZINC000246894797 325581299 /nfs/dbraw/zinc/58/12/99/325581299.db2.gz LDQUTMDDUMVZBV-UTUOFQBUSA-N 0 3 237.343 2.686 20 0 BFADHN Cc1ccnc([C@H](C)NCCOC(C)(C)C)c1 ZINC000552062304 325592847 /nfs/dbraw/zinc/59/28/47/325592847.db2.gz TUINRLLVAZNOCA-LBPRGKRZSA-N 0 3 236.359 2.856 20 0 BFADHN CN(CCc1ccccc1Cl)Cc1cnc[nH]1 ZINC000661086806 487968596 /nfs/dbraw/zinc/96/85/96/487968596.db2.gz IHDAIGCZLAUXKJ-UHFFFAOYSA-N 0 3 249.745 2.738 20 0 BFADHN Cc1c2ccccc2oc1CN[C@@H](C)[C@@H](C)O ZINC000564857713 325818607 /nfs/dbraw/zinc/81/86/07/325818607.db2.gz XEOLCHOBUSYBOU-WDEREUQCSA-N 0 3 233.311 2.600 20 0 BFADHN C[C@@H](NCc1noc2ccccc21)[C@H]1C[C@H]1C ZINC000565218836 325878525 /nfs/dbraw/zinc/87/85/25/325878525.db2.gz RWFXYDRDWFIZOA-FOGDFJRCSA-N 0 3 230.311 2.962 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)Cc1ccccc1F ZINC000565474524 325914843 /nfs/dbraw/zinc/91/48/43/325914843.db2.gz GAJQKEFBYUIHNK-LERXQTSPSA-N 0 3 237.318 2.524 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(OC)cc1CC ZINC000565527560 325922717 /nfs/dbraw/zinc/92/27/17/325922717.db2.gz XBJAFHJZZOCBCX-CABCVRRESA-N 0 3 249.354 2.525 20 0 BFADHN CCN(C(=O)CN(C)C(C)(C)C)c1ccccc1 ZINC000566185014 326008711 /nfs/dbraw/zinc/00/87/11/326008711.db2.gz SZPQMCPPBOHTLJ-UHFFFAOYSA-N 0 3 248.370 2.770 20 0 BFADHN C[C@@H](CCc1cccc(F)c1)NCc1ccno1 ZINC000566243644 326021801 /nfs/dbraw/zinc/02/18/01/326021801.db2.gz ZBCCQDLDDREFLV-NSHDSACASA-N 0 3 248.301 2.925 20 0 BFADHN Cc1ncncc1[C@@H](C)NCCc1ccsc1 ZINC000566473751 326053467 /nfs/dbraw/zinc/05/34/67/326053467.db2.gz CZMJJNDUVMOBPS-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1nc(CN[C@H](C)C2CCCC2)[nH]c1C ZINC000566499585 326058925 /nfs/dbraw/zinc/05/89/25/326058925.db2.gz YTJABYDKIVCPHZ-LLVKDONJSA-N 0 3 221.348 2.695 20 0 BFADHN CCCC(CCC)NCc1ncccn1 ZINC000566500670 326059800 /nfs/dbraw/zinc/05/98/00/326059800.db2.gz LFWPGEMGISVAEF-UHFFFAOYSA-N 0 3 207.321 2.535 20 0 BFADHN Cc1cccc([C@H](C)CNCc2ncc[nH]2)c1 ZINC000153982394 326123258 /nfs/dbraw/zinc/12/32/58/326123258.db2.gz CMGCBPPFPNQRGQ-GFCCVEGCSA-N 0 3 229.327 2.611 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H]1CCCc2ccc(O)cc21 ZINC000567341301 326166718 /nfs/dbraw/zinc/16/67/18/326166718.db2.gz KIWKFTBWXZOWCR-RBSFLKMASA-N 0 3 247.338 2.537 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1nc2ccccc2n1C ZINC000154984915 326177289 /nfs/dbraw/zinc/17/72/89/326177289.db2.gz JAXKYMWNPQBZRS-LLVKDONJSA-N 0 3 243.354 2.804 20 0 BFADHN CCc1ccc(CNC2(COC)CCCC2)cn1 ZINC000567407740 326185437 /nfs/dbraw/zinc/18/54/37/326185437.db2.gz HWPQCYZAKQYOHW-UHFFFAOYSA-N 0 3 248.370 2.693 20 0 BFADHN CCN(CC)Cc1cc(OC)cc2c1O[C@@H](C)C2 ZINC000156906729 326248253 /nfs/dbraw/zinc/24/82/53/326248253.db2.gz DUCMVPTZCWVHJO-NSHDSACASA-N 0 3 249.354 2.860 20 0 BFADHN C[C@H]1CCCCN1Cc1cnc2ccccn12 ZINC000157347207 326269488 /nfs/dbraw/zinc/26/94/88/326269488.db2.gz GAJUUYDFCOZAPL-LBPRGKRZSA-N 0 3 229.327 2.709 20 0 BFADHN CC(C)[C@H](N[C@@H]1CO[C@H](C)C1)c1cccnc1 ZINC000567857836 326271936 /nfs/dbraw/zinc/27/19/36/326271936.db2.gz NYBFDRSGWSXXHU-XBFCOCLRSA-N 0 3 234.343 2.546 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CNCc1ccno1 ZINC000567899708 326279689 /nfs/dbraw/zinc/27/96/89/326279689.db2.gz PPOIFRAAZZEMTN-WCQYABFASA-N 0 3 220.316 2.757 20 0 BFADHN CCOc1cccc(CN2CCC[C@@H](C)C2)n1 ZINC000157693305 326290952 /nfs/dbraw/zinc/29/09/52/326290952.db2.gz PGRGHLQZLLAUJC-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CCCCCN1CCOC2(CCCC2)C1 ZINC000157921770 326300327 /nfs/dbraw/zinc/30/03/27/326300327.db2.gz BQGQQUIQJUKZKC-UHFFFAOYSA-N 0 3 211.349 2.822 20 0 BFADHN Cc1cc(CNCCCc2cccs2)n(C)n1 ZINC000568058681 326301396 /nfs/dbraw/zinc/30/13/96/326301396.db2.gz SOKKRYRRAPZNJR-UHFFFAOYSA-N 0 3 249.383 2.512 20 0 BFADHN CCCn1cc(CN2C[C@H](C)C[C@@H](C)C2)cn1 ZINC000158010673 326303878 /nfs/dbraw/zinc/30/38/78/326303878.db2.gz IJORMUNSHGPDSA-CHWSQXEVSA-N 0 3 235.375 2.771 20 0 BFADHN CCCCN(CCCC)Cc1ccnn1C ZINC000158063585 326306935 /nfs/dbraw/zinc/30/69/35/326306935.db2.gz XAADEBRNXLEYLN-UHFFFAOYSA-N 0 3 223.364 2.822 20 0 BFADHN COc1cccc(CN(C)[C@H](C)C2CC2)c1OC ZINC000158070191 326307187 /nfs/dbraw/zinc/30/71/87/326307187.db2.gz UWKFEUIGCLTLAU-LLVKDONJSA-N 0 3 249.354 2.934 20 0 BFADHN CCCCN(C)Cc1cnc2c(C)cccn12 ZINC000158162973 326310847 /nfs/dbraw/zinc/31/08/47/326310847.db2.gz CWQIVFHNXKITLW-UHFFFAOYSA-N 0 3 231.343 2.875 20 0 BFADHN CC1(C)CC[C@H]1NCc1cccc(F)c1C#N ZINC000568181302 326321589 /nfs/dbraw/zinc/32/15/89/326321589.db2.gz PKQCHRLUMFMLFM-CYBMUJFWSA-N 0 3 232.302 2.976 20 0 BFADHN CCCN(CC(=O)N(C(C)C)C(C)C)C(C)C ZINC000568183099 326322272 /nfs/dbraw/zinc/32/22/72/326322272.db2.gz JCOXWCYTXZKRSK-UHFFFAOYSA-N 0 3 242.407 2.752 20 0 BFADHN C[C@@H]1C[C@H](NCc2noc3ccccc32)[C@H]1C ZINC000568238566 326332201 /nfs/dbraw/zinc/33/22/01/326332201.db2.gz XNTQGYBVBMLVTN-SCVCMEIPSA-N 0 3 230.311 2.962 20 0 BFADHN C[C@H]1C[C@H](NCc2ncccn2)CC(C)(C)C1 ZINC000568275757 326339553 /nfs/dbraw/zinc/33/95/53/326339553.db2.gz XQKVVPPXQCKCCM-RYUDHWBXSA-N 0 3 233.359 2.781 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CCCC[C@H]1C ZINC000158955754 326346817 /nfs/dbraw/zinc/34/68/17/326346817.db2.gz CPDZSHWTWMWBNK-GFCCVEGCSA-N 0 3 249.402 2.919 20 0 BFADHN CCN(Cc1c(C)nc2ccccn21)C(C)C ZINC000159098052 326349765 /nfs/dbraw/zinc/34/97/65/326349765.db2.gz SZILOKBRQNGPCH-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN Cc1ncncc1[C@H](C)NCCCC(C)(F)F ZINC000568650939 326371160 /nfs/dbraw/zinc/37/11/60/326371160.db2.gz CQLZLIVXJBBEJS-VIFPVBQESA-N 0 3 243.301 2.871 20 0 BFADHN Cc1ncc(CNCc2ccc(C)cc2C)n1C ZINC000568783052 326377111 /nfs/dbraw/zinc/37/71/11/326377111.db2.gz LLWULWOKKZDYMX-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN CC(C)N(CC1Cc2ccccc2C1)C1COC1 ZINC000568949994 326383372 /nfs/dbraw/zinc/38/33/72/326383372.db2.gz ODSFJNCPFRSIQM-UHFFFAOYSA-N 0 3 245.366 2.511 20 0 BFADHN CC(C)C[C@@H](CO)N(C)Cc1ccc(Cl)o1 ZINC000569052235 326388049 /nfs/dbraw/zinc/38/80/49/326388049.db2.gz HYCNCAYNMCRTSQ-JTQLQIEISA-N 0 3 245.750 2.772 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](c1ccccc1)C1CC1 ZINC000569072602 326388711 /nfs/dbraw/zinc/38/87/11/326388711.db2.gz ZSUMZNOGWHXXRU-KFWWJZLASA-N 0 3 231.339 2.905 20 0 BFADHN CCC(CC)N(C(=O)[C@@H](CC)N(C)C)C1CC1 ZINC000569198535 326394477 /nfs/dbraw/zinc/39/44/77/326394477.db2.gz CDKREBZUTIHWMD-CYBMUJFWSA-N 0 3 240.391 2.506 20 0 BFADHN COC(=O)C(C)(C)N(C)C[C@@H]1CCCC[C@@H]1C ZINC000569271049 326398675 /nfs/dbraw/zinc/39/86/75/326398675.db2.gz PTVAQJXLJJVTGZ-RYUDHWBXSA-N 0 3 241.375 2.696 20 0 BFADHN COC(C)(C)CNCc1cnc(C2CC2)s1 ZINC000166031077 326427754 /nfs/dbraw/zinc/42/77/54/326427754.db2.gz SQEIOWIJMSYFHS-UHFFFAOYSA-N 0 3 240.372 2.535 20 0 BFADHN FC(F)COCCN1C2CCCC1CCC2 ZINC000682527555 487977376 /nfs/dbraw/zinc/97/73/76/487977376.db2.gz HEGVLQSZVHSDOH-UHFFFAOYSA-N 0 3 233.302 2.675 20 0 BFADHN Cc1ncc([C@H](C)N2C[C@H](C)[C@@H](C)C2)c(C)n1 ZINC000682070744 487983883 /nfs/dbraw/zinc/98/38/83/487983883.db2.gz DZCNCGJETNUTPC-NHCYSSNCSA-N 0 3 233.359 2.742 20 0 BFADHN FCCC[C@@H]1CCC[C@@H]1NCc1cocn1 ZINC000309575593 487987246 /nfs/dbraw/zinc/98/72/46/487987246.db2.gz ORBCANATVHURKE-JQWIXIFHSA-N 0 3 226.295 2.683 20 0 BFADHN O[C@]12C[C@H]1CN(Cc1cccc(C3CC3)c1)CC2 ZINC000668600731 487990471 /nfs/dbraw/zinc/99/04/71/487990471.db2.gz ZYRLTSNDDATABJ-JKSUJKDBSA-N 0 3 243.350 2.521 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2N1CCOC2(CCC2)C1 ZINC000682075104 487990305 /nfs/dbraw/zinc/99/03/05/487990305.db2.gz XSMNZIHBLZLUKS-HNNXBMFYSA-N 0 3 243.350 2.929 20 0 BFADHN CCC[C@H](N)c1cn(-c2cccc(F)c2C)nn1 ZINC000229927844 487994209 /nfs/dbraw/zinc/99/42/09/487994209.db2.gz BGGWZQDHQVSIDQ-NSHDSACASA-N 0 3 248.305 2.515 20 0 BFADHN Cc1cc(CN2CCCCC[C@@H]2C)nc(C)n1 ZINC000659924769 488001802 /nfs/dbraw/zinc/00/18/02/488001802.db2.gz DPWGVNWLQQBWIK-LBPRGKRZSA-N 0 3 233.359 2.858 20 0 BFADHN C[C@@H]1C[C@@H]1CN(C)Cc1ccccc1F ZINC000488290546 533713833 /nfs/dbraw/zinc/71/38/33/533713833.db2.gz CAUCBOPGHGVJGI-ZYHUDNBSSA-N 0 3 207.292 2.914 20 0 BFADHN C[C@H](NCc1ccsc1)[C@H]1CC1(F)F ZINC000666444165 488010341 /nfs/dbraw/zinc/01/03/41/488010341.db2.gz CLPXMCACAHSWRF-IONNQARKSA-N 0 3 217.284 2.881 20 0 BFADHN COc1ccc(OC)c(CNC2(C)CC=CC2)c1 ZINC000655813641 488010008 /nfs/dbraw/zinc/01/00/08/488010008.db2.gz GARFDOJSDQSFQG-UHFFFAOYSA-N 0 3 247.338 2.902 20 0 BFADHN CCN(CCC[C@H]1CCOC1)Cc1ccccn1 ZINC000682674030 488010445 /nfs/dbraw/zinc/01/04/45/488010445.db2.gz YNLGFUYORHQVRL-AWEZNQCLSA-N 0 3 248.370 2.720 20 0 BFADHN C[C@H](Cc1ccccn1)NCc1ccccc1F ZINC000655842381 488012326 /nfs/dbraw/zinc/01/23/26/488012326.db2.gz AWRUQVGNBAVPFE-GFCCVEGCSA-N 0 3 244.313 2.942 20 0 BFADHN C[C@@H](Cc1ccccn1)NCc1ccccc1F ZINC000655842382 488012440 /nfs/dbraw/zinc/01/24/40/488012440.db2.gz AWRUQVGNBAVPFE-LBPRGKRZSA-N 0 3 244.313 2.942 20 0 BFADHN Cc1cccnc1CN[C@@H](C)[C@@H]1CC1(F)F ZINC000666445399 488012610 /nfs/dbraw/zinc/01/26/10/488012610.db2.gz SXICCSXIXRDEGW-UWVGGRQHSA-N 0 3 226.270 2.523 20 0 BFADHN Cc1cccnc1CN[C@H](C)[C@@H]1CC1(F)F ZINC000666445401 488013048 /nfs/dbraw/zinc/01/30/48/488013048.db2.gz SXICCSXIXRDEGW-ZJUUUORDSA-N 0 3 226.270 2.523 20 0 BFADHN Cc1cnccc1CN[C@H]1C[C@H](OC(C)(C)C)C1 ZINC000655846015 488013629 /nfs/dbraw/zinc/01/36/29/488013629.db2.gz ZOARZEVMHBMRMY-HDJSIYSDSA-N 0 3 248.370 2.826 20 0 BFADHN CCCn1nccc1CN1CCCCCC1 ZINC000667470809 488015371 /nfs/dbraw/zinc/01/53/71/488015371.db2.gz LUEUUBHBLFADDE-UHFFFAOYSA-N 0 3 221.348 2.669 20 0 BFADHN CCC[C@H](N)c1cn(CCC(C)(C)C)nn1 ZINC000229962063 488015593 /nfs/dbraw/zinc/01/55/93/488015593.db2.gz FSYDFICYJCUUMA-JTQLQIEISA-N 0 3 224.352 2.514 20 0 BFADHN CCN(Cc1cc(C)nn1C)[C@@H](C)C(C)C ZINC000417756133 488017080 /nfs/dbraw/zinc/01/70/80/488017080.db2.gz RVJFWFNUZVLERG-LBPRGKRZSA-N 0 3 223.364 2.595 20 0 BFADHN CC(C)[C@H](C)N(Cc1cnc2n1CCC2)C1CC1 ZINC000667470891 488017314 /nfs/dbraw/zinc/01/73/14/488017314.db2.gz PARRZHUYXXIYGE-LBPRGKRZSA-N 0 3 247.386 2.838 20 0 BFADHN CCCCN(CCCC)CC(=O)N[C@H](C)CC ZINC000052870329 488018072 /nfs/dbraw/zinc/01/80/72/488018072.db2.gz MXDCFWDQPQLFCQ-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cnn2c1CCC2 ZINC000667471633 488017765 /nfs/dbraw/zinc/01/77/65/488017765.db2.gz FXOYFVGHLSRKFW-CYBMUJFWSA-N 0 3 233.359 2.594 20 0 BFADHN CCCc1ccc(CN[C@@H]2CO[C@H](C)C2)cc1 ZINC000647253447 488018415 /nfs/dbraw/zinc/01/84/15/488018415.db2.gz YDWZOQNPALHCQI-DOMZBBRYSA-N 0 3 233.355 2.906 20 0 BFADHN CCCc1ccc(CN[C@@H]2CO[C@@H](C)C2)cc1 ZINC000647253450 488018722 /nfs/dbraw/zinc/01/87/22/488018722.db2.gz YDWZOQNPALHCQI-WFASDCNBSA-N 0 3 233.355 2.906 20 0 BFADHN Cc1cc(NC(=O)[C@H](N)C(C)C)ccc1Cl ZINC000655524298 488019818 /nfs/dbraw/zinc/01/98/18/488019818.db2.gz YOXZHYNHFFRFTF-LLVKDONJSA-N 0 3 240.734 2.570 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H]1COC(C)(C)C1 ZINC000396827148 488028123 /nfs/dbraw/zinc/02/81/23/488028123.db2.gz CVRXZLIVXWPCNY-GZMMTYOYSA-N 0 3 238.331 2.510 20 0 BFADHN O[C@@H]1CCCC[C@H]1CN1Cc2ccccc2C1 ZINC000053884320 488029245 /nfs/dbraw/zinc/02/92/45/488029245.db2.gz VOSKDBQROFTNHR-LSDHHAIUSA-N 0 3 231.339 2.553 20 0 BFADHN C[C@H]1CCC[C@H](N(C)Cc2cnn3c2CCC3)C1 ZINC000667499847 488029740 /nfs/dbraw/zinc/02/97/40/488029740.db2.gz WMTZWTLITWYADP-JSGCOSHPSA-N 0 3 247.386 2.840 20 0 BFADHN C[C@H]1CCC[C@@H](N(C)Cc2cnn3c2CCC3)C1 ZINC000667499846 488030110 /nfs/dbraw/zinc/03/01/10/488030110.db2.gz WMTZWTLITWYADP-GXTWGEPZSA-N 0 3 247.386 2.840 20 0 BFADHN CCN(Cc1cnc2n1CCC2)C1CCCC1 ZINC000667503517 488031944 /nfs/dbraw/zinc/03/19/44/488031944.db2.gz NSKFXAUKHFOBSM-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc2cnccc2c1 ZINC000685447507 488034177 /nfs/dbraw/zinc/03/41/77/488034177.db2.gz NDOWDVUYOZPWAL-LBPRGKRZSA-N 0 3 244.338 2.702 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1c(C2CCC2)cnn1C ZINC000667511734 488034741 /nfs/dbraw/zinc/03/47/41/488034741.db2.gz SIAGGKVDRQCSQQ-NSHDSACASA-N 0 3 247.386 2.918 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1c(C2CCC2)cnn1C ZINC000667511733 488034900 /nfs/dbraw/zinc/03/49/00/488034900.db2.gz SIAGGKVDRQCSQQ-LLVKDONJSA-N 0 3 247.386 2.918 20 0 BFADHN C[C@H](C1CC1)N(Cc1cnc2n1CCC2)C1CC1 ZINC000667518161 488036436 /nfs/dbraw/zinc/03/64/36/488036436.db2.gz QTQLCMXQFZAKEB-LLVKDONJSA-N 0 3 245.370 2.592 20 0 BFADHN CCCn1nccc1CN(C(C)C)C1CC1 ZINC000667516864 488036715 /nfs/dbraw/zinc/03/67/15/488036715.db2.gz KZBQZOWLLGCRTI-UHFFFAOYSA-N 0 3 221.348 2.666 20 0 BFADHN CC(C)N1CCN(c2ccccc2F)C[C@H]1C ZINC000682778211 488039536 /nfs/dbraw/zinc/03/95/36/488039536.db2.gz WUWRTSRYOXXNKI-GFCCVEGCSA-N 0 3 236.334 2.745 20 0 BFADHN CSCCN[C@H]1CCc2c1cccc2F ZINC000147605129 488039701 /nfs/dbraw/zinc/03/97/01/488039701.db2.gz YPUXWTMIKKYUIX-LBPRGKRZSA-N 0 3 225.332 2.766 20 0 BFADHN CCOc1ccc(CN2CCCOCC2)c(C)c1 ZINC000667532459 488040578 /nfs/dbraw/zinc/04/05/78/488040578.db2.gz NTADEOKGYDEPCO-UHFFFAOYSA-N 0 3 249.354 2.616 20 0 BFADHN c1nn2c(c1CN1CCC[C@@H]3CCC[C@@H]31)CCC2 ZINC000667556011 488044613 /nfs/dbraw/zinc/04/46/13/488044613.db2.gz VVTXFCJTOFTTPK-JSGCOSHPSA-N 0 3 245.370 2.594 20 0 BFADHN CCN(Cc1cccs1)[C@@H]1CCCOC1 ZINC000677476636 488044534 /nfs/dbraw/zinc/04/45/34/488044534.db2.gz XLVDSIVAQZYPBC-LLVKDONJSA-N 0 3 225.357 2.749 20 0 BFADHN c1nc2n(c1CN1CCC[C@@H]3CCC[C@@H]31)CCC2 ZINC000667555992 488044876 /nfs/dbraw/zinc/04/48/76/488044876.db2.gz VLRJGKFDLCEJHZ-JSGCOSHPSA-N 0 3 245.370 2.594 20 0 BFADHN c1nn2c(c1CN1CCC[C@@H]3CCC[C@H]31)CCC2 ZINC000667556010 488045454 /nfs/dbraw/zinc/04/54/54/488045454.db2.gz VVTXFCJTOFTTPK-GXTWGEPZSA-N 0 3 245.370 2.594 20 0 BFADHN CCN(CCc1ccnn1CC)Cc1ccoc1 ZINC000670073878 488047466 /nfs/dbraw/zinc/04/74/66/488047466.db2.gz PFCQEKKOGLLIIH-UHFFFAOYSA-N 0 3 247.342 2.561 20 0 BFADHN CCn1nccc1CNCc1c(C)cccc1C ZINC000119620019 488051964 /nfs/dbraw/zinc/05/19/64/488051964.db2.gz RCMAPFGXWZAXRP-UHFFFAOYSA-N 0 3 243.354 2.810 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@@H]1CO[C@H](C)C1 ZINC000402319112 488053021 /nfs/dbraw/zinc/05/30/21/488053021.db2.gz MAKQDJPXEQBXKA-AGIUHOORSA-N 0 3 249.354 2.913 20 0 BFADHN CC(C)(C)[C@@H]1CCCN1Cc1cnc2n1CCC2 ZINC000667590430 488053836 /nfs/dbraw/zinc/05/38/36/488053836.db2.gz VRDHNFFPRHZCDX-ZDUSSCGKSA-N 0 3 247.386 2.840 20 0 BFADHN COCc1csc(CN2CCC[C@H]2C)c1 ZINC000667619247 488056612 /nfs/dbraw/zinc/05/66/12/488056612.db2.gz XHQNREKAYYGRAX-SNVBAGLBSA-N 0 3 225.357 2.879 20 0 BFADHN Cn1ncc(C2CCC2)c1CN1CCC(C)(C)C1 ZINC000667621308 488061725 /nfs/dbraw/zinc/06/17/25/488061725.db2.gz GBNOPVUBTGUKLK-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN Cn1ncc(C2CC2)c1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000667642760 488067045 /nfs/dbraw/zinc/06/70/45/488067045.db2.gz JRMNVGFYEADCEH-BETUJISGSA-N 0 3 245.370 2.529 20 0 BFADHN CC(C)[C@H]1CCCCN1Cc1cnc2n1CCC2 ZINC000667643179 488067766 /nfs/dbraw/zinc/06/77/66/488067766.db2.gz XEGRMJXRPKUNER-CQSZACIVSA-N 0 3 247.386 2.840 20 0 BFADHN CC(C)[C@@H]1CCCCN1Cc1cnc2n1CCC2 ZINC000667643178 488068274 /nfs/dbraw/zinc/06/82/74/488068274.db2.gz XEGRMJXRPKUNER-AWEZNQCLSA-N 0 3 247.386 2.840 20 0 BFADHN COCc1csc(CN(C)C2CCC2)c1 ZINC000667647199 488069784 /nfs/dbraw/zinc/06/97/84/488069784.db2.gz NXARHZRZYUBFAS-UHFFFAOYSA-N 0 3 225.357 2.879 20 0 BFADHN C[C@H](c1cccnc1)N1CCC(C)CC1 ZINC000079601294 488073274 /nfs/dbraw/zinc/07/32/74/488073274.db2.gz RMGLRKNLZDRODM-GFCCVEGCSA-N 0 3 204.317 2.875 20 0 BFADHN COc1c(C)cccc1CN[C@@H]1COC(C)(C)C1 ZINC000656269442 488073629 /nfs/dbraw/zinc/07/36/29/488073629.db2.gz RBLHVJLYAITTFS-ZDUSSCGKSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1ccoc1CN(C)CCc1ccccc1O ZINC000346355351 488076286 /nfs/dbraw/zinc/07/62/86/488076286.db2.gz AQCLUYGRGVLXIU-UHFFFAOYSA-N 0 3 245.322 2.968 20 0 BFADHN CC[C@H]1CCCN(Cc2cnc(C)n2C)CC1 ZINC000667655413 488076336 /nfs/dbraw/zinc/07/63/36/488076336.db2.gz FFXXZFOPBXCIQA-ZDUSSCGKSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1ncc(CN2CCCC(C)(C)CC2)n1C ZINC000667668521 488082087 /nfs/dbraw/zinc/08/20/87/488082087.db2.gz PXZZLZDJRXVSNZ-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1ccnc(CN2C[C@H](C)C[C@H](C)C2)c1 ZINC000683003189 488084443 /nfs/dbraw/zinc/08/44/43/488084443.db2.gz NGTKYHDPAFDOHD-BETUJISGSA-N 0 3 218.344 2.868 20 0 BFADHN CCCn1nccc1CN1CC[C@@H](C2CC2)C1 ZINC000667681758 488085435 /nfs/dbraw/zinc/08/54/35/488085435.db2.gz QIJMSOJFORHVCL-CYBMUJFWSA-N 0 3 233.359 2.525 20 0 BFADHN Cc1ccnc(CN2CCCC[C@H]2C)c1 ZINC000683003162 488085473 /nfs/dbraw/zinc/08/54/73/488085473.db2.gz MJBRLRVXYAKSCK-GFCCVEGCSA-N 0 3 204.317 2.764 20 0 BFADHN Cc1ccnc(CN(C)CC(C)(C)C)c1 ZINC000683006881 488088292 /nfs/dbraw/zinc/08/82/92/488088292.db2.gz URNNHFZDJYIBAV-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN CCCn1nccc1CN1CC[C@@H](C)[C@@H]1C ZINC000667693614 488088877 /nfs/dbraw/zinc/08/88/77/488088877.db2.gz QHXMDIXYPJIPDL-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN OCc1ccc(CN2CC3(C2)CCCC3)cc1 ZINC000227496952 488090835 /nfs/dbraw/zinc/09/08/35/488090835.db2.gz LMMGOHKRQWHNQM-UHFFFAOYSA-N 0 3 231.339 2.555 20 0 BFADHN CC(C)[C@H]1CCN(Cc2c(C3CC3)cnn2C)C1 ZINC000667697210 488092085 /nfs/dbraw/zinc/09/20/85/488092085.db2.gz UDHXVOARGSAROX-ZDUSSCGKSA-N 0 3 247.386 2.775 20 0 BFADHN CO[C@H](CNCc1ccc(C)nc1)CC(C)C ZINC000418093089 488094228 /nfs/dbraw/zinc/09/42/28/488094228.db2.gz GGFUZXRCHXSYMM-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2C[C@H]2C(F)F)c1 ZINC000348154483 488095174 /nfs/dbraw/zinc/09/51/74/488095174.db2.gz WDZNBROCEXRWGY-JMJZKYOTSA-N 0 3 226.270 2.694 20 0 BFADHN C[C@H](c1ccncc1)N1CCCSCC1 ZINC000677710523 488096311 /nfs/dbraw/zinc/09/63/11/488096311.db2.gz QZIZLXJMFJQZPT-LLVKDONJSA-N 0 3 222.357 2.582 20 0 BFADHN CCn1ccc(CN(C)CCC(C)(C)OC)c1 ZINC000683030717 488100608 /nfs/dbraw/zinc/10/06/08/488100608.db2.gz BWTOOLRWKHQWED-UHFFFAOYSA-N 0 3 238.375 2.755 20 0 BFADHN Fc1cccc(CN2CC[C@H](C(F)F)C2)c1 ZINC000677729329 488107203 /nfs/dbraw/zinc/10/72/03/488107203.db2.gz CUMHUPADFUWETL-JTQLQIEISA-N 0 3 229.245 2.913 20 0 BFADHN CC(C)[C@H]1CCN1Cc1c(C2CC2)cnn1C ZINC000667758714 488108091 /nfs/dbraw/zinc/10/80/91/488108091.db2.gz MOPHSKAGJCFPEK-CYBMUJFWSA-N 0 3 233.359 2.528 20 0 BFADHN C[C@H]1COC(C)(C)CN1CCCOC(C)(C)C ZINC000683101804 488108727 /nfs/dbraw/zinc/10/87/27/488108727.db2.gz JAWBTJAYLLRNKC-LBPRGKRZSA-N 0 3 243.391 2.691 20 0 BFADHN Cc1ccnc(CN2CCSC[C@@H](C)C2)c1 ZINC000683102371 488108942 /nfs/dbraw/zinc/10/89/42/488108942.db2.gz WNGBJCCZGNRMHU-LBPRGKRZSA-N 0 3 236.384 2.575 20 0 BFADHN CCO[C@@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC000674250478 487536321 /nfs/dbraw/zinc/53/63/21/487536321.db2.gz AOWYZTAPFSJGNN-CHWSQXEVSA-N 0 3 234.343 2.644 20 0 BFADHN CCO[C@@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC000674250480 487536921 /nfs/dbraw/zinc/53/69/21/487536921.db2.gz AOWYZTAPFSJGNN-QWHCGFSZSA-N 0 3 234.343 2.644 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CO[C@@H](C3CC3)C2)o1 ZINC000667761516 488110238 /nfs/dbraw/zinc/11/02/38/488110238.db2.gz VQNINUJBWZEZIR-VHRBIJSZSA-N 0 3 235.327 2.806 20 0 BFADHN C[C@H](N[C@H]1CO[C@@H](C2CC2)C1)c1ccccc1 ZINC000667763798 488111407 /nfs/dbraw/zinc/11/14/07/488111407.db2.gz JISJNTBIOFIFJE-NILFDRSVSA-N 0 3 231.339 2.905 20 0 BFADHN FC1(F)CC2(CN(CCC3CC3)C2)C1 ZINC000677734044 488112967 /nfs/dbraw/zinc/11/29/67/488112967.db2.gz RMUXEBFPPGUNBO-UHFFFAOYSA-N 0 3 201.260 2.518 20 0 BFADHN Cc1cc(CN[C@@H]2CO[C@H](C3CC3)C2)ccc1F ZINC000667770920 488117033 /nfs/dbraw/zinc/11/70/33/488117033.db2.gz LIYCJGLWBFOTEU-ZFWWWQNUSA-N 0 3 249.329 2.791 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CN(C)Cc2cn[nH]c2)C1 ZINC000685837470 488118624 /nfs/dbraw/zinc/11/86/24/488118624.db2.gz AKRSCERCCYORTP-DGCLKSJQSA-N 0 3 233.359 2.834 20 0 BFADHN C[C@H](c1ccncc1)N1CCS[C@H](C)CC1 ZINC000683145529 488120538 /nfs/dbraw/zinc/12/05/38/488120538.db2.gz PFIMMOYQPGFBPP-VXGBXAGGSA-N 0 3 236.384 2.970 20 0 BFADHN CCc1ccc(CN[C@H]2[C@@H]3CCC[C@@H]32)o1 ZINC000389869438 488121607 /nfs/dbraw/zinc/12/16/07/488121607.db2.gz IRSRILVUUNHAMH-ITGUQSILSA-N 0 3 205.301 2.730 20 0 BFADHN NCc1ccccc1OC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403391841 488122004 /nfs/dbraw/zinc/12/20/04/488122004.db2.gz SFKDZSZHCMLSIJ-BZPMIXESSA-N 0 3 231.339 2.960 20 0 BFADHN Cc1nnc(CNC[C@H](C)C(C)(C)C)s1 ZINC000389894624 488122743 /nfs/dbraw/zinc/12/27/43/488122743.db2.gz XYGXYFOMZCSESX-QMMMGPOBSA-N 0 3 227.377 2.618 20 0 BFADHN CCNCc1cn(-c2ccccc2Cl)nc1C ZINC000213047768 488122891 /nfs/dbraw/zinc/12/28/91/488122891.db2.gz HYTJLJSMEDYUKW-UHFFFAOYSA-N 0 3 249.745 2.944 20 0 BFADHN CC[C@@H](N[C@@H](C)c1cc(C)on1)C1CC1 ZINC000389900314 488124144 /nfs/dbraw/zinc/12/41/44/488124144.db2.gz CQYLQIBFJASTAP-GXSJLCMTSA-N 0 3 208.305 2.822 20 0 BFADHN Cc1ccsc1CN[C@H]1CO[C@@H](C2CC2)C1 ZINC000667816973 488124437 /nfs/dbraw/zinc/12/44/37/488124437.db2.gz ILZYTSRKJRKSHE-VXGBXAGGSA-N 0 3 237.368 2.714 20 0 BFADHN Cc1cc(C)c(CNC2([C@@H](C)O)CC2)cc1C ZINC000668696615 488125612 /nfs/dbraw/zinc/12/56/12/488125612.db2.gz SDAPFRDSGONEHL-CYBMUJFWSA-N 0 3 233.355 2.615 20 0 BFADHN C[C@@H](O)C1(NCc2cc3cc(F)ccc3o2)CC1 ZINC000668697567 488126940 /nfs/dbraw/zinc/12/69/40/488126940.db2.gz HGGKMZBYUSMYKI-SECBINFHSA-N 0 3 249.285 2.575 20 0 BFADHN CC(C)Cc1ccc(CNC2([C@H](C)O)CC2)cc1 ZINC000668697897 488127588 /nfs/dbraw/zinc/12/75/88/488127588.db2.gz OLRDFYLLUMZADX-ZDUSSCGKSA-N 0 3 247.382 2.888 20 0 BFADHN CCC[C@H](N[C@@H](COC)C1CC1)c1ccccn1 ZINC000675817892 488127928 /nfs/dbraw/zinc/12/79/28/488127928.db2.gz YLRFRRXBEBOSGY-GJZGRUSLSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ccc(CNC2([C@H](C)O)CC2)c(Cl)c1 ZINC000668699305 488129863 /nfs/dbraw/zinc/12/98/63/488129863.db2.gz AFLNLNQOWYLDLC-JTQLQIEISA-N 0 3 239.746 2.651 20 0 BFADHN Cc1noc([C@H](C)N2C[C@H]3CCCC[C@@H]3C2)n1 ZINC000674252453 487538350 /nfs/dbraw/zinc/53/83/50/487538350.db2.gz RKPHTUCALBQIIY-MVWJERBFSA-N 0 3 235.331 2.561 20 0 BFADHN CCC(C)(C)[C@@H](C)N[C@H](C)c1ccncn1 ZINC000658113662 487544426 /nfs/dbraw/zinc/54/44/26/487544426.db2.gz IFEYJRLDOFQMJC-GHMZBOCLSA-N 0 3 221.348 2.952 20 0 BFADHN CN(CCC(C)(C)C)Cc1ccc(CO)o1 ZINC000399788926 487544662 /nfs/dbraw/zinc/54/46/62/487544662.db2.gz KJPGJAVIYPTONF-UHFFFAOYSA-N 0 3 225.332 2.640 20 0 BFADHN Cc1nc(C)c(CN(C)CC(C)(C)C)o1 ZINC000685562495 487545065 /nfs/dbraw/zinc/54/50/65/487545065.db2.gz LDMDJDNCNNCPAE-UHFFFAOYSA-N 0 3 210.321 2.769 20 0 BFADHN CC[C@@H]1CCCN1Cc1oc(C)nc1C ZINC000685562493 487545137 /nfs/dbraw/zinc/54/51/37/487545137.db2.gz LDIAQUAKDVAQCO-LLVKDONJSA-N 0 3 208.305 2.666 20 0 BFADHN C(CC1CCCCC1)CN1CCc2n[nH]nc2C1 ZINC000668791638 487545761 /nfs/dbraw/zinc/54/57/61/487545761.db2.gz TVIAAQSHOOKDSZ-UHFFFAOYSA-N 0 3 248.374 2.523 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@H](C)[C@H]2C)o1 ZINC000685565162 487547385 /nfs/dbraw/zinc/54/73/85/487547385.db2.gz ZNPSOZMRWZHLTG-MWLCHTKSSA-N 0 3 222.332 2.912 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@H](C)[C@@H]2C)o1 ZINC000685565161 487547210 /nfs/dbraw/zinc/54/72/10/487547210.db2.gz ZNPSOZMRWZHLTG-KOLCDFICSA-N 0 3 222.332 2.912 20 0 BFADHN Cc1nc(C)c(CN2C[C@H](C)C[C@@H]2C)o1 ZINC000685565127 487547508 /nfs/dbraw/zinc/54/75/08/487547508.db2.gz SWYGWIIROCMMDV-BDAKNGLRSA-N 0 3 208.305 2.522 20 0 BFADHN CC[C@H]1CCN(Cc2oc(C)nc2C)C1 ZINC000685566670 487549081 /nfs/dbraw/zinc/54/90/81/487549081.db2.gz BVUWGQVLLRUQSZ-NSHDSACASA-N 0 3 208.305 2.523 20 0 BFADHN CCN(CCC(F)(F)F)C[C@@H]1CC[C@H](C)O1 ZINC000682757682 487549122 /nfs/dbraw/zinc/54/91/22/487549122.db2.gz VEXNCHNWBCZASK-UWVGGRQHSA-N 0 3 239.281 2.828 20 0 BFADHN Cc1nc(C)c(CN(CC2CC2)CC2CC2)o1 ZINC000685568325 487549994 /nfs/dbraw/zinc/54/99/94/487549994.db2.gz QXXFTMGZSHQYQI-UHFFFAOYSA-N 0 3 234.343 2.913 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H](C3CC3)C2)o1 ZINC000685570360 487551592 /nfs/dbraw/zinc/55/15/92/487551592.db2.gz OYMLQRWYAQGQSX-LBPRGKRZSA-N 0 3 220.316 2.523 20 0 BFADHN C[C@@H]1c2ccccc2OCCN1[C@@H]1CCCOC1 ZINC000674301790 487554965 /nfs/dbraw/zinc/55/49/65/487554965.db2.gz VNDIIGLXPLSFJU-CHWSQXEVSA-N 0 3 247.338 2.621 20 0 BFADHN CC[C@H](NCc1cnccn1)C1CCCC1 ZINC000382815232 487555631 /nfs/dbraw/zinc/55/56/31/487555631.db2.gz YWFRBWKURZVODR-ZDUSSCGKSA-N 0 3 219.332 2.535 20 0 BFADHN FC1(CN2CCC[C@@H](C[C@H]3CCOC3)C2)CC1 ZINC000685464312 487532493 /nfs/dbraw/zinc/53/24/93/487532493.db2.gz VHHGXJBOOHIERJ-QWHCGFSZSA-N 0 3 241.350 2.627 20 0 BFADHN CC1(C)CC[C@H](NCc2ccncc2F)C1 ZINC000334650429 487532139 /nfs/dbraw/zinc/53/21/39/487532139.db2.gz BHSGJVYWNUDXNT-NSHDSACASA-N 0 3 222.307 2.889 20 0 BFADHN COc1cccc(CN[C@H]2CC2(C)C)c1F ZINC000160463582 488136440 /nfs/dbraw/zinc/13/64/40/488136440.db2.gz QWIQDUCFRSHKNC-NSHDSACASA-N 0 3 223.291 2.722 20 0 BFADHN COCC[C@@H](C)N1CCC=C(c2cccnc2)C1 ZINC000296032485 487532834 /nfs/dbraw/zinc/53/28/34/487532834.db2.gz SCRQDLVLTCATCY-CYBMUJFWSA-N 0 3 246.354 2.596 20 0 BFADHN CC(C)c1cccc(CNC2([C@@H](C)O)CC2)c1 ZINC000668702199 488139034 /nfs/dbraw/zinc/13/90/34/488139034.db2.gz XZZGVGYAYUBIJP-GFCCVEGCSA-N 0 3 233.355 2.813 20 0 BFADHN CO[C@@H](C)CN1CCc2cc(F)ccc2[C@@H]1C ZINC000679853192 487473000 /nfs/dbraw/zinc/47/30/00/487473000.db2.gz JZHOGHPPOIESPK-QWRGUYRKSA-N 0 3 237.318 2.780 20 0 BFADHN CCn1cncc1CN(CCC1CC1)CC1CC1 ZINC000668748340 487476459 /nfs/dbraw/zinc/47/64/59/487476459.db2.gz UEBQJYNPKQMOTM-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCOC2)c2ccccc2O1 ZINC000294959202 487479604 /nfs/dbraw/zinc/47/96/04/487479604.db2.gz DQLYJXGFTVTMLZ-MBNYWOFBSA-N 0 3 247.338 2.667 20 0 BFADHN Cc1cccc(CN[C@H]2CC[C@H](C)SC2)n1 ZINC000655696536 487485043 /nfs/dbraw/zinc/48/50/43/487485043.db2.gz GTZRNOKTDLIPFH-AAEUAGOBSA-N 0 3 236.384 2.764 20 0 BFADHN FC(F)(F)[C@@H]1CCC[C@@H](NCc2ccno2)C1 ZINC000231882262 487486222 /nfs/dbraw/zinc/48/62/22/487486222.db2.gz JKGAFFBSPMEMFD-RKDXNWHRSA-N 0 3 248.248 2.885 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2cnc3n2CCC3)C1 ZINC000668226912 487487608 /nfs/dbraw/zinc/48/76/08/487487608.db2.gz WTIUXUWKIJZTOY-QWHCGFSZSA-N 0 3 247.386 2.840 20 0 BFADHN CCC[C@]1(CO)CCN([C@H](C)c2ccncc2)C1 ZINC000685056796 487498650 /nfs/dbraw/zinc/49/86/50/487498650.db2.gz PSGXUMKCDLRCFX-HIFRSBDPSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@H](O)C[C@H](C)N[C@@H](C)c1cc2cnccc2o1 ZINC000657971759 487494577 /nfs/dbraw/zinc/49/45/77/487494577.db2.gz WPQHFHGBODJFEE-DCAQKATOSA-N 0 3 248.326 2.638 20 0 BFADHN CC(C)CCCNC(=O)Nc1cccc(CN)c1 ZINC000062100293 487495454 /nfs/dbraw/zinc/49/54/54/487495454.db2.gz RZSVNFRSMLVZOK-UHFFFAOYSA-N 0 3 249.358 2.703 20 0 BFADHN CCCC[C@@H](CC)CCNCc1cn(C)nn1 ZINC000679932899 487495648 /nfs/dbraw/zinc/49/56/48/487495648.db2.gz IBTYANMKHCEGLW-GFCCVEGCSA-N 0 3 238.379 2.511 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1NCc1ccn(C(C)C)n1 ZINC000521921599 487498765 /nfs/dbraw/zinc/49/87/65/487498765.db2.gz JRGSKLSMGISBFG-UONOGXRCSA-N 0 3 235.375 2.988 20 0 BFADHN CC1(CN2CC[C@H]2c2cccc(F)c2)COC1 ZINC000674011559 487499473 /nfs/dbraw/zinc/49/94/73/487499473.db2.gz VSIVKDMYRDVVIE-ZDUSSCGKSA-N 0 3 235.302 2.609 20 0 BFADHN Cc1csc(CCN[C@H](C)c2ccco2)n1 ZINC000063132504 487514210 /nfs/dbraw/zinc/51/42/10/487514210.db2.gz CQGNCKQJFROIQC-SNVBAGLBSA-N 0 3 236.340 2.938 20 0 BFADHN CC[C@@H](NCc1ccn(C)n1)C1CCCCC1 ZINC000112344921 487515146 /nfs/dbraw/zinc/51/51/46/487515146.db2.gz CUBHRKIZQJPHQQ-CQSZACIVSA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)C[C@H](CO)NCc1ccc(Cl)s1 ZINC000040774820 487515431 /nfs/dbraw/zinc/51/54/31/487515431.db2.gz DDIWMXDVSVUVSK-SECBINFHSA-N 0 3 247.791 2.898 20 0 BFADHN Cc1ccc(CNC[C@H](O)CC2CCCC2)o1 ZINC000232131198 487516294 /nfs/dbraw/zinc/51/62/94/487516294.db2.gz NSIZRJHHUYTULX-CYBMUJFWSA-N 0 3 237.343 2.619 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CCCc1ccncc1 ZINC000360439549 487518590 /nfs/dbraw/zinc/51/85/90/487518590.db2.gz YAXAOOJUFDNMLI-ZFWWWQNUSA-N 0 3 248.370 2.514 20 0 BFADHN CO[C@@H](C)CN(C)Cc1nccc2ccccc21 ZINC000685447708 487525866 /nfs/dbraw/zinc/52/58/66/487525866.db2.gz ZPRKRUUESDTLFJ-LBPRGKRZSA-N 0 3 244.338 2.702 20 0 BFADHN FC(F)C1CN(C[C@H]2C[C@@H]2c2ccccc2)C1 ZINC000669571620 487522780 /nfs/dbraw/zinc/52/27/80/487522780.db2.gz WCDLCJJYWNEYIJ-DGCLKSJQSA-N 0 3 237.293 2.987 20 0 BFADHN C[C@@H](NCc1nn(C)c2ccccc12)[C@H]1C[C@H]1C ZINC000666128627 487525212 /nfs/dbraw/zinc/52/52/12/487525212.db2.gz QXUOTDORLXHFBX-WZRBSPASSA-N 0 3 243.354 2.707 20 0 BFADHN C[C@H](NCc1nn(C)c2ccccc12)[C@@H]1C[C@H]1C ZINC000666128626 487525295 /nfs/dbraw/zinc/52/52/95/487525295.db2.gz QXUOTDORLXHFBX-NTZNESFSSA-N 0 3 243.354 2.707 20 0 BFADHN CCC[C@H](NCc1cocn1)[C@H]1CC1(C)C ZINC000397793834 488149921 /nfs/dbraw/zinc/14/99/21/488149921.db2.gz JDDVFEHKURRJOY-NEPJUHHUSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1cn[nH]c1CNCc1cc2ccccc2o1 ZINC000685613295 487564676 /nfs/dbraw/zinc/56/46/76/487564676.db2.gz NAPOXVQWPYYMRS-UHFFFAOYSA-N 0 3 241.294 2.754 20 0 BFADHN Cc1occc1CN1C[C@@H]2CCCC[C@@H]21 ZINC000668298909 487634235 /nfs/dbraw/zinc/63/42/35/487634235.db2.gz NRBZEYKVSKRTRP-STQMWFEESA-N 0 3 205.301 2.962 20 0 BFADHN C1=CCC(NCc2c[nH]c(-c3ccccc3)n2)C1 ZINC000675349090 487716938 /nfs/dbraw/zinc/71/69/38/487716938.db2.gz TVQACZYLFSCOKB-UHFFFAOYSA-N 0 3 239.322 2.885 20 0 BFADHN CCC[C@H](C)NC(=O)[C@H](N)CC1CCCCC1 ZINC000302331461 487820225 /nfs/dbraw/zinc/82/02/25/487820225.db2.gz GFUCZFVZAVYRAG-WCQYABFASA-N 0 3 240.391 2.589 20 0 BFADHN CCCCN(C)Cc1cnc(OC)c(Cl)c1 ZINC000676879803 487941239 /nfs/dbraw/zinc/94/12/39/487941239.db2.gz BJQLXZJLENQSDF-UHFFFAOYSA-N 0 3 242.750 2.976 20 0 BFADHN COCC[C@H](C)N(C)Cc1ccsc1 ZINC000677882594 488145609 /nfs/dbraw/zinc/14/56/09/488145609.db2.gz XDIPBOCKPAXRBH-JTQLQIEISA-N 0 3 213.346 2.605 20 0 BFADHN CCN1CCN(C[C@@H](C)c2ccccc2)C[C@@H]1C ZINC000677191657 487995997 /nfs/dbraw/zinc/99/59/97/487995997.db2.gz DTPVTBQJVPMDAD-CABCVRRESA-N 0 3 246.398 2.816 20 0 BFADHN CN(Cc1cc2ccncc2s1)[C@@H]1CCOC1 ZINC000667597632 488055828 /nfs/dbraw/zinc/05/58/28/488055828.db2.gz URFXZJDCWOJZFO-LLVKDONJSA-N 0 3 248.351 2.517 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CC(c2nc3ccccc3[nH]2)C1 ZINC000683110901 488112426 /nfs/dbraw/zinc/11/24/26/488112426.db2.gz UZWNMSUDPJUBPV-GHMZBOCLSA-N 0 3 241.338 2.618 20 0 BFADHN CCC[C@@H](C)NC(=O)Nc1ccc2c(c1)CNC2 ZINC000667852579 488138190 /nfs/dbraw/zinc/13/81/90/488138190.db2.gz YKPUEPJEDMOIFN-SNVBAGLBSA-N 0 3 247.342 2.600 20 0 BFADHN Cc1cccc(CN2CC[C@H]3COC[C@H]3C2)c1F ZINC000668705868 488144339 /nfs/dbraw/zinc/14/43/39/488144339.db2.gz NUVVFAHRSZIVQK-UONOGXRCSA-N 0 3 249.329 2.602 20 0 BFADHN CCc1ccccc1CN[C@H]1CO[C@@H](C2CC2)C1 ZINC000667874746 488145608 /nfs/dbraw/zinc/14/56/08/488145608.db2.gz OHIUIGGHMLXMQM-HZPDHXFCSA-N 0 3 245.366 2.906 20 0 BFADHN CCN(Cc1cccc(F)c1)[C@@H]1CCCOC1 ZINC000677881321 488145956 /nfs/dbraw/zinc/14/59/56/488145956.db2.gz HHBVWJPQPDHQGM-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN CN(C[C@@H](O)C1CC1)[C@H]1CCc2ccc(F)cc21 ZINC000677893761 488150510 /nfs/dbraw/zinc/15/05/10/488150510.db2.gz RLXIDGPWJKEXQL-LSDHHAIUSA-N 0 3 249.329 2.516 20 0 BFADHN CCc1nn(C)cc1CN1CC2(CCC2)C[C@@H]1C ZINC000683364454 488153809 /nfs/dbraw/zinc/15/38/09/488153809.db2.gz XEALFAHBKDYFLU-LBPRGKRZSA-N 0 3 247.386 2.747 20 0 BFADHN Fc1ccccc1CCN1CC[C@@H](C(F)F)C1 ZINC000683368549 488153876 /nfs/dbraw/zinc/15/38/76/488153876.db2.gz HWWSGZYWJPFQOC-LLVKDONJSA-N 0 3 243.272 2.955 20 0 BFADHN CC[C@H](NCc1csc(C)n1)[C@H]1C[C@H]1C ZINC000321971638 488163056 /nfs/dbraw/zinc/16/30/56/488163056.db2.gz AZXNQZUZCAAEQZ-ZHAHWJHGSA-N 0 3 224.373 2.976 20 0 BFADHN CC(C)CN1CC(c2nc3ccccc3[nH]2)C1 ZINC000114346869 488165565 /nfs/dbraw/zinc/16/55/65/488165565.db2.gz ACZOUYWMXIKASQ-UHFFFAOYSA-N 0 3 229.327 2.618 20 0 BFADHN CCc1noc(COc2cc(C)nc(C)c2C)n1 ZINC000655677498 488167378 /nfs/dbraw/zinc/16/73/78/488167378.db2.gz PZLUHMVYSPEZEG-UHFFFAOYSA-N 0 3 247.298 2.531 20 0 BFADHN Cc1cc(OCc2ccnc(C)n2)c(C)c(C)n1 ZINC000655677563 488167443 /nfs/dbraw/zinc/16/74/43/488167443.db2.gz DULCKQGHMBGOOD-UHFFFAOYSA-N 0 3 243.310 2.684 20 0 BFADHN C[C@@H]1CN(Cc2cnc3n2CCC3)CC(C)(C)C1 ZINC000667969098 488171194 /nfs/dbraw/zinc/17/11/94/488171194.db2.gz DBDDSKSGFCUGKZ-LBPRGKRZSA-N 0 3 247.386 2.697 20 0 BFADHN CCc1cccc2cc(CN(C)C[C@H](C)O)oc21 ZINC000667977831 488173674 /nfs/dbraw/zinc/17/36/74/488173674.db2.gz KVRPKKDDRKYDQA-NSHDSACASA-N 0 3 247.338 2.808 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cnc2n1CCC2 ZINC000667984564 488176262 /nfs/dbraw/zinc/17/62/62/488176262.db2.gz WYPNFGDCGLGMTP-CYBMUJFWSA-N 0 3 247.386 2.984 20 0 BFADHN C[C@H]1CCN(Cc2cnc3n2CCC3)CC1(C)C ZINC000667988035 488176879 /nfs/dbraw/zinc/17/68/79/488176879.db2.gz ZFFNUOGRWFBLFG-LBPRGKRZSA-N 0 3 247.386 2.697 20 0 BFADHN C[C@@H](CN1CCc2nccnc2C1)C(C)(C)C ZINC000668734443 488179745 /nfs/dbraw/zinc/17/97/45/488179745.db2.gz HHIALCQQARAKIN-NSHDSACASA-N 0 3 233.359 2.517 20 0 BFADHN CCOc1ccc(CN2CC[C@H](C)[C@H](C)C2)nc1 ZINC000678045587 488181265 /nfs/dbraw/zinc/18/12/65/488181265.db2.gz HTWHLRTUJCADBH-QWHCGFSZSA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1ccnn1CC1CC1 ZINC000668003138 488181842 /nfs/dbraw/zinc/18/18/42/488181842.db2.gz INBGSYGHYDUPHG-SWLSCSKDSA-N 0 3 247.386 2.914 20 0 BFADHN CC(C)(C)N1CC[C@H]1CNc1ncccc1F ZINC000664142715 488185765 /nfs/dbraw/zinc/18/57/65/488185765.db2.gz MGRGNIUVTLPEPF-JTQLQIEISA-N 0 3 237.322 2.505 20 0 BFADHN O[C@@H](CN[C@H]1CCc2ccc(F)cc21)C1CCC1 ZINC000453389549 488190756 /nfs/dbraw/zinc/19/07/56/488190756.db2.gz RRVAMYHKDNNXIA-GJZGRUSLSA-N 0 3 249.329 2.564 20 0 BFADHN Cc1ncc(CN2CCC[C@H](C(C)C)C2)n1C ZINC000668030615 488191219 /nfs/dbraw/zinc/19/12/19/488191219.db2.gz XENNTWULSKQTDV-ZDUSSCGKSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@@H]1C[C@@H](C)[C@H](C)N(Cc2cnc3n2CCC3)C1 ZINC000668047486 488195339 /nfs/dbraw/zinc/19/53/39/488195339.db2.gz CKLAOBQZCTZPRY-UPJWGTAASA-N 0 3 247.386 2.696 20 0 BFADHN c1n[nH]cc1CN1CCC[C@@H]1c1ccccc1 ZINC000678130081 488201762 /nfs/dbraw/zinc/20/17/62/488201762.db2.gz MQCLPBHXDQTMFR-CQSZACIVSA-N 0 3 227.311 2.747 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cn2cccc(F)c2n1 ZINC000678136676 488203902 /nfs/dbraw/zinc/20/39/02/488203902.db2.gz GDBZRWWMFJTVCG-LLVKDONJSA-N 0 3 249.333 2.950 20 0 BFADHN C[C@H]1CCCC[C@@H]1N(C)Cc1cnc2n1CCC2 ZINC000668115641 488205937 /nfs/dbraw/zinc/20/59/37/488205937.db2.gz DIFPZZIFJZVBLL-JSGCOSHPSA-N 0 3 247.386 2.840 20 0 BFADHN Cc1ccc(F)cc1CN(C)CC1(C)COC1 ZINC000683671859 488206073 /nfs/dbraw/zinc/20/60/73/488206073.db2.gz FHOAADVTXCBCPI-UHFFFAOYSA-N 0 3 237.318 2.602 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1CCSc2ccccc21 ZINC000683693946 488208895 /nfs/dbraw/zinc/20/88/95/488208895.db2.gz NQTGXAKRHBZAHS-RWMBFGLXSA-N 0 3 249.379 2.991 20 0 BFADHN C=Cn1cc(CNC(C)(C)CC(F)(F)F)cn1 ZINC000683705237 488210913 /nfs/dbraw/zinc/21/09/13/488210913.db2.gz OBXSQZQXTFQCRC-UHFFFAOYSA-N 0 3 247.264 2.804 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc(N(C)C)nc2)CC1 ZINC000678217321 488219506 /nfs/dbraw/zinc/21/95/06/488219506.db2.gz RFEVWBKZMINIDD-CYBMUJFWSA-N 0 3 247.386 2.770 20 0 BFADHN c1csc(CN2CCC[C@H](n3ccnc3)C2)c1 ZINC000678234482 488221913 /nfs/dbraw/zinc/22/19/13/488221913.db2.gz GFAMTHQCWIBFKI-LBPRGKRZSA-N 0 3 247.367 2.782 20 0 BFADHN CC[C@H](CO)N(C)Cc1cc2c(cccc2C)[nH]1 ZINC000668201883 488222900 /nfs/dbraw/zinc/22/29/00/488222900.db2.gz LITULPWBNKBWHF-CYBMUJFWSA-N 0 3 246.354 2.679 20 0 BFADHN CN(Cc1ccccc1C1CC1)[C@H]1CCOC1 ZINC000678238876 488223171 /nfs/dbraw/zinc/22/31/71/488223171.db2.gz JPNRZJFWGZDAQO-AWEZNQCLSA-N 0 3 231.339 2.785 20 0 BFADHN Cc1ccccc1NC(=O)CN(C)[C@H](C)C1CC1 ZINC000078723140 488223588 /nfs/dbraw/zinc/22/35/88/488223588.db2.gz NNXODLRLNDLUHT-GFCCVEGCSA-N 0 3 246.354 2.664 20 0 BFADHN CCN(Cc1ccoc1)C[C@@H]1CCSC1 ZINC000683830276 488229120 /nfs/dbraw/zinc/22/91/20/488229120.db2.gz SZDDEZHEBUWLSB-LBPRGKRZSA-N 0 3 225.357 2.855 20 0 BFADHN C/C(=C\c1ccccc1)CN(CCCO)C1CC1 ZINC000678263162 488229601 /nfs/dbraw/zinc/22/96/01/488229601.db2.gz DMBXUOUNLRQQIZ-WYMLVPIESA-N 0 3 245.366 2.937 20 0 BFADHN CCC[C@]1(C)CCCN(Cc2cn[nH]c2)C1 ZINC000678270426 488231792 /nfs/dbraw/zinc/23/17/92/488231792.db2.gz AQSUMNZRIQRESQ-CYBMUJFWSA-N 0 3 221.348 2.812 20 0 BFADHN C[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1cn(C)cn1 ZINC000683898275 488235355 /nfs/dbraw/zinc/23/53/55/488235355.db2.gz LIWUYONDTBVKFX-MBNYWOFBSA-N 0 3 233.359 2.573 20 0 BFADHN OCc1ccc(CN2C3CCCC2CCC3)o1 ZINC000678279736 488236145 /nfs/dbraw/zinc/23/61/45/488236145.db2.gz RVORUEYNZPYALN-UHFFFAOYSA-N 0 3 235.327 2.679 20 0 BFADHN CC[C@@H](Cc1ccccc1)N(C)Cc1cn[nH]c1 ZINC000678279385 488236529 /nfs/dbraw/zinc/23/65/29/488236529.db2.gz KBGFQUWFOZSQLK-HNNXBMFYSA-N 0 3 243.354 2.863 20 0 BFADHN Cc1nn(C)cc1CN1C2CCCC1CCC2 ZINC000678282307 488237310 /nfs/dbraw/zinc/23/73/10/488237310.db2.gz YMBWVLBEOWXIMY-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN CN(Cc1cn(C)cn1)C1CCCCCC1 ZINC000683897199 488238722 /nfs/dbraw/zinc/23/87/22/488238722.db2.gz RRZAIIVBYWFEHD-UHFFFAOYSA-N 0 3 221.348 2.575 20 0 BFADHN CCCN(Cc1oc(C)nc1C)CC1CC1 ZINC000683896910 488238730 /nfs/dbraw/zinc/23/87/30/488238730.db2.gz CMIAVAQEFRTNSD-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN CCCCN(CC)Cc1oc(C)nc1C ZINC000683896895 488238861 /nfs/dbraw/zinc/23/88/61/488238861.db2.gz BSWQOCCSDHQWMP-UHFFFAOYSA-N 0 3 210.321 2.913 20 0 BFADHN Cn1cnc(CN2CCC[C@]3(CC=CCC3)C2)c1 ZINC000683897645 488239356 /nfs/dbraw/zinc/23/93/56/488239356.db2.gz GVHCMRWMBDZDIM-OAHLLOKOSA-N 0 3 245.370 2.742 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1nn(C)c2ccccc12 ZINC000678332971 488240974 /nfs/dbraw/zinc/24/09/74/488240974.db2.gz CBXAUPPZOGSGQN-NWDGAFQWSA-N 0 3 243.354 2.804 20 0 BFADHN CN(CC1=CCCOC1)[C@@H]1C[C@H]1c1ccccc1 ZINC000678339372 488242682 /nfs/dbraw/zinc/24/26/82/488242682.db2.gz MNAVRIMIXMXTAF-JKSUJKDBSA-N 0 3 243.350 2.821 20 0 BFADHN CC[C@H]1CCN(Cc2n[nH]c3ccccc32)C1 ZINC000678339093 488242872 /nfs/dbraw/zinc/24/28/72/488242872.db2.gz MZDPONZOVWLPRM-NSHDSACASA-N 0 3 229.327 2.795 20 0 BFADHN CC(C)C[C@@H](C)NC(=O)Nc1cccc(CN)c1 ZINC000037265526 488246950 /nfs/dbraw/zinc/24/69/50/488246950.db2.gz FXTFULFFHFGIDP-LLVKDONJSA-N 0 3 249.358 2.701 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H]2CCCCO2)c(C)n1 ZINC000678415107 488252800 /nfs/dbraw/zinc/25/28/00/488252800.db2.gz YJCZLUOIPJYNRP-GXTWGEPZSA-N 0 3 248.370 2.918 20 0 BFADHN Cc1ccc([C@@H](C)NCCOCC2CC2)c(C)n1 ZINC000678423644 488254788 /nfs/dbraw/zinc/25/47/88/488254788.db2.gz TUIMAJRMRPWNLP-GFCCVEGCSA-N 0 3 248.370 2.776 20 0 BFADHN CCN(CCOC)Cc1cc2ccccc2[nH]1 ZINC000684019496 488255290 /nfs/dbraw/zinc/25/52/90/488255290.db2.gz HEHRRMGGZQZPIO-UHFFFAOYSA-N 0 3 232.327 2.636 20 0 BFADHN CC1(O)CCN(Cc2cc3ccccc3[nH]2)CC1 ZINC000684021827 488256190 /nfs/dbraw/zinc/25/61/90/488256190.db2.gz VUJHXOJJJZRSTQ-UHFFFAOYSA-N 0 3 244.338 2.515 20 0 BFADHN COC[C@H]1CCN(Cc2cc3ccccc3[nH]2)C1 ZINC000684022212 488256466 /nfs/dbraw/zinc/25/64/66/488256466.db2.gz GJFYLCOMACKFBE-LBPRGKRZSA-N 0 3 244.338 2.636 20 0 BFADHN CC[C@@H](C)CNCc1c(C)cc(C)nc1OC ZINC000678496056 488257117 /nfs/dbraw/zinc/25/71/17/488257117.db2.gz NFYDGUTZGLAHRA-SNVBAGLBSA-N 0 3 236.359 2.843 20 0 BFADHN C[C@H]1CCC[C@H](CN2CCc3c[nH]nc3C2)C1 ZINC000678466024 488257418 /nfs/dbraw/zinc/25/74/18/488257418.db2.gz CHAWKRBVVMBLRS-RYUDHWBXSA-N 0 3 233.359 2.594 20 0 BFADHN COCCN(Cc1ncccc1C)C1CCCC1 ZINC000678514839 488263762 /nfs/dbraw/zinc/26/37/62/488263762.db2.gz MJNNBAQOHGJARZ-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1c(CN(C)C[C@H]2CCC[C@@H](C)C2)cnn1C ZINC000684107469 488267317 /nfs/dbraw/zinc/26/73/17/488267317.db2.gz HRTIJBIELONANH-OCCSQVGLSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2cccs2)ncn1 ZINC000678604532 488270834 /nfs/dbraw/zinc/27/08/34/488270834.db2.gz IFFDXFXVHMBPCA-NSHDSACASA-N 0 3 247.367 2.567 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](CO)CC2CC2)c(C)n1 ZINC000678606857 488272082 /nfs/dbraw/zinc/27/20/82/488272082.db2.gz LQKGCTFLZKIJST-TZMCWYRMSA-N 0 3 248.370 2.510 20 0 BFADHN CO[C@]1(C)CCCN(Cc2cc(C)ccn2)CC1 ZINC000684623049 488334670 /nfs/dbraw/zinc/33/46/70/488334670.db2.gz OEHCLHRJAOBCHW-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN COC1(C)CCN([C@H](C)c2ccncc2)CC1 ZINC000678799205 488284370 /nfs/dbraw/zinc/28/43/70/488284370.db2.gz XXUASRCWAOZLLC-GFCCVEGCSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@H]1CN(Cc2nc3c(s2)CCC3)C[C@H]1C ZINC000678831567 488288890 /nfs/dbraw/zinc/28/88/90/488288890.db2.gz LQFLVTFVSJYVQW-AOOOYVTPSA-N 0 3 236.384 2.720 20 0 BFADHN C[C@H]1CCCC[C@@H]1CCNCc1cn(C)cn1 ZINC000673602256 488296796 /nfs/dbraw/zinc/29/67/96/488296796.db2.gz SDHANKCVQWOWJL-QWHCGFSZSA-N 0 3 235.375 2.726 20 0 BFADHN Cc1nc(C)c(CN2CC=CCC2)s1 ZINC000679323017 488336436 /nfs/dbraw/zinc/33/64/36/488336436.db2.gz QOOXJZQCJVBKSG-UHFFFAOYSA-N 0 3 208.330 2.522 20 0 BFADHN COc1ccccc1CN1CC(C(C)C)C1 ZINC000684407668 488307478 /nfs/dbraw/zinc/30/74/78/488307478.db2.gz BLPGUZHMQLEBRW-UHFFFAOYSA-N 0 3 219.328 2.783 20 0 BFADHN Cc1ccc(CN2CC([C@H]3CCOC3)C2)cc1F ZINC000684414583 488309578 /nfs/dbraw/zinc/30/95/78/488309578.db2.gz NDGUYQNZLPZYEI-ZDUSSCGKSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1nccc(CN(C)[C@H](C)C(C)(C)C)n1 ZINC000684616666 488332445 /nfs/dbraw/zinc/33/24/45/488332445.db2.gz JZBWHAOOJXFZEB-SNVBAGLBSA-N 0 3 221.348 2.651 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2n[nH]c3ccccc32)C1 ZINC000684683648 488338671 /nfs/dbraw/zinc/33/86/71/488338671.db2.gz RVADCDCRCWBMFG-LLVKDONJSA-N 0 3 241.338 2.961 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2[nH]nc3ccccc32)C1 ZINC000684683648 488338672 /nfs/dbraw/zinc/33/86/72/488338672.db2.gz RVADCDCRCWBMFG-LLVKDONJSA-N 0 3 241.338 2.961 20 0 BFADHN CC1=C[C@H](C)CN(Cc2n[nH]c3ccccc32)C1 ZINC000684683650 488338762 /nfs/dbraw/zinc/33/87/62/488338762.db2.gz RVADCDCRCWBMFG-NSHDSACASA-N 0 3 241.338 2.961 20 0 BFADHN CC1=C[C@H](C)CN(Cc2[nH]nc3ccccc32)C1 ZINC000684683650 488338764 /nfs/dbraw/zinc/33/87/64/488338764.db2.gz RVADCDCRCWBMFG-NSHDSACASA-N 0 3 241.338 2.961 20 0 BFADHN Cc1ccnc(CN2CCC=C(C)C2)c1 ZINC000684774026 488341623 /nfs/dbraw/zinc/34/16/23/488341623.db2.gz OXIDKMINYHBANZ-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN Cc1nc(C)c(CN(C)C2CCCC2)o1 ZINC000684836212 488347910 /nfs/dbraw/zinc/34/79/10/488347910.db2.gz CBVDEBVMMRZBCZ-UHFFFAOYSA-N 0 3 208.305 2.666 20 0 BFADHN CCOCCN1C[C@H](C(F)(F)F)CC[C@@H]1C ZINC000679541814 488356457 /nfs/dbraw/zinc/35/64/57/488356457.db2.gz MBAYXURKOCVESF-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN CCOCCN1C[C@@H](C(F)(F)F)CC[C@@H]1C ZINC000679541813 488356573 /nfs/dbraw/zinc/35/65/73/488356573.db2.gz MBAYXURKOCVESF-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN COCCN1CCC[C@H]1/C=C\c1ccccc1 ZINC000679546220 488357864 /nfs/dbraw/zinc/35/78/64/488357864.db2.gz UYIMHJDWESYGIH-KVPUOBJLSA-N 0 3 231.339 2.811 20 0 BFADHN FC(F)O[C@@H]1CCCN([C@H]2C=CCCC2)C1 ZINC000679679694 488363920 /nfs/dbraw/zinc/36/39/20/488363920.db2.gz CLEMCIVIHVUGHL-WDEREUQCSA-N 0 3 231.286 2.799 20 0 BFADHN Cc1ccc(CN2CC(C(C)(C)C)C2)cn1 ZINC000334783115 490098217 /nfs/dbraw/zinc/09/82/17/490098217.db2.gz PSYHBBHHYJXWMJ-UHFFFAOYSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1ccc(CCNCc2cnccc2C)o1 ZINC000526974422 490104403 /nfs/dbraw/zinc/10/44/03/490104403.db2.gz RJGMDBOPIMSQOO-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CN(C)Cc1ccc(NC(=O)C2CCCC2)cc1 ZINC000023898970 490115778 /nfs/dbraw/zinc/11/57/78/490115778.db2.gz HVOZHCQDKONUNC-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CC[C@@H](O)CCNCc1ccc(C)cc1Cl ZINC000233907944 490124044 /nfs/dbraw/zinc/12/40/44/490124044.db2.gz PSPOYCIAQVBMQJ-GFCCVEGCSA-N 0 3 241.762 2.899 20 0 BFADHN c1c[nH]c([C@H]2CCCCN2C[C@@H]2C[C@H]3C[C@H]3C2)n1 ZINC000660006555 490132406 /nfs/dbraw/zinc/13/24/06/490132406.db2.gz AGRGXFKWDBGKKE-XJFOESAGSA-N 0 3 245.370 2.983 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H](C)O[C@@H]2C)c(C)c1 ZINC000582765781 490150517 /nfs/dbraw/zinc/15/05/17/490150517.db2.gz PPGHLZKVLSURKP-YWPYICTPSA-N 0 3 249.354 2.659 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H](C)O[C@H]2C)c(C)c1 ZINC000582765779 490150532 /nfs/dbraw/zinc/15/05/32/490150532.db2.gz PPGHLZKVLSURKP-SLEUVZQESA-N 0 3 249.354 2.659 20 0 BFADHN Cc1cccc(C)c1OCCN(C)C1CC1 ZINC000047915034 490167856 /nfs/dbraw/zinc/16/78/56/490167856.db2.gz XITMURYHHVVBHG-UHFFFAOYSA-N 0 3 219.328 2.776 20 0 BFADHN C[C@@H]1CN([C@H]2CCCOC2)[C@H]1c1ccccc1 ZINC000674303216 490206506 /nfs/dbraw/zinc/20/65/06/490206506.db2.gz UWVLGEIPOSPLEO-VHDGCEQUSA-N 0 3 231.339 2.858 20 0 BFADHN C[C@@H]1CN([C@@H]2CCCOC2)[C@@H]1c1ccccc1 ZINC000674303223 490206567 /nfs/dbraw/zinc/20/65/67/490206567.db2.gz UWVLGEIPOSPLEO-YUELXQCFSA-N 0 3 231.339 2.858 20 0 BFADHN CC1(CNCc2cnc(C3CC3)nc2)CCC1 ZINC000459423079 490270545 /nfs/dbraw/zinc/27/05/45/490270545.db2.gz IPIKOOUTZDPFID-UHFFFAOYSA-N 0 3 231.343 2.634 20 0 BFADHN CC1(CCNCc2cnc(C3CC3)nc2)CC1 ZINC000459512796 490271878 /nfs/dbraw/zinc/27/18/78/490271878.db2.gz ZHRWVZBDQAZNJD-UHFFFAOYSA-N 0 3 231.343 2.634 20 0 BFADHN C[C@H]1C[C@@H](NCC2CCCCCC2)c2ncnn21 ZINC000657571099 490285752 /nfs/dbraw/zinc/28/57/52/490285752.db2.gz VXYFRQBJLHRNHY-WCQYABFASA-N 0 3 248.374 2.844 20 0 BFADHN CN(CCc1cn[nH]c1)Cc1ccc(Cl)cc1 ZINC000290030518 490307817 /nfs/dbraw/zinc/30/78/17/490307817.db2.gz NIYOJXQBSXJGRK-UHFFFAOYSA-N 0 3 249.745 2.738 20 0 BFADHN C[C@H](NCC1(CO)CCCCC1)c1ccoc1 ZINC000124296732 490345672 /nfs/dbraw/zinc/34/56/72/490345672.db2.gz YMZOPLLAPWDTKH-LBPRGKRZSA-N 0 3 237.343 2.873 20 0 BFADHN COc1cccc(CNC[C@@H](C)C2CC2)c1F ZINC000125402440 490430457 /nfs/dbraw/zinc/43/04/57/490430457.db2.gz WDHUGVMBVLDHFN-SNVBAGLBSA-N 0 3 237.318 2.970 20 0 BFADHN CC(C)C[C@H](NCC1(CO)CC1)c1ccccn1 ZINC000305141092 490444841 /nfs/dbraw/zinc/44/48/41/490444841.db2.gz MJUMJLPZHIASSH-AWEZNQCLSA-N 0 3 248.370 2.531 20 0 BFADHN COC[C@H]1CCCN1C/C=C/c1ccccc1 ZINC000222024553 490464782 /nfs/dbraw/zinc/46/47/82/490464782.db2.gz JJYVYTOAXNVRGE-FUVBFXSKSA-N 0 3 231.339 2.811 20 0 BFADHN C[C@@H](CNCc1nccs1)CC(F)(F)F ZINC000396931053 490482983 /nfs/dbraw/zinc/48/29/83/490482983.db2.gz NYENVEYZWVHYKG-SSDOTTSWSA-N 0 3 238.278 2.821 20 0 BFADHN COc1ccccc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000668675507 490508917 /nfs/dbraw/zinc/50/89/17/490508917.db2.gz DJJZVMTUUPLYGJ-DGCLKSJQSA-N 0 3 217.312 2.537 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@H](C2CCC2)C1 ZINC000668719093 490543177 /nfs/dbraw/zinc/54/31/77/490543177.db2.gz UAIUVSYYBZVITA-ZDUSSCGKSA-N 0 3 233.359 2.730 20 0 BFADHN CN(CCC1CCCCC1)Cc1cncnc1 ZINC000127333705 490545570 /nfs/dbraw/zinc/54/55/70/490545570.db2.gz CBLGEJOXDDBODU-UHFFFAOYSA-N 0 3 233.359 2.879 20 0 BFADHN CCC[C@@H](C)N[C@H](C)c1nccnc1C ZINC000219072610 490551812 /nfs/dbraw/zinc/55/18/12/490551812.db2.gz IKYXNEJLDQWTIH-MWLCHTKSSA-N 0 3 207.321 2.624 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2CCS[C@H]2C)n1 ZINC000300333133 490556421 /nfs/dbraw/zinc/55/64/21/490556421.db2.gz GNMMNOMSCZITDL-LPEHRKFASA-N 0 3 242.413 2.996 20 0 BFADHN CC1(C)[C@H](NCc2ccccc2C2CC2)C[C@@H]1O ZINC000128169335 490573931 /nfs/dbraw/zinc/57/39/31/490573931.db2.gz UMGYKEOXRBSVIE-CABCVRRESA-N 0 3 245.366 2.813 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1NCc1ccccc1C1CC1 ZINC000128169507 490574131 /nfs/dbraw/zinc/57/41/31/490574131.db2.gz UMGYKEOXRBSVIE-GJZGRUSLSA-N 0 3 245.366 2.813 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H]1CCO[C@@H](C)C1 ZINC000220412422 490600246 /nfs/dbraw/zinc/60/02/46/490600246.db2.gz SHHUZAYGCUIDJB-AUTRQRHGSA-N 0 3 238.331 2.510 20 0 BFADHN COc1ccccc1CN[C@@H]1CCS[C@H]1C ZINC000220525014 490607552 /nfs/dbraw/zinc/60/75/52/490607552.db2.gz ZKQNXRUUGMPGNK-CMPLNLGQSA-N 0 3 237.368 2.679 20 0 BFADHN CCc1ccc(CNC[C@H](C)C2CC2)o1 ZINC000131252410 490625252 /nfs/dbraw/zinc/62/52/52/490625252.db2.gz WHADONOZUUVKEU-JTQLQIEISA-N 0 3 207.317 2.978 20 0 BFADHN CC(C)(C)c1ncc(CNC2CC=CC2)cn1 ZINC000305998926 490628829 /nfs/dbraw/zinc/62/88/29/490628829.db2.gz GKPFUEBWBXBLMG-UHFFFAOYSA-N 0 3 231.343 2.582 20 0 BFADHN Cc1cc(CN[C@@H](C)CCC(C)C)ncn1 ZINC000309424747 491054821 /nfs/dbraw/zinc/05/48/21/491054821.db2.gz BJYMQMOHJAPSRW-NSHDSACASA-N 0 3 221.348 2.699 20 0 BFADHN CC[C@@H](N[C@H](C)c1nnc2ccccn21)C1CC1 ZINC000086117091 491057928 /nfs/dbraw/zinc/05/79/28/491057928.db2.gz FNYNWUAMVKUSCA-ZYHUDNBSSA-N 0 3 244.342 2.569 20 0 BFADHN CC1(C)CC[C@H](NCc2cc3n(n2)CCCC3)C1 ZINC000655757439 491071692 /nfs/dbraw/zinc/07/16/92/491071692.db2.gz SKKZKGVBPIFRDW-LBPRGKRZSA-N 0 3 247.386 2.888 20 0 BFADHN COc1ccc(CN(C)CCC(C)C)cn1 ZINC000085787290 491052872 /nfs/dbraw/zinc/05/28/72/491052872.db2.gz HHXBBZCJDHYFFX-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@H](NCc2ccno2)C1 ZINC000300400695 491070174 /nfs/dbraw/zinc/07/01/74/491070174.db2.gz RJQIQXPGORCJOV-CKYFFXLPSA-N 0 3 208.305 2.589 20 0 BFADHN c1ccc2c(c1)CSC[C@@H]2N[C@H]1CCCOC1 ZINC000109545821 491078795 /nfs/dbraw/zinc/07/87/95/491078795.db2.gz VYQSTVZCGIALNE-JSGCOSHPSA-N 0 3 249.379 2.743 20 0 BFADHN Cc1nc([C@@H](C)NCCC[C@@H](C)O)c(C)s1 ZINC000228033232 491088487 /nfs/dbraw/zinc/08/84/87/491088487.db2.gz AOKQNJPWXMJLFH-RKDXNWHRSA-N 0 3 242.388 2.572 20 0 BFADHN C[C@H]1CCC[C@@H](CNCc2ccon2)C1 ZINC000088052774 491094080 /nfs/dbraw/zinc/09/40/80/491094080.db2.gz RMIYRIHVGMXLKW-WDEREUQCSA-N 0 3 208.305 2.591 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cc2ccoc2)o1 ZINC000084960979 491102313 /nfs/dbraw/zinc/10/23/13/491102313.db2.gz JUWSIDFGDGPKQS-JTQLQIEISA-N 0 3 219.284 2.902 20 0 BFADHN CCC[C@@H](N)c1cn(-c2cc(C)cc(F)c2)nn1 ZINC000229930219 491121287 /nfs/dbraw/zinc/12/12/87/491121287.db2.gz OTTZCSKIEXNKOW-GFCCVEGCSA-N 0 3 248.305 2.515 20 0 BFADHN COCC1(N[C@H](C)c2sccc2C)CC1 ZINC000308974272 491121569 /nfs/dbraw/zinc/12/15/69/491121569.db2.gz APHUJLXABLLWQK-SNVBAGLBSA-N 0 3 225.357 2.886 20 0 BFADHN CC1(C)c2ccccc2CCN1Cc1cnc[nH]1 ZINC000558809160 491124145 /nfs/dbraw/zinc/12/41/45/491124145.db2.gz IUBVEILVCIGBPW-UHFFFAOYSA-N 0 3 241.338 2.703 20 0 BFADHN CCCn1nccc1CN[C@H]1CC12CCCC2 ZINC000395627092 491126387 /nfs/dbraw/zinc/12/63/87/491126387.db2.gz AEFDCTVSTASIRA-ZDUSSCGKSA-N 0 3 233.359 2.715 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H]2CCCSC2)s1 ZINC000090418761 491126716 /nfs/dbraw/zinc/12/67/16/491126716.db2.gz KGRLWPPTBVXQJP-SCZZXKLOSA-N 0 3 242.413 2.998 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1cnc(C)s1 ZINC000090419420 491126722 /nfs/dbraw/zinc/12/67/22/491126722.db2.gz YOFUASCSICNCCS-QMMMGPOBSA-N 0 3 228.361 2.527 20 0 BFADHN COC(C)(C)CN[C@H](C)c1cnc(C)s1 ZINC000090419419 491126724 /nfs/dbraw/zinc/12/67/24/491126724.db2.gz YOFUASCSICNCCS-MRVPVSSYSA-N 0 3 228.361 2.527 20 0 BFADHN CC[C@H](C)C[C@@H](CO)N[C@@H](C)c1ccco1 ZINC000647336012 491133226 /nfs/dbraw/zinc/13/32/26/491133226.db2.gz HAAVONJXAKRZLV-SRVKXCTJSA-N 0 3 225.332 2.727 20 0 BFADHN C[C@H](NCCc1ccc(CO)cc1)c1ccco1 ZINC000305790193 491133373 /nfs/dbraw/zinc/13/33/73/491133373.db2.gz TUPLHNOOEPFVRS-LBPRGKRZSA-N 0 3 245.322 2.665 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc(OC)cc1 ZINC000308773051 491138025 /nfs/dbraw/zinc/13/80/25/491138025.db2.gz SYFVSIMDVVGSJJ-WYGGZMRJSA-N 0 3 205.301 2.922 20 0 BFADHN COc1cccc(CNC2CC=CC2)c1F ZINC000132934214 491143189 /nfs/dbraw/zinc/14/31/89/491143189.db2.gz CHWALLZIKNLCBF-UHFFFAOYSA-N 0 3 221.275 2.643 20 0 BFADHN CC(C)N1CC[C@H](Nc2ncc(Cl)s2)C1 ZINC000309078796 491144030 /nfs/dbraw/zinc/14/40/30/491144030.db2.gz NJCMJSCJZWHXDH-QMMMGPOBSA-N 0 3 245.779 2.691 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCC[C@H]2C)o1 ZINC000309133625 491145420 /nfs/dbraw/zinc/14/54/20/491145420.db2.gz RMXAOJXCFWDHNK-KCJUWKMLSA-N 0 3 208.305 2.570 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NC1CSC1)CCC2 ZINC000309579995 491152134 /nfs/dbraw/zinc/15/21/34/491152134.db2.gz PYDZLMICJSFODT-ZDUSSCGKSA-N 0 3 237.343 2.908 20 0 BFADHN COc1ccc(CN[C@H]2CCC(F)(F)C2)cc1 ZINC000310584579 491162088 /nfs/dbraw/zinc/16/20/88/491162088.db2.gz UEHBKMIYBNNOTR-NSHDSACASA-N 0 3 241.281 2.973 20 0 BFADHN CCOc1ccc(CN2CCC(C)CC2)nc1 ZINC000083595697 491162310 /nfs/dbraw/zinc/16/23/10/491162310.db2.gz VPLCAEGLBZMXBY-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@@H](Nc1cccc(CN(C)C)c1)[C@H]1CCCO1 ZINC000310836474 491162953 /nfs/dbraw/zinc/16/29/53/491162953.db2.gz GVUHQRMXVFGSGM-IUODEOHRSA-N 0 3 248.370 2.728 20 0 BFADHN COc1cccc([C@H](C)NCCOC(C)C)c1 ZINC000148316924 533775713 /nfs/dbraw/zinc/77/57/13/533775713.db2.gz JSHOYEIBTXOQCK-LBPRGKRZSA-N 0 3 237.343 2.771 20 0 BFADHN C[C@H](NC/C=C/c1ccncc1)c1cccnc1 ZINC000486992455 533789616 /nfs/dbraw/zinc/78/96/16/533789616.db2.gz ZPEUGECNSGXAQK-OHVSUOQFSA-N 0 3 239.322 2.841 20 0 BFADHN Cc1cc(F)ccc1CN(C)CCC(C)(C)O ZINC000488110161 533878445 /nfs/dbraw/zinc/87/84/45/533878445.db2.gz PXCZLJLSOKDMAW-UHFFFAOYSA-N 0 3 239.334 2.727 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C1CC1)c1nccs1 ZINC000308980602 533887305 /nfs/dbraw/zinc/88/73/05/533887305.db2.gz HZTMUJWBYBBUJC-LSJOCFKGSA-N 0 3 222.357 2.840 20 0 BFADHN Cc1ccc(CN(CCO)C2CCCCC2)nc1 ZINC000305224724 533896820 /nfs/dbraw/zinc/89/68/20/533896820.db2.gz VIGZTWAOVPHJFP-UHFFFAOYSA-N 0 3 248.370 2.517 20 0 BFADHN CCC[C@H](C)CN1CCN2CCCC[C@@H]2C1 ZINC000342617380 535610286 /nfs/dbraw/zinc/61/02/86/535610286.db2.gz ASAJXTVGZASOFZ-UONOGXRCSA-N 0 3 224.392 2.593 20 0 BFADHN CCC[C@H](C)CN1CCO[C@H]2CCC[C@H]21 ZINC000342620529 535611876 /nfs/dbraw/zinc/61/18/76/535611876.db2.gz XUUSFNVHCCHKTF-XQQFMLRXSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@H]1COC(C)(C)CN1CCc1ccccc1 ZINC000488165516 534020540 /nfs/dbraw/zinc/02/05/40/534020540.db2.gz SOFBHNQTVHGMRF-ZDUSSCGKSA-N 0 3 233.355 2.728 20 0 BFADHN CCOC(=O)CCN(C)C/C=C\c1ccccc1 ZINC000219657847 535642044 /nfs/dbraw/zinc/64/20/44/535642044.db2.gz UHVGISDQYHPCTG-YFHOEESVSA-N 0 3 247.338 2.585 20 0 BFADHN C[C@@H]1C[C@H]1CNc1cccc(OCCN(C)C)c1 ZINC000488359163 534030741 /nfs/dbraw/zinc/03/07/41/534030741.db2.gz SPIZTXMTBUMDHO-OLZOCXBDSA-N 0 3 248.370 2.695 20 0 BFADHN C[C@@H](CNC/C=C/c1ccncc1)C(F)(F)F ZINC000487572024 534055613 /nfs/dbraw/zinc/05/56/13/534055613.db2.gz NKMXDXBNJWYLHE-PBKGFPTLSA-N 0 3 244.260 2.883 20 0 BFADHN CCCN(C)Cc1cnn(CC2CCC2)c1 ZINC000891577227 582698158 /nfs/dbraw/zinc/69/81/58/582698158.db2.gz AKANDBXOEYCDTL-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](CO)c1ccc(F)cc1 ZINC000348370290 535823854 /nfs/dbraw/zinc/82/38/54/535823854.db2.gz FSTRRDDIYHCOCJ-DDTOSNHZSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@H]1CCCN(Cc2cn(CC)nc2C)C1 ZINC000891708382 582712420 /nfs/dbraw/zinc/71/24/20/582712420.db2.gz XSCJZMGARALDLS-ZDUSSCGKSA-N 0 3 235.375 2.833 20 0 BFADHN COc1ccc(CN(C)CC2CCCC2)o1 ZINC000891846210 582728993 /nfs/dbraw/zinc/72/89/93/582728993.db2.gz JTLRYRGPUWPRRZ-UHFFFAOYSA-N 0 3 223.316 2.910 20 0 BFADHN CCN1CCN(CCCCC(C)C)C[C@H]1C ZINC000891993655 582755584 /nfs/dbraw/zinc/75/55/84/582755584.db2.gz VWILZMIBPSTFAT-CQSZACIVSA-N 0 3 226.408 2.839 20 0 BFADHN COc1ccc(CN2CCC(C)(C)C2)o1 ZINC000892021989 582763423 /nfs/dbraw/zinc/76/34/23/582763423.db2.gz QEPYWPQXNTVTMG-UHFFFAOYSA-N 0 3 209.289 2.520 20 0 BFADHN CCc1ccc(CNC[C@@H](C)CCOC)o1 ZINC000414480882 517846233 /nfs/dbraw/zinc/84/62/33/517846233.db2.gz KNZHDYGGNVZKLT-NSHDSACASA-N 0 3 225.332 2.604 20 0 BFADHN Cc1ccn2cc(CN[C@@H]3C[C@H](C)[C@@H]3C)nc2c1 ZINC000495089915 534365544 /nfs/dbraw/zinc/36/55/44/534365544.db2.gz ZBZGVRGVGJMZGP-SGMGOOAPSA-N 0 3 243.354 2.777 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1ccc(OC)o1 ZINC000892068969 582784712 /nfs/dbraw/zinc/78/47/12/582784712.db2.gz WXWOIRTVEORZCN-QWRGUYRKSA-N 0 3 223.316 2.909 20 0 BFADHN CCc1[nH]nc(Cl)c1CN1C[C@H](C)C[C@H]1C ZINC000892103763 582791286 /nfs/dbraw/zinc/79/12/86/582791286.db2.gz LXLCCTKITNCYGA-RKDXNWHRSA-N 0 3 241.766 2.856 20 0 BFADHN CCOc1ccc(CN2CC[C@H](C)[C@H]2C)o1 ZINC000892215174 582808294 /nfs/dbraw/zinc/80/82/94/582808294.db2.gz SNDPRLPEKNWIDR-WDEREUQCSA-N 0 3 223.316 2.909 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)[C@H]2C)cnc1F ZINC000892215543 582808308 /nfs/dbraw/zinc/80/83/08/582808308.db2.gz XJINCODYASDUIJ-MWLCHTKSSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1ccc2[nH]c(CNC[C@H]3C[C@H]3C)nc2c1 ZINC000488434676 534503858 /nfs/dbraw/zinc/50/38/58/534503858.db2.gz JTWFMCDWPAJATL-GHMZBOCLSA-N 0 3 229.327 2.617 20 0 BFADHN Cc1ccc2nc(CNC[C@H]3C[C@H]3C)[nH]c2c1 ZINC000488434676 534503862 /nfs/dbraw/zinc/50/38/62/534503862.db2.gz JTWFMCDWPAJATL-GHMZBOCLSA-N 0 3 229.327 2.617 20 0 BFADHN C[C@H](N[C@@H]1CCC(F)(F)C1)c1cncs1 ZINC000393611013 582820499 /nfs/dbraw/zinc/82/04/99/582820499.db2.gz GUMWLHKNBZCGNU-JGVFFNPUSA-N 0 3 232.299 2.982 20 0 BFADHN CC(C)(C)CN[C@H]1COCc2ccccc21 ZINC000225994149 534613294 /nfs/dbraw/zinc/61/32/94/534613294.db2.gz JYDSDMCZABSVCV-ZDUSSCGKSA-N 0 3 219.328 2.894 20 0 BFADHN CCOc1ccccc1CN[C@@H]1C=CCC1 ZINC000829347028 582856814 /nfs/dbraw/zinc/85/68/14/582856814.db2.gz FNPDNUKQAGNKOE-CYBMUJFWSA-N 0 3 217.312 2.894 20 0 BFADHN Cc1cccc(CN2CCN(C)[C@@H](C(C)C)C2)c1 ZINC000487997551 534706828 /nfs/dbraw/zinc/70/68/28/534706828.db2.gz FWELTAVLEZHCTG-MRXNPFEDSA-N 0 3 246.398 2.767 20 0 BFADHN Cc1ccc(CN2CCC(F)CC2)cc1 ZINC000113074728 582863278 /nfs/dbraw/zinc/86/32/78/582863278.db2.gz MLXWYDXFFAULBX-UHFFFAOYSA-N 0 3 207.292 2.929 20 0 BFADHN CCCCCCNCc1ccc(OC)cn1 ZINC000892427685 582884767 /nfs/dbraw/zinc/88/47/67/582884767.db2.gz UBPKEGPQPJIQQT-UHFFFAOYSA-N 0 3 222.332 2.760 20 0 BFADHN CCC[C@H](C)NCc1ccc(OCC)o1 ZINC000892440388 582887673 /nfs/dbraw/zinc/88/76/73/582887673.db2.gz ALXFEKLOWGJLNK-JTQLQIEISA-N 0 3 211.305 2.957 20 0 BFADHN C(=C\c1ccncc1)\CNCCOC1CCCC1 ZINC000486971838 527472328 /nfs/dbraw/zinc/47/23/28/527472328.db2.gz UQPHUATYSIWHQO-ARJAWSKDSA-N 0 3 246.354 2.644 20 0 BFADHN CC(C)CCOCCNC/C=C\c1ccncc1 ZINC000486951988 527758713 /nfs/dbraw/zinc/75/87/13/527758713.db2.gz AGUKUAJWPUCLTK-ARJAWSKDSA-N 0 3 248.370 2.747 20 0 BFADHN CC(C)[C@H]1CCN1C/C=C/c1ccncc1 ZINC000495035420 527804546 /nfs/dbraw/zinc/80/45/46/527804546.db2.gz IJPIOPYAHGZVME-RDFMZFSFSA-N 0 3 216.328 2.825 20 0 BFADHN CCCN(C)CC(=O)NCC(CC)(CC)CC ZINC000469119061 527819142 /nfs/dbraw/zinc/81/91/42/527819142.db2.gz ONLMYWFMYPMHJI-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CCN1C[C@H](Nc2cc(C)ccc2F)[C@@H](C)C1 ZINC000482727849 527993741 /nfs/dbraw/zinc/99/37/41/527993741.db2.gz ZZBUYQGSVLFHHE-FZMZJTMJSA-N 0 3 236.334 2.886 20 0 BFADHN CCC[C@H](C)N1CCO[C@H](C(C)(C)C)C1 ZINC000501874421 528051218 /nfs/dbraw/zinc/05/12/18/528051218.db2.gz NYUWPWMORJYNKF-RYUDHWBXSA-N 0 3 213.365 2.922 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H]2C[C@H](C)[C@H]2C)[n-]1 ZINC000495062858 528314621 /nfs/dbraw/zinc/31/46/21/528314621.db2.gz BBKYNVYUPZYDQU-ZRUFSTJUSA-N 0 3 236.363 2.623 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@@H]2C[C@H](C)[C@H]2C)[nH]1 ZINC000495062858 528314627 /nfs/dbraw/zinc/31/46/27/528314627.db2.gz BBKYNVYUPZYDQU-ZRUFSTJUSA-N 0 3 236.363 2.623 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H]2C[C@@H](C)[C@@H]2C)[n-]1 ZINC000495062855 528314879 /nfs/dbraw/zinc/31/48/79/528314879.db2.gz BBKYNVYUPZYDQU-VPOLOUISSA-N 0 3 236.363 2.623 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@@H]2C[C@@H](C)[C@@H]2C)[nH]1 ZINC000495062855 528314887 /nfs/dbraw/zinc/31/48/87/528314887.db2.gz BBKYNVYUPZYDQU-VPOLOUISSA-N 0 3 236.363 2.623 20 0 BFADHN CCOC(=O)C(C)(C)N(C)C[C@@H](C)CC(C)C ZINC000499541214 528555534 /nfs/dbraw/zinc/55/55/34/528555534.db2.gz APLRISWOQKALDU-LBPRGKRZSA-N 0 3 243.391 2.942 20 0 BFADHN CCCCOCCNC/C=C\c1ccncc1 ZINC000486958748 528610309 /nfs/dbraw/zinc/61/03/09/528610309.db2.gz SOXPMLDCLHFGOH-PLNGDYQASA-N 0 3 234.343 2.501 20 0 BFADHN CC(C)[C@@H]1CCN1C/C=C/c1ccncc1 ZINC000495035422 528703961 /nfs/dbraw/zinc/70/39/61/528703961.db2.gz IJPIOPYAHGZVME-XGACYXMMSA-N 0 3 216.328 2.825 20 0 BFADHN CCC(CC)CN(CC(=O)OC)[C@H](C)CC ZINC000501091366 528737058 /nfs/dbraw/zinc/73/70/58/528737058.db2.gz HEPSMLWKMAKUIQ-LLVKDONJSA-N 0 3 229.364 2.696 20 0 BFADHN CCCOc1ncccc1CNC[C@@H]1C[C@H]1C ZINC000488414855 528793844 /nfs/dbraw/zinc/79/38/44/528793844.db2.gz RMGMGBKJWBYUBE-YPMHNXCESA-N 0 3 234.343 2.616 20 0 BFADHN CC/C=C\CNCc1c(C)nn(CC)c1C ZINC000307964305 528799419 /nfs/dbraw/zinc/79/94/19/528799419.db2.gz BUHNBDNBBKDXIT-FPLPWBNLSA-N 0 3 221.348 2.576 20 0 BFADHN CCCn1cc(CN(C)[C@@H](C)C(C)C)cn1 ZINC000507187137 528840964 /nfs/dbraw/zinc/84/09/64/528840964.db2.gz IPSQBEAYNQGNQI-LBPRGKRZSA-N 0 3 223.364 2.769 20 0 BFADHN CC(C)N(Cc1cnc[nH]1)Cc1cccc(F)c1 ZINC000507168064 528965857 /nfs/dbraw/zinc/96/58/57/528965857.db2.gz BBNYMBGBZOTZDO-UHFFFAOYSA-N 0 3 247.317 2.959 20 0 BFADHN CC1(C)CC[C@@H](CNC/C=C\c2ccncc2)O1 ZINC000487579430 529024727 /nfs/dbraw/zinc/02/47/27/529024727.db2.gz WDJLDUSZHKFGHC-NQHOJNORSA-N 0 3 246.354 2.642 20 0 BFADHN CC(C)N1CCN(CCC2CCCCC2)CC1 ZINC000483159462 529040075 /nfs/dbraw/zinc/04/00/75/529040075.db2.gz PXRTWBXIWHARKP-UHFFFAOYSA-N 0 3 238.419 2.983 20 0 BFADHN CC1(C)CC[C@H](CNC/C=C/c2ccncc2)O1 ZINC000487579431 529043037 /nfs/dbraw/zinc/04/30/37/529043037.db2.gz WDJLDUSZHKFGHC-RDFMZFSFSA-N 0 3 246.354 2.642 20 0 BFADHN CON(C)CCN[C@@H](C)c1cc(C)sc1C ZINC000850480300 582920553 /nfs/dbraw/zinc/92/05/53/582920553.db2.gz OYCSICFKBYCYQH-JTQLQIEISA-N 0 3 242.388 2.509 20 0 BFADHN CC(C)CC[C@H](O)CN1CCC(F)(F)[C@H](C)C1 ZINC000352027703 534946639 /nfs/dbraw/zinc/94/66/39/534946639.db2.gz NARITEUAFUAWJL-NEPJUHHUSA-N 0 3 249.345 2.761 20 0 BFADHN CC(C)[C@H](NCC1=CCCOC1)c1cccnc1 ZINC000348207538 535121383 /nfs/dbraw/zinc/12/13/83/535121383.db2.gz PBPDUTNOBWOHTO-HNNXBMFYSA-N 0 3 246.354 2.715 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CC(C2CCC2)C1 ZINC000348712590 535158268 /nfs/dbraw/zinc/15/82/68/535158268.db2.gz UZPVDYVOTULHII-UHFFFAOYSA-N 0 3 247.386 2.939 20 0 BFADHN CC(C)(NC[C@@H]1CCSC1)c1nccs1 ZINC000226381594 535248994 /nfs/dbraw/zinc/24/89/94/535248994.db2.gz JCUXCKMRFLEWQT-VIFPVBQESA-N 0 3 242.413 2.721 20 0 BFADHN CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1NCc1cc[nH]n1 ZINC000217888763 535252505 /nfs/dbraw/zinc/25/25/05/535252505.db2.gz WYJVWVZUNWURJE-XBFCOCLRSA-N 0 3 235.375 2.960 20 0 BFADHN CC1(C)CC[C@@H](CNCc2ccsc2)O1 ZINC000166106054 535321585 /nfs/dbraw/zinc/32/15/85/535321585.db2.gz CHPAQBZXRPPWCT-NSHDSACASA-N 0 3 225.357 2.795 20 0 BFADHN CCC[C@@H](C)CN1CCC[C@H]1c1nc(C)no1 ZINC000348811652 535326854 /nfs/dbraw/zinc/32/68/54/535326854.db2.gz CCEQKWCDTTWLBL-PWSUYJOCSA-N 0 3 237.347 2.951 20 0 BFADHN CCN(Cc1nc(C)no1)[C@H]1CCCC[C@H]1C ZINC000351628302 535390265 /nfs/dbraw/zinc/39/02/65/535390265.db2.gz JDCGQKPYOAASMU-PWSUYJOCSA-N 0 3 237.347 2.779 20 0 BFADHN CCCc1cccc(CN(C)CCN(C)C)c1 ZINC000348904415 535430479 /nfs/dbraw/zinc/43/04/79/535430479.db2.gz MMEATTTZHUILTL-UHFFFAOYSA-N 0 3 234.387 2.633 20 0 BFADHN CCOc1ccccc1CN[C@H](COC)C1CC1 ZINC000132264894 535512044 /nfs/dbraw/zinc/51/20/44/535512044.db2.gz OXFUHKCCLFHLAO-CQSZACIVSA-N 0 3 249.354 2.600 20 0 BFADHN CCC[C@@]1(C)CCCN(Cc2cncn2C)C1 ZINC000177175327 535553657 /nfs/dbraw/zinc/55/36/57/535553657.db2.gz FDHSYSYFJBQAQI-AWEZNQCLSA-N 0 3 235.375 2.822 20 0 BFADHN CC[C@@H](C)N1CCc2ccc(O)cc2C1 ZINC000336036996 535568345 /nfs/dbraw/zinc/56/83/45/535568345.db2.gz ASRCGPOJTMCSOE-SNVBAGLBSA-N 0 3 205.301 2.549 20 0 BFADHN CCC[C@H](C)CN1CCC[C@H]1c1nc(C)no1 ZINC000348811651 535610721 /nfs/dbraw/zinc/61/07/21/535610721.db2.gz CCEQKWCDTTWLBL-JQWIXIFHSA-N 0 3 237.347 2.951 20 0 BFADHN CCN(CCOC)CCSc1ccccc1 ZINC000079618605 535747723 /nfs/dbraw/zinc/74/77/23/535747723.db2.gz GQVCVPFKLSZLII-UHFFFAOYSA-N 0 3 239.384 2.747 20 0 BFADHN CC[C@@H](NC[C@@H]1CCCO1)c1c(C)noc1C ZINC000348278627 535804767 /nfs/dbraw/zinc/80/47/67/535804767.db2.gz AIRHWVLEQHJOJP-NWDGAFQWSA-N 0 3 238.331 2.511 20 0 BFADHN CC[C@@H](N[C@H]1CCSC1)c1ccc(F)cn1 ZINC000226619558 535809206 /nfs/dbraw/zinc/80/92/06/535809206.db2.gz QMGLUQVHIIEKMA-WDEREUQCSA-N 0 3 240.347 2.767 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1cn2ccc(C)cc2n1 ZINC000348115684 535823617 /nfs/dbraw/zinc/82/36/17/535823617.db2.gz UTORJFUFJWGKHY-OCCSQVGLSA-N 0 3 243.354 2.921 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](C)c1nnc2ccccn21 ZINC000348070394 535823672 /nfs/dbraw/zinc/82/36/72/535823672.db2.gz HZKZPFSUYNRZBG-TUAOUCFPSA-N 0 3 244.342 2.569 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1cn2cccc(C)c2n1 ZINC000348386117 535823740 /nfs/dbraw/zinc/82/37/40/535823740.db2.gz JKYZZPZFXFNQBN-OCCSQVGLSA-N 0 3 243.354 2.921 20 0 BFADHN CC[C@H](NCCOC)c1cccc(F)c1 ZINC000164069015 535902308 /nfs/dbraw/zinc/90/23/08/535902308.db2.gz FCZLXMMJFPGNSE-LBPRGKRZSA-N 0 3 211.280 2.513 20 0 BFADHN CC[C@]1(C)CCN(Cc2cc(C)no2)C1 ZINC000335703576 535910716 /nfs/dbraw/zinc/91/07/16/535910716.db2.gz PUGOWAPUAKDZGN-GFCCVEGCSA-N 0 3 208.305 2.605 20 0 BFADHN CN(C)CCSCc1nc(C2CC2)cs1 ZINC000342542240 535919564 /nfs/dbraw/zinc/91/95/64/535919564.db2.gz GNQLFWQERUDPDR-UHFFFAOYSA-N 0 3 242.413 2.815 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2c(C)cnn2C)C1 ZINC000347853288 536039062 /nfs/dbraw/zinc/03/90/62/536039062.db2.gz BPLDPDUAVWCXEL-AWEZNQCLSA-N 0 3 235.375 2.741 20 0 BFADHN COC1(CNCc2ccc(C)c(F)c2)CCC1 ZINC000227325241 536680357 /nfs/dbraw/zinc/68/03/57/536680357.db2.gz HFQYBIMXDVNVDU-UHFFFAOYSA-N 0 3 237.318 2.793 20 0 BFADHN COCC(C)(C)CNc1nccn1CC(C)C ZINC000351570682 536787121 /nfs/dbraw/zinc/78/71/21/536787121.db2.gz ZYJHZACZBXGOMX-UHFFFAOYSA-N 0 3 239.363 2.624 20 0 BFADHN COc1cccc2c1CCN(CC[C@H](C)F)C2 ZINC000351761875 536942262 /nfs/dbraw/zinc/94/22/62/536942262.db2.gz XXPIRMCVMSWAPR-NSHDSACASA-N 0 3 237.318 2.801 20 0 BFADHN Fc1ccc2nc(NCc3ccncc3)[nH]c2c1 ZINC000236190697 537941431 /nfs/dbraw/zinc/94/14/31/537941431.db2.gz ACOOMCZTQBXVOY-UHFFFAOYSA-N 0 3 242.257 2.709 20 0 BFADHN COc1ccc(CN[C@@H](C)C2(C)CC2)o1 ZINC000892687146 582955188 /nfs/dbraw/zinc/95/51/88/582955188.db2.gz QPHRICGANUFFRD-VIFPVBQESA-N 0 3 209.289 2.566 20 0 BFADHN Cc1ccc(CN[C@H]2CC23CCCC3)cn1 ZINC000335604842 566405458 /nfs/dbraw/zinc/40/54/58/566405458.db2.gz UONDGJQQQIJRNQ-ZDUSSCGKSA-N 0 3 216.328 2.812 20 0 BFADHN CC[C@H]1CCCN(Cc2cccc(F)n2)C1 ZINC000851719939 582963676 /nfs/dbraw/zinc/96/36/76/582963676.db2.gz WKDCQBZADWZXON-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN COCC(C)(C)NCc1cc(O)cc(Cl)c1 ZINC000892856634 582997640 /nfs/dbraw/zinc/99/76/40/582997640.db2.gz TVEYUPGPIHDODB-UHFFFAOYSA-N 0 3 243.734 2.560 20 0 BFADHN CCCSC[C@@H](C)N[C@@H](C)c1cnn(C)c1 ZINC000893032099 583033940 /nfs/dbraw/zinc/03/39/40/583033940.db2.gz HRMPYMOHVQNGPS-MNOVXSKESA-N 0 3 241.404 2.602 20 0 BFADHN CC[C@H]1CCCCN1Cc1[nH]nc(C)c1C ZINC000893870967 583236334 /nfs/dbraw/zinc/23/63/34/583236334.db2.gz IPAYUZNYPFFBDD-LBPRGKRZSA-N 0 3 221.348 2.791 20 0 BFADHN COc1ccc(CNCCC=C(C)C)nc1 ZINC000893982099 583266542 /nfs/dbraw/zinc/26/65/42/583266542.db2.gz RSRYTUOKKAHYRM-UHFFFAOYSA-N 0 3 220.316 2.536 20 0 BFADHN Cc1ncoc1CN1CCC[C@H](C)[C@@H]1C ZINC000893986771 583269117 /nfs/dbraw/zinc/26/91/17/583269117.db2.gz MJZXVZJICAAADY-ONGXEEELSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1n[nH]c(CN2CCCCC[C@H]2C)c1C ZINC000894024669 583280745 /nfs/dbraw/zinc/28/07/45/583280745.db2.gz LVMSANCGVMUORT-SNVBAGLBSA-N 0 3 221.348 2.791 20 0 BFADHN CCCN(CCC)CN1CC[C@H](C(C)C)C1=O ZINC000853490231 588746051 /nfs/dbraw/zinc/74/60/51/588746051.db2.gz QTYMEIYWGFUVEV-CYBMUJFWSA-N 0 3 240.391 2.570 20 0 BFADHN CC[C@@H](C)N1CCN(c2ccc(C)cc2)CC1 ZINC000113072032 588824423 /nfs/dbraw/zinc/82/44/23/588824423.db2.gz PNEWVRVPFPYOHR-CQSZACIVSA-N 0 3 232.371 2.916 20 0 BFADHN CC[C@@H]1CCC[C@@H]1Nc1ccnc(CO)c1 ZINC000858443157 582461152 /nfs/dbraw/zinc/46/11/52/582461152.db2.gz PWMXGIFOBXXXQG-MFKMUULPSA-N 0 3 220.316 2.565 20 0 BFADHN CCC(CC)CN(CC)CN1C[C@H](C)CC1=O ZINC000113240736 588828128 /nfs/dbraw/zinc/82/81/28/588828128.db2.gz IBNICNAOLBXVNL-GFCCVEGCSA-N 0 3 240.391 2.570 20 0 BFADHN CCCC(C)(C)C(=O)OCCN(CC)CC ZINC000003613235 582461874 /nfs/dbraw/zinc/46/18/74/582461874.db2.gz DCKMTQMPLSZUSA-UHFFFAOYSA-N 0 3 229.364 2.698 20 0 BFADHN CC(C)CC(=O)OCCN(C)Cc1ccccc1 ZINC000220913428 582516246 /nfs/dbraw/zinc/51/62/46/582516246.db2.gz ISASGHZLPJGYKP-UHFFFAOYSA-N 0 3 249.354 2.708 20 0 BFADHN C[C@H]1CCCN(Cc2cnn(CC3CCC3)c2)C1 ZINC000891447727 582687263 /nfs/dbraw/zinc/68/72/63/582687263.db2.gz VLUCJSHGRIORBS-ZDUSSCGKSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cnn(C)c2C2CC2)C1 ZINC000891449245 582688098 /nfs/dbraw/zinc/68/80/98/582688098.db2.gz PQAKHMOMMJAGAO-VXGBXAGGSA-N 0 3 247.386 2.775 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cn3ccnc3s2)C1 ZINC000891449149 582688349 /nfs/dbraw/zinc/68/83/49/582688349.db2.gz MRYURUKKHMISBE-GHMZBOCLSA-N 0 3 249.383 2.874 20 0 BFADHN C[C@@H]1CCCCN1Cc1cnn(C)c1C1CC1 ZINC000891457542 582690925 /nfs/dbraw/zinc/69/09/25/582690925.db2.gz SHNJCODPWKLCFW-LLVKDONJSA-N 0 3 233.359 2.672 20 0 BFADHN C[C@@H]1CCCCN1Cc1cnn(C2CCC2)c1 ZINC000891456649 582691005 /nfs/dbraw/zinc/69/10/05/582691005.db2.gz JGBPXHPGETZPRV-GFCCVEGCSA-N 0 3 233.359 2.983 20 0 BFADHN COCCCC1(CN2CCSCC2)CCC1 ZINC000891571767 582698042 /nfs/dbraw/zinc/69/80/42/582698042.db2.gz DPAUPHFBNSUDMA-UHFFFAOYSA-N 0 3 243.416 2.632 20 0 BFADHN CCn1cc(CN(C)[C@H](C)C(C)C)c(C)n1 ZINC000891604316 582701117 /nfs/dbraw/zinc/70/11/17/582701117.db2.gz FLLKSJPCOWQBPI-GFCCVEGCSA-N 0 3 223.364 2.688 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)C2CC2)cnc1F ZINC000891644326 582704406 /nfs/dbraw/zinc/70/44/06/582704406.db2.gz VQXLGDXYWYSBSI-JTQLQIEISA-N 0 3 222.307 2.759 20 0 BFADHN CCOc1ccc(CN(C)[C@H](C)C2CC2)o1 ZINC000891643140 582705403 /nfs/dbraw/zinc/70/54/03/582705403.db2.gz HTMSEQQKIJGIEY-SNVBAGLBSA-N 0 3 223.316 2.909 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@@H](C)c1cnc(C)s1 ZINC000090417030 582706143 /nfs/dbraw/zinc/70/61/43/582706143.db2.gz WRLZLAVNQJLTQF-QXEWZRGKSA-N 0 3 242.388 2.509 20 0 BFADHN CCCN(Cc1c2c(nn1C)CCC2)CC(C)C ZINC000891652841 582706365 /nfs/dbraw/zinc/70/63/65/582706365.db2.gz DYNKDYNDKFTNSA-UHFFFAOYSA-N 0 3 249.402 2.777 20 0 BFADHN CC(C)N(Cc1c2c(nn1C)CCC2)CC1CC1 ZINC000891683995 582710229 /nfs/dbraw/zinc/71/02/29/582710229.db2.gz NLQWRUYSQHGNIB-UHFFFAOYSA-N 0 3 247.386 2.529 20 0 BFADHN CN(Cc1cn(C)nc1C1CCCC1)CC1CC1 ZINC000891737225 582715334 /nfs/dbraw/zinc/71/53/34/582715334.db2.gz YRCIEBUVIQMTLX-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCC(C)(C)CO)o1 ZINC000124321162 582718120 /nfs/dbraw/zinc/71/81/20/582718120.db2.gz KFJVDIDPZUWMQW-CMPLNLGQSA-N 0 3 237.343 2.511 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN1CC[C@@H](C)C1 ZINC000891797482 582722887 /nfs/dbraw/zinc/72/28/87/582722887.db2.gz KRSVOXHRLPRTRK-LLVKDONJSA-N 0 3 235.375 2.923 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN1CC[C@H](C)C1 ZINC000891797483 582723769 /nfs/dbraw/zinc/72/37/69/582723769.db2.gz KRSVOXHRLPRTRK-NSHDSACASA-N 0 3 235.375 2.923 20 0 BFADHN CCN(Cc1c2c(nn1C)CCC2)[C@@H](C)C(C)C ZINC000891823374 582727511 /nfs/dbraw/zinc/72/75/11/582727511.db2.gz RGEBRJWCIFLOTC-LBPRGKRZSA-N 0 3 249.402 2.775 20 0 BFADHN c1cn(C2CC2)c(CN2CCC[C@H]2C2CCC2)n1 ZINC000891867824 582731725 /nfs/dbraw/zinc/73/17/25/582731725.db2.gz BMEBLVOEUCFUAV-AWEZNQCLSA-N 0 3 245.370 2.983 20 0 BFADHN CC1(C)CCCN1Cc1cccc2[nH]c(=O)oc21 ZINC000891868948 582731899 /nfs/dbraw/zinc/73/18/99/582731899.db2.gz JFXPAISSWSFXAO-UHFFFAOYSA-N 0 3 246.310 2.908 20 0 BFADHN Cc1cc(F)ncc1CN1CCCC1(C)C ZINC000891869926 582733144 /nfs/dbraw/zinc/73/31/44/582733144.db2.gz PIJLVRQBMFBFIB-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN CC1(C)CCCN1Cc1cn2ccnc2s1 ZINC000891870709 582733223 /nfs/dbraw/zinc/73/32/23/582733223.db2.gz VWVMEOLJBRVASZ-UHFFFAOYSA-N 0 3 235.356 2.770 20 0 BFADHN CCC(C)(C)N(C)Cc1ccc(OC)o1 ZINC000892005242 582759751 /nfs/dbraw/zinc/75/97/51/582759751.db2.gz RFSNJIPBEINGRK-UHFFFAOYSA-N 0 3 211.305 2.909 20 0 BFADHN CC1(C)CCN(Cc2cnn(CC3CCC3)c2)C1 ZINC000892022008 582763291 /nfs/dbraw/zinc/76/32/91/582763291.db2.gz QZAKOHMFJRUFMA-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)[C@@H](C)C2)o1 ZINC000892064332 582782025 /nfs/dbraw/zinc/78/20/25/582782025.db2.gz BYRJKPYAGPIPHJ-MNOVXSKESA-N 0 3 223.316 2.766 20 0 BFADHN COc1ccc(CN2C[C@H](C)CC[C@H]2C)o1 ZINC000892067587 582783647 /nfs/dbraw/zinc/78/36/47/582783647.db2.gz SADGRHYNBRTNGI-GHMZBOCLSA-N 0 3 223.316 2.909 20 0 BFADHN CC1=CCN(Cc2cccc3c2OCC3)CC1 ZINC000892068691 582784024 /nfs/dbraw/zinc/78/40/24/582784024.db2.gz BPMSRAVWPJNREQ-UHFFFAOYSA-N 0 3 229.323 2.774 20 0 BFADHN C[C@H]1CN(Cc2cnn(CC3CCC3)c2)C[C@@H]1C ZINC000892068235 582785244 /nfs/dbraw/zinc/78/52/44/582785244.db2.gz IAABXDYLJJEQDN-STQMWFEESA-N 0 3 247.386 2.771 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1c2c(nn1C)CCC2 ZINC000892070007 582785926 /nfs/dbraw/zinc/78/59/26/582785926.db2.gz SDDCJECAWOTSTK-NWDGAFQWSA-N 0 3 247.386 2.529 20 0 BFADHN CCN(Cc1cccc2[nH]c(=O)oc21)C1CCC1 ZINC000892086189 582788018 /nfs/dbraw/zinc/78/80/18/582788018.db2.gz NEIFPGJDLBNIRY-UHFFFAOYSA-N 0 3 246.310 2.908 20 0 BFADHN CCN(Cc1cn(C)nc1C1CC1)C1CCC1 ZINC000892086220 582788265 /nfs/dbraw/zinc/78/82/65/582788265.db2.gz NZHFTUYLWPDCIU-UHFFFAOYSA-N 0 3 233.359 2.672 20 0 BFADHN COc1ccc(CN(C)[C@H](C)CCSC)o1 ZINC000892083799 582788300 /nfs/dbraw/zinc/78/83/00/582788300.db2.gz JTMSGBOTMVQTQP-SNVBAGLBSA-N 0 3 243.372 2.862 20 0 BFADHN CCc1[nH]nc(Cl)c1CN1C[C@@H](C)C[C@@H]1C ZINC000892103662 582791374 /nfs/dbraw/zinc/79/13/74/582791374.db2.gz LXLCCTKITNCYGA-IUCAKERBSA-N 0 3 241.766 2.856 20 0 BFADHN COc1ccc(CN2C[C@@H](C)C[C@@H]2C)o1 ZINC000892104560 582792600 /nfs/dbraw/zinc/79/26/00/582792600.db2.gz UAWIMVDKAVCPFY-UWVGGRQHSA-N 0 3 209.289 2.519 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cnn(CC2CCC2)c1 ZINC000892105268 582792855 /nfs/dbraw/zinc/79/28/55/582792855.db2.gz YQROLIARGIMDGE-QWHCGFSZSA-N 0 3 247.386 2.914 20 0 BFADHN CC(=O)[C@@H]1CCCN(Cc2ccccc2C)C1 ZINC000104429388 582793417 /nfs/dbraw/zinc/79/34/17/582793417.db2.gz YTIDERBWGSQEFX-OAHLLOKOSA-N 0 3 231.339 2.796 20 0 BFADHN CC(=O)[C@H]1CCCN(Cc2ccc(C)cc2)C1 ZINC000104407363 582793510 /nfs/dbraw/zinc/79/35/10/582793510.db2.gz YUYNVJLJRZRVIF-HNNXBMFYSA-N 0 3 231.339 2.796 20 0 BFADHN COc1ccc(CN2CCC[C@H]2CSC)o1 ZINC000892135399 582797818 /nfs/dbraw/zinc/79/78/18/582797818.db2.gz BLTNVLLOGWUTLK-JTQLQIEISA-N 0 3 241.356 2.616 20 0 BFADHN C[C@@H]1CCN(Cc2cnn(C3CCC3)c2)[C@H]1C ZINC000892215215 582808239 /nfs/dbraw/zinc/80/82/39/582808239.db2.gz TZWSSXGFHGWMQD-NEPJUHHUSA-N 0 3 233.359 2.838 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1cccc2[nH]c(=O)oc21 ZINC000892223799 582809871 /nfs/dbraw/zinc/80/98/71/582809871.db2.gz MNVCSFQFAIBGDD-NXEZZACHSA-N 0 3 246.310 2.906 20 0 BFADHN CC[C@@H]1CCN(Cc2cn(C)nc2C2CC2)C1 ZINC000892223905 582809955 /nfs/dbraw/zinc/80/99/55/582809955.db2.gz NIIOWSOCDPFHAK-LLVKDONJSA-N 0 3 233.359 2.529 20 0 BFADHN Cc1cc(F)ncc1CN1[C@H](C)CC[C@@H]1C ZINC000892223805 582810036 /nfs/dbraw/zinc/81/00/36/582810036.db2.gz MPZXQSZZSJLXGU-PHIMTYICSA-N 0 3 222.307 2.902 20 0 BFADHN CCOc1ccc(CN2CC[C@H]2C(C)C)o1 ZINC000892402594 582876814 /nfs/dbraw/zinc/87/68/14/582876814.db2.gz CYUZRFZKFSWTFY-LBPRGKRZSA-N 0 3 223.316 2.909 20 0 BFADHN CC(C)C[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000892426517 582884420 /nfs/dbraw/zinc/88/44/20/582884420.db2.gz UNJLFJPYFSVIOU-UHFFFAOYSA-N 0 3 213.708 2.791 20 0 BFADHN COc1ccc(CNCCc2ccc(F)cc2)o1 ZINC000892443490 582888131 /nfs/dbraw/zinc/88/81/31/582888131.db2.gz GHZSBJOSMAKJKR-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN Clc1cnc(NCCCN2CCCC2)s1 ZINC000308860231 582896523 /nfs/dbraw/zinc/89/65/23/582896523.db2.gz BDHUCRGKBGULSJ-UHFFFAOYSA-N 0 3 245.779 2.694 20 0 BFADHN CCn1cc(CNCCc2ccsc2)c(C)n1 ZINC000892509808 582902087 /nfs/dbraw/zinc/90/20/87/582902087.db2.gz LTNYBRIOWIEHMG-UHFFFAOYSA-N 0 3 249.383 2.605 20 0 BFADHN CC(=O)OC[C@H](C)N[C@H](C)c1ccc(C)s1 ZINC000892669548 582947008 /nfs/dbraw/zinc/94/70/08/582947008.db2.gz UCTBDKGOASSSJM-WCBMZHEXSA-N 0 3 241.356 2.659 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ccnc(OC)c1F ZINC000892676811 582950125 /nfs/dbraw/zinc/95/01/25/582950125.db2.gz PLWGLKCBOREDAS-NXEZZACHSA-N 0 3 240.322 2.754 20 0 BFADHN CC(=O)OC[C@@H](C)N[C@H]1CCc2cc(C)ccc21 ZINC000892681266 582952662 /nfs/dbraw/zinc/95/26/62/582952662.db2.gz YDQYELPYTDHZKL-ABAIWWIYSA-N 0 3 247.338 2.524 20 0 BFADHN Cc1ccc2[nH]c(CN[C@@H]3CC[C@@H]3C)nc2c1 ZINC000783243007 582953301 /nfs/dbraw/zinc/95/33/01/582953301.db2.gz IXVXJXBMRSXVPV-WDEREUQCSA-N 0 3 229.327 2.759 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3CC[C@@H]3C)[nH]c2c1 ZINC000783243007 582953303 /nfs/dbraw/zinc/95/33/03/582953303.db2.gz IXVXJXBMRSXVPV-WDEREUQCSA-N 0 3 229.327 2.759 20 0 BFADHN C[C@H](COc1ccccc1)NCC1(F)CC1 ZINC000892695058 582957616 /nfs/dbraw/zinc/95/76/16/582957616.db2.gz ZXSDKBIFICZXRY-LLVKDONJSA-N 0 3 223.291 2.546 20 0 BFADHN CCc1ccc(CN(C)CCOC(F)F)cc1 ZINC000851718046 582963884 /nfs/dbraw/zinc/96/38/84/582963884.db2.gz CJDOJNUAACVVJX-UHFFFAOYSA-N 0 3 243.297 2.920 20 0 BFADHN CC(C)CCN(Cc1cnon1)C1CCCC1 ZINC000851739020 582964888 /nfs/dbraw/zinc/96/48/88/582964888.db2.gz ABECEJMDLYHDPB-UHFFFAOYSA-N 0 3 237.347 2.860 20 0 BFADHN CC[C@H](N[C@H](C)COC(C)=O)c1ccccc1 ZINC000892738955 582973430 /nfs/dbraw/zinc/97/34/30/582973430.db2.gz CEJSCVNJHIEROH-RISCZKNCSA-N 0 3 235.327 2.679 20 0 BFADHN COc1ccc(CNC[C@@H]2CC=CCC2)nc1 ZINC000892744836 582974936 /nfs/dbraw/zinc/97/49/36/582974936.db2.gz FYRFKUNGYUCUIU-GFCCVEGCSA-N 0 3 232.327 2.536 20 0 BFADHN CCC[C@H](CC)NCc1ccnc(OC)c1F ZINC000892941850 583013408 /nfs/dbraw/zinc/01/34/08/583013408.db2.gz LJXVDRJFRGTYHV-NSHDSACASA-N 0 3 240.322 2.898 20 0 BFADHN CCC[C@@H](CC)NCc1ccc(OC)o1 ZINC000892941666 583013540 /nfs/dbraw/zinc/01/35/40/583013540.db2.gz GKOMSBLSFIMOSU-SNVBAGLBSA-N 0 3 211.305 2.957 20 0 BFADHN Fc1cccc(OCCN2CC[C@H]3C[C@H]3C2)c1 ZINC000852633385 583015467 /nfs/dbraw/zinc/01/54/67/583015467.db2.gz AVEXPPVOQYFQRE-RYUDHWBXSA-N 0 3 235.302 2.546 20 0 BFADHN Cc1oc(C(C)(C)C)cc1CNCC(C)(C)O ZINC000892951903 583017453 /nfs/dbraw/zinc/01/74/53/583017453.db2.gz SCGCTXQLIQGYBX-UHFFFAOYSA-N 0 3 239.359 2.746 20 0 BFADHN COc1ccc(CNC(C)(C)C2CC2)o1 ZINC000892958112 583020338 /nfs/dbraw/zinc/02/03/38/583020338.db2.gz XUARGOGRXPRUSQ-UHFFFAOYSA-N 0 3 209.289 2.566 20 0 BFADHN CCOc1ccc(CN[C@@H](C)CCSC)o1 ZINC000893004428 583028406 /nfs/dbraw/zinc/02/84/06/583028406.db2.gz VADJFJRZPJCKMT-JTQLQIEISA-N 0 3 243.372 2.910 20 0 BFADHN COc1ccc(CNCCCC(F)(F)F)nc1 ZINC000893056548 583039385 /nfs/dbraw/zinc/03/93/85/583039385.db2.gz NGGHFXSVKAICQB-UHFFFAOYSA-N 0 3 248.248 2.522 20 0 BFADHN COc1ccc(CN[C@@H](C)CC(C)(C)OC)o1 ZINC000893068738 583041028 /nfs/dbraw/zinc/04/10/28/583041028.db2.gz NXMXYQJWYFBIFH-JTQLQIEISA-N 0 3 241.331 2.581 20 0 BFADHN COc1ccc(CN[C@H]2CC3CCC2CC3)o1 ZINC000893111237 583050999 /nfs/dbraw/zinc/05/09/99/583050999.db2.gz DUHKXQUJXODAIX-XIVSLSHWSA-N 0 3 235.327 2.957 20 0 BFADHN CCOc1ccc(CN[C@@H]2CCC(F)(F)C2)o1 ZINC000893153124 583058408 /nfs/dbraw/zinc/05/84/08/583058408.db2.gz LNVQSYBCMFFLCH-SECBINFHSA-N 0 3 245.269 2.956 20 0 BFADHN CC[C@@H](CSC)NCc1ccc(OC)o1 ZINC000893280410 583084306 /nfs/dbraw/zinc/08/43/06/583084306.db2.gz XNQYCRAMRKOQMW-VIFPVBQESA-N 0 3 229.345 2.519 20 0 BFADHN CCn1cc(CNc2cc(C)cc(C)n2)c(C)n1 ZINC000893203080 583070318 /nfs/dbraw/zinc/07/03/18/583070318.db2.gz SSBBSBLZCFBJAJ-UHFFFAOYSA-N 0 3 244.342 2.835 20 0 BFADHN COc1ccc(CN[C@@H]2CCC(C)(C)C2)o1 ZINC000893222867 583074173 /nfs/dbraw/zinc/07/41/73/583074173.db2.gz YDCCMOUNFBCCGP-SNVBAGLBSA-N 0 3 223.316 2.957 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2cn(C)nc2Cl)C1 ZINC000856765967 588876218 /nfs/dbraw/zinc/87/62/18/588876218.db2.gz SJZYDVYTBUKINX-GXSJLCMTSA-N 0 3 241.766 2.742 20 0 BFADHN COc1ccc(CN[C@@H](C)C2CCC2)o1 ZINC000893256182 583079470 /nfs/dbraw/zinc/07/94/70/583079470.db2.gz RAUCQPDKXIQCAN-VIFPVBQESA-N 0 3 209.289 2.566 20 0 BFADHN C[C@H]1CCC[C@H](NCc2cn(C)nc2Cl)C1 ZINC000856765971 588876456 /nfs/dbraw/zinc/87/64/56/588876456.db2.gz SJZYDVYTBUKINX-ONGXEEELSA-N 0 3 241.766 2.742 20 0 BFADHN CC[C@@H](CSC)NCc1cnc(F)c(C)c1 ZINC000893279487 583083620 /nfs/dbraw/zinc/08/36/20/583083620.db2.gz OGBPTVMDRXZLBA-NSHDSACASA-N 0 3 242.363 2.760 20 0 BFADHN CC(C)(C)[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000893325858 583101554 /nfs/dbraw/zinc/10/15/54/583101554.db2.gz KBVSXYPYEQIKJF-UHFFFAOYSA-N 0 3 213.708 2.934 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cn(C)nc1Cl ZINC000856773186 588877297 /nfs/dbraw/zinc/87/72/97/588877297.db2.gz SEICBFDGQRBDLE-MWLCHTKSSA-N 0 3 241.766 2.742 20 0 BFADHN CCC(C)(C)CNCc1cn(C)nc1Cl ZINC000856780026 588877607 /nfs/dbraw/zinc/87/76/07/588877607.db2.gz IDSIMMXAVSDZIG-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1cn(C)nc1Cl ZINC000856794088 588878117 /nfs/dbraw/zinc/87/81/17/588878117.db2.gz NKEVDKRHUXITAC-VIFPVBQESA-N 0 3 243.782 2.988 20 0 BFADHN Cn1cc(CNC(C)(C)C2CCC2)c(Cl)n1 ZINC000856790446 588878288 /nfs/dbraw/zinc/87/82/88/588878288.db2.gz ZNAYJTQTYUTNHH-UHFFFAOYSA-N 0 3 241.766 2.742 20 0 BFADHN CC(C)[C@@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1cccnc1 ZINC000652253459 583154280 /nfs/dbraw/zinc/15/42/80/583154280.db2.gz KRYYYDBZLTXUQZ-APIJFGDWSA-N 0 3 246.354 2.688 20 0 BFADHN CCN(CCC1CC1)Cc1cnn(C)c1C1CC1 ZINC000893590500 583168282 /nfs/dbraw/zinc/16/82/82/583168282.db2.gz OOUOBNHJFJGRCS-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H](C)Cc1ccco1 ZINC000219090277 583174630 /nfs/dbraw/zinc/17/46/30/583174630.db2.gz ZIEKFADFYQTENY-JQWIXIFHSA-N 0 3 245.326 2.660 20 0 BFADHN Cc1cc(N)nc(N[C@H](C)c2ccsc2)n1 ZINC000893709620 583208737 /nfs/dbraw/zinc/20/87/37/583208737.db2.gz DQPPXFWNSSHRAK-MRVPVSSYSA-N 0 3 234.328 2.602 20 0 BFADHN CC1(c2ccccc2)CN(C[C@H]2CCCOC2)C1 ZINC000857058358 588884508 /nfs/dbraw/zinc/88/45/08/588884508.db2.gz MZZVFJGJVUCSEX-CQSZACIVSA-N 0 3 245.366 2.687 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC000893870394 583235991 /nfs/dbraw/zinc/23/59/91/583235991.db2.gz BDAMJPMTYBLBGK-SNVBAGLBSA-N 0 3 245.326 2.500 20 0 BFADHN C[C@@H]1CCCCN1Cc1ccc2oc(=O)oc2c1 ZINC000893872892 583239199 /nfs/dbraw/zinc/23/91/99/583239199.db2.gz XORAIUDKYZVDJC-SNVBAGLBSA-N 0 3 247.294 2.760 20 0 BFADHN COC1CCN(C/C=C/c2cccc(F)c2)CC1 ZINC000893914928 583246072 /nfs/dbraw/zinc/24/60/72/583246072.db2.gz MPPOWWUOATUVOM-HWKANZROSA-N 0 3 249.329 2.950 20 0 BFADHN CC1(C2CC2)CCN(c2ccncc2CO)CC1 ZINC000893914784 583246385 /nfs/dbraw/zinc/24/63/85/583246385.db2.gz YABRBCSECZAVDE-UHFFFAOYSA-N 0 3 246.354 2.590 20 0 BFADHN CCN(Cc1cnc2onc(C)c2c1)C1CC1 ZINC000893940620 583254608 /nfs/dbraw/zinc/25/46/08/583254608.db2.gz QPYRGAKGORPQGZ-UHFFFAOYSA-N 0 3 231.299 2.516 20 0 BFADHN CCCN(Cc1ccc2oc(=O)oc2c1)C1CC1 ZINC000893940113 583255061 /nfs/dbraw/zinc/25/50/61/583255061.db2.gz PSAHXLGSIASDSW-UHFFFAOYSA-N 0 3 247.294 2.760 20 0 BFADHN Cc1ncoc1CN(C)[C@@H](C)C(C)(C)C ZINC000893953319 583261887 /nfs/dbraw/zinc/26/18/87/583261887.db2.gz RVCYBJRQKSRJLW-JTQLQIEISA-N 0 3 210.321 2.849 20 0 BFADHN Cc1coc(CN2CCC[C@H](c3ncc[nH]3)C2)c1 ZINC000893965720 583263383 /nfs/dbraw/zinc/26/33/83/583263383.db2.gz AMXJTALWBDKPOY-LBPRGKRZSA-N 0 3 245.326 2.691 20 0 BFADHN Cc1ncoc1CN(C)CCc1ccc(F)cc1 ZINC000893966856 583263800 /nfs/dbraw/zinc/26/38/00/583263800.db2.gz GDMFBIJBSFYPBJ-UHFFFAOYSA-N 0 3 248.301 2.797 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccc2oc(=O)oc2c1 ZINC000893974113 583265471 /nfs/dbraw/zinc/26/54/71/583265471.db2.gz PVWRVZWZJHIWAS-LLVKDONJSA-N 0 3 247.294 2.760 20 0 BFADHN Cc1n[nH]c(CN2CC[C@H](c3ccco3)C2)c1C ZINC000894020198 583274442 /nfs/dbraw/zinc/27/44/42/583274442.db2.gz FYKJPMSXEAABJJ-LBPRGKRZSA-N 0 3 245.326 2.609 20 0 BFADHN Cc1[nH]nc(CN2CC[C@H](c3ccco3)C2)c1C ZINC000894020198 583274444 /nfs/dbraw/zinc/27/44/44/583274444.db2.gz FYKJPMSXEAABJJ-LBPRGKRZSA-N 0 3 245.326 2.609 20 0 BFADHN COc1cc(C)cc(CN2CCC[C@H]2C)c1OC ZINC000894021010 583274772 /nfs/dbraw/zinc/27/47/72/583274772.db2.gz KOTKSQODCUUOSC-GFCCVEGCSA-N 0 3 249.354 2.997 20 0 BFADHN Cc1noc2ncc(CN(C)C3CCC3)cc12 ZINC000894032062 583276589 /nfs/dbraw/zinc/27/65/89/583276589.db2.gz BLZAIMWJSIQCFS-UHFFFAOYSA-N 0 3 231.299 2.516 20 0 BFADHN Cc1n[nH]c(CN2CC[C@@H](C)[C@H](C)C2)c1C ZINC000894034648 583278193 /nfs/dbraw/zinc/27/81/93/583278193.db2.gz UBWXNCYSUWWLNT-NXEZZACHSA-N 0 3 221.348 2.504 20 0 BFADHN Cc1cc(F)ncc1CN[C@@H]1CC12CCCC2 ZINC000894034915 583278523 /nfs/dbraw/zinc/27/85/23/583278523.db2.gz QFBDSASTXOJQSW-GFCCVEGCSA-N 0 3 234.318 2.951 20 0 BFADHN Cc1n[nH]c(CN2CC[C@H](C)[C@H](C)C2)c1C ZINC000894034650 583278947 /nfs/dbraw/zinc/27/89/47/583278947.db2.gz UBWXNCYSUWWLNT-VHSXEESVSA-N 0 3 221.348 2.504 20 0 BFADHN Cc1n[nH]c(CN2CCCCC[C@@H]2C)c1C ZINC000894024668 583280707 /nfs/dbraw/zinc/28/07/07/583280707.db2.gz LVMSANCGVMUORT-JTQLQIEISA-N 0 3 221.348 2.791 20 0 BFADHN CCn1cc(CN2CC3(CCC3)C[C@@H]2C)c(C)n1 ZINC000894039718 583283635 /nfs/dbraw/zinc/28/36/35/583283635.db2.gz VUYPKTWCMDZLQT-LBPRGKRZSA-N 0 3 247.386 2.976 20 0 BFADHN Cc1ncoc1CN(C(C)C)C1CCC1 ZINC000894045395 583286590 /nfs/dbraw/zinc/28/65/90/583286590.db2.gz NZSVXBUMKLVVEN-UHFFFAOYSA-N 0 3 208.305 2.746 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2ccc3oc(=O)oc3c2)C1 ZINC000894047014 583287486 /nfs/dbraw/zinc/28/74/86/583287486.db2.gz ZJVPHOJTAORPRV-NXEZZACHSA-N 0 3 247.294 2.616 20 0 BFADHN CC/C=C/CNc1cc(N)ncc1Cl ZINC000894047276 583287960 /nfs/dbraw/zinc/28/79/60/583287960.db2.gz RCGNZPBONWKIOU-ONEGZZNKSA-N 0 3 211.696 2.695 20 0 BFADHN Cc1[nH]nc(CN2C3CCCC2CCC3)c1C ZINC000894052628 583290372 /nfs/dbraw/zinc/29/03/72/583290372.db2.gz DGHNPAKKRAFHAL-UHFFFAOYSA-N 0 3 233.359 2.934 20 0 BFADHN Cc1n[nH]c(CN(C)CCc2cccs2)c1C ZINC000894058126 583291029 /nfs/dbraw/zinc/29/10/29/583291029.db2.gz HVFOXKZVJMLOHT-UHFFFAOYSA-N 0 3 249.383 2.763 20 0 BFADHN Cc1[nH]nc(CN(C)CCc2cccs2)c1C ZINC000894058126 583291032 /nfs/dbraw/zinc/29/10/32/583291032.db2.gz HVFOXKZVJMLOHT-UHFFFAOYSA-N 0 3 249.383 2.763 20 0 BFADHN CSC1(CNCc2cnc(F)c(C)c2)CC1 ZINC000894107486 583307614 /nfs/dbraw/zinc/30/76/14/583307614.db2.gz OICZJKZBJKAYOX-UHFFFAOYSA-N 0 3 240.347 2.514 20 0 BFADHN CCc1onc(C)c1CNCCC1(C)CC1 ZINC000894135358 583314266 /nfs/dbraw/zinc/31/42/66/583314266.db2.gz XEJSRAQGUKMFRN-UHFFFAOYSA-N 0 3 222.332 2.825 20 0 BFADHN Cc1ncoc1CNCC1(c2ccccc2)CC1 ZINC000894165120 583323878 /nfs/dbraw/zinc/32/38/78/583323878.db2.gz IGUBNTGTGSBPHP-UHFFFAOYSA-N 0 3 242.322 2.804 20 0 BFADHN COc1ccc(CNC[C@@H]2CC[C@@H](C)C2)nc1 ZINC000894174288 583326279 /nfs/dbraw/zinc/32/62/79/583326279.db2.gz JYEHCAZAEVWYON-VXGBXAGGSA-N 0 3 234.343 2.616 20 0 BFADHN COc1ccc(CNC[C@H]2CC[C@H](C)C2)nc1 ZINC000894174287 583326884 /nfs/dbraw/zinc/32/68/84/583326884.db2.gz JYEHCAZAEVWYON-RYUDHWBXSA-N 0 3 234.343 2.616 20 0 BFADHN CCN(Cc1c2c(nn1C)CCC2)CC1CCC1 ZINC000894177514 583327257 /nfs/dbraw/zinc/32/72/57/583327257.db2.gz YDDGPIKNBCQESD-UHFFFAOYSA-N 0 3 247.386 2.531 20 0 BFADHN CC1=C(C)CN(Cc2cn3ccnc3s2)CC1 ZINC000894196210 583335323 /nfs/dbraw/zinc/33/53/23/583335323.db2.gz YBWNIOZUMIONNM-UHFFFAOYSA-N 0 3 247.367 2.938 20 0 BFADHN C[C@H]1CSCCN1C[C@H]1CCC2(CCC2)O1 ZINC000878120367 583362133 /nfs/dbraw/zinc/36/21/33/583362133.db2.gz HGYAAWNSDKJCIR-NWDGAFQWSA-N 0 3 241.400 2.525 20 0 BFADHN C[C@H]1CSCCN1C[C@@H]1CCCC(C)(C)O1 ZINC000878122294 583362270 /nfs/dbraw/zinc/36/22/70/583362270.db2.gz YZKFKHAAFMJTSN-RYUDHWBXSA-N 0 3 243.416 2.771 20 0 BFADHN Cl/C=C/CN1CCC[C@@]2(CCCOC2)C1 ZINC000747531626 583363712 /nfs/dbraw/zinc/36/37/12/583363712.db2.gz DDILRQCDXGCBRU-MJRJWQSSSA-N 0 3 229.751 2.632 20 0 BFADHN C[C@@H](CN1CC[S@](=O)CC1(C)C)C(C)(C)C ZINC000878476455 583375352 /nfs/dbraw/zinc/37/53/52/583375352.db2.gz KFZLUCLOUOJINC-ZBEGNZNMSA-N 0 3 245.432 2.512 20 0 BFADHN Cc1c(CN(C)CC2CC3(CCC3)C2)cnn1C ZINC000878532094 583380139 /nfs/dbraw/zinc/38/01/39/583380139.db2.gz OZFHVBMORMJPFI-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN COCC(C)(C)NCc1nccc2ccccc21 ZINC000894219637 583381118 /nfs/dbraw/zinc/38/11/18/583381118.db2.gz OMGAXXKBYUJWHK-UHFFFAOYSA-N 0 3 244.338 2.749 20 0 BFADHN CC1=CCCN(C[C@H]2C[C@@]2(C)Br)C1 ZINC000894221320 583382366 /nfs/dbraw/zinc/38/23/66/583382366.db2.gz NKOBCAPSSYBUPN-GHMZBOCLSA-N 0 3 244.176 2.812 20 0 BFADHN CC1=CCCN(Cc2cccc3c2OCC3)C1 ZINC000894221310 583382384 /nfs/dbraw/zinc/38/23/84/583382384.db2.gz NGSBKVNPQBSOGO-UHFFFAOYSA-N 0 3 229.323 2.774 20 0 BFADHN COc1ccc(CNC[C@@H]2CCC[C@@H]2C)o1 ZINC000894241631 583387861 /nfs/dbraw/zinc/38/78/61/583387861.db2.gz YEQAPBSYMQMILD-QWRGUYRKSA-N 0 3 223.316 2.814 20 0 BFADHN CCOc1ccc(CNCCCSCC)o1 ZINC000894258026 583395895 /nfs/dbraw/zinc/39/58/95/583395895.db2.gz GQZJIRQLPLQVQH-UHFFFAOYSA-N 0 3 243.372 2.911 20 0 BFADHN CO[C@H]1CC[C@@H](C)N(Cc2ccccc2F)C1 ZINC000879318476 583422684 /nfs/dbraw/zinc/42/26/84/583422684.db2.gz VDVNJWSRSICHMW-YPMHNXCESA-N 0 3 237.318 2.825 20 0 BFADHN CC1(CNCc2c(Cl)nc3ccccn32)CC1 ZINC000748098315 583423604 /nfs/dbraw/zinc/42/36/04/583423604.db2.gz ABIIKVKZRGBLCR-UHFFFAOYSA-N 0 3 249.745 2.877 20 0 BFADHN C[C@H]1C[C@H](C)N1C[C@H](O)c1ccc(Cl)cc1 ZINC000791567636 583426082 /nfs/dbraw/zinc/42/60/82/583426082.db2.gz TUCBFPIDMIWLGX-KWBADKCTSA-N 0 3 239.746 2.856 20 0 BFADHN Cc1cccc(CNC(C)(C)[C@H]2CCCCO2)n1 ZINC000879405590 583428928 /nfs/dbraw/zinc/42/89/28/583428928.db2.gz ACASLIUUJFJUCT-CQSZACIVSA-N 0 3 248.370 2.827 20 0 BFADHN Cc1cccc(CNC(C)(C)[C@@H]2CCCCO2)n1 ZINC000879405589 583428943 /nfs/dbraw/zinc/42/89/43/583428943.db2.gz ACASLIUUJFJUCT-AWEZNQCLSA-N 0 3 248.370 2.827 20 0 BFADHN C[C@H]1CCc2ccccc2[C@H]1NCc1c[nH]cn1 ZINC000894369760 583440301 /nfs/dbraw/zinc/44/03/01/583440301.db2.gz CMMLDKPSPSCDJE-NHYWBVRUSA-N 0 3 241.338 2.823 20 0 BFADHN C[C@H]1CCc2ccccc2[C@H]1NCc1cnc[nH]1 ZINC000894369760 583440304 /nfs/dbraw/zinc/44/03/04/583440304.db2.gz CMMLDKPSPSCDJE-NHYWBVRUSA-N 0 3 241.338 2.823 20 0 BFADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1c[nH]cn1 ZINC000894369757 583440337 /nfs/dbraw/zinc/44/03/37/583440337.db2.gz CMMLDKPSPSCDJE-ABAIWWIYSA-N 0 3 241.338 2.823 20 0 BFADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1cnc[nH]1 ZINC000894369757 583440339 /nfs/dbraw/zinc/44/03/39/583440339.db2.gz CMMLDKPSPSCDJE-ABAIWWIYSA-N 0 3 241.338 2.823 20 0 BFADHN CO[C@H]1CCCN(Cc2cc(C)co2)CC1 ZINC000894441938 583457731 /nfs/dbraw/zinc/45/77/31/583457731.db2.gz SZVGNRHBILGAKU-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN C[C@H](O)[C@@H]1CCN(C/C=C/c2cccc(F)c2)C1 ZINC000894430437 583457959 /nfs/dbraw/zinc/45/79/59/583457959.db2.gz FOPTZNLNKQGNCC-QDFGCFFBSA-N 0 3 249.329 2.542 20 0 BFADHN CCOc1ccc(CNCCSC(C)C)o1 ZINC000894444576 583460833 /nfs/dbraw/zinc/46/08/33/583460833.db2.gz XPTNLVFANCMEBM-UHFFFAOYSA-N 0 3 243.372 2.910 20 0 BFADHN Cc1ncoc1CN1C[C@H](C)CC(C)(C)C1 ZINC000894445162 583460985 /nfs/dbraw/zinc/46/09/85/583460985.db2.gz NQPVAIBNCCBHRC-SNVBAGLBSA-N 0 3 222.332 2.851 20 0 BFADHN Cn1ncc(CN[C@@H]2CCC2(C)C)c1C1CC1 ZINC000894446228 583461294 /nfs/dbraw/zinc/46/12/94/583461294.db2.gz RFUYZAIZTGQRGG-GFCCVEGCSA-N 0 3 233.359 2.576 20 0 BFADHN Cc1ccnc(N)c1CN1CC[C@@H](C)C(C)(C)C1 ZINC000894464118 583465553 /nfs/dbraw/zinc/46/55/53/583465553.db2.gz JOWLVOZKKQOOTD-GFCCVEGCSA-N 0 3 247.386 2.840 20 0 BFADHN CN(C/C=C\c1cccc(F)c1)CC1(O)CCC1 ZINC000894461324 583466609 /nfs/dbraw/zinc/46/66/09/583466609.db2.gz IWIOTUOCTZBEMV-UTCJRWHESA-N 0 3 249.329 2.686 20 0 BFADHN OCC[C@H]1CCCN1C/C=C/c1cccc(F)c1 ZINC000894499095 583472401 /nfs/dbraw/zinc/47/24/01/583472401.db2.gz DRAVQARZBJQBSZ-FIMWJMCYSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1coc(CN2CC[C@H](C)C[C@@H]2[C@H](C)O)c1 ZINC000894534220 583479054 /nfs/dbraw/zinc/47/90/54/583479054.db2.gz YPJLKTYQDQMBDK-VHRBIJSZSA-N 0 3 237.343 2.569 20 0 BFADHN Cc1coc(CN2CCSCC[C@H]2C)c1 ZINC000894554876 583483230 /nfs/dbraw/zinc/48/32/30/583483230.db2.gz ALZBZERZMHQOLN-LLVKDONJSA-N 0 3 225.357 2.915 20 0 BFADHN C[C@@H](CF)N[C@H]1C[C@@H](OC(C)(C)C)C1(C)C ZINC000894600428 583490351 /nfs/dbraw/zinc/49/03/51/583490351.db2.gz GXUDWWKFARZPGX-GARJFASQSA-N 0 3 231.355 2.916 20 0 BFADHN Cc1coc(CN2CCCC[C@H]2C[C@@H](C)O)c1 ZINC000894596252 583492922 /nfs/dbraw/zinc/49/29/22/583492922.db2.gz OWABMVNEOLDSLK-OLZOCXBDSA-N 0 3 237.343 2.713 20 0 BFADHN Cc1coc(CN2CCCC[C@H]2C[C@H](C)O)c1 ZINC000894596254 583493112 /nfs/dbraw/zinc/49/31/12/583493112.db2.gz OWABMVNEOLDSLK-STQMWFEESA-N 0 3 237.343 2.713 20 0 BFADHN Cc1ncoc1CNCCCc1ccccc1F ZINC000894629095 583496805 /nfs/dbraw/zinc/49/68/05/583496805.db2.gz QDZUZRPFEKKLOX-UHFFFAOYSA-N 0 3 248.301 2.845 20 0 BFADHN COc1nccc(CNC2(C(C)C)CC2)c1F ZINC000894626612 583496922 /nfs/dbraw/zinc/49/69/22/583496922.db2.gz YKVQKSNFAXZYFY-UHFFFAOYSA-N 0 3 238.306 2.508 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CC[C@H](C)C1 ZINC000894630023 583497013 /nfs/dbraw/zinc/49/70/13/583497013.db2.gz WRAWRHABAIKPIC-GXSJLCMTSA-N 0 3 208.305 2.509 20 0 BFADHN C[C@H]1CN(Cc2nccc3c2CCCC3)[C@H]1C ZINC000894628082 583497306 /nfs/dbraw/zinc/49/73/06/583497306.db2.gz MQDGQNWKNCEDNA-RYUDHWBXSA-N 0 3 230.355 2.801 20 0 BFADHN Cc1coc(CN2CC[C@@H](c3ccccn3)C2)c1 ZINC000894654571 583501365 /nfs/dbraw/zinc/50/13/65/583501365.db2.gz XIKRFXDNPPZMAI-CYBMUJFWSA-N 0 3 242.322 2.973 20 0 BFADHN C[C@H]1Cc2cc(CN3CC[C@H]3C3CC3)ccc2O1 ZINC000894687330 583506850 /nfs/dbraw/zinc/50/68/50/583506850.db2.gz CEEQNAZFKRGDDZ-NHYWBVRUSA-N 0 3 243.350 2.994 20 0 BFADHN CS[C@H]1CCN(Cc2cccc3c2OCC3)C1 ZINC000894692027 583507518 /nfs/dbraw/zinc/50/75/18/583507518.db2.gz NYQCTFJQWQAMPB-ZDUSSCGKSA-N 0 3 249.379 2.559 20 0 BFADHN c1cc2c(cc1CN1CC[C@@H]1C1CC1)CCCO2 ZINC000894688930 583508202 /nfs/dbraw/zinc/50/82/02/583508202.db2.gz GTXZNESDMQERDP-OAHLLOKOSA-N 0 3 243.350 2.996 20 0 BFADHN Cc1cc(CN2CCC[C@@H](CF)C2)ccn1 ZINC000880238735 583511803 /nfs/dbraw/zinc/51/18/03/583511803.db2.gz WOHASFAIBPBAIO-ZDUSSCGKSA-N 0 3 222.307 2.572 20 0 BFADHN COc1ccc(CNC[C@@H]2C[C@H]2C(C)C)o1 ZINC000894742597 583515665 /nfs/dbraw/zinc/51/56/65/583515665.db2.gz UOFRCVCOVHGJAU-JQWIXIFHSA-N 0 3 223.316 2.670 20 0 BFADHN Cc1nnc([C@@H](C)N[C@H](C)CCCC(C)C)[nH]1 ZINC000894773364 583517465 /nfs/dbraw/zinc/51/74/65/583517465.db2.gz UWGNOVZBJZXOEY-GHMZBOCLSA-N 0 3 238.379 2.979 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+][C@H](C)CCCC(C)C)[n-]1 ZINC000894773364 583517466 /nfs/dbraw/zinc/51/74/66/583517466.db2.gz UWGNOVZBJZXOEY-GHMZBOCLSA-N 0 3 238.379 2.979 20 0 BFADHN CC[C@]1(C)CCC[C@H]1[NH2+][C@H](C)c1nnc(C)[n-]1 ZINC000894775937 583518324 /nfs/dbraw/zinc/51/83/24/583518324.db2.gz ARRDCMOTSBJWDF-IRUJWGPZSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C2CC3(C2)CCCCC3)[n-]1 ZINC000894776988 583518920 /nfs/dbraw/zinc/51/89/20/583518920.db2.gz HMCKLZOKVGBVSR-JTQLQIEISA-N 0 3 248.374 2.877 20 0 BFADHN CN(Cc1cnn(C)c1C1CC1)C1CC(C)(C)C1 ZINC000894861111 583534116 /nfs/dbraw/zinc/53/41/16/583534116.db2.gz PRNJMRJVGVVOIU-UHFFFAOYSA-N 0 3 247.386 2.918 20 0 BFADHN C[C@H]1CN(Cc2cc3cnccc3o2)[C@H]1C ZINC000894861238 583534293 /nfs/dbraw/zinc/53/42/93/583534293.db2.gz CIUDHSDNJRSZQO-UWVGGRQHSA-N 0 3 216.284 2.668 20 0 BFADHN COc1cccc(C)c1CN1C[C@@H](C)[C@@H]1C ZINC000894860285 583534409 /nfs/dbraw/zinc/53/44/09/583534409.db2.gz JLRJZVKWUWXHKU-NEPJUHHUSA-N 0 3 219.328 2.844 20 0 BFADHN CN(Cc1cn(C)nc1C1CC1)C1CC(C)(C)C1 ZINC000894862054 583535187 /nfs/dbraw/zinc/53/51/87/583535187.db2.gz ZKBMVOKMEARIPX-UHFFFAOYSA-N 0 3 247.386 2.918 20 0 BFADHN CN(Cc1c2c(nn1C)CCC2)C1CC(C)(C)C1 ZINC000894861906 583535691 /nfs/dbraw/zinc/53/56/91/583535691.db2.gz YLPJBGSCRNAJFZ-UHFFFAOYSA-N 0 3 247.386 2.529 20 0 BFADHN C[C@@H]1CN(Cc2cccc3c2NCCC3)[C@H]1C ZINC000894862469 583537377 /nfs/dbraw/zinc/53/73/77/583537377.db2.gz ZBSPINZQGYDLMM-NEPJUHHUSA-N 0 3 230.355 2.885 20 0 BFADHN Cc1ncoc1CNC[C@H]1CCCC1(C)C ZINC000894866672 583537819 /nfs/dbraw/zinc/53/78/19/583537819.db2.gz WYTYKKJGLWPDEA-LLVKDONJSA-N 0 3 222.332 2.899 20 0 BFADHN COc1ccc(CN[C@]2(C)CC=CCC2)o1 ZINC000894867126 583538578 /nfs/dbraw/zinc/53/85/78/583538578.db2.gz IJQRLJYOJHNZQK-CYBMUJFWSA-N 0 3 221.300 2.877 20 0 BFADHN CC(C)CCCCN1CCCc2c(cnn2C)C1 ZINC000894887411 583546744 /nfs/dbraw/zinc/54/67/44/583546744.db2.gz GABOKVQNYHMFCW-UHFFFAOYSA-N 0 3 249.402 2.995 20 0 BFADHN FC1(F)CC(NCc2scnc2C2CC2)C1 ZINC000336716477 583548470 /nfs/dbraw/zinc/54/84/70/583548470.db2.gz WFDZODGGYGQLGK-UHFFFAOYSA-N 0 3 244.310 2.908 20 0 BFADHN Cc1cc(CN[C@@H]2CS[C@@H](C)C2)cnc1F ZINC000894903477 583552420 /nfs/dbraw/zinc/55/24/20/583552420.db2.gz LJROUSDYWIMUOG-ONGXEEELSA-N 0 3 240.347 2.513 20 0 BFADHN CCc1onc(C)c1CN[C@H]1CS[C@H](C)C1 ZINC000894902783 583552426 /nfs/dbraw/zinc/55/24/26/583552426.db2.gz HOIYNISIKZIYQO-PSASIEDQSA-N 0 3 240.372 2.529 20 0 BFADHN CCCCCCN[C@@H]1CCCc2c[nH]nc21 ZINC000857845497 588911684 /nfs/dbraw/zinc/91/16/84/588911684.db2.gz KUKGIVJKLRBHHE-GFCCVEGCSA-N 0 3 221.348 2.957 20 0 BFADHN CCc1onc(C)c1CN[C@@H](C)[C@H]1CC1(F)F ZINC000894915169 583555426 /nfs/dbraw/zinc/55/54/26/583555426.db2.gz JYVBJWZXTJCADC-WCBMZHEXSA-N 0 3 244.285 2.679 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1c2c(nn1C)CCC2 ZINC000894921560 583556411 /nfs/dbraw/zinc/55/64/11/583556411.db2.gz KGJUBRBNPAOIFT-NSHDSACASA-N 0 3 247.386 2.529 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@@H](C)[C@H]2C)o1 ZINC000894956539 583558977 /nfs/dbraw/zinc/55/89/77/583558977.db2.gz AEQNFZBLZHWMMU-HBNTYKKESA-N 0 3 223.316 2.765 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)o1 ZINC000894956536 583559114 /nfs/dbraw/zinc/55/91/14/583559114.db2.gz AEQNFZBLZHWMMU-AXFHLTTASA-N 0 3 223.316 2.765 20 0 BFADHN CCCCCN[C@H]1CCCc2c[nH]nc21 ZINC000857845338 588912048 /nfs/dbraw/zinc/91/20/48/588912048.db2.gz GERXKCBTACZNQH-NSHDSACASA-N 0 3 207.321 2.567 20 0 BFADHN Cc1ncoc1CNCCC1CC(F)(F)C1 ZINC000894968865 583560685 /nfs/dbraw/zinc/56/06/85/583560685.db2.gz ZCIVAKFWBGIJKI-UHFFFAOYSA-N 0 3 230.258 2.508 20 0 BFADHN Cc1ncoc1CN[C@@H](C1CC1)C1CCC1 ZINC000894970472 583560782 /nfs/dbraw/zinc/56/07/82/583560782.db2.gz ZPPFLPVYNNGJAE-CYBMUJFWSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1ncoc1CN(C)C1CC(C)(C)C1 ZINC000894985442 583564479 /nfs/dbraw/zinc/56/44/79/583564479.db2.gz OQQKHMIGGQBLOH-UHFFFAOYSA-N 0 3 208.305 2.603 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1cc(C)co1 ZINC000894985396 583564573 /nfs/dbraw/zinc/56/45/73/583564573.db2.gz NYUOVABZAHSOHG-CYBMUJFWSA-N 0 3 237.343 2.979 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCC[C@@H]1C1CC1 ZINC000894985162 583564603 /nfs/dbraw/zinc/56/46/03/583564603.db2.gz GKNOHEICSRGOFR-QWHCGFSZSA-N 0 3 234.343 2.899 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCC[C@H]1C1CC1 ZINC000894985164 583564796 /nfs/dbraw/zinc/56/47/96/583564796.db2.gz GKNOHEICSRGOFR-STQMWFEESA-N 0 3 234.343 2.899 20 0 BFADHN Cc1ncoc1CN1CC(C)(C)C[C@@H]1C ZINC000894997909 583566741 /nfs/dbraw/zinc/56/67/41/583566741.db2.gz BGUHPSJZIHKXPA-VIFPVBQESA-N 0 3 208.305 2.603 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@H]2C2CCC2)o1 ZINC000895010682 583567557 /nfs/dbraw/zinc/56/75/57/583567557.db2.gz VMUNYCHRVSURHD-QWHCGFSZSA-N 0 3 235.327 2.957 20 0 BFADHN CCc1cccc(NC(=O)CN[C@@H]2CC[C@H]2C)c1 ZINC000895039390 583570490 /nfs/dbraw/zinc/57/04/90/583570490.db2.gz DDDGBGXLJJCUFF-BXUZGUMPSA-N 0 3 246.354 2.576 20 0 BFADHN C[C@H](CCc1ccco1)NCc1cccnc1F ZINC000793279301 583579674 /nfs/dbraw/zinc/57/96/74/583579674.db2.gz CVILUHLQHVHRBN-LLVKDONJSA-N 0 3 248.301 2.925 20 0 BFADHN CC1(CN[C@@H]2CCCc3c[nH]nc32)CCC1 ZINC000857857528 588913862 /nfs/dbraw/zinc/91/38/62/588913862.db2.gz DOIVICALQKOCMV-LLVKDONJSA-N 0 3 219.332 2.567 20 0 BFADHN c1cn(C2CC2)c(CN2CC[C@@H]3CCCC[C@@H]32)n1 ZINC000895086006 583584597 /nfs/dbraw/zinc/58/45/97/583584597.db2.gz MPNWQOJSCCVXDZ-JSGCOSHPSA-N 0 3 245.370 2.983 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NCCC1CCC1 ZINC000857858226 588914057 /nfs/dbraw/zinc/91/40/57/588914057.db2.gz KSXFHVFELMIOEY-GFCCVEGCSA-N 0 3 219.332 2.567 20 0 BFADHN CN(CCC(C)(C)C)Cc1c2c(nn1C)CCC2 ZINC000895107230 583589924 /nfs/dbraw/zinc/58/99/24/583589924.db2.gz KRAOOAZFHMVYFJ-UHFFFAOYSA-N 0 3 249.402 2.777 20 0 BFADHN COCCOc1ccc(CN(C)C(C)(C)C)o1 ZINC000895115715 583591843 /nfs/dbraw/zinc/59/18/43/583591843.db2.gz JJCDDDYHWRKUSQ-UHFFFAOYSA-N 0 3 241.331 2.535 20 0 BFADHN CCOc1ccc(CN[C@@H]2CC[C@H]3C[C@H]3C2)o1 ZINC000895122785 583596801 /nfs/dbraw/zinc/59/68/01/583596801.db2.gz AKTMLQFSIFWOTC-SDDRHHMPSA-N 0 3 235.327 2.957 20 0 BFADHN CCC(C)(C)CN[C@H]1CCCc2c[nH]nc21 ZINC000857863839 588915070 /nfs/dbraw/zinc/91/50/70/588915070.db2.gz DGKYETIZRPCZEP-NSHDSACASA-N 0 3 221.348 2.813 20 0 BFADHN Cc1coc(CN2CC[C@@]3(CC3(F)F)C2)c1 ZINC000895149644 583606142 /nfs/dbraw/zinc/60/61/42/583606142.db2.gz OBCNJBHGXUWLBQ-LLVKDONJSA-N 0 3 227.254 2.819 20 0 BFADHN C[C@@H](O)CN(C/C=C\c1cccc(F)c1)C1CC1 ZINC000895192208 583622014 /nfs/dbraw/zinc/62/20/14/583622014.db2.gz DIOQDRZQRMBPTE-KTLBGCOUSA-N 0 3 249.329 2.684 20 0 BFADHN CCc1ccc(CNC[C@H]2CC(C)=NO2)s1 ZINC000895312190 583647843 /nfs/dbraw/zinc/64/78/43/583647843.db2.gz WDUIQHWCAJKZDO-SNVBAGLBSA-N 0 3 238.356 2.565 20 0 BFADHN c1[nH]nc2c1CN(C1CCCCCCC1)CC2 ZINC000857876032 588918639 /nfs/dbraw/zinc/91/86/39/588918639.db2.gz KWLZQSWHBOJGAB-UHFFFAOYSA-N 0 3 233.359 2.881 20 0 BFADHN Cc1noc2ncc(CN[C@@]3(C)CC3(C)C)cc12 ZINC000895334269 583652755 /nfs/dbraw/zinc/65/27/55/583652755.db2.gz FJWBOMYCPONWKO-AWEZNQCLSA-N 0 3 245.326 2.809 20 0 BFADHN Cc1noc2ncc(CN[C@]3(C)CC3(C)C)cc12 ZINC000895334270 583652782 /nfs/dbraw/zinc/65/27/82/583652782.db2.gz FJWBOMYCPONWKO-CQSZACIVSA-N 0 3 245.326 2.809 20 0 BFADHN CN(C)Cc1cccc(CNCc2ccoc2)c1 ZINC000727465718 583656130 /nfs/dbraw/zinc/65/61/30/583656130.db2.gz PVCGPRDTQLPXDH-UHFFFAOYSA-N 0 3 244.338 2.631 20 0 BFADHN COc1cccc(CN[C@H]2COCC2(C)C)c1C ZINC000895352198 583656475 /nfs/dbraw/zinc/65/64/75/583656475.db2.gz LLJOPPUSRMMYRF-AWEZNQCLSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1cc(CNC[C@@]2(C)CC2(F)F)cnc1F ZINC000895380813 583662155 /nfs/dbraw/zinc/66/21/55/583662155.db2.gz ONGUBDULVSRXFF-LLVKDONJSA-N 0 3 244.260 2.664 20 0 BFADHN C[C@H]1CCC[C@@H](N2CCc3n[nH]cc3C2)CC1 ZINC000895390250 583663808 /nfs/dbraw/zinc/66/38/08/583663808.db2.gz VIZMYJDCZFUQJI-WCQYABFASA-N 0 3 233.359 2.737 20 0 BFADHN CCOc1ccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)o1 ZINC000895433112 583672036 /nfs/dbraw/zinc/67/20/36/583672036.db2.gz HAKNPAGGVIKXJV-PTEHBNRSSA-N 0 3 221.300 2.566 20 0 BFADHN CC(C)c1ncc(CNCC2CSC2)s1 ZINC000895421523 583672988 /nfs/dbraw/zinc/67/29/88/583672988.db2.gz NQDKZPDSYYPNRQ-UHFFFAOYSA-N 0 3 242.413 2.719 20 0 BFADHN C[C@@H]1Cc2cc(CN3CC[C@H]4C[C@H]4C3)ccc2O1 ZINC000895435076 583673111 /nfs/dbraw/zinc/67/31/11/583673111.db2.gz XJRUAVDHBOPADJ-ZLDLUXBVSA-N 0 3 243.350 2.852 20 0 BFADHN Cc1coc(CN2CCN(C)C(C)(C)CC2)c1 ZINC000895449313 583676063 /nfs/dbraw/zinc/67/60/63/583676063.db2.gz GLUUCIGWAZEOMN-UHFFFAOYSA-N 0 3 236.359 2.504 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc(CC(C)C)nc1 ZINC000895459028 583677210 /nfs/dbraw/zinc/67/72/10/583677210.db2.gz KMLIRBVDTSOROP-CABCVRRESA-N 0 3 248.370 2.547 20 0 BFADHN Cc1cc(CNC2CC(C)(C)C2)cnc1F ZINC000895468529 583678651 /nfs/dbraw/zinc/67/86/51/583678651.db2.gz VCBBZJVRIVZGMW-UHFFFAOYSA-N 0 3 222.307 2.807 20 0 BFADHN Cn1ncc(CN2CC(CC3CC3)C2)c1C1CC1 ZINC000895516481 583683469 /nfs/dbraw/zinc/68/34/69/583683469.db2.gz SVGBEXWPMNVKPA-UHFFFAOYSA-N 0 3 245.370 2.529 20 0 BFADHN FC1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000895549066 583686537 /nfs/dbraw/zinc/68/65/37/583686537.db2.gz HQDDZUQUCPGMHF-UHFFFAOYSA-N 0 3 235.302 2.555 20 0 BFADHN CCC[C@H](CNCc1ccc(OC)o1)OCC ZINC000895549457 583686594 /nfs/dbraw/zinc/68/65/94/583686594.db2.gz HVWFYHWIFGVXMP-LLVKDONJSA-N 0 3 241.331 2.583 20 0 BFADHN C=C/C=C\CCNCc1cc(C2CC2)no1 ZINC000895542319 583688364 /nfs/dbraw/zinc/68/83/64/583688364.db2.gz XSHSXCXWNVFEGH-ARJAWSKDSA-N 0 3 218.300 2.774 20 0 BFADHN C=C/C=C/CCNCc1cc(F)ncc1F ZINC000895542050 583688550 /nfs/dbraw/zinc/68/85/50/583688550.db2.gz SMIBLOKOEAVEDQ-ONEGZZNKSA-N 0 3 224.254 2.582 20 0 BFADHN FC1CCN(Cc2ccc3nccnc3c2)CC1 ZINC000895545563 583689421 /nfs/dbraw/zinc/68/94/21/583689421.db2.gz GSMOAKRUJHUMIC-UHFFFAOYSA-N 0 3 245.301 2.564 20 0 BFADHN COc1cc(C)ccc1CN1CCC(F)CC1 ZINC000895546705 583689983 /nfs/dbraw/zinc/68/99/83/583689983.db2.gz BADUWTYVILSXAN-UHFFFAOYSA-N 0 3 237.318 2.938 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000895554910 583690783 /nfs/dbraw/zinc/69/07/83/583690783.db2.gz BXVAXRQXVUKCBB-SDDRHHMPSA-N 0 3 234.343 2.824 20 0 BFADHN COc1ccc(CN2CC[C@H](CF)C2)cc1C ZINC000895575871 583692914 /nfs/dbraw/zinc/69/29/14/583692914.db2.gz VQHLVJJQZPGQPL-CYBMUJFWSA-N 0 3 237.318 2.795 20 0 BFADHN CCOc1cccc(CN2CC[C@H](CF)C2)c1 ZINC000895574158 583693122 /nfs/dbraw/zinc/69/31/22/583693122.db2.gz NUNUVFSARFDUHB-CYBMUJFWSA-N 0 3 237.318 2.877 20 0 BFADHN Cc1ccc(CN2CC[C@H](CF)C2)c(F)c1 ZINC000895567769 583694043 /nfs/dbraw/zinc/69/40/43/583694043.db2.gz KKRDLRYFXVDQAE-LLVKDONJSA-N 0 3 225.282 2.926 20 0 BFADHN c1coc(CN2CC[C@@H](c3nccs3)C2)c1 ZINC000895585551 583694476 /nfs/dbraw/zinc/69/44/76/583694476.db2.gz XTQQDJWEBGRSSG-SNVBAGLBSA-N 0 3 234.324 2.726 20 0 BFADHN Cc1occc1CN1CC(CC2CCOCC2)C1 ZINC000895616776 583696973 /nfs/dbraw/zinc/69/69/73/583696973.db2.gz XXLBUWRWDLYLMJ-UHFFFAOYSA-N 0 3 249.354 2.837 20 0 BFADHN CCn1cc(CN2CCCC3(CC3)CC2)c(C)n1 ZINC000895666013 583705854 /nfs/dbraw/zinc/70/58/54/583705854.db2.gz MMKFGHVSSSCSAC-UHFFFAOYSA-N 0 3 247.386 2.978 20 0 BFADHN C/C=C/CN[C@@H]1CCc2ccc(Cl)nc21 ZINC000857916129 588923837 /nfs/dbraw/zinc/92/38/37/588923837.db2.gz GNABHAVEEYDPKW-VMZHVLLKSA-N 0 3 222.719 2.888 20 0 BFADHN CCc1ccc(CN2C[C@H](OC)CC[C@H]2C)o1 ZINC000895926554 583747711 /nfs/dbraw/zinc/74/77/11/583747711.db2.gz HYDRXTKQSULVNK-DGCLKSJQSA-N 0 3 237.343 2.841 20 0 BFADHN Cc1ccoc1CN1CC(C)(C)CC[C@H]1CO ZINC000895925754 583748044 /nfs/dbraw/zinc/74/80/44/583748044.db2.gz MCBHUZWMXDNEQM-LBPRGKRZSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1cc(F)ncc1CNC1(C)CC(F)(F)C1 ZINC000895947557 583749370 /nfs/dbraw/zinc/74/93/70/583749370.db2.gz JIOPHEIHOAPMHC-UHFFFAOYSA-N 0 3 244.260 2.807 20 0 BFADHN CO[C@@H]1CC[C@@H](C)N(Cc2ccc(C)o2)C1 ZINC000895943410 583750419 /nfs/dbraw/zinc/75/04/19/583750419.db2.gz BFHGALNTDOYGER-ZYHUDNBSSA-N 0 3 223.316 2.587 20 0 BFADHN CCN1CCC[C@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858016267 588931147 /nfs/dbraw/zinc/93/11/47/588931147.db2.gz KEIZDADBUZBLAO-AWEZNQCLSA-N 0 3 246.354 2.822 20 0 BFADHN COCC1CN(CC(C)(C)c2ccccc2)C1 ZINC000895971945 583755973 /nfs/dbraw/zinc/75/59/73/583755973.db2.gz YKQSBJCIQJKYIV-UHFFFAOYSA-N 0 3 233.355 2.542 20 0 BFADHN COCC1CN(C[C@@H](C)c2ccc(F)cc2)C1 ZINC000895971389 583756097 /nfs/dbraw/zinc/75/60/97/583756097.db2.gz PHZFXZSTDYLRRF-LLVKDONJSA-N 0 3 237.318 2.507 20 0 BFADHN COCC1CN(Cc2ccccc2C2CC2)C1 ZINC000895971829 583756142 /nfs/dbraw/zinc/75/61/42/583756142.db2.gz UPCLDQAHLUXJHI-UHFFFAOYSA-N 0 3 231.339 2.642 20 0 BFADHN CCc1onc(C)c1CNC[C@@H]1C=CCC1 ZINC000895996173 583759664 /nfs/dbraw/zinc/75/96/64/583759664.db2.gz YYASUDFBYDCXCE-LLVKDONJSA-N 0 3 220.316 2.601 20 0 BFADHN FC1CCN(Cc2cccc3c2OCC3)CC1 ZINC000895998852 583760286 /nfs/dbraw/zinc/76/02/86/583760286.db2.gz BUEXBQPWDDMQBL-UHFFFAOYSA-N 0 3 235.302 2.555 20 0 BFADHN CCC[C@H](O)CCNc1ccnc2ccccc21 ZINC000896185777 583781191 /nfs/dbraw/zinc/78/11/91/583781191.db2.gz AGHGJBWXUCFJTM-LBPRGKRZSA-N 0 3 244.338 2.620 20 0 BFADHN Cc1nc([C@@H]2CCN(CC3(C)CCCC3)C2)no1 ZINC000896230825 583784173 /nfs/dbraw/zinc/78/41/73/583784173.db2.gz LFMVCULGCFSFIQ-GFCCVEGCSA-N 0 3 249.358 2.748 20 0 BFADHN CCC[C@H](C)CN1CC[C@H](c2noc(C)n2)C1 ZINC000896237414 583785426 /nfs/dbraw/zinc/78/54/26/583785426.db2.gz MPANBBWSDPRDNS-JQWIXIFHSA-N 0 3 237.347 2.604 20 0 BFADHN COc1ccc(CNCC[C@@H]2C[C@H]2C2CC2)nc1 ZINC000896263414 583787648 /nfs/dbraw/zinc/78/76/48/583787648.db2.gz YTWMSWVEMHGERP-DOMZBBRYSA-N 0 3 246.354 2.616 20 0 BFADHN COc1ccc(CNC[C@]23C[C@H]2CCCC3)o1 ZINC000896268284 583788053 /nfs/dbraw/zinc/78/80/53/583788053.db2.gz PFVFOVICRYYSHS-RISCZKNCSA-N 0 3 235.327 2.958 20 0 BFADHN CC(C)=CCN1CC[C@@H](Oc2ccc(C)cn2)C1 ZINC000858014022 588930232 /nfs/dbraw/zinc/93/02/32/588930232.db2.gz ZIOGQLXXBNSQOC-CQSZACIVSA-N 0 3 246.354 2.809 20 0 BFADHN CCC(CC)N[C@H](c1ncccn1)C1CC1 ZINC000896600355 583817501 /nfs/dbraw/zinc/81/75/01/583817501.db2.gz WASHFZZPMDDYNO-LBPRGKRZSA-N 0 3 219.332 2.706 20 0 BFADHN CCC[C@H](CNC(C)(C)/C=C\Cl)OC ZINC000896747619 583830104 /nfs/dbraw/zinc/83/01/04/583830104.db2.gz NQXWXKCXGNPKMY-GQYWMQPJSA-N 0 3 219.756 2.922 20 0 BFADHN CC(C)(/C=C/Cl)NC[C@@H]1CCC=CO1 ZINC000896748179 583830368 /nfs/dbraw/zinc/83/03/68/583830368.db2.gz UPMYHCHHOPPDGE-FGEFZZPRSA-N 0 3 215.724 2.800 20 0 BFADHN CCC[C@@H](C)CN1CCn2cccc2C1 ZINC000896762207 583831448 /nfs/dbraw/zinc/83/14/48/583831448.db2.gz ZVSDEXPJLNFLIV-GFCCVEGCSA-N 0 3 206.333 2.740 20 0 BFADHN C1=CCC(CCNCc2cc(C3CC3)no2)C1 ZINC000896864287 583842514 /nfs/dbraw/zinc/84/25/14/583842514.db2.gz DDAFMFYGRVXUKH-UHFFFAOYSA-N 0 3 232.327 2.998 20 0 BFADHN Fc1cc(CN[C@H]2CCc3ccccc32)ccn1 ZINC000858091299 588931811 /nfs/dbraw/zinc/93/18/11/588931811.db2.gz ZNOFRECNVBXNQH-AWEZNQCLSA-N 0 3 242.297 2.998 20 0 BFADHN CCO[C@H]1CCN(Cc2c(C)cccc2OC)C1 ZINC000897066864 583876527 /nfs/dbraw/zinc/87/65/27/583876527.db2.gz AHEJQKZGYINKHO-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@H]1C[C@H](N[C@H]2CCCc3cccnc32)CS1 ZINC000897072427 583877760 /nfs/dbraw/zinc/87/77/60/583877760.db2.gz NOLNDNIYQPHYCF-DRZSPHRISA-N 0 3 248.395 2.943 20 0 BFADHN CCOc1cccc(CN2CC[C@H](OCC)C2)c1 ZINC000897071509 583877960 /nfs/dbraw/zinc/87/79/60/583877960.db2.gz SIKJIVDPMYCIHA-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN Fc1cc(CNCCc2ccsc2)ccn1 ZINC000858092620 588932444 /nfs/dbraw/zinc/93/24/44/588932444.db2.gz YJIGZCYHXKKCMF-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN Nc1cc(N[C@@H]2C[C@H]3CCC[C@H]32)c(Cl)cn1 ZINC000897176175 583889009 /nfs/dbraw/zinc/88/90/09/583889009.db2.gz PGNPVKPBYUTQDU-NQMVMOMDSA-N 0 3 237.734 2.918 20 0 BFADHN Nc1cc(N[C@@H]2C[C@@H]3CCC[C@H]23)c(Cl)cn1 ZINC000897176176 583889249 /nfs/dbraw/zinc/88/92/49/583889249.db2.gz PGNPVKPBYUTQDU-OYNCUSHFSA-N 0 3 237.734 2.918 20 0 BFADHN c1coc(CN2CCC[C@H](C3CCOCC3)C2)c1 ZINC000897267812 583906616 /nfs/dbraw/zinc/90/66/16/583906616.db2.gz QGHPWEOEAHBGQF-AWEZNQCLSA-N 0 3 249.354 2.918 20 0 BFADHN Cc1ccc(CNCC[C@H]2CC=CCC2)nn1 ZINC000858271879 588941774 /nfs/dbraw/zinc/94/17/74/588941774.db2.gz OAIZALYWQRUWHK-ZDUSSCGKSA-N 0 3 231.343 2.621 20 0 BFADHN CCC[C@H]([NH2+]Cc1cncc([O-])c1)C1CCC1 ZINC000897314737 583918061 /nfs/dbraw/zinc/91/80/61/583918061.db2.gz AYWMNMKPIKJBJA-AWEZNQCLSA-N 0 3 234.343 2.846 20 0 BFADHN CC1(C)CCC(C)(NCc2cnccn2)CC1 ZINC000858275492 588941823 /nfs/dbraw/zinc/94/18/23/588941823.db2.gz ATMPRQGJOGVGRM-UHFFFAOYSA-N 0 3 233.359 2.925 20 0 BFADHN C[C@@H](Cc1ccsc1)[NH2+]Cc1cncc([O-])c1 ZINC000897315542 583919039 /nfs/dbraw/zinc/91/90/39/583919039.db2.gz NKRVOQXVSAYDMQ-JTQLQIEISA-N 0 3 248.351 2.570 20 0 BFADHN C/C=C\CNCc1ccc(OC)c(OC)c1C ZINC000858291437 588942204 /nfs/dbraw/zinc/94/22/04/588942204.db2.gz YXIMGSNSPBNQCT-WAYWQWQTSA-N 0 3 235.327 2.678 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)[C@@]1(C)CC=CCC1 ZINC000870546191 583922134 /nfs/dbraw/zinc/92/21/34/583922134.db2.gz AAXJYFADWMFGRG-JSGCOSHPSA-N 0 3 239.359 2.616 20 0 BFADHN CC(C)(NC[C@H]1CCCO1)c1ccc(F)cc1 ZINC000728928799 583937577 /nfs/dbraw/zinc/93/75/77/583937577.db2.gz DTDNRHJHHRHTAZ-CYBMUJFWSA-N 0 3 237.318 2.829 20 0 BFADHN OCc1cc(NC2CCCCCC2)ccn1 ZINC000858310625 588943744 /nfs/dbraw/zinc/94/37/44/588943744.db2.gz PNBLHBBEGOKTMG-UHFFFAOYSA-N 0 3 220.316 2.709 20 0 BFADHN Cc1cc(CNC[C@@H](O)CC(C)C)ccc1F ZINC000222014751 583947960 /nfs/dbraw/zinc/94/79/60/583947960.db2.gz XIEPMDHNESHJJK-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1c(CN[C@@H]2CSC2(C)C)ccnc1F ZINC000862357892 583961935 /nfs/dbraw/zinc/96/19/35/583961935.db2.gz LMHYPGHVSKRAKY-SNVBAGLBSA-N 0 3 240.347 2.513 20 0 BFADHN OCc1cc(N[C@@H]2CCc3ccccc32)ccn1 ZINC000858329748 588945947 /nfs/dbraw/zinc/94/59/47/588945947.db2.gz NHJFAOGPRWPWFH-OAHLLOKOSA-N 0 3 240.306 2.673 20 0 BFADHN COc1ccc(CNCC(C)(C)C2CC2)nc1 ZINC000897434835 583974922 /nfs/dbraw/zinc/97/49/22/583974922.db2.gz COLFGHRFKLQUJK-UHFFFAOYSA-N 0 3 234.343 2.616 20 0 BFADHN CC1(C)CC[C@H](CCNCc2ccco2)OC1 ZINC000897478130 583991644 /nfs/dbraw/zinc/99/16/44/583991644.db2.gz LHJUEHBOGWLLRW-GFCCVEGCSA-N 0 3 237.343 2.965 20 0 BFADHN COCC1(CNCc2cc(C)oc2C)CCC1 ZINC000800277691 583992161 /nfs/dbraw/zinc/99/21/61/583992161.db2.gz YKCTUIMNGVCDAL-UHFFFAOYSA-N 0 3 237.343 2.803 20 0 BFADHN CCc1cc(CN[C@@H]2CC[C@H]2C2CCC2)[nH]n1 ZINC000800971487 584000240 /nfs/dbraw/zinc/00/02/40/584000240.db2.gz NIQZLYXUABIECZ-UONOGXRCSA-N 0 3 233.359 2.640 20 0 BFADHN Fc1ncccc1CN[C@H]1CC[C@@H]1C1CCC1 ZINC000800984460 584000499 /nfs/dbraw/zinc/00/04/99/584000499.db2.gz VEFDWUJKFNGWEV-OLZOCXBDSA-N 0 3 234.318 2.889 20 0 BFADHN C[C@H]1CCCC[C@@H]1CNc1ccnc(CO)c1 ZINC000858363512 588948675 /nfs/dbraw/zinc/94/86/75/588948675.db2.gz KBPCFUPCUNYQLK-NWDGAFQWSA-N 0 3 234.343 2.812 20 0 BFADHN C[C@@H](Cc1ccsc1)Nc1ccnc(CO)c1 ZINC000858360489 588948696 /nfs/dbraw/zinc/94/86/96/588948696.db2.gz PHDCMWQGTIAKSU-JTQLQIEISA-N 0 3 248.351 2.679 20 0 BFADHN OC1(C2(CNCc3ccccc3F)CC2)CCC1 ZINC000897530623 584007484 /nfs/dbraw/zinc/00/74/84/584007484.db2.gz NDYPDWOZGHJUBX-UHFFFAOYSA-N 0 3 249.329 2.611 20 0 BFADHN C[C@H](O)CN(C/C=C\Cl)C1CCCCC1 ZINC000729545292 584018119 /nfs/dbraw/zinc/01/81/19/584018119.db2.gz SAOLESILVHKBBI-OFSNVTPPSA-N 0 3 231.767 2.754 20 0 BFADHN c1c(CN[C@H]2CCCC3(CC3)C2)nn2c1CCC2 ZINC000897771674 584045522 /nfs/dbraw/zinc/04/55/22/584045522.db2.gz GFQJLOHBNKDQPO-LBPRGKRZSA-N 0 3 245.370 2.642 20 0 BFADHN c1c(CN[C@@H]2CCCC3(CC3)C2)nn2c1CCC2 ZINC000897771673 584045601 /nfs/dbraw/zinc/04/56/01/584045601.db2.gz GFQJLOHBNKDQPO-GFCCVEGCSA-N 0 3 245.370 2.642 20 0 BFADHN CC1(C)C[C@H](NCc2ccccn2)CCS1 ZINC000897799718 584050072 /nfs/dbraw/zinc/05/00/72/584050072.db2.gz KBICOBWDSIPYPI-LLVKDONJSA-N 0 3 236.384 2.845 20 0 BFADHN CCCc1ccc(CN[C@@H]2CC23CC(O)C3)cc1 ZINC000897855215 584050206 /nfs/dbraw/zinc/05/02/06/584050206.db2.gz WRDWNBIHSXESRM-HWOWSKLDSA-N 0 3 245.366 2.642 20 0 BFADHN C[C@@H]1CC[C@@H](Nc2ccnc(CO)c2)[C@@H](C)C1 ZINC000858410716 588952598 /nfs/dbraw/zinc/95/25/98/588952598.db2.gz XPIZZRXLQNZJKA-UHIISALHSA-N 0 3 234.343 2.811 20 0 BFADHN C[C@H]1CC(Nc2ccnc(CO)c2)C[C@H](C)C1 ZINC000858420076 588953056 /nfs/dbraw/zinc/95/30/56/588953056.db2.gz ICYSKAZFLMCWAQ-GHMZBOCLSA-N 0 3 234.343 2.811 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cc2cccnc2)o1 ZINC000897970678 584061641 /nfs/dbraw/zinc/06/16/41/584061641.db2.gz UFJISSJGATZFHS-NSHDSACASA-N 0 3 230.311 2.704 20 0 BFADHN CCCCC1(NCc2cnc(CC)nc2)CC1 ZINC000897936342 584061849 /nfs/dbraw/zinc/06/18/49/584061849.db2.gz UCUXJJGLENOMGW-UHFFFAOYSA-N 0 3 233.359 2.851 20 0 BFADHN CCCCC1(NCc2c(C)cnn2C)CC1 ZINC000897936221 584061939 /nfs/dbraw/zinc/06/19/39/584061939.db2.gz RATDIADFYAEXIK-UHFFFAOYSA-N 0 3 221.348 2.541 20 0 BFADHN CCCCC1(NCc2cnc(Cl)n2C)CC1 ZINC000897936576 584061952 /nfs/dbraw/zinc/06/19/52/584061952.db2.gz ZQTPHNYLRQVUKC-UHFFFAOYSA-N 0 3 241.766 2.886 20 0 BFADHN CCCCC1(NCc2cncn2CC)CC1 ZINC000897935793 584061991 /nfs/dbraw/zinc/06/19/91/584061991.db2.gz HMAKRYSRGHUWJQ-UHFFFAOYSA-N 0 3 221.348 2.715 20 0 BFADHN CCc1nc(CN[C@@H]2C[C@@H]3CCC[C@H]23)cs1 ZINC000897953529 584064283 /nfs/dbraw/zinc/06/42/83/584064283.db2.gz QSGALIQJBHDUHX-ZMLRMANQSA-N 0 3 236.384 2.984 20 0 BFADHN COCc1ccc(CN[C@@H]2C[C@H]3CCC[C@@H]32)o1 ZINC000897954742 584064482 /nfs/dbraw/zinc/06/44/82/584064482.db2.gz KFJAHIGQVHGKMO-DDTOSNHZSA-N 0 3 235.327 2.704 20 0 BFADHN CC(C)n1ccnc1CN[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897960242 584068202 /nfs/dbraw/zinc/06/82/02/584068202.db2.gz ZWPICWUMEOGBLA-RWMBFGLXSA-N 0 3 233.359 2.742 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897959962 584068284 /nfs/dbraw/zinc/06/82/84/584068284.db2.gz MKJORWAPVDTEQC-NTZNESFSSA-N 0 3 234.343 2.824 20 0 BFADHN Cc1cccnc1[C@H](N[C@@H]1CCOC1)C(C)(C)C ZINC000897995271 584069933 /nfs/dbraw/zinc/06/99/33/584069933.db2.gz NGNZFBJXEFZQBI-OCCSQVGLSA-N 0 3 248.370 2.856 20 0 BFADHN Cc1c[nH]nc1CNCC[C@H]1CCC(F)(F)C1 ZINC000898015547 584074553 /nfs/dbraw/zinc/07/45/53/584074553.db2.gz YZMINHBJMSNYEC-SNVBAGLBSA-N 0 3 243.301 2.633 20 0 BFADHN Cc1cc(CNC[C@H]2CCC=CCCC2)nn1C ZINC000898031927 584077140 /nfs/dbraw/zinc/07/71/40/584077140.db2.gz JMIZAASPSCSRMN-AWEZNQCLSA-N 0 3 247.386 2.955 20 0 BFADHN c1cc(CNC[C@@H]2CCC=CCCC2)no1 ZINC000898032865 584077930 /nfs/dbraw/zinc/07/79/30/584077930.db2.gz YRFODTKHMYJAKU-GFCCVEGCSA-N 0 3 220.316 2.901 20 0 BFADHN CCn1ncc(CNC[C@H]2CCC=CCCC2)n1 ZINC000898032841 584078130 /nfs/dbraw/zinc/07/81/30/584078130.db2.gz YATPUQZVPVCMSI-ZDUSSCGKSA-N 0 3 248.374 2.524 20 0 BFADHN c1nc(CNC[C@H]2CCC=CCCC2)co1 ZINC000898032469 584078397 /nfs/dbraw/zinc/07/83/97/584078397.db2.gz RKUXQZFQVXJKQB-LBPRGKRZSA-N 0 3 220.316 2.901 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CNCc2cn(C)cn2)C1 ZINC000898043297 584080411 /nfs/dbraw/zinc/08/04/11/584080411.db2.gz LBORJQXODYKWQL-WCQYABFASA-N 0 3 233.359 2.502 20 0 BFADHN Cn1cnc(CN[C@H]2CCCC23CCCC3)c1 ZINC000898043116 584080557 /nfs/dbraw/zinc/08/05/57/584080557.db2.gz FLMKBYXGCNJZDY-ZDUSSCGKSA-N 0 3 233.359 2.623 20 0 BFADHN O[C@H](CCNCc1ccc(Cl)s1)C1CC1 ZINC000898206757 584091574 /nfs/dbraw/zinc/09/15/74/584091574.db2.gz VFZYWTKMEPNFNC-SNVBAGLBSA-N 0 3 245.775 2.652 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CN1CC[C@H](O)[C@@H](F)C1 ZINC000898187941 584093807 /nfs/dbraw/zinc/09/38/07/584093807.db2.gz JMOVOBAQGCJCCA-MQYQWHSLSA-N 0 3 243.366 2.608 20 0 BFADHN CC1(C)CCC[C@H](CN2CC[C@@H](O)[C@@H](F)C2)C1 ZINC000898188067 584093896 /nfs/dbraw/zinc/09/38/96/584093896.db2.gz OEVFMJZFRVQZFS-RWMBFGLXSA-N 0 3 243.366 2.608 20 0 BFADHN COC1(CNCc2ccccn2)CCCCCC1 ZINC000898264215 584103590 /nfs/dbraw/zinc/10/35/90/584103590.db2.gz VSZALUFWKSVIIG-UHFFFAOYSA-N 0 3 248.370 2.911 20 0 BFADHN CCOC[C@H](C)N[C@H](c1ccncc1)C1CC1 ZINC000898323130 584107358 /nfs/dbraw/zinc/10/73/58/584107358.db2.gz YCONYCQSKVWSLG-FZMZJTMJSA-N 0 3 234.343 2.547 20 0 BFADHN CC1(C)CC[C@H](Nc2ccnc(CO)c2)C1 ZINC000858465422 588957285 /nfs/dbraw/zinc/95/72/85/588957285.db2.gz YCEMXCWJUAMMDH-NSHDSACASA-N 0 3 220.316 2.565 20 0 BFADHN CSCC(C)(C)NCc1cnc(F)c(C)c1 ZINC000898305090 584109117 /nfs/dbraw/zinc/10/91/17/584109117.db2.gz PLEYYWDKZIZYKG-UHFFFAOYSA-N 0 3 242.363 2.760 20 0 BFADHN Cc1cc(CN[C@H]2COC[C@@H]2C2CC2)cs1 ZINC000898380271 584115136 /nfs/dbraw/zinc/11/51/36/584115136.db2.gz MVTONOFFKVAVPH-OLZOCXBDSA-N 0 3 237.368 2.571 20 0 BFADHN COC(=O)C1=CC[C@H](N[C@H](C)c2ccccc2)C1 ZINC000858477087 588958835 /nfs/dbraw/zinc/95/88/35/588958835.db2.gz UMJAFBQJXKYAPI-RISCZKNCSA-N 0 3 245.322 2.599 20 0 BFADHN COC(=O)C1=CC[C@@H](N[C@@H](C)c2ccc(C)o2)C1 ZINC000858476797 588958842 /nfs/dbraw/zinc/95/88/42/588958842.db2.gz RCYJPDZOWUZGJD-CMPLNLGQSA-N 0 3 249.310 2.500 20 0 BFADHN COc1ccc(CNC/C=C/Cl)c(C)c1 ZINC000898424419 584132213 /nfs/dbraw/zinc/13/22/13/584132213.db2.gz DXSWUHBGRBWMJB-ZZXKWVIFSA-N 0 3 225.719 2.846 20 0 BFADHN C[C@H]1Cc2cc(CNC/C=C\Cl)ccc2O1 ZINC000898427701 584132963 /nfs/dbraw/zinc/13/29/63/584132963.db2.gz KUWLMOVGTBTDNE-ODTOEKCJSA-N 0 3 237.730 2.852 20 0 BFADHN C[C@@H]1CC[C@@H](Nc2ccnc(CO)c2)C[C@H]1C ZINC000858481391 588959468 /nfs/dbraw/zinc/95/94/68/588959468.db2.gz KAWWPBCATVYBGA-IJLUTSLNSA-N 0 3 234.343 2.811 20 0 BFADHN Fc1ccc(CCNC/C=C/Cl)cc1F ZINC000898429780 584134445 /nfs/dbraw/zinc/13/44/45/584134445.db2.gz XLDIUJNEFOSWCH-ORCRQEGFSA-N 0 3 231.673 2.849 20 0 BFADHN CC(C)[C@@H]1C[C@H](NC/C=C/Cl)CS1 ZINC000898433973 584134986 /nfs/dbraw/zinc/13/49/86/584134986.db2.gz QKNSMBUARACALD-OHINUGQQSA-N 0 3 219.781 2.859 20 0 BFADHN Cl/C=C\CNC[C@@H]1CCOc2ccccc21 ZINC000898435765 584135963 /nfs/dbraw/zinc/13/59/63/584135963.db2.gz MLIMRNBEUFTPFE-UFVHFEBBSA-N 0 3 237.730 2.895 20 0 BFADHN CC1(C)CN(c2ccnc(CO)c2)CC(C)(C)C1 ZINC000858485104 588959866 /nfs/dbraw/zinc/95/98/66/588959866.db2.gz ARJYGFUSHFJAQW-UHFFFAOYSA-N 0 3 248.370 2.836 20 0 BFADHN C[C@H](Cc1ccncc1)N[C@@H](C)c1ccns1 ZINC000858576161 588968127 /nfs/dbraw/zinc/96/81/27/588968127.db2.gz IKMHTBACJSSYSV-MNOVXSKESA-N 0 3 247.367 2.820 20 0 BFADHN C[C@@H](CSCCF)N[C@@H](C)c1ccns1 ZINC000858577020 588968173 /nfs/dbraw/zinc/96/81/73/588968173.db2.gz PGSYSEYMJVWTNK-IUCAKERBSA-N 0 3 248.392 2.885 20 0 BFADHN C[C@H](CSCCF)N[C@@H](C)c1ccns1 ZINC000858577018 588968176 /nfs/dbraw/zinc/96/81/76/588968176.db2.gz PGSYSEYMJVWTNK-BDAKNGLRSA-N 0 3 248.392 2.885 20 0 BFADHN Cc1ccc(-c2ccc(C3(O)CNC3)cc2)s1 ZINC000899327845 584197973 /nfs/dbraw/zinc/19/79/73/584197973.db2.gz JYUXPJYIYBTYBT-UHFFFAOYSA-N 0 3 245.347 2.514 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNc1ccnc(CO)c1 ZINC000858558672 588965770 /nfs/dbraw/zinc/96/57/70/588965770.db2.gz WWNCHYFZPPTYKI-NWDGAFQWSA-N 0 3 234.343 2.812 20 0 BFADHN CC(C)(CNc1ccnc(CO)c1)C1CCC1 ZINC000858559651 588965808 /nfs/dbraw/zinc/96/58/08/588965808.db2.gz NYUWVMFZBBRCJL-UHFFFAOYSA-N 0 3 234.343 2.812 20 0 BFADHN CC1CC(COc2ccc3c(c2)OCCNC3)C1 ZINC000899588343 584220636 /nfs/dbraw/zinc/22/06/36/584220636.db2.gz QXUQUAAEQQEISP-UHFFFAOYSA-N 0 3 247.338 2.594 20 0 BFADHN OCc1cc(NC[C@@H]2CCC[C@@H]2C2CC2)ccn1 ZINC000858568170 588967553 /nfs/dbraw/zinc/96/75/53/588967553.db2.gz ONUQEFCAIWXBOE-SWLSCSKDSA-N 0 3 246.354 2.812 20 0 BFADHN COC[C@@H](CC(C)C)N[C@@H](C)c1ccns1 ZINC000858576127 588967739 /nfs/dbraw/zinc/96/77/39/588967739.db2.gz HNILCLSDNSTSHL-WDEREUQCSA-N 0 3 242.388 2.855 20 0 BFADHN CC[C@](C)(N)c1cn(CC2CCC=CCC2)nn1 ZINC000899742622 584236300 /nfs/dbraw/zinc/23/63/00/584236300.db2.gz ATRRVVQQYMLINW-AWEZNQCLSA-N 0 3 248.374 2.608 20 0 BFADHN C[C@H](CSCCF)N[C@H](C)c1ccns1 ZINC000858577021 588968094 /nfs/dbraw/zinc/96/80/94/588968094.db2.gz PGSYSEYMJVWTNK-RKDXNWHRSA-N 0 3 248.392 2.885 20 0 BFADHN CCc1cccc(CNc2ccnc(CO)c2)c1 ZINC000858606619 588970661 /nfs/dbraw/zinc/97/06/61/588970661.db2.gz GEYZTHZWFVFBBL-UHFFFAOYSA-N 0 3 242.322 2.748 20 0 BFADHN OCc1cc(NCCCC2CCC2)ccn1 ZINC000858627673 588970952 /nfs/dbraw/zinc/97/09/52/588970952.db2.gz OPWJLAKBJYHRNM-UHFFFAOYSA-N 0 3 220.316 2.566 20 0 BFADHN C/C=C\C[C@H]1CCCN(c2ccnc(CO)c2)C1 ZINC000858864159 588980229 /nfs/dbraw/zinc/98/02/29/588980229.db2.gz UVBKHSGWXBHSMY-ZRMMWKCHSA-N 0 3 246.354 2.757 20 0 BFADHN CC[C@](C)(N)c1cc(-c2ccccc2OC)no1 ZINC000901384893 584436167 /nfs/dbraw/zinc/43/61/67/584436167.db2.gz HZHTXCWRUDUMIN-AWEZNQCLSA-N 0 3 246.310 2.934 20 0 BFADHN CC(C)NCc1cc(-c2cccs2)no1 ZINC000901387988 584436631 /nfs/dbraw/zinc/43/66/31/584436631.db2.gz PILBHVCYFIYDHA-UHFFFAOYSA-N 0 3 222.313 2.901 20 0 BFADHN CC[C@@](C)(N)c1cc(-c2cccs2)no1 ZINC000901388684 584436761 /nfs/dbraw/zinc/43/67/61/584436761.db2.gz XNMWSEUKMCEGMV-LLVKDONJSA-N 0 3 222.313 2.987 20 0 BFADHN Cc1ccccc1-c1cc(CCN(C)C)on1 ZINC000901387867 584436779 /nfs/dbraw/zinc/43/67/79/584436779.db2.gz NHGBVJNFXUACST-UHFFFAOYSA-N 0 3 230.311 2.754 20 0 BFADHN CCCCC[C@H](C)NCc1cn(CC)cn1 ZINC000902027784 584512861 /nfs/dbraw/zinc/51/28/61/584512861.db2.gz ZQJNSZNMLNQLNS-LBPRGKRZSA-N 0 3 223.364 2.961 20 0 BFADHN CCn1cnc(CN[C@@H](C)CCc2ccco2)c1 ZINC000902034842 584514459 /nfs/dbraw/zinc/51/44/59/584514459.db2.gz LPMIMYKFAMWLDC-LBPRGKRZSA-N 0 3 247.342 2.607 20 0 BFADHN CCn1cnc(CN[C@H]2CCC[C@H](C)C2)c1 ZINC000902048406 584516968 /nfs/dbraw/zinc/51/69/68/584516968.db2.gz FEVSPQKBHPHUHF-RYUDHWBXSA-N 0 3 221.348 2.571 20 0 BFADHN CNc1ccc(C)cc1CNC(C)(C)COC ZINC000902171810 584534408 /nfs/dbraw/zinc/53/44/08/584534408.db2.gz CVTKEPFDIYNJEN-UHFFFAOYSA-N 0 3 236.359 2.551 20 0 BFADHN CCC[C@H](CC)NCc1cn(CC)cn1 ZINC000902199008 584537580 /nfs/dbraw/zinc/53/75/80/584537580.db2.gz KQOPUNHTEWVXDY-NSHDSACASA-N 0 3 209.337 2.571 20 0 BFADHN CNc1ccc(C)cc1CNC[C@]1(C)CCCO1 ZINC000902302024 584553966 /nfs/dbraw/zinc/55/39/66/584553966.db2.gz JHFLDGVINJWHGY-HNNXBMFYSA-N 0 3 248.370 2.695 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1ccnc(F)c1 ZINC000859062467 588995694 /nfs/dbraw/zinc/99/56/94/588995694.db2.gz QFDDNMHCAUDLGL-JTQLQIEISA-N 0 3 210.296 2.697 20 0 BFADHN Cc1cc(OC[C@H]2C[C@@]23CCOC3)c(C)c(C)n1 ZINC000902418688 584592062 /nfs/dbraw/zinc/59/20/62/584592062.db2.gz QRHZCBADHBEEQS-UKRRQHHQSA-N 0 3 247.338 2.812 20 0 BFADHN Cc1ccc2cc([C@H](C)NCCCON)oc2c1 ZINC000902421574 584593013 /nfs/dbraw/zinc/59/30/13/584593013.db2.gz BFKQXGJIGMUDRP-NSHDSACASA-N 0 3 248.326 2.672 20 0 BFADHN CCCC(CCC)NCc1csc(N)n1 ZINC000902490493 584617612 /nfs/dbraw/zinc/61/76/12/584617612.db2.gz VONAOYHKKNSCQG-UHFFFAOYSA-N 0 3 227.377 2.784 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@@H](NCc2csc(N)n2)C1 ZINC000902497637 584619365 /nfs/dbraw/zinc/61/93/65/584619365.db2.gz AFPDCBICTMSGML-KKZNHRDASA-N 0 3 239.388 2.640 20 0 BFADHN CCNc1ccccc1CNCCOC(C)C ZINC000902481930 584624252 /nfs/dbraw/zinc/62/42/52/584624252.db2.gz IHZRBJUGIWZZQH-UHFFFAOYSA-N 0 3 236.359 2.633 20 0 BFADHN CCn1cnc(CN[C@H]2CCC[C@@H](C)CC2)c1 ZINC000902520763 584631640 /nfs/dbraw/zinc/63/16/40/584631640.db2.gz JQHLUCWFJSBEIB-OLZOCXBDSA-N 0 3 235.375 2.961 20 0 BFADHN CNc1ccc(C)cc1CN[C@@H](C)[C@H](C)OC ZINC000902521971 584631671 /nfs/dbraw/zinc/63/16/71/584631671.db2.gz VISQWWPNBRUWKR-RYUDHWBXSA-N 0 3 236.359 2.550 20 0 BFADHN CCNc1ccccc1CN[C@@H]1C[C@@H](C)O[C@H]1C ZINC000902631202 584647305 /nfs/dbraw/zinc/64/73/05/584647305.db2.gz VOJMUBRYBYSVSW-TYNCELHUSA-N 0 3 248.370 2.774 20 0 BFADHN C[C@H](NC1CC(CCO)C1)c1ccccc1F ZINC000902695586 584656955 /nfs/dbraw/zinc/65/69/55/584656955.db2.gz WFVKFYXRCAOROF-UNXYVOJBSA-N 0 3 237.318 2.637 20 0 BFADHN CC(C)(O)c1ccc(CNC/C=C/Cl)cc1 ZINC000902722278 584660498 /nfs/dbraw/zinc/66/04/98/584660498.db2.gz UKDBTVZIHKJEPZ-FPYGCLRLSA-N 0 3 239.746 2.756 20 0 BFADHN C[C@H](N[C@@H]1COC[C@H]1C1CC1)c1ccccc1F ZINC000902723154 584660500 /nfs/dbraw/zinc/66/05/00/584660500.db2.gz PUDNHNMQLWLOLA-VZJVUDMVSA-N 0 3 249.329 2.901 20 0 BFADHN Cc1noc(C)c1CNC1C[C@H]2CCC[C@@H]2C1 ZINC000902764495 584666212 /nfs/dbraw/zinc/66/62/12/584666212.db2.gz FYGSAELYIIFGLQ-VXGBXAGGSA-N 0 3 234.343 2.960 20 0 BFADHN C[C@H](NCCCCC(C)(C)C)c1cn(C)nn1 ZINC000902791649 584673332 /nfs/dbraw/zinc/67/33/32/584673332.db2.gz WSNDGXHNBSPMRS-NSHDSACASA-N 0 3 238.379 2.682 20 0 BFADHN OCC[C@H](N[C@@H]1C[C@H]2CCC[C@H]2C1)c1ccco1 ZINC000902956659 584705558 /nfs/dbraw/zinc/70/55/58/584705558.db2.gz LYAYLYNKNFRKEJ-CRWXNKLISA-N 0 3 249.354 2.871 20 0 BFADHN C[C@H](NCCC1=CCCCCC1)c1cn(C)nn1 ZINC000902956478 584705719 /nfs/dbraw/zinc/70/57/19/584705719.db2.gz ITSVSGMTKDAXLV-LBPRGKRZSA-N 0 3 248.374 2.746 20 0 BFADHN F[C@H]1CCCN(C[C@H]2C[C@@H]3[C@H](C2)C3(F)F)C1 ZINC000902991610 584710479 /nfs/dbraw/zinc/71/04/79/584710479.db2.gz XCZNSHZQFUBGFN-MMWGEVLESA-N 0 3 233.277 2.712 20 0 BFADHN C[C@H](NC1C[C@H]2CCC[C@@H]2C1)c1ccn(C)n1 ZINC000903017153 584713405 /nfs/dbraw/zinc/71/34/05/584713405.db2.gz KYMQINBJFOKGDE-QJPTWQEYSA-N 0 3 233.359 2.649 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](c1nncn1C)C(C)C ZINC000903062811 584723038 /nfs/dbraw/zinc/72/30/38/584723038.db2.gz XISYDFDVNJLESW-TUAOUCFPSA-N 0 3 238.379 2.536 20 0 BFADHN CC1(C)C[C@]1(C)CN[C@H]1CCCc2c[nH]nc21 ZINC000903088451 584725443 /nfs/dbraw/zinc/72/54/43/584725443.db2.gz QWTJGLHAMAXISB-SMDDNHRTSA-N 0 3 233.359 2.813 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NCC1(CC2CC2)CC1 ZINC000903087520 584725552 /nfs/dbraw/zinc/72/55/52/584725552.db2.gz MCHHOIDYXUFIFQ-CYBMUJFWSA-N 0 3 245.370 2.957 20 0 BFADHN CO[C@@H](C)CCN[C@@H](c1ccccn1)C1CC1 ZINC000903090307 584725868 /nfs/dbraw/zinc/72/58/68/584725868.db2.gz YKNGHHYWNWVBDP-SMDDNHRTSA-N 0 3 234.343 2.547 20 0 BFADHN CO[C@@H]1CCC[C@@H]1NC1(c2cccc(F)c2)CC1 ZINC000903138571 584730553 /nfs/dbraw/zinc/73/05/53/584730553.db2.gz XBTXCKJPSCOJSK-UONOGXRCSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@@H](CC(C)(C)O)N[C@H](c1ccccn1)C1CC1 ZINC000903178075 584733108 /nfs/dbraw/zinc/73/31/08/584733108.db2.gz DYZKBOFHRSZGGE-FZMZJTMJSA-N 0 3 248.370 2.672 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2ncccn2)[C@@H]1C ZINC000903204975 584734552 /nfs/dbraw/zinc/73/45/52/584734552.db2.gz ZVTCLBJBHFVSSP-GFQSEFKGSA-N 0 3 233.359 2.637 20 0 BFADHN CN(C)c1ccnc(CN[C@@H]2CCCC23CC3)c1 ZINC000903197147 584735094 /nfs/dbraw/zinc/73/50/94/584735094.db2.gz LKDRSGGJWDEJCF-CQSZACIVSA-N 0 3 245.370 2.570 20 0 BFADHN C1=C[C@H](N[C@H](c2ccccn2)C2CC2)CC1 ZINC000903201485 584735291 /nfs/dbraw/zinc/73/52/91/584735291.db2.gz XVNHKRFNVZOKNU-JSGCOSHPSA-N 0 3 214.312 2.841 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1nc2c(s1)CCC2 ZINC000903191364 584735998 /nfs/dbraw/zinc/73/59/98/584735998.db2.gz UZSREBYXWYYAKM-ZJUUUORDSA-N 0 3 236.384 2.910 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](c1ccccn1)C1CC1 ZINC000903205819 584736617 /nfs/dbraw/zinc/73/66/17/584736617.db2.gz FLFCUPQGJVVLKO-KFWWJZLASA-N 0 3 246.354 2.690 20 0 BFADHN CC[C@H](C[C@@H](C)O)N[C@H](c1ccccn1)C1CC1 ZINC000903211783 584736928 /nfs/dbraw/zinc/73/69/28/584736928.db2.gz FGTHKYALRXSXLW-KYOSRNDESA-N 0 3 248.370 2.672 20 0 BFADHN C[C@H](NCC(C)(C)C1CC1)c1cn(C)cn1 ZINC000903239484 584738272 /nfs/dbraw/zinc/73/82/72/584738272.db2.gz FZYFSXHAQQUZRE-JTQLQIEISA-N 0 3 221.348 2.507 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1nccn1C ZINC000903254743 584739511 /nfs/dbraw/zinc/73/95/11/584739511.db2.gz RVPRHJSVZOYRFV-UJPOAAIJSA-N 0 3 233.359 2.505 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1cncs1 ZINC000903253947 584739613 /nfs/dbraw/zinc/73/96/13/584739613.db2.gz MZENZTQCXYWYKB-MVCUBJFGSA-N 0 3 222.357 2.838 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000903254439 584739639 /nfs/dbraw/zinc/73/96/39/584739639.db2.gz QZBJKGNVUZEJFK-NJMOYASZSA-N 0 3 233.359 2.598 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1cncc(F)c1 ZINC000903255392 584739834 /nfs/dbraw/zinc/73/98/34/584739834.db2.gz XAXXAYRZZHRZDT-UYYBAZKBSA-N 0 3 234.318 2.916 20 0 BFADHN Cc1ccc([C@@H](C)NCC2(C3(O)CCC3)CC2)o1 ZINC000903258383 584740090 /nfs/dbraw/zinc/74/00/90/584740090.db2.gz BMIPNISCCIPVKF-GFCCVEGCSA-N 0 3 249.354 2.934 20 0 BFADHN c1cnc([C@@H](N[C@@H]2CCC[C@H]3C[C@H]32)C2CC2)nc1 ZINC000903322312 584746845 /nfs/dbraw/zinc/74/68/45/584746845.db2.gz IADQVXDQJKUOHB-DGAVXFQQSA-N 0 3 243.354 2.706 20 0 BFADHN c1cnc([C@H](N[C@H]2CC[C@@H]2C2CC2)C2CC2)nc1 ZINC000903322011 584747155 /nfs/dbraw/zinc/74/71/55/584747155.db2.gz FVLRNTVZNMYENA-HZSPNIEDSA-N 0 3 243.354 2.706 20 0 BFADHN CCCCC1(N[C@@H](C)c2cn(C)cn2)CC1 ZINC000903312721 584748207 /nfs/dbraw/zinc/74/82/07/584748207.db2.gz AYJCYJBHJWDWAA-NSHDSACASA-N 0 3 221.348 2.794 20 0 BFADHN CCCCC1(N[C@H]2CCn3ccnc32)CC1 ZINC000903313348 584748702 /nfs/dbraw/zinc/74/87/02/584748702.db2.gz NYVHSOUGIAESIX-NSHDSACASA-N 0 3 219.332 2.640 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2CCC[C@@H]21)c1ccns1 ZINC000903315323 584749248 /nfs/dbraw/zinc/74/92/48/584749248.db2.gz DTWDXCYXCKPJFI-ZRUFSTJUSA-N 0 3 222.357 2.982 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000903318236 584750513 /nfs/dbraw/zinc/75/05/13/584750513.db2.gz PHMDANSLFPCODE-ZBAXXZLZSA-N 0 3 231.343 2.565 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000903318234 584750517 /nfs/dbraw/zinc/75/05/17/584750517.db2.gz PHMDANSLFPCODE-LPTSXCQYSA-N 0 3 231.343 2.565 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](c2ccccn2)C2CC2)CO1 ZINC000903334557 584751561 /nfs/dbraw/zinc/75/15/61/584751561.db2.gz BZWQCASOXHUXOX-NFAWXSAZSA-N 0 3 246.354 2.690 20 0 BFADHN CC(C)[C@@H](C)N[C@@H](c1ncccn1)C1CC1 ZINC000903329938 584753972 /nfs/dbraw/zinc/75/39/72/584753972.db2.gz GKHFMEWYDFEGEN-ZYHUDNBSSA-N 0 3 219.332 2.562 20 0 BFADHN COC(C)(C)[C@H](C)N[C@@H](C)c1cnccc1C ZINC000903329315 584754169 /nfs/dbraw/zinc/75/41/69/584754169.db2.gz BNQGVWBLTFTTQE-RYUDHWBXSA-N 0 3 236.359 2.854 20 0 BFADHN C[C@@H]1CCC[C@@H]1N[C@@H](c1ncccn1)C1CC1 ZINC000903332204 584754926 /nfs/dbraw/zinc/75/49/26/584754926.db2.gz LRLDSSWOWKUQLQ-KGYLQXTDSA-N 0 3 231.343 2.706 20 0 BFADHN Cc1cc([C@@H](C)NC2CC(CCO)C2)oc1C ZINC000903332303 584754961 /nfs/dbraw/zinc/75/49/61/584754961.db2.gz HVTRZCZIQUIZRZ-QFWMXSHPSA-N 0 3 237.343 2.708 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2cn(C)cn2)[C@H]1C ZINC000903350988 584755285 /nfs/dbraw/zinc/75/52/85/584755285.db2.gz GTCXHOPIIUUCCU-UHXUPSOCSA-N 0 3 235.375 2.580 20 0 BFADHN C[C@@H]1COC[C@H]1N[C@@H]1CCCc2c(F)cccc21 ZINC000903393459 584756383 /nfs/dbraw/zinc/75/63/83/584756383.db2.gz NNMKVWFVEFEMNH-VCTAVGKDSA-N 0 3 249.329 2.828 20 0 BFADHN C[C@@H]1COC[C@@H]1N[C@H]1CCCc2ccc(F)cc21 ZINC000903395532 584757158 /nfs/dbraw/zinc/75/71/58/584757158.db2.gz LFDSCEJMPTZEPN-ONERCXAPSA-N 0 3 249.329 2.828 20 0 BFADHN CCOc1cccc([C@H](C)N[C@@H]2COC[C@@H]2C)c1 ZINC000903396520 584757280 /nfs/dbraw/zinc/75/72/80/584757280.db2.gz RNMWDSSOXWKETC-SLEUVZQESA-N 0 3 249.354 2.771 20 0 BFADHN Cc1ccc([C@@H](C)NCC[C@H](O)C2CC2)c(C)n1 ZINC000903408289 584759263 /nfs/dbraw/zinc/75/92/63/584759263.db2.gz RUEMYBHLUQTMRZ-ABAIWWIYSA-N 0 3 248.370 2.510 20 0 BFADHN Cc1ncccc1CCN[C@@H](C)c1ccoc1 ZINC000903414783 584759932 /nfs/dbraw/zinc/75/99/32/584759932.db2.gz DQIOCXWFOKFBLE-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2COC[C@H]2C2CC2)oc1C ZINC000903435582 584762008 /nfs/dbraw/zinc/76/20/08/584762008.db2.gz DOBXQHRWYNYLLM-BPNCWPANSA-N 0 3 249.354 2.972 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2ccnc(F)c2)C1 ZINC000859151639 589010460 /nfs/dbraw/zinc/01/04/60/589010460.db2.gz KPIGUMBDFSEWNK-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN CC(C)CC[C@@H](C)NCc1cc(N)ccn1 ZINC000903950319 584830948 /nfs/dbraw/zinc/83/09/48/584830948.db2.gz MAQIDJGTGUXNKO-LLVKDONJSA-N 0 3 221.348 2.578 20 0 BFADHN C[C@@H](CC1CCCC1)NCc1cc(N)ccn1 ZINC000903953688 584831457 /nfs/dbraw/zinc/83/14/57/584831457.db2.gz IYKYUMYOONNXML-NSHDSACASA-N 0 3 233.359 2.722 20 0 BFADHN C[C@H](N(C)Cc1ccnc(F)c1)C1(C)CC1 ZINC000859162056 589011977 /nfs/dbraw/zinc/01/19/77/589011977.db2.gz VUMUIKVHWCHCJZ-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccnc(F)c2)C1 ZINC000859163519 589012329 /nfs/dbraw/zinc/01/23/29/589012329.db2.gz NLLQHYCWAJLWGN-CYBMUJFWSA-N 0 3 222.307 2.843 20 0 BFADHN CC[C@H](C(=O)N1CCCC1(CC)CC)N(C)C ZINC000874628867 584883211 /nfs/dbraw/zinc/88/32/11/584883211.db2.gz MASKPPHEQWRSHT-GFCCVEGCSA-N 0 3 240.391 2.508 20 0 BFADHN CC1(C)C[C@H]1CNCc1nc(Cl)cs1 ZINC000877028271 584888883 /nfs/dbraw/zinc/88/88/83/584888883.db2.gz WXESGKVPHJCNDG-ZETCQYMHSA-N 0 3 230.764 2.932 20 0 BFADHN CCC(=O)CCN1CCC(c2cccnc2)CC1 ZINC000753744351 584905933 /nfs/dbraw/zinc/90/59/33/584905933.db2.gz AJEFYTNAAXIZSF-UHFFFAOYSA-N 0 3 246.354 2.630 20 0 BFADHN Clc1ccc(OCCN2CC[C@H]3C[C@H]32)cc1 ZINC000761086569 584909292 /nfs/dbraw/zinc/90/92/92/584909292.db2.gz OKXNGYWCJQXHKY-GXFFZTMASA-N 0 3 237.730 2.813 20 0 BFADHN CCCN(CC)Cn1nccc1-c1ccncc1 ZINC000731447697 584926105 /nfs/dbraw/zinc/92/61/05/584926105.db2.gz QLDFLEFWNBAQHY-UHFFFAOYSA-N 0 3 244.342 2.635 20 0 BFADHN C[C@H]1CCN(Cn2ccc(-c3ccccc3)n2)C1 ZINC000731660475 584928233 /nfs/dbraw/zinc/92/82/33/584928233.db2.gz YSQTXCTZNRWLPV-ZDUSSCGKSA-N 0 3 241.338 2.849 20 0 BFADHN CN(Cc1ccoc1)C1Cc2ccccc2C1 ZINC000732198517 584934579 /nfs/dbraw/zinc/93/45/79/584934579.db2.gz UTBFOPUCGQRZPH-UHFFFAOYSA-N 0 3 227.307 2.879 20 0 BFADHN CC[C@@]1(NCc2cc(F)cc(F)c2)CCOC1 ZINC000393479891 584938350 /nfs/dbraw/zinc/93/83/50/584938350.db2.gz NQSKIEQSTFIMRG-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN C/C=C/C(=O)Nc1ccc(C)cc1CN(C)C ZINC000733813575 584953493 /nfs/dbraw/zinc/95/34/93/584953493.db2.gz WHAFGLUSFAIJOI-AATRIKPKSA-N 0 3 232.327 2.571 20 0 BFADHN Cc1cc(NCC[C@@H]2CCCC[C@H]2C)nc(N)n1 ZINC000733993163 584956417 /nfs/dbraw/zinc/95/64/17/584956417.db2.gz HEDZEMADYPTMHT-PWSUYJOCSA-N 0 3 248.374 2.996 20 0 BFADHN C[C@@H](NCc1ccoc1)[C@@H](O)c1ccc(F)cc1 ZINC000734846118 584968940 /nfs/dbraw/zinc/96/89/40/584968940.db2.gz BQTLWDXTQYWGMB-QMTHXVAHSA-N 0 3 249.285 2.630 20 0 BFADHN C[C@H]1CN(Cc2ccc3c(c2)COC3)[C@H]1C ZINC000815163859 584973372 /nfs/dbraw/zinc/97/33/72/584973372.db2.gz RPGXAWYXJYPTKH-QWRGUYRKSA-N 0 3 217.312 2.557 20 0 BFADHN CCCCCCN(C)CC(=O)N(C)[C@@H](C)CC ZINC000735653290 584980431 /nfs/dbraw/zinc/98/04/31/584980431.db2.gz KDYIGBWBIUHLQY-ZDUSSCGKSA-N 0 3 242.407 2.755 20 0 BFADHN CC(=O)CCN1CCC(c2ccccc2F)CC1 ZINC000736313472 584996921 /nfs/dbraw/zinc/99/69/21/584996921.db2.gz ZLCCJUPIHBXOFA-UHFFFAOYSA-N 0 3 249.329 2.984 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@H]1C[C@]12CCOC2 ZINC000885966620 585031859 /nfs/dbraw/zinc/03/18/59/585031859.db2.gz ASBVHBSOQDTCPQ-BZPMIXESSA-N 0 3 235.327 2.699 20 0 BFADHN CCCCCCNC(=O)CN(CC)C1CCC1 ZINC000738313711 585042500 /nfs/dbraw/zinc/04/25/00/585042500.db2.gz KMBZKZRGFYUTOR-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN CCCC(=O)N(C)Cc1ccc(CN(C)C)cc1 ZINC000738520721 585047044 /nfs/dbraw/zinc/04/70/44/585047044.db2.gz KVDZKFGJLKCPEQ-UHFFFAOYSA-N 0 3 248.370 2.507 20 0 BFADHN C[C@@H]1c2ccc(F)cc2CCN1C[C@@H](O)C1CC1 ZINC000838854705 585075134 /nfs/dbraw/zinc/07/51/34/585075134.db2.gz QUSNLXGTCOFOLY-MEBBXXQBSA-N 0 3 249.329 2.516 20 0 BFADHN CCCC[C@@H](CC)CNCc1cnn(CC)n1 ZINC000838892169 585078973 /nfs/dbraw/zinc/07/89/73/585078973.db2.gz QNQGQWVBLHGCBW-GFCCVEGCSA-N 0 3 238.379 2.604 20 0 BFADHN C1=CO[C@@H](CN2CC3(C2)CCCC3)CC1 ZINC000839107277 585091450 /nfs/dbraw/zinc/09/14/50/585091450.db2.gz XCEKECWKNZWRGA-GFCCVEGCSA-N 0 3 207.317 2.555 20 0 BFADHN CCn1ncc(CNC2(CC)CCCCC2)n1 ZINC000839129808 585093777 /nfs/dbraw/zinc/09/37/77/585093777.db2.gz PUSJBCLDONGWFT-UHFFFAOYSA-N 0 3 236.363 2.501 20 0 BFADHN c1oc(C2CC2)nc1CN[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000839190593 585097304 /nfs/dbraw/zinc/09/73/04/585097304.db2.gz IIWJFXNCWXZWTL-KGYLQXTDSA-N 0 3 232.327 2.830 20 0 BFADHN CCCN(CC1CCCCC1)[C@H]1CCOC1=O ZINC000839472237 585105170 /nfs/dbraw/zinc/10/51/70/585105170.db2.gz SERXXZUQLPWBNC-ZDUSSCGKSA-N 0 3 239.359 2.594 20 0 BFADHN CCCN(CC1CCCCC1)[C@@H]1CCOC1=O ZINC000839472236 585105175 /nfs/dbraw/zinc/10/51/75/585105175.db2.gz SERXXZUQLPWBNC-CYBMUJFWSA-N 0 3 239.359 2.594 20 0 BFADHN CC[C@@H](CNC/C=C\c1cccc(F)c1)OC ZINC000886120584 585105628 /nfs/dbraw/zinc/10/56/28/585105628.db2.gz IOJWWLNINHNCGS-VSKVDJIOSA-N 0 3 237.318 2.854 20 0 BFADHN CCCOC(=O)[C@H](C)N1CCC[C@H]1CC(C)C ZINC000741777546 585117958 /nfs/dbraw/zinc/11/79/58/585117958.db2.gz JKOQUJKFLQMDOA-STQMWFEESA-N 0 3 241.375 2.839 20 0 BFADHN CCCCOC(=O)[C@@H](C)N1CCC[C@@H]1C(C)C ZINC000741784811 585118454 /nfs/dbraw/zinc/11/84/54/585118454.db2.gz VIZGUAYPOKUOJN-CHWSQXEVSA-N 0 3 241.375 2.839 20 0 BFADHN CCCOC(=O)[C@H](C)N1C[C@@H](C)CCC[C@H]1C ZINC000741795695 585118855 /nfs/dbraw/zinc/11/88/55/585118855.db2.gz SAICGRGSIUVVFJ-XQQFMLRXSA-N 0 3 241.375 2.839 20 0 BFADHN Cc1cc(N[C@@H]2CC[C@H]3CCC[C@@H]3C2)nc(N)n1 ZINC000742418190 585125924 /nfs/dbraw/zinc/12/59/24/585125924.db2.gz MBYZLUSUQXMDJT-IJLUTSLNSA-N 0 3 246.358 2.748 20 0 BFADHN CC[C@@H](O)CN1CC=C(c2ccc(C)cc2)CC1 ZINC000743836295 585140015 /nfs/dbraw/zinc/14/00/15/585140015.db2.gz SLIJSLMGFFMOSE-MRXNPFEDSA-N 0 3 245.366 2.855 20 0 BFADHN Cc1cc([C@H](C)NC2(C3CC3)CCC2)nn1C ZINC000886824923 585180709 /nfs/dbraw/zinc/18/07/09/585180709.db2.gz JFKWUKPYWYIHIS-NSHDSACASA-N 0 3 233.359 2.712 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NCCOC1CCC1)CC2 ZINC000748269442 585190108 /nfs/dbraw/zinc/19/01/08/585190108.db2.gz OOXOEMJQEPKSQS-HNNXBMFYSA-N 0 3 249.329 2.972 20 0 BFADHN CCC[C@@H](C)CC(=O)N[C@@H](CN(C)C)C(C)C ZINC000748848138 585197211 /nfs/dbraw/zinc/19/72/11/585197211.db2.gz UTVIVRRIEOEPPT-OLZOCXBDSA-N 0 3 242.407 2.515 20 0 BFADHN CCC/C(C)=C\C(=O)N(CCN(C)C)C(C)C ZINC000749027185 585198610 /nfs/dbraw/zinc/19/86/10/585198610.db2.gz XDQSTIJYTXZNPH-QBFSEMIESA-N 0 3 240.391 2.531 20 0 BFADHN C[C@@H](NCc1ccoc1)[C@H](O)c1cccc(F)c1 ZINC000749120333 585199711 /nfs/dbraw/zinc/19/97/11/585199711.db2.gz VOBDSOGADOCXCL-YGRLFVJLSA-N 0 3 249.285 2.630 20 0 BFADHN CN(Cc1cccc(O)c1)CC1(O)CCCCC1 ZINC000749116355 585199741 /nfs/dbraw/zinc/19/97/41/585199741.db2.gz NWHZZFPRSMDFCG-UHFFFAOYSA-N 0 3 249.354 2.519 20 0 BFADHN CC(C)[C@@H]1OCCC[C@H]1CNCc1ccoc1 ZINC000749294441 585201004 /nfs/dbraw/zinc/20/10/04/585201004.db2.gz IBSGDAXAKIJGSM-KBPBESRZSA-N 0 3 237.343 2.820 20 0 BFADHN CCOc1ccc(CN(C)CCC(=O)CC)cc1 ZINC000753697255 585266395 /nfs/dbraw/zinc/26/63/95/585266395.db2.gz PCXRJQPZXYZAJE-UHFFFAOYSA-N 0 3 249.354 2.886 20 0 BFADHN CCC(=O)CCN1CCC[C@H]1c1ccc(O)cc1 ZINC000753739747 585267655 /nfs/dbraw/zinc/26/76/55/585267655.db2.gz ZAUOIEBRTCHKJS-HNNXBMFYSA-N 0 3 247.338 2.898 20 0 BFADHN CC1=CCN(CCC(=O)C(C)(C)C)CC1 ZINC000753748582 585268176 /nfs/dbraw/zinc/26/81/76/585268176.db2.gz XPKGHQUJPOYSPH-UHFFFAOYSA-N 0 3 209.333 2.644 20 0 BFADHN Cc1ccc([C@@H](O)CN2CCC2(C)C)cc1 ZINC000754659556 585288872 /nfs/dbraw/zinc/28/88/72/585288872.db2.gz QCRYMMIHGCKNGE-ZDUSSCGKSA-N 0 3 219.328 2.513 20 0 BFADHN CC[C@@H]1CCN(C[C@@H](O)c2cccc(F)c2)C1 ZINC000755995976 585312497 /nfs/dbraw/zinc/31/24/97/585312497.db2.gz OJUCRBQJYHXIEO-BXUZGUMPSA-N 0 3 237.318 2.591 20 0 BFADHN Cc1ccc([C@@H](N[C@H]2CCN(C)C2)C2CCC2)o1 ZINC000756536967 585320611 /nfs/dbraw/zinc/32/06/11/585320611.db2.gz XYMBSKFTCBJGEY-ZFWWWQNUSA-N 0 3 248.370 2.723 20 0 BFADHN CC(C)[C@H](NCCC1(O)CCC1)c1ccccn1 ZINC000839544867 585329943 /nfs/dbraw/zinc/32/99/43/585329943.db2.gz QCRGELUNLCDRFC-AWEZNQCLSA-N 0 3 248.370 2.673 20 0 BFADHN CCN(C/C=C(/C)C(=O)OC)C1CC(C)(C)C1 ZINC000839664959 585334469 /nfs/dbraw/zinc/33/44/69/585334469.db2.gz YAMFFMAFZXYALF-XFFZJAGNSA-N 0 3 239.359 2.616 20 0 BFADHN CO[C@@](C)([C@@H](C)NC/C=C/Cl)C1CC1 ZINC000839638436 585334890 /nfs/dbraw/zinc/33/48/90/585334890.db2.gz IRYNGJDRYAXPKR-QPDFMMSUSA-N 0 3 217.740 2.532 20 0 BFADHN COC1CC(CN2CCc3cc(F)ccc3C2)C1 ZINC000859220388 589021215 /nfs/dbraw/zinc/02/12/15/589021215.db2.gz AZHMQDQSKGMQDY-UHFFFAOYSA-N 0 3 249.329 2.609 20 0 BFADHN Cc1cc(N2CCC[C@H](CC(C)C)C2)nc(N)n1 ZINC000759240641 585366170 /nfs/dbraw/zinc/36/61/70/585366170.db2.gz MTMNKDUKDVZHRU-GFCCVEGCSA-N 0 3 248.374 2.630 20 0 BFADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1CCF ZINC000759346503 585369960 /nfs/dbraw/zinc/36/99/60/585369960.db2.gz YROJTYISEIEAAV-JQWIXIFHSA-N 0 3 225.282 2.973 20 0 BFADHN COCCCCCN1CC[C@@H](C(F)(F)F)C1 ZINC000761042271 585404072 /nfs/dbraw/zinc/40/40/72/585404072.db2.gz PCXYEYAUYCMCFE-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN C[C@H]1CN([C@@H]2CCCc3ccccc32)CCN1C ZINC000761066149 585405104 /nfs/dbraw/zinc/40/51/04/585405104.db2.gz AWJULMDPFTWETN-XJKSGUPXSA-N 0 3 244.382 2.700 20 0 BFADHN CC[C@@H](c1ccccc1)N1CCN(C)[C@H](C)C1 ZINC000761070856 585405427 /nfs/dbraw/zinc/40/54/27/585405427.db2.gz AMKUYFFBZIYGIQ-HIFRSBDPSA-N 0 3 232.371 2.774 20 0 BFADHN Cc1cc(C)cc(OCCN2CC[C@@H]3C[C@@H]32)c1 ZINC000761090301 585408043 /nfs/dbraw/zinc/40/80/43/585408043.db2.gz HZCCNKOSWGDPDA-HIFRSBDPSA-N 0 3 231.339 2.776 20 0 BFADHN Clc1ccc(CN2CC[C@@H]3C[C@@H]32)cc1 ZINC000761101483 585409366 /nfs/dbraw/zinc/40/93/66/585409366.db2.gz YQCWRQGVTKICOQ-PWSUYJOCSA-N 0 3 207.704 2.934 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1ccnc(F)c1 ZINC000859226495 589022426 /nfs/dbraw/zinc/02/24/26/589022426.db2.gz QVDOXQQFJZCTPH-JQWIXIFHSA-N 0 3 222.307 2.984 20 0 BFADHN CC(C)[C@H](NCc1ccn(C)c1)c1ccccn1 ZINC000763480124 585448812 /nfs/dbraw/zinc/44/88/12/585448812.db2.gz WOMMAUOGURWHMY-HNNXBMFYSA-N 0 3 243.354 2.907 20 0 BFADHN C[C@@H](C[C@@H](O)c1ccccc1)NCc1ccoc1 ZINC000763517588 585449278 /nfs/dbraw/zinc/44/92/78/585449278.db2.gz QIANEAABSNAPOD-SWLSCSKDSA-N 0 3 245.322 2.881 20 0 BFADHN Cl/C=C\CN1CC[C@H](Cc2ccncc2)C1 ZINC000765001363 585479017 /nfs/dbraw/zinc/47/90/17/585479017.db2.gz VHWNBQTULYQLDN-RFMMKKFCSA-N 0 3 236.746 2.699 20 0 BFADHN Cc1ccc2c(c1)CC[C@@H]2N[C@@H]1C=C[C@H](CO)C1 ZINC000765365156 585484326 /nfs/dbraw/zinc/48/43/26/585484326.db2.gz XYCLCIRJIAWVJV-BJJXKVORSA-N 0 3 243.350 2.509 20 0 BFADHN CO[C@@H]1CCC[C@@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000765429234 585486226 /nfs/dbraw/zinc/48/62/26/585486226.db2.gz ZCRPETSVGBJSFH-QLFBSQMISA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H](NCCOc1cccnc1)c1ccsc1 ZINC000765998194 585495499 /nfs/dbraw/zinc/49/54/99/585495499.db2.gz KHAZLAFPZMIRFI-LLVKDONJSA-N 0 3 248.351 2.873 20 0 BFADHN CN(CCCF)CCc1ccccc1F ZINC000766069879 585497321 /nfs/dbraw/zinc/49/73/21/585497321.db2.gz NBLMIZNWNFNKND-UHFFFAOYSA-N 0 3 213.271 2.660 20 0 BFADHN COC[C@@H](NCc1c(C)cccc1F)C1CC1 ZINC000766213373 585499104 /nfs/dbraw/zinc/49/91/04/585499104.db2.gz OOROQZVCCNGRPL-CQSZACIVSA-N 0 3 237.318 2.649 20 0 BFADHN CC/C=C\CCN1CCCC[C@H]1C1OCCO1 ZINC000767314771 585516815 /nfs/dbraw/zinc/51/68/15/585516815.db2.gz ZJKRNECUWLVEGH-SCOBNMCVSA-N 0 3 239.359 2.570 20 0 BFADHN C[C@@H]1CN(CCC(=O)C2CC2)Cc2ccccc21 ZINC000767354604 585517467 /nfs/dbraw/zinc/51/74/67/585517467.db2.gz MMDHZJOGZFBMLS-GFCCVEGCSA-N 0 3 243.350 2.975 20 0 BFADHN c1cc(CN2CCN(C3CCCCC3)CC2)co1 ZINC000768240679 585534261 /nfs/dbraw/zinc/53/42/61/585534261.db2.gz CHGLFLOPTMRHET-UHFFFAOYSA-N 0 3 248.370 2.730 20 0 BFADHN CC1=CCN(Cc2ccc3c(c2)COC3)CC1 ZINC000769447722 585556931 /nfs/dbraw/zinc/55/69/31/585556931.db2.gz WLXUAQNQSDZVKD-UHFFFAOYSA-N 0 3 229.323 2.869 20 0 BFADHN O=C(CCN1CC[C@H](CC(F)(F)F)C1)C1CC1 ZINC000769846557 585564983 /nfs/dbraw/zinc/56/49/83/585564983.db2.gz OHSWFBPYRCBJBG-SECBINFHSA-N 0 3 249.276 2.630 20 0 BFADHN CCc1noc(C)c1[C@H](C)NCC(C)(C)OC ZINC000769874925 585565854 /nfs/dbraw/zinc/56/58/54/585565854.db2.gz YUCXJGTTXOSTSB-VIFPVBQESA-N 0 3 240.347 2.621 20 0 BFADHN C[C@@H](NCCNc1ccccc1)c1cccnc1 ZINC000771386388 585593455 /nfs/dbraw/zinc/59/34/55/585593455.db2.gz FVKUXFYEWIKMHW-CYBMUJFWSA-N 0 3 241.338 2.844 20 0 BFADHN CCN(CC)CCOC(=O)C1CCCCCC1 ZINC000772071656 585608027 /nfs/dbraw/zinc/60/80/27/585608027.db2.gz ZXZKCSIGAPSGNT-UHFFFAOYSA-N 0 3 241.375 2.842 20 0 BFADHN CC1(C)CCN1C[C@H](O)c1ccc(Cl)cc1 ZINC000772207775 585610121 /nfs/dbraw/zinc/61/01/21/585610121.db2.gz AFPFPPLZCQCUEI-LBPRGKRZSA-N 0 3 239.746 2.858 20 0 BFADHN CCC[C@H](C(=O)OCCN(CC)CC)C(C)C ZINC000772232578 585610499 /nfs/dbraw/zinc/61/04/99/585610499.db2.gz TYDYHQVDTQTDMG-ZDUSSCGKSA-N 0 3 243.391 2.944 20 0 BFADHN CCN(CC)CCOC(=O)[C@@H](C)c1ccccc1 ZINC000772294099 585612106 /nfs/dbraw/zinc/61/21/06/585612106.db2.gz CHVHYQNSESJKJK-ZDUSSCGKSA-N 0 3 249.354 2.675 20 0 BFADHN CCCCOC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1CC ZINC000772346475 585613031 /nfs/dbraw/zinc/61/30/31/585613031.db2.gz NMMPJCTWKSOZSG-AVGNSLFASA-N 0 3 241.375 2.981 20 0 BFADHN CCN(CC)CCOC(=O)[C@H](C)CC(C)(C)C ZINC000772613540 585619234 /nfs/dbraw/zinc/61/92/34/585619234.db2.gz COOISRSDGCBMJS-GFCCVEGCSA-N 0 3 243.391 2.944 20 0 BFADHN CC(C)[C@H](C)NCc1nc2cc(F)ccc2n1C ZINC000773003016 585629321 /nfs/dbraw/zinc/62/93/21/585629321.db2.gz VMXJMYLQDKAWAJ-JTQLQIEISA-N 0 3 249.333 2.847 20 0 BFADHN CC(C)C1(O)CN([C@H]2CCCc3ccccc32)C1 ZINC000773895168 585646215 /nfs/dbraw/zinc/64/62/15/585646215.db2.gz GPUSCMICFRPDLJ-HNNXBMFYSA-N 0 3 245.366 2.767 20 0 BFADHN Cc1cccc(C)c1CN[C@@H](C)c1ccnn1C ZINC000774257956 585654904 /nfs/dbraw/zinc/65/49/04/585654904.db2.gz QCWNRFUXQXANTR-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN c1ccc([C@@H]2CCCN2C[C@H]2CCC=CO2)nc1 ZINC000774832760 585666739 /nfs/dbraw/zinc/66/67/39/585666739.db2.gz KZSUUTZFNLVXMB-HIFRSBDPSA-N 0 3 244.338 2.911 20 0 BFADHN CN(CCc1ccccc1F)C[C@H]1CCC=CO1 ZINC000774852400 585667509 /nfs/dbraw/zinc/66/75/09/585667509.db2.gz JIVSCLQMTHCZLV-CQSZACIVSA-N 0 3 249.329 2.993 20 0 BFADHN FCCN[C@@H](c1ccccc1)C1CCOCC1 ZINC000775440328 585677842 /nfs/dbraw/zinc/67/78/42/585677842.db2.gz ZROVCQGQGZWEPG-AWEZNQCLSA-N 0 3 237.318 2.713 20 0 BFADHN FCCN[C@H](c1ccccc1)C1CCOCC1 ZINC000775440329 585677869 /nfs/dbraw/zinc/67/78/69/585677869.db2.gz ZROVCQGQGZWEPG-CQSZACIVSA-N 0 3 237.318 2.713 20 0 BFADHN CCCCOC(=O)[C@H](C)N1CC[C@H](C)[C@H]1CC ZINC000775784801 585685005 /nfs/dbraw/zinc/68/50/05/585685005.db2.gz HABPYRYGIGRACG-RWMBFGLXSA-N 0 3 241.375 2.839 20 0 BFADHN COc1ccc(CN(CC(C)C)C2CC2)nc1 ZINC000775938497 585687838 /nfs/dbraw/zinc/68/78/38/585687838.db2.gz DEKGQXXLWPICMB-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN CCOC1CC(NCc2c(C)cccc2F)C1 ZINC000776163369 585691304 /nfs/dbraw/zinc/69/13/04/585691304.db2.gz QQFGDYBTVVSZKS-UHFFFAOYSA-N 0 3 237.318 2.791 20 0 BFADHN CCN(CCC1CC1)Cc1ccnc(F)c1 ZINC000859252996 589024575 /nfs/dbraw/zinc/02/45/75/589024575.db2.gz VJBGWJFGCROGSS-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccc(CO)c1)c1ccc[nH]1 ZINC000776309514 585695641 /nfs/dbraw/zinc/69/56/41/585695641.db2.gz KQVIRKCSPKJLKO-NWDGAFQWSA-N 0 3 244.338 2.919 20 0 BFADHN c1nncn1CN1CCC[C@H]1CCC1CCCC1 ZINC000776762519 585704558 /nfs/dbraw/zinc/70/45/58/585704558.db2.gz MOGXREKDUNJVFT-AWEZNQCLSA-N 0 3 248.374 2.670 20 0 BFADHN COCC1(N[C@@H]2CCc3cc(C)ccc32)CC1 ZINC000777238204 585709652 /nfs/dbraw/zinc/70/96/52/585709652.db2.gz QGJQQFAGFUSRGQ-CQSZACIVSA-N 0 3 231.339 2.751 20 0 BFADHN COC1CCN(Cc2ccc(CF)cc2)CC1 ZINC000777532519 585714342 /nfs/dbraw/zinc/71/43/42/585714342.db2.gz UVWYRVNDEHLBAK-UHFFFAOYSA-N 0 3 237.318 2.767 20 0 BFADHN CCN(CCOC)Cc1ccc(CF)cc1 ZINC000777559542 585715312 /nfs/dbraw/zinc/71/53/12/585715312.db2.gz GKLWFFMJFJPDLI-UHFFFAOYSA-N 0 3 225.307 2.624 20 0 BFADHN CC(C)CN(Cc1ccccc1)CC1OCCO1 ZINC000777565828 585715372 /nfs/dbraw/zinc/71/53/72/585715372.db2.gz LHDDLTNLFDIOAD-UHFFFAOYSA-N 0 3 249.354 2.518 20 0 BFADHN C[C@@H]1CN(Cc2ccc(CF)cc2)CCCO1 ZINC000777573734 585715700 /nfs/dbraw/zinc/71/57/00/585715700.db2.gz WLDWIPQOKLFJFB-GFCCVEGCSA-N 0 3 237.318 2.767 20 0 BFADHN OCCCN(Cc1ccc(CF)cc1)C1CC1 ZINC000777588258 585715895 /nfs/dbraw/zinc/71/58/95/585715895.db2.gz LBISILZZTJKWLO-UHFFFAOYSA-N 0 3 237.318 2.503 20 0 BFADHN CC1(O)CCN(Cc2ccc(CF)cc2)CC1 ZINC000777600249 585716680 /nfs/dbraw/zinc/71/66/80/585716680.db2.gz OJFSMBPKJFKSIA-UHFFFAOYSA-N 0 3 237.318 2.503 20 0 BFADHN C[C@@H]1CCOCCN1Cc1ccc(CF)cc1 ZINC000777726151 585719301 /nfs/dbraw/zinc/71/93/01/585719301.db2.gz LQDRVHDKEPFGRA-GFCCVEGCSA-N 0 3 237.318 2.767 20 0 BFADHN C[C@H](C1CC1)N1CCc2ccc(O)cc2C1 ZINC000777826550 585720808 /nfs/dbraw/zinc/72/08/08/585720808.db2.gz VVNFXKBJOBNNOA-SNVBAGLBSA-N 0 3 217.312 2.549 20 0 BFADHN C[C@@H](C1CC1)N1CCc2ccc(O)cc2C1 ZINC000777826549 585720842 /nfs/dbraw/zinc/72/08/42/585720842.db2.gz VVNFXKBJOBNNOA-JTQLQIEISA-N 0 3 217.312 2.549 20 0 BFADHN C[C@@H]1CCN(CCCCCCCO)C[C@@H]1F ZINC000778235766 585728856 /nfs/dbraw/zinc/72/88/56/585728856.db2.gz IVPIVXIWMSVQDT-OLZOCXBDSA-N 0 3 231.355 2.609 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@H](C)Cc1ccco1 ZINC000779006294 585743733 /nfs/dbraw/zinc/74/37/33/585743733.db2.gz LNZVRKQOZRECIK-NXEZZACHSA-N 0 3 233.315 2.593 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCc3ccccc32)[C@@H](C)CO1 ZINC000780285525 585776855 /nfs/dbraw/zinc/77/68/55/585776855.db2.gz DUXYWRXYBGHMHV-GUTXKFCHSA-N 0 3 245.366 2.826 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cn3cccc(F)c3n2)C1 ZINC000780575933 585781669 /nfs/dbraw/zinc/78/16/69/585781669.db2.gz HDLRWLSTYGLUSK-MNOVXSKESA-N 0 3 247.317 2.752 20 0 BFADHN CC[C@@H]1C[C@H](CN2CC[C@H](C)[C@H](F)C2)CCO1 ZINC000780766577 585784576 /nfs/dbraw/zinc/78/45/76/585784576.db2.gz HKMAKYJMSUUWHL-REWJHTLYSA-N 0 3 243.366 2.872 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](c1ccccn1)C(C)C ZINC000781903484 585804619 /nfs/dbraw/zinc/80/46/19/585804619.db2.gz UGZOJZAVFKASDY-ZIAGYGMSSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@](C)(O)CN[C@@H](c1ccccn1)C(C)C ZINC000781903479 585804663 /nfs/dbraw/zinc/80/46/63/585804663.db2.gz UGZOJZAVFKASDY-KGLIPLIRSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@](C)(O)CN[C@H](c1ccccn1)C(C)C ZINC000781903477 585804759 /nfs/dbraw/zinc/80/47/59/585804759.db2.gz UGZOJZAVFKASDY-KBPBESRZSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H]1CCCCN1Cc1ccnc(N(C)C)c1 ZINC000782173949 585809586 /nfs/dbraw/zinc/80/95/86/585809586.db2.gz HISCPCBUDKEEPE-GFCCVEGCSA-N 0 3 233.359 2.522 20 0 BFADHN CCCOc1ncccc1CN[C@@H]1CC[C@@H]1C ZINC000783227078 585831505 /nfs/dbraw/zinc/83/15/05/585831505.db2.gz SPRKJUZHEVNBAR-WCQYABFASA-N 0 3 234.343 2.759 20 0 BFADHN Cc1ccn2cc(CN[C@@H]3CC[C@H]3C)nc2c1 ZINC000783237105 585831767 /nfs/dbraw/zinc/83/17/67/585831767.db2.gz QBCXTYFKCQZWHD-DGCLKSJQSA-N 0 3 229.327 2.531 20 0 BFADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1CC[C@@H]1C ZINC000783249280 585832025 /nfs/dbraw/zinc/83/20/25/585832025.db2.gz BPFHVHPOURVTQO-UHTWSYAYSA-N 0 3 247.338 2.907 20 0 BFADHN C[C@H]1CC[C@H]1NCc1nc2cc(F)ccc2n1C ZINC000783252563 585832486 /nfs/dbraw/zinc/83/24/86/585832486.db2.gz SOILUOYRCQXQBR-GXSJLCMTSA-N 0 3 247.317 2.601 20 0 BFADHN CC(C)n1cc([C@H](C)NC[C@H]2CCC=CO2)cn1 ZINC000783305629 585833543 /nfs/dbraw/zinc/83/35/43/585833543.db2.gz SYRYPBBZQOHEPO-GXTWGEPZSA-N 0 3 249.358 2.807 20 0 BFADHN Cc1ccncc1[C@@H](C)NC[C@H]1CCC=CO1 ZINC000783307594 585833663 /nfs/dbraw/zinc/83/36/63/585833663.db2.gz ORPWORBALMUETG-CHWSQXEVSA-N 0 3 232.327 2.733 20 0 BFADHN C[C@@H](Cc1ccsc1)N1CCOC[C@H](C)C1 ZINC000783474027 585835633 /nfs/dbraw/zinc/83/56/33/585835633.db2.gz PVMIEWBMAMXEBT-NEPJUHHUSA-N 0 3 239.384 2.647 20 0 BFADHN CC(C)C(C)(C)C(=O)OCCN1CCCCC1 ZINC000784330281 585855952 /nfs/dbraw/zinc/85/59/52/585855952.db2.gz GJCYFDSUVNDTJP-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN CCCCOC(=O)[C@H](C)N(C)C1(C)CCCC1 ZINC000786216844 585888761 /nfs/dbraw/zinc/88/87/61/585888761.db2.gz DUBVUIHZSWRUKD-LBPRGKRZSA-N 0 3 241.375 2.983 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@@H](C)C[C@H]1C ZINC000787184716 585902273 /nfs/dbraw/zinc/90/22/73/585902273.db2.gz ZATLLOOOOXIGDS-VHSXEESVSA-N 0 3 222.307 2.759 20 0 BFADHN CC(C)CCN(C)Cc1ccc(F)cn1 ZINC000787184498 585902431 /nfs/dbraw/zinc/90/24/31/585902431.db2.gz QFOXFSCWBSUTMO-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN Cc1cc(C)cc([C@@H](O)CN2CC(C)(C)C2)c1 ZINC000788435349 585923052 /nfs/dbraw/zinc/92/30/52/585923052.db2.gz PZWIFZMXRNZWPA-AWEZNQCLSA-N 0 3 233.355 2.679 20 0 BFADHN O[C@H](CN[C@@H]1CCCc2occc21)c1ccco1 ZINC000788431307 585923174 /nfs/dbraw/zinc/92/31/74/585923174.db2.gz SLZKQRKLAIAHBX-VXGBXAGGSA-N 0 3 247.294 2.573 20 0 BFADHN CCCCCC[C@@H](C)N[C@@H](C)c1ncnn1C ZINC000788986078 585932740 /nfs/dbraw/zinc/93/27/40/585932740.db2.gz ZFLCQVQIXUBDRK-NEPJUHHUSA-N 0 3 238.379 2.825 20 0 BFADHN Fc1cc(CN2CCCC23CCC3)ccn1 ZINC000859281136 589026937 /nfs/dbraw/zinc/02/69/37/589026937.db2.gz OKJCYLGRSDNXCD-UHFFFAOYSA-N 0 3 220.291 2.739 20 0 BFADHN Cc1ccccc1OCCN1[C@H](C)C[C@@H]1C ZINC000791570161 585984342 /nfs/dbraw/zinc/98/43/42/585984342.db2.gz ZROKXVSGCKXCPX-BETUJISGSA-N 0 3 219.328 2.857 20 0 BFADHN Cc1ccc(OCCN2[C@H](C)C[C@@H]2C)cc1 ZINC000791568963 585984572 /nfs/dbraw/zinc/98/45/72/585984572.db2.gz ZOSQCFWWISALBV-BETUJISGSA-N 0 3 219.328 2.857 20 0 BFADHN CCC(=O)CCN1CC[C@H](C(F)(F)F)[C@@H]1C ZINC000791583239 585984619 /nfs/dbraw/zinc/98/46/19/585984619.db2.gz HJVZWMKNRYCGCC-WPRPVWTQSA-N 0 3 237.265 2.628 20 0 BFADHN C[C@@H]1C[C@@H](C)N1C[C@@H](O)c1ccc(Cl)cc1 ZINC000791578356 585984997 /nfs/dbraw/zinc/98/49/97/585984997.db2.gz TUCBFPIDMIWLGX-GIPNMCIBSA-N 0 3 239.746 2.856 20 0 BFADHN COCCN(Cc1ccoc1)[C@@H]1CC[C@H](C)C1 ZINC000792192355 585997393 /nfs/dbraw/zinc/99/73/93/585997393.db2.gz IREHWSJAKULLHV-GXTWGEPZSA-N 0 3 237.343 2.917 20 0 BFADHN O[C@H](c1ccccc1)C1(CNCC2(F)CC2)CC1 ZINC000793020739 586008502 /nfs/dbraw/zinc/00/85/02/586008502.db2.gz HMESZGCLRCJCLJ-CYBMUJFWSA-N 0 3 249.329 2.592 20 0 BFADHN CO[C@]1(C)CCCN(Cc2cc(C)oc2C)C1 ZINC000793217082 586014297 /nfs/dbraw/zinc/01/42/97/586014297.db2.gz LVNVVKBUXJDKPD-CQSZACIVSA-N 0 3 237.343 2.897 20 0 BFADHN CCOC1(C)CCN(C/C=C\Cl)CC1 ZINC000794624261 586046007 /nfs/dbraw/zinc/04/60/07/586046007.db2.gz LKLWFWSKBGBGEF-DAXSKMNVSA-N 0 3 217.740 2.630 20 0 BFADHN Fc1cc(CN2CCC[C@@H](C3CC3)C2)ccn1 ZINC000859304857 589029336 /nfs/dbraw/zinc/02/93/36/589029336.db2.gz VXMJZFNZKBLKMX-CYBMUJFWSA-N 0 3 234.318 2.843 20 0 BFADHN CCCCCCN1CCN(CC)C[C@@H]1C ZINC000796316726 586100425 /nfs/dbraw/zinc/10/04/25/586100425.db2.gz OARRQQULNSDHKN-ZDUSSCGKSA-N 0 3 212.381 2.593 20 0 BFADHN CC[C@@](C)(NCc1c[nH]cn1)c1ccccc1 ZINC000796448861 586103280 /nfs/dbraw/zinc/10/32/80/586103280.db2.gz IUTGPIQQYVCPOY-CQSZACIVSA-N 0 3 229.327 2.825 20 0 BFADHN CC[C@@](C)(NCc1cnc[nH]1)c1ccccc1 ZINC000796448861 586103281 /nfs/dbraw/zinc/10/32/81/586103281.db2.gz IUTGPIQQYVCPOY-CQSZACIVSA-N 0 3 229.327 2.825 20 0 BFADHN C[C@@H]1CN(Cc2cnc[nH]2)Cc2ccccc21 ZINC000796438873 586103324 /nfs/dbraw/zinc/10/33/24/586103324.db2.gz FNEZYQJOVIUILP-LLVKDONJSA-N 0 3 227.311 2.529 20 0 BFADHN CC1=C(C)CN(C[C@@H](O)c2cccc(F)c2)CC1 ZINC000796589489 586108043 /nfs/dbraw/zinc/10/80/43/586108043.db2.gz IDIKTFHUACVDEZ-OAHLLOKOSA-N 0 3 249.329 2.901 20 0 BFADHN CO[C@H](C)CN(C)Cc1cncc2ccccc21 ZINC000796639808 586108990 /nfs/dbraw/zinc/10/89/90/586108990.db2.gz GBUNVOZEBRIOBM-GFCCVEGCSA-N 0 3 244.338 2.702 20 0 BFADHN CC(C)=CCN1CC[C@H](c2ccccn2)C1 ZINC000798963374 586154458 /nfs/dbraw/zinc/15/44/58/586154458.db2.gz BCIHZVUWKMOGJD-ZDUSSCGKSA-N 0 3 216.328 2.837 20 0 BFADHN c1ccc([C@@H]2CCN(C[C@@H]3CCC=CO3)C2)nc1 ZINC000798963407 586154667 /nfs/dbraw/zinc/15/46/67/586154667.db2.gz BSFMMMUDQQPMMN-KGLIPLIRSA-N 0 3 244.338 2.564 20 0 BFADHN c1ccc2ncc(CN3CC[C@@H]4C[C@@H]43)cc2c1 ZINC000799147746 586161633 /nfs/dbraw/zinc/16/16/33/586161633.db2.gz OGMYSMYYAXDOFJ-HIFRSBDPSA-N 0 3 224.307 2.829 20 0 BFADHN CCCN(Cc1ccnc(F)c1)C(C)C ZINC000859336060 589032832 /nfs/dbraw/zinc/03/28/32/589032832.db2.gz JZILVJVCKJMETP-UHFFFAOYSA-N 0 3 210.296 2.841 20 0 BFADHN C[C@@H]1CN(CCC(=O)C2CC2)C[C@H](C)C1(F)F ZINC000859413558 589035631 /nfs/dbraw/zinc/03/56/31/589035631.db2.gz MXENVFCIYWCIBO-AOOOYVTPSA-N 0 3 245.313 2.579 20 0 BFADHN C[C@]12C[C@H]1CCC[C@@H]2NCc1cccc(F)n1 ZINC000800482525 586222052 /nfs/dbraw/zinc/22/20/52/586222052.db2.gz UOXQNTWHXCAVPB-OSMZGAPFSA-N 0 3 234.318 2.889 20 0 BFADHN Cc1cc(CN2CCC3(CCO3)CC2)c(C)o1 ZINC000800537758 586224889 /nfs/dbraw/zinc/22/48/89/586224889.db2.gz IUXIJWJROAOIPJ-UHFFFAOYSA-N 0 3 235.327 2.651 20 0 BFADHN C[C@H]1[C@H](C)N(CCSC(C)(C)C)CCN1C ZINC000801939209 586280566 /nfs/dbraw/zinc/28/05/66/586280566.db2.gz BVXZWRGFTZESTA-RYUDHWBXSA-N 0 3 244.448 2.543 20 0 BFADHN COCC1=CCN(CCSC(C)(C)C)CC1 ZINC000801942736 586281519 /nfs/dbraw/zinc/28/15/19/586281519.db2.gz GQTFRTUULIAOJU-UHFFFAOYSA-N 0 3 243.416 2.797 20 0 BFADHN CC(=O)OCc1cccc(CN2CCCCC2)c1 ZINC000840007373 586366095 /nfs/dbraw/zinc/36/60/95/586366095.db2.gz ZKKKQHXNRUQTRO-UHFFFAOYSA-N 0 3 247.338 2.736 20 0 BFADHN CN1CCCC[C@H]1CCC(=O)OCCCCF ZINC000803856842 586377473 /nfs/dbraw/zinc/37/74/73/586377473.db2.gz AVAUJMKBDQJXDJ-LBPRGKRZSA-N 0 3 245.338 2.544 20 0 BFADHN CSCCN1Cc2ccccc2[C@@H](C)C1 ZINC000807263144 586431192 /nfs/dbraw/zinc/43/11/92/586431192.db2.gz IRNCAONCSUYSAW-NSHDSACASA-N 0 3 221.369 2.969 20 0 BFADHN CC[C@@H](C)CN(CC)CN1CC(C)(C)CC1=O ZINC000808167034 586466210 /nfs/dbraw/zinc/46/62/10/586466210.db2.gz UFYDDPQOZIBMPI-GFCCVEGCSA-N 0 3 240.391 2.570 20 0 BFADHN CCC1(C)CCC(NCc2cn(C)cn2)CC1 ZINC000808392926 586470748 /nfs/dbraw/zinc/47/07/48/586470748.db2.gz MEJKPNJDUIYCRQ-UHFFFAOYSA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)=CCOC(=O)CC[C@H]1CCCCN1C ZINC000808550164 586479515 /nfs/dbraw/zinc/47/95/15/586479515.db2.gz GMSXNUFGSBTVMP-CYBMUJFWSA-N 0 3 239.359 2.760 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2cc(C)oc2C)C1 ZINC000809692833 586513419 /nfs/dbraw/zinc/51/34/19/586513419.db2.gz HXJQBHIXSIDOGM-BETUJISGSA-N 0 3 223.316 2.506 20 0 BFADHN CCCCN(CCCO)Cc1cc(C)oc1C ZINC000809751428 586517017 /nfs/dbraw/zinc/51/70/17/586517017.db2.gz FSDFRPSCOXXYTC-UHFFFAOYSA-N 0 3 239.359 2.881 20 0 BFADHN c1cc(CN2CCC[C@@H](C[C@@H]3CCOC3)C2)co1 ZINC000809758286 586517899 /nfs/dbraw/zinc/51/78/99/586517899.db2.gz IPYIBHKFZIPYKY-KBPBESRZSA-N 0 3 249.354 2.918 20 0 BFADHN Cc1cc(CN(C)[C@H](CO)CC(C)C)c(C)o1 ZINC000809766998 586518763 /nfs/dbraw/zinc/51/87/63/586518763.db2.gz DHTAHYNJVMNIPI-AWEZNQCLSA-N 0 3 239.359 2.735 20 0 BFADHN Cc1cn[nH]c1CN1Cc2ccccc2[C@H](C)C1 ZINC000811454809 586559384 /nfs/dbraw/zinc/55/93/84/586559384.db2.gz SNPFVJFBQROHPB-GFCCVEGCSA-N 0 3 241.338 2.837 20 0 BFADHN Cc1cn[nH]c1CN1CCC(CC(C)C)CC1 ZINC000811467192 586559618 /nfs/dbraw/zinc/55/96/18/586559618.db2.gz HJDBWPUKPKDTHY-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN Cn1cc(CNCCC2CCC2)c(C(F)F)n1 ZINC000812757811 586583750 /nfs/dbraw/zinc/58/37/50/586583750.db2.gz VNQFBMKZMYOAIC-UHFFFAOYSA-N 0 3 243.301 2.638 20 0 BFADHN CC1(C)[C@@H](O)CCN1Cc1cc2ccccc2[nH]1 ZINC000812779015 586583974 /nfs/dbraw/zinc/58/39/74/586583974.db2.gz SNVPMYJVNIQOMZ-AWEZNQCLSA-N 0 3 244.338 2.513 20 0 BFADHN CCc1nc(C)c(CNC2CC(C(F)F)C2)o1 ZINC000814178785 586616132 /nfs/dbraw/zinc/61/61/32/586616132.db2.gz CYZMJGMRMIGXIX-UHFFFAOYSA-N 0 3 244.285 2.679 20 0 BFADHN FCCN1CCC[C@@H](c2ccccc2)C1 ZINC000814641182 586626836 /nfs/dbraw/zinc/62/68/36/586626836.db2.gz CXLCAFMSBQHJKI-CYBMUJFWSA-N 0 3 207.292 2.836 20 0 BFADHN CCCC[C@H](CCC)CNCc1cnn(C)n1 ZINC000815128562 586668650 /nfs/dbraw/zinc/66/86/50/586668650.db2.gz GBBSCBRSIQQQTG-LBPRGKRZSA-N 0 3 238.379 2.511 20 0 BFADHN CCOCC(C)(C)NCc1ccc2c(c1)COC2 ZINC000815136960 586669514 /nfs/dbraw/zinc/66/95/14/586669514.db2.gz QNVXENVDRVILEM-UHFFFAOYSA-N 0 3 249.354 2.622 20 0 BFADHN OC[C@@H](CNCc1ccoc1)C1CCCCC1 ZINC000815150795 586670281 /nfs/dbraw/zinc/67/02/81/586670281.db2.gz OQKHDJIADYLDIU-CQSZACIVSA-N 0 3 237.343 2.558 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)NCCn1cccn1 ZINC000815591151 586688363 /nfs/dbraw/zinc/68/83/63/586688363.db2.gz YQGXRYWMYCZYBE-LBPRGKRZSA-N 0 3 247.317 2.681 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@@H]1CCO[C@@H]1C ZINC000815599329 586688739 /nfs/dbraw/zinc/68/87/39/586688739.db2.gz QNRPRUPCVTXUPH-MISXGVKJSA-N 0 3 237.318 2.962 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cnn(C)c1Cl ZINC000816573318 586741754 /nfs/dbraw/zinc/74/17/54/586741754.db2.gz VMHAXVHBRVILAY-GXSJLCMTSA-N 0 3 241.766 2.694 20 0 BFADHN Cc1cc(CN2C[C@@H](C)[C@H]2C)cc(C)c1O ZINC000816647425 586747018 /nfs/dbraw/zinc/74/70/18/586747018.db2.gz RXMLMYFCJHLUBC-VXGBXAGGSA-N 0 3 219.328 2.849 20 0 BFADHN C[C@H]1CN(Cc2ccc(-c3cc[nH]n3)o2)[C@H]1C ZINC000816646883 586748597 /nfs/dbraw/zinc/74/85/97/586748597.db2.gz KDZRXLYHXWQPNU-UWVGGRQHSA-N 0 3 231.299 2.510 20 0 BFADHN COc1cc(C)c(CN2C[C@H](C)[C@H]2C)cc1OC ZINC000816647466 586748766 /nfs/dbraw/zinc/74/87/66/586748766.db2.gz SOROPPLHJIKGMM-NWDGAFQWSA-N 0 3 249.354 2.852 20 0 BFADHN Cc1cc(CN2C[C@@H](C)[C@H]2C)ccc1F ZINC000816647007 586749022 /nfs/dbraw/zinc/74/90/22/586749022.db2.gz MGRMKBWDULPVHU-GHMZBOCLSA-N 0 3 207.292 2.974 20 0 BFADHN C[C@@H]1CN(Cc2c[nH]nc2-c2cccs2)[C@H]1C ZINC000816646414 586749089 /nfs/dbraw/zinc/74/90/89/586749089.db2.gz CZWXWIQXVDXWEJ-ZJUUUORDSA-N 0 3 247.367 2.978 20 0 BFADHN COCCOc1cccc(CN2C[C@H](C)[C@@H]2C)c1 ZINC000816648076 586750100 /nfs/dbraw/zinc/75/01/00/586750100.db2.gz DHAPBINDNNOIJK-STQMWFEESA-N 0 3 249.354 2.552 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1ccc(N2CCCC2)nc1 ZINC000816648706 586751742 /nfs/dbraw/zinc/75/17/42/586751742.db2.gz NVWJWLBTHRFDHV-QWHCGFSZSA-N 0 3 245.370 2.522 20 0 BFADHN COCCOc1ccccc1CN1C[C@H](C)[C@@H]1C ZINC000816648832 586751799 /nfs/dbraw/zinc/75/17/99/586751799.db2.gz PSJNFWSDGASJDH-STQMWFEESA-N 0 3 249.354 2.552 20 0 BFADHN COc1ccccc1CN1C[C@H](C)[C@H]1C ZINC000816648742 586751852 /nfs/dbraw/zinc/75/18/52/586751852.db2.gz OKNNPMPSPFSMIB-WDEREUQCSA-N 0 3 205.301 2.535 20 0 BFADHN CC(C)N(C)c1ccc(CN2C[C@H](C)[C@@H]2C)cn1 ZINC000816648847 586752020 /nfs/dbraw/zinc/75/20/20/586752020.db2.gz PZWYXZRMLAQARC-STQMWFEESA-N 0 3 247.386 2.766 20 0 BFADHN C[C@H]1CN(Cc2cnc(-c3ccccc3)[nH]2)[C@H]1C ZINC000816648559 586752113 /nfs/dbraw/zinc/75/21/13/586752113.db2.gz MDYASKNEKRYSDM-RYUDHWBXSA-N 0 3 241.338 2.917 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1c[nH]nc1-c1ccccc1 ZINC000816649403 586752896 /nfs/dbraw/zinc/75/28/96/586752896.db2.gz YRPHEARCDBTHRB-NWDGAFQWSA-N 0 3 241.338 2.917 20 0 BFADHN CC(C)[C@H]1CN(Cc2ccoc2)CCCO1 ZINC000816675660 586754416 /nfs/dbraw/zinc/75/44/16/586754416.db2.gz HXKSMZOXAWVWPZ-CYBMUJFWSA-N 0 3 223.316 2.527 20 0 BFADHN CCC(=O)CCN(C)C1CC(OC(C)(C)C)C1 ZINC000817288410 586792941 /nfs/dbraw/zinc/79/29/41/586792941.db2.gz XWWPGCDGDFGMLJ-UHFFFAOYSA-N 0 3 241.375 2.634 20 0 BFADHN CCCCCCC(=O)N(C)C[C@H]1CCCN1C ZINC000817490278 586807721 /nfs/dbraw/zinc/80/77/21/586807721.db2.gz BMQPMMJEUWUFBT-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@H](C)c1nc(-c2ccc(CN)cc2F)no1 ZINC000817865977 586831528 /nfs/dbraw/zinc/83/15/28/586831528.db2.gz QLJUXZUSJNRIDJ-QMMMGPOBSA-N 0 3 249.289 2.848 20 0 BFADHN CCc1noc(C)c1[C@H](C)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000818361816 586889947 /nfs/dbraw/zinc/88/99/47/586889947.db2.gz ZRWAYPWPTQSLQZ-IXLVHKGHSA-N 0 3 234.343 2.995 20 0 BFADHN CCC/C(C)=C/C(=O)Nc1ccc2c(c1)CNC2 ZINC000818597111 586904562 /nfs/dbraw/zinc/90/45/62/586904562.db2.gz PUBVPHQEJJVUEU-YRNVUSSQSA-N 0 3 244.338 2.975 20 0 BFADHN CCNCC(=O)N1CCC[C@H](C)c2ccccc21 ZINC000818604548 586905264 /nfs/dbraw/zinc/90/52/64/586905264.db2.gz ICYGKHVGDVSAAC-LBPRGKRZSA-N 0 3 246.354 2.526 20 0 BFADHN Cc1ccc([C@H](O)CN[C@H](C)c2ccc[nH]2)cc1 ZINC000819194427 586937107 /nfs/dbraw/zinc/93/71/07/586937107.db2.gz RCSSFCQMWOBJKM-IUODEOHRSA-N 0 3 244.338 2.707 20 0 BFADHN C[C@@H](NC[C@@H](O)c1ccccc1F)c1ccc[nH]1 ZINC000819193734 586937322 /nfs/dbraw/zinc/93/73/22/586937322.db2.gz JRKYYMYSJNBMQY-QMTHXVAHSA-N 0 3 248.301 2.538 20 0 BFADHN CC(C)=CCNC[C@@H](O)c1ccc(F)cc1C ZINC000819262902 586944916 /nfs/dbraw/zinc/94/49/16/586944916.db2.gz ZBBFOTHLTGFPNT-CQSZACIVSA-N 0 3 237.318 2.723 20 0 BFADHN CC/C=C/CNC[C@@H](O)c1ccc(C)cc1 ZINC000819344255 586954911 /nfs/dbraw/zinc/95/49/11/586954911.db2.gz HKSQTTIJOCZFRR-ISZGNANSSA-N 0 3 219.328 2.584 20 0 BFADHN C[C@H](NCCCOC(C)(C)C)c1ccns1 ZINC000860054867 589077351 /nfs/dbraw/zinc/07/73/51/589077351.db2.gz ONGRSDUUIMOPQM-JTQLQIEISA-N 0 3 242.388 2.999 20 0 BFADHN CCO[C@H](CN[C@@H](C)c1ccns1)C1CC1 ZINC000860060124 589077692 /nfs/dbraw/zinc/07/76/92/589077692.db2.gz UFJFSDUUWSVAJZ-GXSJLCMTSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@H](NCCCc1ccncc1)c1ccns1 ZINC000860058099 589077789 /nfs/dbraw/zinc/07/77/89/589077789.db2.gz HBPFCXDWKKAENF-NSHDSACASA-N 0 3 247.367 2.822 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccns1)CC(C)C ZINC000860059799 589078125 /nfs/dbraw/zinc/07/81/25/589078125.db2.gz PPFYYTCEWYQQCV-GHMZBOCLSA-N 0 3 242.388 2.855 20 0 BFADHN C[C@@H](NCC[C@H](C)F)c1ccns1 ZINC000860061975 589078251 /nfs/dbraw/zinc/07/82/51/589078251.db2.gz PRWNBXOHAMHSNN-JGVFFNPUSA-N 0 3 202.298 2.542 20 0 BFADHN C[C@@H](F)CCN[C@H](C)c1ccns1 ZINC000860061974 589078317 /nfs/dbraw/zinc/07/83/17/589078317.db2.gz PRWNBXOHAMHSNN-HTQZYQBOSA-N 0 3 202.298 2.542 20 0 BFADHN CC(C)CC[C@H](O)CN[C@@H](C)c1ccns1 ZINC000860061938 589078509 /nfs/dbraw/zinc/07/85/09/589078509.db2.gz OQRSCBXKXZNPHZ-QWRGUYRKSA-N 0 3 242.388 2.591 20 0 BFADHN COc1cc([C@@H](C)NC2CCCCC2)on1 ZINC000820804883 587073415 /nfs/dbraw/zinc/07/34/15/587073415.db2.gz BMWOSNAMDIKZMJ-SECBINFHSA-N 0 3 224.304 2.667 20 0 BFADHN CN1CCN(CCSC(C)(C)C)CC1(C)C ZINC000821258437 587104257 /nfs/dbraw/zinc/10/42/57/587104257.db2.gz FFYGQFQRNOEKAP-UHFFFAOYSA-N 0 3 244.448 2.544 20 0 BFADHN CO[C@@H]1CC[C@@H](N2CCc3sccc3C2)C1 ZINC000821348885 587110800 /nfs/dbraw/zinc/11/08/00/587110800.db2.gz RSIQGWCIFOQWAW-VXGBXAGGSA-N 0 3 237.368 2.674 20 0 BFADHN C[C@@H](OC(=O)c1cccc(CN(C)C)c1)C1CC1 ZINC000821621560 587132795 /nfs/dbraw/zinc/13/27/95/587132795.db2.gz XRIWTILRWZAUCE-LLVKDONJSA-N 0 3 247.338 2.704 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cnc(C)cn2)C1 ZINC000860178956 589087170 /nfs/dbraw/zinc/08/71/70/589087170.db2.gz GUZLVDIQDXYWDP-VQTKUKTRSA-N 0 3 245.370 2.963 20 0 BFADHN Fc1cc(CN[C@@H]2C[C@H]2C2CCC2)c(F)cn1 ZINC000822497657 587172046 /nfs/dbraw/zinc/17/20/46/587172046.db2.gz IOYOYBYZDWBKBL-CMPLNLGQSA-N 0 3 238.281 2.638 20 0 BFADHN CC(C)=CCN(C)C[C@](C)(O)c1ccccc1 ZINC000822515520 587172866 /nfs/dbraw/zinc/17/28/66/587172866.db2.gz BWCOINVLNBCXCJ-HNNXBMFYSA-N 0 3 233.355 2.792 20 0 BFADHN Cc1ccc(-c2noc([C@@H]3CCCN3)n2)c(F)c1 ZINC000823006417 587197898 /nfs/dbraw/zinc/19/78/98/587197898.db2.gz HWHREEJWYDGSLD-NSHDSACASA-N 0 3 247.273 2.609 20 0 BFADHN C[C@@H]1CN(CC[C@H]2CC2(Cl)Cl)[C@H]1C ZINC000823189414 587207454 /nfs/dbraw/zinc/20/74/54/587207454.db2.gz BDRXPGFWRZHLMS-VGMNWLOBSA-N 0 3 222.159 2.911 20 0 BFADHN CC(C)CNCc1ccnc(Cl)c1F ZINC000823578571 587232213 /nfs/dbraw/zinc/23/22/13/587232213.db2.gz DIIVENYNGWFZCC-UHFFFAOYSA-N 0 3 216.687 2.620 20 0 BFADHN CCCCC[C@@H](C)NCc1c(C)nnn1CC ZINC000823609473 587232693 /nfs/dbraw/zinc/23/26/93/587232693.db2.gz XMDTWQQFTSSGID-LLVKDONJSA-N 0 3 238.379 2.665 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1nn(CC)nc1C ZINC000823949596 587246916 /nfs/dbraw/zinc/24/69/16/587246916.db2.gz NSANJFVBAAFDTM-QWRGUYRKSA-N 0 3 238.379 2.521 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000823949952 587246963 /nfs/dbraw/zinc/24/69/63/587246963.db2.gz ZQSYMJNCEPRACU-JTNHKYCSSA-N 0 3 237.318 2.790 20 0 BFADHN c1cc(CN[C@H]2CCCc3ccccc3C2)no1 ZINC000823966395 587247280 /nfs/dbraw/zinc/24/72/80/587247280.db2.gz MUKFNLWFKHWVOA-AWEZNQCLSA-N 0 3 242.322 2.712 20 0 BFADHN Cc1cc(CN[C@H](C)CC(C)(C)C)ncn1 ZINC000824051199 587251891 /nfs/dbraw/zinc/25/18/91/587251891.db2.gz TZYRJUVDBSMPOD-LLVKDONJSA-N 0 3 221.348 2.699 20 0 BFADHN C[C@H](Cc1ccoc1)NCc1cccnc1F ZINC000824314422 587265428 /nfs/dbraw/zinc/26/54/28/587265428.db2.gz KPBKOPAUBYIRRB-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1cc(F)ncc1F ZINC000824331995 587266217 /nfs/dbraw/zinc/26/62/17/587266217.db2.gz CLPJUGIOFYOVJF-ZJUUUORDSA-N 0 3 240.297 2.886 20 0 BFADHN CCC[C@@H](NCc1nonc1C)C1CCCC1 ZINC000824389686 587268507 /nfs/dbraw/zinc/26/85/07/587268507.db2.gz QISNNGRVKOVAOX-GFCCVEGCSA-N 0 3 237.347 2.827 20 0 BFADHN Fc1cc(CNCCC2(F)CCC2)c(F)cn1 ZINC000824395127 587269059 /nfs/dbraw/zinc/26/90/59/587269059.db2.gz AMVLFJNDYYKOPI-UHFFFAOYSA-N 0 3 244.260 2.732 20 0 BFADHN CCCC(CCC)N[C@H]1CCn2ccnc21 ZINC000824525514 587273217 /nfs/dbraw/zinc/27/32/17/587273217.db2.gz AUWHTGXUGGLSHM-LBPRGKRZSA-N 0 3 221.348 2.886 20 0 BFADHN CCCC(CCC)N[C@@H]1CCn2ccnc21 ZINC000824525513 587273230 /nfs/dbraw/zinc/27/32/30/587273230.db2.gz AUWHTGXUGGLSHM-GFCCVEGCSA-N 0 3 221.348 2.886 20 0 BFADHN C[C@@H](N[C@H]1CCn2ccnc21)C1C(C)(C)C1(C)C ZINC000824526456 587273825 /nfs/dbraw/zinc/27/38/25/587273825.db2.gz LEPBSDHPFAQBIG-MNOVXSKESA-N 0 3 247.386 2.988 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H]1N[C@@H]1CCn2ccnc21 ZINC000824526600 587273852 /nfs/dbraw/zinc/27/38/52/587273852.db2.gz NSZJULFVFVIWSI-RWMBFGLXSA-N 0 3 233.359 2.742 20 0 BFADHN CS[C@@H]1CCN(Cc2ccc3c(c2)COC3)C1 ZINC000824683776 587282997 /nfs/dbraw/zinc/28/29/97/587282997.db2.gz VRISFBSTPXQREM-CQSZACIVSA-N 0 3 249.379 2.654 20 0 BFADHN Cc1cc(CN[C@H](C)CC(C)(C)O)c(C)o1 ZINC000824696486 587283280 /nfs/dbraw/zinc/28/32/80/587283280.db2.gz NHNXHQYXRGVQQO-SECBINFHSA-N 0 3 225.332 2.536 20 0 BFADHN C[C@]1(CNCc2ccco2)CC1(Cl)Cl ZINC000824765306 587286534 /nfs/dbraw/zinc/28/65/34/587286534.db2.gz JVGKTDUXRFESMC-SECBINFHSA-N 0 3 234.126 2.953 20 0 BFADHN CCc1nc(CN[C@@H](C)[C@@H]2CC2(C)C)co1 ZINC000825062351 587301881 /nfs/dbraw/zinc/30/18/81/587301881.db2.gz TVCXQRPGBKOWRK-ONGXEEELSA-N 0 3 222.332 2.761 20 0 BFADHN CO[C@@H]1C[C@@H](N(C)Cc2ccoc2)C12CCC2 ZINC000825104164 587304185 /nfs/dbraw/zinc/30/41/85/587304185.db2.gz PUIQKGPBVNOJDB-CHWSQXEVSA-N 0 3 235.327 2.669 20 0 BFADHN CO[C@H]1C[C@H](N(C)Cc2ccoc2)C12CCC2 ZINC000825104167 587304693 /nfs/dbraw/zinc/30/46/93/587304693.db2.gz PUIQKGPBVNOJDB-STQMWFEESA-N 0 3 235.327 2.669 20 0 BFADHN CCn1cc([C@@H](C)NC2CC(C(F)F)C2)cn1 ZINC000825130199 587305920 /nfs/dbraw/zinc/30/59/20/587305920.db2.gz PEXZADQMOQJHEN-FKTRJACZSA-N 0 3 243.301 2.597 20 0 BFADHN CC1CN(Cc2cc3ccncc3s2)C1 ZINC000825744502 587336582 /nfs/dbraw/zinc/33/65/82/587336582.db2.gz MVAOMLYMLVUBAC-UHFFFAOYSA-N 0 3 218.325 2.748 20 0 BFADHN CC[C@@H](C)CCNCc1cc(F)ncc1F ZINC000826921974 587365697 /nfs/dbraw/zinc/36/56/97/587365697.db2.gz WVBRQGNRYAJIFJ-SECBINFHSA-N 0 3 228.286 2.886 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H]1C[C@@H](O)c2ccccc21 ZINC000827168987 587371745 /nfs/dbraw/zinc/37/17/45/587371745.db2.gz BCOQQGWKSIWXEZ-IGQOVBAYSA-N 0 3 249.379 2.648 20 0 BFADHN CSC1(CN[C@@H]2C[C@H](O)c3ccccc32)CC1 ZINC000827170772 587371902 /nfs/dbraw/zinc/37/19/02/587371902.db2.gz JROXOTAQGALLKX-OLZOCXBDSA-N 0 3 249.379 2.650 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](C3CCC3)C2)nn1 ZINC000827626585 587393175 /nfs/dbraw/zinc/39/31/75/587393175.db2.gz CEZNDAAKLPFTBF-CQSZACIVSA-N 0 3 245.370 2.797 20 0 BFADHN COc1cccc(CNC[C@H]2CCC=CO2)c1C ZINC000827780301 587402126 /nfs/dbraw/zinc/40/21/26/587402126.db2.gz VLSKXQADLLFXSQ-CQSZACIVSA-N 0 3 247.338 2.786 20 0 BFADHN C[C@H](NC1CSC1)c1ccc2c(c1)CCCO2 ZINC000827963038 587413729 /nfs/dbraw/zinc/41/37/29/587413729.db2.gz XYYUDWQUCMYDPG-JTQLQIEISA-N 0 3 249.379 2.778 20 0 BFADHN CC1=CCCN(CCC(=O)CC(C)C)C1 ZINC000828456677 587443366 /nfs/dbraw/zinc/44/33/66/587443366.db2.gz HNRJKRMWLLKQAQ-UHFFFAOYSA-N 0 3 209.333 2.644 20 0 BFADHN CC1=C[C@H](C)CN(CCC(=O)CC(C)C)C1 ZINC000828457378 587443484 /nfs/dbraw/zinc/44/34/84/587443484.db2.gz PVXXOKZGIHQRFI-LBPRGKRZSA-N 0 3 223.360 2.890 20 0 BFADHN CCC[C@@H](O)CN[C@@H](c1ccccn1)C(C)C ZINC000828694050 587457273 /nfs/dbraw/zinc/45/72/73/587457273.db2.gz YZHHOQPAMUHAIC-TZMCWYRMSA-N 0 3 236.359 2.529 20 0 BFADHN COC1(CN[C@H](c2ccccn2)C(C)C)CCC1 ZINC000828693864 587457392 /nfs/dbraw/zinc/45/73/92/587457392.db2.gz XQRBWEOBVXUJNK-AWEZNQCLSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@]1(CN2CC=CCC2)CC1(Cl)Cl ZINC000828744965 587459888 /nfs/dbraw/zinc/45/98/88/587459888.db2.gz SJGKFPUGEIDZRU-SECBINFHSA-N 0 3 220.143 2.832 20 0 BFADHN O[C@@H](CNC1(c2ccccc2F)CCC1)C1CC1 ZINC000829891546 587527328 /nfs/dbraw/zinc/52/73/28/587527328.db2.gz DKHMOGHTMHAITI-AWEZNQCLSA-N 0 3 249.329 2.565 20 0 BFADHN CC(C)CC1(C)CCN(CC[S@@](C)=O)CC1 ZINC000829927023 587528812 /nfs/dbraw/zinc/52/88/12/587528812.db2.gz JMBGWFWZGJTYLZ-MRXNPFEDSA-N 0 3 245.432 2.513 20 0 BFADHN COc1ccc(CN(C)CCC(C)(C)C)nc1 ZINC000829936564 587529137 /nfs/dbraw/zinc/52/91/37/587529137.db2.gz AOCYMZLYVRDSHO-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CC[C@H]1CCCC[C@@H]1CNCc1cn(C)cn1 ZINC000830473944 587553576 /nfs/dbraw/zinc/55/35/76/587553576.db2.gz JUEDXAILDCEBTM-QWHCGFSZSA-N 0 3 235.375 2.726 20 0 BFADHN C[C@@H]1CC2(CN1C/C=C\Cl)CCOCC2 ZINC000830617967 587560281 /nfs/dbraw/zinc/56/02/81/587560281.db2.gz XAJCEDGFKCRLSD-SRMRHOMVSA-N 0 3 229.751 2.630 20 0 BFADHN Cc1nonc1CN1CCC[C@]1(C)CC(C)C ZINC000830802996 587571617 /nfs/dbraw/zinc/57/16/17/587571617.db2.gz FGWLFZLJSQJQJH-CYBMUJFWSA-N 0 3 237.347 2.779 20 0 BFADHN Cc1ccnc(CN2CCCC[C@H]2C2CCC2)n1 ZINC000830989631 587580187 /nfs/dbraw/zinc/58/01/87/587580187.db2.gz WUPAUXPRKIQRCT-AWEZNQCLSA-N 0 3 245.370 2.940 20 0 BFADHN CCN(CCSC)C[C@H]1CC1(Cl)Cl ZINC000830993872 587580353 /nfs/dbraw/zinc/58/03/53/587580353.db2.gz GPLKVXTYWCJPIJ-MRVPVSSYSA-N 0 3 242.215 2.865 20 0 BFADHN CCN(CCSC)CCC(=O)C(C)(C)C ZINC000830999789 587581707 /nfs/dbraw/zinc/58/17/07/587581707.db2.gz UEXJNJTVUIRILT-UHFFFAOYSA-N 0 3 231.405 2.677 20 0 BFADHN CC(C)O[C@@H]1C[C@H](NC/C=C\Cl)C1(C)C ZINC000831353542 587600310 /nfs/dbraw/zinc/60/03/10/587600310.db2.gz VAEOWVIQWUGPMD-TUISVCKWSA-N 0 3 231.767 2.921 20 0 BFADHN C[C@@H]1CC/C(=C/C(=O)Nc2cccc(CN)c2)C1 ZINC000832223798 587651792 /nfs/dbraw/zinc/65/17/92/587651792.db2.gz WCTSQWCFKWKAQE-ZGSOTFDTSA-N 0 3 244.338 2.830 20 0 BFADHN Cl/C=C/CN1CCC[C@@]2(CCSC2)C1 ZINC000832505218 587664195 /nfs/dbraw/zinc/66/41/95/587664195.db2.gz DGTYHLOAWLEHOB-XGQHYKRYSA-N 0 3 231.792 2.958 20 0 BFADHN CO[C@@H]1C[C@@H](NCc2cc(C)oc2C)C12CCC2 ZINC000834651001 587762790 /nfs/dbraw/zinc/76/27/90/587762790.db2.gz SUYDSPDVDFJJBF-ZIAGYGMSSA-N 0 3 249.354 2.944 20 0 BFADHN Fc1ncccc1CN[C@H](C1CC1)C1CCC1 ZINC000834789647 587766950 /nfs/dbraw/zinc/76/69/50/587766950.db2.gz HGRAJKYLHNJTFE-ZDUSSCGKSA-N 0 3 234.318 2.889 20 0 BFADHN CC(C)(C)[C@@H]1CC[C@@H]1N[C@H]1CCn2ccnc21 ZINC000834831606 587768137 /nfs/dbraw/zinc/76/81/37/587768137.db2.gz KPSJWHKHHYCMDH-WOPDTQHZSA-N 0 3 233.359 2.742 20 0 BFADHN CC(C)(C)[C@@H]1CC[C@H]1N[C@@H]1CCn2ccnc21 ZINC000834831604 587768268 /nfs/dbraw/zinc/76/82/68/587768268.db2.gz KPSJWHKHHYCMDH-IJLUTSLNSA-N 0 3 233.359 2.742 20 0 BFADHN Cc1cc([C@@H](C)NCC2=CCCCC2)n(C)n1 ZINC000834837530 587768439 /nfs/dbraw/zinc/76/84/39/587768439.db2.gz XEXZAORDXOEZLQ-GFCCVEGCSA-N 0 3 233.359 2.880 20 0 BFADHN CCc1nc(CN[C@H](CC)C2CC2)co1 ZINC000834898684 587769491 /nfs/dbraw/zinc/76/94/91/587769491.db2.gz BIGOUKXKTQUYGF-LLVKDONJSA-N 0 3 208.305 2.515 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccc(Cl)nc1)[C@H](C)O ZINC000834889321 587769496 /nfs/dbraw/zinc/76/94/96/587769496.db2.gz WCYPDDOFMIVCGT-QXEWZRGKSA-N 0 3 242.750 2.545 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@H](C)O2)c(C)o1 ZINC000835088817 587781889 /nfs/dbraw/zinc/78/18/89/587781889.db2.gz VCZWYDFYKHBCJO-ZANVPECISA-N 0 3 223.316 2.554 20 0 BFADHN CN(C)Cc1cccc(C(=O)O[C@@H]2C=CCC2)c1 ZINC000835283686 587794472 /nfs/dbraw/zinc/79/44/72/587794472.db2.gz KYFREPNKHQUJJM-CQSZACIVSA-N 0 3 245.322 2.624 20 0 BFADHN CCCCC(=O)OCCN(C)Cc1ccccc1 ZINC000837338639 587867597 /nfs/dbraw/zinc/86/75/97/587867597.db2.gz ZVIKDMVMVRLGQX-UHFFFAOYSA-N 0 3 249.354 2.852 20 0 BFADHN C/C=C(/C)C(=O)OC[C@H]1CCCCN1C(C)C ZINC000837567813 587882470 /nfs/dbraw/zinc/88/24/70/587882470.db2.gz ZXQOKRVRVRUDBY-QWGHSUKYSA-N 0 3 239.359 2.759 20 0 BFADHN Cc1occc1CNC[C@H](O)c1cccc(F)c1 ZINC000840886477 587928230 /nfs/dbraw/zinc/92/82/30/587928230.db2.gz XHVVOKBCKBAGIF-AWEZNQCLSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1ccc(CN2CCC3(CCCC3)C2)nn1 ZINC000840917258 587929230 /nfs/dbraw/zinc/92/92/30/587929230.db2.gz JEDJQLZKKVQQEL-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN Cc1ccc(CN(C2CC2)C2CCCC2)nn1 ZINC000840910479 587929591 /nfs/dbraw/zinc/92/95/91/587929591.db2.gz NULZJVVBVAWPPK-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN Cc1ccc(CN2C3CCCC2CCC3)nn1 ZINC000840918327 587929644 /nfs/dbraw/zinc/92/96/44/587929644.db2.gz ZSZPSDIJDKKGPN-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1ccoc1)C1CC1 ZINC000840961283 587932169 /nfs/dbraw/zinc/93/21/69/587932169.db2.gz UMPYKIGSPBJNFD-MFKMUULPSA-N 0 3 223.316 2.573 20 0 BFADHN CC[C@@H](c1ccccc1)N1CCC2(C1)OCCO2 ZINC000104083368 587933612 /nfs/dbraw/zinc/93/36/12/587933612.db2.gz FNZDLSOPSNNBEV-AWEZNQCLSA-N 0 3 247.338 2.587 20 0 BFADHN C[C@H](Nc1ccc2c(c1)CNCCO2)C1CCC1 ZINC000841083211 587946097 /nfs/dbraw/zinc/94/60/97/587946097.db2.gz SNCWSBONIXAWHB-NSHDSACASA-N 0 3 246.354 2.769 20 0 BFADHN CCn1cncc1CN1CCCCCCC1 ZINC000841829091 587976249 /nfs/dbraw/zinc/97/62/49/587976249.db2.gz DKZWLBXLTNHRFV-UHFFFAOYSA-N 0 3 221.348 2.669 20 0 BFADHN CCn1cncc1CNCc1c(C)cccc1C ZINC000841869867 587979376 /nfs/dbraw/zinc/97/93/76/587979376.db2.gz RHHLEAMOGWOJMV-UHFFFAOYSA-N 0 3 243.354 2.810 20 0 BFADHN CC[C@@H](C)N(C)C[C@H](O)c1ccc(F)cc1F ZINC000104685972 588009693 /nfs/dbraw/zinc/00/96/93/588009693.db2.gz LOFBSPSQVDXNNQ-RNCFNFMXSA-N 0 3 243.297 2.729 20 0 BFADHN S=c1ncccn1CN1CC[C@@H]2CCCC[C@@H]21 ZINC000842617387 588046376 /nfs/dbraw/zinc/04/63/76/588046376.db2.gz RBJFEOJVLGMVFV-RYUDHWBXSA-N 0 3 249.383 2.835 20 0 BFADHN CCCCC[C@H](C)NCc1cncnc1OC ZINC000842661322 588050589 /nfs/dbraw/zinc/05/05/89/588050589.db2.gz JHSYTMJPXQXQKB-NSHDSACASA-N 0 3 237.347 2.544 20 0 BFADHN CCOc1cccc([C@H](C)N[C@@H]2CO[C@H](C)C2)c1 ZINC000842674049 588051120 /nfs/dbraw/zinc/05/11/20/588051120.db2.gz UICIOFCOPHJMIK-DYEKYZERSA-N 0 3 249.354 2.913 20 0 BFADHN CC(C)[C@@H](N[C@@H]1CO[C@H](C)C1)c1ccccn1 ZINC000842684018 588052533 /nfs/dbraw/zinc/05/25/33/588052533.db2.gz VNRMEGAZEVLNSU-MBNYWOFBSA-N 0 3 234.343 2.546 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1ccc(Cl)nc1 ZINC000842701228 588053825 /nfs/dbraw/zinc/05/38/25/588053825.db2.gz UGGZCAIJZFWVTC-KKZNHRDASA-N 0 3 240.734 2.563 20 0 BFADHN CCC[C@@H](C)c1noc(C2(CN)CCCC2)n1 ZINC000843182181 588090580 /nfs/dbraw/zinc/09/05/80/588090580.db2.gz KXGNQNNRZVPVJG-SNVBAGLBSA-N 0 3 237.347 2.744 20 0 BFADHN CC(C)c1ccoc1-c1noc(C(C)(C)CN)n1 ZINC000843235448 588095109 /nfs/dbraw/zinc/09/51/09/588095109.db2.gz CJHCOMRVOLBJMA-UHFFFAOYSA-N 0 3 249.314 2.689 20 0 BFADHN C[C@H]1CN(Cc2cc3n(n2)CCCC3)C(C)(C)C1 ZINC000844300006 588182419 /nfs/dbraw/zinc/18/24/19/588182419.db2.gz IEXKVROXZMYGNZ-GFCCVEGCSA-N 0 3 247.386 2.840 20 0 BFADHN CCCCOCCN1CCC[C@]2(CC2(F)F)C1 ZINC000844436411 588191915 /nfs/dbraw/zinc/19/19/15/588191915.db2.gz HMVFCNWKMMMSDK-LBPRGKRZSA-N 0 3 247.329 2.924 20 0 BFADHN FC1(F)C[C@]12CCCN(C/C=C\Cl)C2 ZINC000844435295 588193392 /nfs/dbraw/zinc/19/33/92/588193392.db2.gz NBBFRUMZXVXMGC-MDHMXLOGSA-N 0 3 221.678 2.860 20 0 BFADHN FC1(F)C[C@]12CCCN(CCOC1CCC1)C2 ZINC000844440554 588193569 /nfs/dbraw/zinc/19/35/69/588193569.db2.gz DDVFDPZDQLYNBX-LBPRGKRZSA-N 0 3 245.313 2.677 20 0 BFADHN FC1(F)C[C@@]12CCCN(Cc1cccnc1)C2 ZINC000844441111 588194018 /nfs/dbraw/zinc/19/40/18/588194018.db2.gz ZAQHMIJTAYTDHO-GFCCVEGCSA-N 0 3 238.281 2.703 20 0 BFADHN FC1(F)C[C@@]12CCCN(CCOC1CCC1)C2 ZINC000844440552 588194129 /nfs/dbraw/zinc/19/41/29/588194129.db2.gz DDVFDPZDQLYNBX-GFCCVEGCSA-N 0 3 245.313 2.677 20 0 BFADHN C[C@@H](c1ccccc1)N1C[C@H]2[C@@H](C1)C2(F)F ZINC000844448577 588194987 /nfs/dbraw/zinc/19/49/87/588194987.db2.gz ADTXISPYJGQOSD-ZMLRMANQSA-N 0 3 223.266 2.945 20 0 BFADHN CC(C)C[C@H](C)C(=O)O[C@@H](C)CN1CCCC1 ZINC000845664502 588240012 /nfs/dbraw/zinc/24/00/12/588240012.db2.gz ZOLHYUKQUOUULF-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN CC(C)C[C@@H](C)C(=O)O[C@@H](C)CN1CCCC1 ZINC000845664501 588240075 /nfs/dbraw/zinc/24/00/75/588240075.db2.gz ZOLHYUKQUOUULF-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN C/C=C(/CC)C(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845734951 588243056 /nfs/dbraw/zinc/24/30/56/588243056.db2.gz IHBSOKSWWBXGHL-DSDFTUOUSA-N 0 3 239.359 2.759 20 0 BFADHN CCC(CC)C(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845738079 588243220 /nfs/dbraw/zinc/24/32/20/588243220.db2.gz MAHQMNVQEGNIRL-GFCCVEGCSA-N 0 3 241.375 2.839 20 0 BFADHN Cc1ccc(CN[C@@H](CO)C2CC2)c(Cl)c1 ZINC000845938717 588250980 /nfs/dbraw/zinc/25/09/80/588250980.db2.gz VIWACCGOOMVHGU-ZDUSSCGKSA-N 0 3 239.746 2.509 20 0 BFADHN c1c2cnccc2oc1CNC[C@H]1CCC=CO1 ZINC000845961604 588251914 /nfs/dbraw/zinc/25/19/14/588251914.db2.gz YVNRRRPZDMODKI-GFCCVEGCSA-N 0 3 244.294 2.610 20 0 BFADHN c1c2cnccc2oc1CNC[C@@H]1CCC=CO1 ZINC000845961607 588252026 /nfs/dbraw/zinc/25/20/26/588252026.db2.gz YVNRRRPZDMODKI-LBPRGKRZSA-N 0 3 244.294 2.610 20 0 BFADHN CC[C@]1(C)CCC[C@@H]1N[C@@H]1CCn2ccnc21 ZINC000845979311 588252391 /nfs/dbraw/zinc/25/23/91/588252391.db2.gz MHVGGZPGMRLRRH-MBNYWOFBSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@]1(C)CCC[C@H]1N[C@@H]1CCn2ccnc21 ZINC000845979317 588252623 /nfs/dbraw/zinc/25/26/23/588252623.db2.gz MHVGGZPGMRLRRH-YRGRVCCFSA-N 0 3 233.359 2.886 20 0 BFADHN CCC[C@@H](C)[C@@H](CO)NCc1cc(C)oc1C ZINC000846019148 588253724 /nfs/dbraw/zinc/25/37/24/588253724.db2.gz XSVGUKGZJIFNKV-QMTHXVAHSA-N 0 3 239.359 2.783 20 0 BFADHN C[C@]1(CCNCc2cscn2)C[C@]1(F)Cl ZINC000846033571 588254235 /nfs/dbraw/zinc/25/42/35/588254235.db2.gz HIFQEDQIJMVWLM-VHSXEESVSA-N 0 3 248.754 2.937 20 0 BFADHN C[C@]1(CCNCc2ccccn2)C[C@@]1(F)Cl ZINC000846036653 588254370 /nfs/dbraw/zinc/25/43/70/588254370.db2.gz NCBNVLFNVBEZPQ-RYUDHWBXSA-N 0 3 242.725 2.876 20 0 BFADHN Cc1cc(CN[C@H](C)COCC2CC2)c(C)o1 ZINC000846073999 588255485 /nfs/dbraw/zinc/25/54/85/588255485.db2.gz VDCCOROICDLHOE-SNVBAGLBSA-N 0 3 237.343 2.801 20 0 BFADHN FC1(F)[C@H]2CN(C[C@@H]3C[C@@H]3c3ccccc3)C[C@H]21 ZINC000846108724 588256847 /nfs/dbraw/zinc/25/68/47/588256847.db2.gz BHYQTEBLJLQSKL-RFQIPJPRSA-N 0 3 249.304 2.987 20 0 BFADHN C[C@@H]1CCCN(CC2(Br)CC2)C1 ZINC000846769062 588286831 /nfs/dbraw/zinc/28/68/31/588286831.db2.gz VEJVMVREIMHNSD-SECBINFHSA-N 0 3 232.165 2.646 20 0 BFADHN CC1(C)CCN(Cc2ccc3nonc3c2)CC1 ZINC000846795528 588288974 /nfs/dbraw/zinc/28/89/74/588288974.db2.gz RDIXYMXGPPXFCD-UHFFFAOYSA-N 0 3 245.326 2.845 20 0 BFADHN CCC[C@@H](C)C(=O)OC[C@H](C)N1CCCCC1 ZINC000847960796 588345302 /nfs/dbraw/zinc/34/53/02/588345302.db2.gz QTCMJNGIURCLLX-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN C/C=C(/CC)C(=O)OC[C@H](C)N1CCCCC1 ZINC000847962151 588345889 /nfs/dbraw/zinc/34/58/89/588345889.db2.gz HBAUBLTXYOKPIJ-AFLPJHSXSA-N 0 3 239.359 2.760 20 0 BFADHN CN(C)CCSCc1cnc(Cl)c(F)c1 ZINC000848433423 588384353 /nfs/dbraw/zinc/38/43/53/588384353.db2.gz NIQVOXGOJCEGBQ-UHFFFAOYSA-N 0 3 248.754 2.669 20 0 BFADHN CC[C@@H](NCc1ccoc1)[C@H]1CCCCO1 ZINC000848575859 588399091 /nfs/dbraw/zinc/39/90/91/588399091.db2.gz WUDPIMBIYGSFGW-CHWSQXEVSA-N 0 3 223.316 2.717 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cnc(Cl)n1C ZINC000848887471 588415627 /nfs/dbraw/zinc/41/56/27/588415627.db2.gz XGGGFHHRQUBMRX-ZJUUUORDSA-N 0 3 241.766 2.694 20 0 BFADHN CCN(Cc1cnc(Cl)n1C)[C@H](C)C(C)C ZINC000848883250 588416645 /nfs/dbraw/zinc/41/66/45/588416645.db2.gz LDQAUTNMPUWUTO-SNVBAGLBSA-N 0 3 243.782 2.940 20 0 BFADHN Cn1c(Cl)ncc1CNC1C(C)(C)C1(C)C ZINC000848912962 588420406 /nfs/dbraw/zinc/42/04/06/588420406.db2.gz YHRBKBKAVYBDDD-UHFFFAOYSA-N 0 3 241.766 2.598 20 0 BFADHN CCCN(Cc1cnc([C@H](C)O)s1)C(C)C ZINC000848923127 588420692 /nfs/dbraw/zinc/42/06/92/588420692.db2.gz GVHBBQGKNHUHGE-JTQLQIEISA-N 0 3 242.388 2.817 20 0 BFADHN CC[C@@H]1C[C@@H](CN2CC3(CC3(F)F)C2)CCO1 ZINC000848922852 588420718 /nfs/dbraw/zinc/42/07/18/588420718.db2.gz ZVEBEVFWOUYKBJ-WDEREUQCSA-N 0 3 245.313 2.533 20 0 BFADHN C[C@H](NCc1ccnn1CC1CC1)C1(C)CC1 ZINC000850093397 588519561 /nfs/dbraw/zinc/51/95/61/588519561.db2.gz BOPHQQQBHNAVRL-NSHDSACASA-N 0 3 233.359 2.571 20 0 BFADHN C1=C[C@@H](NCc2cc3cnccc3o2)CC1 ZINC000850359498 588540121 /nfs/dbraw/zinc/54/01/21/588540121.db2.gz JJLSHPNOBQAYPT-LLVKDONJSA-N 0 3 214.268 2.636 20 0 BFADHN CC(C)(F)CCNCc1cccnc1Cl ZINC000850375395 588542119 /nfs/dbraw/zinc/54/21/19/588542119.db2.gz ZSJDQZMBJIEKNS-UHFFFAOYSA-N 0 3 230.714 2.963 20 0 BFADHN O[C@@H]1C[C@@H](N[C@H]2CCCSC2)c2ccccc21 ZINC000850376335 588542132 /nfs/dbraw/zinc/54/21/32/588542132.db2.gz XMGCYCFAISLQDJ-ZLKJLUDKSA-N 0 3 249.379 2.650 20 0 BFADHN CC[C@@H]1COC[C@H]1NCc1cscc1Cl ZINC000850384005 588542438 /nfs/dbraw/zinc/54/24/38/588542438.db2.gz XQJVLIUCJBRSAW-LDYMZIIASA-N 0 3 245.775 2.916 20 0 BFADHN CC1(C)[C@@H](CNCc2ccoc2)C1(F)F ZINC000850393989 588543667 /nfs/dbraw/zinc/54/36/67/588543667.db2.gz IFKGBHBJBYJGLW-SECBINFHSA-N 0 3 215.243 2.661 20 0 BFADHN CON(C)CCN[C@@H](C)c1cc2ccccc2o1 ZINC000850479678 588550720 /nfs/dbraw/zinc/55/07/20/588550720.db2.gz BCDQDWAOAKHCLK-NSHDSACASA-N 0 3 248.326 2.577 20 0 BFADHN CON(C)CCN[C@H](C)c1ccc(Cl)s1 ZINC000850480861 588551077 /nfs/dbraw/zinc/55/10/77/588551077.db2.gz VYABIMFSLDZMRE-MRVPVSSYSA-N 0 3 248.779 2.545 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@H]1C[C@H](C)n2ncnc21 ZINC000850499844 588552359 /nfs/dbraw/zinc/55/23/59/588552359.db2.gz YVIKNOWJGUMDEU-VWYCJHECSA-N 0 3 236.363 2.698 20 0 BFADHN CCC(C)(C)[C@@H](C)N[C@H](C)c1ncc[nH]1 ZINC000850502527 588552695 /nfs/dbraw/zinc/55/26/95/588552695.db2.gz SJRZMTNWTPKOMJ-NXEZZACHSA-N 0 3 209.337 2.885 20 0 BFADHN C[C@@H](N[C@@H]1C=CCC1)c1cccc(CO)c1 ZINC000850507500 588553333 /nfs/dbraw/zinc/55/33/33/588553333.db2.gz FRRZEZVLSFJCGD-BXUZGUMPSA-N 0 3 217.312 2.548 20 0 BFADHN C1=C[C@@H](N[C@@H](c2nc[nH]n2)C2CCCCC2)CC1 ZINC000850509610 588554528 /nfs/dbraw/zinc/55/45/28/588554528.db2.gz SBKFLGUFZHDQHW-CHWSQXEVSA-N 0 3 246.358 2.734 20 0 BFADHN C1=C[C@@H]([NH2+][C@@H](c2nnc[n-]2)C2CCCCC2)CC1 ZINC000850509610 588554529 /nfs/dbraw/zinc/55/45/29/588554529.db2.gz SBKFLGUFZHDQHW-CHWSQXEVSA-N 0 3 246.358 2.734 20 0 BFADHN C1=C[C@@H](N[C@@H](c2nnc[nH]2)C2CCCCC2)CC1 ZINC000850509610 588554530 /nfs/dbraw/zinc/55/45/30/588554530.db2.gz SBKFLGUFZHDQHW-CHWSQXEVSA-N 0 3 246.358 2.734 20 0 BFADHN COC[C@H](N[C@H]1CC[C@H]1C)c1cccc(OC)c1 ZINC000850513741 588555902 /nfs/dbraw/zinc/55/59/02/588555902.db2.gz ITRHIIRLKOIZSE-UGFHNGPFSA-N 0 3 249.354 2.771 20 0 BFADHN CC1(C)C[C@]1(C)N[C@H]1CCCc2n[nH]cc21 ZINC000850554084 588560317 /nfs/dbraw/zinc/56/03/17/588560317.db2.gz BDNDEOWNNZSSMF-GWCFXTLKSA-N 0 3 219.332 2.565 20 0 BFADHN Cc1cc([C@@H](C)N[C@]2(C)CC2(C)C)n(C)n1 ZINC000850554184 588560408 /nfs/dbraw/zinc/56/04/08/588560408.db2.gz CQQDPHZIXMDVQQ-ZWNOBZJWSA-N 0 3 221.348 2.568 20 0 BFADHN CCCCCCN(C)CC(=O)NC1CCCC1 ZINC000109932749 588602283 /nfs/dbraw/zinc/60/22/83/588602283.db2.gz RIOVJCZQOVNFHA-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN CCCCCC[C@H](CC)CN(C)C(=O)CNC ZINC000851647245 588613022 /nfs/dbraw/zinc/61/30/22/588613022.db2.gz HWJWWMNGVLEAAT-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN CO[C@@H]1CC[C@H](N(C)Cc2ccsc2)C1 ZINC000851716735 588616153 /nfs/dbraw/zinc/61/61/53/588616153.db2.gz QEOSAGBHADOGPT-NWDGAFQWSA-N 0 3 225.357 2.747 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cccc(F)n1 ZINC000851719011 588616523 /nfs/dbraw/zinc/61/65/23/588616523.db2.gz DTMLLTKPGMDSGZ-SNVBAGLBSA-N 0 3 210.296 2.697 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2COC(C)(C)O2)cc1 ZINC000851719041 588616599 /nfs/dbraw/zinc/61/65/99/588616599.db2.gz SVMYUJBYTWRZNU-CQSZACIVSA-N 0 3 249.354 2.578 20 0 BFADHN CN(CCOC(F)F)[C@@H]1CCc2ccccc21 ZINC000851725224 588617794 /nfs/dbraw/zinc/61/77/94/588617794.db2.gz WRSWRKWJVQGCSC-GFCCVEGCSA-N 0 3 241.281 2.845 20 0 BFADHN CCN(Cc1cccc(F)n1)CC(C)C ZINC000851726579 588618276 /nfs/dbraw/zinc/61/82/76/588618276.db2.gz SYHQPTLFDHCEOV-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN CCN(Cc1cccc(F)n1)C1CCCC1 ZINC000851727686 588618446 /nfs/dbraw/zinc/61/84/46/588618446.db2.gz VEPMXHXSMWBEFD-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN Cc1cccc(CN(C)CCOC(F)F)c1 ZINC000851731692 588619413 /nfs/dbraw/zinc/61/94/13/588619413.db2.gz ARXFPTATUJHEBO-UHFFFAOYSA-N 0 3 229.270 2.666 20 0 BFADHN CO[C@@H]1CC[C@H](N(C)Cc2ccoc2C)C1 ZINC000851736895 588620436 /nfs/dbraw/zinc/62/04/36/588620436.db2.gz XBXAFUWTANQBTG-QWHCGFSZSA-N 0 3 223.316 2.587 20 0 BFADHN Cc1ccc(CN(C)CCOC(F)F)s1 ZINC000851749608 588622563 /nfs/dbraw/zinc/62/25/63/588622563.db2.gz HZALTYKABJNPGX-UHFFFAOYSA-N 0 3 235.299 2.728 20 0 BFADHN Fc1cccc(CN2CC[C@@H](c3ccco3)C2)n1 ZINC000851767101 588625170 /nfs/dbraw/zinc/62/51/70/588625170.db2.gz XAEIWFXPTRTGSH-LLVKDONJSA-N 0 3 246.285 2.803 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H]2CCC(=O)O2)cc1 ZINC000851892571 588641276 /nfs/dbraw/zinc/64/12/76/588641276.db2.gz OZADBABURXBOHV-SMDDNHRTSA-N 0 3 247.338 2.605 20 0 BFADHN CCc1cnccc1[C@H](C)NCCOC(F)F ZINC000851921628 588643773 /nfs/dbraw/zinc/64/37/73/588643773.db2.gz XLYCGONAYHNVBF-VIFPVBQESA-N 0 3 244.285 2.534 20 0 BFADHN C[C@H]1CCCCN(Cc2cccc(F)n2)C1 ZINC000851946870 588646646 /nfs/dbraw/zinc/64/66/46/588646646.db2.gz QWGKAPFQEDMPNI-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN COc1cc(CN2CCCC23CCC3)sn1 ZINC000851989129 588652089 /nfs/dbraw/zinc/65/20/89/588652089.db2.gz FKWZOPNXJZMYDR-UHFFFAOYSA-N 0 3 238.356 2.670 20 0 BFADHN CCc1nocc1CN1CCCC12CCC2 ZINC000851989810 588652443 /nfs/dbraw/zinc/65/24/43/588652443.db2.gz LSMJUXIRFIICOE-UHFFFAOYSA-N 0 3 220.316 2.756 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccccn1)c1ccsc1 ZINC000852018466 588655627 /nfs/dbraw/zinc/65/56/27/588655627.db2.gz NENQQWALAYOIQG-GXFFZTMASA-N 0 3 248.351 2.527 20 0 BFADHN CO[C@@H]1CC[C@H](N2CC[C@@]3(C)[C@@H](C2)C3(F)F)C1 ZINC000852074772 588659905 /nfs/dbraw/zinc/65/99/05/588659905.db2.gz GWMHJOJYAIBPJL-QCNOEVLYSA-N 0 3 245.313 2.531 20 0 BFADHN OCC1(N(Cc2ccsc2)C2CC2)CCC1 ZINC000852158915 588668019 /nfs/dbraw/zinc/66/80/19/588668019.db2.gz JUBWAWITDZXMNS-UHFFFAOYSA-N 0 3 237.368 2.628 20 0 BFADHN COC1([C@H](C)NCC=C(Cl)Cl)CCC1 ZINC000852177115 588669839 /nfs/dbraw/zinc/66/98/39/588669839.db2.gz ZDJZOYACANENAA-QMMMGPOBSA-N 0 3 238.158 2.853 20 0 BFADHN CC[C@@H](CC(F)F)CN1C[C@H]2[C@@H](C1)C2(F)F ZINC000852214014 588671589 /nfs/dbraw/zinc/67/15/89/588671589.db2.gz RQHZITQGFOSZNX-XHNCKOQMSA-N 0 3 239.256 2.865 20 0 BFADHN C[C@@H]1C[C@H](N2CCC[C@@]3(CC3(F)F)C2)CCO1 ZINC000852213134 588673163 /nfs/dbraw/zinc/67/31/63/588673163.db2.gz GLZFWUICRSRVCU-IJLUTSLNSA-N 0 3 245.313 2.675 20 0 BFADHN CN(C)c1cc(CN2C[C@@H]3CCCC[C@@H]32)ccn1 ZINC000852220120 588673990 /nfs/dbraw/zinc/67/39/90/588673990.db2.gz IOLLJGNYEVHINL-KBPBESRZSA-N 0 3 245.370 2.522 20 0 BFADHN COCCN(CCF)C[C@@H]1CCC[C@@H](C)C1 ZINC000852480802 588684253 /nfs/dbraw/zinc/68/42/53/588684253.db2.gz CSMFKQCSDWWILS-CHWSQXEVSA-N 0 3 231.355 2.731 20 0 BFADHN FCC[C@H]1CCCN(C/C=C/Cl)C1 ZINC000852533466 588688284 /nfs/dbraw/zinc/68/82/84/588688284.db2.gz UXFKEHWYDNYAEC-JYBNPQIESA-N 0 3 205.704 2.811 20 0 BFADHN Cc1ccccc1OCCN1CC[C@H]2C[C@H]2C1 ZINC000852636407 588693504 /nfs/dbraw/zinc/69/35/04/588693504.db2.gz UHNRAFKEJRDZRX-KBPBESRZSA-N 0 3 231.339 2.716 20 0 BFADHN Cc1oncc1CN1CCC[C@H](C2CCC2)C1 ZINC000852672938 588694877 /nfs/dbraw/zinc/69/48/77/588694877.db2.gz DDJAKXKSHHVJDX-ZDUSSCGKSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C3CCC3)C2)n(C)n1 ZINC000852673027 588695180 /nfs/dbraw/zinc/69/51/80/588695180.db2.gz KSVMPWAPEXXTQC-CQSZACIVSA-N 0 3 247.386 2.741 20 0 BFADHN CCCCC[C@@H]1CCCCN1CC1OCCO1 ZINC000852712508 588697258 /nfs/dbraw/zinc/69/72/58/588697258.db2.gz QTZMDXQBXGWILX-CYBMUJFWSA-N 0 3 241.375 2.794 20 0 BFADHN CC1(C)C[C@]1(NC[C@@H](O)C1CC1)c1ccccc1 ZINC000852773369 588701607 /nfs/dbraw/zinc/70/16/07/588701607.db2.gz XDSQDTHUQCTSPN-ZBFHGGJFSA-N 0 3 245.366 2.672 20 0 BFADHN CC12CC(C1)CN2C[C@@H]1CC1(Cl)Cl ZINC000852785303 588702356 /nfs/dbraw/zinc/70/23/56/588702356.db2.gz GBALGJCZSGJIMB-MGURRDGZSA-N 0 3 220.143 2.665 20 0 BFADHN CC[C@H](C)C(=O)OCCN(C)Cc1ccccc1 ZINC000202812557 589193264 /nfs/dbraw/zinc/19/32/64/589193264.db2.gz YCWQGBFGEFFNBS-ZDUSSCGKSA-N 0 3 249.354 2.708 20 0 BFADHN CCCCN(C)Cc1ccnc(F)c1C ZINC000862212921 589194735 /nfs/dbraw/zinc/19/47/35/589194735.db2.gz WHYMDJKUZRPXTF-UHFFFAOYSA-N 0 3 210.296 2.761 20 0 BFADHN Cc1ncc(CN2C[C@@H](C)CC2(C)C)o1 ZINC000862247095 589196775 /nfs/dbraw/zinc/19/67/75/589196775.db2.gz QZSOFIXVEOKJCV-VIFPVBQESA-N 0 3 208.305 2.603 20 0 BFADHN Cc1c(CN2CC[C@H](C3CC3)C2)ccnc1F ZINC000862250839 589197522 /nfs/dbraw/zinc/19/75/22/589197522.db2.gz VESHFIMXAYSROG-ZDUSSCGKSA-N 0 3 234.318 2.761 20 0 BFADHN Cc1ccc(CCNCc2ccnc(F)c2C)o1 ZINC000862269737 589198080 /nfs/dbraw/zinc/19/80/80/589198080.db2.gz KMVVKHWWYVLGPX-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN CC[C@H]1CCCC[C@@H]1CNCc1nonc1C ZINC000862367485 589204677 /nfs/dbraw/zinc/20/46/77/589204677.db2.gz KHDYXCXTACQDCS-NWDGAFQWSA-N 0 3 237.347 2.684 20 0 BFADHN Cc1c(F)nccc1CNCCC(C)(F)F ZINC000862382642 589206859 /nfs/dbraw/zinc/20/68/59/589206859.db2.gz XLOBIROQQPJYDB-UHFFFAOYSA-N 0 3 232.249 2.664 20 0 BFADHN Cc1ncc(CNCC[C@]2(C)C[C@]2(F)Cl)o1 ZINC000862401858 589209497 /nfs/dbraw/zinc/20/94/97/589209497.db2.gz VIWULSXAJQUXLG-GHMZBOCLSA-N 0 3 246.713 2.777 20 0 BFADHN Cc1c(F)nccc1CNCCC(C)(C)F ZINC000862414214 589211526 /nfs/dbraw/zinc/21/15/26/589211526.db2.gz PVVNURRMXLSWLJ-UHFFFAOYSA-N 0 3 228.286 2.757 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC=CO2)C[C@H](C)C1(F)F ZINC000862442551 589213270 /nfs/dbraw/zinc/21/32/70/589213270.db2.gz IHQHZGKAKIVCDL-GRYCIOLGSA-N 0 3 245.313 2.902 20 0 BFADHN Cc1cc(CNCCOC2CCCC2)c(C)o1 ZINC000119331233 589271568 /nfs/dbraw/zinc/27/15/68/589271568.db2.gz WNRRGQWEZLRRND-UHFFFAOYSA-N 0 3 237.343 2.945 20 0 BFADHN CC[C@H](C)CN(CC)CN1C[C@@H](CC)CC1=O ZINC000119383551 589275497 /nfs/dbraw/zinc/27/54/97/589275497.db2.gz MHCJHGWNPUIMRU-STQMWFEESA-N 0 3 240.391 2.570 20 0 BFADHN Cc1ccc(-c2ccc3c(c2)CNC3)c(CO)c1 ZINC000863725448 589313018 /nfs/dbraw/zinc/31/30/18/589313018.db2.gz SZZWUXZYUHCWDM-UHFFFAOYSA-N 0 3 239.318 2.758 20 0 BFADHN CC1(C)CCC(C)(NCc2ccc(N)nn2)CC1 ZINC000864049634 589334514 /nfs/dbraw/zinc/33/45/14/589334514.db2.gz JXAWFUYYSYEGJJ-UHFFFAOYSA-N 0 3 248.374 2.507 20 0 BFADHN CS[C@H]1C[C@H](NCc2ccncc2Cl)C1 ZINC000864054345 589336028 /nfs/dbraw/zinc/33/60/28/589336028.db2.gz WVAOBJHMLSCHNS-MGCOHNPYSA-N 0 3 242.775 2.719 20 0 BFADHN CCCCCCNC(=O)CN1[C@H](C)CC[C@@H]1C ZINC000120216176 589393190 /nfs/dbraw/zinc/39/31/90/589393190.db2.gz AZVWTCDODPLCFM-BETUJISGSA-N 0 3 240.391 2.556 20 0 BFADHN CCCCN(C)CCNCc1cc(C)oc1C ZINC000120328498 589404341 /nfs/dbraw/zinc/40/43/41/589404341.db2.gz VGCPENDAIGMQTQ-UHFFFAOYSA-N 0 3 238.375 2.718 20 0 BFADHN C[C@H](Cc1ccco1)NCc1cn(C2CC2)cn1 ZINC000865407792 589433773 /nfs/dbraw/zinc/43/37/73/589433773.db2.gz JIQVAQHHLFGLLI-LLVKDONJSA-N 0 3 245.326 2.532 20 0 BFADHN Cc1scc(CNC[C@H](O)CC(C)C)c1C ZINC000865437148 589435899 /nfs/dbraw/zinc/43/58/99/589435899.db2.gz AZNKKOJPPOQQTA-CYBMUJFWSA-N 0 3 241.400 2.862 20 0 BFADHN C[C@@H](NCc1cc2c(cc[nH]c2=O)o1)C1(C)CC1 ZINC000865435567 589435946 /nfs/dbraw/zinc/43/59/46/589435946.db2.gz PLUUWPBFRRFHGQ-SECBINFHSA-N 0 3 246.310 2.812 20 0 BFADHN Cc1c(Cl)cccc1CN[C@@H]1CCCOC1 ZINC000865453942 589437098 /nfs/dbraw/zinc/43/70/98/589437098.db2.gz MOIWKZODVZZGAX-GFCCVEGCSA-N 0 3 239.746 2.917 20 0 BFADHN CCC(C)(CC)NCc1cn(C2CC2)cn1 ZINC000865468431 589438581 /nfs/dbraw/zinc/43/85/81/589438581.db2.gz XPRXFUCAQWIHDZ-UHFFFAOYSA-N 0 3 221.348 2.886 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cn(C2CC2)cn1 ZINC000865490372 589441066 /nfs/dbraw/zinc/44/10/66/589441066.db2.gz TZFUEQBHESTXCZ-SMDDNHRTSA-N 0 3 233.359 2.886 20 0 BFADHN COCC1(NCc2cccc(Cl)c2C)CC1 ZINC000865493847 589441160 /nfs/dbraw/zinc/44/11/60/589441160.db2.gz ODRXJDIVNVMDOU-UHFFFAOYSA-N 0 3 239.746 2.917 20 0 BFADHN c1nc(CNCC2(C3CC3)CCC2)cn1C1CC1 ZINC000865508986 589442089 /nfs/dbraw/zinc/44/20/89/589442089.db2.gz UWYNZHFZAAQESO-UHFFFAOYSA-N 0 3 245.370 2.888 20 0 BFADHN c1nc(CNCCC2=CCCC2)cn1C1CC1 ZINC000865508975 589442128 /nfs/dbraw/zinc/44/21/28/589442128.db2.gz IVMVILXJAFZDPV-UHFFFAOYSA-N 0 3 231.343 2.808 20 0 BFADHN CC1(NCc2cnc(Cl)c(F)c2)CCC1 ZINC000865508747 589442179 /nfs/dbraw/zinc/44/21/79/589442179.db2.gz GXMAAAPFYSQARA-UHFFFAOYSA-N 0 3 228.698 2.906 20 0 BFADHN CC/C=C/CNCc1cnc(Cl)c(F)c1 ZINC000865587058 589449216 /nfs/dbraw/zinc/44/92/16/589449216.db2.gz NEXMGVSKEMYFMM-ONEGZZNKSA-N 0 3 228.698 2.930 20 0 BFADHN CC/C=C\CNCc1cnc(Cl)c(F)c1 ZINC000865587057 589449399 /nfs/dbraw/zinc/44/93/99/589449399.db2.gz NEXMGVSKEMYFMM-ARJAWSKDSA-N 0 3 228.698 2.930 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cn(C2CC2)cn1 ZINC000865599798 589449589 /nfs/dbraw/zinc/44/95/89/589449589.db2.gz TXWTXTROJKOCPR-BXUZGUMPSA-N 0 3 233.359 2.742 20 0 BFADHN Cc1c(Cl)cccc1CN[C@@H]1CO[C@H](C)C1 ZINC000865614815 589451269 /nfs/dbraw/zinc/45/12/69/589451269.db2.gz QTDGEGTYGJQDHB-SKDRFNHKSA-N 0 3 239.746 2.915 20 0 BFADHN CC[C@@H](NCc1cc2c(cc[nH]c2=O)o1)C1CC1 ZINC000865628259 589452547 /nfs/dbraw/zinc/45/25/47/589452547.db2.gz NTEMDJCMALTFDY-GFCCVEGCSA-N 0 3 246.310 2.812 20 0 BFADHN CC(C)[C@@H](NCc1cn(C2CC2)cn1)C1CC1 ZINC000865632733 589452800 /nfs/dbraw/zinc/45/28/00/589452800.db2.gz SNKIKUBAIKASKV-CQSZACIVSA-N 0 3 233.359 2.742 20 0 BFADHN c1nc(CN[C@H]2CCCC23CC3)cn1C1CC1 ZINC000865657490 589454719 /nfs/dbraw/zinc/45/47/19/589454719.db2.gz JXFRZPXCJNPXSF-ZDUSSCGKSA-N 0 3 231.343 2.640 20 0 BFADHN Fc1ccc(CN[C@@]23C[C@@H]2CCCC3)cn1 ZINC000865703649 589457494 /nfs/dbraw/zinc/45/74/94/589457494.db2.gz KISQBADTSCLHSD-AAEUAGOBSA-N 0 3 220.291 2.643 20 0 BFADHN Fc1cnccc1CNCC[C@H]1CCCS1 ZINC000865725930 589458582 /nfs/dbraw/zinc/45/85/82/589458582.db2.gz QGVXSONOBKPFNB-LLVKDONJSA-N 0 3 240.347 2.596 20 0 BFADHN Fc1ccc(CNCC[C@@H]2CCCS2)cn1 ZINC000865724850 589458621 /nfs/dbraw/zinc/45/86/21/589458621.db2.gz KTZCRAWMAQLITO-NSHDSACASA-N 0 3 240.347 2.596 20 0 BFADHN CC1(CNCc2noc3ccc(F)cc23)CC1 ZINC000865761936 589461260 /nfs/dbraw/zinc/46/12/60/589461260.db2.gz SBBZFNSMGHXTBW-UHFFFAOYSA-N 0 3 234.274 2.857 20 0 BFADHN c1coc(CNC[C@@H]2CCC23CCOCC3)c1 ZINC000865777918 589461469 /nfs/dbraw/zinc/46/14/69/589461469.db2.gz DIHBARWLZPQQAU-LBPRGKRZSA-N 0 3 235.327 2.576 20 0 BFADHN Cc1ccoc1CNC[C@@H]1C[C@H]1C1CCOCC1 ZINC000865784211 589461815 /nfs/dbraw/zinc/46/18/15/589461815.db2.gz DPPGMTZDRXYOHZ-KBPBESRZSA-N 0 3 249.354 2.740 20 0 BFADHN Cn1cc(C(F)F)c(CNCC2(C)CCC2)n1 ZINC000865807130 589462590 /nfs/dbraw/zinc/46/25/90/589462590.db2.gz IICWOYGTUIQBPN-UHFFFAOYSA-N 0 3 243.301 2.638 20 0 BFADHN c1cc(CNC2([C@H]3CCCCO3)CCC2)ccn1 ZINC000865857151 589465300 /nfs/dbraw/zinc/46/53/00/589465300.db2.gz NIQZQNRMSCZART-CQSZACIVSA-N 0 3 246.354 2.663 20 0 BFADHN Clc1ccc(CN[C@]23CCC[C@H]2OCC3)o1 ZINC000865859400 589465715 /nfs/dbraw/zinc/46/57/15/589465715.db2.gz NEZZLDTZPODSIF-PWSUYJOCSA-N 0 3 241.718 2.734 20 0 BFADHN Fc1cccc(/C=C\CNC[C@H]2CCCO2)c1 ZINC000885894346 589469210 /nfs/dbraw/zinc/46/92/10/589469210.db2.gz QKPBWBIMCZQERI-FWWGQDLDSA-N 0 3 235.302 2.608 20 0 BFADHN Cc1scc(CNc2ccnc(CO)c2)c1C ZINC000866025028 589473197 /nfs/dbraw/zinc/47/31/97/589473197.db2.gz DGLKMHULRHPEAY-UHFFFAOYSA-N 0 3 248.351 2.864 20 0 BFADHN CC[C@H](CCO)N[C@H](c1ccccn1)C(C)C ZINC000866272673 589483956 /nfs/dbraw/zinc/48/39/56/589483956.db2.gz YLOQYPRDIGYUDJ-OCCSQVGLSA-N 0 3 236.359 2.529 20 0 BFADHN COC(C)(C)CN[C@@H](c1ccccn1)C(C)C ZINC000866284843 589484414 /nfs/dbraw/zinc/48/44/14/589484414.db2.gz IGJWOHFGDONDIO-CYBMUJFWSA-N 0 3 236.359 2.793 20 0 BFADHN CC(C)[C@H](N[C@@H](C)C[C@H](C)O)c1ccccn1 ZINC000866337804 589486923 /nfs/dbraw/zinc/48/69/23/589486923.db2.gz ZCAIKWNSSUDWBO-OBJOEFQTSA-N 0 3 236.359 2.528 20 0 BFADHN CC(C)[C@@H](N[C@H](C)C[C@H](C)O)c1ccccn1 ZINC000866337802 589487048 /nfs/dbraw/zinc/48/70/48/589487048.db2.gz ZCAIKWNSSUDWBO-MBNYWOFBSA-N 0 3 236.359 2.528 20 0 BFADHN CO[C@@H]1CCCC[C@H]1NCc1cc(C)oc1C ZINC000121021543 589487300 /nfs/dbraw/zinc/48/73/00/589487300.db2.gz ZOTTVHUBQSHLOF-ZIAGYGMSSA-N 0 3 237.343 2.944 20 0 BFADHN C[C@@H]1CCc2ccc(F)cc2[C@H]1N[C@H]1C[C@@H](O)C1 ZINC000866368620 589488420 /nfs/dbraw/zinc/48/84/20/589488420.db2.gz DRBYMAIUDYTPCQ-JWFUOXDNSA-N 0 3 249.329 2.562 20 0 BFADHN C[C@H]1CCc2ccc(F)cc2[C@@H]1N[C@H]1C[C@@H](O)C1 ZINC000866368618 589488513 /nfs/dbraw/zinc/48/85/13/589488513.db2.gz DRBYMAIUDYTPCQ-GCVYGYKWSA-N 0 3 249.329 2.562 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@H]2CCSC2)no1 ZINC000866403500 589490445 /nfs/dbraw/zinc/49/04/45/589490445.db2.gz QQBNKXYPPAFASI-MNOVXSKESA-N 0 3 240.372 2.777 20 0 BFADHN Fc1cc(CN[C@@H]2CCC23CCC3)ccn1 ZINC000866473740 589494996 /nfs/dbraw/zinc/49/49/96/589494996.db2.gz GTDVRPWENSEICC-LLVKDONJSA-N 0 3 220.291 2.643 20 0 BFADHN Fc1cc(CN[C@H]2CCC23CCC3)ccn1 ZINC000866473742 589495076 /nfs/dbraw/zinc/49/50/76/589495076.db2.gz GTDVRPWENSEICC-NSHDSACASA-N 0 3 220.291 2.643 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2cccnc2)C1 ZINC000866486291 589496237 /nfs/dbraw/zinc/49/62/37/589496237.db2.gz CWEIYKQFXDRPQN-DLOVCJGASA-N 0 3 222.357 2.626 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2cncs2)C1 ZINC000866486749 589496366 /nfs/dbraw/zinc/49/63/66/589496366.db2.gz PNGGALULYVLDEG-CIUDSAMLSA-N 0 3 228.386 2.688 20 0 BFADHN CS[C@H]1C[C@H](N[C@@H](C)c2ccoc2)C1 ZINC000866486290 589496566 /nfs/dbraw/zinc/49/65/66/589496566.db2.gz CVLLBXYWXOJJHI-LSJOCFKGSA-N 0 3 211.330 2.824 20 0 BFADHN Cc1cc([C@@H](C)NCCCCC2CC2)nn1C ZINC000866540643 589499692 /nfs/dbraw/zinc/49/96/92/589499692.db2.gz ATJFBKVFWMAMNO-GFCCVEGCSA-N 0 3 235.375 2.959 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@H]2CSC[C@@H]2C1 ZINC000866581546 589500991 /nfs/dbraw/zinc/50/09/91/589500991.db2.gz BCCZIVYTQAIRHW-XBFCOCLRSA-N 0 3 248.395 2.828 20 0 BFADHN C[C@H](NCC[C@@H](O)C1CCCC1)c1cccnc1 ZINC000866638495 589506497 /nfs/dbraw/zinc/50/64/97/589506497.db2.gz RDRZASYHQKLBRU-SWLSCSKDSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H](NCC[C@H](O)C1CCCC1)c1ccncc1 ZINC000866637128 589506506 /nfs/dbraw/zinc/50/65/06/589506506.db2.gz DSFZFZOBUMNENJ-DOMZBBRYSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@H](NCC[C@@H](O)C1CCCC1)c1ccncc1 ZINC000866637130 589506632 /nfs/dbraw/zinc/50/66/32/589506632.db2.gz DSFZFZOBUMNENJ-SWLSCSKDSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@H](NCC[C@H](O)C1CCCC1)c1ccccn1 ZINC000866638848 589507047 /nfs/dbraw/zinc/50/70/47/589507047.db2.gz TZGDBTBIMQEXHJ-WFASDCNBSA-N 0 3 248.370 2.673 20 0 BFADHN C/C=C(/C)C(=O)N1c2ccccc2[C@@H](N)C1(C)C ZINC000867989689 589542737 /nfs/dbraw/zinc/54/27/37/589542737.db2.gz OCRIADLBYNKQJR-OMPYUXFZSA-N 0 3 244.338 2.778 20 0 BFADHN CC(C)CC(=O)N1c2ccccc2[C@H](N)C1(C)C ZINC000867991391 589542758 /nfs/dbraw/zinc/54/27/58/589542758.db2.gz OEOBKTUBGZASLQ-AWEZNQCLSA-N 0 3 246.354 2.858 20 0 BFADHN CCC[C@@H](C)[C@H](CC)NCc1ccon1 ZINC000122432719 589589352 /nfs/dbraw/zinc/58/93/52/589589352.db2.gz GBSATWXULPTZFI-PWSUYJOCSA-N 0 3 210.321 2.979 20 0 BFADHN CCC[C@H](C)[C@H](CC)NCc1ccon1 ZINC000122432916 589589423 /nfs/dbraw/zinc/58/94/23/589589423.db2.gz GBSATWXULPTZFI-JQWIXIFHSA-N 0 3 210.321 2.979 20 0 BFADHN O[C@@H](CN1CCC2(CCC2)C1)c1cccc(F)c1 ZINC000123296830 589688369 /nfs/dbraw/zinc/68/83/69/589688369.db2.gz QNRRCMCNUXANBI-AWEZNQCLSA-N 0 3 249.329 2.735 20 0 BFADHN Cc1ccncc1-c1ccc2c(c1)CCNC2 ZINC000871890209 589830305 /nfs/dbraw/zinc/83/03/05/589830305.db2.gz SDUQDUAPUBXBFE-UHFFFAOYSA-N 0 3 224.307 2.703 20 0 BFADHN CCCCN[C@@H](C)c1cc(O)ccc1F ZINC000872017192 589855880 /nfs/dbraw/zinc/85/58/80/589855880.db2.gz VZCBEKGKYZNUJL-VIFPVBQESA-N 0 3 211.280 2.982 20 0 BFADHN C[C@@H](NCC1CCC1)c1cc(O)ccc1F ZINC000872029047 589857457 /nfs/dbraw/zinc/85/74/57/589857457.db2.gz UIHBGSATSARFQI-SECBINFHSA-N 0 3 223.291 2.982 20 0 BFADHN COCC[C@@H](C)N[C@H](C)c1cc(O)ccc1F ZINC000872032297 589857714 /nfs/dbraw/zinc/85/77/14/589857714.db2.gz ZCGPCUGQKHIFOC-NXEZZACHSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@@H](NC1CC=CC1)c1cc(O)ccc1F ZINC000872044808 589859869 /nfs/dbraw/zinc/85/98/69/589859869.db2.gz WPDMJROHTNLJFV-SECBINFHSA-N 0 3 221.275 2.901 20 0 BFADHN C[C@@H]([NH2+]C1CCC1)c1cccc([O-])c1F ZINC000872048085 589860703 /nfs/dbraw/zinc/86/07/03/589860703.db2.gz XKWXKLFUXVMWTE-MRVPVSSYSA-N 0 3 209.264 2.734 20 0 BFADHN C[C@H](NCCCF)c1cc(O)ccc1F ZINC000872049280 589860715 /nfs/dbraw/zinc/86/07/15/589860715.db2.gz RVMRPTMTSXXMNT-QMMMGPOBSA-N 0 3 215.243 2.542 20 0 BFADHN C[C@H]([NH2+]CCC1CC1)c1cccc([O-])c1F ZINC000872047912 589860797 /nfs/dbraw/zinc/86/07/97/589860797.db2.gz GSXRCAXSGFXNRU-VIFPVBQESA-N 0 3 223.291 2.982 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1cccc(O)c1F ZINC000872073348 589863503 /nfs/dbraw/zinc/86/35/03/589863503.db2.gz PCUXKQSGZOKGHG-BBBLOLIVSA-N 0 3 241.306 2.605 20 0 BFADHN C[C@@H](NCC[C@H](C)F)c1cc(O)ccc1F ZINC000872072036 589863857 /nfs/dbraw/zinc/86/38/57/589863857.db2.gz CGGQJQFMQVUNLD-DTWKUNHWSA-N 0 3 229.270 2.930 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1cccc(O)c1F)OC ZINC000872072910 589864722 /nfs/dbraw/zinc/86/47/22/589864722.db2.gz LLCBJHJUVRQUEW-UWVGGRQHSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@@H](NCCC[C@@H]1C=CCC1)c1ncc[nH]1 ZINC000872107972 589873758 /nfs/dbraw/zinc/87/37/58/589873758.db2.gz QCDNSFJGFFYRLC-VXGBXAGGSA-N 0 3 219.332 2.807 20 0 BFADHN CCC[C@@H](C)[C@@H](CC)NCc1nonc1C ZINC000872137596 589875453 /nfs/dbraw/zinc/87/54/53/589875453.db2.gz ULTOHEQUWJGZOV-MWLCHTKSSA-N 0 3 225.336 2.682 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@H]1NCc1nonc1C ZINC000872135961 589879020 /nfs/dbraw/zinc/87/90/20/589879020.db2.gz JSRLLGCTTFMWGD-MVWJERBFSA-N 0 3 237.347 2.682 20 0 BFADHN CC(C)(F)CNC[C@H](O)c1ccc(Cl)cc1 ZINC000872216777 589895158 /nfs/dbraw/zinc/89/51/58/589895158.db2.gz DITKQXPYUAYPMD-NSHDSACASA-N 0 3 245.725 2.711 20 0 BFADHN O[C@H](CN[C@@H]1CCCC[C@@H]1F)CC1CCCC1 ZINC000872218009 589895912 /nfs/dbraw/zinc/89/59/12/589895912.db2.gz DKZUFYDCZGBMPB-MELADBBJSA-N 0 3 243.366 2.798 20 0 BFADHN CC(C)C[C@H](N)C(=O)NC1CCCCCCC1 ZINC000019427002 589908996 /nfs/dbraw/zinc/90/89/96/589908996.db2.gz AIDUIOVTIIUDAY-ZDUSSCGKSA-N 0 3 240.391 2.589 20 0 BFADHN CS[C@H]1CC[C@H](NCc2cncs2)C1 ZINC000124338186 589976698 /nfs/dbraw/zinc/97/66/98/589976698.db2.gz KDIPVBBKCDOFLE-IUCAKERBSA-N 0 3 228.386 2.517 20 0 BFADHN CCn1ccnc1CNCCC[C@H]1C=CCC1 ZINC000873154073 589987307 /nfs/dbraw/zinc/98/73/07/589987307.db2.gz QMINNNLRQYNZLX-ZDUSSCGKSA-N 0 3 233.359 2.739 20 0 BFADHN Cc1cc(CNCCC[C@H]2C=CCC2)nn1C ZINC000873154183 589987852 /nfs/dbraw/zinc/98/78/52/589987852.db2.gz QWZSOKSUGUHXPW-ZDUSSCGKSA-N 0 3 233.359 2.565 20 0 BFADHN Cc1nocc1CNCCC[C@@H]1C=CCC1 ZINC000873153933 589987922 /nfs/dbraw/zinc/98/79/22/589987922.db2.gz MLIQSAFIUYJHDP-GFCCVEGCSA-N 0 3 220.316 2.819 20 0 BFADHN Cc1occc1CNC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000873156614 589988449 /nfs/dbraw/zinc/98/84/49/589988449.db2.gz XHLDEKDACYZQPB-UBHSHLNASA-N 0 3 235.327 2.635 20 0 BFADHN CCc1ccc(CNC[C@@H]2C[C@H]3CCC[C@H]3O2)o1 ZINC000873155571 589988535 /nfs/dbraw/zinc/98/85/35/589988535.db2.gz FZVGCIOYPZXZCY-BYCMXARLSA-N 0 3 249.354 2.889 20 0 BFADHN CC(C)OC1(CNCc2cccnc2)CCC1 ZINC000873166499 589989355 /nfs/dbraw/zinc/98/93/55/589989355.db2.gz DRMKKSRJIIIEOQ-UHFFFAOYSA-N 0 3 234.343 2.519 20 0 BFADHN CC(C)OC[C@H](C)NC1(C)CC(F)(F)C1 ZINC000873197951 589992353 /nfs/dbraw/zinc/99/23/53/589992353.db2.gz WTAVMITVSNKZNO-VIFPVBQESA-N 0 3 221.291 2.577 20 0 BFADHN Cc1noc(C)c1CNCCc1cccs1 ZINC000020253046 590093053 /nfs/dbraw/zinc/09/30/53/590093053.db2.gz UJTBIKDLGLGUHG-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN CCC[C@H](CC)C(=O)N[C@@H](CN(C)C)C(C)C ZINC000874468581 590113448 /nfs/dbraw/zinc/11/34/48/590113448.db2.gz KYIYXUCPBJJSDT-STQMWFEESA-N 0 3 242.407 2.515 20 0 BFADHN CSC(C)(C)CCN1CCN(C(C)C)CC1 ZINC000876565291 590216172 /nfs/dbraw/zinc/21/61/72/590216172.db2.gz RYAIHEBHJOYLSP-UHFFFAOYSA-N 0 3 244.448 2.544 20 0 BFADHN CCCN(Cc1cc2c(cc[nH]c2=O)o1)C1CC1 ZINC000876575756 590217322 /nfs/dbraw/zinc/21/73/22/590217322.db2.gz RWEZRCGUSIGJOS-UHFFFAOYSA-N 0 3 246.310 2.908 20 0 BFADHN CC1(CN2CCN(CC3CC3)CC2)CCCC1 ZINC000876610577 590222130 /nfs/dbraw/zinc/22/21/30/590222130.db2.gz DJHSIFNSWJEQFC-UHFFFAOYSA-N 0 3 236.403 2.594 20 0 BFADHN Cc1c(Cl)cccc1CN(C)[C@@H]1CCOC1 ZINC000876690572 590231113 /nfs/dbraw/zinc/23/11/13/590231113.db2.gz NILGEBQDFSDYPH-GFCCVEGCSA-N 0 3 239.746 2.869 20 0 BFADHN Cc1c(Cl)cccc1CN1CC[C@@](C)(O)C1 ZINC000876790647 590241575 /nfs/dbraw/zinc/24/15/75/590241575.db2.gz AENPXPHWZNCJPQ-CYBMUJFWSA-N 0 3 239.746 2.605 20 0 BFADHN CC(C)[C@H]1CCN1C[C@@H]1CC1(Cl)Cl ZINC000876880104 590252973 /nfs/dbraw/zinc/25/29/73/590252973.db2.gz ZOXXKNWCLCCTTK-DTWKUNHWSA-N 0 3 222.159 2.911 20 0 BFADHN COc1cnc(F)c(CNCCC(C)(C)C)c1 ZINC000876918384 590256824 /nfs/dbraw/zinc/25/68/24/590256824.db2.gz JRIHXCLJFCEOLQ-UHFFFAOYSA-N 0 3 240.322 2.755 20 0 BFADHN Cc1ccc(N2CCN(C(C)C)CC2)cc1C ZINC000126245976 590260451 /nfs/dbraw/zinc/26/04/51/590260451.db2.gz CWIRCHCLTVSLHU-UHFFFAOYSA-N 0 3 232.371 2.834 20 0 BFADHN CC(C)(C)OCCNC[C@H]1CC1(Cl)Cl ZINC000876988029 590265652 /nfs/dbraw/zinc/26/56/52/590265652.db2.gz RNXLZTVKBHKSQH-MRVPVSSYSA-N 0 3 240.174 2.585 20 0 BFADHN CCC(C)(C)NCc1cc(OC)cnc1F ZINC000876994620 590266253 /nfs/dbraw/zinc/26/62/53/590266253.db2.gz LLAHMQMDNXQJPK-UHFFFAOYSA-N 0 3 226.295 2.508 20 0 BFADHN CCC[C@H](CC)NCc1ccc(Cl)nn1 ZINC000877021391 590269919 /nfs/dbraw/zinc/26/99/19/590269919.db2.gz SSKPBBBMVHMENL-VIFPVBQESA-N 0 3 227.739 2.798 20 0 BFADHN c1c(CNCCC2CCC2)onc1C1CC1 ZINC000877103020 590283630 /nfs/dbraw/zinc/28/36/30/590283630.db2.gz JGOJLNDKRSXZKC-UHFFFAOYSA-N 0 3 220.316 2.832 20 0 BFADHN COc1cnc(F)c(CNCCC2CCC2)c1 ZINC000877102548 590283710 /nfs/dbraw/zinc/28/37/10/590283710.db2.gz AGQDARSDXDSCQL-UHFFFAOYSA-N 0 3 238.306 2.509 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccc[nH]1)[C@@H]1CC1(F)F ZINC000877124888 590289547 /nfs/dbraw/zinc/28/95/47/590289547.db2.gz SJVXEDSCBJUHFR-VGMNWLOBSA-N 0 3 214.259 2.709 20 0 BFADHN CSC(C)(C)CCN1CCO[C@H](C(C)C)C1 ZINC000877165865 590294891 /nfs/dbraw/zinc/29/48/91/590294891.db2.gz SDYBAYWBHMEOCT-LBPRGKRZSA-N 0 3 245.432 2.875 20 0 BFADHN COc1cnc(F)c(CN[C@@H]2CCC2(C)C)c1 ZINC000877521874 590346375 /nfs/dbraw/zinc/34/63/75/590346375.db2.gz JTXVIEKOBAFOJE-LLVKDONJSA-N 0 3 238.306 2.508 20 0 BFADHN Cc1cccc(C[C@H](C)N[C@@H](C)CF)c1 ZINC000877548041 590353709 /nfs/dbraw/zinc/35/37/09/590353709.db2.gz DOJOYTAKYYGTNI-RYUDHWBXSA-N 0 3 209.308 2.874 20 0 BFADHN Cc1cccc(C[C@@H](C)N[C@@H](C)CF)c1 ZINC000877548040 590354267 /nfs/dbraw/zinc/35/42/67/590354267.db2.gz DOJOYTAKYYGTNI-NEPJUHHUSA-N 0 3 209.308 2.874 20 0 BFADHN CC[C@](C)(CN1CCC[C@](C)(F)C1)OC ZINC000877557357 590357215 /nfs/dbraw/zinc/35/72/15/590357215.db2.gz KYTDKIAZWGLSMW-NWDGAFQWSA-N 0 3 217.328 2.626 20 0 BFADHN CCCn1cc(CNC23CCC(CC2)CC3)nn1 ZINC000877568044 590361724 /nfs/dbraw/zinc/36/17/24/590361724.db2.gz FMIOHKCUNYDHLY-UHFFFAOYSA-N 0 3 248.374 2.501 20 0 BFADHN CC(C)(NCc1ccc(Cl)nn1)C1CCC1 ZINC000877567141 590362120 /nfs/dbraw/zinc/36/21/20/590362120.db2.gz HGGIPULAOUNEME-UHFFFAOYSA-N 0 3 239.750 2.798 20 0 BFADHN CC[C@H](C)N1CCN(CC2(C)CCCC2)CC1 ZINC000877573736 590362959 /nfs/dbraw/zinc/36/29/59/590362959.db2.gz VJPLULKYUCKPEQ-AWEZNQCLSA-N 0 3 238.419 2.983 20 0 BFADHN C[C@@]1(NCc2ccc(Cl)nn2)CC=CCC1 ZINC000877586159 590367506 /nfs/dbraw/zinc/36/75/06/590367506.db2.gz VFQJCWAOTRKAQF-GFCCVEGCSA-N 0 3 237.734 2.718 20 0 BFADHN CC[C@@H](C)[C@@H](CN1CC(CC(F)F)C1)OC ZINC000877599396 590371521 /nfs/dbraw/zinc/37/15/21/590371521.db2.gz YKSROAPIOPJDCF-MWLCHTKSSA-N 0 3 235.318 2.635 20 0 BFADHN COc1c(C)cnc(CN[C@H]2[C@@H]3CCC[C@@H]32)c1C ZINC000877634004 590378667 /nfs/dbraw/zinc/37/86/67/590378667.db2.gz JMVZKHSJCJFNTM-IMRBUKKESA-N 0 3 246.354 2.595 20 0 BFADHN Fc1cc(CN[C@@H]2CCCC23CC3)c(F)cn1 ZINC000877663640 590388325 /nfs/dbraw/zinc/38/83/25/590388325.db2.gz DQZQHAFDCFNOSI-LLVKDONJSA-N 0 3 238.281 2.782 20 0 BFADHN OCc1ccc(CN[C@@H]2CCCC[C@@H]2F)cc1 ZINC000877682594 590390971 /nfs/dbraw/zinc/39/09/71/590390971.db2.gz QOSJMWZYFXLYSO-UONOGXRCSA-N 0 3 237.318 2.549 20 0 BFADHN CS[C@H]1CC[C@H]1N[C@@H]1CCCC[C@@H]1F ZINC000877682436 590391409 /nfs/dbraw/zinc/39/14/09/590391409.db2.gz LXPVRHAURXPXHL-ZDCRXTMVSA-N 0 3 217.353 2.751 20 0 BFADHN COC(C)(C)CCNCc1nc2ccccc2o1 ZINC000877707854 590396947 /nfs/dbraw/zinc/39/69/47/590396947.db2.gz LYCKDCFJBLEHMC-UHFFFAOYSA-N 0 3 248.326 2.733 20 0 BFADHN c1cc(CN2C[C@@H]3CC=CC[C@@H]3C2)sn1 ZINC000877718927 590399204 /nfs/dbraw/zinc/39/92/04/590399204.db2.gz YRAGQWWAVWAFCI-PHIMTYICSA-N 0 3 220.341 2.541 20 0 BFADHN CCCn1nccc1CN1C[C@@H]2CC=CC[C@@H]2C1 ZINC000877718784 590400137 /nfs/dbraw/zinc/40/01/37/590400137.db2.gz TVSKJCKEJYDMLL-OKILXGFUSA-N 0 3 245.370 2.691 20 0 BFADHN CC1(C)C[C@@H](O)CN(C/C=C\c2ccccc2)C1 ZINC000877752700 590415584 /nfs/dbraw/zinc/41/55/84/590415584.db2.gz IDHHMWSHLUNRGH-WUKHSURWSA-N 0 3 245.366 2.793 20 0 BFADHN CC(C)CN1Cc2cccnc2N2CCC[C@H]2C1 ZINC000877762109 590419251 /nfs/dbraw/zinc/41/92/51/590419251.db2.gz AOCMNCGWNCWWNB-AWEZNQCLSA-N 0 3 245.370 2.522 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1noc2cc(F)ccc12 ZINC000877761689 590419306 /nfs/dbraw/zinc/41/93/06/590419306.db2.gz MRACYFVEDRROBO-RKDXNWHRSA-N 0 3 234.274 2.713 20 0 BFADHN C[C@H]1C[C@H]1CNCc1noc2c1CC(C)(C)CC2 ZINC000877809934 590426468 /nfs/dbraw/zinc/42/64/68/590426468.db2.gz KAYOSUDCYQGYET-QWRGUYRKSA-N 0 3 248.370 2.935 20 0 BFADHN Cc1ccc(CN(C)C[C@H](C)C(C)(C)C)nn1 ZINC000877824599 590427765 /nfs/dbraw/zinc/42/77/65/590427765.db2.gz KIASDMYAQBSBGY-NSHDSACASA-N 0 3 235.375 2.899 20 0 BFADHN Cc1cnc(CN(C)C[C@H](C)C(C)(C)C)cn1 ZINC000877824587 590428147 /nfs/dbraw/zinc/42/81/47/590428147.db2.gz JYYLQWGWPXWHBZ-NSHDSACASA-N 0 3 235.375 2.899 20 0 BFADHN Cc1cc(OCCOC2CC2)c(C)c(C)n1 ZINC000877883784 590438715 /nfs/dbraw/zinc/43/87/15/590438715.db2.gz VJCGXDMFCVCQPB-UHFFFAOYSA-N 0 3 221.300 2.565 20 0 BFADHN CO[C@H]1C[C@@H](CN(C)Cc2ccsc2)C1 ZINC000877921567 590447844 /nfs/dbraw/zinc/44/78/44/590447844.db2.gz NOSZFLZKABFAQV-TXEJJXNPSA-N 0 3 225.357 2.605 20 0 BFADHN C=C/C=C\CCN1C[C@H](C)OC(C)(C)C1 ZINC000877994429 590467217 /nfs/dbraw/zinc/46/72/17/590467217.db2.gz FYPBLIUQONHOLX-DGMVEKRQSA-N 0 3 209.333 2.618 20 0 BFADHN CC(C)[C@@H](O)CCN(C)[C@H](C)c1ccco1 ZINC000878003163 590470675 /nfs/dbraw/zinc/47/06/75/590470675.db2.gz WPFMQLLKARLSTC-NEPJUHHUSA-N 0 3 225.332 2.679 20 0 BFADHN FC1(F)CCC[C@H]1CCN1CCCOCC1 ZINC000878014896 590471609 /nfs/dbraw/zinc/47/16/09/590471609.db2.gz SALCXZZZLFLGBL-NSHDSACASA-N 0 3 233.302 2.534 20 0 BFADHN Cc1conc1CN(C)C(C1CC1)C1CC1 ZINC000878017676 590472516 /nfs/dbraw/zinc/47/25/16/590472516.db2.gz CMQRMVDPRSNCJV-UHFFFAOYSA-N 0 3 220.316 2.603 20 0 BFADHN Cc1conc1CN1CCC[C@H]1C(C)(C)C ZINC000878054515 590483757 /nfs/dbraw/zinc/48/37/57/590483757.db2.gz GVWDKIWCMMGBCZ-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN C=C/C=C\CCN1CCC[C@@H]1[C@H](O)C(F)(F)F ZINC000878087141 590489791 /nfs/dbraw/zinc/48/97/91/590489791.db2.gz HNZPDCQVQAPREA-CJOPTALJSA-N 0 3 249.276 2.506 20 0 BFADHN Cc1conc1CN1CCC[C@H]2CCC[C@H]21 ZINC000878133123 590503513 /nfs/dbraw/zinc/50/35/13/590503513.db2.gz GHRZYNPWXOMTOV-DGCLKSJQSA-N 0 3 220.316 2.748 20 0 BFADHN CO[C@H]1C[C@@H](CN2CC[C@@H]2c2cccc(F)c2)C1 ZINC000878166365 590512194 /nfs/dbraw/zinc/51/21/94/590512194.db2.gz SOVIXQKQJIMCOB-BYCMXARLSA-N 0 3 249.329 2.998 20 0 BFADHN C=C/C=C\CCN(C)Cc1ccc(OC)c(O)c1 ZINC000878182386 590518121 /nfs/dbraw/zinc/51/81/21/590518121.db2.gz ICFQNKZXVJRPLP-WAYWQWQTSA-N 0 3 247.338 2.965 20 0 BFADHN Cc1ccc(CN[C@H](C)Cc2ccco2)nc1 ZINC000126965055 590525327 /nfs/dbraw/zinc/52/53/27/590525327.db2.gz VGRWWNZAYVIIII-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN C=C/C=C/CCN(C)CCc1nccs1 ZINC000878296321 590536218 /nfs/dbraw/zinc/53/62/18/590536218.db2.gz DWRCTVQPEKJSAT-SNAWJCMRSA-N 0 3 222.357 2.750 20 0 BFADHN CC1=CCN(CCCOC(F)(F)F)CC1 ZINC000878299985 590537750 /nfs/dbraw/zinc/53/77/50/590537750.db2.gz BVVCFTUDWRMPFI-UHFFFAOYSA-N 0 3 223.238 2.565 20 0 BFADHN CC(C)[C@@H](O)CCN1CCC[C@H]1c1cccnc1 ZINC000878308956 590539856 /nfs/dbraw/zinc/53/98/56/590539856.db2.gz JPKISWSNBPYUEZ-GJZGRUSLSA-N 0 3 248.370 2.626 20 0 BFADHN CC(C)[C@H](O)CCN1CCC[C@@H]1c1ccncc1 ZINC000878310711 590540076 /nfs/dbraw/zinc/54/00/76/590540076.db2.gz PLURMCMTSRAZEC-HUUCEWRRSA-N 0 3 248.370 2.626 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1nccn1CC(F)F ZINC000878365689 590554525 /nfs/dbraw/zinc/55/45/25/590554525.db2.gz CTFHONQGOYZXAV-NXEZZACHSA-N 0 3 245.317 2.672 20 0 BFADHN C[C@@H]1OCC[C@H]1CNC1(c2ccccc2F)CC1 ZINC000878366742 590554957 /nfs/dbraw/zinc/55/49/57/590554957.db2.gz XALNSXPDYOWUHO-RYUDHWBXSA-N 0 3 249.329 2.829 20 0 BFADHN C[C@@H]1CCOCCN1CC[C@@H]1CCCC1(F)F ZINC000878374575 590555269 /nfs/dbraw/zinc/55/52/69/590555269.db2.gz URIQBADIAFQDMO-NEPJUHHUSA-N 0 3 247.329 2.923 20 0 BFADHN CC1(C)CCC[C@H](CN2CC=CCC2)O1 ZINC000878385050 590558077 /nfs/dbraw/zinc/55/80/77/590558077.db2.gz TZNUOVJDNUEGDN-GFCCVEGCSA-N 0 3 209.333 2.596 20 0 BFADHN FC(F)O[C@@H]1CCCN(CCCC2CC2)C1 ZINC000878394465 590558415 /nfs/dbraw/zinc/55/84/15/590558415.db2.gz XBZMILDCVBZPAN-LLVKDONJSA-N 0 3 233.302 2.880 20 0 BFADHN C=C/C=C\CCN[C@@H](CO)c1cccc(F)c1 ZINC000878394576 590558824 /nfs/dbraw/zinc/55/88/24/590558824.db2.gz ARROOPRBZJDNLQ-NQHOJNORSA-N 0 3 235.302 2.581 20 0 BFADHN CCN(C#N)CCN[C@H](C)c1ccc(C)s1 ZINC000878416228 590563612 /nfs/dbraw/zinc/56/36/12/590563612.db2.gz DVNSDZUVGUFXCK-LLVKDONJSA-N 0 3 237.372 2.510 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1nocc1C ZINC000878451977 590569839 /nfs/dbraw/zinc/56/98/39/590569839.db2.gz IGTKBSZADCOUFN-BXKDBHETSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1conc1CN(C)[C@H]1CCCC[C@H]1C ZINC000878474737 590574135 /nfs/dbraw/zinc/57/41/35/590574135.db2.gz DXUZWMDDTWJZGZ-MFKMUULPSA-N 0 3 222.332 2.994 20 0 BFADHN C=C/C=C\CCN1C[C@H](C)O[C@H](C)[C@H]1C ZINC000878482449 590577182 /nfs/dbraw/zinc/57/71/82/590577182.db2.gz RFDURORJYGRAPZ-ZXSNLUEJSA-N 0 3 209.333 2.616 20 0 BFADHN CCC(CC)N(CC)Cc1nocc1C ZINC000878623205 590597455 /nfs/dbraw/zinc/59/74/55/590597455.db2.gz HDXCKZPDPZOHAP-UHFFFAOYSA-N 0 3 210.321 2.994 20 0 BFADHN C[C@H](NCC1CC2(CCC2)C1)c1ccn(C)n1 ZINC000878704611 590612507 /nfs/dbraw/zinc/61/25/07/590612507.db2.gz DCURTJGAWMXUKI-NSHDSACASA-N 0 3 233.359 2.651 20 0 BFADHN CC1(C)CCC[C@@H](CN2C[C@@H]3C[C@H]2CS3)O1 ZINC000878706506 590612979 /nfs/dbraw/zinc/61/29/79/590612979.db2.gz YHJSQUQEJKAHBV-SRVKXCTJSA-N 0 3 241.400 2.524 20 0 BFADHN C=C/C=C/CCN[C@H](CCO)c1ccccc1F ZINC000878765083 590619830 /nfs/dbraw/zinc/61/98/30/590619830.db2.gz WIUXMWXBMNLRHM-NHZBNJEXSA-N 0 3 249.329 2.971 20 0 BFADHN C=C/C=C\CCN1C[C@H](CC)OC[C@@H]1C ZINC000878805148 590621703 /nfs/dbraw/zinc/62/17/03/590621703.db2.gz GPRDDJBBKMUDTJ-JSIVBPPZSA-N 0 3 209.333 2.618 20 0 BFADHN COCC1(CN2CCC=C(Cl)C2)CCC1 ZINC000878790555 590622475 /nfs/dbraw/zinc/62/24/75/590622475.db2.gz OXXHQQGOPWUJQK-UHFFFAOYSA-N 0 3 229.751 2.632 20 0 BFADHN C=C/C=C\CCN1Cc2c[nH]nc2CC(C)(C)C1 ZINC000879011815 590676964 /nfs/dbraw/zinc/67/69/64/590676964.db2.gz WMYFKMYSFUTCKQ-WAYWQWQTSA-N 0 3 245.370 2.926 20 0 BFADHN C([C@H]1CCC2(CCC2)O1)N(C1CC1)C1CC1 ZINC000879037834 590684007 /nfs/dbraw/zinc/68/40/07/590684007.db2.gz MZKHXWPSSCILCQ-CYBMUJFWSA-N 0 3 221.344 2.715 20 0 BFADHN Cc1cc(CN[C@H](C)[C@@H]2CCCO2)c(C)o1 ZINC000127586830 590716816 /nfs/dbraw/zinc/71/68/16/590716816.db2.gz AVBMXJHMGBSYES-MFKMUULPSA-N 0 3 223.316 2.554 20 0 BFADHN CC[C@H](C)N1CCc2cccc(NC(C)=O)c2C1 ZINC000879237885 590718993 /nfs/dbraw/zinc/71/89/93/590718993.db2.gz QVNHZCFETTUVBP-NSHDSACASA-N 0 3 246.354 2.802 20 0 BFADHN CO[C@H]1CC[C@@H](C)N(Cc2cccs2)C1 ZINC000879320491 590740858 /nfs/dbraw/zinc/74/08/58/590740858.db2.gz GLSMACYKPSMWAB-MNOVXSKESA-N 0 3 225.357 2.747 20 0 BFADHN COc1ccc(CN2C[C@H](OC)CC[C@H]2C)cc1 ZINC000879324029 590744432 /nfs/dbraw/zinc/74/44/32/590744432.db2.gz BBOZHCZGEYEZNE-IUODEOHRSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1ccnc(CNC(C)(C)[C@H]2CCCCO2)c1 ZINC000879407016 590762204 /nfs/dbraw/zinc/76/22/04/590762204.db2.gz STXNJBRFOAVOMM-CQSZACIVSA-N 0 3 248.370 2.827 20 0 BFADHN CC(C)(NC/C=C/Cl)[C@@H]1CCCCO1 ZINC000879410405 590762879 /nfs/dbraw/zinc/76/28/79/590762879.db2.gz TXDTUJCMXYBRDO-STUBTGCMSA-N 0 3 217.740 2.676 20 0 BFADHN CC(C)(NC/C=C\Cl)[C@@H]1CCCCO1 ZINC000879410403 590763474 /nfs/dbraw/zinc/76/34/74/590763474.db2.gz TXDTUJCMXYBRDO-BXKUYDPTSA-N 0 3 217.740 2.676 20 0 BFADHN CC[C@](C)(CCO)NCc1cc(C)oc1C ZINC000127746782 590769145 /nfs/dbraw/zinc/76/91/45/590769145.db2.gz DECMKMRZOZZCCH-CYBMUJFWSA-N 0 3 225.332 2.537 20 0 BFADHN Cc1cccc(CN2CC[C@H]3CSC[C@H]3C2)n1 ZINC000879558830 590803308 /nfs/dbraw/zinc/80/33/08/590803308.db2.gz LPKCMLLQTBYEJO-QWHCGFSZSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1ccnc(CN2CC[C@@H]3CSC[C@H]3C2)c1 ZINC000879565001 590805145 /nfs/dbraw/zinc/80/51/45/590805145.db2.gz KCMDEPDTTNMXSS-CHWSQXEVSA-N 0 3 248.395 2.575 20 0 BFADHN Cl/C=C\CNC1([C@@H]2CCCCO2)CCC1 ZINC000879730780 590857187 /nfs/dbraw/zinc/85/71/87/590857187.db2.gz HYBZZECBAHSCRB-OHYQPGSNSA-N 0 3 229.751 2.820 20 0 BFADHN Cl/C=C/CNC1([C@H]2CCCCO2)CCC1 ZINC000879730781 590857328 /nfs/dbraw/zinc/85/73/28/590857328.db2.gz HYBZZECBAHSCRB-SOZJPDODSA-N 0 3 229.751 2.820 20 0 BFADHN COCCCN1CCC[C@@H]1c1cncc(C)c1 ZINC000879746518 590861156 /nfs/dbraw/zinc/86/11/56/590861156.db2.gz AALKTLBNHREWKM-CQSZACIVSA-N 0 3 234.343 2.563 20 0 BFADHN CO[C@H](C)CN1CCC[C@H]1c1cncc(C)c1 ZINC000879750727 590862292 /nfs/dbraw/zinc/86/22/92/590862292.db2.gz YNJPIIRVVPBNQK-OCCSQVGLSA-N 0 3 234.343 2.562 20 0 BFADHN C[C@@H](c1cccnc1)N1CCC[C@H](C(C)(C)O)C1 ZINC000879961737 590899524 /nfs/dbraw/zinc/89/95/24/590899524.db2.gz HMHLRHCAPWSJHT-JSGCOSHPSA-N 0 3 248.370 2.626 20 0 BFADHN CSCCN1CC[C@@](C)(C(F)(F)F)C1 ZINC000880000242 590906596 /nfs/dbraw/zinc/90/65/96/590906596.db2.gz KOUBMHCWNVEKOL-MRVPVSSYSA-N 0 3 227.295 2.624 20 0 BFADHN C[C@]1(C(F)(F)F)CCN(CCC(=O)C2CC2)C1 ZINC000880003045 590907466 /nfs/dbraw/zinc/90/74/66/590907466.db2.gz WSLBNYWDKRCWNT-NSHDSACASA-N 0 3 249.276 2.630 20 0 BFADHN CCCCN1CCc2ccc(NC(C)=O)cc2C1 ZINC000880028729 590912642 /nfs/dbraw/zinc/91/26/42/590912642.db2.gz CRJJPCVQCOAYBS-UHFFFAOYSA-N 0 3 246.354 2.803 20 0 BFADHN CCCCCN1CCO[C@@H](C(F)(F)F)CC1 ZINC000880046726 590913868 /nfs/dbraw/zinc/91/38/68/590913868.db2.gz BGRQNYUXHDVMOB-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN FC(F)(F)[C@@H]1CCN(CC2CC=CC2)CCO1 ZINC000880052963 590914938 /nfs/dbraw/zinc/91/49/38/590914938.db2.gz ZLFUBAKQJFKFAO-NSHDSACASA-N 0 3 249.276 2.606 20 0 BFADHN CCc1nnc(CN2CCCC2(CC)CC)o1 ZINC000880096623 590925917 /nfs/dbraw/zinc/92/59/17/590925917.db2.gz RHCLCHFSWKONNO-UHFFFAOYSA-N 0 3 237.347 2.787 20 0 BFADHN CC(C)c1cc(CN[C@H]2CCCSC2)on1 ZINC000128275212 590955852 /nfs/dbraw/zinc/95/58/52/590955852.db2.gz ZJZULWRZSDBVCE-JTQLQIEISA-N 0 3 240.372 2.783 20 0 BFADHN O[C@H]1C[C@](NCC2=CCCC2)(c2ccccc2)C1 ZINC000880221307 590960726 /nfs/dbraw/zinc/96/07/26/590960726.db2.gz DELOJEMSWRELGS-IYBDPMFKSA-N 0 3 243.350 2.737 20 0 BFADHN Cc1cncc(CN2CCC[C@@H](CF)C2)c1 ZINC000880237118 590968425 /nfs/dbraw/zinc/96/84/25/590968425.db2.gz QGCKNBCMXAHWOE-LBPRGKRZSA-N 0 3 222.307 2.572 20 0 BFADHN COc1ccc(CN2CCC[C@H](CF)C2)cc1 ZINC000880237004 590968701 /nfs/dbraw/zinc/96/87/01/590968701.db2.gz OHENAZUGBCTNJR-CYBMUJFWSA-N 0 3 237.318 2.877 20 0 BFADHN Cc1nsc(C)c1CN1CCC[C@@H](CF)C1 ZINC000880237965 590969280 /nfs/dbraw/zinc/96/92/80/590969280.db2.gz LRDSFIJVERDXJM-NSHDSACASA-N 0 3 242.363 2.941 20 0 BFADHN Cc1cnc(CCN2CCC(F)CC2)c(C)c1 ZINC000880238845 590971780 /nfs/dbraw/zinc/97/17/80/590971780.db2.gz MVPQHHDAGFBTFK-UHFFFAOYSA-N 0 3 236.334 2.675 20 0 BFADHN CC(C)(C)OC(=O)CCCN1CCC(F)CC1 ZINC000880240146 590973908 /nfs/dbraw/zinc/97/39/08/590973908.db2.gz NEXDENTVCJLVLR-UHFFFAOYSA-N 0 3 245.338 2.542 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC(F)CC1 ZINC000880240203 590974239 /nfs/dbraw/zinc/97/42/39/590974239.db2.gz PIONGDQDGSYBRJ-JTQLQIEISA-N 0 3 208.280 2.577 20 0 BFADHN COc1ccc(CN2CC[C@H](CF)C2)cc1F ZINC000880291826 590985636 /nfs/dbraw/zinc/98/56/36/590985636.db2.gz JQJPUPVQFTXCPC-LLVKDONJSA-N 0 3 241.281 2.626 20 0 BFADHN FC[C@@H]1CCN(C/C=C/c2ccccc2)C1 ZINC000880292184 590986369 /nfs/dbraw/zinc/98/63/69/590986369.db2.gz NCGXLFCHADXENO-GBXSZLQWSA-N 0 3 219.303 2.991 20 0 BFADHN FC[C@@H]1CCN(CCc2ccc(F)c(F)c2)C1 ZINC000880295238 590989375 /nfs/dbraw/zinc/98/93/75/590989375.db2.gz QSVIYXQUUVBXPY-NSHDSACASA-N 0 3 243.272 2.799 20 0 BFADHN CO[C@@]1(C(F)(F)F)CCN([C@@H]2C=CCCC2)C1 ZINC000880303912 590998290 /nfs/dbraw/zinc/99/82/90/590998290.db2.gz STPJRKYOPDOSCK-MNOVXSKESA-N 0 3 249.276 2.748 20 0 BFADHN c1csc([C@@H]2CCN(Cc3cccnc3)C2)n1 ZINC000880316126 591003939 /nfs/dbraw/zinc/00/39/39/591003939.db2.gz CZLWUZAVXNPSRV-GFCCVEGCSA-N 0 3 245.351 2.528 20 0 BFADHN C[C@@]1(c2ccccc2)CCCN1CCF ZINC000880371916 591013287 /nfs/dbraw/zinc/01/32/87/591013287.db2.gz PWRRIOHTYSBHTN-ZDUSSCGKSA-N 0 3 207.292 2.967 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN1CCc2ncncc2C1 ZINC000880598792 591108222 /nfs/dbraw/zinc/10/82/22/591108222.db2.gz XNVGYPLXGROTFI-QWHCGFSZSA-N 0 3 245.370 2.661 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@@H]1CC[C@@H](C)C1 ZINC000128923050 591187291 /nfs/dbraw/zinc/18/72/91/591187291.db2.gz YDKLSBLONATLNH-PSASIEDQSA-N 0 3 241.766 2.660 20 0 BFADHN C[C@H](NC1CC1)c1cnn(-c2ccccc2)c1 ZINC000129486885 591312220 /nfs/dbraw/zinc/31/22/20/591312220.db2.gz CBDOIZGETRXUBQ-NSHDSACASA-N 0 3 227.311 2.685 20 0 BFADHN CC[C@@H](N)C(=O)N(C)c1ccc(C(C)(C)C)cc1 ZINC000129483580 591312573 /nfs/dbraw/zinc/31/25/73/591312573.db2.gz PRTHKGGWEWWDRJ-CYBMUJFWSA-N 0 3 248.370 2.684 20 0 BFADHN CCc1ncc(CN[C@H](C)CCSC)s1 ZINC000129830054 591412200 /nfs/dbraw/zinc/41/22/00/591412200.db2.gz HBIPAFLSTIHCFG-SECBINFHSA-N 0 3 244.429 2.937 20 0 BFADHN CCCCc1ccc(N(C)C(=O)[C@H](N)CC)cc1 ZINC000130195014 591447817 /nfs/dbraw/zinc/44/78/17/591447817.db2.gz LLVWBKOZMVXUDO-CQSZACIVSA-N 0 3 248.370 2.729 20 0 BFADHN Cc1csc(CNCCC2CCC2)n1 ZINC000130342808 591459169 /nfs/dbraw/zinc/45/91/69/591459169.db2.gz VPNCGWYPWVELFO-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN CC(C)c1c[nH]c(CN[C@H]2CC=CCC2)n1 ZINC000883015656 591514180 /nfs/dbraw/zinc/51/41/80/591514180.db2.gz XZFOSGXHEFGBBC-NSHDSACASA-N 0 3 219.332 2.731 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2CC=CCC2)n1 ZINC000883015655 591514289 /nfs/dbraw/zinc/51/42/89/591514289.db2.gz XZFOSGXHEFGBBC-LLVKDONJSA-N 0 3 219.332 2.731 20 0 BFADHN CC(C)c1c[nH]c(CN[C@H]2CC[C@@H](C)C2)n1 ZINC000883098380 591539624 /nfs/dbraw/zinc/53/96/24/591539624.db2.gz MOCKMUQFLPNPTI-MNOVXSKESA-N 0 3 221.348 2.811 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@@H]2C[C@H]2C(C)C)n1 ZINC000883135784 591548231 /nfs/dbraw/zinc/54/82/31/591548231.db2.gz MVAIRPGPSQQWIX-RYUDHWBXSA-N 0 3 235.375 2.915 20 0 BFADHN CC(C)c1c[nH]c(CNC2(C3CCC3)CC2)n1 ZINC000883157714 591555478 /nfs/dbraw/zinc/55/54/78/591555478.db2.gz HKSSSOTVJARFAK-UHFFFAOYSA-N 0 3 233.359 2.955 20 0 BFADHN CC[C@@H](N[C@@H](C)CO)c1sccc1Cl ZINC000883192762 591567999 /nfs/dbraw/zinc/56/79/99/591567999.db2.gz HJFQPOAYQYPBNQ-IONNQARKSA-N 0 3 233.764 2.823 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H]2CCCC3(CC3)C2)[n-]1 ZINC000883209028 591573785 /nfs/dbraw/zinc/57/37/85/591573785.db2.gz UBMAYECUJDEMAW-QWRGUYRKSA-N 0 3 248.374 2.741 20 0 BFADHN CCc1nnc([C@H](C)N[C@H]2CCCC3(CC3)C2)[nH]1 ZINC000883209028 591573788 /nfs/dbraw/zinc/57/37/88/591573788.db2.gz UBMAYECUJDEMAW-QWRGUYRKSA-N 0 3 248.374 2.741 20 0 BFADHN COc1cccnc1CN[C@@H]1CCCC2(CC2)C1 ZINC000883227596 591578554 /nfs/dbraw/zinc/57/85/54/591578554.db2.gz TZVCIHPHFZYZFO-GFCCVEGCSA-N 0 3 246.354 2.903 20 0 BFADHN Clc1ncccc1CNC[C@@H]1C=CCC1 ZINC000883239095 591584038 /nfs/dbraw/zinc/58/40/38/591584038.db2.gz VXUBKNNKSJJMSP-SNVBAGLBSA-N 0 3 222.719 2.791 20 0 BFADHN COc1cccc(OC)c1CNC[C@@H]1C=CCC1 ZINC000883237832 591584149 /nfs/dbraw/zinc/58/41/49/591584149.db2.gz LIUMOTPRVDCPJP-GFCCVEGCSA-N 0 3 247.338 2.760 20 0 BFADHN COC(=O)c1coc([C@H](C)NC[C@@H]2C=CCC2)c1 ZINC000883245561 591585508 /nfs/dbraw/zinc/58/55/08/591585508.db2.gz NVOYKAPDSHYUQQ-WDEREUQCSA-N 0 3 249.310 2.683 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2C=CCC2)no1 ZINC000883245591 591585578 /nfs/dbraw/zinc/58/55/78/591585578.db2.gz NZSJEACFSLAEPX-WDEREUQCSA-N 0 3 206.289 2.600 20 0 BFADHN COC(=O)c1coc([C@@H](C)NC[C@@H]2C=CCC2)c1 ZINC000883245558 591585924 /nfs/dbraw/zinc/58/59/24/591585924.db2.gz NVOYKAPDSHYUQQ-GHMZBOCLSA-N 0 3 249.310 2.683 20 0 BFADHN c1csc(CNCC2(CC3CC3)CC2)n1 ZINC000883249555 591591392 /nfs/dbraw/zinc/59/13/92/591591392.db2.gz JSFNPNFABNFINR-UHFFFAOYSA-N 0 3 222.357 2.813 20 0 BFADHN CC1(C)C[C@@]1(C)CNCc1cn(C2CCC2)nn1 ZINC000883252431 591592204 /nfs/dbraw/zinc/59/22/04/591592204.db2.gz IDVPATPYFXZCRJ-AWEZNQCLSA-N 0 3 248.374 2.529 20 0 BFADHN CCC[C@@H](C)CCNCc1snnc1C ZINC000883251684 591592508 /nfs/dbraw/zinc/59/25/08/591592508.db2.gz YHRGFLOMJOYMIM-SECBINFHSA-N 0 3 227.377 2.762 20 0 BFADHN CC1(C)C[C@]1(C)CNCc1ccnn1CC1CC1 ZINC000883252647 591593050 /nfs/dbraw/zinc/59/30/50/591593050.db2.gz RJLOZCWGJRKDBA-OAHLLOKOSA-N 0 3 247.386 2.819 20 0 BFADHN CCC[C@@H](C)CCNCc1conc1C ZINC000883251235 591593067 /nfs/dbraw/zinc/59/30/67/591593067.db2.gz IAARFEJULUPJCS-SNVBAGLBSA-N 0 3 210.321 2.899 20 0 BFADHN C=C/C=C/CCNCc1sccc1OC ZINC000883259916 591596972 /nfs/dbraw/zinc/59/69/72/591596972.db2.gz DRMQBSOXKBKWML-SNAWJCMRSA-N 0 3 223.341 2.979 20 0 BFADHN C=C/C=C/CCNCc1ccnn1CC1CCC1 ZINC000883259219 591597304 /nfs/dbraw/zinc/59/73/04/591597304.db2.gz GLXGKVWTMLUJBV-ONEGZZNKSA-N 0 3 245.370 2.905 20 0 BFADHN C=C/C=C\CCNCc1sccc1OC ZINC000883259915 591597365 /nfs/dbraw/zinc/59/73/65/591597365.db2.gz DRMQBSOXKBKWML-PLNGDYQASA-N 0 3 223.341 2.979 20 0 BFADHN CC1(C)C[C@]1(C)CN[C@@H]1CCCn2ccnc21 ZINC000883259858 591597446 /nfs/dbraw/zinc/59/74/46/591597446.db2.gz BHAMGRRQSBAOFK-BXUZGUMPSA-N 0 3 233.359 2.744 20 0 BFADHN CCC1(CC)[C@H](NCc2cccnc2)C[C@@H]1OC ZINC000131337134 591597706 /nfs/dbraw/zinc/59/77/06/591597706.db2.gz RSMYUGXZGIMERH-KGLIPLIRSA-N 0 3 248.370 2.765 20 0 BFADHN C=CC=CCCNCc1cc(C(F)(F)F)n[nH]1 ZINC000883260488 591598641 /nfs/dbraw/zinc/59/86/41/591598641.db2.gz XFBSGYWZYTYTSP-ARJAWSKDSA-N 0 3 245.248 2.650 20 0 BFADHN CC[C@H](NC[C@@]1(C)CC1(C)C)c1nccn1C ZINC000883260833 591598729 /nfs/dbraw/zinc/59/87/29/591598729.db2.gz IEBRFPLHILOLNL-SMDDNHRTSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1nocc1CNCC[C@@H]1CCCC1(F)F ZINC000883264536 591599843 /nfs/dbraw/zinc/59/98/43/591599843.db2.gz DJBQFBFMMLDWGH-NSHDSACASA-N 0 3 244.285 2.898 20 0 BFADHN C=C/C=C\CCN[C@H](C)c1cnc(C)nc1C ZINC000883267765 591600720 /nfs/dbraw/zinc/60/07/20/591600720.db2.gz PNHMFHICJLTCBI-JMEBYUIHSA-N 0 3 231.343 2.876 20 0 BFADHN C=C/C=C/CCN[C@@H](C)c1cnc(C)nc1C ZINC000883267766 591601293 /nfs/dbraw/zinc/60/12/93/591601293.db2.gz PNHMFHICJLTCBI-MLRMMBSGSA-N 0 3 231.343 2.876 20 0 BFADHN C=C/C=C\CCN[C@@H](c1nccn1C)C1CC1 ZINC000883267633 591601318 /nfs/dbraw/zinc/60/13/18/591601318.db2.gz LWIDEYBGMRLVGF-DSYXLKISSA-N 0 3 231.343 2.593 20 0 BFADHN C=C/C=C/CCN[C@H](CC)c1nccn1C ZINC000883267375 591601386 /nfs/dbraw/zinc/60/13/86/591601386.db2.gz CGAWWEFRXIUECS-NNNHXZLVSA-N 0 3 219.332 2.593 20 0 BFADHN Cc1ccc(CN[C@H](C)C2CC2)c(Cl)n1 ZINC000883292125 591608216 /nfs/dbraw/zinc/60/82/16/591608216.db2.gz DUSBIOIYDDHYIB-SECBINFHSA-N 0 3 224.735 2.932 20 0 BFADHN COC[C@H]1C[C@@H](N[C@H](C)c2cc(C)c(C)o2)C1 ZINC000883319814 591615292 /nfs/dbraw/zinc/61/52/92/591615292.db2.gz QLEUXDQKTMQGJS-RTXFEEFZSA-N 0 3 237.343 2.972 20 0 BFADHN CN[C@@H](CC(C)C)C(=O)Nc1cc(C)cc(C)c1 ZINC000884046516 591826241 /nfs/dbraw/zinc/82/62/41/591826241.db2.gz IGRYWJKMAKLYLD-AWEZNQCLSA-N 0 3 248.370 2.876 20 0 BFADHN CN[C@@H](CC(C)C)C(=O)N(C)c1ccc(C)cc1 ZINC000884068274 591844280 /nfs/dbraw/zinc/84/42/80/591844280.db2.gz KGAWYJWTXTWBEH-AWEZNQCLSA-N 0 3 248.370 2.592 20 0 BFADHN CS[C@@H]1CC[C@H](NCc2ccc(C)cn2)C1 ZINC000134372395 591922892 /nfs/dbraw/zinc/92/28/92/591922892.db2.gz MJASYBZEODTAHD-WCQYABFASA-N 0 3 236.384 2.764 20 0 BFADHN Clc1ccc(CN[C@H]2CCCSC2)nc1 ZINC000134820464 591951752 /nfs/dbraw/zinc/95/17/52/591951752.db2.gz HBFNPVLOLFSORV-NSHDSACASA-N 0 3 242.775 2.720 20 0 BFADHN CCSCCC[NH2+]Cc1ccc([O-])c(F)c1 ZINC000134828948 591952852 /nfs/dbraw/zinc/95/28/52/591952852.db2.gz NQFVALOIDSBLAI-UHFFFAOYSA-N 0 3 243.347 2.764 20 0 BFADHN Cc1csc([C@H](C)CNCc2ccoc2)n1 ZINC000134984377 591967894 /nfs/dbraw/zinc/96/78/94/591967894.db2.gz UXYXCDJYRIKOFR-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN c1ccc2c(c1)cncc2CNC[C@H]1CCCO1 ZINC000135370820 592010019 /nfs/dbraw/zinc/01/00/19/592010019.db2.gz HERVFBBOVQSHSQ-CQSZACIVSA-N 0 3 242.322 2.503 20 0 BFADHN c1ccc2c(c1)cncc2CNCc1cccnc1 ZINC000135370169 592010238 /nfs/dbraw/zinc/01/02/38/592010238.db2.gz RPEJNDDGKJJHMY-UHFFFAOYSA-N 0 3 249.317 2.920 20 0 BFADHN CCOC[C@H](C)NCc1cncc2ccccc21 ZINC000135377916 592010916 /nfs/dbraw/zinc/01/09/16/592010916.db2.gz VQFMZHMKMLHTGB-LBPRGKRZSA-N 0 3 244.338 2.749 20 0 BFADHN Fc1cccc(/C=C/CN2CCCC2)c1 ZINC000885910370 592107966 /nfs/dbraw/zinc/10/79/66/592107966.db2.gz HNNXYZNMYISCPG-GQCTYLIASA-N 0 3 205.276 2.935 20 0 BFADHN CC1(C)CN(CC[C@@H]2C[C@H]2C2CC2)CCO1 ZINC000885931398 592109579 /nfs/dbraw/zinc/10/95/79/592109579.db2.gz TYKONDKWXUNMRU-OLZOCXBDSA-N 0 3 223.360 2.533 20 0 BFADHN CN(Cc1ccccc1F)C[C@@H]1C[C@@]12CCOC2 ZINC000885930948 592110188 /nfs/dbraw/zinc/11/01/88/592110188.db2.gz MQENKPKLKALBGC-DZGCQCFKSA-N 0 3 249.329 2.684 20 0 BFADHN Fc1cccc(/C=C/CNCCOCC2CC2)c1 ZINC000885975043 592119020 /nfs/dbraw/zinc/11/90/20/592119020.db2.gz JDBPDTPYNFONJH-DUXPYHPUSA-N 0 3 249.329 2.855 20 0 BFADHN Cc1coc(CNC[C@H](O)c2ccccc2C)c1 ZINC000885980004 592119922 /nfs/dbraw/zinc/11/99/22/592119922.db2.gz QWUXZFIIVOPUJR-HNNXBMFYSA-N 0 3 245.322 2.720 20 0 BFADHN CCN(Cc1ccoc1)C[C@H]1C[C@@]12CCOC2 ZINC000885981613 592120670 /nfs/dbraw/zinc/12/06/70/592120670.db2.gz JWCFDAKTBBPYMK-ZIAGYGMSSA-N 0 3 235.327 2.528 20 0 BFADHN CCCC[C@@H](COC)NCc1cc(C)co1 ZINC000885993104 592124032 /nfs/dbraw/zinc/12/40/32/592124032.db2.gz ZFJRCRCXKHUERM-LBPRGKRZSA-N 0 3 225.332 2.883 20 0 BFADHN CC[C@@H](NCc1n[nH]c(C)c1C)c1ccncc1 ZINC000885997787 592125438 /nfs/dbraw/zinc/12/54/38/592125438.db2.gz XBGSKZLAWVNDNZ-CYBMUJFWSA-N 0 3 244.342 2.662 20 0 BFADHN Cc1coc(CN[C@@H]2CCOC3(CCC3)C2)c1 ZINC000886026124 592135917 /nfs/dbraw/zinc/13/59/17/592135917.db2.gz QAMMZFUSPKRIFQ-GFCCVEGCSA-N 0 3 235.327 2.779 20 0 BFADHN CC(C)CC1CCN(C[C@](C)(O)C(F)F)CC1 ZINC000886041469 592138788 /nfs/dbraw/zinc/13/87/88/592138788.db2.gz MRIYDPMYVSWGCR-ZDUSSCGKSA-N 0 3 249.345 2.761 20 0 BFADHN Cc1coc(CNCC[C@@H]2CCO[C@@H](C)C2)c1 ZINC000886062493 592141911 /nfs/dbraw/zinc/14/19/11/592141911.db2.gz PZSZUCKVIGPYOH-QWHCGFSZSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1coc(CN[C@]2(C)CCO[C@@H]2C2CC2)c1 ZINC000886062323 592141918 /nfs/dbraw/zinc/14/19/18/592141918.db2.gz MOVUQIISAVEHRV-ZIAGYGMSSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1coc(CNC[C@@]2(C)CCO[C@@H]2C2CC2)c1 ZINC000886067092 592142971 /nfs/dbraw/zinc/14/29/71/592142971.db2.gz HESKFFXNBOGMHJ-HUUCEWRRSA-N 0 3 249.354 2.883 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2C[C@@H]1CC[C@@H](C2CC2)O1 ZINC000886083790 592145276 /nfs/dbraw/zinc/14/52/76/592145276.db2.gz NERJLFDBROQCFX-XGUBFFRZSA-N 0 3 233.355 2.737 20 0 BFADHN Cc1coc(CN[C@@H](C)[C@H](O)c2ccccc2)c1 ZINC000886118732 592157096 /nfs/dbraw/zinc/15/70/96/592157096.db2.gz ONUYLOJBRLLYQI-WFASDCNBSA-N 0 3 245.322 2.800 20 0 BFADHN COCC1(CCNCc2cc(C)co2)CCC1 ZINC000886124813 592158646 /nfs/dbraw/zinc/15/86/46/592158646.db2.gz BEDPYRAMJLEHDO-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN CCCC[C@](C)(CO)NCc1cc(C)co1 ZINC000886151767 592163560 /nfs/dbraw/zinc/16/35/60/592163560.db2.gz SEHQSVRUDHHZAG-CYBMUJFWSA-N 0 3 225.332 2.619 20 0 BFADHN Cc1n[nH]c(CN[C@H]2CC23CCCCC3)c1C ZINC000886162019 592166435 /nfs/dbraw/zinc/16/64/35/592166435.db2.gz CSCIZNWXNWHUPP-ZDUSSCGKSA-N 0 3 233.359 2.839 20 0 BFADHN Cc1n[nH]c(CN[C@@H]2CC23CCCCC3)c1C ZINC000886162018 592166746 /nfs/dbraw/zinc/16/67/46/592166746.db2.gz CSCIZNWXNWHUPP-CYBMUJFWSA-N 0 3 233.359 2.839 20 0 BFADHN C/C=C\CNCc1ccccc1OCC(F)F ZINC000886171407 592168572 /nfs/dbraw/zinc/16/85/72/592168572.db2.gz GQPQWPUZVLDFDL-IHWYPQMZSA-N 0 3 241.281 2.996 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C/c2cccc(F)c2)[C@H](C)O1 ZINC000886174728 592169617 /nfs/dbraw/zinc/16/96/17/592169617.db2.gz HZGFEVTZCISEPF-RMLZSMLPSA-N 0 3 249.329 2.994 20 0 BFADHN Cc1coc(CNC[C@H]2CCC23CCOCC3)c1 ZINC000886179508 592170551 /nfs/dbraw/zinc/17/05/51/592170551.db2.gz WXORYBMFZAFQRX-CYBMUJFWSA-N 0 3 249.354 2.884 20 0 BFADHN Cc1coc(CNC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c1 ZINC000886183515 592171354 /nfs/dbraw/zinc/17/13/54/592171354.db2.gz GXTOQVLCUSJGAV-UBHSHLNASA-N 0 3 235.327 2.635 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC3(CCC3)CO2)[C@H]1C ZINC000886218329 592179436 /nfs/dbraw/zinc/17/94/36/592179436.db2.gz SUQASPYVLWRFGK-AGIUHOORSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNCc1ccncc1F ZINC000886280429 592197946 /nfs/dbraw/zinc/19/79/46/592197946.db2.gz DWAJKGQDIDVRQU-XEZLXBQYSA-N 0 3 234.318 2.602 20 0 BFADHN C[C@H]1CCC[C@@H](c2noc(C(C)(C)CN)n2)C1 ZINC000137523565 592199394 /nfs/dbraw/zinc/19/93/94/592199394.db2.gz SHATYBDRYJWCMD-VHSXEESVSA-N 0 3 237.347 2.600 20 0 BFADHN Cc1ccc(CNC[C@@H]2CC3(CCC3)CO2)o1 ZINC000886291098 592201833 /nfs/dbraw/zinc/20/18/33/592201833.db2.gz XHOHBSNCXMUBEM-ZDUSSCGKSA-N 0 3 235.327 2.637 20 0 BFADHN C([C@H]1CCC2(CCC2)CO1)N(C1CC1)C1CC1 ZINC000886296802 592201837 /nfs/dbraw/zinc/20/18/37/592201837.db2.gz ASGRRDVNOVXGOA-CQSZACIVSA-N 0 3 235.371 2.963 20 0 BFADHN Fc1ccc(CNC[C@H]2CC3(CCC3)CO2)cc1 ZINC000886290302 592202162 /nfs/dbraw/zinc/20/21/62/592202162.db2.gz AKTHIEKGKSZWLF-CQSZACIVSA-N 0 3 249.329 2.875 20 0 BFADHN CC1(F)CCN(C[C@H]2CC[C@H](C3CC3)O2)CC1 ZINC000886308646 592204243 /nfs/dbraw/zinc/20/42/43/592204243.db2.gz IODUTYURQLHTLJ-CHWSQXEVSA-N 0 3 241.350 2.768 20 0 BFADHN Cc1ccc(CNC[C@@H](O)c2ccsc2)cc1 ZINC000219088769 592208880 /nfs/dbraw/zinc/20/88/80/592208880.db2.gz LTKBYJYQVJTVDE-CQSZACIVSA-N 0 3 247.363 2.880 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1C[C@@H]2C[C@@H]2C1 ZINC000886329131 592210160 /nfs/dbraw/zinc/21/01/60/592210160.db2.gz ZGKQJXHNAIACDM-ZMLRMANQSA-N 0 3 205.276 2.838 20 0 BFADHN CSc1ccc(OCCN2C[C@@H]3C[C@@H]3C2)cc1 ZINC000886334267 592211583 /nfs/dbraw/zinc/21/15/83/592211583.db2.gz TZFFBYJVIIRQJL-TXEJJXNPSA-N 0 3 249.379 2.739 20 0 BFADHN C[C@]1(CN2C[C@@H]3C[C@@H]3C2)CC1(Cl)Cl ZINC000886349051 592214690 /nfs/dbraw/zinc/21/46/90/592214690.db2.gz XOJXGNYIDAYYEW-HRDYMLBCSA-N 0 3 220.143 2.522 20 0 BFADHN C[C@@]1(CN2C[C@@H]3C[C@@H]3C2)CC1(Cl)Cl ZINC000886349054 592214770 /nfs/dbraw/zinc/21/47/70/592214770.db2.gz XOJXGNYIDAYYEW-YIZRAAEISA-N 0 3 220.143 2.522 20 0 BFADHN CC(C)C[C@@H](O)CN[C@@H]1CCCc2occc21 ZINC000219260357 592220544 /nfs/dbraw/zinc/22/05/44/592220544.db2.gz WPVAVHIKKMULMJ-DGCLKSJQSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@@H]1CCN(Cc2c[nH]cn2)[C@@H]1c1ccccc1 ZINC000886540628 592253349 /nfs/dbraw/zinc/25/33/49/592253349.db2.gz IRYSYZDJLVBJKR-DOMZBBRYSA-N 0 3 241.338 2.993 20 0 BFADHN C[C@@H]1CCN(Cc2cnc[nH]2)[C@@H]1c1ccccc1 ZINC000886540628 592253352 /nfs/dbraw/zinc/25/33/52/592253352.db2.gz IRYSYZDJLVBJKR-DOMZBBRYSA-N 0 3 241.338 2.993 20 0 BFADHN Fc1ccc(CN2C[C@@H]3CSC[C@@H]3C2)cc1 ZINC000886555140 592258866 /nfs/dbraw/zinc/25/88/66/592258866.db2.gz LVYOZOQGSYEWIM-TXEJJXNPSA-N 0 3 237.343 2.621 20 0 BFADHN CCC(O)(CC)CCN[C@H]1CCc2cccnc21 ZINC000886598437 592272919 /nfs/dbraw/zinc/27/29/19/592272919.db2.gz AODFPQSDILJRRA-ZDUSSCGKSA-N 0 3 248.370 2.600 20 0 BFADHN c1c(CN[C@H]2CCC23CCC3)onc1C1CC1 ZINC000886684164 592302561 /nfs/dbraw/zinc/30/25/61/592302561.db2.gz GJRFQXOLBAOYMW-ZDUSSCGKSA-N 0 3 232.327 2.974 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C)c1nccn1C ZINC000886693574 592305687 /nfs/dbraw/zinc/30/56/87/592305687.db2.gz DVQWTIXLLQYWQO-PEDHHIEDSA-N 0 3 247.386 2.753 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C)c1nccn1C ZINC000886693573 592305828 /nfs/dbraw/zinc/30/58/28/592305828.db2.gz DVQWTIXLLQYWQO-HPCHECBXSA-N 0 3 247.386 2.753 20 0 BFADHN Cc1nccnc1[C@H](C)NC1(C2CC2)CCC1 ZINC000886825656 592348582 /nfs/dbraw/zinc/34/85/82/592348582.db2.gz ZBEMDSKVLKGINP-NSHDSACASA-N 0 3 231.343 2.768 20 0 BFADHN C[C@H](O)CCNC(C)(C)c1ccc(F)cc1F ZINC000886896628 592390640 /nfs/dbraw/zinc/39/06/40/592390640.db2.gz PJYIGJDIQWEGIH-VIFPVBQESA-N 0 3 243.297 2.560 20 0 BFADHN C[C@H]1CC[C@H](CN[C@@H]2CCc3c2cccc3F)O1 ZINC000886927725 592397049 /nfs/dbraw/zinc/39/70/49/592397049.db2.gz UGZCCTIHCJNADH-FIXISWKDSA-N 0 3 249.329 2.970 20 0 BFADHN CN(CCCF)C[C@]1(C)CC1(Cl)Cl ZINC000887142574 592458418 /nfs/dbraw/zinc/45/84/18/592458418.db2.gz QKWCNIQRFAIUAQ-QMMMGPOBSA-N 0 3 228.138 2.862 20 0 BFADHN CCC[C@@H](C)N1CCc2cc(O)c(OC)cc2C1 ZINC000887106872 592445044 /nfs/dbraw/zinc/44/50/44/592445044.db2.gz QQJNHDBIUDQAOZ-LLVKDONJSA-N 0 3 249.354 2.948 20 0 BFADHN COc1cc2c(cc1O)CCN(CCC1CC1)C2 ZINC000887105304 592445409 /nfs/dbraw/zinc/44/54/09/592445409.db2.gz PJJBONJWACZNLV-UHFFFAOYSA-N 0 3 247.338 2.559 20 0 BFADHN C[C@H]1CCCC[C@@H]1OCCN(C)CCCF ZINC000887137626 592452482 /nfs/dbraw/zinc/45/24/82/592452482.db2.gz OLBUQMBTTAUETR-STQMWFEESA-N 0 3 231.355 2.873 20 0 BFADHN C[C@H]1CCCC[C@H]1OCCN(C)CCCF ZINC000887137625 592453762 /nfs/dbraw/zinc/45/37/62/592453762.db2.gz OLBUQMBTTAUETR-QWHCGFSZSA-N 0 3 231.355 2.873 20 0 BFADHN CN(CCCF)C[C@H](O)c1cccc(Cl)c1 ZINC000887138920 592453860 /nfs/dbraw/zinc/45/38/60/592453860.db2.gz RFUUPYZXFPBJLF-LBPRGKRZSA-N 0 3 245.725 2.665 20 0 BFADHN CCc1noc(C)c1CNC1(C2CC2)CCC1 ZINC000887146324 592460878 /nfs/dbraw/zinc/46/08/78/592460878.db2.gz QHWMUAXZFWFTNH-UHFFFAOYSA-N 0 3 234.343 2.968 20 0 BFADHN COc1ccc(CNC2(C3CC3)CCC2)nc1 ZINC000887147155 592461504 /nfs/dbraw/zinc/46/15/04/592461504.db2.gz AAZFZZDIGCMFRK-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN CS[C@@H]1CC[C@H](N[C@H]2CCc3cccnc32)C1 ZINC000300668831 592517003 /nfs/dbraw/zinc/51/70/03/592517003.db2.gz NJBZAOOFFVKKPR-XQQFMLRXSA-N 0 3 248.395 2.943 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2cccc(F)c2)on1 ZINC000223208431 592522436 /nfs/dbraw/zinc/52/24/36/592522436.db2.gz BGWLAOMJBDFCEN-JTQLQIEISA-N 0 3 248.301 2.843 20 0 BFADHN CC(=O)[C@H]1CCCN(Cc2ccc(F)cc2C)C1 ZINC000127021431 592726172 /nfs/dbraw/zinc/72/61/72/592726172.db2.gz HOEWLMZEBGTANL-AWEZNQCLSA-N 0 3 249.329 2.935 20 0 BFADHN C[C@@H](CC(F)(F)F)N(C)C[C@H]1CCCCO1 ZINC001255657812 987048714 /nfs/dbraw/zinc/04/87/14/987048714.db2.gz KYHUYDLBJVBXER-VHSXEESVSA-N 0 3 239.281 2.828 20 0 BFADHN CCCCC[C@H](CC)N1CCO[C@@H]2C[C@@H]21 ZINC001258444069 991387541 /nfs/dbraw/zinc/38/75/41/991387541.db2.gz RBQHYLPGDQIFKH-RWMBFGLXSA-N 0 3 211.349 2.818 20 0 BFADHN Cc1nn(C)c(CN[C@@H](C)C2CCC2)c1Cl ZINC000124067456 634387697 /nfs/dbraw/zinc/38/76/97/634387697.db2.gz DQBBSVORFDVFKP-QMMMGPOBSA-N 0 3 241.766 2.660 20 0 BFADHN c1csc(CN[C@H]2CCCc3n[nH]cc32)c1 ZINC000335603212 634496797 /nfs/dbraw/zinc/49/67/97/634496797.db2.gz UWFIKODUYGCPOD-NSHDSACASA-N 0 3 233.340 2.638 20 0 BFADHN CC[C@H]1CC[N@H+](Cc2ccc([O-])c(F)c2)C1 ZINC000234131618 635549483 /nfs/dbraw/zinc/54/94/83/635549483.db2.gz VYXVRWIKYRTVMN-JTQLQIEISA-N 0 3 223.291 2.763 20 0 BFADHN CC[C@H]1CC[N@@H+](Cc2ccc([O-])c(F)c2)C1 ZINC000234131618 635549488 /nfs/dbraw/zinc/54/94/88/635549488.db2.gz VYXVRWIKYRTVMN-JTQLQIEISA-N 0 3 223.291 2.763 20 0 BFADHN C[C@@H]1OC[C@H](NC2(c3ccccc3F)CC2)[C@@H]1C ZINC000925883213 635610896 /nfs/dbraw/zinc/61/08/96/635610896.db2.gz GLSUFOGHDRPORT-SUNKGSAMSA-N 0 3 249.329 2.828 20 0 BFADHN COc1cccc(CNC/C=C\Cl)c1 ZINC000308408519 631326374 /nfs/dbraw/zinc/32/63/74/631326374.db2.gz CWZJCQBQPHHWJQ-UTCJRWHESA-N 0 3 211.692 2.537 20 0 BFADHN CCCCN(C)Cc1cn(C)nc1C1CC1 ZINC000891451110 631353824 /nfs/dbraw/zinc/35/38/24/631353824.db2.gz RKLZIXCILMXTTR-UHFFFAOYSA-N 0 3 221.348 2.529 20 0 BFADHN CCOc1ccc(CN2CCC(C)CC2)o1 ZINC000891454846 631365982 /nfs/dbraw/zinc/36/59/82/631365982.db2.gz SKWBPIOAVGWKGF-UHFFFAOYSA-N 0 3 223.316 2.910 20 0 BFADHN CN(Cc1cc(O)cc(Cl)c1)C1CC1 ZINC000891619249 631412842 /nfs/dbraw/zinc/41/28/42/631412842.db2.gz ANTHUKVFKXLLLK-UHFFFAOYSA-N 0 3 211.692 2.640 20 0 BFADHN CC[C@H](CSC)N(C)C/C=C/Cl ZINC000091097073 631665405 /nfs/dbraw/zinc/66/54/05/631665405.db2.gz YIQGWCZOCQMIEJ-VUHVRTRXSA-N 0 3 207.770 2.812 20 0 BFADHN CCc1ccc(CN(C)CCC(C)=O)cc1 ZINC000258957897 631689845 /nfs/dbraw/zinc/68/98/45/631689845.db2.gz SMSGEIQBCHBOPC-UHFFFAOYSA-N 0 3 219.328 2.660 20 0 BFADHN CCO[C@@H](CN[C@@H](C)c1cncs1)C1CC1 ZINC000934196634 631727442 /nfs/dbraw/zinc/72/74/42/631727442.db2.gz VSUOHNVSNNPFCV-ONGXEEELSA-N 0 3 240.372 2.609 20 0 BFADHN Cc1cnc(CN[C@H](C)Cc2cccnc2)s1 ZINC000934233568 631738895 /nfs/dbraw/zinc/73/88/95/631738895.db2.gz KCKCNLGFPMNCOM-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN Cc1noc(C)c1CNC[C@H]1CC1(Cl)Cl ZINC000308953714 631754027 /nfs/dbraw/zinc/75/40/27/631754027.db2.gz PWNXAWBMLANZKG-MRVPVSSYSA-N 0 3 249.141 2.575 20 0 BFADHN COCCN[C@H](c1ncccc1C)C(C)(C)C ZINC000934287666 631758883 /nfs/dbraw/zinc/75/88/83/631758883.db2.gz GVECZKHNMVKPBE-CYBMUJFWSA-N 0 3 236.359 2.713 20 0 BFADHN C[C@]1(C2CC2)COCCN1CCCCCF ZINC000934478778 631871042 /nfs/dbraw/zinc/87/10/42/631871042.db2.gz NGYVREYNVZHXPE-CYBMUJFWSA-N 0 3 229.339 2.627 20 0 BFADHN CC(C)(CNCc1ccoc1)C(F)(F)F ZINC000308889252 632090199 /nfs/dbraw/zinc/09/01/99/632090199.db2.gz WXAQRUOWINEIOQ-UHFFFAOYSA-N 0 3 221.222 2.958 20 0 BFADHN Cc1ncoc1CN[C@@H](C)CCc1ccco1 ZINC000894143306 635713675 /nfs/dbraw/zinc/71/36/75/635713675.db2.gz SVGLUUUJZFXVKY-JTQLQIEISA-N 0 3 234.299 2.687 20 0 BFADHN C[C@@H](F)CC[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000926485415 632123499 /nfs/dbraw/zinc/12/34/99/632123499.db2.gz KLLOFQVROHOSPA-BDAKNGLRSA-N 0 3 229.270 2.930 20 0 BFADHN C[C@H](F)CC[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000926485417 632123815 /nfs/dbraw/zinc/12/38/15/632123815.db2.gz KLLOFQVROHOSPA-IUCAKERBSA-N 0 3 229.270 2.930 20 0 BFADHN Cc1ccc(CNc2cc(C)nc(N)n2)c(C)c1 ZINC000052434163 632407502 /nfs/dbraw/zinc/40/75/02/632407502.db2.gz OECDDVAKUXUBFF-UHFFFAOYSA-N 0 3 242.326 2.596 20 0 BFADHN CC(C)=CCC[C@H](C)N[C@@H](C)c1cnn(C)n1 ZINC000926513315 632412541 /nfs/dbraw/zinc/41/25/41/632412541.db2.gz MBDYVTXBUIMSQV-RYUDHWBXSA-N 0 3 236.363 2.601 20 0 BFADHN CC(C)=CCC[C@H](C)N[C@H](C)c1cnn(C)n1 ZINC000926513313 632413483 /nfs/dbraw/zinc/41/34/83/632413483.db2.gz MBDYVTXBUIMSQV-NWDGAFQWSA-N 0 3 236.363 2.601 20 0 BFADHN Cc1cc([C@H](C)NCCC2CCC2)nn1C ZINC000309504546 632433032 /nfs/dbraw/zinc/43/30/32/632433032.db2.gz VPPOTGXPVMHZNL-NSHDSACASA-N 0 3 221.348 2.569 20 0 BFADHN CCCCCC[C@H](C)NCc1nncn1CC ZINC000158489855 632472359 /nfs/dbraw/zinc/47/23/59/632472359.db2.gz YHIOUMNKFMLQNV-LBPRGKRZSA-N 0 3 238.379 2.747 20 0 BFADHN C[C@@H](CC1CCC1)NCc1ncc(Cl)n1C ZINC000309565042 632534075 /nfs/dbraw/zinc/53/40/75/632534075.db2.gz MAHQYNOKDOUGNN-VIFPVBQESA-N 0 3 241.766 2.742 20 0 BFADHN CCc1noc(C)c1[C@@H](C)N[C@H](C)CSC ZINC000309659061 632623424 /nfs/dbraw/zinc/62/34/24/632623424.db2.gz QUFNPBKCFDLMSV-RKDXNWHRSA-N 0 3 242.388 2.948 20 0 BFADHN C[C@H]([NH2+][C@H]1CC12CC2)c1cc([O-])cc(F)c1 ZINC000926617363 632708918 /nfs/dbraw/zinc/70/89/18/632708918.db2.gz XQZRCCRGXWENSH-UFBFGSQYSA-N 0 3 221.275 2.734 20 0 BFADHN C[C@@H](NC/C=C\Cl)c1ccc2c(c1)OCO2 ZINC000255742336 632711445 /nfs/dbraw/zinc/71/14/45/632711445.db2.gz WYRRCBFBBLSNCC-JHYPKJRRSA-N 0 3 239.702 2.818 20 0 BFADHN CCCCCCN[C@H](C)c1nn(CC)nc1C ZINC000924538675 632777154 /nfs/dbraw/zinc/77/71/54/632777154.db2.gz LRJUZNJBPDECGC-LLVKDONJSA-N 0 3 238.379 2.837 20 0 BFADHN Cc1nonc1[C@@H](C)NCC1CCCCC1 ZINC000924538388 632777852 /nfs/dbraw/zinc/77/78/52/632777852.db2.gz JDYJGEVHGHGJAI-SECBINFHSA-N 0 3 223.320 2.609 20 0 BFADHN COC(=O)/C(C)=C\CN[C@@H](C)c1ccc(C)cc1 ZINC000310604464 632803601 /nfs/dbraw/zinc/80/36/01/632803601.db2.gz NEHZALPKWSESTA-SUIFULHWSA-N 0 3 247.338 2.765 20 0 BFADHN CC1(C)CC[C@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000309218108 632819184 /nfs/dbraw/zinc/81/91/84/632819184.db2.gz PTEDRJJXBWEIOB-GFCCVEGCSA-N 0 3 223.291 2.810 20 0 BFADHN COc1nccc(CNCCCC(C)C)c1F ZINC000892515461 632870864 /nfs/dbraw/zinc/87/08/64/632870864.db2.gz RVULRXQAKGZLNI-UHFFFAOYSA-N 0 3 240.322 2.755 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2N[C@@H](C)C1CC1 ZINC000924569779 632918614 /nfs/dbraw/zinc/91/86/14/632918614.db2.gz FRDYMKNIPILKII-GZMMTYOYSA-N 0 3 220.316 2.749 20 0 BFADHN CC(C)C1CC(NCc2cccnc2F)C1 ZINC000309375111 632991045 /nfs/dbraw/zinc/99/10/45/632991045.db2.gz RBCLOWLWCBLDLW-UHFFFAOYSA-N 0 3 222.307 2.745 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(Cl)c(F)c1 ZINC000393780100 632998711 /nfs/dbraw/zinc/99/87/11/632998711.db2.gz QMBMCGOOZXRQSH-RYUDHWBXSA-N 0 3 243.709 2.746 20 0 BFADHN COc1cc([C@H](C)N[C@@H](C)CC2CCC2)on1 ZINC000926789173 633072169 /nfs/dbraw/zinc/07/21/69/633072169.db2.gz ACOMLDQXTRXSOX-UWVGGRQHSA-N 0 3 238.331 2.913 20 0 BFADHN COc1cc([C@H](C)N[C@H](C)CC2CCC2)on1 ZINC000926789175 633073210 /nfs/dbraw/zinc/07/32/10/633073210.db2.gz ACOMLDQXTRXSOX-ZJUUUORDSA-N 0 3 238.331 2.913 20 0 BFADHN C[C@H]([NH2+]Cc1ccc([O-])c(F)c1)C1CCC1 ZINC000178525722 633124067 /nfs/dbraw/zinc/12/40/67/633124067.db2.gz ZHMITACMKUVEMA-VIFPVBQESA-N 0 3 223.291 2.810 20 0 BFADHN Cc1ccc([C@H](C)NCCOc2cccnc2)o1 ZINC000169081386 633155431 /nfs/dbraw/zinc/15/54/31/633155431.db2.gz WDAQOQATSWTROM-LBPRGKRZSA-N 0 3 246.310 2.713 20 0 BFADHN Cc1nc2ccccc2nc1CN1C[C@@H](C)[C@@H]1C ZINC000293507364 633163818 /nfs/dbraw/zinc/16/38/18/633163818.db2.gz UXRYIKNVYBOVGT-PWSUYJOCSA-N 0 3 241.338 2.778 20 0 BFADHN Cc1ncoc1CN1CCC(C2CC2)CC1 ZINC000894836857 635946902 /nfs/dbraw/zinc/94/69/02/635946902.db2.gz JRBYUGZROYYNIW-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN C[C@@H]1CCSCCN1C/C=C/Cl ZINC000306548327 635970323 /nfs/dbraw/zinc/97/03/23/635970323.db2.gz FVRLGUHNZUQPHD-HDMKULJXSA-N 0 3 205.754 2.566 20 0 BFADHN FC1(F)CC[C@@H](NCc2ccoc2)C1 ZINC000307747866 635995049 /nfs/dbraw/zinc/99/50/49/635995049.db2.gz AEKUGYFJUFAAFD-SECBINFHSA-N 0 3 201.216 2.557 20 0 BFADHN C[C@H]1CCC(C)(C)C[C@H]1N1CCc2n[nH]cc2C1 ZINC000895389173 636141448 /nfs/dbraw/zinc/14/14/48/636141448.db2.gz NOCPKDYBMDJVKG-SMDDNHRTSA-N 0 3 247.386 2.983 20 0 BFADHN CC(C)n1cc([C@@H](C)NCCC2CCC2)nn1 ZINC000925514346 633855257 /nfs/dbraw/zinc/85/52/57/633855257.db2.gz DMOZPNKJLKEBCM-LLVKDONJSA-N 0 3 236.363 2.700 20 0 BFADHN CCCC1(CN[C@H]2C[C@@H](C)n3ncnc32)CCC1 ZINC000925524888 633901391 /nfs/dbraw/zinc/90/13/91/633901391.db2.gz BMRBKJVPKXKWTG-NEPJUHHUSA-N 0 3 248.374 2.844 20 0 BFADHN C[C@@H](CC(F)(F)F)NCc1ccc(F)nc1 ZINC000309502666 633938048 /nfs/dbraw/zinc/93/80/48/633938048.db2.gz VFESUVZHOLMUEX-ZETCQYMHSA-N 0 3 236.212 2.651 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cn1cccnc1=S ZINC000184973039 634027547 /nfs/dbraw/zinc/02/75/47/634027547.db2.gz RUOUXUPBVRODJD-WDEREUQCSA-N 0 3 237.372 2.690 20 0 BFADHN c1csc([C@@H]2CCN(Cc3ccoc3)C2)n1 ZINC000895581622 636164285 /nfs/dbraw/zinc/16/42/85/636164285.db2.gz CEYXRJMXPOMFRU-LLVKDONJSA-N 0 3 234.324 2.726 20 0 BFADHN COC1([C@H](C)NCc2cc(C)cc(C)n2)CCC1 ZINC000930721723 634068911 /nfs/dbraw/zinc/06/89/11/634068911.db2.gz JWMZQTORVXREHM-ZDUSSCGKSA-N 0 3 248.370 2.746 20 0 BFADHN C[C@H](c1ncccn1)N1CC[C@@H](C2CCC2)C1 ZINC000930738527 634079427 /nfs/dbraw/zinc/07/94/27/634079427.db2.gz KTZKWZQDPAOXQZ-DGCLKSJQSA-N 0 3 231.343 2.660 20 0 BFADHN CC[C@@H](NC/C=C(\C)C(=O)OC)c1ccccc1 ZINC000310604193 634172046 /nfs/dbraw/zinc/17/20/46/634172046.db2.gz INKQQOSJDAGJED-IEZBTEQYSA-N 0 3 247.338 2.847 20 0 BFADHN C[C@@H]1CCCC[C@@H]1OCCNCc1ccoc1 ZINC000053553249 634236203 /nfs/dbraw/zinc/23/62/03/634236203.db2.gz NRWLYTQLHGPNTE-OCCSQVGLSA-N 0 3 237.343 2.965 20 0 BFADHN Cc1nn(C)c(CN[C@H](C)C(C)(C)C)c1Cl ZINC000162760847 634339756 /nfs/dbraw/zinc/33/97/56/634339756.db2.gz ALFUHNPHKJBGPP-SECBINFHSA-N 0 3 243.782 2.906 20 0 BFADHN Cc1ccc(CN[C@H]2CCCc3n[nH]cc32)cc1 ZINC000336513801 635170205 /nfs/dbraw/zinc/17/02/05/635170205.db2.gz PZTNXKLTPMTCSB-AWEZNQCLSA-N 0 3 241.338 2.885 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H](C)C2(C)CC2)nn1 ZINC000925775424 635247963 /nfs/dbraw/zinc/24/79/63/635247963.db2.gz GLKYJYHOCAYNDK-QWRGUYRKSA-N 0 3 236.363 2.698 20 0 BFADHN CCC(CC)CN[C@H](C)c1nn(CC)nc1C ZINC000924991953 635829284 /nfs/dbraw/zinc/82/92/84/635829284.db2.gz GFCMPEIGIGZLAM-SNVBAGLBSA-N 0 3 238.379 2.693 20 0 BFADHN C[C@@H](N[C@@H](C)CCC(C)(C)C)c1cnn(C)n1 ZINC000925099709 636008048 /nfs/dbraw/zinc/00/80/48/636008048.db2.gz YFDZTOORQZGHRM-WDEREUQCSA-N 0 3 238.379 2.681 20 0 BFADHN CCN(Cc1ocnc1C)C1CC(C)(C)C1 ZINC000894999780 636013502 /nfs/dbraw/zinc/01/35/02/636013502.db2.gz PYTZYWXOHJNYRY-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H](C)C1CCCCC1 ZINC000925134291 636195379 /nfs/dbraw/zinc/19/53/79/636195379.db2.gz CCZVTSWXZGNHEP-UWVGGRQHSA-N 0 3 237.347 2.997 20 0 BFADHN C[C@H](N[C@@H](C)C1(C)CC1)c1cc2n(n1)CCC2 ZINC000840735238 636581037 /nfs/dbraw/zinc/58/10/37/636581037.db2.gz OETGEWGOZPNICU-QWRGUYRKSA-N 0 3 233.359 2.669 20 0 BFADHN Cc1cc([C@H](C)NCCC2CC=CC2)nn1C ZINC000926951118 636969792 /nfs/dbraw/zinc/96/97/92/636969792.db2.gz WSRUJZXYVYGTRH-LBPRGKRZSA-N 0 3 233.359 2.735 20 0 BFADHN C[C@H](NC[C@@]1(C)CCCCO1)c1ccccn1 ZINC000927017618 636989731 /nfs/dbraw/zinc/98/97/31/636989731.db2.gz JTZUAZYTKMNYEJ-GXTWGEPZSA-N 0 3 234.343 2.691 20 0 BFADHN CCNCc1cc(-c2ccc(C)cc2)no1 ZINC000901399674 637247124 /nfs/dbraw/zinc/24/71/24/637247124.db2.gz HUQRJQGNPOOFSS-UHFFFAOYSA-N 0 3 216.284 2.760 20 0 BFADHN CCN(Cc1cccs1)c1ccnc(CO)c1 ZINC000042488074 637274430 /nfs/dbraw/zinc/27/44/30/637274430.db2.gz AVVPRPVWABKZNI-UHFFFAOYSA-N 0 3 248.351 2.662 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CCC[C@H](C)C1 ZINC000924795023 637390057 /nfs/dbraw/zinc/39/00/57/637390057.db2.gz UXCNJCPXSJZWDO-ATZCPNFKSA-N 0 3 223.320 2.607 20 0 BFADHN C[C@@H]1NCCO[C@H]1c1ccc(-c2cc[nH]c2)cc1 ZINC000904842795 637500260 /nfs/dbraw/zinc/50/02/60/637500260.db2.gz BQWPEZKKBVYSMN-XHDPSFHLSA-N 0 3 242.322 2.731 20 0 BFADHN Cc1[nH]ncc1CNc1ccc(C(C)C)nc1 ZINC000905432824 637814875 /nfs/dbraw/zinc/81/48/75/637814875.db2.gz LDVDRPLYXNOHIZ-UHFFFAOYSA-N 0 3 230.315 2.849 20 0 BFADHN CCC[C@@H](C)CCN[C@H](C(N)=O)c1ccccc1 ZINC000905776653 637906815 /nfs/dbraw/zinc/90/68/15/637906815.db2.gz JFOJDAANSPPHGG-OCCSQVGLSA-N 0 3 248.370 2.629 20 0 BFADHN Fc1ccc(-c2cnc([C@@H]3CCCN3)nc2)s1 ZINC000906174288 638088871 /nfs/dbraw/zinc/08/88/71/638088871.db2.gz YGCHSRBSZJJQPL-VIFPVBQESA-N 0 3 249.314 2.769 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cn(C3CC3)nn2)[C@H](C)C1 ZINC000906295671 638135062 /nfs/dbraw/zinc/13/50/62/638135062.db2.gz DLVXQIJNAUZQLU-GYSYKLTISA-N 0 3 248.374 2.527 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1cn(C2CC2)nn1 ZINC000906312996 638141326 /nfs/dbraw/zinc/14/13/26/638141326.db2.gz IGSWPBRAEYWBBT-SNVBAGLBSA-N 0 3 236.363 2.527 20 0 BFADHN CN(Cc1cnc[nH]1)[C@@H]1C[C@@H]1c1cccc(F)c1 ZINC000906590063 638211858 /nfs/dbraw/zinc/21/18/58/638211858.db2.gz MYFQCEOHELIKJI-ZIAGYGMSSA-N 0 3 245.301 2.537 20 0 BFADHN C[C@]1(O)CCCN([C@@H]2C[C@@H]2c2cccc(F)c2)C1 ZINC000906586199 638211869 /nfs/dbraw/zinc/21/18/69/638211869.db2.gz DZXATVPEVFQUAJ-KFWWJZLASA-N 0 3 249.329 2.528 20 0 BFADHN CO[C@@H]1CCCN([C@@H]2C[C@@H]2c2cccc(F)c2)C1 ZINC000906592224 638214590 /nfs/dbraw/zinc/21/45/90/638214590.db2.gz PHLOHQSOCMYZBJ-RBSFLKMASA-N 0 3 249.329 2.792 20 0 BFADHN CN(Cc1cn[nH]c1)[C@@H]1C[C@H]1c1cccc(F)c1 ZINC000906592950 638215400 /nfs/dbraw/zinc/21/54/00/638215400.db2.gz SFLAKEKVJVXDKU-UONOGXRCSA-N 0 3 245.301 2.537 20 0 BFADHN CN(C[C@@H]1CCCO1)[C@H]1C[C@@H]1c1cccc(F)c1 ZINC000906584570 638219847 /nfs/dbraw/zinc/21/98/47/638219847.db2.gz PVLDQZXGGHJDJP-ZNMIVQPWSA-N 0 3 249.329 2.792 20 0 BFADHN Fc1cccc(C2(NCCOC3CC3)CC2)c1 ZINC000930226531 639642639 /nfs/dbraw/zinc/64/26/39/639642639.db2.gz LYUQNHXJUINHMR-UHFFFAOYSA-N 0 3 235.302 2.583 20 0 BFADHN COc1cccc(CN2CCOCC[C@H]2C)c1C ZINC000930236140 639646790 /nfs/dbraw/zinc/64/67/90/639646790.db2.gz VQNJGHRKGMGBCW-GFCCVEGCSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@@H]1CCN(CCCc2cccnc2)C[C@H]1F ZINC000930245448 639655235 /nfs/dbraw/zinc/65/52/35/639655235.db2.gz PQUOLPGERXOTOD-TZMCWYRMSA-N 0 3 236.334 2.694 20 0 BFADHN C[C@H]1CN(CCCC(C)(F)F)C[C@H](C2CC2)O1 ZINC000930268469 639673686 /nfs/dbraw/zinc/67/36/86/639673686.db2.gz BKLHPKGQRPZTLR-CMPLNLGQSA-N 0 3 247.329 2.921 20 0 BFADHN CSCCCN(C)[C@@H](C)c1ccc(F)nc1 ZINC000930318121 639702542 /nfs/dbraw/zinc/70/25/42/639702542.db2.gz HXFSSERPQADKNO-JTQLQIEISA-N 0 3 242.363 2.967 20 0 BFADHN C[C@H]1CN(CCc2ccns2)CCC1(F)F ZINC000930511441 639790168 /nfs/dbraw/zinc/79/01/68/639790168.db2.gz CXMCDBSRESNVGO-VIFPVBQESA-N 0 3 246.326 2.663 20 0 BFADHN CCN(Cc1cc(C)cc(C)n1)C[C@@H](C)OC ZINC000930540005 639805444 /nfs/dbraw/zinc/80/54/44/639805444.db2.gz QLWAUQKYDVBOSI-CYBMUJFWSA-N 0 3 236.359 2.555 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CCSc1ccncc1 ZINC000930582771 639812717 /nfs/dbraw/zinc/81/27/17/639812717.db2.gz QVCUUGYMZBLZRH-WDEREUQCSA-N 0 3 222.357 2.514 20 0 BFADHN CN(CCCC(C)(F)F)Cc1ccccn1 ZINC000930615816 639817796 /nfs/dbraw/zinc/81/77/96/639817796.db2.gz UNEMBWHQANMRTL-UHFFFAOYSA-N 0 3 228.286 2.949 20 0 BFADHN C[C@@H]1CCCC[C@@]1(C)NCc1nncs1 ZINC000930691544 639862297 /nfs/dbraw/zinc/86/22/97/639862297.db2.gz CAJWCMUDUBZZQB-MWLCHTKSSA-N 0 3 225.361 2.597 20 0 BFADHN Cc1cc(CN(C)CCC(C)(C)C)c(C)nn1 ZINC000930818975 639922073 /nfs/dbraw/zinc/92/20/73/639922073.db2.gz QNNIPZAPSCVNRP-UHFFFAOYSA-N 0 3 235.375 2.961 20 0 BFADHN CC1CC(CN(C)Cc2ccns2)C1 ZINC000930954456 639999950 /nfs/dbraw/zinc/99/99/50/639999950.db2.gz VDSBYBYPXFZBHE-UHFFFAOYSA-N 0 3 210.346 2.621 20 0 BFADHN FC1(F)CCCN(CCc2ccns2)CC1 ZINC000931174947 640088531 /nfs/dbraw/zinc/08/85/31/640088531.db2.gz ZINBBTDIBOBJRW-UHFFFAOYSA-N 0 3 246.326 2.807 20 0 BFADHN Cc1cc(C)nc(CN(C2CC2)C2CC2)c1 ZINC000931179952 640092111 /nfs/dbraw/zinc/09/21/11/640092111.db2.gz ZPZCFNRJXVETHN-UHFFFAOYSA-N 0 3 216.328 2.825 20 0 BFADHN COC/C(C)=C\CN[C@H](C)c1cnc(C)s1 ZINC000931466392 640226372 /nfs/dbraw/zinc/22/63/72/640226372.db2.gz CCWWIYWEQWEYCF-HYHWUIIZSA-N 0 3 240.372 2.695 20 0 BFADHN C[C@@H]1CN(CC2CC(C)(C)C2)Cc2c[nH]nc21 ZINC000931468400 640227179 /nfs/dbraw/zinc/22/71/79/640227179.db2.gz XOHYTJLNSAOQSA-SNVBAGLBSA-N 0 3 233.359 2.765 20 0 BFADHN CCCCCCN1CCc2nccc(N)c2C1 ZINC000931513418 640240703 /nfs/dbraw/zinc/24/07/03/640240703.db2.gz XAKZSWDTEBGQRN-UHFFFAOYSA-N 0 3 233.359 2.602 20 0 BFADHN Cc1cn(C)nc1CN(C)C[C@H](C)C(C)(C)C ZINC000931617232 640288576 /nfs/dbraw/zinc/28/85/76/640288576.db2.gz YMNSPVNJZCMBGI-LBPRGKRZSA-N 0 3 237.391 2.843 20 0 BFADHN FC1CCN(CCSc2ccncc2)CC1 ZINC000931687240 640316125 /nfs/dbraw/zinc/31/61/25/640316125.db2.gz UIXBIHCNTASUBE-UHFFFAOYSA-N 0 3 240.347 2.608 20 0 BFADHN CC[C@H](CO)N[C@@H](C)c1cccc(Cl)c1F ZINC000931775341 640367909 /nfs/dbraw/zinc/36/79/09/640367909.db2.gz WVFBAQYRCPGBNW-DTWKUNHWSA-N 0 3 245.725 2.901 20 0 BFADHN c1ncc(CN[C@@H]2CCSc3ccccc32)[nH]1 ZINC000054764872 640404709 /nfs/dbraw/zinc/40/47/09/640404709.db2.gz WMQHNFDCWJDKIW-GFCCVEGCSA-N 0 3 245.351 2.736 20 0 BFADHN C[C@H](C1CC1)N1CCS[C@H](C(F)(F)F)C1 ZINC000932072887 640422270 /nfs/dbraw/zinc/42/22/70/640422270.db2.gz HWCGPSDATHAMSJ-APPZFPTMSA-N 0 3 239.306 2.765 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCS[C@H](C(F)(F)F)C1 ZINC000932072874 640422930 /nfs/dbraw/zinc/42/29/30/640422930.db2.gz HTKVCYFWFBGFIH-VGMNWLOBSA-N 0 3 239.306 2.622 20 0 BFADHN c1nc(CNC[C@@H]2CC=CCC2)cs1 ZINC000044583473 640440719 /nfs/dbraw/zinc/44/07/19/640440719.db2.gz VKKVRGXFKDDQPU-SNVBAGLBSA-N 0 3 208.330 2.589 20 0 BFADHN CC(=O)CN1CCC[C@@H]1[C@H](C)c1ccccc1 ZINC000932275923 640469669 /nfs/dbraw/zinc/46/96/69/640469669.db2.gz QTUARSJVRVUJNF-UKRRQHHQSA-N 0 3 231.339 2.844 20 0 BFADHN Cn1nccc1[C@@H]1CCCN1Cc1ccccc1 ZINC000932273073 640470651 /nfs/dbraw/zinc/47/06/51/640470651.db2.gz MKUNJMAORJELOO-HNNXBMFYSA-N 0 3 241.338 2.757 20 0 BFADHN C[C@@H]1CCN(C/C=C/c2ccccc2)CCO1 ZINC000932348167 640490368 /nfs/dbraw/zinc/49/03/68/640490368.db2.gz CVIRHTSQRNMLMV-LHRNQBEKSA-N 0 3 231.339 2.811 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCO[C@@H](C)CC1 ZINC000932346615 640490722 /nfs/dbraw/zinc/49/07/22/640490722.db2.gz WUFORTCPKSJUMU-RYUDHWBXSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCO[C@H](C)CC1 ZINC000932351576 640493341 /nfs/dbraw/zinc/49/33/41/640493341.db2.gz ZYXMHISHEXXEEY-NEPJUHHUSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCO[C@@H](C)CC1 ZINC000932351577 640495007 /nfs/dbraw/zinc/49/50/07/640495007.db2.gz ZYXMHISHEXXEEY-NWDGAFQWSA-N 0 3 237.318 2.998 20 0 BFADHN c1ccc([C@H](NCCOCC2CC2)C2CC2)nc1 ZINC000932380327 640507958 /nfs/dbraw/zinc/50/79/58/640507958.db2.gz USHJVPXYLYAAAS-OAHLLOKOSA-N 0 3 246.354 2.549 20 0 BFADHN CCOCCN(Cc1ccccn1)CC(C)C ZINC000932441970 640529642 /nfs/dbraw/zinc/52/96/42/640529642.db2.gz ISINSEYBMCJZKZ-UHFFFAOYSA-N 0 3 236.359 2.576 20 0 BFADHN FC1(C2CC2)CCN(Cc2cccnc2)CC1 ZINC000932631122 640589889 /nfs/dbraw/zinc/58/98/89/640589889.db2.gz VIGWWKHOWXHIGU-UHFFFAOYSA-N 0 3 234.318 2.796 20 0 BFADHN C1=C[C@H](N2CCOC[C@@H]2CC2CC2)CCC1 ZINC000933210051 640678128 /nfs/dbraw/zinc/67/81/28/640678128.db2.gz LFORGHBMGGAOLK-KBPBESRZSA-N 0 3 221.344 2.596 20 0 BFADHN CC(C)CCOCCN[C@@H]1CCc2cccnc21 ZINC000226818116 640795083 /nfs/dbraw/zinc/79/50/83/640795083.db2.gz KURXLERUJAZVPE-CQSZACIVSA-N 0 3 248.370 2.721 20 0 BFADHN CC[C@@H](CN(Cc1ccoc1)C1CC1)OC ZINC000934005516 640806269 /nfs/dbraw/zinc/80/62/69/640806269.db2.gz QCBAXDXKGWPQPE-ZDUSSCGKSA-N 0 3 223.316 2.669 20 0 BFADHN CSCCCNCc1nc(C)c(C)s1 ZINC000087376030 640876719 /nfs/dbraw/zinc/87/67/19/640876719.db2.gz ZFCKLVARUZSOGZ-UHFFFAOYSA-N 0 3 230.402 2.603 20 0 BFADHN CO[C@@H]1CC[C@@H]1N(C)Cc1cc(F)ccc1C ZINC000934109592 641075107 /nfs/dbraw/zinc/07/51/07/641075107.db2.gz BDLPVHFQNZGONM-UONOGXRCSA-N 0 3 237.318 2.743 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1ncc(Cl)n1C ZINC000230671785 641078893 /nfs/dbraw/zinc/07/88/93/641078893.db2.gz RCTOBGZDBAOFGK-VHSXEESVSA-N 0 3 241.766 2.599 20 0 BFADHN FCCC1C[C@H]2CC[C@@H](C1)N2CCF ZINC000934452659 641150352 /nfs/dbraw/zinc/15/03/52/641150352.db2.gz KLQVPUGTQKCQJY-FGWVZKOKSA-N 0 3 203.276 2.559 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnc(Cl)cn1 ZINC000231276829 641150816 /nfs/dbraw/zinc/15/08/16/641150816.db2.gz PQIUTJQTHYHHNQ-VIFPVBQESA-N 0 3 227.739 2.750 20 0 BFADHN CN(Cc1cnc(Cl)cn1)CC1CCCC1 ZINC000231281544 641151414 /nfs/dbraw/zinc/15/14/14/641151414.db2.gz VGBBOUWREWVFSC-UHFFFAOYSA-N 0 3 239.750 2.752 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cnc(Cl)cn1 ZINC000231276788 641151765 /nfs/dbraw/zinc/15/17/65/641151765.db2.gz BYFLHQSHUBNCFU-VIFPVBQESA-N 0 3 227.739 2.606 20 0 BFADHN C[C@@H]1CCCN1Cn1ccc(-c2ccccc2)n1 ZINC000173527670 641182226 /nfs/dbraw/zinc/18/22/26/641182226.db2.gz ZSISPMROYCAASK-CYBMUJFWSA-N 0 3 241.338 2.992 20 0 BFADHN Cc1ccc(CN[C@H](C)C[C@H](O)c2ccco2)o1 ZINC000305745780 641190577 /nfs/dbraw/zinc/19/05/77/641190577.db2.gz PHZYAUVYYVRTFW-MFKMUULPSA-N 0 3 249.310 2.783 20 0 BFADHN C/C=C\CN[C@H](C)c1cnn(CC2CCC2)c1 ZINC000934553239 641192927 /nfs/dbraw/zinc/19/29/27/641192927.db2.gz DLEYHSYBZFGZAQ-VSQXVHSFSA-N 0 3 233.359 2.910 20 0 BFADHN C[C@@H](Cc1cccc(F)c1)NCc1ccno1 ZINC000231884650 641212036 /nfs/dbraw/zinc/21/20/36/641212036.db2.gz CRICVTYAFFEPRM-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCc1nccs1 ZINC000934623573 641221047 /nfs/dbraw/zinc/22/10/47/641221047.db2.gz WOEAPPGZCXOQBP-NXEZZACHSA-N 0 3 208.330 2.587 20 0 BFADHN Cl/C=C\CN[C@H](c1ccncc1)C1CC1 ZINC000934689589 641246104 /nfs/dbraw/zinc/24/61/04/641246104.db2.gz PJJQJZYZWXQUBS-VFANIBTQSA-N 0 3 222.719 2.875 20 0 BFADHN c1cc(CNC2(C3CCCCC3)CC2)on1 ZINC000934788831 641272193 /nfs/dbraw/zinc/27/21/93/641272193.db2.gz VXHQUACWOGWTII-UHFFFAOYSA-N 0 3 220.316 2.877 20 0 BFADHN CSCC[C@@H](C)[NH2+]Cc1ccc([O-])c(F)c1 ZINC000232423434 641276012 /nfs/dbraw/zinc/27/60/12/641276012.db2.gz ZHELEECUQOZAMR-SECBINFHSA-N 0 3 243.347 2.763 20 0 BFADHN [O-]c1ccc(C[NH2+]C[C@@H]2CCCS2)cc1F ZINC000232423065 641276291 /nfs/dbraw/zinc/27/62/91/641276291.db2.gz FXLXUEUSYKRIMU-JTQLQIEISA-N 0 3 241.331 2.517 20 0 BFADHN CCC(C)(C)[NH2+]Cc1ccc([O-])c(F)c1 ZINC000232421166 641276840 /nfs/dbraw/zinc/27/68/40/641276840.db2.gz RQMMTOWJYJDLDF-UHFFFAOYSA-N 0 3 211.280 2.810 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@H](NCc2ccon2)C1 ZINC000088052386 641280398 /nfs/dbraw/zinc/28/03/98/641280398.db2.gz YJZKLRCVLLEWIP-CKYFFXLPSA-N 0 3 208.305 2.589 20 0 BFADHN CCCCCC[C@H](C)NC(=O)[C@@H](N)C(C)(C)C ZINC000040463702 641531942 /nfs/dbraw/zinc/53/19/42/641531942.db2.gz TZHWKUBZMDYULA-NWDGAFQWSA-N 0 3 242.407 2.835 20 0 BFADHN CCc1ccc(CNCc2c[nH]nc2C)s1 ZINC000048446780 641688728 /nfs/dbraw/zinc/68/87/28/641688728.db2.gz IEFPHUPTQBIBCT-UHFFFAOYSA-N 0 3 235.356 2.632 20 0 BFADHN Cc1ccc(CNCc2ccc(F)nc2)cc1 ZINC000188964705 641777499 /nfs/dbraw/zinc/77/74/99/641777499.db2.gz ZZLGIDRNZLQZAG-UHFFFAOYSA-N 0 3 230.286 2.819 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1ccc(F)nc1 ZINC000189371493 641804269 /nfs/dbraw/zinc/80/42/69/641804269.db2.gz KDJWAGUUWHSEGQ-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1ccc(F)nc1 ZINC000189452551 641807402 /nfs/dbraw/zinc/80/74/02/641807402.db2.gz XZEPQEXYZKEVOS-AOOOYVTPSA-N 0 3 208.280 2.594 20 0 BFADHN C[C@@H]1CC[C@H](CNC2(c3ccccc3F)CC2)O1 ZINC000191055487 641898745 /nfs/dbraw/zinc/89/87/45/641898745.db2.gz AAJKMBVMAURUHU-VXGBXAGGSA-N 0 3 249.329 2.972 20 0 BFADHN Fc1ccccc1C1(NC[C@@H]2CCCO2)CC1 ZINC000271790790 641968378 /nfs/dbraw/zinc/96/83/78/641968378.db2.gz NHIJUDXTRXYDEK-NSHDSACASA-N 0 3 235.302 2.583 20 0 BFADHN CCN(Cc1cn2cccc(C)c2n1)C(C)C ZINC000917088046 642005754 /nfs/dbraw/zinc/00/57/54/642005754.db2.gz AFNHJKDOXNCUTN-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN CC(C)SCCN1CCOC[C@@H]1CC1CC1 ZINC000933213178 642390833 /nfs/dbraw/zinc/39/08/33/642390833.db2.gz MJQBLOJTYZRPGL-ZDUSSCGKSA-N 0 3 243.416 2.629 20 0 BFADHN CCc1[nH]nc(Cl)c1CNCCC(C)C ZINC000921474414 642633932 /nfs/dbraw/zinc/63/39/32/642633932.db2.gz XOAZADGHXCDJII-UHFFFAOYSA-N 0 3 229.755 2.761 20 0 BFADHN Cc1noc(C)c1CN1CCC12CCCC2 ZINC000649655573 642683046 /nfs/dbraw/zinc/68/30/46/642683046.db2.gz HAVKHIHYHXVHRR-UHFFFAOYSA-N 0 3 220.316 2.810 20 0 BFADHN CSCCNC1(c2ccccc2F)CC1 ZINC000179652111 642733441 /nfs/dbraw/zinc/73/34/41/642733441.db2.gz NAZLZHSRSHUGQU-UHFFFAOYSA-N 0 3 225.332 2.767 20 0 BFADHN C[C@H](CC(F)(F)F)N[C@H]1CCCc2n[nH]cc21 ZINC000353684969 642924688 /nfs/dbraw/zinc/92/46/88/642924688.db2.gz HZCIVYMSHZYAED-APPZFPTMSA-N 0 3 247.264 2.718 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccs1)C1(C)CC1 ZINC000070634013 643052613 /nfs/dbraw/zinc/05/26/13/643052613.db2.gz QRELKLUJSOKTJY-DTWKUNHWSA-N 0 3 210.346 2.982 20 0 BFADHN CCCCOCCN[C@@H](c1ccccn1)C1CC1 ZINC000932376872 643189132 /nfs/dbraw/zinc/18/91/32/643189132.db2.gz FCWAWCOPMPRQEJ-OAHLLOKOSA-N 0 3 248.370 2.939 20 0 BFADHN Fc1ccc(CN[C@H]2CCCc3n[nH]cc32)cc1 ZINC000334201827 643266087 /nfs/dbraw/zinc/26/60/87/643266087.db2.gz PHNYVSPELAICHO-ZDUSSCGKSA-N 0 3 245.301 2.716 20 0 BFADHN CO[C@@H](CNCc1ccccc1C)C(F)(F)F ZINC000733328941 643328393 /nfs/dbraw/zinc/32/83/93/643328393.db2.gz HYRJMHPXHHFASK-NSHDSACASA-N 0 3 247.260 2.662 20 0 BFADHN CCCCN(CCOC)Cc1ccoc1 ZINC000093323076 643334681 /nfs/dbraw/zinc/33/46/81/643334681.db2.gz PWTCHZRQOYYXMI-UHFFFAOYSA-N 0 3 211.305 2.528 20 0 BFADHN CCN(CCc1nccs1)Cc1ccoc1 ZINC000093764667 643340156 /nfs/dbraw/zinc/34/01/56/643340156.db2.gz YNPJMTBXXCTCGA-UHFFFAOYSA-N 0 3 236.340 2.801 20 0 BFADHN CC1(C)CCCN(CCNc2nccs2)C1 ZINC000073199969 643411299 /nfs/dbraw/zinc/41/12/99/643411299.db2.gz LTXRNGCCLHOAEL-UHFFFAOYSA-N 0 3 239.388 2.677 20 0 BFADHN C[C@H]1CC(C)(C)C[C@@H]1NCc1ccon1 ZINC000235538519 643415423 /nfs/dbraw/zinc/41/54/23/643415423.db2.gz USCRXVHLGFHMBX-ONGXEEELSA-N 0 3 208.305 2.589 20 0 BFADHN CC(C)CNCc1occc1Br ZINC000069049594 643440145 /nfs/dbraw/zinc/44/01/45/643440145.db2.gz AUXHVYNEMORIQL-UHFFFAOYSA-N 0 3 232.121 2.788 20 0 BFADHN Cc1nc(CN[C@H]2CC[C@H]2C)sc1C ZINC000307593263 643516193 /nfs/dbraw/zinc/51/61/93/643516193.db2.gz HANXHLZJHXGNPR-XCBNKYQSSA-N 0 3 210.346 2.648 20 0 BFADHN Cc1ccc(CNC[C@@H](O)c2cccc(F)c2)o1 ZINC000049918470 643556197 /nfs/dbraw/zinc/55/61/97/643556197.db2.gz VIEPBUFQXGZDFT-CQSZACIVSA-N 0 3 249.285 2.550 20 0 BFADHN CO[C@@H]1CCC[C@@H]1NCc1cc(F)ccc1F ZINC000049948692 643565060 /nfs/dbraw/zinc/56/50/60/643565060.db2.gz LHPVJRSITGUHAN-QWHCGFSZSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1cc(N2CCC[C@H](C(C)C)CC2)nc(N)n1 ZINC000083604644 643595451 /nfs/dbraw/zinc/59/54/51/643595451.db2.gz JRPHEWHPKOHUIN-LBPRGKRZSA-N 0 3 248.374 2.630 20 0 BFADHN CCCCN(C)Cc1ccnc(Cl)c1 ZINC000050165768 643626930 /nfs/dbraw/zinc/62/69/30/643626930.db2.gz YXYPMUOJUNSTRG-UHFFFAOYSA-N 0 3 212.724 2.967 20 0 BFADHN O[C@@H]1C[C@H](NCc2ccccc2)c2ccccc21 ZINC000197176569 643669931 /nfs/dbraw/zinc/66/99/31/643669931.db2.gz CFUXHFRAIHQGQH-JKSUJKDBSA-N 0 3 239.318 2.955 20 0 BFADHN C[C@H](NC[C@H]1CCC=CO1)c1ccco1 ZINC000050267930 643671089 /nfs/dbraw/zinc/67/10/89/643671089.db2.gz QMLZJAMHHBJBJF-WDEREUQCSA-N 0 3 207.273 2.623 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H]2CCC=CO2)o1 ZINC000050268225 643671172 /nfs/dbraw/zinc/67/11/72/643671172.db2.gz RHWACYUWKIFVEH-NWDGAFQWSA-N 0 3 221.300 2.931 20 0 BFADHN C[C@@H](NC[C@H]1CCC=CO1)c1ccco1 ZINC000050267931 643671481 /nfs/dbraw/zinc/67/14/81/643671481.db2.gz QMLZJAMHHBJBJF-GHMZBOCLSA-N 0 3 207.273 2.623 20 0 BFADHN C[C@@H](NCCSC(C)(C)C)c1ccnnc1 ZINC000925346373 643754504 /nfs/dbraw/zinc/75/45/04/643754504.db2.gz BRYZIOFAKZYDAO-SNVBAGLBSA-N 0 3 239.388 2.659 20 0 BFADHN COc1cc(CNCc2ccc(C)s2)ccn1 ZINC000057395241 643757333 /nfs/dbraw/zinc/75/73/33/643757333.db2.gz PHFXBBVMAARKEN-UHFFFAOYSA-N 0 3 248.351 2.750 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@@H]1CC=CCC1 ZINC000921755843 643773081 /nfs/dbraw/zinc/77/30/81/643773081.db2.gz CZYYDUIEDHEBSM-SECBINFHSA-N 0 3 239.750 2.824 20 0 BFADHN Cc1cnc(Cl)c(CNCC[C@@H](C)F)c1 ZINC000922148874 643873434 /nfs/dbraw/zinc/87/34/34/643873434.db2.gz MJWLIDUODPGTAC-SECBINFHSA-N 0 3 230.714 2.881 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H](O)c2ccccc2)o1 ZINC000035188401 643913817 /nfs/dbraw/zinc/91/38/17/643913817.db2.gz WLMBUGCLKNHRDA-JSGCOSHPSA-N 0 3 245.322 2.972 20 0 BFADHN CCc1[nH]nc(Cl)c1CNCCC(C)(C)F ZINC000922246844 643918266 /nfs/dbraw/zinc/91/82/66/643918266.db2.gz XOPMBBKMDXGAGJ-UHFFFAOYSA-N 0 3 247.745 2.853 20 0 BFADHN COC(OC)[C@H](C)NC/C(C)=C\c1ccccc1 ZINC000922311888 643967296 /nfs/dbraw/zinc/96/72/96/643967296.db2.gz MZSCROYAOANXOS-UKVQZPPCSA-N 0 3 249.354 2.687 20 0 BFADHN c1nc(CNC[C@]23C[C@H]2CCCC3)cn1C1CC1 ZINC000922427827 643991723 /nfs/dbraw/zinc/99/17/23/643991723.db2.gz HQPRYBUODPHHAE-DOMZBBRYSA-N 0 3 245.370 2.888 20 0 BFADHN CC[C@H](C)CN[C@H](c1ncccn1)C1CC1 ZINC000922578655 644031679 /nfs/dbraw/zinc/03/16/79/644031679.db2.gz DAZNPCZPBKJZAV-JQWIXIFHSA-N 0 3 219.332 2.563 20 0 BFADHN CC[C@@H](C)CN[C@H](c1ncccn1)C1CC1 ZINC000922578656 644032053 /nfs/dbraw/zinc/03/20/53/644032053.db2.gz DAZNPCZPBKJZAV-PWSUYJOCSA-N 0 3 219.332 2.563 20 0 BFADHN c1cnc([C@@H](NCC2CCCC2)C2CC2)nc1 ZINC000922580187 644032540 /nfs/dbraw/zinc/03/25/40/644032540.db2.gz NMZPNMSOPCPPQW-ZDUSSCGKSA-N 0 3 231.343 2.708 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1ccc(SC)o1 ZINC000922581192 644033212 /nfs/dbraw/zinc/03/32/12/644033212.db2.gz MCAMDDAARYZJOF-SECBINFHSA-N 0 3 243.372 2.905 20 0 BFADHN c1coc(CNCCc2nc3c(s2)CCC3)c1 ZINC000922716633 644073510 /nfs/dbraw/zinc/07/35/10/644073510.db2.gz FAPHBPQYAFVGOC-UHFFFAOYSA-N 0 3 248.351 2.557 20 0 BFADHN c1coc(CNC[C@H]2CCCC3(CCC3)O2)c1 ZINC000922805856 644099750 /nfs/dbraw/zinc/09/97/50/644099750.db2.gz QVJGZQCADWCTHA-CYBMUJFWSA-N 0 3 235.327 2.861 20 0 BFADHN CCc1ccc(CNC[C@]2(C)CCCCO2)o1 ZINC000922818068 644107572 /nfs/dbraw/zinc/10/75/72/644107572.db2.gz DRMGSSMWHHLJGM-AWEZNQCLSA-N 0 3 237.343 2.891 20 0 BFADHN CCC[C@@H](O)CCNCc1cccc(C)c1F ZINC000922821170 644110781 /nfs/dbraw/zinc/11/07/81/644110781.db2.gz KZBFICYWRAKUHF-CYBMUJFWSA-N 0 3 239.334 2.775 20 0 BFADHN C[C@H]1C[C@H](NCc2ccon2)CC(C)(C)C1 ZINC000086452638 644138360 /nfs/dbraw/zinc/13/83/60/644138360.db2.gz YFIISXOYRMWGCP-JQWIXIFHSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccon2)CC(C)(C)C1 ZINC000086452637 644139383 /nfs/dbraw/zinc/13/93/83/644139383.db2.gz YFIISXOYRMWGCP-PWSUYJOCSA-N 0 3 222.332 2.979 20 0 BFADHN CCCCCNCc1c(C)nn(C)c1Cl ZINC000073870571 644141944 /nfs/dbraw/zinc/14/19/44/644141944.db2.gz SLOUDHXXWQYHEX-UHFFFAOYSA-N 0 3 229.755 2.662 20 0 BFADHN CCCn1cc(CNCC2CCC=CCC2)nn1 ZINC000922966080 644200773 /nfs/dbraw/zinc/20/07/73/644200773.db2.gz KDWDTRVXKJTMSG-UHFFFAOYSA-N 0 3 248.374 2.524 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CC2CCC=CCC2)n1 ZINC000922966531 644202078 /nfs/dbraw/zinc/20/20/78/644202078.db2.gz QFYGSDRKADVCBU-UHFFFAOYSA-N 0 3 246.354 2.932 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@H]1[NH2+]Cc1cncc([O-])c1 ZINC000922976347 644208688 /nfs/dbraw/zinc/20/86/88/644208688.db2.gz WJNNYCRBHOMXPI-ZWNOBZJWSA-N 0 3 234.343 2.702 20 0 BFADHN CCCOC(=O)[C@@H](C)N(CC1CCC1)C(C)C ZINC000193201297 644220969 /nfs/dbraw/zinc/22/09/69/644220969.db2.gz DLMHEMUBMISEQW-GFCCVEGCSA-N 0 3 241.375 2.839 20 0 BFADHN CC(C)c1c[nH]c(CN[C@]23C[C@H]2CCC3)n1 ZINC000923019851 644234054 /nfs/dbraw/zinc/23/40/54/644234054.db2.gz AIUIYCDRVINCPY-ZWNOBZJWSA-N 0 3 219.332 2.565 20 0 BFADHN Cc1cc(CNC2([C@H]3CCCCO3)CC2)ccn1 ZINC000923027536 644237335 /nfs/dbraw/zinc/23/73/35/644237335.db2.gz FKTIHEUHCDSWNL-CQSZACIVSA-N 0 3 246.354 2.581 20 0 BFADHN FC(F)(F)[C@@H]1CCCN(C[C@@H]2CCC=CO2)C1 ZINC000193613267 644260327 /nfs/dbraw/zinc/26/03/27/644260327.db2.gz KTCQTPBXEGSPIC-MNOVXSKESA-N 0 3 249.276 2.953 20 0 BFADHN CO[C@@]1(C)C[C@@H](N(C)Cc2ccoc2)C1(C)C ZINC000093871872 644701892 /nfs/dbraw/zinc/70/18/92/644701892.db2.gz NZHBRLISSMXXKE-OCCSQVGLSA-N 0 3 237.343 2.915 20 0 BFADHN Fc1ccccc1-c1cnc([C@@H]2CCCN2)nc1 ZINC000094576920 644720405 /nfs/dbraw/zinc/72/04/05/644720405.db2.gz USMMSNHILLFHGZ-ZDUSSCGKSA-N 0 3 243.285 2.707 20 0 BFADHN Cc1ccccc1-c1cnc([C@H]2CCCN2)nc1 ZINC000094576919 644721207 /nfs/dbraw/zinc/72/12/07/644721207.db2.gz WQPVIOKSRGDYBN-CQSZACIVSA-N 0 3 239.322 2.877 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc(F)nc2)[C@H]1C ZINC000250062426 644747532 /nfs/dbraw/zinc/74/75/32/644747532.db2.gz NDEQNPVOLUSJMP-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](O)CC(C)C)oc1C ZINC000800474850 644834904 /nfs/dbraw/zinc/83/49/04/644834904.db2.gz PCSYVBAHSLFVEM-AAEUAGOBSA-N 0 3 239.359 2.954 20 0 BFADHN C[C@@H](N(C)CN1CC(C)(C)CC1=O)C(C)(C)C ZINC000808138537 644873530 /nfs/dbraw/zinc/87/35/30/644873530.db2.gz OGQRQOFDBPKDGQ-LLVKDONJSA-N 0 3 240.391 2.569 20 0 BFADHN CC[C@@]1(C)CC(=O)N(CN(C)[C@@H](C)C(C)C)C1 ZINC000808139423 644875342 /nfs/dbraw/zinc/87/53/42/644875342.db2.gz GPSUZEXPPDYKKL-JSGCOSHPSA-N 0 3 240.391 2.569 20 0 BFADHN CCN(CC(C)C)CN1C[C@](C)(CC)CC1=O ZINC000808140667 644875654 /nfs/dbraw/zinc/87/56/54/644875654.db2.gz MTOBLOPEBVTQJY-CQSZACIVSA-N 0 3 240.391 2.570 20 0 BFADHN CCN(CC(C)C)CN1C[C@@](C)(CC)CC1=O ZINC000808140666 644876151 /nfs/dbraw/zinc/87/61/51/644876151.db2.gz MTOBLOPEBVTQJY-AWEZNQCLSA-N 0 3 240.391 2.570 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@](C)(CO)C2CC2)o1 ZINC000304834238 644934820 /nfs/dbraw/zinc/93/48/20/644934820.db2.gz MKURDZWECQKBOX-RIEGTJTDSA-N 0 3 249.354 2.654 20 0 BFADHN CC(C)CC(=O)CCN1CCC(F)(F)[C@@H](C)C1 ZINC000828554310 645104742 /nfs/dbraw/zinc/10/47/42/645104742.db2.gz HDJSYJTXMKCVHI-NSHDSACASA-N 0 3 247.329 2.969 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H]1CCCc2oc(C)nc21 ZINC000926521198 645252021 /nfs/dbraw/zinc/25/20/21/645252021.db2.gz MNCUGXUYULUAQM-WOPDTQHZSA-N 0 3 234.343 2.996 20 0 BFADHN CCc1nc(CN[C@H]2CC3CCC2CC3)co1 ZINC000926536872 645262508 /nfs/dbraw/zinc/26/25/08/645262508.db2.gz QETTWVRVEDGIHB-XIVSLSHWSA-N 0 3 234.343 2.905 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@H](C1CC1)C1CCC1 ZINC000926557636 645280776 /nfs/dbraw/zinc/28/07/76/645280776.db2.gz CYZGNOKJOFFTPH-OQPBUACISA-N 0 3 235.331 2.607 20 0 BFADHN CC[C@H](N[C@H](C)c1nonc1C)[C@H]1CC1(C)C ZINC000926600956 645309083 /nfs/dbraw/zinc/30/90/83/645309083.db2.gz NKNFPYKPDPNMIS-IEBDPFPHSA-N 0 3 237.347 2.853 20 0 BFADHN C[C@H](COCC1CC1)N[C@H](C)c1ccns1 ZINC000926648542 645334000 /nfs/dbraw/zinc/33/40/00/645334000.db2.gz AKHDSIZPMVQKHC-NXEZZACHSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@@H](N[C@@H]1C=CCC1)c1cc(O)cc(F)c1 ZINC000926693000 645362373 /nfs/dbraw/zinc/36/23/73/645362373.db2.gz ZWHQBMMAUHTLKE-BXKDBHETSA-N 0 3 221.275 2.901 20 0 BFADHN C[C@@H]([NH2+][C@@H]1C=CCC1)c1cc([O-])cc(F)c1 ZINC000926693000 645362378 /nfs/dbraw/zinc/36/23/78/645362378.db2.gz ZWHQBMMAUHTLKE-BXKDBHETSA-N 0 3 221.275 2.901 20 0 BFADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)O1)c1ccns1 ZINC000926703465 645370106 /nfs/dbraw/zinc/37/01/06/645370106.db2.gz UIWAVENVSHCLBU-MXWKQRLJSA-N 0 3 240.372 2.751 20 0 BFADHN COc1cc([C@H](C)NCCCC2CCC2)on1 ZINC000926703155 645370608 /nfs/dbraw/zinc/37/06/08/645370608.db2.gz CZKVHGWOOBEXDL-JTQLQIEISA-N 0 3 238.331 2.914 20 0 BFADHN Cc1cc(N[C@@H]2C[C@H]2CC(C)(C)C)nc(N)n1 ZINC000845650556 645376775 /nfs/dbraw/zinc/37/67/75/645376775.db2.gz FHHTVVMWXBHAIU-VHSXEESVSA-N 0 3 234.347 2.604 20 0 BFADHN COc1cc([C@@H](C)NC2CC(C)(C)C2)on1 ZINC000926733125 645392251 /nfs/dbraw/zinc/39/22/51/645392251.db2.gz LAAHVVOYJLZWLF-MRVPVSSYSA-N 0 3 224.304 2.522 20 0 BFADHN C[C@@H]1C[C@H](NCC[C@@H]2CC=CCC2)c2ncnn21 ZINC000926759375 645407195 /nfs/dbraw/zinc/40/71/95/645407195.db2.gz KGVQXTDKFUTRCA-UPJWGTAASA-N 0 3 246.358 2.620 20 0 BFADHN CCCCN(CC)Cc1cnc(Cl)n1C ZINC000848876054 645441924 /nfs/dbraw/zinc/44/19/24/645441924.db2.gz HCEKGISBIHNQQT-UHFFFAOYSA-N 0 3 229.755 2.696 20 0 BFADHN C[C@H](NCCC[C@H]1C=CCC1)c1cn(C)cn1 ZINC000926824920 645443160 /nfs/dbraw/zinc/44/31/60/645443160.db2.gz LKRCZQFSBXKEEL-STQMWFEESA-N 0 3 233.359 2.817 20 0 BFADHN C[C@H](NCC1(CC2CC2)CC1)c1cn(C)cn1 ZINC000926839786 645448866 /nfs/dbraw/zinc/44/88/66/645448866.db2.gz BIIPLUAGAOCLMI-NSHDSACASA-N 0 3 233.359 2.651 20 0 BFADHN Cc1nonc1CN[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000926839551 645449497 /nfs/dbraw/zinc/44/94/97/645449497.db2.gz JYPWMDMLBKYDIU-WDEREUQCSA-N 0 3 237.347 2.682 20 0 BFADHN C=C/C=C/CCN[C@H](C)c1cn(C(C)C)nn1 ZINC000926845396 645455448 /nfs/dbraw/zinc/45/54/48/645455448.db2.gz QAHXRWDBKCSAJX-NNNHXZLVSA-N 0 3 234.347 2.642 20 0 BFADHN CCn1nc(C)c([C@@H](C)NCCC2CC=CC2)n1 ZINC000926951054 645499566 /nfs/dbraw/zinc/49/95/66/645499566.db2.gz VDDJHNRBUBGICL-LLVKDONJSA-N 0 3 248.374 2.613 20 0 BFADHN Cn1nc(CN[C@H]2CCC2(C)C)cc1C1CC1 ZINC000927109618 645551940 /nfs/dbraw/zinc/55/19/40/645551940.db2.gz YWZDLZCCMSXQCP-ZDUSSCGKSA-N 0 3 233.359 2.576 20 0 BFADHN Cn1nc(CN[C@@H]2CCCC2(C)C)cc1C1CC1 ZINC000927113150 645551951 /nfs/dbraw/zinc/55/19/51/645551951.db2.gz XLQMUMQJLHZEOF-CQSZACIVSA-N 0 3 247.386 2.966 20 0 BFADHN Cn1nc(CN[C@@H]2CCC2(C)C)cc1C1CC1 ZINC000927109617 645552770 /nfs/dbraw/zinc/55/27/70/645552770.db2.gz YWZDLZCCMSXQCP-CYBMUJFWSA-N 0 3 233.359 2.576 20 0 BFADHN COc1cc([C@@H](C)NC[C@]23C[C@H]2CCC3)on1 ZINC000927122769 645558217 /nfs/dbraw/zinc/55/82/17/645558217.db2.gz SBQSDUIWDQYMSK-BREBYQMCSA-N 0 3 236.315 2.524 20 0 BFADHN C[C@H]1C[C@@H](NC[C@]23C[C@H]2CCC3)c2nccn21 ZINC000927122791 645558663 /nfs/dbraw/zinc/55/86/63/645558663.db2.gz SPKVGLLWJQKPCX-SFTQSGBHSA-N 0 3 231.343 2.669 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@]12C[C@H]1CCC2 ZINC000927123303 645560451 /nfs/dbraw/zinc/56/04/51/645560451.db2.gz XUYAUSUNFDBRRV-SCRDCRAPSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@H](NC[C@H]1CC2(CCC2)CO1)c1ccoc1 ZINC000878422916 645813708 /nfs/dbraw/zinc/81/37/08/645813708.db2.gz OBQLGDLKCJFJQL-WCQYABFASA-N 0 3 235.327 2.889 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C ZINC000886693504 645889911 /nfs/dbraw/zinc/88/99/11/645889911.db2.gz CIUBSDGOQSIQQI-YHQUGGNUSA-N 0 3 247.386 2.846 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H]1C[C@@H]1[C@H]1C[C@H]1C ZINC000886693503 645890038 /nfs/dbraw/zinc/89/00/38/645890038.db2.gz CIUBSDGOQSIQQI-QMVSFRDZSA-N 0 3 247.386 2.846 20 0 BFADHN c1nc2c([nH]1)CN(C[C@H]1CC3CCC1CC3)CC2 ZINC000929069482 645934736 /nfs/dbraw/zinc/93/47/36/645934736.db2.gz JXMGLTYMVLIQSE-WXRRBKDZSA-N 0 3 245.370 2.594 20 0 BFADHN COC(=O)/C=C(\C)CN(C)Cc1ccccc1C ZINC000929121618 645955144 /nfs/dbraw/zinc/95/51/44/645955144.db2.gz LOSUBHQGKDEHSY-FMIVXFBMSA-N 0 3 247.338 2.546 20 0 BFADHN C[C@H](c1ncccn1)N1CCCCCCC1 ZINC000929128908 645960676 /nfs/dbraw/zinc/96/06/76/645960676.db2.gz SECPRCJRKBWALQ-GFCCVEGCSA-N 0 3 219.332 2.804 20 0 BFADHN COC(=O)/C=C(\C)CN(C)[C@H](C)c1ccccc1 ZINC000929175649 645986527 /nfs/dbraw/zinc/98/65/27/645986527.db2.gz VBAZBIZEXXLYAO-RSKUSDAESA-N 0 3 247.338 2.799 20 0 BFADHN Cc1nnsc1[C@H](C)N1C[C@H](C)C[C@H](C)C1 ZINC000929213770 646009273 /nfs/dbraw/zinc/00/92/73/646009273.db2.gz BFCGQEYFDRWHPJ-NGZCFLSTSA-N 0 3 239.388 2.885 20 0 BFADHN Cc1nnsc1[C@H](C)N1C[C@@H](C)C[C@H](C)C1 ZINC000929213771 646010108 /nfs/dbraw/zinc/01/01/08/646010108.db2.gz BFCGQEYFDRWHPJ-QXEWZRGKSA-N 0 3 239.388 2.885 20 0 BFADHN CCc1onc(C)c1CN1CCCC[C@@H]1C ZINC000929217529 646016908 /nfs/dbraw/zinc/01/69/08/646016908.db2.gz KZAHDADEABKZTI-JTQLQIEISA-N 0 3 222.332 2.920 20 0 BFADHN CCc1ncc(CN2CCCC[C@H]2C)o1 ZINC000929219549 646018465 /nfs/dbraw/zinc/01/84/65/646018465.db2.gz XFYDDNSLUXETGN-SNVBAGLBSA-N 0 3 208.305 2.611 20 0 BFADHN COC/C(C)=C/CN(C)Cc1ccc(OC)cc1 ZINC000929220857 646019487 /nfs/dbraw/zinc/01/94/87/646019487.db2.gz GXEPCMMVHCJQIP-UKTHLTGXSA-N 0 3 249.354 2.720 20 0 BFADHN Cc1cn(C)nc1CN(C)[C@H](C)C(C)(C)C ZINC000929249330 646033857 /nfs/dbraw/zinc/03/38/57/646033857.db2.gz HPEYINRPUSFZHM-LLVKDONJSA-N 0 3 223.364 2.595 20 0 BFADHN CCCCN[C@@H]1CCCc2oc(C)nc21 ZINC000924531671 646050777 /nfs/dbraw/zinc/05/07/77/646050777.db2.gz MVCDBPXUCBJPFO-SNVBAGLBSA-N 0 3 208.305 2.750 20 0 BFADHN CC[C@@H](C)N[C@H]1CCCc2oc(C)nc21 ZINC000924536760 646055985 /nfs/dbraw/zinc/05/59/85/646055985.db2.gz AQZPBNZZXQJJME-SCZZXKLOSA-N 0 3 208.305 2.749 20 0 BFADHN CSCCN[C@@H]1CCc2c1nccc2Cl ZINC000924550074 646066272 /nfs/dbraw/zinc/06/62/72/646066272.db2.gz BQDXWTHYEKTSFZ-SNVBAGLBSA-N 0 3 242.775 2.675 20 0 BFADHN CC(C)[C@@H](C)N[C@@H](C)c1cn(C(C)C)nn1 ZINC000924569076 646070820 /nfs/dbraw/zinc/07/08/20/646070820.db2.gz FZFTXWVVEDSHHQ-MNOVXSKESA-N 0 3 224.352 2.554 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2N[C@@H](C)C(C)C ZINC000924568351 646071200 /nfs/dbraw/zinc/07/12/00/646071200.db2.gz AZFOQUUKNXPAQV-ONGXEEELSA-N 0 3 222.332 2.995 20 0 BFADHN CCc1ncc(CN2CCC(CC)CC2)o1 ZINC000929297946 646071318 /nfs/dbraw/zinc/07/13/18/646071318.db2.gz MGZYYXZZKJLMDZ-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN c1csc(CNC2CC3(C2)CCCC3)n1 ZINC000924730966 646098588 /nfs/dbraw/zinc/09/85/88/646098588.db2.gz WMPJXOVPDLDLCG-UHFFFAOYSA-N 0 3 222.357 2.956 20 0 BFADHN C[C@@H](NCc1ccoc1)C1(Br)CC1 ZINC000924748374 646100249 /nfs/dbraw/zinc/10/02/49/646100249.db2.gz REQSWUUQNSTRBE-MRVPVSSYSA-N 0 3 244.132 2.685 20 0 BFADHN CCCN(CC(C)C)[C@@H](C)c1ncccn1 ZINC000929390114 646107061 /nfs/dbraw/zinc/10/70/61/646107061.db2.gz YWUDSRLRUZQEDF-LBPRGKRZSA-N 0 3 221.348 2.906 20 0 BFADHN CCCN(CC(C)C)[C@H](C)c1ncccn1 ZINC000929390113 646107243 /nfs/dbraw/zinc/10/72/43/646107243.db2.gz YWUDSRLRUZQEDF-GFCCVEGCSA-N 0 3 221.348 2.906 20 0 BFADHN CC[C@H](N[C@@H](C)c1nn(CC)nc1C)C(C)C ZINC000924799374 646109889 /nfs/dbraw/zinc/10/98/89/646109889.db2.gz NBXITZQMUBJPDS-JQWIXIFHSA-N 0 3 238.379 2.692 20 0 BFADHN CC[C@@H](N[C@@H](C)c1cn(C(C)C)nn1)C(C)C ZINC000924799738 646110990 /nfs/dbraw/zinc/11/09/90/646110990.db2.gz NXTMEXCYVRENEG-NWDGAFQWSA-N 0 3 238.379 2.944 20 0 BFADHN Cc1nonc1[C@H](C)NC1[C@@H](C)CCC[C@@H]1C ZINC000924801626 646111121 /nfs/dbraw/zinc/11/11/21/646111121.db2.gz GTTAXWYZJJAIBL-GUBZILKMSA-N 0 3 237.347 2.853 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@H]1CCCC[C@H]1C ZINC000924800745 646111143 /nfs/dbraw/zinc/11/11/43/646111143.db2.gz TXACBTIXXPAHTL-JFGNBEQYSA-N 0 3 237.347 2.855 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NC[C@@H](C)C1CC1 ZINC000924827541 646115040 /nfs/dbraw/zinc/11/50/40/646115040.db2.gz JEWHJXAKIOAOLI-BXKDBHETSA-N 0 3 234.343 2.996 20 0 BFADHN COC/C(C)=C/CN1CCc2c(C)cccc2C1 ZINC000929523897 646175449 /nfs/dbraw/zinc/17/54/49/646175449.db2.gz GZYOBKLEAASIJS-NTUHNPAUSA-N 0 3 245.366 2.946 20 0 BFADHN CSC[C@@H](C)N[C@@H](C)c1ccns1 ZINC000925098062 646184527 /nfs/dbraw/zinc/18/45/27/646184527.db2.gz TWQLJWQCIKCIRL-SFYZADRCSA-N 0 3 216.375 2.545 20 0 BFADHN CSC[C@@H](C)[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000925099182 646185036 /nfs/dbraw/zinc/18/50/36/646185036.db2.gz WVRUBXZDSZARIC-BDAKNGLRSA-N 0 3 243.347 2.933 20 0 BFADHN CC[C@@H]1CCC[C@@H](N[C@@H](C)c2nonc2C)C1 ZINC000925120040 646188635 /nfs/dbraw/zinc/18/86/35/646188635.db2.gz HTBOVBMJMAMBQF-MVWJERBFSA-N 0 3 237.347 2.997 20 0 BFADHN CCc1ncc(CN2[C@H](C)CCC[C@@H]2C)o1 ZINC000929604373 646195952 /nfs/dbraw/zinc/19/59/52/646195952.db2.gz KWWCCROHDPVMTK-PHIMTYICSA-N 0 3 222.332 3.000 20 0 BFADHN CCc1ncc(CN2CC[C@H](c3ccco3)C2)o1 ZINC000929617407 646200905 /nfs/dbraw/zinc/20/09/05/646200905.db2.gz IBXMCSCIDCVXDU-NSHDSACASA-N 0 3 246.310 2.820 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccns1)C(C)(C)C ZINC000925188540 646204911 /nfs/dbraw/zinc/20/49/11/646204911.db2.gz LESPNNJDCVQZPF-MWLCHTKSSA-N 0 3 242.388 2.855 20 0 BFADHN COCC1CCN(Cc2cc(C)cc(C)n2)CC1 ZINC000929629022 646212933 /nfs/dbraw/zinc/21/29/33/646212933.db2.gz LKVMGOLPKYERGT-UHFFFAOYSA-N 0 3 248.370 2.557 20 0 BFADHN CCC1(CN[C@@H](C)c2cc(OC)no2)CCC1 ZINC000925241473 646219206 /nfs/dbraw/zinc/21/92/06/646219206.db2.gz UYAZYXAVNWBEDK-JTQLQIEISA-N 0 3 238.331 2.914 20 0 BFADHN CCC[C@H](CC)N[C@@H](C)c1nonc1C ZINC000925354967 646251541 /nfs/dbraw/zinc/25/15/41/646251541.db2.gz ZDBVBCVKBUMGER-WPRPVWTQSA-N 0 3 211.309 2.607 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3CCC[C@@H]32)c(C)nn1 ZINC000929714203 646266257 /nfs/dbraw/zinc/26/62/57/646266257.db2.gz QUXLQAPFRNDUIG-ZFWWWQNUSA-N 0 3 245.370 2.858 20 0 BFADHN Cc1cn(C)nc1CN1CCC2(C1)CCCCC2 ZINC000929714131 646266571 /nfs/dbraw/zinc/26/65/71/646266571.db2.gz JFVZSVKACOLKRG-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN Cc1nc(C)c(CNCC[C@@H]2CCCS2)o1 ZINC000723630668 711527325 /nfs/dbraw/zinc/52/73/25/711527325.db2.gz KDEIQAFVHYAWMQ-NSHDSACASA-N 0 3 240.372 2.667 20 0 BFADHN COc1cc([C@H](C)NCC2(C)CCC2)on1 ZINC000925498310 646302537 /nfs/dbraw/zinc/30/25/37/646302537.db2.gz OCIQDCPKOLTVTO-VIFPVBQESA-N 0 3 224.304 2.524 20 0 BFADHN CN(Cc1cnccn1)CC1CCC2(CC2)CC1 ZINC000929798887 646324792 /nfs/dbraw/zinc/32/47/92/646324792.db2.gz RUFLVOFNMUNVDC-UHFFFAOYSA-N 0 3 245.370 2.879 20 0 BFADHN COC/C(C)=C/CN1CCC[C@@H]1c1ccccn1 ZINC000929813609 646332140 /nfs/dbraw/zinc/33/21/40/646332140.db2.gz ZTXBXLOVDLCLCV-XETPBLJFSA-N 0 3 246.354 2.811 20 0 BFADHN CCc1ncc(CN2[C@H](C)CC[C@H]2C)o1 ZINC000929827920 646343315 /nfs/dbraw/zinc/34/33/15/646343315.db2.gz FNEJTNLKWBTAMF-NXEZZACHSA-N 0 3 208.305 2.610 20 0 BFADHN C[C@@H]1N(CCCc2cccnc2)CCOC1(C)C ZINC000929840113 646351339 /nfs/dbraw/zinc/35/13/39/646351339.db2.gz AAXFUBIGTZPKJT-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN Fc1cccnc1CN[C@H]1C[C@H]2C=C[C@@H]1CC2 ZINC000925597551 646351862 /nfs/dbraw/zinc/35/18/62/646351862.db2.gz HGVKLUJAVCJWIU-LOWVWBTDSA-N 0 3 232.302 2.665 20 0 BFADHN CC(C)n1cc([C@H](C)NCC2(C3CC3)CC2)nn1 ZINC000925612953 646362682 /nfs/dbraw/zinc/36/26/82/646362682.db2.gz OTBQRGVQOVYRHO-NSHDSACASA-N 0 3 248.374 2.700 20 0 BFADHN COC/C(C)=C\CN1CCC[C@H]1c1ccc[nH]1 ZINC000929883960 646367824 /nfs/dbraw/zinc/36/78/24/646367824.db2.gz COKDYHRMTJDNEZ-VDBIKXPHSA-N 0 3 234.343 2.744 20 0 BFADHN COc1cc([C@@H](C)N[C@@H](C)C2CCC2)on1 ZINC000925634970 646377781 /nfs/dbraw/zinc/37/77/81/646377781.db2.gz LDVTZVGDHHBUOY-DTWKUNHWSA-N 0 3 224.304 2.522 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@H](C)C2CCC2)nn1 ZINC000925633980 646377936 /nfs/dbraw/zinc/37/79/36/646377936.db2.gz GIJBNROPWXQJAX-GHMZBOCLSA-N 0 3 236.363 2.698 20 0 BFADHN COC/C(C)=C/CN(C)[C@H](C)c1cccc(O)c1 ZINC000929971440 646381673 /nfs/dbraw/zinc/38/16/73/646381673.db2.gz LDWIUTQMAIACNE-YQCJOKCJSA-N 0 3 249.354 2.978 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)CCOC1CC1 ZINC000929972561 646381735 /nfs/dbraw/zinc/38/17/35/646381735.db2.gz RHIZCYBDPJHIFS-NSHDSACASA-N 0 3 235.327 2.564 20 0 BFADHN CCc1ncc(CN(C(C)C)C2CCC2)o1 ZINC000929993808 646387671 /nfs/dbraw/zinc/38/76/71/646387671.db2.gz IIQUYOFOHOCMBN-UHFFFAOYSA-N 0 3 222.332 3.000 20 0 BFADHN Cc1ccsc1CCN[C@H](C)c1cn(C)cn1 ZINC000925690244 646416570 /nfs/dbraw/zinc/41/65/70/646416570.db2.gz IMBZZEFTTABIDL-LLVKDONJSA-N 0 3 249.383 2.683 20 0 BFADHN Cc1ccsc1CCN[C@@H](C)c1cn(C)cn1 ZINC000925690245 646417075 /nfs/dbraw/zinc/41/70/75/646417075.db2.gz IMBZZEFTTABIDL-NSHDSACASA-N 0 3 249.383 2.683 20 0 BFADHN CC[C@@H]1C[C@H]1CN1CCC[C@H]1c1ncc[nH]1 ZINC000930164240 646469962 /nfs/dbraw/zinc/46/99/62/646469962.db2.gz YLMVTNWRRYTQKK-WOPDTQHZSA-N 0 3 219.332 2.593 20 0 BFADHN Cc1ccsc1[C@H](C)NCc1cc(N)ccn1 ZINC000925772581 646473609 /nfs/dbraw/zinc/47/36/09/646473609.db2.gz UQJLBZLHWITJLO-JTQLQIEISA-N 0 3 247.367 2.885 20 0 BFADHN COC/C(C)=C/CN[C@H](C)c1ccccc1OC ZINC000930179866 646482775 /nfs/dbraw/zinc/48/27/75/646482775.db2.gz IZSLOLFAHYSLQQ-CNELAYHGSA-N 0 3 249.354 2.939 20 0 BFADHN C[C@H]1[C@H](C)OC[C@H]1NC1(c2ccccc2F)CC1 ZINC000925883211 646531246 /nfs/dbraw/zinc/53/12/46/646531246.db2.gz GLSUFOGHDRPORT-COPLHBTASA-N 0 3 249.329 2.828 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CC[C@H](C)[C@H](C)C1 ZINC000926151853 646583414 /nfs/dbraw/zinc/58/34/14/646583414.db2.gz CISOYWZFXFECOD-MIZYBKAJSA-N 0 3 237.347 2.853 20 0 BFADHN Cc1nonc1[C@H](C)N[C@H]1CCCC(C)(C)C1 ZINC000926242149 646603422 /nfs/dbraw/zinc/60/34/22/646603422.db2.gz VMETVQUNBIDQLQ-ONGXEEELSA-N 0 3 237.347 2.997 20 0 BFADHN COc1cc([C@@H](C)NCC2CC(C)(C)C2)on1 ZINC000926237400 646603563 /nfs/dbraw/zinc/60/35/63/646603563.db2.gz LKKYWCWEXROGKX-SECBINFHSA-N 0 3 238.331 2.770 20 0 BFADHN CO[C@H](C)[C@H](C)[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000926249529 646604387 /nfs/dbraw/zinc/60/43/87/646604387.db2.gz HCZGSJRCEZYWTK-IVZWLZJFSA-N 0 3 241.306 2.605 20 0 BFADHN CC(C)=CCCN[C@H](C)c1cn(C(C)C)nn1 ZINC000926264158 646608522 /nfs/dbraw/zinc/60/85/22/646608522.db2.gz CSPDAYKDBKSOQK-GFCCVEGCSA-N 0 3 236.363 2.866 20 0 BFADHN CCC(C)(C)CN[C@@H](C)c1cn(C(C)C)nn1 ZINC000926280457 646610602 /nfs/dbraw/zinc/61/06/02/646610602.db2.gz CLLJQLYSIXHKBI-NSHDSACASA-N 0 3 238.379 2.946 20 0 BFADHN CO[C@H](C)[C@@H](C)[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000926452406 646642496 /nfs/dbraw/zinc/64/24/96/646642496.db2.gz HCZGSJRCEZYWTK-KXUCPTDWSA-N 0 3 241.306 2.605 20 0 BFADHN C[C@H]1COC[C@@H]1NCc1ccc(C2CC2)cc1F ZINC000926463399 646649540 /nfs/dbraw/zinc/64/95/40/646649540.db2.gz AWGFMAGJFVYZSL-BONVTDFDSA-N 0 3 249.329 2.828 20 0 BFADHN C[C@@H]([NH2+]CCCCF)c1cc([O-])cc(F)c1 ZINC000926465094 646650766 /nfs/dbraw/zinc/65/07/66/646650766.db2.gz ZQDKJNQJYNZWRY-SECBINFHSA-N 0 3 229.270 2.932 20 0 BFADHN C[C@H](NC1CC(C(C)(C)C)C1)c1cn(C)cn1 ZINC000926483391 646656153 /nfs/dbraw/zinc/65/61/53/646656153.db2.gz ULSBELPHMNQWEH-UNXYVOJBSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@@H]1CCCC1(C)C ZINC000926492027 646660492 /nfs/dbraw/zinc/66/04/92/646660492.db2.gz WWZLLNYFLXEZAG-ONGXEEELSA-N 0 3 237.347 2.855 20 0 BFADHN c1cn2c(n1)[C@@H](NC1CC3(C1)CCCC3)CC2 ZINC000926502583 646663700 /nfs/dbraw/zinc/66/37/00/646663700.db2.gz JLHUBFAMLNJUSV-LBPRGKRZSA-N 0 3 231.343 2.640 20 0 BFADHN CS[C@H]1CC[C@H](NCc2ncc(C)s2)C1 ZINC000394734320 711690088 /nfs/dbraw/zinc/69/00/88/711690088.db2.gz QLJYIALAFMSLHP-UWVGGRQHSA-N 0 3 242.413 2.825 20 0 BFADHN CCc1nnc(CNC[C@@H]2CC[C@H](C)C2)s1 ZINC000702693593 711871071 /nfs/dbraw/zinc/87/10/71/711871071.db2.gz NHAKBBSYGLWSFF-VHSXEESVSA-N 0 3 239.388 2.626 20 0 BFADHN C[C@@H](NCC[C@@H]1CCSC1)c1nccs1 ZINC000704869084 712119463 /nfs/dbraw/zinc/11/94/63/712119463.db2.gz UBHBDHSHVGYALG-NXEZZACHSA-N 0 3 242.413 2.937 20 0 BFADHN C[C@@H]1CCCC[C@@H]1NCc1cc(Cl)n(C)n1 ZINC000706167860 712141505 /nfs/dbraw/zinc/14/15/05/712141505.db2.gz LSWLWEQBCPMIGE-KOLCDFICSA-N 0 3 241.766 2.742 20 0 BFADHN CSC1CC(N[C@H](C)c2ccccn2)C1 ZINC000706745283 712154004 /nfs/dbraw/zinc/15/40/04/712154004.db2.gz LWZUACMTOZSPND-KPPDAEKUSA-N 0 3 222.357 2.626 20 0 BFADHN Cc1conc1CNC1[C@@H](C)CCC[C@@H]1C ZINC000708235664 712184118 /nfs/dbraw/zinc/18/41/18/712184118.db2.gz RMQWEPIVNBRNTD-UWVGGRQHSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@H](N[C@H]1CCCC(F)(F)C1)c1cn(C)cn1 ZINC000709921783 712233268 /nfs/dbraw/zinc/23/32/68/712233268.db2.gz ULPQCZWERYGEDY-UWVGGRQHSA-N 0 3 243.301 2.649 20 0 BFADHN CCSCC[C@H](C)N[C@H](C)c1cn(C)cn1 ZINC000709992343 712237902 /nfs/dbraw/zinc/23/79/02/712237902.db2.gz FLQLWBFUTNBFGH-WDEREUQCSA-N 0 3 241.404 2.602 20 0 BFADHN Cc1nnc(CN[C@H]2C[C@@H]3CC[C@@H](C3)C2)s1 ZINC000710939159 712266645 /nfs/dbraw/zinc/26/66/45/712266645.db2.gz MYYFQUTYRDHWME-URLYPYJESA-N 0 3 237.372 2.515 20 0 BFADHN Clc1cnc(CNC[C@@H]2C=CCC2)s1 ZINC000711656963 712315139 /nfs/dbraw/zinc/31/51/39/712315139.db2.gz UNPOEUSKAZDAMK-MRVPVSSYSA-N 0 3 228.748 2.852 20 0 BFADHN CC1(CNCc2cccnc2Cl)CC1 ZINC000379633591 712390967 /nfs/dbraw/zinc/39/09/67/712390967.db2.gz PWRBDSGIGOOQQS-UHFFFAOYSA-N 0 3 210.708 2.625 20 0 BFADHN C[C@@H](N[C@H]1C=CCCC1)c1ccns1 ZINC000716057426 712548861 /nfs/dbraw/zinc/54/88/61/712548861.db2.gz SUVWGTGVMJCWAN-ZJUUUORDSA-N 0 3 208.330 2.902 20 0 BFADHN CC[C@H](C[C@H](C)O)NCc1cccc(F)c1F ZINC000716853586 712578669 /nfs/dbraw/zinc/57/86/69/712578669.db2.gz PUDFNODHXMFJEG-GXSJLCMTSA-N 0 3 243.297 2.604 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnc([C@@H](C)O)s1 ZINC000809552902 712584486 /nfs/dbraw/zinc/58/44/86/712584486.db2.gz OBEFWCHCCYVXOT-NXEZZACHSA-N 0 3 240.372 2.571 20 0 BFADHN CC[C@H]1CCCN1Cc1cnc([C@H](C)O)s1 ZINC000809552904 712584593 /nfs/dbraw/zinc/58/45/93/712584593.db2.gz OBEFWCHCCYVXOT-UWVGGRQHSA-N 0 3 240.372 2.571 20 0 BFADHN C[C@@H]1CCC(C)(C)C[C@H]1NCc1cocn1 ZINC000717437637 712657889 /nfs/dbraw/zinc/65/78/89/712657889.db2.gz JNABOSHRVDUPMT-ZYHUDNBSSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1nc(C2CC2)cs1 ZINC000380098262 712685982 /nfs/dbraw/zinc/68/59/82/712685982.db2.gz KXKKOVYXOGRXGV-SCZZXKLOSA-N 0 3 222.357 2.909 20 0 BFADHN Cc1coc(CNCCc2scnc2C)c1 ZINC000718036112 712737323 /nfs/dbraw/zinc/73/73/23/712737323.db2.gz OPWADKKMYTWOGD-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN Cc1cnc(CNC2CCC=CCC2)o1 ZINC000718073065 712741151 /nfs/dbraw/zinc/74/11/51/712741151.db2.gz OYBGQQDOAWIHQL-UHFFFAOYSA-N 0 3 206.289 2.571 20 0 BFADHN COCC(C)(C)CCNCc1csc(C)n1 ZINC000718643234 712776723 /nfs/dbraw/zinc/77/67/23/712776723.db2.gz CDAVHPNRSOVYMG-UHFFFAOYSA-N 0 3 242.388 2.604 20 0 BFADHN CCc1ccc(CN[C@@H](C)C(C)(C)OC)o1 ZINC000720287794 712830325 /nfs/dbraw/zinc/83/03/25/712830325.db2.gz LKLCNMNTCZXPQF-JTQLQIEISA-N 0 3 225.332 2.745 20 0 BFADHN CCOC[C@H](C)NCc1cc(C)cc(Cl)n1 ZINC000381292280 710617599 /nfs/dbraw/zinc/61/75/99/710617599.db2.gz DQWHNUDVVJTAAW-JTQLQIEISA-N 0 3 242.750 2.558 20 0 BFADHN CCO[C@H]1CCC[C@@H](NCc2nccs2)C1 ZINC000721202747 712898496 /nfs/dbraw/zinc/89/84/96/712898496.db2.gz IODLTGZYQOQOJZ-MNOVXSKESA-N 0 3 240.372 2.580 20 0 BFADHN Cc1nc(F)ccc1CNCCC(F)(F)F ZINC000381393707 710631624 /nfs/dbraw/zinc/63/16/24/710631624.db2.gz OXIHRRQSGKBERD-UHFFFAOYSA-N 0 3 236.212 2.571 20 0 BFADHN CCO[C@H]1CCC[C@@H](NCc2cscn2)C1 ZINC000721208014 712898627 /nfs/dbraw/zinc/89/86/27/712898627.db2.gz POYNVYXWAZLBSZ-PWSUYJOCSA-N 0 3 240.372 2.580 20 0 BFADHN CCc1noc(C)c1[C@@H](C)NC[C@H](C)SC ZINC000381709853 710682643 /nfs/dbraw/zinc/68/26/43/710682643.db2.gz KATDTOMARMJYMI-DTWKUNHWSA-N 0 3 242.388 2.948 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1cnn(C)c1Cl ZINC000382152260 710801530 /nfs/dbraw/zinc/80/15/30/710801530.db2.gz PZMNMCWFGVMDHA-VHSXEESVSA-N 0 3 243.782 2.988 20 0 BFADHN Nc1cccc(CN2CC[C@@H](C(F)(F)F)C2)c1 ZINC000384008353 710988329 /nfs/dbraw/zinc/98/83/29/710988329.db2.gz NZAFNTGCGSHNDN-SNVBAGLBSA-N 0 3 244.260 2.653 20 0 BFADHN Cc1cccc(CNC[C@@H](O)CC(C)C)c1F ZINC000384586876 710993852 /nfs/dbraw/zinc/99/38/52/710993852.db2.gz YNHANMSYOWFFNA-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1c(C)nn(C)c1Cl ZINC000387958066 711049826 /nfs/dbraw/zinc/04/98/26/711049826.db2.gz CSWGYEMUYUMSEX-ZJUUUORDSA-N 0 3 241.766 2.518 20 0 BFADHN C[C@H](CNCc1nc(C#N)cs1)C(C)(C)C ZINC000390665394 711130609 /nfs/dbraw/zinc/13/06/09/711130609.db2.gz UEABIQOQKNPIQR-SECBINFHSA-N 0 3 237.372 2.787 20 0 BFADHN FC1(F)CC[C@H](N[C@@H]2CCc3cccnc32)C1 ZINC000393842860 711177205 /nfs/dbraw/zinc/17/72/05/711177205.db2.gz AJVLJXRVLPAMPS-WDEREUQCSA-N 0 3 238.281 2.846 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@@H]1CCc2cccnc21)OC ZINC000394431800 711191598 /nfs/dbraw/zinc/19/15/98/711191598.db2.gz CAMPLMLFZNCZKJ-IACUBPJLSA-N 0 3 248.370 2.720 20 0 BFADHN CS[C@H]1CC[C@@H](NCc2ncc(C)s2)C1 ZINC000394734323 711196120 /nfs/dbraw/zinc/19/61/20/711196120.db2.gz QLJYIALAFMSLHP-ZJUUUORDSA-N 0 3 242.413 2.825 20 0 BFADHN C[C@@H](CC(C)(C)C)NCc1ncc(Cl)n1C ZINC000395145056 711202142 /nfs/dbraw/zinc/20/21/42/711202142.db2.gz UXOANYGGHSLULZ-VIFPVBQESA-N 0 3 243.782 2.988 20 0 BFADHN Cc1cc(CNCC[C@@H]2CC2(Cl)Cl)on1 ZINC000399176686 711423145 /nfs/dbraw/zinc/42/31/45/711423145.db2.gz PWPGXMQDKFNEJQ-MRVPVSSYSA-N 0 3 249.141 2.657 20 0 BFADHN C[C@H](N[C@@H](C)c1ccncc1)c1ccc[nH]1 ZINC000381336761 710623711 /nfs/dbraw/zinc/62/37/11/710623711.db2.gz VZNAYKXBMMEQQI-QWRGUYRKSA-N 0 3 215.300 2.822 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CC[C@@H](C)[C@H](C)C2)[n-]1 ZINC000392373746 711144617 /nfs/dbraw/zinc/14/46/17/711144617.db2.gz NMGOYKNSRXSQEL-MWGHHZFTSA-N 0 3 236.363 2.588 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCCC(C)(C)C2)[n-]1 ZINC000392347238 711145017 /nfs/dbraw/zinc/14/50/17/711145017.db2.gz GPTLGTUTXCUCAX-ONGXEEELSA-N 0 3 236.363 2.733 20 0 BFADHN CC[C@@H]([NH2+][C@@H](C)c1nnc(C)[n-]1)C1CCCC1 ZINC000392363435 711145024 /nfs/dbraw/zinc/14/50/24/711145024.db2.gz DKOWLBGIABBZRM-JOYOIKCWSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+][C@H]2C[C@H](C)C[C@H](C)C2)[n-]1 ZINC000392380857 711145439 /nfs/dbraw/zinc/14/54/39/711145439.db2.gz LMNBUARREMHFQO-KLBPJQLPSA-N 0 3 236.363 2.588 20 0 BFADHN Cc1nnc([C@@H](C)N[C@H](C)CCC(C)C)[nH]1 ZINC000392393695 711146968 /nfs/dbraw/zinc/14/69/68/711146968.db2.gz XDTFEANTGRJJIV-NXEZZACHSA-N 0 3 224.352 2.588 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]CC(C(C)C)C(C)C)[n-]1 ZINC000392469908 711149164 /nfs/dbraw/zinc/14/91/64/711149164.db2.gz SSIMRVAMGQNOHZ-JTQLQIEISA-N 0 3 238.379 2.692 20 0 BFADHN CCC[C@H](NCc1ccon1)[C@@H]1CC1(C)C ZINC000397590316 711387441 /nfs/dbraw/zinc/38/74/41/711387441.db2.gz KBNXCWHIPWZAAU-RYUDHWBXSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)C2)cnc1F ZINC000891445615 711524291 /nfs/dbraw/zinc/52/42/91/711524291.db2.gz DEXVCOCQJBELJT-JTQLQIEISA-N 0 3 222.307 2.761 20 0 BFADHN Cc1cc(C)nc(CN2CCCCC2)c1 ZINC000723610565 711525867 /nfs/dbraw/zinc/52/58/67/711525867.db2.gz SBQSDSFEMMBAQZ-UHFFFAOYSA-N 0 3 204.317 2.684 20 0 BFADHN COC(C)(C)[C@H](C)NCc1cc(C)cc(C)n1 ZINC000723628797 711527037 /nfs/dbraw/zinc/52/70/37/711527037.db2.gz LYERNACEXPTMLP-LBPRGKRZSA-N 0 3 236.359 2.602 20 0 BFADHN CCCCN(C)Cc1ccc(OCC)o1 ZINC000891449765 711528368 /nfs/dbraw/zinc/52/83/68/711528368.db2.gz HHQDDAGBIVXEJA-UHFFFAOYSA-N 0 3 211.305 2.910 20 0 BFADHN CC[C@H](C[C@@H](C)O)NCc1ccc(Cl)o1 ZINC000716956891 711589537 /nfs/dbraw/zinc/58/95/37/711589537.db2.gz XFBRRVFBBOKYLA-RKDXNWHRSA-N 0 3 231.723 2.572 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@H]3CCC[C@H]32)[nH]1 ZINC000724780926 711614297 /nfs/dbraw/zinc/61/42/97/711614297.db2.gz SPBPYDHRHXOGFO-GXTWGEPZSA-N 0 3 233.359 2.791 20 0 BFADHN Cc1nc(C)c(CN[C@@H](C)c2ccccc2)[nH]1 ZINC000724790004 711614899 /nfs/dbraw/zinc/61/48/99/711614899.db2.gz HMPDOHOLWLIUPY-JTQLQIEISA-N 0 3 229.327 2.877 20 0 BFADHN CCC1(N[C@H](C)c2cn3c(n2)CCCC3)CC1 ZINC000926512711 711619469 /nfs/dbraw/zinc/61/94/69/711619469.db2.gz NLPSFQHTHHTBOM-LLVKDONJSA-N 0 3 233.359 2.813 20 0 BFADHN CC(C)(C)[C@@H](NCc1cocn1)C1CC1 ZINC000395086936 711692337 /nfs/dbraw/zinc/69/23/37/711692337.db2.gz DIOQJRBEYMNVNP-NSHDSACASA-N 0 3 208.305 2.589 20 0 BFADHN Cc1nn(C)c(CN[C@@H]2CCC2(C)C)c1Cl ZINC000393804530 711707133 /nfs/dbraw/zinc/70/71/33/711707133.db2.gz YGXZQUQPVOGJBN-SNVBAGLBSA-N 0 3 241.766 2.660 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2CC=CCC2)cc1F ZINC000232422933 711760687 /nfs/dbraw/zinc/76/06/87/711760687.db2.gz ITCANHUWOQWJER-LLVKDONJSA-N 0 3 221.275 2.730 20 0 BFADHN CO[C@H]1CC[C@@H](C)N(Cc2ccc(C)nc2C)C1 ZINC000879324815 711768612 /nfs/dbraw/zinc/76/86/12/711768612.db2.gz KBGUCOVUAWFIFK-DOMZBBRYSA-N 0 3 248.370 2.698 20 0 BFADHN Cc1coc(CN2CCC([C@H]3CCCO3)CC2)c1 ZINC000894532299 711771841 /nfs/dbraw/zinc/77/18/41/711771841.db2.gz FHQGHWAZRYSBEF-OAHLLOKOSA-N 0 3 249.354 2.979 20 0 BFADHN Cc1[nH]nc(CN2CC(C)(C)[C@@H]2C2CC2)c1C ZINC000894795289 711772335 /nfs/dbraw/zinc/77/23/35/711772335.db2.gz QOYQVICWQNKJMB-ZDUSSCGKSA-N 0 3 233.359 2.647 20 0 BFADHN CC[C@@H]1COC[C@@H]1NCc1c(C)cccc1F ZINC000925163123 711786163 /nfs/dbraw/zinc/78/61/63/711786163.db2.gz BUDAHMPRMXRDJD-RISCZKNCSA-N 0 3 237.318 2.649 20 0 BFADHN O[C@@H](CN[C@@H]1CCCC[C@@H]1F)c1ccccc1 ZINC000872218406 711789403 /nfs/dbraw/zinc/78/94/03/711789403.db2.gz JDUXMPGTNAMQQD-MJBXVCDLSA-N 0 3 237.318 2.590 20 0 BFADHN CSC[C@@H](C)NCc1nc(C)c(C)s1 ZINC000127480784 711789436 /nfs/dbraw/zinc/78/94/36/711789436.db2.gz LWQXKBBHNDHDRG-SSDOTTSWSA-N 0 3 230.402 2.601 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1cncs1 ZINC000379657229 711806273 /nfs/dbraw/zinc/80/62/73/711806273.db2.gz MEYJDXCUIOKUMG-XHNCKOQMSA-N 0 3 228.386 2.688 20 0 BFADHN CC(C)C[C@H](C)NCc1cnn(C)c1Cl ZINC000382101616 711824711 /nfs/dbraw/zinc/82/47/11/711824711.db2.gz GXXCXTFMAOVROU-VIFPVBQESA-N 0 3 229.755 2.598 20 0 BFADHN C[C@@H](NC[C@H](O)C1CC1)c1ccccc1Cl ZINC000070856113 711897285 /nfs/dbraw/zinc/89/72/85/711897285.db2.gz RQHOZKNYAQKLCN-RNCFNFMXSA-N 0 3 239.746 2.762 20 0 BFADHN C[C@@H](O)CCCNC1(c2ccccc2F)CC1 ZINC000450675269 711942425 /nfs/dbraw/zinc/94/24/25/711942425.db2.gz UDOZRGMLVWMDHB-LLVKDONJSA-N 0 3 237.318 2.565 20 0 BFADHN Fc1ccc(CN[C@H]2C=CCC2)cc1F ZINC000700502302 711995373 /nfs/dbraw/zinc/99/53/73/711995373.db2.gz IXYLDCQTIUVJFK-JTQLQIEISA-N 0 3 209.239 2.773 20 0 BFADHN Cc1csc(CNCC2CC(C)C2)n1 ZINC000700829189 712007491 /nfs/dbraw/zinc/00/74/91/712007491.db2.gz BTSNPGOUEXSUQV-UHFFFAOYSA-N 0 3 210.346 2.587 20 0 BFADHN CCS[C@H]1CCC[C@@H]1NCc1cnc(C)o1 ZINC000700848789 712008432 /nfs/dbraw/zinc/00/84/32/712008432.db2.gz PHDPJBCUDCPBGI-RYUDHWBXSA-N 0 3 240.372 2.747 20 0 BFADHN COc1c(C)cnc(CN[C@]2(C)CC2(C)C)c1C ZINC000701957077 712033206 /nfs/dbraw/zinc/03/32/06/712033206.db2.gz CAHGDWXLAXFODW-OAHLLOKOSA-N 0 3 248.370 2.985 20 0 BFADHN C1=C(CN[C@H]2CCc3cccnc32)CCC1 ZINC000702345310 712045763 /nfs/dbraw/zinc/04/57/63/712045763.db2.gz HTHYFDVKJNSTEI-ZDUSSCGKSA-N 0 3 214.312 2.769 20 0 BFADHN COc1c(C)cnc(CNCC2=CCCC2)c1C ZINC000702323046 712047307 /nfs/dbraw/zinc/04/73/07/712047307.db2.gz GOLLZNKTOHFOMN-UHFFFAOYSA-N 0 3 246.354 2.907 20 0 BFADHN CCc1nnc(CNC[C@@H]2CCC[C@@H]2C)s1 ZINC000702697490 712060067 /nfs/dbraw/zinc/06/00/67/712060067.db2.gz ZVURZOJGCNRVDD-UWVGGRQHSA-N 0 3 239.388 2.626 20 0 BFADHN Clc1ccc(CNCCc2ccco2)nc1 ZINC000703906259 712094187 /nfs/dbraw/zinc/09/41/87/712094187.db2.gz PLAKJMDPLOVHTP-UHFFFAOYSA-N 0 3 236.702 2.660 20 0 BFADHN CCC1(NCc2ccc(Cl)cn2)CC1 ZINC000703925282 712095096 /nfs/dbraw/zinc/09/50/96/712095096.db2.gz RVTADYGLPPCTEF-UHFFFAOYSA-N 0 3 210.708 2.767 20 0 BFADHN Cc1nc(CNC[C@]23C[C@H]2CCCC3)[nH]c1C ZINC000708969233 712204220 /nfs/dbraw/zinc/20/42/20/712204220.db2.gz DGZCTYUUZOFCCZ-OCCSQVGLSA-N 0 3 233.359 2.696 20 0 BFADHN C[C@H](NCc1ccno1)[C@@]12C[C@@H]1CCCC2 ZINC000709088905 712208307 /nfs/dbraw/zinc/20/83/07/712208307.db2.gz NCOOUJXBVXTKHL-GMXVVIOVSA-N 0 3 220.316 2.733 20 0 BFADHN C[C@@H](CN[C@@H](C)c1nncn1C)CC(C)(C)C ZINC000709104906 712208716 /nfs/dbraw/zinc/20/87/16/712208716.db2.gz MDOLHIDOEXOZBL-MNOVXSKESA-N 0 3 238.379 2.538 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@@H](C)c1cn(C)cn1 ZINC000709922707 712233895 /nfs/dbraw/zinc/23/38/95/712233895.db2.gz XEDKCLBZIDRSOU-MNOVXSKESA-N 0 3 249.383 2.763 20 0 BFADHN Cc1cccc(CCN[C@H](C)c2cn(C)cn2)c1 ZINC000709974928 712235899 /nfs/dbraw/zinc/23/58/99/712235899.db2.gz CXTYIDUIEMPRMO-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN CC(C)C1(CN[C@@H](C)c2cn(C)cn2)CC1 ZINC000710016775 712240950 /nfs/dbraw/zinc/24/09/50/712240950.db2.gz QKZNEYVWUYFFLX-NSHDSACASA-N 0 3 221.348 2.507 20 0 BFADHN C[C@H](NCCc1ccns1)c1ccoc1 ZINC000710026590 712242206 /nfs/dbraw/zinc/24/22/06/712242206.db2.gz LDLJXPYLODCREC-VIFPVBQESA-N 0 3 222.313 2.629 20 0 BFADHN CSCC[C@@H](C)NCc1nccn1C(C)C ZINC000710262608 712250005 /nfs/dbraw/zinc/25/00/05/712250005.db2.gz PQMAQHMRQZLKOV-LLVKDONJSA-N 0 3 241.404 2.695 20 0 BFADHN C[C@H](N[C@@H]1Cc2ccccc2[C@@H]1C)c1ncc[nH]1 ZINC000710834679 712258029 /nfs/dbraw/zinc/25/80/29/712258029.db2.gz YJTDDQJPQKEZBY-COPLHBTASA-N 0 3 241.338 2.789 20 0 BFADHN C[C@@H]1COC[C@@H]1NCc1cccc(Cl)c1F ZINC000711099491 712280117 /nfs/dbraw/zinc/28/01/17/712280117.db2.gz XIRRSNXRSQCUIO-KCJUWKMLSA-N 0 3 243.709 2.604 20 0 BFADHN Cc1cc(CN2CC[C@@H]3C[C@@H]3C2)cc(Cl)n1 ZINC000711111059 712281540 /nfs/dbraw/zinc/28/15/40/712281540.db2.gz UESNMDQUECSMFQ-VXGBXAGGSA-N 0 3 236.746 2.885 20 0 BFADHN c1nc(CN[C@H]2C[C@@H]3CC[C@@H](C3)C2)cs1 ZINC000711204030 712289129 /nfs/dbraw/zinc/28/91/29/712289129.db2.gz QFPNCPLOFUROGZ-URLYPYJESA-N 0 3 222.357 2.811 20 0 BFADHN Cc1occc1CNC[C@@H]1CCC2(CCC2)O1 ZINC000711428251 712299109 /nfs/dbraw/zinc/29/91/09/712299109.db2.gz VOGVPKGYLWFSHA-ZDUSSCGKSA-N 0 3 235.327 2.779 20 0 BFADHN O=C(CCN1CCC[C@@H](CF)C1)c1ccccc1 ZINC000711514726 712307879 /nfs/dbraw/zinc/30/78/79/712307879.db2.gz WFOMBJHXFOZHRM-ZDUSSCGKSA-N 0 3 249.329 2.941 20 0 BFADHN Cc1csc(CNC[C@H]2C=CCC2)n1 ZINC000711653462 712314024 /nfs/dbraw/zinc/31/40/24/712314024.db2.gz CKAOHUOPFVYLRQ-JTQLQIEISA-N 0 3 208.330 2.507 20 0 BFADHN Cc1csc(CNC[C@@H]2C=CCC2)n1 ZINC000711653463 712314301 /nfs/dbraw/zinc/31/43/01/712314301.db2.gz CKAOHUOPFVYLRQ-SNVBAGLBSA-N 0 3 208.330 2.507 20 0 BFADHN CCc1cnc(CNC[C@@H]2C=CCC2)s1 ZINC000711730395 712320365 /nfs/dbraw/zinc/32/03/65/712320365.db2.gz JMPSQHPRBUOLGP-SNVBAGLBSA-N 0 3 222.357 2.761 20 0 BFADHN c1cn(C2CC2)c(CNCCc2ccccc2)n1 ZINC000711725191 712322092 /nfs/dbraw/zinc/32/20/92/712322092.db2.gz GHJQGXNEKQFGBA-UHFFFAOYSA-N 0 3 241.338 2.550 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2nccn2C2CC2)C1 ZINC000711745126 712326052 /nfs/dbraw/zinc/32/60/52/712326052.db2.gz GCCIWWADJJCWIC-NWDGAFQWSA-N 0 3 233.359 2.886 20 0 BFADHN CC(C)CC1(NCc2nccn2C2CC2)CC1 ZINC000711774133 712328888 /nfs/dbraw/zinc/32/88/88/712328888.db2.gz XLDBCOWKTDSVSL-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H](NC[C@H]1C=CCC1)c1nccs1 ZINC000711787764 712330045 /nfs/dbraw/zinc/33/00/45/712330045.db2.gz OJLJGDXVTNVCFV-UWVGGRQHSA-N 0 3 208.330 2.760 20 0 BFADHN CC(C)C(C)(C)CNCc1nccn1C1CC1 ZINC000711798917 712331792 /nfs/dbraw/zinc/33/17/92/712331792.db2.gz IGPQDHSPXBNLJA-UHFFFAOYSA-N 0 3 235.375 2.990 20 0 BFADHN CC1(NCc2nccn2C2CC2)CCCC1 ZINC000711817891 712332488 /nfs/dbraw/zinc/33/24/88/712332488.db2.gz PEEWCNMVUFTWDM-UHFFFAOYSA-N 0 3 219.332 2.640 20 0 BFADHN C[C@@H](NCc1nccn1C1CC1)C(C)(C)C ZINC000711819824 712333370 /nfs/dbraw/zinc/33/33/70/712333370.db2.gz GYJZAIKLFTUKHT-SNVBAGLBSA-N 0 3 221.348 2.742 20 0 BFADHN CC(C)(C)CCNCc1nccn1C1CC1 ZINC000711823800 712333440 /nfs/dbraw/zinc/33/34/40/712333440.db2.gz XFUCDHMRNIGDAK-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN CCCCC[C@H](C)N[C@@H]1CCn2ccnc21 ZINC000379004567 712368094 /nfs/dbraw/zinc/36/80/94/712368094.db2.gz DKWJDYMBSAODOF-NWDGAFQWSA-N 0 3 221.348 2.886 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCCC[C@H]12)c1nccn1C ZINC000712359329 712402966 /nfs/dbraw/zinc/40/29/66/712402966.db2.gz GGCYILNFRFZFAS-ZDEQEGDKSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@@H]3CCCC[C@H]23)[nH]c1C ZINC000712405937 712429157 /nfs/dbraw/zinc/42/91/57/712429157.db2.gz XGCVJNHUCPWVGT-RWMBFGLXSA-N 0 3 233.359 2.695 20 0 BFADHN c1cn(C2CC2)c(CN[C@@H]2C[C@@H]3CCCC[C@H]23)n1 ZINC000712463071 712430761 /nfs/dbraw/zinc/43/07/61/712430761.db2.gz JUEDPMWISLPPPZ-FPMFFAJLSA-N 0 3 245.370 2.886 20 0 BFADHN C[C@H](CC1CCCC1)N[C@H]1CCn2ccnc21 ZINC000712513049 712432321 /nfs/dbraw/zinc/43/23/21/712432321.db2.gz CBMYPNXAXGTWCV-YPMHNXCESA-N 0 3 233.359 2.886 20 0 BFADHN CCN1CC[C@@H]1CNCc1ccsc1Cl ZINC000712597178 712436039 /nfs/dbraw/zinc/43/60/39/712436039.db2.gz VZYWUUBZNFCZAZ-SNVBAGLBSA-N 0 3 244.791 2.585 20 0 BFADHN C=C/C=C/CCNCc1nccn1C(C)C ZINC000713490178 712462036 /nfs/dbraw/zinc/46/20/36/712462036.db2.gz HTKIEPPONJFAIJ-AATRIKPKSA-N 0 3 219.332 2.686 20 0 BFADHN CCCn1cc(CNCC[C@H]2CC=CCC2)nn1 ZINC000714435310 712486695 /nfs/dbraw/zinc/48/66/95/712486695.db2.gz DANSFKKNTCBJRP-ZDUSSCGKSA-N 0 3 248.374 2.524 20 0 BFADHN CCCCC[C@H](C)NCc1cn(CCC)nn1 ZINC000714459793 712490721 /nfs/dbraw/zinc/49/07/21/712490721.db2.gz OKUXDGURNNMVMS-LBPRGKRZSA-N 0 3 238.379 2.747 20 0 BFADHN CCCn1cc(CN[C@@H]2CCCC3(CC3)C2)nn1 ZINC000714510055 712493828 /nfs/dbraw/zinc/49/38/28/712493828.db2.gz WNKFGNXNGUQXCD-GFCCVEGCSA-N 0 3 248.374 2.501 20 0 BFADHN CO[C@H]1C[C@H](CN[C@@H](C)c2csc(C)n2)C1 ZINC000714701976 712496167 /nfs/dbraw/zinc/49/61/67/712496167.db2.gz ULEQYYJJBSSOBP-LSJOCFKGSA-N 0 3 240.372 2.527 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)NCCOC1CC1 ZINC000714982250 712502902 /nfs/dbraw/zinc/50/29/02/712502902.db2.gz JSFSTMIDVKAPLB-GFCCVEGCSA-N 0 3 249.354 2.833 20 0 BFADHN Cc1ccc(CNC[C@@H]2CC[C@H](C3CC3)O2)o1 ZINC000715402450 712516976 /nfs/dbraw/zinc/51/69/76/712516976.db2.gz LZWKBZHRYJGFQW-UONOGXRCSA-N 0 3 235.327 2.635 20 0 BFADHN Fc1ccccc1CNC[C@@H]1CC[C@H](C2CC2)O1 ZINC000715472601 712520244 /nfs/dbraw/zinc/52/02/44/712520244.db2.gz NJAADDYMQXXWFV-DZGCQCFKSA-N 0 3 249.329 2.873 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H](C2CC2)O1)c1ccccn1 ZINC000715474146 712520661 /nfs/dbraw/zinc/52/06/61/712520661.db2.gz UCUKATNLTWCUDR-WHOFXGATSA-N 0 3 246.354 2.690 20 0 BFADHN C[C@H](Cc1ccco1)NCc1ccco1 ZINC000036993532 712527070 /nfs/dbraw/zinc/52/70/70/712527070.db2.gz ZCVLSIOPQJDWGX-SNVBAGLBSA-N 0 3 205.257 2.593 20 0 BFADHN CCCn1cc([C@@H](C)NC[C@H]2CC=CCC2)nn1 ZINC000715835865 712534496 /nfs/dbraw/zinc/53/44/96/712534496.db2.gz LOYPWPDYGWLKGJ-OLZOCXBDSA-N 0 3 248.374 2.695 20 0 BFADHN CC(C)CCC[C@@H](C)N[C@H](C)c1cn(C)nn1 ZINC000715899456 712541270 /nfs/dbraw/zinc/54/12/70/712541270.db2.gz AUGWJBIEFNRHLB-VXGBXAGGSA-N 0 3 238.379 2.681 20 0 BFADHN CCCC[C@H](CCC)N[C@@H](C)c1cn(C)nn1 ZINC000715901381 712541302 /nfs/dbraw/zinc/54/13/02/712541302.db2.gz JVBHCLFBTXENIR-RYUDHWBXSA-N 0 3 238.379 2.825 20 0 BFADHN CCCn1cc([C@@H](C)NC2CCCCC2)nn1 ZINC000715919017 712542042 /nfs/dbraw/zinc/54/20/42/712542042.db2.gz FGVCGOSAUBDXMT-LLVKDONJSA-N 0 3 236.363 2.671 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccccc1)c1ccns1 ZINC000715933810 712543547 /nfs/dbraw/zinc/54/35/47/712543547.db2.gz UNLHCNCKKOYUSS-CMPLNLGQSA-N 0 3 248.351 2.527 20 0 BFADHN C[C@H](NCC1=CCCC1)c1ccns1 ZINC000715933783 712543856 /nfs/dbraw/zinc/54/38/56/712543856.db2.gz UCKLZEVJNKTPFY-VIFPVBQESA-N 0 3 208.330 2.904 20 0 BFADHN CCCn1cc([C@H](C)NCCC(C)(C)C)nn1 ZINC000715935410 712544304 /nfs/dbraw/zinc/54/43/04/712544304.db2.gz OGZFLCUPVOMQKA-NSHDSACASA-N 0 3 238.379 2.775 20 0 BFADHN CCCn1cc([C@@H](C)NCC[C@@H](C)CC)nn1 ZINC000715947040 712545029 /nfs/dbraw/zinc/54/50/29/712545029.db2.gz UMSISKJXRDXSPA-NWDGAFQWSA-N 0 3 238.379 2.775 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)nn1 ZINC000715945711 712545142 /nfs/dbraw/zinc/54/51/42/712545142.db2.gz QNAUBCOTWAQYRS-QNWHQSFQSA-N 0 3 248.374 2.527 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CCCCO1)c1ccns1 ZINC000716032581 712547142 /nfs/dbraw/zinc/54/71/42/712547142.db2.gz DMQKLLBDIDYWKI-GARJFASQSA-N 0 3 240.372 2.751 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccns1)[C@H]1CCCCO1 ZINC000716032582 712547312 /nfs/dbraw/zinc/54/73/12/712547312.db2.gz DMQKLLBDIDYWKI-OUAUKWLOSA-N 0 3 240.372 2.751 20 0 BFADHN C[C@@H](NCCOC1CCCC1)c1ccns1 ZINC000716014335 712552677 /nfs/dbraw/zinc/55/26/77/712552677.db2.gz KBCJLIREVIDDMT-SNVBAGLBSA-N 0 3 240.372 2.753 20 0 BFADHN Cc1cnc(CNC[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)s1 ZINC000716635939 712567943 /nfs/dbraw/zinc/56/79/43/712567943.db2.gz HOUCGRNBLIGSJX-VGDYDELISA-N 0 3 236.384 2.833 20 0 BFADHN c1cn(C2CC2)c(CNCC[C@@H]2CC[C@H]3C[C@H]32)n1 ZINC000717020568 712605275 /nfs/dbraw/zinc/60/52/75/712605275.db2.gz ZKNQCKZVKLHKFC-OBJOEFQTSA-N 0 3 245.370 2.744 20 0 BFADHN C[C@@H](N(C)Cc1cnc(Cl)n1C)C(C)(C)C ZINC000848881366 712610301 /nfs/dbraw/zinc/61/03/01/712610301.db2.gz MYPZMOYTOKFZTO-SECBINFHSA-N 0 3 243.782 2.940 20 0 BFADHN COC(CCN1Cc2ccccc2[C@@H]1C)OC ZINC000851795530 712612425 /nfs/dbraw/zinc/61/24/25/712612425.db2.gz DOKGMQASEPSIJN-NSHDSACASA-N 0 3 235.327 2.572 20 0 BFADHN C[C@@H](NC[C@H]1CCC=CO1)c1ccc(F)cn1 ZINC000379971670 712642596 /nfs/dbraw/zinc/64/25/96/712642596.db2.gz NBQFKONKZHPVEH-ZYHUDNBSSA-N 0 3 236.290 2.564 20 0 BFADHN C[C@@H](NC[C@H]1CC(C)(C)CO1)c1cncs1 ZINC000717282904 712644842 /nfs/dbraw/zinc/64/48/42/712644842.db2.gz CLJFELVUPWNVPL-NXEZZACHSA-N 0 3 240.372 2.609 20 0 BFADHN CN(Cc1ccsc1)C[C@H](O)c1ccccc1 ZINC000037487618 712651040 /nfs/dbraw/zinc/65/10/40/712651040.db2.gz VDSKDDYTWXCBGB-AWEZNQCLSA-N 0 3 247.363 2.914 20 0 BFADHN [O-]c1ccc(C[NH2+]CC2CC=CC2)cc1F ZINC000717386562 712653139 /nfs/dbraw/zinc/65/31/39/712653139.db2.gz KNTWJPLOJUPKPB-UHFFFAOYSA-N 0 3 221.275 2.587 20 0 BFADHN c1cnc2c(c1)CCC[C@H]2NC[C@@H]1CCC=CO1 ZINC000380023667 712672956 /nfs/dbraw/zinc/67/29/56/712672956.db2.gz RTYCFTMOUXZZFQ-UONOGXRCSA-N 0 3 244.338 2.741 20 0 BFADHN c1cnc2c(c1)CCC[C@@H]2NC[C@@H]1CCC=CO1 ZINC000380023665 712672989 /nfs/dbraw/zinc/67/29/89/712672989.db2.gz RTYCFTMOUXZZFQ-KBPBESRZSA-N 0 3 244.338 2.741 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1c(Cl)nc(Cl)n1C ZINC000380072588 712684798 /nfs/dbraw/zinc/68/47/98/712684798.db2.gz DNMYERNSAHMPJJ-RQJHMYQMSA-N 0 3 248.157 2.615 20 0 BFADHN CC(C)(C)CCN1CCn2cccc2C1 ZINC000932523913 712717562 /nfs/dbraw/zinc/71/75/62/712717562.db2.gz DVKWJVZHKJCWBA-UHFFFAOYSA-N 0 3 206.333 2.740 20 0 BFADHN CC(=O)OC[C@H]1CCCCN1Cc1ccccc1 ZINC000196140558 712731262 /nfs/dbraw/zinc/73/12/62/712731262.db2.gz VFCLXZULNUILER-OAHLLOKOSA-N 0 3 247.338 2.604 20 0 BFADHN Cc1coc(CNCC2(CO)CCCCC2)c1 ZINC000718030276 712736782 /nfs/dbraw/zinc/73/67/82/712736782.db2.gz LYZQKKOAPSKYDV-UHFFFAOYSA-N 0 3 237.343 2.620 20 0 BFADHN Cc1coc(CNC[C@H]2CSCCS2)c1 ZINC000718128833 712746566 /nfs/dbraw/zinc/74/65/66/712746566.db2.gz AOVQSAWGAMGUTB-NSHDSACASA-N 0 3 243.397 2.526 20 0 BFADHN Cc1coc(CNCCSC(F)(F)F)c1 ZINC000718134459 712747414 /nfs/dbraw/zinc/74/74/14/712747414.db2.gz YOWLWRRPHDRVLI-UHFFFAOYSA-N 0 3 239.262 2.931 20 0 BFADHN Clc1ncc(CNC[C@@H]2CCC=CO2)s1 ZINC000718176148 712751567 /nfs/dbraw/zinc/75/15/67/712751567.db2.gz NQUYEMQYNDVLPD-QMMMGPOBSA-N 0 3 244.747 2.579 20 0 BFADHN CCC1CCC(CNCc2cc[nH]n2)CC1 ZINC000037905256 712785724 /nfs/dbraw/zinc/78/57/24/712785724.db2.gz YYQHMUCFPZYVGL-UHFFFAOYSA-N 0 3 221.348 2.716 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1cnc(Cl)s1 ZINC000718866703 712787027 /nfs/dbraw/zinc/78/70/27/712787027.db2.gz SJQMVGXDUFWVKN-YUMQZZPRSA-N 0 3 248.804 2.780 20 0 BFADHN c1n[nH]cc1CNCc1ccc2ccccc2c1 ZINC000038005576 712798896 /nfs/dbraw/zinc/79/88/96/712798896.db2.gz FFQAQASKKYUWLP-UHFFFAOYSA-N 0 3 237.306 2.853 20 0 BFADHN CCCNCc1nc(C)c(Br)s1 ZINC000719241976 712801099 /nfs/dbraw/zinc/80/10/99/712801099.db2.gz VTGFNDXXEBKOJE-UHFFFAOYSA-N 0 3 249.177 2.714 20 0 BFADHN Cc1csc(CNC2Cc3ccccc3C2)n1 ZINC000038090957 712807237 /nfs/dbraw/zinc/80/72/37/712807237.db2.gz MTLLSHUNWRBAGD-UHFFFAOYSA-N 0 3 244.363 2.709 20 0 BFADHN C=C/C=C/CCNCc1nc2c(s1)CCC2 ZINC000719530613 712808665 /nfs/dbraw/zinc/80/86/65/712808665.db2.gz MRBXNIHXKHOFJP-ONEGZZNKSA-N 0 3 234.368 2.854 20 0 BFADHN C[C@H](NC[C@@H]1C[C@@H]2CCC[C@H]2O1)c1ccco1 ZINC000720817674 712868262 /nfs/dbraw/zinc/86/82/62/712868262.db2.gz GDDLRVOZRSBUFS-ZJQBRPOHSA-N 0 3 235.327 2.888 20 0 BFADHN CC(C)[C@@H](N)c1cn(C[C@@H](C)C(C)(C)C)nn1 ZINC000710830007 956881094 /nfs/dbraw/zinc/88/10/94/956881094.db2.gz PPXCJSQBHJJTHX-ZYHUDNBSSA-N 0 3 238.379 2.616 20 0 BFADHN CS[C@H](C)CCN1CCc2ncsc2C1 ZINC001208146323 957013409 /nfs/dbraw/zinc/01/34/09/957013409.db2.gz DZABSOAEKHOFAJ-SECBINFHSA-N 0 3 242.413 2.643 20 0 BFADHN CO[C@@H]1CCC[C@H](N(C)Cc2cncs2)C1 ZINC001172947993 975000902 /nfs/dbraw/zinc/00/09/02/975000902.db2.gz FSGVXFLCKSDXEH-WDEREUQCSA-N 0 3 240.372 2.533 20 0 BFADHN Cc1ccc(NC2=CCN(C)CC2)cc1 ZINC001208836997 957185357 /nfs/dbraw/zinc/18/53/57/957185357.db2.gz DXZMFEBYYSBHJQ-UHFFFAOYSA-N 0 3 202.301 2.626 20 0 BFADHN Cc1ccc(CN2CC[C@](C)(CF)C2)[nH]1 ZINC001209570490 957506787 /nfs/dbraw/zinc/50/67/87/957506787.db2.gz PFRVRLXUMFSVEX-GFCCVEGCSA-N 0 3 210.296 2.505 20 0 BFADHN CN(Cc1ccc(N(C)C(C)(C)C)nc1)C1CC1 ZINC001165023854 721833893 /nfs/dbraw/zinc/83/38/93/721833893.db2.gz WWKJFXNBKOUHNB-UHFFFAOYSA-N 0 3 247.386 2.911 20 0 BFADHN CCC[C@]1(C)CCCN(CCN(C#N)CC)C1 ZINC001167509832 958813449 /nfs/dbraw/zinc/81/34/49/958813449.db2.gz QVUHQCGYIGGBFN-CQSZACIVSA-N 0 3 237.391 2.692 20 0 BFADHN Cc1c(Cl)cccc1O[C@@H]1CCNC[C@H]1F ZINC001218063684 959852259 /nfs/dbraw/zinc/85/22/59/959852259.db2.gz CCIDKCKBDLRFAT-ZYHUDNBSSA-N 0 3 243.709 2.727 20 0 BFADHN c1nc(CN2CCC[C@H]3CCCC[C@@H]32)co1 ZINC001141129469 960353235 /nfs/dbraw/zinc/35/32/35/960353235.db2.gz JLMYQACNBRECRE-YPMHNXCESA-N 0 3 220.316 2.829 20 0 BFADHN CCO[C@@H]1CCCN(Cc2[nH]cc(C)c2C)C1 ZINC001140860467 960641445 /nfs/dbraw/zinc/64/14/45/960641445.db2.gz SXFJWMAEHFIKBC-CYBMUJFWSA-N 0 3 236.359 2.632 20 0 BFADHN CCCCc1ncc(CN2CCCCC2)[nH]1 ZINC001141067195 960854373 /nfs/dbraw/zinc/85/43/73/960854373.db2.gz VSKFRTYHMQRLQN-UHFFFAOYSA-N 0 3 221.348 2.738 20 0 BFADHN CCN(C)Cc1ccc(C(F)(F)F)nc1C ZINC001141421621 961063502 /nfs/dbraw/zinc/06/35/02/961063502.db2.gz RXKIDAROOQEIKF-UHFFFAOYSA-N 0 3 232.249 2.861 20 0 BFADHN CN(Cc1csc(Cl)c1)CC1(C)COC1 ZINC001142770624 961725593 /nfs/dbraw/zinc/72/55/93/961725593.db2.gz GQLYVDLVUSLVGL-UHFFFAOYSA-N 0 3 245.775 2.870 20 0 BFADHN CN(CC1CCCCC1)c1cccc(N)n1 ZINC000087727334 961734195 /nfs/dbraw/zinc/73/41/95/961734195.db2.gz GUGSEYWRVAHFKC-UHFFFAOYSA-N 0 3 219.332 2.680 20 0 BFADHN Cc1ccc(CN(C)CCC(C)(C)C)nn1 ZINC000843425652 962004775 /nfs/dbraw/zinc/00/47/75/962004775.db2.gz TZWIRYBDIRMHLU-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN CC(C)=CCC[C@@H](C)CCN1C[C@@H](F)[C@@H](N)C1 ZINC001204809830 963786668 /nfs/dbraw/zinc/78/66/68/963786668.db2.gz LESVDZVNQANAQP-MCIONIFRSA-N 0 3 242.382 2.740 20 0 BFADHN C[C@H](N[C@H]1C=CCC1)c1ccc(F)cn1 ZINC000698207355 965104635 /nfs/dbraw/zinc/10/46/35/965104635.db2.gz WKVAIUKSTVQTNG-ONGXEEELSA-N 0 3 206.264 2.590 20 0 BFADHN C[C@H]1CCCN(Cc2cnsc2)[C@H]1C ZINC001232226303 965313857 /nfs/dbraw/zinc/31/38/57/965313857.db2.gz DKVHTSZJQLZBSL-UWVGGRQHSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@H](NCC[C@H]1CC=CCC1)c1ncc[nH]1 ZINC000527980989 966837309 /nfs/dbraw/zinc/83/73/09/966837309.db2.gz QIVIVZNIIRRLCU-RYUDHWBXSA-N 0 3 219.332 2.807 20 0 BFADHN CCCO[C@@H]1CCN(Cc2cc(C)c(C)o2)C1 ZINC001204532725 967029972 /nfs/dbraw/zinc/02/99/72/967029972.db2.gz FISANWASHVSRBB-CYBMUJFWSA-N 0 3 237.343 2.897 20 0 BFADHN [O-]c1cccc(CC[NH+]2CCCC2)c1Cl ZINC001250166039 967463303 /nfs/dbraw/zinc/46/33/03/967463303.db2.gz DQSHAVFDCBFAGK-UHFFFAOYSA-N 0 3 225.719 2.684 20 0 BFADHN CCO[C@@H]1CCN(Cc2cc(C)ccc2F)C1 ZINC001205661960 967747245 /nfs/dbraw/zinc/74/72/45/967747245.db2.gz OPSLKRGPXDFQPZ-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN CCC(CC)N(C)Cc1ccncc1OC ZINC001206479998 968331635 /nfs/dbraw/zinc/33/16/35/968331635.db2.gz DWAFQMMFVHCZOR-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)[C@@H](C)C2)no1 ZINC001206818422 968489707 /nfs/dbraw/zinc/48/97/07/968489707.db2.gz RMJAKUZPBYYQBC-QWRGUYRKSA-N 0 3 222.332 2.851 20 0 BFADHN C[C@@]1(O)CCN(CCC2CCCCC2)C[C@@H]1F ZINC001207255234 968697779 /nfs/dbraw/zinc/69/77/79/968697779.db2.gz TYTYAFWJXHKCSH-UONOGXRCSA-N 0 3 243.366 2.752 20 0 BFADHN OC[C@H]1C[C@@H](F)CN(CCC2CCCCC2)C1 ZINC001207254587 968697873 /nfs/dbraw/zinc/69/78/73/968697873.db2.gz JXLAVHXAOYOEQA-UONOGXRCSA-N 0 3 243.366 2.609 20 0 BFADHN CC(=O)[C@@H]1CCCN1CC1CCC(F)(F)CC1 ZINC001207349761 968731656 /nfs/dbraw/zinc/73/16/56/968731656.db2.gz FGUGXXVDKIERQK-LBPRGKRZSA-N 0 3 245.313 2.865 20 0 BFADHN CCSC[C@@H](C)NCc1cccnc1Cl ZINC000164964004 968797563 /nfs/dbraw/zinc/79/75/63/968797563.db2.gz ARGYHHMTYJMELL-SECBINFHSA-N 0 3 244.791 2.966 20 0 BFADHN CCC[C@H](O)CNCc1ccc(C)c(F)c1F ZINC001252801239 968984383 /nfs/dbraw/zinc/98/43/83/968984383.db2.gz WJGUDIBGISBQSA-NSHDSACASA-N 0 3 243.297 2.524 20 0 BFADHN CC/C=C\CCCN1CCOCC12CCC2 ZINC001208135290 969029162 /nfs/dbraw/zinc/02/91/62/969029162.db2.gz CCDYOSJBMBZUJW-ARJAWSKDSA-N 0 3 223.360 2.988 20 0 BFADHN CC/C=C\CCCN1CCCC(=O)CC1 ZINC001208134932 969037508 /nfs/dbraw/zinc/03/75/08/969037508.db2.gz SMKLZDZJMFACBS-ARJAWSKDSA-N 0 3 209.333 2.788 20 0 BFADHN CS[C@@H](C)CCN(C)Cc1cccnc1 ZINC001208141143 969062176 /nfs/dbraw/zinc/06/21/76/969062176.db2.gz ZTXFIJZALZGSFJ-NSHDSACASA-N 0 3 224.373 2.655 20 0 BFADHN CSc1ccc(C2=CCCN(C)C2)cn1 ZINC001241569502 969063336 /nfs/dbraw/zinc/06/33/36/969063336.db2.gz CNTCAFCZGRUKRT-UHFFFAOYSA-N 0 3 220.341 2.522 20 0 BFADHN CS[C@H](C)CCN1CCCC[C@@H]1C(C)=O ZINC001208156049 969075977 /nfs/dbraw/zinc/07/59/77/969075977.db2.gz VHLGOZUCDZWXNS-ZYHUDNBSSA-N 0 3 229.389 2.572 20 0 BFADHN CS[C@@H](C)CCN1CCc2cccnc2C1 ZINC001208156277 969084296 /nfs/dbraw/zinc/08/42/96/969084296.db2.gz VWWSXXSJSNFAKP-NSHDSACASA-N 0 3 236.384 2.581 20 0 BFADHN CCCCC[C@@H](O)CNCc1ccc(C)o1 ZINC001253517473 969196512 /nfs/dbraw/zinc/19/65/12/969196512.db2.gz GMYMAFMVDIOMKR-GFCCVEGCSA-N 0 3 225.332 2.619 20 0 BFADHN CC[C@H](C)[C@@H](C(=O)OC)N(C)CCCC(C)C ZINC001208736891 969229453 /nfs/dbraw/zinc/22/94/53/969229453.db2.gz RLTHQSSAMSPSNX-STQMWFEESA-N 0 3 243.391 2.942 20 0 BFADHN CN1CC=C(Nc2c(F)cccc2F)CC1 ZINC001208838529 969248070 /nfs/dbraw/zinc/24/80/70/969248070.db2.gz PZYACMAPYRFHDK-UHFFFAOYSA-N 0 3 224.254 2.596 20 0 BFADHN CN1CCC(=Nc2c(F)cccc2F)CC1 ZINC001208838529 969248080 /nfs/dbraw/zinc/24/80/80/969248080.db2.gz PZYACMAPYRFHDK-UHFFFAOYSA-N 0 3 224.254 2.596 20 0 BFADHN CCN(CC1(C)CCCCC1)C1COC1 ZINC001208903394 969290348 /nfs/dbraw/zinc/29/03/48/969290348.db2.gz QKDJLQIYWCGRSW-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN CN(CC1(C)CCCCC1)C1(C)COC1 ZINC001208903489 969291356 /nfs/dbraw/zinc/29/13/56/969291356.db2.gz RKQOZFACEFXPAA-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN CC1(CN2CCOCC23CC3)CCCCC1 ZINC001208903500 969293443 /nfs/dbraw/zinc/29/34/43/969293443.db2.gz RUOBNGNWFSTNKU-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CCC(=O)C(C)(C)CN1CCCC[C@@H](F)C1 ZINC001208919567 969303481 /nfs/dbraw/zinc/30/34/81/969303481.db2.gz YWSGESOTCWBUHN-LLVKDONJSA-N 0 3 229.339 2.816 20 0 BFADHN c1ncc(CN2CC3CCC2CC3)s1 ZINC001209550078 969537232 /nfs/dbraw/zinc/53/72/32/969537232.db2.gz IGYNKLKVGVLYCU-UHFFFAOYSA-N 0 3 208.330 2.518 20 0 BFADHN NCc1ccc(NC2CCC(F)(F)CC2)cn1 ZINC001254211791 969539169 /nfs/dbraw/zinc/53/91/69/969539169.db2.gz JVLBIRKLQSMWNO-UHFFFAOYSA-N 0 3 241.285 2.530 20 0 BFADHN FC1(F)CCC(N2CCOCC23CCC3)CC1 ZINC001254225405 969547818 /nfs/dbraw/zinc/54/78/18/969547818.db2.gz SQYOVZNJVFBOFZ-UHFFFAOYSA-N 0 3 245.313 2.819 20 0 BFADHN CC(C)[C@@H]1CN(C2CCC(F)(F)CC2)CCO1 ZINC001254225330 969549184 /nfs/dbraw/zinc/54/91/84/969549184.db2.gz RMQWRVHBSUDDTN-LBPRGKRZSA-N 0 3 247.329 2.921 20 0 BFADHN CCCC[C@H](C)N1CCN2CC(F)(F)C[C@H]2C1 ZINC001255331323 970112685 /nfs/dbraw/zinc/11/26/85/970112685.db2.gz ABOMUUUTZHJEOX-RYUDHWBXSA-N 0 3 246.345 2.590 20 0 BFADHN CC(C)CCC[C@H](C)N1C[C@H](F)C[C@H]1CO ZINC001170077328 970177128 /nfs/dbraw/zinc/17/71/28/970177128.db2.gz IYDDOLBUTQKUMO-XQQFMLRXSA-N 0 3 231.355 2.606 20 0 BFADHN CCCc1cc(CN)nn1[C@H]1CC[C@H](C)C1 ZINC001170111523 970320799 /nfs/dbraw/zinc/32/07/99/970320799.db2.gz DDPUNJBHNANJOZ-GWCFXTLKSA-N 0 3 221.348 2.655 20 0 BFADHN COC[C@H](C)NC(C)(C)c1cc(Cl)ccn1 ZINC001255567461 970357982 /nfs/dbraw/zinc/35/79/82/970357982.db2.gz VXIXGZAJGLNSTJ-VIFPVBQESA-N 0 3 242.750 2.595 20 0 BFADHN CCCO[C@H]1CCN([C@H](C)CC(F)(F)F)C1 ZINC001255657745 970439521 /nfs/dbraw/zinc/43/95/21/970439521.db2.gz KFXGJAAPEJSFOK-ZJUUUORDSA-N 0 3 239.281 2.828 20 0 BFADHN C[C@H]1CC[C@H](N2C[C@@H]3C[C@H]2[C@@H](C(F)(F)F)O3)C1 ZINC001170147586 970439623 /nfs/dbraw/zinc/43/96/23/970439623.db2.gz CQUIWDGTSRXJSS-QHZLYTNSSA-N 0 3 249.276 2.579 20 0 BFADHN CC(=O)C(C)(C)N[C@H](C)Cc1ccccc1F ZINC001255830117 970506213 /nfs/dbraw/zinc/50/62/13/970506213.db2.gz QBZKYWCERVZHMP-SNVBAGLBSA-N 0 3 237.318 2.714 20 0 BFADHN CC[C@H]1C[C@@H](N[C@H](C)c2nccs2)CCO1 ZINC001170198224 970592229 /nfs/dbraw/zinc/59/22/29/970592229.db2.gz SFGUQUNNZDRHFZ-VWYCJHECSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)Nc1ccc(F)cc1 ZINC000400905870 970643265 /nfs/dbraw/zinc/64/32/65/970643265.db2.gz PNSNVXCCIHGZCJ-JOYOIKCWSA-N 0 3 238.306 2.528 20 0 BFADHN C[C@H](CN(C)CN1C(=O)CC1(C)C)C(C)(C)C ZINC001332332546 975058442 /nfs/dbraw/zinc/05/84/42/975058442.db2.gz MRKYRQIZPSBVQF-LLVKDONJSA-N 0 3 240.391 2.569 20 0 BFADHN CC[C@@H]1CC[C@@H](N2CCC(=O)C[C@@H]2C)C1 ZINC001173271948 975147078 /nfs/dbraw/zinc/14/70/78/975147078.db2.gz GUCIMVJWIZDLHE-QJPTWQEYSA-N 0 3 209.333 2.619 20 0 BFADHN CC[C@@H]1CC[C@H](N2CCCC[C@H]2C(=O)OC)C1 ZINC001173274558 975153881 /nfs/dbraw/zinc/15/38/81/975153881.db2.gz RSTXVQJJWOGJET-AGIUHOORSA-N 0 3 239.359 2.593 20 0 BFADHN CCSC[C@@H](C)N(C)Cc1ccccn1 ZINC001173299888 975191095 /nfs/dbraw/zinc/19/10/95/975191095.db2.gz IXPQXQQYXKIIHK-LLVKDONJSA-N 0 3 224.373 2.655 20 0 BFADHN CCSC[C@@H](C)N1CCC[C@](C)(F)C1 ZINC001173300650 975195274 /nfs/dbraw/zinc/19/52/74/975195274.db2.gz MRNQPWHHPOJSMQ-MNOVXSKESA-N 0 3 219.369 2.952 20 0 BFADHN CCSC[C@H](C)N1CCc2cnccc2C1 ZINC001173301456 975198431 /nfs/dbraw/zinc/19/84/31/975198431.db2.gz ULIBDNULWGELQC-NSHDSACASA-N 0 3 236.384 2.581 20 0 BFADHN CCSC[C@@H](C)N1CCCC[C@H]1C(C)=O ZINC001173311004 975221289 /nfs/dbraw/zinc/22/12/89/975221289.db2.gz IZPDRVKOVQSRCS-PWSUYJOCSA-N 0 3 229.389 2.572 20 0 BFADHN CCSC[C@@H](C)N1CC(c2cccnc2)C1 ZINC001173312298 975225408 /nfs/dbraw/zinc/22/54/08/975225408.db2.gz SLFGGFQDGUNMPU-LLVKDONJSA-N 0 3 236.384 2.622 20 0 BFADHN CCCSC[C@H](C)NCc1cc(CC)n[nH]1 ZINC001333679644 975978152 /nfs/dbraw/zinc/97/81/52/975978152.db2.gz IGDNOYULRGXJEZ-JTQLQIEISA-N 0 3 241.404 2.593 20 0 BFADHN CN(CCCc1cccnc1)CCC(F)(F)F ZINC001333800082 976089647 /nfs/dbraw/zinc/08/96/47/976089647.db2.gz CBLNCPAZPZNJFO-UHFFFAOYSA-N 0 3 246.276 2.898 20 0 BFADHN Cc1cc(C)nc(Nc2ccncc2C)c1 ZINC001174535891 976813036 /nfs/dbraw/zinc/81/30/36/976813036.db2.gz CKLQFPWFSCJVME-UHFFFAOYSA-N 0 3 213.284 2.567 20 0 BFADHN FC(F)CCCNCc1cc(C2CC2)no1 ZINC001335552522 977139006 /nfs/dbraw/zinc/13/90/06/977139006.db2.gz SYNGGGPFSVREHH-UHFFFAOYSA-N 0 3 230.258 2.687 20 0 BFADHN c1nscc1CN1CCC[C@@H]2CCC[C@H]21 ZINC001232230609 977964807 /nfs/dbraw/zinc/96/48/07/977964807.db2.gz POXLHMSVUYEPDD-NWDGAFQWSA-N 0 3 222.357 2.908 20 0 BFADHN CCN(Cc1cnc(C)[nH]1)Cc1cccs1 ZINC001232465062 978104747 /nfs/dbraw/zinc/10/47/47/978104747.db2.gz SOCPJGGNBIEAAR-UHFFFAOYSA-N 0 3 235.356 2.802 20 0 BFADHN Cc1cnsc1CN(C)CCC1CC1 ZINC001233322085 978539976 /nfs/dbraw/zinc/53/99/76/978539976.db2.gz QXATZPUFPYVVBU-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN Cc1cnsc1CN1CCC(CF)CC1 ZINC001233320639 978559115 /nfs/dbraw/zinc/55/91/15/978559115.db2.gz HUHVGGMODBIYCX-UHFFFAOYSA-N 0 3 228.336 2.633 20 0 BFADHN CCC[C@@H](C)NC(=O)[C@H]1CCCCN1CCC ZINC001338004416 978586900 /nfs/dbraw/zinc/58/69/00/978586900.db2.gz QUWRVKZDBBPYAN-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN CCCCC1=CC[C@H](N2CCOCC2)CC1 ZINC001249980323 978919488 /nfs/dbraw/zinc/91/94/88/978919488.db2.gz XRSJDXVCJXCZNN-AWEZNQCLSA-N 0 3 223.360 2.988 20 0 BFADHN Cc1cccc(CN2CC[C@](C)(O)C2)c1Cl ZINC001236857285 979767301 /nfs/dbraw/zinc/76/73/01/979767301.db2.gz RCGJNGVVNWGTLB-ZDUSSCGKSA-N 0 3 239.746 2.605 20 0 BFADHN COC[C@@H]1CCCC[N@@H+]1Cc1occc1[S-] ZINC001237179774 979829537 /nfs/dbraw/zinc/82/95/37/979829537.db2.gz GAACOKDDSJZFQG-JTQLQIEISA-N 0 3 241.356 2.569 20 0 BFADHN COC[C@@H]1CCCC[N@H+]1Cc1occc1[S-] ZINC001237179774 979829541 /nfs/dbraw/zinc/82/95/41/979829541.db2.gz GAACOKDDSJZFQG-JTQLQIEISA-N 0 3 241.356 2.569 20 0 BFADHN CO[C@H]1CC[N@H+](Cc2occc2[S-])[C@H](C)C1 ZINC001237188384 979841826 /nfs/dbraw/zinc/84/18/26/979841826.db2.gz WZFOEIKCHNARLL-ZJUUUORDSA-N 0 3 241.356 2.568 20 0 BFADHN CO[C@H]1CC[N@@H+](Cc2occc2[S-])[C@H](C)C1 ZINC001237188384 979841832 /nfs/dbraw/zinc/84/18/32/979841832.db2.gz WZFOEIKCHNARLL-ZJUUUORDSA-N 0 3 241.356 2.568 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN(C1CC1)C1COC1 ZINC001194598555 981835926 /nfs/dbraw/zinc/83/59/26/981835926.db2.gz CJLWRRWKUSTMFI-VXGBXAGGSA-N 0 3 223.360 2.676 20 0 BFADHN CC[C@@H](C)[C@@H](C(=O)OC)N(C)CCC(C)(C)C ZINC001195498868 982297983 /nfs/dbraw/zinc/29/79/83/982297983.db2.gz RGFWIXUKLINZKD-NEPJUHHUSA-N 0 3 243.391 2.942 20 0 BFADHN CCCCN1CC2(C1)CC(F)(F)CS2 ZINC001201715502 983424069 /nfs/dbraw/zinc/42/40/69/983424069.db2.gz YGSZIANLGBRGON-UHFFFAOYSA-N 0 3 221.316 2.613 20 0 BFADHN CCCC=Cc1ccc2[nH]c(N)nc2c1 ZINC001242253965 983474469 /nfs/dbraw/zinc/47/44/69/983474469.db2.gz HFLIYGAUOFVTAC-SNAWJCMRSA-N 0 3 201.273 2.958 20 0 BFADHN CCCC=Cc1ccc2nc(N)[nH]c2c1 ZINC001242253965 983474474 /nfs/dbraw/zinc/47/44/74/983474474.db2.gz HFLIYGAUOFVTAC-SNAWJCMRSA-N 0 3 201.273 2.958 20 0 BFADHN CC(C)(C)CCN1CC(N2CCC(F)CC2)C1 ZINC001202087207 983554904 /nfs/dbraw/zinc/55/49/04/983554904.db2.gz SSUBDBUCWZQKRT-UHFFFAOYSA-N 0 3 242.382 2.541 20 0 BFADHN Cc1csc(CN2CCSCC2)c1 ZINC001137153113 983988116 /nfs/dbraw/zinc/98/81/16/983988116.db2.gz PECJIVUZBWDJLH-UHFFFAOYSA-N 0 3 213.371 2.605 20 0 BFADHN CC(=O)[C@H]1CCCN(Cc2cc(C)cs2)C1 ZINC001137158390 984004492 /nfs/dbraw/zinc/00/44/92/984004492.db2.gz QBQZGBIYTGEUFW-LBPRGKRZSA-N 0 3 237.368 2.858 20 0 BFADHN CC(C)COCC[C@@H](C)NCc1nccs1 ZINC001172453476 974815623 /nfs/dbraw/zinc/81/56/23/974815623.db2.gz DOVNRGFKSOSBEV-LLVKDONJSA-N 0 3 242.388 2.684 20 0 BFADHN CC(=O)[C@@H]1CCCCN1[C@@H](C)CCOC(C)C ZINC001172457915 974821901 /nfs/dbraw/zinc/82/19/01/974821901.db2.gz WOACIOAIJRZDQA-JSGCOSHPSA-N 0 3 241.375 2.634 20 0 BFADHN CCN(Cc1cc(C)n[nH]1)C1CC(C)(C)C1 ZINC001328659692 973234782 /nfs/dbraw/zinc/23/47/82/973234782.db2.gz MLFDKUPEFBRBLS-UHFFFAOYSA-N 0 3 221.348 2.729 20 0 BFADHN COCc1cc(C)c(Nc2nccn2C)c(C)c1 ZINC001215529182 970781506 /nfs/dbraw/zinc/78/15/06/970781506.db2.gz ZHYUGORRPWVXIZ-UHFFFAOYSA-N 0 3 245.326 2.927 20 0 BFADHN C[C@@H](Cc1ccccn1)N(C)Cc1cccnc1 ZINC001256408804 970815455 /nfs/dbraw/zinc/81/54/55/970815455.db2.gz DRJPNHROLMBNCE-ZDUSSCGKSA-N 0 3 241.338 2.540 20 0 BFADHN C[C@@H](Cc1ccccn1)N1CCCC[C@H](F)C1 ZINC001256410434 970817693 /nfs/dbraw/zinc/81/76/93/970817693.db2.gz KXEUOJNGLWUMGA-STQMWFEESA-N 0 3 236.334 2.837 20 0 BFADHN COc1c(C)cc(C)cc1Nc1nccn1C ZINC001215995563 970889685 /nfs/dbraw/zinc/88/96/85/970889685.db2.gz MLMVMXRPEPLGDA-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2CC[C@H]3C[C@H]3C2)cc1F ZINC000403315058 970904237 /nfs/dbraw/zinc/90/42/37/970904237.db2.gz CWZSMQRYMWGHLY-SDDRHHMPSA-N 0 3 235.302 2.810 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2CC[C@@H]3C[C@@H]3C2)cc1F ZINC000403315056 970905649 /nfs/dbraw/zinc/90/56/49/970905649.db2.gz CWZSMQRYMWGHLY-IJLUTSLNSA-N 0 3 235.302 2.810 20 0 BFADHN F[C@@H]1CCN([C@H]2CCO[C@@H](c3ccccc3)C2)C1 ZINC001256807189 970994192 /nfs/dbraw/zinc/99/41/92/970994192.db2.gz OMLPTMDOHXQRRU-QLFBSQMISA-N 0 3 249.329 2.951 20 0 BFADHN CCC1CCC(N2CCC(=O)[C@@H](F)CC2)CC1 ZINC001256870423 971006018 /nfs/dbraw/zinc/00/60/18/971006018.db2.gz AUSXHDJBIFMELF-BPCQOVAHSA-N 0 3 241.350 2.958 20 0 BFADHN CCOC(=O)c1ccc(C2=CNCCC2)cc1C ZINC001243952980 971045190 /nfs/dbraw/zinc/04/51/90/971045190.db2.gz QXKUQRTYYXARQW-UHFFFAOYSA-N 0 3 245.322 2.896 20 0 BFADHN Cc1noc2cc(C3=CNCCC3)ccc12 ZINC001243953193 971052277 /nfs/dbraw/zinc/05/22/77/971052277.db2.gz YDMHWWFVRQAIBI-UHFFFAOYSA-N 0 3 214.268 2.861 20 0 BFADHN C[C@@H]1CCCN1Cc1ccc(O)cc1F ZINC000404051136 971118383 /nfs/dbraw/zinc/11/83/83/971118383.db2.gz KARYQLBJHDADJY-SECBINFHSA-N 0 3 209.264 2.516 20 0 BFADHN CCn1ncc2cc(Nc3nccn3C)ccc21 ZINC001216588669 971135703 /nfs/dbraw/zinc/13/57/03/971135703.db2.gz XCCBVBDYPCDSLG-UHFFFAOYSA-N 0 3 241.298 2.533 20 0 BFADHN CCC[C@@H](CC)Nc1ccc(CN)nc1 ZINC001257250559 971146848 /nfs/dbraw/zinc/14/68/48/971146848.db2.gz QDBWQPPLKAUTOT-SNVBAGLBSA-N 0 3 207.321 2.531 20 0 BFADHN CCC[C@H](CC)N1CC[C@H]2C[C@]21C(=O)OCC ZINC001257259401 971161690 /nfs/dbraw/zinc/16/16/90/971161690.db2.gz LLDQMPZJXZAKSK-SGMGOOAPSA-N 0 3 239.359 2.593 20 0 BFADHN CCC(CC)n1nc(-c2ccncc2)cc1CN ZINC001257291239 971179290 /nfs/dbraw/zinc/17/92/90/971179290.db2.gz XETJSXZZWMFZDF-UHFFFAOYSA-N 0 3 244.342 2.765 20 0 BFADHN CCC(CC)N[C@H](CO)c1cc(F)ccc1F ZINC001257294536 971182204 /nfs/dbraw/zinc/18/22/04/971182204.db2.gz RFODSROXLKYNKF-CYBMUJFWSA-N 0 3 243.297 2.776 20 0 BFADHN CCC(CC)N1CCN(C)c2nc(C)ccc2C1 ZINC001257296090 971185603 /nfs/dbraw/zinc/18/56/03/971185603.db2.gz FWFVIZWSMILJIY-UHFFFAOYSA-N 0 3 247.386 2.830 20 0 BFADHN CCC[C@@H](C)N[C@H](CO)c1cc(F)ccc1F ZINC001257314218 971208484 /nfs/dbraw/zinc/20/84/84/971208484.db2.gz JLDDMGQXQLBJPG-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN CCC[C@H](C)N[C@@H]1CCc2ccccc2C1=O ZINC001257313376 971208895 /nfs/dbraw/zinc/20/88/95/971208895.db2.gz BMJSFPFLNBRREE-SMDDNHRTSA-N 0 3 231.339 2.962 20 0 BFADHN CCC[C@H](C)N1CCC[C@H]1c1ncccn1 ZINC001257326778 971227338 /nfs/dbraw/zinc/22/73/38/971227338.db2.gz CHBOAUMTULSZMD-RYUDHWBXSA-N 0 3 219.332 2.802 20 0 BFADHN CCCC(CCC)Nc1ccc(CN)nc1 ZINC001257333303 971238549 /nfs/dbraw/zinc/23/85/49/971238549.db2.gz NEQSHHMPZCRJCL-UHFFFAOYSA-N 0 3 221.348 2.921 20 0 BFADHN CCCCCC[C@H](C)N1CC[C@@H](O)[C@@H](F)C1 ZINC001257363188 971277213 /nfs/dbraw/zinc/27/72/13/971277213.db2.gz KJKXWEMSOMTQFM-RWMBFGLXSA-N 0 3 231.355 2.750 20 0 BFADHN CCCCCC[C@@H](C)N1CCO[C@H](COC)C1 ZINC001257369281 971287525 /nfs/dbraw/zinc/28/75/25/971287525.db2.gz VRJNVQVKECPMPK-KGLIPLIRSA-N 0 3 243.391 2.693 20 0 BFADHN CCCCCC[C@@H](C)N1C[C@@H]2C[C@H]1CO2 ZINC001257368865 971287614 /nfs/dbraw/zinc/28/76/14/971287614.db2.gz QDPJJDMOANMJPT-AGIUHOORSA-N 0 3 211.349 2.818 20 0 BFADHN CC[C@@H](C)NCc1nc(Br)cs1 ZINC001257434862 971300657 /nfs/dbraw/zinc/30/06/57/971300657.db2.gz XGUJGVKCDIFQQO-ZCFIWIBFSA-N 0 3 249.177 2.794 20 0 BFADHN CCCC[C@@H](CC)N1CCC[C@H]1C(=O)OCC ZINC001257424137 971324813 /nfs/dbraw/zinc/32/48/13/971324813.db2.gz BJDLIVCMENWMSS-OLZOCXBDSA-N 0 3 241.375 2.983 20 0 BFADHN CCCC[C@H](CC)N(CC)[C@@H](C)C(=O)OC ZINC001257426527 971330816 /nfs/dbraw/zinc/33/08/16/971330816.db2.gz OOEUPYQZSFIGSR-RYUDHWBXSA-N 0 3 229.364 2.839 20 0 BFADHN C(c1nnc([C@H]2CCCN2)o1)C1CCCCC1 ZINC001217695504 971333501 /nfs/dbraw/zinc/33/35/01/971333501.db2.gz SZUBKKPEZVNSME-LLVKDONJSA-N 0 3 235.331 2.617 20 0 BFADHN CC[C@@H](C)N[C@H]1CCc2ccccc2C1=O ZINC001257435519 971345802 /nfs/dbraw/zinc/34/58/02/971345802.db2.gz GAEXZIWWPORGJG-MFKMUULPSA-N 0 3 217.312 2.572 20 0 BFADHN CC[C@H](C)N[C@@H](c1ccccc1)c1cccnn1 ZINC001257436979 971350271 /nfs/dbraw/zinc/35/02/71/971350271.db2.gz ZMKBSIWKTBWGFQ-WFASDCNBSA-N 0 3 241.338 2.954 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N[C@H]1COC[C@@H]1F ZINC001257478851 971402828 /nfs/dbraw/zinc/40/28/28/971402828.db2.gz XNZWFLFDGCAHTC-NDBYEHHHSA-N 0 3 231.355 2.918 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N(C)CC(=O)N(C)C ZINC001257479805 971406648 /nfs/dbraw/zinc/40/66/48/971406648.db2.gz AIQWOWLEMFDVKY-QWHCGFSZSA-N 0 3 242.407 2.611 20 0 BFADHN CCCC[C@H](CC)CO[C@@H]1CCNC[C@H]1F ZINC001217793985 971411055 /nfs/dbraw/zinc/41/10/55/971411055.db2.gz IZSXXRZPWUZEAF-YNEHKIRRSA-N 0 3 231.355 2.919 20 0 BFADHN CCCC[C@H](CC)CO[C@H]1CCNC[C@H]1F ZINC001217793984 971411914 /nfs/dbraw/zinc/41/19/14/971411914.db2.gz IZSXXRZPWUZEAF-XQQFMLRXSA-N 0 3 231.355 2.919 20 0 BFADHN CCCCC[C@H](C)N[C@@H](CO)c1ccccn1 ZINC001257501109 971416989 /nfs/dbraw/zinc/41/69/89/971416989.db2.gz COAQLUJPEOVTQV-JSGCOSHPSA-N 0 3 236.359 2.673 20 0 BFADHN CCCCC[C@H](C)N1C[C@H]2CC[C@@H](C1)O2 ZINC001257508174 971426110 /nfs/dbraw/zinc/42/61/10/971426110.db2.gz DKXYJRLWTSBJRO-RWMBFGLXSA-N 0 3 211.349 2.818 20 0 BFADHN CCCCC[C@@H](C)N1CCC[C@]1(C)C(=O)OC ZINC001257511213 971433233 /nfs/dbraw/zinc/43/32/33/971433233.db2.gz HPSFEXNELDKCPW-TZMCWYRMSA-N 0 3 241.375 2.983 20 0 BFADHN CCCCC[C@H](C)N1CC[C@@](F)(C(=O)OC)C1 ZINC001257508521 971430804 /nfs/dbraw/zinc/43/08/04/971430804.db2.gz HPFOKAZGELSITH-AAEUAGOBSA-N 0 3 245.338 2.542 20 0 BFADHN CCCCC[C@H](C)N1CCc2ncncc2C1 ZINC001257514985 971436927 /nfs/dbraw/zinc/43/69/27/971436927.db2.gz IDLJINZORNIDTR-LBPRGKRZSA-N 0 3 233.359 2.804 20 0 BFADHN CCCCC[C@H](C)N(CC)[C@@H](C)C(=O)OC ZINC001257518397 971442632 /nfs/dbraw/zinc/44/26/32/971442632.db2.gz LTYGRSVQYAFCAK-RYUDHWBXSA-N 0 3 229.364 2.839 20 0 BFADHN CN1CCC[C@H](c2nnc(C3CCCC3)o2)C1 ZINC001257532331 971448600 /nfs/dbraw/zinc/44/86/00/971448600.db2.gz KKLHGOPDUBSZOB-NSHDSACASA-N 0 3 235.331 2.536 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](OC[C@@H]2COC(C)(C)N2)C1 ZINC001217858831 971451817 /nfs/dbraw/zinc/45/18/17/971451817.db2.gz WVPAZHYPIZURAQ-YVECIDJPSA-N 0 3 241.375 2.552 20 0 BFADHN CC1(C)N[C@H](CO[C@H]2CCC=CCCC2)CO1 ZINC001217871995 971468781 /nfs/dbraw/zinc/46/87/81/971468781.db2.gz PQBZXFSUDUMCOR-OLZOCXBDSA-N 0 3 239.359 2.616 20 0 BFADHN Fc1cc(F)c2c(c1)CC[C@H](N[C@@H]1C[C@H]1F)C2 ZINC001170664336 971469063 /nfs/dbraw/zinc/46/90/63/971469063.db2.gz IUSBCTXALIXBAP-ZWKOPEQDSA-N 0 3 241.256 2.522 20 0 BFADHN FC1(F)CC2(CN([C@@H]3CCCSC3)C2)C1 ZINC001257668556 971470590 /nfs/dbraw/zinc/47/05/90/971470590.db2.gz RWFMRZMXEGCZDT-SECBINFHSA-N 0 3 233.327 2.613 20 0 BFADHN CC(C)=CCC[C@@H](C)OC[C@@H]1COC(C)(C)N1 ZINC001217879745 971474744 /nfs/dbraw/zinc/47/47/44/971474744.db2.gz GGZKUBAYLUWHTF-CHWSQXEVSA-N 0 3 241.375 2.862 20 0 BFADHN Cc1cccc([C@H](C)OC[C@H]2COC(C)(C)N2)c1 ZINC001217879024 971475150 /nfs/dbraw/zinc/47/51/50/971475150.db2.gz MMAAPFLTHPKMKG-JSGCOSHPSA-N 0 3 249.354 2.797 20 0 BFADHN Fc1cc(F)c2c(c1)CC[C@H](N1CC=CC1)C2 ZINC001170685433 971525913 /nfs/dbraw/zinc/52/59/13/971525913.db2.gz MULWSWKYMUHHPM-LBPRGKRZSA-N 0 3 235.277 2.694 20 0 BFADHN CN(C1CC1)[C@@H]1COc2ccc(Cl)cc2C1 ZINC001257955464 971546017 /nfs/dbraw/zinc/54/60/17/971546017.db2.gz AKPLGICVBUBDCW-LBPRGKRZSA-N 0 3 237.730 2.738 20 0 BFADHN CC1(O)CN(C2CCC(c3ccccc3)CC2)C1 ZINC001257995928 971549799 /nfs/dbraw/zinc/54/97/99/971549799.db2.gz DKELIJDHMWBNQJ-UHFFFAOYSA-N 0 3 245.366 2.779 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)n1cncc1CN ZINC001258054041 971577292 /nfs/dbraw/zinc/57/72/92/971577292.db2.gz YHTXSBMXCSFDJM-VXGBXAGGSA-N 0 3 223.364 2.975 20 0 BFADHN CC(C)C[C@H](C)C[C@@H](C)n1cncc1CN ZINC001258054039 971577863 /nfs/dbraw/zinc/57/78/63/971577863.db2.gz YHTXSBMXCSFDJM-NWDGAFQWSA-N 0 3 223.364 2.975 20 0 BFADHN F[C@@H]1CNCC[C@@H]1Oc1cccc2ccccc21 ZINC001218064763 971594335 /nfs/dbraw/zinc/59/43/35/971594335.db2.gz OZDFQLKDTWNKIQ-HIFRSBDPSA-N 0 3 245.297 2.919 20 0 BFADHN CC(C)c1ccc(O[C@@H]2CCNC[C@@H]2F)cc1 ZINC001218065075 971595896 /nfs/dbraw/zinc/59/58/96/971595896.db2.gz CTKQBFNLNIPBKX-UONOGXRCSA-N 0 3 237.318 2.889 20 0 BFADHN F[C@@H]1CNCC[C@H]1Oc1ccc2c(c1)CCCC2 ZINC001218064822 971592908 /nfs/dbraw/zinc/59/29/08/971592908.db2.gz PTIXOQRZRHUFLS-HUUCEWRRSA-N 0 3 249.329 2.644 20 0 BFADHN Cc1cc(C)c(O[C@@H]2CCNC[C@H]2F)c(C)c1 ZINC001218064782 971593452 /nfs/dbraw/zinc/59/34/52/971593452.db2.gz AXOLMRIDJWVEEB-CHWSQXEVSA-N 0 3 237.318 2.691 20 0 BFADHN CC(C)c1ccc(O[C@H]2CCNC[C@H]2F)cc1 ZINC001218065074 971593466 /nfs/dbraw/zinc/59/34/66/971593466.db2.gz CTKQBFNLNIPBKX-KGLIPLIRSA-N 0 3 237.318 2.889 20 0 BFADHN Cc1cc(Cl)cc(O[C@@H]2CCNC[C@@H]2F)c1 ZINC001218066791 971593737 /nfs/dbraw/zinc/59/37/37/971593737.db2.gz NZGQCVLNUNUJIW-NWDGAFQWSA-N 0 3 243.709 2.727 20 0 BFADHN C[C@H](N)c1nccn1[C@H]1C[C@@H]2[C@H](C1)C2(C)C ZINC001170713512 971623858 /nfs/dbraw/zinc/62/38/58/971623858.db2.gz OZQRCJFMYILEOK-MMWGEVLESA-N 0 3 219.332 2.510 20 0 BFADHN C[C@@H](N)c1nccn1[C@H]1C[C@@H]2[C@H](C1)C2(C)C ZINC001170713510 971624619 /nfs/dbraw/zinc/62/46/19/971624619.db2.gz OZQRCJFMYILEOK-CHWFTXMASA-N 0 3 219.332 2.510 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H]3[C@H](C2)C3(C)C)on1 ZINC001170715962 971632901 /nfs/dbraw/zinc/63/29/01/971632901.db2.gz NKLPLBBGCMTHCC-WLLOZRIZSA-N 0 3 220.316 2.507 20 0 BFADHN CC(C)n1cnc(CN[C@@H]2C[C@@H]3[C@H](C2)C3(C)C)c1 ZINC001170741626 971696627 /nfs/dbraw/zinc/69/66/27/971696627.db2.gz YWROOUAEPIKDPZ-DEZZGGKRSA-N 0 3 247.386 2.988 20 0 BFADHN Cc1c(F)cccc1O[C@H]1CNCCC1(F)F ZINC001218449306 971821255 /nfs/dbraw/zinc/82/12/55/971821255.db2.gz TVWMZUYOWABGJI-NSHDSACASA-N 0 3 245.244 2.510 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1C[C@H](C)O[C@@H](C)C1 ZINC001172334375 974599961 /nfs/dbraw/zinc/59/99/61/974599961.db2.gz BMXLHRZULDUHFT-XUXIUFHCSA-N 0 3 243.391 2.689 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)N1CCOC(C)(C)C1 ZINC001172336548 974600695 /nfs/dbraw/zinc/60/06/95/974600695.db2.gz IHDPLLGYAPLXRF-CHWSQXEVSA-N 0 3 243.391 2.691 20 0 BFADHN CC1(C)CCCN1Cc1c(F)ccc(N)c1F ZINC000310215264 971960779 /nfs/dbraw/zinc/96/07/79/971960779.db2.gz DZTZRGGAIBUMJB-UHFFFAOYSA-N 0 3 240.297 2.921 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N1CCOCC1(C)C ZINC001172339346 974605757 /nfs/dbraw/zinc/60/57/57/974605757.db2.gz FHFUVTCLLAJDRK-OLZOCXBDSA-N 0 3 243.391 2.691 20 0 BFADHN CCN1CC[C@H](Oc2ccccc2SC)C1 ZINC001225668455 974634288 /nfs/dbraw/zinc/63/42/88/974634288.db2.gz LEQLMVPYHXZZLZ-NSHDSACASA-N 0 3 237.368 2.882 20 0 BFADHN c1cncc([C@@H]2CCCCN2C[C@@H]2CCCO2)c1 ZINC001207851824 956869960 /nfs/dbraw/zinc/86/99/60/956869960.db2.gz DIYFWRGTSDVNIJ-GJZGRUSLSA-N 0 3 246.354 2.788 20 0 BFADHN C[C@H](NCc1cn2ccnc2s1)c1ccc[nH]1 ZINC001345076968 957086402 /nfs/dbraw/zinc/08/64/02/957086402.db2.gz OYFFZWIHLZYQGH-VIFPVBQESA-N 0 3 246.339 2.575 20 0 BFADHN c1c2c(cc3c1CCN(CCC1CC1)C3)OCO2 ZINC001208679992 957118272 /nfs/dbraw/zinc/11/82/72/957118272.db2.gz WYQZJJGCVDRRHH-UHFFFAOYSA-N 0 3 245.322 2.574 20 0 BFADHN CCc1ccc(O)c(NC2=CCN(C)CC2)c1 ZINC001208844149 957181776 /nfs/dbraw/zinc/18/17/76/957181776.db2.gz MVRVKLKFRXTDED-UHFFFAOYSA-N 0 3 232.327 2.586 20 0 BFADHN OCc1cnccc1N1CCC[C@@H](C2CCC2)C1 ZINC000692979383 957193754 /nfs/dbraw/zinc/19/37/54/957193754.db2.gz WFZOJEIVADQJHT-CYBMUJFWSA-N 0 3 246.354 2.590 20 0 BFADHN C[C@@H](CN1CCc2ccncc2C1)C(F)(F)F ZINC001208905831 957239758 /nfs/dbraw/zinc/23/97/58/957239758.db2.gz SATFVDWKPCERNC-VIFPVBQESA-N 0 3 244.260 2.638 20 0 BFADHN Cc1cc(CN2CC(C3COC3)C2)cc(C)c1F ZINC001143376540 957278962 /nfs/dbraw/zinc/27/89/62/957278962.db2.gz BTSUYUZUUYHFAE-UHFFFAOYSA-N 0 3 249.329 2.521 20 0 BFADHN CN(C)c1cccc(N(C)C[C@H]2CC2(C)C)n1 ZINC001167092887 957279240 /nfs/dbraw/zinc/27/92/40/957279240.db2.gz WEHUJTLCJMKRDO-LLVKDONJSA-N 0 3 233.359 2.630 20 0 BFADHN C1=C(CNCc2cccc3c2OCC3)CCC1 ZINC000692987266 957357311 /nfs/dbraw/zinc/35/73/11/957357311.db2.gz XLNIERPPHTUDBE-UHFFFAOYSA-N 0 3 229.323 2.821 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCC[C@@H]1c1nccs1 ZINC001209421796 957434186 /nfs/dbraw/zinc/43/41/86/957434186.db2.gz OYUAGLYKEHSTRX-OUAUKWLOSA-N 0 3 222.357 2.936 20 0 BFADHN Cc1ccc(CN2CCc3ccccc3CC2)[nH]1 ZINC001209573319 957510265 /nfs/dbraw/zinc/51/02/65/957510265.db2.gz QHDSPTKWEZGKDC-UHFFFAOYSA-N 0 3 240.350 2.924 20 0 BFADHN Cc1ccc(CN2CCC3(CCCOC3)CC2)[nH]1 ZINC001209579235 957514532 /nfs/dbraw/zinc/51/45/32/957514532.db2.gz VSTKDMOTCONRPI-UHFFFAOYSA-N 0 3 248.370 2.716 20 0 BFADHN CC[C@H](C)N(C)Cc1cc(Cl)ccn1 ZINC000086129557 957561330 /nfs/dbraw/zinc/56/13/30/957561330.db2.gz VYFPLWCTWGXAJM-VIFPVBQESA-N 0 3 212.724 2.965 20 0 BFADHN Nc1ccc(Cl)cc1CN1C[C@H]2CC[C@@H]1C2 ZINC000086549366 957635329 /nfs/dbraw/zinc/63/53/29/957635329.db2.gz PCLASRNQDWKTLH-JOYOIKCWSA-N 0 3 236.746 2.907 20 0 BFADHN CCOCC(C)(C)NCc1cccc2c1OCC2 ZINC000693127937 957687707 /nfs/dbraw/zinc/68/77/07/957687707.db2.gz OEKATGVJBCLWFK-UHFFFAOYSA-N 0 3 249.354 2.526 20 0 BFADHN F[C@H]1CCC2(C1)CCN(Cc1c[nH]cn1)CC2 ZINC001136871839 972288424 /nfs/dbraw/zinc/28/84/24/972288424.db2.gz MDMJLCFYUBLJPD-NSHDSACASA-N 0 3 237.322 2.514 20 0 BFADHN CCCN(CCOC)Cc1cc(C)c(C)o1 ZINC001204530439 957919434 /nfs/dbraw/zinc/91/94/34/957919434.db2.gz DPZVYISSJIWWSR-UHFFFAOYSA-N 0 3 225.332 2.755 20 0 BFADHN COc1ncc(CN2CC3CCC2CC3)cc1C ZINC001137006652 972320775 /nfs/dbraw/zinc/32/07/75/972320775.db2.gz ZZVDNBGMWHRTOX-UHFFFAOYSA-N 0 3 246.354 2.773 20 0 BFADHN CCCCN(C)Cc1ncc(C(F)(F)F)[nH]1 ZINC001137010470 972325832 /nfs/dbraw/zinc/32/58/32/972325832.db2.gz SFBGWYCEIVSILK-UHFFFAOYSA-N 0 3 235.253 2.660 20 0 BFADHN CCN(Cc1ncc(C(F)(F)F)[nH]1)C(C)C ZINC001137010188 972325866 /nfs/dbraw/zinc/32/58/66/972325866.db2.gz HRFKIHVDWYKEFQ-UHFFFAOYSA-N 0 3 235.253 2.659 20 0 BFADHN NCc1ccc(Nc2cc(C3CC3)ccn2)cn1 ZINC001160402593 972354223 /nfs/dbraw/zinc/35/42/23/972354223.db2.gz WRSKQHCOBBSLID-UHFFFAOYSA-N 0 3 240.310 2.556 20 0 BFADHN Cc1c(F)cc(F)cc1CN(C)C1CC1 ZINC001143757643 972364041 /nfs/dbraw/zinc/36/40/41/972364041.db2.gz HXOUMAFJUCCRSF-UHFFFAOYSA-N 0 3 211.255 2.867 20 0 BFADHN CCN(C)Cc1cc(F)c(OC)c(Cl)c1 ZINC001143837536 972368035 /nfs/dbraw/zinc/36/80/35/972368035.db2.gz TYJLILYATFYRRU-UHFFFAOYSA-N 0 3 231.698 2.939 20 0 BFADHN C[C@@H]1C[C@H](NC[C@]23C[C@H]2CCC3)c2nccn21 ZINC000693535664 958731642 /nfs/dbraw/zinc/73/16/42/958731642.db2.gz SPKVGLLWJQKPCX-NMKXLXIOSA-N 0 3 231.343 2.669 20 0 BFADHN Cc1cc(C)nc(NCCOC(F)(F)F)c1 ZINC000708014014 958924156 /nfs/dbraw/zinc/92/41/56/958924156.db2.gz BGGSEBZMTADKOS-UHFFFAOYSA-N 0 3 234.221 2.647 20 0 BFADHN COC(C)(C)CN(C)Cc1ccc(Cl)nc1 ZINC000404373215 959228179 /nfs/dbraw/zinc/22/81/79/959228179.db2.gz UVAMXYMYLKMXAJ-UHFFFAOYSA-N 0 3 242.750 2.592 20 0 BFADHN C[C@@H]1C[C@@H](n2cncc2CN)CC(C)(C)C1 ZINC000708361531 959372261 /nfs/dbraw/zinc/37/22/61/959372261.db2.gz BXDNQDRMRJKSRM-GHMZBOCLSA-N 0 3 221.348 2.729 20 0 BFADHN CC1(C)N[C@H](COC2CCCCCCC2)CO1 ZINC001217891761 959718028 /nfs/dbraw/zinc/71/80/28/959718028.db2.gz RARMZVXHEZXQEL-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN CCCCC[C@H](OC[C@@H]1CNCCO1)C(C)C ZINC001217893361 959720938 /nfs/dbraw/zinc/72/09/38/959720938.db2.gz YBJFBOLKNKSMHE-KBPBESRZSA-N 0 3 243.391 2.596 20 0 BFADHN Cc1ccc(C)c(O[C@@H]2CCNC[C@H]2F)c1C ZINC001218064831 959851278 /nfs/dbraw/zinc/85/12/78/959851278.db2.gz PWKCBJAOWRRZHX-CHWSQXEVSA-N 0 3 237.318 2.691 20 0 BFADHN F[C@H]1CNCC[C@H]1Oc1cccc2c1CCCC2 ZINC001218066299 959855607 /nfs/dbraw/zinc/85/56/07/959855607.db2.gz KNUFUWBGNCANQL-DZGCQCFKSA-N 0 3 249.329 2.644 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N1C[C@H](N)[C@@H](F)C1 ZINC001246536389 972473845 /nfs/dbraw/zinc/47/38/45/972473845.db2.gz PASVONVDTPLVSS-VOAKCMCISA-N 0 3 230.371 2.572 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2CCO[C@H](C)C2)c1 ZINC000309779974 960118536 /nfs/dbraw/zinc/11/85/36/960118536.db2.gz MRSASWLYGKDFKK-VXGBXAGGSA-N 0 3 220.316 2.678 20 0 BFADHN C[C@@H]1CC[C@@H](n2cnc3c2CNCC3)C[C@H]1C ZINC000310314348 960180498 /nfs/dbraw/zinc/18/04/98/960180498.db2.gz FJABDNPYICBRHI-IJLUTSLNSA-N 0 3 233.359 2.526 20 0 BFADHN Cc1ccncc1CN[C@H](C)C1(Cl)CC1 ZINC000695650478 960327099 /nfs/dbraw/zinc/32/70/99/960327099.db2.gz VZTRUVAFDYEVOM-SNVBAGLBSA-N 0 3 224.735 2.640 20 0 BFADHN Cc1cc(CN[C@H](C)C2(Cl)CC2)ccn1 ZINC000695650587 960328423 /nfs/dbraw/zinc/32/84/23/960328423.db2.gz WGIJNFNWNRECKW-SNVBAGLBSA-N 0 3 224.735 2.640 20 0 BFADHN Cc1coc(CN2CCCOC(C)(C)C2)c1 ZINC001353583176 960389649 /nfs/dbraw/zinc/38/96/49/960389649.db2.gz XNKSUXWZKBRZSG-UHFFFAOYSA-N 0 3 223.316 2.589 20 0 BFADHN CO[C@@H](C)C1CN(Cc2cc(F)ccc2C)C1 ZINC001140592296 960443318 /nfs/dbraw/zinc/44/33/18/960443318.db2.gz FOKGTUWTEUYHJM-NSHDSACASA-N 0 3 237.318 2.601 20 0 BFADHN Cc1cc(CN2CC[C@@H]2C)cc(C)c1O ZINC001140658158 960478063 /nfs/dbraw/zinc/47/80/63/960478063.db2.gz LVFVQBZJSICFRO-NSHDSACASA-N 0 3 205.301 2.603 20 0 BFADHN Cc1cc(CN2CC3(CCC3)C2)cc(C)c1O ZINC001140657959 960479321 /nfs/dbraw/zinc/47/93/21/960479321.db2.gz HAOFVNOOTSQDMR-UHFFFAOYSA-N 0 3 231.339 2.995 20 0 BFADHN F[C@@H]1CCN(Cc2cc[nH]c2)CCC1(F)F ZINC001140704850 960511976 /nfs/dbraw/zinc/51/19/76/960511976.db2.gz KKPNKOIPOTWJOS-SNVBAGLBSA-N 0 3 232.249 2.584 20 0 BFADHN c1cc(CN2CCOC[C@H]2C2CCCCC2)c[nH]1 ZINC001140708142 960522748 /nfs/dbraw/zinc/52/27/48/960522748.db2.gz QBKONWNPGRINNE-HNNXBMFYSA-N 0 3 248.370 2.796 20 0 BFADHN Cn1ncc(C2CCC2)c1CNC/C=C\C1CC1 ZINC001327253250 972518338 /nfs/dbraw/zinc/51/83/38/972518338.db2.gz FDOLUXIISSHCLJ-ARJAWSKDSA-N 0 3 245.370 2.743 20 0 BFADHN Cc1c[nH]c(CN2CC[C@H]3O[C@@H](C)C[C@H]3C2)c1C ZINC001140860044 960632530 /nfs/dbraw/zinc/63/25/30/960632530.db2.gz IHAQZWXUMFXXLT-CORIIIEPSA-N 0 3 248.370 2.631 20 0 BFADHN Cc1c[nH]c(CN2CC[C@H]3CCCO[C@@H]3C2)c1C ZINC001140858027 960633219 /nfs/dbraw/zinc/63/32/19/960633219.db2.gz FEBXOMYIZXNWGL-UKRRQHHQSA-N 0 3 248.370 2.632 20 0 BFADHN C[C@@]1(CF)CCN(Cc2c(N)cccc2F)C1 ZINC001140872810 960660652 /nfs/dbraw/zinc/66/06/52/960660652.db2.gz LKLCFRVVRSEOOU-ZDUSSCGKSA-N 0 3 240.297 2.589 20 0 BFADHN CN(Cc1c(N)cccc1F)C[C@H]1CC1(C)C ZINC001140877298 960663152 /nfs/dbraw/zinc/66/31/52/960663152.db2.gz ILTMMEODHREXRB-SNVBAGLBSA-N 0 3 236.334 2.886 20 0 BFADHN CC1(C)CCN(Cc2ccc(O)c(F)c2F)C1 ZINC001140894450 960677805 /nfs/dbraw/zinc/67/78/05/960677805.db2.gz YTVXICRURQTMBW-UHFFFAOYSA-N 0 3 241.281 2.902 20 0 BFADHN Cc1cc(CN2CCC[C@H]3CCCC[C@@H]32)[nH]n1 ZINC001203077297 960685449 /nfs/dbraw/zinc/68/54/49/960685449.db2.gz WOEBDCRCQJXGLF-OCCSQVGLSA-N 0 3 233.359 2.873 20 0 BFADHN Fc1ccc([C@@H]2CCN(Cc3cnco3)C2)cc1 ZINC001140932606 960708242 /nfs/dbraw/zinc/70/82/42/960708242.db2.gz VBNPFSSVUMJFGM-GFCCVEGCSA-N 0 3 246.285 2.803 20 0 BFADHN COc1cc(CN(C)C)cc(C(F)(F)F)c1 ZINC001143512047 960713843 /nfs/dbraw/zinc/71/38/43/960713843.db2.gz SVKFWOKGBXJTFZ-UHFFFAOYSA-N 0 3 233.233 2.776 20 0 BFADHN Cc1nccnc1CN1CCC12CCCCC2 ZINC001140934568 960719514 /nfs/dbraw/zinc/71/95/14/960719514.db2.gz MMZXDTNMDKOYHL-UHFFFAOYSA-N 0 3 231.343 2.694 20 0 BFADHN Cc1nccnc1CN1CCCC2(CCC2)C1 ZINC001140940065 960734578 /nfs/dbraw/zinc/73/45/78/960734578.db2.gz NUEVIPYDCVWEEM-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN C[C@H]1CN(Cc2ncco2)CCC[C@@H]1C ZINC001141004754 960804968 /nfs/dbraw/zinc/80/49/68/960804968.db2.gz LTVLFKIXQDLQMF-QWRGUYRKSA-N 0 3 208.305 2.543 20 0 BFADHN CN(CCC1CC1)Cc1c[nH]nc1C(F)(F)F ZINC001141017025 960822334 /nfs/dbraw/zinc/82/23/34/960822334.db2.gz WVMHDIDSSBLWSN-UHFFFAOYSA-N 0 3 247.264 2.660 20 0 BFADHN Cc1ncoc1CN1CCC2(CC(F)C2)CC1 ZINC001141051740 960841940 /nfs/dbraw/zinc/84/19/40/960841940.db2.gz OMXCLVRZZPBKTI-UHFFFAOYSA-N 0 3 238.306 2.697 20 0 BFADHN CCCCc1nc(CN2C[C@H]3CCC[C@@H]3C2)c[nH]1 ZINC001141066343 960854755 /nfs/dbraw/zinc/85/47/55/960854755.db2.gz CPPYLRKKLFGJOK-CHWSQXEVSA-N 0 3 247.386 2.984 20 0 BFADHN CCCCc1nc(CN2CC[C@@H](C)C2)c[nH]1 ZINC001141067937 960856934 /nfs/dbraw/zinc/85/69/34/960856934.db2.gz SJKMGGHCLSPJHM-LLVKDONJSA-N 0 3 221.348 2.594 20 0 BFADHN C[C@@H]1CCCN(Cc2cocn2)C[C@H]1C ZINC001141126223 960872891 /nfs/dbraw/zinc/87/28/91/960872891.db2.gz FFXBVWGZBPBBKY-GHMZBOCLSA-N 0 3 208.305 2.543 20 0 BFADHN COCCN(Cc1ccc(C)cc1F)C(C)C ZINC001141194663 960924988 /nfs/dbraw/zinc/92/49/88/960924988.db2.gz ILROUBATWZVNOA-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3OCC[C@H]3C2)c(F)c1 ZINC001141196453 960942009 /nfs/dbraw/zinc/94/20/09/960942009.db2.gz QFQRHQNFINSIEP-ZFWWWQNUSA-N 0 3 249.329 2.745 20 0 BFADHN CC[C@@H](C)N(C)Cc1cnc(C(F)(F)F)nc1 ZINC001141456261 961070387 /nfs/dbraw/zinc/07/03/87/961070387.db2.gz PWDIEFZLGRNRCY-MRVPVSSYSA-N 0 3 247.264 2.726 20 0 BFADHN CC[C@H](C)N(C)Cc1cnc(C(F)(F)F)nc1 ZINC001141456262 961070607 /nfs/dbraw/zinc/07/06/07/961070607.db2.gz PWDIEFZLGRNRCY-QMMMGPOBSA-N 0 3 247.264 2.726 20 0 BFADHN c1cc2c(o1)CCC[C@H]2NCCOC1CCC1 ZINC000690689998 961237646 /nfs/dbraw/zinc/23/76/46/961237646.db2.gz RCAXLMUSYWPWPP-CYBMUJFWSA-N 0 3 235.327 2.816 20 0 BFADHN CCCN(CC)Cc1[nH]cnc1C(C)(C)C ZINC001142209631 961343767 /nfs/dbraw/zinc/34/37/67/961343767.db2.gz RFSSPBRLXFTGPP-UHFFFAOYSA-N 0 3 223.364 2.939 20 0 BFADHN N=CNc1ccc2c(c1)nc1cc[nH]cc2-1 ZINC001167868062 961356093 /nfs/dbraw/zinc/35/60/93/961356093.db2.gz PWDKFZUFRQRNTM-UHFFFAOYSA-N 0 3 210.240 2.735 20 0 BFADHN O[C@H]1CCCN(Cc2ccccc2C(F)F)C1 ZINC001139796365 961612895 /nfs/dbraw/zinc/61/28/95/961612895.db2.gz WFZCXPHDVCLCEK-NSHDSACASA-N 0 3 241.281 2.581 20 0 BFADHN Fc1cc(F)c(CN[C@@H]2C[C@H]3C[C@H]3C2)c(F)c1 ZINC001167903322 961700914 /nfs/dbraw/zinc/70/09/14/961700914.db2.gz UYRKEHKLLDDUTC-DIYOJNKTSA-N 0 3 241.256 2.992 20 0 BFADHN CC(C)P(CCNC(=O)C(F)F)C(C)C ZINC001142792186 961755742 /nfs/dbraw/zinc/75/57/42/961755742.db2.gz MJGKWOLQPRPNRS-UHFFFAOYSA-N 0 3 239.246 2.666 20 0 BFADHN c1nc(CN[C@H]2C[C@H]3C[C@H]3C2)cn1CC1CCC1 ZINC001167915278 961842851 /nfs/dbraw/zinc/84/28/51/961842851.db2.gz AXGRDAFVFHWKQJ-WDNDVIMCSA-N 0 3 245.370 2.571 20 0 BFADHN COc1cc(C)cc(CN2CC[C@@H]2C)c1 ZINC001143149191 961889636 /nfs/dbraw/zinc/88/96/36/961889636.db2.gz ADQAGJCRISRLDE-NSHDSACASA-N 0 3 205.301 2.598 20 0 BFADHN O=C1c2ccccc2CC[C@H]1N[C@@H]1C[C@H]2C[C@H]2C1 ZINC001167918463 961903900 /nfs/dbraw/zinc/90/39/00/961903900.db2.gz JIAFKHLBBZVPCW-QVHKTLOISA-N 0 3 241.334 2.572 20 0 BFADHN C[C@@H]1CCC[C@@H](C)[NH+]1Cc1ccc([O-])cn1 ZINC000132436181 962422383 /nfs/dbraw/zinc/42/23/83/962422383.db2.gz RHXOWEFVDSMGAV-GHMZBOCLSA-N 0 3 220.316 2.550 20 0 BFADHN Clc1cnc2c(c1)CN([C@@H]1C[C@H]3C[C@H]3C1)CC2 ZINC001167955792 962798348 /nfs/dbraw/zinc/79/83/48/962798348.db2.gz HNRQYXJEKVSPPQ-DDFAGTSDSA-N 0 3 248.757 2.892 20 0 BFADHN C[C@@H]1SCC[C@H]1Nc1cc(N)ncc1Cl ZINC000894364365 962967412 /nfs/dbraw/zinc/96/74/12/962967412.db2.gz RUDDAORELANFMQ-POYBYMJQSA-N 0 3 243.763 2.623 20 0 BFADHN C[C@H](Oc1ccnc(N)c1)c1ccc(F)cc1 ZINC001226654247 963276344 /nfs/dbraw/zinc/27/63/44/963276344.db2.gz XDDGDIWONUVHGS-VIFPVBQESA-N 0 3 232.258 2.943 20 0 BFADHN CN(C)c1cccc(NCCc2ccsc2)n1 ZINC001156521112 963376929 /nfs/dbraw/zinc/37/69/29/963376929.db2.gz LMHYWZWJGXCHQC-UHFFFAOYSA-N 0 3 247.367 2.864 20 0 BFADHN CCN1CCC[C@H](Oc2c(C)cccc2O)C1 ZINC001227139491 963465014 /nfs/dbraw/zinc/46/50/14/963465014.db2.gz KVWFQVOHCSZURC-LBPRGKRZSA-N 0 3 235.327 2.564 20 0 BFADHN CCN1CC[C@@H](Oc2ccc(C(C)=O)c(C)c2)C1 ZINC001228216378 963692776 /nfs/dbraw/zinc/69/27/76/963692776.db2.gz IAJGSYCXOMPQEM-CQSZACIVSA-N 0 3 247.338 2.671 20 0 BFADHN Cc1cc(C)nc(NC2(c3cccnn3)CC2)c1 ZINC001157711763 963706532 /nfs/dbraw/zinc/70/65/32/963706532.db2.gz WRRPWGAAFVHJBU-UHFFFAOYSA-N 0 3 240.310 2.590 20 0 BFADHN C=Cc1ccc(CNc2cccc(N)n2)cc1 ZINC001157771037 963718941 /nfs/dbraw/zinc/71/89/41/963718941.db2.gz CEWDSIMYUOGLCM-UHFFFAOYSA-N 0 3 225.295 2.919 20 0 BFADHN CCc1cc(CN2CCC[C@H](C)CC2)on1 ZINC001203212652 963749221 /nfs/dbraw/zinc/74/92/21/963749221.db2.gz QBOZPMUKYYWOOF-NSHDSACASA-N 0 3 222.332 2.859 20 0 BFADHN CCc1cc(CN2CCCC[C@@H]2C)on1 ZINC001203213090 963827357 /nfs/dbraw/zinc/82/73/57/963827357.db2.gz LIOVSNCOZUDECW-JTQLQIEISA-N 0 3 208.305 2.611 20 0 BFADHN C[C@@H](N)c1ccn(-c2nccc3ccsc32)n1 ZINC001158348267 963852924 /nfs/dbraw/zinc/85/29/24/963852924.db2.gz DCXYEGKJIRBSNM-MRVPVSSYSA-N 0 3 244.323 2.502 20 0 BFADHN C[C@H](Cc1ccc(Cl)cc1)N[C@@H]1C[C@H]1F ZINC001168152901 963875932 /nfs/dbraw/zinc/87/59/32/963875932.db2.gz CHZXVAJRHFRXMK-GGZOMVNGSA-N 0 3 227.710 2.971 20 0 BFADHN C=Cc1ccc(N2CCN(CC)CC2(C)C)nc1 ZINC001158561733 963886042 /nfs/dbraw/zinc/88/60/42/963886042.db2.gz NGAAOFQLZLVKOF-UHFFFAOYSA-N 0 3 245.370 2.645 20 0 BFADHN CCN1CCN(c2cc(C)c(C)cn2)C(C)(C)C1 ZINC001158569399 963889171 /nfs/dbraw/zinc/88/91/71/963889171.db2.gz KBUHLZVKLIPRDT-UHFFFAOYSA-N 0 3 247.386 2.619 20 0 BFADHN c1ccc2cc(NC3=CNCCC3)ncc2c1 ZINC001159198039 964012098 /nfs/dbraw/zinc/01/20/98/964012098.db2.gz LZXVXTARURTDGY-UHFFFAOYSA-N 0 3 225.295 2.872 20 0 BFADHN Cc1cccc2ccc(NCC3CN(C)C3)nc12 ZINC001159216425 964018402 /nfs/dbraw/zinc/01/84/02/964018402.db2.gz VFDCTQGWIUUEAF-UHFFFAOYSA-N 0 3 241.338 2.517 20 0 BFADHN CCCc1ccc(Nc2ccncc2CN)cc1 ZINC001159431770 964090151 /nfs/dbraw/zinc/09/01/51/964090151.db2.gz QSEPNOZWQLYTMS-UHFFFAOYSA-N 0 3 241.338 2.658 20 0 BFADHN CCC=CNc1cc(Cl)nc2c1CCNC2 ZINC001159669034 964141718 /nfs/dbraw/zinc/14/17/18/964141718.db2.gz IFJOCUQLSUMPDH-HWKANZROSA-N 0 3 237.734 2.716 20 0 BFADHN CCC1(CNc2ccc3nc[nH]c3c2C)COC1 ZINC001168332452 964464047 /nfs/dbraw/zinc/46/40/47/964464047.db2.gz MJVNNRLZDJRZEO-UHFFFAOYSA-N 0 3 245.326 2.710 20 0 BFADHN CCc1cc(Nc2cccc3[nH]cnc32)ccn1 ZINC001213029196 964508599 /nfs/dbraw/zinc/50/85/99/964508599.db2.gz IFARCOUHUSIXFR-UHFFFAOYSA-N 0 3 238.294 2.686 20 0 BFADHN CCOCC[C@@H](C)NCc1cccnc1Cl ZINC001172412642 974677211 /nfs/dbraw/zinc/67/72/11/974677211.db2.gz ZWRHNNUIHDFKPG-SNVBAGLBSA-N 0 3 242.750 2.640 20 0 BFADHN Cc1ncc(CNc2c[nH]cc3ccnc2-3)s1 ZINC001162159999 964858386 /nfs/dbraw/zinc/85/83/86/964858386.db2.gz UKCPLCVZSTZDQK-UHFFFAOYSA-N 0 3 244.323 2.940 20 0 BFADHN Cc1ncc(CNc2cncc3cc[nH]c32)s1 ZINC001162159999 964858392 /nfs/dbraw/zinc/85/83/92/964858392.db2.gz UKCPLCVZSTZDQK-UHFFFAOYSA-N 0 3 244.323 2.940 20 0 BFADHN Cc1cc(C)nc(NC2CN(C(C)(C)C)C2)c1 ZINC001162220289 964880368 /nfs/dbraw/zinc/88/03/68/964880368.db2.gz NSLKHMVUTYXXAT-UHFFFAOYSA-N 0 3 233.359 2.593 20 0 BFADHN CC(F)(F)CNc1cncc2cc[nH]c21 ZINC001162234087 964885964 /nfs/dbraw/zinc/88/59/64/964885964.db2.gz LNULOFGSAOJQBI-UHFFFAOYSA-N 0 3 211.215 2.630 20 0 BFADHN CCc1cc(C)cc(CC)c1-n1cnc(CN)c1 ZINC001162304636 964919670 /nfs/dbraw/zinc/91/96/70/964919670.db2.gz VWTHKQDNBHJJSH-UHFFFAOYSA-N 0 3 243.354 2.764 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CNc1cccc(CN)n1 ZINC001162530946 965027398 /nfs/dbraw/zinc/02/73/98/965027398.db2.gz QNKVEZDZHJGITA-VXGBXAGGSA-N 0 3 233.359 2.779 20 0 BFADHN C[C@H]1CCCC[C@@H]1CNc1cncn1C ZINC001162526794 965029585 /nfs/dbraw/zinc/02/95/85/965029585.db2.gz SFELNIUYYQNFIU-WDEREUQCSA-N 0 3 207.321 2.658 20 0 BFADHN Cc1ccc2ccc(-n3nccc3CN)cc2c1 ZINC001162597173 965067996 /nfs/dbraw/zinc/06/79/96/965067996.db2.gz FDMBRUWJFRTPPC-UHFFFAOYSA-N 0 3 237.306 2.793 20 0 BFADHN Cc1cccc(CN[C@@H]2C=CCC2)c1F ZINC000698197840 965096106 /nfs/dbraw/zinc/09/61/06/965096106.db2.gz SHRHEYDZIIKPIK-GFCCVEGCSA-N 0 3 205.276 2.942 20 0 BFADHN CN(C)Cc1ccc(NC(=N)C(C)(C)C)nc1 ZINC001162662314 965097326 /nfs/dbraw/zinc/09/73/26/965097326.db2.gz SQPUBWAXQBYEQO-UHFFFAOYSA-N 0 3 234.347 2.578 20 0 BFADHN COc1cc2nccn2cc1NC(=N)C(C)(C)C ZINC001162666026 965103670 /nfs/dbraw/zinc/10/36/70/965103670.db2.gz WOTSEIWVVIUQMO-UHFFFAOYSA-N 0 3 246.314 2.778 20 0 BFADHN COc1ccc(CN[C@H]2C=CCC2)c(F)c1 ZINC000698213436 965114551 /nfs/dbraw/zinc/11/45/51/965114551.db2.gz JQVNQEWRGYERCD-NSHDSACASA-N 0 3 221.275 2.643 20 0 BFADHN Cn1cccc1CNc1c[nH]cc2ccnc1-2 ZINC001162709381 965134938 /nfs/dbraw/zinc/13/49/38/965134938.db2.gz GRWOAMWGLXDYDT-UHFFFAOYSA-N 0 3 226.283 2.514 20 0 BFADHN Cn1cccc1CNc1cncc2cc[nH]c21 ZINC001162709381 965134952 /nfs/dbraw/zinc/13/49/52/965134952.db2.gz GRWOAMWGLXDYDT-UHFFFAOYSA-N 0 3 226.283 2.514 20 0 BFADHN Cc1nnc(CN[C@H](C2CC2)C(C)(C)C)s1 ZINC000698300270 965193322 /nfs/dbraw/zinc/19/33/22/965193322.db2.gz QWYNQBJJOBUYKO-LLVKDONJSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H](O)CC(C)C)o1 ZINC000167131178 965219253 /nfs/dbraw/zinc/21/92/53/965219253.db2.gz WQZBQBDXKGRBLN-RYUDHWBXSA-N 0 3 225.332 2.646 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCCC[C@@H]2C2CC2)[n-]1 ZINC000696061836 965228837 /nfs/dbraw/zinc/22/88/37/965228837.db2.gz OWIOYMQKAFCNTJ-BIMULSAOSA-N 0 3 248.374 2.733 20 0 BFADHN CC[C@@H](C)CCNCc1ccoc1C(=O)OC ZINC000696156829 965258873 /nfs/dbraw/zinc/25/88/73/965258873.db2.gz GIJNPORTPYMVBK-SNVBAGLBSA-N 0 3 239.315 2.592 20 0 BFADHN CN(Cc1ccc(Cl)cc1)c1cccc(N)n1 ZINC000168784517 965298627 /nfs/dbraw/zinc/29/86/27/965298627.db2.gz GOPKVGHNFWBCGO-UHFFFAOYSA-N 0 3 247.729 2.954 20 0 BFADHN Cc1cc(C)nc(N[C@@H](C)c2ccno2)c1 ZINC001163208164 965303544 /nfs/dbraw/zinc/30/35/44/965303544.db2.gz WOIYASHHTNWWLS-JTQLQIEISA-N 0 3 217.272 2.860 20 0 BFADHN Fc1ccc(N[C@@H]2CNCc3ccsc32)nc1 ZINC001163227427 965308182 /nfs/dbraw/zinc/30/81/82/965308182.db2.gz UVZQYEZYOWZIJA-SNVBAGLBSA-N 0 3 249.314 2.539 20 0 BFADHN C[C@H]1CCN(Cc2cnsc2)[C@@H](C)C1 ZINC001232226193 965313330 /nfs/dbraw/zinc/31/33/30/965313330.db2.gz AHFOFOXRGGRUHO-UWVGGRQHSA-N 0 3 210.346 2.764 20 0 BFADHN Oc1ccc(N[C@H]2CNCc3ccsc32)cc1 ZINC001163229030 965313417 /nfs/dbraw/zinc/31/34/17/965313417.db2.gz OKWBDGXBNRFNCP-LBPRGKRZSA-N 0 3 246.335 2.710 20 0 BFADHN CSc1ccc(CN2CCC[C@@H]2C)cn1 ZINC001232325288 965343629 /nfs/dbraw/zinc/34/36/29/965343629.db2.gz YLSZMTACKSZYSQ-JTQLQIEISA-N 0 3 222.357 2.788 20 0 BFADHN O[C@H]1CCCN(Cc2ccc3ccsc3c2)C1 ZINC001232610153 965383914 /nfs/dbraw/zinc/38/39/14/965383914.db2.gz JKCRULJHRPNOFC-ZDUSSCGKSA-N 0 3 247.363 2.858 20 0 BFADHN Nc1cccc(N[C@@H]2CCCC23CCC3)n1 ZINC001163518262 965427318 /nfs/dbraw/zinc/42/73/18/965427318.db2.gz PSVYUMCQLCYQDP-SNVBAGLBSA-N 0 3 217.316 2.799 20 0 BFADHN c1nc2ccc(CN3CCC[C@@H]4C[C@@H]43)cc2o1 ZINC001232944285 965439624 /nfs/dbraw/zinc/43/96/24/965439624.db2.gz QTIAZIJYPLHAHT-YPMHNXCESA-N 0 3 228.295 2.812 20 0 BFADHN Cc1nc(N(C)C)cc(N2[C@H](C)CCC[C@H]2C)n1 ZINC001163540920 965440156 /nfs/dbraw/zinc/44/01/56/965440156.db2.gz PFFGMKOSLXFHLQ-GHMZBOCLSA-N 0 3 248.374 2.618 20 0 BFADHN Cc1c(F)ccc(O[C@@H]2CN3CCC2CC3)c1C ZINC001233867138 965596288 /nfs/dbraw/zinc/59/62/88/965596288.db2.gz BYOJBFOREGYCSS-OAHLLOKOSA-N 0 3 249.329 2.916 20 0 BFADHN C/C(=C\C=C\N)NCc1cnc2ccccc2c1 ZINC001164203618 965792096 /nfs/dbraw/zinc/79/20/96/965792096.db2.gz DKINQMWSTTZJNI-FWSLBAKWSA-N 0 3 239.322 2.701 20 0 BFADHN Clc1ncccc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000699201977 965804632 /nfs/dbraw/zinc/80/46/32/965804632.db2.gz RMBVQLMKYSSLBW-MWLCHTKSSA-N 0 3 222.719 2.577 20 0 BFADHN C[C@H](Cc1cccc(F)c1)n1ccc([C@H](C)N)n1 ZINC001168468836 965830208 /nfs/dbraw/zinc/83/02/08/965830208.db2.gz OZICOEODQAICAU-MNOVXSKESA-N 0 3 247.317 2.846 20 0 BFADHN CCc1cc(CN2CC3CCC2CC3)on1 ZINC001203225089 965906303 /nfs/dbraw/zinc/90/63/03/965906303.db2.gz KZWKABOTPPKBBL-UHFFFAOYSA-N 0 3 220.316 2.611 20 0 BFADHN Cc1cnc(N2CCN(C(C)(C)C)CC2)c(C)c1 ZINC001165091253 965959869 /nfs/dbraw/zinc/95/98/69/965959869.db2.gz NBGSIUFFAUNXMW-UHFFFAOYSA-N 0 3 247.386 2.619 20 0 BFADHN C[C@@H]1COC[C@@H]1NCc1cc2ccccc2o1 ZINC000699778290 966081790 /nfs/dbraw/zinc/08/17/90/966081790.db2.gz OZNVQAXWNQZKMP-MFKMUULPSA-N 0 3 231.295 2.557 20 0 BFADHN CC[C@H](N[C@@H]1COC[C@@H]1C)c1ccccc1F ZINC000699792060 966094264 /nfs/dbraw/zinc/09/42/64/966094264.db2.gz VJGBWKDCQGWRDM-LEWSCRJBSA-N 0 3 237.318 2.901 20 0 BFADHN C[C@@H](Cc1cccc(F)c1)N1CC[C@@]12CCOC2 ZINC001168501669 966098602 /nfs/dbraw/zinc/09/86/02/966098602.db2.gz UGSRDVKTJNLOJN-WFASDCNBSA-N 0 3 249.329 2.622 20 0 BFADHN CC(C)Oc1ccccc1CN[C@H]1COC[C@@H]1C ZINC000699839996 966128235 /nfs/dbraw/zinc/12/82/35/966128235.db2.gz UHLYFWBHBKIFLH-JSGCOSHPSA-N 0 3 249.354 2.598 20 0 BFADHN CC(C)Oc1ccccc1CN[C@H]1COC[C@H]1C ZINC000699839998 966128393 /nfs/dbraw/zinc/12/83/93/966128393.db2.gz UHLYFWBHBKIFLH-OCCSQVGLSA-N 0 3 249.354 2.598 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@H]1COC[C@H]1C ZINC000699838736 966129613 /nfs/dbraw/zinc/12/96/13/966129613.db2.gz RQDRUEFQEAVGNY-DYEKYZERSA-N 0 3 249.354 2.771 20 0 BFADHN Cn1cncc1NC1CCC(C(F)(F)F)CC1 ZINC001165624273 966139293 /nfs/dbraw/zinc/13/92/93/966139293.db2.gz GPOFNRHOXRYIAX-UHFFFAOYSA-N 0 3 247.264 2.953 20 0 BFADHN CN(C)c1ccnc(C2=CCSCC2)c1 ZINC001236363702 966380045 /nfs/dbraw/zinc/38/00/45/966380045.db2.gz YXCRBMSISTXECH-UHFFFAOYSA-N 0 3 220.341 2.668 20 0 BFADHN Cc1nc(C)c(CN(C)C2CC(C)C2)o1 ZINC001236588897 966472698 /nfs/dbraw/zinc/47/26/98/966472698.db2.gz DYVQTROZWFPWCT-UHFFFAOYSA-N 0 3 208.305 2.522 20 0 BFADHN Cn1cncc1NCc1ccccc1C1CC1 ZINC001167013630 966518022 /nfs/dbraw/zinc/51/80/22/966518022.db2.gz VWFLLKLMCRLIKF-UHFFFAOYSA-N 0 3 227.311 2.910 20 0 BFADHN Cn1cccc1CN1CCC[C@@H](C(F)F)C1 ZINC001203264661 966584278 /nfs/dbraw/zinc/58/42/78/966584278.db2.gz YTCVSIGKNVWCBQ-SNVBAGLBSA-N 0 3 228.286 2.502 20 0 BFADHN Fc1ccccc1CN1CCCC12CC2 ZINC001203346551 966610687 /nfs/dbraw/zinc/61/06/87/966610687.db2.gz XMNUTYJCFPHIFR-UHFFFAOYSA-N 0 3 205.276 2.954 20 0 BFADHN Fc1ccccc1CN1CC2CC(C2)C1 ZINC001203357512 966615417 /nfs/dbraw/zinc/61/54/17/966615417.db2.gz OVRMOCMJXJXZNF-UHFFFAOYSA-N 0 3 205.276 2.668 20 0 BFADHN FC[C@H]1[C@@H]2CN(Cc3ccccc3Cl)C[C@H]12 ZINC001203385161 966624556 /nfs/dbraw/zinc/62/45/56/966624556.db2.gz LTFVINMUYDRAKG-CNDDSTCGSA-N 0 3 239.721 2.987 20 0 BFADHN CCC[C@H](C)CCNCc1nn(C)cc1C ZINC001203511065 966666543 /nfs/dbraw/zinc/66/65/43/966666543.db2.gz KOLYJYKYDYAQSI-NSHDSACASA-N 0 3 223.364 2.644 20 0 BFADHN CCCCN(CC)Cc1occc1OC ZINC001237864930 966700067 /nfs/dbraw/zinc/70/00/67/966700067.db2.gz XTTKNMGKAMLCIK-UHFFFAOYSA-N 0 3 211.305 2.910 20 0 BFADHN Cc1ncncc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001238170234 966726978 /nfs/dbraw/zinc/72/69/78/966726978.db2.gz JTZNZDODLBNRCS-HIFRSBDPSA-N 0 3 245.370 2.940 20 0 BFADHN Cc1ccccc1CN1CC2(CSC2)C1 ZINC001203708140 966744127 /nfs/dbraw/zinc/74/41/27/966744127.db2.gz FWQJKBAMNMKHBO-UHFFFAOYSA-N 0 3 219.353 2.544 20 0 BFADHN Cc1cc(C)c(CN2CCCC23COC3)c(C)c1 ZINC001203745572 966766034 /nfs/dbraw/zinc/76/60/34/966766034.db2.gz XMCRTXJVIWMMFK-UHFFFAOYSA-N 0 3 245.366 2.977 20 0 BFADHN Cc1ccc(C)c(CN2CCCC23COC3)c1 ZINC001203749658 966766448 /nfs/dbraw/zinc/76/64/48/966766448.db2.gz PZAZKQBSQMYWSC-UHFFFAOYSA-N 0 3 231.339 2.668 20 0 BFADHN COC[C@@H]1CCN1Cc1cc(C)c(OC)cc1C ZINC001203755753 966771072 /nfs/dbraw/zinc/77/10/72/966771072.db2.gz RPGZCNFVQJKBMK-AWEZNQCLSA-N 0 3 249.354 2.533 20 0 BFADHN COc1cc(CN2CCC[C@H]3C[C@H]32)cc(OC)c1 ZINC001203858435 966798454 /nfs/dbraw/zinc/79/84/54/966798454.db2.gz KKAZDBGYVXAIQU-SWLSCSKDSA-N 0 3 247.338 2.688 20 0 BFADHN c1cc(CN2CC[C@]3(CCCO3)C2)cs1 ZINC001204034774 966860828 /nfs/dbraw/zinc/86/08/28/966860828.db2.gz NUXFXZDTYRBYCB-GFCCVEGCSA-N 0 3 223.341 2.503 20 0 BFADHN CCCO[C@@H]1CCN(Cc2ccsc2)C1 ZINC001204039274 966863975 /nfs/dbraw/zinc/86/39/75/966863975.db2.gz UDILYWWUANCMPT-GFCCVEGCSA-N 0 3 225.357 2.749 20 0 BFADHN c1[nH]c2ccccc2c1CN1CC2(CSC2)C1 ZINC001204046102 966869045 /nfs/dbraw/zinc/86/90/45/966869045.db2.gz MQLJNLHJUXYRSS-UHFFFAOYSA-N 0 3 244.363 2.717 20 0 BFADHN CCOc1cc(CN2CCC3(CC3)C2)ccc1O ZINC001204128698 966896705 /nfs/dbraw/zinc/89/67/05/966896705.db2.gz RIMCYGCIASLOLL-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN CC(C)c1ccc(CN2CC(N(C)C)C2)cc1 ZINC001204164878 966906271 /nfs/dbraw/zinc/90/62/71/966906271.db2.gz DTXJVBUUCVXZKZ-UHFFFAOYSA-N 0 3 232.371 2.556 20 0 BFADHN CCCC1CCN(Cc2ccc(CO)o2)CC1 ZINC000169065079 966941576 /nfs/dbraw/zinc/94/15/76/966941576.db2.gz LFIFGPBKPGJART-UHFFFAOYSA-N 0 3 237.343 2.784 20 0 BFADHN CC(C)Oc1ccc(CN2CC=CC2)cc1 ZINC001204453396 966999662 /nfs/dbraw/zinc/99/96/62/966999662.db2.gz XOFOGEAOFFBLDH-UHFFFAOYSA-N 0 3 217.312 2.846 20 0 BFADHN COC1CCN(Cc2cccc(F)c2C)CC1 ZINC001204507402 967011050 /nfs/dbraw/zinc/01/10/50/967011050.db2.gz XJBGCMAHYRCELI-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cc(CN2CCCOC(C)(C)C2)oc1C ZINC001204531876 967029583 /nfs/dbraw/zinc/02/95/83/967029583.db2.gz AUOSCENVTGHDFQ-UHFFFAOYSA-N 0 3 237.343 2.897 20 0 BFADHN Cc1cc(CN(C)CCc2ccncc2)oc1C ZINC001204535299 967036989 /nfs/dbraw/zinc/03/69/89/967036989.db2.gz PXZXOGSWKUYODE-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN Nc1ncc(Nc2ccnc(C3CC3)c2)cc1F ZINC001249760246 967046610 /nfs/dbraw/zinc/04/66/10/967046610.db2.gz KWVZUUJDQKMESY-UHFFFAOYSA-N 0 3 244.273 2.819 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C)C2)nc1C ZINC001249888744 967131385 /nfs/dbraw/zinc/13/13/85/967131385.db2.gz IQAZXWFUUUQECH-LLVKDONJSA-N 0 3 234.343 2.631 20 0 BFADHN COc1ccc(CN2CCCCCC2)nc1C ZINC001249890741 967132994 /nfs/dbraw/zinc/13/29/94/967132994.db2.gz AUFQUIHPXLUTCD-UHFFFAOYSA-N 0 3 234.343 2.775 20 0 BFADHN COc1ccc(CN2C3CCC2CC3)nc1C ZINC001249908589 967145435 /nfs/dbraw/zinc/14/54/35/967145435.db2.gz AIEGKELAPIPHBQ-UHFFFAOYSA-N 0 3 232.327 2.525 20 0 BFADHN CCCN(C)Cc1cnc(Cl)c(OC)c1 ZINC001249912494 967150364 /nfs/dbraw/zinc/15/03/64/967150364.db2.gz KNRASWFLDDVGEX-UHFFFAOYSA-N 0 3 228.723 2.585 20 0 BFADHN Cc1c(C)c(-c2nccc(N)c2C)ccc1CO ZINC001204944189 967243741 /nfs/dbraw/zinc/24/37/41/967243741.db2.gz PPZJQVXQWNEUKZ-UHFFFAOYSA-N 0 3 242.322 2.748 20 0 BFADHN CCOc1cc(CN2CCC[C@@H](C)C2)ccn1 ZINC001249997891 967248286 /nfs/dbraw/zinc/24/82/86/967248286.db2.gz DJTBZHVJIYPQGM-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CCOc1cc(CN2CCCCC23CC3)ccn1 ZINC001250015029 967272936 /nfs/dbraw/zinc/27/29/36/967272936.db2.gz ZGHMRWNPIFHQEO-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN C[C@H](Nc1ccc(CN(C)C)cn1)C(C)(C)C ZINC001168683958 967306727 /nfs/dbraw/zinc/30/67/27/967306727.db2.gz YFDGJFMZJVMRTA-NSHDSACASA-N 0 3 235.375 2.990 20 0 BFADHN Cc1cc(Nc2ccncc2C)c(C)cc1CO ZINC001250057257 967316902 /nfs/dbraw/zinc/31/69/02/967316902.db2.gz YVVHELCVUJSINB-UHFFFAOYSA-N 0 3 242.322 2.665 20 0 BFADHN Cc1ncc(Nc2cc(C)c(CO)cc2C)n1C ZINC001250061498 967324576 /nfs/dbraw/zinc/32/45/76/967324576.db2.gz PBEIVCXJLZWTQH-UHFFFAOYSA-N 0 3 245.326 2.581 20 0 BFADHN COc1cc(F)c(C)cc1CN1CC2CC(C2)C1 ZINC001250113633 967400379 /nfs/dbraw/zinc/40/03/79/967400379.db2.gz QSXSBEUKIIEABQ-UHFFFAOYSA-N 0 3 249.329 2.985 20 0 BFADHN Cc1cc(CN2CCC[C@]3(CCO3)C2)ccc1F ZINC001205193054 967423753 /nfs/dbraw/zinc/42/37/53/967423753.db2.gz NIBDHAIZDIKYPW-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1cc(CN2CC3CC(C3)C2)ccc1F ZINC001205198127 967424930 /nfs/dbraw/zinc/42/49/30/967424930.db2.gz GMIYGFYDPFMTEV-UHFFFAOYSA-N 0 3 219.303 2.976 20 0 BFADHN Cc1nc(Cl)ccc1Nc1nccn1C ZINC001250150333 967440041 /nfs/dbraw/zinc/44/00/41/967440041.db2.gz DNQQDMFABDEVCO-UHFFFAOYSA-N 0 3 222.679 2.521 20 0 BFADHN CCc1ccc2c(c1)[C@H](N[C@@H](C)COC)CCO2 ZINC001205276299 967472164 /nfs/dbraw/zinc/47/21/64/967472164.db2.gz GTMPKPKQMKELFH-SMDDNHRTSA-N 0 3 249.354 2.697 20 0 BFADHN Fc1ccc(CCN2CCCCC2)c(F)c1 ZINC001250239180 967548125 /nfs/dbraw/zinc/54/81/25/967548125.db2.gz TYXBNUDUZGFIPQ-UHFFFAOYSA-N 0 3 225.282 2.993 20 0 BFADHN Cc1cnc(F)c(CCN2CCCCC2)c1 ZINC001250241190 967550874 /nfs/dbraw/zinc/55/08/74/967550874.db2.gz DPHWKFGQFLMPJF-UHFFFAOYSA-N 0 3 222.307 2.558 20 0 BFADHN CCCN(C)Cc1ccc(C(F)(F)F)nc1 ZINC001205359318 967583085 /nfs/dbraw/zinc/58/30/85/967583085.db2.gz RGNZBMIVDSVPMZ-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN CC1CN([C@H]2Cc3cccc(Cl)c3C2)C1 ZINC001168714370 967604147 /nfs/dbraw/zinc/60/41/47/967604147.db2.gz BJROQQRILIGGRJ-NSHDSACASA-N 0 3 221.731 2.759 20 0 BFADHN CCn1cc(CN2CCC[C@@](C)(F)CC2)cn1 ZINC001205513734 967660697 /nfs/dbraw/zinc/66/06/97/967660697.db2.gz NXUVRLAGYXMSLV-CYBMUJFWSA-N 0 3 239.338 2.617 20 0 BFADHN c1nc(CN(CC2CC2)C2CCC2)cn1C1CC1 ZINC001205527439 967668019 /nfs/dbraw/zinc/66/80/19/967668019.db2.gz WSCSUZPLBSMCKE-UHFFFAOYSA-N 0 3 245.370 2.983 20 0 BFADHN Cc1ccc(F)c(CN2CCCC3(COC3)C2)c1 ZINC001205617178 967726691 /nfs/dbraw/zinc/72/66/91/967726691.db2.gz PXUAOFYXAVTODH-UHFFFAOYSA-N 0 3 249.329 2.747 20 0 BFADHN c1nocc1CN1CCCC2(CCCC2)C1 ZINC001205677822 967772258 /nfs/dbraw/zinc/77/22/58/967772258.db2.gz OQJIBKWJDDZVDP-UHFFFAOYSA-N 0 3 220.316 2.831 20 0 BFADHN Cc1cccnc1CN1CCC[C@H](C(F)F)C1 ZINC001205799656 967822791 /nfs/dbraw/zinc/82/27/91/967822791.db2.gz DDCKKHAPLALSCG-NSHDSACASA-N 0 3 240.297 2.867 20 0 BFADHN COCC(C)(C)N(C)Cc1ccc(C)cc1F ZINC001206055444 968026590 /nfs/dbraw/zinc/02/65/90/968026590.db2.gz KKJNPWDIUPJJCS-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN C[C@@H]1COC[C@@H]1NCc1ccc(F)cc1Cl ZINC000699752074 968097346 /nfs/dbraw/zinc/09/73/46/968097346.db2.gz CRDXZANNOGCFJB-PELKAZGASA-N 0 3 243.709 2.604 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@H]3C[C@@H]32)s1 ZINC001206185235 968114257 /nfs/dbraw/zinc/11/42/57/968114257.db2.gz HJJOMNMQIIRWAM-MNOVXSKESA-N 0 3 222.357 2.744 20 0 BFADHN Cc1c2[nH]c(-c3ncccc3O)nc2ccc1F ZINC001250695535 968196691 /nfs/dbraw/zinc/19/66/91/968196691.db2.gz CWAINXGKQGNTSM-UHFFFAOYSA-N 0 3 243.241 2.778 20 0 BFADHN COc1cnccc1CN1CCC12CCCC2 ZINC001206447364 968310721 /nfs/dbraw/zinc/31/07/21/968310721.db2.gz OTZXKXSPMURLJW-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN CCC1CCN(Cc2ccncc2OC)CC1 ZINC001206446684 968313132 /nfs/dbraw/zinc/31/31/32/968313132.db2.gz KZSGYTZSUXAVKB-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cnccc1CN1CC[C@@H](C)C[C@H]1C ZINC001206473524 968331286 /nfs/dbraw/zinc/33/12/86/968331286.db2.gz QXLVOQRBUIAVLS-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cnccc1CN(C)C[C@H]1CC1(C)C ZINC001206480432 968335138 /nfs/dbraw/zinc/33/51/38/968335138.db2.gz WXKXRXGQTHCZOM-GFCCVEGCSA-N 0 3 234.343 2.568 20 0 BFADHN CC[C@@H](O)CN[C@@H](C)c1ccc(C)c(F)c1F ZINC001251813865 968349383 /nfs/dbraw/zinc/34/93/83/968349383.db2.gz BQWCEVFKOKXEET-VHSXEESVSA-N 0 3 243.297 2.695 20 0 BFADHN Cc1cnc(-c2cc3n[nH]cc3c(F)c2)cc1N ZINC001206557629 968379102 /nfs/dbraw/zinc/37/91/02/968379102.db2.gz ORTDZNBZZAFYDG-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN Fc1cnccc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001206784645 968468923 /nfs/dbraw/zinc/46/89/23/968468923.db2.gz VOXNDUHKHKRKHW-NEPJUHHUSA-N 0 3 234.318 2.843 20 0 BFADHN CCC(CC)N(C)Cc1ccncc1F ZINC001206788587 968472509 /nfs/dbraw/zinc/47/25/09/968472509.db2.gz BFZURRQHHHJOSN-UHFFFAOYSA-N 0 3 210.296 2.841 20 0 BFADHN COc1cncc(CN2CC[C@@H]3CCC[C@@H]3C2)c1 ZINC001206993484 968532898 /nfs/dbraw/zinc/53/28/98/968532898.db2.gz GFRWFUYWPUOBIP-UONOGXRCSA-N 0 3 246.354 2.712 20 0 BFADHN CN1CC[C@@H](Oc2c(O)ccc3ccccc32)C1 ZINC001231226835 968541964 /nfs/dbraw/zinc/54/19/64/968541964.db2.gz GJJWDQZFIWEADI-GFCCVEGCSA-N 0 3 243.306 2.628 20 0 BFADHN Fc1cncc(CN2CC[C@H]3CCC[C@@H]3C2)c1 ZINC001207018374 968552758 /nfs/dbraw/zinc/55/27/58/968552758.db2.gz LNVGSCODDMBMFE-CHWSQXEVSA-N 0 3 234.318 2.843 20 0 BFADHN Fc1ccc(CN2CC[C@H]3CCC[C@H]3C2)nc1 ZINC001207038833 968568135 /nfs/dbraw/zinc/56/81/35/968568135.db2.gz UBKHDBDYUKVYRA-NEPJUHHUSA-N 0 3 234.318 2.843 20 0 BFADHN CCCC[C@@H](O)CNc1ccc2nc(C)[nH]c2c1 ZINC001252098600 968583386 /nfs/dbraw/zinc/58/33/86/968583386.db2.gz XNIHLKOAVLMDSX-GFCCVEGCSA-N 0 3 247.342 2.834 20 0 BFADHN C[C@@]1(F)CCCN(Cc2cccc(=O)[nH]2)CC1 ZINC001207064861 968597789 /nfs/dbraw/zinc/59/77/89/968597789.db2.gz FYGLQXJKICSKAR-CYBMUJFWSA-N 0 3 238.306 2.501 20 0 BFADHN CCc1nccc(CN2[C@@H](C)CCC[C@@H]2C)n1 ZINC001207082315 968615630 /nfs/dbraw/zinc/61/56/30/968615630.db2.gz JUHIWZPJHPQAJO-RYUDHWBXSA-N 0 3 233.359 2.802 20 0 BFADHN CCc1nccc(CN2CCC[C@@H](C)[C@@H]2C)n1 ZINC001207086643 968618335 /nfs/dbraw/zinc/61/83/35/968618335.db2.gz RCRSQALGEFXMIC-NEPJUHHUSA-N 0 3 233.359 2.659 20 0 BFADHN CCc1nccc(CN2CC[C@H](C)C[C@H]2C)n1 ZINC001207088297 968620950 /nfs/dbraw/zinc/62/09/50/968620950.db2.gz LZEQJTWFTLEKOA-NWDGAFQWSA-N 0 3 233.359 2.659 20 0 BFADHN CCc1nccc(CN2CCC[C@H](CC)C2)n1 ZINC001207088522 968622209 /nfs/dbraw/zinc/62/22/09/968622209.db2.gz OVCMCIPPSQYLDF-LBPRGKRZSA-N 0 3 233.359 2.661 20 0 BFADHN Cc1noc(C)c1CN(C)C1CC(C)C1 ZINC001207133116 968647292 /nfs/dbraw/zinc/64/72/92/968647292.db2.gz IFDAPYNHSZHUHF-UHFFFAOYSA-N 0 3 208.305 2.522 20 0 BFADHN CCCN(Cc1nccn1CC)[C@@H](C)CC ZINC001207140578 968653501 /nfs/dbraw/zinc/65/35/01/968653501.db2.gz YMEAJXXFQYREFO-LBPRGKRZSA-N 0 3 223.364 2.914 20 0 BFADHN Cc1ncccc1CN1CCC[C@@H](C(F)F)C1 ZINC001207151379 968663036 /nfs/dbraw/zinc/66/30/36/968663036.db2.gz SQFPBOAFMRSQRW-GFCCVEGCSA-N 0 3 240.297 2.867 20 0 BFADHN Cc1cncc(CN2CC[C@@]3(C2)CCCCO3)c1 ZINC001207155074 968666282 /nfs/dbraw/zinc/66/62/82/968666282.db2.gz JFNXIVKKAZBBGX-OAHLLOKOSA-N 0 3 246.354 2.535 20 0 BFADHN c1nc(CN2C[C@@H]3CC=CC[C@@H]3C2)cn1C1CC1 ZINC001207167646 968673964 /nfs/dbraw/zinc/67/39/64/968673964.db2.gz NWTIRKRDYAVKBT-BETUJISGSA-N 0 3 243.354 2.616 20 0 BFADHN CC(C)n1nccc1CN1CCC[C@@H](F)CC1 ZINC001207171219 968678637 /nfs/dbraw/zinc/67/86/37/968678637.db2.gz ZADGLZNYBZJSES-GFCCVEGCSA-N 0 3 239.338 2.788 20 0 BFADHN COc1ccc(CCN2C[C@H](F)C[C@H]2C)cc1 ZINC001207472494 968795237 /nfs/dbraw/zinc/79/52/37/968795237.db2.gz PZCZJBOVUBQEEU-DGCLKSJQSA-N 0 3 237.318 2.670 20 0 BFADHN Cc1cccc(CCN2C[C@H](F)C[C@H]2C)c1 ZINC001207533251 968815326 /nfs/dbraw/zinc/81/53/26/968815326.db2.gz VTNPIXOBNWIAIU-TZMCWYRMSA-N 0 3 221.319 2.970 20 0 BFADHN C1=C[C@@H]2C[C@H]1C[C@H]2CN1CCc2cnccc2C1 ZINC001207616351 968838258 /nfs/dbraw/zinc/83/82/58/968838258.db2.gz XVMHAIQHKDGDFS-ZENOOKHLSA-N 0 3 240.350 2.652 20 0 BFADHN C[C@@H](CN1CCCC(=O)CC1)c1ccccc1 ZINC001207904278 968924728 /nfs/dbraw/zinc/92/47/28/968924728.db2.gz WMYMJANYHGGGNA-ZDUSSCGKSA-N 0 3 231.339 2.845 20 0 BFADHN C[C@@H](CN1CCOC2(CC2)C1)c1ccccc1 ZINC001207913215 968928201 /nfs/dbraw/zinc/92/82/01/968928201.db2.gz HISOOQDVMCHLNS-ZDUSSCGKSA-N 0 3 231.339 2.655 20 0 BFADHN Cc1csc2nc(C3=CCN(C)CC3)ncc12 ZINC001241308081 968970531 /nfs/dbraw/zinc/97/05/31/968970531.db2.gz GOGPJSLZASQDCE-UHFFFAOYSA-N 0 3 245.351 2.719 20 0 BFADHN COC(=O)c1cccc(C)c1C1=CCN(C)CC1 ZINC001241321717 968976700 /nfs/dbraw/zinc/97/67/00/968976700.db2.gz AZAGLFOFIJGIQL-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN COc1ccncc1CN(C(C)C)C(C)C ZINC000287880714 968976887 /nfs/dbraw/zinc/97/68/87/968976887.db2.gz SUDQTWLAMSWHDX-UHFFFAOYSA-N 0 3 222.332 2.709 20 0 BFADHN FCCN1CCN(CCCC2CCCC2)CC1 ZINC001208091453 968994899 /nfs/dbraw/zinc/99/48/99/968994899.db2.gz GJSZFUFXRRARGH-UHFFFAOYSA-N 0 3 242.382 2.544 20 0 BFADHN CC/C=C\CCCN(C)Cc1cncnc1 ZINC001208140795 969059864 /nfs/dbraw/zinc/05/98/64/969059864.db2.gz VYHYHEWFUISOON-PLNGDYQASA-N 0 3 219.332 2.655 20 0 BFADHN CN1CCC=C(c2cncc3ccccc32)C1 ZINC001241569521 969060007 /nfs/dbraw/zinc/06/00/07/969060007.db2.gz DRMCJCMSKMHGNW-UHFFFAOYSA-N 0 3 224.307 2.954 20 0 BFADHN CN1CCC=C(c2cccc3ocnc32)C1 ZINC001241570719 969061614 /nfs/dbraw/zinc/06/16/14/969061614.db2.gz OMZLHECUUHRQDA-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN CS[C@H](C)CCN1CCCC[C@H]1C(C)=O ZINC001208156045 969067010 /nfs/dbraw/zinc/06/70/10/969067010.db2.gz VHLGOZUCDZWXNS-PWSUYJOCSA-N 0 3 229.389 2.572 20 0 BFADHN CN1CCC=C(c2cncc(C3CC3)c2)C1 ZINC001241571170 969067958 /nfs/dbraw/zinc/06/79/58/969067958.db2.gz UYTNNFRYJQAIJU-UHFFFAOYSA-N 0 3 214.312 2.678 20 0 BFADHN COC1(C)CN(C[C@@H]2CCC(C)=C[C@@H]2C)C1 ZINC001208159905 969091039 /nfs/dbraw/zinc/09/10/39/969091039.db2.gz HUMMYSBSXRTTTE-STQMWFEESA-N 0 3 223.360 2.700 20 0 BFADHN COC[C@@H]1CCN1CC[C@@H](C)c1ccc(C)o1 ZINC001208165055 969096541 /nfs/dbraw/zinc/09/65/41/969096541.db2.gz QXATWDBQICYTEJ-YPMHNXCESA-N 0 3 237.343 2.802 20 0 BFADHN CC/C=C\CCCCCN1CC[C@](F)(CO)C1 ZINC001208188659 969116715 /nfs/dbraw/zinc/11/67/15/969116715.db2.gz MQSUGMXHVYZLFB-VQTKUKTRSA-N 0 3 243.366 2.919 20 0 BFADHN NCc1cc(-c2ccc3scnc3c2)ccn1 ZINC001241868560 969126932 /nfs/dbraw/zinc/12/69/32/969126932.db2.gz ULWJAGFOCNGUJY-UHFFFAOYSA-N 0 3 241.319 2.817 20 0 BFADHN COc1cc(Cl)ccc1-c1ccc(CN)nc1 ZINC001241897042 969136195 /nfs/dbraw/zinc/13/61/95/969136195.db2.gz DUQJILIJBPQISM-UHFFFAOYSA-N 0 3 248.713 2.869 20 0 BFADHN CC/C=C/NC[C@@H](O)c1ccc(F)c(F)c1 ZINC001253381059 969144455 /nfs/dbraw/zinc/14/44/55/969144455.db2.gz UBKBUKSEYLCBPZ-MJRJWQSSSA-N 0 3 227.254 2.512 20 0 BFADHN C[C@@]1(F)CCN(CCC2CC2)C[C@@H]1F ZINC001208678648 969193219 /nfs/dbraw/zinc/19/32/19/969193219.db2.gz FPGXFGZGSCTNML-WDEREUQCSA-N 0 3 203.276 2.559 20 0 BFADHN COc1ccc(CCN2CC(C)(C)C2)cc1OC ZINC001208749973 969233763 /nfs/dbraw/zinc/23/37/63/969233763.db2.gz BOAPOAYQICXPIW-UHFFFAOYSA-N 0 3 249.354 2.588 20 0 BFADHN CN1CC=C(Nc2cnccc2C2CCC2)CC1 ZINC001208801951 969244108 /nfs/dbraw/zinc/24/41/08/969244108.db2.gz DYXAJNCVVLVCMQ-UHFFFAOYSA-N 0 3 243.354 2.980 20 0 BFADHN CN1CC=C(Nc2cccc3c2CCC3)CC1 ZINC001208839265 969251708 /nfs/dbraw/zinc/25/17/08/969251708.db2.gz ZILLHEXCGZWVBZ-UHFFFAOYSA-N 0 3 228.339 2.807 20 0 BFADHN CN1CC=C(Nc2ccc3scnc3c2)CC1 ZINC001208845654 969253517 /nfs/dbraw/zinc/25/35/17/969253517.db2.gz ZGZFZRGTXBASJT-UHFFFAOYSA-N 0 3 245.351 2.928 20 0 BFADHN CCOC1CCN(C[C@H](C)C(F)(F)F)CC1 ZINC001208892532 969280553 /nfs/dbraw/zinc/28/05/53/969280553.db2.gz DSGJNVSRZJSDDP-VIFPVBQESA-N 0 3 239.281 2.686 20 0 BFADHN CCCO[C@@H]1CCN(C[C@H](C)C(F)(F)F)C1 ZINC001208893447 969283788 /nfs/dbraw/zinc/28/37/88/969283788.db2.gz JQGCEUSUAQUTDP-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN Cn1ccc2c1cccc2NC1=CCN(C)CC1 ZINC001208909592 969296788 /nfs/dbraw/zinc/29/67/88/969296788.db2.gz DGEYKFBIGJBBHO-UHFFFAOYSA-N 0 3 241.338 2.810 20 0 BFADHN CC1(CN2C[C@H]3CC[C@@H]2CO3)CCCCC1 ZINC001208908605 969297153 /nfs/dbraw/zinc/29/71/53/969297153.db2.gz VETWDRKRGGMTQE-CHWSQXEVSA-N 0 3 223.360 2.820 20 0 BFADHN COc1cc(C)c(NC2=CCN(C)CC2)cc1C ZINC001208979658 969316986 /nfs/dbraw/zinc/31/69/86/969316986.db2.gz MJMGPZGQIPYZFI-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN COC1CN([C@@H](Cc2ccccc2)CC(C)C)C1 ZINC001169068917 969380079 /nfs/dbraw/zinc/38/00/79/969380079.db2.gz AOBGTTJIXKPYNQ-OAHLLOKOSA-N 0 3 247.382 2.974 20 0 BFADHN CC/C=C\CCCCCCN(C)CC(=O)NC ZINC001209286581 969422711 /nfs/dbraw/zinc/42/27/11/969422711.db2.gz HPYDJYFDQQHYBX-WAYWQWQTSA-N 0 3 240.391 2.581 20 0 BFADHN Cc1cc(CN2CCC[C@H](C(C)C)CC2)[nH]n1 ZINC001254010709 969444930 /nfs/dbraw/zinc/44/49/30/969444930.db2.gz KTCOTUHWZBBIQE-ZDUSSCGKSA-N 0 3 235.375 2.976 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCOC[C@@H]1c1ccccc1 ZINC001209418681 969482293 /nfs/dbraw/zinc/48/22/93/969482293.db2.gz RWBRQNQRAYNJAN-NWANDNLSSA-N 0 3 231.339 2.716 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCOC[C@H]1Cc1ccccc1 ZINC001209432218 969501332 /nfs/dbraw/zinc/50/13/32/969501332.db2.gz PVCKUDSETSDGEL-NUEKZKHPSA-N 0 3 245.366 2.586 20 0 BFADHN C=Cc1cccc(-n2ccc([C@@H](C)N)n2)c1 ZINC001169230717 969501390 /nfs/dbraw/zinc/50/13/90/969501390.db2.gz AQEMBJWXNTXADF-SNVBAGLBSA-N 0 3 213.284 2.535 20 0 BFADHN CC(C)Oc1ccc(-n2ccc([C@H](C)N)n2)cc1 ZINC001169231222 969504283 /nfs/dbraw/zinc/50/42/83/969504283.db2.gz GGARXJBRJMBFQV-NSHDSACASA-N 0 3 245.326 2.679 20 0 BFADHN COCC1CN(Cc2c[nH]c3c2cccc3C)C1 ZINC001209481531 969522410 /nfs/dbraw/zinc/52/24/10/969522410.db2.gz AWIKHYADARVGQH-UHFFFAOYSA-N 0 3 244.338 2.555 20 0 BFADHN CCCCN(C)Cc1cc2c(cn1)OCCC2 ZINC001209499912 969528690 /nfs/dbraw/zinc/52/86/90/969528690.db2.gz NOYTVUDOAHCQME-UHFFFAOYSA-N 0 3 234.343 2.639 20 0 BFADHN FC1(F)CCC(N2CCCCC23COC3)CC1 ZINC001254224240 969546195 /nfs/dbraw/zinc/54/61/95/969546195.db2.gz UADLXKQDIPCUNR-UHFFFAOYSA-N 0 3 245.313 2.819 20 0 BFADHN Cc1ccc(CN2CCCC(F)(F)CC2)[nH]1 ZINC001209565921 969547874 /nfs/dbraw/zinc/54/78/74/969547874.db2.gz DWKKGZKJFXKZJW-UHFFFAOYSA-N 0 3 228.286 2.944 20 0 BFADHN C[C@@H]1CN(C2CCC(F)(F)CC2)[C@@H](C)CO1 ZINC001254225615 969548185 /nfs/dbraw/zinc/54/81/85/969548185.db2.gz ADTAUTAEGMKLCL-VHSXEESVSA-N 0 3 233.302 2.674 20 0 BFADHN Cc1ccc(CN2C[C@H]3[C@H](CCC3(F)F)C2)[nH]1 ZINC001209570720 969553431 /nfs/dbraw/zinc/55/34/31/969553431.db2.gz SWWPPYNKJVBDDO-PWSUYJOCSA-N 0 3 240.297 2.800 20 0 BFADHN CCOCC1CCN(Cc2ccc(C)[nH]2)CC1 ZINC001209574516 969556065 /nfs/dbraw/zinc/55/60/65/969556065.db2.gz OSXCOHDFOIVBPG-UHFFFAOYSA-N 0 3 236.359 2.572 20 0 BFADHN Cn1nc(CN2CCC3(CC3)C2)c2ccccc21 ZINC001209579675 969563254 /nfs/dbraw/zinc/56/32/54/969563254.db2.gz PSCZCUYCZJZGMQ-UHFFFAOYSA-N 0 3 241.338 2.559 20 0 BFADHN Cc1[nH]c2ncccc2c1CN1C[C@H](F)C[C@H]1C ZINC001209587400 969565653 /nfs/dbraw/zinc/56/56/53/969565653.db2.gz SBYQGNKSHUVNGM-MWLCHTKSSA-N 0 3 247.317 2.804 20 0 BFADHN CCN(Cc1ccccc1)Cc1cnccc1N ZINC001209728750 969582832 /nfs/dbraw/zinc/58/28/32/969582832.db2.gz UUAJUSJQNTWGHT-UHFFFAOYSA-N 0 3 241.338 2.516 20 0 BFADHN Fc1ccccc1C1(N[C@H]2CCCOC2)CCC1 ZINC001254371669 969585161 /nfs/dbraw/zinc/58/51/61/969585161.db2.gz MKRWRJPREHYQGS-LBPRGKRZSA-N 0 3 249.329 2.974 20 0 BFADHN C[C@@H](N)c1nccn1C1CCC2(CC2)CC1 ZINC001254432624 969618861 /nfs/dbraw/zinc/61/88/61/969618861.db2.gz PFBJFAXLQLISDH-SNVBAGLBSA-N 0 3 219.332 2.798 20 0 BFADHN c1cnnc(C2(NC3CCC4(CC4)CC3)CC2)c1 ZINC001254434946 969625725 /nfs/dbraw/zinc/62/57/25/969625725.db2.gz YPQGVZNPRUHKFM-UHFFFAOYSA-N 0 3 243.354 2.778 20 0 BFADHN CCCCC(=O)[C@H](C)Nc1ccc([C@H](C)N)nc1 ZINC001169553552 969742973 /nfs/dbraw/zinc/74/29/73/969742973.db2.gz UDBOARBYZNBEJR-QWRGUYRKSA-N 0 3 249.358 2.661 20 0 BFADHN Cc1cc2[nH]ncc2cc1Nc1ncccc1N ZINC001210100188 969798105 /nfs/dbraw/zinc/79/81/05/969798105.db2.gz ISUUVHZOYJIFNE-UHFFFAOYSA-N 0 3 239.282 2.592 20 0 BFADHN Cc1ncc(Nc2cccc3cc[nH]c32)n1C ZINC001210213518 969834060 /nfs/dbraw/zinc/83/40/60/969834060.db2.gz PSGPRBOMQGWSNE-UHFFFAOYSA-N 0 3 226.283 2.953 20 0 BFADHN Cc1cc(Nc2ccncc2C)c(C)o1 ZINC001210278201 969845850 /nfs/dbraw/zinc/84/58/50/969845850.db2.gz GOMFQBUMRFXMJK-UHFFFAOYSA-N 0 3 202.257 2.765 20 0 BFADHN C[C@@H](CN1CCOC[C@H]1C)CC(C)(C)C ZINC001169790546 969860943 /nfs/dbraw/zinc/86/09/43/969860943.db2.gz ACUMIHALOPWXGO-VXGBXAGGSA-N 0 3 213.365 2.779 20 0 BFADHN Cc1ncc(Nc2ccc3ocnc3c2)n1C ZINC001210573158 969948910 /nfs/dbraw/zinc/94/89/10/969948910.db2.gz LCFUMWAQONGRQX-UHFFFAOYSA-N 0 3 228.255 2.613 20 0 BFADHN Cc1oncc1Nc1ccc2c(c1)CN(C)CC2 ZINC001210586739 969962630 /nfs/dbraw/zinc/96/26/30/969962630.db2.gz YRGMKYUUVFWFGE-UHFFFAOYSA-N 0 3 243.310 2.715 20 0 BFADHN C1CC1N1CCC(c2nnc(C3CCC3)o2)CC1 ZINC001255214348 970007228 /nfs/dbraw/zinc/00/72/28/970007228.db2.gz PZOGRCLWKIWHNF-UHFFFAOYSA-N 0 3 247.342 2.679 20 0 BFADHN C[C@@H](N)c1nc2ccccc2n1[C@H]1CCSC1 ZINC001255267229 970017244 /nfs/dbraw/zinc/01/72/44/970017244.db2.gz BSNPKRIIPZETLU-ZJUUUORDSA-N 0 3 247.367 2.734 20 0 BFADHN Cc1noc([C@@H]2CCCN2[C@@H]2CC[C@H](C)C2)n1 ZINC000339196548 970024269 /nfs/dbraw/zinc/02/42/69/970024269.db2.gz AORNHCKDCLAGTC-WCQGTBRESA-N 0 3 235.331 2.704 20 0 BFADHN CC1(C)CCC(n2ncc3c2CCNC3)CC1 ZINC001255302508 970068368 /nfs/dbraw/zinc/06/83/68/970068368.db2.gz GOZPGZGYLTZUCE-UHFFFAOYSA-N 0 3 233.359 2.670 20 0 BFADHN CC(C)CCC[C@H](C)N1CC[C@](F)(CO)C1 ZINC001170077273 970176754 /nfs/dbraw/zinc/17/67/54/970176754.db2.gz HXVWLUARQGGQEF-QWHCGFSZSA-N 0 3 231.355 2.608 20 0 BFADHN CC(C)CCC[C@H](C)N1CCN(CCF)CC1 ZINC001170087877 970217605 /nfs/dbraw/zinc/21/76/05/970217605.db2.gz MSYALOMQPYLOBS-AWEZNQCLSA-N 0 3 244.398 2.788 20 0 BFADHN C[C@@H]1CC[C@H](NCC(=O)c2ccc(F)cc2)C1 ZINC001170098210 970267590 /nfs/dbraw/zinc/26/75/90/970267590.db2.gz ORYBVMPYIKVHBM-MFKMUULPSA-N 0 3 235.302 2.787 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CC[C@@H](C)C2)n1 ZINC001170102487 970299832 /nfs/dbraw/zinc/29/98/32/970299832.db2.gz UKBKBMCMZJRPJO-MWLCHTKSSA-N 0 3 222.307 2.807 20 0 BFADHN Cc1cccc(C2(N[C@H]3CC[C@@H](C)C3)COC2)c1 ZINC001170104919 970302665 /nfs/dbraw/zinc/30/26/65/970302665.db2.gz OFNFSZZLOOEZDO-HIFRSBDPSA-N 0 3 245.366 2.999 20 0 BFADHN C[C@@H]1CC[C@H](n2nc(CN)c3ccccc32)C1 ZINC001170106119 970303441 /nfs/dbraw/zinc/30/34/41/970303441.db2.gz UKPQGQINOMKRQO-MNOVXSKESA-N 0 3 229.327 2.856 20 0 BFADHN C[C@@H](N[C@H]1CC[C@H](C)C1)c1nccs1 ZINC001170118975 970339428 /nfs/dbraw/zinc/33/94/28/970339428.db2.gz VKPMKXNGZOMBTN-AEJSXWLSSA-N 0 3 210.346 2.982 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1ccc(C)c(F)c1F ZINC001255562701 970349693 /nfs/dbraw/zinc/34/96/93/970349693.db2.gz FUKCGNGCZPEAMC-ZJUUUORDSA-N 0 3 243.297 2.959 20 0 BFADHN NCc1cncn1[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC001255687204 970458112 /nfs/dbraw/zinc/45/81/12/970458112.db2.gz YLVSPPFFFNAGAA-RKDXNWHRSA-N 0 3 247.264 2.635 20 0 BFADHN Cc1ccc(C[C@@H](C)N2CCO[C@@H](C)C2)cc1 ZINC001255788232 970491568 /nfs/dbraw/zinc/49/15/68/970491568.db2.gz UGLBNGPJNMALSA-KGLIPLIRSA-N 0 3 233.355 2.647 20 0 BFADHN Cn1ccnc1Nc1ccc(Cl)cc1O ZINC001214399864 970494528 /nfs/dbraw/zinc/49/45/28/970494528.db2.gz CWSKNAHHVFYXDP-UHFFFAOYSA-N 0 3 223.663 2.523 20 0 BFADHN Cc1ccc(C[C@H](C)N2CCCC23COC3)cc1 ZINC001255792082 970494663 /nfs/dbraw/zinc/49/46/63/970494663.db2.gz JKCZOZQLUAGTNT-AWEZNQCLSA-N 0 3 245.366 2.791 20 0 BFADHN Cc1ccc(C[C@H](C)N(C)C2(C)COC2)cc1 ZINC001255793440 970498298 /nfs/dbraw/zinc/49/82/98/970498298.db2.gz NAEMLFDBOXLFAC-ZDUSSCGKSA-N 0 3 233.355 2.647 20 0 BFADHN C[C@H](Cc1ccccc1F)N[C@@H]1CCCCC1=O ZINC001255833812 970507899 /nfs/dbraw/zinc/50/78/99/970507899.db2.gz BXBYLABVMTVXRO-BXUZGUMPSA-N 0 3 249.329 2.858 20 0 BFADHN Cc1ccc(CN(C)Cc2cc[nH]c2)cc1 ZINC000179602233 970513169 /nfs/dbraw/zinc/51/31/69/970513169.db2.gz WTZLEUTUICQILL-UHFFFAOYSA-N 0 3 214.312 2.955 20 0 BFADHN C[C@H](Cc1ccccc1F)N1CC[C@@]12CCOC2 ZINC001255853217 970521887 /nfs/dbraw/zinc/52/18/87/970521887.db2.gz HSNHTWQIYXNBEI-DOMZBBRYSA-N 0 3 249.329 2.622 20 0 BFADHN CCOc1cc(C)ccc1-c1ccnc(CN)c1 ZINC001243403617 970539075 /nfs/dbraw/zinc/53/90/75/970539075.db2.gz GPSNSWLHFYJTLP-UHFFFAOYSA-N 0 3 242.322 2.914 20 0 BFADHN Cc1nc(CN2C[C@@H]3CCCC[C@H]3C2)co1 ZINC001206041725 970549553 /nfs/dbraw/zinc/54/95/53/970549553.db2.gz WYGJHAIEWLEGEL-RYUDHWBXSA-N 0 3 220.316 2.605 20 0 BFADHN CC[C@@H]1C[C@@H](NCOc2ccccc2)CCO1 ZINC001170178016 970552805 /nfs/dbraw/zinc/55/28/05/970552805.db2.gz MGPWTXHBDCBVKG-QWHCGFSZSA-N 0 3 235.327 2.570 20 0 BFADHN CC[C@H]1C[C@H](N(C)Cc2cncs2)CCO1 ZINC001170235798 970613970 /nfs/dbraw/zinc/61/39/70/970613970.db2.gz AJEKJLVOYQVKGT-MNOVXSKESA-N 0 3 240.372 2.533 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N(C)c1ccc(C)cc1 ZINC000400745548 970623127 /nfs/dbraw/zinc/62/31/27/970623127.db2.gz ANDMFJVNKLPKAG-FZMZJTMJSA-N 0 3 248.370 2.721 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)N(C)c1ccc(C)cc1 ZINC000400745550 970624371 /nfs/dbraw/zinc/62/43/71/970624371.db2.gz ANDMFJVNKLPKAG-RISCZKNCSA-N 0 3 248.370 2.721 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)N(CC)c1ccccc1 ZINC000400788227 970628420 /nfs/dbraw/zinc/62/84/20/970628420.db2.gz SEMNRSMOEKAOCB-TZMCWYRMSA-N 0 3 248.370 2.803 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)Nc1cccc(F)c1 ZINC000400859648 970637252 /nfs/dbraw/zinc/63/72/52/970637252.db2.gz HUXYQUJWRNYPPW-JOYOIKCWSA-N 0 3 238.306 2.528 20 0 BFADHN C[C@@H](Cc1ccccc1Cl)N1CCC1 ZINC001170265477 970644433 /nfs/dbraw/zinc/64/44/33/970644433.db2.gz GZMZPHWYNURJDF-JTQLQIEISA-N 0 3 209.720 2.977 20 0 BFADHN COc1ccc(NCC2CN(C)C2)cc1C(C)C ZINC001170258757 970647649 /nfs/dbraw/zinc/64/76/49/970647649.db2.gz MOGQXHKPSKGUGT-UHFFFAOYSA-N 0 3 248.370 2.792 20 0 BFADHN Cc1ccc(Cl)c(C)c1NCC1CN(C)C1 ZINC001170258437 970648476 /nfs/dbraw/zinc/64/84/76/970648476.db2.gz KKOINMBQKBOXTP-UHFFFAOYSA-N 0 3 238.762 2.930 20 0 BFADHN Cc1cc(NCC2CN(C)C2)cc(C)c1C ZINC001170253951 970664123 /nfs/dbraw/zinc/66/41/23/970664123.db2.gz GKHNLBSTJTYETD-UHFFFAOYSA-N 0 3 218.344 2.585 20 0 BFADHN CN1CC(CNc2cccc(C(F)(F)F)c2)C1 ZINC001170254457 970665194 /nfs/dbraw/zinc/66/51/94/970665194.db2.gz LNSVQKNWUDMDJA-UHFFFAOYSA-N 0 3 244.260 2.679 20 0 BFADHN Cc1ccc2cccc(NCC3CN(C)C3)c2n1 ZINC001170254171 970670706 /nfs/dbraw/zinc/67/07/06/970670706.db2.gz WPFYQRNCNZCUMM-UHFFFAOYSA-N 0 3 241.338 2.517 20 0 BFADHN Cc1ncc(Nc2ccc(CO)c(C)c2C)n1C ZINC001215240664 970683721 /nfs/dbraw/zinc/68/37/21/970683721.db2.gz JORMROQATRNQOS-UHFFFAOYSA-N 0 3 245.326 2.581 20 0 BFADHN Cc1cnccc1Nc1ccc(F)c2n[nH]cc21 ZINC001215366515 970719911 /nfs/dbraw/zinc/71/99/11/970719911.db2.gz UBPIRCUUHUJMEK-UHFFFAOYSA-N 0 3 242.257 2.571 20 0 BFADHN CC(C)CC[C@H](C)n1ccnc1[C@@H](C)N ZINC001256351474 970744543 /nfs/dbraw/zinc/74/45/43/970744543.db2.gz PPAFLRFXARCJOZ-WDEREUQCSA-N 0 3 209.337 2.900 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cc2ccsc2)nn1 ZINC000689946010 970748441 /nfs/dbraw/zinc/74/84/41/970748441.db2.gz JSUOCEYBZDMECG-NSHDSACASA-N 0 3 247.367 2.567 20 0 BFADHN CC(C)CC[C@H](C)NCc1cn(CCF)cn1 ZINC001256358104 970756051 /nfs/dbraw/zinc/75/60/51/970756051.db2.gz CGFGNUUAFXCLKW-LBPRGKRZSA-N 0 3 241.354 2.767 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CSCc3ccccc32)CO1 ZINC000402097059 970759087 /nfs/dbraw/zinc/75/90/87/970759087.db2.gz XLQORIBYFUDVAW-SCDSUCTJSA-N 0 3 249.379 2.742 20 0 BFADHN CC(=O)[C@@H]1CCCN(Cc2cccc(O)c2C)C1 ZINC001144118127 972591088 /nfs/dbraw/zinc/59/10/88/972591088.db2.gz PXBIWRWWFZAXQO-CQSZACIVSA-N 0 3 247.338 2.502 20 0 BFADHN CCC1(CC)CCCN(Cc2nnc[nH]2)CC1 ZINC001327523689 972613702 /nfs/dbraw/zinc/61/37/02/972613702.db2.gz CCZPAWJZPXXRCX-UHFFFAOYSA-N 0 3 236.363 2.597 20 0 BFADHN C[C@H]1CCC[N@H+](Cc2ccc([O-])cn2)C[C@H]1C ZINC001144253384 972713852 /nfs/dbraw/zinc/71/38/52/972713852.db2.gz VOEDJHAKJMLICF-NWDGAFQWSA-N 0 3 234.343 2.655 20 0 BFADHN C[C@H]1CCC[N@@H+](Cc2ccc([O-])cn2)C[C@H]1C ZINC001144253384 972713857 /nfs/dbraw/zinc/71/38/57/972713857.db2.gz VOEDJHAKJMLICF-NWDGAFQWSA-N 0 3 234.343 2.655 20 0 BFADHN CCc1cccc(CN2CC(N3CCCC3)C2)c1 ZINC001144323455 972759192 /nfs/dbraw/zinc/75/91/92/972759192.db2.gz FERUPNKNSGUZCP-UHFFFAOYSA-N 0 3 244.382 2.529 20 0 BFADHN CC1CN(Cc2c[nH]c3nc(Cl)ccc23)C1 ZINC001144406176 972802703 /nfs/dbraw/zinc/80/27/03/972802703.db2.gz VIAHOTDNBSSYNA-UHFFFAOYSA-N 0 3 235.718 2.668 20 0 BFADHN c1nc(CN2CCC23CCCC3)c2ccccn12 ZINC001144614922 972891551 /nfs/dbraw/zinc/89/15/51/972891551.db2.gz DAHBPILOTBWABY-UHFFFAOYSA-N 0 3 241.338 2.853 20 0 BFADHN C[C@@H]1CN(Cc2ncn3ccccc23)C2(CC2)C1 ZINC001144625631 972891942 /nfs/dbraw/zinc/89/19/42/972891942.db2.gz QYEGDYQXCBGLQA-LBPRGKRZSA-N 0 3 241.338 2.709 20 0 BFADHN CC[C@@H]1CCCN1Cc1ncn2ccccc12 ZINC001144623500 972896026 /nfs/dbraw/zinc/89/60/26/972896026.db2.gz XRXNNEFCNIUUGQ-GFCCVEGCSA-N 0 3 229.327 2.709 20 0 BFADHN Cc1cccc(C)c1N1CCCC2(CN(C)C2)C1 ZINC001276904343 973188920 /nfs/dbraw/zinc/18/89/20/973188920.db2.gz ZLIHBAFVPWJKNU-UHFFFAOYSA-N 0 3 244.382 2.835 20 0 BFADHN CCCCC(CCCC)N1CC[C@@H]1NC ZINC001246899622 973373223 /nfs/dbraw/zinc/37/32/23/973373223.db2.gz QAYNNIIWEOREPF-CYBMUJFWSA-N 0 3 212.381 2.987 20 0 BFADHN Cc1cnc(CN2C[C@@H]3CCCC[C@@]32C)cn1 ZINC001328900315 973389951 /nfs/dbraw/zinc/38/99/51/973389951.db2.gz DIMAUTPNAVHIAC-JSGCOSHPSA-N 0 3 231.343 2.550 20 0 BFADHN C[C@H](COC(=O)c1ccccc1)N1CCCCC1 ZINC000585667947 973934051 /nfs/dbraw/zinc/93/40/51/973934051.db2.gz YJKGUSRVOUHMAF-CYBMUJFWSA-N 0 3 247.338 2.718 20 0 BFADHN C[C@H]1CCN1[C@@H]1CCc2c(F)cc(F)cc2C1 ZINC001171366944 973966470 /nfs/dbraw/zinc/96/64/70/973966470.db2.gz QPODGSDSZMFMSC-JOYOIKCWSA-N 0 3 237.293 2.916 20 0 BFADHN CC(=O)c1ccc(CN2CC[C@H]3C[C@H]3C2)cc1 ZINC001329910802 974024224 /nfs/dbraw/zinc/02/42/24/974024224.db2.gz HQJPPRRJOGLULN-GJZGRUSLSA-N 0 3 229.323 2.731 20 0 BFADHN Fc1cc2c(cc1F)C[C@@H](N1CC=CC1)CC2 ZINC001171475720 974080194 /nfs/dbraw/zinc/08/01/94/974080194.db2.gz FWTJMFDAWVTASG-LBPRGKRZSA-N 0 3 235.277 2.694 20 0 BFADHN C[C@H]1CCN1[C@H]1CCc2cc(F)c(F)cc2C1 ZINC001171475696 974081408 /nfs/dbraw/zinc/08/14/08/974081408.db2.gz FRUARSMYCRBYPL-CABZTGNLSA-N 0 3 237.293 2.916 20 0 BFADHN Fc1cc2c(cc1F)C[C@H](N1CCC1)CC2 ZINC001171471684 974082232 /nfs/dbraw/zinc/08/22/32/974082232.db2.gz IVYADRQNUJGYRT-LLVKDONJSA-N 0 3 223.266 2.528 20 0 BFADHN C[C@@H]1CCN1[C@H]1CCc2cc(F)c(F)cc2C1 ZINC001171475700 974082639 /nfs/dbraw/zinc/08/26/39/974082639.db2.gz FRUARSMYCRBYPL-SKDRFNHKSA-N 0 3 237.293 2.916 20 0 BFADHN Cc1cccc2c1CC[C@H](N1CCOC[C@@H]1C)C2 ZINC001171565344 974102926 /nfs/dbraw/zinc/10/29/26/974102926.db2.gz NSYMKUREPHCIOM-ZFWWWQNUSA-N 0 3 245.366 2.573 20 0 BFADHN Cc1cccc2c1CC[C@@H](N1CCOC[C@@H]1C)C2 ZINC001171565341 974105604 /nfs/dbraw/zinc/10/56/04/974105604.db2.gz NSYMKUREPHCIOM-DZGCQCFKSA-N 0 3 245.366 2.573 20 0 BFADHN F[C@@H]1CCC[C@@H](NCc2cnc(C3CC3)o2)C1 ZINC001330108660 974108928 /nfs/dbraw/zinc/10/89/28/974108928.db2.gz PWUHJFMQUCOLFY-GHMZBOCLSA-N 0 3 238.306 2.922 20 0 BFADHN Cc1ccc(CC[C@H](C)N2C[C@H]3C[C@@H](C2)O3)cc1 ZINC001171680038 974133106 /nfs/dbraw/zinc/13/31/06/974133106.db2.gz OQAPNLYPPVRIQU-CWRNSKLLSA-N 0 3 245.366 2.789 20 0 BFADHN CC(C)Oc1ccccc1O[C@H]1CCN(C)C1 ZINC001225209776 974236402 /nfs/dbraw/zinc/23/64/02/974236402.db2.gz PVPNIVJUSLZEEH-LBPRGKRZSA-N 0 3 235.327 2.557 20 0 BFADHN C[C@H]1CN(Cc2c[nH]nc2C(C)(C)C)[C@H]1C ZINC001330422887 974256161 /nfs/dbraw/zinc/25/61/61/974256161.db2.gz GNTFXPCXYVGHQE-UWVGGRQHSA-N 0 3 221.348 2.547 20 0 BFADHN CN1CCC[C@H](Oc2ccc(Cl)cc2)C1 ZINC001225253501 974310325 /nfs/dbraw/zinc/31/03/25/974310325.db2.gz QFYSCIYGBYKNKM-LBPRGKRZSA-N 0 3 225.719 2.813 20 0 BFADHN CCC(=O)[C@H](CC)Oc1ccc(CN(C)C)cc1 ZINC001225334438 974408133 /nfs/dbraw/zinc/40/81/33/974408133.db2.gz UDKOKGUFNZABQP-HNNXBMFYSA-N 0 3 249.354 2.885 20 0 BFADHN CN(C)Cc1ccc(O[C@H]2CCCCC2=O)cc1 ZINC001225333831 974410160 /nfs/dbraw/zinc/41/01/60/974410160.db2.gz QHOLRPXZAYBKEB-HNNXBMFYSA-N 0 3 247.338 2.639 20 0 BFADHN FC[C@@H](NC1C[C@H]2CC[C@@H](C1)O2)c1ccccc1 ZINC001172218938 974447893 /nfs/dbraw/zinc/44/78/93/974447893.db2.gz IUJRWIYKMOZCHH-JALIKCBVSA-N 0 3 249.329 2.997 20 0 BFADHN C[C@@H](NC1C[C@H]2CC[C@@H](C1)O2)c1nccs1 ZINC001172219524 974448312 /nfs/dbraw/zinc/44/83/12/974448312.db2.gz VVQGUOPAVAMWDH-UBJLHIIHSA-N 0 3 238.356 2.504 20 0 BFADHN Cc1cccc([C@H](C)N[C@@H]2COC(C)(C)C2)n1 ZINC001172275427 974488887 /nfs/dbraw/zinc/48/88/87/974488887.db2.gz QKOWHJOFLRKIQP-RYUDHWBXSA-N 0 3 234.343 2.608 20 0 BFADHN CC[C@H](N[C@H]1COC(C)(C)C1)c1ccccn1 ZINC001172275068 974491141 /nfs/dbraw/zinc/49/11/41/974491141.db2.gz ITTUUESDDSCVLQ-NEPJUHHUSA-N 0 3 234.343 2.690 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)N1[C@H](C)CCC1(C)C ZINC001330891058 974524967 /nfs/dbraw/zinc/52/49/67/974524967.db2.gz FEFDOHHIXWJENV-VXGBXAGGSA-N 0 3 240.391 2.539 20 0 BFADHN CC[C@H](C)OCC[C@H](C)n1ccnc1[C@@H](C)N ZINC001172318074 974527673 /nfs/dbraw/zinc/52/76/73/974527673.db2.gz XZPSBXKVWFJDSV-SDDRHHMPSA-N 0 3 239.363 2.669 20 0 BFADHN CC(C)c1ncccc1O[C@H]1CN2CCC1CC2 ZINC001225521902 974537453 /nfs/dbraw/zinc/53/74/53/974537453.db2.gz LZVQWHSPSLKBEP-AWEZNQCLSA-N 0 3 246.354 2.678 20 0 BFADHN CC1(C)C[C@@H](N2CC(c3ccccc3)C2)CO1 ZINC001172288019 974551926 /nfs/dbraw/zinc/55/19/26/974551926.db2.gz DXRAYGBGIZKJPQ-CQSZACIVSA-N 0 3 231.339 2.653 20 0 BFADHN CC(=O)c1ccccc1O[C@@H]1CCN(C(C)C)C1 ZINC001225572846 974559527 /nfs/dbraw/zinc/55/95/27/974559527.db2.gz RCOBBAIMBIQTRI-CYBMUJFWSA-N 0 3 247.338 2.751 20 0 BFADHN C[C@@H]1CC[C@H](N2Cc3cnn(C)c3C2)C[C@H]1C ZINC001172372096 974568485 /nfs/dbraw/zinc/56/84/85/974568485.db2.gz CDYNODNBMMPTND-WZRBSPASSA-N 0 3 233.359 2.560 20 0 BFADHN C[C@@H]1CC[C@H](N(C)Cc2cnccn2)C[C@H]1C ZINC001172373779 974568733 /nfs/dbraw/zinc/56/87/33/974568733.db2.gz NLOXQWBTXKUFBA-BZPMIXESSA-N 0 3 233.359 2.733 20 0 BFADHN CC(C)OC1CN([C@@H]2CC[C@@H](C)[C@H](C)C2)C1 ZINC001172376681 974578584 /nfs/dbraw/zinc/57/85/84/974578584.db2.gz FNAVGNYHPXIGJV-JHJVBQTASA-N 0 3 225.376 2.920 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCc3c(cnn3C)C2)C[C@H]1C ZINC001172379870 974585444 /nfs/dbraw/zinc/58/54/44/974585444.db2.gz PVLBKBSRGLGBTF-YRGRVCCFSA-N 0 3 247.386 2.603 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCc3c(cnn3C)C2)C[C@@H]1C ZINC001172379867 974587230 /nfs/dbraw/zinc/58/72/30/974587230.db2.gz PVLBKBSRGLGBTF-MBNYWOFBSA-N 0 3 247.386 2.603 20 0 BFADHN C[C@@H]1CC[C@H](N2Cc3cncnc3C2)C[C@H]1C ZINC001172369038 974649121 /nfs/dbraw/zinc/64/91/21/974649121.db2.gz YPCICMSGVKORBZ-WZRBSPASSA-N 0 3 231.343 2.617 20 0 BFADHN CCOCC[C@H](C)NCc1nc2ccccc2o1 ZINC001172405789 974660506 /nfs/dbraw/zinc/66/05/06/974660506.db2.gz DPKYOUWVBMUBRB-NSHDSACASA-N 0 3 248.326 2.733 20 0 BFADHN CCOCC[C@H](C)NCc1cnccc1Cl ZINC001172407257 974666228 /nfs/dbraw/zinc/66/62/28/974666228.db2.gz VKUXGYUIPMVTLT-JTQLQIEISA-N 0 3 242.750 2.640 20 0 BFADHN CC[C@@H](CC(C)C)NCc1cc(C)on1 ZINC001172383203 974726491 /nfs/dbraw/zinc/72/64/91/974726491.db2.gz LCKYTDDIWQUWIG-NSHDSACASA-N 0 3 210.321 2.897 20 0 BFADHN CCN([C@@H](C)C(=O)OC)[C@@H]1CC[C@@H](C)[C@@H](C)C1 ZINC001172384219 974729794 /nfs/dbraw/zinc/72/97/94/974729794.db2.gz UQWXXYNRQPQYQK-MROQNXINSA-N 0 3 241.375 2.695 20 0 BFADHN Cc1ccc(F)cc1O[C@@H]1CN2CCC1CC2 ZINC001225988724 974750901 /nfs/dbraw/zinc/75/09/01/974750901.db2.gz YDWQBIYRNZVRAA-CQSZACIVSA-N 0 3 235.302 2.607 20 0 BFADHN CC[C@@H](CC(C)C)n1ccc([C@H](C)N)n1 ZINC001172396625 974752987 /nfs/dbraw/zinc/75/29/87/974752987.db2.gz VWAOBKGNJDUZPN-QWRGUYRKSA-N 0 3 209.337 2.900 20 0 BFADHN CC[C@H](CC(C)C)n1ncc2c1CCNC2 ZINC001172399023 974757236 /nfs/dbraw/zinc/75/72/36/974757236.db2.gz WWCLTMBLVLHDNO-GFCCVEGCSA-N 0 3 221.348 2.526 20 0 BFADHN CSc1ccc(CN(C)CCCCCO)o1 ZINC001331567059 974764424 /nfs/dbraw/zinc/76/44/24/974764424.db2.gz GHPDLRUITYFGEV-UHFFFAOYSA-N 0 3 243.372 2.596 20 0 BFADHN CC(C)COCC[C@H](C)N1CC(C(F)F)C1 ZINC001172482006 974783167 /nfs/dbraw/zinc/78/31/67/974783167.db2.gz VOGNCCNGMINDLK-JTQLQIEISA-N 0 3 235.318 2.635 20 0 BFADHN CC(C)COCC[C@@H](C)N(C)Cc1ccno1 ZINC001172485509 974789285 /nfs/dbraw/zinc/78/92/85/974789285.db2.gz WLQBLASENPNMHY-GFCCVEGCSA-N 0 3 240.347 2.558 20 0 BFADHN CC(C)OCC[C@H](C)N1CCc2ccncc2C1 ZINC001172447436 974810496 /nfs/dbraw/zinc/81/04/96/974810496.db2.gz CNIAZHGBIUOHSK-ZDUSSCGKSA-N 0 3 248.370 2.643 20 0 BFADHN C[C@H]1CCC[C@@H](c2noc([C@@H]3NC[C@@H]4C[C@@H]43)n2)C1 ZINC001331761858 974815709 /nfs/dbraw/zinc/81/57/09/974815709.db2.gz IVPMRZLKMOJRPD-KZZRWZIVSA-N 0 3 247.342 2.644 20 0 BFADHN CC(C)OCC[C@@H](C)N1CCc2cccnc2C1 ZINC001172454456 974816851 /nfs/dbraw/zinc/81/68/51/974816851.db2.gz KAMDHJZOTCVTJL-CYBMUJFWSA-N 0 3 248.370 2.643 20 0 BFADHN CCC1(c2noc([C@@H]3NC[C@@H]4C[C@@H]43)n2)CCCC1 ZINC001331846076 974834941 /nfs/dbraw/zinc/83/49/41/974834941.db2.gz BYOMMGGMPKOBFF-GARJFASQSA-N 0 3 247.342 2.572 20 0 BFADHN CN(C1COC1)[C@H]1CC[C@@H](c2ccccc2)C1 ZINC001172563008 974873715 /nfs/dbraw/zinc/87/37/15/974873715.db2.gz GKRUKYOLMKVQQW-KGLIPLIRSA-N 0 3 231.339 2.653 20 0 BFADHN C[C@H]1CCN1[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001172719312 974907018 /nfs/dbraw/zinc/90/70/18/974907018.db2.gz ODTWTXOVYDIYDZ-TVQRCGJNSA-N 0 3 221.731 2.901 20 0 BFADHN F[C@@H]1C[C@H]1N[C@H]1Cc2ccc(Cl)cc2C1 ZINC001172710877 974926714 /nfs/dbraw/zinc/92/67/14/974926714.db2.gz PKYXBIAMLPPCCZ-QJPTWQEYSA-N 0 3 225.694 2.507 20 0 BFADHN Cc1ccc2c(c1)C[C@H](N1CC[C@H](F)C1)CC2 ZINC001172827702 974948615 /nfs/dbraw/zinc/94/86/15/974948615.db2.gz MZNVVHVNRCELHH-LSDHHAIUSA-N 0 3 233.330 2.896 20 0 BFADHN Cc1ccc2c(c1)C[C@@H](N1CCO[C@@H](C)C1)CC2 ZINC001172827206 974950195 /nfs/dbraw/zinc/95/01/95/974950195.db2.gz JNILIXVAPSOJNA-BBRMVZONSA-N 0 3 245.366 2.573 20 0 BFADHN C[C@H](Oc1ccnc(N)c1)c1cccs1 ZINC001226652960 975000402 /nfs/dbraw/zinc/00/04/02/975000402.db2.gz SOGIRUNBMLUABC-QMMMGPOBSA-N 0 3 220.297 2.865 20 0 BFADHN C[C@H](Cc1ccccc1)Oc1ccnc(N)c1 ZINC001226655504 975002903 /nfs/dbraw/zinc/00/29/03/975002903.db2.gz HLYQMCLAYJQMFP-LLVKDONJSA-N 0 3 228.295 2.674 20 0 BFADHN CCCC(=O)[C@H](CCC)Oc1ccnc(N)c1 ZINC001226657426 975006444 /nfs/dbraw/zinc/00/64/44/975006444.db2.gz PPSWGAUHSCAHLB-LBPRGKRZSA-N 0 3 236.315 2.581 20 0 BFADHN CC[C@@H]1CC[C@@H](n2ccnc2[C@H](C)N)C1 ZINC001173259922 975108307 /nfs/dbraw/zinc/10/83/07/975108307.db2.gz IBYZDZBTFLLSRF-HBNTYKKESA-N 0 3 207.321 2.654 20 0 BFADHN CC[C@H]1CC[C@@H](NCc2nc(C)oc2C)C1 ZINC001173261709 975113756 /nfs/dbraw/zinc/11/37/56/975113756.db2.gz ULHDEURNWDSUOC-NWDGAFQWSA-N 0 3 222.332 2.960 20 0 BFADHN CC[C@@H]1CC[C@H](n2ccc([C@@H](C)N)n2)C1 ZINC001173265036 975126086 /nfs/dbraw/zinc/12/60/86/975126086.db2.gz YPOJIJUKQGXAHO-MXWKQRLJSA-N 0 3 207.321 2.654 20 0 BFADHN CC[C@@H]1CC[C@H](NCc2ccn(CCF)n2)C1 ZINC001173268779 975135912 /nfs/dbraw/zinc/13/59/12/975135912.db2.gz CYGGPXNVZSELNA-NEPJUHHUSA-N 0 3 239.338 2.521 20 0 BFADHN CC[C@H]1CC[C@H](NC2(c3cnccn3)CC2)C1 ZINC001173268806 975136313 /nfs/dbraw/zinc/13/63/13/975136313.db2.gz DKSMKXUOCMHGRT-RYUDHWBXSA-N 0 3 231.343 2.634 20 0 BFADHN CCc1ccc(C[C@@H](C)n2cncc2CN)cc1 ZINC001173237213 975161040 /nfs/dbraw/zinc/16/10/40/975161040.db2.gz GOKXBKPEWBONSZ-GFCCVEGCSA-N 0 3 243.354 2.708 20 0 BFADHN CCSC[C@H](C)NCc1ncn2ccccc12 ZINC001173296276 975185513 /nfs/dbraw/zinc/18/55/13/975185513.db2.gz QWKMVKUMXCKWLP-NSHDSACASA-N 0 3 249.383 2.566 20 0 BFADHN CC[C@@H]1CC[C@H](N(C)Cc2cc(C)nn2C)C1 ZINC001173280391 975236481 /nfs/dbraw/zinc/23/64/81/975236481.db2.gz OCSBLGZNPIEVBG-OLZOCXBDSA-N 0 3 235.375 2.739 20 0 BFADHN CCSC[C@H](C)NC1(c2ccccn2)CC1 ZINC001173289519 975258241 /nfs/dbraw/zinc/25/82/41/975258241.db2.gz VTHXQOWDJSGREZ-NSHDSACASA-N 0 3 236.384 2.802 20 0 BFADHN CCSC[C@H](C)n1nc(CN)c2ccccc21 ZINC001173291294 975261821 /nfs/dbraw/zinc/26/18/21/975261821.db2.gz KTTBRLDCEXJPPE-JTQLQIEISA-N 0 3 249.383 2.809 20 0 BFADHN CCOc1cc(C)ccc1O[C@H]1CCCN(C)C1 ZINC001227202668 975311552 /nfs/dbraw/zinc/31/15/52/975311552.db2.gz NEMCGCHIIOSPCF-ZDUSSCGKSA-N 0 3 249.354 2.867 20 0 BFADHN Fc1cc2c(cc1F)CC(NCc1ccco1)C2 ZINC001173472570 975381777 /nfs/dbraw/zinc/38/17/77/975381777.db2.gz IPLKKYWRCLEVPN-UHFFFAOYSA-N 0 3 249.260 2.815 20 0 BFADHN C/C=C/c1ccc(O[C@@H]2CCN(C)C2)c(OC)c1 ZINC001227409180 975385454 /nfs/dbraw/zinc/38/54/54/975385454.db2.gz AUCHNXPCFWLQMP-MUBLQREKSA-N 0 3 247.338 2.811 20 0 BFADHN CCN1CCC[C@@H](Oc2cccc(Cl)n2)C1 ZINC001227928255 975799122 /nfs/dbraw/zinc/79/91/22/975799122.db2.gz XPJADHNTZCZUIB-SNVBAGLBSA-N 0 3 240.734 2.598 20 0 BFADHN COc1ccc(Nc2ccncc2C)cc1F ZINC001173861829 975805746 /nfs/dbraw/zinc/80/57/46/975805746.db2.gz HDGUFOGSDOJWNJ-UHFFFAOYSA-N 0 3 232.258 2.703 20 0 BFADHN c1cc(CN[C@@H]2C=CCCC2)n(C2CCC2)n1 ZINC001333616116 975893262 /nfs/dbraw/zinc/89/32/62/975893262.db2.gz LEINJKWFVPRDGY-GFCCVEGCSA-N 0 3 231.343 2.806 20 0 BFADHN Cc1ccc2c(c1)onc2O[C@H]1CCCN(C)C1 ZINC001228085876 975896282 /nfs/dbraw/zinc/89/62/82/975896282.db2.gz VNMSMMXWZNLYFU-NSHDSACASA-N 0 3 246.310 2.609 20 0 BFADHN CC(C)CCN(C)Cc1cn(C)nc1C1CC1 ZINC001333628029 975907050 /nfs/dbraw/zinc/90/70/50/975907050.db2.gz BQQZKAKZHZFINW-UHFFFAOYSA-N 0 3 235.375 2.775 20 0 BFADHN CCCCN(Cc1c2c(nn1C)CCC2)C1CC1 ZINC001333631220 975909216 /nfs/dbraw/zinc/90/92/16/975909216.db2.gz YJWXOBIVSMGDBL-UHFFFAOYSA-N 0 3 247.386 2.673 20 0 BFADHN Cc1cc2c(cccc2N)n1-c1ccncc1 ZINC001173884017 975965513 /nfs/dbraw/zinc/96/55/13/975965513.db2.gz CDWVYCWEFHOEOI-UHFFFAOYSA-N 0 3 223.279 2.916 20 0 BFADHN CCN1CC[C@H](Oc2cccc(C)c2F)C1 ZINC001228219502 976001023 /nfs/dbraw/zinc/00/10/23/976001023.db2.gz RHRRRBUALOFOTB-NSHDSACASA-N 0 3 223.291 2.607 20 0 BFADHN CCn1cnc(Nc2ccccc2CN(C)C)c1 ZINC001173928459 976037523 /nfs/dbraw/zinc/03/75/23/976037523.db2.gz ALYFLYIRJLSVLZ-UHFFFAOYSA-N 0 3 244.342 2.708 20 0 BFADHN COc1cccc(F)c1CN[C@H](C)C1CC1 ZINC001333732176 976037964 /nfs/dbraw/zinc/03/79/64/976037964.db2.gz AZJAQPPEMDZJFK-SECBINFHSA-N 0 3 223.291 2.722 20 0 BFADHN Cc1cc(Nc2ccccc2CN(C)C)no1 ZINC001173927873 976040677 /nfs/dbraw/zinc/04/06/77/976040677.db2.gz RFFJEIONUGOGFS-UHFFFAOYSA-N 0 3 231.299 2.788 20 0 BFADHN CC(=O)Nc1ccccc1Nc1ccncc1C ZINC001173992196 976126505 /nfs/dbraw/zinc/12/65/05/976126505.db2.gz PLZPWNVVGNFFRI-UHFFFAOYSA-N 0 3 241.294 2.514 20 0 BFADHN Oc1c(Cl)[nH]ccc1=NC1=CCCC1 ZINC001173999543 976154327 /nfs/dbraw/zinc/15/43/27/976154327.db2.gz QMHZNJXTCYKGDE-UHFFFAOYSA-N 0 3 210.664 2.920 20 0 BFADHN CC(=O)c1cc(C)c(C)cc1O[C@H](C)CN(C)C ZINC001228438711 976208609 /nfs/dbraw/zinc/20/86/09/976208609.db2.gz YFWQVCGGACRJJE-GFCCVEGCSA-N 0 3 249.354 2.835 20 0 BFADHN CC(=O)c1cc(C)c(C)cc1O[C@@H](C)CN(C)C ZINC001228438713 976212850 /nfs/dbraw/zinc/21/28/50/976212850.db2.gz YFWQVCGGACRJJE-LBPRGKRZSA-N 0 3 249.354 2.835 20 0 BFADHN COc1ccc(Nc2cnc(C)n2C)c(C)c1 ZINC001174022688 976227658 /nfs/dbraw/zinc/22/76/58/976227658.db2.gz ZZJFGSZBYVDBPD-UHFFFAOYSA-N 0 3 231.299 2.789 20 0 BFADHN Cc1cc(Cl)ncc1OC1CCN(C)CC1 ZINC001228530036 976288757 /nfs/dbraw/zinc/28/87/57/976288757.db2.gz GKQLQGPIKREGIH-UHFFFAOYSA-N 0 3 240.734 2.516 20 0 BFADHN C[C@@H](c1ncccn1)N1CCCC[C@H](C)C1 ZINC001334094869 976293561 /nfs/dbraw/zinc/29/35/61/976293561.db2.gz YKYIPSZWXRELER-RYUDHWBXSA-N 0 3 219.332 2.660 20 0 BFADHN Cc1cc(Cl)ncc1O[C@@H]1CCCN(C)C1 ZINC001228532797 976296087 /nfs/dbraw/zinc/29/60/87/976296087.db2.gz KPRCKDLLUBCSFE-SNVBAGLBSA-N 0 3 240.734 2.516 20 0 BFADHN COc1ccc(F)c(Nc2ccncc2C)c1 ZINC001174210038 976385850 /nfs/dbraw/zinc/38/58/50/976385850.db2.gz WPTATYYFTFUMOV-UHFFFAOYSA-N 0 3 232.258 2.703 20 0 BFADHN C[C@@H]1COCCN(C/C=C/c2cccc(F)c2)C1 ZINC001334232432 976390442 /nfs/dbraw/zinc/39/04/42/976390442.db2.gz GXZNBENLENRAAK-LQPUYASZSA-N 0 3 249.329 2.807 20 0 BFADHN COc1cccc(F)c1CN[C@H]1CC[C@H]1C ZINC001334234221 976394515 /nfs/dbraw/zinc/39/45/15/976394515.db2.gz NTAJBNCFBFLQRK-SKDRFNHKSA-N 0 3 223.291 2.722 20 0 BFADHN FC(F)CCCNCc1nc2ccccc2o1 ZINC001334326546 976446818 /nfs/dbraw/zinc/44/68/18/976446818.db2.gz BUVONFKZCHOONH-UHFFFAOYSA-N 0 3 240.253 2.963 20 0 BFADHN Cc1ccc(OC(C)C)cc1O[C@@H]1CCN(C)C1 ZINC001228729179 976461589 /nfs/dbraw/zinc/46/15/89/976461589.db2.gz ZBUHUDCPDDAPQY-CQSZACIVSA-N 0 3 249.354 2.865 20 0 BFADHN Cc1cc(O[C@H]2CCCN(C)C2)ncc1Cl ZINC001228746964 976479839 /nfs/dbraw/zinc/47/98/39/976479839.db2.gz YOVSIDWZRCVMQB-JTQLQIEISA-N 0 3 240.734 2.516 20 0 BFADHN CCCN(Cc1cn(C)nc1C1CC1)C1CC1 ZINC001334887280 976772004 /nfs/dbraw/zinc/77/20/04/976772004.db2.gz JYACUESTNWGAGP-UHFFFAOYSA-N 0 3 233.359 2.672 20 0 BFADHN Cc1ccnc(Cl)c1Nc1ccncc1C ZINC001174536288 976815308 /nfs/dbraw/zinc/81/53/08/976815308.db2.gz RSDFLPGKWUFXML-UHFFFAOYSA-N 0 3 233.702 2.912 20 0 BFADHN Cc1cnccc1Nc1cnccc1C1CC1 ZINC001174536541 976815983 /nfs/dbraw/zinc/81/59/83/976815983.db2.gz POPGKLRCCNVMPW-UHFFFAOYSA-N 0 3 225.295 2.828 20 0 BFADHN Cc1cnc(Cl)c(Nc2ccncc2C)c1 ZINC001174536140 976816834 /nfs/dbraw/zinc/81/68/34/976816834.db2.gz MPLOZDBAADEEKU-UHFFFAOYSA-N 0 3 233.702 2.912 20 0 BFADHN Cc1cnccc1Nc1ccc(Cl)nc1C ZINC001174536708 976818017 /nfs/dbraw/zinc/81/80/17/976818017.db2.gz KDSGSVJAZWQRFY-UHFFFAOYSA-N 0 3 233.702 2.912 20 0 BFADHN Cc1cnccc1Nc1ccc(F)c(F)c1F ZINC001174537271 976819949 /nfs/dbraw/zinc/81/99/49/976819949.db2.gz AGENFTXJRSYRMI-UHFFFAOYSA-N 0 3 238.212 2.973 20 0 BFADHN COc1nccc(Nc2ccncc2C)c1Cl ZINC001174536982 976820667 /nfs/dbraw/zinc/82/06/67/976820667.db2.gz QAKKBXLPSFGBBY-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN Cc1cnccc1Nc1ccnc(C(C)(C)C)n1 ZINC001174537806 976824181 /nfs/dbraw/zinc/82/41/81/976824181.db2.gz SSHFQRHRRJMFTC-UHFFFAOYSA-N 0 3 242.326 2.643 20 0 BFADHN COc1ccc(Cl)c(Nc2ccncc2C)n1 ZINC001174537818 976824458 /nfs/dbraw/zinc/82/44/58/976824458.db2.gz SYTGDXITEVUFLK-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN Cc1cnccc1Nc1cccc2c1CCCO2 ZINC001174538598 976824535 /nfs/dbraw/zinc/82/45/35/976824535.db2.gz LVNRXKNYWJOVHU-UHFFFAOYSA-N 0 3 240.306 2.881 20 0 BFADHN Cc1cc(Nc2ccncc2C)n(C2CCC2)n1 ZINC001174537653 976826565 /nfs/dbraw/zinc/82/65/65/976826565.db2.gz OFQNEPGQZDFJIJ-UHFFFAOYSA-N 0 3 242.326 2.785 20 0 BFADHN Cc1cnccc1Nc1cccc2nnsc21 ZINC001174539177 976828742 /nfs/dbraw/zinc/82/87/42/976828742.db2.gz WEQRNKIUYDTPIP-UHFFFAOYSA-N 0 3 242.307 2.560 20 0 BFADHN Cc1cnccc1Nc1cccc2c1OCCC2 ZINC001174540189 976831001 /nfs/dbraw/zinc/83/10/01/976831001.db2.gz XIAAHLZVNJQGAM-UHFFFAOYSA-N 0 3 240.306 2.881 20 0 BFADHN Cc1cnccc1Nc1ccc(O)cc1C ZINC001174540006 976833150 /nfs/dbraw/zinc/83/31/50/976833150.db2.gz RPONVCQTELZCBM-UHFFFAOYSA-N 0 3 214.268 2.570 20 0 BFADHN CCn1cc(Nc2ccnc(C3CC3)c2)cn1 ZINC001174568333 976888920 /nfs/dbraw/zinc/88/89/20/976888920.db2.gz RLLPALPWTFRJJY-UHFFFAOYSA-N 0 3 228.299 2.919 20 0 BFADHN CN1CCC(Oc2cc(Cl)ccc2O)CC1 ZINC001229545854 976894330 /nfs/dbraw/zinc/89/43/30/976894330.db2.gz XBILJENLYZZBBW-UHFFFAOYSA-N 0 3 241.718 2.519 20 0 BFADHN CC(=O)[C@@H](C)Oc1cccc([C@H](C)N(C)C)c1 ZINC001229628396 976917499 /nfs/dbraw/zinc/91/74/99/976917499.db2.gz UHPPTKRHLGGVBI-CMPLNLGQSA-N 0 3 235.327 2.666 20 0 BFADHN Cc1ncc(Nc2cccc3[nH]ccc32)n1C ZINC001174648227 976930254 /nfs/dbraw/zinc/93/02/54/976930254.db2.gz XMHWMQJGEPWREK-UHFFFAOYSA-N 0 3 226.283 2.953 20 0 BFADHN Cc1cc(Nc2cc([O-])c(F)cc2F)cc[nH+]1 ZINC001174655846 976948448 /nfs/dbraw/zinc/94/84/48/976948448.db2.gz SURWLNXVMDAPQH-UHFFFAOYSA-N 0 3 236.221 2.539 20 0 BFADHN C[C@@H]1COCC[C@@H]1Oc1ccccc1CN(C)C ZINC001229701617 976953846 /nfs/dbraw/zinc/95/38/46/976953846.db2.gz KMQDYVSPLHIDEE-OCCSQVGLSA-N 0 3 249.354 2.552 20 0 BFADHN C=CNc1cc(Cc2ccccc2)no1 ZINC001174778713 976992608 /nfs/dbraw/zinc/99/26/08/976992608.db2.gz TZGYNVZZLSBHGB-UHFFFAOYSA-N 0 3 200.241 2.821 20 0 BFADHN C=CN=c1cc(Cc2ccccc2)[nH]o1 ZINC001174778713 976992619 /nfs/dbraw/zinc/99/26/19/976992619.db2.gz TZGYNVZZLSBHGB-UHFFFAOYSA-N 0 3 200.241 2.821 20 0 BFADHN CC1CC([NH2+]Cc2cc([O-])cc(Cl)c2)C1 ZINC001335471436 977082891 /nfs/dbraw/zinc/08/28/91/977082891.db2.gz NXVMPVDFBJNWMJ-UHFFFAOYSA-N 0 3 225.719 2.934 20 0 BFADHN c1cc(CN[C@H]2C=CCCC2)n(CC2CCC2)n1 ZINC001335497330 977101875 /nfs/dbraw/zinc/10/18/75/977101875.db2.gz JNCJMWGPIUEDJG-AWEZNQCLSA-N 0 3 245.370 2.882 20 0 BFADHN CC(=O)c1cccc(C)c1O[C@H](C)CN(C)C ZINC001230318734 977178330 /nfs/dbraw/zinc/17/83/30/977178330.db2.gz LPCHNXGQQCBRKD-LLVKDONJSA-N 0 3 235.327 2.527 20 0 BFADHN Cc1cc(CN2CCCC(C)(C)C2)c(C)nn1 ZINC001335716411 977245657 /nfs/dbraw/zinc/24/56/57/977245657.db2.gz CZSIFSDFWPYQRM-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN CC(=O)c1ccc(Nc2ccncc2C)cc1F ZINC001175230419 977336386 /nfs/dbraw/zinc/33/63/86/977336386.db2.gz AKPFABDMZQNSSA-UHFFFAOYSA-N 0 3 244.269 2.897 20 0 BFADHN CC(=O)c1ccc(F)c(Nc2cnc(C)n2C)c1 ZINC001175271702 977363481 /nfs/dbraw/zinc/36/34/81/977363481.db2.gz HWYXALXIMZMYGK-UHFFFAOYSA-N 0 3 247.273 2.814 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@@H](Oc2nncc3n[nH]cc32)C1 ZINC001230896213 977364751 /nfs/dbraw/zinc/36/47/51/977364751.db2.gz OBNLUQXUJZYMOW-LNLATYFQSA-N 0 3 246.314 2.556 20 0 BFADHN CC[C@H](Oc1nncc2n[nH]cc21)C(C)(C)C ZINC001230899906 977369368 /nfs/dbraw/zinc/36/93/68/977369368.db2.gz CDAGKJDFOBRSMI-JTQLQIEISA-N 0 3 234.303 2.556 20 0 BFADHN CCC[C@H](Oc1nncc2n[nH]cc21)C(C)(C)C ZINC001230899961 977370135 /nfs/dbraw/zinc/37/01/35/977370135.db2.gz CJINLRWPVVHQDW-NSHDSACASA-N 0 3 248.330 2.947 20 0 BFADHN CCC[C@@H](C)[C@@H](CC)Oc1nncc2n[nH]cc21 ZINC001230901751 977371560 /nfs/dbraw/zinc/37/15/60/977371560.db2.gz TXWQMKJZXBAAHR-BXKDBHETSA-N 0 3 248.330 2.947 20 0 BFADHN CC[C@H](C[C@@H](C)CC)Oc1nncc2n[nH]cc21 ZINC001230901283 977372988 /nfs/dbraw/zinc/37/29/88/977372988.db2.gz PDYBCVZAAAGOIW-VHSXEESVSA-N 0 3 248.330 2.947 20 0 BFADHN C[C@H]1CCC[C@H](C)C1Oc1nncc2n[nH]cc21 ZINC001230901453 977373032 /nfs/dbraw/zinc/37/30/32/977373032.db2.gz PXQVRUVZNXTCGZ-IUCAKERBSA-N 0 3 246.314 2.556 20 0 BFADHN CC[C@H]1CC[C@H](Oc2nncc3n[nH]cc32)CC1 ZINC001230901686 977373866 /nfs/dbraw/zinc/37/38/66/977373866.db2.gz SPAFSEVZXLMTTM-MGCOHNPYSA-N 0 3 246.314 2.701 20 0 BFADHN COC/C(C)=C/CN[C@H](C)c1cccc(O)c1 ZINC001335946180 977377336 /nfs/dbraw/zinc/37/73/36/977377336.db2.gz MLIMJXARAVLPLB-YTRUQHMWSA-N 0 3 235.327 2.636 20 0 BFADHN CCc1cc(Nc2cc(C)cnc2OC)ccn1 ZINC001175337885 977387201 /nfs/dbraw/zinc/38/72/01/977387201.db2.gz VCQKUISXWZEAIT-UHFFFAOYSA-N 0 3 243.310 2.522 20 0 BFADHN Cc1cc(O)cc(-c2cncc(CN(C)C)c2)c1 ZINC001206247666 977398544 /nfs/dbraw/zinc/39/85/44/977398544.db2.gz FYBKMYUULDVIKB-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN CCN1CCC[C@H](Oc2c(C)cccc2F)C1 ZINC001231030166 977433707 /nfs/dbraw/zinc/43/37/07/977433707.db2.gz GACNXXCTSXTTBU-LBPRGKRZSA-N 0 3 237.318 2.997 20 0 BFADHN CN1CCC=C(N=c2[nH]c3ccccc3s2)C1 ZINC001175574345 977569463 /nfs/dbraw/zinc/56/94/63/977569463.db2.gz TXCAKSYLGYYFCC-UHFFFAOYSA-N 0 3 245.351 2.928 20 0 BFADHN Cc1ccc(NC2=CCCN(C)C2)c(C)c1 ZINC001175577883 977588071 /nfs/dbraw/zinc/58/80/71/977588071.db2.gz ZCBSIVIEXJTWCM-UHFFFAOYSA-N 0 3 216.328 2.935 20 0 BFADHN Cc1ccc(NC2=CCCN(C)C2)cc1C ZINC001175577006 977590514 /nfs/dbraw/zinc/59/05/14/977590514.db2.gz OEGFKEMIRXWYFL-UHFFFAOYSA-N 0 3 216.328 2.935 20 0 BFADHN COc1cccc(NC2=CCCN(C)C2)c1C ZINC001175579727 977596647 /nfs/dbraw/zinc/59/66/47/977596647.db2.gz QEZNZXJODHLKKN-UHFFFAOYSA-N 0 3 232.327 2.635 20 0 BFADHN Cn1ccc2cc(NC3=CCCN(C)C3)ccc21 ZINC001175580134 977598096 /nfs/dbraw/zinc/59/80/96/977598096.db2.gz UQGQCACTDNUMQW-UHFFFAOYSA-N 0 3 241.338 2.810 20 0 BFADHN CCCN(C)Cc1ccc(OC(C)C)nc1 ZINC001231535358 977630025 /nfs/dbraw/zinc/63/00/25/977630025.db2.gz VBPKYDIAIUKSIW-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN COc1cc(F)ccc1CN1CC[C@@H](C)C1 ZINC001231599836 977645698 /nfs/dbraw/zinc/64/56/98/977645698.db2.gz CBIXWGDAHQJBCT-SNVBAGLBSA-N 0 3 223.291 2.676 20 0 BFADHN COc1cc(F)ccc1CN1CCC[C@@H]2C[C@@H]21 ZINC001231605162 977648614 /nfs/dbraw/zinc/64/86/14/977648614.db2.gz BKTDSUXWYXEWQO-MFKMUULPSA-N 0 3 235.302 2.819 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H]3CCC[C@@H]3C2)n1 ZINC001231671279 977682086 /nfs/dbraw/zinc/68/20/86/977682086.db2.gz RTBKBFRQUAEDCM-QWHCGFSZSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H]3CCC[C@@H]3C2)n1 ZINC001231671279 977682094 /nfs/dbraw/zinc/68/20/94/977682094.db2.gz RTBKBFRQUAEDCM-QWHCGFSZSA-N 0 3 246.354 2.718 20 0 BFADHN CSc1ccccc1CN1CC=CC1 ZINC001231784008 977747413 /nfs/dbraw/zinc/74/74/13/977747413.db2.gz MHVVNLYXZCZPCQ-UHFFFAOYSA-N 0 3 205.326 2.780 20 0 BFADHN CC(C)c1ccccc1CN1CC2(COC2)C1 ZINC001231875512 977776110 /nfs/dbraw/zinc/77/61/10/977776110.db2.gz UARWSHKPRRKWNI-UHFFFAOYSA-N 0 3 231.339 2.642 20 0 BFADHN CC(C)N(C)Cc1ccnc(C(F)F)c1 ZINC001231887614 977784591 /nfs/dbraw/zinc/78/45/91/977784591.db2.gz XDDUUSXXKWXEDF-UHFFFAOYSA-N 0 3 214.259 2.859 20 0 BFADHN CCCN(C)Cc1ccnc(C(F)F)c1 ZINC001231887420 977784977 /nfs/dbraw/zinc/78/49/77/977784977.db2.gz RAKJUTPKKXFKRZ-UHFFFAOYSA-N 0 3 214.259 2.861 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cnc(OC)cn1 ZINC001231938117 977808521 /nfs/dbraw/zinc/80/85/21/977808521.db2.gz QAHWYUQICSUYGO-CYBMUJFWSA-N 0 3 249.358 2.640 20 0 BFADHN COc1ccnc(CN2CCC23CCCCC3)c1 ZINC001231966193 977815484 /nfs/dbraw/zinc/81/54/84/977815484.db2.gz SMYGBRHPXKWOJL-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN Cc1cc(F)ncc1CN1C[C@H]2CC[C@@H]1C2 ZINC001231982980 977822453 /nfs/dbraw/zinc/82/24/53/977822453.db2.gz LMUZSLAUNGVXFT-CMPLNLGQSA-N 0 3 220.291 2.513 20 0 BFADHN CC(C)C[NH+](Cc1cncc([O-])c1)CC(C)C ZINC001232038846 977858531 /nfs/dbraw/zinc/85/85/31/977858531.db2.gz JWCCQYLTVFQZGF-UHFFFAOYSA-N 0 3 236.359 2.901 20 0 BFADHN CC[C@@H]1CCCC[N@@H+]1Cc1cncc([O-])c1 ZINC001232045423 977871515 /nfs/dbraw/zinc/87/15/15/977871515.db2.gz WDFQBDDQAFDNHX-GFCCVEGCSA-N 0 3 220.316 2.552 20 0 BFADHN CC[C@@H]1CCCC[N@H+]1Cc1cncc([O-])c1 ZINC001232045423 977871518 /nfs/dbraw/zinc/87/15/18/977871518.db2.gz WDFQBDDQAFDNHX-GFCCVEGCSA-N 0 3 220.316 2.552 20 0 BFADHN [O-]c1cncc(C[NH+]2C3CCCC2CCC3)c1 ZINC001232046505 977874004 /nfs/dbraw/zinc/87/40/04/977874004.db2.gz LTDDEAPHMYKGFZ-UHFFFAOYSA-N 0 3 232.327 2.694 20 0 BFADHN Oc1cncc(CN2C3CCCC2CCC3)c1 ZINC001232046505 977874006 /nfs/dbraw/zinc/87/40/06/977874006.db2.gz LTDDEAPHMYKGFZ-UHFFFAOYSA-N 0 3 232.327 2.694 20 0 BFADHN FC(F)(F)c1cc(Nc2ncc[nH]2)ccn1 ZINC001176080408 977877429 /nfs/dbraw/zinc/87/74/29/977877429.db2.gz WHRTXHDXIHKBJC-UHFFFAOYSA-N 0 3 228.177 2.567 20 0 BFADHN CC(C)N(C)Cc1cccc(C(F)(F)F)n1 ZINC001232066388 977878769 /nfs/dbraw/zinc/87/87/69/977878769.db2.gz DBDHPNZGHPQEKR-UHFFFAOYSA-N 0 3 232.249 2.941 20 0 BFADHN Cn1c(Nc2ncc[nH]2)cc2ccccc21 ZINC001176082235 977879026 /nfs/dbraw/zinc/87/90/26/977879026.db2.gz AJTZEDJORHBYRR-UHFFFAOYSA-N 0 3 212.256 2.645 20 0 BFADHN c1c[nH]c(Nc2ccc(-c3ccccn3)nc2)n1 ZINC001176082328 977879946 /nfs/dbraw/zinc/87/99/46/977879946.db2.gz FTVYOIVHEWEMRG-UHFFFAOYSA-N 0 3 237.266 2.610 20 0 BFADHN FC(F)Oc1ccccc1Nc1ncc[nH]1 ZINC001176084244 977883431 /nfs/dbraw/zinc/88/34/31/977883431.db2.gz HPJXOXCGPMNVDX-UHFFFAOYSA-N 0 3 225.198 2.755 20 0 BFADHN Oc1ccc(C(F)(F)F)cc1Nc1ncc[nH]1 ZINC001176084074 977884663 /nfs/dbraw/zinc/88/46/63/977884663.db2.gz ICYGZVQOFAZINH-UHFFFAOYSA-N 0 3 243.188 2.878 20 0 BFADHN Fc1cccc(N2CCCC2)c1Nc1ncc[nH]1 ZINC001176090289 977890177 /nfs/dbraw/zinc/89/01/77/977890177.db2.gz BCYBLNSIRQKUBW-UHFFFAOYSA-N 0 3 246.289 2.893 20 0 BFADHN CO[C@H]1CCOc2c(Nc3ncc[nH]3)cccc21 ZINC001176085701 977890371 /nfs/dbraw/zinc/89/03/71/977890371.db2.gz OUIFKQIUURIARR-NSHDSACASA-N 0 3 245.282 2.623 20 0 BFADHN O=C1CCCc2cccc(Nc3ncc[nH]3)c21 ZINC001176085639 977890673 /nfs/dbraw/zinc/89/06/73/977890673.db2.gz MLACOKDKEUDQMN-UHFFFAOYSA-N 0 3 227.267 2.672 20 0 BFADHN CCC(=O)c1ccccc1Nc1ncc[nH]1 ZINC001176085620 977890873 /nfs/dbraw/zinc/89/08/73/977890873.db2.gz MBNSDQHSUYEIPB-UHFFFAOYSA-N 0 3 215.256 2.746 20 0 BFADHN CC(=O)Nc1ccc(CN2CC(C)(C)C2)c(C)c1 ZINC001232183770 977911421 /nfs/dbraw/zinc/91/14/21/977911421.db2.gz JYAGEGXTZYAEDP-UHFFFAOYSA-N 0 3 246.354 2.795 20 0 BFADHN Cc1cc(NC2=CCOCC2)c2ccccc2n1 ZINC001176139505 977918308 /nfs/dbraw/zinc/91/83/08/977918308.db2.gz UCFJBNNMJWVZMJ-UHFFFAOYSA-N 0 3 240.306 2.681 20 0 BFADHN CN(Cc1cnsc1)C1CCCCC1 ZINC001232223052 977942614 /nfs/dbraw/zinc/94/26/14/977942614.db2.gz CLHAPHIXCWFLSC-UHFFFAOYSA-N 0 3 210.346 2.908 20 0 BFADHN c1nscc1CN1CCC2(CCCC2)C1 ZINC001232227559 977955443 /nfs/dbraw/zinc/95/54/43/977955443.db2.gz PPNAGOKJKBJKSE-UHFFFAOYSA-N 0 3 222.357 2.909 20 0 BFADHN COCC[C@@H]1CCCCN1Cc1cnsc1 ZINC001232227848 977956725 /nfs/dbraw/zinc/95/67/25/977956725.db2.gz UIGVUQTXSBNXLE-LBPRGKRZSA-N 0 3 240.372 2.534 20 0 BFADHN CCOc1ncc(CN2CCC[C@@H]2C)cc1C ZINC001232302280 977992068 /nfs/dbraw/zinc/99/20/68/977992068.db2.gz BITYCZCTQHPCDT-LBPRGKRZSA-N 0 3 234.343 2.773 20 0 BFADHN CCOc1ncc(CN2CCC[C@H]2C)cc1C ZINC001232302279 977993636 /nfs/dbraw/zinc/99/36/36/977993636.db2.gz BITYCZCTQHPCDT-GFCCVEGCSA-N 0 3 234.343 2.773 20 0 BFADHN CCOc1ncc(CN2CC[C@H](C)C2)cc1C ZINC001232305709 977998742 /nfs/dbraw/zinc/99/87/42/977998742.db2.gz YOQKWPORBDIBPI-NSHDSACASA-N 0 3 234.343 2.631 20 0 BFADHN CCOc1ccc(OC)cc1CN(C)C(C)C ZINC001232382252 978043465 /nfs/dbraw/zinc/04/34/65/978043465.db2.gz ITPZKHJFVLBCQG-UHFFFAOYSA-N 0 3 237.343 2.934 20 0 BFADHN CC[C@@H]1CCCN1Cc1cn2cc(F)ccc2n1 ZINC001232413034 978050119 /nfs/dbraw/zinc/05/01/19/978050119.db2.gz OGFAPMSXVZGVIU-CYBMUJFWSA-N 0 3 247.317 2.848 20 0 BFADHN CC(C)C1CCN(Cc2[nH]nc3c2CCC3)CC1 ZINC001232429128 978068563 /nfs/dbraw/zinc/06/85/63/978068563.db2.gz FSLJEHCMSBQIIL-UHFFFAOYSA-N 0 3 247.386 2.766 20 0 BFADHN C(c1[nH]nc2c1CCC2)N1CC2CCC(CC2)C1 ZINC001232430680 978070666 /nfs/dbraw/zinc/07/06/66/978070666.db2.gz BAXIRDLTZOEVGK-UHFFFAOYSA-N 0 3 245.370 2.520 20 0 BFADHN Cc1ncc(CN2CC[C@H]2c2ccccc2)[nH]1 ZINC001232463978 978107736 /nfs/dbraw/zinc/10/77/36/978107736.db2.gz QIMIBUBYZJVOQA-AWEZNQCLSA-N 0 3 227.311 2.665 20 0 BFADHN Cc1cccc(F)c1CN(C)CC1CC1 ZINC001232482150 978132734 /nfs/dbraw/zinc/13/27/34/978132734.db2.gz OIOWQQBOYUWILZ-UHFFFAOYSA-N 0 3 207.292 2.976 20 0 BFADHN COC1CCN(Cc2c(C)cccc2F)CC1 ZINC001232482438 978134009 /nfs/dbraw/zinc/13/40/09/978134009.db2.gz SDWPONZCBZWLEI-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cccc(F)c1CN1CC[C@@]2(CCCO2)C1 ZINC001232491228 978145155 /nfs/dbraw/zinc/14/51/55/978145155.db2.gz WVMMKTXTGBERBH-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN CC[C@@H]1CCCN1Cc1cc(F)ccn1 ZINC001232496194 978148605 /nfs/dbraw/zinc/14/86/05/978148605.db2.gz PMYUDUOEBHDWGF-GFCCVEGCSA-N 0 3 208.280 2.595 20 0 BFADHN CCC[C@@H]1CCCN1Cc1cncc(F)c1 ZINC001176783434 978178296 /nfs/dbraw/zinc/17/82/96/978178296.db2.gz VZEYUMIWTCPXQI-CYBMUJFWSA-N 0 3 222.307 2.985 20 0 BFADHN CCOc1ccnc(CN2CCC3(CC3)CC2)c1 ZINC001232583271 978185459 /nfs/dbraw/zinc/18/54/59/978185459.db2.gz WPAGDCFSGMQUPB-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN COC/C(C)=C\CN[C@H](C)c1ncccc1C ZINC001176896522 978251610 /nfs/dbraw/zinc/25/16/10/978251610.db2.gz HOLIHDJWNDEKHL-LWMSPQMPSA-N 0 3 234.343 2.633 20 0 BFADHN c1nc2cccc(CN3CCCOCC3)c2s1 ZINC001232734069 978254201 /nfs/dbraw/zinc/25/42/01/978254201.db2.gz OZUFSOAUYIMIMY-UHFFFAOYSA-N 0 3 248.351 2.519 20 0 BFADHN CN(Cc1ccc2ncsc2c1)[C@H]1CCOC1 ZINC001232740679 978256935 /nfs/dbraw/zinc/25/69/35/978256935.db2.gz DUKWZBCLKQCFQZ-NSHDSACASA-N 0 3 248.351 2.517 20 0 BFADHN CN(Cc1ccc2ncsc2c1)[C@@H]1CCOC1 ZINC001232740677 978257393 /nfs/dbraw/zinc/25/73/93/978257393.db2.gz DUKWZBCLKQCFQZ-LLVKDONJSA-N 0 3 248.351 2.517 20 0 BFADHN Cc1cccnc1[C@H](C)NC[C@H]1CC[C@@H](C)O1 ZINC001176898036 978258350 /nfs/dbraw/zinc/25/83/50/978258350.db2.gz OVLIHKKLXQXGAP-FRRDWIJNSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1nccc(CN2CC=CC23CCCCC3)n1 ZINC001176995888 978266448 /nfs/dbraw/zinc/26/64/48/978266448.db2.gz OXIXKPZVZYMPKA-UHFFFAOYSA-N 0 3 243.354 2.860 20 0 BFADHN CCCn1cnc(CN2CCC[C@@H](C)[C@@H]2C)c1 ZINC001232798880 978283367 /nfs/dbraw/zinc/28/33/67/978283367.db2.gz ODYOPVJPIKIBDA-OLZOCXBDSA-N 0 3 235.375 2.914 20 0 BFADHN COc1cc(O)cc(CN2CCC3(CC3)CC2)c1 ZINC001232805432 978295893 /nfs/dbraw/zinc/29/58/93/978295893.db2.gz JTFXSGQKQRBPDL-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN CCN(CC)Cc1cc(OC)c(C)cc1OC ZINC001232834516 978303481 /nfs/dbraw/zinc/30/34/81/978303481.db2.gz VQQNZSLFILLKMW-UHFFFAOYSA-N 0 3 237.343 2.854 20 0 BFADHN COc1cc(CN2CCC[C@H]2C)c(OC)cc1C ZINC001232832490 978305769 /nfs/dbraw/zinc/30/57/69/978305769.db2.gz LFNMGFGHCJRJMU-GFCCVEGCSA-N 0 3 249.354 2.997 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cc(C)cc(O)c2)C1 ZINC001232884965 978324954 /nfs/dbraw/zinc/32/49/54/978324954.db2.gz VCRYROJDTOVFFD-OAHLLOKOSA-N 0 3 249.354 2.702 20 0 BFADHN Cc1cc(O)cc(CN(C)C[C@H]2CCCCO2)c1 ZINC001232884094 978326452 /nfs/dbraw/zinc/32/64/52/978326452.db2.gz IMKVQCDOSBRTHG-OAHLLOKOSA-N 0 3 249.354 2.702 20 0 BFADHN CN(C)C1(C)CN(Cc2ccc(C3CC3)cc2)C1 ZINC001232983381 978381972 /nfs/dbraw/zinc/38/19/72/978381972.db2.gz MKXNNJWOBLYXNQ-UHFFFAOYSA-N 0 3 244.382 2.700 20 0 BFADHN Cc1ncc(F)cc1CN1CCCC1(C)C ZINC001232985503 978383199 /nfs/dbraw/zinc/38/31/99/978383199.db2.gz GVHJBFUOVGXPIY-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN Cc1ncc(F)cc1CN1CCCC[C@H]1C ZINC001232987225 978386015 /nfs/dbraw/zinc/38/60/15/978386015.db2.gz RBXGQRKLRANBMS-SNVBAGLBSA-N 0 3 222.307 2.904 20 0 BFADHN Cc1ncc(F)cc1CN1CCC[C@@H]1C ZINC001232987258 978386193 /nfs/dbraw/zinc/38/61/93/978386193.db2.gz RYCYMVKIDCXDQE-VIFPVBQESA-N 0 3 208.280 2.513 20 0 BFADHN CC[C@@H]1CCCN1Cc1cc(F)cnc1C ZINC001232990346 978386443 /nfs/dbraw/zinc/38/64/43/978386443.db2.gz VQVIUNWIXRTRLN-CYBMUJFWSA-N 0 3 222.307 2.904 20 0 BFADHN Cc1ncc(F)cc1CN1CCC[C@H]2C[C@H]21 ZINC001232994503 978391796 /nfs/dbraw/zinc/39/17/96/978391796.db2.gz XGMBCMWUPDILGL-GXFFZTMASA-N 0 3 220.291 2.513 20 0 BFADHN Cc1ncc(F)cc1CN1C[C@H](C)CC12CC2 ZINC001232993725 978392051 /nfs/dbraw/zinc/39/20/51/978392051.db2.gz RIHHAGSWQGQPQF-SNVBAGLBSA-N 0 3 234.318 2.904 20 0 BFADHN Cc1ncc(F)cc1CN(C)CCC1CC1 ZINC001232990489 978393634 /nfs/dbraw/zinc/39/36/34/978393634.db2.gz DFACOKKFWFDUTL-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN CC[C@@H](CNCc1ccn(C)n1)c1ccccc1 ZINC001177582452 978395822 /nfs/dbraw/zinc/39/58/22/978395822.db2.gz YEEUJQAUXQPHMI-ZDUSSCGKSA-N 0 3 243.354 2.704 20 0 BFADHN Cc1cc(C)c(CN2CCC[C@H]3C[C@H]32)cn1 ZINC001233012543 978413008 /nfs/dbraw/zinc/41/30/08/978413008.db2.gz OSZXYGYQESWPOE-GXTWGEPZSA-N 0 3 216.328 2.683 20 0 BFADHN C[C@H]1CCCN1Cc1cccc(O)c1F ZINC001233049385 978441672 /nfs/dbraw/zinc/44/16/72/978441672.db2.gz MWTCTMWKGOGUHG-VIFPVBQESA-N 0 3 209.264 2.516 20 0 BFADHN C[C@@H]1CCCN(Cc2cccc(O)c2F)C1 ZINC001233049568 978442655 /nfs/dbraw/zinc/44/26/55/978442655.db2.gz QQGWNMFPTSREPS-SNVBAGLBSA-N 0 3 223.291 2.763 20 0 BFADHN C[C@@H]1CN(Cc2cccc(O)c2F)C2(CC2)C1 ZINC001233054232 978451265 /nfs/dbraw/zinc/45/12/65/978451265.db2.gz GNNWQBQJVKGMDO-JTQLQIEISA-N 0 3 235.302 2.906 20 0 BFADHN Fc1ccc2c(c1)[nH]nc2CN1CCC[C@H]2C[C@H]21 ZINC001233129021 978473941 /nfs/dbraw/zinc/47/39/41/978473941.db2.gz SXLHGBAMUJMMOS-LKFCYVNXSA-N 0 3 245.301 2.686 20 0 BFADHN Cc1ccc(CN2CC[C@@H](OC(C)C)C2)cc1O ZINC001233235314 978507244 /nfs/dbraw/zinc/50/72/44/978507244.db2.gz QMCRDAROXBBJTE-CQSZACIVSA-N 0 3 249.354 2.700 20 0 BFADHN c1cc2c(c(CN3CC4(C3)CCCC4)c1)CCO2 ZINC001233274375 978523828 /nfs/dbraw/zinc/52/38/28/978523828.db2.gz NWJQMSCZEGPQLO-UHFFFAOYSA-N 0 3 243.350 2.998 20 0 BFADHN Cc1cnsc1CN(C)CC(C)(C)C ZINC001233320948 978557832 /nfs/dbraw/zinc/55/78/32/978557832.db2.gz TYFONQVXWFYTRO-UHFFFAOYSA-N 0 3 212.362 2.929 20 0 BFADHN Cc1cnsc1CN1CCC[C@H](CF)C1 ZINC001233320941 978559002 /nfs/dbraw/zinc/55/90/02/978559002.db2.gz SYPCTOZYKMIRMH-SNVBAGLBSA-N 0 3 228.336 2.633 20 0 BFADHN Cc1cnsc1CN1CC2CCC1CC2 ZINC001233321683 978561194 /nfs/dbraw/zinc/56/11/94/978561194.db2.gz ZBEGTPHFJCRQDD-UHFFFAOYSA-N 0 3 222.357 2.826 20 0 BFADHN CO[C@H]1CCN(Cc2cc3cccnc3s2)C1 ZINC001233346332 978566708 /nfs/dbraw/zinc/56/67/08/978566708.db2.gz ZZEHPHRVYUUNMU-NSHDSACASA-N 0 3 248.351 2.517 20 0 BFADHN CCN(CC)Cc1cnc2cccc(Cl)n12 ZINC001233355959 978570886 /nfs/dbraw/zinc/57/08/86/978570886.db2.gz UIQFDYQWFAFHOA-UHFFFAOYSA-N 0 3 237.734 2.830 20 0 BFADHN Cc1ncc(CN2CCC[C@@](C)(F)CC2)o1 ZINC001233364789 978572347 /nfs/dbraw/zinc/57/23/47/978572347.db2.gz CROBWXNSRBJZGE-GFCCVEGCSA-N 0 3 226.295 2.697 20 0 BFADHN CCCN(C)Cc1cnc2cccc(Cl)n12 ZINC001233355798 978572510 /nfs/dbraw/zinc/57/25/10/978572510.db2.gz MNQUUBUHUAEHNA-UHFFFAOYSA-N 0 3 237.734 2.830 20 0 BFADHN Cc1ncc(CN(C)CCc2ccccc2)o1 ZINC001233364719 978576077 /nfs/dbraw/zinc/57/60/77/978576077.db2.gz ZEZIUOBJXLQHRI-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN CN(Cc1cn2ccc(Cl)cc2n1)CC1CC1 ZINC001233388860 978597982 /nfs/dbraw/zinc/59/79/82/978597982.db2.gz OWQJEHFQLJZUBS-UHFFFAOYSA-N 0 3 249.745 2.830 20 0 BFADHN CCCN(CCC)Cc1cncc(Cl)n1 ZINC001233446304 978602128 /nfs/dbraw/zinc/60/21/28/978602128.db2.gz PQOOYJGHBBCAFU-UHFFFAOYSA-N 0 3 227.739 2.752 20 0 BFADHN CC(C)N(Cc1cncc(Cl)n1)C(C)C ZINC001233446298 978603011 /nfs/dbraw/zinc/60/30/11/978603011.db2.gz PNJJGADYRUKVQX-UHFFFAOYSA-N 0 3 227.739 2.749 20 0 BFADHN OCc1cc(NC[C@H]2C[C@H]2C2CCCC2)ccn1 ZINC001338144438 978622028 /nfs/dbraw/zinc/62/20/28/978622028.db2.gz WEQKOPGFMIHQTJ-DOMZBBRYSA-N 0 3 246.354 2.812 20 0 BFADHN CC[NH+](CC)C[C@@H](C)Oc1cccc([O-])c1F ZINC001233868377 978716958 /nfs/dbraw/zinc/71/69/58/978716958.db2.gz RFTWEOTZMFQOIL-SNVBAGLBSA-N 0 3 241.306 2.640 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2cn(C3CC3)cn2)C1 ZINC001179973865 978798732 /nfs/dbraw/zinc/79/87/32/978798732.db2.gz IIUVGMURZFPWSM-GFCCVEGCSA-N 0 3 233.359 2.696 20 0 BFADHN CC(C)[C@H]1CCN(Cc2cn(C3CC3)cn2)C1 ZINC001179973866 978799306 /nfs/dbraw/zinc/79/93/06/978799306.db2.gz IIUVGMURZFPWSM-LBPRGKRZSA-N 0 3 233.359 2.696 20 0 BFADHN CC(C)Oc1cccc(O[C@H]2CCCN(C)C2)c1 ZINC001234287678 978813452 /nfs/dbraw/zinc/81/34/52/978813452.db2.gz ATBFLXHNIBHDAH-HNNXBMFYSA-N 0 3 249.354 2.947 20 0 BFADHN CC(C)c1ccc(O[C@H]2CN3CCC2CC3)cn1 ZINC001234486103 978848240 /nfs/dbraw/zinc/84/82/40/978848240.db2.gz QSNNVIRAZGSZCC-HNNXBMFYSA-N 0 3 246.354 2.678 20 0 BFADHN CC(C)c1ccc(O[C@@H]2CCCN(C)C2)cn1 ZINC001234486257 978848827 /nfs/dbraw/zinc/84/88/27/978848827.db2.gz RGXNKJJHDCPUBU-CYBMUJFWSA-N 0 3 234.343 2.678 20 0 BFADHN CCC[C@H](C)NCC(=O)c1ccccc1 ZINC000722116140 978849788 /nfs/dbraw/zinc/84/97/88/978849788.db2.gz FYVFQRIPFDOGDX-NSHDSACASA-N 0 3 205.301 2.648 20 0 BFADHN Cc1cc(O[C@H]2CCCN(C)C2)cc(Cl)n1 ZINC001234619937 978874615 /nfs/dbraw/zinc/87/46/15/978874615.db2.gz RNQUMIQPFSHUQF-JTQLQIEISA-N 0 3 240.734 2.516 20 0 BFADHN CCc1ccc(OC)c(O[C@@H]2CCCN(C)C2)c1 ZINC001234641739 978883340 /nfs/dbraw/zinc/88/33/40/978883340.db2.gz AJUMLAWZZJYZKA-CYBMUJFWSA-N 0 3 249.354 2.731 20 0 BFADHN CC[C@H](C)N(C)Cc1c(N)cccc1F ZINC000070961612 978950160 /nfs/dbraw/zinc/95/01/60/978950160.db2.gz BRDRQUXGNHKERT-VIFPVBQESA-N 0 3 210.296 2.638 20 0 BFADHN CCc1cccc(CN2C3CCC2CC3)n1 ZINC001235257367 979009529 /nfs/dbraw/zinc/00/95/29/979009529.db2.gz GWYNNVPWJNSKPZ-UHFFFAOYSA-N 0 3 216.328 2.771 20 0 BFADHN CCc1cccc(CN2CC[C@](C)(CF)C2)n1 ZINC001235253967 979010026 /nfs/dbraw/zinc/01/00/26/979010026.db2.gz LJPXVZZUOIQWQQ-CQSZACIVSA-N 0 3 236.334 2.826 20 0 BFADHN CCc1cccc(CN2CC[C@@H](OC)C[C@H]2C)n1 ZINC001235272891 979017386 /nfs/dbraw/zinc/01/73/86/979017386.db2.gz XDWSFWWOAJDFJT-IUODEOHRSA-N 0 3 248.370 2.643 20 0 BFADHN CCOc1cc(O)cc(CN2CC3CC(C3)C2)c1 ZINC001235403376 979038048 /nfs/dbraw/zinc/03/80/48/979038048.db2.gz YVGWPMLRYYZFSY-UHFFFAOYSA-N 0 3 247.338 2.633 20 0 BFADHN COc1cncc(CN2CCCC23CC3)c1C ZINC001235467853 979050953 /nfs/dbraw/zinc/05/09/53/979050953.db2.gz QCEHQJXPQSXIDY-UHFFFAOYSA-N 0 3 232.327 2.527 20 0 BFADHN Clc1cc2c(CN3CCCC3)c[nH]c2cn1 ZINC001235501553 979054976 /nfs/dbraw/zinc/05/49/76/979054976.db2.gz CHALEJSHAFLCBC-UHFFFAOYSA-N 0 3 235.718 2.812 20 0 BFADHN COc1ncc(CN(C)C(C)C)cc1Cl ZINC001235695245 979122740 /nfs/dbraw/zinc/12/27/40/979122740.db2.gz SCODUGZEQGGDCS-UHFFFAOYSA-N 0 3 228.723 2.584 20 0 BFADHN CCOc1cc(CN(C)C2CC2)ccc1F ZINC001235797142 979152064 /nfs/dbraw/zinc/15/20/64/979152064.db2.gz ZZMAEVXDTJGMSD-UHFFFAOYSA-N 0 3 223.291 2.819 20 0 BFADHN CN[C@@H]1CCN1CC[C@@H]1CC=C(C)C1(C)C ZINC001236165394 979419405 /nfs/dbraw/zinc/41/94/05/979419405.db2.gz DIQHRPCDMAQSIY-STQMWFEESA-N 0 3 222.376 2.620 20 0 BFADHN COc1cc(-c2cccc(CN)n2)cc(C)c1F ZINC001236179735 979438858 /nfs/dbraw/zinc/43/88/58/979438858.db2.gz TUVQLVNUJJJXKA-UHFFFAOYSA-N 0 3 246.285 2.663 20 0 BFADHN COc1cc(C)cc(F)c1-c1ccnc(CN)c1 ZINC001236234223 979460602 /nfs/dbraw/zinc/46/06/02/979460602.db2.gz XDSMDLRHNREJGY-UHFFFAOYSA-N 0 3 246.285 2.663 20 0 BFADHN Fc1cccnc1CNC[C@@H]1C[C@H]1C1CCC1 ZINC001182507404 979547968 /nfs/dbraw/zinc/54/79/68/979547968.db2.gz CFSBENRGBAGVBJ-RYUDHWBXSA-N 0 3 234.318 2.747 20 0 BFADHN [O-]c1cc(C[N@@H+]2CCC[C@H]3C[C@H]32)ccc1F ZINC001236706916 979705896 /nfs/dbraw/zinc/70/58/96/979705896.db2.gz AXXIZNHFNFKHHL-CMPLNLGQSA-N 0 3 221.275 2.516 20 0 BFADHN [O-]c1cc(C[N@H+]2CCC[C@H]3C[C@H]32)ccc1F ZINC001236706916 979705905 /nfs/dbraw/zinc/70/59/05/979705905.db2.gz AXXIZNHFNFKHHL-CMPLNLGQSA-N 0 3 221.275 2.516 20 0 BFADHN COC[C@H]1CCN1Cc1cccc2occc21 ZINC001236744946 979725846 /nfs/dbraw/zinc/72/58/46/979725846.db2.gz GGSDFAFYNHFQDK-GFCCVEGCSA-N 0 3 231.295 2.654 20 0 BFADHN Cc1ccc2ccccc2c1CN1CCNC1 ZINC001236851195 979758572 /nfs/dbraw/zinc/75/85/72/979758572.db2.gz RSBKNYGCODCHJZ-UHFFFAOYSA-N 0 3 226.323 2.511 20 0 BFADHN OC1CCN(Cc2ccc(F)c3ccoc32)CC1 ZINC001236892974 979781100 /nfs/dbraw/zinc/78/11/00/979781100.db2.gz HXOGDYKADWAQMZ-UHFFFAOYSA-N 0 3 249.285 2.529 20 0 BFADHN CC1(C)CCC[N@@H+]1Cc1occc1[S-] ZINC001237181115 979832218 /nfs/dbraw/zinc/83/22/18/979832218.db2.gz MFGYZXYPMOKLTA-UHFFFAOYSA-N 0 3 211.330 2.943 20 0 BFADHN CC1(C)CCC[N@H+]1Cc1occc1[S-] ZINC001237181115 979832221 /nfs/dbraw/zinc/83/22/21/979832221.db2.gz MFGYZXYPMOKLTA-UHFFFAOYSA-N 0 3 211.330 2.943 20 0 BFADHN Cc1csc(CN2CCNC3=CCCC[C@@H]32)c1 ZINC001237218185 979849607 /nfs/dbraw/zinc/84/96/07/979849607.db2.gz GDARCZPZZZKTCV-AWEZNQCLSA-N 0 3 248.395 2.898 20 0 BFADHN c1ccc(CCN2CCNC3=CCCC[C@H]32)cc1 ZINC001237222026 979851031 /nfs/dbraw/zinc/85/10/31/979851031.db2.gz ZLRHFQGENNUGRU-MRXNPFEDSA-N 0 3 242.366 2.571 20 0 BFADHN CC[C@@H](C)N(C)Cc1n[nH]c2cc(OC)ccc21 ZINC001237385771 979880982 /nfs/dbraw/zinc/88/09/82/979880982.db2.gz CJQAZOFFLBJJPW-SNVBAGLBSA-N 0 3 247.342 2.802 20 0 BFADHN CCN(Cc1n[nH]c2cc(OC)ccc21)C(C)C ZINC001237396690 979884877 /nfs/dbraw/zinc/88/48/77/979884877.db2.gz MGPRMTJFTOKMPE-UHFFFAOYSA-N 0 3 247.342 2.802 20 0 BFADHN CCCN(CC)Cc1n[nH]c2cc(OC)ccc21 ZINC001237398140 979886064 /nfs/dbraw/zinc/88/60/64/979886064.db2.gz UOKCMNMJHQTLCL-UHFFFAOYSA-N 0 3 247.342 2.803 20 0 BFADHN c1c(CN2C[C@@H]3CCC[C@H]3C2)nn2ccccc12 ZINC001237439954 979893298 /nfs/dbraw/zinc/89/32/98/979893298.db2.gz CSCJMIPOFXAQTF-STQMWFEESA-N 0 3 241.338 2.566 20 0 BFADHN Clc1[nH]c2cnccc2c1CN1C[C@@H]2C[C@@H]2C1 ZINC001237448920 979899144 /nfs/dbraw/zinc/89/91/44/979899144.db2.gz KHMCCZYMHOQICY-DTORHVGOSA-N 0 3 247.729 2.668 20 0 BFADHN Cn1nc(CN[C@@H]2C[C@@H]3CCC[C@H]23)cc1C1CC1 ZINC001183982318 979922314 /nfs/dbraw/zinc/92/23/14/979922314.db2.gz ZMBAWZIUKWEEJX-FPMFFAJLSA-N 0 3 245.370 2.576 20 0 BFADHN COc1c(C)cccc1CN1CCC[C@H](OC)C1 ZINC001237500198 979924193 /nfs/dbraw/zinc/92/41/93/979924193.db2.gz HRGZCXJGSXFRGM-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CCC[C@@](C)(NC(=O)[C@@H](N)CC)c1ccccc1 ZINC001342915151 979938396 /nfs/dbraw/zinc/93/83/96/979938396.db2.gz PTLHXGAZTIVECQ-DZGCQCFKSA-N 0 3 248.370 2.555 20 0 BFADHN Clc1ncnc2ccc(CN3CCCC3)cc12 ZINC001237590928 979950198 /nfs/dbraw/zinc/95/01/98/979950198.db2.gz KGCXPEDWDRULQS-UHFFFAOYSA-N 0 3 247.729 2.879 20 0 BFADHN CCn1cncc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001237589361 979950485 /nfs/dbraw/zinc/95/04/85/979950485.db2.gz CAWZSXIVLRMIIZ-KGLIPLIRSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1cncc1CN1CC[C@@H](C)C[C@@H]1C ZINC001237590633 979950566 /nfs/dbraw/zinc/95/05/66/979950566.db2.gz RHJLKWJFNBXUNN-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN CCN(CC)Cc1ccnc(OC(F)F)c1 ZINC001237613339 979978390 /nfs/dbraw/zinc/97/83/90/979978390.db2.gz YQASUFSXOXIXIE-UHFFFAOYSA-N 0 3 230.258 2.525 20 0 BFADHN Cc1coc(CN2CCC[C@@H](C)[C@@H](C)C2)n1 ZINC001237636241 979986084 /nfs/dbraw/zinc/98/60/84/979986084.db2.gz WOSGWDTXUWOWRK-MNOVXSKESA-N 0 3 222.332 2.851 20 0 BFADHN CCCC1CCN(Cc2c[nH]nc2CC)CC1 ZINC001237655181 980021330 /nfs/dbraw/zinc/02/13/30/980021330.db2.gz QDYRWQRYWMTCCK-UHFFFAOYSA-N 0 3 235.375 2.984 20 0 BFADHN COc1ccc(C)c(CN2CC(C)(C)C2)c1 ZINC001237712288 980032995 /nfs/dbraw/zinc/03/29/95/980032995.db2.gz FOJKYDXPETWYHL-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN c1cc2c(c(CN3CC4CCC3CC4)c1)OCC2 ZINC001237748528 980041469 /nfs/dbraw/zinc/04/14/69/980041469.db2.gz JFYUGTUZTKPDTI-UHFFFAOYSA-N 0 3 243.350 2.996 20 0 BFADHN CCN(Cc1ccc(F)c(C)n1)C1CC1 ZINC001237812165 980062555 /nfs/dbraw/zinc/06/25/55/980062555.db2.gz BGDDQAOETILIIO-UHFFFAOYSA-N 0 3 208.280 2.513 20 0 BFADHN Cc1noc([C@H](N[C@@H]2CCC[C@H]2C)C(C)C)n1 ZINC001184437540 980071577 /nfs/dbraw/zinc/07/15/77/980071577.db2.gz AAUPUYRTBIQXJT-YUSALJHKSA-N 0 3 237.347 2.853 20 0 BFADHN CN(Cc1cccc(-c2ccccn2)n1)C1CC1 ZINC001237829704 980077352 /nfs/dbraw/zinc/07/73/52/980077352.db2.gz QYZSOIYWNNKNRV-UHFFFAOYSA-N 0 3 239.322 2.738 20 0 BFADHN COc1ccoc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001237870101 980105190 /nfs/dbraw/zinc/10/51/90/980105190.db2.gz UZGJVYVIURMGEQ-NWDGAFQWSA-N 0 3 235.327 2.910 20 0 BFADHN COc1ccoc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001237870105 980106810 /nfs/dbraw/zinc/10/68/10/980106810.db2.gz UZGJVYVIURMGEQ-RYUDHWBXSA-N 0 3 235.327 2.910 20 0 BFADHN COc1ccoc1CN1CCC(C)(C)CC1 ZINC001237872644 980108759 /nfs/dbraw/zinc/10/87/59/980108759.db2.gz XQDHKTXHYURNKF-UHFFFAOYSA-N 0 3 223.316 2.910 20 0 BFADHN CC(C)CN1CCC[C@H]1C(=O)Nc1ccccc1 ZINC000005522470 980112958 /nfs/dbraw/zinc/11/29/58/980112958.db2.gz VCNWTWUQFBIGNB-AWEZNQCLSA-N 0 3 246.354 2.746 20 0 BFADHN COc1cc(F)c(CCN2CCCC2)cc1F ZINC001250164042 980119460 /nfs/dbraw/zinc/11/94/60/980119460.db2.gz LPNMNNDFSXSRHV-UHFFFAOYSA-N 0 3 241.281 2.612 20 0 BFADHN Cc1sccc1CN1CC[C@@H]2CCO[C@H]2C1 ZINC001237970996 980121331 /nfs/dbraw/zinc/12/13/31/980121331.db2.gz ZLHKGRZWASVYJT-YPMHNXCESA-N 0 3 237.368 2.667 20 0 BFADHN CCN(C)Cc1[nH]nc2cc(Cl)ccc21 ZINC001237992150 980124533 /nfs/dbraw/zinc/12/45/33/980124533.db2.gz GQEBFEVIHTVMGO-UHFFFAOYSA-N 0 3 223.707 2.668 20 0 BFADHN COc1ncc(C2CC2)cc1CN1CCC[C@@H]1C ZINC001238027356 980129227 /nfs/dbraw/zinc/12/92/27/980129227.db2.gz DNXANMKNEDKNNR-NSHDSACASA-N 0 3 246.354 2.952 20 0 BFADHN CCOc1cc(CN2CC(C)C2)ccc1C ZINC001238070000 980136309 /nfs/dbraw/zinc/13/63/09/980136309.db2.gz ZTTPLJHPCYMAQS-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN CCO[C@H]1CCCN(Cc2cc(O)ccc2C)C1 ZINC001238099913 980147332 /nfs/dbraw/zinc/14/73/32/980147332.db2.gz UKJUHTCGRNUZAU-HNNXBMFYSA-N 0 3 249.354 2.702 20 0 BFADHN Cc1ncncc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001238170642 980203366 /nfs/dbraw/zinc/20/33/66/980203366.db2.gz NRZFWHMDCVREPY-KGLIPLIRSA-N 0 3 245.370 2.797 20 0 BFADHN CC1CN(Cc2cccc(-c3cc[nH]n3)c2)C1 ZINC001238198270 980221379 /nfs/dbraw/zinc/22/13/79/980221379.db2.gz XXTRXJWMDDDOCW-UHFFFAOYSA-N 0 3 227.311 2.528 20 0 BFADHN Fc1ccnc(Cl)c1CN1CC2CC(C2)C1 ZINC001238237728 980234064 /nfs/dbraw/zinc/23/40/64/980234064.db2.gz IZANQXYJTWHSBY-UHFFFAOYSA-N 0 3 240.709 2.716 20 0 BFADHN Cc1nnc(C[NH2+]C(C)(C)C2CCCCC2)[n-]1 ZINC001343789605 980238941 /nfs/dbraw/zinc/23/89/41/980238941.db2.gz HTKFHOPYEUJZAV-UHFFFAOYSA-N 0 3 236.363 2.562 20 0 BFADHN CCCCCN(C)Cc1cnc(F)c(OC)c1 ZINC001238448763 980269241 /nfs/dbraw/zinc/26/92/41/980269241.db2.gz WGSWRGZCHOUKDN-UHFFFAOYSA-N 0 3 240.322 2.851 20 0 BFADHN CC(C)Oc1cncc(CN(C)CC2CC2)c1 ZINC001238461935 980272986 /nfs/dbraw/zinc/27/29/86/980272986.db2.gz KJKBMDDXFPRKDD-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@H]1C[C@H](O)CN1Cc1ccc(F)c(C2CC2)c1 ZINC001238498374 980277996 /nfs/dbraw/zinc/27/79/96/980277996.db2.gz KANFZHOTXGSREJ-MFKMUULPSA-N 0 3 249.329 2.658 20 0 BFADHN CCN(CCOC)Cc1cnc(C2CC2)c(C)c1 ZINC001238615262 980301297 /nfs/dbraw/zinc/30/12/97/980301297.db2.gz BKBOZSHQYBTQQA-UHFFFAOYSA-N 0 3 248.370 2.736 20 0 BFADHN CSc1ncc(C)cc1CN(C)C(C)C ZINC001238712667 980312558 /nfs/dbraw/zinc/31/25/58/980312558.db2.gz JZBJXFHURDNVED-UHFFFAOYSA-N 0 3 224.373 2.952 20 0 BFADHN CSc1ncc(C)cc1CN1C[C@@H]2C[C@@H]2C1 ZINC001238709249 980314333 /nfs/dbraw/zinc/31/43/33/980314333.db2.gz HBKYWYUABCMFHT-PHIMTYICSA-N 0 3 234.368 2.564 20 0 BFADHN Cc1c(N)ccnc1-c1ccc(C2CC2)nc1 ZINC001238850484 980340824 /nfs/dbraw/zinc/34/08/24/980340824.db2.gz SVODBXOPCZFPEQ-UHFFFAOYSA-N 0 3 225.295 2.912 20 0 BFADHN Cc1ccc(-c2nccc3c2CCN3)c(C)n1 ZINC001239442520 980576947 /nfs/dbraw/zinc/57/69/47/980576947.db2.gz YPHLVCPOOPROHB-UHFFFAOYSA-N 0 3 225.295 2.728 20 0 BFADHN Fc1cccc(-c2ccc3c(n2)CNCC3)c1 ZINC001239533600 980707087 /nfs/dbraw/zinc/70/70/87/980707087.db2.gz LDKGFDWTUKELNZ-UHFFFAOYSA-N 0 3 228.270 2.533 20 0 BFADHN CN(C)Cc1cncc(-c2cccc(F)c2)c1 ZINC001239536220 980712621 /nfs/dbraw/zinc/71/26/21/980712621.db2.gz GOWOIITVYGKCMO-UHFFFAOYSA-N 0 3 230.286 2.949 20 0 BFADHN COc1ccc(-c2cc3[nH]ccc3c(C)n2)cn1 ZINC001239725784 980878783 /nfs/dbraw/zinc/87/87/83/980878783.db2.gz OIWQOAPDAVKINR-UHFFFAOYSA-N 0 3 239.278 2.942 20 0 BFADHN CC(=O)Nc1ccccc1-c1cc(N)c(C)cn1 ZINC001239779577 980911527 /nfs/dbraw/zinc/91/15/27/980911527.db2.gz PMZDGNFGLZLWFK-UHFFFAOYSA-N 0 3 241.294 2.598 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1C1CCC(C)CC1 ZINC000085081915 980940507 /nfs/dbraw/zinc/94/05/07/980940507.db2.gz SSWPDOABZMSVKZ-BPCQOVAHSA-N 0 3 239.359 2.593 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@@H]2CCCC[C@H]2C1 ZINC001347131050 980985807 /nfs/dbraw/zinc/98/58/07/980985807.db2.gz YRODQWGMXLEMSR-KBPBESRZSA-N 0 3 247.386 2.741 20 0 BFADHN NCc1cccc(-c2ccnc3ccccc32)n1 ZINC001239849295 980988779 /nfs/dbraw/zinc/98/87/79/980988779.db2.gz ZKWGSAFIXITRDE-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN COc1nscc1CN1CCC[C@@H]1C(C)C ZINC001191202696 980994788 /nfs/dbraw/zinc/99/47/88/980994788.db2.gz IRMGKOBRBSWUSK-LLVKDONJSA-N 0 3 240.372 2.772 20 0 BFADHN Cc1c(N)ccnc1-c1cccc2n[nH]cc21 ZINC001240312559 981283290 /nfs/dbraw/zinc/28/32/90/981283290.db2.gz YXCADYUKBDORBX-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN NCc1cccc(-c2ccc3ncsc3c2)n1 ZINC001240341577 981307824 /nfs/dbraw/zinc/30/78/24/981307824.db2.gz HGXHGEHVWKZUQE-UHFFFAOYSA-N 0 3 241.319 2.817 20 0 BFADHN NCc1ccc(-c2ccc3occc3c2)cn1 ZINC001240426234 981375238 /nfs/dbraw/zinc/37/52/38/981375238.db2.gz SFHHZBJLEBYVCR-UHFFFAOYSA-N 0 3 224.263 2.954 20 0 BFADHN COC(=O)NCCP(C(C)C)C(C)C ZINC001193942522 981526521 /nfs/dbraw/zinc/52/65/21/981526521.db2.gz IODDZSWGHIYZKP-UHFFFAOYSA-N 0 3 219.265 2.641 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@H]3OCC[C@H]3C2)c1 ZINC001205655145 981593085 /nfs/dbraw/zinc/59/30/85/981593085.db2.gz KKOWRHJUXNBCLQ-SWLSCSKDSA-N 0 3 249.329 2.745 20 0 BFADHN CC(C)(CF)NC[C@H]1CC1(Cl)Cl ZINC001349621456 981596300 /nfs/dbraw/zinc/59/63/00/981596300.db2.gz QSGZNNAORUEHAG-ZCFIWIBFSA-N 0 3 214.111 2.518 20 0 BFADHN CC[C@H](C)[C@H](C)N1C[C@@H](CC)[S@](=O)[C@@H](C)C1 ZINC001194241382 981615708 /nfs/dbraw/zinc/61/57/08/981615708.db2.gz RBYHAFKQDLAVES-VGBQHSPPSA-N 0 3 245.432 2.652 20 0 BFADHN NCc1cccc(-c2cccc3[nH]ccc32)n1 ZINC001240708895 981670952 /nfs/dbraw/zinc/67/09/52/981670952.db2.gz BPPFIFPFCULPSN-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN Cc1ncccc1-c1cnccc1/C=C/N(C)C ZINC001240728276 981776503 /nfs/dbraw/zinc/77/65/03/981776503.db2.gz GUSSLIPJZIZGEA-JXMROGBWSA-N 0 3 239.322 2.984 20 0 BFADHN CCC[C@H](C)CCCN1CCOC[C@@H]1C ZINC001194519680 981823960 /nfs/dbraw/zinc/82/39/60/981823960.db2.gz RXEMSLDIVKNEFX-STQMWFEESA-N 0 3 213.365 2.924 20 0 BFADHN [NH3+]Cc1cccc(-c2ccc([O-])c(F)c2)c1 ZINC001240785566 981825524 /nfs/dbraw/zinc/82/55/24/981825524.db2.gz GBVXNMGOUHXTSK-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN [O-]c1ccc(-c2cccc3c2C[NH2+]CC3)cc1F ZINC001240786189 981829646 /nfs/dbraw/zinc/82/96/46/981829646.db2.gz AFUFSMYVIWASJG-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN c1ccc([C@H]2CCCN(CC3=NOCC3)C2)cc1 ZINC001194533911 981831222 /nfs/dbraw/zinc/83/12/22/981831222.db2.gz LTLHEYYVRYHLHI-AWEZNQCLSA-N 0 3 244.338 2.642 20 0 BFADHN c1cc(CN2CCC[C@@H]2c2cccnc2)c[nH]1 ZINC000336590222 981902339 /nfs/dbraw/zinc/90/23/39/981902339.db2.gz YNIRHUNJIYJLFE-CQSZACIVSA-N 0 3 227.311 2.747 20 0 BFADHN COc1ccc(-c2ccc(CN)nc2)cc1C ZINC001240865618 981909294 /nfs/dbraw/zinc/90/92/94/981909294.db2.gz YTRGHUUJXJGWKB-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN Cc1n[nH]c(CN2CCC[C@@H]3CCC[C@@H]32)c1C ZINC001350309641 981920431 /nfs/dbraw/zinc/92/04/31/981920431.db2.gz KYHWVVLGHSFXLX-JSGCOSHPSA-N 0 3 233.359 2.791 20 0 BFADHN CN(C)c1ccnc(-c2cccc(O)c2)c1 ZINC001240923522 981985744 /nfs/dbraw/zinc/98/57/44/981985744.db2.gz LHSMWGNXSXIDPT-UHFFFAOYSA-N 0 3 214.268 2.520 20 0 BFADHN FC(F)CCCNCc1cnc(C2CC2)o1 ZINC001350901801 982118199 /nfs/dbraw/zinc/11/81/99/982118199.db2.gz WGFUJQQIWINYKK-UHFFFAOYSA-N 0 3 230.258 2.687 20 0 BFADHN NCc1cccc(-c2c(F)ccc(F)c2F)n1 ZINC001241045888 982148835 /nfs/dbraw/zinc/14/88/35/982148835.db2.gz LXZRTQCCMMGBMN-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN COc1cc(C)c(-c2ccc(CN)nc2)cc1C ZINC001241135803 982264118 /nfs/dbraw/zinc/26/41/18/982264118.db2.gz HKMXLVXDNIDYEJ-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN COc1cc(C)c(-c2cccc(CN)n2)cc1C ZINC001241133906 982268789 /nfs/dbraw/zinc/26/87/89/982268789.db2.gz LDQNONDHRDZMOT-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN CCn1ccc(CN(C)C/C=C(/C)COC)c1 ZINC001351386774 982303689 /nfs/dbraw/zinc/30/36/89/982303689.db2.gz GNEMXPQXEKDNSC-MLPAPPSSSA-N 0 3 236.359 2.533 20 0 BFADHN CO[C@@H]1CC[C@@H]1N(C)Cc1csc(C)c1 ZINC001351736056 982368324 /nfs/dbraw/zinc/36/83/24/982368324.db2.gz JFDOUXWFYJAIBX-NWDGAFQWSA-N 0 3 225.357 2.666 20 0 BFADHN Nc1cc2ccccc2n1-c1ccncc1 ZINC000080454986 982427776 /nfs/dbraw/zinc/42/77/76/982427776.db2.gz KSKHADKQVOSRIS-UHFFFAOYSA-N 0 3 209.252 2.608 20 0 BFADHN CCSCCCNc1cc(N)ncc1Cl ZINC001352017181 982439815 /nfs/dbraw/zinc/43/98/15/982439815.db2.gz SUJOJWQNUXZJDE-UHFFFAOYSA-N 0 3 245.779 2.872 20 0 BFADHN Cc1cc(C2=CCN(C)CC2)nc2cc[nH]c21 ZINC001241307623 982443486 /nfs/dbraw/zinc/44/34/86/982443486.db2.gz DAKYHKXGNVNOQY-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN Cc1cc2cnc(C3=CCN(C)CC3)nc2s1 ZINC001241310517 982453690 /nfs/dbraw/zinc/45/36/90/982453690.db2.gz FZHPVHLVSCGKCY-UHFFFAOYSA-N 0 3 245.351 2.719 20 0 BFADHN CN1CC=C(c2ccc(-n3cccc3)cn2)CC1 ZINC001241312530 982457661 /nfs/dbraw/zinc/45/76/61/982457661.db2.gz DRBNLCSDZQEGBT-UHFFFAOYSA-N 0 3 239.322 2.591 20 0 BFADHN CN1CC=C(c2ccc3occc3n2)CC1 ZINC001241310322 982457757 /nfs/dbraw/zinc/45/77/57/982457757.db2.gz AHRBXLODKDWALI-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN CN1CC=C(c2ncccc2-n2cccc2)CC1 ZINC001241310705 982458806 /nfs/dbraw/zinc/45/88/06/982458806.db2.gz JZOZCVOBBDQSRD-UHFFFAOYSA-N 0 3 239.322 2.591 20 0 BFADHN CCCCc1nc(C)cc(C2=CCN(C)CC2)n1 ZINC001241312625 982464762 /nfs/dbraw/zinc/46/47/62/982464762.db2.gz JSWUPMSKMMZECL-UHFFFAOYSA-N 0 3 245.370 2.847 20 0 BFADHN COC(OC)c1ccccc1C1=CCN(C)CC1 ZINC001241316827 982468889 /nfs/dbraw/zinc/46/88/89/982468889.db2.gz FCDFRJORWMECJC-UHFFFAOYSA-N 0 3 247.338 2.697 20 0 BFADHN CN1CC=C(c2cnc3occc3c2)CC1 ZINC001241319126 982475122 /nfs/dbraw/zinc/47/51/22/982475122.db2.gz CRLFDHIYIBMTHL-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN COC(=O)c1cc(C2=CCN(C)CC2)ccc1C ZINC001241317961 982476538 /nfs/dbraw/zinc/47/65/38/982476538.db2.gz VRTQIMHKMFYNGB-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN COc1c(F)cc(C2=CCN(C)CC2)cc1F ZINC001241319493 982480635 /nfs/dbraw/zinc/48/06/35/982480635.db2.gz LMTCQAWUGARGIB-UHFFFAOYSA-N 0 3 239.265 2.692 20 0 BFADHN CC(=O)Nc1ccc(C2=CCN(C)CC2)cc1F ZINC001241319603 982480684 /nfs/dbraw/zinc/48/06/84/982480684.db2.gz NSRFJULBLAMXHU-UHFFFAOYSA-N 0 3 248.301 2.503 20 0 BFADHN CN1CC=C(c2cccc3ccc(=O)oc32)CC1 ZINC001241322215 982480780 /nfs/dbraw/zinc/48/07/80/982480780.db2.gz KDKFKRVESYJBJH-UHFFFAOYSA-N 0 3 241.290 2.512 20 0 BFADHN Cc1cc2cc(C3=CCN(C)CC3)cnc2[nH]1 ZINC001241320246 982481154 /nfs/dbraw/zinc/48/11/54/982481154.db2.gz YOMCBUDBAQMRTQ-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CC(=O)Nc1ccc(C)cc1C1=CCN(C)CC1 ZINC001241321838 982482136 /nfs/dbraw/zinc/48/21/36/982482136.db2.gz FLOJQJJCEFKUMI-UHFFFAOYSA-N 0 3 244.338 2.672 20 0 BFADHN COc1ccc(C2=CCN(C)CC2)cc1C ZINC001241322279 982484422 /nfs/dbraw/zinc/48/44/22/982484422.db2.gz KXFUBVWHFHIVEN-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN COCc1ccc(C2=CCN(C)CC2)c(C)c1 ZINC001241332307 982495608 /nfs/dbraw/zinc/49/56/08/982495608.db2.gz JAZHZMCPNMZQOX-UHFFFAOYSA-N 0 3 231.339 2.860 20 0 BFADHN CN(C)c1ccc(F)cc1C1=CCN(C)CC1 ZINC001241332206 982496898 /nfs/dbraw/zinc/49/68/98/982496898.db2.gz HHYJUOJILCZQBA-UHFFFAOYSA-N 0 3 234.318 2.611 20 0 BFADHN CC(C)COc1ccc(C2=CCN(C)CC2)cn1 ZINC001241333670 982499600 /nfs/dbraw/zinc/49/96/00/982499600.db2.gz WEWJCOYFUACNNR-UHFFFAOYSA-N 0 3 246.354 2.835 20 0 BFADHN COc1c(C)cnc(CN[C@@H]2C=CCCC2)c1C ZINC001352849281 982600729 /nfs/dbraw/zinc/60/07/29/982600729.db2.gz DXYDOHTZQGWPHI-CYBMUJFWSA-N 0 3 246.354 2.905 20 0 BFADHN CO[C@@H](CNC(C)(C)CF)Cc1ccccc1 ZINC001352861477 982607891 /nfs/dbraw/zinc/60/78/91/982607891.db2.gz JDVZHNKWKBHOSZ-CYBMUJFWSA-N 0 3 239.334 2.582 20 0 BFADHN Cc1cnc(-c2cccc3cnn(C)c32)cc1N ZINC001241455805 982613328 /nfs/dbraw/zinc/61/33/28/982613328.db2.gz HMLHOVNYLYBYDG-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN Cc1cnc(-c2ccc3n[nH]cc3c2C)cc1N ZINC001241473397 982632022 /nfs/dbraw/zinc/63/20/22/982632022.db2.gz YBBZFVYLYNZQEI-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN Cc1ccc2n[nH]cc2c1-c1nccc(N)c1C ZINC001241518274 982680111 /nfs/dbraw/zinc/68/01/11/982680111.db2.gz MMKPUCBWKIATPJ-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN CCC[C@H]1CCCN1Cc1ccc(F)cn1 ZINC001198431286 982726508 /nfs/dbraw/zinc/72/65/08/982726508.db2.gz WTHXMBBIKJONBN-ZDUSSCGKSA-N 0 3 222.307 2.985 20 0 BFADHN Cc1ccc2ncnc(C3=CCCN(C)C3)c2c1 ZINC001241566328 982808238 /nfs/dbraw/zinc/80/82/38/982808238.db2.gz XFZXAYXVPBYCFH-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1cc2nc(C3=CCCN(C)C3)ccc2[nH]1 ZINC001241565945 982809206 /nfs/dbraw/zinc/80/92/06/982809206.db2.gz PXRBVTRVINVATL-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN Cc1ccc2nc(C3=CCCN(C)C3)ccc2n1 ZINC001241566434 982809239 /nfs/dbraw/zinc/80/92/39/982809239.db2.gz YMYCBBZCAUUPDS-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1nnc(C2=CCCN(C)C2)c2ccccc12 ZINC001241567456 982811205 /nfs/dbraw/zinc/81/12/05/982811205.db2.gz CNQMEYBEZKQBSG-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN CCOc1ccccc1C1=CCCN(C)C1 ZINC001241568578 982814989 /nfs/dbraw/zinc/81/49/89/982814989.db2.gz IHIDVBSTKHMABX-UHFFFAOYSA-N 0 3 217.312 2.804 20 0 BFADHN Cc1ccc(CN2CCCC[C@]23CCOC3)nc1 ZINC001198772107 982833811 /nfs/dbraw/zinc/83/38/11/982833811.db2.gz YPPUSBHCOPUMMR-OAHLLOKOSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1cn(C)nc1CN1CC=CC12CCCCC2 ZINC001198801388 982848063 /nfs/dbraw/zinc/84/80/63/982848063.db2.gz RJFXBSUKFFSFJW-UHFFFAOYSA-N 0 3 245.370 2.803 20 0 BFADHN Cc1ccnc(N)c1CN1CC(C)(C)C1(C)C ZINC001353581105 982898242 /nfs/dbraw/zinc/89/82/42/982898242.db2.gz HTKXALAYOCTSFI-UHFFFAOYSA-N 0 3 233.359 2.593 20 0 BFADHN COc1ccc(C)c(-c2ccnc(CN)c2)c1 ZINC001241674707 982922434 /nfs/dbraw/zinc/92/24/34/982922434.db2.gz OGURPZBEQVWANI-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN c1cc(C2=CCCCC2)nc2c1CNCCO2 ZINC001241715195 982972804 /nfs/dbraw/zinc/97/28/04/982972804.db2.gz LMYAWHMQWCXVQT-UHFFFAOYSA-N 0 3 230.311 2.521 20 0 BFADHN c1nc2c(cc1C1=CCCCC1)CNCC2 ZINC001241716839 982981743 /nfs/dbraw/zinc/98/17/43/982981743.db2.gz JRZQEAAIAGVSFG-UHFFFAOYSA-N 0 3 214.312 2.685 20 0 BFADHN COc1cccc(-c2ccc(CN)nc2)c1Cl ZINC001241858714 983086458 /nfs/dbraw/zinc/08/64/58/983086458.db2.gz ZXJDMJCZSSNWEC-UHFFFAOYSA-N 0 3 248.713 2.869 20 0 BFADHN CN(C)c1ccc(Nc2ccncc2)cc1 ZINC000082714581 983161224 /nfs/dbraw/zinc/16/12/24/983161224.db2.gz MQWGTOBWHSPUNB-UHFFFAOYSA-N 0 3 213.284 2.891 20 0 BFADHN CC1(NCc2nccc3c2CCCC3)CC1 ZINC001354160093 983179951 /nfs/dbraw/zinc/17/99/51/983179951.db2.gz JTRXOGXKWSEPKT-UHFFFAOYSA-N 0 3 216.328 2.603 20 0 BFADHN c1cnc([C@@H]2CCCN2C[C@@H]2CC=CCC2)nc1 ZINC001201426580 983224769 /nfs/dbraw/zinc/22/47/69/983224769.db2.gz MRSPGTCJAHNCCF-KGLIPLIRSA-N 0 3 243.354 2.970 20 0 BFADHN CCOC(=O)CN(CC(C)(C)C)C1CCCC1 ZINC001201442230 983242055 /nfs/dbraw/zinc/24/20/55/983242055.db2.gz VGKXAZPFSOGDCY-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN CO[C@H](C)c1cccc(-c2ccnc(CN)c2)c1 ZINC001242034437 983247099 /nfs/dbraw/zinc/24/70/99/983247099.db2.gz KDTUDILBBWPPMD-LLVKDONJSA-N 0 3 242.322 2.915 20 0 BFADHN CC[C@H](C)CN1CC[C@](C)(F)[C@@H](F)C1 ZINC001201503243 983272401 /nfs/dbraw/zinc/27/24/01/983272401.db2.gz RWJHJNAKTMEGTK-DCAQKATOSA-N 0 3 205.292 2.805 20 0 BFADHN Cc1ccn2cc(CN(C)CC(C)C)nc2c1 ZINC001201483144 983290676 /nfs/dbraw/zinc/29/06/76/983290676.db2.gz WRCIVSBLLNNJAY-UHFFFAOYSA-N 0 3 231.343 2.731 20 0 BFADHN Cc1cc(N)ncc1-c1cncc2cc[nH]c21 ZINC001242085564 983335344 /nfs/dbraw/zinc/33/53/44/983335344.db2.gz XUDMIMHNLHVNQX-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1nncs1 ZINC001354625507 983335863 /nfs/dbraw/zinc/33/58/63/983335863.db2.gz XLRBAKAWFKKSDW-VHSXEESVSA-N 0 3 225.361 2.597 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1ccn(CC)n1 ZINC001200733397 983336561 /nfs/dbraw/zinc/33/65/61/983336561.db2.gz LANDUZGWNSLRRM-NEPJUHHUSA-N 0 3 223.364 2.817 20 0 BFADHN CCN1CCSC[C@@H]1c1cccc(OC)c1 ZINC001201590145 983352781 /nfs/dbraw/zinc/35/27/81/983352781.db2.gz PAGRWHWTCNDUMS-CYBMUJFWSA-N 0 3 237.368 2.805 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2)CCCC1=O ZINC001201595788 983358979 /nfs/dbraw/zinc/35/89/79/983358979.db2.gz RCDMIHYEWSWLFN-CYBMUJFWSA-N 0 3 231.339 2.530 20 0 BFADHN CC(C)[C@H]1COCCN1CCc1ccccc1 ZINC001201604403 983374576 /nfs/dbraw/zinc/37/45/76/983374576.db2.gz VRRSGMIFZHTGCI-OAHLLOKOSA-N 0 3 233.355 2.586 20 0 BFADHN CCCN1CC[C@H](Oc2cc(F)ccc2F)C1 ZINC001201643639 983398355 /nfs/dbraw/zinc/39/83/55/983398355.db2.gz ZGNARTWZRMVLJF-NSHDSACASA-N 0 3 241.281 2.828 20 0 BFADHN Nc1ccnc(-c2ccc(OCC3CC3)nc2)c1 ZINC001242212705 983408299 /nfs/dbraw/zinc/40/82/99/983408299.db2.gz PCPXOPDYAPWNSQ-UHFFFAOYSA-N 0 3 241.294 2.515 20 0 BFADHN CCCCN1CCC(=O)[C@@H]2CCCC[C@H]21 ZINC001201700207 983413356 /nfs/dbraw/zinc/41/33/56/983413356.db2.gz CLESJPGCJXGYBU-VXGBXAGGSA-N 0 3 209.333 2.620 20 0 BFADHN CCCCN1CCc2nc(Cl)ccc2C1 ZINC001201713383 983420642 /nfs/dbraw/zinc/42/06/42/983420642.db2.gz DVVPGESMIURXFP-UHFFFAOYSA-N 0 3 224.735 2.893 20 0 BFADHN COc1ccc(CN(C)CCC(C)C)o1 ZINC001355215858 983450542 /nfs/dbraw/zinc/45/05/42/983450542.db2.gz LMVBCGJPTCARDJ-UHFFFAOYSA-N 0 3 211.305 2.766 20 0 BFADHN Cc1cc2n[nH]cc2cc1-c1nccc(N)c1C ZINC001242281177 983500616 /nfs/dbraw/zinc/50/06/16/983500616.db2.gz GWKXWFKOVDQVIH-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN Cc1cc(F)ccc1N1CCN(CC2CC2)CC1 ZINC001201951614 983523425 /nfs/dbraw/zinc/52/34/25/983523425.db2.gz PWGKDJRZEGITCH-UHFFFAOYSA-N 0 3 248.345 2.666 20 0 BFADHN Cc1c(F)c(F)ccc1-c1ccnc(CN)c1 ZINC001242306831 983531628 /nfs/dbraw/zinc/53/16/28/983531628.db2.gz LLCWNNMCEUNXAR-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN COC(=O)[C@H](C(C)C)N(C)CCC(C)(C)C ZINC001202096233 983559602 /nfs/dbraw/zinc/55/96/02/983559602.db2.gz LUXZHRYQJVIDIZ-NSHDSACASA-N 0 3 229.364 2.552 20 0 BFADHN CN1CCN(CCC(C)(C)C)Cc2cccnc21 ZINC001202077115 983566152 /nfs/dbraw/zinc/56/61/52/983566152.db2.gz AUZUGDXYPBFJQA-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN Cc1cccc(-c2cc(CN(C)C)ccn2)c1O ZINC001242345320 983600622 /nfs/dbraw/zinc/60/06/22/983600622.db2.gz KXYNPYFTQIGSAE-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN [NH3+]CCc1ccccc1-c1cccc(F)c1[O-] ZINC001242352161 983630416 /nfs/dbraw/zinc/63/04/16/983630416.db2.gz OSTUPVNDNVXQDN-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN FC(F)(F)CCCN1CCC[C@@]2(CCO2)C1 ZINC001202424525 983651886 /nfs/dbraw/zinc/65/18/86/983651886.db2.gz IVZRIMRHIOOIRH-SNVBAGLBSA-N 0 3 237.265 2.584 20 0 BFADHN Cc1ncc(CN(C)CCCC(F)(F)F)cn1 ZINC001202434014 983673662 /nfs/dbraw/zinc/67/36/62/983673662.db2.gz XSNRVTAQABLJSH-UHFFFAOYSA-N 0 3 247.264 2.559 20 0 BFADHN CC(C)n1cc(-c2cc(CN(C)C)ccn2)cn1 ZINC001242435069 983738108 /nfs/dbraw/zinc/73/81/08/983738108.db2.gz OOIXRGZKKRXTHG-UHFFFAOYSA-N 0 3 244.342 2.588 20 0 BFADHN CO[C@@H]1CCN(Cc2c[nH]c3c2cccc3F)C1 ZINC001137051275 983784127 /nfs/dbraw/zinc/78/41/27/983784127.db2.gz LBTSDTYNURRCPL-LLVKDONJSA-N 0 3 248.301 2.528 20 0 BFADHN CCC1(C(=O)Nc2cccc3c2CNC3)CCC1 ZINC001358059687 983821226 /nfs/dbraw/zinc/82/12/26/983821226.db2.gz MVKIDRGSKROOFX-UHFFFAOYSA-N 0 3 244.338 2.809 20 0 BFADHN c1cc(N2CCCC2)oc1CN1CCCCC1 ZINC001137060646 983892142 /nfs/dbraw/zinc/89/21/42/983892142.db2.gz YLKFUBOQPMULON-UHFFFAOYSA-N 0 3 234.343 2.866 20 0 BFADHN CCN1CCN(Cc2ccccc2C(C)C)CC1 ZINC000149790674 983958258 /nfs/dbraw/zinc/95/82/58/983958258.db2.gz ZFIOOIXAWWTMPJ-UHFFFAOYSA-N 0 3 246.398 2.948 20 0 BFADHN Cc1cc(F)ccc1C1=CCN(C)CC1 ZINC000201277009 983983196 /nfs/dbraw/zinc/98/31/96/983983196.db2.gz VLYZENGQWCOCNI-UHFFFAOYSA-N 0 3 205.276 2.853 20 0 BFADHN C[C@H](O)c1ccc(-c2cc(N(C)C)ccn2)cc1 ZINC001242643241 984012297 /nfs/dbraw/zinc/01/22/97/984012297.db2.gz UNHLYAAHHMAVJB-NSHDSACASA-N 0 3 242.322 2.868 20 0 BFADHN CN(C)Cc1ccnc(-c2cc(O)ccc2F)c1 ZINC001242762330 984128173 /nfs/dbraw/zinc/12/81/73/984128173.db2.gz ZIMMYFKHFPCGEU-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN C[C@@]1(F)CCN(CC2CCC2)C[C@@H]1F ZINC001202705099 984160082 /nfs/dbraw/zinc/16/00/82/984160082.db2.gz BLCQGQCZRJANND-WDEREUQCSA-N 0 3 203.276 2.559 20 0 BFADHN F[C@@H]1CN(CC2CCC2)CCCC1(F)F ZINC001202705548 984160566 /nfs/dbraw/zinc/16/05/66/984160566.db2.gz HQIHNOSHFQBMHL-SNVBAGLBSA-N 0 3 221.266 2.856 20 0 BFADHN c1c[nH]c(CN2CCC[C@H](c3ccccn3)C2)c1 ZINC001204010967 984169407 /nfs/dbraw/zinc/16/94/07/984169407.db2.gz YTWLQALJCVGDHL-ZDUSSCGKSA-N 0 3 241.338 2.789 20 0 BFADHN C[C@H]1CC[C@@H](C(=O)Nc2cccc3c2CNC3)C1 ZINC001576637054 984178621 /nfs/dbraw/zinc/17/86/21/984178621.db2.gz OZAUHVPVPACFRQ-WDEREUQCSA-N 0 3 244.338 2.665 20 0 BFADHN Clc1ccncc1CN1CCC[C@H]2C[C@H]21 ZINC001137239338 984205407 /nfs/dbraw/zinc/20/54/07/984205407.db2.gz RBTMANQKEVEGPW-JOYOIKCWSA-N 0 3 222.719 2.719 20 0 BFADHN CCCCC[C@@H](O)CNC1(c2ccccn2)CC1 ZINC001253554018 984205948 /nfs/dbraw/zinc/20/59/48/984205948.db2.gz OFSAWOYGDUGPCO-CYBMUJFWSA-N 0 3 248.370 2.602 20 0 BFADHN Clc1ccncc1CN1CC2CC(C2)C1 ZINC001137239161 984206331 /nfs/dbraw/zinc/20/63/31/984206331.db2.gz JEVYMBPSBPQICU-UHFFFAOYSA-N 0 3 222.719 2.577 20 0 BFADHN Cc1nc2cc(Nc3c(C)ncn3C)ccc2[nH]1 ZINC001213072370 984259488 /nfs/dbraw/zinc/25/94/88/984259488.db2.gz PPRAIHGEMFFEQY-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN Cc1nc2ccc(Nc3c(C)ncn3C)cc2[nH]1 ZINC001213072370 984259501 /nfs/dbraw/zinc/25/95/01/984259501.db2.gz PPRAIHGEMFFEQY-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN COc1cc(C)ccc1-c1nccc(N)c1C ZINC001242978596 984347769 /nfs/dbraw/zinc/34/77/69/984347769.db2.gz VUEJKQJEZIDIKG-UHFFFAOYSA-N 0 3 228.295 2.956 20 0 BFADHN [O-]c1cc(-c2cccc3c2CC[NH2+]C3)ccc1F ZINC001243048839 984393361 /nfs/dbraw/zinc/39/33/61/984393361.db2.gz MLYQNAUBMGQDQZ-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN [O-]c1cc(-c2ccc3c(c2)C[NH2+]C3)ccc1F ZINC001243048627 984397225 /nfs/dbraw/zinc/39/72/25/984397225.db2.gz LAICXSKDIHVPEX-UHFFFAOYSA-N 0 3 229.254 2.802 20 0 BFADHN C[C@H]([NH3+])c1ccc(-c2ccc(F)c([O-])c2)cn1 ZINC001243050941 984410866 /nfs/dbraw/zinc/41/08/66/984410866.db2.gz LRXHNZAAUBGLME-QMMMGPOBSA-N 0 3 232.258 2.613 20 0 BFADHN CCCC[C@H](CC)CN1C[C@H]2C[C@@H](C1)N2C ZINC001275046169 984451805 /nfs/dbraw/zinc/45/18/05/984451805.db2.gz XNBXUXMTRJOUIZ-MELADBBJSA-N 0 3 224.392 2.591 20 0 BFADHN CCCC[C@H](CC)CN1C[C@H]2C[C@@H](C1)N2CC ZINC001275085232 984478678 /nfs/dbraw/zinc/47/86/78/984478678.db2.gz QDOLBQCWPSYDNV-SOUVJXGZSA-N 0 3 238.419 2.981 20 0 BFADHN NCc1ccc(-c2cc3ccccc3[nH]2)cn1 ZINC001243103214 984540179 /nfs/dbraw/zinc/54/01/79/984540179.db2.gz HPFCKHDSYHBSMT-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN Cc1ccc(-c2cc(CN(C)C)ccn2)cc1O ZINC001243229965 984755614 /nfs/dbraw/zinc/75/56/14/984755614.db2.gz ZHJKCURABXCWNR-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN Cc1ccc(-c2cncc(CN(C)C)c2)cc1O ZINC001243230374 984763824 /nfs/dbraw/zinc/76/38/24/984763824.db2.gz FGKGARDNMFFZRX-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN Nc1nc2ccc(-c3ccncc3Cl)cc2[nH]1 ZINC001243387752 984877678 /nfs/dbraw/zinc/87/76/78/984877678.db2.gz DPXQSCBFHMENCC-UHFFFAOYSA-N 0 3 244.685 2.861 20 0 BFADHN Nc1nc2cc(-c3ccncc3Cl)ccc2[nH]1 ZINC001243387752 984877683 /nfs/dbraw/zinc/87/76/83/984877683.db2.gz DPXQSCBFHMENCC-UHFFFAOYSA-N 0 3 244.685 2.861 20 0 BFADHN CCC[C@H](C)NCc1cn(CC(F)(F)F)cn1 ZINC001257314291 984890304 /nfs/dbraw/zinc/89/03/04/984890304.db2.gz UJFMGMSFWUNWSS-VIFPVBQESA-N 0 3 249.280 2.724 20 0 BFADHN CCOc1cc(C)ccc1-c1ccc(CN)nc1 ZINC001243405664 984892940 /nfs/dbraw/zinc/89/29/40/984892940.db2.gz MYYPLWVMOXHGFH-UHFFFAOYSA-N 0 3 242.322 2.914 20 0 BFADHN c1cnc([C@@H]2CCCN2C2CCCC2)nc1 ZINC001257354881 984918299 /nfs/dbraw/zinc/91/82/99/984918299.db2.gz PFBQKIDXVZOTHZ-LBPRGKRZSA-N 0 3 217.316 2.556 20 0 BFADHN COC/C(C)=C/CN(C)[C@H](C)c1ccncc1 ZINC000930119961 984947600 /nfs/dbraw/zinc/94/76/00/984947600.db2.gz SZCDJTIHICMUGD-BWODNOAJSA-N 0 3 234.343 2.667 20 0 BFADHN COC/C(C)=C\CN1CCC[C@H]1c1ccncc1 ZINC000930109234 984948273 /nfs/dbraw/zinc/94/82/73/984948273.db2.gz GGQMUXDRYDEINZ-QLBUUCPGSA-N 0 3 246.354 2.811 20 0 BFADHN CCCC[C@H](CC)N1CCc2ncncc2C1 ZINC001257423048 984983328 /nfs/dbraw/zinc/98/33/28/984983328.db2.gz LIFXONGWZCMFKO-ZDUSSCGKSA-N 0 3 233.359 2.804 20 0 BFADHN CCCC[C@@H](CC)N1CC(=O)N(CC)C[C@H]1C ZINC001257426400 984993164 /nfs/dbraw/zinc/99/31/64/984993164.db2.gz MCUSEFIUQIFVMF-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H](C)NCc1cc(F)cc(F)c1F ZINC001257427119 984994376 /nfs/dbraw/zinc/99/43/76/984994376.db2.gz ACTZJZKYLDALDA-ZETCQYMHSA-N 0 3 217.234 2.992 20 0 BFADHN CC[C@H](C)N1CCc2cc3c(cc2C1)OCO3 ZINC001257437134 985009748 /nfs/dbraw/zinc/00/97/48/985009748.db2.gz CRTVCGZUESOYKZ-JTQLQIEISA-N 0 3 233.311 2.572 20 0 BFADHN CC[C@H](C)N1CCC[C@H]1c1cc(C)on1 ZINC001257437042 985011129 /nfs/dbraw/zinc/01/11/29/985011129.db2.gz ANXSCBJCQDDJOG-CABZTGNLSA-N 0 3 208.305 2.918 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)n1nncc1CN ZINC001257469791 985091508 /nfs/dbraw/zinc/09/15/08/985091508.db2.gz ZQPHQBFGPFFXHG-MNOVXSKESA-N 0 3 224.352 2.514 20 0 BFADHN [NH3+]CCc1cccc(-c2cccc([O-])c2F)c1 ZINC001243546602 985092166 /nfs/dbraw/zinc/09/21/66/985092166.db2.gz AMSYTLYAUZTDPO-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN C[NH+](C)Cc1cccc(-c2cccc([O-])c2F)n1 ZINC001243546910 985096106 /nfs/dbraw/zinc/09/61/06/985096106.db2.gz REPYBGRPGLDFMF-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC=CO2)c(F)c1 ZINC000686501910 985123837 /nfs/dbraw/zinc/12/38/37/985123837.db2.gz ULFQLJMISGKZRZ-ZDUSSCGKSA-N 0 3 235.302 2.916 20 0 BFADHN CSc1ccc(-c2ccnc(CN)c2)c(C)n1 ZINC001243589771 985147056 /nfs/dbraw/zinc/14/70/56/985147056.db2.gz SMFDDHQNEKNZCD-UHFFFAOYSA-N 0 3 245.351 2.633 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)N1CC[C@@H](O)[C@H](F)C1 ZINC001258063775 985369581 /nfs/dbraw/zinc/36/95/81/985369581.db2.gz NXZGAHQXROLRQL-XJFOESAGSA-N 0 3 245.382 2.852 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1csnc1OC ZINC001459643400 985568192 /nfs/dbraw/zinc/56/81/92/985568192.db2.gz JZWWDIAQJKFDQQ-GXSJLCMTSA-N 0 3 240.372 2.772 20 0 BFADHN C[C@@H](Cc1ccco1)N1CCc2cnccc2C1 ZINC001258187731 985580628 /nfs/dbraw/zinc/58/06/28/985580628.db2.gz ZJRVBHIRWBEASW-LBPRGKRZSA-N 0 3 242.322 2.664 20 0 BFADHN CCOC(=O)CN(CC1CC(C)C1)C(C)(C)C ZINC001459687923 985583613 /nfs/dbraw/zinc/58/36/13/985583613.db2.gz JSVUYKVXOJIPDJ-UHFFFAOYSA-N 0 3 241.375 2.696 20 0 BFADHN CCC[C@@H]1CN(CC[C@@H]2C[C@H]2C2CC2)CCO1 ZINC001459763170 985604864 /nfs/dbraw/zinc/60/48/64/985604864.db2.gz KTZKJPXPQREGCA-KFWWJZLASA-N 0 3 237.387 2.924 20 0 BFADHN CCC[C@H]1CN(CC[C@@H]2C[C@H]2C2CC2)CCO1 ZINC001459763168 985609820 /nfs/dbraw/zinc/60/98/20/985609820.db2.gz KTZKJPXPQREGCA-ILXRZTDVSA-N 0 3 237.387 2.924 20 0 BFADHN COc1cccc2nccc(C3=CNCCC3)c21 ZINC001243949329 985636971 /nfs/dbraw/zinc/63/69/71/985636971.db2.gz BAGAGXSADYSMLY-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN COc1cc(F)cc(C2=CNCCC2)c1 ZINC001243949091 985638423 /nfs/dbraw/zinc/63/84/23/985638423.db2.gz ILISQLWNPCJILV-UHFFFAOYSA-N 0 3 207.248 2.559 20 0 BFADHN CCc1nnc(C[NH2+]C2CCCCCCC2)[n-]1 ZINC000392475579 985642456 /nfs/dbraw/zinc/64/24/56/985642456.db2.gz WDEZVDNVSWIIBM-UHFFFAOYSA-N 0 3 236.363 2.570 20 0 BFADHN CCOc1ccc(C2=CNCCC2)c(C)n1 ZINC001243950774 985650635 /nfs/dbraw/zinc/65/06/35/985650635.db2.gz MXBUCOUATQJOAD-UHFFFAOYSA-N 0 3 218.300 2.513 20 0 BFADHN COCc1ccccc1C1=CNCCC1 ZINC001243951563 985653759 /nfs/dbraw/zinc/65/37/59/985653759.db2.gz KCZKNAWINNLVMZ-UHFFFAOYSA-N 0 3 203.285 2.557 20 0 BFADHN c1cc(C2=CNCCC2)cc(OCC2CC2)n1 ZINC001243951659 985656442 /nfs/dbraw/zinc/65/64/42/985656442.db2.gz KXSBEGYOVUWGPO-UHFFFAOYSA-N 0 3 230.311 2.595 20 0 BFADHN CC1(C)CNc2cc(C3=CNCCC3)cnc21 ZINC001243951932 985656539 /nfs/dbraw/zinc/65/65/39/985656539.db2.gz CZGZUXCMAAIWME-UHFFFAOYSA-N 0 3 229.327 2.509 20 0 BFADHN CC1(C)C(=O)Nc2cccc(C3=CNCCC3)c21 ZINC001243951207 985656662 /nfs/dbraw/zinc/65/66/62/985656662.db2.gz GACLZALJTZNPQH-UHFFFAOYSA-N 0 3 242.322 2.641 20 0 BFADHN Nc1ccc2cccc(C3=CNCCC3)c2n1 ZINC001243951485 985657551 /nfs/dbraw/zinc/65/75/51/985657551.db2.gz ITINGJZQUMAVQP-UHFFFAOYSA-N 0 3 225.295 2.541 20 0 BFADHN Fc1cc(C2=CNCCC2)cc(F)c1F ZINC001243951553 985658070 /nfs/dbraw/zinc/65/80/70/985658070.db2.gz AUOHJOZSPDUUDJ-UHFFFAOYSA-N 0 3 213.202 2.828 20 0 BFADHN CSCC[C@H](C)N1CCc2ncccc2C1 ZINC001258223155 985662736 /nfs/dbraw/zinc/66/27/36/985662736.db2.gz WATYRGIMWKDKKJ-NSHDSACASA-N 0 3 236.384 2.581 20 0 BFADHN CC(C)Oc1ccc(C2=CNCCC2)cn1 ZINC001243953005 985666987 /nfs/dbraw/zinc/66/69/87/985666987.db2.gz RPVKXXVVEGDDQI-UHFFFAOYSA-N 0 3 218.300 2.593 20 0 BFADHN CC(C)c1nnc2ccc(C3=CNCCC3)cn21 ZINC001243953232 985669738 /nfs/dbraw/zinc/66/97/38/985669738.db2.gz ZKUZGBBMRVMJIT-UHFFFAOYSA-N 0 3 242.326 2.577 20 0 BFADHN C[C@H]([C@H](C)O)N1CC(C)(C)[C@@H]1c1cccs1 ZINC001460201626 985796306 /nfs/dbraw/zinc/79/63/06/985796306.db2.gz FZORPMALHKXRDF-SCVCMEIPSA-N 0 3 239.384 2.900 20 0 BFADHN CO[C@H]1CN([C@@H](C)CC(C)(C)SC)C[C@@H]1F ZINC001258333795 985811455 /nfs/dbraw/zinc/81/14/55/985811455.db2.gz BCMAJDXZZUKRDW-DCAQKATOSA-N 0 3 249.395 2.575 20 0 BFADHN COC(=O)CC[C@H](C)N1CCCC[C@](C)(F)C1 ZINC001258366608 985848618 /nfs/dbraw/zinc/84/86/18/985848618.db2.gz SWAUETBBRQZYEC-AAEUAGOBSA-N 0 3 245.338 2.542 20 0 BFADHN Cc1cc(C)c(-c2cccc(CN(C)C)n2)cn1 ZINC001244147929 985853077 /nfs/dbraw/zinc/85/30/77/985853077.db2.gz LHYCVTAZCXODHG-UHFFFAOYSA-N 0 3 241.338 2.822 20 0 BFADHN CCCCC[C@@H](CC)N1CCC[C@@H]1C(=O)OC ZINC001258433645 985875241 /nfs/dbraw/zinc/87/52/41/985875241.db2.gz BOTNJSBJKCKSJS-CHWSQXEVSA-N 0 3 241.375 2.983 20 0 BFADHN Cc1cnc(-c2ccc(Cl)cc2N)cc1N ZINC001244197366 985883376 /nfs/dbraw/zinc/88/33/76/985883376.db2.gz GIUFNQMCGARXJF-UHFFFAOYSA-N 0 3 233.702 2.875 20 0 BFADHN CCCCC[C@H](CC)N1C[C@H]2C[C@@H](C1)O2 ZINC001258446838 985888360 /nfs/dbraw/zinc/88/83/60/985888360.db2.gz VNEQYPMMROCDBW-RWMBFGLXSA-N 0 3 211.349 2.818 20 0 BFADHN CC(C)c1ccc(N)c(-c2cccc(CN)n2)c1 ZINC001244209611 985890578 /nfs/dbraw/zinc/89/05/78/985890578.db2.gz JYWHVBIWICTTQP-UHFFFAOYSA-N 0 3 241.338 2.913 20 0 BFADHN C[C@H](CC1CC1)NCC(=O)c1ccc(F)cc1 ZINC001258448774 985891028 /nfs/dbraw/zinc/89/10/28/985891028.db2.gz RDIOEAABIGGZTC-SNVBAGLBSA-N 0 3 235.302 2.787 20 0 BFADHN C[C@H](CC1CC1)N1CCC[C@H]1c1ncccn1 ZINC001258471592 985935707 /nfs/dbraw/zinc/93/57/07/985935707.db2.gz AJBCJGFYOTXUEL-YPMHNXCESA-N 0 3 231.343 2.802 20 0 BFADHN CC(C)c1cc(-c2ccnc(CN)c2)ccc1N ZINC001244334740 985976029 /nfs/dbraw/zinc/97/60/29/985976029.db2.gz LZVBYIYJAKVIFG-UHFFFAOYSA-N 0 3 241.338 2.913 20 0 BFADHN Cc1cc(N)ccc1-c1cncc(CN(C)C)c1 ZINC001244371748 986019915 /nfs/dbraw/zinc/01/99/15/986019915.db2.gz XJEXFMRXMQQRNJ-UHFFFAOYSA-N 0 3 241.338 2.701 20 0 BFADHN CCN(CC(=O)OC)[C@@H]1C[C@H](C)CC(C)(C)C1 ZINC001258700992 986028693 /nfs/dbraw/zinc/02/86/93/986028693.db2.gz BPUUZHOGVPFAKC-NWDGAFQWSA-N 0 3 241.375 2.696 20 0 BFADHN CN(C)Cc1cccc(-c2cc(F)ccc2N)n1 ZINC001244395954 986044138 /nfs/dbraw/zinc/04/41/38/986044138.db2.gz DOZFWVGAUKIAOW-UHFFFAOYSA-N 0 3 245.301 2.532 20 0 BFADHN CCCC[C@@H](CCC)N1CCN(C)C(=O)[C@@H]1C ZINC001258765143 986139753 /nfs/dbraw/zinc/13/97/53/986139753.db2.gz CCHREJKOHTWNKB-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN COc1ccc(C)c(F)c1-c1cccc(CN)n1 ZINC001244562601 986250084 /nfs/dbraw/zinc/25/00/84/986250084.db2.gz DGVIFRWJIFGDIO-UHFFFAOYSA-N 0 3 246.285 2.663 20 0 BFADHN CCCn1cc(CN2CC[C@H]2C(C)C)c(C)n1 ZINC001462002104 986255665 /nfs/dbraw/zinc/25/56/65/986255665.db2.gz VJXQMZIKYMSUPK-AWEZNQCLSA-N 0 3 235.375 2.832 20 0 BFADHN Cc1c(N)ccnc1-c1cccc(Cl)c1CO ZINC001244573709 986257319 /nfs/dbraw/zinc/25/73/19/986257319.db2.gz WIXXTJJNECOKFZ-UHFFFAOYSA-N 0 3 248.713 2.785 20 0 BFADHN Cc1cc(CO)ccc1-c1nccc2c1CCN2 ZINC001244580567 986262086 /nfs/dbraw/zinc/26/20/86/986262086.db2.gz JFLCQHNZDGBGKE-UHFFFAOYSA-N 0 3 240.306 2.517 20 0 BFADHN CSc1ncc(C)cc1-c1cnccc1N ZINC001244881401 986414192 /nfs/dbraw/zinc/41/41/92/986414192.db2.gz RMJIZBVVGGGFTP-UHFFFAOYSA-N 0 3 231.324 2.586 20 0 BFADHN OCc1ccc(-c2c[nH]cc3ccnc2-3)c(F)c1 ZINC001244911349 986449664 /nfs/dbraw/zinc/44/96/64/986449664.db2.gz BEJDUXSJBZPKIE-UHFFFAOYSA-N 0 3 242.253 2.861 20 0 BFADHN C[C@H]1C[C@@H]([NH2+]Cc2ccc([O-])c(F)c2)CS1 ZINC000397808908 986471518 /nfs/dbraw/zinc/47/15/18/986471518.db2.gz FYEARLQBYWDBLE-WCBMZHEXSA-N 0 3 241.331 2.515 20 0 BFADHN Nc1cc[nH+]cc1-c1cc(Cl)ccc1[O-] ZINC001245050394 986605273 /nfs/dbraw/zinc/60/52/73/986605273.db2.gz GSIMITKGDZQGRB-UHFFFAOYSA-N 0 3 220.659 2.520 20 0 BFADHN CCN(CC)Cc1cncc(C2=CCCOC2)c1 ZINC001245162504 986712841 /nfs/dbraw/zinc/71/28/41/986712841.db2.gz XUDGJIPCGQNWHS-UHFFFAOYSA-N 0 3 246.354 2.727 20 0 BFADHN C[C@@H]1C[C@H](O)CCN1Cc1cc2ccccc2[nH]1 ZINC001137320010 986761527 /nfs/dbraw/zinc/76/15/27/986761527.db2.gz UMOWTYJOCDSQEJ-BXUZGUMPSA-N 0 3 244.338 2.513 20 0 BFADHN Cc1cc(C)c(CN2CC[C@H]3OCCC[C@@H]3C2)[nH]1 ZINC001137321143 986761926 /nfs/dbraw/zinc/76/19/26/986761926.db2.gz CXKLBYVKWHIMDO-UKRRQHHQSA-N 0 3 248.370 2.632 20 0 BFADHN Cc1cc(C)c(CN2CC[C@H](OC(C)C)C2)[nH]1 ZINC001137331334 986787851 /nfs/dbraw/zinc/78/78/51/986787851.db2.gz ZYBFBQRLZFDCOG-ZDUSSCGKSA-N 0 3 236.359 2.631 20 0 BFADHN CO[C@H]1CCN(Cc2c[nH]c3ccc(F)cc23)C1 ZINC001137335435 986802013 /nfs/dbraw/zinc/80/20/13/986802013.db2.gz SAPOKDQRLYMBHD-LBPRGKRZSA-N 0 3 248.301 2.528 20 0 BFADHN F[C@@H]1CCCN(Cc2n[nH]c3ccccc32)CC1 ZINC001137345163 986809854 /nfs/dbraw/zinc/80/98/54/986809854.db2.gz VKAIWPPEHMVXMZ-LLVKDONJSA-N 0 3 247.317 2.887 20 0 BFADHN Cc1c(N)ccnc1-c1c[nH]c2cnccc21 ZINC001245393176 986828180 /nfs/dbraw/zinc/82/81/80/986828180.db2.gz HQWFUHDEJPFJFS-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN CCCOC1CCN(Cc2ccnc(C)c2)CC1 ZINC001137413034 986885148 /nfs/dbraw/zinc/88/51/48/986885148.db2.gz TYWSAHRYKXCOKT-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1cc(CN2CC[C@@H]3C[C@@H]3C2)cnc1Cl ZINC000827506993 986896888 /nfs/dbraw/zinc/89/68/88/986896888.db2.gz QOWJLQQSCHGCLH-VXGBXAGGSA-N 0 3 236.746 2.885 20 0 BFADHN c1cc2cnc(-c3ccc4cncn4c3)cc2[nH]1 ZINC001245895345 987105695 /nfs/dbraw/zinc/10/56/95/987105695.db2.gz WJAXEEZCAKZBPO-UHFFFAOYSA-N 0 3 234.262 2.878 20 0 BFADHN c1ncn2cc(-c3ccc4cncn4c3)ccc12 ZINC001245898313 987131662 /nfs/dbraw/zinc/13/16/62/987131662.db2.gz OXHXCLLQALRGAK-UHFFFAOYSA-N 0 3 234.262 2.649 20 0 BFADHN Cc1csc(CN[C@H](C)Cc2ccccn2)n1 ZINC000694018520 987318197 /nfs/dbraw/zinc/31/81/97/987318197.db2.gz HBDVIURJDPSTLW-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN COc1c(C)cnc(CN[C@H]2C=CCC2)c1C ZINC000698223640 987370492 /nfs/dbraw/zinc/37/04/92/987370492.db2.gz YXMBPTXUAZCLBE-LBPRGKRZSA-N 0 3 232.327 2.515 20 0 BFADHN C[C@H]1COC[C@@H]1NCc1sccc1Cl ZINC000699889795 987379882 /nfs/dbraw/zinc/37/98/82/987379882.db2.gz QAUSKWJSKUAOMR-CBAPKCEASA-N 0 3 231.748 2.526 20 0 BFADHN Cc1cccc(CN2CCC3(COC3)CC2)c1F ZINC001137672694 987383661 /nfs/dbraw/zinc/38/36/61/987383661.db2.gz UDQQTRCHQFRALF-UHFFFAOYSA-N 0 3 249.329 2.747 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1csnc1OC ZINC001473409288 987418161 /nfs/dbraw/zinc/41/81/61/987418161.db2.gz CZOLAKYPAWQPOV-GXSJLCMTSA-N 0 3 240.372 2.772 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1csnc1OC ZINC001473409292 987419112 /nfs/dbraw/zinc/41/91/12/987419112.db2.gz CZOLAKYPAWQPOV-MWLCHTKSSA-N 0 3 240.372 2.772 20 0 BFADHN CCCC1CCN(Cc2cnn(CC)c2)CC1 ZINC001116443458 987581231 /nfs/dbraw/zinc/58/12/31/987581231.db2.gz WJQWORRMJYWWBS-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CC(C)c1ccc2c(c1)CCN([C@H]1CCOC1)C2 ZINC001473904472 987611065 /nfs/dbraw/zinc/61/10/65/987611065.db2.gz YRYAFGVXNRAGNO-INIZCTEOSA-N 0 3 245.366 2.957 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccc[nH]2)cc(C)n1 ZINC001474099682 987643079 /nfs/dbraw/zinc/64/30/79/987643079.db2.gz OFGKATRIDQGIJB-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN COc1nsc(CN[C@@H](C)C2CC2)c1Cl ZINC001474164946 987652396 /nfs/dbraw/zinc/65/23/96/987652396.db2.gz XAYAOEIOLYPNLO-LURJTMIESA-N 0 3 246.763 2.693 20 0 BFADHN Cc1cc(CN2CC(C)(C)CC(C)(C)C2)[nH]n1 ZINC001116639482 987654629 /nfs/dbraw/zinc/65/46/29/987654629.db2.gz YCHYUJVSNOJDRN-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN C[C@H](NC1CCC2(CCC2)CC1)c1ncnn1C ZINC001474486559 987738153 /nfs/dbraw/zinc/73/81/53/987738153.db2.gz OPOKKRATCBUVPG-NSHDSACASA-N 0 3 248.374 2.579 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N1C[C@@H](F)[C@@H](N)C1 ZINC001246531038 987885637 /nfs/dbraw/zinc/88/56/37/987885637.db2.gz PASVONVDTPLVSS-LOWDOPEQSA-N 0 3 230.371 2.572 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N1C[C@@H](F)[C@@H](N)C1 ZINC001246531039 987886721 /nfs/dbraw/zinc/88/67/21/987886721.db2.gz PASVONVDTPLVSS-LPWJVIDDSA-N 0 3 230.371 2.572 20 0 BFADHN Cc1cc(CN(C)CCc2ccccc2C)n[nH]1 ZINC001117790304 987916291 /nfs/dbraw/zinc/91/62/91/987916291.db2.gz WWCUFNFKHBDAJO-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN CC[N@H+](Cc1cc(=O)[n-]c(C2CC2)n1)C(C)(C)C ZINC001117813963 987934664 /nfs/dbraw/zinc/93/46/64/987934664.db2.gz SXKDGDPTKHQRCW-UHFFFAOYSA-N 0 3 249.358 2.680 20 0 BFADHN CC[N@@H+](Cc1cc(=O)[n-]c(C2CC2)n1)C(C)(C)C ZINC001117813963 987934678 /nfs/dbraw/zinc/93/46/78/987934678.db2.gz SXKDGDPTKHQRCW-UHFFFAOYSA-N 0 3 249.358 2.680 20 0 BFADHN C[C@@H](Cc1ccsc1)N1CC[C@@]12CCOC2 ZINC001117994177 987976726 /nfs/dbraw/zinc/97/67/26/987976726.db2.gz LDWNLUJHZAFERI-AAEUAGOBSA-N 0 3 237.368 2.544 20 0 BFADHN Cc1cnc2ccccc2c1N[C@@H]1CO[C@@H](C)C1 ZINC001118126306 988026355 /nfs/dbraw/zinc/02/63/55/988026355.db2.gz LCYVKSWFTPZAJE-RYUDHWBXSA-N 0 3 242.322 2.554 20 0 BFADHN CCCCC[C@@H](C)N1CCC(F)(F)[C@@H](N)C1 ZINC001246582548 988124724 /nfs/dbraw/zinc/12/47/24/988124724.db2.gz NSVGVYVMQDVMCX-MNOVXSKESA-N 0 3 234.334 2.624 20 0 BFADHN CC(C)=CCC[C@H](C)N1CCC(F)(F)[C@H](N)C1 ZINC001246582569 988125815 /nfs/dbraw/zinc/12/58/15/988125815.db2.gz NVVZVWXCNVMCAT-NWDGAFQWSA-N 0 3 246.345 2.790 20 0 BFADHN COC(=O)c1cccc(CN2CC[C@H]2C(C)C)c1 ZINC001322476433 988293152 /nfs/dbraw/zinc/29/31/52/988293152.db2.gz WOAGLHKRVDJCLV-AWEZNQCLSA-N 0 3 247.338 2.704 20 0 BFADHN c1cc(CN2CC[C@@H]3CCCC[C@@H]3C2)on1 ZINC001322738953 988370859 /nfs/dbraw/zinc/37/08/59/988370859.db2.gz OPFILTMOKDIBQL-NWDGAFQWSA-N 0 3 220.316 2.687 20 0 BFADHN Cc1cc(CN2CCC[C@H](CC(C)C)C2)[nH]n1 ZINC001323324955 988497220 /nfs/dbraw/zinc/49/72/20/988497220.db2.gz YLDXVVFUDKCHQK-CYBMUJFWSA-N 0 3 235.375 2.976 20 0 BFADHN CCCCCC(=O)NC[C@H]1CCN1CCCC ZINC001498920276 988513132 /nfs/dbraw/zinc/51/31/32/988513132.db2.gz JDLRIOFOQWPUSF-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN C[C@H](N(C)Cc1cccnc1N(C)C)C1(C)CC1 ZINC001323713349 988594314 /nfs/dbraw/zinc/59/43/14/988594314.db2.gz NYHXFNRXWVQGOK-LBPRGKRZSA-N 0 3 247.386 2.768 20 0 BFADHN Cc1cccnc1CNCC1(C(F)(F)F)CC1 ZINC001324003691 988662771 /nfs/dbraw/zinc/66/27/71/988662771.db2.gz XQZMNRRWKSVFIA-UHFFFAOYSA-N 0 3 244.260 2.822 20 0 BFADHN CCCCC[C@@H](CCC)N1CC[C@@H]1NC ZINC001246894905 988666180 /nfs/dbraw/zinc/66/61/80/988666180.db2.gz CUPJTQFDVOXYGS-CHWSQXEVSA-N 0 3 212.381 2.987 20 0 BFADHN CN[C@@H]1CCN1[C@@H](C)C[C@H](C)CC(C)C ZINC001246900364 988673990 /nfs/dbraw/zinc/67/39/90/988673990.db2.gz RIUWGWRFSDRWGG-AGIUHOORSA-N 0 3 212.381 2.698 20 0 BFADHN CN[C@@H]1CCN1[C@@H](C)Cc1ccc(Cl)cc1 ZINC001246899873 988677431 /nfs/dbraw/zinc/67/74/31/988677431.db2.gz QTRGLPJXRIKEAB-GWCFXTLKSA-N 0 3 238.762 2.522 20 0 BFADHN CN[C@@H]1CCN1C1CCC2(CCCC2)CC1 ZINC001246903949 988679447 /nfs/dbraw/zinc/67/94/47/988679447.db2.gz RRZDNWSWLOIUFG-ZDUSSCGKSA-N 0 3 222.376 2.741 20 0 BFADHN CCCCCC[C@@H](CCC)N1CCNC1 ZINC001246989769 988819005 /nfs/dbraw/zinc/81/90/05/988819005.db2.gz HFDTUAKMPQYXJD-CYBMUJFWSA-N 0 3 212.381 2.988 20 0 BFADHN CCCn1c2cc(OCC)ccc2nc1[C@@H](C)N ZINC001247041914 988847298 /nfs/dbraw/zinc/84/72/98/988847298.db2.gz BRBNVQLPZLRGTM-SNVBAGLBSA-N 0 3 247.342 2.865 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cc(C)n[nH]2)C1 ZINC001324745716 988963204 /nfs/dbraw/zinc/96/32/04/988963204.db2.gz FBHRWYKIVBBNSP-CYBMUJFWSA-N 0 3 221.348 2.730 20 0 BFADHN CCC1(C)CCN(Cc2cc(C)n[nH]2)CC1 ZINC001324743909 988963555 /nfs/dbraw/zinc/96/35/55/988963555.db2.gz PIXLERUZNMBXQY-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN Cc1cnc(NCCN(C)Cc2ccccc2)o1 ZINC001119401370 989040922 /nfs/dbraw/zinc/04/09/22/989040922.db2.gz CGYDCSFEPBNBAV-UHFFFAOYSA-N 0 3 245.326 2.527 20 0 BFADHN Cc1ncoc1CN1CCSC(C)(C)CC1 ZINC001119513708 989122703 /nfs/dbraw/zinc/12/27/03/989122703.db2.gz UFUWIYPPNTTYQY-UHFFFAOYSA-N 0 3 240.372 2.701 20 0 BFADHN Cc1ccc2nc(CN(C)CC3CC3)[nH]c2c1 ZINC001248839608 989187562 /nfs/dbraw/zinc/18/75/62/989187562.db2.gz PUBDDVNDZVEYOF-UHFFFAOYSA-N 0 3 229.327 2.713 20 0 BFADHN Cn1cc(CN2CCCC2)c2c1ccnc2Cl ZINC001248833951 989193673 /nfs/dbraw/zinc/19/36/73/989193673.db2.gz BDJXPKSBEAGARB-UHFFFAOYSA-N 0 3 249.745 2.823 20 0 BFADHN Cc1cc(C)nc(CN2CCC[C@H]2C(C)C)n1 ZINC001248977355 989240847 /nfs/dbraw/zinc/24/08/47/989240847.db2.gz FRZQNHLTPZYRAR-ZDUSSCGKSA-N 0 3 233.359 2.714 20 0 BFADHN CC[C@H](C)c1nn(C)cc1CN1CC2CC(C2)C1 ZINC001249410301 989273265 /nfs/dbraw/zinc/27/32/65/989273265.db2.gz SRQGIQZBCNOZGT-HIFPTAJRSA-N 0 3 247.386 2.775 20 0 BFADHN CC[C@H](C)c1nn(C)cc1CN1CCC[C@H]2C[C@H]21 ZINC001249410232 989275222 /nfs/dbraw/zinc/27/52/22/989275222.db2.gz QQYDERBLHSKAIB-SGMGOOAPSA-N 0 3 247.386 2.918 20 0 BFADHN C[C@H]([NH2+][C@H]1CC[C@H]1C)c1cc([O-])cc(F)c1 ZINC001119705776 989347498 /nfs/dbraw/zinc/34/74/98/989347498.db2.gz YUHRBMPWOLZDII-ZDMBXUJBSA-N 0 3 223.291 2.980 20 0 BFADHN FC1(F)CCC[C@H]1CCN1CC[C@@]12CCOC2 ZINC001119792070 989408039 /nfs/dbraw/zinc/40/80/39/989408039.db2.gz LDRBCKRFPABNOH-RYUDHWBXSA-N 0 3 245.313 2.677 20 0 BFADHN F[C@@H]1CCC[C@@H](NCc2nccs2)C1 ZINC001326492054 989584629 /nfs/dbraw/zinc/58/46/29/989584629.db2.gz VKHVCCNACRYBMJ-RKDXNWHRSA-N 0 3 214.309 2.513 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)NC(C(C)C)C(C)C ZINC001122486322 990397231 /nfs/dbraw/zinc/39/72/31/990397231.db2.gz WYWFLIWXXHKBNY-NWDGAFQWSA-N 0 3 242.407 2.547 20 0 BFADHN Cc1cccc(CN2C[C@H]3[C@H](CF)[C@H]3C2)c1F ZINC001137678187 990840707 /nfs/dbraw/zinc/84/07/07/990840707.db2.gz AJDGJWVWGJHICX-XYYAHUGASA-N 0 3 237.293 2.781 20 0 BFADHN CCOc1cccc(CN2CC[C@H]2C)c1 ZINC001138095814 990881142 /nfs/dbraw/zinc/88/11/42/990881142.db2.gz FQKMWOGOJMBXAU-LLVKDONJSA-N 0 3 205.301 2.680 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1Cc1cc(O)cc(O)c1 ZINC001138323471 990914227 /nfs/dbraw/zinc/91/42/27/990914227.db2.gz WRHDECFWIWEXEW-GHMZBOCLSA-N 0 3 235.327 2.861 20 0 BFADHN C[C@@H]1CCCN(Cc2cc(O)cc(O)c2)[C@H]1C ZINC001138328338 990917527 /nfs/dbraw/zinc/91/75/27/990917527.db2.gz GJNCPTWOJXZTPZ-MNOVXSKESA-N 0 3 235.327 2.718 20 0 BFADHN CC1(C)CCN(Cc2cc(O)cc(O)c2)CC1 ZINC001138328497 990918106 /nfs/dbraw/zinc/91/81/06/990918106.db2.gz LJOYOROKZYVXJT-UHFFFAOYSA-N 0 3 235.327 2.720 20 0 BFADHN [O-]c1cccnc1C[NH+]1CC2(C1)CCCCC2 ZINC001138394148 990928726 /nfs/dbraw/zinc/92/87/26/990928726.db2.gz HHOOAAGHDDGQRE-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN CCN(Cc1cc(F)c(OC)c(F)c1)C1CC1 ZINC001138421017 990944801 /nfs/dbraw/zinc/94/48/01/990944801.db2.gz WGRCUQCSUVMKRI-UHFFFAOYSA-N 0 3 241.281 2.958 20 0 BFADHN CCCN(C)Cc1cc(F)c(OC)c(F)c1 ZINC001138420928 990945528 /nfs/dbraw/zinc/94/55/28/990945528.db2.gz VANVZTCYOAWXJD-UHFFFAOYSA-N 0 3 229.270 2.815 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)N1CCOCC1 ZINC001258062606 990956518 /nfs/dbraw/zinc/95/65/18/990956518.db2.gz KUPBVRJKBBEATB-CHWSQXEVSA-N 0 3 213.365 2.779 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2cc(C)co2)C1(C)C ZINC001308286952 990960800 /nfs/dbraw/zinc/96/08/00/990960800.db2.gz XCMWNONBWZZGSE-TZMCWYRMSA-N 0 3 237.343 2.881 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)N(C)C1COC1 ZINC001258068455 990964001 /nfs/dbraw/zinc/96/40/01/990964001.db2.gz FJGJOKMVWHWKPT-RYUDHWBXSA-N 0 3 213.365 2.778 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)N1C[C@@H]2C[C@H]1CO2 ZINC001258069786 990965669 /nfs/dbraw/zinc/96/56/69/990965669.db2.gz KWVOCNCVTLLOQU-MQYQWHSLSA-N 0 3 225.376 2.920 20 0 BFADHN COc1ccc2[nH]cc(CN3CC[C@H]3C)c2c1 ZINC001138504476 990966916 /nfs/dbraw/zinc/96/69/16/990966916.db2.gz ZKFZEMZYOZEWOH-SNVBAGLBSA-N 0 3 230.311 2.771 20 0 BFADHN CC1CC(N(C)Cc2c[nH]nc2C(C)(C)C)C1 ZINC001138575137 990973828 /nfs/dbraw/zinc/97/38/28/990973828.db2.gz MLPLKZHWERPPMT-UHFFFAOYSA-N 0 3 235.375 2.938 20 0 BFADHN CC(C)(CF)NCc1cccc2nsnc21 ZINC001308376742 990978931 /nfs/dbraw/zinc/97/89/31/990978931.db2.gz OMKAULBPFUGGGN-UHFFFAOYSA-N 0 3 239.319 2.529 20 0 BFADHN CC(C)=CCC[C@@H](C)N1C[C@H]2CC[C@@H](C1)O2 ZINC001258085411 990989096 /nfs/dbraw/zinc/98/90/96/990989096.db2.gz JQHOFJPMQUWDJK-MCIONIFRSA-N 0 3 223.360 2.985 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1nn(C)cc1C ZINC001308411627 990989100 /nfs/dbraw/zinc/98/91/00/990989100.db2.gz URYQTZPGWKANBM-CYBMUJFWSA-N 0 3 235.375 2.953 20 0 BFADHN CC(C)=CCC[C@H](C)N1CCOC[C@@H]1C ZINC001258085294 990990073 /nfs/dbraw/zinc/99/00/73/990990073.db2.gz HJBHDHOZCWOFOY-STQMWFEESA-N 0 3 211.349 2.842 20 0 BFADHN CCn1nc(C)c(CN(C)C2CC(C)C2)c1C ZINC001138641397 990991009 /nfs/dbraw/zinc/99/10/09/990991009.db2.gz PJIIGPOZHNPVBZ-UHFFFAOYSA-N 0 3 235.375 2.750 20 0 BFADHN CC(C)=CCC[C@H](C)N1CCO[C@@H](C)C1 ZINC001258088724 990993989 /nfs/dbraw/zinc/99/39/89/990993989.db2.gz OAMHDARDAOWRIE-STQMWFEESA-N 0 3 211.349 2.842 20 0 BFADHN CCSc1ncc(CN(C)[C@@H](C)CC)cn1 ZINC001138652122 990999743 /nfs/dbraw/zinc/99/97/43/990999743.db2.gz SLKZNGYSBPSAJJ-JTQLQIEISA-N 0 3 239.388 2.819 20 0 BFADHN CNc1ncccc1CN(C)C[C@H]1CC1(C)C ZINC001138696268 991013137 /nfs/dbraw/zinc/01/31/37/991013137.db2.gz NIKMKITTXMAOKM-GFCCVEGCSA-N 0 3 233.359 2.601 20 0 BFADHN Clc1ccc2nc(NCc3c[nH]cn3)[nH]c2c1 ZINC001308510637 991014841 /nfs/dbraw/zinc/01/48/41/991014841.db2.gz YNRBKHHCXARFTP-UHFFFAOYSA-N 0 3 247.689 2.552 20 0 BFADHN Clc1ccc2[nH]c(NCc3cnc[nH]3)nc2c1 ZINC001308510637 991014848 /nfs/dbraw/zinc/01/48/48/991014848.db2.gz YNRBKHHCXARFTP-UHFFFAOYSA-N 0 3 247.689 2.552 20 0 BFADHN Fc1ncccc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001138787079 991051274 /nfs/dbraw/zinc/05/12/74/991051274.db2.gz TUSHXVNMLGRBSK-NWDGAFQWSA-N 0 3 234.318 2.843 20 0 BFADHN CCC(CC)N(C)Cc1cccnc1F ZINC001138792824 991055687 /nfs/dbraw/zinc/05/56/87/991055687.db2.gz AAQOXGBSDYDNAM-UHFFFAOYSA-N 0 3 210.296 2.841 20 0 BFADHN C[C@H]1CC(N2Cc3cncnc3C2)C[C@H](C)C1 ZINC001258165442 991057667 /nfs/dbraw/zinc/05/76/67/991057667.db2.gz ZOLDSFAETQGSPF-GHMZBOCLSA-N 0 3 231.343 2.617 20 0 BFADHN c1cc2cccc(CN3CCC34CCCC4)n2n1 ZINC001138809332 991069023 /nfs/dbraw/zinc/06/90/23/991069023.db2.gz OIYCDDHMSWAHAQ-UHFFFAOYSA-N 0 3 241.338 2.853 20 0 BFADHN CCCN(Cc1cc(C)n(C)n1)[C@H](C)CC ZINC001138826703 991072302 /nfs/dbraw/zinc/07/23/02/991072302.db2.gz PJRSQQDRRMDGCT-LLVKDONJSA-N 0 3 223.364 2.739 20 0 BFADHN CCN(Cc1ccc2occc2c1)C1CN(C)C1 ZINC001138825883 991076582 /nfs/dbraw/zinc/07/65/82/991076582.db2.gz GZHIUSPUBGHLQI-UHFFFAOYSA-N 0 3 244.338 2.569 20 0 BFADHN Cc1cc(CN(C)[C@H]2CC[C@H](C)CC2)nn1C ZINC001138830125 991080792 /nfs/dbraw/zinc/08/07/92/991080792.db2.gz FEGBQTPLIWZLPQ-YEORSEQZSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccco1)c1ccno1 ZINC001258184652 991086939 /nfs/dbraw/zinc/08/69/39/991086939.db2.gz HSLQEEFOYBHFGU-VHSXEESVSA-N 0 3 220.272 2.549 20 0 BFADHN C[C@@H](Cc1ccco1)N1CCC(F)(F)CC1 ZINC001258185176 991087466 /nfs/dbraw/zinc/08/74/66/991087466.db2.gz LZUOWJUMTQWLLQ-JTQLQIEISA-N 0 3 229.270 2.942 20 0 BFADHN c1ccc2nc(CN3CCC4(CC4)C3)cnc2c1 ZINC001138865065 991089288 /nfs/dbraw/zinc/08/92/88/991089288.db2.gz RLWGMHYTNBSYDF-UHFFFAOYSA-N 0 3 239.322 2.616 20 0 BFADHN C[C@H]1CCN1Cc1c[nH]nc1-c1ccc(F)cc1 ZINC001138878667 991091631 /nfs/dbraw/zinc/09/16/31/991091631.db2.gz PJFRIRCYPZUUCI-JTQLQIEISA-N 0 3 245.301 2.810 20 0 BFADHN Fc1cnc(Cl)c(CN2CC3CC(C3)C2)c1 ZINC001138897437 991096617 /nfs/dbraw/zinc/09/66/17/991096617.db2.gz JXCGKVMLKPFHAM-UHFFFAOYSA-N 0 3 240.709 2.716 20 0 BFADHN CC[C@H](C)[C@H](CN1CCO[C@@](C)(CC)C1)OC ZINC001308697872 991100199 /nfs/dbraw/zinc/10/01/99/991100199.db2.gz WGUHMQNNPPNIMH-IHRRRGAJSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)[C@H]1COCCN1[C@H](C)Cc1ccco1 ZINC001258194167 991106124 /nfs/dbraw/zinc/10/61/24/991106124.db2.gz BYSKQZFSDOKNFH-TZMCWYRMSA-N 0 3 237.343 2.567 20 0 BFADHN CCC(=O)Oc1ccc(CN2CC[C@@H]2C)cc1 ZINC001138961970 991114552 /nfs/dbraw/zinc/11/45/52/991114552.db2.gz KFGKQZPOSKFYLL-NSHDSACASA-N 0 3 233.311 2.596 20 0 BFADHN Fc1cccnc1CN[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001308736499 991118282 /nfs/dbraw/zinc/11/82/82/991118282.db2.gz NBFIDNXBKANREL-GMXVVIOVSA-N 0 3 234.318 2.889 20 0 BFADHN Cc1cn(C)nc1CN1C[C@@H](C(C)C)[C@H]1C(C)C ZINC001308763842 991121125 /nfs/dbraw/zinc/12/11/25/991121125.db2.gz ZQPGWFURXZMIJF-DZGCQCFKSA-N 0 3 249.402 2.841 20 0 BFADHN CCCCCN(C)Cc1cnn(CCC)c1 ZINC001139037009 991127443 /nfs/dbraw/zinc/12/74/43/991127443.db2.gz GVSHIHJCMHWEKN-UHFFFAOYSA-N 0 3 223.364 2.915 20 0 BFADHN CSCC[C@H](C)NCc1cn(C(C)C)cn1 ZINC001258210130 991128852 /nfs/dbraw/zinc/12/88/52/991128852.db2.gz ZOTPZKLIRDMFTJ-NSHDSACASA-N 0 3 241.404 2.695 20 0 BFADHN c1c2ccccc2oc1CN1CC(C2COC2)C1 ZINC001139061578 991130823 /nfs/dbraw/zinc/13/08/23/991130823.db2.gz MKESYZLCJBXRBK-UHFFFAOYSA-N 0 3 243.306 2.511 20 0 BFADHN c1c2ccccc2oc1CN1CC[C@H]2OCC[C@H]21 ZINC001139061782 991134951 /nfs/dbraw/zinc/13/49/51/991134951.db2.gz OTOWCVNZTDDBQV-UKRRQHHQSA-N 0 3 243.306 2.796 20 0 BFADHN Cc1cc(CN2CC(C3CC3)C2)cc(C)n1 ZINC001308790680 991136555 /nfs/dbraw/zinc/13/65/55/991136555.db2.gz VKIKXXCFURJYCI-UHFFFAOYSA-N 0 3 216.328 2.540 20 0 BFADHN CSCC[C@H](C)N1CCc2ncsc2C1 ZINC001258221724 991154549 /nfs/dbraw/zinc/15/45/49/991154549.db2.gz FSZHRNGWWONFMV-VIFPVBQESA-N 0 3 242.413 2.643 20 0 BFADHN CSCC[C@H](C)N1CCc2cccnc2C1 ZINC001258226353 991162034 /nfs/dbraw/zinc/16/20/34/991162034.db2.gz LPJXKRDRMCYNCQ-NSHDSACASA-N 0 3 236.384 2.581 20 0 BFADHN O=C(CNC1CCCCCCC1)c1cccnc1 ZINC001258235533 991174552 /nfs/dbraw/zinc/17/45/52/991174552.db2.gz KPUIVUPXIAYVMH-UHFFFAOYSA-N 0 3 246.354 2.967 20 0 BFADHN Cc1cc(CN2CC(CC3CC3)C2)cc(C)n1 ZINC001308879303 991194584 /nfs/dbraw/zinc/19/45/84/991194584.db2.gz YOWSRTOJAISGFX-UHFFFAOYSA-N 0 3 230.355 2.930 20 0 BFADHN CC(C)(C)c1ccc(CCNC2(C)COC2)cc1 ZINC001308898282 991207700 /nfs/dbraw/zinc/20/77/00/991207700.db2.gz SRTGHODIGBGPBJ-UHFFFAOYSA-N 0 3 247.382 2.905 20 0 BFADHN Cn1ncc2c1CN([C@H]1CCCC(C)(C)C1)C2 ZINC001258287574 991218931 /nfs/dbraw/zinc/21/89/31/991218931.db2.gz AWGDMHDIPOTASZ-LBPRGKRZSA-N 0 3 233.359 2.705 20 0 BFADHN CC1(C)CCC[C@@H](n2ncc3c2CCNC3)C1 ZINC001258285145 991221465 /nfs/dbraw/zinc/22/14/65/991221465.db2.gz KMKZKBABZSEXAO-GFCCVEGCSA-N 0 3 233.359 2.670 20 0 BFADHN CC1(C)CCC[C@@H](N2CC3(C2)CCCO3)C1 ZINC001258286405 991221970 /nfs/dbraw/zinc/22/19/70/991221970.db2.gz SWWVYKXUAJBBST-GFCCVEGCSA-N 0 3 223.360 2.820 20 0 BFADHN CN(C)c1ccc(CN2CC3CCC2CC3)cn1 ZINC001139458689 991246253 /nfs/dbraw/zinc/24/62/53/991246253.db2.gz JLURXEWSRCNBNS-UHFFFAOYSA-N 0 3 245.370 2.522 20 0 BFADHN CSC(C)(C)C[C@H](C)n1ccc([C@H](C)N)n1 ZINC001258324244 991250010 /nfs/dbraw/zinc/25/00/10/991250010.db2.gz PHOMUCQTMTUVFK-UWVGGRQHSA-N 0 3 241.404 2.996 20 0 BFADHN CC1CC(N(C)Cc2cccc(Cl)n2)C1 ZINC001139547829 991267137 /nfs/dbraw/zinc/26/71/37/991267137.db2.gz PABOPMXKFVWXAO-UHFFFAOYSA-N 0 3 224.735 2.965 20 0 BFADHN CN(Cc1cn2c(cccc2F)n1)C1CCCC1 ZINC001139569321 991273017 /nfs/dbraw/zinc/27/30/17/991273017.db2.gz GVHDTFRWGOUOPP-UHFFFAOYSA-N 0 3 247.317 2.848 20 0 BFADHN CCOc1ccc(C)cc1CN(C)C1CC1 ZINC001139599732 991276773 /nfs/dbraw/zinc/27/67/73/991276773.db2.gz HSHZNZUFHQKKCU-UHFFFAOYSA-N 0 3 219.328 2.988 20 0 BFADHN CCOc1ccc(C)cc1CN1CC[C@@H]1C ZINC001139600318 991277050 /nfs/dbraw/zinc/27/70/50/991277050.db2.gz OKGRPRHOSZSCKY-LBPRGKRZSA-N 0 3 219.328 2.988 20 0 BFADHN CCOc1ccc(C)cc1CN1CC[C@H]1C ZINC001139600317 991281176 /nfs/dbraw/zinc/28/11/76/991281176.db2.gz OKGRPRHOSZSCKY-GFCCVEGCSA-N 0 3 219.328 2.988 20 0 BFADHN COc1nscc1CN1[C@@H](C)CCC1(C)C ZINC001559903489 991320948 /nfs/dbraw/zinc/32/09/48/991320948.db2.gz BFIHAZYTZKOVEQ-VIFPVBQESA-N 0 3 240.372 2.915 20 0 BFADHN CCC1(CN2CCc3ccc(F)cc3C2)COC1 ZINC001202962446 991330294 /nfs/dbraw/zinc/33/02/94/991330294.db2.gz HMBLQLAETLXYTA-UHFFFAOYSA-N 0 3 249.329 2.610 20 0 BFADHN Cc1cc(O)cc(C)c1CN1C[C@@H](C)[C@@H](F)C1 ZINC001139711833 991336018 /nfs/dbraw/zinc/33/60/18/991336018.db2.gz LNPOUVUQZARADO-RISCZKNCSA-N 0 3 237.318 2.799 20 0 BFADHN C[C@@]1(O)CCN(Cc2ccccc2C(F)F)C1 ZINC001139796143 991372577 /nfs/dbraw/zinc/37/25/77/991372577.db2.gz UFSKCFNZJNGTIC-CYBMUJFWSA-N 0 3 241.281 2.581 20 0 BFADHN COc1nscc1CN1C[C@@H](C)CC1(C)C ZINC001560107017 991380432 /nfs/dbraw/zinc/38/04/32/991380432.db2.gz IQGRYPTVVXNBPA-VIFPVBQESA-N 0 3 240.372 2.772 20 0 BFADHN COc1nscc1CN1C[C@H](C)CC1(C)C ZINC001560107016 991383760 /nfs/dbraw/zinc/38/37/60/991383760.db2.gz IQGRYPTVVXNBPA-SECBINFHSA-N 0 3 240.372 2.772 20 0 BFADHN Cc1cccc2c1[C@@H](N[C@H](C)CC1CC1)C(=O)N2 ZINC001258447580 991390170 /nfs/dbraw/zinc/39/01/70/991390170.db2.gz UJUWIGVLLXSUGE-QMTHXVAHSA-N 0 3 244.338 2.766 20 0 BFADHN Fc1cc(CN2CCC[C@H]3CCC[C@H]32)ccn1 ZINC001139834830 991391108 /nfs/dbraw/zinc/39/11/08/991391108.db2.gz YIBCEBCMBUSJRY-CHWSQXEVSA-N 0 3 234.318 2.985 20 0 BFADHN Cc1ccc(C(=O)CN[C@@H](C)CC2CC2)cc1 ZINC001258451095 991401034 /nfs/dbraw/zinc/40/10/34/991401034.db2.gz GEYYPWSALNTWSF-LBPRGKRZSA-N 0 3 231.339 2.956 20 0 BFADHN Cn1ncc2ccc(CN3CCC(F)CC3)cc21 ZINC001139904275 991414519 /nfs/dbraw/zinc/41/45/19/991414519.db2.gz LYXZJZKFEDHFGV-UHFFFAOYSA-N 0 3 247.317 2.507 20 0 BFADHN Cc1ccc(CN2CCCCC23CC3)cn1 ZINC001139933306 991422428 /nfs/dbraw/zinc/42/24/28/991422428.db2.gz WSYIMYMMORAZMJ-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN C[C@H](CC1CC1)N1CCN(C)c2ncccc2C1 ZINC001258465556 991423689 /nfs/dbraw/zinc/42/36/89/991423689.db2.gz MRJNWIXVGZCQMI-GFCCVEGCSA-N 0 3 245.370 2.522 20 0 BFADHN Cc1ccc(CN2CC[C@@]3(C2)CCCCO3)cn1 ZINC001139929147 991424334 /nfs/dbraw/zinc/42/43/34/991424334.db2.gz QGMDEZNNXQRYQD-OAHLLOKOSA-N 0 3 246.354 2.535 20 0 BFADHN c1ccc(CN2CCCC[C@@H]2[C@H]2CCCO2)nc1 ZINC001560224444 991425298 /nfs/dbraw/zinc/42/52/98/991425298.db2.gz XEPNDXIKDTUAHS-HUUCEWRRSA-N 0 3 246.354 2.615 20 0 BFADHN c1ccc(CN2CCCC[C@H]2[C@@H]2CCCO2)nc1 ZINC001560224443 991425472 /nfs/dbraw/zinc/42/54/72/991425472.db2.gz XEPNDXIKDTUAHS-GJZGRUSLSA-N 0 3 246.354 2.615 20 0 BFADHN Cn1ncc2cc(CN3CC4(CCC4)C3)ccc21 ZINC001139949902 991429296 /nfs/dbraw/zinc/42/92/96/991429296.db2.gz VHXASKZCDMYILG-UHFFFAOYSA-N 0 3 241.338 2.559 20 0 BFADHN C[C@H](CC1CC1)N1CCc2ncsc2C1 ZINC001258467846 991430473 /nfs/dbraw/zinc/43/04/73/991430473.db2.gz OFHPEXSKYCRPEN-SECBINFHSA-N 0 3 222.357 2.690 20 0 BFADHN CCCCN(CC)Cc1cnc(Cl)nc1 ZINC001139987150 991467190 /nfs/dbraw/zinc/46/71/90/991467190.db2.gz IBPGBTPVAIUNKP-UHFFFAOYSA-N 0 3 227.739 2.752 20 0 BFADHN CN(Cc1cnc(Cl)nc1)C[C@H]1CC1(C)C ZINC001139991419 991469233 /nfs/dbraw/zinc/46/92/33/991469233.db2.gz JKWOEAZSTQZQOP-SNVBAGLBSA-N 0 3 239.750 2.608 20 0 BFADHN Oc1ccc(F)c(CN2C[C@@H]3CCC[C@@H]3C2)c1 ZINC001140045791 991498194 /nfs/dbraw/zinc/49/81/94/991498194.db2.gz RDGOGSRDQIEWEO-PHIMTYICSA-N 0 3 235.302 2.763 20 0 BFADHN CC1(C)CC[C@@H](N2CC3(C2)CCCCO3)C1 ZINC001258601178 991505694 /nfs/dbraw/zinc/50/56/94/991505694.db2.gz QUHMOIYMBQYKHW-GFCCVEGCSA-N 0 3 223.360 2.820 20 0 BFADHN COC(=O)[C@H](C(C)C)N(C)[C@H]1CCC(C)(C)C1 ZINC001258606668 991521886 /nfs/dbraw/zinc/52/18/86/991521886.db2.gz ANSUDVOKHRCNHH-RYUDHWBXSA-N 0 3 241.375 2.695 20 0 BFADHN CCCN(C)Cc1cc(C(F)(F)F)ccn1 ZINC001140102574 991523025 /nfs/dbraw/zinc/52/30/25/991523025.db2.gz SFSDQGPLXZBISB-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN Oc1ccc(CN2CCC3(CCC3)C2)c(F)c1 ZINC001140129146 991529436 /nfs/dbraw/zinc/52/94/36/991529436.db2.gz SNCMZEDKGSPEPZ-UHFFFAOYSA-N 0 3 235.302 2.907 20 0 BFADHN Fc1cccc(CN2CC[C@@H]3CCC[C@H]3C2)n1 ZINC001140131738 991531238 /nfs/dbraw/zinc/53/12/38/991531238.db2.gz QTPMBJIDBNYPCA-RYUDHWBXSA-N 0 3 234.318 2.843 20 0 BFADHN Fc1cccc(CN2CC[C@@H]3CCC[C@@H]3C2)n1 ZINC001140131737 991535626 /nfs/dbraw/zinc/53/56/26/991535626.db2.gz QTPMBJIDBNYPCA-NWDGAFQWSA-N 0 3 234.318 2.843 20 0 BFADHN C[C@H](Cc1cccnc1)N(C)C1CC(F)(F)C1 ZINC001258623063 991555751 /nfs/dbraw/zinc/55/57/51/991555751.db2.gz YJKJWGBEHZRVDV-SNVBAGLBSA-N 0 3 240.297 2.742 20 0 BFADHN C[C@@H](Cc1cccnc1)N1CCC[C@@](C)(F)C1 ZINC001258622475 991555882 /nfs/dbraw/zinc/55/58/82/991555882.db2.gz NKUVFMVHKBVWSE-GXTWGEPZSA-N 0 3 236.334 2.837 20 0 BFADHN C[C@H](Cc1cccnc1)N1CCC[C@](C)(F)C1 ZINC001258622477 991560042 /nfs/dbraw/zinc/56/00/42/991560042.db2.gz NKUVFMVHKBVWSE-OCCSQVGLSA-N 0 3 236.334 2.837 20 0 BFADHN C[C@]1(F)CCCC[N@H+](Cc2ccncc2[O-])C1 ZINC001140273471 991580443 /nfs/dbraw/zinc/58/04/43/991580443.db2.gz LCXSQUTVCIWXBC-ZDUSSCGKSA-N 0 3 238.306 2.501 20 0 BFADHN C[C@]1(F)CCCC[N@@H+](Cc2ccncc2[O-])C1 ZINC001140273471 991580459 /nfs/dbraw/zinc/58/04/59/991580459.db2.gz LCXSQUTVCIWXBC-ZDUSSCGKSA-N 0 3 238.306 2.501 20 0 BFADHN CCOCCN(C)Cc1cc(C)ccc1NC ZINC001560748053 991583965 /nfs/dbraw/zinc/58/39/65/991583965.db2.gz GHHOPHCFWQXRCL-UHFFFAOYSA-N 0 3 236.359 2.505 20 0 BFADHN Cc1cccc(C[N@@H+](C)Cc2ccncc2[O-])c1 ZINC001140275802 991594287 /nfs/dbraw/zinc/59/42/87/991594287.db2.gz SGTDPODKLBSMQA-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN Cc1cccc(C[N@H+](C)Cc2ccncc2[O-])c1 ZINC001140275802 991594297 /nfs/dbraw/zinc/59/42/97/991594297.db2.gz SGTDPODKLBSMQA-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN Cc1ccc(C[N@@H+](C)Cc2ccncc2[O-])cc1 ZINC001140278226 991600402 /nfs/dbraw/zinc/60/04/02/991600402.db2.gz OIZQIHAMZVNORA-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN Cc1ccc(C[N@H+](C)Cc2ccncc2[O-])cc1 ZINC001140278226 991600407 /nfs/dbraw/zinc/60/04/07/991600407.db2.gz OIZQIHAMZVNORA-UHFFFAOYSA-N 0 3 242.322 2.728 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cn(C2CC2)cn1 ZINC001560815185 991610688 /nfs/dbraw/zinc/61/06/88/991610688.db2.gz SKDKHKYNEJFCBU-DGCLKSJQSA-N 0 3 233.359 2.981 20 0 BFADHN CCCCC[C@H](CCC)N1CCNC(=O)[C@@H]1C ZINC001258672462 991613323 /nfs/dbraw/zinc/61/33/23/991613323.db2.gz YGEXGKIKSHTCQW-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN CCOC1CN(C[C@H]2CCC[C@H](C)C2)C1 ZINC001560874825 991632522 /nfs/dbraw/zinc/63/25/22/991632522.db2.gz PNCDOYAOOODELA-RYUDHWBXSA-N 0 3 211.349 2.533 20 0 BFADHN CCCn1cncc1CN1CC(C)(C)C[C@@H]1C ZINC001560889353 991641262 /nfs/dbraw/zinc/64/12/62/991641262.db2.gz OHPIMEMQALOQRW-LBPRGKRZSA-N 0 3 235.375 2.914 20 0 BFADHN CCCn1cncc1CN1CC(C)(C)C[C@H]1C ZINC001560889352 991642633 /nfs/dbraw/zinc/64/26/33/991642633.db2.gz OHPIMEMQALOQRW-GFCCVEGCSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1cc(CN2CCC(F)CC2)ccc1O ZINC001140574563 991674144 /nfs/dbraw/zinc/67/41/44/991674144.db2.gz JFVYWEWSCKBLNR-UHFFFAOYSA-N 0 3 223.291 2.635 20 0 BFADHN CCCC[C@H](CCC)n1ccc(CN)n1 ZINC001258752412 991689174 /nfs/dbraw/zinc/68/91/74/991689174.db2.gz DCKRPAJRJSVTAX-LBPRGKRZSA-N 0 3 209.337 2.873 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1cc(C)ccn1)OC ZINC001560989870 991679977 /nfs/dbraw/zinc/67/99/77/991679977.db2.gz DKCSYXPYAOGQHH-OCCSQVGLSA-N 0 3 236.359 2.541 20 0 BFADHN CCCC[C@H](CCC)N1CC2(CCO2)C1 ZINC001258765391 991691797 /nfs/dbraw/zinc/69/17/97/991691797.db2.gz DVGGMTTXJNWMQF-LBPRGKRZSA-N 0 3 211.349 2.820 20 0 BFADHN CCCC[C@@H](CCC)n1ccc(CN)n1 ZINC001258752409 991693714 /nfs/dbraw/zinc/69/37/14/991693714.db2.gz DCKRPAJRJSVTAX-GFCCVEGCSA-N 0 3 209.337 2.873 20 0 BFADHN CCCC[C@@H](CCC)N1CCC12COC2 ZINC001258762883 991716490 /nfs/dbraw/zinc/71/64/90/991716490.db2.gz DSIYPPUDJMDOBE-GFCCVEGCSA-N 0 3 211.349 2.820 20 0 BFADHN CCCCN(CCCC)CN1C(=O)CC1(C)C ZINC001318944362 991743924 /nfs/dbraw/zinc/74/39/24/991743924.db2.gz WWHICKJHYNYQON-UHFFFAOYSA-N 0 3 240.391 2.857 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)N1CC(N2CC(F)C2)C1 ZINC001258788300 991769519 /nfs/dbraw/zinc/76/95/19/991769519.db2.gz MFERELUHLUICBI-DGCLKSJQSA-N 0 3 242.382 2.539 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)n1ncc2c1CCNC2 ZINC001258789684 991773440 /nfs/dbraw/zinc/77/34/40/991773440.db2.gz CUCAMSIKGGSZRJ-DGCLKSJQSA-N 0 3 235.375 2.916 20 0 BFADHN CC[C@@H](C)C[C@H](CC)N1CCc2nn(C)cc2C1 ZINC001258794323 991783474 /nfs/dbraw/zinc/78/34/74/991783474.db2.gz KOTCPRHULGANDQ-OCCSQVGLSA-N 0 3 249.402 2.993 20 0 BFADHN CC[C@H](C)C[C@H](CC)N1CCO[C@@H]2C[C@@H]21 ZINC001258797342 991794561 /nfs/dbraw/zinc/79/45/61/991794561.db2.gz LVJVCZIHHKKSMK-ZDEQEGDKSA-N 0 3 211.349 2.674 20 0 BFADHN Cc1ccc(CN)nc1N(C)[C@H]1CCC[C@H](C)C1 ZINC001258808406 991815149 /nfs/dbraw/zinc/81/51/49/991815149.db2.gz MTMZAUONFSDRPU-FZMZJTMJSA-N 0 3 247.386 2.864 20 0 BFADHN C[C@@H]1CCC[C@H](NC2(c3cnccn3)CC2)C1 ZINC001258815074 991829511 /nfs/dbraw/zinc/82/95/11/991829511.db2.gz YTGPSPKLKAGMNB-NEPJUHHUSA-N 0 3 231.343 2.634 20 0 BFADHN CC(C)OC1CN([C@@H]2CCC[C@@H](C)C2)C1 ZINC001258828347 991856585 /nfs/dbraw/zinc/85/65/85/991856585.db2.gz LGZKCPCDSCYOQA-VXGBXAGGSA-N 0 3 211.349 2.674 20 0 BFADHN CCc1cnccc1[C@@H](C)NCc1cc(C)[nH]n1 ZINC001319782541 991980229 /nfs/dbraw/zinc/98/02/29/991980229.db2.gz TWUVTSDPKLKKEO-LLVKDONJSA-N 0 3 244.342 2.526 20 0 BFADHN CCSCC[C@@H](C)N(C)Cc1cc(C)[nH]n1 ZINC001319829423 991997540 /nfs/dbraw/zinc/99/75/40/991997540.db2.gz UGEFDOWJWKOFCS-LLVKDONJSA-N 0 3 241.404 2.682 20 0 BFADHN CN(CCC(C)(C)O)Cc1cc2ccccc2[nH]1 ZINC001320364079 992184999 /nfs/dbraw/zinc/18/49/99/992184999.db2.gz KGNACMZZBWYAJL-UHFFFAOYSA-N 0 3 246.354 2.761 20 0 BFADHN CC/C=C/CNCc1ccc(F)c(OC)c1 ZINC001261256943 992227626 /nfs/dbraw/zinc/22/76/26/992227626.db2.gz ZHZNGGFJWGFIRT-SNAWJCMRSA-N 0 3 223.291 2.890 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3CCCC[C@@H]3C2)nn1 ZINC001261367155 992280396 /nfs/dbraw/zinc/28/03/96/992280396.db2.gz UTUSFRGFSVUVRA-UONOGXRCSA-N 0 3 245.370 2.797 20 0 BFADHN c1nc(CN2CCC[C@@H]2CC2CCCCC2)n[nH]1 ZINC001261637334 992431627 /nfs/dbraw/zinc/43/16/27/992431627.db2.gz PBNDPDKMLFEVRV-CYBMUJFWSA-N 0 3 248.374 2.740 20 0 BFADHN COC1(CN[C@H]2c3ccccc3O[C@H]2C)CCC1 ZINC001262010604 992594324 /nfs/dbraw/zinc/59/43/24/992594324.db2.gz KERGPVJHLWDFCN-SMDDNHRTSA-N 0 3 247.338 2.667 20 0 BFADHN Cc1cccnc1CNCC1(OC(C)C)CCC1 ZINC001262011995 992600579 /nfs/dbraw/zinc/60/05/79/992600579.db2.gz HPJIVIXPQHRYHO-UHFFFAOYSA-N 0 3 248.370 2.827 20 0 BFADHN Cc1ccc([C@@H](C)NCc2ccc(N)nc2)s1 ZINC001262258019 992824328 /nfs/dbraw/zinc/82/43/28/992824328.db2.gz YKWZZMDZKUWKQA-SNVBAGLBSA-N 0 3 247.367 2.885 20 0 BFADHN CCCn1cncc1CNCC1=CCCCC1 ZINC000379575866 993257536 /nfs/dbraw/zinc/25/75/36/993257536.db2.gz YJPIJODRPSVICB-UHFFFAOYSA-N 0 3 233.359 2.883 20 0 BFADHN Cc1cccnc1CNCCSC(C)C ZINC000380001408 993307956 /nfs/dbraw/zinc/30/79/56/993307956.db2.gz KBFXDNCELJUOSE-UHFFFAOYSA-N 0 3 224.373 2.621 20 0 BFADHN Nc1ccncc1-c1cc(F)cc(F)c1F ZINC000381443990 993393785 /nfs/dbraw/zinc/39/37/85/993393785.db2.gz AMTLKHSZMGJUJI-UHFFFAOYSA-N 0 3 224.185 2.578 20 0 BFADHN Cc1cccnc1CNCCC(C)(F)F ZINC000382097851 993440000 /nfs/dbraw/zinc/44/00/00/993440000.db2.gz NLYGFJLSEBCYTJ-UHFFFAOYSA-N 0 3 214.259 2.525 20 0 BFADHN CC(C)N(CCCO)Cc1csc(Cl)c1 ZINC000382172419 993442073 /nfs/dbraw/zinc/44/20/73/993442073.db2.gz LNKYRWJEYZJLTN-UHFFFAOYSA-N 0 3 247.791 2.994 20 0 BFADHN Cc1nc2c(n1[C@@H]1CCC[C@H](C)C1)CNCC2 ZINC000383871108 993524327 /nfs/dbraw/zinc/52/43/27/993524327.db2.gz HUVONJCXNOPPOF-CMPLNLGQSA-N 0 3 233.359 2.588 20 0 BFADHN Cc1nc(CN2CCC(C(C)C)CC2)c[nH]1 ZINC000724785666 994078832 /nfs/dbraw/zinc/07/88/32/994078832.db2.gz CPDTVBBMIUWLQB-UHFFFAOYSA-N 0 3 221.348 2.586 20 0 BFADHN C[C@H](NCCCC(F)F)c1cc2n(n1)CCC2 ZINC001333149977 994425806 /nfs/dbraw/zinc/42/58/06/994425806.db2.gz NHXNXDZRKMKYMI-VIFPVBQESA-N 0 3 243.301 2.525 20 0 BFADHN CC[C@@H](C)[C@H](C(=O)OC)N1CCC(CC)CC1 ZINC001307459599 995370882 /nfs/dbraw/zinc/37/08/82/995370882.db2.gz XCJFIGCBUNVVIT-DGCLKSJQSA-N 0 3 241.375 2.696 20 0 BFADHN CCC[C@H]1CCCN1Cc1ccncc1F ZINC001308133363 995562886 /nfs/dbraw/zinc/56/28/86/995562886.db2.gz IEMQLDLZQFHURC-LBPRGKRZSA-N 0 3 222.307 2.985 20 0 BFADHN CCC(C)(CC)[NH2+]Cc1nc(C)ccc1[O-] ZINC000116359470 168311082 /nfs/dbraw/zinc/31/10/82/168311082.db2.gz MQVGQRHXUPMLTR-UHFFFAOYSA-N 0 3 222.332 2.764 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NC[C@H]1CCCCO1)CC2 ZINC000267053289 538914731 /nfs/dbraw/zinc/91/47/31/538914731.db2.gz FPRVESACHYXVFF-HIFRSBDPSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@]2(C)CCOC2)o1 ZINC000228158689 398138546 /nfs/dbraw/zinc/13/85/46/398138546.db2.gz OPMQWBCFEXHSCC-QKCSRTOESA-N 0 3 235.327 2.672 20 0 BFADHN O[C@H]1CCCC[C@@H]1CNCc1ccc(Cl)o1 ZINC000082991176 349440684 /nfs/dbraw/zinc/44/06/84/349440684.db2.gz JRJGCXYYHOXJKN-KOLCDFICSA-N 0 3 243.734 2.574 20 0 BFADHN O[C@@H]1CCCC[C@H]1CNCc1ccc(Cl)o1 ZINC000082991177 349440766 /nfs/dbraw/zinc/44/07/66/349440766.db2.gz JRJGCXYYHOXJKN-GXSJLCMTSA-N 0 3 243.734 2.574 20 0 BFADHN CCC(CC)(CC)CNC(=O)[C@@H](N)CC(C)C ZINC000236624694 168315207 /nfs/dbraw/zinc/31/52/07/168315207.db2.gz QKLZTCJARGPREU-LBPRGKRZSA-N 0 3 242.407 2.692 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCC[C@H]1C ZINC000070827228 398139064 /nfs/dbraw/zinc/13/90/64/398139064.db2.gz MLVDGHKFPPTUDF-PRHODGIISA-N 0 3 208.305 2.570 20 0 BFADHN CCC(CC)(CC)NCc1nnc(C)s1 ZINC000336720807 168319500 /nfs/dbraw/zinc/31/95/00/168319500.db2.gz DBUGOSPRIZKJCM-UHFFFAOYSA-N 0 3 227.377 2.905 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1Cc1cccc(C2CC2)c1 ZINC000421374008 192321722 /nfs/dbraw/zinc/32/17/22/192321722.db2.gz NAAVIHVFSZRMEN-WBMJQRKESA-N 0 3 245.366 2.909 20 0 BFADHN Cc1cc(CCNCc2ncc[nH]2)ccc1Cl ZINC000361138065 177964934 /nfs/dbraw/zinc/96/49/34/177964934.db2.gz BTDZNVCWBNFHOC-UHFFFAOYSA-N 0 3 249.745 2.704 20 0 BFADHN FC(F)[C@H]1C[C@@H]1N[C@@H]1CCCc2occc21 ZINC000378699350 346810921 /nfs/dbraw/zinc/81/09/21/346810921.db2.gz MNAGBQBCITXGBF-AEJSXWLSSA-N 0 3 227.254 2.900 20 0 BFADHN CCc1cc(N2CCC[C@@H](OC)CC2)ccn1 ZINC000649323383 398144729 /nfs/dbraw/zinc/14/47/29/398144729.db2.gz HFIMWDNLUFCSAF-CQSZACIVSA-N 0 3 234.343 2.649 20 0 BFADHN CCC(CC)(CNCc1conc1C)SC ZINC000293665403 168325319 /nfs/dbraw/zinc/32/53/19/168325319.db2.gz UIPUQTDPKIGGAS-UHFFFAOYSA-N 0 3 242.388 2.994 20 0 BFADHN COC[C@H](C)CN[C@H](C)c1ccncc1Cl ZINC000421823311 398146149 /nfs/dbraw/zinc/14/61/49/398146149.db2.gz CSSIBQAZHWCYKB-NXEZZACHSA-N 0 3 242.750 2.668 20 0 BFADHN CC[C@@H](C)N(CCO)Cc1cc(F)cc(F)c1 ZINC000287408317 398144197 /nfs/dbraw/zinc/14/41/97/398144197.db2.gz OJGDMDVKPUGWBN-SNVBAGLBSA-N 0 3 243.297 2.558 20 0 BFADHN CC[C@H](COC)NCc1ccc(C)c(OC)c1 ZINC000161813289 349508510 /nfs/dbraw/zinc/50/85/10/349508510.db2.gz USPANFBWTFSBRY-CYBMUJFWSA-N 0 3 237.343 2.518 20 0 BFADHN Cc1cc(CN2C[C@H](CO)C[C@H](C)C2)cs1 ZINC000335609826 178006262 /nfs/dbraw/zinc/00/62/62/178006262.db2.gz FUOBNICYHUEFDN-CMPLNLGQSA-N 0 3 239.384 2.507 20 0 BFADHN C[C@@H](CCO)N(C)Cc1cc2ccccc2o1 ZINC000649869102 398152463 /nfs/dbraw/zinc/15/24/63/398152463.db2.gz XENWKPUQHVCVJS-NSHDSACASA-N 0 3 233.311 2.636 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)on1 ZINC000336661156 178007368 /nfs/dbraw/zinc/00/73/68/178007368.db2.gz DYRSSXZMQJMTHS-FOGDFJRCSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](C)[C@H]2C)nn1C ZINC000336725198 178007760 /nfs/dbraw/zinc/00/77/60/178007760.db2.gz QKBZGGHDBDRGAU-NTZNESFSSA-N 0 3 235.375 2.595 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC[C@H]2O)c(C)s1 ZINC000378728951 346817009 /nfs/dbraw/zinc/81/70/09/346817009.db2.gz KCOOXELWFLPWIT-XXILOJSOSA-N 0 3 225.357 2.539 20 0 BFADHN Cc1cc(CNC[C@@H](C)c2ccccc2)on1 ZINC000044301038 178022087 /nfs/dbraw/zinc/02/20/87/178022087.db2.gz ITLGYCQATUCQMY-LLVKDONJSA-N 0 3 230.311 2.876 20 0 BFADHN C[C@H](CCO)N(C)Cc1ccc(C(F)F)cc1 ZINC000649869895 398156372 /nfs/dbraw/zinc/15/63/72/398156372.db2.gz OSWPQWOBBVDFAC-SNVBAGLBSA-N 0 3 243.297 2.827 20 0 BFADHN Cc1cc(CNC2[C@@H](C)CCC[C@@H]2C)no1 ZINC000044692196 178018635 /nfs/dbraw/zinc/01/86/35/178018635.db2.gz VKACIMSBOGRAGD-UWVGGRQHSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CNC2[C@H](C)CCC[C@H]2C)no1 ZINC000044692198 178018824 /nfs/dbraw/zinc/01/88/24/178018824.db2.gz VKACIMSBOGRAGD-NXEZZACHSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCO2)c(C)s1 ZINC000049685012 178022253 /nfs/dbraw/zinc/02/22/53/178022253.db2.gz IMNBAOUOVKNIDW-LBPRGKRZSA-N 0 3 225.357 2.634 20 0 BFADHN Cc1cc(CNCCOC(C)C)cs1 ZINC000285777602 178021439 /nfs/dbraw/zinc/02/14/39/178021439.db2.gz FJLWXZOUIDAVJR-UHFFFAOYSA-N 0 3 213.346 2.571 20 0 BFADHN Cc1cc(CNCCOCC2CC2)cs1 ZINC000294952414 178021451 /nfs/dbraw/zinc/02/14/51/178021451.db2.gz FMHRCTBSHZDYHN-UHFFFAOYSA-N 0 3 225.357 2.573 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCCO2)cs1 ZINC000285734196 178023226 /nfs/dbraw/zinc/02/32/26/178023226.db2.gz BXXRQCNFJVMMTF-LBPRGKRZSA-N 0 3 225.357 2.715 20 0 BFADHN C[C@@H](N[C@H]1CC=CCC1)c1ccncc1F ZINC000335742016 136214889 /nfs/dbraw/zinc/21/48/89/136214889.db2.gz QYZDBMQXSORYTI-MNOVXSKESA-N 0 3 220.291 2.980 20 0 BFADHN CSCCCN1CCOC[C@H]1CC1CCC1 ZINC000339766488 398168660 /nfs/dbraw/zinc/16/86/60/398168660.db2.gz BBWVHYLELHJNDB-CYBMUJFWSA-N 0 3 243.416 2.631 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1cc(Cl)cs1 ZINC000164613233 136215122 /nfs/dbraw/zinc/21/51/22/136215122.db2.gz SRENLYFWBOUQNO-YUMQZZPRSA-N 0 3 233.764 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H]2F)cc(C)c1O ZINC000354648575 178032795 /nfs/dbraw/zinc/03/27/95/178032795.db2.gz DWEHJTPNLROTHR-QWHCGFSZSA-N 0 3 237.318 2.989 20 0 BFADHN Cc1cc(CN[C@@H]2CCCc3cn[nH]c32)cs1 ZINC000294952693 178033219 /nfs/dbraw/zinc/03/32/19/178033219.db2.gz CFQAHCKPEQXMOF-GFCCVEGCSA-N 0 3 247.367 2.947 20 0 BFADHN Cc1cc(CN[C@@H]2CCO[C@@H]2C2CC2)cs1 ZINC000336668252 178035237 /nfs/dbraw/zinc/03/52/37/178035237.db2.gz QZFJSGCWRDNQON-CHWSQXEVSA-N 0 3 237.368 2.714 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@@]2(CC2(F)F)C1 ZINC000649903186 398173263 /nfs/dbraw/zinc/17/32/63/398173263.db2.gz KJTBKZOSMQBRDT-ZYHUDNBSSA-N 0 3 238.281 2.874 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H]2C2CCC2)on1 ZINC000309690882 178036001 /nfs/dbraw/zinc/03/60/01/178036001.db2.gz JFMZZJQJDKJLQG-QWHCGFSZSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H]2C2CCC2)on1 ZINC000309690879 178036068 /nfs/dbraw/zinc/03/60/68/178036068.db2.gz JFMZZJQJDKJLQG-CHWSQXEVSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CCCCC2(C)C)nn1C ZINC000360339143 178039695 /nfs/dbraw/zinc/03/96/95/178039695.db2.gz SJDUMJSATKIXFA-ZDUSSCGKSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1cc(CN[C@H]2CC[C@@H]2C2CCC2)on1 ZINC000309690880 178041434 /nfs/dbraw/zinc/04/14/34/178041434.db2.gz JFMZZJQJDKJLQG-OLZOCXBDSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CC[C@@H]2C(C)(C)C)on1 ZINC000336739355 178041458 /nfs/dbraw/zinc/04/14/58/178041458.db2.gz PAMQSBAVTQHLEM-RYUDHWBXSA-N 0 3 222.332 2.897 20 0 BFADHN CCOc1cccc(CN[C@@H]2C[C@H]2C2CCC2)n1 ZINC000424206369 398175628 /nfs/dbraw/zinc/17/56/28/398175628.db2.gz WXFLTSBVDDDMCS-UONOGXRCSA-N 0 3 246.354 2.759 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccnc(OC)c1 ZINC000339866251 398177111 /nfs/dbraw/zinc/17/71/11/398177111.db2.gz NVEYSLLHNCWQTB-CYBMUJFWSA-N 0 3 234.343 2.855 20 0 BFADHN COc1cc(CNC2(C)CCCC2)sn1 ZINC000399070879 398178335 /nfs/dbraw/zinc/17/83/35/398178335.db2.gz OKTKHJSATVJXBW-UHFFFAOYSA-N 0 3 226.345 2.574 20 0 BFADHN FC(F)(F)CCN1CC[C@@]2(CC2(F)F)C1 ZINC000649905167 398178440 /nfs/dbraw/zinc/17/84/40/398178440.db2.gz UVHZXSMYLCEBKZ-SSDOTTSWSA-N 0 3 229.192 2.670 20 0 BFADHN CCCN(C)Cc1cnc(OC)c(Cl)c1 ZINC000533098992 398179440 /nfs/dbraw/zinc/17/94/40/398179440.db2.gz DWKQFDZPRASJBG-UHFFFAOYSA-N 0 3 228.723 2.585 20 0 BFADHN C[C@@H](N[C@H]1CSC1(C)C)c1cccnc1 ZINC000283357649 398183774 /nfs/dbraw/zinc/18/37/74/398183774.db2.gz MWNNEBLSPHCSLU-KOLCDFICSA-N 0 3 222.357 2.626 20 0 BFADHN CC[C@@H](NC[C@@H]1C[C@H]1C1CCC1)c1nccn1C ZINC000424291406 398180202 /nfs/dbraw/zinc/18/02/02/398180202.db2.gz LVDRUIGYNRMEID-MELADBBJSA-N 0 3 247.386 2.897 20 0 BFADHN Cc1ccncc1CN1CC[C@@]2(CC2(F)F)C1 ZINC000649906724 398181581 /nfs/dbraw/zinc/18/15/81/398181581.db2.gz BDKCQJKHRLJYSL-GFCCVEGCSA-N 0 3 238.281 2.621 20 0 BFADHN CC[C@H](NCc1ncc(C)s1)[C@@H]1C[C@H]1C ZINC000322024363 398160098 /nfs/dbraw/zinc/16/00/98/398160098.db2.gz KYXXCTVMUVKHGW-IEBDPFPHSA-N 0 3 224.373 2.976 20 0 BFADHN Cc1cc(Cl)cc(CN2C[C@H](O)C[C@@H]2C)c1 ZINC000353145080 178064304 /nfs/dbraw/zinc/06/43/04/178064304.db2.gz LIZAPXNILPFVKO-GXFFZTMASA-N 0 3 239.746 2.604 20 0 BFADHN Cc1cnc(CN[C@H]2[C@H](C)CCC[C@@H]2C)n1C ZINC000341023522 398182536 /nfs/dbraw/zinc/18/25/36/398182536.db2.gz JKURHMNUUCTHBS-YABSGUDNSA-N 0 3 235.375 2.643 20 0 BFADHN CCc1ccc([C@H](C)NCCC(C)(C)O)o1 ZINC000274788733 398163694 /nfs/dbraw/zinc/16/36/94/398163694.db2.gz NGQHXVMEPGIGTO-JTQLQIEISA-N 0 3 225.332 2.654 20 0 BFADHN Cc1cc(Cl)ccc1CN1C[C@H](O)C[C@@H]1C ZINC000346178732 178066423 /nfs/dbraw/zinc/06/64/23/178066423.db2.gz FVYVJAAQESVEAT-GXFFZTMASA-N 0 3 239.746 2.604 20 0 BFADHN Cc1cc(Cl)ccc1CN[C@@H]1CCCOC1 ZINC000129494505 178067053 /nfs/dbraw/zinc/06/70/53/178067053.db2.gz BBWSOJKGZNDUSD-CYBMUJFWSA-N 0 3 239.746 2.917 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1ccncc1 ZINC000453614576 398165974 /nfs/dbraw/zinc/16/59/74/398165974.db2.gz PIUXFMFVXOQVKC-ZIAGYGMSSA-N 0 3 218.344 2.996 20 0 BFADHN COCC(C)(C)CN[C@H]1CCCc2cccnc21 ZINC000385672282 398186582 /nfs/dbraw/zinc/18/65/82/398186582.db2.gz FAHKSLLCDWLARC-ZDUSSCGKSA-N 0 3 248.370 2.721 20 0 BFADHN Cc1cc(F)cc(NC2CCN(C)CC2)c1 ZINC000085729488 178078039 /nfs/dbraw/zinc/07/80/39/178078039.db2.gz QENJGRRFBWCBDC-UHFFFAOYSA-N 0 3 222.307 2.640 20 0 BFADHN C[C@@H](N[C@@H]1CSC1(C)C)c1ccncc1 ZINC000283443517 398187260 /nfs/dbraw/zinc/18/72/60/398187260.db2.gz UBHUQRISKRXZEP-MWLCHTKSSA-N 0 3 222.357 2.626 20 0 BFADHN Cc1cc(F)ccc1CN(C)CC(C)(C)CO ZINC000294702932 178083583 /nfs/dbraw/zinc/08/35/83/178083583.db2.gz DCBKWEGGTFGFLA-UHFFFAOYSA-N 0 3 239.334 2.584 20 0 BFADHN Cc1cc(F)ccc1CN1CCOCC[C@@H]1C ZINC000373282071 178085913 /nfs/dbraw/zinc/08/59/13/178085913.db2.gz VKZJCOPBWPKWMC-LBPRGKRZSA-N 0 3 237.318 2.745 20 0 BFADHN CC/C=C\CCN[C@H](CCO)c1ccco1 ZINC000336747152 398190476 /nfs/dbraw/zinc/19/04/76/398190476.db2.gz XADLXAQJAQLNJB-VSQXVHSFSA-N 0 3 223.316 2.649 20 0 BFADHN Cc1ncc(CNC[C@@H](C)C(C)(C)C)o1 ZINC000397913100 488171130 /nfs/dbraw/zinc/17/11/30/488171130.db2.gz IUBYQQHGDAVYPG-SECBINFHSA-N 0 3 210.321 2.755 20 0 BFADHN Cc1cc(N(C)C2CC(O)C2)c2ccccc2n1 ZINC000353264212 178096713 /nfs/dbraw/zinc/09/67/13/178096713.db2.gz KMTRBXBNTRZQDS-UHFFFAOYSA-N 0 3 242.322 2.503 20 0 BFADHN CCC[C@H](CN[C@H]1COCc2ccccc21)OC ZINC000385991766 398194542 /nfs/dbraw/zinc/19/45/42/398194542.db2.gz PWEHGZMGKDKCAK-HIFRSBDPSA-N 0 3 249.354 2.663 20 0 BFADHN Cc1ccc([O-])c(C[NH+]2C[C@@H]3CCCC[C@H]3C2)n1 ZINC000093441864 398196222 /nfs/dbraw/zinc/19/62/22/398196222.db2.gz FOZSTORWXKKPAF-STQMWFEESA-N 0 3 246.354 2.718 20 0 BFADHN CCCCCC(=O)N1CCCC[C@@H]1CN(C)C ZINC000119971275 398197402 /nfs/dbraw/zinc/19/74/02/398197402.db2.gz AHIHHXQNASYPRN-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@]3(CC3(F)F)C2)o1 ZINC000649908959 398185381 /nfs/dbraw/zinc/18/53/81/398185381.db2.gz ZVQITYNQORIWIQ-LLVKDONJSA-N 0 3 242.269 2.523 20 0 BFADHN Cc1cc(C)c(/C=C\CNC/C=C\CO)c(C)c1 ZINC000631173340 361736462 /nfs/dbraw/zinc/73/64/62/361736462.db2.gz QACCGXADRCLFTD-RZSVFLSASA-N 0 3 245.366 2.763 20 0 BFADHN Cc1noc(C)c1CCN1CCCC[C@H]1C ZINC000164715957 398203470 /nfs/dbraw/zinc/20/34/70/398203470.db2.gz CVTZGMAJMOJLIC-SNVBAGLBSA-N 0 3 222.332 2.708 20 0 BFADHN Cn1cc(C(C)(C)NCc2cccs2)cn1 ZINC000164739603 398203613 /nfs/dbraw/zinc/20/36/13/398203613.db2.gz WANNQMRPXJXERU-UHFFFAOYSA-N 0 3 235.356 2.507 20 0 BFADHN COc1ncccc1CNC[C@@H](C)C(C)(C)C ZINC000162833732 538925140 /nfs/dbraw/zinc/92/51/40/538925140.db2.gz WPXGWXTYCWKYNJ-LLVKDONJSA-N 0 3 236.359 2.862 20 0 BFADHN Cc1cc(C)c(/C=C\CNC/C=C/CO)c(C)c1 ZINC000631173338 361735995 /nfs/dbraw/zinc/73/59/95/361735995.db2.gz QACCGXADRCLFTD-DEQVHDEQSA-N 0 3 245.366 2.763 20 0 BFADHN CC[C@@H](CO)N(C)Cc1ccc(F)c(Cl)c1 ZINC000293890439 398204965 /nfs/dbraw/zinc/20/49/65/398204965.db2.gz GIIJACFPWICLAP-JTQLQIEISA-N 0 3 245.725 2.682 20 0 BFADHN CC(C)[C@H](CO)NCc1cc2ccccc2o1 ZINC000092393181 398204988 /nfs/dbraw/zinc/20/49/88/398204988.db2.gz TURGZYNZLUYHIN-ZDUSSCGKSA-N 0 3 233.311 2.539 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1ccncc1F ZINC000449191046 398207253 /nfs/dbraw/zinc/20/72/53/398207253.db2.gz ORJPCCHPIYZWFZ-MFKMUULPSA-N 0 3 222.307 2.889 20 0 BFADHN Cc1cc(NCC(C)(C)O)c2ccccc2n1 ZINC000096474717 178157122 /nfs/dbraw/zinc/15/71/22/178157122.db2.gz MLNDTRUEHAQGIV-UHFFFAOYSA-N 0 3 230.311 2.726 20 0 BFADHN CCC(CC)CN(CC)C(=O)[C@@H](N)C(C)(C)C ZINC000040461767 168348600 /nfs/dbraw/zinc/34/86/00/168348600.db2.gz KMYJFJBAFPKWJQ-GFCCVEGCSA-N 0 3 242.407 2.645 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@@H]3CCC[C@@H]3C2)cn1 ZINC000428214430 398222594 /nfs/dbraw/zinc/22/25/94/398222594.db2.gz ZGXLMDCHHDZWLJ-RWMBFGLXSA-N 0 3 231.343 2.578 20 0 BFADHN CC(C)(O)C1CN(Cc2cccc(C3CC3)c2)C1 ZINC000421382198 192325006 /nfs/dbraw/zinc/32/50/06/192325006.db2.gz MDKHQGLLJYQWLY-UHFFFAOYSA-N 0 3 245.366 2.767 20 0 BFADHN CC[C@H](NCC1(CO)CC1)c1ccccc1F ZINC000164068626 538931394 /nfs/dbraw/zinc/93/13/94/538931394.db2.gz JTNUUAIXDYNTOD-ZDUSSCGKSA-N 0 3 237.318 2.639 20 0 BFADHN CCC(CC)CN1CC[C@@H](C)C[C@@H]1C(=O)OC ZINC000361152884 168351753 /nfs/dbraw/zinc/35/17/53/168351753.db2.gz KHDLHQTUBNQEFU-DGCLKSJQSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@H](C)CNC(C)(C)c1cn(C(C)C)nn1 ZINC000421398189 192328880 /nfs/dbraw/zinc/32/88/80/192328880.db2.gz GFFBGIXWBHVNCO-NSHDSACASA-N 0 3 238.379 2.730 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCSC2)C[C@H](CC)O1 ZINC000421397220 192328887 /nfs/dbraw/zinc/32/88/87/192328887.db2.gz XKWIAFGFRPZYHB-UPJWGTAASA-N 0 3 243.416 2.629 20 0 BFADHN Cc1cc(C)c(CN2CC[C@]23CCOC3)cc1C ZINC000421396180 192329434 /nfs/dbraw/zinc/32/94/34/192329434.db2.gz JYGLVUIIOHTDGO-MRXNPFEDSA-N 0 3 245.366 2.977 20 0 BFADHN COCCC1CCN(Cc2ccnc(C)c2)CC1 ZINC000625631517 398233095 /nfs/dbraw/zinc/23/30/95/398233095.db2.gz FCHIMWYRELXKOJ-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1ccc(CN2CCC[C@H](CCO)CC2)o1 ZINC000421394880 192329993 /nfs/dbraw/zinc/32/99/93/192329993.db2.gz HDNCGHCWBOKQIK-ZDUSSCGKSA-N 0 3 237.343 2.573 20 0 BFADHN Cc1cc([C@@H](C)NC2CCC(C)CC2)nn1C ZINC000337485970 178222861 /nfs/dbraw/zinc/22/28/61/178222861.db2.gz SMMFOMDNVGVGTD-YXMLORGKSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@@H](C)NC2CCCCC2)no1 ZINC000279692298 178223373 /nfs/dbraw/zinc/22/33/73/178223373.db2.gz UPCXNVYVBPOLBJ-SNVBAGLBSA-N 0 3 208.305 2.966 20 0 BFADHN Cc1cc([C@@H](C)NCCCn2ccnc2)oc1C ZINC000282240169 178225585 /nfs/dbraw/zinc/22/55/85/178225585.db2.gz PXEPIBUEJMRFKZ-GFCCVEGCSA-N 0 3 247.342 2.834 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CCCOC2)oc1C ZINC000282337652 178227761 /nfs/dbraw/zinc/22/77/61/178227761.db2.gz VBPZHGICYAMUDK-YPMHNXCESA-N 0 3 237.343 2.974 20 0 BFADHN OC1(CCCNCc2ccccc2F)CCC1 ZINC000421367096 192333513 /nfs/dbraw/zinc/33/35/13/192333513.db2.gz DNJMYOVVOWSYCA-UHFFFAOYSA-N 0 3 237.318 2.611 20 0 BFADHN Cc1cc([C@@H](C)NC[C@](C)(O)C(C)C)oc1C ZINC000337517590 178229593 /nfs/dbraw/zinc/22/95/93/178229593.db2.gz MUBKWNAGGGFUOS-RISCZKNCSA-N 0 3 239.359 2.954 20 0 BFADHN Cc1cc([C@@H](C)NC[C@]2(C)CCOC2)oc1C ZINC000337506533 178229914 /nfs/dbraw/zinc/22/99/14/178229914.db2.gz FTUXUFFPZHOTRM-RISCZKNCSA-N 0 3 237.343 2.974 20 0 BFADHN CC[C@H](C)CNCc1cccc(O)c1OC ZINC000421370856 192334919 /nfs/dbraw/zinc/33/49/19/192334919.db2.gz DELAWIWCNKSTFH-JTQLQIEISA-N 0 3 223.316 2.537 20 0 BFADHN FC(F)C[C@@H](N[C@H]1CCOC1)c1ccccc1 ZINC000421372390 192335389 /nfs/dbraw/zinc/33/53/89/192335389.db2.gz MHIBALCHZWIQMR-NWDGAFQWSA-N 0 3 241.281 2.761 20 0 BFADHN CCC[C@H](C)N1CCN(CC(F)F)[C@H](CC)C1 ZINC000187706661 259303917 /nfs/dbraw/zinc/30/39/17/259303917.db2.gz REWQQGRIJHOCCW-NWDGAFQWSA-N 0 3 248.361 2.836 20 0 BFADHN Cc1cc([C@@H]2CCCN2CC2CC2)no1 ZINC000360900208 178241160 /nfs/dbraw/zinc/24/11/60/178241160.db2.gz GMLMGCNXTGXUDJ-LBPRGKRZSA-N 0 3 206.289 2.530 20 0 BFADHN Cc1ccc(CNCCc2cccc(C)n2)o1 ZINC000280571302 538937379 /nfs/dbraw/zinc/93/73/79/538937379.db2.gz LSRFOZPZILJAIO-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1cc([C@H](C)NC2CCC(O)CC2)oc1C ZINC000337364467 178245070 /nfs/dbraw/zinc/24/50/70/178245070.db2.gz ATOFHYYXFZENEC-PKSQDBQZSA-N 0 3 237.343 2.851 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCCC[C@H]2C)nn1C ZINC000337401166 178253759 /nfs/dbraw/zinc/25/37/59/178253759.db2.gz PTOPDYJCVOPKOD-KGYLQXTDSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@H](C)NCC2CC(F)(F)C2)no1 ZINC000309556174 178246761 /nfs/dbraw/zinc/24/67/61/178246761.db2.gz JSIMCYGYZQQBNM-QMMMGPOBSA-N 0 3 230.258 2.679 20 0 BFADHN Cc1cc([C@H](C)NCCOC(C)C)c(C)o1 ZINC000044730194 178247281 /nfs/dbraw/zinc/24/72/81/178247281.db2.gz CPWKVGUKTMJFOF-NSHDSACASA-N 0 3 225.332 2.972 20 0 BFADHN Cc1cc([C@H](C)NCC2=CCCOC2)oc1C ZINC000287180643 178247486 /nfs/dbraw/zinc/24/74/86/178247486.db2.gz VEARGCTUIMRCGQ-NSHDSACASA-N 0 3 235.327 2.894 20 0 BFADHN Cc1cc([C@H](C)NCCOCC2CC2)oc1C ZINC000282007749 178248267 /nfs/dbraw/zinc/24/82/67/178248267.db2.gz DGNNBDYTLMTAFY-NSHDSACASA-N 0 3 237.343 2.974 20 0 BFADHN Cc1cc([C@H](C)NCCn2cccn2)ccc1F ZINC000271479691 178248411 /nfs/dbraw/zinc/24/84/11/178248411.db2.gz NEHHZNQAWQHQMM-LBPRGKRZSA-N 0 3 247.317 2.681 20 0 BFADHN Cc1cc([C@H](C)NCCc2cccs2)nn1C ZINC000281944371 178249195 /nfs/dbraw/zinc/24/91/95/178249195.db2.gz AGKWKRKCODYILF-NSHDSACASA-N 0 3 249.383 2.683 20 0 BFADHN Cc1cc([C@H](C)NCCn2cccn2)c(C)s1 ZINC000042539160 178249276 /nfs/dbraw/zinc/24/92/76/178249276.db2.gz XOUANPONIWMFCZ-NSHDSACASA-N 0 3 249.383 2.912 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](O)C(C)C)cs1 ZINC000294291209 178249319 /nfs/dbraw/zinc/24/93/19/178249319.db2.gz UGZNEPVXVRDGMH-CMPLNLGQSA-N 0 3 227.373 2.724 20 0 BFADHN C[C@H](F)CCN[C@@H](C)c1ccncc1F ZINC000336773736 398233944 /nfs/dbraw/zinc/23/39/44/398233944.db2.gz ZBHMVVFSZLXFFA-IUCAKERBSA-N 0 3 214.259 2.619 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CCCSC2)no1 ZINC000290599393 178249789 /nfs/dbraw/zinc/24/97/89/178249789.db2.gz HDBIJOAKEPXSDW-WDEREUQCSA-N 0 3 240.372 2.777 20 0 BFADHN Cc1cc([C@H](C)NC[C@@]2(C)CCOC2)oc1C ZINC000337506534 178249858 /nfs/dbraw/zinc/24/98/58/178249858.db2.gz FTUXUFFPZHOTRM-SMDDNHRTSA-N 0 3 237.343 2.974 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](O)C(C)C)oc1C ZINC000282093104 178249982 /nfs/dbraw/zinc/24/99/82/178249982.db2.gz IFIFJBJMKQGIOP-JQWIXIFHSA-N 0 3 225.332 2.564 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CC2(C)C)no1 ZINC000336763473 178250165 /nfs/dbraw/zinc/25/01/65/178250165.db2.gz PEVUWJKUAYOSEJ-VHSXEESVSA-N 0 3 208.305 2.680 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CC[C@H](O)C2)oc1C ZINC000282819485 178250729 /nfs/dbraw/zinc/25/07/29/178250729.db2.gz RSZMVQMXDMRBDW-DRZSPHRISA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CCOC2)oc1C ZINC000282329312 178251118 /nfs/dbraw/zinc/25/11/18/178251118.db2.gz URIKNCYLYGYWMH-CMPLNLGQSA-N 0 3 223.316 2.584 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCC[C@@H]2C)nn1C ZINC000309495091 178253016 /nfs/dbraw/zinc/25/30/16/178253016.db2.gz TVJGJHFKISNCEG-ZMLRMANQSA-N 0 3 221.348 2.568 20 0 BFADHN C[C@H](CCO)CNCc1ccsc1Cl ZINC000336700616 398240139 /nfs/dbraw/zinc/24/01/39/398240139.db2.gz XFFLKSAIUVACRS-MRVPVSSYSA-N 0 3 233.764 2.510 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)[C@H]2CCOC2)oc1C ZINC000282208267 178254645 /nfs/dbraw/zinc/25/46/45/178254645.db2.gz OHKQTDJVFNLQOT-GVXVVHGQSA-N 0 3 237.343 2.972 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@@H](O)C2(C)C)c(C)o1 ZINC000226600586 178254767 /nfs/dbraw/zinc/25/47/67/178254767.db2.gz HNFRKZGJBCTDBF-ZWKOPEQDSA-N 0 3 237.343 2.706 20 0 BFADHN Cc1nnc(-c2cccc(CN(C)C)c2)s1 ZINC000649436768 398238109 /nfs/dbraw/zinc/23/81/09/398238109.db2.gz SBZXLPRHPTZIST-UHFFFAOYSA-N 0 3 233.340 2.575 20 0 BFADHN C[C@@H](NCC1(C)OCCCO1)c1ccsc1 ZINC000190653625 136227584 /nfs/dbraw/zinc/22/75/84/136227584.db2.gz KIVPLJBFBSJKGC-SNVBAGLBSA-N 0 3 241.356 2.552 20 0 BFADHN Cc1cc([C@H]2CCCN2CCC2CC2)on1 ZINC000336666948 178265987 /nfs/dbraw/zinc/26/59/87/178265987.db2.gz MEFFXNLZWLYIIJ-GFCCVEGCSA-N 0 3 220.316 2.920 20 0 BFADHN C[C@@H](NC1CC1)c1ccnn1-c1ccccc1 ZINC000281349362 538938643 /nfs/dbraw/zinc/93/86/43/538938643.db2.gz VHDAERCPVPWTQE-LLVKDONJSA-N 0 3 227.311 2.685 20 0 BFADHN CCC(CC)CNCc1c(C)noc1C ZINC000082238109 168362076 /nfs/dbraw/zinc/36/20/76/168362076.db2.gz GQXVRPQTHCWTIX-UHFFFAOYSA-N 0 3 210.321 2.817 20 0 BFADHN CCC(CC)CNCc1nccn1C(F)F ZINC000125247002 168363276 /nfs/dbraw/zinc/36/32/76/168363276.db2.gz MXYQWHCQDAKEJG-UHFFFAOYSA-N 0 3 231.290 2.804 20 0 BFADHN CCC(CC)CNCc1csc(C)n1 ZINC000040443858 168363437 /nfs/dbraw/zinc/36/34/37/168363437.db2.gz JVIOFROKMXKWNR-UHFFFAOYSA-N 0 3 212.362 2.977 20 0 BFADHN CCC(CC)CNCc1ncc(Cl)n1C ZINC000159262126 168363590 /nfs/dbraw/zinc/36/35/90/168363590.db2.gz VXMHHYHEKARGIM-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2C[C@H]2C2CCC2)ccn1 ZINC000576887531 398242891 /nfs/dbraw/zinc/24/28/91/398242891.db2.gz WHBMGJOJKPRBPC-LEWSCRJBSA-N 0 3 246.354 2.929 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](C)c1cscn1 ZINC000576480896 365607828 /nfs/dbraw/zinc/60/78/28/365607828.db2.gz PSQPPAMAVGOAQF-AEJSXWLSSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@H](NCCC1CCCC1)c1ncc[nH]1 ZINC000285505148 538941350 /nfs/dbraw/zinc/94/13/50/538941350.db2.gz CWTNBBZBOZNENY-JTQLQIEISA-N 0 3 207.321 2.641 20 0 BFADHN Cc1ccccc1CCN[C@@H](C)c1ncc[nH]1 ZINC000285569044 538941696 /nfs/dbraw/zinc/94/16/96/538941696.db2.gz HUZVWMUWRIHQAE-LBPRGKRZSA-N 0 3 229.327 2.611 20 0 BFADHN CC(C)[C@@H](NCc1cscn1)C1CC1 ZINC000167157324 538940294 /nfs/dbraw/zinc/94/02/94/538940294.db2.gz VHEIYNMECMVZGU-LLVKDONJSA-N 0 3 210.346 2.667 20 0 BFADHN CC[C@@H]1CC[C@@H](C)C[C@H]1NCc1ncccn1 ZINC000576650011 365651257 /nfs/dbraw/zinc/65/12/57/365651257.db2.gz WHBOCNKIUUKBKE-JHJVBQTASA-N 0 3 233.359 2.781 20 0 BFADHN CC(C)(CO)CNCc1cc(F)cc(Cl)c1 ZINC000168266007 538945097 /nfs/dbraw/zinc/94/50/97/538945097.db2.gz CVIORUOPETVAES-UHFFFAOYSA-N 0 3 245.725 2.587 20 0 BFADHN Cc1cc(C)cc(CCN[C@H](C)c2ncc[nH]2)c1 ZINC000286248548 538943720 /nfs/dbraw/zinc/94/37/20/538943720.db2.gz UIFWJRIRANORRU-CYBMUJFWSA-N 0 3 243.354 2.920 20 0 BFADHN Cc1ccc(-c2ccc(CNC[C@@H](C)O)o2)cc1 ZINC000125206539 178323808 /nfs/dbraw/zinc/32/38/08/178323808.db2.gz LOVJWQRGZVIAIL-GFCCVEGCSA-N 0 3 245.322 2.725 20 0 BFADHN CC(C)O[C@@H]1CCN([C@H](C)c2cccnc2)C1 ZINC000576700233 365661770 /nfs/dbraw/zinc/66/17/70/365661770.db2.gz DXRJTAAFUFPJCP-TZMCWYRMSA-N 0 3 234.343 2.642 20 0 BFADHN COc1ccncc1CNC[C@H](C)C(C)(C)C ZINC000383424176 398257896 /nfs/dbraw/zinc/25/78/96/398257896.db2.gz ZSRRRTKQXPLBEZ-NSHDSACASA-N 0 3 236.359 2.862 20 0 BFADHN COc1ccncc1CNC[C@@H](C)C(C)(C)C ZINC000383424174 398257934 /nfs/dbraw/zinc/25/79/34/398257934.db2.gz ZSRRRTKQXPLBEZ-LLVKDONJSA-N 0 3 236.359 2.862 20 0 BFADHN Fc1ccc2c(c1)[C@@H](N[C@@H]1CCCOC1)CC2 ZINC000290343499 538946653 /nfs/dbraw/zinc/94/66/53/538946653.db2.gz POIVNKHWNLXZBA-OCCSQVGLSA-N 0 3 235.302 2.582 20 0 BFADHN CC(C)=CCCN[C@@H](C)c1cncnc1C ZINC000576714901 365667559 /nfs/dbraw/zinc/66/75/59/365667559.db2.gz FWUOANQGVDXMGF-NSHDSACASA-N 0 3 219.332 2.792 20 0 BFADHN CCc1ncc(CNCCCC(C)(C)C)cn1 ZINC000576723650 365670911 /nfs/dbraw/zinc/67/09/11/365670911.db2.gz ZVIJEAZBAAJTCG-UHFFFAOYSA-N 0 3 235.375 2.955 20 0 BFADHN C[C@H](O)CN[C@@]1(c2ccc(Cl)cc2)C[C@@H]1C ZINC000336781829 398255821 /nfs/dbraw/zinc/25/58/21/398255821.db2.gz VVLRVLVMUBNYSQ-KWBADKCTSA-N 0 3 239.746 2.546 20 0 BFADHN CCC(CC)N(CC)Cc1cn2cccnc2n1 ZINC000289387609 168371721 /nfs/dbraw/zinc/37/17/21/168371721.db2.gz JTEIRCCEUFKLPZ-UHFFFAOYSA-N 0 3 246.358 2.740 20 0 BFADHN C[C@H]1c2ccsc2CCN1CCC(C)(C)O ZINC000081646462 398270828 /nfs/dbraw/zinc/27/08/28/398270828.db2.gz IBQLZLFEHSWRDR-JTQLQIEISA-N 0 3 239.384 2.828 20 0 BFADHN C[C@@H](CN1CCOC[C@H]1C)C1CCCCC1 ZINC000559187744 398268871 /nfs/dbraw/zinc/26/88/71/398268871.db2.gz PVLXEFGDHNYKEO-QWHCGFSZSA-N 0 3 225.376 2.924 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1cncnc1 ZINC000180204041 538957230 /nfs/dbraw/zinc/95/72/30/538957230.db2.gz SFDYJTVGGPCNCE-OCCSQVGLSA-N 0 3 233.359 2.877 20 0 BFADHN CC(C)CN1CCc2cccc(F)c2C1 ZINC000347961596 136234271 /nfs/dbraw/zinc/23/42/71/136234271.db2.gz XBJMYDLQGCBUMB-UHFFFAOYSA-N 0 3 207.292 2.840 20 0 BFADHN CCc1nn(C)cc1CN(CC)C[C@H](C)CC ZINC000180636962 538958329 /nfs/dbraw/zinc/95/83/29/538958329.db2.gz MDMVDJLVIPVTFQ-GFCCVEGCSA-N 0 3 237.391 2.851 20 0 BFADHN CCc1nn(C)cc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000179852305 538956524 /nfs/dbraw/zinc/95/65/24/538956524.db2.gz FGWYHKSHUMVGLY-WFASDCNBSA-N 0 3 247.386 2.747 20 0 BFADHN O[C@@H]1CCN(Cc2ccsc2)C2(CCC2)C1 ZINC000335437022 136235174 /nfs/dbraw/zinc/23/51/74/136235174.db2.gz XRZOPRKUIMMJBC-GFCCVEGCSA-N 0 3 237.368 2.628 20 0 BFADHN C[C@@H](CCc1ccco1)NCc1ccncc1F ZINC000449059239 398274703 /nfs/dbraw/zinc/27/47/03/398274703.db2.gz PCSOSLSSXABIAR-NSHDSACASA-N 0 3 248.301 2.925 20 0 BFADHN CC[C@H](CSC)NCc1cc(C2CC2)no1 ZINC000602584193 349611844 /nfs/dbraw/zinc/61/18/44/349611844.db2.gz XWPWISRYOPSALM-SNVBAGLBSA-N 0 3 240.372 2.783 20 0 BFADHN CCc1cnc(CNCC(C)(C)C)s1 ZINC000164906921 361832150 /nfs/dbraw/zinc/83/21/50/361832150.db2.gz BYOLJSGBEDVIIK-UHFFFAOYSA-N 0 3 212.362 2.841 20 0 BFADHN C[C@H](NC[C@@H]1CCCS1)c1cccnc1 ZINC000087472992 259316433 /nfs/dbraw/zinc/31/64/33/259316433.db2.gz YRYPKLQNCAOTFR-JQWIXIFHSA-N 0 3 222.357 2.628 20 0 BFADHN CC1(C)CC[C@@H](CNCc2cccs2)O1 ZINC000166071084 361848646 /nfs/dbraw/zinc/84/86/46/361848646.db2.gz YAZQZXJLQRGDME-JTQLQIEISA-N 0 3 225.357 2.795 20 0 BFADHN OCCN[C@@H]1CCc2c1ccc(Cl)c2Cl ZINC000166499343 361851390 /nfs/dbraw/zinc/85/13/90/361851390.db2.gz PQYAXNKEGOARQT-SNVBAGLBSA-N 0 3 246.137 2.563 20 0 BFADHN Cc1cncc(CCN(C)Cc2cccs2)n1 ZINC000649480741 398278350 /nfs/dbraw/zinc/27/83/50/398278350.db2.gz RBEVWJWOCUIEQQ-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN CCC1(CNCc2ccncc2F)CC1 ZINC000449172392 398280988 /nfs/dbraw/zinc/28/09/88/398280988.db2.gz CNEQOZVDMJIYRX-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN Fc1cccc(C2CC(NCc3ccno3)C2)c1 ZINC000231884274 342741352 /nfs/dbraw/zinc/74/13/52/342741352.db2.gz GTCNGCNKWAPTRM-UHFFFAOYSA-N 0 3 246.285 2.850 20 0 BFADHN CC(C)[C@H]1CCC[C@@H](NCc2ccno2)C1 ZINC000231885279 342741713 /nfs/dbraw/zinc/74/17/13/342741713.db2.gz FMDZBENDQJIYRN-NWDGAFQWSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H](Cc1ccc(F)cc1)NCc1ccno1 ZINC000231881776 342742832 /nfs/dbraw/zinc/74/28/32/342742832.db2.gz LYKGWKFOUFADFI-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1ccno1 ZINC000231883302 342742846 /nfs/dbraw/zinc/74/28/46/342742846.db2.gz FKAOSMKLPLMFQH-GHMZBOCLSA-N 0 3 210.321 2.979 20 0 BFADHN CN(Cc1cc2n(n1)CCCC2)C1CCCCC1 ZINC000649481320 398279074 /nfs/dbraw/zinc/27/90/74/398279074.db2.gz CSZHADNJWZRMGI-UHFFFAOYSA-N 0 3 247.386 2.984 20 0 BFADHN CCc1nn(C)cc1CN1CCCC[C@@H]1CC ZINC000183453365 538967070 /nfs/dbraw/zinc/96/70/70/538967070.db2.gz PEIXXURPKGMMQT-ZDUSSCGKSA-N 0 3 235.375 2.747 20 0 BFADHN C[C@H]1CCCN(Cc2cn(C)nc2C(C)(C)C)C1 ZINC000183458461 538966937 /nfs/dbraw/zinc/96/69/37/538966937.db2.gz XHUUDFRWTKMRNM-LBPRGKRZSA-N 0 3 249.402 2.950 20 0 BFADHN CCc1ccc([C@H](C)NCC2(CO)CCC2)o1 ZINC000184521949 538969155 /nfs/dbraw/zinc/96/91/55/538969155.db2.gz SWHGPZVSXLXTKW-NSHDSACASA-N 0 3 237.343 2.655 20 0 BFADHN CCCC[C@H](C)N(C)C(=O)CN(C)C1CCC1 ZINC000629993501 361878308 /nfs/dbraw/zinc/87/83/08/361878308.db2.gz DOTOWBDVJXFVAY-LBPRGKRZSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1cnc(CNc2cccc(CN(C)C)c2)o1 ZINC000634573698 342773718 /nfs/dbraw/zinc/77/37/18/342773718.db2.gz CKWJHJHYXFSIHW-UHFFFAOYSA-N 0 3 245.326 2.657 20 0 BFADHN CN(C)Cc1cccc(NCCC2CC2)c1 ZINC000634573702 342773815 /nfs/dbraw/zinc/77/38/15/342773815.db2.gz CTMFEZASXJXBAN-UHFFFAOYSA-N 0 3 218.344 2.960 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCC2(CO)CCC2)o1 ZINC000184376274 538968334 /nfs/dbraw/zinc/96/83/34/538968334.db2.gz YDMICCBRTZZDDQ-DGCLKSJQSA-N 0 3 249.354 2.655 20 0 BFADHN CCC(CC)NCc1ncnn1-c1ccccc1 ZINC000279421936 168389287 /nfs/dbraw/zinc/38/92/87/168389287.db2.gz FXMKCRGDNZAJFY-UHFFFAOYSA-N 0 3 244.342 2.546 20 0 BFADHN CC1(CN2CCN(Cc3ccccc3)CC2)CC1 ZINC000583970616 342785455 /nfs/dbraw/zinc/78/54/55/342785455.db2.gz FQMPRHJWEFINRR-UHFFFAOYSA-N 0 3 244.382 2.604 20 0 BFADHN CC(C)CN(Cc1cc2n(n1)CCC2)C(C)C ZINC000649487734 398284776 /nfs/dbraw/zinc/28/47/76/398284776.db2.gz NFNFXCPHOUUNSB-UHFFFAOYSA-N 0 3 235.375 2.696 20 0 BFADHN CCC(CC)NCc1cnn(-c2ccccc2)n1 ZINC000020245925 168390288 /nfs/dbraw/zinc/39/02/88/168390288.db2.gz QCZHDVMLMFLPDH-UHFFFAOYSA-N 0 3 244.342 2.546 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1ncc(C)o1 ZINC000075022522 342791674 /nfs/dbraw/zinc/79/16/74/342791674.db2.gz SEXGORQUXATHNV-MWLCHTKSSA-N 0 3 208.305 2.603 20 0 BFADHN CSCCCN(C)Cc1ccc(Cl)cn1 ZINC000583973202 342794706 /nfs/dbraw/zinc/79/47/06/342794706.db2.gz RCBJQQZDQIUSNW-UHFFFAOYSA-N 0 3 244.791 2.920 20 0 BFADHN C[C@@H](NC[C@H]1CC(C)(C)CO1)c1cccnc1 ZINC000634582013 342797666 /nfs/dbraw/zinc/79/76/66/342797666.db2.gz IXVKZAKUMOWUMJ-DGCLKSJQSA-N 0 3 234.343 2.547 20 0 BFADHN CCC(CC)N[C@@H](C)c1cnc(C)cn1 ZINC000336758402 168391990 /nfs/dbraw/zinc/39/19/90/168391990.db2.gz JUISQZJSMRAKMG-JTQLQIEISA-N 0 3 207.321 2.624 20 0 BFADHN CC(C)C(C)(C)CNCc1ccncc1F ZINC000449266306 398286518 /nfs/dbraw/zinc/28/65/18/398286518.db2.gz UZMMIKUESQZFRH-UHFFFAOYSA-N 0 3 224.323 2.993 20 0 BFADHN CC[C@H](Cc1cccs1)NCc1cocn1 ZINC000379442256 538977643 /nfs/dbraw/zinc/97/76/43/538977643.db2.gz KPTOVEPLDSWODP-SNVBAGLBSA-N 0 3 236.340 2.847 20 0 BFADHN CCC(CC)CN1CCC[C@H]1c1nc(C)no1 ZINC000348809867 136239038 /nfs/dbraw/zinc/23/90/38/136239038.db2.gz PCFHNWXUWXOVDE-LBPRGKRZSA-N 0 3 237.347 2.951 20 0 BFADHN CCN1CCC[C@]2(C1)OCc1ccccc12 ZINC000336568720 342811305 /nfs/dbraw/zinc/81/13/05/342811305.db2.gz UVEZYPWPPDFKIA-CQSZACIVSA-N 0 3 217.312 2.528 20 0 BFADHN CC[C@](C)(O)CNCc1cscc1Cl ZINC000379584342 538978848 /nfs/dbraw/zinc/97/88/48/538978848.db2.gz ODEGHSKPOOVPMR-JTQLQIEISA-N 0 3 233.764 2.652 20 0 BFADHN CC(C)CSCCCN[C@@H](C)c1ncc[nH]1 ZINC000286102908 342813150 /nfs/dbraw/zinc/81/31/50/342813150.db2.gz MQLUHJWMGPEZFC-NSHDSACASA-N 0 3 241.404 2.840 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1ccccn1 ZINC000335437681 398287888 /nfs/dbraw/zinc/28/78/88/398287888.db2.gz QVFLKUCCQQWSSN-LLVKDONJSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@H](N[C@@H]1CCCN(C)C1)c1ccccc1F ZINC000040671947 538972838 /nfs/dbraw/zinc/97/28/38/538972838.db2.gz BDOUNKBRUVFZPX-NWDGAFQWSA-N 0 3 236.334 2.571 20 0 BFADHN CCC(CC)N[C@H](c1nccn1C)C1CC1 ZINC000336736904 168393165 /nfs/dbraw/zinc/39/31/65/168393165.db2.gz NDQCLLBLPPMUCY-LBPRGKRZSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1ccc(C)c(-c2cnn(CCN(C)C)c2)c1 ZINC000630052753 361921127 /nfs/dbraw/zinc/92/11/27/361921127.db2.gz XVGHKUAIAZQAKJ-UHFFFAOYSA-N 0 3 243.354 2.729 20 0 BFADHN C[C@@H](NCc1ccccc1F)[C@@H]1CCCCO1 ZINC000379255583 538976759 /nfs/dbraw/zinc/97/67/59/538976759.db2.gz IVRKWPMVUDHULD-RISCZKNCSA-N 0 3 237.318 2.873 20 0 BFADHN CC(C)C1(C)CCN(Cc2cncnc2)CC1 ZINC000189948409 538986313 /nfs/dbraw/zinc/98/63/13/538986313.db2.gz CCORVJZSBKBMFC-UHFFFAOYSA-N 0 3 233.359 2.735 20 0 BFADHN O[C@H]1CC[C@H](CNCc2cscc2Cl)C1 ZINC000380189813 538987011 /nfs/dbraw/zinc/98/70/11/538987011.db2.gz OJAHXRWVCUNYEO-WPRPVWTQSA-N 0 3 245.775 2.652 20 0 BFADHN Cc1nc(CN[C@H](C)[C@H]2CC2(C)C)cs1 ZINC000380233609 538987376 /nfs/dbraw/zinc/98/73/76/538987376.db2.gz LYRJMTBFGPTAHF-LDYMZIIASA-N 0 3 224.373 2.976 20 0 BFADHN Cc1nc(CN[C@H](C)[C@@H]2CC2(C)C)cs1 ZINC000380233606 538987446 /nfs/dbraw/zinc/98/74/46/538987446.db2.gz LYRJMTBFGPTAHF-KCJUWKMLSA-N 0 3 224.373 2.976 20 0 BFADHN C[C@@H](CO)CCCNCc1cscc1Cl ZINC000380225083 538987592 /nfs/dbraw/zinc/98/75/92/538987592.db2.gz KAAORJJYOVMNTA-SECBINFHSA-N 0 3 247.791 2.900 20 0 BFADHN Cc1ncsc1CN[C@H]1CCC(F)(F)C1 ZINC000380261643 538987885 /nfs/dbraw/zinc/98/78/85/538987885.db2.gz RROGUPUHWZEERJ-QMMMGPOBSA-N 0 3 232.299 2.729 20 0 BFADHN C[C@@H]1COCC[C@H]1NCc1cscc1Cl ZINC000380289833 538988651 /nfs/dbraw/zinc/98/86/51/538988651.db2.gz BDXUSPHJLCCYGL-LDYMZIIASA-N 0 3 245.775 2.916 20 0 BFADHN C[C@H]1CC(C)(C)C[C@@H]1NCc1cocn1 ZINC000380296072 538988783 /nfs/dbraw/zinc/98/87/83/538988783.db2.gz CSGOWMVNVIEITC-ONGXEEELSA-N 0 3 208.305 2.589 20 0 BFADHN COCCCCN[C@H](C)c1nc(C)sc1C ZINC000044656715 538989814 /nfs/dbraw/zinc/98/98/14/538989814.db2.gz DGNJDDQCEACMBU-SECBINFHSA-N 0 3 242.388 2.837 20 0 BFADHN CCC(CC)(CNCc1ccncc1F)OC ZINC000449341656 398293479 /nfs/dbraw/zinc/29/34/79/398293479.db2.gz VIPGASPJINPKCB-UHFFFAOYSA-N 0 3 240.322 2.516 20 0 BFADHN Fc1ccc2c(c1)[C@H](NC1CSC1)CC2 ZINC000379928027 538982110 /nfs/dbraw/zinc/98/21/10/538982110.db2.gz MQEUAAMBWWBDCW-GFCCVEGCSA-N 0 3 223.316 2.518 20 0 BFADHN c1cc(CCCN2CC3(CC3)C2)cc2c1OCC2 ZINC000449370080 398291807 /nfs/dbraw/zinc/29/18/07/398291807.db2.gz IEXJXSZZUMKPLJ-UHFFFAOYSA-N 0 3 243.350 2.650 20 0 BFADHN C[C@H](CN1CC2(CC2)C1)c1ccccc1 ZINC000449371127 398292290 /nfs/dbraw/zinc/29/22/90/398292290.db2.gz QOUCIMOJNYDVNV-GFCCVEGCSA-N 0 3 201.313 2.886 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1ccco1 ZINC000088169556 398293990 /nfs/dbraw/zinc/29/39/90/398293990.db2.gz XMONSYJOCCTGRM-DMDPSCGWSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1nc2c(s1)CCCC2 ZINC000381504403 539002625 /nfs/dbraw/zinc/00/26/25/539002625.db2.gz PQTQYDHHNIHDJN-NXEZZACHSA-N 0 3 236.384 2.910 20 0 BFADHN c1nc(CN[C@H]2CCC[C@@H](C3CC3)C2)co1 ZINC000381519584 539002832 /nfs/dbraw/zinc/00/28/32/539002832.db2.gz UBEOUZSFSAJHSS-NEPJUHHUSA-N 0 3 220.316 2.733 20 0 BFADHN CCC[C@@H](N[C@@H](C)COC)c1ccccn1 ZINC000381582910 539004374 /nfs/dbraw/zinc/00/43/74/539004374.db2.gz GCXXWJMGOKPUBV-WCQYABFASA-N 0 3 222.332 2.547 20 0 BFADHN Cc1cncc(CCN(C)[C@H](C)c2ccco2)n1 ZINC000649498962 398294773 /nfs/dbraw/zinc/29/47/73/398294773.db2.gz BXCCYLIWWJMFOS-GFCCVEGCSA-N 0 3 245.326 2.614 20 0 BFADHN CO[C@H](CN[C@@H]1CCCc2cccnc21)C(C)C ZINC000381935315 539008049 /nfs/dbraw/zinc/00/80/49/539008049.db2.gz SCISWBSSPSGRPQ-ZIAGYGMSSA-N 0 3 248.370 2.720 20 0 BFADHN C/C=C\CN[C@H](C)c1cccc(NC(C)=O)c1 ZINC000382920462 539011104 /nfs/dbraw/zinc/01/11/04/539011104.db2.gz OQLIZWDSJAYIAL-DOGVGXBMSA-N 0 3 232.327 2.872 20 0 BFADHN CC[C@@]1(NCc2ccc(F)c(F)c2)CCOC1 ZINC000383049524 539011136 /nfs/dbraw/zinc/01/11/36/539011136.db2.gz AAKLSOATRLGUIH-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN C/C=C/CN[C@@H]1CCc2ccc(OC)cc21 ZINC000384726507 344005265 /nfs/dbraw/zinc/00/52/65/344005265.db2.gz IIUXTZLYLZLBEN-RDFMZFSFSA-N 0 3 217.312 2.848 20 0 BFADHN Cn1cc(CN2CCC[C@@H]3CCCC[C@@H]32)cn1 ZINC000071089285 361945383 /nfs/dbraw/zinc/94/53/83/361945383.db2.gz LUNFGABVXRZGKV-KBPBESRZSA-N 0 3 233.359 2.575 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccoc1)[C@@H]1CCCCO1 ZINC000381332266 538998255 /nfs/dbraw/zinc/99/82/55/538998255.db2.gz UGUWKRMRWPTURT-LOWVWBTDSA-N 0 3 223.316 2.888 20 0 BFADHN CCN(Cc1cc2n(n1)CCC2)[C@@H](C)C(C)C ZINC000649498236 398296125 /nfs/dbraw/zinc/29/61/25/398296125.db2.gz DRCRFKWJCIMDJC-LBPRGKRZSA-N 0 3 235.375 2.696 20 0 BFADHN C/C=C\CN[C@@H]1CCc2cc(OC)c(OC)cc21 ZINC000624199117 342841784 /nfs/dbraw/zinc/84/17/84/342841784.db2.gz HDQWYJZHXYEKKH-DSYXLKISSA-N 0 3 247.338 2.857 20 0 BFADHN C[C@H](NCc1ccc(F)cc1Cl)C(C)(C)O ZINC000390661658 539026994 /nfs/dbraw/zinc/02/69/94/539026994.db2.gz SJBSLPCEJDQCOA-QMMMGPOBSA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@@H](CN[C@H](C)c1cc(C)oc1C)OC ZINC000290298642 398297283 /nfs/dbraw/zinc/29/72/83/398297283.db2.gz YPFDPMAHKLWELZ-PWSUYJOCSA-N 0 3 225.332 2.972 20 0 BFADHN Cc1ccc2nccc(N3CC[C@@H](O)[C@H]3C)c2c1 ZINC000649502208 398297508 /nfs/dbraw/zinc/29/75/08/398297508.db2.gz SCTNYOYJPLRVOA-IAQYHMDHSA-N 0 3 242.322 2.503 20 0 BFADHN Cc1ncc([C@H](C)N(C)C(C)(C)C)c(C)n1 ZINC000639287065 398297512 /nfs/dbraw/zinc/29/75/12/398297512.db2.gz XQZZATHRBWAYIZ-JTQLQIEISA-N 0 3 221.348 2.885 20 0 BFADHN CCCN(Cc1cc2n(n1)CCC2)CC(C)C ZINC000649500692 398298412 /nfs/dbraw/zinc/29/84/12/398298412.db2.gz NYUYSKMSRXHIAD-UHFFFAOYSA-N 0 3 235.375 2.697 20 0 BFADHN C[C@H](N[C@@H](CO)CC1CC1)c1ccsc1 ZINC000389889334 539018266 /nfs/dbraw/zinc/01/82/66/539018266.db2.gz UUSQBPLMWPKQFV-JOYOIKCWSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@H](N[C@H](CO)CC1CC1)c1ccsc1 ZINC000389889333 539018439 /nfs/dbraw/zinc/01/84/39/539018439.db2.gz UUSQBPLMWPKQFV-CABZTGNLSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@@H](CNC1CSC1)Sc1ccccc1 ZINC000389955707 539018904 /nfs/dbraw/zinc/01/89/04/539018904.db2.gz UEJBUFQSZAJROD-JTQLQIEISA-N 0 3 239.409 2.872 20 0 BFADHN CCN(C)Cc1cc(Br)cs1 ZINC000042253672 361977311 /nfs/dbraw/zinc/97/73/11/361977311.db2.gz SAPIDHKBLZWBBM-UHFFFAOYSA-N 0 3 234.162 2.962 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)Nc1cc(C)ccc1C ZINC000011960429 342845476 /nfs/dbraw/zinc/84/54/76/342845476.db2.gz KIOBJRHINOQRKC-MFKMUULPSA-N 0 3 234.343 2.615 20 0 BFADHN C[C@@H](NC[C@H]1C[C@@H](C)O[C@@H]1C)c1cscn1 ZINC000581871852 342846370 /nfs/dbraw/zinc/84/63/70/342846370.db2.gz RAQXMZYFUWWMIE-GWOFURMSSA-N 0 3 240.372 2.607 20 0 BFADHN CCO[C@@H](CN(C)Cc1ccccc1)C1CC1 ZINC000625064707 342847276 /nfs/dbraw/zinc/84/72/76/342847276.db2.gz KXVSMZACCNOHRZ-HNNXBMFYSA-N 0 3 233.355 2.934 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@H]2CCCOC2)o1 ZINC000252385286 398301615 /nfs/dbraw/zinc/30/16/15/398301615.db2.gz GBOLIUIOZYHPFJ-YRGRVCCFSA-N 0 3 249.354 2.919 20 0 BFADHN C[C@@H](N(C)CC(=O)NCC(C)(C)C)C(C)(C)C ZINC000625079290 342849494 /nfs/dbraw/zinc/84/94/94/342849494.db2.gz UZPARLGFKBBGHQ-LLVKDONJSA-N 0 3 242.407 2.515 20 0 BFADHN CC(C)(C)[C@H]1CCCN1Cc1cc2n(n1)CCC2 ZINC000649510215 398304346 /nfs/dbraw/zinc/30/43/46/398304346.db2.gz VFJRIQOOQWWAFM-CQSZACIVSA-N 0 3 247.386 2.840 20 0 BFADHN CC(C)[C@@H]1CC[C@@H]1N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000449575213 398304871 /nfs/dbraw/zinc/30/48/71/398304871.db2.gz XCFBWVLNILESKK-MROQNXINSA-N 0 3 233.359 2.913 20 0 BFADHN CC[C@H](O)CN1CC=C(c2cccc(C)c2)CC1 ZINC000092822348 398306818 /nfs/dbraw/zinc/30/68/18/398306818.db2.gz MQIUNBLQGXQHHD-INIZCTEOSA-N 0 3 245.366 2.855 20 0 BFADHN CSCCNCc1cc(F)ccc1C ZINC000163232542 398321434 /nfs/dbraw/zinc/32/14/34/398321434.db2.gz OIGPSQHZFVEUAX-UHFFFAOYSA-N 0 3 213.321 2.587 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1ccnn1CC1CCC1 ZINC000631134980 342886209 /nfs/dbraw/zinc/88/62/09/342886209.db2.gz JOLXUEFIBIXADL-FZMZJTMJSA-N 0 3 233.359 2.571 20 0 BFADHN C[C@H](N[C@H]1CC2CCC1CC2)c1nccn1C ZINC000334754793 398313271 /nfs/dbraw/zinc/31/32/71/398313271.db2.gz RGMNACJTKVBHQR-WTIISPKJSA-N 0 3 233.359 2.649 20 0 BFADHN CCO[C@@H](CN(C)Cc1cccc(O)c1)C1CC1 ZINC000625208286 342912022 /nfs/dbraw/zinc/91/20/22/342912022.db2.gz PCDUPBSBOACXJM-HNNXBMFYSA-N 0 3 249.354 2.639 20 0 BFADHN CCCC[C@@H](CC)CNCc1cc[nH]n1 ZINC000036900142 342912841 /nfs/dbraw/zinc/91/28/41/342912841.db2.gz RFTNAELNHBDAIZ-LLVKDONJSA-N 0 3 209.337 2.716 20 0 BFADHN CCC[C@@H](O)CNCc1ccc(C)cc1Cl ZINC000233296203 342900833 /nfs/dbraw/zinc/90/08/33/342900833.db2.gz OITGJELZBOWODW-GFCCVEGCSA-N 0 3 241.762 2.899 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000631699824 398324404 /nfs/dbraw/zinc/32/44/04/398324404.db2.gz BGNIYRHXEKGCDR-GFQSEFKGSA-N 0 3 248.370 2.861 20 0 BFADHN Cc1cccc([C@H](NC/C=C/CO)C(C)C)c1 ZINC000624011500 346874609 /nfs/dbraw/zinc/87/46/09/346874609.db2.gz BHZZZACRJQUNCX-MBVDDHJVSA-N 0 3 233.355 2.830 20 0 BFADHN CC[C@@H]1C[C@H](CN[C@H](C)c2ccon2)CCO1 ZINC000351582795 136247465 /nfs/dbraw/zinc/24/74/65/136247465.db2.gz RCEUCAHXAGYEBO-IJLUTSLNSA-N 0 3 238.331 2.530 20 0 BFADHN CCCN(Cc1cnc(C)nc1)CC(C)C ZINC000351600460 136247652 /nfs/dbraw/zinc/24/76/52/136247652.db2.gz FEAMKBPGISCUPN-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN Cc1nocc1CN(CC1CC1)CC1CC1 ZINC000351618973 136247845 /nfs/dbraw/zinc/24/78/45/136247845.db2.gz DTDHYFBDFLHGBS-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN CC(C)C[C@H](C)N(C)CC(=O)Nc1ccccc1 ZINC000045769691 342919017 /nfs/dbraw/zinc/91/90/17/342919017.db2.gz MFCXNVNHHIRNCZ-ZDUSSCGKSA-N 0 3 248.370 2.992 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccc(Cl)nc1 ZINC000037110116 342920782 /nfs/dbraw/zinc/92/07/82/342920782.db2.gz VLMQNWFKUXWRBB-SECBINFHSA-N 0 3 212.724 2.965 20 0 BFADHN CCOC[C@H](N[C@@H](C)c1ccoc1)C(C)C ZINC000189361146 346874028 /nfs/dbraw/zinc/87/40/28/346874028.db2.gz UZCKYBNCJQSICG-AAEUAGOBSA-N 0 3 225.332 2.991 20 0 BFADHN CCCCCNC(=O)CN(CC)C1CCCC1 ZINC000047965548 342930558 /nfs/dbraw/zinc/93/05/58/342930558.db2.gz DQUZADOBAYHGBM-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN CCc1cc(NC(=O)[C@@H](N)[C@H](C)CC)ccc1C ZINC000236975425 398328065 /nfs/dbraw/zinc/32/80/65/398328065.db2.gz YZORNKIVRYEOBB-YGRLFVJLSA-N 0 3 248.370 2.869 20 0 BFADHN FCCCNCc1ccc(Cl)cc1F ZINC000189386396 346876095 /nfs/dbraw/zinc/87/60/95/346876095.db2.gz KGOMCNAQLXDAGJ-UHFFFAOYSA-N 0 3 219.662 2.928 20 0 BFADHN CCc1nc(CN[C@@H]2CC[C@@H]2C)cs1 ZINC000308549072 346882875 /nfs/dbraw/zinc/88/28/75/346882875.db2.gz QTQAOICNUJWWKR-WCBMZHEXSA-N 0 3 210.346 2.594 20 0 BFADHN CC[C@]1(O)CCN(Cc2ccccc2Cl)C1 ZINC000495195841 529443330 /nfs/dbraw/zinc/44/33/30/529443330.db2.gz RRGLGGUOJCAWIF-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN CC[C@@H](NCc1cccn1C)c1ccncc1 ZINC000174437005 346881678 /nfs/dbraw/zinc/88/16/78/346881678.db2.gz MDNKYSIMBABJSY-CQSZACIVSA-N 0 3 229.327 2.661 20 0 BFADHN CCSCCCNCc1cccc(F)c1N ZINC000602649874 349658243 /nfs/dbraw/zinc/65/82/43/349658243.db2.gz BJLXSVQLHFNSPJ-UHFFFAOYSA-N 0 3 242.363 2.641 20 0 BFADHN CCc1ccc(CN(C)[C@@H](CC)CO)s1 ZINC000379008941 346882557 /nfs/dbraw/zinc/88/25/57/346882557.db2.gz JPELATVEQXXWRW-JTQLQIEISA-N 0 3 227.373 2.513 20 0 BFADHN COCCCNCc1ccc(C)cc1Cl ZINC000234610227 342932787 /nfs/dbraw/zinc/93/27/87/342932787.db2.gz QVPOPBSLOOJFTI-UHFFFAOYSA-N 0 3 227.735 2.775 20 0 BFADHN CCC[C@H](NCC[C@H](O)CC)c1ccccn1 ZINC000305721727 398337003 /nfs/dbraw/zinc/33/70/03/398337003.db2.gz KAGOTIOJYWUGBY-OLZOCXBDSA-N 0 3 236.359 2.673 20 0 BFADHN Cc1ccncc1CN1CCCC[C@@H]1C[C@H](C)O ZINC000355110971 398331546 /nfs/dbraw/zinc/33/15/46/398331546.db2.gz COHJHSARBKZSPW-DZGCQCFKSA-N 0 3 248.370 2.515 20 0 BFADHN CC(C)SCCNCc1cccc(F)c1N ZINC000602657081 349662637 /nfs/dbraw/zinc/66/26/37/349662637.db2.gz WJAFJLRUMRGOAY-UHFFFAOYSA-N 0 3 242.363 2.639 20 0 BFADHN C[C@@H](NCc1cc[nH]c(=O)c1)c1cccs1 ZINC000392775252 398335156 /nfs/dbraw/zinc/33/51/56/398335156.db2.gz YVCPYJPKGWCCEL-SECBINFHSA-N 0 3 234.324 2.700 20 0 BFADHN Fc1ccc(CNCC[C@H]2CCCO2)c(F)c1 ZINC000037988587 398335496 /nfs/dbraw/zinc/33/54/96/398335496.db2.gz QQXZTOHPUJEADQ-GFCCVEGCSA-N 0 3 241.281 2.624 20 0 BFADHN CCC(O)(CC)CN(C)[C@H](C)c1cccnc1 ZINC000626110240 398340352 /nfs/dbraw/zinc/34/03/52/398340352.db2.gz UHWHCTFWWAJNAV-GFCCVEGCSA-N 0 3 236.359 2.626 20 0 BFADHN COCc1ccc([C@H]2CCCN2CCCF)o1 ZINC000131368074 398340857 /nfs/dbraw/zinc/34/08/57/398340857.db2.gz UFLZGCMPJJDXBG-GFCCVEGCSA-N 0 3 241.306 2.923 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1ccc(F)c(F)c1 ZINC000135463624 398342128 /nfs/dbraw/zinc/34/21/28/398342128.db2.gz GIODLVZTWPOWAW-KOLCDFICSA-N 0 3 243.297 2.776 20 0 BFADHN CC1(C)CC[C@H](CNCc2ccccc2F)O1 ZINC000160197346 398343360 /nfs/dbraw/zinc/34/33/60/398343360.db2.gz ULPDJUZHVSMFDO-GFCCVEGCSA-N 0 3 237.318 2.873 20 0 BFADHN Cc1ccc(C)c(NC(=O)C2(N)CCCCC2)c1 ZINC000019428342 342948736 /nfs/dbraw/zinc/94/87/36/342948736.db2.gz UTJKHVBQOKBPEM-UHFFFAOYSA-N 0 3 246.354 2.904 20 0 BFADHN Cc1ccc(NC(=O)C2(N)CCCCC2)cc1 ZINC000019518758 342950909 /nfs/dbraw/zinc/95/09/09/342950909.db2.gz LBFHYBVDFJVFBZ-UHFFFAOYSA-N 0 3 232.327 2.595 20 0 BFADHN CCN(CCCCF)Cc1ccncc1 ZINC000351769282 136253441 /nfs/dbraw/zinc/25/34/41/136253441.db2.gz XZFBAFUVMFYACK-UHFFFAOYSA-N 0 3 210.296 2.653 20 0 BFADHN COC[C@H](C)N[C@H](C)c1ccc(F)cc1F ZINC000019904906 342962516 /nfs/dbraw/zinc/96/25/16/342962516.db2.gz YCDLVTMMNUPDPE-DTWKUNHWSA-N 0 3 229.270 2.650 20 0 BFADHN CO[C@H]1CCCN(c2ccnc3ccccc32)C1 ZINC000264725002 536712335 /nfs/dbraw/zinc/71/23/35/536712335.db2.gz IDLMMHLLKJZTRG-LBPRGKRZSA-N 0 3 242.322 2.850 20 0 BFADHN Clc1ccccc1CN[C@H]1CCSC1 ZINC000019908180 342962886 /nfs/dbraw/zinc/96/28/86/342962886.db2.gz WPMGEXUPWXOKSR-JTQLQIEISA-N 0 3 227.760 2.935 20 0 BFADHN CCN(CC(C)C)[C@H](CC(C)C)C(=O)OC ZINC000351844203 136256744 /nfs/dbraw/zinc/25/67/44/136256744.db2.gz KEQUBBACHWCBCB-GFCCVEGCSA-N 0 3 229.364 2.552 20 0 BFADHN CCc1ccc(CN2CCC(O)(CC)CC2)cc1 ZINC000075858974 344018682 /nfs/dbraw/zinc/01/86/82/344018682.db2.gz AITXEMCWZLDLJE-UHFFFAOYSA-N 0 3 247.382 2.986 20 0 BFADHN Fc1cccc(Cl)c1CNCC1CC1 ZINC000019885029 342961750 /nfs/dbraw/zinc/96/17/50/342961750.db2.gz YWAWAZGNOIINNL-UHFFFAOYSA-N 0 3 213.683 2.979 20 0 BFADHN C[C@H](N[C@H](C1CC1)C1CCC1)c1ncc[nH]1 ZINC000453442861 488192527 /nfs/dbraw/zinc/19/25/27/488192527.db2.gz YELMFNMSZCSYCI-CABZTGNLSA-N 0 3 219.332 2.639 20 0 BFADHN Cc1cc([C@@H]2CCCN2CC[C@H](C)F)on1 ZINC000351851697 136257892 /nfs/dbraw/zinc/25/78/92/136257892.db2.gz JNCBNAAUMVWSOZ-ONGXEEELSA-N 0 3 226.295 2.868 20 0 BFADHN CCN(CC[C@@H](C)F)Cc1ccccn1 ZINC000351853745 136257968 /nfs/dbraw/zinc/25/79/68/136257968.db2.gz CMEZJDDAHSTISD-LLVKDONJSA-N 0 3 210.296 2.652 20 0 BFADHN CCCC[C@@H](CC)CNC(=O)[C@@H](N)[C@@H](C)CC ZINC000040806335 342976251 /nfs/dbraw/zinc/97/62/51/342976251.db2.gz IAVMWZIXGBOLLO-XQQFMLRXSA-N 0 3 242.407 2.692 20 0 BFADHN CCOc1ccc(CN[C@H](C)[C@H]2CCCO2)cc1 ZINC000020205446 342976699 /nfs/dbraw/zinc/97/66/99/342976699.db2.gz MIVFJKQZERTLAJ-IUODEOHRSA-N 0 3 249.354 2.742 20 0 BFADHN CCN1CCC[C@H]1CN[C@@H](C)c1ccc(C)o1 ZINC000019960162 342964932 /nfs/dbraw/zinc/96/49/32/342964932.db2.gz VMPVVXDHJRASRX-STQMWFEESA-N 0 3 236.359 2.723 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccc(O)c1)[C@@H]1CCCO1 ZINC000020207154 342977128 /nfs/dbraw/zinc/97/71/28/342977128.db2.gz LHNQVCQGXFVRHU-WDMOLILDSA-N 0 3 235.327 2.610 20 0 BFADHN CCN(CC)C(=O)[C@H](C)N[C@H](C)c1ccccc1 ZINC000020368008 342984010 /nfs/dbraw/zinc/98/40/10/342984010.db2.gz FDGHUKOLFPUFEI-OLZOCXBDSA-N 0 3 248.370 2.594 20 0 BFADHN Clc1ccc(CN2CC[C@H](C3CC3)C2)nc1 ZINC000335902416 136259459 /nfs/dbraw/zinc/25/94/59/136259459.db2.gz NISZJEVINXHFRX-NSHDSACASA-N 0 3 236.746 2.967 20 0 BFADHN CSC1CCN(Cc2occc2C)CC1 ZINC000602724957 349714346 /nfs/dbraw/zinc/71/43/46/349714346.db2.gz GBGFNLBPZBDLFL-UHFFFAOYSA-N 0 3 225.357 2.915 20 0 BFADHN Cc1ccc(NC(=O)[C@@H](N)C(C)C)c(Cl)c1 ZINC000020471410 342986200 /nfs/dbraw/zinc/98/62/00/342986200.db2.gz FXPRXTLBNKCUBF-NSHDSACASA-N 0 3 240.734 2.570 20 0 BFADHN CC(C)c1cccc(NC(=O)[C@H]2CCCCN2)c1 ZINC000020475649 342986620 /nfs/dbraw/zinc/98/66/20/342986620.db2.gz JBCUDPCWYJCATM-CQSZACIVSA-N 0 3 246.354 2.891 20 0 BFADHN CCC[C@H](NCCN(C#N)CC)c1ccccc1 ZINC000602803398 349742887 /nfs/dbraw/zinc/74/28/87/349742887.db2.gz YOIHASIJXLZRER-HNNXBMFYSA-N 0 3 245.370 2.920 20 0 BFADHN Cc1cc(C(F)(F)F)nn1-c1ccncc1C ZINC000634606156 343001256 /nfs/dbraw/zinc/00/12/56/343001256.db2.gz ISPKODMXJHADEK-UHFFFAOYSA-N 0 3 241.216 2.903 20 0 BFADHN CCCCN1CCN(c2ccccc2)CC1 ZINC000036460134 343005249 /nfs/dbraw/zinc/00/52/49/343005249.db2.gz BDLZNOKTHVZHRB-UHFFFAOYSA-N 0 3 218.344 2.609 20 0 BFADHN CCC(O)(CC)CN(C)[C@H](C)c1ccncc1 ZINC000384016225 343018405 /nfs/dbraw/zinc/01/84/05/343018405.db2.gz YSZZUHHUVQKKQS-GFCCVEGCSA-N 0 3 236.359 2.626 20 0 BFADHN C[C@H]1CCN(Cc2cc3ccccc3o2)C[C@@H]1O ZINC000335904246 136260433 /nfs/dbraw/zinc/26/04/33/136260433.db2.gz MJIZFDLINLQPAU-FZMZJTMJSA-N 0 3 245.322 2.636 20 0 BFADHN CCCCN(Cc1cc(C)no1)C1CC1 ZINC000046093041 343021110 /nfs/dbraw/zinc/02/11/10/343021110.db2.gz IOZRVIHMJJNIBX-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN CCCC[C@H](C)[C@H](C)NCc1cc[nH]n1 ZINC000308947946 259340979 /nfs/dbraw/zinc/34/09/79/259340979.db2.gz OHMLDLVQQVBKDA-QWRGUYRKSA-N 0 3 209.337 2.714 20 0 BFADHN CCN(C#N)CCN1CCC[C@@]2(CC=CCC2)C1 ZINC000602782926 349748478 /nfs/dbraw/zinc/74/84/78/349748478.db2.gz CCMIJZHBDMWCSO-HNNXBMFYSA-N 0 3 247.386 2.612 20 0 BFADHN Cc1ccc(CCNCc2ccco2)o1 ZINC000309236924 259342147 /nfs/dbraw/zinc/34/21/47/259342147.db2.gz XRRGJMUZGKJTMB-UHFFFAOYSA-N 0 3 205.257 2.513 20 0 BFADHN CC[C@H](C(=O)OC(C)(C)C)N1CCCCCC1 ZINC000483530012 529624501 /nfs/dbraw/zinc/62/45/01/529624501.db2.gz JCIGTKABVRYIGK-GFCCVEGCSA-N 0 3 241.375 2.983 20 0 BFADHN CC(C)SCCN1CCC[C@H]1c1cn[nH]c1 ZINC000602881380 349791645 /nfs/dbraw/zinc/79/16/45/349791645.db2.gz PZMGYPNWUXEKFM-LBPRGKRZSA-N 0 3 239.388 2.688 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1c(C)cccc1C ZINC000309838484 343063895 /nfs/dbraw/zinc/06/38/95/343063895.db2.gz BWIWTYZGBSTLOS-KBPBESRZSA-N 0 3 219.328 2.570 20 0 BFADHN CC(C)n1ccnc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000176254778 365698859 /nfs/dbraw/zinc/69/88/59/365698859.db2.gz VRGRYRJDTMYWAK-BETUJISGSA-N 0 3 233.359 2.696 20 0 BFADHN Cc1ccc(CNC[C@H](O)C2CC2)c(Cl)c1 ZINC000235878567 343064488 /nfs/dbraw/zinc/06/44/88/343064488.db2.gz MWJVAUNGAFDHET-ZDUSSCGKSA-N 0 3 239.746 2.509 20 0 BFADHN CC[C@H](C)CNC1(C(=O)Nc2ccccc2)CC1 ZINC000495182663 529667781 /nfs/dbraw/zinc/66/77/81/529667781.db2.gz VAOTZAXHFQBFCI-LBPRGKRZSA-N 0 3 246.354 2.793 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(Cl)c(C)c1 ZINC000309951244 343104467 /nfs/dbraw/zinc/10/44/67/343104467.db2.gz GFPWKLMTKYIHID-STQMWFEESA-N 0 3 239.746 2.915 20 0 BFADHN Cn1cccc1CN[C@@H]1CCc2ccccc21 ZINC000026515174 343106461 /nfs/dbraw/zinc/10/64/61/343106461.db2.gz XWDYNHSFRBOPDD-OAHLLOKOSA-N 0 3 226.323 2.802 20 0 BFADHN Cn1cccc1CN[C@H]1CCc2ccccc21 ZINC000026515176 343106544 /nfs/dbraw/zinc/10/65/44/343106544.db2.gz XWDYNHSFRBOPDD-HNNXBMFYSA-N 0 3 226.323 2.802 20 0 BFADHN CC[C@@H](NCc1ccc(F)cc1Cl)[C@H](C)O ZINC000386833871 343121396 /nfs/dbraw/zinc/12/13/96/343121396.db2.gz WJIXMQVTTUHXGF-QPUJVOFHSA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@H](NCc1ccc(F)cc1Cl)[C@@H](C)O ZINC000386833869 343121609 /nfs/dbraw/zinc/12/16/09/343121609.db2.gz WJIXMQVTTUHXGF-PELKAZGASA-N 0 3 245.725 2.728 20 0 BFADHN CC(C)[C@@H](N[C@@H](C)CO)c1ccc(F)cc1F ZINC000634680088 343147162 /nfs/dbraw/zinc/14/71/62/343147162.db2.gz JZWOXWIJKIJMLK-TVQRCGJNSA-N 0 3 243.297 2.632 20 0 BFADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1ccnn1C ZINC000120688954 343129569 /nfs/dbraw/zinc/12/95/69/343129569.db2.gz GHMHKAQHMGMVDU-JSGCOSHPSA-N 0 3 235.375 2.821 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1ccnn1C ZINC000120688786 343129632 /nfs/dbraw/zinc/12/96/32/343129632.db2.gz GHMHKAQHMGMVDU-OCCSQVGLSA-N 0 3 235.375 2.821 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1ccnn1C ZINC000120689098 343129695 /nfs/dbraw/zinc/12/96/95/343129695.db2.gz GHMHKAQHMGMVDU-TZMCWYRMSA-N 0 3 235.375 2.821 20 0 BFADHN COC(=O)c1ccccc1CN(C)[C@@H](C)C1CC1 ZINC000130155368 343180192 /nfs/dbraw/zinc/18/01/92/343180192.db2.gz SYUAMJJHQLGRGE-NSHDSACASA-N 0 3 247.338 2.704 20 0 BFADHN CC(C)n1ccc(CNCCC(C)(C)C)n1 ZINC000130032585 343180368 /nfs/dbraw/zinc/18/03/68/343180368.db2.gz AAMQOLBYLJEVPE-UHFFFAOYSA-N 0 3 223.364 2.990 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCCCF)o1 ZINC000124414518 343167401 /nfs/dbraw/zinc/16/74/01/343167401.db2.gz OCYQMICKJHDTQL-GXSJLCMTSA-N 0 3 211.280 2.852 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@@H]1NCc1cc[nH]n1 ZINC000128481370 343174783 /nfs/dbraw/zinc/17/47/83/343174783.db2.gz FJOGQVJJWBZUAV-WZRBSPASSA-N 0 3 221.348 2.714 20 0 BFADHN COc1c(C)cnc(CN2CC[C@@H](C)[C@@H]2C)c1C ZINC000177887826 136274415 /nfs/dbraw/zinc/27/44/15/136274415.db2.gz IUFUKHTZYLJQCX-MFKMUULPSA-N 0 3 248.370 2.937 20 0 BFADHN FC(F)(F)[C@@H]1CCCN(C2CCC2)C1 ZINC000189347993 343214014 /nfs/dbraw/zinc/21/40/14/343214014.db2.gz GQMIQBUTEFWELR-MRVPVSSYSA-N 0 3 207.239 2.813 20 0 BFADHN CC[C@H](COC)NCc1cnc(C2CC2)s1 ZINC000134348859 343194261 /nfs/dbraw/zinc/19/42/61/343194261.db2.gz HCPIXXTWDQBLKT-SNVBAGLBSA-N 0 3 240.372 2.535 20 0 BFADHN Cc1ccncc1[C@@H](C)NC[C@@H]1CC(C)(C)CO1 ZINC000634686301 343233387 /nfs/dbraw/zinc/23/33/87/343233387.db2.gz HNHGBDWMBHHNIW-OLZOCXBDSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@H](NC[C@H]1CC(C)(C)CO1)c1ccccn1 ZINC000634686811 343236069 /nfs/dbraw/zinc/23/60/69/343236069.db2.gz SEMRBZGIILNMPD-NWDGAFQWSA-N 0 3 234.343 2.547 20 0 BFADHN CCC[C@@H]1CCCCN1CC(=O)N[C@@H](C)CC ZINC000185865107 343237129 /nfs/dbraw/zinc/23/71/29/343237129.db2.gz SKBDJQVITVGFKV-QWHCGFSZSA-N 0 3 240.391 2.556 20 0 BFADHN OCc1ccc(F)c(CN2CC[C@H]3CCC[C@H]32)c1 ZINC000625341338 343240753 /nfs/dbraw/zinc/24/07/53/343240753.db2.gz FOSDBEYCYWSQSM-IUODEOHRSA-N 0 3 249.329 2.692 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@@H](C)CC[C@H]2C)n1 ZINC000228176831 136278475 /nfs/dbraw/zinc/27/84/75/136278475.db2.gz CAJGHKOROIRFPM-CMPLNLGQSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@@H](C)CC[C@H]2C)n1 ZINC000228176831 136278476 /nfs/dbraw/zinc/27/84/76/136278476.db2.gz CAJGHKOROIRFPM-CMPLNLGQSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@H](C)CC[C@H]2C)n1 ZINC000228176820 136278494 /nfs/dbraw/zinc/27/84/94/136278494.db2.gz CAJGHKOROIRFPM-ZYHUDNBSSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@H](C)CC[C@H]2C)n1 ZINC000228176820 136278495 /nfs/dbraw/zinc/27/84/95/136278495.db2.gz CAJGHKOROIRFPM-ZYHUDNBSSA-N 0 3 234.343 2.716 20 0 BFADHN C[C@@H](NCC[C@H](C)O)c1ccc(Cl)c(F)c1 ZINC000212784768 343247955 /nfs/dbraw/zinc/24/79/55/343247955.db2.gz CORKFCOLSYPIHN-DTWKUNHWSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@H](O)CNC(c1ccccc1)c1ccccc1 ZINC000035101356 343284509 /nfs/dbraw/zinc/28/45/09/343284509.db2.gz FJKWWKYSPPQNGQ-ZDUSSCGKSA-N 0 3 241.334 2.746 20 0 BFADHN Cc1cncc(-c2ccc3c(c2)CCNC3)c1 ZINC000145996474 343275842 /nfs/dbraw/zinc/27/58/42/343275842.db2.gz RAHKROPLGWSHPJ-UHFFFAOYSA-N 0 3 224.307 2.703 20 0 BFADHN COC(=O)CCN[C@@H](C)c1ccc(Cl)cc1 ZINC000034937612 343281434 /nfs/dbraw/zinc/28/14/34/343281434.db2.gz MPDMVDNNQLEPHS-VIFPVBQESA-N 0 3 241.718 2.554 20 0 BFADHN CCC[C@H](N)C(=O)Nc1cc(Cl)ccc1C ZINC000036873016 343325735 /nfs/dbraw/zinc/32/57/35/343325735.db2.gz YFAMNVWVQWWOJV-JTQLQIEISA-N 0 3 240.734 2.714 20 0 BFADHN C[C@H](Cn1cccn1)NCc1cccc(Cl)c1 ZINC000035259050 343291290 /nfs/dbraw/zinc/29/12/90/343291290.db2.gz OENGACUOVQYVQA-LLVKDONJSA-N 0 3 249.745 2.715 20 0 BFADHN C[C@H](Cn1cccn1)NCc1ccccc1Cl ZINC000035259090 343291350 /nfs/dbraw/zinc/29/13/50/343291350.db2.gz CNMGXEGZONNBGW-LLVKDONJSA-N 0 3 249.745 2.715 20 0 BFADHN C[C@H](Cn1ccnc1)NCc1ccccc1Cl ZINC000035274914 343291820 /nfs/dbraw/zinc/29/18/20/343291820.db2.gz YSNSCMGPGIZFOT-LLVKDONJSA-N 0 3 249.745 2.715 20 0 BFADHN C[C@H](Cn1cccn1)N[C@@H](C)c1ccc(F)cc1 ZINC000035276498 343292436 /nfs/dbraw/zinc/29/24/36/343292436.db2.gz XDNGEZYNLPRAHW-NEPJUHHUSA-N 0 3 247.317 2.762 20 0 BFADHN C[C@@H](CO)N[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000035602421 343299274 /nfs/dbraw/zinc/29/92/74/343299274.db2.gz IYPOQQCYAPLJBD-YUMQZZPRSA-N 0 3 231.698 2.511 20 0 BFADHN C[C@@H](CO)N[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000035603169 343299532 /nfs/dbraw/zinc/29/95/32/343299532.db2.gz CCAGSMDLPJMMMN-IUCAKERBSA-N 0 3 247.260 2.737 20 0 BFADHN CCC(CC)NCc1cc(C)c(C(=O)OC)o1 ZINC000609101568 343302034 /nfs/dbraw/zinc/30/20/34/343302034.db2.gz SPVUCYYBSJGTQX-UHFFFAOYSA-N 0 3 239.315 2.653 20 0 BFADHN Fc1ccc(Cl)cc1CNC[C@H]1CCCO1 ZINC000037927431 343361350 /nfs/dbraw/zinc/36/13/50/343361350.db2.gz PWBPNMAUGKEBKR-LLVKDONJSA-N 0 3 243.709 2.748 20 0 BFADHN Cc1csc(CNCCc2ccccc2)n1 ZINC000037988459 343364600 /nfs/dbraw/zinc/36/46/00/343364600.db2.gz LUVGGOYLURIATA-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN Cc1csc(CN[C@@H](C)CC(C)C)n1 ZINC000038090748 343370511 /nfs/dbraw/zinc/37/05/11/343370511.db2.gz KJCMYPUHCPOIBC-VIFPVBQESA-N 0 3 212.362 2.976 20 0 BFADHN C[C@H](Cn1cccn1)N[C@H](C)c1cccs1 ZINC000037155400 343336593 /nfs/dbraw/zinc/33/65/93/343336593.db2.gz OXTDISYNURLKMT-GHMZBOCLSA-N 0 3 235.356 2.684 20 0 BFADHN C[C@@H](N[C@@H](C)Cn1ccnc1)c1cccc(F)c1 ZINC000037158340 343337271 /nfs/dbraw/zinc/33/72/71/343337271.db2.gz XMHFUNZDCNVFBI-NWDGAFQWSA-N 0 3 247.317 2.762 20 0 BFADHN Cc1c2ccccc2oc1[C@H](C)NCCN(C)C ZINC000037184050 343338236 /nfs/dbraw/zinc/33/82/36/343338236.db2.gz HWGXCGRIIXLURG-LBPRGKRZSA-N 0 3 246.354 2.953 20 0 BFADHN CO[C@@H]1CCCC[C@H]1NCc1ccccc1F ZINC000037212027 343339708 /nfs/dbraw/zinc/33/97/08/343339708.db2.gz CEXVMEVBSVDHNP-ZIAGYGMSSA-N 0 3 237.318 2.873 20 0 BFADHN CCc1nc(CN[C@H]2CCC[C@H]2C)cs1 ZINC000070830285 343341233 /nfs/dbraw/zinc/34/12/33/343341233.db2.gz ATYLUYASIBWHJR-KOLCDFICSA-N 0 3 224.373 2.984 20 0 BFADHN Cc1ccc(CN2CC[C@@H](COC(F)F)C2)o1 ZINC000348869894 136302491 /nfs/dbraw/zinc/30/24/91/136302491.db2.gz QBGYRWAKSDPQKI-SNVBAGLBSA-N 0 3 245.269 2.649 20 0 BFADHN Cc1cc(CN[C@@H]2CCCOC2)c(C)s1 ZINC000071012706 343342783 /nfs/dbraw/zinc/34/27/83/343342783.db2.gz LXXYVPPKCRIAPR-GFCCVEGCSA-N 0 3 225.357 2.634 20 0 BFADHN CCOc1cccc(CN[C@H](C)[C@@H]2CCCO2)c1 ZINC000037678468 343350730 /nfs/dbraw/zinc/35/07/30/343350730.db2.gz LAIIUNMUMULYKO-DOMZBBRYSA-N 0 3 249.354 2.742 20 0 BFADHN CCOc1cccc(CNC[C@@H]2CCCCO2)c1 ZINC000037679830 343351275 /nfs/dbraw/zinc/35/12/75/343351275.db2.gz XUPHXKHBDHNWNC-HNNXBMFYSA-N 0 3 249.354 2.744 20 0 BFADHN Cc1csc(CN2CC[C@@H](C(C)C)C2)n1 ZINC000335674747 136317642 /nfs/dbraw/zinc/31/76/42/136317642.db2.gz NTEOHBPNOXFGLL-LLVKDONJSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1csc(CN2CC[C@H](C(C)C)C2)n1 ZINC000335674748 136317991 /nfs/dbraw/zinc/31/79/91/136317991.db2.gz NTEOHBPNOXFGLL-NSHDSACASA-N 0 3 224.373 2.929 20 0 BFADHN CC[C@@H]1CCN(Cc2nc(C)sc2C)C1 ZINC000335674844 136318044 /nfs/dbraw/zinc/31/80/44/136318044.db2.gz UIUALQWMHVQWSC-LLVKDONJSA-N 0 3 224.373 2.992 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@@H](C(C)C)C1 ZINC000335675508 136318400 /nfs/dbraw/zinc/31/84/00/136318400.db2.gz QNKHVKHBAPMEGZ-GFCCVEGCSA-N 0 3 221.348 2.586 20 0 BFADHN CSC1(CN2CC3(CCC3(F)F)C2)CC1 ZINC000335676997 136318927 /nfs/dbraw/zinc/31/89/27/136318927.db2.gz CGKIRTAJXGNRCH-UHFFFAOYSA-N 0 3 233.327 2.613 20 0 BFADHN COc1c(O)cccc1CN[C@H]1CCC1(C)C ZINC000349027735 136319005 /nfs/dbraw/zinc/31/90/05/136319005.db2.gz HVSIDXVGMBXQGX-LBPRGKRZSA-N 0 3 235.327 2.679 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(F)cc2)[C@H](C)C1 ZINC000335677337 136319080 /nfs/dbraw/zinc/31/90/80/136319080.db2.gz JLLNAHSSMUDUOI-BXUZGUMPSA-N 0 3 237.318 2.825 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(F)cc2)[C@@H](C)C1 ZINC000335677340 136319257 /nfs/dbraw/zinc/31/92/57/136319257.db2.gz JLLNAHSSMUDUOI-SMDDNHRTSA-N 0 3 237.318 2.825 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1cc2ccccc2[nH]c1=O ZINC000335678817 136319376 /nfs/dbraw/zinc/31/93/76/136319376.db2.gz FEZBXNTXXGNTMN-PHIMTYICSA-N 0 3 242.322 2.923 20 0 BFADHN FC1(F)C[C@H]2CC[C@@H](C1)N2CCOC1CCC1 ZINC000625679198 344031089 /nfs/dbraw/zinc/03/10/89/344031089.db2.gz PGBITTMQEATUIA-PHIMTYICSA-N 0 3 245.313 2.818 20 0 BFADHN CC[C@H](NCc1cocn1)[C@@H]1CC1(C)C ZINC000397821777 262935671 /nfs/dbraw/zinc/93/56/71/262935671.db2.gz UWILQBMGVJVIDN-QWRGUYRKSA-N 0 3 208.305 2.589 20 0 BFADHN CC(C)(C)CNCc1cccc2c1OCCCO2 ZINC000040492844 343405186 /nfs/dbraw/zinc/40/51/86/343405186.db2.gz ZBGCBHVUAGHYSF-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@@](O)(C2CC2)C1 ZINC000335694419 136326315 /nfs/dbraw/zinc/32/63/15/136326315.db2.gz ODHLPWORTZRIAS-ABAIWWIYSA-N 0 3 249.329 2.734 20 0 BFADHN C[C@H]1CSCCN(C/C=C/c2ccncc2)C1 ZINC000335696462 136326873 /nfs/dbraw/zinc/32/68/73/136326873.db2.gz LHRUCWPRNMDXCZ-YWVDXFKGSA-N 0 3 248.395 2.780 20 0 BFADHN CCCC[C@@H](CC)CNC(=O)[C@H](N)CC(C)C ZINC000040806298 343409149 /nfs/dbraw/zinc/40/91/49/343409149.db2.gz CIFFGCTVOFCSJI-CHWSQXEVSA-N 0 3 242.407 2.692 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1ccc2[nH]ccc2c1 ZINC000040809844 343409769 /nfs/dbraw/zinc/40/97/69/343409769.db2.gz SKKQFKMZARBPLH-AWEZNQCLSA-N 0 3 245.326 2.624 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@H](C)c1ccccc1C ZINC000040854809 343410087 /nfs/dbraw/zinc/41/00/87/343410087.db2.gz YATIHBWHLDGXDH-DOMZBBRYSA-N 0 3 248.370 2.690 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NC1CC2(CCC2)C1 ZINC000335698624 136327836 /nfs/dbraw/zinc/32/78/36/136327836.db2.gz AUGFVELURXQQHK-JTQLQIEISA-N 0 3 233.359 2.712 20 0 BFADHN CC[C@H](NCCn1ccnc1)c1ccc(F)cc1 ZINC000041119192 343415623 /nfs/dbraw/zinc/41/56/23/343415623.db2.gz MWWPWQVQFKRJPP-AWEZNQCLSA-N 0 3 247.317 2.763 20 0 BFADHN C/C=C\C[C@H](CO)N[C@@H](C)c1ccccc1F ZINC000349379114 136343742 /nfs/dbraw/zinc/34/37/42/136343742.db2.gz NDNQYQNBMBBVIY-RRNNCXACSA-N 0 3 237.318 2.803 20 0 BFADHN C[C@@H](NCCC(F)(F)F)c1cncs1 ZINC000157154851 133481957 /nfs/dbraw/zinc/48/19/57/133481957.db2.gz SLARLZMUSJRUIZ-ZCFIWIBFSA-N 0 3 224.251 2.746 20 0 BFADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1Cc1ccn(C)c1 ZINC000335730656 136348526 /nfs/dbraw/zinc/34/85/26/136348526.db2.gz BTTRYIJCSAQCMB-KOLCDFICSA-N 0 3 246.276 2.798 20 0 BFADHN C[C@@H]1[C@H](C(F)(F)F)CCN1Cc1ccn(C)c1 ZINC000335730657 136348534 /nfs/dbraw/zinc/34/85/34/136348534.db2.gz BTTRYIJCSAQCMB-MWLCHTKSSA-N 0 3 246.276 2.798 20 0 BFADHN C[C@H]1[C@H](C(F)(F)F)CCN1Cc1ccn(C)c1 ZINC000335730655 136348781 /nfs/dbraw/zinc/34/87/81/136348781.db2.gz BTTRYIJCSAQCMB-GXSJLCMTSA-N 0 3 246.276 2.798 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cncc(F)c1 ZINC000335737821 136351141 /nfs/dbraw/zinc/35/11/41/136351141.db2.gz WOTIOOQSBBPPCX-GWCFXTLKSA-N 0 3 222.307 2.841 20 0 BFADHN CC(C)[C@@H](N)c1cn(CCCC2CCC2)nn1 ZINC000641088694 362211570 /nfs/dbraw/zinc/21/15/70/362211570.db2.gz OWGJEPSFNWUETR-CYBMUJFWSA-N 0 3 236.363 2.514 20 0 BFADHN CC[C@H](C)CN(CCN(C)C)Cc1ccco1 ZINC000349272782 136333341 /nfs/dbraw/zinc/33/33/41/136333341.db2.gz DPVSIMUOTMOWCP-ZDUSSCGKSA-N 0 3 238.375 2.689 20 0 BFADHN C[C@H](F)CCN1CCc2sccc2C1 ZINC000335705751 136335903 /nfs/dbraw/zinc/33/59/03/136335903.db2.gz HDBWBKMVLPEELL-VIFPVBQESA-N 0 3 213.321 2.854 20 0 BFADHN C[C@@H]1CCCC[C@H]1N(C)Cc1ccno1 ZINC000335707925 136336465 /nfs/dbraw/zinc/33/64/65/136336465.db2.gz FTCSIBKMSGZELM-ZYHUDNBSSA-N 0 3 208.305 2.685 20 0 BFADHN Cc1ncc2c(n1)CCN([C@@H](C)CC(C)C)C2 ZINC000335715340 136338993 /nfs/dbraw/zinc/33/89/93/136338993.db2.gz FIXIWSMASUCDHD-NSHDSACASA-N 0 3 233.359 2.578 20 0 BFADHN CN(Cc1ccno1)[C@@H]1CCC(C)(C)C1 ZINC000335715419 136339205 /nfs/dbraw/zinc/33/92/05/136339205.db2.gz SOTCKDGMWXLRHQ-SNVBAGLBSA-N 0 3 208.305 2.685 20 0 BFADHN CC[C@@H](N)c1cn(CC2CCCCCC2)nn1 ZINC000641081371 362198078 /nfs/dbraw/zinc/19/80/78/362198078.db2.gz QJQMQPVKHUAPDD-GFCCVEGCSA-N 0 3 236.363 2.658 20 0 BFADHN CC[C@H](N)c1cn(CC2CCCCCC2)nn1 ZINC000641081372 362198258 /nfs/dbraw/zinc/19/82/58/362198258.db2.gz QJQMQPVKHUAPDD-LBPRGKRZSA-N 0 3 236.363 2.658 20 0 BFADHN C[C@H]1[C@@H](CO)CCN1Cc1cc(Cl)cs1 ZINC000335834471 136353150 /nfs/dbraw/zinc/35/31/50/136353150.db2.gz XWVBGRLJAHDKCD-DTWKUNHWSA-N 0 3 245.775 2.604 20 0 BFADHN c1csc(CN2CC[C@@H]3CCCC[C@@H]32)n1 ZINC000135016802 343448531 /nfs/dbraw/zinc/44/85/31/343448531.db2.gz LNNMCFHVIBOSKO-QWRGUYRKSA-N 0 3 222.357 2.908 20 0 BFADHN COC[C@H](C)NC/C=C\c1ccc(F)cc1F ZINC000350099315 136355727 /nfs/dbraw/zinc/35/57/27/136355727.db2.gz NCYQWIJEFCGWDU-XOULXFPDSA-N 0 3 241.281 2.603 20 0 BFADHN CC[C@H]1CCCN([C@@H](C)c2cnc(C)cn2)C1 ZINC000335879330 136356457 /nfs/dbraw/zinc/35/64/57/136356457.db2.gz QBAGCJNDZNUWRL-STQMWFEESA-N 0 3 233.359 2.968 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2C[C@@H](C)O[C@@H]2C)c1 ZINC000395054011 362220796 /nfs/dbraw/zinc/22/07/96/362220796.db2.gz MWGLJFYCZSHUMQ-JTNHKYCSSA-N 0 3 237.318 2.790 20 0 BFADHN Fc1ccc(CNC[C@H]2CCCCO2)c(F)c1 ZINC000042811714 343454569 /nfs/dbraw/zinc/45/45/69/343454569.db2.gz BLWWKEKJWSACTL-GFCCVEGCSA-N 0 3 241.281 2.624 20 0 BFADHN Cc1[nH]nc2ncc(CN3CCCC[C@@H]3C)cc12 ZINC000335907672 136371179 /nfs/dbraw/zinc/37/11/79/136371179.db2.gz IWDHHGWSXJMAIH-JTQLQIEISA-N 0 3 244.342 2.641 20 0 BFADHN CSC1CCN([C@H](C)c2ccncc2)CC1 ZINC000602919689 349809419 /nfs/dbraw/zinc/80/94/19/349809419.db2.gz FMVZUCPEBBNLJA-LLVKDONJSA-N 0 3 236.384 2.970 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cn2ccccc2n1 ZINC000042556006 343443140 /nfs/dbraw/zinc/44/31/40/343443140.db2.gz UYXDASGBXIFJPH-VXGBXAGGSA-N 0 3 231.343 2.859 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cn2ccccc2n1 ZINC000042556012 343443230 /nfs/dbraw/zinc/44/32/30/343443230.db2.gz UYXDASGBXIFJPH-NEPJUHHUSA-N 0 3 231.343 2.859 20 0 BFADHN CC[C@@H](C)[C@H](C)NCC(=O)Nc1cccc(C)c1 ZINC000042556238 343443311 /nfs/dbraw/zinc/44/33/11/343443311.db2.gz LCLDPIKLNRUXAV-OLZOCXBDSA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ccc(C(=O)OC)o1 ZINC000042554269 343443348 /nfs/dbraw/zinc/44/33/48/343443348.db2.gz JWFARXGDKRJMSK-ZJUUUORDSA-N 0 3 239.315 2.590 20 0 BFADHN COC[C@H](C)CN[C@@H](C)c1ccccc1F ZINC000045656377 343531782 /nfs/dbraw/zinc/53/17/82/343531782.db2.gz UMBKYZSMIPMDAI-MNOVXSKESA-N 0 3 225.307 2.759 20 0 BFADHN Cn1c(Cl)cnc1CNC[C@@H]1CC=CCC1 ZINC000045630517 343532072 /nfs/dbraw/zinc/53/20/72/343532072.db2.gz NONNRASJJAXYQI-SNVBAGLBSA-N 0 3 239.750 2.519 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCc3cccnc32)[C@@H](C)O1 ZINC000384542948 343539186 /nfs/dbraw/zinc/53/91/86/343539186.db2.gz FFNFKAGIOWCGOR-OXHZDVMGSA-N 0 3 246.354 2.615 20 0 BFADHN Cc1csc([C@@H](C)NCC2CCC2)n1 ZINC000083838828 343504388 /nfs/dbraw/zinc/50/43/88/343504388.db2.gz QOHFSMVGFIFWAA-SECBINFHSA-N 0 3 210.346 2.902 20 0 BFADHN CSC[C@@H]1CCCN1Cc1cc(C)ccn1 ZINC000616761903 343561392 /nfs/dbraw/zinc/56/13/92/343561392.db2.gz MICGYAKVGMGUOE-ZDUSSCGKSA-N 0 3 236.384 2.717 20 0 BFADHN Fc1ccccc1C1CC(NCc2ncc[nH]2)C1 ZINC000070978628 343580832 /nfs/dbraw/zinc/58/08/32/343580832.db2.gz ORJCJUAREYNHMZ-UHFFFAOYSA-N 0 3 245.301 2.585 20 0 BFADHN C[C@@H](CN1CCCCC1)Nc1cccc(F)n1 ZINC000049503125 343600521 /nfs/dbraw/zinc/60/05/21/343600521.db2.gz MEVBDXQHWYLKOV-NSHDSACASA-N 0 3 237.322 2.507 20 0 BFADHN Clc1ccc(NCCN2CCCCC2)nc1 ZINC000049575280 343603144 /nfs/dbraw/zinc/60/31/44/343603144.db2.gz FTYMUFJRJAIOPY-UHFFFAOYSA-N 0 3 239.750 2.633 20 0 BFADHN Cc1nsc(C)c1CN1CCC=C(C)C1 ZINC000570223340 323053348 /nfs/dbraw/zinc/05/33/48/323053348.db2.gz SNVXJHMTEWREDE-UHFFFAOYSA-N 0 3 222.357 2.912 20 0 BFADHN CN(CCNCc1ccco1)Cc1ccccc1 ZINC000049592533 343604004 /nfs/dbraw/zinc/60/40/04/343604004.db2.gz SULJQSYLSYYKPS-UHFFFAOYSA-N 0 3 244.338 2.501 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCc3ccc(F)cc32)CO1 ZINC000580563292 365781592 /nfs/dbraw/zinc/78/15/92/365781592.db2.gz MKEQTKMEYUMKRR-GAJTVXKRSA-N 0 3 235.302 2.580 20 0 BFADHN N#Cc1ccc(CN[C@@H]2CCC(F)(F)C2)cc1 ZINC000379837907 343638917 /nfs/dbraw/zinc/63/89/17/343638917.db2.gz FNJNDFZPKNJHLY-GFCCVEGCSA-N 0 3 236.265 2.836 20 0 BFADHN Cc1ccccc1CCNCC1(F)CC1 ZINC000379998388 365789174 /nfs/dbraw/zinc/78/91/74/365789174.db2.gz LAURNGGOLAGWBC-UHFFFAOYSA-N 0 3 207.292 2.629 20 0 BFADHN CC[C@@H](NCc1ccnn1C)c1ccccc1 ZINC000051626863 343627511 /nfs/dbraw/zinc/62/75/11/343627511.db2.gz UWSONCXZUPLCFP-CQSZACIVSA-N 0 3 229.327 2.661 20 0 BFADHN Cc1cc(CN(CC(C)C)C2CC2)on1 ZINC000051735221 343628530 /nfs/dbraw/zinc/62/85/30/343628530.db2.gz UARHFEBQAMEFBK-UHFFFAOYSA-N 0 3 208.305 2.603 20 0 BFADHN c1coc(CNC[C@@H]2CCCCS2)c1 ZINC000087679866 343657108 /nfs/dbraw/zinc/65/71/08/343657108.db2.gz ZJGSTEAVTMXCKF-NSHDSACASA-N 0 3 211.330 2.655 20 0 BFADHN CC[C@@H](NCc1cncnc1)c1ccccc1 ZINC000054768220 343661608 /nfs/dbraw/zinc/66/16/08/343661608.db2.gz OPLZEJZLLVDSSZ-CQSZACIVSA-N 0 3 227.311 2.718 20 0 BFADHN CCN1CCN(Cc2sccc2C)C[C@H]1C ZINC000678261537 488228864 /nfs/dbraw/zinc/22/88/64/488228864.db2.gz MXUJDUPTQUGLGE-GFCCVEGCSA-N 0 3 238.400 2.583 20 0 BFADHN CC[C@@H](NCc1ccc(Cl)o1)C(C)(C)O ZINC000339538267 343701630 /nfs/dbraw/zinc/70/16/30/343701630.db2.gz JVNIHJSEHQWCII-SECBINFHSA-N 0 3 231.723 2.572 20 0 BFADHN Clc1cc2c(s1)CC[C@@H]2N[C@H]1CCOC1 ZINC000088117501 343706141 /nfs/dbraw/zinc/70/61/41/343706141.db2.gz KCDCRJAOUIDCOS-CBAPKCEASA-N 0 3 243.759 2.767 20 0 BFADHN Cc1ccc(CN2CCC3(CCC3)C2)cn1 ZINC000153913561 343706233 /nfs/dbraw/zinc/70/62/33/343706233.db2.gz RPGYVFIYHMKYIG-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CCC[C@@H](C)N(C)Cc1c(C)noc1C ZINC000048070620 343707906 /nfs/dbraw/zinc/70/79/06/343707906.db2.gz WDURNYYNMXLTKP-SECBINFHSA-N 0 3 210.321 2.912 20 0 BFADHN CCC[C@@H](C)N(C)CC(=O)N(C(C)C)C(C)C ZINC000048070883 343708616 /nfs/dbraw/zinc/70/86/16/343708616.db2.gz ZPWFOSAVQKPDRE-CYBMUJFWSA-N 0 3 242.407 2.752 20 0 BFADHN CCO[C@H](CN1CCCc2occc2C1)C1CC1 ZINC000625431763 343732968 /nfs/dbraw/zinc/73/29/68/343732968.db2.gz DZBKGAWMNPXBKZ-OAHLLOKOSA-N 0 3 249.354 2.843 20 0 BFADHN CC(C)(C)n1cc(CNC2(C)CCC2)cn1 ZINC000159997842 323057217 /nfs/dbraw/zinc/05/72/17/323057217.db2.gz ZLUHLDWEWIIIIS-UHFFFAOYSA-N 0 3 221.348 2.670 20 0 BFADHN CC1(C)CCCC[C@H]1CN1CCc2nc[nH]c2C1 ZINC000625434202 343735343 /nfs/dbraw/zinc/73/53/43/343735343.db2.gz JCERPTIVILNWTR-LBPRGKRZSA-N 0 3 247.386 2.984 20 0 BFADHN CN(C/C=C/c1ccncc1)[C@H]1CCSC1 ZINC000495063280 529820333 /nfs/dbraw/zinc/82/03/33/529820333.db2.gz GIBAOHRUWCZCEG-IBUXWKBASA-N 0 3 234.368 2.532 20 0 BFADHN CN(C/C=C\c1ccncc1)C[C@H]1CCCCO1 ZINC000495171175 529820762 /nfs/dbraw/zinc/82/07/62/529820762.db2.gz IFTSMSAYJIBDHM-FOSCPCJNSA-N 0 3 246.354 2.596 20 0 BFADHN C[C@@H]1CCC[C@H](CCN2CCc3nc[nH]c3C2)C1 ZINC000625438851 343740574 /nfs/dbraw/zinc/74/05/74/343740574.db2.gz SPCKRUGWZYTQNN-CHWSQXEVSA-N 0 3 247.386 2.984 20 0 BFADHN C[C@H](CO)CNCc1cccc(Cl)c1Cl ZINC000088195139 343710922 /nfs/dbraw/zinc/71/09/22/343710922.db2.gz XOBKZDWMVWRVIF-QMMMGPOBSA-N 0 3 248.153 2.711 20 0 BFADHN CSCCN[C@@H](C)c1ccc(C)nc1C ZINC000309083411 346960852 /nfs/dbraw/zinc/96/08/52/346960852.db2.gz SYXBWMVVRCOPPQ-JTQLQIEISA-N 0 3 224.373 2.712 20 0 BFADHN Cc1nsc(C)c1CN1CCCSCC1 ZINC000584210610 343774370 /nfs/dbraw/zinc/77/43/70/343774370.db2.gz VEAAIPCWRCINNN-UHFFFAOYSA-N 0 3 242.413 2.699 20 0 BFADHN Cc1cc(CN[C@@H](C)c2cccs2)n[nH]1 ZINC000309077388 349855187 /nfs/dbraw/zinc/85/51/87/349855187.db2.gz MCGMCZZACQGANI-VIFPVBQESA-N 0 3 221.329 2.630 20 0 BFADHN CCC[C@@](C)(N)C(=O)N1Cc2ccccc2[C@@H]1C ZINC000621702974 343755741 /nfs/dbraw/zinc/75/57/41/343755741.db2.gz TVYRCRGTJHIQOZ-XHDPSFHLSA-N 0 3 246.354 2.607 20 0 BFADHN CCC[C@H](N)C(=O)N1CCC[C@H](C)[C@H]1CCC ZINC000262482678 349857043 /nfs/dbraw/zinc/85/70/43/349857043.db2.gz SFNVKMVXFNIYSS-RWMBFGLXSA-N 0 3 240.391 2.541 20 0 BFADHN CC1(C)COC[C@H]1NCc1cc(Cl)cs1 ZINC000313065316 343797891 /nfs/dbraw/zinc/79/78/91/343797891.db2.gz MNBVXCCWQIUHDP-SNVBAGLBSA-N 0 3 245.775 2.916 20 0 BFADHN CN(CCC(F)(F)F)C[C@H]1CCSC1 ZINC000494962060 529874300 /nfs/dbraw/zinc/87/43/00/529874300.db2.gz UDHVXLZJMCXWNO-MRVPVSSYSA-N 0 3 227.295 2.624 20 0 BFADHN CCN(Cc1c[nH]cn1)C1CCCCC1 ZINC000179494956 346986975 /nfs/dbraw/zinc/98/69/75/346986975.db2.gz HDDBBSJHTGONKS-UHFFFAOYSA-N 0 3 207.321 2.564 20 0 BFADHN CC[C@@H](O)CCNCc1ccc(SC)s1 ZINC000309242552 347000989 /nfs/dbraw/zinc/00/09/89/347000989.db2.gz YNFCWPDVTUZZCM-SECBINFHSA-N 0 3 245.413 2.721 20 0 BFADHN Cc1ccnc(CN[C@H]2CC(C)(C)OC2(C)C)c1 ZINC000328156690 537827353 /nfs/dbraw/zinc/82/73/53/537827353.db2.gz GXXNLGNAPOQTIB-ZDUSSCGKSA-N 0 3 248.370 2.826 20 0 BFADHN CCOCCN1CCC[C@@H]1c1ccc(F)cc1 ZINC000066607396 343875447 /nfs/dbraw/zinc/87/54/47/343875447.db2.gz FBGPQXHHRFUWTO-CQSZACIVSA-N 0 3 237.318 2.999 20 0 BFADHN c1ccc2c(c1)CCN(C[C@@H]1CCCCO1)C2 ZINC000069537346 343939380 /nfs/dbraw/zinc/93/93/80/343939380.db2.gz PAHCXRZMNWZMCL-HNNXBMFYSA-N 0 3 231.339 2.614 20 0 BFADHN C[C@@H](CCN[C@@H](C)c1cccs1)[S@](C)=O ZINC000090517098 343933125 /nfs/dbraw/zinc/93/31/25/343933125.db2.gz YLJSRDFCFWCCRT-AMJWSMQMSA-N 0 3 245.413 2.556 20 0 BFADHN CC1(C)C[C@@H](NCc2ccccc2F)CCO1 ZINC000070344787 343951135 /nfs/dbraw/zinc/95/11/35/343951135.db2.gz SENAVENVWTXULL-LBPRGKRZSA-N 0 3 237.318 2.873 20 0 BFADHN C[C@@H](NC1CCCC1)c1ccc(F)cn1 ZINC000092194962 343953253 /nfs/dbraw/zinc/95/32/53/343953253.db2.gz CAWVPKHVFSUHIN-SECBINFHSA-N 0 3 208.280 2.814 20 0 BFADHN CCS[C@H]1CCCC[C@@H]1NCc1cn[nH]c1 ZINC000393802624 343963413 /nfs/dbraw/zinc/96/34/13/343963413.db2.gz NWHZFOKFRLQWGK-RYUDHWBXSA-N 0 3 239.388 2.564 20 0 BFADHN CCC[C@H](O)CN[C@H](c1ccccn1)C1CCC1 ZINC000625785526 344074139 /nfs/dbraw/zinc/07/41/39/344074139.db2.gz FBURXXNIWASPOW-ZFWWWQNUSA-N 0 3 248.370 2.673 20 0 BFADHN C/C=C\CNCc1ccccc1OCC ZINC000395063942 344059398 /nfs/dbraw/zinc/05/93/98/344059398.db2.gz ASGGCQZJILPOIN-HYXAFXHYSA-N 0 3 205.301 2.751 20 0 BFADHN Cc1ccc(CN2CC[C@H]3CC[C@@H](C2)S3)cn1 ZINC000625779462 344069750 /nfs/dbraw/zinc/06/97/50/344069750.db2.gz VEKPNXQAUBVBNZ-KGLIPLIRSA-N 0 3 248.395 2.860 20 0 BFADHN CC[C@@H](N)C(=O)N[C@H](c1ccccc1C)C(C)C ZINC000129826716 344071175 /nfs/dbraw/zinc/07/11/75/344071175.db2.gz VBTKOTBECWUCAM-KGLIPLIRSA-N 0 3 248.370 2.546 20 0 BFADHN Cc1ncccc1CN1CC[C@H]2CC[C@@H](C1)S2 ZINC000625777947 344072483 /nfs/dbraw/zinc/07/24/83/344072483.db2.gz KXTRRAXPCGJOLF-KGLIPLIRSA-N 0 3 248.395 2.860 20 0 BFADHN CC[C@@H](N)C(=O)N(C)[C@H](c1ccccc1)C(C)C ZINC000129832791 344072854 /nfs/dbraw/zinc/07/28/54/344072854.db2.gz JSFKLWMYWUVDOA-KGLIPLIRSA-N 0 3 248.370 2.579 20 0 BFADHN c1ccc([C@@H](NC[C@H]2CCCO2)C2CCC2)nc1 ZINC000625783048 344072956 /nfs/dbraw/zinc/07/29/56/344072956.db2.gz ATOCYYVTRRGSMS-HIFRSBDPSA-N 0 3 246.354 2.691 20 0 BFADHN CC(C)OCCN[C@@H](c1ccccn1)C1CCC1 ZINC000625783638 344073660 /nfs/dbraw/zinc/07/36/60/344073660.db2.gz NJCRNYBEWZJECE-OAHLLOKOSA-N 0 3 248.370 2.937 20 0 BFADHN CN1CCC(Nc2cc(C(C)(C)C)ccn2)CC1 ZINC000641263915 362336209 /nfs/dbraw/zinc/33/62/09/362336209.db2.gz FBUFPNVVLDHFPN-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN CC1(CN2CCO[C@H](C(F)F)CC2)CCC1 ZINC000634734574 344116139 /nfs/dbraw/zinc/11/61/39/344116139.db2.gz JGPADPNJAGDQNA-JTQLQIEISA-N 0 3 233.302 2.533 20 0 BFADHN COc1cccc(CN(C2CC2)C2CC2)c1 ZINC000625835262 344089462 /nfs/dbraw/zinc/08/94/62/344089462.db2.gz PMIIWTCWIVJTHZ-UHFFFAOYSA-N 0 3 217.312 2.822 20 0 BFADHN COc1ccc(OCCN(C2CC2)C2CC2)cc1 ZINC000625837342 344089825 /nfs/dbraw/zinc/08/98/25/344089825.db2.gz MUNIQPYBJMEEPZ-UHFFFAOYSA-N 0 3 247.338 2.701 20 0 BFADHN Cc1ncccc1CN(C1CC1)C1CC1 ZINC000625840845 344092080 /nfs/dbraw/zinc/09/20/80/344092080.db2.gz CRCREKPUZIQKJB-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN c1ccc2c(CCN(C3CC3)C3CC3)noc2c1 ZINC000625841187 344094822 /nfs/dbraw/zinc/09/48/22/344094822.db2.gz IPRCITUMBXTCCP-UHFFFAOYSA-N 0 3 242.322 2.997 20 0 BFADHN Cc1cccnc1CN(C1CC1)C1CC1 ZINC000625841120 344094897 /nfs/dbraw/zinc/09/48/97/344094897.db2.gz CSHYFMAHOYFSRT-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN CC(C)=CCCN1CCO[C@@H]2CCC[C@@H]21 ZINC000625853752 344125728 /nfs/dbraw/zinc/12/57/28/344125728.db2.gz DCPBYAIKBPGPGI-QWHCGFSZSA-N 0 3 209.333 2.596 20 0 BFADHN CCN(Cc1ccccc1Cl)CC(C)(C)O ZINC000082419172 344155342 /nfs/dbraw/zinc/15/53/42/344155342.db2.gz CTAMGCIOOQUMAJ-UHFFFAOYSA-N 0 3 241.762 2.933 20 0 BFADHN Cc1ccc([C@@H](C)CN2CCO[C@H](C)C2)cc1 ZINC000628126746 344156084 /nfs/dbraw/zinc/15/60/84/344156084.db2.gz GAZIUOVGONVLEY-UONOGXRCSA-N 0 3 233.355 2.819 20 0 BFADHN Cc1ccc([C@H](C)CN2CCO[C@H](C)C2)cc1 ZINC000628126747 344156228 /nfs/dbraw/zinc/15/62/28/344156228.db2.gz GAZIUOVGONVLEY-ZIAGYGMSSA-N 0 3 233.355 2.819 20 0 BFADHN Cc1ccc([C@H](C)CN2CCO[C@@H](C)C2)cc1 ZINC000628126745 344156347 /nfs/dbraw/zinc/15/63/47/344156347.db2.gz GAZIUOVGONVLEY-KGLIPLIRSA-N 0 3 233.355 2.819 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCC[C@@H](C)C2)o1 ZINC000628131751 344159388 /nfs/dbraw/zinc/15/93/88/344159388.db2.gz IJGCPYLWZURBKX-ZYHUDNBSSA-N 0 3 222.332 2.994 20 0 BFADHN CCN1CCC[C@H]1CNc1ccncc1Cl ZINC000086833023 344223446 /nfs/dbraw/zinc/22/34/46/344223446.db2.gz KNAUVDCZYRGVSQ-JTQLQIEISA-N 0 3 239.750 2.631 20 0 BFADHN CSC[C@H](C)N[C@H]1CCCc2cccnc21 ZINC000127358659 344238709 /nfs/dbraw/zinc/23/87/09/344238709.db2.gz VVFOVBGCARVBQJ-JQWIXIFHSA-N 0 3 236.384 2.800 20 0 BFADHN CC(C)n1cc(CN2[C@@H](C)CC[C@@H]2C)cn1 ZINC000180595915 347034162 /nfs/dbraw/zinc/03/41/62/347034162.db2.gz ZRCDWMFTTUCXMP-RYUDHWBXSA-N 0 3 221.348 2.837 20 0 BFADHN Cc1noc(C)c1CN1C[C@H](C)CC[C@H]1C ZINC000247456429 344263656 /nfs/dbraw/zinc/26/36/56/344263656.db2.gz HXWUHOJSULKHSJ-NXEZZACHSA-N 0 3 222.332 2.912 20 0 BFADHN C[C@@H](F)CCNCc1ccc(C(F)F)nc1 ZINC000631143656 344271038 /nfs/dbraw/zinc/27/10/38/344271038.db2.gz UNRBCCZSTLDHEL-MRVPVSSYSA-N 0 3 232.249 2.857 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CC[C@@H](C)[C@@H](C)C1 ZINC000228202744 259374793 /nfs/dbraw/zinc/37/47/93/259374793.db2.gz KROMGNBHSNIOPT-FRRDWIJNSA-N 0 3 241.375 2.696 20 0 BFADHN CC(C)CN(Cc1cncs1)C1CC1 ZINC000092008745 344287794 /nfs/dbraw/zinc/28/77/94/344287794.db2.gz LARDSQJAMIUKLB-UHFFFAOYSA-N 0 3 210.346 2.764 20 0 BFADHN CCN(Cc1nc(C)c(C)s1)CC1CC1 ZINC000088599359 344241049 /nfs/dbraw/zinc/24/10/49/344241049.db2.gz SURULMOCPUWAIV-UHFFFAOYSA-N 0 3 224.373 2.992 20 0 BFADHN CCc1ncc(CN(C)C2CCC2)s1 ZINC000093475666 344317776 /nfs/dbraw/zinc/31/77/76/344317776.db2.gz TZAJIBDFJPDICG-UHFFFAOYSA-N 0 3 210.346 2.690 20 0 BFADHN CC1(C)CCC[C@H]1NCC(=O)Nc1ccccc1 ZINC000094324969 344327867 /nfs/dbraw/zinc/32/78/67/344327867.db2.gz JACKOTHJRUIFEP-CYBMUJFWSA-N 0 3 246.354 2.793 20 0 BFADHN CC(=O)CN(CCC(C)C)CCC(C)C ZINC000143147872 344331017 /nfs/dbraw/zinc/33/10/17/344331017.db2.gz MKHQDCPCYMJNLO-UHFFFAOYSA-N 0 3 213.365 2.970 20 0 BFADHN Cc1nn(C)cc1CN1CCC2(CCCC2)CC1 ZINC000093085298 344308448 /nfs/dbraw/zinc/30/84/48/344308448.db2.gz APJBFSMXKXSGDS-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN Cc1ccccc1Cn1cc2c(n1)[C@H](N)CCC2 ZINC000584286414 344420341 /nfs/dbraw/zinc/42/03/41/344420341.db2.gz MXCVQBZFSUTOOD-CQSZACIVSA-N 0 3 241.338 2.576 20 0 BFADHN CCSCCNCc1cc(C)ccc1OC ZINC000380556236 344419567 /nfs/dbraw/zinc/41/95/67/344419567.db2.gz KXCCKEFLPNGLJF-UHFFFAOYSA-N 0 3 239.384 2.846 20 0 BFADHN C[C@H](N[C@H]1CSC[C@H]1C)c1ccoc1 ZINC000306786853 344439399 /nfs/dbraw/zinc/43/93/99/344439399.db2.gz LPRPXGPIJCVYSU-YWVKMMECSA-N 0 3 211.330 2.682 20 0 BFADHN Clc1cnccc1CN[C@H]1CCCSC1 ZINC000220716947 344570597 /nfs/dbraw/zinc/57/05/97/344570597.db2.gz YCXSQTNJVOUIRE-JTQLQIEISA-N 0 3 242.775 2.720 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1sc(C)nc1C ZINC000381476350 344510369 /nfs/dbraw/zinc/51/03/69/344510369.db2.gz QWUJWLFQSHHTDQ-FBIMIBRVSA-N 0 3 240.372 2.588 20 0 BFADHN C[C@@H](NCc1ccn(C)n1)[C@H]1CC2CCC1CC2 ZINC000381534542 344517912 /nfs/dbraw/zinc/51/79/12/344517912.db2.gz QHGAHPSAJPRZDY-XZNOPERXSA-N 0 3 247.386 2.725 20 0 BFADHN C[C@H](NCc1ccn(C)n1)[C@H]1CC2CCC1CC2 ZINC000381534539 344518151 /nfs/dbraw/zinc/51/81/51/344518151.db2.gz QHGAHPSAJPRZDY-LFUGVXIPSA-N 0 3 247.386 2.725 20 0 BFADHN Cc1nc(CNC[C@H]2CC=CCC2)cs1 ZINC000044346593 344539435 /nfs/dbraw/zinc/53/94/35/344539435.db2.gz YLUGIRQREPFUSF-NSHDSACASA-N 0 3 222.357 2.897 20 0 BFADHN CSCCCN(C)[C@H](C)c1ccccn1 ZINC000119787155 344547400 /nfs/dbraw/zinc/54/74/00/344547400.db2.gz KCZSQKMBPJQZLD-LLVKDONJSA-N 0 3 224.373 2.828 20 0 BFADHN CCCCOCCN1CC[C@H](OCC(C)C)C1 ZINC000119794988 344549530 /nfs/dbraw/zinc/54/95/30/344549530.db2.gz VSYOEYLHYVNGJV-AWEZNQCLSA-N 0 3 243.391 2.550 20 0 BFADHN CC[C@@H](C)NCc1nc(-c2ccccc2)c[nH]1 ZINC000037618099 344553260 /nfs/dbraw/zinc/55/32/60/344553260.db2.gz VCRHPQQZMQONBC-LLVKDONJSA-N 0 3 229.327 2.965 20 0 BFADHN CCC[C@@H](NCCSC)c1ccccn1 ZINC000127521243 344557236 /nfs/dbraw/zinc/55/72/36/344557236.db2.gz JHHJLWNVZMBXMB-LLVKDONJSA-N 0 3 224.373 2.875 20 0 BFADHN CC(C)[C@H]1CCCCN1Cc1ccnc(C#N)c1 ZINC000395790647 344564052 /nfs/dbraw/zinc/56/40/52/344564052.db2.gz UJAKJRGDYDWNFB-OAHLLOKOSA-N 0 3 243.354 2.964 20 0 BFADHN C[C@]1(CN[C@@H]2CCCc3cccnc32)CCCO1 ZINC000094797494 537850977 /nfs/dbraw/zinc/85/09/77/537850977.db2.gz LAJPMFSTHQVVDY-UKRRQHHQSA-N 0 3 246.354 2.618 20 0 BFADHN CCc1nc(CNCC2CCCC2)cs1 ZINC000049591220 344655779 /nfs/dbraw/zinc/65/57/79/344655779.db2.gz WOQFMKJCWLLJOK-UHFFFAOYSA-N 0 3 224.373 2.985 20 0 BFADHN Oc1cccc2c1CCN(CC1=CCCC1)C2 ZINC000626028261 344681295 /nfs/dbraw/zinc/68/12/95/344681295.db2.gz CTECFNVNNNCXIH-UHFFFAOYSA-N 0 3 229.323 2.861 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCO[C@@H](CCF)C2)C1 ZINC000626004533 344666290 /nfs/dbraw/zinc/66/62/90/344666290.db2.gz JRMYIAKWKSNPCW-MCIONIFRSA-N 0 3 243.366 2.873 20 0 BFADHN FCC[C@@H]1CN(CC23CCC(CC2)C3)CCO1 ZINC000626005480 344668168 /nfs/dbraw/zinc/66/81/68/344668168.db2.gz DBBOEVKYNCKDIX-ROKHWSDSSA-N 0 3 241.350 2.627 20 0 BFADHN CCSCCN(C)Cc1ccsc1 ZINC000158559953 344676319 /nfs/dbraw/zinc/67/63/19/344676319.db2.gz GCEHBTFGJPKATE-UHFFFAOYSA-N 0 3 215.387 2.933 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1cccc(C)c1 ZINC000309442063 347063115 /nfs/dbraw/zinc/06/31/15/347063115.db2.gz IUBBNTDTULDHJU-KWCYVHTRSA-N 0 3 219.328 2.823 20 0 BFADHN CC(C)[C@H]1CN(C[C@@H]2CCCCO2)CCS1 ZINC000174336002 323075111 /nfs/dbraw/zinc/07/51/11/323075111.db2.gz QZGYJAHIGGSMGV-QWHCGFSZSA-N 0 3 243.416 2.629 20 0 BFADHN CCO[C@@H](CN1CCC(F)(F)C[C@H]1C)C1CC1 ZINC000625981488 344647500 /nfs/dbraw/zinc/64/75/00/344647500.db2.gz VCLAEUCKUVDEPG-PWSUYJOCSA-N 0 3 247.329 2.921 20 0 BFADHN COc1ncccc1CN1C[C@@H]2CCCC[C@@]21C ZINC000626103459 344741175 /nfs/dbraw/zinc/74/11/75/344741175.db2.gz AMQHFOFTYUEWFH-ZFWWWQNUSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1cc(CN2C[C@@H]3CCCC[C@@]32C)on1 ZINC000626103728 344743564 /nfs/dbraw/zinc/74/35/64/344743564.db2.gz NFERYJHWBXGOCC-AAEUAGOBSA-N 0 3 220.316 2.748 20 0 BFADHN CC(C)n1cc(CN2C[C@@H]3CCC[C@H]3C2)cn1 ZINC000179694717 344707743 /nfs/dbraw/zinc/70/77/43/344707743.db2.gz UOFUMXKIORZQKT-KBPBESRZSA-N 0 3 233.359 2.696 20 0 BFADHN CCCCCN1CCN(C2CCCC2)CC1 ZINC000117872521 344758814 /nfs/dbraw/zinc/75/88/14/344758814.db2.gz UDWWZNIDVNZJDY-UHFFFAOYSA-N 0 3 224.392 2.737 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cn2ccccc2n1 ZINC000075021790 344761995 /nfs/dbraw/zinc/76/19/95/344761995.db2.gz OKZSJRNLUADZRZ-GXTWGEPZSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cc(C)on1 ZINC000075023083 344762951 /nfs/dbraw/zinc/76/29/51/344762951.db2.gz LIHPUHWYXLOTGE-CABZTGNLSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H]2C(C)C)ncn1 ZINC000678606517 488271599 /nfs/dbraw/zinc/27/15/99/488271599.db2.gz ZLCHFIAHGBUMTD-UONOGXRCSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cnc(CN2CCCC(C)(C)CC2)o1 ZINC000075768038 344791408 /nfs/dbraw/zinc/79/14/08/344791408.db2.gz HIYXJDBKPSHMBH-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN CC[C@@H](N[C@H](CO)CC(F)F)c1cccs1 ZINC000309493721 347076471 /nfs/dbraw/zinc/07/64/71/347076471.db2.gz XUZQTHUTXRFGSW-DTWKUNHWSA-N 0 3 249.326 2.805 20 0 BFADHN COc1ccc(F)cc1CN1C[C@H](C)[C@H](C)C1 ZINC000076110902 344794862 /nfs/dbraw/zinc/79/48/62/344794862.db2.gz IQCNDXBEDDQGNK-PHIMTYICSA-N 0 3 237.318 2.922 20 0 BFADHN C[C@H]1CN(CCOc2ccccc2F)C[C@H]1C ZINC000076111225 344795663 /nfs/dbraw/zinc/79/56/63/344795663.db2.gz HOKGTZYPVDYEGD-TXEJJXNPSA-N 0 3 237.318 2.792 20 0 BFADHN C[C@H]1CN(Cc2ccccc2F)C[C@H]1C ZINC000076111595 344795731 /nfs/dbraw/zinc/79/57/31/344795731.db2.gz BUKBNTXFXVWKLL-PHIMTYICSA-N 0 3 207.292 2.914 20 0 BFADHN COc1ccc(C)cc1CN1CCC[C@@H](OC)C1 ZINC000076346888 344801319 /nfs/dbraw/zinc/80/13/19/344801319.db2.gz ZHNSPHJVKXGNEA-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CC(C)(C)[C@H]1CCCN1Cc1ccon1 ZINC000077282404 344815245 /nfs/dbraw/zinc/81/52/45/344815245.db2.gz YCLOYWSSDORMCL-LLVKDONJSA-N 0 3 208.305 2.685 20 0 BFADHN CC[C@H](O)[C@H]1CCCCN1CCC(F)(F)F ZINC000077286969 344815643 /nfs/dbraw/zinc/81/56/43/344815643.db2.gz IESIRVAAQFFJLE-ZJUUUORDSA-N 0 3 239.281 2.564 20 0 BFADHN CN(Cc1ccoc1)C[C@]1(C)CCCS1 ZINC000628152862 344841765 /nfs/dbraw/zinc/84/17/65/344841765.db2.gz QVVPUOHYZXUXJO-LBPRGKRZSA-N 0 3 225.357 2.997 20 0 BFADHN CCOCCN(C)[C@H](C)c1ccc(F)cc1 ZINC000078338495 344848350 /nfs/dbraw/zinc/84/83/50/344848350.db2.gz AKMRSFGKPVCYDP-LLVKDONJSA-N 0 3 225.307 2.855 20 0 BFADHN CCCCOCCN[C@@H](C)c1cncc(F)c1 ZINC000119618100 344855975 /nfs/dbraw/zinc/85/59/75/344855975.db2.gz XUDNBHXYTZJKHY-NSHDSACASA-N 0 3 240.322 2.688 20 0 BFADHN C[C@H](NCC1(C)CCC1)c1nnc2ccccn21 ZINC000432857718 537867526 /nfs/dbraw/zinc/86/75/26/537867526.db2.gz FYIYGUKXOFFFFW-NSHDSACASA-N 0 3 244.342 2.570 20 0 BFADHN Cc1ncc(CN2CCC(C)(C)CC2)o1 ZINC000628162196 344862891 /nfs/dbraw/zinc/86/28/91/344862891.db2.gz HMQQTEZAGGKUTI-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN C[C@H]1CCCN1Cc1cnc(C2CC2)o1 ZINC000628171011 344890276 /nfs/dbraw/zinc/89/02/76/344890276.db2.gz QRZGZKDPKIFHLW-VIFPVBQESA-N 0 3 206.289 2.536 20 0 BFADHN CC[C@@H](NC[C@H](O)C(F)F)c1ccc(C)cc1 ZINC000224443079 344922967 /nfs/dbraw/zinc/92/29/67/344922967.db2.gz FZKNTFRTXRUPOG-NEPJUHHUSA-N 0 3 243.297 2.662 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cncc(F)c1 ZINC000385142601 344929372 /nfs/dbraw/zinc/92/93/72/344929372.db2.gz BTOWXSDGYBKKHI-DGCLKSJQSA-N 0 3 222.307 2.745 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cnc(C)o2)C1 ZINC000628186613 344936819 /nfs/dbraw/zinc/93/68/19/344936819.db2.gz QFLSBDGCXSNYRM-LBPRGKRZSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1ncc(CN2C[C@@H](C)CCC[C@@H]2C)o1 ZINC000628179403 344913889 /nfs/dbraw/zinc/91/38/89/344913889.db2.gz YYJHRTOYTAGOAQ-QWRGUYRKSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@@H]1CN(Cc2cnc(C3CC3)o2)C[C@H]1C ZINC000628179275 344914011 /nfs/dbraw/zinc/91/40/11/344914011.db2.gz UWKKQADNJJBIFM-NXEZZACHSA-N 0 3 220.316 2.640 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cnc(C)o1 ZINC000628179179 344914542 /nfs/dbraw/zinc/91/45/42/344914542.db2.gz QFMLCICZNMZHRJ-ONGXEEELSA-N 0 3 208.305 2.603 20 0 BFADHN CC(C)[C@@H]1CCC[C@H](NCc2ccn(C)n2)C1 ZINC000221390274 344961121 /nfs/dbraw/zinc/96/11/21/344961121.db2.gz FDXSDPRBOBMJKA-OLZOCXBDSA-N 0 3 235.375 2.725 20 0 BFADHN CCc1cc(CNC[C@@]2(C)CCCS2)on1 ZINC000628198713 344963046 /nfs/dbraw/zinc/96/30/46/344963046.db2.gz IQMXDYHEJWGRLY-GFCCVEGCSA-N 0 3 240.372 2.612 20 0 BFADHN CCCCCNCc1cnc(C2CC2)o1 ZINC000628199119 344969230 /nfs/dbraw/zinc/96/92/30/344969230.db2.gz DONQHDKDJBMDQL-UHFFFAOYSA-N 0 3 208.305 2.832 20 0 BFADHN CC[C@@H](C)CN(C)Cc1ccc(C)nc1 ZINC000153764089 344970115 /nfs/dbraw/zinc/97/01/15/344970115.db2.gz FPSOQQZKXBTZHL-LLVKDONJSA-N 0 3 206.333 2.868 20 0 BFADHN Cc1nc(CN2CC[C@H](C)C[C@H](C)C2)[nH]c1C ZINC000628190081 344942173 /nfs/dbraw/zinc/94/21/73/344942173.db2.gz VMLIMFUTCZARSU-QWRGUYRKSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)C[C@H](C)C2)o1 ZINC000628189632 344942220 /nfs/dbraw/zinc/94/22/20/344942220.db2.gz HZAGQDSRVZKGAV-MNOVXSKESA-N 0 3 222.332 2.851 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(CC)s1 ZINC000309031699 323081741 /nfs/dbraw/zinc/08/17/41/323081741.db2.gz FEFNRWHDAZJVKE-RYUDHWBXSA-N 0 3 225.357 2.578 20 0 BFADHN C[C@@H](c1ccco1)N(C)CCCc1cnn(C)c1 ZINC000122924328 344980498 /nfs/dbraw/zinc/98/04/98/344980498.db2.gz WPOJICRILFRPPS-LBPRGKRZSA-N 0 3 247.342 2.639 20 0 BFADHN Cc1ccnc(NC(=O)C(C)C(F)(F)F)c1 ZINC000079588593 344996506 /nfs/dbraw/zinc/99/65/06/344996506.db2.gz RDTXHSXXKPUHOR-SSDOTTSWSA-N 0 3 232.205 2.527 20 0 BFADHN CCOC[C@H]1CCCN(Cc2ccc(C)nc2)C1 ZINC000153907686 345000968 /nfs/dbraw/zinc/00/09/68/345000968.db2.gz AHYCHMWGXYTABA-HNNXBMFYSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1csc(NC(=O)C(C)C(F)(F)F)n1 ZINC000079632316 345005592 /nfs/dbraw/zinc/00/55/92/345005592.db2.gz FWMPMXOLQMCOFD-RXMQYKEDSA-N 0 3 238.234 2.588 20 0 BFADHN Cc1ccc(CN(C)CCC2CC2)cn1 ZINC000154038453 345006733 /nfs/dbraw/zinc/00/67/33/345006733.db2.gz VQUYVECOHHZHHU-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CC[C@H](C)N[C@@H](C)c1cn(-c2ccccc2)nn1 ZINC000150835635 345050730 /nfs/dbraw/zinc/05/07/30/345050730.db2.gz RDWTVKZFJGETIL-RYUDHWBXSA-N 0 3 244.342 2.716 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1cscn1 ZINC000307132556 345031481 /nfs/dbraw/zinc/03/14/81/345031481.db2.gz IBICZZYUHPZFLQ-QEYWKRMJSA-N 0 3 208.330 2.592 20 0 BFADHN CCCN(Cc1cncn1CC)C(C)C ZINC000579614065 345096907 /nfs/dbraw/zinc/09/69/07/345096907.db2.gz NXHMAGCKYAEGJB-UHFFFAOYSA-N 0 3 209.337 2.523 20 0 BFADHN CCc1ccc([C@@H](C)NCCN(C)C(C)C)o1 ZINC000155795095 345103540 /nfs/dbraw/zinc/10/35/40/345103540.db2.gz PQCVBNIMELVJJH-GFCCVEGCSA-N 0 3 238.375 2.833 20 0 BFADHN c1ccc2c(c1)SC[C@@H]2NC[C@H]1CCCOC1 ZINC000155834103 345108518 /nfs/dbraw/zinc/10/85/18/345108518.db2.gz XWMILOBQYXWOBU-YPMHNXCESA-N 0 3 249.379 2.850 20 0 BFADHN CC/C=C/CNCc1ccc2nccnc2c1 ZINC000634982777 345164022 /nfs/dbraw/zinc/16/40/22/345164022.db2.gz VKJZGOXJGRRNOA-ONEGZZNKSA-N 0 3 227.311 2.686 20 0 BFADHN CC(C)(C)[C@@H]1CCC[C@@H]1NCc1ccon1 ZINC000634984460 345167583 /nfs/dbraw/zinc/16/75/83/345167583.db2.gz SHBHMBJTOBOFFO-NEPJUHHUSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@H](NCc1cc[nH]n1)[C@@H](C)c1ccccc1 ZINC000080247836 345126655 /nfs/dbraw/zinc/12/66/55/345126655.db2.gz WPEMBKNWDCVVOG-NEPJUHHUSA-N 0 3 229.327 2.692 20 0 BFADHN C[C@H](c1ccccc1)[C@H](C)NCc1nccn1C ZINC000080247853 345127524 /nfs/dbraw/zinc/12/75/24/345127524.db2.gz PSZNTUBOTHTLJN-STQMWFEESA-N 0 3 243.354 2.702 20 0 BFADHN Clc1ccc2c(c1)CC[C@H]2N[C@@H]1CCOC1 ZINC000156155955 345133624 /nfs/dbraw/zinc/13/36/24/345133624.db2.gz NLXQSDFAANXRFM-DGCLKSJQSA-N 0 3 237.730 2.706 20 0 BFADHN c1cc(CN[C@@H]2CC[C@H]3CCC[C@@H]3C2)no1 ZINC000634964561 345134855 /nfs/dbraw/zinc/13/48/55/345134855.db2.gz CVCKVXNJCHHFNK-IJLUTSLNSA-N 0 3 220.316 2.733 20 0 BFADHN CC(C)=CCNCc1ccc2nccnc2c1 ZINC000634977649 345154201 /nfs/dbraw/zinc/15/42/01/345154201.db2.gz HLSIJYXWLZFOLN-UHFFFAOYSA-N 0 3 227.311 2.686 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@@H](N)CCC ZINC000225538947 345159683 /nfs/dbraw/zinc/15/96/83/345159683.db2.gz XJCSRUUHCIMHCD-NEPJUHHUSA-N 0 3 228.380 2.589 20 0 BFADHN COCc1ccc(CN[C@H](C)C(C)(C)C)o1 ZINC000127859539 345224566 /nfs/dbraw/zinc/22/45/66/345224566.db2.gz ATXZTABDFCYFNM-SNVBAGLBSA-N 0 3 225.332 2.950 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1csc(C)c1 ZINC000381299540 345264855 /nfs/dbraw/zinc/26/48/55/345264855.db2.gz QLKJKJOQETXYOE-ZJUUUORDSA-N 0 3 213.346 2.570 20 0 BFADHN CCCC[C@@H](COC)NCc1ccc(C)o1 ZINC000130649763 345281252 /nfs/dbraw/zinc/28/12/52/345281252.db2.gz KEHQIMTWCGOSJS-LBPRGKRZSA-N 0 3 225.332 2.883 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](C)CCCCO)o1 ZINC000272311683 178846494 /nfs/dbraw/zinc/84/64/94/178846494.db2.gz RRJIMFYKASRNOZ-CMPLNLGQSA-N 0 3 225.332 2.790 20 0 BFADHN CCN(C)c1ccc(CN2C3CCC2CC3)cn1 ZINC000562317940 345340228 /nfs/dbraw/zinc/34/02/28/345340228.db2.gz OCRVGJXDQCKKNB-UHFFFAOYSA-N 0 3 245.370 2.665 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1cc(F)cc(F)c1 ZINC000132802565 345345473 /nfs/dbraw/zinc/34/54/73/345345473.db2.gz NUTPSLZVZAFTHP-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN OCCC1(CNCc2ccccc2Cl)CC1 ZINC000133074074 345359236 /nfs/dbraw/zinc/35/92/36/345359236.db2.gz LEGCNSZSBUQQCA-UHFFFAOYSA-N 0 3 239.746 2.592 20 0 BFADHN CC1(C)C[C@@H](NCc2ccoc2)C(C)(C)O1 ZINC000132530055 345333612 /nfs/dbraw/zinc/33/36/12/345333612.db2.gz QIJHSXDGGQTCOB-LLVKDONJSA-N 0 3 223.316 2.715 20 0 BFADHN CCOc1ccc(CNC(C)(C)COC)cc1 ZINC000134291564 345407750 /nfs/dbraw/zinc/40/77/50/345407750.db2.gz FMSIRFKCMXFJMW-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN CSCCN[C@@H](C)c1ccc(F)cc1 ZINC000070184423 345454881 /nfs/dbraw/zinc/45/48/81/345454881.db2.gz UFPTUJIJUOHGKH-VIFPVBQESA-N 0 3 213.321 2.839 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]C[C@H]2CCCS2)c1 ZINC000159609087 345445822 /nfs/dbraw/zinc/44/58/22/345445822.db2.gz ZNCFWAMMIVHWEM-GFCCVEGCSA-N 0 3 241.331 2.517 20 0 BFADHN CN(Cc1cnc2ccccn12)CC1CCCC1 ZINC000158961319 345394736 /nfs/dbraw/zinc/39/47/36/345394736.db2.gz UZOHOVBNQMEEPD-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN CSCCNCc1cnc(C(C)(C)C)s1 ZINC000070184960 345457026 /nfs/dbraw/zinc/45/70/26/345457026.db2.gz SULFCSGWILAUPA-UHFFFAOYSA-N 0 3 244.429 2.893 20 0 BFADHN C/C(Cl)=C\CN1CCC[C@@H](CCO)CC1 ZINC000528526571 323091338 /nfs/dbraw/zinc/09/13/38/323091338.db2.gz KIQLOOUJVQKSRG-KBVBDRTLSA-N 0 3 231.767 2.614 20 0 BFADHN CCN1CC[C@@H](c2ccc(OC)c(F)c2)C1 ZINC000626124457 345538309 /nfs/dbraw/zinc/53/83/09/345538309.db2.gz NWNAKRJEPNUUQJ-LLVKDONJSA-N 0 3 223.291 2.644 20 0 BFADHN CN(C)CCN(C)Cc1csc2ccccc12 ZINC000089885873 345565348 /nfs/dbraw/zinc/56/53/48/345565348.db2.gz UBKMRDVITMRLLW-UHFFFAOYSA-N 0 3 248.395 2.895 20 0 BFADHN CCc1ccc([C@@H](C)NC2CCC(O)CC2)o1 ZINC000090800414 345575955 /nfs/dbraw/zinc/57/59/55/345575955.db2.gz FTDNZQXJOBBSFY-VOMCLLRMSA-N 0 3 237.343 2.796 20 0 BFADHN Cc1ccc([C@H](C)NCC2(O)CCCCC2)o1 ZINC000038097674 178888959 /nfs/dbraw/zinc/88/89/59/178888959.db2.gz BENKAWPMWZCBKO-LBPRGKRZSA-N 0 3 237.343 2.934 20 0 BFADHN COCc1ccc(CN2CC[C@H](C)[C@H]2C)o1 ZINC000091859289 345610946 /nfs/dbraw/zinc/61/09/46/345610946.db2.gz HYUHCFDULXWCRP-WDEREUQCSA-N 0 3 223.316 2.656 20 0 BFADHN CCc1ncc(CN2CC[C@@H](CC)C2)s1 ZINC000091929188 345612837 /nfs/dbraw/zinc/61/28/37/345612837.db2.gz SHZCTUCESPSAGD-SNVBAGLBSA-N 0 3 224.373 2.937 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cnc(N(C)C)s1 ZINC000091937716 345613001 /nfs/dbraw/zinc/61/30/01/345613001.db2.gz JOJJLMOCMOEQPH-AOOOYVTPSA-N 0 3 239.388 2.582 20 0 BFADHN CC(C)C[C@@H](C)NCc1ccncc1F ZINC000449194953 201835270 /nfs/dbraw/zinc/83/52/70/201835270.db2.gz UKJAYRJTGJTKGY-SNVBAGLBSA-N 0 3 210.296 2.745 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C2)ccc1F ZINC000092471388 345622972 /nfs/dbraw/zinc/62/29/72/345622972.db2.gz PUICIHBTAWMFCO-JTQLQIEISA-N 0 3 207.292 2.976 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(N3CCCC3)nc2)C1 ZINC000092471368 345623240 /nfs/dbraw/zinc/62/32/40/345623240.db2.gz VLTXQAKDYMOJGI-CYBMUJFWSA-N 0 3 245.370 2.524 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN(CCO)CC2CC2)o1 ZINC000092506063 345626280 /nfs/dbraw/zinc/62/62/80/345626280.db2.gz UWLVNVCPVLGZPK-FZMZJTMJSA-N 0 3 249.354 2.607 20 0 BFADHN Cc1ccc2ncnc(SCCN(C)C)c2c1 ZINC000092675590 345635038 /nfs/dbraw/zinc/63/50/38/345635038.db2.gz XPUCKQOHVLXPEM-UHFFFAOYSA-N 0 3 247.367 2.592 20 0 BFADHN C[C@H]1CCC[C@H](CCN2CCc3n[nH]cc3C2)C1 ZINC000091078213 345582210 /nfs/dbraw/zinc/58/22/10/345582210.db2.gz REIYBATZQXOERT-QWHCGFSZSA-N 0 3 247.386 2.984 20 0 BFADHN FC(F)(F)CCCCN1CCc2n[nH]cc2C1 ZINC000091078590 345583062 /nfs/dbraw/zinc/58/30/62/345583062.db2.gz ATQBIASMPGFLSX-UHFFFAOYSA-N 0 3 247.264 2.500 20 0 BFADHN CC(C)[C@@H](NC[C@@H]1CCCO1)c1cccnc1 ZINC000091263013 345590652 /nfs/dbraw/zinc/59/06/52/345590652.db2.gz NVDZSEYZECEFML-UONOGXRCSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1cccn2c(CN3C[C@@H](C)[C@H](C)C3)cnc12 ZINC000093503706 345661475 /nfs/dbraw/zinc/66/14/75/345661475.db2.gz XKCKAQDZYNMFKK-CHWSQXEVSA-N 0 3 243.354 2.731 20 0 BFADHN COCCCCNCc1ccc(SC)o1 ZINC000641708103 362617510 /nfs/dbraw/zinc/61/75/10/362617510.db2.gz NUJOEFGDARIERX-UHFFFAOYSA-N 0 3 229.345 2.518 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cnc2cnccn12 ZINC000093844896 345666734 /nfs/dbraw/zinc/66/67/34/345666734.db2.gz PXBVORYTOLSALO-LBPRGKRZSA-N 0 3 246.358 2.597 20 0 BFADHN CSc1ccc(CNC[C@@H]2C[C@H]2C)o1 ZINC000641707660 362618822 /nfs/dbraw/zinc/61/88/22/362618822.db2.gz OLFZURAMLGKVHP-BDAKNGLRSA-N 0 3 211.330 2.747 20 0 BFADHN Cc1ccc([C@H](C)NCc2ccncc2N)cc1 ZINC000641707547 362619396 /nfs/dbraw/zinc/61/93/96/362619396.db2.gz HCVLUHJVMIPROC-LBPRGKRZSA-N 0 3 241.338 2.823 20 0 BFADHN CSc1ccc(CNC[C@H]2C[C@@H]2C)o1 ZINC000641707661 362619470 /nfs/dbraw/zinc/61/94/70/362619470.db2.gz OLFZURAMLGKVHP-DTWKUNHWSA-N 0 3 211.330 2.747 20 0 BFADHN CSc1ccc(CNCC2CCOCC2)o1 ZINC000641711623 362622045 /nfs/dbraw/zinc/62/20/45/362622045.db2.gz ADKJKMKMIWOCOV-UHFFFAOYSA-N 0 3 241.356 2.518 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCOC[C@@H]2C)C1 ZINC000092793874 345642922 /nfs/dbraw/zinc/64/29/22/345642922.db2.gz VZCXZHPFXUPLIW-FRRDWIJNSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H]1CC[C@H](N(C)CC(=O)Nc2ccccc2)C1 ZINC000092904104 345647976 /nfs/dbraw/zinc/64/79/76/345647976.db2.gz CSWMBMZENOHCAG-OCCSQVGLSA-N 0 3 246.354 2.746 20 0 BFADHN Fc1ccc([C@@H]2CCN(Cc3c[nH]cn3)C2)cc1 ZINC000093335620 345653337 /nfs/dbraw/zinc/65/33/37/345653337.db2.gz FZNVPWCCDJDJDB-GFCCVEGCSA-N 0 3 245.301 2.538 20 0 BFADHN Fc1ccc([C@@H]2CCN(Cc3cnc[nH]3)C2)cc1 ZINC000093335620 345653338 /nfs/dbraw/zinc/65/33/38/345653338.db2.gz FZNVPWCCDJDJDB-GFCCVEGCSA-N 0 3 245.301 2.538 20 0 BFADHN CSc1ccc(CN[C@@H](C)[C@@H]2CCOC2)o1 ZINC000641729774 362644224 /nfs/dbraw/zinc/64/42/24/362644224.db2.gz KLBHSCOOZWUMTR-VHSXEESVSA-N 0 3 241.356 2.516 20 0 BFADHN Cc1ccsc1CN1CCN(C)CC1(C)C ZINC000093457301 345671630 /nfs/dbraw/zinc/67/16/30/345671630.db2.gz BUEBMVSVWAPTHM-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN CC(=O)Nc1ccc(CN2CCC2(C)C)cc1 ZINC000093460304 345672058 /nfs/dbraw/zinc/67/20/58/345672058.db2.gz QHWJSMCBMMDPHB-UHFFFAOYSA-N 0 3 232.327 2.629 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1ccco1)OC ZINC000319113754 259384662 /nfs/dbraw/zinc/38/46/62/259384662.db2.gz ZVPABFGCISAUBR-CMPLNLGQSA-N 0 3 211.305 2.745 20 0 BFADHN CC(C)(C)C[C@H]1CCN(Cc2cncnc2)C1 ZINC000114623077 345674976 /nfs/dbraw/zinc/67/49/76/345674976.db2.gz XGBROAJXLGZYGF-GFCCVEGCSA-N 0 3 233.359 2.735 20 0 BFADHN COCC(C)(C)NCc1ccc(SC)o1 ZINC000641714354 362630302 /nfs/dbraw/zinc/63/03/02/362630302.db2.gz ONCGLQAFTHFAFV-UHFFFAOYSA-N 0 3 229.345 2.516 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1ccco1)OC ZINC000319113755 259384512 /nfs/dbraw/zinc/38/45/12/259384512.db2.gz ZVPABFGCISAUBR-JQWIXIFHSA-N 0 3 211.305 2.745 20 0 BFADHN CC[C@@H](NCc1nn(C)c2ccccc12)C1CC1 ZINC000324044559 537909172 /nfs/dbraw/zinc/90/91/72/537909172.db2.gz UWLYXDCUSYHRDS-CYBMUJFWSA-N 0 3 243.354 2.852 20 0 BFADHN c1ccc(C2CCN([C@H]3CCOC3)CC2)cc1 ZINC000142080081 345704271 /nfs/dbraw/zinc/70/42/71/345704271.db2.gz KQOOUSJLDSITTH-HNNXBMFYSA-N 0 3 231.339 2.655 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2cncs2)C1 ZINC000143501292 345706567 /nfs/dbraw/zinc/70/65/67/345706567.db2.gz OGDHOVCQPIPXDN-SNVBAGLBSA-N 0 3 210.346 2.621 20 0 BFADHN CCN1CC=C(c2c[nH]c3ncccc23)CC1 ZINC000200995536 345729234 /nfs/dbraw/zinc/72/92/34/345729234.db2.gz ASTCJVKNUWQTRZ-UHFFFAOYSA-N 0 3 227.311 2.672 20 0 BFADHN Cc1cc2ccccc2nc1N(C)CCN(C)C ZINC000168720044 345821597 /nfs/dbraw/zinc/82/15/97/345821597.db2.gz FOHMTVDHVMFXGK-UHFFFAOYSA-N 0 3 243.354 2.541 20 0 BFADHN C=CCCCCCCNC(=O)[C@@H](N)C(C)(C)C ZINC000135801845 345790078 /nfs/dbraw/zinc/79/00/78/345790078.db2.gz LBBMDCYZJNVWEK-GFCCVEGCSA-N 0 3 240.391 2.613 20 0 BFADHN CC[C@@H]1CCCN(Cc2cccnc2)C1 ZINC000139484701 345797241 /nfs/dbraw/zinc/79/72/41/345797241.db2.gz UNXWVUDDEKOKLM-GFCCVEGCSA-N 0 3 204.317 2.704 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CC[C@H](C)CC2)o1 ZINC000628214441 345896821 /nfs/dbraw/zinc/89/68/21/345896821.db2.gz XOZBHUPDVLAAIO-OTCDBFHCSA-N 0 3 222.332 2.960 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1cnc(C)o1 ZINC000628222283 345960772 /nfs/dbraw/zinc/96/07/72/345960772.db2.gz LLZDDOUVKBAHNF-ZJUUUORDSA-N 0 3 210.321 2.897 20 0 BFADHN c1nc(C2CC2)oc1CNCCCC1CC1 ZINC000628217998 345946030 /nfs/dbraw/zinc/94/60/30/345946030.db2.gz PWHWDGVAIXKWPS-UHFFFAOYSA-N 0 3 220.316 2.832 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CCC2(C)C)cn1 ZINC000381465247 345966459 /nfs/dbraw/zinc/96/64/59/345966459.db2.gz MJFIXJOZOBZEDR-JQWIXIFHSA-N 0 3 219.332 2.624 20 0 BFADHN CCCCN(C)CCC(=O)c1ccc(OC)cc1 ZINC000005596252 346028324 /nfs/dbraw/zinc/02/83/24/346028324.db2.gz BULRMTQFJQQCBT-UHFFFAOYSA-N 0 3 249.354 3.000 20 0 BFADHN CC(F)(F)CCNCc1c[nH]nc1C(C)(C)C ZINC000449353446 201880683 /nfs/dbraw/zinc/88/06/83/201880683.db2.gz BPJPTOAXGNFLDL-UHFFFAOYSA-N 0 3 245.317 2.842 20 0 BFADHN CSCCCNCc1ccc(C)cc1F ZINC000601108800 346076192 /nfs/dbraw/zinc/07/61/92/346076192.db2.gz POUJRPCZZWFGJU-UHFFFAOYSA-N 0 3 227.348 2.977 20 0 BFADHN CSC[C@H](C)NCc1ccc(C)cc1F ZINC000601109678 346106493 /nfs/dbraw/zinc/10/64/93/346106493.db2.gz WRFUORIYVVALOK-JTQLQIEISA-N 0 3 227.348 2.975 20 0 BFADHN c1nc(C2CC2)oc1CNC1(C2CC2)CC1 ZINC000628227410 346175706 /nfs/dbraw/zinc/17/57/06/346175706.db2.gz FGMMWBLUJSOTDJ-UHFFFAOYSA-N 0 3 218.300 2.584 20 0 BFADHN Cc1cc([C@H](C)NCCc2cn(C)cn2)c(C)o1 ZINC000313288478 537951893 /nfs/dbraw/zinc/95/18/93/537951893.db2.gz YSTCHUABQYBLBC-NSHDSACASA-N 0 3 247.342 2.523 20 0 BFADHN Cc1nc(C)c(CN[C@H](C)CCC2CC2)o1 ZINC000628239760 346200713 /nfs/dbraw/zinc/20/07/13/346200713.db2.gz DKYYZOJNFNJDFU-SECBINFHSA-N 0 3 222.332 2.960 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCCC(F)(F)C2)o1 ZINC000628228222 346180731 /nfs/dbraw/zinc/18/07/31/346180731.db2.gz IIPFIJXWLRMYKE-SNVBAGLBSA-N 0 3 244.285 2.959 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCCC(F)(F)C2)o1 ZINC000628228221 346181065 /nfs/dbraw/zinc/18/10/65/346181065.db2.gz IIPFIJXWLRMYKE-JTQLQIEISA-N 0 3 244.285 2.959 20 0 BFADHN Cc1c[nH]c(CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)n1 ZINC000628236456 346191673 /nfs/dbraw/zinc/19/16/73/346191673.db2.gz BWRGKQNIYABDGV-DRZSPHRISA-N 0 3 233.359 2.729 20 0 BFADHN Cc1nc(C)c(CNC[C@H]2CCCCS2)o1 ZINC000628237665 346193373 /nfs/dbraw/zinc/19/33/73/346193373.db2.gz VBDNXWUOLUHIJG-LLVKDONJSA-N 0 3 240.372 2.667 20 0 BFADHN Cc1c[nH]c(CN(CC2CCC2)C(C)C)n1 ZINC000628253220 346227438 /nfs/dbraw/zinc/22/74/38/346227438.db2.gz YAFVBFBCUXWPAZ-UHFFFAOYSA-N 0 3 221.348 2.729 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1csc(C)c1C ZINC000631114781 346230466 /nfs/dbraw/zinc/23/04/66/346230466.db2.gz ZNVDZAKSMWWGFP-IHVVCDCBSA-N 0 3 239.384 2.782 20 0 BFADHN CCOC[C@H](C)NCc1ccc(C(F)F)nc1 ZINC000631115329 346236651 /nfs/dbraw/zinc/23/66/51/346236651.db2.gz KOBCNHKHGFXKMV-VIFPVBQESA-N 0 3 244.285 2.534 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@H](C)[C@H]2C)o1 ZINC000628249560 346218514 /nfs/dbraw/zinc/21/85/14/346218514.db2.gz IIHVXIASVKEYFP-OUAUKWLOSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1nc(C)c(CNC[C@H]2CC[C@@H](C)C2)o1 ZINC000628256136 346253305 /nfs/dbraw/zinc/25/33/05/346253305.db2.gz KSEGFALVQQDVKA-SKDRFNHKSA-N 0 3 222.332 2.817 20 0 BFADHN Cc1c[nH]c(CN(C)[C@@H]2CCCC[C@H]2C)n1 ZINC000628265894 346265047 /nfs/dbraw/zinc/26/50/47/346265047.db2.gz VXCSDWQAZPMEGE-ZYHUDNBSSA-N 0 3 221.348 2.729 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cnn(C)c2)C1 ZINC000626161493 346270705 /nfs/dbraw/zinc/27/07/05/346270705.db2.gz DMPMLCAMEUNYQF-ITDFMYJTSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cnn(C)c2C)C1 ZINC000626162340 346273483 /nfs/dbraw/zinc/27/34/83/346273483.db2.gz SLKIPIABDYCXJS-ISZGNANSSA-N 0 3 247.386 2.907 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2ccnc(N)c2)C1 ZINC000626163583 346276110 /nfs/dbraw/zinc/27/61/10/346276110.db2.gz MUKOICXEFSZGJY-XQJDBVBESA-N 0 3 245.370 2.672 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc(SC)o1 ZINC000641751225 362671082 /nfs/dbraw/zinc/67/10/82/362671082.db2.gz TUMDCDYHFQOMNK-DTWKUNHWSA-N 0 3 229.345 2.515 20 0 BFADHN Cc1ncc(CN(C)[C@@H](C)C(C)(C)C)cn1 ZINC000534349927 323117546 /nfs/dbraw/zinc/11/75/46/323117546.db2.gz GQLQNGXDRLTHFG-JTQLQIEISA-N 0 3 221.348 2.651 20 0 BFADHN Cc1ncc(CN2CCC[C@H](C3CC3)C2)o1 ZINC000628282445 346294587 /nfs/dbraw/zinc/29/45/87/346294587.db2.gz FJENOYWXFAMOHD-LBPRGKRZSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](C3CC3)C2)o1 ZINC000628282444 346294624 /nfs/dbraw/zinc/29/46/24/346294624.db2.gz FJENOYWXFAMOHD-GFCCVEGCSA-N 0 3 220.316 2.605 20 0 BFADHN CSc1ccc(CNCC[C@@H]2CCOC2)o1 ZINC000641747821 362675710 /nfs/dbraw/zinc/67/57/10/362675710.db2.gz PCFUQLZAOJEKHW-SNVBAGLBSA-N 0 3 241.356 2.518 20 0 BFADHN Cc1c(CN(C)[C@H](C)C(C)(C)C)cnn1C ZINC000534349996 323117580 /nfs/dbraw/zinc/11/75/80/323117580.db2.gz PLHGGAOLIHQLCO-LLVKDONJSA-N 0 3 223.364 2.595 20 0 BFADHN CCc1nn(C)cc1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000245875064 537967076 /nfs/dbraw/zinc/96/70/76/537967076.db2.gz SSTUJSNVSUKKPV-OCCSQVGLSA-N 0 3 249.402 2.993 20 0 BFADHN CSc1ccc(CN[C@@H](C)CC(C)(C)O)o1 ZINC000641758502 362688857 /nfs/dbraw/zinc/68/88/57/362688857.db2.gz NTLUKTKHFJOJKM-VIFPVBQESA-N 0 3 243.372 2.641 20 0 BFADHN C[C@@H](NCCN1CCCC1)c1ccccc1F ZINC000020126843 259388805 /nfs/dbraw/zinc/38/88/05/259388805.db2.gz CKZOEJAVWYGVLY-GFCCVEGCSA-N 0 3 236.334 2.572 20 0 BFADHN Cc1cccc(C)c1[C@H](C)NCc1ccnn1C ZINC000354656112 179049820 /nfs/dbraw/zinc/04/98/20/179049820.db2.gz PXVXAFCKPSOIPF-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN CSc1ccc(CN[C@H]2CSC[C@@H]2C)o1 ZINC000641755389 362683296 /nfs/dbraw/zinc/68/32/96/362683296.db2.gz XPSACCBDUCGQIV-WPRPVWTQSA-N 0 3 243.397 2.843 20 0 BFADHN CO[C@H](CNCc1ccc(SC)o1)C1CC1 ZINC000641757586 362686453 /nfs/dbraw/zinc/68/64/53/362686453.db2.gz ZJXUEXHIPAJZMR-LLVKDONJSA-N 0 3 241.356 2.516 20 0 BFADHN CC[C@@](C)(O)CN[C@H](c1ccccn1)C1CCC1 ZINC000528655945 346357491 /nfs/dbraw/zinc/35/74/91/346357491.db2.gz DFKKKBXUJFFCPH-LSDHHAIUSA-N 0 3 248.370 2.673 20 0 BFADHN CSc1ccc(CN[C@H]2CCS[C@H]2C)o1 ZINC000641768384 362706047 /nfs/dbraw/zinc/70/60/47/362706047.db2.gz WTUFGEZUSJPJNJ-WPRPVWTQSA-N 0 3 243.397 2.985 20 0 BFADHN CCC1(CC)[C@H](NCC2(F)CC2)C[C@@H]1OC ZINC000381555779 346341422 /nfs/dbraw/zinc/34/14/22/346341422.db2.gz OXFKFKKKABBNKV-MNOVXSKESA-N 0 3 229.339 2.672 20 0 BFADHN CCCCN(CC(=O)OC)[C@H]1CCC[C@@H](C)C1 ZINC000577081866 365809543 /nfs/dbraw/zinc/80/95/43/365809543.db2.gz BRXCADFQODMYTP-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN COCC1(CNCc2ccc(SC)o2)CC1 ZINC000641773211 362712794 /nfs/dbraw/zinc/71/27/94/362712794.db2.gz WKIBOPIIJZWGJE-UHFFFAOYSA-N 0 3 241.356 2.518 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1C[C@@H](CC(C)C)OC ZINC000419179163 192101635 /nfs/dbraw/zinc/10/16/35/192101635.db2.gz CUICFNMPLRXTGW-MGPQQGTHSA-N 0 3 243.391 2.547 20 0 BFADHN CCN(Cc1ccc(F)cc1C)C[C@@H](C)OC ZINC000361015306 346383614 /nfs/dbraw/zinc/38/36/14/346383614.db2.gz BAHGMVBFOWOTGW-GFCCVEGCSA-N 0 3 239.334 2.991 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(F)c(Cl)c1 ZINC000309959787 323122478 /nfs/dbraw/zinc/12/24/78/323122478.db2.gz KLECCPLVVFELFK-NEPJUHHUSA-N 0 3 243.709 2.746 20 0 BFADHN CCc1nc(C)c(CNC2CCCC2)o1 ZINC000291653372 346406293 /nfs/dbraw/zinc/40/62/93/346406293.db2.gz CXROLSLCINSQAY-UHFFFAOYSA-N 0 3 208.305 2.578 20 0 BFADHN Cc1nccn1CCN(C)Cc1cccc(C)c1 ZINC000270892374 179067841 /nfs/dbraw/zinc/06/78/41/179067841.db2.gz XZURHCONZCMMRA-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN CCOc1ccc(CN[C@@H](C)COC)c(C)c1 ZINC000641789959 362724891 /nfs/dbraw/zinc/72/48/91/362724891.db2.gz BRAUMFHXFZGIRG-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN Cc1ccc(CN2C[C@@H](C(N)=O)CC[C@H]2C)nc1 ZINC000336471558 346430179 /nfs/dbraw/zinc/43/01/79/346430179.db2.gz BSUAFYIVGCJMNQ-NEPJUHHUSA-N 0 3 247.342 2.526 20 0 BFADHN C[C@@H](NC[C@@H]1CC2CCC1CC2)c1ncc[nH]1 ZINC000574916632 346413487 /nfs/dbraw/zinc/41/34/87/346413487.db2.gz YVJFRYVXJUHLLC-XVSSEFHLSA-N 0 3 233.359 2.887 20 0 BFADHN CCN(Cc1ccc(OC)c(C)c1)[C@@H]1CCOC1 ZINC000361248611 346417884 /nfs/dbraw/zinc/41/78/84/346417884.db2.gz DCTSNHSQFMSPMQ-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1cccc(CN2C[C@@H](C(N)=O)CC[C@H]2C)n1 ZINC000334144025 179082267 /nfs/dbraw/zinc/08/22/67/179082267.db2.gz SIIDQXVKWQCGPT-NEPJUHHUSA-N 0 3 247.342 2.526 20 0 BFADHN COC[C@H](C)N[C@@H]1CCCc2c(OC)cccc21 ZINC000641788767 362723490 /nfs/dbraw/zinc/72/34/90/362723490.db2.gz STDGDAGVLMZKPF-SMDDNHRTSA-N 0 3 249.354 2.697 20 0 BFADHN CC[C@@H](O)CCNCc1ccc(F)c(Cl)c1 ZINC000160167827 346442745 /nfs/dbraw/zinc/44/27/45/346442745.db2.gz DYHQJIXEYMCYBF-SNVBAGLBSA-N 0 3 245.725 2.730 20 0 BFADHN Cc1cccc(CNCCC[C@@H](C)CO)c1F ZINC000294935410 179091213 /nfs/dbraw/zinc/09/12/13/179091213.db2.gz CGTIDKWODYKLDN-LLVKDONJSA-N 0 3 239.334 2.632 20 0 BFADHN FC1(CNCCOc2cccc(Cl)c2)CC1 ZINC000381623112 346464051 /nfs/dbraw/zinc/46/40/51/346464051.db2.gz FVIRLFRICGJRJO-UHFFFAOYSA-N 0 3 243.709 2.811 20 0 BFADHN C[C@@H]1[C@H](CO)CCN1Cc1sccc1Cl ZINC000334352119 346469408 /nfs/dbraw/zinc/46/94/08/346469408.db2.gz XCHRNLSYYZPPOJ-BDAKNGLRSA-N 0 3 245.775 2.604 20 0 BFADHN Cc1cccc(CNCc2ccc(C)nc2)c1 ZINC000057591407 179094220 /nfs/dbraw/zinc/09/42/20/179094220.db2.gz YBJIMAXKMUQUEZ-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN Cc1cccc(CN[C@@H](C)CCCCO)c1F ZINC000295003743 179095732 /nfs/dbraw/zinc/09/57/32/179095732.db2.gz ITHGGVUDADPWBB-LBPRGKRZSA-N 0 3 239.334 2.775 20 0 BFADHN Cc1cccc(CN[C@@H]2CCCC[C@H]2O)c1F ZINC000295309007 179098473 /nfs/dbraw/zinc/09/84/73/179098473.db2.gz YHXFCHPJNXOUSV-CHWSQXEVSA-N 0 3 237.318 2.527 20 0 BFADHN CC[C@H](CN(C)Cc1ccc(C)cc1)OC ZINC000419208990 192103404 /nfs/dbraw/zinc/10/34/04/192103404.db2.gz VZGMLRHWXJNXLA-CQSZACIVSA-N 0 3 221.344 2.852 20 0 BFADHN CC(C)[C@H](C)C(=O)Nc1cccc2c1CCNC2 ZINC000070122738 349966609 /nfs/dbraw/zinc/96/66/09/349966609.db2.gz IKQNYHUUDRLPMP-NSHDSACASA-N 0 3 246.354 2.563 20 0 BFADHN Cc1cccc(CN[C@H](CO)c2ccccc2)c1 ZINC000062998971 179101985 /nfs/dbraw/zinc/10/19/85/179101985.db2.gz FCKKIPNEEGTXTC-MRXNPFEDSA-N 0 3 241.334 2.818 20 0 BFADHN Cc1cccc(Cl)c1CN[C@H](C)[C@H](C)CO ZINC000295645967 179108296 /nfs/dbraw/zinc/10/82/96/179108296.db2.gz CPKGTAIRNSYLBL-GHMZBOCLSA-N 0 3 241.762 2.755 20 0 BFADHN CCCN1CCO[C@H](CCc2ccccc2)C1 ZINC000186075565 346491835 /nfs/dbraw/zinc/49/18/35/346491835.db2.gz MWGVWZBONSYMMT-OAHLLOKOSA-N 0 3 233.355 2.730 20 0 BFADHN C[C@@H]1CN(Cc2cc3ccccc3o2)CC[C@@H]1O ZINC000186917057 346547250 /nfs/dbraw/zinc/54/72/50/346547250.db2.gz BYVNJRLYIDELNS-RISCZKNCSA-N 0 3 245.322 2.636 20 0 BFADHN Cc1cccc(NC(=O)CN(C)[C@@H](C)C(C)C)c1 ZINC000298461094 179127691 /nfs/dbraw/zinc/12/76/91/179127691.db2.gz LYSZYNCGSVETJV-ZDUSSCGKSA-N 0 3 248.370 2.910 20 0 BFADHN CCC[C@H](C)N1CCSC2(CCOCC2)C1 ZINC000187728012 346604170 /nfs/dbraw/zinc/60/41/70/346604170.db2.gz JEWJJGFIPIDDPG-LBPRGKRZSA-N 0 3 243.416 2.773 20 0 BFADHN CCCC[C@@H](COC)NCc1occc1C ZINC000164599607 346611477 /nfs/dbraw/zinc/61/14/77/346611477.db2.gz HTRKGFBSCAVCAX-LBPRGKRZSA-N 0 3 225.332 2.883 20 0 BFADHN CCN(CCc1ccncc1)Cc1ccoc1 ZINC000588169587 346645042 /nfs/dbraw/zinc/64/50/42/346645042.db2.gz UVOMAHQKMDYMDQ-UHFFFAOYSA-N 0 3 230.311 2.739 20 0 BFADHN CC[C@@H](N[C@@H](C)CCO)c1ccccc1F ZINC000166348947 346673566 /nfs/dbraw/zinc/67/35/66/346673566.db2.gz ROHYMRSBXGBMCK-GXFFZTMASA-N 0 3 225.307 2.637 20 0 BFADHN C[C@@H](NC[C@H]1CCCC1(F)F)c1cnccn1 ZINC000390535703 259392823 /nfs/dbraw/zinc/39/28/23/259392823.db2.gz GBKRNTQEECPYLT-NXEZZACHSA-N 0 3 241.285 2.563 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC1(F)F)c1cnccn1 ZINC000390535706 259392827 /nfs/dbraw/zinc/39/28/27/259392827.db2.gz GBKRNTQEECPYLT-ZJUUUORDSA-N 0 3 241.285 2.563 20 0 BFADHN CC[C@@H](N[C@@H](C)CCOC)c1nc(C)cs1 ZINC000165699013 346656652 /nfs/dbraw/zinc/65/66/52/346656652.db2.gz BIWNXKLNZNROHX-GXSJLCMTSA-N 0 3 242.388 2.917 20 0 BFADHN COc1cc(C)ccc1CN1CCCCC1 ZINC000199968057 346665437 /nfs/dbraw/zinc/66/54/37/346665437.db2.gz LGMLCGYMMZVKAS-UHFFFAOYSA-N 0 3 219.328 2.990 20 0 BFADHN COc1cc(C)ccc1CN(C)C(C)C ZINC000199968663 346665821 /nfs/dbraw/zinc/66/58/21/346665821.db2.gz RUSVYTSRAZBBMC-UHFFFAOYSA-N 0 3 207.317 2.844 20 0 BFADHN c1coc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)c1 ZINC000403510741 346668936 /nfs/dbraw/zinc/66/89/36/346668936.db2.gz BWAIDDVYMQOGSX-GRYCIOLGSA-N 0 3 205.301 2.805 20 0 BFADHN CSC1(CN2CC[C@H](C)[C@@H](F)C2)CC1 ZINC000589171576 346671200 /nfs/dbraw/zinc/67/12/00/346671200.db2.gz WAKBOXSKKXYVCV-UWVGGRQHSA-N 0 3 217.353 2.562 20 0 BFADHN Cc1cccc(NC(=O)[C@H](C(C)C)N(C)C)c1C ZINC000106407784 179139861 /nfs/dbraw/zinc/13/98/61/179139861.db2.gz WVMJIOCJGOIVKH-AWEZNQCLSA-N 0 3 248.370 2.828 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccc(F)cc2)n[nH]1 ZINC000589635555 346719871 /nfs/dbraw/zinc/71/98/71/346719871.db2.gz ZWNVDXHZFNIDDZ-SNVBAGLBSA-N 0 3 233.290 2.708 20 0 BFADHN COc1cccc([C@@H](C)NCc2cc(C)[nH]n2)c1 ZINC000589642455 346721905 /nfs/dbraw/zinc/72/19/05/346721905.db2.gz GDYZYLZOXHPULP-LLVKDONJSA-N 0 3 245.326 2.578 20 0 BFADHN CCCc1cccc(CN[C@H]2CO[C@H](C)C2)c1 ZINC000577177357 365858520 /nfs/dbraw/zinc/85/85/20/365858520.db2.gz VPTCTLAJKHMACE-IUODEOHRSA-N 0 3 233.355 2.906 20 0 BFADHN CCCc1cccc(CN[C@H]2CO[C@@H](C)C2)c1 ZINC000577177358 365858798 /nfs/dbraw/zinc/85/87/98/365858798.db2.gz VPTCTLAJKHMACE-SWLSCSKDSA-N 0 3 233.355 2.906 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(C)cc1C ZINC000381729284 346764849 /nfs/dbraw/zinc/76/48/49/346764849.db2.gz NCVVHMSYJYQBIV-ZIAGYGMSSA-N 0 3 219.328 2.570 20 0 BFADHN COC[C@H](C)CNC(C)(C)c1ncc(C)s1 ZINC000188088387 346746149 /nfs/dbraw/zinc/74/61/49/346746149.db2.gz URUYHMVLKSLMIE-SECBINFHSA-N 0 3 242.388 2.559 20 0 BFADHN CCC(C)(C)CCN1CCO[C@](C)(COC)C1 ZINC000626174297 346753821 /nfs/dbraw/zinc/75/38/21/346753821.db2.gz OPNRNQWAXYYKQE-AWEZNQCLSA-N 0 3 243.391 2.550 20 0 BFADHN Cc1cnc(CNCC2CCCCCC2)n1C ZINC000449484505 201938113 /nfs/dbraw/zinc/93/81/13/201938113.db2.gz HPSCXHXGYDGVMC-UHFFFAOYSA-N 0 3 235.375 2.789 20 0 BFADHN Cc1cccc(OCCN2C[C@H](C)[C@H]2C)c1 ZINC000339564023 179168427 /nfs/dbraw/zinc/16/84/27/179168427.db2.gz PHOZVJDGWGXEEX-QWHCGFSZSA-N 0 3 219.328 2.714 20 0 BFADHN CC1(C)CC[C@H](NCc2ncccc2F)C1 ZINC000309736830 347152168 /nfs/dbraw/zinc/15/21/68/347152168.db2.gz CNLUPYIAIISIKF-JTQLQIEISA-N 0 3 222.307 2.889 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccccc2)n[nH]1 ZINC000309769956 347160011 /nfs/dbraw/zinc/16/00/11/347160011.db2.gz KGUQUFKQARDVRH-LLVKDONJSA-N 0 3 215.300 2.569 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H](C)c1ccc(F)cn1 ZINC000388030699 347161644 /nfs/dbraw/zinc/16/16/44/347161644.db2.gz POMYULMSTRYUFW-MXWKQRLJSA-N 0 3 222.307 2.917 20 0 BFADHN Cc1cccc([C@@H](C)NC[C@H](O)C(F)F)c1C ZINC000361964618 179182901 /nfs/dbraw/zinc/18/29/01/179182901.db2.gz BESZGNYJQPKZQP-PWSUYJOCSA-N 0 3 243.297 2.580 20 0 BFADHN CCc1ccc(CNC[C@@H]2C[C@H]2C2CC2)o1 ZINC000382157330 347205678 /nfs/dbraw/zinc/20/56/78/347205678.db2.gz RMXAZTQZDBMFAD-FZMZJTMJSA-N 0 3 219.328 2.978 20 0 BFADHN C[C@@H](N[C@@H]1COC(C)(C)C1)c1cccc(C#N)c1 ZINC000396511238 347182961 /nfs/dbraw/zinc/18/29/61/347182961.db2.gz DQVZIUPPKNCKAD-RISCZKNCSA-N 0 3 244.338 2.776 20 0 BFADHN CCCC[C@@H](C)N[C@@H]1CCCc2c[nH]nc21 ZINC000310018928 347219761 /nfs/dbraw/zinc/21/97/61/347219761.db2.gz MFWLVJXVPFGWKG-ZYHUDNBSSA-N 0 3 221.348 2.955 20 0 BFADHN CC[C@@H](NCc1ncc(C)cn1)C(C)(C)C ZINC000310037426 347221714 /nfs/dbraw/zinc/22/17/14/347221714.db2.gz VCMOZZWZPZLYKK-LLVKDONJSA-N 0 3 221.348 2.699 20 0 BFADHN c1ccc2c(c1)CN(C[C@@H]1CCCO1)CC21CC1 ZINC000186198827 347228328 /nfs/dbraw/zinc/22/83/28/347228328.db2.gz BNLLQNIHQRGBPK-AWEZNQCLSA-N 0 3 243.350 2.713 20 0 BFADHN Cc1cccc([C@H](C)N(C)CCn2cccn2)c1 ZINC000072631064 179193325 /nfs/dbraw/zinc/19/33/25/179193325.db2.gz SVOFVMJUUROXBS-AWEZNQCLSA-N 0 3 243.354 2.885 20 0 BFADHN Cc1cccc([C@H](C)NCCOCC(F)F)c1 ZINC000190832310 179194628 /nfs/dbraw/zinc/19/46/28/179194628.db2.gz UEUMHLLAVLNOMV-NSHDSACASA-N 0 3 243.297 2.927 20 0 BFADHN Cc1c[nH]c(CN2CC(C)(C)[C@@H]3CCC[C@H]32)n1 ZINC000628296506 347244634 /nfs/dbraw/zinc/24/46/34/347244634.db2.gz NYAOSZREMRKNCR-VXGBXAGGSA-N 0 3 233.359 2.729 20 0 BFADHN Cc1cnc(CCN(C)[C@H]2CC2(C)C)c(C)c1 ZINC000571279785 323139920 /nfs/dbraw/zinc/13/99/20/323139920.db2.gz AAMVCMNCICLMBE-AWEZNQCLSA-N 0 3 232.371 2.971 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCC2(F)F)nc1 ZINC000390593605 259394731 /nfs/dbraw/zinc/39/47/31/259394731.db2.gz SKXDINZBVQLMIF-NSHDSACASA-N 0 3 240.297 2.915 20 0 BFADHN Cc1n[nH]cc1CN[C@H]1CCc2c1cccc2C ZINC000105496615 179215562 /nfs/dbraw/zinc/21/55/62/179215562.db2.gz POZPSIGGJAQEON-HNNXBMFYSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1cccc2c1CN(C[C@@H]1CCCO1)CC2 ZINC000277326721 179217360 /nfs/dbraw/zinc/21/73/60/179217360.db2.gz VKSDRYOIPFHFLG-AWEZNQCLSA-N 0 3 231.339 2.532 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@H](C)c1ccco1)C1CC1 ZINC000388350367 347346055 /nfs/dbraw/zinc/34/60/55/347346055.db2.gz OFUQMEIZYHFLOL-BREBYQMCSA-N 0 3 223.316 2.744 20 0 BFADHN CO[C@@H]([C@H](C)N[C@H](C)c1ccoc1)C1CC1 ZINC000388360259 347355291 /nfs/dbraw/zinc/35/52/91/347355291.db2.gz SIKDWEXCEKBVKI-NRUUGDAUSA-N 0 3 223.316 2.744 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1ccoc1)C1CC1 ZINC000388360257 347355691 /nfs/dbraw/zinc/35/56/91/347355691.db2.gz SIKDWEXCEKBVKI-CWSCBRNRSA-N 0 3 223.316 2.744 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@H](C)c1cncs1)C1CC1 ZINC000388302811 347302010 /nfs/dbraw/zinc/30/20/10/347302010.db2.gz OGSDAPRICGITHJ-LNLATYFQSA-N 0 3 240.372 2.607 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1cccnc1)OC ZINC000319470905 259395142 /nfs/dbraw/zinc/39/51/42/259395142.db2.gz QOVRZLXIFJCDAK-YPMHNXCESA-N 0 3 222.332 2.547 20 0 BFADHN CO[C@@H]([C@@H](C)NCc1ccc(F)cc1)C1CC1 ZINC000388361725 347357131 /nfs/dbraw/zinc/35/71/31/347357131.db2.gz SWTIQJQASVWPLH-YGRLFVJLSA-N 0 3 237.318 2.729 20 0 BFADHN C[C@@H](NCc1cccs1)c1cccnc1 ZINC000020127494 347409125 /nfs/dbraw/zinc/40/91/25/347409125.db2.gz JWDNVPHTFDQHDI-SNVBAGLBSA-N 0 3 218.325 2.994 20 0 BFADHN CCCn1ncnc1CNC1(CC)CCCC1 ZINC000382573093 347364721 /nfs/dbraw/zinc/36/47/21/347364721.db2.gz SMXJYNBMGVVSQP-UHFFFAOYSA-N 0 3 236.363 2.501 20 0 BFADHN Cc1cccc2ncc(CN3CC[C@@H](C)[C@H]3C)n21 ZINC000355135197 179232062 /nfs/dbraw/zinc/23/20/62/179232062.db2.gz OKJIZQJNSVIFDX-DGCLKSJQSA-N 0 3 243.354 2.873 20 0 BFADHN Cc1ncc(CNC(C)(C)C2CCC2)o1 ZINC000628299309 347421173 /nfs/dbraw/zinc/42/11/73/347421173.db2.gz KJWKCHZQJVRKRH-UHFFFAOYSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cccc2nc(CN[C@H]3CCC3(C)C)cn21 ZINC000284499261 179229374 /nfs/dbraw/zinc/22/93/74/179229374.db2.gz VPKQVLQBTCANEL-ZDUSSCGKSA-N 0 3 243.354 2.921 20 0 BFADHN CC[C@@]1(C)COCCN1C[C@H](CC(C)C)OC ZINC000419227843 192106828 /nfs/dbraw/zinc/10/68/28/192106828.db2.gz LJVBWVZKUAUDCE-KBPBESRZSA-N 0 3 243.391 2.548 20 0 BFADHN C[C@H](NC[C@H]1CCCC1(F)F)c1ccnn1C ZINC000390611624 259395818 /nfs/dbraw/zinc/39/58/18/259395818.db2.gz WYPLZAVOFGBPMC-VHSXEESVSA-N 0 3 243.301 2.506 20 0 BFADHN CC/C=C\CCN(C)[C@@H](C)c1cnn(C)c1 ZINC000343611924 347460255 /nfs/dbraw/zinc/46/02/55/347460255.db2.gz RCXXSSFAPRDSSN-DGMVEKRQSA-N 0 3 221.348 2.769 20 0 BFADHN CC[C@H](CN1CCc2ccsc2C1)OC ZINC000419228820 192106894 /nfs/dbraw/zinc/10/68/94/192106894.db2.gz NAYRSLICJGVHPK-LLVKDONJSA-N 0 3 225.357 2.531 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCCCCF ZINC000389308879 537990299 /nfs/dbraw/zinc/99/02/99/537990299.db2.gz AZUUZPRZTMSLSH-MRVPVSSYSA-N 0 3 214.284 2.692 20 0 BFADHN CN(C)CCS[C@H]1CCc2ccccc21 ZINC000616950579 347513179 /nfs/dbraw/zinc/51/31/79/347513179.db2.gz NYTKGKKWBKCRFV-ZDUSSCGKSA-N 0 3 221.369 2.969 20 0 BFADHN CCCC[C@H](C)C(=O)NC[C@@H](N)c1ccccc1 ZINC000302355524 347522447 /nfs/dbraw/zinc/52/24/47/347522447.db2.gz KLVLFUVSUGBZBV-GXTWGEPZSA-N 0 3 248.370 2.629 20 0 BFADHN COc1ccccc1CNC[C@@H](C)C(F)(F)F ZINC000359112139 187379534 /nfs/dbraw/zinc/37/95/34/187379534.db2.gz ZMGMAKQBKNMJLN-SECBINFHSA-N 0 3 247.260 2.983 20 0 BFADHN Cc1ccccc1CCNCc1cncs1 ZINC000086762953 179265002 /nfs/dbraw/zinc/26/50/02/179265002.db2.gz CWZOISFIEWKWDJ-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1ccoc1C ZINC000591257630 347634783 /nfs/dbraw/zinc/63/47/83/347634783.db2.gz SVNHWFDRESZCQY-QWRGUYRKSA-N 0 3 211.330 2.572 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1ccoc1C ZINC000591257628 347634958 /nfs/dbraw/zinc/63/49/58/347634958.db2.gz SVNHWFDRESZCQY-GHMZBOCLSA-N 0 3 211.330 2.572 20 0 BFADHN CC[C@@H](O)CN1CCc2cccc(F)c2[C@@H]1C ZINC000525785412 259396952 /nfs/dbraw/zinc/39/69/52/259396952.db2.gz WREKPFAXSXMDGE-CMPLNLGQSA-N 0 3 237.318 2.516 20 0 BFADHN CC1(CNCc2cccc(C(F)(F)F)n2)CC1 ZINC000577260983 365906146 /nfs/dbraw/zinc/90/61/46/365906146.db2.gz OQERKJJPCQTZFX-UHFFFAOYSA-N 0 3 244.260 2.990 20 0 BFADHN Cc1ccccc1CN1CCN(C)[C@H](C)[C@H]1C ZINC000356979659 179273933 /nfs/dbraw/zinc/27/39/33/179273933.db2.gz DPFRSHZZIFHDNR-ZIAGYGMSSA-N 0 3 232.371 2.519 20 0 BFADHN CSCCN(C)CCc1ncc(C)cc1C ZINC000592073555 347729008 /nfs/dbraw/zinc/72/90/08/347729008.db2.gz HCHLHTWDJKHLJH-UHFFFAOYSA-N 0 3 238.400 2.536 20 0 BFADHN c1ccc2c(c1)CCN(CCOCC1CCC1)C2 ZINC000419187796 192108646 /nfs/dbraw/zinc/10/86/46/192108646.db2.gz GLKRBIQRBKKWHJ-UHFFFAOYSA-N 0 3 245.366 2.861 20 0 BFADHN Cc1ccccc1CSCCN(C)C ZINC000097087164 179287333 /nfs/dbraw/zinc/28/73/33/179287333.db2.gz BBLXKSVFCCICMG-UHFFFAOYSA-N 0 3 209.358 2.790 20 0 BFADHN CCN(CCOc1ccccc1)CCSC ZINC000592199545 347752000 /nfs/dbraw/zinc/75/20/00/347752000.db2.gz RCFYHABPOLMPBW-UHFFFAOYSA-N 0 3 239.384 2.750 20 0 BFADHN CCN(CCOCC1CCCC1)CCSC ZINC000592202795 347754861 /nfs/dbraw/zinc/75/48/61/347754861.db2.gz SQQDXYJCVXUJTB-UHFFFAOYSA-N 0 3 245.432 2.878 20 0 BFADHN Cc1ccccc1CN[C@@H]1CCC[C@H]1F ZINC000339590573 179282687 /nfs/dbraw/zinc/28/26/87/179282687.db2.gz QARSTQJTJDFQSJ-CHWSQXEVSA-N 0 3 207.292 2.975 20 0 BFADHN Cc1ccccc1C[C@@H](C)NCc1cncnc1 ZINC000054767956 179288768 /nfs/dbraw/zinc/28/87/68/179288768.db2.gz OOGKZCFGBMDSRR-CYBMUJFWSA-N 0 3 241.338 2.506 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2C[C@H]2C(C)C)o1 ZINC000628299988 347805796 /nfs/dbraw/zinc/80/57/96/347805796.db2.gz JQFMSDAKHKJSOO-RYUDHWBXSA-N 0 3 222.332 2.673 20 0 BFADHN Cc1ccccc1C[C@H](C)N[C@H](C)CF ZINC000288383681 179291079 /nfs/dbraw/zinc/29/10/79/179291079.db2.gz GHYBWCSAUFTFPI-NWDGAFQWSA-N 0 3 209.308 2.874 20 0 BFADHN Cc1ccccc1NC(=O)C12CCCN1CCC2 ZINC000278529932 179297944 /nfs/dbraw/zinc/29/79/44/179297944.db2.gz IHKRZQPVJPKXBA-UHFFFAOYSA-N 0 3 244.338 2.562 20 0 BFADHN CO[C@H]1C[C@@H](CNCc2sccc2Cl)C1 ZINC000623824068 347874728 /nfs/dbraw/zinc/87/47/28/347874728.db2.gz WNKPNLSIRXQUMZ-DTORHVGOSA-N 0 3 245.775 2.916 20 0 BFADHN CCc1ccc(CN2CCC[C@@H](CO)C2)s1 ZINC000050104355 323164928 /nfs/dbraw/zinc/16/49/28/323164928.db2.gz YYSDPNQXPFGIPT-LLVKDONJSA-N 0 3 239.384 2.515 20 0 BFADHN CCN(C)c1ccc(CN(C)CC(C)C)cn1 ZINC000361508639 187382053 /nfs/dbraw/zinc/38/20/53/187382053.db2.gz NYMXANIDOJJESU-UHFFFAOYSA-N 0 3 235.375 2.626 20 0 BFADHN COc1cc(C)ccc1[C@H](C)NC[C@@H](C)OC ZINC000223878017 323169899 /nfs/dbraw/zinc/16/98/99/323169899.db2.gz ONPNGLKZJDTOJT-NEPJUHHUSA-N 0 3 237.343 2.689 20 0 BFADHN Cc1ccccc1[C@@H](C)NCC1=CCCOC1 ZINC000176824029 179320402 /nfs/dbraw/zinc/32/04/02/179320402.db2.gz SOSGMQGCADPWOQ-CYBMUJFWSA-N 0 3 231.339 2.992 20 0 BFADHN Cc1ccccc1[C@@H]1CCN(Cc2c[nH]cn2)C1 ZINC000280485155 179328187 /nfs/dbraw/zinc/32/81/87/179328187.db2.gz OHBWNGYABIBMOY-CYBMUJFWSA-N 0 3 241.338 2.708 20 0 BFADHN Cc1ccccc1[C@@H]1CCN(Cc2cnc[nH]2)C1 ZINC000280485155 179328189 /nfs/dbraw/zinc/32/81/89/179328189.db2.gz OHBWNGYABIBMOY-CYBMUJFWSA-N 0 3 241.338 2.708 20 0 BFADHN Cc1ccccc1[C@@H]1CCCN1CC1OCCO1 ZINC000265825104 179328295 /nfs/dbraw/zinc/32/82/95/179328295.db2.gz AJNAZOYPWDBNEP-AWEZNQCLSA-N 0 3 247.338 2.505 20 0 BFADHN Cc1ccccc1[C@H](C)NCc1cncn1C ZINC000112338026 179338508 /nfs/dbraw/zinc/33/85/08/179338508.db2.gz PYVCORPUDMVRBC-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1ccccc1[C@H](O)CN[C@@H](C)c1ccco1 ZINC000163249402 179345740 /nfs/dbraw/zinc/34/57/40/179345740.db2.gz IPOOYUSKZMTEMX-GXTWGEPZSA-N 0 3 245.322 2.972 20 0 BFADHN Cc1ccccc1[C@H]1CCCN1CC1OCCO1 ZINC000265825106 179346331 /nfs/dbraw/zinc/34/63/31/179346331.db2.gz AJNAZOYPWDBNEP-CQSZACIVSA-N 0 3 247.338 2.505 20 0 BFADHN CC(C)(CNCc1ncc[nH]1)C1CCCC1 ZINC000120117953 187385897 /nfs/dbraw/zinc/38/58/97/187385897.db2.gz HVLLEXARBAJWAC-UHFFFAOYSA-N 0 3 221.348 2.716 20 0 BFADHN Cc1cccn2cc(CNC3CCCC3)nc12 ZINC000072816787 179356332 /nfs/dbraw/zinc/35/63/32/179356332.db2.gz SSHLLQNQDOJQIA-UHFFFAOYSA-N 0 3 229.327 2.675 20 0 BFADHN Cc1ccoc1CN[C@H](C)CSCCF ZINC000595040701 348177464 /nfs/dbraw/zinc/17/74/64/348177464.db2.gz ZLOIGUNGTAYBQU-SNVBAGLBSA-N 0 3 231.336 2.769 20 0 BFADHN CCSC1(CNCc2c(C)noc2C)CC1 ZINC000594984524 348165437 /nfs/dbraw/zinc/16/54/37/348165437.db2.gz MCNPPQIMDNJBLU-UHFFFAOYSA-N 0 3 240.372 2.667 20 0 BFADHN CCSC1(CNCc2cncs2)CC1 ZINC000594986737 348166346 /nfs/dbraw/zinc/16/63/46/348166346.db2.gz IIDHQTKHMZVRKS-UHFFFAOYSA-N 0 3 228.386 2.518 20 0 BFADHN CCSC1(CNCc2ccoc2C)CC1 ZINC000594992795 348166920 /nfs/dbraw/zinc/16/69/20/348166920.db2.gz XUEFSCNZXLGHBO-UHFFFAOYSA-N 0 3 225.357 2.963 20 0 BFADHN Cc1ncsc1CN[C@@H](C)CSCCF ZINC000595012908 348185409 /nfs/dbraw/zinc/18/54/09/348185409.db2.gz QCUGBHPIZJLPHE-QMMMGPOBSA-N 0 3 248.392 2.632 20 0 BFADHN Cc1cccnc1CCCNCc1ccco1 ZINC000294921488 179368223 /nfs/dbraw/zinc/36/82/23/179368223.db2.gz FQOKGIICUZQWGQ-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN Cc1cccnc1CCCNCc1ccccn1 ZINC000294700086 179368402 /nfs/dbraw/zinc/36/84/02/179368402.db2.gz BDZOIHGXCLYJMM-UHFFFAOYSA-N 0 3 241.338 2.507 20 0 BFADHN CCSC1(CN[C@H](C)c2c[nH]nc2C)CC1 ZINC000595099646 348195115 /nfs/dbraw/zinc/19/51/15/348195115.db2.gz MTMZOPNSOIMIAE-SECBINFHSA-N 0 3 239.388 2.654 20 0 BFADHN CCSC1(CN[C@@H](C)c2c[nH]nc2C)CC1 ZINC000595099647 348195123 /nfs/dbraw/zinc/19/51/23/348195123.db2.gz MTMZOPNSOIMIAE-VIFPVBQESA-N 0 3 239.388 2.654 20 0 BFADHN C[C@H](N[C@H]1CCS[C@H]1C)c1cccnc1 ZINC000220708710 490139174 /nfs/dbraw/zinc/13/91/74/490139174.db2.gz FLOHXEFYYLMWID-NHCYSSNCSA-N 0 3 222.357 2.626 20 0 BFADHN Cc1cccnc1CCCNCc1cncs1 ZINC000294914967 179369300 /nfs/dbraw/zinc/36/93/00/179369300.db2.gz FDUIAPUKKGKTBN-UHFFFAOYSA-N 0 3 247.367 2.569 20 0 BFADHN Cc1cccnc1CCNCc1ccccc1F ZINC000294988390 179369991 /nfs/dbraw/zinc/36/99/91/179369991.db2.gz KMBLDIKNFHFWLK-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCC[C@H](C)O)CCCO2 ZINC000305142360 187388975 /nfs/dbraw/zinc/38/89/75/187388975.db2.gz QQFWCXGHHSTDSS-JSGCOSHPSA-N 0 3 249.354 2.569 20 0 BFADHN Cc1cccnc1CCN[C@H](C)c1cncs1 ZINC000296567149 179370645 /nfs/dbraw/zinc/37/06/45/179370645.db2.gz SQRJSSWDPQQEJP-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cccnc1CN(C)CCCOC(C)C ZINC000271325494 179370688 /nfs/dbraw/zinc/37/06/88/179370688.db2.gz DIHQFDOYLLKMMS-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN Cc1cccnc1CCN[C@@H](C)c1cncs1 ZINC000296567151 179371292 /nfs/dbraw/zinc/37/12/92/179371292.db2.gz SQRJSSWDPQQEJP-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CO[C@@H](C)C2)s1 ZINC000401857949 348208543 /nfs/dbraw/zinc/20/85/43/348208543.db2.gz ZTYKZAZXUCZOCE-INTQDDNPSA-N 0 3 225.357 2.885 20 0 BFADHN C[C@H](c1ccccc1Cl)N(C)C[C@H](C)CO ZINC000253178650 348241032 /nfs/dbraw/zinc/24/10/32/348241032.db2.gz CDQDUCZJDRXHQI-WDEREUQCSA-N 0 3 241.762 2.961 20 0 BFADHN COC(=O)[C@@H]1CCCCCN1CCC1CCC1 ZINC000595427695 348247794 /nfs/dbraw/zinc/24/77/94/348247794.db2.gz BGPOMWWVLBQREI-ZDUSSCGKSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1cccnc1CNC1(C(C)C)CC1 ZINC000339510629 179381428 /nfs/dbraw/zinc/38/14/28/179381428.db2.gz AFSOYZBHDHXERO-UHFFFAOYSA-N 0 3 204.317 2.668 20 0 BFADHN Cc1cccnc1CNCCC(C)(C)C ZINC000070908459 179381695 /nfs/dbraw/zinc/38/16/95/179381695.db2.gz QIUXTDYYQUDWBV-UHFFFAOYSA-N 0 3 206.333 2.916 20 0 BFADHN CCc1cnccc1[C@@H](C)NC[C@@H](CC)OC ZINC000419252078 192115951 /nfs/dbraw/zinc/11/59/51/192115951.db2.gz SBKXHMQTWXCLET-DGCLKSJQSA-N 0 3 236.359 2.720 20 0 BFADHN Cc1cccnc1CN1CC[C@H](c2ccco2)C1 ZINC000367566289 179375276 /nfs/dbraw/zinc/37/52/76/179375276.db2.gz UIDQSQJYNCWSCF-ZDUSSCGKSA-N 0 3 242.322 2.973 20 0 BFADHN CC[C@H](CNC1(c2cccc(F)c2)CC1)OC ZINC000419258668 192115309 /nfs/dbraw/zinc/11/53/09/192115309.db2.gz ZNSJETQACKGNOV-CYBMUJFWSA-N 0 3 237.318 2.829 20 0 BFADHN CO[C@H](CC(C)C)CN1CCC[C@](C)(F)C1 ZINC000419290156 192118343 /nfs/dbraw/zinc/11/83/43/192118343.db2.gz RFLIDQXEGVBYNY-OLZOCXBDSA-N 0 3 231.355 2.872 20 0 BFADHN CC[C@H](CN1CC[C@@H](C(F)(F)F)[C@@H]1C)OC ZINC000419298823 192118886 /nfs/dbraw/zinc/11/88/86/192118886.db2.gz XGZKCXVQTBVORH-IVZWLZJFSA-N 0 3 239.281 2.684 20 0 BFADHN C[C@@H](CCc1ccco1)NC(C)(C)CF ZINC000308887664 323180762 /nfs/dbraw/zinc/18/07/62/323180762.db2.gz WKJUSRSMCFEBJO-JTQLQIEISA-N 0 3 213.296 2.938 20 0 BFADHN C[C@@H]1CCN(CCOCC2CCC2)CCS1 ZINC000419303132 192119820 /nfs/dbraw/zinc/11/98/20/192119820.db2.gz HOXZDFDSLGLAEZ-GFCCVEGCSA-N 0 3 243.416 2.631 20 0 BFADHN CC[C@H](C)N(CC)Cc1ccc(C(=O)OC)o1 ZINC000595592433 348278848 /nfs/dbraw/zinc/27/88/48/348278848.db2.gz DSVHLDLLQBPGHQ-JTQLQIEISA-N 0 3 239.315 2.687 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccoc1)C(C)C ZINC000308902465 323180984 /nfs/dbraw/zinc/18/09/84/323180984.db2.gz BQXOXGFGNBGSMX-ZYHUDNBSSA-N 0 3 211.305 2.601 20 0 BFADHN CCC[C@H](N[C@H](C)C(=O)NCC)c1ccccc1 ZINC000035139298 358454634 /nfs/dbraw/zinc/45/46/34/358454634.db2.gz UBGLQQFMIUMSLY-OCCSQVGLSA-N 0 3 248.370 2.642 20 0 BFADHN CC[C@H](F)CN1CCC(OC2CCC2)CC1 ZINC000419300894 192120492 /nfs/dbraw/zinc/12/04/92/192120492.db2.gz JTMIAMOUCQWNOU-NSHDSACASA-N 0 3 229.339 2.768 20 0 BFADHN CC[C@@H](F)CN1CCC(OC2CCC2)CC1 ZINC000419300893 192120531 /nfs/dbraw/zinc/12/05/31/192120531.db2.gz JTMIAMOUCQWNOU-LLVKDONJSA-N 0 3 229.339 2.768 20 0 BFADHN CC[C@@H](NCc1nccc(C)n1)[C@H]1CC1(C)C ZINC000419315494 192120954 /nfs/dbraw/zinc/12/09/54/192120954.db2.gz ONHWLODNOOBMDC-VXGBXAGGSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@H](CN(C)Cc1cc(F)cc(F)c1)OC ZINC000419314859 192121172 /nfs/dbraw/zinc/12/11/72/192121172.db2.gz UUZKLWRTAGJBFX-CYBMUJFWSA-N 0 3 243.297 2.822 20 0 BFADHN CC(C)SCCN1CC2(CCC2)OC[C@H]1C ZINC000595679326 348311100 /nfs/dbraw/zinc/31/11/00/348311100.db2.gz DGDGLJQQZFIRAJ-GFCCVEGCSA-N 0 3 243.416 2.771 20 0 BFADHN Cc1cccnc1[C@@H]1CCC[C@@H](NCCF)C1 ZINC000281670206 179398431 /nfs/dbraw/zinc/39/84/31/179398431.db2.gz QTNKFAZEBXWFAO-CHWSQXEVSA-N 0 3 236.334 2.975 20 0 BFADHN CCC(CC)CN(CC)C[C@H](O)C(F)(F)F ZINC000082680205 348328476 /nfs/dbraw/zinc/32/84/76/348328476.db2.gz ZWYYTIOVMRWTFH-JTQLQIEISA-N 0 3 241.297 2.668 20 0 BFADHN CCSCCN1CCC(c2ccon2)CC1 ZINC000595827355 348364449 /nfs/dbraw/zinc/36/44/49/348364449.db2.gz CYJPKPOLGMQOHV-UHFFFAOYSA-N 0 3 240.372 2.607 20 0 BFADHN COc1ccncc1CN1C[C@H](C)[C@@H](C)[C@H]1C ZINC000419335519 192124569 /nfs/dbraw/zinc/12/45/69/192124569.db2.gz HPIXVFIHPVFFBJ-QJPTWQEYSA-N 0 3 234.343 2.567 20 0 BFADHN CCCCN1CCC(OC)(C(F)(F)F)CC1 ZINC000419332932 192124790 /nfs/dbraw/zinc/12/47/90/192124790.db2.gz RMZXCFLULWUCEW-UHFFFAOYSA-N 0 3 239.281 2.830 20 0 BFADHN COc1ccncc1CN1C[C@H](C)[C@H](C)[C@@H]1C ZINC000419335521 192125087 /nfs/dbraw/zinc/12/50/87/192125087.db2.gz HPIXVFIHPVFFBJ-SRVKXCTJSA-N 0 3 234.343 2.567 20 0 BFADHN COc1ccnc(CN2C[C@H](C)[C@H](C)[C@H]2C)c1 ZINC000419374705 192129522 /nfs/dbraw/zinc/12/95/22/192129522.db2.gz QMKLOMMGFCXFGQ-SDDRHHMPSA-N 0 3 234.343 2.567 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1CC(=O)Nc1ccccc1 ZINC000419395742 192130980 /nfs/dbraw/zinc/13/09/80/192130980.db2.gz REAWQHPNACQSRD-FRRDWIJNSA-N 0 3 246.354 2.601 20 0 BFADHN CC(C)n1ccc(CN2C[C@@H](C)[C@@H](C)[C@H]2C)n1 ZINC000419378488 192132409 /nfs/dbraw/zinc/13/24/09/192132409.db2.gz VQFYKRNWRSFKGS-JHJVBQTASA-N 0 3 235.375 2.940 20 0 BFADHN Cc1nc(C)c(CNCC2(C)CCCC2)o1 ZINC000311734805 349995356 /nfs/dbraw/zinc/99/53/56/349995356.db2.gz FCERJQDMVZXYRT-UHFFFAOYSA-N 0 3 222.332 2.961 20 0 BFADHN CCC(C)(C)NCc1cc(C)c(C(=O)OC)o1 ZINC000603580811 349999463 /nfs/dbraw/zinc/99/94/63/349999463.db2.gz QQRDMLRRXOAUCA-UHFFFAOYSA-N 0 3 239.315 2.653 20 0 BFADHN Cc1ccoc1CN(C)CCC1CCOCC1 ZINC000129678448 179512392 /nfs/dbraw/zinc/51/23/92/179512392.db2.gz OELHYJSLKGYPOW-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN CC(C)=CCNCc1ccc(NC(C)=O)cc1 ZINC000191630029 323191999 /nfs/dbraw/zinc/19/19/99/323191999.db2.gz VCUYMCBCQSYNQR-UHFFFAOYSA-N 0 3 232.327 2.701 20 0 BFADHN CO[C@@H](C)CCN[C@H](C)c1cnc(C)s1 ZINC000389897025 538007849 /nfs/dbraw/zinc/00/78/49/538007849.db2.gz ZSVDFEQXSUUUSV-DTWKUNHWSA-N 0 3 228.361 2.527 20 0 BFADHN COC(=O)c1oc(CNCC(C)(C)C)cc1C ZINC000597047962 348653550 /nfs/dbraw/zinc/65/35/50/348653550.db2.gz WZCZNUMUOWQTSU-UHFFFAOYSA-N 0 3 239.315 2.510 20 0 BFADHN Cc1ccc(SCCNC2CSC2)cc1 ZINC000389925213 538009073 /nfs/dbraw/zinc/00/90/73/538009073.db2.gz VMTOATDEGYONFD-UHFFFAOYSA-N 0 3 239.409 2.792 20 0 BFADHN Cc1cnc(CNCC2CC(F)(F)C2)s1 ZINC000296177550 179604825 /nfs/dbraw/zinc/60/48/25/179604825.db2.gz XPKNOIGKINNOLC-UHFFFAOYSA-N 0 3 232.299 2.586 20 0 BFADHN Cc1cnc(CNC[C@@H](C)C(C)C)s1 ZINC000308523132 179604965 /nfs/dbraw/zinc/60/49/65/179604965.db2.gz VGLKNRZONZEBES-SECBINFHSA-N 0 3 212.362 2.833 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1ccc(F)cc1F ZINC000401925655 348739210 /nfs/dbraw/zinc/73/92/10/348739210.db2.gz RAQQUSOCFMXYON-WCABBAIRSA-N 0 3 241.281 2.793 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)[C@H](C)C2)on1 ZINC000082837215 348820385 /nfs/dbraw/zinc/82/03/85/348820385.db2.gz PCEGXEWXPVPPGH-HOSYDEDBSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@@]1(NCc2ccc(C(F)F)cc2)CCOC1 ZINC000161663947 490208421 /nfs/dbraw/zinc/20/84/21/490208421.db2.gz MQEXLORSEVAFPM-CYBMUJFWSA-N 0 3 241.281 2.893 20 0 BFADHN Cc1cnn(C)c1CN[C@H](C)c1cccs1 ZINC000285471646 179722783 /nfs/dbraw/zinc/72/27/83/179722783.db2.gz NVYFAIRBXBBANC-SNVBAGLBSA-N 0 3 235.356 2.641 20 0 BFADHN CSCCCN(C)Cc1ccnc(C)c1 ZINC000598619200 349002202 /nfs/dbraw/zinc/00/22/02/349002202.db2.gz LSMDMNZFWYFOEN-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN CSCC[C@H](C)N(C)Cc1ccnc(C)c1 ZINC000598597087 348999894 /nfs/dbraw/zinc/99/98/94/348999894.db2.gz VCLAECPUQVFOAC-LBPRGKRZSA-N 0 3 238.400 2.963 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000449568786 201972493 /nfs/dbraw/zinc/97/24/93/201972493.db2.gz DDZOWGKVQXIMJC-NQBHXWOUSA-N 0 3 245.326 2.703 20 0 BFADHN CC(C)N(Cc1cncs1)C1CCOCC1 ZINC000093604739 538023143 /nfs/dbraw/zinc/02/31/43/538023143.db2.gz IMPFYGFOKZTFIN-UHFFFAOYSA-N 0 3 240.372 2.533 20 0 BFADHN CSCCCN[C@H]1CCCc2scnc21 ZINC000599032219 349079555 /nfs/dbraw/zinc/07/95/55/349079555.db2.gz JAXUANOJVIIUNO-VIFPVBQESA-N 0 3 242.413 2.863 20 0 BFADHN COC1([C@H](C)NCc2cccnc2)CCCC1 ZINC000421799879 192384836 /nfs/dbraw/zinc/38/48/36/192384836.db2.gz GAKAXRJSVHPRAJ-LBPRGKRZSA-N 0 3 234.343 2.519 20 0 BFADHN Cc1csc(CNCC2CCSCC2)n1 ZINC000124323187 179776874 /nfs/dbraw/zinc/77/68/74/179776874.db2.gz HTUXYSCVYTWXEN-UHFFFAOYSA-N 0 3 242.413 2.684 20 0 BFADHN Cc1nc(CNC[C@@H]2CCCC23CC3)[nH]c1C ZINC000623825988 349184627 /nfs/dbraw/zinc/18/46/27/349184627.db2.gz PJMLCRZTIPLFFO-LBPRGKRZSA-N 0 3 233.359 2.696 20 0 BFADHN COc1ncc(CNC[C@@H]2CCC[C@@H]2C)s1 ZINC000309384991 490231280 /nfs/dbraw/zinc/23/12/80/490231280.db2.gz RJCRRWXODZFKIU-UWVGGRQHSA-N 0 3 240.372 2.678 20 0 BFADHN C[C@H](NCCCCCO)c1ccncc1Cl ZINC000421855091 192390010 /nfs/dbraw/zinc/39/00/10/192390010.db2.gz MRVXXTONRVZOBD-JTQLQIEISA-N 0 3 242.750 2.548 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1ccncc1Cl ZINC000421860124 192390259 /nfs/dbraw/zinc/39/02/59/192390259.db2.gz UEWDQHQXQJTXEG-OPRDCNLKSA-N 0 3 242.750 2.809 20 0 BFADHN CCc1ncc(CN[C@H]2CCCC[C@H]2C)o1 ZINC000449608199 201982834 /nfs/dbraw/zinc/98/28/34/201982834.db2.gz FXCSYIUZRGVMQU-PWSUYJOCSA-N 0 3 222.332 2.905 20 0 BFADHN Clc1ccccc1CCN1CCCC1 ZINC000058905636 349193553 /nfs/dbraw/zinc/19/35/53/349193553.db2.gz DJEBDTMWEABIFF-UHFFFAOYSA-N 0 3 209.720 2.978 20 0 BFADHN CC(C)(C)OC(=O)[C@@]1(C)CCCN1CC1CC1 ZINC000599494245 349199831 /nfs/dbraw/zinc/19/98/31/349199831.db2.gz GVMAWADPOHGFTB-CQSZACIVSA-N 0 3 239.359 2.593 20 0 BFADHN CCCN1CCC[C@]1(C)C(=O)OC(C)(C)C ZINC000599493736 349203529 /nfs/dbraw/zinc/20/35/29/349203529.db2.gz BDZGLDOTCOMMJP-CYBMUJFWSA-N 0 3 227.348 2.593 20 0 BFADHN CC[C@H](C)N1Cc2ccccc2[C@H](C(=O)OC)C1 ZINC000599664591 349247235 /nfs/dbraw/zinc/24/72/35/349247235.db2.gz DUWFPEWOWKXBRP-SMDDNHRTSA-N 0 3 247.338 2.557 20 0 BFADHN CCCCN1Cc2ccccc2[C@H](C(=O)OC)C1 ZINC000599667313 349247303 /nfs/dbraw/zinc/24/73/03/349247303.db2.gz DBLOZXAAZIMLMW-CQSZACIVSA-N 0 3 247.338 2.559 20 0 BFADHN COC(=O)[C@@H]1C[C@@H](C)N(CC=C(C)C)[C@@H](C)C1 ZINC000599703473 349249448 /nfs/dbraw/zinc/24/94/48/349249448.db2.gz BJOWQFMGENBUMF-CLLJXQQHSA-N 0 3 239.359 2.615 20 0 BFADHN CCC[C@@H](C)NC(=O)c1cccc(CN(C)C)c1 ZINC000618489414 349266146 /nfs/dbraw/zinc/26/61/46/349266146.db2.gz AEUSOFVJCRFERS-GFCCVEGCSA-N 0 3 248.370 2.667 20 0 BFADHN Cc1cc(CNC[C@H](C)CC(C)C)no1 ZINC000300857227 349380384 /nfs/dbraw/zinc/38/03/84/349380384.db2.gz GBIFAWNNAODTGH-SNVBAGLBSA-N 0 3 210.321 2.755 20 0 BFADHN CC[C@H](C)N(C)Cc1cnn(CC(F)(F)F)c1 ZINC000507186515 490267652 /nfs/dbraw/zinc/26/76/52/490267652.db2.gz FSHGYXPZQOJWAN-VIFPVBQESA-N 0 3 249.280 2.676 20 0 BFADHN CCC1(NCc2ccc(C)cn2)CCC1 ZINC000131373091 191012854 /nfs/dbraw/zinc/01/28/54/191012854.db2.gz FTOUGNBFYILHSZ-UHFFFAOYSA-N 0 3 204.317 2.812 20 0 BFADHN COCC(C)(C)CN[C@H](C)c1ccoc1 ZINC000309122007 350036880 /nfs/dbraw/zinc/03/68/80/350036880.db2.gz UPVFITJSSWJUAC-SNVBAGLBSA-N 0 3 211.305 2.603 20 0 BFADHN CCc1nc(CN[C@@H]2CC[C@@H](C)C2)cs1 ZINC000122004285 350058646 /nfs/dbraw/zinc/05/86/46/350058646.db2.gz OCCAIILJVBSJGI-NXEZZACHSA-N 0 3 224.373 2.984 20 0 BFADHN CCCCCN(CC(=O)N[C@H](C)CC)C(C)C ZINC000051709021 350060780 /nfs/dbraw/zinc/06/07/80/350060780.db2.gz DMJFZDXDTWMIPT-CYBMUJFWSA-N 0 3 242.407 2.802 20 0 BFADHN CCCCCN(CC(=O)NCCCC)C(C)C ZINC000051709016 350060818 /nfs/dbraw/zinc/06/08/18/350060818.db2.gz WKEBKAAKTMKBSG-UHFFFAOYSA-N 0 3 242.407 2.803 20 0 BFADHN C[C@@H](NCc1ccc(=O)[nH]c1)c1cccs1 ZINC000392823589 350060950 /nfs/dbraw/zinc/06/09/50/350060950.db2.gz IEZKYKPCSFCHJL-SECBINFHSA-N 0 3 234.324 2.700 20 0 BFADHN C[C@H]1C[C@H](NCc2ccnc3ccccc23)CO1 ZINC000402263812 350101690 /nfs/dbraw/zinc/10/16/90/350101690.db2.gz CFPVSGVRNYQOMB-AAEUAGOBSA-N 0 3 242.322 2.502 20 0 BFADHN CC(C)[C@H]1CCCC[C@@H]1NCc1cc[nH]n1 ZINC000103173441 323245757 /nfs/dbraw/zinc/24/57/57/323245757.db2.gz CKNHOIQLNBUTOZ-OLZOCXBDSA-N 0 3 221.348 2.714 20 0 BFADHN CCOC[C@@H]1CCC[C@@H]1NCc1occc1C ZINC000426333495 191020003 /nfs/dbraw/zinc/02/00/03/191020003.db2.gz HXLYAAVKTKKLAE-STQMWFEESA-N 0 3 237.343 2.883 20 0 BFADHN Cc1nc([C@H](C)NCCCCCCO)cs1 ZINC000224785965 180049865 /nfs/dbraw/zinc/04/98/65/180049865.db2.gz ASSCLMRWMREQHL-JTQLQIEISA-N 0 3 242.388 2.655 20 0 BFADHN Cc1nc([C@H](C)N[C@H]2CC[C@@H]2C)cs1 ZINC000307028309 180051529 /nfs/dbraw/zinc/05/15/29/180051529.db2.gz BKGZZLDBGYTLHP-NRPADANISA-N 0 3 210.346 2.901 20 0 BFADHN Cc1nc([C@H](C)N[C@H]2CCO[C@@H](C)C2)cs1 ZINC000222771946 180051767 /nfs/dbraw/zinc/05/17/67/180051767.db2.gz KINPAEMZKANWBK-QXEWZRGKSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1nnc([C@H]2CCCC[N@@H+]2CC2CCCC2)[n-]1 ZINC000338327173 180055992 /nfs/dbraw/zinc/05/59/92/180055992.db2.gz LQDSFMVSTYXLOE-CYBMUJFWSA-N 0 3 248.374 2.830 20 0 BFADHN Cc1nnc([C@H]2CCCC[N@H+]2CC2CCCC2)[n-]1 ZINC000338327173 180055995 /nfs/dbraw/zinc/05/59/95/180055995.db2.gz LQDSFMVSTYXLOE-CYBMUJFWSA-N 0 3 248.374 2.830 20 0 BFADHN Cc1nocc1CN1CC[C@H](c2ccccc2)C1 ZINC000219530093 350130328 /nfs/dbraw/zinc/13/03/28/350130328.db2.gz QEKBHRHWSWYDPP-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2ccnn2C(C)C)C1 ZINC000410409206 191029184 /nfs/dbraw/zinc/02/91/84/191029184.db2.gz REJDUWFSZCQYMF-CYBMUJFWSA-N 0 3 235.375 2.942 20 0 BFADHN CC(C)[C@H]1CCN(Cc2ccnn2C(C)C)C1 ZINC000410409209 191029433 /nfs/dbraw/zinc/02/94/33/191029433.db2.gz REJDUWFSZCQYMF-ZDUSSCGKSA-N 0 3 235.375 2.942 20 0 BFADHN C[C@H](CO)CN1CC(C)(C)[C@H]1c1cccs1 ZINC000672578820 490339976 /nfs/dbraw/zinc/33/99/76/490339976.db2.gz HTWFUWXGRSNCEH-CMPLNLGQSA-N 0 3 239.384 2.759 20 0 BFADHN CC[C@H](NCC1(C)CCC1)c1nccn1C ZINC000132300976 191030888 /nfs/dbraw/zinc/03/08/88/191030888.db2.gz KMAMJOFUNTZPHZ-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN COC(C)(C)CNCc1cnc(C(C)C)s1 ZINC000133080252 191035942 /nfs/dbraw/zinc/03/59/42/191035942.db2.gz RBDFKGIVNJVQNV-UHFFFAOYSA-N 0 3 242.388 2.781 20 0 BFADHN CC[C@@H](C)CNCc1nccn1CC(C)C ZINC000133571819 191041976 /nfs/dbraw/zinc/04/19/76/191041976.db2.gz UOHHBQBMDHMZLG-GFCCVEGCSA-N 0 3 223.364 2.675 20 0 BFADHN Cc1nc2ccccc2c(N(C)C[C@@H](C)O)c1C ZINC000292263901 180100865 /nfs/dbraw/zinc/10/08/65/180100865.db2.gz IGODIYWNWHARDV-SNVBAGLBSA-N 0 3 244.338 2.669 20 0 BFADHN CCC[C@@H](C)N(C)CC(=O)NCCC(C)(C)C ZINC000532411403 323262485 /nfs/dbraw/zinc/26/24/85/323262485.db2.gz CNKDKQIISAQEGE-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN c1oc2ccccc2c1CN1CCCOCC1 ZINC000334340570 538066928 /nfs/dbraw/zinc/06/69/28/538066928.db2.gz HKEFRTKSDJDWRU-UHFFFAOYSA-N 0 3 231.295 2.655 20 0 BFADHN Cc1ncc(CN(CC2CC2)C2CCC2)cn1 ZINC000352403514 180140184 /nfs/dbraw/zinc/14/01/84/180140184.db2.gz VTTKKQATXQMAAD-UHFFFAOYSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1nc2sccn2c1CN(C)CC1CC1 ZINC000268753556 180117560 /nfs/dbraw/zinc/11/75/60/180117560.db2.gz UAVYEXWFYMYDRF-UHFFFAOYSA-N 0 3 235.356 2.546 20 0 BFADHN Cc1ncc(CN[C@@H](C)CCC(C)C)cn1 ZINC000336669657 180155096 /nfs/dbraw/zinc/15/50/96/180155096.db2.gz MVFGFLKKSPJDBE-NSHDSACASA-N 0 3 221.348 2.699 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC2(C)C)s1 ZINC000284370700 180156138 /nfs/dbraw/zinc/15/61/38/180156138.db2.gz OGALXXJGZGHISN-SNVBAGLBSA-N 0 3 210.346 2.730 20 0 BFADHN CC(=O)Nc1cccc(CN2CCC[C@@H](C)C2)c1 ZINC000101543046 191064678 /nfs/dbraw/zinc/06/46/78/191064678.db2.gz PBFKKAODHINNML-GFCCVEGCSA-N 0 3 246.354 2.877 20 0 BFADHN Cc1ncc(CN[C@H]2CCC23CCC3)s1 ZINC000309215138 180158763 /nfs/dbraw/zinc/15/87/63/180158763.db2.gz UGFPFRFHXHRLPN-NSHDSACASA-N 0 3 222.357 2.874 20 0 BFADHN C[C@H](Cc1ccc(O)cc1)NCc1ccco1 ZINC000105447663 191067743 /nfs/dbraw/zinc/06/77/43/191067743.db2.gz LBPOTFGCXJZWKH-LLVKDONJSA-N 0 3 231.295 2.706 20 0 BFADHN Cc1ncc(CN2C[C@@H](C)C[C@@H]2C)s1 ZINC000336486178 180145393 /nfs/dbraw/zinc/14/53/93/180145393.db2.gz WJWGSLIXDFXDMY-IUCAKERBSA-N 0 3 210.346 2.682 20 0 BFADHN CCCCOCCN1CCc2ccccc2CC1 ZINC000604774064 350186619 /nfs/dbraw/zinc/18/66/19/350186619.db2.gz HYDVVSAVQAJJNG-UHFFFAOYSA-N 0 3 247.382 2.904 20 0 BFADHN Cc1ncc(CNC[C@H](C)C(F)(F)F)s1 ZINC000336761149 180152615 /nfs/dbraw/zinc/15/26/15/180152615.db2.gz MXBJYLBAAKJRCV-LURJTMIESA-N 0 3 238.278 2.740 20 0 BFADHN Cc1csc([C@@H](C)N[C@@H]2CCCOCC2)n1 ZINC000083425835 350207030 /nfs/dbraw/zinc/20/70/30/350207030.db2.gz MIWCMSSWYYNADR-GHMZBOCLSA-N 0 3 240.372 2.671 20 0 BFADHN C[C@H]1CN(Cc2cncc(Cl)c2)C[C@@H]1C ZINC000621260525 350213486 /nfs/dbraw/zinc/21/34/86/350213486.db2.gz HFWJFKRNNKNGJJ-UWVGGRQHSA-N 0 3 224.735 2.823 20 0 BFADHN Cc1nccc(CN2C[C@H](C)C[C@H](C)[C@H]2C)n1 ZINC000353154537 180185631 /nfs/dbraw/zinc/18/56/31/180185631.db2.gz MUAIVKMWFUXAAG-GRYCIOLGSA-N 0 3 233.359 2.651 20 0 BFADHN COc1cc(C)ccc1[C@H](C)N[C@H]1CO[C@@H](C)C1 ZINC000402373615 350226330 /nfs/dbraw/zinc/22/63/30/350226330.db2.gz ZHSHEQAQVNQACN-RWMBFGLXSA-N 0 3 249.354 2.832 20 0 BFADHN Cc1ncccc1CNCCC(C)(F)F ZINC000357754213 180220101 /nfs/dbraw/zinc/22/01/01/180220101.db2.gz ZIHZXZMYYOEFMK-UHFFFAOYSA-N 0 3 214.259 2.525 20 0 BFADHN Cc1ncccc1CNC[C@H]1CCCCS1 ZINC000309295452 180223224 /nfs/dbraw/zinc/22/32/24/180223224.db2.gz XAGBLEGMDBSYNE-CYBMUJFWSA-N 0 3 236.384 2.765 20 0 BFADHN Cc1ncccc1CNCc1ccccc1 ZINC000075622006 180223392 /nfs/dbraw/zinc/22/33/92/180223392.db2.gz QUBQWTGUQXOMLU-UHFFFAOYSA-N 0 3 212.296 2.680 20 0 BFADHN CCOC1(CNCc2ccnc(C)c2)CCCC1 ZINC000623532900 362952544 /nfs/dbraw/zinc/95/25/44/362952544.db2.gz LAQVBZBRMSBMHR-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN Cc1nccn1CCN(C)[C@@H](C)c1cccs1 ZINC000270554800 180249568 /nfs/dbraw/zinc/24/95/68/180249568.db2.gz CLTUDALLWPDOTI-NSHDSACASA-N 0 3 249.383 2.946 20 0 BFADHN Cc1nccn1CCN1Cc2ccccc2[C@H]1C ZINC000336343644 180254365 /nfs/dbraw/zinc/25/43/65/180254365.db2.gz XMVXTIQQABEPRP-GFCCVEGCSA-N 0 3 241.338 2.768 20 0 BFADHN Cc1nccn1CCN1CC[C@H]1c1ccccc1 ZINC000336693706 180256355 /nfs/dbraw/zinc/25/63/55/180256355.db2.gz DAFHFAWAJKKCAE-HNNXBMFYSA-N 0 3 241.338 2.639 20 0 BFADHN Cc1nccn1CCN1Cc2ccccc2[C@@H]1C ZINC000336343645 180256780 /nfs/dbraw/zinc/25/67/80/180256780.db2.gz XMVXTIQQABEPRP-LBPRGKRZSA-N 0 3 241.338 2.768 20 0 BFADHN Cc1nccn1CCNCc1ccc(Cl)cc1 ZINC000049329981 180260651 /nfs/dbraw/zinc/26/06/51/180260651.db2.gz ZENBZQNSJDKSOL-UHFFFAOYSA-N 0 3 249.745 2.635 20 0 BFADHN CN(C)c1ccc(CN(C)CC(C)(C)C)cn1 ZINC000125504142 490438134 /nfs/dbraw/zinc/43/81/34/490438134.db2.gz LKQNRQVPPYVZIR-UHFFFAOYSA-N 0 3 235.375 2.626 20 0 BFADHN C[C@H](CNCc1cc[nH]n1)C1CCCCC1 ZINC000309305882 350274288 /nfs/dbraw/zinc/27/42/88/350274288.db2.gz ATFWMNCGPRMURJ-LLVKDONJSA-N 0 3 221.348 2.716 20 0 BFADHN COc1cccc(CNC[C@H](C)C2CC2)c1F ZINC000125402189 490430213 /nfs/dbraw/zinc/43/02/13/490430213.db2.gz WDHUGVMBVLDHFN-JTQLQIEISA-N 0 3 237.318 2.970 20 0 BFADHN C[C@H](CNc1nc2ccccc2s1)N(C)C ZINC000037985923 350295654 /nfs/dbraw/zinc/29/56/54/350295654.db2.gz JRAGSENNFAAXPN-SECBINFHSA-N 0 3 235.356 2.658 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000427851277 191094403 /nfs/dbraw/zinc/09/44/03/191094403.db2.gz RQRZGXFGZABYJE-RYUDHWBXSA-N 0 3 239.363 2.540 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCCC(C)(F)F ZINC000297330661 180280218 /nfs/dbraw/zinc/28/02/18/180280218.db2.gz NXSIACSQAZBTEA-SECBINFHSA-N 0 3 243.301 2.871 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@H]1CC[C@H](C)C1 ZINC000300529262 180283719 /nfs/dbraw/zinc/28/37/19/180283719.db2.gz MUSYHULHPLUNHW-DRZSPHRISA-N 0 3 233.359 2.872 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CC12CCCC2 ZINC000336298427 180284270 /nfs/dbraw/zinc/28/42/70/180284270.db2.gz LWRBGOXARUGJCS-NWDGAFQWSA-N 0 3 231.343 2.768 20 0 BFADHN Cc1ncsc1CCN[C@H](C)c1ccco1 ZINC000050284823 180311577 /nfs/dbraw/zinc/31/15/77/180311577.db2.gz XCJFVFSLOARAAD-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN COCCCN1CCc2cccc(F)c2[C@H]1C ZINC000606450907 350339498 /nfs/dbraw/zinc/33/94/98/350339498.db2.gz WVDBUUIQNKMXQW-LLVKDONJSA-N 0 3 237.318 2.781 20 0 BFADHN Cc1ncsc1CCN(C)Cc1ccoc1 ZINC000336703738 180306655 /nfs/dbraw/zinc/30/66/55/180306655.db2.gz OMDXKALWVBMFIG-UHFFFAOYSA-N 0 3 236.340 2.719 20 0 BFADHN CCCN(C)[C@H](C)C(=O)Nc1cccc(C)c1 ZINC000069092731 350328270 /nfs/dbraw/zinc/32/82/70/350328270.db2.gz MHKPFSLVCHKNPV-GFCCVEGCSA-N 0 3 234.343 2.664 20 0 BFADHN CC(C)(C)CNCc1cnc2ccccc2n1 ZINC000040492950 350369708 /nfs/dbraw/zinc/36/97/08/350369708.db2.gz RFNVGQQNQDIVDQ-UHFFFAOYSA-N 0 3 229.327 2.766 20 0 BFADHN COc1ccc(CN(C)[C@@H]2CC2(C)C)cc1O ZINC000426558718 191097740 /nfs/dbraw/zinc/09/77/40/191097740.db2.gz HLUSQFBDZNJYAY-CYBMUJFWSA-N 0 3 235.327 2.631 20 0 BFADHN Cc1nocc1CN[C@@H]1CC(C)(C)C[C@@H]1C ZINC000397924741 362955079 /nfs/dbraw/zinc/95/50/79/362955079.db2.gz RSHJCRULKDGAPD-JOYOIKCWSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ncsc1CN[C@H]1CCC1(C)C ZINC000284321654 180324130 /nfs/dbraw/zinc/32/41/30/180324130.db2.gz LVOHKNRLYJABQQ-JTQLQIEISA-N 0 3 210.346 2.730 20 0 BFADHN CCO[C@H]1C[C@H](NCc2cnccc2C)C1(C)C ZINC000162696952 350417068 /nfs/dbraw/zinc/41/70/68/350417068.db2.gz JKFANWGQMUCGBA-KBPBESRZSA-N 0 3 248.370 2.683 20 0 BFADHN CC[C@H](CCO)NCc1cc(Cl)ccc1F ZINC000162705602 350418424 /nfs/dbraw/zinc/41/84/24/350418424.db2.gz WIICLPVDZGXHEP-LLVKDONJSA-N 0 3 245.725 2.730 20 0 BFADHN c1cc([C@@H]2CCCN2CCOCC2CC2)ccn1 ZINC000162746068 350422879 /nfs/dbraw/zinc/42/28/79/350422879.db2.gz CMEJTSCVLBDAKR-HNNXBMFYSA-N 0 3 246.354 2.645 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCC(C)(C)CC1 ZINC000335069140 180338806 /nfs/dbraw/zinc/33/88/06/180338806.db2.gz APIDRIOKWJPVIO-UHFFFAOYSA-N 0 3 235.375 2.659 20 0 BFADHN CCN(Cc1cnc(C)cn1)CC(C)(C)C ZINC000336674371 350432469 /nfs/dbraw/zinc/43/24/69/350432469.db2.gz UNOLRYHDPLXGNL-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)CCC[C@@H](C)O ZINC000336689171 350445066 /nfs/dbraw/zinc/44/50/66/350445066.db2.gz HECNYBLHUXWIIE-OCCSQVGLSA-N 0 3 236.359 2.626 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@@H]1C)c1nccn1C ZINC000070007342 350490353 /nfs/dbraw/zinc/49/03/53/350490353.db2.gz OOMGKTFUKAGPLG-SDDRHHMPSA-N 0 3 221.348 2.649 20 0 BFADHN Fc1ccc(CNCCC(F)(F)F)cc1 ZINC000059100407 350490500 /nfs/dbraw/zinc/49/05/00/350490500.db2.gz FZEDAAVDOKMXNE-UHFFFAOYSA-N 0 3 221.197 2.868 20 0 BFADHN C[C@H](N[C@@H](C)C1CCCC1)c1nccn1C ZINC000070008517 350491944 /nfs/dbraw/zinc/49/19/44/350491944.db2.gz XELBDJFYLXQOJL-QWRGUYRKSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCCC1)c1nccn1C ZINC000070008520 350492069 /nfs/dbraw/zinc/49/20/69/350492069.db2.gz XELBDJFYLXQOJL-WDEREUQCSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NC1CC(C)(C)C1 ZINC000335603035 180379740 /nfs/dbraw/zinc/37/97/40/180379740.db2.gz HGLXLNIXXWJHLW-SECBINFHSA-N 0 3 221.348 2.568 20 0 BFADHN Cc1nc2c(s1)[C@H](N[C@H]1CC13CC3)CCC2 ZINC000335276652 538094188 /nfs/dbraw/zinc/09/41/88/538094188.db2.gz HHKOFBMNBDGTNR-MNOVXSKESA-N 0 3 234.368 2.971 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1ccccc1C ZINC000309446573 350498707 /nfs/dbraw/zinc/49/87/07/350498707.db2.gz JXJUXGDHALUGSO-MRVWCRGKSA-N 0 3 219.328 2.823 20 0 BFADHN Cc1nc2c(s1)[C@@H](N[C@@H]1CC13CC3)CCC2 ZINC000335276658 538094418 /nfs/dbraw/zinc/09/44/18/538094418.db2.gz HHKOFBMNBDGTNR-WDEREUQCSA-N 0 3 234.368 2.971 20 0 BFADHN Cc1cc(C)cc(CNCCOC(C)C)c1 ZINC000134114217 191107502 /nfs/dbraw/zinc/10/75/02/191107502.db2.gz FQIZMSCDTMPADX-UHFFFAOYSA-N 0 3 221.344 2.818 20 0 BFADHN C[C@H](CCCC(F)(F)F)NCc1nccn1C ZINC000163425177 350522216 /nfs/dbraw/zinc/52/22/16/350522216.db2.gz USAGGXZLIIJQQK-SECBINFHSA-N 0 3 249.280 2.631 20 0 BFADHN C[C@H](C[C@H](C)O)NCc1cc(Cl)ccc1F ZINC000163531189 350525960 /nfs/dbraw/zinc/52/59/60/350525960.db2.gz TYDHXGAGMBTLIS-BDAKNGLRSA-N 0 3 245.725 2.728 20 0 BFADHN CCCC[C@@H](C)C(=O)N(CCC)CCN(C)C ZINC000337282678 350568688 /nfs/dbraw/zinc/56/86/88/350568688.db2.gz XPTZYDKELGRJQL-CYBMUJFWSA-N 0 3 242.407 2.613 20 0 BFADHN CC[C@@H](N[C@@H](C)COC(C)C)c1ccncc1 ZINC000337340718 350575319 /nfs/dbraw/zinc/57/53/19/350575319.db2.gz PPKDGSMYPYIPOG-GXTWGEPZSA-N 0 3 236.359 2.936 20 0 BFADHN CO[C@H](c1ccccc1)[C@H](C)N[C@H]1CO[C@H](C)C1 ZINC000577513099 365975832 /nfs/dbraw/zinc/97/58/32/365975832.db2.gz VUSARDLXCRNBFP-OSRDXIQISA-N 0 3 249.354 2.530 20 0 BFADHN CCCN(Cc1cnn(CCC)c1)C(C)C ZINC000577510789 365976366 /nfs/dbraw/zinc/97/63/66/365976366.db2.gz PWOKVDBONPRTCQ-UHFFFAOYSA-N 0 3 223.364 2.914 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@@H]2CC2(F)F)ccn1 ZINC000623550271 362970273 /nfs/dbraw/zinc/97/02/73/362970273.db2.gz HEHFZYPSLCSMSL-ONGXEEELSA-N 0 3 226.270 2.523 20 0 BFADHN COc1ccccc1OCCN1CCC[C@H](C)C1 ZINC000051150752 350608377 /nfs/dbraw/zinc/60/83/77/350608377.db2.gz JCWKGSKRRMHBNP-ZDUSSCGKSA-N 0 3 249.354 2.806 20 0 BFADHN Cc1nnsc1CNC[C@H]1CCC[C@@H](C)C1 ZINC000336691539 180457236 /nfs/dbraw/zinc/45/72/36/180457236.db2.gz WWTVMZATAWOPRG-KOLCDFICSA-N 0 3 239.388 2.762 20 0 BFADHN C/C=C/CNCc1ccc(OCC)cc1 ZINC000384927479 350645740 /nfs/dbraw/zinc/64/57/40/350645740.db2.gz RYEWFCLDRWKIDA-HWKANZROSA-N 0 3 205.301 2.751 20 0 BFADHN CC[C@@H](N[C@H]1CCCOC1)c1ccccc1OC ZINC000112794162 350674075 /nfs/dbraw/zinc/67/40/75/350674075.db2.gz BOSZUXQVYLTGJY-GXTWGEPZSA-N 0 3 249.354 2.915 20 0 BFADHN COC1(CNCc2ccc(C)c(C)n2)CCCC1 ZINC000320277710 350692580 /nfs/dbraw/zinc/69/25/80/350692580.db2.gz MMPGUMHVFSRVQD-UHFFFAOYSA-N 0 3 248.370 2.747 20 0 BFADHN CC/C=C\CNCc1ccc(OC)cc1OC ZINC000384985898 350698868 /nfs/dbraw/zinc/69/88/68/350698868.db2.gz ZSEJYVNKDZKPAK-WAYWQWQTSA-N 0 3 235.327 2.760 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCCC(F)(F)C2)o1 ZINC000397980747 362976354 /nfs/dbraw/zinc/97/63/54/362976354.db2.gz MJMYAXPZXDNBNH-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN COCCCN1CCc2sccc2[C@H]1C ZINC000051425551 350742225 /nfs/dbraw/zinc/74/22/25/350742225.db2.gz COZMQYLIGDKSRT-SNVBAGLBSA-N 0 3 225.357 2.704 20 0 BFADHN c1nc(CN2C[C@@H]3CCCC[C@H]3C2)cs1 ZINC000177522735 366004988 /nfs/dbraw/zinc/00/49/88/366004988.db2.gz JOJQYTXLZPULHD-QWRGUYRKSA-N 0 3 222.357 2.765 20 0 BFADHN CC[C@@H](N[C@H](C)COC)c1ccc(F)cc1 ZINC000019904761 350840183 /nfs/dbraw/zinc/84/01/83/350840183.db2.gz DIKXFAUPSFEVAP-ZWNOBZJWSA-N 0 3 225.307 2.901 20 0 BFADHN COc1cccc2c1CC[C@H]2NCc1cc[nH]c1 ZINC000177600989 366011497 /nfs/dbraw/zinc/01/14/97/366011497.db2.gz ZZSPNGYPNYTDKI-CQSZACIVSA-N 0 3 242.322 2.800 20 0 BFADHN CCCC[C@@H](C)[C@H](C)NCc1ccn(C)n1 ZINC000336681773 350906285 /nfs/dbraw/zinc/90/62/85/350906285.db2.gz DBJCUZXEKDUXON-NEPJUHHUSA-N 0 3 223.364 2.725 20 0 BFADHN C/C=C\CNC/C=C/c1ccccc1OC ZINC000623738921 350882346 /nfs/dbraw/zinc/88/23/46/350882346.db2.gz BMHTWJMBZVIZKC-GAWLIRPZSA-N 0 3 217.312 2.874 20 0 BFADHN C/C=C\CNCc1cccc(OC(F)F)c1 ZINC000623739066 350883315 /nfs/dbraw/zinc/88/33/15/350883315.db2.gz HMHVTTKKDXXABQ-IHWYPQMZSA-N 0 3 227.254 2.954 20 0 BFADHN C[C@H]1CSC[C@H]1Nc1ccnc2ccccc21 ZINC000397951325 350994726 /nfs/dbraw/zinc/99/47/26/350994726.db2.gz ZRKSSYMUYOREPO-IINYFYTJSA-N 0 3 244.363 2.820 20 0 BFADHN C[C@@H]1CSC[C@H]1Nc1ccnc2ccccc21 ZINC000397951327 350995001 /nfs/dbraw/zinc/99/50/01/350995001.db2.gz ZRKSSYMUYOREPO-QMTHXVAHSA-N 0 3 244.363 2.820 20 0 BFADHN C=Cn1cc(CN(C)CCc2cccs2)cn1 ZINC000194010001 191129697 /nfs/dbraw/zinc/12/96/97/191129697.db2.gz AKTZIAKTIWANFY-UHFFFAOYSA-N 0 3 247.367 2.720 20 0 BFADHN C/C=C\CNCc1cnn(-c2ccccc2C)c1 ZINC000623739884 351049614 /nfs/dbraw/zinc/04/96/14/351049614.db2.gz JFTRCANJAKXJBP-ARJAWSKDSA-N 0 3 241.338 2.846 20 0 BFADHN Cc1nocc1CN[C@@H](C)[C@@H]1CC1(C)C ZINC000398051985 362994091 /nfs/dbraw/zinc/99/40/91/362994091.db2.gz GCQBBLZAYCKTTA-ONGXEEELSA-N 0 3 208.305 2.507 20 0 BFADHN CCN(CC)C(=O)CN(CC)C1CCCCC1 ZINC000052873592 351075789 /nfs/dbraw/zinc/07/57/89/351075789.db2.gz KTIKPHBSNILZEC-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1ncc(CN2CC[C@@H]3CCCC[C@@H]32)o1 ZINC000628321667 351183662 /nfs/dbraw/zinc/18/36/62/351183662.db2.gz VJWOGSWSCSXLJF-AAEUAGOBSA-N 0 3 220.316 2.748 20 0 BFADHN CC1(C)C[C@@H]1NCC1=Cc2ccccc2OC1 ZINC000263804585 351187996 /nfs/dbraw/zinc/18/79/96/351187996.db2.gz LAVYSBUFFWBNKX-AWEZNQCLSA-N 0 3 229.323 2.851 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](c1cccnc1)C(C)C ZINC000571626759 351128373 /nfs/dbraw/zinc/12/83/73/351128373.db2.gz CEMZYLRCKPXGRA-MGPQQGTHSA-N 0 3 234.343 2.546 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](c1cccnc1)C(C)C ZINC000571626758 351128381 /nfs/dbraw/zinc/12/83/81/351128381.db2.gz CEMZYLRCKPXGRA-MCIONIFRSA-N 0 3 234.343 2.546 20 0 BFADHN CCC[C@H](N[C@@H](CC)CO)c1cc(C)ccn1 ZINC000631619994 351211633 /nfs/dbraw/zinc/21/16/33/351211633.db2.gz ACTPBTUKRGPYFF-STQMWFEESA-N 0 3 236.359 2.592 20 0 BFADHN C[C@]1(CNCc2cnc(C3CC3)o2)CC1(F)F ZINC000628339602 351282956 /nfs/dbraw/zinc/28/29/56/351282956.db2.gz RJYNJVZDUWPMFI-LLVKDONJSA-N 0 3 242.269 2.687 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000628339229 351283052 /nfs/dbraw/zinc/28/30/52/351283052.db2.gz ITPVDCPCSLMBSI-MDZLAQPJSA-N 0 3 220.316 2.509 20 0 BFADHN CC[C@](C)(NCc1oc(C)nc1C)C1CC1 ZINC000628341563 351285424 /nfs/dbraw/zinc/28/54/24/351285424.db2.gz OCBJXFXDFUITAC-ZDUSSCGKSA-N 0 3 222.332 2.960 20 0 BFADHN CC(C)(F)CCNCc1cnc2ccccc2n1 ZINC000628338937 351286284 /nfs/dbraw/zinc/28/62/84/351286284.db2.gz ADVJKCWRZLOZKB-UHFFFAOYSA-N 0 3 247.317 2.858 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1ccncc1Cl ZINC000379954011 351299908 /nfs/dbraw/zinc/29/99/08/351299908.db2.gz UTNLYEHDEWZDAB-GZMMTYOYSA-N 0 3 242.775 2.719 20 0 BFADHN CCCCC(=O)Nc1ccccc1CNC ZINC000036280883 358461701 /nfs/dbraw/zinc/46/17/01/358461701.db2.gz BKVJASWEAZCVAG-UHFFFAOYSA-N 0 3 220.316 2.535 20 0 BFADHN CC(C)n1ncnc1CN[C@H](C)CCC1CC1 ZINC000398144265 363019191 /nfs/dbraw/zinc/01/91/91/363019191.db2.gz BWGXSRDBKABJTF-LLVKDONJSA-N 0 3 236.363 2.527 20 0 BFADHN C[C@H](N[C@@H](C)C1CC(F)(F)C1)c1nccn1C ZINC000628352189 351315168 /nfs/dbraw/zinc/31/51/68/351315168.db2.gz ACTCBZWDYXVECI-IUCAKERBSA-N 0 3 243.301 2.505 20 0 BFADHN Cc1cc(CNC(C)(C)C2CCC2)on1 ZINC000398154321 363020663 /nfs/dbraw/zinc/02/06/63/363020663.db2.gz OPUVMVDTTYGJQI-UHFFFAOYSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CNC2(C)CCCCC2)on1 ZINC000380146179 351365408 /nfs/dbraw/zinc/36/54/08/351365408.db2.gz ZNANKTGGKNUQBP-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN OCCCN(Cc1cccc(Cl)c1)C1CC1 ZINC000404315192 351366670 /nfs/dbraw/zinc/36/66/70/351366670.db2.gz UJVPOZNEKRKPTJ-UHFFFAOYSA-N 0 3 239.746 2.687 20 0 BFADHN ClC1(Cl)C[C@H]1CNc1ccncc1 ZINC000306947091 351373113 /nfs/dbraw/zinc/37/31/13/351373113.db2.gz OIYLKTSEQGRIPT-ZETCQYMHSA-N 0 3 217.099 2.687 20 0 BFADHN CCN(Cc1cccc2c1OCCCO2)C1CC1 ZINC000271025764 351395182 /nfs/dbraw/zinc/39/51/82/351395182.db2.gz AAHZYCMRCKSFTI-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN CC[C@@H](N[C@H](C)CCO)c1cc(F)ccc1F ZINC000268997950 351345093 /nfs/dbraw/zinc/34/50/93/351345093.db2.gz IRZYCQKUIGEGKX-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN CCC[C@@H](CNCc1cccc(O)c1)OCC ZINC000623598297 363034602 /nfs/dbraw/zinc/03/46/02/363034602.db2.gz YEOBYJYZWTZELZ-AWEZNQCLSA-N 0 3 237.343 2.687 20 0 BFADHN CCC[C@@H](CNCc1cnccc1C)OCC ZINC000623599888 363038250 /nfs/dbraw/zinc/03/82/50/363038250.db2.gz UOLUWPBFDHHMNQ-AWEZNQCLSA-N 0 3 236.359 2.685 20 0 BFADHN CCC[C@H](CNCc1conc1CC)OCC ZINC000623599928 363038639 /nfs/dbraw/zinc/03/86/39/363038639.db2.gz VSNNERRIXYFFSQ-GFCCVEGCSA-N 0 3 240.347 2.532 20 0 BFADHN CC(C)C[C@H](C)CN1CC[C@H](C)[S@@](=O)CC1 ZINC000337226317 191137024 /nfs/dbraw/zinc/13/70/24/191137024.db2.gz FVCDDMQCHLLTDE-XEZPLFJOSA-N 0 3 245.432 2.512 20 0 BFADHN CC(C)C[C@H](NCC1OCCO1)c1ccccc1 ZINC000272319721 351468873 /nfs/dbraw/zinc/46/88/73/351468873.db2.gz YLURJJPUDHJUFN-AWEZNQCLSA-N 0 3 249.354 2.736 20 0 BFADHN CCC[C@@H](CNCc1cccnc1C)OCC ZINC000623599002 363036358 /nfs/dbraw/zinc/03/63/58/363036358.db2.gz UUHMJHMALGYMJJ-AWEZNQCLSA-N 0 3 236.359 2.685 20 0 BFADHN CC(C)[C@@H](C)N(Cc1cnc(N)s1)C1CC1 ZINC000261595735 535162297 /nfs/dbraw/zinc/16/22/97/535162297.db2.gz YJKLBHFFDORDLN-SECBINFHSA-N 0 3 239.388 2.734 20 0 BFADHN CCCC[C@H](C)N[C@@H](CO)c1ccsc1 ZINC000336727964 351547382 /nfs/dbraw/zinc/54/73/82/351547382.db2.gz HQOCRYYHSKWWNN-JQWIXIFHSA-N 0 3 227.373 2.950 20 0 BFADHN C1=CCC(CNCc2nc3c(s2)CCC3)C1 ZINC000623613208 363052745 /nfs/dbraw/zinc/05/27/45/363052745.db2.gz FGCJBQZMEZADKG-UHFFFAOYSA-N 0 3 234.368 2.688 20 0 BFADHN CC(C)CN(Cc1cncc(F)c1)C1CC1 ZINC000275125811 351593414 /nfs/dbraw/zinc/59/34/14/351593414.db2.gz KJCPIFXZUSPDFN-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN CCCN(CC)Cc1ccnn1CC1CCC1 ZINC000637814277 351658427 /nfs/dbraw/zinc/65/84/27/351658427.db2.gz WSLBJAPKKXGKST-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCc1nc(CN[C@@H]2CC[C@H]2CC)cs1 ZINC000336731576 351622152 /nfs/dbraw/zinc/62/21/52/351622152.db2.gz KUXBDRNUMIMEQF-MWLCHTKSSA-N 0 3 224.373 2.984 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCCS2)nc1 ZINC000088135582 351624475 /nfs/dbraw/zinc/62/44/75/351624475.db2.gz HREMYQPNBCULFQ-CYBMUJFWSA-N 0 3 236.384 2.765 20 0 BFADHN Cc1nc(CNC[C@@H]2CC3CCC2CC3)[nH]c1C ZINC000577651590 366032201 /nfs/dbraw/zinc/03/22/01/366032201.db2.gz LHIMSVPYRSINLI-RUXDESIVSA-N 0 3 247.386 2.942 20 0 BFADHN CCCN(CCC)Cc1cnc(OC)s1 ZINC000637832866 351682399 /nfs/dbraw/zinc/68/23/99/351682399.db2.gz LOSFJRADCSRVLQ-UHFFFAOYSA-N 0 3 228.361 2.774 20 0 BFADHN CCCN(CCC)Cc1c2c(nn1C)CCCC2 ZINC000637833829 351688198 /nfs/dbraw/zinc/68/81/98/351688198.db2.gz YFLBHQWUPPPKHC-UHFFFAOYSA-N 0 3 249.402 2.921 20 0 BFADHN CC[C@@](C)(CN1CCn2cccc2[C@H]1C)OC ZINC000637841200 351697172 /nfs/dbraw/zinc/69/71/72/351697172.db2.gz HWVCOFMVTYOEPO-OCCSQVGLSA-N 0 3 236.359 2.680 20 0 BFADHN Cc1nn(C)c(C)c1CCN[C@H](C)c1ccoc1 ZINC000177748428 366060728 /nfs/dbraw/zinc/06/07/28/366060728.db2.gz DXZBSFCDAHECFO-SNVBAGLBSA-N 0 3 247.342 2.523 20 0 BFADHN CC(C)CC[C@H](N)C(=O)Nc1cccc(F)c1 ZINC000637871301 351737737 /nfs/dbraw/zinc/73/77/37/351737737.db2.gz PGEWCBGSKKRXDC-LBPRGKRZSA-N 0 3 238.306 2.528 20 0 BFADHN CO[C@](C)(CN(C)Cc1ccsc1)C1CC1 ZINC000637872911 351739067 /nfs/dbraw/zinc/73/90/67/351739067.db2.gz AVAHBKWMEKZEHC-CYBMUJFWSA-N 0 3 239.384 2.995 20 0 BFADHN CN(CCC[C@@H]1CCO1)Cc1ccccc1F ZINC000637851099 351709597 /nfs/dbraw/zinc/70/95/97/351709597.db2.gz FDUVFJRYCKBWJX-CYBMUJFWSA-N 0 3 237.318 2.827 20 0 BFADHN CCCN(Cc1c2c(nn1C)CCCC2)C1CC1 ZINC000637905773 351778636 /nfs/dbraw/zinc/77/86/36/351778636.db2.gz UGNYORPQZVPWAS-UHFFFAOYSA-N 0 3 247.386 2.673 20 0 BFADHN COc1ncc(CN2CCC[C@@H]2C(C)C)s1 ZINC000637908391 351781652 /nfs/dbraw/zinc/78/16/52/351781652.db2.gz FTDFNKPXBOPYAV-LLVKDONJSA-N 0 3 240.372 2.772 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1ccns1 ZINC000637908769 351782553 /nfs/dbraw/zinc/78/25/53/351782553.db2.gz KARXGQDEPLPAJS-NSHDSACASA-N 0 3 210.346 2.764 20 0 BFADHN CCN(Cc1cncc(OC)c1C)CC(C)C ZINC000637911374 351785003 /nfs/dbraw/zinc/78/50/03/351785003.db2.gz UUTFZRUDMLAPJC-UHFFFAOYSA-N 0 3 236.359 2.877 20 0 BFADHN CC[C@H](C)N(C)[C@@H](c1nccn1C)C1CC1 ZINC000637911865 351785436 /nfs/dbraw/zinc/78/54/36/351785436.db2.gz OKJZTEZYRYACDE-CMPLNLGQSA-N 0 3 221.348 2.602 20 0 BFADHN CC[C@H](C)N(C)Cc1c2c(nn1C)CCCC2 ZINC000637912094 351785980 /nfs/dbraw/zinc/78/59/80/351785980.db2.gz RMWNWXCRGPQGGR-NSHDSACASA-N 0 3 235.375 2.529 20 0 BFADHN CC[C@@H](C)N(C)[C@H](c1nccn1C)C1CC1 ZINC000637911867 351786020 /nfs/dbraw/zinc/78/60/20/351786020.db2.gz OKJZTEZYRYACDE-PWSUYJOCSA-N 0 3 221.348 2.602 20 0 BFADHN CC[C@H](C)N(C)[C@@H](C)c1cnc(C)nc1C ZINC000637912119 351786037 /nfs/dbraw/zinc/78/60/37/351786037.db2.gz SETWAHRKMAHTMS-ONGXEEELSA-N 0 3 221.348 2.885 20 0 BFADHN c1ccc([C@H]2CCCN2CCC[C@H]2CCO2)nc1 ZINC000637914053 351788554 /nfs/dbraw/zinc/78/85/54/351788554.db2.gz WJGCKLGEQPVBLK-DZGCQCFKSA-N 0 3 246.354 2.788 20 0 BFADHN CCN[C@H](C)C(=O)N(C)[C@@H](C)c1ccccc1C ZINC000637917062 351793689 /nfs/dbraw/zinc/79/36/89/351793689.db2.gz JXPMYNWOQKPEPQ-OLZOCXBDSA-N 0 3 248.370 2.512 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1ccnn1CC1CCC1 ZINC000637919232 351793855 /nfs/dbraw/zinc/79/38/55/351793855.db2.gz GCQJZPHZCGPWLT-LBPRGKRZSA-N 0 3 247.386 2.914 20 0 BFADHN CCN[C@H](C)C(=O)N(C)[C@H](C)c1ccccc1C ZINC000637917061 351794246 /nfs/dbraw/zinc/79/42/46/351794246.db2.gz JXPMYNWOQKPEPQ-CHWSQXEVSA-N 0 3 248.370 2.512 20 0 BFADHN C[C@@H](C1CC1)N(C)[C@@H](c1nccn1C)C1CC1 ZINC000637920593 351795100 /nfs/dbraw/zinc/79/51/00/351795100.db2.gz OEXXDTUOGSMHEF-GXFFZTMASA-N 0 3 233.359 2.602 20 0 BFADHN Cc1ncc([C@@H](C)N2C3CCC2CC3)c(C)n1 ZINC000637887523 351755242 /nfs/dbraw/zinc/75/52/42/351755242.db2.gz UYMVSZPDPGLCMG-QFWMXSHPSA-N 0 3 231.343 2.781 20 0 BFADHN CC[C@H](C)C[C@@H](C)NC(=O)C1(N)CCCCC1 ZINC000088300514 351757336 /nfs/dbraw/zinc/75/73/36/351757336.db2.gz POEHTPPWLGLZSP-NWDGAFQWSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1cc(C)c(/C=C/CN2CC[C@@H](O)C2)cc1C ZINC000637890725 351762459 /nfs/dbraw/zinc/76/24/59/351762459.db2.gz UQTJJSKXLBYLOY-DWTRPJMMSA-N 0 3 245.366 2.692 20 0 BFADHN CC(C)CC[C@H](O)CN[C@H](C)c1cccnc1 ZINC000280123790 351834263 /nfs/dbraw/zinc/83/42/63/351834263.db2.gz ACNBRMSUUPFAJL-OCCSQVGLSA-N 0 3 236.359 2.529 20 0 BFADHN COc1cncc(CN(C)CC(C)(C)C)c1C ZINC000637961040 351835110 /nfs/dbraw/zinc/83/51/10/351835110.db2.gz USGJEFWDZHQASP-UHFFFAOYSA-N 0 3 236.359 2.877 20 0 BFADHN CCN[C@@H](C)C(=O)N1CC[C@@H](C)c2ccccc21 ZINC000637933438 351810512 /nfs/dbraw/zinc/81/05/12/351810512.db2.gz MRACQGOUSDKZAG-NEPJUHHUSA-N 0 3 246.354 2.525 20 0 BFADHN COc1cccc(C)c1CN1CCC[C@H](OC)C1 ZINC000637939277 351816651 /nfs/dbraw/zinc/81/66/51/351816651.db2.gz HBCWDMSJRBTDEM-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN CNC(=O)c1cccc(CN(C)CC(C)(C)C)c1 ZINC000072962046 351817522 /nfs/dbraw/zinc/81/75/22/351817522.db2.gz ZSSSWDQDKPIQKA-UHFFFAOYSA-N 0 3 248.370 2.524 20 0 BFADHN CC(C)CC[C@H](O)CN[C@H](C)c1ccccn1 ZINC000280306800 351839720 /nfs/dbraw/zinc/83/97/20/351839720.db2.gz OBXILSKRUMHVLP-OLZOCXBDSA-N 0 3 236.359 2.529 20 0 BFADHN CCN(Cc1cnn(C)c1)CC1(C(C)C)CC1 ZINC000637968837 351842051 /nfs/dbraw/zinc/84/20/51/351842051.db2.gz HJMQIAJITSSXBH-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN CCN[C@H](C)C(=O)Nc1cccc(C(F)F)c1 ZINC000637974230 351849275 /nfs/dbraw/zinc/84/92/75/351849275.db2.gz KXFFIPJAVUFHHJ-MRVPVSSYSA-N 0 3 242.269 2.561 20 0 BFADHN Cc1cc([C@H](C)NCC[C@H](O)C(F)F)c(C)o1 ZINC000631702849 363096361 /nfs/dbraw/zinc/09/63/61/363096361.db2.gz RWYMYCSMJWGHKV-KWQFWETISA-N 0 3 247.285 2.563 20 0 BFADHN Cc1cc(CN2CCCC2(C)C)sn1 ZINC000637998195 351875700 /nfs/dbraw/zinc/87/57/00/351875700.db2.gz ZMRLTNYWVJBBNF-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN CCN(Cc1ccccn1)C[C@@](C)(CC)OC ZINC000638066948 351938758 /nfs/dbraw/zinc/93/87/58/351938758.db2.gz OXOIIGZNHMGETE-CQSZACIVSA-N 0 3 236.359 2.719 20 0 BFADHN COc1ccc(CN[C@H]2CSC2(C)C)cc1 ZINC000282875155 187535416 /nfs/dbraw/zinc/53/54/16/187535416.db2.gz RLIIRWKOKOAJLX-LBPRGKRZSA-N 0 3 237.368 2.679 20 0 BFADHN Cc1cc(CN2CCC[C@H]2C2CC2)sn1 ZINC000638029597 351910365 /nfs/dbraw/zinc/91/03/65/351910365.db2.gz YEDXCSBVBLNSEH-LBPRGKRZSA-N 0 3 222.357 2.826 20 0 BFADHN C[C@H]1CCN(Cc2ccns2)C[C@H]1C ZINC000638092406 351970931 /nfs/dbraw/zinc/97/09/31/351970931.db2.gz IZRHXBUNUQBMPD-VHSXEESVSA-N 0 3 210.346 2.621 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cnc(OC)s1 ZINC000638093555 351972889 /nfs/dbraw/zinc/97/28/89/351972889.db2.gz KTIIQONUGWPZGE-NXEZZACHSA-N 0 3 240.372 2.772 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@@H](C)C2)sn1 ZINC000638093634 351973121 /nfs/dbraw/zinc/97/31/21/351973121.db2.gz MHTMIROHSWQMBI-IUCAKERBSA-N 0 3 210.346 2.539 20 0 BFADHN CO[C@H]1CCN(Cc2csc(C)c2C)C1 ZINC000638093789 351974004 /nfs/dbraw/zinc/97/40/04/351974004.db2.gz XDVYVQDAOWWYQX-LBPRGKRZSA-N 0 3 225.357 2.586 20 0 BFADHN Cc1cc(CN2C[C@@H](C)C[C@@H]2C)sn1 ZINC000638110718 351987452 /nfs/dbraw/zinc/98/74/52/351987452.db2.gz OXAMJZHIFOQUJY-WPRPVWTQSA-N 0 3 210.346 2.682 20 0 BFADHN COc1cncc(CN2C[C@H](C)C[C@@H]2C)c1C ZINC000638110643 351987513 /nfs/dbraw/zinc/98/75/13/351987513.db2.gz NZOSAPBCFYCWPV-MNOVXSKESA-N 0 3 234.343 2.629 20 0 BFADHN Cc1cc(CN2C[C@@H]3[C@H](C2)C3(C)C)sn1 ZINC000638117403 351993142 /nfs/dbraw/zinc/99/31/42/351993142.db2.gz LLEGIALWAHLDJJ-PHIMTYICSA-N 0 3 222.357 2.539 20 0 BFADHN CCC[C@H](CN(C)CCOC(C)(C)C)OC ZINC000638131864 352001630 /nfs/dbraw/zinc/00/16/30/352001630.db2.gz FQBIZLHQBBXOAZ-GFCCVEGCSA-N 0 3 231.380 2.548 20 0 BFADHN CO[C@@H](CNc1cccc(CN(C)C)c1)C1CC1 ZINC000638190856 352055575 /nfs/dbraw/zinc/05/55/75/352055575.db2.gz KPSKFTZQDSPMGY-HNNXBMFYSA-N 0 3 248.370 2.585 20 0 BFADHN Cc1ccc(C)c([C@H](C)NCc2ccnn2C)c1 ZINC000037103509 358467531 /nfs/dbraw/zinc/46/75/31/358467531.db2.gz CVKSMSZZOJIYEK-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@@H]1CCN(Cc2ccnn2CC2CCC2)[C@H]1C ZINC000638163872 352028027 /nfs/dbraw/zinc/02/80/27/352028027.db2.gz UGLVRYHATWLXMY-OLZOCXBDSA-N 0 3 247.386 2.914 20 0 BFADHN CC[C@@H]1CCN(Cc2cncc(OC)c2C)C1 ZINC000638170920 352033065 /nfs/dbraw/zinc/03/30/65/352033065.db2.gz MOJMTVCFHUIBFK-GFCCVEGCSA-N 0 3 234.343 2.631 20 0 BFADHN COc1ncc(CN2[C@H](C)CC[C@@H]2C)s1 ZINC000638170093 352034280 /nfs/dbraw/zinc/03/42/80/352034280.db2.gz CXHRNEAXZSHAOM-DTORHVGOSA-N 0 3 226.345 2.525 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cccc(F)c1N ZINC000638173403 352037090 /nfs/dbraw/zinc/03/70/90/352037090.db2.gz WZIOAEGOXVXXTB-UWVGGRQHSA-N 0 3 222.307 2.781 20 0 BFADHN CC[C@@H]1CCN(Cc2cc(C)ns2)C1 ZINC000638171549 352038743 /nfs/dbraw/zinc/03/87/43/352038743.db2.gz RDZOUDZYEYMIEB-SNVBAGLBSA-N 0 3 210.346 2.683 20 0 BFADHN CC[C@@H]1CCN([C@H](c2nccn2C)C2CC2)C1 ZINC000638172122 352039658 /nfs/dbraw/zinc/03/96/58/352039658.db2.gz UVLRLSRKXSOYFJ-YPMHNXCESA-N 0 3 233.359 2.603 20 0 BFADHN CC[C@@H](O)CN1CC(C)(C)[C@H]1c1cccs1 ZINC000286657489 352098078 /nfs/dbraw/zinc/09/80/78/352098078.db2.gz ALZLCOHPQMDFON-ZYHUDNBSSA-N 0 3 239.384 2.902 20 0 BFADHN c1c(CNC2CCCCC2)onc1C1CC1 ZINC000638277764 352113156 /nfs/dbraw/zinc/11/31/56/352113156.db2.gz UWPBZIQYIHGSND-UHFFFAOYSA-N 0 3 220.316 2.974 20 0 BFADHN CCN(Cc1cnc([C@@H](C)O)s1)CC(C)C ZINC000289243854 352177265 /nfs/dbraw/zinc/17/72/65/352177265.db2.gz ZVCROVQWSCBTKX-SNVBAGLBSA-N 0 3 242.388 2.674 20 0 BFADHN CC[C@@H](C)[C@H](CN[C@@H](C)c1ccccn1)OC ZINC000638425112 352236583 /nfs/dbraw/zinc/23/65/83/352236583.db2.gz HQKAROFAJOXROS-DYEKYZERSA-N 0 3 236.359 2.793 20 0 BFADHN CC1(C)CN(C[C@H](O)c2ccccc2)[C@H]1C1CC1 ZINC000290745467 352239498 /nfs/dbraw/zinc/23/94/98/352239498.db2.gz HYKOIVNIZAYZMT-GJZGRUSLSA-N 0 3 245.366 2.840 20 0 BFADHN CCOc1ccccc1CN[C@H](C)[C@H]1CCCO1 ZINC000020205436 352204326 /nfs/dbraw/zinc/20/43/26/352204326.db2.gz WAKBRQMUSXTZJE-TZMCWYRMSA-N 0 3 249.354 2.742 20 0 BFADHN CCN(Cc1ccccn1)CC1CCC(=O)CC1 ZINC000290048374 352208173 /nfs/dbraw/zinc/20/81/73/352208173.db2.gz ONTPYFZLGLCCBU-UHFFFAOYSA-N 0 3 246.354 2.663 20 0 BFADHN C[C@H]1CN(Cc2cccc3c2OCO3)C[C@@H]1C ZINC000093503459 352209353 /nfs/dbraw/zinc/20/93/53/352209353.db2.gz BVZUUVRBHJMNDK-QWRGUYRKSA-N 0 3 233.311 2.503 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(OC(C)C)cc1 ZINC000521181170 363121743 /nfs/dbraw/zinc/12/17/43/363121743.db2.gz HBWOJAFISPWKII-LSDHHAIUSA-N 0 3 249.354 2.741 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(OC(C)C)cc1 ZINC000521181168 363121760 /nfs/dbraw/zinc/12/17/60/363121760.db2.gz HBWOJAFISPWKII-GJZGRUSLSA-N 0 3 249.354 2.741 20 0 BFADHN Cc1nc2ccccc2nc1CN[C@@H]1CC1(C)C ZINC000638406396 352214695 /nfs/dbraw/zinc/21/46/95/352214695.db2.gz IAPXIXLSMKVAHP-CQSZACIVSA-N 0 3 241.338 2.826 20 0 BFADHN OC1(CCN2CC[C@@H]2c2ccccc2)CCC1 ZINC000411116122 191160461 /nfs/dbraw/zinc/16/04/61/191160461.db2.gz NLQOXYQHYMQNQM-CQSZACIVSA-N 0 3 231.339 2.739 20 0 BFADHN COc1cncc(CN(C)C(C)(C)C)c1C ZINC000630072350 363154816 /nfs/dbraw/zinc/15/48/16/363154816.db2.gz JGHCJLICSAOVLK-UHFFFAOYSA-N 0 3 222.332 2.629 20 0 BFADHN COc1cncc(CN[C@@]2(C)CC2(C)C)c1C ZINC000630074059 363157817 /nfs/dbraw/zinc/15/78/17/363157817.db2.gz LCAQWEQKBCXNSV-AWEZNQCLSA-N 0 3 234.343 2.677 20 0 BFADHN Cc1cc(CNC[C@]2(C)C[C@H]3C[C@H]3C2)on1 ZINC000630075523 363160394 /nfs/dbraw/zinc/16/03/94/363160394.db2.gz XUARIQNAGZMBGL-PTEHBNRSSA-N 0 3 220.316 2.509 20 0 BFADHN CCCN(CCC)[C@H](C)C(=O)NC(C)(C)CC ZINC000411169563 191166315 /nfs/dbraw/zinc/16/63/15/191166315.db2.gz UIBHFVNTNMPXIS-GFCCVEGCSA-N 0 3 242.407 2.802 20 0 BFADHN CCN(Cc1ccsc1)C[C@H](C)OC ZINC000291015382 352254079 /nfs/dbraw/zinc/25/40/79/352254079.db2.gz BPYABXZGNKCVIY-JTQLQIEISA-N 0 3 213.346 2.605 20 0 BFADHN CCN(Cc1n[nH]cc1C)CC(C)(C)C ZINC000291006714 352254117 /nfs/dbraw/zinc/25/41/17/352254117.db2.gz AIENCTIKMDPJRJ-UHFFFAOYSA-N 0 3 209.337 2.586 20 0 BFADHN CC[C@@H](C)c1cccc(NC(=O)CNC2CC2)c1 ZINC000638469278 352278492 /nfs/dbraw/zinc/27/84/92/352278492.db2.gz VONTWPKWYXEDIH-LLVKDONJSA-N 0 3 246.354 2.891 20 0 BFADHN CN(C[C@H]1CCCO1)[C@@H]1C[C@@H]1c1ccccc1 ZINC000411176681 191168001 /nfs/dbraw/zinc/16/80/01/191168001.db2.gz WXHPTXXVHFALBQ-RBSFLKMASA-N 0 3 231.339 2.653 20 0 BFADHN CCCN(CC(C)C)[C@@H](C)c1nnc(C)o1 ZINC000411202670 191170324 /nfs/dbraw/zinc/17/03/24/191170324.db2.gz OTGMYHRSSKSKPW-JTQLQIEISA-N 0 3 225.336 2.807 20 0 BFADHN CCCN(CC(C)C)[C@H](C)c1nnc(C)[nH]1 ZINC000411203826 191170806 /nfs/dbraw/zinc/17/08/06/191170806.db2.gz STNQQDLNYQGUEX-SNVBAGLBSA-N 0 3 224.352 2.542 20 0 BFADHN CCC[N@H+](CC(C)C)[C@H](C)c1nnc(C)[n-]1 ZINC000411203826 191170808 /nfs/dbraw/zinc/17/08/08/191170808.db2.gz STNQQDLNYQGUEX-SNVBAGLBSA-N 0 3 224.352 2.542 20 0 BFADHN CCC[N@@H+](CC(C)C)[C@H](C)c1nnc(C)[n-]1 ZINC000411203826 191170810 /nfs/dbraw/zinc/17/08/10/191170810.db2.gz STNQQDLNYQGUEX-SNVBAGLBSA-N 0 3 224.352 2.542 20 0 BFADHN CCC[C@H]1CCCN([C@H](C)c2n[nH]c(C)n2)C1 ZINC000411315885 191177776 /nfs/dbraw/zinc/17/77/76/191177776.db2.gz JSGJFRKAHKBHFE-PWSUYJOCSA-N 0 3 236.363 2.686 20 0 BFADHN CCN(Cc1ccc([C@@H]2C[C@H]2C)o1)[C@@H](C)CO ZINC000293720694 352360561 /nfs/dbraw/zinc/36/05/61/352360561.db2.gz TXINWDKSSJQMNE-NTZNESFSSA-N 0 3 237.343 2.606 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@H](CC)OC ZINC000353554732 352363507 /nfs/dbraw/zinc/36/35/07/352363507.db2.gz AHLLWQMRILVBED-AAEUAGOBSA-N 0 3 236.359 2.720 20 0 BFADHN OC1(CCNC2(c3ccccc3)CC2)CCC1 ZINC000411452899 191187587 /nfs/dbraw/zinc/18/75/87/191187587.db2.gz JEDDCZVPRDLFCD-UHFFFAOYSA-N 0 3 231.339 2.570 20 0 BFADHN COc1cccc(C)c1CNCCOCC1CC1 ZINC000638588224 352419434 /nfs/dbraw/zinc/41/94/34/352419434.db2.gz KGPDSRCKDSCLLI-UHFFFAOYSA-N 0 3 249.354 2.520 20 0 BFADHN CC1(C)CC[C@@H]1NCc1cc(F)ncc1F ZINC000296211118 352439594 /nfs/dbraw/zinc/43/95/94/352439594.db2.gz ZUJDTYOUMCCRQY-JTQLQIEISA-N 0 3 226.270 2.638 20 0 BFADHN COC(=O)CCN[C@@H](C)c1c(C)cccc1C ZINC000411522935 191193390 /nfs/dbraw/zinc/19/33/90/191193390.db2.gz CFNFOLOAVSPSEO-LBPRGKRZSA-N 0 3 235.327 2.517 20 0 BFADHN c1c(CNC2CCSCC2)onc1C1CC1 ZINC000638573894 352404114 /nfs/dbraw/zinc/40/41/14/352404114.db2.gz LQHUODPYMZZOIR-UHFFFAOYSA-N 0 3 238.356 2.537 20 0 BFADHN CCN(Cc1ccccn1)CC1CC(F)(F)C1 ZINC000296867440 352460220 /nfs/dbraw/zinc/46/02/20/352460220.db2.gz VTYFOEWSYRIJJM-UHFFFAOYSA-N 0 3 240.297 2.949 20 0 BFADHN CC(C)[C@H]1COCCN1Cc1ccccc1 ZINC000307371021 352471166 /nfs/dbraw/zinc/47/11/66/352471166.db2.gz PMNTWOCYBCHXSN-CQSZACIVSA-N 0 3 219.328 2.543 20 0 BFADHN C[C@@H](CNCc1ccns1)Oc1ccccc1 ZINC000638638159 352480092 /nfs/dbraw/zinc/48/00/92/352480092.db2.gz CAQJGQKOYNBUOM-NSHDSACASA-N 0 3 248.351 2.700 20 0 BFADHN FCCCCCN1CCO[C@@H](C2CCC2)C1 ZINC000411836940 191213786 /nfs/dbraw/zinc/21/37/86/191213786.db2.gz AOWHLSMBHCHIBH-CYBMUJFWSA-N 0 3 229.339 2.627 20 0 BFADHN CCN(Cc1ccncc1)C[C@H]1CCC(C)(C)O1 ZINC000299717903 352545063 /nfs/dbraw/zinc/54/50/63/352545063.db2.gz RVMPDTRROUDPQD-CQSZACIVSA-N 0 3 248.370 2.861 20 0 BFADHN c1ccc2c(c1)SC[C@H]2NCCC[C@H]1CCO1 ZINC000638655534 352496520 /nfs/dbraw/zinc/49/65/20/352496520.db2.gz IQBGKUPJPRLDTJ-WCQYABFASA-N 0 3 249.379 2.992 20 0 BFADHN Fc1ccccc1C1(NCCC[C@@H]2CCO2)CC1 ZINC000638657111 352498054 /nfs/dbraw/zinc/49/80/54/352498054.db2.gz WYSNJIXVYDELGV-GFCCVEGCSA-N 0 3 249.329 2.974 20 0 BFADHN c1c(CN[C@@H]2CC=CCC2)onc1C1CC1 ZINC000638661979 352505348 /nfs/dbraw/zinc/50/53/48/352505348.db2.gz DNBMNSGVQOLOLE-LLVKDONJSA-N 0 3 218.300 2.750 20 0 BFADHN FC[C@@H]1CN(CC2CCCCCC2)CCO1 ZINC000411839575 191216828 /nfs/dbraw/zinc/21/68/28/191216828.db2.gz JBBSUCNWUJKWDW-CYBMUJFWSA-N 0 3 229.339 2.627 20 0 BFADHN Cc1cnc([C@@H](C)NCCC[C@@H]2CCO2)s1 ZINC000638725139 352582829 /nfs/dbraw/zinc/58/28/29/352582829.db2.gz FWFLTGLFGJLRAS-GHMZBOCLSA-N 0 3 240.372 2.671 20 0 BFADHN CC[C@@H](C)CN1CCO[C@@H](C2CCC2)C1 ZINC000411878199 191225331 /nfs/dbraw/zinc/22/53/31/191225331.db2.gz SFEJTAKWMAOHOF-DGCLKSJQSA-N 0 3 211.349 2.533 20 0 BFADHN CC[C@H](Cc1ccc(C)cc1)NCc1ncc[nH]1 ZINC000608065911 352664598 /nfs/dbraw/zinc/66/45/98/352664598.db2.gz GINQKZXQBIVMLZ-CQSZACIVSA-N 0 3 243.354 2.829 20 0 BFADHN CC/C=C/CCN1CCO[C@@H](C2CCC2)C1 ZINC000411851479 191220634 /nfs/dbraw/zinc/22/06/34/191220634.db2.gz PZRFINBFBANOGE-RDFMZFSFSA-N 0 3 223.360 2.844 20 0 BFADHN CC[C@@H]1CCCN1CC(=O)Nc1ccc(C)cc1 ZINC000340688450 352630717 /nfs/dbraw/zinc/63/07/17/352630717.db2.gz MZJCIGGRLNCKST-CQSZACIVSA-N 0 3 246.354 2.808 20 0 BFADHN CO[C@H]1c2ccccc2C[C@H]1NCC=C(C)C ZINC000411889867 191223785 /nfs/dbraw/zinc/22/37/85/191223785.db2.gz HCZXAKIODWFSTK-CABCVRRESA-N 0 3 231.339 2.855 20 0 BFADHN COc1ccc(CNCC=C(C)C)cc1 ZINC000142328442 187799820 /nfs/dbraw/zinc/79/98/20/187799820.db2.gz OSIPDWQHMCXVJH-UHFFFAOYSA-N 0 3 205.301 2.751 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1ccc(C)cn1)C1CC1 ZINC000411972440 191233007 /nfs/dbraw/zinc/23/30/07/191233007.db2.gz QAXZSJONLLHGDT-IUODEOHRSA-N 0 3 248.370 2.683 20 0 BFADHN CCN(CC[C@@H]1CCCO1)Cc1occc1C ZINC000412025845 191239900 /nfs/dbraw/zinc/23/99/00/191239900.db2.gz JYWNHISXYCEVBZ-ZDUSSCGKSA-N 0 3 237.343 2.979 20 0 BFADHN C[C@@H]1CC(C)(C)CN1CCn1cc(Cl)cn1 ZINC000412031147 191241283 /nfs/dbraw/zinc/24/12/83/191241283.db2.gz ALKAUDGMMCVCBR-SNVBAGLBSA-N 0 3 241.766 2.657 20 0 BFADHN C[C@H]1CC(C)(C)CN1CCOCC(F)(F)F ZINC000412045136 191243199 /nfs/dbraw/zinc/24/31/99/191243199.db2.gz PEKYJKDJYTXVEN-VIFPVBQESA-N 0 3 239.281 2.686 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1cc(C)ccc1F ZINC000116915279 323890290 /nfs/dbraw/zinc/89/02/90/323890290.db2.gz JRWQZCPBPZXLLV-ZIAGYGMSSA-N 0 3 237.318 2.791 20 0 BFADHN COc1cc(C)cc(CNC[C@@H](OC)C2CC2)c1 ZINC000638777205 352724455 /nfs/dbraw/zinc/72/44/55/352724455.db2.gz FTDNMCGGGFATNN-OAHLLOKOSA-N 0 3 249.354 2.518 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H](C)c1ccc(F)cc1 ZINC000186511543 187823148 /nfs/dbraw/zinc/82/31/48/187823148.db2.gz LWROSGBRTRZSAV-UUSVCDRXSA-N 0 3 237.318 2.803 20 0 BFADHN CC1(C)CC[C@@H]1NCc1cc(C(F)(F)F)n[nH]1 ZINC000342245856 352739993 /nfs/dbraw/zinc/73/99/93/352739993.db2.gz ZKLKXYVKISQTLB-QMMMGPOBSA-N 0 3 247.264 2.707 20 0 BFADHN COc1cccc(CN2CC(C)(C)C[C@H]2C)n1 ZINC000412038075 191246821 /nfs/dbraw/zinc/24/68/21/191246821.db2.gz IIOQMBAJIMGSEK-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN2CC(C)(C)C[C@@H]2C)c1 ZINC000412038697 191247023 /nfs/dbraw/zinc/24/70/23/191247023.db2.gz IVWICYJFNZIECB-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ncsc1CN1CCCC2(CC2)C1 ZINC000334177120 352762720 /nfs/dbraw/zinc/76/27/20/352762720.db2.gz AKXAWMAQQVATHN-UHFFFAOYSA-N 0 3 222.357 2.828 20 0 BFADHN COc1ccc(CN2CC(C)(C)C[C@H]2C)nc1 ZINC000412036252 191248069 /nfs/dbraw/zinc/24/80/69/191248069.db2.gz GVXDKHIFAZALTR-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1cnc(CN2CCC[C@H]2C2CCC2)cn1 ZINC000334183024 352771531 /nfs/dbraw/zinc/77/15/31/352771531.db2.gz DHIMDRNJEMJIGL-AWEZNQCLSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1ncc(CN2[C@H](C)CCC[C@@H]2C)cn1 ZINC000334213709 352812669 /nfs/dbraw/zinc/81/26/69/352812669.db2.gz GBWNJYUXCVJJMQ-PHIMTYICSA-N 0 3 219.332 2.548 20 0 BFADHN C[C@@H]1CN(Cc2nc3cccnc3s2)C[C@H]1C ZINC000334215285 352813854 /nfs/dbraw/zinc/81/38/54/352813854.db2.gz QPRKUWIUFSKFNS-NXEZZACHSA-N 0 3 247.367 2.779 20 0 BFADHN Cc1nc(CN2CC[C@@H](C3CC3)C2)c(C)s1 ZINC000334221465 352824184 /nfs/dbraw/zinc/82/41/84/352824184.db2.gz TZZTYQOFYLMITQ-GFCCVEGCSA-N 0 3 236.384 2.992 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccnc(C)n1 ZINC000334241774 352829481 /nfs/dbraw/zinc/82/94/81/352829481.db2.gz NVJOKZTUFXJRGI-CYBMUJFWSA-N 0 3 219.332 2.550 20 0 BFADHN Cc1cnc([C@H](C)N2CCC[C@@H](C)CC2)cn1 ZINC000334211148 352808235 /nfs/dbraw/zinc/80/82/35/352808235.db2.gz PAAUUHDCYMQRLW-YPMHNXCESA-N 0 3 233.359 2.968 20 0 BFADHN c1ccc(-c2ncc(CN3CCCC3)cn2)cc1 ZINC000334234497 352850925 /nfs/dbraw/zinc/85/09/25/352850925.db2.gz QAKALVMJKZEILC-UHFFFAOYSA-N 0 3 239.322 2.739 20 0 BFADHN OC[C@@]1(F)CCCN([C@H]2/C=C/CCCCC2)C1 ZINC000334237784 352856286 /nfs/dbraw/zinc/85/62/86/352856286.db2.gz MBTAZFBMRTUIDZ-QFVHEQCKSA-N 0 3 241.350 2.672 20 0 BFADHN CC[C@@H]1CCCN1Cc1cncc(F)c1 ZINC000334239795 352859449 /nfs/dbraw/zinc/85/94/49/352859449.db2.gz NWDOXBNFANMROW-GFCCVEGCSA-N 0 3 208.280 2.595 20 0 BFADHN C1=C[C@@H](N2CCC3(CCOCC3)CC2)CCC1 ZINC000334255945 352866570 /nfs/dbraw/zinc/86/65/70/352866570.db2.gz LXKOATQOYICQSE-CQSZACIVSA-N 0 3 235.371 2.988 20 0 BFADHN COc1cc(CN2CC[C@@H]2C(C)C)cc(OC)c1 ZINC000342847038 352873553 /nfs/dbraw/zinc/87/35/53/352873553.db2.gz GLKVQSPQRRUJQK-OAHLLOKOSA-N 0 3 249.354 2.934 20 0 BFADHN CC1(NCc2ccns2)Cc2ccccc2C1 ZINC000638794313 352834719 /nfs/dbraw/zinc/83/47/19/352834719.db2.gz QCDXHONOXGAHAV-UHFFFAOYSA-N 0 3 244.363 2.790 20 0 BFADHN Cn1cncc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC000334248426 352840496 /nfs/dbraw/zinc/84/04/96/352840496.db2.gz PWOJTCQIBBESPZ-JSGCOSHPSA-N 0 3 233.359 2.575 20 0 BFADHN Cn1cncc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC000334248423 352840660 /nfs/dbraw/zinc/84/06/60/352840660.db2.gz PWOJTCQIBBESPZ-GXTWGEPZSA-N 0 3 233.359 2.575 20 0 BFADHN Cc1ccnc(CN2C[C@@H](C)CCC[C@@H]2C)n1 ZINC000334228061 352844683 /nfs/dbraw/zinc/84/46/83/352844683.db2.gz GJAFOYSNGZLLEN-AAEUAGOBSA-N 0 3 233.359 2.796 20 0 BFADHN CC(C)n1ccnc1CN1CC[C@@H]2CCC[C@H]21 ZINC000334270268 352907002 /nfs/dbraw/zinc/90/70/02/352907002.db2.gz SBVYZBUJENPDPX-QWHCGFSZSA-N 0 3 233.359 2.838 20 0 BFADHN Fc1ccccc1[C@H]1CCN(C[C@H]2CCCO2)C1 ZINC000334272220 352909225 /nfs/dbraw/zinc/90/92/25/352909225.db2.gz IHUVUKZAYVZJAM-QWHCGFSZSA-N 0 3 249.329 2.794 20 0 BFADHN CCCCN(Cc1ccnc(OC)c1)C1CC1 ZINC000342860747 352879469 /nfs/dbraw/zinc/87/94/69/352879469.db2.gz UKYPJZJLRGJXCH-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1ccc2ncc(CN3CC[C@@H]3C(C)C)n2c1 ZINC000342861391 352879725 /nfs/dbraw/zinc/87/97/25/352879725.db2.gz MYCAZNBUPNRJKV-CQSZACIVSA-N 0 3 243.354 2.873 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cn(C)nc1C(C)(C)C ZINC000342863189 352880621 /nfs/dbraw/zinc/88/06/21/352880621.db2.gz WBNOSTOGFUNXOU-CYBMUJFWSA-N 0 3 249.402 2.948 20 0 BFADHN COc1cc(CN2CC[C@@H](C(C)C)C2)ccn1 ZINC000342863317 352880707 /nfs/dbraw/zinc/88/07/07/352880707.db2.gz HXBFYUIDNGSNTD-CYBMUJFWSA-N 0 3 234.343 2.568 20 0 BFADHN COc1cc(CN2C[C@H](C)CC[C@H]2C)ccn1 ZINC000342863928 352881939 /nfs/dbraw/zinc/88/19/39/352881939.db2.gz KOKBENSRVLALKQ-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cc(CN2CC[C@H](C)C[C@@H](C)C2)ccn1 ZINC000342870332 352884007 /nfs/dbraw/zinc/88/40/07/352884007.db2.gz DUGOOVWQPCTNNE-QWHCGFSZSA-N 0 3 248.370 2.958 20 0 BFADHN CC(C)C1(CNCc2cccc(N(C)C)n2)CC1 ZINC000638801751 352952516 /nfs/dbraw/zinc/95/25/16/352952516.db2.gz GELUTFHCKXNXQO-UHFFFAOYSA-N 0 3 247.386 2.673 20 0 BFADHN Clc1ccc(CNCCc2cccnc2)o1 ZINC000037502668 358473798 /nfs/dbraw/zinc/47/37/98/358473798.db2.gz RNXURMQRTWBXFX-UHFFFAOYSA-N 0 3 236.702 2.660 20 0 BFADHN CCCCCN(C(=O)[C@H](N)CCC)C(C)C ZINC000037810100 168683823 /nfs/dbraw/zinc/68/38/23/168683823.db2.gz ZTXFCTVZAMMTFT-GFCCVEGCSA-N 0 3 228.380 2.541 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(Cl)cn2)CS1 ZINC000638836902 353021892 /nfs/dbraw/zinc/02/18/92/353021892.db2.gz LXWUSVCOENELPR-GZMMTYOYSA-N 0 3 242.775 2.719 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(Cl)cn2)CS1 ZINC000638836905 353021942 /nfs/dbraw/zinc/02/19/42/353021942.db2.gz LXWUSVCOENELPR-LDYMZIIASA-N 0 3 242.775 2.719 20 0 BFADHN CC(C)(C)N[C@@H](c1nc[nH]n1)C1CCCCC1 ZINC000638850503 353029518 /nfs/dbraw/zinc/02/95/18/353029518.db2.gz PDYMTCFEXJZGJM-LLVKDONJSA-N 0 3 236.363 2.814 20 0 BFADHN C[C@@H]1CCN(CC2CCSCC2)C[C@H]1F ZINC000638909880 353067059 /nfs/dbraw/zinc/06/70/59/353067059.db2.gz KBOCUACSGTVVHM-ZYHUDNBSSA-N 0 3 231.380 2.810 20 0 BFADHN Fc1ccc(CNCCOc2ccccc2)cc1 ZINC000032102038 353106956 /nfs/dbraw/zinc/10/69/56/353106956.db2.gz CMFLEGJFCZALIZ-UHFFFAOYSA-N 0 3 245.297 2.994 20 0 BFADHN CCC[C@H](CN(C)[C@H](C)c1ccccn1)OC ZINC000638922506 353079887 /nfs/dbraw/zinc/07/98/87/353079887.db2.gz HXCUBALZERQLKD-CHWSQXEVSA-N 0 3 236.359 2.890 20 0 BFADHN CCC[C@@H](CN(C)[C@@H](C)c1ccccn1)OC ZINC000638922509 353080177 /nfs/dbraw/zinc/08/01/77/353080177.db2.gz HXCUBALZERQLKD-STQMWFEESA-N 0 3 236.359 2.890 20 0 BFADHN CC[C@](C)(CN(C)[C@@H](C)c1ccccn1)OC ZINC000638923770 353084423 /nfs/dbraw/zinc/08/44/23/353084423.db2.gz ZVYRUNYEFLUGAS-GXTWGEPZSA-N 0 3 236.359 2.890 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2N[C@@H]1CCC12CCC2 ZINC000334475067 353119393 /nfs/dbraw/zinc/11/93/93/353119393.db2.gz LWGCEZVMRNJMEH-VXGBXAGGSA-N 0 3 231.343 2.709 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1cc(F)ccc1C ZINC000037619259 358475329 /nfs/dbraw/zinc/47/53/29/358475329.db2.gz SYQWKZKJNCUBPP-LLVKDONJSA-N 0 3 238.306 2.590 20 0 BFADHN CC(C)C[C@H]1COCCN1CCCOC(C)C ZINC000113998380 188061777 /nfs/dbraw/zinc/06/17/77/188061777.db2.gz JURNCGGOXPSXTO-AWEZNQCLSA-N 0 3 243.391 2.548 20 0 BFADHN CCOC(=O)c1coc(CN[C@@H](C)C(C)C)c1 ZINC000353909430 188062409 /nfs/dbraw/zinc/06/24/09/188062409.db2.gz ATOGOQDVXAJWDK-JTQLQIEISA-N 0 3 239.315 2.590 20 0 BFADHN CCCC[C@@H](N)C(=O)N(CC)CC(CC)CC ZINC000037619517 358475448 /nfs/dbraw/zinc/47/54/48/358475448.db2.gz RYMMUPOJDIRYQI-CYBMUJFWSA-N 0 3 242.407 2.789 20 0 BFADHN CC(C)[C@H](NCCOCC1CC1)c1cccnc1 ZINC000359332125 188068021 /nfs/dbraw/zinc/06/80/21/188068021.db2.gz NSVWAAGFPJQXRN-HNNXBMFYSA-N 0 3 248.370 2.795 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1ccc(F)cc1C ZINC000037619273 358475609 /nfs/dbraw/zinc/47/56/09/358475609.db2.gz ZISHPFWMKUFEPD-LLVKDONJSA-N 0 3 238.306 2.590 20 0 BFADHN CC(C)N(C/C=C\c1ccncc1)[C@H]1CCOC1 ZINC000361055003 188070067 /nfs/dbraw/zinc/07/00/67/188070067.db2.gz VGIQSZATTFMUNQ-JZIMQPMSSA-N 0 3 246.354 2.594 20 0 BFADHN C/C(=C\c1ccccc1)CN1C[C@@H](O)C2(CC2)C1 ZINC000375289615 188077256 /nfs/dbraw/zinc/07/72/56/188077256.db2.gz XCGKOJULSOPNIE-BMQCOBNYSA-N 0 3 243.350 2.547 20 0 BFADHN COc1ccc(CN2CC[C@@H]3CCC[C@@H]32)cc1O ZINC000336484071 188082484 /nfs/dbraw/zinc/08/24/84/188082484.db2.gz KZNQBIIKEOYQAU-STQMWFEESA-N 0 3 247.338 2.775 20 0 BFADHN C(=C/c1ccncc1)\CN[C@H]1C=CCCC1 ZINC000336650131 188082807 /nfs/dbraw/zinc/08/28/07/188082807.db2.gz DNFVSOLSLGERQN-NNTXTVRGSA-N 0 3 214.312 2.793 20 0 BFADHN CC(C)c1ncc(CNC(C)(C)[C@@H](C)O)s1 ZINC000127131295 188085398 /nfs/dbraw/zinc/08/53/98/188085398.db2.gz VDZZAYJDGGCFMB-SECBINFHSA-N 0 3 242.388 2.516 20 0 BFADHN CC(C)=CCCNCc1cnc(N(C)C)s1 ZINC000276649428 188093671 /nfs/dbraw/zinc/09/36/71/188093671.db2.gz SRGMLXOKWSGZIQ-UHFFFAOYSA-N 0 3 239.388 2.655 20 0 BFADHN COC(=O)CN(CCC1CCC1)C1CCCC1 ZINC000398848552 353167655 /nfs/dbraw/zinc/16/76/55/353167655.db2.gz UIIVJZYTZJAJDA-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN C[C@@H](NCCn1ccnc1)c1ccc(Cl)cc1 ZINC000041064028 188118971 /nfs/dbraw/zinc/11/89/71/188118971.db2.gz MLQKAMCYWXWFKC-LLVKDONJSA-N 0 3 249.745 2.887 20 0 BFADHN CC[C@@H](O)[C@@H]1CCCCN1Cc1cccnc1C ZINC000093322440 538109816 /nfs/dbraw/zinc/10/98/16/538109816.db2.gz PKVGFECPPZDQNO-LSDHHAIUSA-N 0 3 248.370 2.515 20 0 BFADHN CNc1ncccc1CN1C[C@H](C)C[C@@H](C)C1 ZINC000052709627 188126134 /nfs/dbraw/zinc/12/61/34/188126134.db2.gz COWVVHDFYHQYOE-VXGBXAGGSA-N 0 3 233.359 2.601 20 0 BFADHN C[C@H](O)[C@@H]1CCCCN1C/C=C\c1ccccc1 ZINC000353232850 353211326 /nfs/dbraw/zinc/21/13/26/353211326.db2.gz LOHRJFLJPIMLNG-FMWNCBFRSA-N 0 3 245.366 2.935 20 0 BFADHN COC[C@@H](C)N[C@@H]1CCc2c1cc(F)cc2F ZINC000154506232 538110953 /nfs/dbraw/zinc/11/09/53/538110953.db2.gz CAKISAOKIPKLLZ-AMIZOPFISA-N 0 3 241.281 2.577 20 0 BFADHN CC1(C)CN(CCCCF)[C@@H]1[C@H]1CCCO1 ZINC000368066789 188150331 /nfs/dbraw/zinc/15/03/31/188150331.db2.gz YVSRSTOAFYFYHS-VXGBXAGGSA-N 0 3 229.339 2.626 20 0 BFADHN CC(C)=CCN1CC[C@H](OCC(C)C)C1 ZINC000119738705 188152956 /nfs/dbraw/zinc/15/29/56/188152956.db2.gz VZPKNLYKMBRJCR-ZDUSSCGKSA-N 0 3 211.349 2.700 20 0 BFADHN COc1ccc(CN(C)CCCSC)cc1 ZINC000157352512 188158568 /nfs/dbraw/zinc/15/85/68/188158568.db2.gz HOUVTXLGFVBNQT-UHFFFAOYSA-N 0 3 239.384 2.880 20 0 BFADHN c1coc(CN[C@H]2[C@@H]3CCO[C@@H]3C23CCCC3)c1 ZINC000168905501 188161306 /nfs/dbraw/zinc/16/13/06/188161306.db2.gz DSBKBTWDAOTHAP-IHRRRGAJSA-N 0 3 247.338 2.717 20 0 BFADHN CC(C)C1CC(N[C@H](CO)c2ccsc2)C1 ZINC000336689140 188164884 /nfs/dbraw/zinc/16/48/84/188164884.db2.gz WBCWWKIERVPRSG-WXRRBKDZSA-N 0 3 239.384 2.806 20 0 BFADHN CCCc1csc(CNC[C@H]2C[C@@H]2C)n1 ZINC000309041750 188165852 /nfs/dbraw/zinc/16/58/52/188165852.db2.gz LQCNHXVZTIYCTB-VHSXEESVSA-N 0 3 224.373 2.841 20 0 BFADHN CC(C)(C)[C@@H](CO)NCc1ccsc1Cl ZINC000309071620 188166116 /nfs/dbraw/zinc/16/61/16/188166116.db2.gz DUTVMSYNXFOXRW-SECBINFHSA-N 0 3 247.791 2.898 20 0 BFADHN CC(C)[C@H](c1ccccc1)N(C)CC1OCCO1 ZINC000182290678 188168001 /nfs/dbraw/zinc/16/80/01/188168001.db2.gz AUQCFKUTEZOTBY-OAHLLOKOSA-N 0 3 249.354 2.688 20 0 BFADHN CC(C)N(CCN(C)C)c1nc2ccccc2o1 ZINC000185440889 188169948 /nfs/dbraw/zinc/16/99/48/188169948.db2.gz LXQGWUCMYUYLLK-UHFFFAOYSA-N 0 3 247.342 2.604 20 0 BFADHN CC(C)C[C@H]1OCCC[C@@H]1NCc1ccncc1 ZINC000185195363 188170424 /nfs/dbraw/zinc/17/04/24/188170424.db2.gz KVIPTTVMEAKPSN-LSDHHAIUSA-N 0 3 248.370 2.765 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1csc(C)c1 ZINC000638993850 353227373 /nfs/dbraw/zinc/22/73/73/353227373.db2.gz CXOPOZDSBQJFQG-LLVKDONJSA-N 0 3 226.345 2.513 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H](C)c1cccc(OC)c1 ZINC000186500135 188171063 /nfs/dbraw/zinc/17/10/63/188171063.db2.gz AMSLEROGWLAUME-BSWNYTGASA-N 0 3 249.354 2.673 20 0 BFADHN CC(C)N(C)c1ccc(CN(C)C2CC2)cn1 ZINC000191231357 188173066 /nfs/dbraw/zinc/17/30/66/188173066.db2.gz NDDQSIWCFQKBBO-UHFFFAOYSA-N 0 3 233.359 2.520 20 0 BFADHN CO[C@@H](CNCc1cc(F)ccc1F)C(C)C ZINC000266602002 188195578 /nfs/dbraw/zinc/19/55/78/188195578.db2.gz DQZBEPCAPAMCHO-ZDUSSCGKSA-N 0 3 243.297 2.725 20 0 BFADHN CCc1ncc(CN(C)CC2CC2)s1 ZINC000268677095 188196586 /nfs/dbraw/zinc/19/65/86/188196586.db2.gz LRCDAKSMIOETDS-UHFFFAOYSA-N 0 3 210.346 2.547 20 0 BFADHN C/C(=C\c1ccccc1)CN1CCC(CO)CC1 ZINC000271024566 188198412 /nfs/dbraw/zinc/19/84/12/188198412.db2.gz CTSMGDASQRFQTH-SDNWHVSQSA-N 0 3 245.366 2.794 20 0 BFADHN CC(C)C[C@H]1C[C@@H](NCc2ccco2)CCO1 ZINC000271959664 188198902 /nfs/dbraw/zinc/19/89/02/188198902.db2.gz GAQXUNIWUHIAFL-JSGCOSHPSA-N 0 3 237.343 2.963 20 0 BFADHN C=Cn1cc(CN(CC)C[C@@H](C)CC)cn1 ZINC000194049653 188175008 /nfs/dbraw/zinc/17/50/08/188175008.db2.gz BHDYBDOYPWDOIX-LBPRGKRZSA-N 0 3 221.348 2.852 20 0 BFADHN CC(C)(CCO)NCc1ccsc1Cl ZINC000336696702 188182200 /nfs/dbraw/zinc/18/22/00/188182200.db2.gz UKXVWZBHZKZBIX-UHFFFAOYSA-N 0 3 233.764 2.652 20 0 BFADHN CC(C)C[C@@H](C)CN(C)C[C@@H](O)C(F)(F)F ZINC000337190036 188185459 /nfs/dbraw/zinc/18/54/59/188185459.db2.gz SGOLTNVNSFXISQ-NXEZZACHSA-N 0 3 241.297 2.524 20 0 BFADHN CC(C)C[C@H](C)CN1C[C@H](C)OC[C@H]1C ZINC000337127446 188185752 /nfs/dbraw/zinc/18/57/52/188185752.db2.gz HFCMQBSJCXKWKW-XQQFMLRXSA-N 0 3 213.365 2.778 20 0 BFADHN Cc1nccn1-c1ccc([C@H](C)NCCF)cc1 ZINC000273044623 188199861 /nfs/dbraw/zinc/19/98/61/188199861.db2.gz DKTNEODKPHQXHG-NSHDSACASA-N 0 3 247.317 2.801 20 0 BFADHN CC(C)(C)[C@H](N)C(=O)NC1CCCCCCC1 ZINC000040458159 188215614 /nfs/dbraw/zinc/21/56/14/188215614.db2.gz SRLPMDVUHYTELU-GFCCVEGCSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1nnc(CN[C@H]2CCCCC2(C)C)s1 ZINC000134720039 538113687 /nfs/dbraw/zinc/11/36/87/538113687.db2.gz KCOGGUQNQVYEGA-JTQLQIEISA-N 0 3 239.388 2.905 20 0 BFADHN COCCN[C@@H]1C[C@H](C)Sc2sccc21 ZINC000122040003 324027926 /nfs/dbraw/zinc/02/79/26/324027926.db2.gz OJFUKUPRDPEURV-WCBMZHEXSA-N 0 3 243.397 2.910 20 0 BFADHN c1cc2c(s1)CN(C[C@H]1CCC=CO1)CC2 ZINC000278189433 188203642 /nfs/dbraw/zinc/20/36/42/188203642.db2.gz HSYSLALZINCCLA-GFCCVEGCSA-N 0 3 235.352 2.799 20 0 BFADHN C=Cn1cc(CN2CC[C@H](C)C[C@@H]2C)cn1 ZINC000278274871 188204161 /nfs/dbraw/zinc/20/41/61/188204161.db2.gz SFTSWXPPUPWCNG-RYUDHWBXSA-N 0 3 219.332 2.604 20 0 BFADHN CCCCO[C@@H]1C[C@H](NCCF)C1(C)C ZINC000336765751 353261915 /nfs/dbraw/zinc/26/19/15/353261915.db2.gz RDGLYEYJDQFRNB-WDEREUQCSA-N 0 3 217.328 2.529 20 0 BFADHN Fc1ccccc1[C@H]1C[C@H](NCc2ncc[nH]2)C1 ZINC000280655405 188206120 /nfs/dbraw/zinc/20/61/20/188206120.db2.gz ORJCJUAREYNHMZ-XYPYZODXSA-N 0 3 245.301 2.585 20 0 BFADHN Cc1ccnc([C@H](C)NCCN2CCCCC2)c1 ZINC000285458815 188208231 /nfs/dbraw/zinc/20/82/31/188208231.db2.gz ADNXGGXERIBLCE-AWEZNQCLSA-N 0 3 247.386 2.527 20 0 BFADHN CC(C)(NCCn1cccn1)c1ccccc1F ZINC000292062389 188212307 /nfs/dbraw/zinc/21/23/07/188212307.db2.gz CQWMOUGHHWMQOY-UHFFFAOYSA-N 0 3 247.317 2.547 20 0 BFADHN CC(C)(C)[C@H]1CCN(Cc2ccno2)C1 ZINC000336430386 188230163 /nfs/dbraw/zinc/23/01/63/188230163.db2.gz DNZLBDVGBXAQNV-JTQLQIEISA-N 0 3 208.305 2.543 20 0 BFADHN CO[C@]1(C)CCCN(Cc2cccc(C)n2)CC1 ZINC000336432093 188230302 /nfs/dbraw/zinc/23/03/02/188230302.db2.gz ZCPDQCOIEFAPOY-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN CCCN(CCN1CCCC1)c1cccc(C)n1 ZINC000343268760 353284792 /nfs/dbraw/zinc/28/47/92/353284792.db2.gz WXMKVZXWYVCUDU-UHFFFAOYSA-N 0 3 247.386 2.702 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H]2CCSC2)c1 ZINC000286342948 188223683 /nfs/dbraw/zinc/22/36/83/188223683.db2.gz YXYCZGHBAQREBY-GHMZBOCLSA-N 0 3 222.357 2.546 20 0 BFADHN CC1(C)OCC[C@H]1NCc1cc(F)ccc1F ZINC000293232138 188224679 /nfs/dbraw/zinc/22/46/79/188224679.db2.gz AVGKDRRDOVIBLZ-GFCCVEGCSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1CCCC[C@H]1CNCc1ccon1 ZINC000134856793 538113937 /nfs/dbraw/zinc/11/39/37/538113937.db2.gz ZCNANOFISRLEFN-MNOVXSKESA-N 0 3 208.305 2.591 20 0 BFADHN COc1cccc(C)c1CNCCOC(C)C ZINC000639044224 353330404 /nfs/dbraw/zinc/33/04/04/353330404.db2.gz HOLDYZPVMPQQSV-UHFFFAOYSA-N 0 3 237.343 2.518 20 0 BFADHN Cc1cscc1CNC[C@@H](O)CCC(C)C ZINC000563710359 353336127 /nfs/dbraw/zinc/33/61/27/353336127.db2.gz ZLAWQDZFXZOPGH-ZDUSSCGKSA-N 0 3 241.400 2.943 20 0 BFADHN CC[C@@H](C)[C@H](CN(C)Cc1ccccn1)OC ZINC000639041996 353323475 /nfs/dbraw/zinc/32/34/75/353323475.db2.gz RNXNXMUWSYXPGK-OCCSQVGLSA-N 0 3 236.359 2.575 20 0 BFADHN C[C@@H](NC[C@@H]1CCCS1)c1cncc(F)c1 ZINC000122929905 324064832 /nfs/dbraw/zinc/06/48/32/324064832.db2.gz UIUVLNWQVPBTCL-SKDRFNHKSA-N 0 3 240.347 2.767 20 0 BFADHN c1cc(CNCCC[C@@H]2CCCCO2)sn1 ZINC000639047344 353339101 /nfs/dbraw/zinc/33/91/01/353339101.db2.gz KZEISJYTAPOXIB-NSHDSACASA-N 0 3 240.372 2.582 20 0 BFADHN CCCc1cc(N[C@H](C)CO)c2ccccc2n1 ZINC000165419829 353353256 /nfs/dbraw/zinc/35/32/56/353353256.db2.gz IFRJALKBRSDBLS-LLVKDONJSA-N 0 3 244.338 2.980 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1ccccc1OC ZINC000132786777 353390264 /nfs/dbraw/zinc/39/02/64/353390264.db2.gz INKFBKOWXXPQHP-VXGBXAGGSA-N 0 3 237.343 2.507 20 0 BFADHN CC(C)(C)[C@H](N)C(=O)Nc1cscc1Cl ZINC000639073222 353390619 /nfs/dbraw/zinc/39/06/19/353390619.db2.gz HRBAIGQIYFWVSF-MRVPVSSYSA-N 0 3 246.763 2.713 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1ccns1 ZINC000639067468 353381218 /nfs/dbraw/zinc/38/12/18/353381218.db2.gz VRIHVRSKKFXIFP-ONGXEEELSA-N 0 3 210.346 2.764 20 0 BFADHN Cn1cccc1CN1CCc2ccccc2CC1 ZINC000093385393 538117219 /nfs/dbraw/zinc/11/72/19/538117219.db2.gz CUDKRVDMEQWDQV-UHFFFAOYSA-N 0 3 240.350 2.626 20 0 BFADHN CC[C@@]1(C)CN(CC2CCSCC2)CCO1 ZINC000639091428 353452974 /nfs/dbraw/zinc/45/29/74/353452974.db2.gz TURFRWGJMIYLSS-ZDUSSCGKSA-N 0 3 243.416 2.631 20 0 BFADHN CO[C@@H](C)CN(Cc1scnc1C)C(C)C ZINC000353794097 353460481 /nfs/dbraw/zinc/46/04/81/353460481.db2.gz KVJGTWGMLFYUOM-JTQLQIEISA-N 0 3 242.388 2.697 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1ccc(OC)nn1 ZINC000282580179 353477069 /nfs/dbraw/zinc/47/70/69/353477069.db2.gz VXQLNQUMGSFWOV-DGCLKSJQSA-N 0 3 249.358 2.544 20 0 BFADHN CC1(C)CCC[C@H](CNCc2cocn2)C1 ZINC000336778178 353506834 /nfs/dbraw/zinc/50/68/34/353506834.db2.gz KNZIXECSJLCEKT-NSHDSACASA-N 0 3 222.332 2.981 20 0 BFADHN CCc1csc(N[C@H]2CCCN(C)[C@@H]2C)n1 ZINC000336777614 353501296 /nfs/dbraw/zinc/50/12/96/353501296.db2.gz IZGLFYARBRMEQS-KOLCDFICSA-N 0 3 239.388 2.600 20 0 BFADHN CO[C@@H](CNCc1cc(F)ccc1C)C(C)C ZINC000350339808 188440938 /nfs/dbraw/zinc/44/09/38/188440938.db2.gz ALOQZWMEMDUSLQ-AWEZNQCLSA-N 0 3 239.334 2.895 20 0 BFADHN CS[C@H]1CCC[C@H](NCc2nccs2)C1 ZINC000227375802 363235367 /nfs/dbraw/zinc/23/53/67/363235367.db2.gz OUIJDHKAKZWTGZ-UWVGGRQHSA-N 0 3 242.413 2.907 20 0 BFADHN C[C@H]1C[C@@H](O)CCN1Cc1ccc(C2CC2)cc1 ZINC000334517182 353624146 /nfs/dbraw/zinc/62/41/46/353624146.db2.gz AUPGCOILZKPHCF-LRDDRELGSA-N 0 3 245.366 2.909 20 0 BFADHN C[C@@H](NC1CC(C)(F)C1)c1cccc(O)c1 ZINC000334518809 353649971 /nfs/dbraw/zinc/64/99/71/353649971.db2.gz AKDLSISNQRBIKU-CBZQXFMTSA-N 0 3 223.291 2.933 20 0 BFADHN Cc1cnn(C)c1CN(C)[C@@H]1CCCC[C@H]1C ZINC000334515523 353610634 /nfs/dbraw/zinc/61/06/34/353610634.db2.gz YUNDEUSILBVBLW-DGCLKSJQSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1ccnc(CN[C@@]2(C)CCCC[C@@H]2C)n1 ZINC000334516375 353613995 /nfs/dbraw/zinc/61/39/95/353613995.db2.gz IEEQWRUZFXZCQV-FZMZJTMJSA-N 0 3 233.359 2.843 20 0 BFADHN CC[C@@H](C)[C@H]1CCCCN1Cc1nccn1C ZINC000353806448 353696006 /nfs/dbraw/zinc/69/60/06/353696006.db2.gz PTVWIMZUWMVRSD-CHWSQXEVSA-N 0 3 235.375 2.821 20 0 BFADHN Fc1cccc(C2(NCC[C@H]3CCCO3)CC2)c1 ZINC000189851113 180994751 /nfs/dbraw/zinc/99/47/51/180994751.db2.gz BSRAJFNAMNUEKF-CQSZACIVSA-N 0 3 249.329 2.974 20 0 BFADHN CCOC(=O)CN(CCC(C)C)CC(C)C ZINC000343316490 353654420 /nfs/dbraw/zinc/65/44/20/353654420.db2.gz BBCZCXBKASLEPB-UHFFFAOYSA-N 0 3 229.364 2.554 20 0 BFADHN Fc1cccc([C@H]2CCN(C[C@@H]3CCCO3)C2)c1 ZINC000264197403 181004234 /nfs/dbraw/zinc/00/42/34/181004234.db2.gz OSCRSDKWHIWYCX-ZFWWWQNUSA-N 0 3 249.329 2.794 20 0 BFADHN Fc1cccc2c1CCN(CC1CCC1)C2 ZINC000339781754 181005723 /nfs/dbraw/zinc/00/57/23/181005723.db2.gz WRHPGLUZGYLQNB-UHFFFAOYSA-N 0 3 219.303 2.984 20 0 BFADHN CC[C@@H](C)[C@H]1CCCCN1Cc1ccn(C)n1 ZINC000353807804 353710841 /nfs/dbraw/zinc/71/08/41/353710841.db2.gz RQVWQHJJFXNSKQ-TZMCWYRMSA-N 0 3 235.375 2.821 20 0 BFADHN Fc1cccc(F)c1CN[C@@H]1CC[C@H](F)C1 ZINC000335918618 181000585 /nfs/dbraw/zinc/00/05/85/181000585.db2.gz QTYNHLJFRQUWCG-DTWKUNHWSA-N 0 3 229.245 2.945 20 0 BFADHN Fc1cccc(NC[C@@H]2CCCCN2C2CC2)n1 ZINC000277491894 181002185 /nfs/dbraw/zinc/00/21/85/181002185.db2.gz RVJSEZPMVMNNPS-LBPRGKRZSA-N 0 3 249.333 2.650 20 0 BFADHN Fc1cccc([C@H]2CCN(C[C@H]3CCCO3)C2)c1 ZINC000264197398 181003909 /nfs/dbraw/zinc/00/39/09/181003909.db2.gz OSCRSDKWHIWYCX-DZGCQCFKSA-N 0 3 249.329 2.794 20 0 BFADHN Fc1ccccc1CNCC[C@@H]1CCCCO1 ZINC000227337526 181012453 /nfs/dbraw/zinc/01/24/53/181012453.db2.gz HLJNUECUKALBAM-ZDUSSCGKSA-N 0 3 237.318 2.875 20 0 BFADHN Fc1ccccc1CNCC[C@H]1CCCCO1 ZINC000227337533 181013201 /nfs/dbraw/zinc/01/32/01/181013201.db2.gz HLJNUECUKALBAM-CYBMUJFWSA-N 0 3 237.318 2.875 20 0 BFADHN C[C@H](NCC1(C(F)F)CC1)c1ccoc1 ZINC000308973622 353772562 /nfs/dbraw/zinc/77/25/62/353772562.db2.gz FYEJCZLDSQKAFY-QMMMGPOBSA-N 0 3 215.243 2.976 20 0 BFADHN C[C@H](NC[C@H](CO)c1ccccc1)c1ccoc1 ZINC000178315811 366113106 /nfs/dbraw/zinc/11/31/06/366113106.db2.gz XKTLVBMOPOGKJE-SWLSCSKDSA-N 0 3 245.322 2.706 20 0 BFADHN Cc1cnc(CN(C)[C@H]2CCCC[C@H]2C)n1C ZINC000343330562 353742322 /nfs/dbraw/zinc/74/23/22/353742322.db2.gz BAAFJENRXFBXFA-YPMHNXCESA-N 0 3 235.375 2.739 20 0 BFADHN Fc1ccccc1CN1CCC12CCOCC2 ZINC000365663356 181011385 /nfs/dbraw/zinc/01/13/85/181011385.db2.gz MWEYWDDFTOOZGJ-UHFFFAOYSA-N 0 3 235.302 2.581 20 0 BFADHN Fc1ccccc1CN1CC[C@@]2(C1)CCCOC2 ZINC000375293768 181011495 /nfs/dbraw/zinc/01/14/95/181011495.db2.gz URRFWIGPORJLBM-OAHLLOKOSA-N 0 3 249.329 2.828 20 0 BFADHN CCC[C@@H](CN(C)Cc1cnccc1C)OC ZINC000639123727 353797409 /nfs/dbraw/zinc/79/74/09/353797409.db2.gz DHBLJZCDOSVJMG-AWEZNQCLSA-N 0 3 236.359 2.637 20 0 BFADHN Fc1cncc(CN2CCC[C@@H]2C2CCC2)c1 ZINC000275098939 181019736 /nfs/dbraw/zinc/01/97/36/181019736.db2.gz BNGOMXXUTWFFAG-CQSZACIVSA-N 0 3 234.318 2.985 20 0 BFADHN CCCN(Cc1cccc(C)n1)C1CC1 ZINC000343396323 353876937 /nfs/dbraw/zinc/87/69/37/353876937.db2.gz DYYDJULFKAURJO-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN CC1=C[C@H](C)CN([C@H](c2nccn2C)C2CC2)C1 ZINC000639131631 353848689 /nfs/dbraw/zinc/84/86/89/353848689.db2.gz WPMGRWXHMJMUMD-FZMZJTMJSA-N 0 3 245.370 2.769 20 0 BFADHN CC(C)(C)C1CC(N[C@H]2CCn3ccnc32)C1 ZINC000334530292 353908191 /nfs/dbraw/zinc/90/81/91/353908191.db2.gz JKGWNGZXGOEXTF-MCIGGMRASA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H](c1ccco1)N1CCC[C@@]2(CCOC2)C1 ZINC000334531871 353910770 /nfs/dbraw/zinc/91/07/70/353910770.db2.gz XETSSDZWLZQYME-TZMCWYRMSA-N 0 3 235.327 2.843 20 0 BFADHN c1nc(CN2CCCC3(CCCC3)C2)c[nH]1 ZINC000334534923 353914775 /nfs/dbraw/zinc/91/47/75/353914775.db2.gz AGGYNNOQEDXDOD-UHFFFAOYSA-N 0 3 219.332 2.566 20 0 BFADHN c1ncc(CN2CCCC3(CCCC3)C2)[nH]1 ZINC000334534923 353914776 /nfs/dbraw/zinc/91/47/76/353914776.db2.gz AGGYNNOQEDXDOD-UHFFFAOYSA-N 0 3 219.332 2.566 20 0 BFADHN CCc1ccc(CN(C)CC[C@H]2CCCO2)o1 ZINC000343502734 353915395 /nfs/dbraw/zinc/91/53/95/353915395.db2.gz ZSORBWUFGPQBCK-CYBMUJFWSA-N 0 3 237.343 2.843 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)NCc1nncn1CC ZINC000343503488 353916184 /nfs/dbraw/zinc/91/61/84/353916184.db2.gz FCNHNQLVCGEEGG-VXGBXAGGSA-N 0 3 238.379 2.602 20 0 BFADHN CC[C@@H]1CCCN([C@@H](C)c2n[nH]c(C)n2)CC1 ZINC000334539377 353927002 /nfs/dbraw/zinc/92/70/02/353927002.db2.gz AFZOCZKHTNCWIV-CMPLNLGQSA-N 0 3 236.363 2.686 20 0 BFADHN CC[C@H](NC[C@H]1CCC[C@H]1C)c1nccn1C ZINC000343532517 353929857 /nfs/dbraw/zinc/92/98/57/353929857.db2.gz HVRXBUHFIFJANN-UPJWGTAASA-N 0 3 235.375 2.897 20 0 BFADHN CC[C@H](C)N(CC(=O)OC)C[C@H]1CC=CCC1 ZINC000343610593 353932699 /nfs/dbraw/zinc/93/26/99/353932699.db2.gz YKJYLERPSAVDFW-STQMWFEESA-N 0 3 239.359 2.616 20 0 BFADHN CC[C@H](C(=O)N[C@@H](C)[C@@H](C)CC)N(CC)CC ZINC000343573423 353937281 /nfs/dbraw/zinc/93/72/81/353937281.db2.gz ZGIGXCCFUYTHAC-RWMBFGLXSA-N 0 3 242.407 2.658 20 0 BFADHN CSCCCN(C)Cc1cnc2ccccn12 ZINC000343665211 353944507 /nfs/dbraw/zinc/94/45/07/353944507.db2.gz VWNBSSHEGSROJC-UHFFFAOYSA-N 0 3 249.383 2.519 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H](C)[C@H](C)OC ZINC000343472086 353900381 /nfs/dbraw/zinc/90/03/81/353900381.db2.gz QNLNPJFSHYQEFU-WOPDTQHZSA-N 0 3 236.359 2.718 20 0 BFADHN COc1cncc(CN2CCC[C@H](C(C)C)C2)c1 ZINC000343707181 353967603 /nfs/dbraw/zinc/96/76/03/353967603.db2.gz DFZVMKBVHHTFEN-AWEZNQCLSA-N 0 3 248.370 2.958 20 0 BFADHN c1cc(CNCc2cnc3ccccc3c2)c[nH]1 ZINC000343739265 353969783 /nfs/dbraw/zinc/96/97/83/353969783.db2.gz MPWYGPWMEYRHBB-UHFFFAOYSA-N 0 3 237.306 2.853 20 0 BFADHN C[C@H]1SCCN(CCc2cncs2)[C@H]1C ZINC000334553668 353997025 /nfs/dbraw/zinc/99/70/25/353997025.db2.gz ARNCEAPVJWUVCY-VHSXEESVSA-N 0 3 242.413 2.511 20 0 BFADHN CC1=C[C@@H](C)CN(CCc2cncs2)C1 ZINC000334547581 353985667 /nfs/dbraw/zinc/98/56/67/353985667.db2.gz GAVRGZSNZCPATB-SNVBAGLBSA-N 0 3 222.357 2.584 20 0 BFADHN COc1cncc(CN(CC2CC2)C2CCC2)c1 ZINC000343720898 353958997 /nfs/dbraw/zinc/95/89/97/353958997.db2.gz BPWXNYJQIFATJD-UHFFFAOYSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1cncc([C@H](C)NCCc2ccco2)c1 ZINC000343729571 353960699 /nfs/dbraw/zinc/96/06/99/353960699.db2.gz QEZMYSBAKQPBFG-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN CC[C@H]1CN(C/C=C/c2ccncc2)CCCO1 ZINC000343678001 353961674 /nfs/dbraw/zinc/96/16/74/353961674.db2.gz MZDFYRJPMIJVBM-UJAVWCLSSA-N 0 3 246.354 2.596 20 0 BFADHN CC(C)OC1CC(N2C[C@@H](C)S[C@H](C)C2)C1 ZINC000334556043 354001854 /nfs/dbraw/zinc/00/18/54/354001854.db2.gz DWRRBKTZYUWPCC-OKZRHMCRSA-N 0 3 243.416 2.768 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cncc(OC)c1 ZINC000343803811 354008138 /nfs/dbraw/zinc/00/81/38/354008138.db2.gz CGELAOOZOJMYKV-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN CC(C)OC1CC(N2CCC[C@](C)(F)C2)C1 ZINC000334549921 354017741 /nfs/dbraw/zinc/01/77/41/354017741.db2.gz ZHPIWAPQRKZIGM-BPCQOVAHSA-N 0 3 229.339 2.766 20 0 BFADHN COc1cncc(CN2CC[C@H](C)C(C)(C)C2)c1 ZINC000343842529 354019858 /nfs/dbraw/zinc/01/98/58/354019858.db2.gz SWMBAZBNNPEIGI-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@H]1CCCSC1 ZINC000086109887 491057635 /nfs/dbraw/zinc/05/76/35/491057635.db2.gz DCENAJUUYUTREA-KCJUWKMLSA-N 0 3 240.372 2.838 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCC1CCC1 ZINC000086110471 491057657 /nfs/dbraw/zinc/05/76/57/491057657.db2.gz SYHRNBYCFNIQFR-QMMMGPOBSA-N 0 3 208.305 2.742 20 0 BFADHN Cn1ccc(CNCCCC2CCCCC2)n1 ZINC000343994045 354064392 /nfs/dbraw/zinc/06/43/92/354064392.db2.gz VIBCRTZMHVAUAK-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN CCCC[C@H](C)[C@H](C)N[C@H](C)c1ncnn1C ZINC000343947407 354067749 /nfs/dbraw/zinc/06/77/49/354067749.db2.gz XSZGURIQNMPQJQ-SDDRHHMPSA-N 0 3 238.379 2.681 20 0 BFADHN C[C@@H]1CN(Cc2ccncc2)CC(C)(C)C1 ZINC000344020553 354082947 /nfs/dbraw/zinc/08/29/47/354082947.db2.gz ANPLVWQAMODAQF-LBPRGKRZSA-N 0 3 218.344 2.950 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2cnccn2)CC1 ZINC000334565828 354086383 /nfs/dbraw/zinc/08/63/83/354086383.db2.gz YHNPVVFCPOHYFT-ZDUSSCGKSA-N 0 3 233.359 2.735 20 0 BFADHN c1cncc([C@H]2CCCN2CCC[C@@H]2CCO2)c1 ZINC000639167074 354046191 /nfs/dbraw/zinc/04/61/91/354046191.db2.gz ANNWJDUPTQPVPB-HUUCEWRRSA-N 0 3 246.354 2.788 20 0 BFADHN COC1CCN([C@H](C)c2cccc(F)c2)CC1 ZINC000056268160 363297264 /nfs/dbraw/zinc/29/72/64/363297264.db2.gz NCIIBHHGTDYZRV-LLVKDONJSA-N 0 3 237.318 2.998 20 0 BFADHN CCc1cnc(CNCCC(C)C)s1 ZINC000230141041 363297932 /nfs/dbraw/zinc/29/79/32/363297932.db2.gz NFGYTFJOIAZFSI-UHFFFAOYSA-N 0 3 212.362 2.841 20 0 BFADHN c1ccc2c(c1)OC1(CCN(CCC3CC3)C1)O2 ZINC000344065858 354130790 /nfs/dbraw/zinc/13/07/90/354130790.db2.gz GUAWTYZZYCOPNJ-UHFFFAOYSA-N 0 3 245.322 2.660 20 0 BFADHN Fc1ccc(CNCC2(C3CC3)CC2)nc1 ZINC000529543691 324333282 /nfs/dbraw/zinc/33/32/82/324333282.db2.gz MFIDUFSZLOGWFF-UHFFFAOYSA-N 0 3 220.291 2.501 20 0 BFADHN Cc1c(CN(C)C[C@H]2CC=CCC2)cnn1C ZINC000344077750 354134163 /nfs/dbraw/zinc/13/41/63/354134163.db2.gz WZZOVCLGFLBPAL-ZDUSSCGKSA-N 0 3 233.359 2.517 20 0 BFADHN Cc1c(CN2C[C@@H](C)CC(C)(C)C2)cnn1C ZINC000344081627 354135016 /nfs/dbraw/zinc/13/50/16/354135016.db2.gz RKYLGSPTUDXCIL-NSHDSACASA-N 0 3 235.375 2.597 20 0 BFADHN C[C@H]1CN(Cc2ccc(C3CC3)cc2)CC[C@@H]1O ZINC000334567710 354090444 /nfs/dbraw/zinc/09/04/44/354090444.db2.gz SZZKTUCJOWJWLU-LRDDRELGSA-N 0 3 245.366 2.767 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1cc(F)cc(F)c1 ZINC000309752877 491058837 /nfs/dbraw/zinc/05/88/37/491058837.db2.gz HBSCXNPBHARZRJ-PELKAZGASA-N 0 3 243.322 2.948 20 0 BFADHN C[C@H]1CCC[C@H](C)C1NCc1ccno1 ZINC000230614709 363314533 /nfs/dbraw/zinc/31/45/33/363314533.db2.gz OPPXQWOHRQWUKD-UWVGGRQHSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1cscn1 ZINC000230653486 363316006 /nfs/dbraw/zinc/31/60/06/363316006.db2.gz AKPGURHEGKYVEY-ZJUUUORDSA-N 0 3 210.346 2.669 20 0 BFADHN C[C@H]1CCCN(Cc2cnc(C3CC3)nc2)[C@H]1C ZINC000334562225 354117318 /nfs/dbraw/zinc/11/73/18/354117318.db2.gz VUHLNXOFULHWSF-RYUDHWBXSA-N 0 3 245.370 2.974 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2ccncc2F)C1 ZINC000334578194 354122061 /nfs/dbraw/zinc/12/20/61/354122061.db2.gz UVNUTTNNBYVMSY-QWRGUYRKSA-N 0 3 222.307 2.699 20 0 BFADHN CCC[C@H](NC(C)C)c1nnc2ccccn21 ZINC000344094947 354139120 /nfs/dbraw/zinc/13/91/20/354139120.db2.gz DQCZZDPNBCCWQE-NSHDSACASA-N 0 3 232.331 2.569 20 0 BFADHN Fc1ccc(CN[C@@H]2CC23CCCC3)nc1 ZINC000529554529 324340901 /nfs/dbraw/zinc/34/09/01/324340901.db2.gz MNQHRFCREXDQFN-GFCCVEGCSA-N 0 3 220.291 2.643 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ccno2)[C@@H](C)C1 ZINC000230972958 363332604 /nfs/dbraw/zinc/33/26/04/363332604.db2.gz HQEJEMSNPOUIND-JFGNBEQYSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H](CC1CC1)NCc1cnc(C2CC2)o1 ZINC000449709057 202006777 /nfs/dbraw/zinc/00/67/77/202006777.db2.gz XWIJNCGMMFBJKR-SECBINFHSA-N 0 3 220.316 2.830 20 0 BFADHN CCC[C@H](CN(C)Cc1ccc(O)cc1)OC ZINC000639175549 354182223 /nfs/dbraw/zinc/18/22/23/354182223.db2.gz QXMOOOLAFTYTSO-CQSZACIVSA-N 0 3 237.343 2.639 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCN(C2CCCC2)C1 ZINC000344242655 354188125 /nfs/dbraw/zinc/18/81/25/354188125.db2.gz OHOYAJUAHCJYCR-CYBMUJFWSA-N 0 3 248.370 2.695 20 0 BFADHN Cc1ccc(CNCC[C@H]2CCO[C@H](C)C2)nc1 ZINC000344263247 354192873 /nfs/dbraw/zinc/19/28/73/354192873.db2.gz IJUWIQBALNTUNY-KGLIPLIRSA-N 0 3 248.370 2.685 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cnc(C2CC2)nc1 ZINC000334581480 354199982 /nfs/dbraw/zinc/19/99/82/354199982.db2.gz JLZFTVRCBBZJRO-WDEREUQCSA-N 0 3 231.343 2.584 20 0 BFADHN COc1cccnc1CN[C@H](C)[C@H]1CC1(C)C ZINC000449746809 202017109 /nfs/dbraw/zinc/01/71/09/202017109.db2.gz HAHDLLAUVJZQMK-GHMZBOCLSA-N 0 3 234.343 2.614 20 0 BFADHN CC[C@H](NCc1ncccc1OC)C1CCC1 ZINC000449746942 202017128 /nfs/dbraw/zinc/01/71/28/202017128.db2.gz HMRCXADAGHOEEU-LBPRGKRZSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1ccc(CN2CCOCC3(CC3)C2)s1 ZINC000334582625 354202975 /nfs/dbraw/zinc/20/29/75/354202975.db2.gz APNIJODTNKHDBN-UHFFFAOYSA-N 0 3 237.368 2.669 20 0 BFADHN COc1c(O)cccc1CNC[C@@H]1C[C@H]1C1CC1 ZINC000449743526 202018637 /nfs/dbraw/zinc/01/86/37/202018637.db2.gz YPMGFWYHPCUKRI-STQMWFEESA-N 0 3 247.338 2.537 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ncccc1OC ZINC000449746589 202017986 /nfs/dbraw/zinc/01/79/86/202017986.db2.gz GOWATDWETQGBFX-MNOVXSKESA-N 0 3 222.332 2.614 20 0 BFADHN c1cncc(CN(CCC[C@H]2CCO2)C2CC2)c1 ZINC000639178838 354265681 /nfs/dbraw/zinc/26/56/81/354265681.db2.gz NOLIGANPSOIUFR-HNNXBMFYSA-N 0 3 246.354 2.615 20 0 BFADHN Cc1ccc(CN2CCSC[C@H](C)C2)o1 ZINC000190848711 358485655 /nfs/dbraw/zinc/48/56/55/358485655.db2.gz MVYIHBWDOIHPHA-SNVBAGLBSA-N 0 3 225.357 2.773 20 0 BFADHN CCN(C[C@H](OC)C1CC1)[C@H](C)c1cccnc1 ZINC000639178379 354253672 /nfs/dbraw/zinc/25/36/72/354253672.db2.gz KXBOSHGRVJVERI-DOMZBBRYSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CCNCc1cncnc1 ZINC000344378827 354256342 /nfs/dbraw/zinc/25/63/42/354256342.db2.gz LXKSXEUWCTYKMO-TZMCWYRMSA-N 0 3 233.359 2.783 20 0 BFADHN COCC(C)(C)CCCNCc1ccns1 ZINC000639180081 354260899 /nfs/dbraw/zinc/26/08/99/354260899.db2.gz FSWKDNYXDTZGNG-UHFFFAOYSA-N 0 3 242.388 2.686 20 0 BFADHN Cc1noc(C)c1CN1CC(C(C)(C)C)C1 ZINC000334595782 354229568 /nfs/dbraw/zinc/22/95/68/354229568.db2.gz NAHOOCFJIVMACZ-UHFFFAOYSA-N 0 3 222.332 2.769 20 0 BFADHN Cc1occc1CN1CCC[C@H]1[C@H]1CCCO1 ZINC000334599249 354234700 /nfs/dbraw/zinc/23/47/00/354234700.db2.gz SKDBVRZMOMDYKZ-UONOGXRCSA-N 0 3 235.327 2.731 20 0 BFADHN CC(C(=O)Nc1ccc(F)cc1)C(F)(F)F ZINC000334604204 354284825 /nfs/dbraw/zinc/28/48/25/354284825.db2.gz OKSOIEYBOFVJFA-LURJTMIESA-N 0 3 235.180 2.963 20 0 BFADHN CCCC(=O)Nc1ccc(CN(C)C)cc1F ZINC000412550585 191297568 /nfs/dbraw/zinc/29/75/68/191297568.db2.gz PUTBUEZIAOTEQI-UHFFFAOYSA-N 0 3 238.306 2.626 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(Cl)cc2C)C1 ZINC000344473154 354268211 /nfs/dbraw/zinc/26/82/11/354268211.db2.gz CQMBCPVIGWPADX-CYBMUJFWSA-N 0 3 239.746 2.869 20 0 BFADHN Cc1ncc(CN[C@@H](C)Cc2ccncc2)s1 ZINC000344765459 354356612 /nfs/dbraw/zinc/35/66/12/354356612.db2.gz PCUZOLSUFXBVQI-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN CCCc1ccc(CN2CC[C@H](OC)C2)cc1 ZINC000353854541 354320703 /nfs/dbraw/zinc/32/07/03/354320703.db2.gz KDFNQFHAWKSVSK-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN Cn1cccc1CNCc1cccc2cc[nH]c21 ZINC000344619198 354327585 /nfs/dbraw/zinc/32/75/85/354327585.db2.gz VYYYXLXBXLRJBH-UHFFFAOYSA-N 0 3 239.322 2.796 20 0 BFADHN CO[C@H](c1ccccc1)[C@H](C)N[C@H](C)CF ZINC000639183087 354342282 /nfs/dbraw/zinc/34/22/82/354342282.db2.gz ODTGHXDEQHSCBD-MDZLAQPJSA-N 0 3 225.307 2.710 20 0 BFADHN CC1(C)CCC[C@@H](CNCc2ncc[nH]2)C1 ZINC000449749565 202019246 /nfs/dbraw/zinc/01/92/46/202019246.db2.gz PTJHFXHTUITXDU-LLVKDONJSA-N 0 3 221.348 2.716 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2c3ccccc3O[C@H]2C)CS1 ZINC000639185910 354381350 /nfs/dbraw/zinc/38/13/50/354381350.db2.gz GASHWDRKIHQSPD-ZHPDPMBESA-N 0 3 249.379 2.992 20 0 BFADHN Cc1cnn([C@H]2CCN(CC3=CCCCC3)C2)c1 ZINC000334623153 354361884 /nfs/dbraw/zinc/36/18/84/354361884.db2.gz GQDQVNQJNPLWNJ-HNNXBMFYSA-N 0 3 245.370 2.939 20 0 BFADHN CC[C@@H]1CCCN1Cc1c(C)noc1C ZINC000334642205 354398599 /nfs/dbraw/zinc/39/85/99/354398599.db2.gz IRMWXUIRBTUYSX-LLVKDONJSA-N 0 3 208.305 2.666 20 0 BFADHN CC1(C)[C@H](c2ccccc2)CCN1CC(N)=O ZINC000334670188 354411593 /nfs/dbraw/zinc/41/15/93/354411593.db2.gz ZADJYHNTLTVQQL-LBPRGKRZSA-N 0 3 232.327 2.790 20 0 BFADHN CC1(C)CN(Cc2ccc(CO)cc2)[C@H]1C1CC1 ZINC000639190210 354414770 /nfs/dbraw/zinc/41/47/70/354414770.db2.gz MLVLJYDBPPBNGC-HNNXBMFYSA-N 0 3 245.366 2.799 20 0 BFADHN Cc1cccnc1CN1CCSC[C@@H]1C1CC1 ZINC000334678361 354422692 /nfs/dbraw/zinc/42/26/92/354422692.db2.gz ABKFXADUQMUWCQ-CQSZACIVSA-N 0 3 248.395 2.717 20 0 BFADHN O=c1ccc(CN2CC3(CCC3)[C@H]2C2CC2)c[nH]1 ZINC000639190411 354425760 /nfs/dbraw/zinc/42/57/60/354425760.db2.gz ACTNZGKOVGAHGN-CQSZACIVSA-N 0 3 244.338 2.552 20 0 BFADHN CC1(C)CN(Cc2ccsc2)[C@@H]2COC[C@@H]21 ZINC000334745984 354469879 /nfs/dbraw/zinc/46/98/79/354469879.db2.gz MIQCYTFPOOLHPU-NWDGAFQWSA-N 0 3 237.368 2.605 20 0 BFADHN C[C@H]1CCC(C)(C)N1Cc1ccc(F)cn1 ZINC000334691809 354480808 /nfs/dbraw/zinc/48/08/08/354480808.db2.gz GCCPAEULRXXDLA-JTQLQIEISA-N 0 3 222.307 2.984 20 0 BFADHN Cc1cc(CNC2CCC(F)(F)CC2)no1 ZINC000334726715 354527200 /nfs/dbraw/zinc/52/72/00/354527200.db2.gz RWGGJZCIVRIBQD-UHFFFAOYSA-N 0 3 230.258 2.651 20 0 BFADHN O[C@]1(C(F)F)CCN([C@H]2/C=C/CCCCC2)C1 ZINC000334703598 354497250 /nfs/dbraw/zinc/49/72/50/354497250.db2.gz SXNUSYCWBFBXLD-BNHDAMHGSA-N 0 3 245.313 2.577 20 0 BFADHN CC1=CCN(Cc2cccnc2C)CC1 ZINC000334721997 354521800 /nfs/dbraw/zinc/52/18/00/354521800.db2.gz IOWYCCLWNHVBTN-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccccn2)C1 ZINC000334815733 354573627 /nfs/dbraw/zinc/57/36/27/354573627.db2.gz BBSWWRFIYPFDJZ-CYBMUJFWSA-N 0 3 204.317 2.704 20 0 BFADHN CC(C)[C@@H]1N(Cc2ccc(=O)[nH]c2)CC12CCC2 ZINC000639199551 354602914 /nfs/dbraw/zinc/60/29/14/354602914.db2.gz MUGFOTIVCLSBBJ-AWEZNQCLSA-N 0 3 246.354 2.798 20 0 BFADHN C[C@@H]1[C@H](C)N(C/C=C/c2ccccc2)CCN1C ZINC000335093693 354630938 /nfs/dbraw/zinc/63/09/38/354630938.db2.gz BRPULPJJEJXDSE-AEOIHIIDSA-N 0 3 244.382 2.724 20 0 BFADHN C[C@@H]1[C@@H](C)N(C/C=C/c2ccccc2)CCN1C ZINC000335093695 354630993 /nfs/dbraw/zinc/63/09/93/354630993.db2.gz BRPULPJJEJXDSE-FSQQNCNXSA-N 0 3 244.382 2.724 20 0 BFADHN CCCN(CCN1CCCCCC1)C(=O)CC ZINC000345361537 354632390 /nfs/dbraw/zinc/63/23/90/354632390.db2.gz OSHWXWZRSWPUNE-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CS[C@@H](C)C1 ZINC000639205784 354661295 /nfs/dbraw/zinc/66/12/95/354661295.db2.gz MKFJOTPUWJCEPD-WPRPVWTQSA-N 0 3 240.372 2.529 20 0 BFADHN CCc1nc(C)c(CNC[C@@H]2C[C@H]3C[C@H]3C2)o1 ZINC000639203106 354610850 /nfs/dbraw/zinc/61/08/50/354610850.db2.gz QEJLWHYYMMKTDP-ZSBIGDGJSA-N 0 3 234.343 2.681 20 0 BFADHN CC(C)n1cncc1CN1CCC[C@H](C)C1 ZINC000335108223 354694045 /nfs/dbraw/zinc/69/40/45/354694045.db2.gz IJKHKXJPCLVNTG-LBPRGKRZSA-N 0 3 221.348 2.696 20 0 BFADHN CC[C@H](C(=O)N1CCCC[C@H]1C)N(CC)CC ZINC000345565893 354695203 /nfs/dbraw/zinc/69/52/03/354695203.db2.gz YXKAPQVGNINENT-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN CC(C)n1cncc1CN1CCCC2(CC2)C1 ZINC000335115176 354704147 /nfs/dbraw/zinc/70/41/47/354704147.db2.gz RLIMLYOQMKKKKQ-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN C[C@@H](c1ccccc1Cl)N1C[C@@H](C)[C@@H](O)C1 ZINC000351951236 134015727 /nfs/dbraw/zinc/01/57/27/134015727.db2.gz JCJXAYRBWPHZHA-NRUUGDAUSA-N 0 3 239.746 2.714 20 0 BFADHN CO[C@@H]1[C@H](C)[C@@H](NCc2occc2C)C1(C)C ZINC000345696563 354734317 /nfs/dbraw/zinc/73/43/17/354734317.db2.gz CZBFHGFEKKONOQ-RAIGVLPGSA-N 0 3 237.343 2.737 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](C)CF)C12CCCC2 ZINC000639207322 354673643 /nfs/dbraw/zinc/67/36/43/354673643.db2.gz XCXNUJNRMNOEKS-TUAOUCFPSA-N 0 3 229.339 2.672 20 0 BFADHN COc1cccc(C)c1CNCC[C@H](C)F ZINC000639211178 354748177 /nfs/dbraw/zinc/74/81/77/354748177.db2.gz RIBDDZKAUYIQPV-NSHDSACASA-N 0 3 225.307 2.841 20 0 BFADHN CC(C)C1(N[C@H](c2nccn2C)C2CC2)CC1 ZINC000639211166 354748331 /nfs/dbraw/zinc/74/83/31/354748331.db2.gz REIBBXHNNAGVPW-LBPRGKRZSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@@H](CCC1CC1)NCc1ccn(C(F)F)n1 ZINC000345796621 354771849 /nfs/dbraw/zinc/77/18/49/354771849.db2.gz NLZVGBYEKIKELC-VIFPVBQESA-N 0 3 243.301 2.947 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@H](C)C2(CCC2)C1 ZINC000335134164 354783071 /nfs/dbraw/zinc/78/30/71/354783071.db2.gz RXFNXDROWGHNDS-ZDUSSCGKSA-N 0 3 247.386 2.741 20 0 BFADHN c1cnc(CN2CCC[C@H]3CCCC[C@H]32)cn1 ZINC000335137818 354788805 /nfs/dbraw/zinc/78/88/05/354788805.db2.gz CCEKUGYUTNXWSE-TZMCWYRMSA-N 0 3 231.343 2.631 20 0 BFADHN C[C@@H]1CCN(Cc2cnc(C3CC3)nc2)C[C@@H]1C ZINC000335138331 354789190 /nfs/dbraw/zinc/78/91/90/354789190.db2.gz IGXNHMXXZXKLKY-NEPJUHHUSA-N 0 3 245.370 2.832 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCCC2(C)C)o1 ZINC000639210797 354741728 /nfs/dbraw/zinc/74/17/28/354741728.db2.gz NKCFLZMVJDXWAU-NSHDSACASA-N 0 3 222.332 2.899 20 0 BFADHN CC[C@@H](C(=O)N(CC)CC(C)C)N(CC)CC ZINC000345988042 354833448 /nfs/dbraw/zinc/83/34/48/354833448.db2.gz HLRHUNWZEYMCGX-ZDUSSCGKSA-N 0 3 242.407 2.611 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1ccnn1CC ZINC000353876933 354794561 /nfs/dbraw/zinc/79/45/61/354794561.db2.gz AMPJGDBFBUAJLS-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN CC(C)n1cncc1CN1C[C@H](C)[C@H](C)C1 ZINC000335145507 354854853 /nfs/dbraw/zinc/85/48/53/354854853.db2.gz ABGSVXMACYASQT-TXEJJXNPSA-N 0 3 221.348 2.552 20 0 BFADHN CC(C)n1cncc1CN1CC[C@@H](C)[C@@H](C)C1 ZINC000335145579 354855534 /nfs/dbraw/zinc/85/55/34/354855534.db2.gz CQQYRKPBDJAGEA-OLZOCXBDSA-N 0 3 235.375 2.942 20 0 BFADHN CC(C)n1cncc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000335148739 354862729 /nfs/dbraw/zinc/86/27/29/354862729.db2.gz YJHBIPNZUIGXSJ-BETUJISGSA-N 0 3 233.359 2.696 20 0 BFADHN COc1cccnc1CN[C@H]1CCCC[C@H]1C ZINC000449749036 202021295 /nfs/dbraw/zinc/02/12/95/202021295.db2.gz OINMWQFVLOZALN-NEPJUHHUSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1nn(C)cc1CN1C[C@@H](C)CC(C)(C)C1 ZINC000346326370 354897334 /nfs/dbraw/zinc/89/73/34/354897334.db2.gz KZHUTFPDNORAHD-NSHDSACASA-N 0 3 235.375 2.597 20 0 BFADHN CCCc1ccc(CNCc2c[nH]nc2C)cc1 ZINC000642341816 363446455 /nfs/dbraw/zinc/44/64/55/363446455.db2.gz UVUSTQDUDBSGJQ-UHFFFAOYSA-N 0 3 243.354 2.960 20 0 BFADHN COc1cccnc1CN[C@@H]1CCCC[C@H]1C ZINC000449749039 202021105 /nfs/dbraw/zinc/02/11/05/202021105.db2.gz OINMWQFVLOZALN-VXGBXAGGSA-N 0 3 234.343 2.759 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1ccc(CC)o1 ZINC000449751750 202020923 /nfs/dbraw/zinc/02/09/23/202020923.db2.gz ALZBWPHBHWQTMH-ZIAGYGMSSA-N 0 3 237.343 2.889 20 0 BFADHN Cc1ncc([C@@H](C)N2CC[C@@H]2C2CC2)c(C)n1 ZINC000639223734 354952547 /nfs/dbraw/zinc/95/25/47/354952547.db2.gz WGACCGLDUXDVTM-QMTHXVAHSA-N 0 3 231.343 2.639 20 0 BFADHN OCC[C@H](N[C@@H]1CCC[C@@H]1C1CC1)c1ccco1 ZINC000346587350 355002401 /nfs/dbraw/zinc/00/24/01/355002401.db2.gz ZOHIZSSTPJOAME-MCIONIFRSA-N 0 3 249.354 2.871 20 0 BFADHN N#Cc1cccc(CN[C@H]2CCC(F)(F)C2)c1 ZINC000383696787 354964986 /nfs/dbraw/zinc/96/49/86/354964986.db2.gz UXQMSHFASAIQNB-LBPRGKRZSA-N 0 3 236.265 2.836 20 0 BFADHN C[C@H](C1CCC1)N(C)Cc1ccc(F)cn1 ZINC000346554086 354965505 /nfs/dbraw/zinc/96/55/05/354965505.db2.gz GEWMARDKTYGBHJ-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1cc2ccccc2o1 ZINC000349829968 366235258 /nfs/dbraw/zinc/23/52/58/366235258.db2.gz PUYYUDOLMNRMAE-ZRMMWKCHSA-N 0 3 245.322 2.850 20 0 BFADHN CCc1cccc(CN2CCN(C)CC2(C)C)c1 ZINC000346517158 354973561 /nfs/dbraw/zinc/97/35/61/354973561.db2.gz CWQXDIIXZDBQGT-UHFFFAOYSA-N 0 3 246.398 2.775 20 0 BFADHN CCN(CCC1CC1)Cc1ccc(F)cn1 ZINC000346522648 354974377 /nfs/dbraw/zinc/97/43/77/354974377.db2.gz DKIZLKNBQFEITO-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN Cc1cc(Cl)cc(C)c1CN[C@H]1C[C@@H](O)C1 ZINC000449754232 202021932 /nfs/dbraw/zinc/02/19/32/202021932.db2.gz QBEQETLCGSRQJO-TXEJJXNPSA-N 0 3 239.746 2.570 20 0 BFADHN C[C@H](N[C@@H](c1cccnc1)C1CC1)[C@@H]1CCCO1 ZINC000346624133 354996603 /nfs/dbraw/zinc/99/66/03/354996603.db2.gz DDTVMMXTUCRFNL-TUKIKUTGSA-N 0 3 246.354 2.690 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CCC(C)(C)C2)[n-]1 ZINC000346638981 355015221 /nfs/dbraw/zinc/01/52/21/355015221.db2.gz QAAGVQMVVVFVOL-VHSXEESVSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@H](C)N[C@@H]2CCC(C)(C)C2)[nH]1 ZINC000346638981 355015225 /nfs/dbraw/zinc/01/52/25/355015225.db2.gz QAAGVQMVVVFVOL-VHSXEESVSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@H]2CCC(C)(C)C2)[n-]1 ZINC000346638982 355015601 /nfs/dbraw/zinc/01/56/01/355015601.db2.gz QAAGVQMVVVFVOL-ZJUUUORDSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@@H](C)N[C@H]2CCC(C)(C)C2)[nH]1 ZINC000346638982 355015602 /nfs/dbraw/zinc/01/56/02/355015602.db2.gz QAAGVQMVVVFVOL-ZJUUUORDSA-N 0 3 236.363 2.596 20 0 BFADHN Cc1noc(C)c1CN1CCC[C@@H](C2CC2)C1 ZINC000335188809 355020529 /nfs/dbraw/zinc/02/05/29/355020529.db2.gz COLSJDYBVSYXLT-CYBMUJFWSA-N 0 3 234.343 2.913 20 0 BFADHN Cc1noc(C)c1CN1CC(C)(C)C[C@H]1C ZINC000335189156 355020764 /nfs/dbraw/zinc/02/07/64/355020764.db2.gz KYDIBZJWJAZPLI-SECBINFHSA-N 0 3 222.332 2.912 20 0 BFADHN Cc1cnn(C)c1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000335189554 355021172 /nfs/dbraw/zinc/02/11/72/355021172.db2.gz NLBDBFKIAARAGS-DGCLKSJQSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1nocc1CN[C@@H]1CS[C@@H](C(C)C)C1 ZINC000639225810 355070759 /nfs/dbraw/zinc/07/07/59/355070759.db2.gz BDSUSBCLTYOUIZ-NWDGAFQWSA-N 0 3 240.372 2.603 20 0 BFADHN Cc1cccc(CCN2CCN(C)[C@H](C)[C@H]2C)c1 ZINC000335230506 355073115 /nfs/dbraw/zinc/07/31/15/355073115.db2.gz LQKILCCVMMVSQC-HUUCEWRRSA-N 0 3 246.398 2.562 20 0 BFADHN F[C@@H]1CCN(C[C@H]2CCN(c3ccccc3)C2)C1 ZINC000639226928 355046580 /nfs/dbraw/zinc/04/65/80/355046580.db2.gz GROVKPDNVUSXSB-ZIAGYGMSSA-N 0 3 248.345 2.557 20 0 BFADHN F[C@@H]1CCN(C[C@@H]2CCN(c3ccccc3)C2)C1 ZINC000639226927 355046905 /nfs/dbraw/zinc/04/69/05/355046905.db2.gz GROVKPDNVUSXSB-UONOGXRCSA-N 0 3 248.345 2.557 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc2ccccc2n1 ZINC000346797648 355107515 /nfs/dbraw/zinc/10/75/15/355107515.db2.gz SZBAOSIAMYCEKO-NWDGAFQWSA-N 0 3 244.338 2.748 20 0 BFADHN CC[C@H](COC)NC1(c2ccc(F)cc2)CC1 ZINC000346800200 355108547 /nfs/dbraw/zinc/10/85/47/355108547.db2.gz QDFCEDCWLCEKGQ-CYBMUJFWSA-N 0 3 237.318 2.829 20 0 BFADHN Cc1nocc1CN[C@H]1CC=C(C)CC1 ZINC000639228846 355109238 /nfs/dbraw/zinc/10/92/38/355109238.db2.gz WFVXMOGOUXHAPH-LBPRGKRZSA-N 0 3 206.289 2.571 20 0 BFADHN C[C@H](O)[C@@H]1CCN(Cc2cccc(Cl)c2)C1 ZINC000166076873 355123199 /nfs/dbraw/zinc/12/31/99/355123199.db2.gz GKZWCIHSKFPJOC-CMPLNLGQSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1ccc(F)cn1 ZINC000335188247 355088012 /nfs/dbraw/zinc/08/80/12/355088012.db2.gz GKXRXUYBYOWWNG-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN Cn1ccc(CNC2CC3(C2)CCCCC3)n1 ZINC000335234001 355094720 /nfs/dbraw/zinc/09/47/20/355094720.db2.gz VYIHKQDNKAADEP-UHFFFAOYSA-N 0 3 233.359 2.623 20 0 BFADHN C[C@@H](NC1(c2ccccc2)CC1)[C@@H]1CCCO1 ZINC000346834027 355130608 /nfs/dbraw/zinc/13/06/08/355130608.db2.gz NKYCYGLLFUTXCO-OCCSQVGLSA-N 0 3 231.339 2.833 20 0 BFADHN CCN(Cc1c(C)cc(C)nc1OC)C(C)C ZINC000346853263 355138807 /nfs/dbraw/zinc/13/88/07/355138807.db2.gz WDSPFAQEFHJEAE-UHFFFAOYSA-N 0 3 236.359 2.937 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@H](C)c1ccccn1 ZINC000346971941 355208144 /nfs/dbraw/zinc/20/81/44/355208144.db2.gz JJDCGLPRJCLXSO-VXGBXAGGSA-N 0 3 230.311 2.956 20 0 BFADHN CCC[C@@H](N[C@H](C)CCCO)c1ccccn1 ZINC000346974930 355209220 /nfs/dbraw/zinc/20/92/20/355209220.db2.gz CJSGOEPIAGJBRV-TZMCWYRMSA-N 0 3 236.359 2.673 20 0 BFADHN CCn1cncc1CN1CC2(CCC2)C[C@@H]1C ZINC000335241668 355176401 /nfs/dbraw/zinc/17/64/01/355176401.db2.gz UKYPBCPFRZHFNI-LBPRGKRZSA-N 0 3 233.359 2.668 20 0 BFADHN C[C@H](C1CCC1)N(C)Cc1cncc(F)c1 ZINC000347016343 355206106 /nfs/dbraw/zinc/20/61/06/355206106.db2.gz OAYIFXSOPGLWEA-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN CCN(Cc1ccc(OC)c(OC)c1C)C1CC1 ZINC000347618256 355237613 /nfs/dbraw/zinc/23/76/13/355237613.db2.gz UMVJVSQBOQNDLK-UHFFFAOYSA-N 0 3 249.354 2.997 20 0 BFADHN CC[C@@H](CN(C)[C@@H](C)c1cccc(O)c1)OC ZINC000412976302 191347206 /nfs/dbraw/zinc/34/72/06/191347206.db2.gz IITPUFKXWQQMBS-FZMZJTMJSA-N 0 3 237.343 2.810 20 0 BFADHN CC[C@H](CN(C)[C@H](C)c1cccc(O)c1)OC ZINC000412976301 191347473 /nfs/dbraw/zinc/34/74/73/191347473.db2.gz IITPUFKXWQQMBS-BXUZGUMPSA-N 0 3 237.343 2.810 20 0 BFADHN CC[C@@H](CN1Cc2ccccc2[C@H]1C)OC ZINC000412980158 191349756 /nfs/dbraw/zinc/34/97/56/191349756.db2.gz WOQOISWRMHHGCX-YPMHNXCESA-N 0 3 219.328 2.988 20 0 BFADHN CC[C@H](CN1CCC[C@H]1c1ccncc1)OC ZINC000412980144 191350081 /nfs/dbraw/zinc/35/00/81/191350081.db2.gz WJFVVKWYRQBVNA-KGLIPLIRSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@H](C(=O)N1CCCCC[C@H]1CC)N(C)C ZINC000413488732 191389571 /nfs/dbraw/zinc/38/95/71/191389571.db2.gz PZCBJBYXNVOGCU-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCc3c[nH]nc3C2)o1 ZINC000335291375 355266168 /nfs/dbraw/zinc/26/61/68/355266168.db2.gz GFDUYCXGWWFCHC-ZYHUDNBSSA-N 0 3 245.326 2.519 20 0 BFADHN CCc1ccc(CN2CCC[C@H](C)C2)cn1 ZINC000347681220 355266207 /nfs/dbraw/zinc/26/62/07/355266207.db2.gz WBFPYORXUWRICL-LBPRGKRZSA-N 0 3 218.344 2.876 20 0 BFADHN CCN1C[C@@H](C)N(Cc2ccccc2)[C@H](C)C1 ZINC000347685070 355269525 /nfs/dbraw/zinc/26/95/25/355269525.db2.gz GGTAIKNEDITKOQ-ZIAGYGMSSA-N 0 3 232.371 2.601 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@@H]2CC2(F)F)nc1C ZINC000639256073 355297326 /nfs/dbraw/zinc/29/73/26/355297326.db2.gz CGPZCSSWGAVSAX-PWSUYJOCSA-N 0 3 240.297 2.832 20 0 BFADHN Cn1ccnc1[C@@H](N[C@]1(C)CC=CCC1)C1CC1 ZINC000639258822 355304253 /nfs/dbraw/zinc/30/42/53/355304253.db2.gz NWVUHAZNIILGEL-DZGCQCFKSA-N 0 3 245.370 2.960 20 0 BFADHN CC[C@H]1CN(C)CCN1Cc1csc(C)c1 ZINC000582162316 355335780 /nfs/dbraw/zinc/33/57/80/355335780.db2.gz UNBOCHDIUSOYRA-ZDUSSCGKSA-N 0 3 238.400 2.583 20 0 BFADHN Cc1c([C@@H](C)NC2CC2)cnn1C(C)C ZINC000191104107 355313164 /nfs/dbraw/zinc/31/31/64/355313164.db2.gz HPJPBGYXFSPVKT-SECBINFHSA-N 0 3 207.321 2.585 20 0 BFADHN CC1(CN2CCOc3cc(O)ccc3C2)CCC1 ZINC000348868841 355327127 /nfs/dbraw/zinc/32/71/27/355327127.db2.gz LICBTWDVWVUPIU-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN CCC1CN(Cc2c(C)cccc2OC)C1 ZINC000639249715 355278325 /nfs/dbraw/zinc/27/83/25/355278325.db2.gz YUUKIHXMEYUEBC-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@H]3C[C@]32C)n1 ZINC000414199462 191459345 /nfs/dbraw/zinc/45/93/45/191459345.db2.gz AGPWJSXRYOPVSF-IACUBPJLSA-N 0 3 233.359 2.571 20 0 BFADHN Cc1scc(CN2CC(C(C)(C)O)C2)c1C ZINC000639252220 355286243 /nfs/dbraw/zinc/28/62/43/355286243.db2.gz BAOIVEUJRIWXQF-UHFFFAOYSA-N 0 3 239.384 2.568 20 0 BFADHN CCc1ncc(CNCC2(C(C)C)CC2)o1 ZINC000639252902 355286887 /nfs/dbraw/zinc/28/68/87/355286887.db2.gz QKMXXKICDTWEFQ-UHFFFAOYSA-N 0 3 222.332 2.763 20 0 BFADHN CC[C@@H](CCO)CN[C@H](C)c1nc(C)cs1 ZINC000623903909 355369239 /nfs/dbraw/zinc/36/92/39/355369239.db2.gz QYQVNRQCRCGMMI-MNOVXSKESA-N 0 3 242.388 2.511 20 0 BFADHN CCn1ccc(CN[C@H]2CCCC[C@H]2C2CC2)n1 ZINC000414206189 191467705 /nfs/dbraw/zinc/46/77/05/191467705.db2.gz INCSINXYHKLVMU-GJZGRUSLSA-N 0 3 247.386 2.961 20 0 BFADHN CCCN1CCO[C@@H](C2CCCCC2)C1 ZINC000349232998 355372148 /nfs/dbraw/zinc/37/21/48/355372148.db2.gz CGGZJUNZMUAFIU-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@@H]1C[C@@H]1CN(Cc1ccccn1)C1CC1 ZINC000349235910 355373304 /nfs/dbraw/zinc/37/33/04/355373304.db2.gz CRBUVZBDTGESOU-VXGBXAGGSA-N 0 3 216.328 2.702 20 0 BFADHN CCOC1CC(CN2CC(C)(CC(F)F)C2)C1 ZINC000639282188 355377692 /nfs/dbraw/zinc/37/76/92/355377692.db2.gz BVYDUEZLVJTIKH-UHFFFAOYSA-N 0 3 247.329 2.779 20 0 BFADHN CC[C@@](C)(CN1CC(C)(CC(F)F)C1)OC ZINC000639283279 355379836 /nfs/dbraw/zinc/37/98/36/355379836.db2.gz JXJXHVLNXSABBJ-LBPRGKRZSA-N 0 3 235.318 2.779 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@H]2CC2(F)F)cc(C)n1 ZINC000639272044 355339987 /nfs/dbraw/zinc/33/99/87/355339987.db2.gz LWIWGXYMRULVEG-CMPLNLGQSA-N 0 3 240.297 2.832 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@@H]2CC2(F)F)cc(C)n1 ZINC000639272045 355340523 /nfs/dbraw/zinc/34/05/23/355340523.db2.gz LWIWGXYMRULVEG-JQWIXIFHSA-N 0 3 240.297 2.832 20 0 BFADHN CC[C@@H](C)[C@H](CN[C@@H]1C[C@H]1c1ccco1)OC ZINC000639272334 355343377 /nfs/dbraw/zinc/34/33/77/355343377.db2.gz JASGHJUTMPGETR-BYNQJWBRSA-N 0 3 237.343 2.786 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCSC2)[C@@H](CC)CO1 ZINC000651913408 491078276 /nfs/dbraw/zinc/07/82/76/491078276.db2.gz VZRCROALHYKOJQ-RWMBFGLXSA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCSC2)[C@H](CC)CO1 ZINC000651913409 491078292 /nfs/dbraw/zinc/07/82/92/491078292.db2.gz VZRCROALHYKOJQ-YNEHKIRRSA-N 0 3 243.416 2.629 20 0 BFADHN CCc1ncc(CN(CC)C2CCCC2)cn1 ZINC000414372703 191500014 /nfs/dbraw/zinc/50/00/14/191500014.db2.gz QFTWUQDXEMOCJC-UHFFFAOYSA-N 0 3 233.359 2.804 20 0 BFADHN CO[C@@H](CNCc1ccoc1C)C(C)(C)C ZINC000414373767 191502473 /nfs/dbraw/zinc/50/24/73/191502473.db2.gz ZUTACPQLUSMGFG-LBPRGKRZSA-N 0 3 225.332 2.739 20 0 BFADHN C[C@@H]1CCC[C@@H](N2CCc3n[nH]cc3C2)[C@H]1C ZINC000623240414 355416380 /nfs/dbraw/zinc/41/63/80/355416380.db2.gz KQJLTJMXWDEYCQ-UHIISALHSA-N 0 3 233.359 2.593 20 0 BFADHN C[C@@H]1C[C@H](CNCc2ccc(Cl)o2)[C@@H](C)O1 ZINC000414517783 191526360 /nfs/dbraw/zinc/52/63/60/191526360.db2.gz RHVYMKFWOGZXGP-OPRDCNLKSA-N 0 3 243.734 2.836 20 0 BFADHN c1[nH]nc2c1CN([C@H]1CCCC[C@@H]1C1CC1)CC2 ZINC000623239721 355397288 /nfs/dbraw/zinc/39/72/88/355397288.db2.gz QVUFERSDHFJJKS-HIFRSBDPSA-N 0 3 245.370 2.737 20 0 BFADHN Cc1ccc(N(C)C(=O)C(C)C(F)(F)F)nc1 ZINC000335991147 134040388 /nfs/dbraw/zinc/04/03/88/134040388.db2.gz RQPBAMMRFJKGEU-MRVPVSSYSA-N 0 3 246.232 2.551 20 0 BFADHN C[C@@H]1COCCN(C/C=C/c2ccccc2)C1 ZINC000335800659 355493436 /nfs/dbraw/zinc/49/34/36/355493436.db2.gz TYBOSTMCSVTZFB-GPAKFWEMSA-N 0 3 231.339 2.668 20 0 BFADHN CC[C@@H](CN1CCO[C@@H](C)C1)c1ccccc1 ZINC000349786784 355461986 /nfs/dbraw/zinc/46/19/86/355461986.db2.gz CRQYNSGXAVFVNL-KBPBESRZSA-N 0 3 233.355 2.901 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2C[C@@H]2c2ccccc2)CCO1 ZINC000349789187 355463230 /nfs/dbraw/zinc/46/32/30/355463230.db2.gz BELNOTHDROPTHC-ARFHVFGLSA-N 0 3 245.366 2.901 20 0 BFADHN CC(=O)Nc1ccc(CN2[C@H](C)C[C@@H]2C)cc1 ZINC000335804446 355474077 /nfs/dbraw/zinc/47/40/77/355474077.db2.gz DJGRYOUZNJTNKO-PHIMTYICSA-N 0 3 232.327 2.628 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN1CCc2n[nH]cc2C1 ZINC000623241374 355488897 /nfs/dbraw/zinc/48/88/97/355488897.db2.gz QXBIOGTYXZMUHY-GXTWGEPZSA-N 0 3 245.370 2.760 20 0 BFADHN C[C@@H](N[C@H]1CCc2ccccc2C1)c1ccon1 ZINC000349836554 355489809 /nfs/dbraw/zinc/48/98/09/355489809.db2.gz SFYUNJFYMQOERC-RISCZKNCSA-N 0 3 242.322 2.883 20 0 BFADHN C[C@H](N[C@H]1CCc2ccccc2C1)c1ccon1 ZINC000349836382 355489953 /nfs/dbraw/zinc/48/99/53/355489953.db2.gz SFYUNJFYMQOERC-FZMZJTMJSA-N 0 3 242.322 2.883 20 0 BFADHN CO[C@H]1CN(Cc2ccc(C)nc2C)CC[C@@H]1C ZINC000335820514 355538480 /nfs/dbraw/zinc/53/84/80/355538480.db2.gz XEFKIDLFRJKXML-NHYWBVRUSA-N 0 3 248.370 2.555 20 0 BFADHN CC[C@@H]1CCN([C@H](C)c2cnc(C)cn2)C1 ZINC000335820915 355539367 /nfs/dbraw/zinc/53/93/67/355539367.db2.gz YGXAJVAJCBVGCP-VXGBXAGGSA-N 0 3 219.332 2.578 20 0 BFADHN CCc1nc(C)c(CN2CC[C@H](C)[C@@H]2C)o1 ZINC000335821367 355540111 /nfs/dbraw/zinc/54/01/11/355540111.db2.gz JIUPYPBNXFQTMU-ONGXEEELSA-N 0 3 222.332 2.776 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@H]1N1CCc2n[nH]cc2C1 ZINC000623241988 355540235 /nfs/dbraw/zinc/54/02/35/355540235.db2.gz LVMYQXOXQCHQBU-ZWNOBZJWSA-N 0 3 233.359 2.593 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cnn(C(C)(C)C)c1 ZINC000335824306 355545828 /nfs/dbraw/zinc/54/58/28/355545828.db2.gz VPHSMEZRDGRIHA-NWDGAFQWSA-N 0 3 235.375 2.868 20 0 BFADHN CO[C@H](CN1CC2(CC2(F)F)C1)C1CCCC1 ZINC000639294153 355554530 /nfs/dbraw/zinc/55/45/30/355554530.db2.gz CUIIIABGNGRIIR-LLVKDONJSA-N 0 3 245.313 2.533 20 0 BFADHN CC(C)=CCN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000335817534 355508790 /nfs/dbraw/zinc/50/87/90/355508790.db2.gz AWDWJEPWWIPLSS-MNOVXSKESA-N 0 3 215.287 2.930 20 0 BFADHN CCN(C/C=C\c1ccccc1OC)CCOC ZINC000349862815 355512070 /nfs/dbraw/zinc/51/20/70/355512070.db2.gz ZRNQOSNKNVFJJX-CLFYSBASSA-N 0 3 249.354 2.677 20 0 BFADHN CCN(CCOC)Cc1cc(C)ccc1C ZINC000349862712 355512310 /nfs/dbraw/zinc/51/23/10/355512310.db2.gz XTLYNCAYOMZGDO-UHFFFAOYSA-N 0 3 221.344 2.772 20 0 BFADHN Cc1cc(C)cc(CN2CCN(C3CC3)CC2)c1 ZINC000349878127 355515534 /nfs/dbraw/zinc/51/55/34/355515534.db2.gz UCDYCWKFUICYAP-UHFFFAOYSA-N 0 3 244.382 2.583 20 0 BFADHN CC[C@H]1CN(CC2CCSCC2)[C@H](C)CO1 ZINC000639296017 355591307 /nfs/dbraw/zinc/59/13/07/355591307.db2.gz OGFXUKWNDQIDRZ-YPMHNXCESA-N 0 3 243.416 2.629 20 0 BFADHN C[C@H]1CC[C@@H](N(C)Cc2ccc(F)cn2)C1 ZINC000335851662 355595821 /nfs/dbraw/zinc/59/58/21/355595821.db2.gz NNYUWOWFVUXIEL-GXFFZTMASA-N 0 3 222.307 2.841 20 0 BFADHN CCCN(CCC)Cc1conc1CC ZINC000350152690 355616362 /nfs/dbraw/zinc/61/63/62/355616362.db2.gz ZGJCMTXPURJQLY-UHFFFAOYSA-N 0 3 210.321 2.859 20 0 BFADHN Cc1ccc2nccc(N[C@@H]3CO[C@@H](C)C3)c2c1 ZINC000582178484 355611820 /nfs/dbraw/zinc/61/18/20/355611820.db2.gz BYFYYHPCUIVHDU-RYUDHWBXSA-N 0 3 242.322 2.554 20 0 BFADHN CCCCN(C)C(=O)Nc1ccccc1CNC ZINC000415897180 191702281 /nfs/dbraw/zinc/70/22/81/191702281.db2.gz FJZXAZSUSFLHSR-UHFFFAOYSA-N 0 3 249.358 2.670 20 0 BFADHN CCCC[C@H](C)[C@@H](C)N1CCc2n[nH]cc2C1 ZINC000623243169 355577486 /nfs/dbraw/zinc/57/74/86/355577486.db2.gz WRPKXFOJTOGGIU-NWDGAFQWSA-N 0 3 235.375 2.983 20 0 BFADHN Cn1ccnc1[C@@H](C1CC1)N1C[C@@H]2CCCC[C@@H]21 ZINC000639301856 355643145 /nfs/dbraw/zinc/64/31/45/355643145.db2.gz IHNFKWLFPOTUMD-MELADBBJSA-N 0 3 245.370 2.746 20 0 BFADHN CC[C@@H](NCc1ccns1)[C@@H]1CCCCO1 ZINC000639302391 355647823 /nfs/dbraw/zinc/64/78/23/355647823.db2.gz MEKWHUHUANGPOB-NEPJUHHUSA-N 0 3 240.372 2.580 20 0 BFADHN COCC1(NCc2cc(C)ns2)CCCC1 ZINC000639303540 355654781 /nfs/dbraw/zinc/65/47/81/355654781.db2.gz LWXRLLMPGUZDNT-UHFFFAOYSA-N 0 3 240.372 2.500 20 0 BFADHN Cc1ncc([C@H](C)N[C@]23C[C@H]2CCC3)c(C)n1 ZINC000639304492 355659437 /nfs/dbraw/zinc/65/94/37/355659437.db2.gz URNRVEPJYJCXQJ-ZKYQVNSYSA-N 0 3 231.343 2.687 20 0 BFADHN CC[C@@]1(C)CCC[C@@H]1N1CCc2n[nH]cc2C1 ZINC000623243889 355617238 /nfs/dbraw/zinc/61/72/38/355617238.db2.gz SGQXJAFRIJCMTR-KBPBESRZSA-N 0 3 233.359 2.737 20 0 BFADHN Cc1cnc(CN2CC[C@H](C)C[C@H](C)C2)cn1 ZINC000335866153 355629848 /nfs/dbraw/zinc/62/98/48/355629848.db2.gz IZQXZZWXTIPIAT-RYUDHWBXSA-N 0 3 233.359 2.653 20 0 BFADHN C[C@H](NCc1ncc[nH]1)[C@H]1CCC[C@H](C)C1 ZINC000449765691 202026388 /nfs/dbraw/zinc/02/63/88/202026388.db2.gz KAOBXRSDMFYVRK-SRVKXCTJSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@@H]1CN(Cc2ccc(F)cn2)C(C)(C)C1 ZINC000335872491 355639299 /nfs/dbraw/zinc/63/92/99/355639299.db2.gz YDGNSFLTQGTSNT-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cnc(C)nc1 ZINC000335894349 355688667 /nfs/dbraw/zinc/68/86/67/355688667.db2.gz LOVRSYHMMLNLHR-CYBMUJFWSA-N 0 3 219.332 2.550 20 0 BFADHN COC[C@@H]1CCCCN1Cc1ccc(F)cc1 ZINC000449761673 202026795 /nfs/dbraw/zinc/02/67/95/202026795.db2.gz ZWDLHSGPIWVTHH-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN Cc1cc(CNC[C@]2(C)CC2(F)F)sn1 ZINC000639314724 355700187 /nfs/dbraw/zinc/70/01/87/355700187.db2.gz GLMNTCSVXRDDLE-VIFPVBQESA-N 0 3 232.299 2.586 20 0 BFADHN CC[C@@](C)(NCc1snnc1C)C1CC1 ZINC000639316388 355708349 /nfs/dbraw/zinc/70/83/49/355708349.db2.gz IMIBPAMAUHYAEG-LLVKDONJSA-N 0 3 225.361 2.515 20 0 BFADHN COc1cc(CN[C@@H]2CCC[C@@H]2C(C)C)on1 ZINC000639324788 355714567 /nfs/dbraw/zinc/71/45/67/355714567.db2.gz PDSDZESTNNYXBG-VXGBXAGGSA-N 0 3 238.331 2.598 20 0 BFADHN CC1(C)C[C@@H](N[C@H]2CCCc3ncccc32)CO1 ZINC000631645217 355715289 /nfs/dbraw/zinc/71/52/89/355715289.db2.gz NCAFHRZOYMFFFL-RISCZKNCSA-N 0 3 246.354 2.616 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2cc(OC)no2)C1 ZINC000639323391 355716896 /nfs/dbraw/zinc/71/68/96/355716896.db2.gz BPKGTZVWMHBIHD-GHMZBOCLSA-N 0 3 238.331 2.742 20 0 BFADHN COc1cc(CN[C@H](C)CCC(C)C)on1 ZINC000639323670 355717395 /nfs/dbraw/zinc/71/73/95/355717395.db2.gz FPRWOYVSUHIUSD-SNVBAGLBSA-N 0 3 226.320 2.598 20 0 BFADHN COc1cc(CNC[C@@H]2CCCC[C@@H]2C)on1 ZINC000639324070 355718030 /nfs/dbraw/zinc/71/80/30/355718030.db2.gz JLSNSSGTZXJTEV-QWRGUYRKSA-N 0 3 238.331 2.599 20 0 BFADHN COc1cc(CNC[C@@H]2CCCC[C@H]2C)on1 ZINC000639324069 355718072 /nfs/dbraw/zinc/71/80/72/355718072.db2.gz JLSNSSGTZXJTEV-MNOVXSKESA-N 0 3 238.331 2.599 20 0 BFADHN COc1cc(CNC[C@H]2CCC[C@H](C)C2)on1 ZINC000639324351 355718916 /nfs/dbraw/zinc/71/89/16/355718916.db2.gz LGDDXJYCGWTBKU-QWRGUYRKSA-N 0 3 238.331 2.599 20 0 BFADHN CC[C@H](NCCCCOC)c1nccs1 ZINC000126677001 324709726 /nfs/dbraw/zinc/70/97/26/324709726.db2.gz NQOAKSVYESECBH-JTQLQIEISA-N 0 3 228.361 2.610 20 0 BFADHN C[C@H]1C[C@@H](O)CCN1Cc1coc2ccccc12 ZINC000335886631 355678221 /nfs/dbraw/zinc/67/82/21/355678221.db2.gz KFMQIFHUYPMIMV-AAEUAGOBSA-N 0 3 245.322 2.778 20 0 BFADHN C[C@@H](N[C@H]1CCC12CCCC2)c1nccn1C ZINC000335890207 355681969 /nfs/dbraw/zinc/68/19/69/355681969.db2.gz YWMQLDXRBLMJOQ-NEPJUHHUSA-N 0 3 233.359 2.794 20 0 BFADHN COc1c(C)cccc1CNC[C@@H](OC)C1CC1 ZINC000639312986 355684038 /nfs/dbraw/zinc/68/40/38/355684038.db2.gz POECQTUNBWSRSC-CQSZACIVSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1cc(CN2CCC(C)(C3CC3)CC2)ncn1 ZINC000335893618 355687120 /nfs/dbraw/zinc/68/71/20/355687120.db2.gz NZGMAOCKMJVNPM-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1nc([C@@H](C)NC[C@H]2CC23CC3)cs1 ZINC000417338649 191795760 /nfs/dbraw/zinc/79/57/60/191795760.db2.gz MXMAHIUOIHUDAJ-PSASIEDQSA-N 0 3 222.357 2.902 20 0 BFADHN Cc1nnsc1CNC(C)(C1CC1)C1CC1 ZINC000639329257 355745296 /nfs/dbraw/zinc/74/52/96/355745296.db2.gz WREIGFYUSMJHPS-UHFFFAOYSA-N 0 3 237.372 2.515 20 0 BFADHN COc1cccc(OC)c1CN1CC[C@@H]2C[C@@H]2C1 ZINC000639326460 355724597 /nfs/dbraw/zinc/72/45/97/355724597.db2.gz XCOWUATVFVEVQN-VXGBXAGGSA-N 0 3 247.338 2.546 20 0 BFADHN CC1(C)C[C@@]1(C)NCc1cccc(F)c1N ZINC000639327519 355730822 /nfs/dbraw/zinc/73/08/22/355730822.db2.gz LLGCDRANDULYKH-CYBMUJFWSA-N 0 3 222.307 2.686 20 0 BFADHN Cn1ccnc1[C@@H](N[C@]1(C)CC1(C)C)C1CC1 ZINC000639328058 355733977 /nfs/dbraw/zinc/73/39/77/355733977.db2.gz OWCNOAXIDKLUBZ-SMDDNHRTSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1cc(CNCC[C@H]2CC2(F)F)sn1 ZINC000639337273 355775903 /nfs/dbraw/zinc/77/59/03/355775903.db2.gz AJQQNEZGVPLVDN-QMMMGPOBSA-N 0 3 232.299 2.586 20 0 BFADHN CO[C@@](C)(CN1CC(Cc2ccco2)C1)C1CC1 ZINC000639349350 355790340 /nfs/dbraw/zinc/79/03/40/355790340.db2.gz MESOSVIZIBIMHI-HNNXBMFYSA-N 0 3 249.354 2.569 20 0 BFADHN COCC1(CN2CC(Cc3ccco3)C2)CCC1 ZINC000639349673 355791745 /nfs/dbraw/zinc/79/17/45/355791745.db2.gz PDYRBLZBUMBBJC-UHFFFAOYSA-N 0 3 249.354 2.571 20 0 BFADHN C1=CCC(CNCc2nc3ccccc3o2)C1 ZINC000639347097 355793885 /nfs/dbraw/zinc/79/38/85/355793885.db2.gz XIXMMGVIKQNRLZ-UHFFFAOYSA-N 0 3 228.295 2.884 20 0 BFADHN c1cc(CNCC[C@H]2CC=CCC2)sn1 ZINC000639350282 355795643 /nfs/dbraw/zinc/79/56/43/355795643.db2.gz DOOXXASWBVRWLO-NSHDSACASA-N 0 3 222.357 2.979 20 0 BFADHN CCn1nc(C)c(CN(C)C[C@@H]2C[C@@H]2C)c1C ZINC000639359532 355813765 /nfs/dbraw/zinc/81/37/65/355813765.db2.gz ADUUZDHCSILEDI-GWCFXTLKSA-N 0 3 235.375 2.608 20 0 BFADHN CO[C@H](CNCC(C)(C)F)C1CCCCC1 ZINC000639358617 355813833 /nfs/dbraw/zinc/81/38/33/355813833.db2.gz NQBSZCYZOCQHBZ-GFCCVEGCSA-N 0 3 231.355 2.919 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN(C)CC2(C)COC2)o1 ZINC000608491751 355814418 /nfs/dbraw/zinc/81/44/18/355814418.db2.gz BMLQHMDEFQQVRY-WCQYABFASA-N 0 3 249.354 2.871 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)[C@@H](C)OC)o1 ZINC000191649420 355821473 /nfs/dbraw/zinc/82/14/73/355821473.db2.gz DVGOQZIYMAQSMH-GARJFASQSA-N 0 3 225.332 2.916 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](C)[C@H](C)OC)o1 ZINC000191649439 355821662 /nfs/dbraw/zinc/82/16/62/355821662.db2.gz DVGOQZIYMAQSMH-AXFHLTTASA-N 0 3 225.332 2.916 20 0 BFADHN CCCC[C@H](CC)CNC(=O)CN(CC)CC ZINC000096953917 355837369 /nfs/dbraw/zinc/83/73/69/355837369.db2.gz OFCLLFHGTXMZDJ-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN C[C@H](NCc1ncc[nH]1)[C@@H]1C[C@H]1c1cccs1 ZINC000639373124 355876339 /nfs/dbraw/zinc/87/63/39/355876339.db2.gz XMJLWHNNYFOGCE-GARJFASQSA-N 0 3 247.367 2.753 20 0 BFADHN CCc1nocc1CN(C)C(C1CC1)C1CC1 ZINC000350866059 355841869 /nfs/dbraw/zinc/84/18/69/355841869.db2.gz CYIJLGZLNMQXCI-UHFFFAOYSA-N 0 3 234.343 2.857 20 0 BFADHN CC(C)N[C@H]1CCOc2c(F)ccc(F)c21 ZINC000070819647 535291339 /nfs/dbraw/zinc/29/13/39/535291339.db2.gz DENJAVPGEPUBJO-JTQLQIEISA-N 0 3 227.254 2.786 20 0 BFADHN C[C@H](CCc1ccsc1)NCc1ncc[nH]1 ZINC000639370705 355863821 /nfs/dbraw/zinc/86/38/21/355863821.db2.gz GABNZPWOAZGQMD-SNVBAGLBSA-N 0 3 235.356 2.582 20 0 BFADHN C[C@H](CCc1ccccc1)CNCc1ncc[nH]1 ZINC000639372455 355871691 /nfs/dbraw/zinc/87/16/91/355871691.db2.gz PRLOBWQIYVLXOF-CYBMUJFWSA-N 0 3 243.354 2.768 20 0 BFADHN Cc1cc(CN2C3CCCC2CCC3)on1 ZINC000608499187 355827986 /nfs/dbraw/zinc/82/79/86/355827986.db2.gz CNYNXRZAXFOKNG-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN CC[C@@H]1CN([C@@H](C)c2ccncc2)CCS1 ZINC000608510539 355832543 /nfs/dbraw/zinc/83/25/43/355832543.db2.gz YLCNEDZTDDGIDH-WCQYABFASA-N 0 3 236.384 2.970 20 0 BFADHN CC[C@@H]1CN([C@H](C)c2ccncc2)CCS1 ZINC000608510538 355832779 /nfs/dbraw/zinc/83/27/79/355832779.db2.gz YLCNEDZTDDGIDH-DGCLKSJQSA-N 0 3 236.384 2.970 20 0 BFADHN COCC1(C2CC2)CN(Cc2cccc(C)c2)C1 ZINC000639379706 355915613 /nfs/dbraw/zinc/91/56/13/355915613.db2.gz LDPVIAADJGBQCC-UHFFFAOYSA-N 0 3 245.366 2.853 20 0 BFADHN C[C@@H](CCc1cccc(F)c1)NC1(C)COC1 ZINC000639380678 355919138 /nfs/dbraw/zinc/91/91/38/355919138.db2.gz JCAZYYDKIIIRHR-NSHDSACASA-N 0 3 237.318 2.525 20 0 BFADHN COc1cc(CN[C@@]23C[C@@H]2CCCC3)sn1 ZINC000639380881 355919654 /nfs/dbraw/zinc/91/96/54/355919654.db2.gz XEEKLXPPONEUTQ-CABZTGNLSA-N 0 3 238.356 2.574 20 0 BFADHN Cn1ccnc1[C@H](N[C@]12C[C@H]1CCCC2)C1CC1 ZINC000639380746 355919688 /nfs/dbraw/zinc/91/96/88/355919688.db2.gz VHWDNIZMNBYVQJ-UMVBOHGHSA-N 0 3 245.370 2.794 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000583624554 355891447 /nfs/dbraw/zinc/89/14/47/355891447.db2.gz HIGXPBJWWWRPLM-MGPQQGTHSA-N 0 3 235.302 2.580 20 0 BFADHN Oc1ccc(CN2CCC(CCF)CC2)cc1 ZINC000639376770 355900909 /nfs/dbraw/zinc/90/09/09/355900909.db2.gz YPOHKKDYTCTCFT-UHFFFAOYSA-N 0 3 237.318 2.964 20 0 BFADHN C[C@@H](F)CCN(C)CCOc1ccc(F)cc1 ZINC000352114075 134068678 /nfs/dbraw/zinc/06/86/78/134068678.db2.gz SJMNTBJYMJLCOT-LLVKDONJSA-N 0 3 243.297 2.884 20 0 BFADHN COc1ccc(F)c(CN2C[C@@H](C)[C@H](C)C2)c1 ZINC000351625341 356034130 /nfs/dbraw/zinc/03/41/30/356034130.db2.gz WULQOFJQMUWBGN-GHMZBOCLSA-N 0 3 237.318 2.922 20 0 BFADHN CO[C@@H](CN[C@@H]1C[C@H]1C(F)(F)F)CC(C)C ZINC000639390910 356035053 /nfs/dbraw/zinc/03/50/53/356035053.db2.gz PYLCGEBYLOHDON-OPRDCNLKSA-N 0 3 239.281 2.588 20 0 BFADHN Cc1nocc1CN(C(C)C)C1CCC1 ZINC000351644033 356041966 /nfs/dbraw/zinc/04/19/66/356041966.db2.gz MYKMLDRCSQDOHH-UHFFFAOYSA-N 0 3 208.305 2.746 20 0 BFADHN CCC[C@H]1CCCN(Cc2conc2C)C1 ZINC000351670843 356050789 /nfs/dbraw/zinc/05/07/89/356050789.db2.gz DWWHXYRYULHDAP-LBPRGKRZSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1nocc1CN1CC[C@H]1Cc1ccccc1 ZINC000351675728 356052813 /nfs/dbraw/zinc/05/28/13/356052813.db2.gz WJXVZEBXZIFVEV-HNNXBMFYSA-N 0 3 242.322 2.800 20 0 BFADHN Cc1cnccc1CN1CCC(C)(F)CC1 ZINC000639389141 356011232 /nfs/dbraw/zinc/01/12/32/356011232.db2.gz QMIUVVFZJBIFQO-UHFFFAOYSA-N 0 3 222.307 2.714 20 0 BFADHN Cc1ccncc1CN1CCC(C)(F)CC1 ZINC000639389175 356012177 /nfs/dbraw/zinc/01/21/77/356012177.db2.gz SJXAXTJFIWZPTQ-UHFFFAOYSA-N 0 3 222.307 2.714 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1C(F)(F)F)[C@H]1CC1(F)F ZINC000639390563 356025519 /nfs/dbraw/zinc/02/55/19/356025519.db2.gz FWXHZUMEGBLLQT-DBRKOABJSA-N 0 3 229.192 2.571 20 0 BFADHN Cc1ncc(CN(C)C[C@H](C)C(C)(C)C)cn1 ZINC000639397783 356112624 /nfs/dbraw/zinc/11/26/24/356112624.db2.gz FHSTWLYVHHAKLO-NSHDSACASA-N 0 3 235.375 2.899 20 0 BFADHN Cc1ncc(CN(C)C[C@@H](C)C(C)(C)C)n1C ZINC000639398860 356118081 /nfs/dbraw/zinc/11/80/81/356118081.db2.gz MAJPPEOBYIMLOT-LLVKDONJSA-N 0 3 237.391 2.843 20 0 BFADHN CC[C@@]1(C)CCN([C@H](CC(C)C)C(=O)OC)C1 ZINC000351882411 356124320 /nfs/dbraw/zinc/12/43/20/356124320.db2.gz PJMCJFABOSMPPK-OCCSQVGLSA-N 0 3 241.375 2.696 20 0 BFADHN COc1cc(CN(C)CC[C@@H](C)F)ccc1O ZINC000351882486 356125554 /nfs/dbraw/zinc/12/55/54/356125554.db2.gz QNQSRMHMNUWQNP-SNVBAGLBSA-N 0 3 241.306 2.581 20 0 BFADHN COc1cc(C)nc(CN[C@]23C[C@H]2CCCC3)c1 ZINC000639394063 356080439 /nfs/dbraw/zinc/08/04/39/356080439.db2.gz HQQKPGYRVVKXEB-IUODEOHRSA-N 0 3 246.354 2.821 20 0 BFADHN COc1ccccc1CN(C)CC[C@H](C)F ZINC000351862226 356099047 /nfs/dbraw/zinc/09/90/47/356099047.db2.gz TWASNGSHYQGWIR-NSHDSACASA-N 0 3 225.307 2.875 20 0 BFADHN OC[C@H](NCCc1ccccc1)c1ccsc1 ZINC000351890614 356155381 /nfs/dbraw/zinc/15/53/81/356155381.db2.gz ZGTFHQDVQHVLED-AWEZNQCLSA-N 0 3 247.363 2.614 20 0 BFADHN Cc1nnc(CN[C@@H]2CCCC[C@H]2C)s1 ZINC000134721037 491087512 /nfs/dbraw/zinc/08/75/12/491087512.db2.gz JPSZRMNTTAPEHP-PSASIEDQSA-N 0 3 225.361 2.515 20 0 BFADHN C[C@@H]1COCCN1CC1CCC(C)(C)CC1 ZINC000351885971 356138958 /nfs/dbraw/zinc/13/89/58/356138958.db2.gz BCNVYMUQQYHGGX-GFCCVEGCSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H](C(N)=O)N1CCC[C@H](C(C)(C)C)C1 ZINC000336087886 134077216 /nfs/dbraw/zinc/07/72/16/134077216.db2.gz KIVQAEGEFCDLBI-UWVGGRQHSA-N 0 3 212.337 2.668 20 0 BFADHN Cc1cc(C)c(CN2CCCC2)c(C)n1 ZINC000639443730 356222663 /nfs/dbraw/zinc/22/26/63/356222663.db2.gz SXVOAYPUMHDBJO-UHFFFAOYSA-N 0 3 204.317 2.603 20 0 BFADHN Cc1cc(CN[C@@H]2CC(C)(C)C[C@H]2C)on1 ZINC000192391115 356182616 /nfs/dbraw/zinc/18/26/16/356182616.db2.gz IFPSROPSMRUUBI-BXKDBHETSA-N 0 3 222.332 2.897 20 0 BFADHN CCCN(C)Cc1c(C)cc(C)nc1C ZINC000639466675 356252716 /nfs/dbraw/zinc/25/27/16/356252716.db2.gz ZGGAACRFMDMGJU-UHFFFAOYSA-N 0 3 206.333 2.849 20 0 BFADHN Cc1c[nH]nc1CN1C[C@H]2[C@@H]3CC[C@@H](C3)[C@@]2(C)C1 ZINC000449761740 202027811 /nfs/dbraw/zinc/02/78/11/202027811.db2.gz AOFNZOUWEARJSB-UKTARXLSSA-N 0 3 245.370 2.586 20 0 BFADHN CCc1nc(C)c(CN2CCC[C@H](C)C2)o1 ZINC000336115485 134088353 /nfs/dbraw/zinc/08/83/53/134088353.db2.gz HXOYYTWTEDTARQ-JTQLQIEISA-N 0 3 222.332 2.777 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@@H]1CCO[C@H]1C ZINC000639461734 356244794 /nfs/dbraw/zinc/24/47/94/356244794.db2.gz HANVCXHFHAFVER-WOPDTQHZSA-N 0 3 223.316 2.697 20 0 BFADHN C[C@@H](c1ccco1)N(C)C[C@@H]1CCO[C@H]1C ZINC000639461638 356245210 /nfs/dbraw/zinc/24/52/10/356245210.db2.gz HANVCXHFHAFVER-SRVKXCTJSA-N 0 3 223.316 2.697 20 0 BFADHN CCc1nc(C)c(CN2CCC(C)CC2)o1 ZINC000336118795 134089619 /nfs/dbraw/zinc/08/96/19/134089619.db2.gz NFRSWNHTXWSKNP-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CC(C)(C)CO2)CCS1 ZINC000639502645 356332391 /nfs/dbraw/zinc/33/23/91/356332391.db2.gz COIPDVZSJYSHCP-NWDGAFQWSA-N 0 3 243.416 2.629 20 0 BFADHN CCC[C@@]1(C)CCCN(CCc2cnccn2)C1 ZINC000639505652 356333352 /nfs/dbraw/zinc/33/33/52/356333352.db2.gz YVUKSTXPCDGIDZ-HNNXBMFYSA-N 0 3 247.386 2.921 20 0 BFADHN C[C@@H]1CC[C@H](N(C)Cc2cncs2)C1 ZINC000336130486 134094251 /nfs/dbraw/zinc/09/42/51/134094251.db2.gz CNKREZMSKADZKY-ZJUUUORDSA-N 0 3 210.346 2.764 20 0 BFADHN COC[C@H](C)CN[C@H]1COc2c1ccc(C)c2C ZINC000526124040 356361047 /nfs/dbraw/zinc/36/10/47/356361047.db2.gz HLJSJGFDXGKQHB-YGRLFVJLSA-N 0 3 249.354 2.609 20 0 BFADHN C[C@@H](C(N)=O)N1CCC[C@H](c2ccccc2)C1 ZINC000336130517 134094318 /nfs/dbraw/zinc/09/43/18/134094318.db2.gz FTYAVUBAOAZPPQ-AAEUAGOBSA-N 0 3 232.327 2.790 20 0 BFADHN CN(CCOC(C)(C)C)C[C@@H]1CC(C)(C)CO1 ZINC000639519070 356363389 /nfs/dbraw/zinc/36/33/89/356363389.db2.gz FDPGAYCOJGDCLR-LBPRGKRZSA-N 0 3 243.391 2.548 20 0 BFADHN CCN1CC[C@H]2CC[C@@H](C1)N2CC(F)(F)CC ZINC000639527140 356375370 /nfs/dbraw/zinc/37/53/70/356375370.db2.gz OLHUMONTOCMPPQ-NEPJUHHUSA-N 0 3 246.345 2.590 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1ccc2[nH]ccc2c1 ZINC000040809843 358502813 /nfs/dbraw/zinc/50/28/13/358502813.db2.gz FELGWKQKMAFYEH-GFCCVEGCSA-N 0 3 245.326 2.624 20 0 BFADHN CCN(Cc1ccc(F)c(Cl)c1)C[C@H](C)O ZINC000352194379 134098019 /nfs/dbraw/zinc/09/80/19/134098019.db2.gz JGNHQLAFWMGSTA-VIFPVBQESA-N 0 3 245.725 2.682 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccc(COC)o1 ZINC000352652840 356395068 /nfs/dbraw/zinc/39/50/68/356395068.db2.gz RKPRWRCWNQEBIT-LLVKDONJSA-N 0 3 223.316 2.800 20 0 BFADHN c1nc(CN[C@H](C2CC2)C2CCC2)cs1 ZINC000324628975 356412282 /nfs/dbraw/zinc/41/22/82/356412282.db2.gz FIAAZIAEKYXJQF-LBPRGKRZSA-N 0 3 222.357 2.811 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccnc(C)n2)CC1 ZINC000336630757 356412509 /nfs/dbraw/zinc/41/25/09/356412509.db2.gz MDUNCJNEDGPHLN-CYBMUJFWSA-N 0 3 233.359 2.797 20 0 BFADHN Cc1ccc(F)cc1CN[C@H]1CO[C@@H](C2CC2)C1 ZINC000623754873 356451435 /nfs/dbraw/zinc/45/14/35/356451435.db2.gz UYFXHAYOJUZHKQ-HUUCEWRRSA-N 0 3 249.329 2.791 20 0 BFADHN C[C@H]1OCC[C@H]1CN1CCc2cccc(F)c2C1 ZINC000639555002 356460180 /nfs/dbraw/zinc/46/01/80/356460180.db2.gz QPZJCTMOTCNUTB-YPMHNXCESA-N 0 3 249.329 2.609 20 0 BFADHN C[C@@H]1CCN(CCc2cnccn2)CC12CCC2 ZINC000639557939 356462478 /nfs/dbraw/zinc/46/24/78/356462478.db2.gz AAZPNCUZBAYGJJ-CYBMUJFWSA-N 0 3 245.370 2.531 20 0 BFADHN CCN(C[C@H](C)O)[C@H](C)c1ccc(F)c(F)c1 ZINC000352213501 134108489 /nfs/dbraw/zinc/10/84/89/134108489.db2.gz VJALVKHOCVTYTJ-VHSXEESVSA-N 0 3 243.297 2.729 20 0 BFADHN C[C@@H](NCCC(C)(F)F)c1ccoc1 ZINC000379282921 356439730 /nfs/dbraw/zinc/43/97/30/356439730.db2.gz FCCBUURNPXCMRT-MRVPVSSYSA-N 0 3 203.232 2.976 20 0 BFADHN C[C@@H](c1cccnc1)N(C)C[C@H]1CC(C)(C)CO1 ZINC000639551825 356440862 /nfs/dbraw/zinc/44/08/62/356440862.db2.gz SGGQMKMDEDQCDI-GXTWGEPZSA-N 0 3 248.370 2.890 20 0 BFADHN COC1CC(N(C)C/C=C\c2ccccc2)C1 ZINC000352221863 134109305 /nfs/dbraw/zinc/10/93/05/134109305.db2.gz OLODHUBVOFUJRP-TWGQIWQCSA-N 0 3 231.339 2.809 20 0 BFADHN Cc1ccc(F)cc1CN(C)[C@@H]1CCCOC1 ZINC000352230144 134110628 /nfs/dbraw/zinc/11/06/28/134110628.db2.gz IFYCAXKNZMLROL-CQSZACIVSA-N 0 3 237.318 2.745 20 0 BFADHN CCc1ccccc1CN(C)[C@H]1CCCOC1 ZINC000352229870 134110711 /nfs/dbraw/zinc/11/07/11/134110711.db2.gz GQAHOYYOWCXNJN-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN c1nc2c(s1)CCC[C@@H]2NC1CCC1 ZINC000623912565 356480690 /nfs/dbraw/zinc/48/06/90/356480690.db2.gz MUHGNLFAVMKKHF-VIFPVBQESA-N 0 3 208.330 2.663 20 0 BFADHN CC(=O)CN[C@@H]1CCCC[C@H]1Cc1ccccc1 ZINC000639589964 356512917 /nfs/dbraw/zinc/51/29/17/356512917.db2.gz RNRLRSFBGVDOKG-JKSUJKDBSA-N 0 3 245.366 2.967 20 0 BFADHN CC[C@@H](F)CN1CCN(C)CC12CCCCC2 ZINC000336168967 134114159 /nfs/dbraw/zinc/11/41/59/134114159.db2.gz BKHXBBLREARPRI-CYBMUJFWSA-N 0 3 242.382 2.685 20 0 BFADHN Cc1csc([C@H](C)NC[C@H]2CCO[C@H]2C)n1 ZINC000639581674 356502419 /nfs/dbraw/zinc/50/24/19/356502419.db2.gz XINGFYFTMWHSNH-GARJFASQSA-N 0 3 240.372 2.527 20 0 BFADHN CC(=O)CN[C@@H](C)C(C)(C)c1ccccc1 ZINC000639583882 356506443 /nfs/dbraw/zinc/50/64/43/356506443.db2.gz XXUUPBQDERCJTE-LBPRGKRZSA-N 0 3 219.328 2.531 20 0 BFADHN Cc1cnc([C@H](C)NC[C@H]2CCO[C@H]2C)s1 ZINC000639584203 356507211 /nfs/dbraw/zinc/50/72/11/356507211.db2.gz KXEXBQNJFMYCDF-GARJFASQSA-N 0 3 240.372 2.527 20 0 BFADHN CC[C@@H]1CN([C@H]2C=CCCC2)C[C@@H](CC)O1 ZINC000420954454 192278736 /nfs/dbraw/zinc/27/87/36/192278736.db2.gz JYRRWZQKPSXWLD-BFHYXJOUSA-N 0 3 223.360 2.985 20 0 BFADHN CC1CCC(CNCc2ccon2)CC1 ZINC000088052717 491094105 /nfs/dbraw/zinc/09/41/05/491094105.db2.gz XQGOWXXYMSOWPY-UHFFFAOYSA-N 0 3 208.305 2.591 20 0 BFADHN C[C@H]1CCC[C@H](CNCc2ccon2)C1 ZINC000088052772 491094160 /nfs/dbraw/zinc/09/41/60/491094160.db2.gz RMIYRIHVGMXLKW-QWRGUYRKSA-N 0 3 208.305 2.591 20 0 BFADHN CC(=O)CN1C[C@H](c2ccc(C)cc2)C[C@@H]1C ZINC000639617563 356534925 /nfs/dbraw/zinc/53/49/25/356534925.db2.gz XMXBMGBMNXTNCR-SWLSCSKDSA-N 0 3 231.339 2.762 20 0 BFADHN FC1(F)CC[C@@H](CN2CCOCC23CCC3)C1 ZINC000336188536 134122365 /nfs/dbraw/zinc/12/23/65/134122365.db2.gz UJKVKVQTSZIQMI-LLVKDONJSA-N 0 3 245.313 2.677 20 0 BFADHN COC[C@@H]1CCCN(Cc2occc2C)CC1 ZINC000449782393 202034416 /nfs/dbraw/zinc/03/44/16/202034416.db2.gz ZJLIZLLNHCJMPT-CYBMUJFWSA-N 0 3 237.343 2.837 20 0 BFADHN CC1(C)CCN(Cc2cccc3nccn32)CC1 ZINC000342722200 535316385 /nfs/dbraw/zinc/31/63/85/535316385.db2.gz AJQWPLOVUGKYTG-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN FC1(F)CCC[C@@H](CN2CC[C@]23CCOC3)C1 ZINC000421009549 192300562 /nfs/dbraw/zinc/30/05/62/192300562.db2.gz BQZXWKRNVDOETC-VXGBXAGGSA-N 0 3 245.313 2.677 20 0 BFADHN Fc1cccc(C2(NCCOCC3CC3)CC2)c1 ZINC000179687691 366285263 /nfs/dbraw/zinc/28/52/63/366285263.db2.gz UREROFDDUXWHJM-UHFFFAOYSA-N 0 3 249.329 2.831 20 0 BFADHN Cc1cc(C)c(CN[C@]2(C)CCO[C@@H]2C)c(C)n1 ZINC000639636555 356550454 /nfs/dbraw/zinc/55/04/54/356550454.db2.gz XJODKRUMVCETRW-UKRRQHHQSA-N 0 3 248.370 2.664 20 0 BFADHN Cc1cc(C)c(CN(C)[C@H]2CCCOC2)c(C)n1 ZINC000639639857 356552368 /nfs/dbraw/zinc/55/23/68/356552368.db2.gz XHDYWXACKDIRNP-AWEZNQCLSA-N 0 3 248.370 2.618 20 0 BFADHN CC1(C)CO[C@H](CN2CCCc3occc3C2)C1 ZINC000639646385 356552519 /nfs/dbraw/zinc/55/25/19/356552519.db2.gz DUICZFFAWDGRIL-ZDUSSCGKSA-N 0 3 249.354 2.843 20 0 BFADHN c1ccc(CCCCN2CC[C@]23CCOC3)cc1 ZINC000421023165 192305291 /nfs/dbraw/zinc/30/52/91/192305291.db2.gz QVZFNGOAFVZVQZ-MRXNPFEDSA-N 0 3 245.366 2.874 20 0 BFADHN Cc1ccc(CCCN2CC[C@]23CCOC3)cc1 ZINC000421021670 192305730 /nfs/dbraw/zinc/30/57/30/192305730.db2.gz OWVPZJACGZFCCX-MRXNPFEDSA-N 0 3 245.366 2.792 20 0 BFADHN Cc1cc(CN2CC[C@@]23CCOC3)c(C)s1 ZINC000421026771 192307121 /nfs/dbraw/zinc/30/71/21/192307121.db2.gz SZFDVMBICBLROQ-ZDUSSCGKSA-N 0 3 237.368 2.730 20 0 BFADHN CCC(CC)N(CC)CC(=O)Nc1ccccn1 ZINC000639644750 356558204 /nfs/dbraw/zinc/55/82/04/356558204.db2.gz APLUQTPNEXJCMZ-UHFFFAOYSA-N 0 3 249.358 2.531 20 0 BFADHN C(=C\c1ccccc1)\CCN1CC[C@@]12CCOC2 ZINC000421027031 192308097 /nfs/dbraw/zinc/30/80/97/192308097.db2.gz UEHRMULAYNNQDO-FAOGRTBUSA-N 0 3 243.350 2.955 20 0 BFADHN c1cc(CN2CC[C@]23CCOC3)cc2c1CCC2 ZINC000421027083 192308494 /nfs/dbraw/zinc/30/84/94/192308494.db2.gz UIUHAKJZLZERQR-MRXNPFEDSA-N 0 3 243.350 2.540 20 0 BFADHN Fc1cccc(CCCN2CC[C@]23CCOC3)c1 ZINC000421027386 192308795 /nfs/dbraw/zinc/30/87/95/192308795.db2.gz URMZHRWTNHZHQX-OAHLLOKOSA-N 0 3 249.329 2.623 20 0 BFADHN OCCN(Cc1cccc(C2CC2)c1)C1CC1 ZINC000421326239 192318109 /nfs/dbraw/zinc/31/81/09/192318109.db2.gz FYROGWRAENRWOD-UHFFFAOYSA-N 0 3 231.339 2.521 20 0 BFADHN CS[C@@H]1CCN(Cc2cc3cccnc3o2)C1 ZINC000336210164 134134034 /nfs/dbraw/zinc/13/40/34/134134034.db2.gz OKNWXEKPORAEHN-GFCCVEGCSA-N 0 3 248.351 2.765 20 0 BFADHN CCc1nn(C)cc1CN[C@@H]1CC12CCCCC2 ZINC000387066255 363490706 /nfs/dbraw/zinc/49/07/06/363490706.db2.gz XNEZRJVNVMWVBG-CQSZACIVSA-N 0 3 247.386 2.795 20 0 BFADHN CO[C@@H]1CCN(Cc2cccc(C3CC3)c2)C1 ZINC000421323510 192317438 /nfs/dbraw/zinc/31/74/38/192317438.db2.gz WDYLQGGKCRPOJN-OAHLLOKOSA-N 0 3 231.339 2.785 20 0 BFADHN Fc1cc2c(c(F)c1F)[C@H](NC1CC1)CC2 ZINC000336219178 134138653 /nfs/dbraw/zinc/13/86/53/134138653.db2.gz HFTMBGJIRTWZOP-SECBINFHSA-N 0 3 227.229 2.843 20 0 BFADHN CCCCN(CC(C)=O)[C@@H]1CCCC[C@H]1OC ZINC000639663789 356577407 /nfs/dbraw/zinc/57/74/07/356577407.db2.gz FUQTZPBCYHWPDP-ZIAGYGMSSA-N 0 3 241.375 2.635 20 0 BFADHN C[C@H]1C[C@H]1CC(=O)Nc1ccc(CN(C)C)cc1 ZINC000352291733 134139479 /nfs/dbraw/zinc/13/94/79/134139479.db2.gz CFQYRIWQCWTIRU-AAEUAGOBSA-N 0 3 246.354 2.733 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cc(Cl)cs1 ZINC000193242948 134139550 /nfs/dbraw/zinc/13/95/50/134139550.db2.gz KKYPMOWGYUOCTP-JGVFFNPUSA-N 0 3 233.764 2.915 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCCC2(F)F)C[C@H](C)O1 ZINC000450217967 202098526 /nfs/dbraw/zinc/09/85/26/202098526.db2.gz TVCMKIUDAXLSBE-GRYCIOLGSA-N 0 3 247.329 2.921 20 0 BFADHN CCC[C@H](O)CN(C)Cc1sccc1C ZINC000450218236 202099334 /nfs/dbraw/zinc/09/93/34/202099334.db2.gz XABRLRGDCAOYDU-NSHDSACASA-N 0 3 227.373 2.649 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000379929956 538462807 /nfs/dbraw/zinc/46/28/07/538462807.db2.gz NXHIDKWAGUUDLN-IUCAKERBSA-N 0 3 228.361 2.527 20 0 BFADHN CCN1CCN(CCC2=CCCCC2)CC1 ZINC000450238642 202104865 /nfs/dbraw/zinc/10/48/65/202104865.db2.gz WRAHOSOUTGSFIF-UHFFFAOYSA-N 0 3 222.376 2.514 20 0 BFADHN C1=C(CN2CCN(CC3CC3)CC2)CCCC1 ZINC000450268485 202113249 /nfs/dbraw/zinc/11/32/49/202113249.db2.gz GZXLRIRUOSYUIA-UHFFFAOYSA-N 0 3 234.387 2.514 20 0 BFADHN CCN(Cc1ccccc1Cl)C[C@@H](C)CO ZINC000120608437 491099514 /nfs/dbraw/zinc/09/95/14/491099514.db2.gz ZGLOXHJLFQYLDE-LLVKDONJSA-N 0 3 241.762 2.790 20 0 BFADHN CCN(CC[C@H](C)O)Cc1ccc(Cl)cc1 ZINC000450304062 202124301 /nfs/dbraw/zinc/12/43/01/202124301.db2.gz PBSFOQOMTVIQFS-NSHDSACASA-N 0 3 241.762 2.933 20 0 BFADHN Cc1occc1CN(C)CC1CCC(=O)CC1 ZINC000450283930 202118401 /nfs/dbraw/zinc/11/84/01/202118401.db2.gz KHGZWXJZRMIABX-UHFFFAOYSA-N 0 3 235.327 2.779 20 0 BFADHN C1=C(CN2CCO[C@@H]3CCC[C@@H]32)CCCC1 ZINC000450282561 202118476 /nfs/dbraw/zinc/11/84/76/202118476.db2.gz HRMZINMOZUAIKN-UONOGXRCSA-N 0 3 221.344 2.740 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccnc(C#N)c2)C1 ZINC000399483041 356658585 /nfs/dbraw/zinc/65/85/85/356658585.db2.gz RNPYGTFKHCUQQL-AWEZNQCLSA-N 0 3 229.327 2.575 20 0 BFADHN CCN1CC[C@@H](NCc2sccc2Cl)C1 ZINC000389465637 356679612 /nfs/dbraw/zinc/67/96/12/356679612.db2.gz TTYZCTMCCKYSKH-SECBINFHSA-N 0 3 244.791 2.585 20 0 BFADHN Cc1ccc(Cl)cc1-c1cnn2c1CNCC2 ZINC000675076038 538510175 /nfs/dbraw/zinc/51/01/75/538510175.db2.gz JBMWCTFRCPMROK-UHFFFAOYSA-N 0 3 247.729 2.615 20 0 BFADHN CCc1cc(N2CC[C@H](OCC(C)C)C2)ccn1 ZINC000450383389 202145820 /nfs/dbraw/zinc/14/58/20/202145820.db2.gz ZSQPUAXKMRHWLZ-HNNXBMFYSA-N 0 3 248.370 2.895 20 0 BFADHN CCc1cc(N2CC[C@@H](OCC(C)C)C2)ccn1 ZINC000450383390 202147328 /nfs/dbraw/zinc/14/73/28/202147328.db2.gz ZSQPUAXKMRHWLZ-OAHLLOKOSA-N 0 3 248.370 2.895 20 0 BFADHN C(c1noc2c1CCCC2)N1C[C@H]2CCC[C@@H]2C1 ZINC000153212089 538541184 /nfs/dbraw/zinc/54/11/84/538541184.db2.gz BKWZAZYIPYYGLD-VXGBXAGGSA-N 0 3 246.354 2.785 20 0 BFADHN CC[C@@H]1COCCN1CCC1=CCCCC1 ZINC000450414221 202158208 /nfs/dbraw/zinc/15/82/08/202158208.db2.gz WZIDQFVASIDMLG-CQSZACIVSA-N 0 3 223.360 2.988 20 0 BFADHN CCN(Cc1cnc2ccccn12)C1CCC1 ZINC000353800463 356719068 /nfs/dbraw/zinc/71/90/68/356719068.db2.gz BJNYYCCQNUEFRY-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN CC[C@@H](N[C@@H](c1nccn1C)C1CC1)C1CC1 ZINC000353858369 356723045 /nfs/dbraw/zinc/72/30/45/356723045.db2.gz BVQNSKJCBWQXEX-CHWSQXEVSA-N 0 3 233.359 2.649 20 0 BFADHN CC/C=C\CCN1CCC[C@H]1c1cn[nH]c1 ZINC000639778152 356724892 /nfs/dbraw/zinc/72/48/92/356724892.db2.gz PTBULZFTDJLEIC-SCOBNMCVSA-N 0 3 219.332 2.903 20 0 BFADHN CC(C)=CCN1CCC[C@H]1c1cn[nH]c1 ZINC000639779170 356726477 /nfs/dbraw/zinc/72/64/77/356726477.db2.gz YOIKVEFUKXIOBV-LBPRGKRZSA-N 0 3 205.305 2.513 20 0 BFADHN CC1(C)CN(Cc2cocn2)[C@@H]2CCC[C@H]21 ZINC000354579242 356740733 /nfs/dbraw/zinc/74/07/33/356740733.db2.gz LXNIIRRQNGSNSL-VXGBXAGGSA-N 0 3 220.316 2.685 20 0 BFADHN CCN(Cc1ccsc1C)[C@H]1CCOC1 ZINC000353566326 356713851 /nfs/dbraw/zinc/71/38/51/356713851.db2.gz HKHYRZJMNDKYDF-LBPRGKRZSA-N 0 3 225.357 2.667 20 0 BFADHN COc1ccncc1CN1CC[C@@H](CC(C)C)C1 ZINC000347070597 538565372 /nfs/dbraw/zinc/56/53/72/538565372.db2.gz HUDQENYBBYKAEL-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN c1n[nH]cc1[C@H]1CCCN1CC1=CCCC1 ZINC000639775902 356717271 /nfs/dbraw/zinc/71/72/71/356717271.db2.gz HGHZSOXPKPRLQT-CYBMUJFWSA-N 0 3 217.316 2.657 20 0 BFADHN C[C@H]1C[C@H](NCc2ccon2)C[C@@H](C)C1 ZINC000252195123 538661249 /nfs/dbraw/zinc/66/12/49/538661249.db2.gz VKMROXJHEWDQAN-IAZYJMLFSA-N 0 3 208.305 2.589 20 0 BFADHN CN(C)c1c(CNCC2CC2)ccc(F)c1F ZINC000623353029 356778278 /nfs/dbraw/zinc/77/82/78/356778278.db2.gz ZEHXACIDGHXOST-UHFFFAOYSA-N 0 3 240.297 2.530 20 0 BFADHN CC(C)CCCN1CCC=C(c2cnn(C)c2)C1 ZINC000639797556 356755086 /nfs/dbraw/zinc/75/50/86/356755086.db2.gz MPFKNFYFDUHOKC-UHFFFAOYSA-N 0 3 247.386 2.945 20 0 BFADHN CCc1cc(N2CC[C@H](C)[C@@H](OC)C2)ccn1 ZINC000450467367 202174334 /nfs/dbraw/zinc/17/43/34/202174334.db2.gz CUHFANIQKVVWGB-FZMZJTMJSA-N 0 3 234.343 2.505 20 0 BFADHN CCC[C@H](O)CN1C[C@H](C)[C@@H]1c1ccccc1 ZINC000450470153 202174701 /nfs/dbraw/zinc/17/47/01/202174701.db2.gz SXTKAGRPBVKRQI-AEGPPILISA-N 0 3 233.355 2.840 20 0 BFADHN CCN(Cc1cccs1)Cc1[nH]ncc1C ZINC000356065283 356788394 /nfs/dbraw/zinc/78/83/94/356788394.db2.gz ZPVPHTSHAZQUGE-UHFFFAOYSA-N 0 3 235.356 2.802 20 0 BFADHN CCc1cc(N2C[C@@H](C)O[C@@H](C3CC3)C2)ccn1 ZINC000450492377 202184917 /nfs/dbraw/zinc/18/49/17/202184917.db2.gz SVXHEXYOQVTMHK-IAQYHMDHSA-N 0 3 246.354 2.648 20 0 BFADHN CCc1ccc2nccc(NC[C@@H](C)OC)c2c1 ZINC000450471344 202178241 /nfs/dbraw/zinc/17/82/41/202178241.db2.gz UXNLEMLSZQBGMM-LLVKDONJSA-N 0 3 244.338 2.666 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@]1(C)CCOC1 ZINC000356843381 356806861 /nfs/dbraw/zinc/80/68/61/356806861.db2.gz HAARXJJQRSJYNO-WFASDCNBSA-N 0 3 248.370 2.721 20 0 BFADHN CC(C)CCN1CCC[C@@H]1c1ncccn1 ZINC000639841096 356834251 /nfs/dbraw/zinc/83/42/51/356834251.db2.gz RDAAZVUBRBZHCE-GFCCVEGCSA-N 0 3 219.332 2.660 20 0 BFADHN CCc1cnccc1[C@@H](C)NCc1ccncc1 ZINC000358518953 356870262 /nfs/dbraw/zinc/87/02/62/356870262.db2.gz RZFHPBQYZFLEOQ-GFCCVEGCSA-N 0 3 241.338 2.890 20 0 BFADHN CC[C@H](NCc1ccc(Cl)o1)[C@@H]1CCOC1 ZINC000390516575 356884793 /nfs/dbraw/zinc/88/47/93/356884793.db2.gz DCSKOGCKZIIFTO-KOLCDFICSA-N 0 3 243.734 2.838 20 0 BFADHN C[C@@H]1N(C[C@H]2CCC(F)(F)C2)CCOC1(C)C ZINC000450495834 202185966 /nfs/dbraw/zinc/18/59/66/202185966.db2.gz XDYNDGHEJFPILG-QWRGUYRKSA-N 0 3 247.329 2.921 20 0 BFADHN CO[C@H](C)CN(C)CCOC1CCCCCC1 ZINC000353265975 538737606 /nfs/dbraw/zinc/73/76/06/538737606.db2.gz ZXFRCWVJJHUJFP-CYBMUJFWSA-N 0 3 243.391 2.693 20 0 BFADHN CC(C)[C@H]1CC[C@H]1NCc1nccn1C(F)F ZINC000353504711 538747018 /nfs/dbraw/zinc/74/70/18/538747018.db2.gz SIDZTDFLAXNFAZ-NXEZZACHSA-N 0 3 243.301 2.802 20 0 BFADHN CCN(Cc1cc(C)ccc1C)[C@@H]1CCOC1 ZINC000361254324 356922452 /nfs/dbraw/zinc/92/24/52/356922452.db2.gz XFOBMCQNRANVAV-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN CCc1ccc(CCN[C@H](C)c2ncc[nH]2)cc1 ZINC000353520418 538748660 /nfs/dbraw/zinc/74/86/60/538748660.db2.gz OPOQWPXCTZMLSE-GFCCVEGCSA-N 0 3 243.354 2.865 20 0 BFADHN C[C@@H](NCC1CCC(F)(F)CC1)c1ncc[nH]1 ZINC000353591615 538751728 /nfs/dbraw/zinc/75/17/28/538751728.db2.gz XUQSCGDXJARICJ-SECBINFHSA-N 0 3 243.301 2.886 20 0 BFADHN CCN(Cc1nccn1CC)[C@H](C)C(C)C ZINC000118833136 356999616 /nfs/dbraw/zinc/99/96/16/356999616.db2.gz KJRKOKLBWQWXAM-GFCCVEGCSA-N 0 3 223.364 2.769 20 0 BFADHN COC[C@H](C)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000019904479 356992435 /nfs/dbraw/zinc/99/24/35/356992435.db2.gz NRGIBOKMWUBSIA-NHCYSSNCSA-N 0 3 223.316 2.528 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccc(OC)nc2)C1 ZINC000362851171 356949732 /nfs/dbraw/zinc/94/97/32/356949732.db2.gz DMSGXRWCTFUMDL-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccc(OC)nc1 ZINC000362839777 356949754 /nfs/dbraw/zinc/94/97/54/356949754.db2.gz RGKVXSZPBHJOIT-CYBMUJFWSA-N 0 3 234.343 2.855 20 0 BFADHN CCN(Cc1ccoc1)[C@H](C)CCOC ZINC000088594070 491106701 /nfs/dbraw/zinc/10/67/01/491106701.db2.gz KUCNPRHICCRKQZ-LLVKDONJSA-N 0 3 211.305 2.527 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1ncccn1 ZINC000582312924 357053101 /nfs/dbraw/zinc/05/31/01/357053101.db2.gz PYUNKLZHUXCDIJ-NEPJUHHUSA-N 0 3 221.348 2.781 20 0 BFADHN C[C@H]1CCCC[C@@H]1CNCc1ccno1 ZINC000389650240 357009640 /nfs/dbraw/zinc/00/96/40/357009640.db2.gz VNGYTLRGJKFLMF-WDEREUQCSA-N 0 3 208.305 2.591 20 0 BFADHN CCc1cccnc1[C@@H](C)NCCCF ZINC000389872378 357058207 /nfs/dbraw/zinc/05/82/07/357058207.db2.gz JXRBMDQFSZGFQM-SNVBAGLBSA-N 0 3 210.296 2.654 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@H]2C(C)C)s1 ZINC000390007436 357083894 /nfs/dbraw/zinc/08/38/94/357083894.db2.gz XIGVCYWGXPHZJC-WDEREUQCSA-N 0 3 224.373 2.976 20 0 BFADHN Clc1cscc1CNC[C@@H]1CCCO1 ZINC000388830851 538782496 /nfs/dbraw/zinc/78/24/96/538782496.db2.gz PGCKENSGUVFNEO-VIFPVBQESA-N 0 3 231.748 2.670 20 0 BFADHN CC(C)=CCNCc1ccc2[nH]cnc2c1 ZINC000390331758 357089563 /nfs/dbraw/zinc/08/95/63/357089563.db2.gz KTNGKHHPBVKQNL-UHFFFAOYSA-N 0 3 215.300 2.619 20 0 BFADHN CC(C)=CCNCc1ccc2nc[nH]c2c1 ZINC000390331758 357089564 /nfs/dbraw/zinc/08/95/64/357089564.db2.gz KTNGKHHPBVKQNL-UHFFFAOYSA-N 0 3 215.300 2.619 20 0 BFADHN C[C@@H]1CCCC[C@H]1OCCNCC1(F)CC1 ZINC000390530325 357101570 /nfs/dbraw/zinc/10/15/70/357101570.db2.gz IGXUSXJQYJEBTC-VXGBXAGGSA-N 0 3 229.339 2.673 20 0 BFADHN CC(C)CN1CCN(Cc2ccccc2)C[C@H]1C ZINC000303905291 357151719 /nfs/dbraw/zinc/15/17/19/357151719.db2.gz TXGQPJLMVMQEGM-OAHLLOKOSA-N 0 3 246.398 2.849 20 0 BFADHN CCN(Cc1cncnc1)C1CCCCC1 ZINC000156946613 538803591 /nfs/dbraw/zinc/80/35/91/538803591.db2.gz ONTIZCRVLPWDTI-UHFFFAOYSA-N 0 3 219.332 2.631 20 0 BFADHN CC(C)(F)CN[C@@H]1CC(C)(C)OC1(C)C ZINC000631658531 357155961 /nfs/dbraw/zinc/15/59/61/357155961.db2.gz LIZNOMJRWCFUJG-SECBINFHSA-N 0 3 217.328 2.670 20 0 BFADHN COC[C@@H](Cc1ccccc1)NCC(C)(C)F ZINC000631658602 357158250 /nfs/dbraw/zinc/15/82/50/357158250.db2.gz MBPUYKKMNRIMMM-CYBMUJFWSA-N 0 3 239.334 2.582 20 0 BFADHN COCC1(NCc2ccc(SC)cc2)CC1 ZINC000381456069 357197776 /nfs/dbraw/zinc/19/77/76/357197776.db2.gz JKSCXLFZLCVAEI-UHFFFAOYSA-N 0 3 237.368 2.677 20 0 BFADHN Fc1ccc(CN2C[C@@H]3C[C@@H]3C2)c2ncccc12 ZINC000628409207 357209809 /nfs/dbraw/zinc/20/98/09/357209809.db2.gz NZUIVVASLVAZHF-TXEJJXNPSA-N 0 3 242.297 2.826 20 0 BFADHN CCOc1ccc(CN2C[C@@H]3C[C@@H]3C2)cc1F ZINC000628410867 357218065 /nfs/dbraw/zinc/21/80/65/357218065.db2.gz UHMFIGARWSCIQU-TXEJJXNPSA-N 0 3 235.302 2.676 20 0 BFADHN CC[C@@H](c1ccc(F)cc1F)N(CC)CCO ZINC000305205598 357188367 /nfs/dbraw/zinc/18/83/67/357188367.db2.gz OAKOYTRMHIOYBC-ZDUSSCGKSA-N 0 3 243.297 2.730 20 0 BFADHN CC1(C)Cc2occc2[C@@H](NCC2(CO)CC2)C1 ZINC000157331098 538820016 /nfs/dbraw/zinc/82/00/16/538820016.db2.gz PFMWSCJGNVZROA-LBPRGKRZSA-N 0 3 249.354 2.655 20 0 BFADHN Cc1ccsc1CCNCC1(F)CC1 ZINC000393687001 357292611 /nfs/dbraw/zinc/29/26/11/357292611.db2.gz FORARWJNIHHJJR-UHFFFAOYSA-N 0 3 213.321 2.691 20 0 BFADHN CC1(C)SC[C@H]1Nc1ccnc2ccccc21 ZINC000393772115 357298581 /nfs/dbraw/zinc/29/85/81/357298581.db2.gz HWSCTYHLPSMNDN-CYBMUJFWSA-N 0 3 244.363 2.963 20 0 BFADHN CCCc1ncc(CNC(C2CC2)C2CC2)o1 ZINC000582468855 357304825 /nfs/dbraw/zinc/30/48/25/357304825.db2.gz RQGZCWNVTWFPIX-UHFFFAOYSA-N 0 3 234.343 2.905 20 0 BFADHN CCOc1cccc(CN(C)CC2(C)COC2)c1 ZINC000628423137 357246568 /nfs/dbraw/zinc/24/65/68/357246568.db2.gz FFOOLZQSPMCECY-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)CC1(C)COC1 ZINC000628424153 357249431 /nfs/dbraw/zinc/24/94/31/357249431.db2.gz ZWWJNVPAHWFNEO-ARJAWSKDSA-N 0 3 249.329 2.807 20 0 BFADHN CCC[C@@H](CCO)N[C@H](C)c1ccc(C)o1 ZINC000631665638 357254776 /nfs/dbraw/zinc/25/47/76/357254776.db2.gz AAHMTPNFWBEEES-NEPJUHHUSA-N 0 3 225.332 2.790 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1C[C@@H]1CC(C)(C)CO1 ZINC000639895203 357258556 /nfs/dbraw/zinc/25/85/56/357258556.db2.gz XOWIYLRFARDALA-MNOVXSKESA-N 0 3 247.329 2.921 20 0 BFADHN CCC[C@@H](C)[C@H](CO)NCc1ccc(CC)o1 ZINC000582729920 357374266 /nfs/dbraw/zinc/37/42/66/357374266.db2.gz PBAHXXSKZNKSES-RISCZKNCSA-N 0 3 239.359 2.729 20 0 BFADHN CCC[C@H](C)[C@@H]1CCCN1Cc1cnccn1 ZINC000582471715 357314486 /nfs/dbraw/zinc/31/44/86/357314486.db2.gz JGMMVYYSYBNLTP-JSGCOSHPSA-N 0 3 233.359 2.877 20 0 BFADHN CCCC[C@@H](COC)N[C@@H]1CCC[C@@H]1F ZINC000393951610 357321330 /nfs/dbraw/zinc/32/13/30/357321330.db2.gz YFTFHWPQFVASJD-SDDRHHMPSA-N 0 3 217.328 2.672 20 0 BFADHN c1c2cccnc2oc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000584362381 357327889 /nfs/dbraw/zinc/32/78/89/357327889.db2.gz XDAYCDXOOVGJEU-CLLJXQQHSA-N 0 3 228.295 2.716 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1cn2ccccc2n1 ZINC000582556716 357343041 /nfs/dbraw/zinc/34/30/41/357343041.db2.gz NSHUHGXKXPYCBO-ZYHUDNBSSA-N 0 3 227.311 2.537 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1cn2ccccc2n1 ZINC000582556712 357343389 /nfs/dbraw/zinc/34/33/89/357343389.db2.gz NSHUHGXKXPYCBO-JQWIXIFHSA-N 0 3 227.311 2.537 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1cn2ccccc2n1 ZINC000582556714 357343460 /nfs/dbraw/zinc/34/34/60/357343460.db2.gz NSHUHGXKXPYCBO-PWSUYJOCSA-N 0 3 227.311 2.537 20 0 BFADHN c1cn2cc(CN[C@@H]3CCCC34CC4)nc2s1 ZINC000582557667 357344737 /nfs/dbraw/zinc/34/47/37/357344737.db2.gz PLWUMZHCYHSUGF-LLVKDONJSA-N 0 3 247.367 2.818 20 0 BFADHN Cc1nc(CN[C@@H]2CCC(C)(C)C2)[nH]c1C ZINC000582737117 357377359 /nfs/dbraw/zinc/37/73/59/357377359.db2.gz RPUCLMAMKKPECD-LLVKDONJSA-N 0 3 221.348 2.695 20 0 BFADHN CCC[C@@]1(NCc2ccoc2C)CCOC1 ZINC000320990162 357382982 /nfs/dbraw/zinc/38/29/82/357382982.db2.gz IBHCAIUQBFKVCE-CYBMUJFWSA-N 0 3 223.316 2.637 20 0 BFADHN CCCN(Cc1ccc(OC)cn1)C(C)C ZINC000582913436 357434595 /nfs/dbraw/zinc/43/45/95/357434595.db2.gz IVIDQUKFZDBJEI-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CC(=O)CN[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000639904607 357381659 /nfs/dbraw/zinc/38/16/59/357381659.db2.gz VNZZVZUGUGXDQL-ZIAGYGMSSA-N 0 3 217.312 2.501 20 0 BFADHN CCC[C@H](N[C@@H]1COC[C@H]1OC)c1ccccc1 ZINC000583143713 357493891 /nfs/dbraw/zinc/49/38/91/357493891.db2.gz FMTNYDAVXNITDC-RRFJBIMHSA-N 0 3 249.354 2.531 20 0 BFADHN COc1cccc(CNC[C@H]2CC[C@H](C)C2)n1 ZINC000230414036 357522372 /nfs/dbraw/zinc/52/23/72/357522372.db2.gz ZPCDQLOAMBZZBE-RYUDHWBXSA-N 0 3 234.343 2.616 20 0 BFADHN C[C@H]1CC[C@H](CNCc2cn3ccccc3n2)C1 ZINC000230411928 357541031 /nfs/dbraw/zinc/54/10/31/357541031.db2.gz LLZVVQFGOWBDEG-STQMWFEESA-N 0 3 243.354 2.860 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1cn2ccccc2n1 ZINC000230664915 357546558 /nfs/dbraw/zinc/54/65/58/357546558.db2.gz GWIVSKDPMVOFEW-STQMWFEESA-N 0 3 243.354 2.860 20 0 BFADHN COc1cccc(CNC[C@H]2CCC[C@@H]2C)n1 ZINC000230667275 357547338 /nfs/dbraw/zinc/54/73/38/357547338.db2.gz VUBBLGBHPLZYAS-NWDGAFQWSA-N 0 3 234.343 2.616 20 0 BFADHN COC1CC(N[C@@H]2CSc3ccccc32)C1 ZINC000230675375 357548401 /nfs/dbraw/zinc/54/84/01/357548401.db2.gz XOYOTXXYINBKPQ-RTYFJBAXSA-N 0 3 235.352 2.600 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)NC1CC(OC)C1 ZINC000230674870 357548453 /nfs/dbraw/zinc/54/84/53/357548453.db2.gz XZXRYWOQLVFMQD-PNESKVBLSA-N 0 3 249.354 2.832 20 0 BFADHN COC1CC(NCc2ccc(F)c(Cl)c2)C1 ZINC000230690807 357550918 /nfs/dbraw/zinc/55/09/18/357550918.db2.gz WYJAWCUOVRTWFK-UHFFFAOYSA-N 0 3 243.709 2.746 20 0 BFADHN COC1CC(NCc2ccc3ccccc3n2)C1 ZINC000230690306 357551046 /nfs/dbraw/zinc/55/10/46/357551046.db2.gz KDYAJHOQEXTNJS-UHFFFAOYSA-N 0 3 242.322 2.502 20 0 BFADHN CCC[C@@H](NCc1cc[nH]n1)[C@@H]1CC1(C)C ZINC000397590362 357623751 /nfs/dbraw/zinc/62/37/51/357623751.db2.gz KBULDJDUPKBNAK-NWDGAFQWSA-N 0 3 221.348 2.714 20 0 BFADHN CC(C)n1cc(CN[C@@H](C)CC(F)(F)F)cn1 ZINC000231750386 357625384 /nfs/dbraw/zinc/62/53/84/357625384.db2.gz QXTMLNWXSJVNIW-VIFPVBQESA-N 0 3 249.280 2.895 20 0 BFADHN CC(C)C1(CNCc2cncc(F)c2)CC1 ZINC000230941521 357583283 /nfs/dbraw/zinc/58/32/83/357583283.db2.gz OANJGZQAAKKDIW-UHFFFAOYSA-N 0 3 222.307 2.747 20 0 BFADHN C[C@@H](CC(F)(F)F)NCc1cncc(F)c1 ZINC000230942446 357585163 /nfs/dbraw/zinc/58/51/63/357585163.db2.gz BDNYBFIOZHERDL-ZETCQYMHSA-N 0 3 236.212 2.651 20 0 BFADHN Cc1cccc2c1OCC[C@H]2NCc1cc[nH]c1 ZINC000188879670 357594371 /nfs/dbraw/zinc/59/43/71/357594371.db2.gz WBAIMKISTHMJKH-CQSZACIVSA-N 0 3 242.322 2.937 20 0 BFADHN Cc1csc([C@H](C)NC2CC(C)C2)n1 ZINC000231788020 357630100 /nfs/dbraw/zinc/63/01/00/357630100.db2.gz FYXYWUAYTNGVKT-ZAJCDATESA-N 0 3 210.346 2.901 20 0 BFADHN CN(Cc1ccoc1)C[C@@H](O)CC1CCCC1 ZINC000232143399 357647260 /nfs/dbraw/zinc/64/72/60/357647260.db2.gz IRBCUBMAWFBEGI-AWEZNQCLSA-N 0 3 237.343 2.653 20 0 BFADHN Cc1c([C@H](C)NC2CC(C(C)C)C2)cnn1C ZINC000232538819 357669999 /nfs/dbraw/zinc/66/99/99/357669999.db2.gz DYPSCCXXYCTALY-PKSQDBQZSA-N 0 3 235.375 2.814 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1ccc2c(n1)CCC2 ZINC000583248846 357711394 /nfs/dbraw/zinc/71/13/94/357711394.db2.gz CBCNYNWSTGKPDH-VXGBXAGGSA-N 0 3 230.355 2.943 20 0 BFADHN CC(C)CC(=O)N(CCN(C)C)c1ccccc1 ZINC000063829555 357718821 /nfs/dbraw/zinc/71/88/21/357718821.db2.gz UQVMBUGBPDHSLK-UHFFFAOYSA-N 0 3 248.370 2.627 20 0 BFADHN CC(C)[C@H](CO)N[C@@H](C)c1cccc(F)c1F ZINC000232763760 357680922 /nfs/dbraw/zinc/68/09/22/357680922.db2.gz VPORAANYXHWPDC-CABZTGNLSA-N 0 3 243.297 2.632 20 0 BFADHN CC1(C)C(NCc2cncc(F)c2)C1(C)C ZINC000232794937 357684053 /nfs/dbraw/zinc/68/40/53/357684053.db2.gz HIBDVVGLOLJBHS-UHFFFAOYSA-N 0 3 222.307 2.745 20 0 BFADHN CCN(C)c1ccc(CN2CCC[C@H](C)C2)cn1 ZINC000191160287 357739001 /nfs/dbraw/zinc/73/90/01/357739001.db2.gz JNKAMKVGHNMIGM-ZDUSSCGKSA-N 0 3 247.386 2.770 20 0 BFADHN CCc1ccc(CNCCOC(F)(F)F)cc1 ZINC000234160841 357776136 /nfs/dbraw/zinc/77/61/36/357776136.db2.gz NRRSLXUNTDIUBH-UHFFFAOYSA-N 0 3 247.260 2.875 20 0 BFADHN CC[C@H](NCc1ccno1)[C@@H]1CC1(C)C ZINC000397870536 357776147 /nfs/dbraw/zinc/77/61/47/357776147.db2.gz ZXVUZPREYGDTBG-QWRGUYRKSA-N 0 3 208.305 2.589 20 0 BFADHN CCN(C)c1ccc(CN(C)C2CCC2)cn1 ZINC000191374413 357766960 /nfs/dbraw/zinc/76/69/60/357766960.db2.gz GHEIRZKOHJWKEL-UHFFFAOYSA-N 0 3 233.359 2.522 20 0 BFADHN COC[C@@H](Cc1ccccc1)N(C)CCCF ZINC000191487231 357773599 /nfs/dbraw/zinc/77/35/99/357773599.db2.gz JRGTZFXXZUCCAA-CQSZACIVSA-N 0 3 239.334 2.536 20 0 BFADHN COc1cc(C)ccc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000583274725 357797066 /nfs/dbraw/zinc/79/70/66/357797066.db2.gz NCCWGYYBMLIECH-NHAGDIPZSA-N 0 3 231.339 2.892 20 0 BFADHN CCC[C@H](CCO)N[C@H](C)c1nc(C)cs1 ZINC000631667655 357801045 /nfs/dbraw/zinc/80/10/45/357801045.db2.gz FMSSQVFRHQHLFT-GHMZBOCLSA-N 0 3 242.388 2.653 20 0 BFADHN CN(CCOc1ccc(Cl)c(F)c1)C1CC1 ZINC000191942337 357806496 /nfs/dbraw/zinc/80/64/96/357806496.db2.gz UASVRMYQNJQUIT-UHFFFAOYSA-N 0 3 243.709 2.952 20 0 BFADHN CCC[C@H]1[C@H](C)CCCN1Cc1ncccn1 ZINC000192111811 357823271 /nfs/dbraw/zinc/82/32/71/357823271.db2.gz JILAFZAWYPYYEL-OLZOCXBDSA-N 0 3 233.359 2.877 20 0 BFADHN CCc1nn(C)c(CC)c1CNCC=C(C)C ZINC000191632037 357780228 /nfs/dbraw/zinc/78/02/28/357780228.db2.gz VFXVAKWNCWTCOJ-UHFFFAOYSA-N 0 3 235.375 2.601 20 0 BFADHN CCC[C@H](CCO)N[C@@H](C)c1nc(C)cs1 ZINC000631667661 357788795 /nfs/dbraw/zinc/78/87/95/357788795.db2.gz FMSSQVFRHQHLFT-WDEREUQCSA-N 0 3 242.388 2.653 20 0 BFADHN CC(C)[C@H](CO)NCc1ccc(F)cc1Cl ZINC000086123646 357855236 /nfs/dbraw/zinc/85/52/36/357855236.db2.gz ZJWOHKNTNCTDHG-LBPRGKRZSA-N 0 3 245.725 2.586 20 0 BFADHN CC1(C)CN([C@@H]2CCCC[C@H]2O)[C@@H]1c1ccco1 ZINC000639927481 357862634 /nfs/dbraw/zinc/86/26/34/357862634.db2.gz SFXHTKKRCNTEPF-YRGRVCCFSA-N 0 3 249.354 2.966 20 0 BFADHN COc1ccc(CNC2CC(C(C)C)C2)cn1 ZINC000234951570 357837426 /nfs/dbraw/zinc/83/74/26/357837426.db2.gz GKADQJJTZCMSJP-UHFFFAOYSA-N 0 3 234.343 2.614 20 0 BFADHN C[C@@]1(CO)CCCN1Cc1cccc(Cl)c1 ZINC000546104175 325265148 /nfs/dbraw/zinc/26/51/48/325265148.db2.gz HTTWEKVVLVWUTL-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN C[C@H](CN(C)C)N[C@@H](C)c1cccc(F)c1F ZINC000235446910 357869715 /nfs/dbraw/zinc/86/97/15/357869715.db2.gz SIUKERXLXKTBIL-ZJUUUORDSA-N 0 3 242.313 2.566 20 0 BFADHN COc1ncccc1CN(C)C(C1CC1)C1CC1 ZINC000193322455 357876118 /nfs/dbraw/zinc/87/61/18/357876118.db2.gz KPRABTOURWUOFI-UHFFFAOYSA-N 0 3 246.354 2.711 20 0 BFADHN COc1ncccc1CN1CCC[C@H]1C1CCC1 ZINC000193332648 357878376 /nfs/dbraw/zinc/87/83/76/357878376.db2.gz DUCRILYQJZTKBZ-AWEZNQCLSA-N 0 3 246.354 2.855 20 0 BFADHN C=Cn1cc(CN(C)[C@H]2CC[C@H](C)C2)cn1 ZINC000583302195 357888197 /nfs/dbraw/zinc/88/81/97/357888197.db2.gz GOSBEIASCZPONJ-AAEUAGOBSA-N 0 3 219.332 2.604 20 0 BFADHN c1nc2n(c1CNC1CC3(CCC3)C1)CCCC2 ZINC000583308052 357890977 /nfs/dbraw/zinc/89/09/77/357890977.db2.gz CAOWVZNLUDLDLJ-UHFFFAOYSA-N 0 3 245.370 2.642 20 0 BFADHN COc1nc2ccccc2cc1CNC(C)C ZINC000034825779 357924026 /nfs/dbraw/zinc/92/40/26/357924026.db2.gz QQMBEDITPHOLLJ-UHFFFAOYSA-N 0 3 230.311 2.741 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2cnccn2)CC1 ZINC000235612926 357925646 /nfs/dbraw/zinc/92/56/46/357925646.db2.gz UTKRJJRSMJWQSF-NWDGAFQWSA-N 0 3 219.332 2.535 20 0 BFADHN CCCN(Cc1cnn(CCC)c1)C1CC1 ZINC000133240648 325279071 /nfs/dbraw/zinc/27/90/71/325279071.db2.gz FBSRAQCNXLREHO-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CCc1ccc(CN2CC[C@@H](O)C[C@H]2C)cc1 ZINC000639938063 357943615 /nfs/dbraw/zinc/94/36/15/357943615.db2.gz LPNMZWRXDXJZTN-IUODEOHRSA-N 0 3 233.355 2.594 20 0 BFADHN C[C@@H](NC1C[C@@H](C)O[C@H](C)C1)c1ccco1 ZINC000133425182 325294458 /nfs/dbraw/zinc/29/44/58/325294458.db2.gz WLHHNDLUJQCVPS-GMTAPVOTSA-N 0 3 223.316 2.886 20 0 BFADHN Cc1nnsc1CN1CC[C@@H](C)C[C@H](C)C1 ZINC000584472962 357990451 /nfs/dbraw/zinc/99/04/51/357990451.db2.gz LNTSIRHYXCETBV-ZJUUUORDSA-N 0 3 239.388 2.715 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccn(C)n1)C(C)(C)C ZINC000584474946 357991046 /nfs/dbraw/zinc/99/10/46/357991046.db2.gz NTHATSPFSKDDGT-VHSXEESVSA-N 0 3 209.337 2.505 20 0 BFADHN c1coc(CC2CN(C[C@H]3CCSC3)C2)c1 ZINC000628433342 357991273 /nfs/dbraw/zinc/99/12/73/357991273.db2.gz MUOBEXWPQHSFSA-LLVKDONJSA-N 0 3 237.368 2.507 20 0 BFADHN Cc1n[nH]cc1CN(C)CCC1CCCC1 ZINC000639971091 358020092 /nfs/dbraw/zinc/02/00/92/358020092.db2.gz WGHHCFYKRZQPER-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN COCCCCCN(C)Cc1ccsc1 ZINC000103226056 358020150 /nfs/dbraw/zinc/02/01/50/358020150.db2.gz YQKNTPNPHSYCHC-UHFFFAOYSA-N 0 3 227.373 2.997 20 0 BFADHN CC[C@H](CC(F)F)CN(C)Cc1c[nH]nc1C ZINC000639971180 358021257 /nfs/dbraw/zinc/02/12/57/358021257.db2.gz CDYRBXCUPZEKFA-SNVBAGLBSA-N 0 3 245.317 2.831 20 0 BFADHN Cc1n[nH]cc1CN(C)CCCC(C)(C)C ZINC000639971598 358030103 /nfs/dbraw/zinc/03/01/03/358030103.db2.gz SKONIYMIEJVRNR-UHFFFAOYSA-N 0 3 223.364 2.976 20 0 BFADHN CC1(C)C[C@@H](NCc2ccc(Cl)o2)CCO1 ZINC000169016005 134249104 /nfs/dbraw/zinc/24/91/04/134249104.db2.gz QBHONYBNOSDADS-VIFPVBQESA-N 0 3 243.734 2.980 20 0 BFADHN COc1cc(C)ccc1[C@H](C)NC[C@@H]1CCCO1 ZINC000037215280 358060827 /nfs/dbraw/zinc/06/08/27/358060827.db2.gz MZFDYZFBWKUGLX-STQMWFEESA-N 0 3 249.354 2.833 20 0 BFADHN CC[C@@H](N)C(=O)N[C@H](c1ccccc1)C(C)(C)C ZINC000037022919 358064411 /nfs/dbraw/zinc/06/44/11/358064411.db2.gz XMVJNYBXACINMU-CHWSQXEVSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1cccc(C(C)C)c1NC(=O)CNC1CC1 ZINC000037386707 358079305 /nfs/dbraw/zinc/07/93/05/358079305.db2.gz LNTIBTYGWVHGHX-UHFFFAOYSA-N 0 3 246.354 2.809 20 0 BFADHN COCCCCN1CCc2ccc(F)cc2C1 ZINC000133852611 325324014 /nfs/dbraw/zinc/32/40/14/325324014.db2.gz FJOFKSMMUHWIOZ-UHFFFAOYSA-N 0 3 237.318 2.610 20 0 BFADHN C[C@@H](CN1CCO[C@@H](CCF)C1)C(C)(C)C ZINC000628458795 358093815 /nfs/dbraw/zinc/09/38/15/358093815.db2.gz FNMHWKWYWBQPHS-RYUDHWBXSA-N 0 3 231.355 2.729 20 0 BFADHN CC(C)C(CN1CCO[C@@H](CCF)C1)C(C)C ZINC000628459482 358094518 /nfs/dbraw/zinc/09/45/18/358094518.db2.gz SDUQKCSSBBTCJO-ZDUSSCGKSA-N 0 3 245.382 2.975 20 0 BFADHN CC/C=C\CCN1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000348203972 491122524 /nfs/dbraw/zinc/12/25/24/491122524.db2.gz CZAGKNLFGHFGFJ-IXHFBFRBSA-N 0 3 223.360 2.842 20 0 BFADHN CCCN(CCC)C(=O)[C@@H]1CCCCN1CC ZINC000170915988 134255157 /nfs/dbraw/zinc/25/51/57/134255157.db2.gz FYEJJKHAHMFOPI-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@H](NCc1ncc[nH]1)C1CCCCC1 ZINC000052336193 358156698 /nfs/dbraw/zinc/15/66/98/358156698.db2.gz MBPXOWZBCREWBR-LBPRGKRZSA-N 0 3 221.348 2.858 20 0 BFADHN Cc1ncsc1CCN1CCC(C)(F)CC1 ZINC000640071813 358162781 /nfs/dbraw/zinc/16/27/81/358162781.db2.gz HWHLSWNMDQMQFT-UHFFFAOYSA-N 0 3 242.363 2.818 20 0 BFADHN Cc1cccc(CCN2CCC(C)(F)CC2)n1 ZINC000640073700 358172781 /nfs/dbraw/zinc/17/27/81/358172781.db2.gz POJRHEVWAQLQKD-UHFFFAOYSA-N 0 3 236.334 2.757 20 0 BFADHN CC[C@@]1(C)COCCN1C[C@@H]1CCC(F)(F)C1 ZINC000450631029 202217476 /nfs/dbraw/zinc/21/74/76/202217476.db2.gz BJLUAAVQWMGICE-NEPJUHHUSA-N 0 3 247.329 2.923 20 0 BFADHN CC[C@@]1(C)COCCN1CC1=CCCCC1 ZINC000450632870 202218047 /nfs/dbraw/zinc/21/80/47/202218047.db2.gz UDOTWXNVLSFWRK-AWEZNQCLSA-N 0 3 223.360 2.988 20 0 BFADHN C1=C(CCN2CCOC[C@@H]2C2CC2)CCCC1 ZINC000450636335 202221313 /nfs/dbraw/zinc/22/13/13/202221313.db2.gz MMUWKMVPQWCFAM-OAHLLOKOSA-N 0 3 235.371 2.988 20 0 BFADHN C[C@@H](c1cnn(C)c1)N(C)CC1=CCCCC1 ZINC000450695414 202236225 /nfs/dbraw/zinc/23/62/25/202236225.db2.gz FGJGBHDLOKSSEN-LBPRGKRZSA-N 0 3 233.359 2.913 20 0 BFADHN Cc1ncc([C@H](C)NCCOCC2CC2)s1 ZINC000090408962 491126341 /nfs/dbraw/zinc/12/63/41/491126341.db2.gz BXHSXQGSGGBTCK-VIFPVBQESA-N 0 3 240.372 2.529 20 0 BFADHN Cc1cccc(CC[C@H](C)NCc2cc[nH]n2)c1 ZINC000041015707 358241211 /nfs/dbraw/zinc/24/12/11/358241211.db2.gz LZNXNNJHEHWFNV-ZDUSSCGKSA-N 0 3 243.354 2.829 20 0 BFADHN CCN(Cc1ccccc1OC)C1CC1 ZINC000077203129 325369483 /nfs/dbraw/zinc/36/94/83/325369483.db2.gz ZQQDJVHEZXTBMZ-UHFFFAOYSA-N 0 3 205.301 2.680 20 0 BFADHN C[C@@H](C[S@](C)=O)N(C)CCC1=CCCCC1 ZINC000450721909 202243562 /nfs/dbraw/zinc/24/35/62/202243562.db2.gz ZAMHTLPMDCIBJJ-LRDDRELGSA-N 0 3 243.416 2.576 20 0 BFADHN CCCCCCNCc1cc(C(N)=O)cs1 ZINC000086573571 491126925 /nfs/dbraw/zinc/12/69/25/491126925.db2.gz ROEDLJXKLBLGPE-UHFFFAOYSA-N 0 3 240.372 2.517 20 0 BFADHN C[C@H](NC[C@H]1CCC(F)(F)C1)c1ccon1 ZINC000450748152 202252585 /nfs/dbraw/zinc/25/25/85/202252585.db2.gz VUKNAMGMDBJTNT-IUCAKERBSA-N 0 3 230.258 2.761 20 0 BFADHN C[C@H](NCC12CCC(CC1)C2)c1ccon1 ZINC000450744637 202253900 /nfs/dbraw/zinc/25/39/00/202253900.db2.gz NMMHEWXUASNISE-ZBOXLXRLSA-N 0 3 220.316 2.906 20 0 BFADHN CC1(CNc2nc3ccc(F)cc3[nH]2)CC1 ZINC000225820159 358313300 /nfs/dbraw/zinc/31/33/00/358313300.db2.gz SDYQVFZAADEAHG-UHFFFAOYSA-N 0 3 219.263 2.914 20 0 BFADHN Cc1ccccc1CN1CCSC[C@@H]1C ZINC000172593771 134268580 /nfs/dbraw/zinc/26/85/80/134268580.db2.gz LAIGGOFQNWAIPX-LBPRGKRZSA-N 0 3 221.369 2.932 20 0 BFADHN Cn1cc(CN2CCC(C)(C)C2)c(C(C)(C)C)n1 ZINC000180202091 366396285 /nfs/dbraw/zinc/39/62/85/366396285.db2.gz GODKYSSDTJGBKG-UHFFFAOYSA-N 0 3 249.402 2.950 20 0 BFADHN CCc1cnc(CN[C@@H]2CCCC[C@@H]2C)o1 ZINC000226001150 358332581 /nfs/dbraw/zinc/33/25/81/358332581.db2.gz JMMKALOKQKJHND-CMPLNLGQSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1cnc(CN[C@H]2CCCC[C@H]2C)o1 ZINC000226001191 358332601 /nfs/dbraw/zinc/33/26/01/358332601.db2.gz JMMKALOKQKJHND-PWSUYJOCSA-N 0 3 222.332 2.905 20 0 BFADHN COC1(CNCc2cccc(F)c2F)CCC1 ZINC000227326791 358354304 /nfs/dbraw/zinc/35/43/04/358354304.db2.gz ULXMNWGJCFDHSU-UHFFFAOYSA-N 0 3 241.281 2.624 20 0 BFADHN COC1CC(NCc2cc3ccccc3o2)C1 ZINC000230689751 358409654 /nfs/dbraw/zinc/40/96/54/358409654.db2.gz UXLHZONCGIRCMX-UHFFFAOYSA-N 0 3 231.295 2.700 20 0 BFADHN C[C@@H](NCC1(CO)CC1)c1ccccc1Cl ZINC000131827802 491132226 /nfs/dbraw/zinc/13/22/26/491132226.db2.gz NCKYNKZWFSKEGV-SNVBAGLBSA-N 0 3 239.746 2.763 20 0 BFADHN C[C@@H](NCC(=O)N(C)c1ccccc1)C(C)(C)C ZINC000074317918 358427695 /nfs/dbraw/zinc/42/76/95/358427695.db2.gz AHLJWCXUBKLIBQ-GFCCVEGCSA-N 0 3 248.370 2.674 20 0 BFADHN COCCCN[C@@H](C)c1c(F)cccc1OC ZINC000135090999 325392715 /nfs/dbraw/zinc/39/27/15/325392715.db2.gz FGOBROZHEHRZMB-JTQLQIEISA-N 0 3 241.306 2.521 20 0 BFADHN CCN(Cc1cnn(C(C)(C)C)c1)CC1CC1 ZINC000125678157 358543244 /nfs/dbraw/zinc/54/32/44/358543244.db2.gz RWUHVVVQAYCNOZ-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN COCCN(Cc1ccc(C)cc1)C1CC1 ZINC000045783818 358565065 /nfs/dbraw/zinc/56/50/65/358565065.db2.gz AQISETVTFXHKDC-UHFFFAOYSA-N 0 3 219.328 2.606 20 0 BFADHN COc1ccc(C)cc1CN1[C@H](C)C[C@@H]1C ZINC000547768489 325391589 /nfs/dbraw/zinc/39/15/89/325391589.db2.gz QUEJBYAWENTSFI-TXEJJXNPSA-N 0 3 219.328 2.986 20 0 BFADHN COc1ccc(CN[C@@H](C)C2CC2)c(F)c1 ZINC000130731784 358642711 /nfs/dbraw/zinc/64/27/11/358642711.db2.gz WHQGNJPIDVUCRT-VIFPVBQESA-N 0 3 223.291 2.722 20 0 BFADHN Cc1ccc(CNC2C[C@H](C)O[C@@H](C)C2)o1 ZINC000130070416 358606454 /nfs/dbraw/zinc/60/64/54/358606454.db2.gz PNUOQEJFNISYQU-QWRGUYRKSA-N 0 3 223.316 2.634 20 0 BFADHN c1ccc2c(c1)SC[C@H]2NC1CCOCC1 ZINC000130284730 358616129 /nfs/dbraw/zinc/61/61/29/358616129.db2.gz VVZUMMBWICUWJC-GFCCVEGCSA-N 0 3 235.352 2.602 20 0 BFADHN COC(=O)c1ccccc1CN1C[C@H](C)[C@@H](C)C1 ZINC000130363369 358621357 /nfs/dbraw/zinc/62/13/57/358621357.db2.gz GFIPPALZEXIFRG-RYUDHWBXSA-N 0 3 247.338 2.561 20 0 BFADHN CCOc1cccc(CN(C)C[C@@H](C)CC)n1 ZINC000130605841 358637164 /nfs/dbraw/zinc/63/71/64/358637164.db2.gz YGJAMJCDZAQAFK-LBPRGKRZSA-N 0 3 236.359 2.958 20 0 BFADHN C[C@H](CC1CCCC1)N(C)[C@@H](C)C[S@](C)=O ZINC000130947449 358654819 /nfs/dbraw/zinc/65/48/19/358654819.db2.gz XBPOMWVUYKQNGB-BFQNTYOBSA-N 0 3 245.432 2.654 20 0 BFADHN CC(C)[C@H](O)CNCc1cccc(Cl)c1F ZINC000131133466 358662226 /nfs/dbraw/zinc/66/22/26/358662226.db2.gz PNHYCPMHDHPVJF-LLVKDONJSA-N 0 3 245.725 2.586 20 0 BFADHN CC(C)CCC[C@H](C)N(C)[C@@H](C)C[S@](C)=O ZINC000131148499 358663252 /nfs/dbraw/zinc/66/32/52/358663252.db2.gz VQJVONQSUSHNDZ-HEHGZKQESA-N 0 3 247.448 2.900 20 0 BFADHN C[C@@H](NCC1(CO)CC1)c1ccc(Cl)s1 ZINC000132360167 491135483 /nfs/dbraw/zinc/13/54/83/491135483.db2.gz FAXSYGLLKOFZPA-MRVPVSSYSA-N 0 3 245.775 2.825 20 0 BFADHN CC(C)Cc1ccc(CN(C)C[C@H](C)O)cc1 ZINC000131287016 358667742 /nfs/dbraw/zinc/66/77/42/358667742.db2.gz FDDXNOGVDPSMNX-ZDUSSCGKSA-N 0 3 235.371 2.698 20 0 BFADHN Clc1ccc2c(c1)[C@H](N[C@H]1CCOC1)CC2 ZINC000131328242 358671051 /nfs/dbraw/zinc/67/10/51/358671051.db2.gz FJELATVDTWEGAU-WCQYABFASA-N 0 3 237.730 2.706 20 0 BFADHN Cn1cccc1C(=O)CN1CC[C@@H](C(C)(C)C)C1 ZINC000131357845 358672499 /nfs/dbraw/zinc/67/24/99/358672499.db2.gz ZVIWSPCDQGQIET-GFCCVEGCSA-N 0 3 248.370 2.576 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1ccc(F)c(OC)c1 ZINC000131448284 358675937 /nfs/dbraw/zinc/67/59/37/358675937.db2.gz PSIBVBFIQYESAF-UWVGGRQHSA-N 0 3 241.306 2.520 20 0 BFADHN COC[C@@H](C)N[C@H](C)c1ccc(F)c(OC)c1 ZINC000131448857 358676431 /nfs/dbraw/zinc/67/64/31/358676431.db2.gz PSIBVBFIQYESAF-NXEZZACHSA-N 0 3 241.306 2.520 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H](C)C(C)C)[n-]1 ZINC000131630154 358686504 /nfs/dbraw/zinc/68/65/04/358686504.db2.gz BXJKOKQBBCFMEU-UWVGGRQHSA-N 0 3 224.352 2.623 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@@H](C)C(C)C)[nH]1 ZINC000131630154 358686508 /nfs/dbraw/zinc/68/65/08/358686508.db2.gz BXJKOKQBBCFMEU-UWVGGRQHSA-N 0 3 224.352 2.623 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@H](C)C(C)C)[n-]1 ZINC000131630586 358687976 /nfs/dbraw/zinc/68/79/76/358687976.db2.gz BXJKOKQBBCFMEU-ZJUUUORDSA-N 0 3 224.352 2.623 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@H](C)C(C)C)[nH]1 ZINC000131630586 358687979 /nfs/dbraw/zinc/68/79/79/358687979.db2.gz BXJKOKQBBCFMEU-ZJUUUORDSA-N 0 3 224.352 2.623 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+][C@H](C)C(C)C)[n-]1 ZINC000131630389 358688132 /nfs/dbraw/zinc/68/81/32/358688132.db2.gz BXJKOKQBBCFMEU-NXEZZACHSA-N 0 3 224.352 2.623 20 0 BFADHN CC(C)c1nnc([C@@H](C)N[C@H](C)C(C)C)[nH]1 ZINC000131630389 358688136 /nfs/dbraw/zinc/68/81/36/358688136.db2.gz BXJKOKQBBCFMEU-NXEZZACHSA-N 0 3 224.352 2.623 20 0 BFADHN CCCN(C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000049480920 358691361 /nfs/dbraw/zinc/69/13/61/358691361.db2.gz GJIWWGVAXXZETC-UHFFFAOYSA-N 0 3 249.358 2.622 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)C2CCCCC2)n1 ZINC000131738797 358692171 /nfs/dbraw/zinc/69/21/71/358692171.db2.gz RZQXXRNDPMPCDR-UHFFFAOYSA-N 0 3 234.343 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)C2CCCCC2)n1 ZINC000131738797 358692173 /nfs/dbraw/zinc/69/21/73/358692173.db2.gz RZQXXRNDPMPCDR-UHFFFAOYSA-N 0 3 234.343 2.860 20 0 BFADHN CCc1nc(C)c([C@@H](C)N[C@@H](C)COC)s1 ZINC000131861950 358697509 /nfs/dbraw/zinc/69/75/09/358697509.db2.gz HWAWLWROLHPVPQ-DTWKUNHWSA-N 0 3 242.388 2.699 20 0 BFADHN CCCn1cc(CN[C@@H](CC)CSC)cn1 ZINC000132051597 358705888 /nfs/dbraw/zinc/70/58/88/358705888.db2.gz SQFJUCQIULLTAM-LBPRGKRZSA-N 0 3 241.404 2.524 20 0 BFADHN COC[C@H](C)N[C@@H]1CC(C)(C)Cc2occc21 ZINC000131902071 358700533 /nfs/dbraw/zinc/70/05/33/358700533.db2.gz YPSXNLOOLFXXPU-CMPLNLGQSA-N 0 3 237.343 2.918 20 0 BFADHN COC(C)(C)CN[C@H](C)c1sc(C)nc1C ZINC000133385474 358752238 /nfs/dbraw/zinc/75/22/38/358752238.db2.gz ZQFVNKKCVBPXAZ-MRVPVSSYSA-N 0 3 242.388 2.836 20 0 BFADHN OCCCN1CCC[C@H]1c1ccc(Cl)cc1 ZINC000051423088 358752492 /nfs/dbraw/zinc/75/24/92/358752492.db2.gz SCTYTVJYVKDVPD-ZDUSSCGKSA-N 0 3 239.746 2.859 20 0 BFADHN CC[C@@H](NCC(=O)NC(C)(C)C)c1ccccc1 ZINC000051628262 358756904 /nfs/dbraw/zinc/75/69/04/358756904.db2.gz SNUWQWNTGYTHNE-CYBMUJFWSA-N 0 3 248.370 2.642 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@](C)(O)C(C)C)o1 ZINC000133548955 358758410 /nfs/dbraw/zinc/75/84/10/358758410.db2.gz UOLFHFMPMHBZEX-DGCLKSJQSA-N 0 3 225.332 2.646 20 0 BFADHN C(=C/c1ccccc1)\CNC[C@H]1CCCCO1 ZINC000051790340 358761315 /nfs/dbraw/zinc/76/13/15/358761315.db2.gz UPZDOXLILSZPBZ-RZIFZGNASA-N 0 3 231.339 2.859 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1nccn1CC ZINC000051922331 358768187 /nfs/dbraw/zinc/76/81/87/358768187.db2.gz WHTCQZRLMSXHJY-OLZOCXBDSA-N 0 3 235.375 2.961 20 0 BFADHN CCOC[C@H](C)N[C@@H]1CCCc2occc21 ZINC000132366338 358719995 /nfs/dbraw/zinc/71/99/95/358719995.db2.gz FQZMVLWZGFPTCK-CMPLNLGQSA-N 0 3 223.316 2.672 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccc(OC)c(O)c1 ZINC000132431809 358723443 /nfs/dbraw/zinc/72/34/43/358723443.db2.gz UVLREODXNVNWTP-GFCCVEGCSA-N 0 3 235.327 2.775 20 0 BFADHN COCC[C@@H](C)N[C@@H](C)c1nc(C)sc1C ZINC000081707748 491136169 /nfs/dbraw/zinc/13/61/69/491136169.db2.gz JQOWYJYSOXNXHT-BDAKNGLRSA-N 0 3 242.388 2.836 20 0 BFADHN C[C@H](CO[C@@H]1CCOC1)N[C@@H](C)c1ccccc1 ZINC000132944319 358740380 /nfs/dbraw/zinc/74/03/80/358740380.db2.gz KERHDLLNXLRODN-VNHYZAJKSA-N 0 3 249.354 2.531 20 0 BFADHN FCCNCc1cc2ccccc2s1 ZINC000308515526 491136779 /nfs/dbraw/zinc/13/67/79/491136779.db2.gz NDIBLSIYBFKHAU-UHFFFAOYSA-N 0 3 209.289 2.960 20 0 BFADHN CCn1ccnc1CN[C@@H](C)C1CCCCC1 ZINC000052334155 358779290 /nfs/dbraw/zinc/77/92/90/358779290.db2.gz CIYVGZVLQOCLLO-LBPRGKRZSA-N 0 3 235.375 2.961 20 0 BFADHN CCOC[C@H](C)NC1(c2ccccc2)CC1 ZINC000134234929 358784042 /nfs/dbraw/zinc/78/40/42/358784042.db2.gz ZXOPQVYADGRXMT-LBPRGKRZSA-N 0 3 219.328 2.690 20 0 BFADHN C[C@H](Cc1ccc(O)cc1)NCc1ccoc1 ZINC000134400704 358789534 /nfs/dbraw/zinc/78/95/34/358789534.db2.gz JNJMYULXGUPJRR-LLVKDONJSA-N 0 3 231.295 2.706 20 0 BFADHN CC[C@H](C)NC(=O)CN(CC)C1CCCCC1 ZINC000052873589 358798187 /nfs/dbraw/zinc/79/81/87/358798187.db2.gz JMZJYCJDCJRFBD-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CCCCN(CC)CC(=O)N(C)c1ccccc1 ZINC000052877602 358798256 /nfs/dbraw/zinc/79/82/56/358798256.db2.gz DRDHTQQCMSGYQC-UHFFFAOYSA-N 0 3 248.370 2.771 20 0 BFADHN CCCCN(C)CC(=O)N1CCCC[C@H]1CC ZINC000052869525 358798773 /nfs/dbraw/zinc/79/87/73/358798773.db2.gz CUELUPJBCFYQOA-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CC(C)C1CCN(Cc2cnc(N)s2)CC1 ZINC000219825258 134292136 /nfs/dbraw/zinc/29/21/36/134292136.db2.gz NEOSNJYYXLCIPI-UHFFFAOYSA-N 0 3 239.388 2.593 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1ncccn1 ZINC000054459462 358863111 /nfs/dbraw/zinc/86/31/11/358863111.db2.gz FWSPQVXMESZSGA-NEPJUHHUSA-N 0 3 219.332 2.535 20 0 BFADHN CC[C@H](N[C@@H]1CCO[C@@H]1C)c1ccccc1OC ZINC000135301967 358829932 /nfs/dbraw/zinc/82/99/32/358829932.db2.gz APMOZDKJFKALCC-KWCYVHTRSA-N 0 3 249.354 2.913 20 0 BFADHN CCCCCCN1CCS[C@H]2COCC[C@@H]21 ZINC000135549809 358844192 /nfs/dbraw/zinc/84/41/92/358844192.db2.gz RCMNHGUXHVBINA-STQMWFEESA-N 0 3 243.416 2.773 20 0 BFADHN CN(Cc1ccsc1)C[C@@H]1CCCC[C@@H]1O ZINC000053883292 358846934 /nfs/dbraw/zinc/84/69/34/358846934.db2.gz YDWLIAXBQBROHO-STQMWFEESA-N 0 3 239.384 2.731 20 0 BFADHN CC(C)n1cc(CN2[C@H](C)CC[C@H]2C)cn1 ZINC000180595933 366520515 /nfs/dbraw/zinc/52/05/15/366520515.db2.gz ZRCDWMFTTUCXMP-VXGBXAGGSA-N 0 3 221.348 2.837 20 0 BFADHN CCc1nnc(CN2CCC[C@H]2C2CCCC2)o1 ZINC000056308620 358896926 /nfs/dbraw/zinc/89/69/26/358896926.db2.gz NRQDRZGAFQPYDU-LBPRGKRZSA-N 0 3 249.358 2.787 20 0 BFADHN Cc1ccc(CNCc2ccccc2C)cn1 ZINC000057591395 358905830 /nfs/dbraw/zinc/90/58/30/358905830.db2.gz FXSDHDOGZDBAHJ-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN CCCN(CCC)C(=O)CN(C(C)C)C1CC1 ZINC000061164488 358931910 /nfs/dbraw/zinc/93/19/10/358931910.db2.gz AJDDIJGSVJZVLY-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1n[nH]cc1CN[C@H]1CCCc2sccc21 ZINC000061328542 358932999 /nfs/dbraw/zinc/93/29/99/358932999.db2.gz OTKLVMKSWSJTLO-LBPRGKRZSA-N 0 3 247.367 2.947 20 0 BFADHN CC[C@@H](C)NCc1cc2c(cc1OC)C[C@H](C)O2 ZINC000070981762 359069113 /nfs/dbraw/zinc/06/91/13/359069113.db2.gz VJBFFISNEHTAAA-MNOVXSKESA-N 0 3 249.354 2.907 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1ccccc1F ZINC000071011412 359069922 /nfs/dbraw/zinc/06/99/22/359069922.db2.gz SDJOVUURZJQOJA-QWRGUYRKSA-N 0 3 223.291 2.655 20 0 BFADHN CCc1ccc(CN(C)C[C@H]2CCCO2)cc1 ZINC000072802276 359091188 /nfs/dbraw/zinc/09/11/88/359091188.db2.gz VGZIZRJQBPKUHU-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN CC(C)C[C@@H]1COCCN1Cc1ccccc1 ZINC000072978598 359094500 /nfs/dbraw/zinc/09/45/00/359094500.db2.gz UGEKGXZVUDDKOK-OAHLLOKOSA-N 0 3 233.355 2.934 20 0 BFADHN C1=C[C@H](N2CC[C@H]3OCCC[C@H]3C2)CCC1 ZINC000246450943 359095907 /nfs/dbraw/zinc/09/59/07/359095907.db2.gz BURRNVAZUGKBHQ-MELADBBJSA-N 0 3 221.344 2.596 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@H]1C)c1nccn1C ZINC000070443449 359054330 /nfs/dbraw/zinc/05/43/30/359054330.db2.gz NJIARCZZTSFRTQ-QNWHQSFQSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H]1CCC[C@@H](CCNCc2nccn2C)C1 ZINC000070537338 359059298 /nfs/dbraw/zinc/05/92/98/359059298.db2.gz AYQGWXSJROWUQR-OLZOCXBDSA-N 0 3 235.375 2.726 20 0 BFADHN Cc1ccc(C[C@H](C)NCc2cc[nH]n2)s1 ZINC000070716346 359062173 /nfs/dbraw/zinc/06/21/73/359062173.db2.gz ZVHALIMMFNKQPR-VIFPVBQESA-N 0 3 235.356 2.500 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1nccn1C ZINC000070852822 359065262 /nfs/dbraw/zinc/06/52/62/359065262.db2.gz KHJXVFBNPVIBMV-RYUDHWBXSA-N 0 3 223.364 2.725 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)[C@H](C)C1)c1nccn1C ZINC000247053596 359191030 /nfs/dbraw/zinc/19/10/30/359191030.db2.gz XADXIQRPNFTBHZ-FVCCEPFGSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@H]1CN(CCC(C)(C)C)C[C@@H](C)O1 ZINC000246691772 359157679 /nfs/dbraw/zinc/15/76/79/359157679.db2.gz HVOSPKDPSRLJCR-NEPJUHHUSA-N 0 3 213.365 2.922 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CCC[C@H]2C)no1 ZINC000246717966 359159300 /nfs/dbraw/zinc/15/93/00/359159300.db2.gz LMWGNTVEVPNUFY-WDEREUQCSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1ccn2c(CN3CC[C@@H](C)[C@H]3C)cnc2c1 ZINC000246770248 359162648 /nfs/dbraw/zinc/16/26/48/359162648.db2.gz PWGDHNIAQKURAI-CHWSQXEVSA-N 0 3 243.354 2.873 20 0 BFADHN CCCn1cc(CN2CC[C@@H](C)[C@H]2C)cn1 ZINC000246783863 359163647 /nfs/dbraw/zinc/16/36/47/359163647.db2.gz QIAPLERUWZTBNS-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@@H]1CCCN(Cc2cn3ccccc3n2)[C@H]1C ZINC000246946205 359176243 /nfs/dbraw/zinc/17/62/43/359176243.db2.gz QYDSILZKZROXPH-OLZOCXBDSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@](C)(O)CNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000247659037 359239294 /nfs/dbraw/zinc/23/92/94/359239294.db2.gz ZHWJINMYYTZPEX-OSMZGAPFSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@@H](c1ccncc1)N(C)C[C@H]1CCCCO1 ZINC000247689380 359240581 /nfs/dbraw/zinc/24/05/81/359240581.db2.gz VQTKEWPZEDKYPU-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H]1CCN(CCCCO)[C@@H](c2ccco2)C1 ZINC000247702229 359241814 /nfs/dbraw/zinc/24/18/14/359241814.db2.gz YDQVWEBSLWGTRG-CHWSQXEVSA-N 0 3 237.343 2.825 20 0 BFADHN C[C@@H]1CN(CCC(F)(F)F)C[C@H](C)S1 ZINC000075893560 359244751 /nfs/dbraw/zinc/24/47/51/359244751.db2.gz RHSVCFCJBGIOAD-OCAPTIKFSA-N 0 3 227.295 2.765 20 0 BFADHN C[C@@H]1CCN(Cc2ccco2)C[C@H]1n1ccnc1 ZINC000247269825 359207394 /nfs/dbraw/zinc/20/73/94/359207394.db2.gz YBAFJDQYUXMOON-TZMCWYRMSA-N 0 3 245.326 2.559 20 0 BFADHN CCc1nn(C)cc1CN1CCCC2(CC2)CC1 ZINC000628480397 359317911 /nfs/dbraw/zinc/31/79/11/359317911.db2.gz YANFDZKZUDAAPQ-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN CC(C)n1ncnc1CNC[C@@H]1CCCC12CC2 ZINC000631186595 359318407 /nfs/dbraw/zinc/31/84/07/359318407.db2.gz WMDGMZYBFICMTG-LBPRGKRZSA-N 0 3 248.374 2.529 20 0 BFADHN COC(=O)CCCN1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000248339238 359265289 /nfs/dbraw/zinc/26/52/89/359265289.db2.gz IEHYUGKSHMSONE-IUODEOHRSA-N 0 3 247.338 2.633 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCO2)[C@H]1c1ccccc1 ZINC000248339904 359265365 /nfs/dbraw/zinc/26/53/65/359265365.db2.gz IJWQSIWDCFKRHA-BPLDGKMQSA-N 0 3 231.339 2.858 20 0 BFADHN Oc1ccc([C@@H]2CCN(CC3(F)CC3)C2)cc1 ZINC000628481868 359358109 /nfs/dbraw/zinc/35/81/09/359358109.db2.gz WQPQSRQJXHMNIV-GFCCVEGCSA-N 0 3 235.302 2.684 20 0 BFADHN COC(=O)[C@@H]1CCCCCN1CCC(C)(C)C ZINC000611575264 359396434 /nfs/dbraw/zinc/39/64/34/359396434.db2.gz NUMVIIBRURCTIR-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1oc(C)nc1C ZINC000308926346 491140403 /nfs/dbraw/zinc/14/04/03/491140403.db2.gz IIXSXDLUZXQYMO-RKDXNWHRSA-N 0 3 210.321 2.816 20 0 BFADHN CC[C@H](N[C@@H]1CNCCC1(F)F)C1CCCC1 ZINC000423477134 192788629 /nfs/dbraw/zinc/78/86/29/192788629.db2.gz JMYIEKQHSQTVHY-NWDGAFQWSA-N 0 3 246.345 2.542 20 0 BFADHN CC[C@@H](NCc1ccc(F)cc1F)C(C)(C)O ZINC000293028383 359500137 /nfs/dbraw/zinc/50/01/37/359500137.db2.gz PPXSKOQODQGJSQ-GFCCVEGCSA-N 0 3 243.297 2.604 20 0 BFADHN c1cncc(CNCC2=CCCCC2)c1 ZINC000379583835 359551697 /nfs/dbraw/zinc/55/16/97/359551697.db2.gz STNDPIHMJNNYOF-UHFFFAOYSA-N 0 3 202.301 2.672 20 0 BFADHN C[C@H](NCC1=CCCCC1)c1ccnn1C ZINC000379583154 359553604 /nfs/dbraw/zinc/55/36/04/359553604.db2.gz RFQKHNHHLJMWCL-NSHDSACASA-N 0 3 219.332 2.571 20 0 BFADHN C[C@H](NC1(C2CC2)CC1)c1nccs1 ZINC000379653044 359573861 /nfs/dbraw/zinc/57/38/61/359573861.db2.gz HOWLQMHLNIBGBF-QMMMGPOBSA-N 0 3 208.330 2.736 20 0 BFADHN CC(C)c1cc(CNC[C@@H]2C[C@H]2C)on1 ZINC000308990749 491141976 /nfs/dbraw/zinc/14/19/76/491141976.db2.gz LCHRSUGSRQSRGE-ZJUUUORDSA-N 0 3 208.305 2.544 20 0 BFADHN c1ccc2c(c1)SCC[C@H]2NC1CSC1 ZINC000309019545 491142460 /nfs/dbraw/zinc/14/24/60/491142460.db2.gz WFXWGEONTGLXJE-LLVKDONJSA-N 0 3 237.393 2.929 20 0 BFADHN CC(C)(C)OC(=O)CCCCN1CC=CCC1 ZINC000612121977 359668267 /nfs/dbraw/zinc/66/82/67/359668267.db2.gz ZZDGGFBPTDBOJJ-UHFFFAOYSA-N 0 3 239.359 2.760 20 0 BFADHN Cc1nc(C)c(CNC[C@H]2CCCC23CC3)o1 ZINC000628494465 359673846 /nfs/dbraw/zinc/67/38/46/359673846.db2.gz PJOVFQCVKUCWCE-GFCCVEGCSA-N 0 3 234.343 2.961 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCCC23CC3)o1 ZINC000628494342 359674486 /nfs/dbraw/zinc/67/44/86/359674486.db2.gz LUSYEZKXIZQYQS-NSHDSACASA-N 0 3 220.316 2.653 20 0 BFADHN C[C@@H]1CN(CC2=CCCCC2)[C@H](C)[C@H](C)O1 ZINC000450821363 202274445 /nfs/dbraw/zinc/27/44/45/202274445.db2.gz AIHOEMJRMKBYOA-UPJWGTAASA-N 0 3 223.360 2.985 20 0 BFADHN C[C@@H]1CN(CC2CC(F)(F)C2)[C@H](C)[C@@H](C)O1 ZINC000450826131 202277691 /nfs/dbraw/zinc/27/76/91/202277691.db2.gz HJRFFTHWFMGQBF-OPRDCNLKSA-N 0 3 233.302 2.529 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC(F)(F)C2)[C@@H](C)[C@@H](C)O1 ZINC000450832767 202280724 /nfs/dbraw/zinc/28/07/24/202280724.db2.gz WNGJLNRYTYYUMR-WRWGMCAJSA-N 0 3 247.329 2.920 20 0 BFADHN c1n[nH]nc1CN1CCCC2(CCCCC2)CC1 ZINC000450833108 202280781 /nfs/dbraw/zinc/28/07/81/202280781.db2.gz WKMMGXFOHPFMRP-UHFFFAOYSA-N 0 3 248.374 2.741 20 0 BFADHN CC1(CN2CC3(CCC3(F)F)C2)CC1 ZINC000580492750 359739556 /nfs/dbraw/zinc/73/95/56/359739556.db2.gz OUMOQYJADHRGCW-UHFFFAOYSA-N 0 3 201.260 2.518 20 0 BFADHN Cc1ccncc1CN(C)CC1CC(F)(F)C1 ZINC000450889280 202298081 /nfs/dbraw/zinc/29/80/81/202298081.db2.gz CLHMEEOUQQRINM-UHFFFAOYSA-N 0 3 240.297 2.867 20 0 BFADHN CCc1cc(CCCN2CCC=C(C)C2)on1 ZINC000450903049 202303973 /nfs/dbraw/zinc/30/39/73/202303973.db2.gz ABXCKMRFXYLEEK-UHFFFAOYSA-N 0 3 234.343 2.822 20 0 BFADHN C[C@@H](O)CCN1CC(C)(C)[C@@H]1c1cccs1 ZINC000451042140 202335495 /nfs/dbraw/zinc/33/54/95/202335495.db2.gz UXJROEAIIWRXRU-PWSUYJOCSA-N 0 3 239.384 2.902 20 0 BFADHN CC/C=C\CCN[C@@](C)(CO)c1cccc(O)c1 ZINC000451045621 202335837 /nfs/dbraw/zinc/33/58/37/202335837.db2.gz YEPQQLGMGSUYHY-XVWMLYKFSA-N 0 3 249.354 2.546 20 0 BFADHN OC[C@@]1(F)CCCN(CCC2=CCCCC2)C1 ZINC000451000475 202332345 /nfs/dbraw/zinc/33/23/45/202332345.db2.gz HBJQHVPFHULEBB-CQSZACIVSA-N 0 3 241.350 2.673 20 0 BFADHN C[C@]1(F)CCCN(CCCc2ccncc2)C1 ZINC000451116270 202354226 /nfs/dbraw/zinc/35/42/26/202354226.db2.gz QUDAGLPLJKZSBK-AWEZNQCLSA-N 0 3 236.334 2.838 20 0 BFADHN CC1(C)C[C@H]1NCc1cnc(C2CC2)s1 ZINC000309121933 491145307 /nfs/dbraw/zinc/14/53/07/491145307.db2.gz OFVOLPIMPMASBS-SNVBAGLBSA-N 0 3 222.357 2.909 20 0 BFADHN CCOC1CC(CN2CCC[C@@](C)(F)C2)C1 ZINC000451115989 202355546 /nfs/dbraw/zinc/35/55/46/202355546.db2.gz QHHPFTWJBQEZEK-WXRRBKDZSA-N 0 3 229.339 2.626 20 0 BFADHN CCC(C)(C)CCN(C1CC1)C1COC1 ZINC000451131713 202363476 /nfs/dbraw/zinc/36/34/76/202363476.db2.gz SDOZCVIKMAKSGR-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H](c2cccnc2)C2CC2)[C@@H](C)O1 ZINC000451146774 202364345 /nfs/dbraw/zinc/36/43/45/202364345.db2.gz LYYKTPVYGBHSJV-HKCMKHECSA-N 0 3 246.354 2.688 20 0 BFADHN CCc1ccc(CNC[C@@]2(C)CCCO2)o1 ZINC000087233957 359903220 /nfs/dbraw/zinc/90/32/20/359903220.db2.gz ILVNNSNPHGLOIQ-CYBMUJFWSA-N 0 3 223.316 2.501 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1ccc(C)c(F)c1 ZINC000087284215 359905144 /nfs/dbraw/zinc/90/51/44/359905144.db2.gz LCJVXGJJWHBIPC-MNOVXSKESA-N 0 3 225.307 2.820 20 0 BFADHN Cc1cscc1CN[C@@H]1CCSC1 ZINC000087309113 359906785 /nfs/dbraw/zinc/90/67/85/359906785.db2.gz LKFBPKACVJHGDF-SNVBAGLBSA-N 0 3 213.371 2.652 20 0 BFADHN CC[C@H](O)CN[C@@H](C)c1ccc(C)c(F)c1 ZINC000087369708 359908745 /nfs/dbraw/zinc/90/87/45/359908745.db2.gz WCLJXSJKZPHZSH-JQWIXIFHSA-N 0 3 225.307 2.556 20 0 BFADHN F[C@H]1CCN(C[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000451201284 202379773 /nfs/dbraw/zinc/37/97/73/202379773.db2.gz UPIFXYWLEDSYPI-IHRRRGAJSA-N 0 3 219.303 2.834 20 0 BFADHN CCOCCNCc1cccc(C2CC2)c1 ZINC000087011867 359893380 /nfs/dbraw/zinc/89/33/80/359893380.db2.gz ZMGIANMJKQJPBM-UHFFFAOYSA-N 0 3 219.328 2.690 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1ccc(F)cc1F ZINC000087013063 359893808 /nfs/dbraw/zinc/89/38/08/359893808.db2.gz SMXMQPKXUALFKF-RNCFNFMXSA-N 0 3 243.297 2.776 20 0 BFADHN COc1ccccc1[C@H](C)NCC1(O)CCCC1 ZINC000087016656 359894677 /nfs/dbraw/zinc/89/46/77/359894677.db2.gz PXYJMCJNMHGEEN-LBPRGKRZSA-N 0 3 249.354 2.651 20 0 BFADHN C[C@H]1CCCCC[C@H]1NCc1ccno1 ZINC000309141640 491145570 /nfs/dbraw/zinc/14/55/70/491145570.db2.gz TZHCWHHLIDWXJF-CMPLNLGQSA-N 0 3 208.305 2.733 20 0 BFADHN Cc1ccc(CNCCc2ccsc2)nc1 ZINC000087782193 359927796 /nfs/dbraw/zinc/92/77/96/359927796.db2.gz PZLSSWAZFGSWIT-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN CCN(CCCc1cccc(C)c1)C1COC1 ZINC000451290022 202396194 /nfs/dbraw/zinc/39/61/94/202396194.db2.gz WNYQATVGUXLPDC-UHFFFAOYSA-N 0 3 233.355 2.648 20 0 BFADHN CC(C)O[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC000578646206 366618866 /nfs/dbraw/zinc/61/88/66/366618866.db2.gz SGFNOMBGFKJKBW-CQSZACIVSA-N 0 3 237.318 2.825 20 0 BFADHN CC(C)C[C@@]1(C)CCCN1Cc1cnns1 ZINC000451293928 202397897 /nfs/dbraw/zinc/39/78/97/202397897.db2.gz WUHXWZHIPNRTPO-GFCCVEGCSA-N 0 3 239.388 2.939 20 0 BFADHN CC(C)n1ncnc1CN[C@H]1CCCC[C@H]1C ZINC000088588693 359967853 /nfs/dbraw/zinc/96/78/53/359967853.db2.gz RLPVUCMTDYAPER-NEPJUHHUSA-N 0 3 236.363 2.527 20 0 BFADHN CC(C)n1ncnc1CN[C@H]1CCCC[C@@H]1C ZINC000088588695 359968360 /nfs/dbraw/zinc/96/83/60/359968360.db2.gz RLPVUCMTDYAPER-RYUDHWBXSA-N 0 3 236.363 2.527 20 0 BFADHN c1ccc2cc(CN[C@@H]3CCOC3)ccc2c1 ZINC000088693884 359972495 /nfs/dbraw/zinc/97/24/95/359972495.db2.gz KWADBHAHMIXTDE-OAHLLOKOSA-N 0 3 227.307 2.718 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@H]1CCO[C@@H]1C ZINC000119917509 359986589 /nfs/dbraw/zinc/98/65/89/359986589.db2.gz AHYAKYJCRDITKC-BZPMIXESSA-N 0 3 249.354 2.832 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@H](C)C1CC1)CO2 ZINC000090452435 360073292 /nfs/dbraw/zinc/07/32/92/360073292.db2.gz QSSRKOTUHOICTF-ZWNOBZJWSA-N 0 3 217.312 2.817 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1ccc(Cl)cc1 ZINC000224167026 360081037 /nfs/dbraw/zinc/08/10/37/360081037.db2.gz KNNPACIHQMLHEZ-OLZOCXBDSA-N 0 3 239.746 2.997 20 0 BFADHN CCCCN(C)CCN[C@H](C)c1nccs1 ZINC000091723920 360127219 /nfs/dbraw/zinc/12/72/19/360127219.db2.gz SRPILZZTUBKUDX-LLVKDONJSA-N 0 3 241.404 2.526 20 0 BFADHN C[C@H]1CCCCN1C[C@H]1COc2ccccc2O1 ZINC000612867219 360130102 /nfs/dbraw/zinc/13/01/02/360130102.db2.gz IHFIZEQQBAVYST-STQMWFEESA-N 0 3 247.338 2.701 20 0 BFADHN C[C@H]1CCCN(C[C@H]2COc3ccccc3O2)C1 ZINC000612867231 360130250 /nfs/dbraw/zinc/13/02/50/360130250.db2.gz SEBCNUZREVPPBF-STQMWFEESA-N 0 3 247.338 2.558 20 0 BFADHN CC[C@H]1CCN(Cc2cc(OC)cc(OC)c2)C1 ZINC000091929668 360136523 /nfs/dbraw/zinc/13/65/23/360136523.db2.gz ZRJSESJXMPNKHB-LBPRGKRZSA-N 0 3 249.354 2.936 20 0 BFADHN C[C@H]1CCC[C@H](CN(C)Cc2cnn(C)c2)C1 ZINC000092792675 360199156 /nfs/dbraw/zinc/19/91/56/360199156.db2.gz NWOPSZHWNCSMTC-STQMWFEESA-N 0 3 235.375 2.678 20 0 BFADHN CC1(C)C(NCc2cnn3ccccc23)C1(C)C ZINC000092815599 360201574 /nfs/dbraw/zinc/20/15/74/360201574.db2.gz NKYHTNIGMRLOGV-UHFFFAOYSA-N 0 3 243.354 2.859 20 0 BFADHN C[C@@H]1CN(Cc2cccc(O)c2)C[C@H](C)S1 ZINC000092541111 360178962 /nfs/dbraw/zinc/17/89/62/360178962.db2.gz MKZYBVDFBGWGBT-PHIMTYICSA-N 0 3 237.368 2.718 20 0 BFADHN CN(Cc1c[nH]cn1)C1CCC(C)(C)CC1 ZINC000093508346 360253057 /nfs/dbraw/zinc/25/30/57/360253057.db2.gz DFNUPXUUZYVCQJ-UHFFFAOYSA-N 0 3 221.348 2.810 20 0 BFADHN CN(Cc1cnc[nH]1)C1CCC(C)(C)CC1 ZINC000093508346 360253060 /nfs/dbraw/zinc/25/30/60/360253060.db2.gz DFNUPXUUZYVCQJ-UHFFFAOYSA-N 0 3 221.348 2.810 20 0 BFADHN CCC[C@]1(C)CCCN(Cc2c[nH]cn2)C1 ZINC000093509060 360253272 /nfs/dbraw/zinc/25/32/72/360253272.db2.gz ZVFRODLNJWQOPW-CYBMUJFWSA-N 0 3 221.348 2.812 20 0 BFADHN CCC[C@]1(C)CCCN(Cc2cnc[nH]2)C1 ZINC000093509060 360253279 /nfs/dbraw/zinc/25/32/79/360253279.db2.gz ZVFRODLNJWQOPW-CYBMUJFWSA-N 0 3 221.348 2.812 20 0 BFADHN CC[C@@H](C)[C@@H](C)N(Cc1c[nH]cn1)C1CC1 ZINC000093132159 360219511 /nfs/dbraw/zinc/21/95/11/360219511.db2.gz QIDJDSMGIJANLM-GHMZBOCLSA-N 0 3 221.348 2.809 20 0 BFADHN CCC(CC)N(CC(C)C)C(=O)[C@H](N)C(C)C ZINC000093310145 360227062 /nfs/dbraw/zinc/22/70/62/360227062.db2.gz VTMMBSKNADIKSO-CYBMUJFWSA-N 0 3 242.407 2.643 20 0 BFADHN CCCCN(CCOC)Cc1cccnc1C ZINC000093323084 360231049 /nfs/dbraw/zinc/23/10/49/360231049.db2.gz MCQBJKWORBBFLW-UHFFFAOYSA-N 0 3 236.359 2.639 20 0 BFADHN CCc1ccc(CN2CCC[C@H](COC)C2)o1 ZINC000093337703 360232288 /nfs/dbraw/zinc/23/22/88/360232288.db2.gz OCRPDHFHKRYJKP-LBPRGKRZSA-N 0 3 237.343 2.700 20 0 BFADHN Cc1ccc(CNC[C@@H](C)C(F)(F)F)cn1 ZINC000309339889 491148039 /nfs/dbraw/zinc/14/80/39/491148039.db2.gz JXSXMJXMTINJPS-MRVPVSSYSA-N 0 3 232.249 2.678 20 0 BFADHN c1ncc(CN2C[C@@H]3CCCC[C@@H]3C2)s1 ZINC000093441850 360243142 /nfs/dbraw/zinc/24/31/42/360243142.db2.gz OTLGEHCLBPMOQF-PHIMTYICSA-N 0 3 222.357 2.765 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2cc[nH]n2)CC1 ZINC000093440704 360243506 /nfs/dbraw/zinc/24/35/06/360243506.db2.gz WEBKQYNKUZHDTD-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN Oc1cccc(CN2CCC3(CCC3)C2)c1 ZINC000093455963 360244830 /nfs/dbraw/zinc/24/48/30/360244830.db2.gz VMIPLTOFRFWJIZ-UHFFFAOYSA-N 0 3 217.312 2.768 20 0 BFADHN Cc1cccc(CN[C@@H]2CC(C)(C)OC2(C)C)n1 ZINC000094424291 360306798 /nfs/dbraw/zinc/30/67/98/360306798.db2.gz QJDYNTLJHUDICF-CYBMUJFWSA-N 0 3 248.370 2.826 20 0 BFADHN CC(C)N(Cc1cncs1)C1CCC1 ZINC000093608271 360264873 /nfs/dbraw/zinc/26/48/73/360264873.db2.gz BGMNLAGROJSPOK-UHFFFAOYSA-N 0 3 210.346 2.906 20 0 BFADHN Cc1cccc(CN2CCOC[C@@H]2C2CC2)c1C ZINC000093645474 360266668 /nfs/dbraw/zinc/26/66/68/360266668.db2.gz LMKDUYAZRXXLSW-MRXNPFEDSA-N 0 3 245.366 2.914 20 0 BFADHN CSC[C@H]1CCCN1Cc1cccnc1C ZINC000093761501 360274236 /nfs/dbraw/zinc/27/42/36/360274236.db2.gz RCABNSFZPLYKDS-CYBMUJFWSA-N 0 3 236.384 2.717 20 0 BFADHN CSC[C@H]1CCCN1Cc1cccc(O)c1 ZINC000093761412 360274345 /nfs/dbraw/zinc/27/43/45/360274345.db2.gz FEDDKKSWSUGSOO-GFCCVEGCSA-N 0 3 237.368 2.720 20 0 BFADHN CCC[C@H]1[C@H](C)CCCN1Cc1c[nH]cn1 ZINC000093740806 360274543 /nfs/dbraw/zinc/27/45/43/360274543.db2.gz VKVHDENKIUDTBI-YPMHNXCESA-N 0 3 221.348 2.810 20 0 BFADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1cc[nH]n1 ZINC000093740893 360274850 /nfs/dbraw/zinc/27/48/50/360274850.db2.gz IZCJQYBSNVSGPM-WCQYABFASA-N 0 3 221.348 2.810 20 0 BFADHN CC(C)CC[C@H]1CCCN1Cc1cc[nH]n1 ZINC000093845111 360283294 /nfs/dbraw/zinc/28/32/94/360283294.db2.gz VHGPGQDECWXZPN-CYBMUJFWSA-N 0 3 221.348 2.810 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cn(C)nc1C ZINC000093844880 360283546 /nfs/dbraw/zinc/28/35/46/360283546.db2.gz UZFSXQZBGOIKBC-NSHDSACASA-N 0 3 223.364 2.597 20 0 BFADHN c1cn(CCNCc2cccc(C3CC3)c2)cn1 ZINC000094519353 360309914 /nfs/dbraw/zinc/30/99/14/360309914.db2.gz HZRDKKWXLDNVAH-UHFFFAOYSA-N 0 3 241.338 2.550 20 0 BFADHN CCOc1ccccc1CNC[C@]1(C)CCCO1 ZINC000094797558 360318510 /nfs/dbraw/zinc/31/85/10/360318510.db2.gz VRPXOKMZNLSMBL-HNNXBMFYSA-N 0 3 249.354 2.744 20 0 BFADHN Cc1cscc1CNCc1nc(C)ccc1O ZINC000094877361 360323817 /nfs/dbraw/zinc/32/38/17/360323817.db2.gz OVPCSDGSCIYIIL-UHFFFAOYSA-N 0 3 248.351 2.755 20 0 BFADHN Cc1cc([C@@H](C)NCC2(CCO)CC2)c(C)o1 ZINC000097817729 360379911 /nfs/dbraw/zinc/37/99/11/360379911.db2.gz NEPPIWOXMPNDND-LLVKDONJSA-N 0 3 237.343 2.710 20 0 BFADHN CO[C@@H]1CCC[C@H](N[C@@H](C)c2cscn2)C1 ZINC000309459156 491148639 /nfs/dbraw/zinc/14/86/39/491148639.db2.gz NKIHKNWUZSUZKE-GARJFASQSA-N 0 3 240.372 2.751 20 0 BFADHN CCCCN(CCC1CCCCC1)CC(N)=O ZINC000060378867 360454749 /nfs/dbraw/zinc/45/47/49/360454749.db2.gz RMJHGFZFBBABBG-UHFFFAOYSA-N 0 3 240.391 2.544 20 0 BFADHN Cc1cc(CNc2cc(C)cc(C)n2)on1 ZINC000631099721 360534364 /nfs/dbraw/zinc/53/43/64/360534364.db2.gz YRQCQKOKOGHFGZ-UHFFFAOYSA-N 0 3 217.272 2.607 20 0 BFADHN COC[C@@H](NCc1ccc(C)cc1F)C1CC1 ZINC000631100258 360537390 /nfs/dbraw/zinc/53/73/90/360537390.db2.gz VPZGJYGLVULLTN-CQSZACIVSA-N 0 3 237.318 2.649 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@H]2CCCC23CC3)n1 ZINC000631191764 360559212 /nfs/dbraw/zinc/55/92/12/360559212.db2.gz HUZPLNPDTHFEGZ-CYBMUJFWSA-N 0 3 232.327 2.518 20 0 BFADHN CC1(CNCc2ccc3c(n2)CCC3)CCC1 ZINC000578971910 366682537 /nfs/dbraw/zinc/68/25/37/366682537.db2.gz BDRBNRHZBMKMCH-UHFFFAOYSA-N 0 3 230.355 2.850 20 0 BFADHN CC(C)(F)CNCc1ccc(-n2ccnc2)cc1 ZINC000631190620 360543551 /nfs/dbraw/zinc/54/35/51/360543551.db2.gz KWLRTJBKVVESMB-UHFFFAOYSA-N 0 3 247.317 2.710 20 0 BFADHN Cc1cc(CNC[C@@H]2C[C@H]2C2CCC2)on1 ZINC000631161631 360625932 /nfs/dbraw/zinc/62/59/32/360625932.db2.gz LMNICUKUDFMMSM-AAEUAGOBSA-N 0 3 220.316 2.509 20 0 BFADHN CCC[C@@H](CCO)NCc1cc(F)ccc1F ZINC000631196461 360688072 /nfs/dbraw/zinc/68/80/72/360688072.db2.gz LMIALPOJHCIBAZ-LBPRGKRZSA-N 0 3 243.297 2.606 20 0 BFADHN CCC[C@@H](CCO)NCc1cc(F)cc(F)c1 ZINC000631199016 360760520 /nfs/dbraw/zinc/76/05/20/360760520.db2.gz OBCPIDDLBQJWHN-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN Cc1nn(C(C)C)cc1CN1CC[C@H]1C1CC1 ZINC000449425225 200676482 /nfs/dbraw/zinc/67/64/82/200676482.db2.gz HJXSQLAOEWKVKC-AWEZNQCLSA-N 0 3 233.359 2.757 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@H]1CC12CCCC2 ZINC000309574538 491151990 /nfs/dbraw/zinc/15/19/90/491151990.db2.gz ONBJGDAHQOGHTB-SKDRFNHKSA-N 0 3 219.332 2.701 20 0 BFADHN C[C@H](N[C@H]1CCS[C@H]1C)c1cncc(F)c1 ZINC000309606152 491152261 /nfs/dbraw/zinc/15/22/61/491152261.db2.gz YRZLFXVKFSWXOP-AUTRQRHGSA-N 0 3 240.347 2.765 20 0 BFADHN CCC[C@H](NC[C@@H]1CCCO1)c1cc(C)ccn1 ZINC000631560436 360976519 /nfs/dbraw/zinc/97/65/19/360976519.db2.gz BZKCKZUNQHPRSX-KBPBESRZSA-N 0 3 248.370 3.000 20 0 BFADHN Fc1ccc(F)c(CNCC[C@H]2CCCO2)c1 ZINC000037996942 361068899 /nfs/dbraw/zinc/06/88/99/361068899.db2.gz GSOKQDLXBLKEIT-GFCCVEGCSA-N 0 3 241.281 2.624 20 0 BFADHN c1cnc2c(c1)[C@H](NC[C@H]1CCCCO1)CCC2 ZINC000631573278 361080660 /nfs/dbraw/zinc/08/06/60/361080660.db2.gz KQBVUFVRSWMXQX-IUODEOHRSA-N 0 3 246.354 2.618 20 0 BFADHN CCCNC(=O)[C@H](C)N(C)C[C@H](C)C(C)(C)C ZINC000640351594 361081327 /nfs/dbraw/zinc/08/13/27/361081327.db2.gz VYNDNWNAHDCGOH-RYUDHWBXSA-N 0 3 242.407 2.515 20 0 BFADHN CCC[C@H](N[C@@H](C)CCO)c1cc(C)ccn1 ZINC000631581029 361169147 /nfs/dbraw/zinc/16/91/47/361169147.db2.gz KOGOGKGLJLOYKY-STQMWFEESA-N 0 3 236.359 2.592 20 0 BFADHN C[C@@H]1CC[C@H]1N[C@@H](c1nc[nH]n1)C1CCCCC1 ZINC000579069102 366703596 /nfs/dbraw/zinc/70/35/96/366703596.db2.gz CTTKXYPZUHUVMR-RAIGVLPGSA-N 0 3 248.374 2.814 20 0 BFADHN CCCC[C@H](CCC)NCc1cn(C)cn1 ZINC000309749582 491155926 /nfs/dbraw/zinc/15/59/26/491155926.db2.gz KBCAKQLPTHUODX-LBPRGKRZSA-N 0 3 223.364 2.869 20 0 BFADHN CC(C)n1ccc(CN[C@@H]2CC[C@H]2C2CC2)n1 ZINC000579145767 366726159 /nfs/dbraw/zinc/72/61/59/366726159.db2.gz PHBKREOOZNUABJ-UONOGXRCSA-N 0 3 233.359 2.742 20 0 BFADHN CC[C@H](C)CN(C)[C@H](C)c1cnc(C)cn1 ZINC000428251567 201004734 /nfs/dbraw/zinc/00/47/34/201004734.db2.gz WGEOTMUXUFNROI-CMPLNLGQSA-N 0 3 221.348 2.824 20 0 BFADHN CC(C)c1nc(CN(C)[C@H](C)C2CCC2)n[nH]1 ZINC000428296116 201005111 /nfs/dbraw/zinc/00/51/11/201005111.db2.gz NMVSPWLEFUEBRR-SNVBAGLBSA-N 0 3 236.363 2.549 20 0 BFADHN CCCc1cccc(CN2C[C@@H](O)C3(CC3)C2)c1 ZINC000428364940 201011123 /nfs/dbraw/zinc/01/11/23/201011123.db2.gz AQBYDRLYTDDCDX-OAHLLOKOSA-N 0 3 245.366 2.596 20 0 BFADHN CC(C)c1nc(CN(C)CC2CCCC2)n[nH]1 ZINC000428420255 201015961 /nfs/dbraw/zinc/01/59/61/201015961.db2.gz HWNPTKGEQFYOPL-UHFFFAOYSA-N 0 3 236.363 2.550 20 0 BFADHN Cc1nnc(CN2CCC[C@H]2C2CCCCC2)[nH]1 ZINC000428451837 201017714 /nfs/dbraw/zinc/01/77/14/201017714.db2.gz GIXAGTFZCRVWRJ-ZDUSSCGKSA-N 0 3 248.374 2.658 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC[C@@H]2CCO)o1 ZINC000428447730 201018716 /nfs/dbraw/zinc/01/87/16/201018716.db2.gz NFIHCIYOGODAJG-YRGRVCCFSA-N 0 3 249.354 2.750 20 0 BFADHN CCN(Cc1n[nH]c(C(C)C)n1)C1CCCC1 ZINC000428462176 201020557 /nfs/dbraw/zinc/02/05/57/201020557.db2.gz JWKGWGLLVNQEQZ-UHFFFAOYSA-N 0 3 236.363 2.693 20 0 BFADHN CCCN(CC)[C@H](C)c1cnc(C)cn1 ZINC000428468072 201021730 /nfs/dbraw/zinc/02/17/30/201021730.db2.gz MDEFYRWJGFWDLW-LLVKDONJSA-N 0 3 207.321 2.578 20 0 BFADHN CN(C)c1ccncc1CN[C@@H]1CC12CCCC2 ZINC000428553279 201027496 /nfs/dbraw/zinc/02/74/96/201027496.db2.gz WYVJZHXCWJBXLJ-CQSZACIVSA-N 0 3 245.370 2.570 20 0 BFADHN NC1(C(=O)Nc2ccccc2Cl)CCCC1 ZINC000037479394 181329829 /nfs/dbraw/zinc/32/98/29/181329829.db2.gz XHEPMENRSGIYGJ-UHFFFAOYSA-N 0 3 238.718 2.550 20 0 BFADHN CCOC1(C)CCN(Cc2cncc(C)c2)CC1 ZINC000428712202 201036704 /nfs/dbraw/zinc/03/67/04/201036704.db2.gz PQPDSHUXKNRGQW-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CCOC1(C)CCN(C[C@@H](F)CC)CC1 ZINC000428724408 201037808 /nfs/dbraw/zinc/03/78/08/201037808.db2.gz VZWSJUWKTKCIKJ-NSHDSACASA-N 0 3 217.328 2.626 20 0 BFADHN c1coc(C2=CCCN(C[C@H]3CCCO3)C2)c1 ZINC000285324272 370688473 /nfs/dbraw/zinc/68/84/73/370688473.db2.gz NHDARYGASJCVLI-CYBMUJFWSA-N 0 3 233.311 2.548 20 0 BFADHN CSCCN1CCC=C(c2ccco2)C1 ZINC000285355972 370689283 /nfs/dbraw/zinc/68/92/83/370689283.db2.gz PWKPXDIBOKTHRF-UHFFFAOYSA-N 0 3 223.341 2.732 20 0 BFADHN C[C@H]1C[C@@H](O)CCN1CCCc1ccsc1 ZINC000429157668 201072434 /nfs/dbraw/zinc/07/24/34/201072434.db2.gz ILOMSXDGHUYHTI-AAEUAGOBSA-N 0 3 239.384 2.526 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](C)[C@H]2C)ncn1 ZINC000428975549 201055678 /nfs/dbraw/zinc/05/56/78/201055678.db2.gz HRTPNHDKIPANSQ-NTZNESFSSA-N 0 3 233.359 2.651 20 0 BFADHN C[C@H]1C[C@@H](O)CCN1CCCCC(F)(F)F ZINC000429162605 201075065 /nfs/dbraw/zinc/07/50/65/201075065.db2.gz LQCWLSIUOGONRV-UWVGGRQHSA-N 0 3 239.281 2.564 20 0 BFADHN CC(C)CCCN1CCO[C@@H](C(C)C)C1 ZINC000429347968 201104586 /nfs/dbraw/zinc/10/45/86/201104586.db2.gz YKTURHHNIAJTFX-CYBMUJFWSA-N 0 3 213.365 2.779 20 0 BFADHN CC[C@@H](N[C@H]1CC12CCCC2)c1cnn(C)c1 ZINC000429418414 201106370 /nfs/dbraw/zinc/10/63/70/201106370.db2.gz JJJHJUCMOUJOGP-OLZOCXBDSA-N 0 3 233.359 2.794 20 0 BFADHN CCN(Cc1snnc1C)C1CCCC1 ZINC000579359679 366769654 /nfs/dbraw/zinc/76/96/54/366769654.db2.gz QJCYRAYHGCXQAY-UHFFFAOYSA-N 0 3 225.361 2.611 20 0 BFADHN CC(C)CCCN(C)[C@@H](C)c1cnn(C)c1 ZINC000429332217 201102717 /nfs/dbraw/zinc/10/27/17/201102717.db2.gz PDHWRWOMDKCLAG-LBPRGKRZSA-N 0 3 223.364 2.849 20 0 BFADHN C[C@H]1CC[C@H](N(C)Cc2cn3ccccc3n2)C1 ZINC000429595518 201120371 /nfs/dbraw/zinc/12/03/71/201120371.db2.gz WIGYVXIESGLKAU-JSGCOSHPSA-N 0 3 243.354 2.955 20 0 BFADHN COc1ccnc(CN(C)[C@H]2CC[C@H](C)C2)c1 ZINC000429582738 201122502 /nfs/dbraw/zinc/12/25/02/201122502.db2.gz FNLZPBITYYNVTM-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN CCCN(CCC(C)C)[C@H](C)C(=O)OCC ZINC000429714026 201128921 /nfs/dbraw/zinc/12/89/21/201128921.db2.gz JUWSRRTYLCXXKW-GFCCVEGCSA-N 0 3 229.364 2.696 20 0 BFADHN Cc1cc(C[C@H](C)N[C@H](C)c2ccoc2)[nH]n1 ZINC000181425330 366809879 /nfs/dbraw/zinc/80/98/79/366809879.db2.gz MCWUMCFHJAXGKI-GXSJLCMTSA-N 0 3 233.315 2.593 20 0 BFADHN Cc1cc(C[C@H](C)N[C@H](C)c2ccoc2)n[nH]1 ZINC000181425330 366809885 /nfs/dbraw/zinc/80/98/85/366809885.db2.gz MCWUMCFHJAXGKI-GXSJLCMTSA-N 0 3 233.315 2.593 20 0 BFADHN CCc1c2cc(C)ccc2oc1[C@H](C)NCCO ZINC000181414464 366810303 /nfs/dbraw/zinc/81/03/03/366810303.db2.gz WSFWZZAMQOTJMC-NSHDSACASA-N 0 3 247.338 2.947 20 0 BFADHN COC1(CN(C)Cc2occc2C)CCCC1 ZINC000430126292 201146934 /nfs/dbraw/zinc/14/69/34/201146934.db2.gz DEZZDRFVGUASFZ-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C/c2ccc(F)cc2)[C@H](C)O1 ZINC000631223025 361475242 /nfs/dbraw/zinc/47/52/42/361475242.db2.gz RRMIMCVJDKCMMC-XYHZTXPJSA-N 0 3 249.329 2.994 20 0 BFADHN CCCn1nc(C)c(CN2CC[C@H]2CC)c1C ZINC000430189005 201151824 /nfs/dbraw/zinc/15/18/24/201151824.db2.gz CMNSFDJKDJPEFQ-CYBMUJFWSA-N 0 3 235.375 2.894 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc(OC)c(C)c1 ZINC000430195998 201152490 /nfs/dbraw/zinc/15/24/90/201152490.db2.gz KLYBJUZIIQPMSL-CYBMUJFWSA-N 0 3 219.328 2.988 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CC[C@H]1CC ZINC000430207761 201153568 /nfs/dbraw/zinc/15/35/68/201153568.db2.gz RKCHTWMFEYOIRX-LLVKDONJSA-N 0 3 235.375 2.529 20 0 BFADHN CC[C@H]1CCN1Cc1cc(OC)c(OC)cc1C ZINC000430209912 201154469 /nfs/dbraw/zinc/15/44/69/201154469.db2.gz XQJRJTQQKZGRAJ-ZDUSSCGKSA-N 0 3 249.354 2.997 20 0 BFADHN c1cc(-c2ccc(CN[C@H]3CC=CCC3)o2)n[nH]1 ZINC000433335100 201181935 /nfs/dbraw/zinc/18/19/35/201181935.db2.gz OFDJKWVHVURRNK-NSHDSACASA-N 0 3 243.310 2.868 20 0 BFADHN CCC[C@H]1CCC[C@@H]1[NH2+]Cc1cnc(C)[n-]c1=O ZINC000433239396 201171057 /nfs/dbraw/zinc/17/10/57/201171057.db2.gz GDUMFZAQZJQRRU-AAEUAGOBSA-N 0 3 249.358 2.549 20 0 BFADHN CCC[C@H]1CCC[C@H]1[NH2+]Cc1cnc(C)[n-]c1=O ZINC000433239398 201172488 /nfs/dbraw/zinc/17/24/88/201172488.db2.gz GDUMFZAQZJQRRU-WCQYABFASA-N 0 3 249.358 2.549 20 0 BFADHN OCCCN1CC[C@H](c2cccc(Cl)c2)C1 ZINC000433283170 201173813 /nfs/dbraw/zinc/17/38/13/201173813.db2.gz CFNRWHIMJTWUHH-LBPRGKRZSA-N 0 3 239.746 2.512 20 0 BFADHN Cc1cnc(CN[C@@]23C[C@@H]2CCCC3)s1 ZINC000631224598 361563192 /nfs/dbraw/zinc/56/31/92/361563192.db2.gz BDOPNTZBWAHSQN-JQWIXIFHSA-N 0 3 222.357 2.874 20 0 BFADHN CCCN(C)CC(=O)NCC(C)(C)CC(C)C ZINC000434345762 201215475 /nfs/dbraw/zinc/21/54/75/201215475.db2.gz CYDYHSRLHNOKFR-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN CC1CCN(C[C@H]2COc3ccccc3O2)CC1 ZINC000139235191 361607003 /nfs/dbraw/zinc/60/70/03/361607003.db2.gz OMQDHHBECICPFE-ZDUSSCGKSA-N 0 3 247.338 2.558 20 0 BFADHN CC1CCN(Cc2ccc(-c3cc[nH]n3)o2)CC1 ZINC000434833577 201242536 /nfs/dbraw/zinc/24/25/36/201242536.db2.gz LIGXMRVCJBXVTR-UHFFFAOYSA-N 0 3 245.326 2.902 20 0 BFADHN CCN(CC)Cc1ccc(-c2cc[nH]n2)o1 ZINC000434834442 201243845 /nfs/dbraw/zinc/24/38/45/201243845.db2.gz QUIXAWUAVQMTNO-UHFFFAOYSA-N 0 3 219.288 2.512 20 0 BFADHN CN(Cc1ccc(-c2cc[nH]n2)o1)[C@@H]1CC1(C)C ZINC000434991502 201247638 /nfs/dbraw/zinc/24/76/38/201247638.db2.gz NPRDPDZDHXURBA-CYBMUJFWSA-N 0 3 245.326 2.900 20 0 BFADHN CCNCc1nc(-c2oc(C)nc2C)cs1 ZINC000434661141 201231710 /nfs/dbraw/zinc/23/17/10/201231710.db2.gz HDKCSLFSCVTSLF-UHFFFAOYSA-N 0 3 237.328 2.524 20 0 BFADHN c1coc(-c2cccc([C@@H]3CNCCO3)c2)c1 ZINC000629692745 361620348 /nfs/dbraw/zinc/62/03/48/361620348.db2.gz SSMQFNPAYHENPR-AWEZNQCLSA-N 0 3 229.279 2.608 20 0 BFADHN Cc1noc(C)c1CCN(C)C1CC(C)(C)C1 ZINC000448554565 201256738 /nfs/dbraw/zinc/25/67/38/201256738.db2.gz HVYXXJGSSYHBRL-UHFFFAOYSA-N 0 3 236.359 2.954 20 0 BFADHN CN[C@@H](CO)c1cccc(-c2ccccc2C)c1 ZINC000629712079 361648901 /nfs/dbraw/zinc/64/89/01/361648901.db2.gz QSTHHTMVXHTKQT-INIZCTEOSA-N 0 3 241.334 2.915 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2C[C@@H](C)O[C@@H]2C)s1 ZINC000631227753 361665640 /nfs/dbraw/zinc/66/56/40/361665640.db2.gz LADQLANCPWWNKF-PKIKSRDPSA-N 0 3 240.372 2.668 20 0 BFADHN Cc1oncc1-c1ccc2c(c1)[C@@H](N)CSC2 ZINC000629713127 361651906 /nfs/dbraw/zinc/65/19/06/361651906.db2.gz GQLOTYGLVIOXBG-ZDUSSCGKSA-N 0 3 246.335 2.897 20 0 BFADHN CC[C@@H](NCc1cn[nH]c1)c1ccccc1 ZINC000038005853 361659208 /nfs/dbraw/zinc/65/92/08/361659208.db2.gz JDFZEDDCEZCTNX-CYBMUJFWSA-N 0 3 215.300 2.651 20 0 BFADHN CC[C@@H](CNCc1scnc1C1CC1)OC ZINC000310022665 491160326 /nfs/dbraw/zinc/16/03/26/491160326.db2.gz OBYMAJIYTLPNKJ-JTQLQIEISA-N 0 3 240.372 2.535 20 0 BFADHN CC[C@@H](C)C[C@H](C)NC(=O)[C@H](C(C)C)N(C)C ZINC000154948423 201300645 /nfs/dbraw/zinc/30/06/45/201300645.db2.gz LOYQMEUOESHISU-AGIUHOORSA-N 0 3 242.407 2.514 20 0 BFADHN Fc1cccc2[nH]c(CNC[C@H]3CCCO3)cc21 ZINC000436273562 201318843 /nfs/dbraw/zinc/31/88/43/201318843.db2.gz VYAMFUBLHIVXFJ-LLVKDONJSA-N 0 3 248.301 2.576 20 0 BFADHN CCC[C@@H](N[C@H]1CCOC1)c1cc(C)ccn1 ZINC000631598813 361691002 /nfs/dbraw/zinc/69/10/02/361691002.db2.gz FLNAUJUTWHABDX-QWHCGFSZSA-N 0 3 234.343 2.610 20 0 BFADHN CCC[C@H](N[C@@H]1CCOC1)c1cc(C)ccn1 ZINC000631598812 361691228 /nfs/dbraw/zinc/69/12/28/361691228.db2.gz FLNAUJUTWHABDX-OLZOCXBDSA-N 0 3 234.343 2.610 20 0 BFADHN CC[C@H](NC[C@@H](OC)C(C)C)c1ccncc1 ZINC000436472605 201329535 /nfs/dbraw/zinc/32/95/35/201329535.db2.gz ZYPMKYJRVYWUQE-UONOGXRCSA-N 0 3 236.359 2.793 20 0 BFADHN C[C@H](NCC(C)(C)CO)c1cccc(F)c1F ZINC000225006648 491161736 /nfs/dbraw/zinc/16/17/36/491161736.db2.gz FIUVWLJCOFOTSX-VIFPVBQESA-N 0 3 243.297 2.634 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H](C)[C@@H]1CCCO1 ZINC000310503811 491161771 /nfs/dbraw/zinc/16/17/71/491161771.db2.gz NGANISGXXOKEGY-PTRXPTGYSA-N 0 3 238.331 2.510 20 0 BFADHN CN(Cc1c[nH]nc1-c1ccsc1)C1CC1 ZINC000437582730 201356846 /nfs/dbraw/zinc/35/68/46/201356846.db2.gz NQZSSNNWQWNNGU-UHFFFAOYSA-N 0 3 233.340 2.732 20 0 BFADHN COc1ccc(CN2CC[C@@H](C(C)C)C2)cn1 ZINC000438102106 201389577 /nfs/dbraw/zinc/38/95/77/201389577.db2.gz CYBKVBDSLRECFY-CYBMUJFWSA-N 0 3 234.343 2.568 20 0 BFADHN CN(Cc1cc2c(cccc2F)[nH]1)[C@@H]1CCOC1 ZINC000438112270 201392407 /nfs/dbraw/zinc/39/24/07/201392407.db2.gz FCGQQDHYJRBADH-LLVKDONJSA-N 0 3 248.301 2.528 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C[C@@H](C)C2)cn1 ZINC000438097970 201386780 /nfs/dbraw/zinc/38/67/80/201386780.db2.gz RSGKUNIKZABLGO-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@H](CC(=O)NC[C@H](C)N(C)C)CC(C)(C)C ZINC000614639289 363913364 /nfs/dbraw/zinc/91/33/64/363913364.db2.gz WLXCDTINQLMVOB-NEPJUHHUSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@@H]1CC[C@H](n2cc(CNC3CCCC3)nn2)C1 ZINC000630602183 364318917 /nfs/dbraw/zinc/31/89/17/364318917.db2.gz AMTGMKYXHVZUEY-RISCZKNCSA-N 0 3 248.374 2.671 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1Cc1cncnc1 ZINC000180204086 541355665 /nfs/dbraw/zinc/35/56/65/541355665.db2.gz SFDYJTVGGPCNCE-GXTWGEPZSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1cc(CN[C@@H]2CCCOC2)ccc1Cl ZINC000134653306 364409959 /nfs/dbraw/zinc/40/99/59/364409959.db2.gz PVOKEJCXQGIAIY-GFCCVEGCSA-N 0 3 239.746 2.917 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1nnc(C)s1 ZINC000135008260 364422421 /nfs/dbraw/zinc/42/24/21/364422421.db2.gz LSEMAJWJIXXNPM-YIZRAAEISA-N 0 3 227.377 2.932 20 0 BFADHN CC[C@@H](N[C@H](C)c1nnc(C)s1)C1CC1 ZINC000135009403 364423795 /nfs/dbraw/zinc/42/37/95/364423795.db2.gz GVJHGRFBFAZVQO-GMSGAONNSA-N 0 3 225.361 2.686 20 0 BFADHN C[C@H](c1ccccc1F)N(C)C[C@@H](O)C1CC1 ZINC000225550671 541359497 /nfs/dbraw/zinc/35/94/97/541359497.db2.gz LWIUGOBLRFPTBW-QMTHXVAHSA-N 0 3 237.318 2.589 20 0 BFADHN CSCC[C@H](C)N(C)Cc1cnoc1C ZINC000181762152 366846893 /nfs/dbraw/zinc/84/68/93/366846893.db2.gz PEVYIXSHIYDAPE-VIFPVBQESA-N 0 3 228.361 2.556 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1cnoc1C ZINC000181762171 366847502 /nfs/dbraw/zinc/84/75/02/366847502.db2.gz PEVYIXSHIYDAPE-SECBINFHSA-N 0 3 228.361 2.556 20 0 BFADHN CCc1ncc(CN[C@H](C2CC2)C2CCC2)o1 ZINC000453346111 202745068 /nfs/dbraw/zinc/74/50/68/202745068.db2.gz AAZZJDWTOXHODD-AWEZNQCLSA-N 0 3 234.343 2.905 20 0 BFADHN CCC(C)(C)CCN[C@H](C)c1cnccn1 ZINC000631683430 364537706 /nfs/dbraw/zinc/53/77/06/364537706.db2.gz LFOCXDYLZXQCJW-LLVKDONJSA-N 0 3 221.348 2.954 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1cnccc1C)OC ZINC000453297161 202731338 /nfs/dbraw/zinc/73/13/38/202731338.db2.gz HQUUWUCJWIMSCR-OCCSQVGLSA-N 0 3 236.359 2.856 20 0 BFADHN C[C@@H](NCCC1CC(F)(F)C1)c1cnccn1 ZINC000453431284 202773265 /nfs/dbraw/zinc/77/32/65/202773265.db2.gz RHUNYWZEHYIIHA-SECBINFHSA-N 0 3 241.285 2.563 20 0 BFADHN C[C@@H](CN1C[C@@H](C)S(=O)[C@H](C)C1)C(C)(C)C ZINC000619845816 364658647 /nfs/dbraw/zinc/65/86/47/364658647.db2.gz LSNOOWZQWHXNOI-QJPTWQEYSA-N 0 3 245.432 2.510 20 0 BFADHN COc1cc(CN[C@H](C)CC(C)C)sn1 ZINC000399037969 364737284 /nfs/dbraw/zinc/73/72/84/364737284.db2.gz BIFPFKKSOPITEE-SECBINFHSA-N 0 3 228.361 2.676 20 0 BFADHN COc1cc(CN[C@@H](C)CCC2CC2)sn1 ZINC000399013647 364722890 /nfs/dbraw/zinc/72/28/90/364722890.db2.gz BCROZWRCEKMBKG-VIFPVBQESA-N 0 3 240.372 2.820 20 0 BFADHN F[C@H]1CC[C@@H](Nc2ccnc3ccccc32)C1 ZINC000573355630 364807224 /nfs/dbraw/zinc/80/72/24/364807224.db2.gz BXIVIBYJZBIRNU-WDEREUQCSA-N 0 3 230.286 2.959 20 0 BFADHN C[C@@H]1C[C@@H](C)[C@H](C)N(Cc2ccc(CO)o2)C1 ZINC000167595687 364799825 /nfs/dbraw/zinc/79/98/25/364799825.db2.gz RMTBWHMBYQOBEM-UTUOFQBUSA-N 0 3 237.343 2.638 20 0 BFADHN CCOc1cc(CN2[C@H](C)C[C@@H]2C)ccc1O ZINC000573422868 364828451 /nfs/dbraw/zinc/82/84/51/364828451.db2.gz QRHNGSDSBIGHFC-PHIMTYICSA-N 0 3 235.327 2.774 20 0 BFADHN Cc1ccoc1CN[C@H]1CCC(F)(F)C1 ZINC000390011465 541405656 /nfs/dbraw/zinc/40/56/56/541405656.db2.gz ZKCIYRVYABTFDT-VIFPVBQESA-N 0 3 215.243 2.865 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2CO[C@H](C)C2)c(C)c1 ZINC000574399979 365053292 /nfs/dbraw/zinc/05/32/92/365053292.db2.gz JFFAGUFUFRUKNZ-AGIUHOORSA-N 0 3 249.354 2.832 20 0 BFADHN C[C@@H]1C[C@@H](NCC2CC(C)(C)C2)c2nccn21 ZINC000574402808 365055102 /nfs/dbraw/zinc/05/51/02/365055102.db2.gz LJLXQOLRKXOMGU-ZYHUDNBSSA-N 0 3 233.359 2.915 20 0 BFADHN c1nc([C@H](N[C@H]2CC23CC3)C2CCCCC2)n[nH]1 ZINC000574444368 365061578 /nfs/dbraw/zinc/06/15/78/365061578.db2.gz PWXLDKHFONUUKP-NWDGAFQWSA-N 0 3 246.358 2.568 20 0 BFADHN c1nnc([C@H](N[C@H]2CC23CC3)C2CCCCC2)[nH]1 ZINC000574444368 365061582 /nfs/dbraw/zinc/06/15/82/365061582.db2.gz PWXLDKHFONUUKP-NWDGAFQWSA-N 0 3 246.358 2.568 20 0 BFADHN COc1ccsc1CN1C2CCC1CC2 ZINC000574263221 365021365 /nfs/dbraw/zinc/02/13/65/365021365.db2.gz NVFRJOAIMFGHMI-UHFFFAOYSA-N 0 3 223.341 2.884 20 0 BFADHN CC1(C)C[C@H](NC2(c3cccc(F)c3)CC2)CO1 ZINC000574532377 365084715 /nfs/dbraw/zinc/08/47/15/365084715.db2.gz LJBRQZWAAVHRIA-ZDUSSCGKSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H]1CN(CCCCCF)CC2(CCC2)O1 ZINC000574634941 365106175 /nfs/dbraw/zinc/10/61/75/365106175.db2.gz GPLCPSJAARYDBF-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN CC[C@@H]1C[C@H]1C(=O)Nc1ccccc1CN(C)C ZINC000574517286 365080042 /nfs/dbraw/zinc/08/00/42/365080042.db2.gz OQIXTPCFCSFVIV-DGCLKSJQSA-N 0 3 246.354 2.733 20 0 BFADHN CO[C@@H](C)CN[C@H]1CCCc2ccc(F)cc21 ZINC000443196998 193014932 /nfs/dbraw/zinc/01/49/32/193014932.db2.gz MQFRAHPSWLNGNA-HZMBPMFUSA-N 0 3 237.318 2.828 20 0 BFADHN COc1cccnc1CN[C@H]1CC=C(C)CC1 ZINC000574838220 365157212 /nfs/dbraw/zinc/15/72/12/365157212.db2.gz CJZKNHZTZUWCCS-LBPRGKRZSA-N 0 3 232.327 2.679 20 0 BFADHN CCCC[C@@H](COC)NC1(CF)CCC1 ZINC000575047333 365177090 /nfs/dbraw/zinc/17/70/90/365177090.db2.gz MROCMTFWDHGOJQ-NSHDSACASA-N 0 3 217.328 2.673 20 0 BFADHN Cc1oc2ccccc2c1CN(C)CCCO ZINC000442963301 193007995 /nfs/dbraw/zinc/00/79/95/193007995.db2.gz FXDMZMMWXGHKNT-UHFFFAOYSA-N 0 3 233.311 2.555 20 0 BFADHN C[C@@H](CCNCc1nccn1C)CC(C)(C)C ZINC000443003897 193010171 /nfs/dbraw/zinc/01/01/71/193010171.db2.gz CJNFILWGODUCMA-LBPRGKRZSA-N 0 3 237.391 2.972 20 0 BFADHN CO[C@@H]1CCN(C/C=C/c2ccncc2)[C@H](C)C1 ZINC000443077200 193011955 /nfs/dbraw/zinc/01/19/55/193011955.db2.gz ZQHFAYMGUJAYSY-PRXHZCDDSA-N 0 3 246.354 2.594 20 0 BFADHN CO[C@@H]1CCN(C/C=C\c2ccncc2)[C@@H](C)C1 ZINC000443077201 193012411 /nfs/dbraw/zinc/01/24/11/193012411.db2.gz ZQHFAYMGUJAYSY-REJHYOMKSA-N 0 3 246.354 2.594 20 0 BFADHN COCC1(N[C@H]2CCCc3ccc(O)cc32)CC1 ZINC000575113108 365202908 /nfs/dbraw/zinc/20/29/08/365202908.db2.gz UENAPZNLBZOJQO-AWEZNQCLSA-N 0 3 247.338 2.538 20 0 BFADHN COCCCCCN(C)Cc1ccoc1C ZINC000171500005 365204607 /nfs/dbraw/zinc/20/46/07/365204607.db2.gz OSFSLGSIFLPWJF-UHFFFAOYSA-N 0 3 225.332 2.837 20 0 BFADHN COc1ccnc(CN2CC[C@H](C)C[C@H](C)C2)c1 ZINC000443647081 193027294 /nfs/dbraw/zinc/02/72/94/193027294.db2.gz KXBFFHRPVFEQSY-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C[C@H](C)C2)nn1C ZINC000443643135 193027307 /nfs/dbraw/zinc/02/73/07/193027307.db2.gz UUHVPKOVGIOXNC-RYUDHWBXSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)C[C@H]2[C@@H](C)O)o1 ZINC000443790824 193029227 /nfs/dbraw/zinc/02/92/27/193029227.db2.gz PMJSPSCLPIVTEX-SUHUHFCYSA-N 0 3 237.343 2.569 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cn2ccsc2n1 ZINC000171950550 365261412 /nfs/dbraw/zinc/26/14/12/365261412.db2.gz CMWKTZZQTYFLBJ-SNVBAGLBSA-N 0 3 237.372 2.874 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@@H](C)[C@H]2C)n1 ZINC000379866401 365330875 /nfs/dbraw/zinc/33/08/75/365330875.db2.gz OIHRDWGXJDLTNY-IRUJWGPZSA-N 0 3 204.317 2.524 20 0 BFADHN c1ccc2c(c1)CN(CCc1cccnc1)C2 ZINC000172163447 365298802 /nfs/dbraw/zinc/29/88/02/365298802.db2.gz PWYGJQXFELBBSQ-UHFFFAOYSA-N 0 3 224.307 2.640 20 0 BFADHN CCOC[C@@H](C)NC1(c2cccc(F)c2)CC1 ZINC000182211789 366875702 /nfs/dbraw/zinc/87/57/02/366875702.db2.gz YCXAWHGQIAJNTN-LLVKDONJSA-N 0 3 237.318 2.829 20 0 BFADHN COc1cc(CNC[C@H](C)C(C)C)sn1 ZINC000399084338 365388383 /nfs/dbraw/zinc/38/83/83/365388383.db2.gz UJMYASWEHULWBA-VIFPVBQESA-N 0 3 228.361 2.533 20 0 BFADHN Cc1occc1CN1C[C@H](C)S[C@@H](C)C1 ZINC000575894040 365407577 /nfs/dbraw/zinc/40/75/77/365407577.db2.gz DBCIWUUSLOZOSO-UWVGGRQHSA-N 0 3 225.357 2.914 20 0 BFADHN CCc1cnc(CNCC[C@H](C)F)s1 ZINC000339481574 365464393 /nfs/dbraw/zinc/46/43/93/365464393.db2.gz AGIAXCIBQRQJMD-QMMMGPOBSA-N 0 3 216.325 2.543 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1CCC[C@H]2C[C@H]21 ZINC000576192990 365517190 /nfs/dbraw/zinc/51/71/90/365517190.db2.gz LLROPSWMQMKYLV-CYZMBNFOSA-N 0 3 232.327 2.830 20 0 BFADHN CCC[C@H]1[C@H](C)CCCN1Cc1cncnc1 ZINC000179999455 541506885 /nfs/dbraw/zinc/50/68/85/541506885.db2.gz ZHUDICHKNUGSRP-OCCSQVGLSA-N 0 3 233.359 2.877 20 0 BFADHN CC(C)CCN1CCN(c2cccc(O)c2)CC1 ZINC000194589642 541512999 /nfs/dbraw/zinc/51/29/99/541512999.db2.gz JWMVVWBKIZKVPQ-UHFFFAOYSA-N 0 3 248.370 2.560 20 0 BFADHN C[C@H](NCC1(C2CC2)CCC1)c1nccn1C ZINC000183377769 367018084 /nfs/dbraw/zinc/01/80/84/367018084.db2.gz WYBHMYRXFLHHDX-NSHDSACASA-N 0 3 233.359 2.651 20 0 BFADHN CC(C)[C@@H](C)CN[C@@H](c1nccn1C)C1CC1 ZINC000183283606 367008808 /nfs/dbraw/zinc/00/88/08/367008808.db2.gz GZTLRZOEZVOVTA-WCQYABFASA-N 0 3 235.375 2.753 20 0 BFADHN CCN(Cc1cnn(CC(C)C)c1)CC1CC1 ZINC000183301106 367012214 /nfs/dbraw/zinc/01/22/14/367012214.db2.gz NWTRDNYDZIJDEV-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN CC1(CNCc2nc(C3CC3)cs2)CC1 ZINC000380326930 367178049 /nfs/dbraw/zinc/17/80/49/367178049.db2.gz MJLOWFRQAMATLG-UHFFFAOYSA-N 0 3 222.357 2.910 20 0 BFADHN CC[C@@H]1COCCN1C[C@@H](C)c1ccccc1 ZINC000116879807 370850070 /nfs/dbraw/zinc/85/00/70/370850070.db2.gz OKCCDROWKRNUGQ-UKRRQHHQSA-N 0 3 233.355 2.901 20 0 BFADHN Fc1ccccc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000380358183 367191391 /nfs/dbraw/zinc/19/13/91/367191391.db2.gz XHCYJTMTPRORBS-PJXYFTJBSA-N 0 3 205.276 2.714 20 0 BFADHN CC(C)n1cncc1CN[C@@H]1CCC[C@H](F)C1 ZINC000580892930 367199399 /nfs/dbraw/zinc/19/93/99/367199399.db2.gz PAOJDEOZHGVFDB-NWDGAFQWSA-N 0 3 239.338 2.834 20 0 BFADHN COC[C@H](C)N(C)Cc1cc(C)sc1C ZINC000194386424 367284534 /nfs/dbraw/zinc/28/45/34/367284534.db2.gz PJUQHXFPFLWJKV-VIFPVBQESA-N 0 3 227.373 2.832 20 0 BFADHN COC[C@H](C)N(C)Cc1cc(C)ccc1C ZINC000193899325 367225767 /nfs/dbraw/zinc/22/57/67/367225767.db2.gz NYLJLDVENQZQKP-ZDUSSCGKSA-N 0 3 221.344 2.770 20 0 BFADHN C[C@H](NCCCc1cccs1)c1ccn(C)n1 ZINC000581059152 367248657 /nfs/dbraw/zinc/24/86/57/367248657.db2.gz NFHHGWPGLJPHQF-NSHDSACASA-N 0 3 249.383 2.765 20 0 BFADHN CCc1ccc(CN(C)[C@@H](C)COC)cc1 ZINC000195006379 367331553 /nfs/dbraw/zinc/33/15/53/367331553.db2.gz WNMKIKFUQSXOBS-LBPRGKRZSA-N 0 3 221.344 2.716 20 0 BFADHN CC(C)C(C)(C)NCc1ccncc1F ZINC000581291003 367319470 /nfs/dbraw/zinc/31/94/70/367319470.db2.gz IIQBWCVRYYUWIZ-UHFFFAOYSA-N 0 3 210.296 2.745 20 0 BFADHN FC1(CN[C@@H]2C[C@@H]2Cc2ccccc2)CC1 ZINC000381355263 367386961 /nfs/dbraw/zinc/38/69/61/367386961.db2.gz CXLSWOXNPCPOMO-QWHCGFSZSA-N 0 3 219.303 2.709 20 0 BFADHN Cc1nnsc1CN(CC1CCC1)C(C)C ZINC000581373408 367404564 /nfs/dbraw/zinc/40/45/64/367404564.db2.gz UYMAPALSQQPTRU-UHFFFAOYSA-N 0 3 239.388 2.857 20 0 BFADHN CO[C@H](C)CN[C@@H]1CCc2c1cccc2Cl ZINC000381456569 367407687 /nfs/dbraw/zinc/40/76/87/367407687.db2.gz JPXIPWWIHYWVKD-NOZJJQNGSA-N 0 3 239.746 2.952 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC[C@@H]1C(C)C ZINC000381518530 367423288 /nfs/dbraw/zinc/42/32/88/367423288.db2.gz SYIJXZUOIHPBRY-GHMZBOCLSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC[C@H]1C(C)C ZINC000381518559 367423532 /nfs/dbraw/zinc/42/35/32/367423532.db2.gz SYIJXZUOIHPBRY-WDEREUQCSA-N 0 3 239.388 2.761 20 0 BFADHN C[C@@H](NCc1cnccn1)[C@@H]1CC2CCC1CC2 ZINC000382039353 367481259 /nfs/dbraw/zinc/48/12/59/367481259.db2.gz DCKKABBVKVKXJE-LPXQKFACSA-N 0 3 245.370 2.781 20 0 BFADHN COC[C@H](C)NCc1cc2c(s1)CCCC2 ZINC000381700846 367448896 /nfs/dbraw/zinc/44/88/96/367448896.db2.gz IJFBEORYFVJFDW-JTQLQIEISA-N 0 3 239.384 2.751 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1ccoc1 ZINC000381770847 367456784 /nfs/dbraw/zinc/45/67/84/367456784.db2.gz WFDVMDSPLFGOJS-CYZMBNFOSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@@H]1C[C@@H](NCCCC2CC2)c2nccn21 ZINC000382290100 367504265 /nfs/dbraw/zinc/50/42/65/367504265.db2.gz QPHSPTRSTFAEHH-ZYHUDNBSSA-N 0 3 219.332 2.669 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1nccs1 ZINC000382388796 367513620 /nfs/dbraw/zinc/51/36/20/367513620.db2.gz LNAHDTFCXSRSTK-CHWFTXMASA-N 0 3 222.357 2.982 20 0 BFADHN CCCn1cc(CN[C@H]2CCC[C@@H](F)C2)cn1 ZINC000581547846 367577278 /nfs/dbraw/zinc/57/72/78/367577278.db2.gz BQWDPTAEYFVKFZ-OLZOCXBDSA-N 0 3 239.338 2.663 20 0 BFADHN CS[C@@H]1CCN(CCC(=O)c2ccccc2)C1 ZINC000382997222 367583331 /nfs/dbraw/zinc/58/33/31/367583331.db2.gz BYJWQPQDPMIFIA-CYBMUJFWSA-N 0 3 249.379 2.697 20 0 BFADHN CCCc1ccccc1NC(=O)[C@H](C)NC1CC1 ZINC000383398780 367619757 /nfs/dbraw/zinc/61/97/57/367619757.db2.gz SCTWBFXJRSTUQZ-NSHDSACASA-N 0 3 246.354 2.718 20 0 BFADHN CO[C@H](CN1CCC[C@H]1c1ccccn1)C1CC1 ZINC000581663478 367663531 /nfs/dbraw/zinc/66/35/31/367663531.db2.gz FBPBNOMCNUNOGG-LSDHHAIUSA-N 0 3 246.354 2.644 20 0 BFADHN CO[C@H](CNCc1cccc(C)c1F)C(C)C ZINC000384464854 367692602 /nfs/dbraw/zinc/69/26/02/367692602.db2.gz PAQZBDAUPDGGPX-CYBMUJFWSA-N 0 3 239.334 2.895 20 0 BFADHN Cc1cccc(CNC2(CO)CCCC2)c1F ZINC000384485186 367692752 /nfs/dbraw/zinc/69/27/52/367692752.db2.gz CQMFDGCQBSSMES-UHFFFAOYSA-N 0 3 237.318 2.529 20 0 BFADHN C[C@@H](N[C@@H](C)CC(C)(C)C)c1cnccn1 ZINC000384511836 367694813 /nfs/dbraw/zinc/69/48/13/367694813.db2.gz HKMMUUNVHSWYKO-WDEREUQCSA-N 0 3 221.348 2.952 20 0 BFADHN C/C=C\CNCc1cccc2c1OCCCO2 ZINC000384737394 367723528 /nfs/dbraw/zinc/72/35/28/367723528.db2.gz LBMCPXDMSFOITN-IHWYPQMZSA-N 0 3 233.311 2.514 20 0 BFADHN CC/C=C\CNCc1ccc(-n2cccn2)cc1 ZINC000384781881 367730939 /nfs/dbraw/zinc/73/09/39/367730939.db2.gz UFTWWOSQFPUPOS-ARJAWSKDSA-N 0 3 241.338 2.928 20 0 BFADHN CC/C=C\CN[C@@H](C)c1cnn(CC)c1 ZINC000384782573 367731375 /nfs/dbraw/zinc/73/13/75/367731375.db2.gz UGAVDJIXCUQNAC-ZADCQDASSA-N 0 3 207.321 2.520 20 0 BFADHN C/C=C/CNCc1cc2c(cc1OC)C[C@@H](C)O2 ZINC000384990417 367770955 /nfs/dbraw/zinc/77/09/55/367770955.db2.gz KOLOOVLAKCAFCM-SGUJLRQBSA-N 0 3 247.338 2.684 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1C[C@H](C)O[C@H]1C ZINC000384895457 367753761 /nfs/dbraw/zinc/75/37/61/367753761.db2.gz MBDKNQKDAYJUPZ-COPLHBTASA-N 0 3 237.318 2.790 20 0 BFADHN CC/C=C/CNCc1cc(OC)ccc1OC ZINC000384934826 367760750 /nfs/dbraw/zinc/76/07/50/367760750.db2.gz SXQMEVOARBLIDN-AATRIKPKSA-N 0 3 235.327 2.760 20 0 BFADHN CCn1ccnc1CNCC1CCCCCC1 ZINC000385283299 367816109 /nfs/dbraw/zinc/81/61/09/367816109.db2.gz BUNWNTBEONJDBO-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN CC(C)n1cc(CN[C@H](C)CC(C)(C)C)nn1 ZINC000385148542 367802844 /nfs/dbraw/zinc/80/28/44/367802844.db2.gz MUUPKHCVXOFXGR-LLVKDONJSA-N 0 3 238.379 2.773 20 0 BFADHN COc1cc(CN[C@H]2CCC[C@@H](C)C2)sn1 ZINC000399178610 367851576 /nfs/dbraw/zinc/85/15/76/367851576.db2.gz NSFMMZGWYMOZDX-ZJUUUORDSA-N 0 3 240.372 2.820 20 0 BFADHN Cc1ncsc1CN[C@@H]1C[C@H]1CC(C)C ZINC000385886995 367875251 /nfs/dbraw/zinc/87/52/51/367875251.db2.gz YIAIKCSYKWORPV-GHMZBOCLSA-N 0 3 224.373 2.976 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC(C)(C)C[C@H]1C ZINC000385300274 367817748 /nfs/dbraw/zinc/81/77/48/367817748.db2.gz DSVALDWPHNOLJF-VXGBXAGGSA-N 0 3 235.375 2.817 20 0 BFADHN CCOC(=O)CCCN[C@@H](C)c1ccsc1 ZINC000081549994 370891098 /nfs/dbraw/zinc/89/10/98/370891098.db2.gz TYMSBEMKUUNOAA-JTQLQIEISA-N 0 3 241.356 2.742 20 0 BFADHN CC[C@H](N[C@H]1CCC[C@H](F)C1)c1ccn(C)n1 ZINC000566221749 326017308 /nfs/dbraw/zinc/01/73/08/326017308.db2.gz QQPZLMFLGDYEMM-SRVKXCTJSA-N 0 3 239.338 2.742 20 0 BFADHN COc1c(O)cccc1CNCC1CC(C)(C)C1 ZINC000581922707 367925205 /nfs/dbraw/zinc/92/52/05/367925205.db2.gz NLQOLWSDLLGJLJ-UHFFFAOYSA-N 0 3 249.354 2.927 20 0 BFADHN CC[C@H](N[C@H](C)c1cccc(F)c1F)[C@H](C)O ZINC000386911993 368034180 /nfs/dbraw/zinc/03/41/80/368034180.db2.gz QCVOETRUZYBLLZ-PTRXPTGYSA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@H](N[C@@H](C)c1cccc(F)c1F)[C@H](C)O ZINC000386911990 368034359 /nfs/dbraw/zinc/03/43/59/368034359.db2.gz QCVOETRUZYBLLZ-AUTRQRHGSA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@@H](NCc1ccc(C)cc1Cl)[C@H](C)O ZINC000386692527 368010544 /nfs/dbraw/zinc/01/05/44/368010544.db2.gz KRQKBVFUCWQRDV-GXFFZTMASA-N 0 3 241.762 2.897 20 0 BFADHN CC[C@H](NCc1ccc(C)cc1Cl)[C@@H](C)O ZINC000386692528 368010804 /nfs/dbraw/zinc/01/08/04/368010804.db2.gz KRQKBVFUCWQRDV-MFKMUULPSA-N 0 3 241.762 2.897 20 0 BFADHN CC(C)n1cc(CN[C@H]2CC23CCCC3)cn1 ZINC000387248204 368131032 /nfs/dbraw/zinc/13/10/32/368131032.db2.gz JVPYYPUONNRQIQ-ZDUSSCGKSA-N 0 3 233.359 2.886 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)N(C)C[C@H](C)C(C)(C)C ZINC000399492955 368168736 /nfs/dbraw/zinc/16/87/36/368168736.db2.gz GMURYAYDYPPPHZ-WOPDTQHZSA-N 0 3 242.407 2.500 20 0 BFADHN CC(C)C[C@@H](N)C(=O)N(C)C[C@@H](C)C(C)(C)C ZINC000399530806 368172231 /nfs/dbraw/zinc/17/22/31/368172231.db2.gz RWLJBJHEOAKCIN-VXGBXAGGSA-N 0 3 242.407 2.500 20 0 BFADHN CN[C@@H](CC(C)(C)C)C(=O)Nc1ccccc1C ZINC000387447352 368195316 /nfs/dbraw/zinc/19/53/16/368195316.db2.gz AWDJEQBOGXTWNX-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN COCc1ccc(CN[C@@H]2CC23CCCC3)o1 ZINC000387276979 368140705 /nfs/dbraw/zinc/14/07/05/368140705.db2.gz MQGCWWSDVPFENN-CYBMUJFWSA-N 0 3 235.327 2.848 20 0 BFADHN C[C@@H](Cc1ccccc1)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000034236898 368264470 /nfs/dbraw/zinc/26/44/70/368264470.db2.gz GTXJQSYVXLUOCW-SOUVJXGZSA-N 0 3 247.382 2.974 20 0 BFADHN CC[C@@H](c1ccccc1)N1CCN(CC)CC1 ZINC000057860222 368502026 /nfs/dbraw/zinc/50/20/26/368502026.db2.gz OMWMSJZZTFKOBV-HNNXBMFYSA-N 0 3 232.371 2.775 20 0 BFADHN CCCN(CCN1CCCCC1)CC1(F)CC1 ZINC000566789834 326082665 /nfs/dbraw/zinc/08/26/65/326082665.db2.gz LLQAXPUUGUSLSW-UHFFFAOYSA-N 0 3 242.382 2.686 20 0 BFADHN CCN(CCOC(C)C)Cc1cccs1 ZINC000057601025 368496041 /nfs/dbraw/zinc/49/60/41/368496041.db2.gz HEPDNVWQJGTOQH-UHFFFAOYSA-N 0 3 227.373 2.995 20 0 BFADHN Cc1nc(CNC[C@H]2CCC[C@H]2C)[nH]c1C ZINC000566865924 326089913 /nfs/dbraw/zinc/08/99/13/326089913.db2.gz HKHKJMKLNZTLBF-BXKDBHETSA-N 0 3 221.348 2.552 20 0 BFADHN CCOC[C@H](C)NCc1csc(C)c1C ZINC000399765890 368563768 /nfs/dbraw/zinc/56/37/68/368563768.db2.gz IOIRNRUBLHSTSD-VIFPVBQESA-N 0 3 227.373 2.880 20 0 BFADHN Cc1scc(CN[C@H]2CCO[C@H]2C)c1C ZINC000399849460 368615852 /nfs/dbraw/zinc/61/58/52/368615852.db2.gz JVJRICFLUHJKTP-CABZTGNLSA-N 0 3 225.357 2.632 20 0 BFADHN CC(C)Oc1ccccc1[C@H](C)N[C@@H]1CCOC1 ZINC000109430662 368630633 /nfs/dbraw/zinc/63/06/33/368630633.db2.gz OVGCMDRMHDMCML-QWHCGFSZSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1cnc(CN2[C@H](C)CCC[C@@H]2C)cn1 ZINC000066531794 368652709 /nfs/dbraw/zinc/65/27/09/368652709.db2.gz LEYMZPFQNZMGFN-TXEJJXNPSA-N 0 3 219.332 2.548 20 0 BFADHN CN(C)[C@@H](CNc1ccccn1)c1ccccc1 ZINC000067948894 368708456 /nfs/dbraw/zinc/70/84/56/368708456.db2.gz MNXDSKGSJJTBRG-AWEZNQCLSA-N 0 3 241.338 2.796 20 0 BFADHN CC(C)CN(C)[C@@H](C)C(=O)Nc1ccccc1 ZINC000068334932 368723382 /nfs/dbraw/zinc/72/33/82/368723382.db2.gz GPKYMGXHSOFMGO-LBPRGKRZSA-N 0 3 234.343 2.601 20 0 BFADHN CC(C)(C)OCCN1CCC[C@H]1c1ccc[nH]1 ZINC000068322553 368723485 /nfs/dbraw/zinc/72/34/85/368723485.db2.gz CLOFGUBRKFUPJS-ZDUSSCGKSA-N 0 3 236.359 2.967 20 0 BFADHN CCC[C@H](C(=O)NC(C)(C)CN(C)C)C(C)C ZINC000457236596 203024452 /nfs/dbraw/zinc/02/44/52/203024452.db2.gz KQQWTNZAMDTXFK-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN CC(C)[C@@H](C(=O)N[C@H](C)CC(C)(C)C)N(C)C ZINC000457245807 203025937 /nfs/dbraw/zinc/02/59/37/203025937.db2.gz ZHWIMVWWQCYFEH-NEPJUHHUSA-N 0 3 242.407 2.514 20 0 BFADHN C[C@@H](CC(C)(C)C)C(=O)NC(C)(C)CN(C)C ZINC000457245695 203026540 /nfs/dbraw/zinc/02/65/40/203026540.db2.gz RTPKIAARRDAOLP-NSHDSACASA-N 0 3 242.407 2.515 20 0 BFADHN CC(C)[C@@H](C(=O)N[C@@H](C)CC(C)(C)C)N(C)C ZINC000457245815 203026802 /nfs/dbraw/zinc/02/68/02/203026802.db2.gz ZHWIMVWWQCYFEH-RYUDHWBXSA-N 0 3 242.407 2.514 20 0 BFADHN CCCC(C)(C)CC(=O)NC(C)(C)CN(C)C ZINC000457252101 203028828 /nfs/dbraw/zinc/02/88/28/203028828.db2.gz WIVKJTAFPVPERU-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN CCN(CC)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000072557595 368774426 /nfs/dbraw/zinc/77/44/26/368774426.db2.gz ILQHWLBUQQRDSR-CQSZACIVSA-N 0 3 246.354 2.524 20 0 BFADHN Cc1ncccc1NC(=O)C(C)C(F)(F)F ZINC000073348917 368803403 /nfs/dbraw/zinc/80/34/03/368803403.db2.gz LWMTXAQCCHJZDI-ZCFIWIBFSA-N 0 3 232.205 2.527 20 0 BFADHN CCOCCN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000073432339 368805410 /nfs/dbraw/zinc/80/54/10/368805410.db2.gz DCFXNBOPEVJJFY-JTQLQIEISA-N 0 3 243.297 2.994 20 0 BFADHN Cc1noc(C)c1CN(C)[C@@H](C)C1CC1 ZINC000073779236 368815955 /nfs/dbraw/zinc/81/59/55/368815955.db2.gz KNEMWSAZZQNNMS-VIFPVBQESA-N 0 3 208.305 2.522 20 0 BFADHN CCC(C)(C)N(C)CC(=O)Nc1ccccc1 ZINC000074320946 368837418 /nfs/dbraw/zinc/83/74/18/368837418.db2.gz DBSADGMNPJDCDK-UHFFFAOYSA-N 0 3 234.343 2.746 20 0 BFADHN CSC[C@@H]1CCCN1Cc1cc(C)ns1 ZINC000616009403 368876179 /nfs/dbraw/zinc/87/61/79/368876179.db2.gz OAPXGYJOIUVFBS-JTQLQIEISA-N 0 3 242.413 2.779 20 0 BFADHN CO[C@H]1C[C@H](NCc2cc3cc(F)ccc3o2)C1 ZINC000623807560 368907336 /nfs/dbraw/zinc/90/73/36/368907336.db2.gz JLDFIRLWLSOJMG-HAQNSBGRSA-N 0 3 249.285 2.839 20 0 BFADHN CC[C@@H](CNCc1csc(C)c1C)OC ZINC000399902671 368912293 /nfs/dbraw/zinc/91/22/93/368912293.db2.gz TVWZXOLBKKYULI-LBPRGKRZSA-N 0 3 227.373 2.880 20 0 BFADHN Cc1ccc(C(C)(C)CNCC2(F)CC2)nc1 ZINC000623648997 368973379 /nfs/dbraw/zinc/97/33/79/368973379.db2.gz HLTYNVNPNIRQHA-UHFFFAOYSA-N 0 3 236.334 2.759 20 0 BFADHN CS[C@@H]1CCC[C@H](N[C@H](C)c2ncc[nH]2)C1 ZINC000278843299 368977105 /nfs/dbraw/zinc/97/71/05/368977105.db2.gz DLICBAHUBVMWRD-OUAUKWLOSA-N 0 3 239.388 2.735 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc(SC)s2)C1 ZINC000623808196 368933078 /nfs/dbraw/zinc/93/30/78/368933078.db2.gz HKMGNWPSAWGQST-KYZUINATSA-N 0 3 243.397 2.737 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc(C)cc2Cl)C1 ZINC000623809172 368958041 /nfs/dbraw/zinc/95/80/41/368958041.db2.gz UVHUXBJUULVFGB-HAQNSBGRSA-N 0 3 239.746 2.915 20 0 BFADHN CC1(C)CCC[C@](CO)(NCc2ccccn2)C1 ZINC000623669802 369221636 /nfs/dbraw/zinc/22/16/36/369221636.db2.gz VPBZATUTYCLNKQ-HNNXBMFYSA-N 0 3 248.370 2.503 20 0 BFADHN C[C@@H]1CN(CC2CCC(F)(F)CC2)[C@H](C)CO1 ZINC000459282243 203245490 /nfs/dbraw/zinc/24/54/90/203245490.db2.gz DHHACAQMFXPAFC-GHMZBOCLSA-N 0 3 247.329 2.921 20 0 BFADHN CC(C)OC1CC(N(C)Cc2ccoc2)C1 ZINC000459309122 203251835 /nfs/dbraw/zinc/25/18/35/203251835.db2.gz SUGYZBHGGLZQFZ-UHFFFAOYSA-N 0 3 223.316 2.667 20 0 BFADHN CC[C@H]1COCCN1C[C@@H]1C[C@H]1c1ccccc1 ZINC000459319641 203255462 /nfs/dbraw/zinc/25/54/62/203255462.db2.gz DDPXAHGFFHNJOI-JYJNAYRXSA-N 0 3 245.366 2.901 20 0 BFADHN CC(C)[C@H](C)[N@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459314966 203256430 /nfs/dbraw/zinc/25/64/30/203256430.db2.gz WSXVGDCFUAMPCZ-JTQLQIEISA-N 0 3 249.358 2.536 20 0 BFADHN CC(C)[C@H](C)[N@@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459314966 203256434 /nfs/dbraw/zinc/25/64/34/203256434.db2.gz WSXVGDCFUAMPCZ-JTQLQIEISA-N 0 3 249.358 2.536 20 0 BFADHN C[C@@H]1CCCN(CCn2cc(Cl)cn2)[C@H]1C ZINC000459333369 203256944 /nfs/dbraw/zinc/25/69/44/203256944.db2.gz AXLBEHXCCOSPHH-MNOVXSKESA-N 0 3 241.766 2.657 20 0 BFADHN CN(Cc1ccc(C2CC2)cc1)[C@H]1CCOC1 ZINC000459340008 203261103 /nfs/dbraw/zinc/26/11/03/203261103.db2.gz OIBYDGRFJZOTHZ-HNNXBMFYSA-N 0 3 231.339 2.785 20 0 BFADHN CCN(Cc1cnc(C2CC2)nc1)CC1CC1 ZINC000459354204 203264233 /nfs/dbraw/zinc/26/42/33/203264233.db2.gz SBPLMTBTDDBKFQ-UHFFFAOYSA-N 0 3 231.343 2.586 20 0 BFADHN CCOCCN(CC)CCOCC1CCCC1 ZINC000459354175 203264449 /nfs/dbraw/zinc/26/44/49/203264449.db2.gz RXQWJFKFYVAAQZ-UHFFFAOYSA-N 0 3 243.391 2.552 20 0 BFADHN CC[C@@H](C)C[N@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459347162 203265770 /nfs/dbraw/zinc/26/57/70/203265770.db2.gz PKPCLGHVKAPJPD-SNVBAGLBSA-N 0 3 249.358 2.538 20 0 BFADHN CC[C@@H](C)C[N@@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459347162 203265774 /nfs/dbraw/zinc/26/57/74/203265774.db2.gz PKPCLGHVKAPJPD-SNVBAGLBSA-N 0 3 249.358 2.538 20 0 BFADHN CC[C@@]1(C)CCN(CCn2cc(Cl)cn2)C1 ZINC000459356312 203267343 /nfs/dbraw/zinc/26/73/43/203267343.db2.gz CSHFIDJZWVSINN-LBPRGKRZSA-N 0 3 241.766 2.659 20 0 BFADHN C[C@H](CN1CC2(C1)CCCOC2)c1ccccc1 ZINC000459601702 203315051 /nfs/dbraw/zinc/31/50/51/203315051.db2.gz CQKAJEOMDBUGGB-CQSZACIVSA-N 0 3 245.366 2.903 20 0 BFADHN COc1ccncc1CNC1CC2(CCC2)C1 ZINC000459554350 203298952 /nfs/dbraw/zinc/29/89/52/203298952.db2.gz DVAQSPAAHVGNQX-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN CCCCOCCN(C)CCc1ccccc1 ZINC000056494652 369361111 /nfs/dbraw/zinc/36/11/11/369361111.db2.gz RDAPYYRNOWOMEL-UHFFFAOYSA-N 0 3 235.371 2.978 20 0 BFADHN CC[C@H]1CCCC[C@H]1CNCc1ccn(C)n1 ZINC000401432990 369363655 /nfs/dbraw/zinc/36/36/55/369363655.db2.gz IUTQZUIMTAEYDZ-STQMWFEESA-N 0 3 235.375 2.726 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@@H]2CO[C@H](C)C2)s1 ZINC000401900560 369374505 /nfs/dbraw/zinc/37/45/05/369374505.db2.gz OHBZHIMEKPMKTG-HDBBIHSSSA-N 0 3 240.372 2.588 20 0 BFADHN Cc1cc(CN[C@@]2(C)CCCC[C@H]2C)nn1C ZINC000459619654 203318826 /nfs/dbraw/zinc/31/88/26/203318826.db2.gz UREQBFODQZYMGC-RISCZKNCSA-N 0 3 235.375 2.787 20 0 BFADHN CCC1(C)CN(Cc2ccc(OC)cc2)C1 ZINC000459628484 203323257 /nfs/dbraw/zinc/32/32/57/203323257.db2.gz CTNXGDXJASIPSQ-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN COCc1ccc(CN2CC(C(C)(C)C)C2)o1 ZINC000459632286 203323992 /nfs/dbraw/zinc/32/39/92/203323992.db2.gz CIEAPIUCCUVNPY-UHFFFAOYSA-N 0 3 237.343 2.904 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CC(C)(CC(F)(F)F)C1 ZINC000459635551 203325478 /nfs/dbraw/zinc/32/54/78/203325478.db2.gz JGNLNBWCKNNDEV-RKDXNWHRSA-N 0 3 221.266 2.917 20 0 BFADHN CCSCCCNCc1cc(C)ns1 ZINC000404492297 369430382 /nfs/dbraw/zinc/43/03/82/369430382.db2.gz VURAYOPQCMHGDY-UHFFFAOYSA-N 0 3 230.402 2.684 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@H]2CCCOC2)nc1C ZINC000459659177 203330937 /nfs/dbraw/zinc/33/09/37/203330937.db2.gz CWVSFLSYRYHBCH-KGLIPLIRSA-N 0 3 248.370 2.603 20 0 BFADHN CCC1CC(NCc2ccc(C)c(C)n2)C1 ZINC000459657887 203330965 /nfs/dbraw/zinc/33/09/65/203330965.db2.gz CBXNTMIKJJGDTJ-UHFFFAOYSA-N 0 3 218.344 2.977 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@@H]2CCCOC2)nc1C ZINC000459659179 203331455 /nfs/dbraw/zinc/33/14/55/203331455.db2.gz CWVSFLSYRYHBCH-ZIAGYGMSSA-N 0 3 248.370 2.603 20 0 BFADHN Cc1ncc(CN[C@@H](C)CCC(F)(F)F)o1 ZINC000459682340 203335132 /nfs/dbraw/zinc/33/51/32/203335132.db2.gz YMFNIRBJQUKSIU-ZETCQYMHSA-N 0 3 236.237 2.804 20 0 BFADHN COCc1ccc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000459739953 203349519 /nfs/dbraw/zinc/34/95/19/203349519.db2.gz BCMKNGPRSHDREP-DDTOSNHZSA-N 0 3 235.327 2.704 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1ccc(C)cc1OC ZINC000459740918 203348839 /nfs/dbraw/zinc/34/88/39/203348839.db2.gz MZTCZCKBPFJPNP-KGLIPLIRSA-N 0 3 249.354 2.661 20 0 BFADHN CCC(F)(F)C(C)(C)CNCc1nc(C)c[nH]1 ZINC000623698178 369498796 /nfs/dbraw/zinc/49/87/96/369498796.db2.gz QHVITPAULYAKOU-UHFFFAOYSA-N 0 3 245.317 2.879 20 0 BFADHN Cc1cnc(CNC2(C3CCC3)CCC2)cn1 ZINC000459753437 203356785 /nfs/dbraw/zinc/35/67/85/203356785.db2.gz RNQBPNZAMUMBQD-UHFFFAOYSA-N 0 3 231.343 2.597 20 0 BFADHN C[C@]1(NCc2ccn(C(F)F)n2)CC=CCC1 ZINC000459755091 203357077 /nfs/dbraw/zinc/35/70/77/203357077.db2.gz NMYBULJGAOITNH-LBPRGKRZSA-N 0 3 241.285 2.867 20 0 BFADHN C[C@@H](CCC(F)(F)F)N[C@@H](C)c1ccn(C)n1 ZINC000459759779 203357831 /nfs/dbraw/zinc/35/78/31/203357831.db2.gz RFYICDQWEOLHIE-IUCAKERBSA-N 0 3 249.280 2.802 20 0 BFADHN C[C@H](Cc1cccs1)N[C@@H](C)c1ccn(C)n1 ZINC000459761659 203359603 /nfs/dbraw/zinc/35/96/03/203359603.db2.gz SVQUZDYDIMJALS-MNOVXSKESA-N 0 3 249.383 2.763 20 0 BFADHN COc1c(O)cccc1CN[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000459764426 203360203 /nfs/dbraw/zinc/36/02/03/203360203.db2.gz OMIDOSJRCXFDBM-KGYLQXTDSA-N 0 3 247.338 2.679 20 0 BFADHN CCC[C@H](CC)N[C@@H](C)c1ccn(C)n1 ZINC000459765688 203361311 /nfs/dbraw/zinc/36/13/11/203361311.db2.gz VOTUQRQFMQRDJB-QWRGUYRKSA-N 0 3 209.337 2.649 20 0 BFADHN Cc1cccc(CCN[C@H](C)c2ccn(C)n2)c1 ZINC000459767659 203361662 /nfs/dbraw/zinc/36/16/62/203361662.db2.gz QEKPSLSBKWTXRX-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN CCC(F)(F)C(C)(C)CNCc1cn[nH]c1 ZINC000623697064 369486477 /nfs/dbraw/zinc/48/64/77/369486477.db2.gz UMXSTEVJFUDFMA-UHFFFAOYSA-N 0 3 231.290 2.571 20 0 BFADHN CCOC1CCN(Cc2ccc(C)cc2)CC1 ZINC000060493696 369487666 /nfs/dbraw/zinc/48/76/66/369487666.db2.gz MWSQLFLGEGOQEC-UHFFFAOYSA-N 0 3 233.355 2.996 20 0 BFADHN COc1cc(F)cc(OC)c1CNCC(C)C ZINC000631030676 370963599 /nfs/dbraw/zinc/96/35/99/370963599.db2.gz WJUOIFGCZFXSIR-UHFFFAOYSA-N 0 3 241.306 2.589 20 0 BFADHN CCC[C@H](NCc1ncc(C)n1C)C1CCC1 ZINC000459779535 203366231 /nfs/dbraw/zinc/36/62/31/203366231.db2.gz ZYJDZAUDYLMWGG-ZDUSSCGKSA-N 0 3 235.375 2.787 20 0 BFADHN c1cc(CCCN2C[C@@H]3C[C@H]2CS3)cs1 ZINC000459799029 203373047 /nfs/dbraw/zinc/37/30/47/203373047.db2.gz WDNHYZOOXWNNSQ-RYUDHWBXSA-N 0 3 239.409 2.870 20 0 BFADHN C[C@H](NCCC1=CCCCC1)c1ccn(C)n1 ZINC000459801614 203373560 /nfs/dbraw/zinc/37/35/60/203373560.db2.gz YAVIAXYXYPPXRQ-LBPRGKRZSA-N 0 3 233.359 2.961 20 0 BFADHN CO[C@H](CN1C[C@@H]2C[C@H]1CS2)c1ccccc1 ZINC000459797662 203374000 /nfs/dbraw/zinc/37/40/00/203374000.db2.gz SICDHTBATQKUBG-MELADBBJSA-N 0 3 249.379 2.564 20 0 BFADHN CNC(=O)c1cccc(CN(C)CCC(C)C)c1 ZINC000072959738 369516054 /nfs/dbraw/zinc/51/60/54/369516054.db2.gz RUKPRUQQSNZNQY-UHFFFAOYSA-N 0 3 248.370 2.524 20 0 BFADHN Cc1noc(C)c1CCN(C(C)C)C1CC1 ZINC000164829881 203381746 /nfs/dbraw/zinc/38/17/46/203381746.db2.gz PCGKIRMCIXURQW-UHFFFAOYSA-N 0 3 222.332 2.707 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2ccn(C)n2)cc1 ZINC000459785589 203368704 /nfs/dbraw/zinc/36/87/04/203368704.db2.gz KANRBIPXWMPWCT-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN c1ccc(OCCCN2C[C@@H]3C[C@H]2CS3)cc1 ZINC000459792982 203371402 /nfs/dbraw/zinc/37/14/02/203371402.db2.gz KMJYWHANQDEAIU-JSGCOSHPSA-N 0 3 249.379 2.645 20 0 BFADHN C[C@H](CN1CC[S@@](=O)[C@@H](C)[C@H]1C)C(C)(C)C ZINC000619844579 369617673 /nfs/dbraw/zinc/61/76/73/369617673.db2.gz WBUIAEYILJDTNB-LSSIXWDNSA-N 0 3 245.432 2.510 20 0 BFADHN CCCCN(C)Cc1cnn(C(C)(C)C)c1 ZINC000158172833 326310811 /nfs/dbraw/zinc/31/08/11/326310811.db2.gz XMQOIBZNJPPPIM-UHFFFAOYSA-N 0 3 223.364 2.870 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1C(=O)CN(CC)CC ZINC000620575381 369932526 /nfs/dbraw/zinc/93/25/26/369932526.db2.gz RVYZRIVQCNDORY-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@@H](NC1CC(C)(C)C1)c1cncs1 ZINC000307010470 369980793 /nfs/dbraw/zinc/98/07/93/369980793.db2.gz NYSNEBJBEVJGLD-MRVPVSSYSA-N 0 3 210.346 2.982 20 0 BFADHN CCCN(CCOC)Cc1ccccc1F ZINC000621296616 370223551 /nfs/dbraw/zinc/22/35/51/370223551.db2.gz AYGVJSHQAVTYQF-UHFFFAOYSA-N 0 3 225.307 2.684 20 0 BFADHN CCOCC(C)(C)NCc1cncc(Cl)c1 ZINC000621298327 370226620 /nfs/dbraw/zinc/22/66/20/370226620.db2.gz SCZOSYJFKXCBKE-UHFFFAOYSA-N 0 3 242.750 2.640 20 0 BFADHN CC[C@H](NCc1ccnc(C)c1)[C@H]1CCCCO1 ZINC000621313182 370238728 /nfs/dbraw/zinc/23/87/28/370238728.db2.gz XZXFOFYMYNKBAY-LSDHHAIUSA-N 0 3 248.370 2.827 20 0 BFADHN Cc1cc(CN2CCC[C@@H](CCF)C2)ccn1 ZINC000621321624 370249293 /nfs/dbraw/zinc/24/92/93/370249293.db2.gz QDPWGHODJDPJKX-ZDUSSCGKSA-N 0 3 236.334 2.962 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CC2(C)C)ccn1 ZINC000621322852 370250529 /nfs/dbraw/zinc/25/05/29/370250529.db2.gz KGRCLSHJGBFFIM-ZDUSSCGKSA-N 0 3 218.344 2.868 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cncc(Cl)c1 ZINC000621259296 370191806 /nfs/dbraw/zinc/19/18/06/370191806.db2.gz QYNHBYTYXVKGLK-VHSXEESVSA-N 0 3 224.735 2.965 20 0 BFADHN Cc1cc(CN2CCS[C@H](C)[C@H]2C)ccn1 ZINC000621258521 370192111 /nfs/dbraw/zinc/19/21/11/370192111.db2.gz OMWOWVQDIPKWQC-VXGBXAGGSA-N 0 3 236.384 2.716 20 0 BFADHN Cc1cc(CN2C[C@H](C)S[C@@H](C)C2)ccn1 ZINC000621259830 370192368 /nfs/dbraw/zinc/19/23/68/370192368.db2.gz JMSYQCCHBJOLOR-RYUDHWBXSA-N 0 3 236.384 2.716 20 0 BFADHN Cc1cc(CN2CCSC(C)(C)C2)ccn1 ZINC000621262231 370194956 /nfs/dbraw/zinc/19/49/56/370194956.db2.gz SJMISFYKEVPKKA-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN CN(CCC1CC1)Cc1cncc(Cl)c1 ZINC000621269273 370201383 /nfs/dbraw/zinc/20/13/83/370201383.db2.gz PCNSWSDFJKUDNS-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN CCc1ccc(CNCC[C@H]2CC2(F)F)o1 ZINC000621378544 370321203 /nfs/dbraw/zinc/32/12/03/370321203.db2.gz YQBRBEUYQYFPML-VIFPVBQESA-N 0 3 229.270 2.977 20 0 BFADHN CCOc1cncc(CN[C@@H]2CC23CCCC3)c1 ZINC000621349495 370284673 /nfs/dbraw/zinc/28/46/73/370284673.db2.gz PDIWCKDYMDAFTP-CQSZACIVSA-N 0 3 246.354 2.903 20 0 BFADHN CC[C@H](CNCc1cnc(C2CC2)s1)OC ZINC000310014392 370289080 /nfs/dbraw/zinc/28/90/80/370289080.db2.gz KFHGDBOZGJZENU-SNVBAGLBSA-N 0 3 240.372 2.535 20 0 BFADHN CCOc1cncc(CNC2(C(C)C)CC2)c1 ZINC000621357024 370291480 /nfs/dbraw/zinc/29/14/80/370291480.db2.gz AZWHCKHOXSCCON-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN CC[C@@H](NCc1nccnc1C)[C@H]1CC1(C)C ZINC000621363298 370297678 /nfs/dbraw/zinc/29/76/78/370297678.db2.gz LUBPREUANZUIFP-VXGBXAGGSA-N 0 3 233.359 2.699 20 0 BFADHN CCCc1ccc(CN[C@@H]2CO[C@@H](C)C2)s1 ZINC000621367795 370303880 /nfs/dbraw/zinc/30/38/80/370303880.db2.gz GCVWNZVIRJUWAY-QWRGUYRKSA-N 0 3 239.384 2.968 20 0 BFADHN CCOc1cncc(CNC2CC(C)(C)C2)c1 ZINC000621372286 370309970 /nfs/dbraw/zinc/30/99/70/370309970.db2.gz SIFSCSHPNLYAFT-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN CCc1nc(CNCC2CC(C)C2)cs1 ZINC000621383541 370327287 /nfs/dbraw/zinc/32/72/87/370327287.db2.gz KYQKTOBJBDTXLZ-UHFFFAOYSA-N 0 3 224.373 2.841 20 0 BFADHN CCC(C)(C)NC(=O)[C@@H](C)N(C)CCC(C)C ZINC000077211810 370401187 /nfs/dbraw/zinc/40/11/87/370401187.db2.gz ASWNYZINCLTYFQ-GFCCVEGCSA-N 0 3 242.407 2.658 20 0 BFADHN CCCN(Cc1cncc(OCC)c1)C1CC1 ZINC000621711173 370456010 /nfs/dbraw/zinc/45/60/10/370456010.db2.gz HUWLKFDQRNIJSE-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1nccnc1CN(C1CC1)C1CCCC1 ZINC000621711046 370456403 /nfs/dbraw/zinc/45/64/03/370456403.db2.gz BSHJFIHNZRFLRK-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN CCOc1cncc(CN(CC)CC(C)C)c1 ZINC000621711647 370457356 /nfs/dbraw/zinc/45/73/56/370457356.db2.gz BHRNIXMBXRYNSI-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CCOc1cncc(CN(C)[C@H](C)C2CC2)c1 ZINC000621711914 370457759 /nfs/dbraw/zinc/45/77/59/370457759.db2.gz LZVVNWGFZCLRRX-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1nccnc1CN(C(C)C)C1CCCC1 ZINC000621712209 370458891 /nfs/dbraw/zinc/45/88/91/370458891.db2.gz WQIVZRPLZKTVQX-UHFFFAOYSA-N 0 3 233.359 2.938 20 0 BFADHN CCCc1ccc(CN(CCO)C2CC2)s1 ZINC000621712225 370459310 /nfs/dbraw/zinc/45/93/10/370459310.db2.gz XQFFWRSPOIJAFE-UHFFFAOYSA-N 0 3 239.384 2.657 20 0 BFADHN Cc1nccnc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000621713151 370459629 /nfs/dbraw/zinc/45/96/29/370459629.db2.gz AWJSIODXQGKHJM-GXTWGEPZSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1nccnc1CN1CCCC[C@@H]1C(C)C ZINC000621717083 370466630 /nfs/dbraw/zinc/46/66/30/370466630.db2.gz WIWRRFRQOQBHEB-CQSZACIVSA-N 0 3 233.359 2.796 20 0 BFADHN CCOc1cncc(CN2[C@H](C)CC[C@@H]2C)c1 ZINC000621719418 370470038 /nfs/dbraw/zinc/47/00/38/370470038.db2.gz MCYFVZMSOQHJEP-TXEJJXNPSA-N 0 3 234.343 2.853 20 0 BFADHN Cc1nccnc1CN1CC[C@@]2(CC[C@H](C)C2)C1 ZINC000621722055 370473153 /nfs/dbraw/zinc/47/31/53/370473153.db2.gz JVNDKEIGVOEKOV-SWLSCSKDSA-N 0 3 245.370 2.797 20 0 BFADHN c1cc(CC2CN(CC3CC3)C2)cs1 ZINC000621741284 370500428 /nfs/dbraw/zinc/50/04/28/370500428.db2.gz LBBDTSOOYWJVGV-UHFFFAOYSA-N 0 3 207.342 2.632 20 0 BFADHN CC1CC(CN[C@@H]2C[C@H](C)n3ccnc32)C1 ZINC000621769682 370508503 /nfs/dbraw/zinc/50/85/03/370508503.db2.gz FMYDXSMYMXGTNP-POSLAHMBSA-N 0 3 219.332 2.525 20 0 BFADHN C[C@@H](NCC[C@@H]1CC1(F)F)c1nccs1 ZINC000621768492 370510369 /nfs/dbraw/zinc/51/03/69/370510369.db2.gz QGSQJEPLDQAVAI-HTQZYQBOSA-N 0 3 232.299 2.839 20 0 BFADHN C[C@@H]1C[C@@H](NCC[C@H]2CC2(F)F)c2nccn21 ZINC000621768984 370511865 /nfs/dbraw/zinc/51/18/65/370511865.db2.gz XDFZMNPOCRCFGQ-KXUCPTDWSA-N 0 3 241.285 2.524 20 0 BFADHN COC(=O)c1cc(CN(C)C(C)(C)C)oc1C ZINC000568388257 326352526 /nfs/dbraw/zinc/35/25/26/326352526.db2.gz MSCWKZGIVNWINJ-UHFFFAOYSA-N 0 3 239.315 2.605 20 0 BFADHN Cc1nccnc1CN1CCC(C2CCC2)CC1 ZINC000621731827 370486246 /nfs/dbraw/zinc/48/62/46/370486246.db2.gz AUPHKEILPFMELE-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1nccnc1CN(CCC1CC1)CC1CC1 ZINC000621732750 370486920 /nfs/dbraw/zinc/48/69/20/370486920.db2.gz QKMYFGNHCZJADM-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN COc1ccc(F)c(CN[C@@H]2C[C@@H](C)[C@@H]2C)c1 ZINC000621733098 370488670 /nfs/dbraw/zinc/48/86/70/370488670.db2.gz AXDLTWNTQANZTI-ISTVAULSSA-N 0 3 237.318 2.968 20 0 BFADHN CC[C@@H](NCc1nc(C)c(C)[nH]1)[C@H]1CC1(C)C ZINC000568533650 326364165 /nfs/dbraw/zinc/36/41/65/326364165.db2.gz OLRRCXZUUULHKI-VXGBXAGGSA-N 0 3 235.375 2.941 20 0 BFADHN Cc1ncncc1[C@@H](C)NCCCC(C)(F)F ZINC000568650938 326371043 /nfs/dbraw/zinc/37/10/43/326371043.db2.gz CQLZLIVXJBBEJS-SECBINFHSA-N 0 3 243.301 2.871 20 0 BFADHN C[C@H]1CCC[C@H](CCNCc2cncnc2)C1 ZINC000070537567 371044649 /nfs/dbraw/zinc/04/46/49/371044649.db2.gz CNKYMZWKWJFFBB-QWHCGFSZSA-N 0 3 233.359 2.783 20 0 BFADHN CCn1c2ccccc2nc1CNCC1CC1 ZINC000037878762 371071681 /nfs/dbraw/zinc/07/16/81/371071681.db2.gz OKSFOMVWPQZOIG-UHFFFAOYSA-N 0 3 229.327 2.556 20 0 BFADHN C/C=C\CNCc1cc(OC)cc2c1O[C@@H](C)C2 ZINC000623739483 371073785 /nfs/dbraw/zinc/07/37/85/371073785.db2.gz YUQSZXFCGPYRBP-WYGGZMRJSA-N 0 3 247.338 2.684 20 0 BFADHN C/C=C\CNCc1ccc2c(c1)OCCCCO2 ZINC000623739999 371074471 /nfs/dbraw/zinc/07/44/71/371074471.db2.gz KIFUYWAYIXXYCB-IHWYPQMZSA-N 0 3 247.338 2.904 20 0 BFADHN C/C=C/CNCc1cccc2c1CCC2 ZINC000623739925 371074848 /nfs/dbraw/zinc/07/48/48/371074848.db2.gz JVORQUIXRXXIMG-NSCUHMNNSA-N 0 3 201.313 2.841 20 0 BFADHN Cc1sccc1CN[C@@H]1CO[C@@H](C2CC2)C1 ZINC000623754257 371081779 /nfs/dbraw/zinc/08/17/79/371081779.db2.gz LSKQXZSCMRXVHL-QWHCGFSZSA-N 0 3 237.368 2.714 20 0 BFADHN Cc1cc(F)ccc1CN[C@H]1CO[C@H](C2CC2)C1 ZINC000623753114 371082463 /nfs/dbraw/zinc/08/24/63/371082463.db2.gz RWUDQXJITAKEBK-CABCVRRESA-N 0 3 249.329 2.791 20 0 BFADHN Cc1csc([C@H](C)NCC(C)(C)CCO)n1 ZINC000623901198 371147099 /nfs/dbraw/zinc/14/70/99/371147099.db2.gz ARRCHXDPJZCUMD-JTQLQIEISA-N 0 3 242.388 2.511 20 0 BFADHN CC1(CN[C@@H]2CCCc3scnc32)CC1 ZINC000623918502 371154028 /nfs/dbraw/zinc/15/40/28/371154028.db2.gz SRJBDFFCQBIDIS-SECBINFHSA-N 0 3 222.357 2.910 20 0 BFADHN CO[C@@H](C)CCNCc1ccc(C(F)F)nc1 ZINC000631059659 371195130 /nfs/dbraw/zinc/19/51/30/371195130.db2.gz PKIGHOCZEHYVRX-VIFPVBQESA-N 0 3 244.285 2.534 20 0 BFADHN CCC(O)(CC)CNCc1ccc(C)cc1F ZINC000631057231 371183511 /nfs/dbraw/zinc/18/35/11/371183511.db2.gz RYZKCHRVFVBUDN-UHFFFAOYSA-N 0 3 239.334 2.775 20 0 BFADHN Cc1cc(CNCCC2=CCCCC2)on1 ZINC000390523867 371212371 /nfs/dbraw/zinc/21/23/71/371212371.db2.gz HLPIDCNDRFFFCK-UHFFFAOYSA-N 0 3 220.316 2.963 20 0 BFADHN Cc1cc(CN[C@H](C)[C@H]2CCCCO2)cc(C)n1 ZINC000624001577 371233085 /nfs/dbraw/zinc/23/30/85/371233085.db2.gz FZYTUFDOARXUCZ-UKRRQHHQSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1cc(CN[C@@H](C)[C@H]2CCCCO2)cc(C)n1 ZINC000624001575 371233100 /nfs/dbraw/zinc/23/31/00/371233100.db2.gz FZYTUFDOARXUCZ-DZGCQCFKSA-N 0 3 248.370 2.746 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@@H]1CC[C@@H]3C[C@@H]3C1)CCC2 ZINC000624033441 371261183 /nfs/dbraw/zinc/26/11/83/371261183.db2.gz GARFUEYQVVNQGA-YIYPIFLZSA-N 0 3 245.370 2.744 20 0 BFADHN CCCC[C@H](C)[C@H](C)NCc1cnnn1CC ZINC000624026430 371257186 /nfs/dbraw/zinc/25/71/86/371257186.db2.gz USZLAWOVQJSDNH-RYUDHWBXSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1c([C@H](C)NCc2cccs2)cnn1C ZINC000020351412 371269484 /nfs/dbraw/zinc/26/94/84/371269484.db2.gz RGZKVCITEWTXNY-VIFPVBQESA-N 0 3 235.356 2.641 20 0 BFADHN Cc1c([C@@H](C)NCc2cccs2)cnn1C ZINC000020351411 371269531 /nfs/dbraw/zinc/26/95/31/371269531.db2.gz RGZKVCITEWTXNY-SECBINFHSA-N 0 3 235.356 2.641 20 0 BFADHN c1cc(CN[C@H]2CCCC23CC3)sn1 ZINC000624047003 371271765 /nfs/dbraw/zinc/27/17/65/371271765.db2.gz SFNDJRSIOXHHAW-JTQLQIEISA-N 0 3 208.330 2.565 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1cccnc1)OCC ZINC000624049984 371276581 /nfs/dbraw/zinc/27/65/81/371276581.db2.gz VQNWRBJTFNRIMQ-OCCSQVGLSA-N 0 3 236.359 2.937 20 0 BFADHN CCOC[C@H](C)N[C@H](c1ccccn1)C1CCC1 ZINC000624104445 371309775 /nfs/dbraw/zinc/30/97/75/371309775.db2.gz SEGQWVBXMMWGAC-WFASDCNBSA-N 0 3 248.370 2.937 20 0 BFADHN CCC[C@](C)(O)CNCc1ccc(C)cc1F ZINC000631070919 371311746 /nfs/dbraw/zinc/31/17/46/371311746.db2.gz HEJTVLSKHNFVQJ-AWEZNQCLSA-N 0 3 239.334 2.775 20 0 BFADHN CC[C@@H](C)[C@@H](O)CNCc1ccc(C)cc1F ZINC000631070968 371312104 /nfs/dbraw/zinc/31/21/04/371312104.db2.gz IQFPQDFSKUWIEX-RISCZKNCSA-N 0 3 239.334 2.631 20 0 BFADHN CC(C)CC[C@@H](CO)N[C@H](C)c1ccccn1 ZINC000624110101 371314309 /nfs/dbraw/zinc/31/43/09/371314309.db2.gz PCMBQJCOKNPJLO-OLZOCXBDSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1ccc(CN[C@H]2CCCC[C@@H]2O)c(F)c1 ZINC000631071545 371325163 /nfs/dbraw/zinc/32/51/63/371325163.db2.gz PNUUPKHLHZGGJF-KBPBESRZSA-N 0 3 237.318 2.527 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc(OCCOC)cc1 ZINC000624197815 371386222 /nfs/dbraw/zinc/38/62/22/371386222.db2.gz XMKWSAARHHJWIG-ZFDPJTLLSA-N 0 3 249.354 2.939 20 0 BFADHN C/C=C/CN[C@H]1CCCc2nc(C)sc21 ZINC000624197709 371386447 /nfs/dbraw/zinc/38/64/47/371386447.db2.gz UPDYBOLJBUMWON-FSIBCCDJSA-N 0 3 222.357 2.995 20 0 BFADHN C/C=C/CN[C@@H](C)c1sccc1OC ZINC000624198276 371387220 /nfs/dbraw/zinc/38/72/20/371387220.db2.gz KECDNIHZDCBMRU-MOVJSRMASA-N 0 3 211.330 2.983 20 0 BFADHN C/C=C\CN[C@@H]1CCc2cc(F)c(OC)cc21 ZINC000624198417 371387488 /nfs/dbraw/zinc/38/74/88/371387488.db2.gz OGKOVLKIZHUXBH-DHCBQETCSA-N 0 3 235.302 2.987 20 0 BFADHN C/C=C\CN[C@H](C)c1cnn(C(C)C)c1C ZINC000624198717 371387532 /nfs/dbraw/zinc/38/75/32/371387532.db2.gz VSUSXLBYDBWIAH-JMEBYUIHSA-N 0 3 221.348 2.999 20 0 BFADHN C/C=C\CN[C@H](C)c1cnc(OC)c(Cl)c1 ZINC000624198920 371389072 /nfs/dbraw/zinc/38/90/72/371389072.db2.gz BWOBIJPZDPWLAP-XRVBUDJMSA-N 0 3 240.734 2.970 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000624199504 371389735 /nfs/dbraw/zinc/38/97/35/371389735.db2.gz QZTBZXSJXYHGQY-ZBJFTSOASA-N 0 3 232.283 2.760 20 0 BFADHN Cc1cc([C@@H](C)NC[C@]2(C)C[C@H]3C[C@H]3C2)nn1C ZINC000624200354 371392109 /nfs/dbraw/zinc/39/21/09/371392109.db2.gz JUMXMDGDYYGZCP-GUIRCDHDSA-N 0 3 247.386 2.815 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccc2c(c1)COC2 ZINC000624197324 371384841 /nfs/dbraw/zinc/38/48/41/371384841.db2.gz JJHKDYUUGSLKPK-BYCRGOAPSA-N 0 3 217.312 2.944 20 0 BFADHN CSCCN[C@H]1CCc2c1cc(F)cc2F ZINC000125927862 371451906 /nfs/dbraw/zinc/45/19/06/371451906.db2.gz GRBZDCHJJZYQHH-LBPRGKRZSA-N 0 3 243.322 2.905 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2cccc(F)c2F)C1 ZINC000624299666 371466031 /nfs/dbraw/zinc/46/60/31/371466031.db2.gz RJHPLADIMRMJJR-GUBZILKMSA-N 0 3 241.281 2.793 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2cc3cnccc3o2)C1 ZINC000624300554 371468158 /nfs/dbraw/zinc/46/81/58/371468158.db2.gz KOECSUJKQXFNMA-DLOVCJGASA-N 0 3 246.310 2.656 20 0 BFADHN CCC[C@H](C)NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000624550934 371537709 /nfs/dbraw/zinc/53/77/09/371537709.db2.gz MGSUXAJFIHAPQP-WUHRBBMRSA-N 0 3 240.391 2.553 20 0 BFADHN CCC[C@H](C)NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000624550932 371537876 /nfs/dbraw/zinc/53/78/76/371537876.db2.gz MGSUXAJFIHAPQP-LOWDOPEQSA-N 0 3 240.391 2.553 20 0 BFADHN CCC[C@H](C)NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000624550931 371537969 /nfs/dbraw/zinc/53/79/69/371537969.db2.gz MGSUXAJFIHAPQP-CYDGBPFRSA-N 0 3 240.391 2.553 20 0 BFADHN COC(C)(C)CNCc1ccc(C(F)F)nc1 ZINC000631080313 371493932 /nfs/dbraw/zinc/49/39/32/371493932.db2.gz WHNVNOMYLXEEFQ-UHFFFAOYSA-N 0 3 244.285 2.534 20 0 BFADHN Cc1ccc(CN[C@H](CCO)C(C)C)c(F)c1 ZINC000631080312 371493986 /nfs/dbraw/zinc/49/39/86/371493986.db2.gz WFPBOMNGHSJUBI-CQSZACIVSA-N 0 3 239.334 2.631 20 0 BFADHN CCCCN(CCOC)Cc1ccsc1 ZINC000093323097 168818327 /nfs/dbraw/zinc/81/83/27/168818327.db2.gz XVUYNOSRLOJPNG-UHFFFAOYSA-N 0 3 227.373 2.997 20 0 BFADHN CS[C@H]1CCC[C@@H](NCc2nccs2)C1 ZINC000227375790 371849625 /nfs/dbraw/zinc/84/96/25/371849625.db2.gz OUIJDHKAKZWTGZ-ZJUUUORDSA-N 0 3 242.413 2.907 20 0 BFADHN CSCCNCc1ccc(Cl)cc1F ZINC000162964646 371898383 /nfs/dbraw/zinc/89/83/83/371898383.db2.gz AQZXWYAXEFNFCD-UHFFFAOYSA-N 0 3 233.739 2.932 20 0 BFADHN CCCCc1ccc(NC(=O)[C@H](C)NCC)cc1 ZINC000627727432 371905169 /nfs/dbraw/zinc/90/51/69/371905169.db2.gz NTYVVEAOQSZKHC-LBPRGKRZSA-N 0 3 248.370 2.966 20 0 BFADHN CN(Cc1cn2ccccc2n1)CC1CCCC1 ZINC000058411070 372022220 /nfs/dbraw/zinc/02/22/20/372022220.db2.gz KGJCQOJDDJTZSY-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN COc1cncc(CNCCC(C)(C)F)c1C ZINC000631171973 372217739 /nfs/dbraw/zinc/21/77/39/372217739.db2.gz AVSBXMDAYLWMFY-UHFFFAOYSA-N 0 3 240.322 2.626 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@H](O)C23CCC3)c(F)c1 ZINC000631175575 372218364 /nfs/dbraw/zinc/21/83/64/372218364.db2.gz QEQQLTRAKRTJGN-UONOGXRCSA-N 0 3 249.329 2.527 20 0 BFADHN CCOc1cccc(CN[C@H]2CCCC23CC3)n1 ZINC000631191189 372224890 /nfs/dbraw/zinc/22/48/90/372224890.db2.gz DDZJMCLWZZPRGC-ZDUSSCGKSA-N 0 3 246.354 2.903 20 0 BFADHN C[C@H](CNCc1nn(C)c2ccccc12)C1CC1 ZINC000134287074 372192236 /nfs/dbraw/zinc/19/22/36/372192236.db2.gz CSFAAQYIRKFEHP-LLVKDONJSA-N 0 3 243.354 2.709 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C/c2ccc(F)cc2)[C@@H](C)O1 ZINC000631223024 372246567 /nfs/dbraw/zinc/24/65/67/372246567.db2.gz RRMIMCVJDKCMMC-UHHDVBPESA-N 0 3 249.329 2.994 20 0 BFADHN CC(C)n1nccc1CN[C@@]12C[C@@H]1CCCC2 ZINC000631227750 372249273 /nfs/dbraw/zinc/24/92/73/372249273.db2.gz UCBACQSMGLPLHL-JSGCOSHPSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@@H](C)SC2)o1 ZINC000631243094 372257208 /nfs/dbraw/zinc/25/72/08/372257208.db2.gz RPIGKJYQBRPNGT-GHMZBOCLSA-N 0 3 225.357 2.962 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H]2CCCc3ncccc32)C1 ZINC000631646382 372479611 /nfs/dbraw/zinc/47/96/11/372479611.db2.gz IBRJJQVHZBNNMP-HUBLWGQQSA-N 0 3 246.354 2.616 20 0 BFADHN CCOC[C@H](C)N[C@@H]1CCO[C@H]1c1ccccc1 ZINC000631653524 372483822 /nfs/dbraw/zinc/48/38/22/372483822.db2.gz LDAGHYGSOOZCLJ-CFVMTHIKSA-N 0 3 249.354 2.531 20 0 BFADHN Cn1ccc(CN[C@@H]2CCCc3ncccc32)c1 ZINC000631584814 372441803 /nfs/dbraw/zinc/44/18/03/372441803.db2.gz ISSBHTIMEJATDY-OAHLLOKOSA-N 0 3 241.338 2.587 20 0 BFADHN CC1(C)SC[C@@H]1N[C@@H]1CCCc2ncccc21 ZINC000631624874 372467642 /nfs/dbraw/zinc/46/76/42/372467642.db2.gz DCHOURGNVQKUCM-OLZOCXBDSA-N 0 3 248.395 2.943 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)CC2CCC2)nn1C ZINC000631738386 372539242 /nfs/dbraw/zinc/53/92/42/372539242.db2.gz LHBPEKIOACDUEA-PWSUYJOCSA-N 0 3 235.375 2.958 20 0 BFADHN C[C@H](CC1CCC1)N[C@@H]1CCCn2ccnc21 ZINC000631738973 372539733 /nfs/dbraw/zinc/53/97/33/372539733.db2.gz ZHWHFAPYQNWNNI-DGCLKSJQSA-N 0 3 233.359 2.886 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H]1CCCC13CC3)CCC2 ZINC000631662364 372488723 /nfs/dbraw/zinc/48/87/23/372488723.db2.gz FXXJQFWMJPVECQ-VXGBXAGGSA-N 0 3 231.343 2.640 20 0 BFADHN CC[C@@H](N[C@@H]1CCCC12CC2)c1nccn1C ZINC000631666352 372492154 /nfs/dbraw/zinc/49/21/54/372492154.db2.gz XLNGLRDWCWTASE-VXGBXAGGSA-N 0 3 233.359 2.794 20 0 BFADHN CC[C@H](N[C@H]1C[C@@H](CO)C1)c1ccccc1F ZINC000631669831 372495775 /nfs/dbraw/zinc/49/57/75/372495775.db2.gz LFACEPPEJRECIC-WDMOLILDSA-N 0 3 237.318 2.637 20 0 BFADHN CCc1ccc([C@H](C)NCC[C@H](O)C(F)F)o1 ZINC000631697705 372510211 /nfs/dbraw/zinc/51/02/11/372510211.db2.gz OPCJXPONHGJXMP-WPRPVWTQSA-N 0 3 247.285 2.509 20 0 BFADHN Cc1cc([C@H](C)NCC[C@@H](O)C(F)F)oc1C ZINC000631698239 372510580 /nfs/dbraw/zinc/51/05/80/372510580.db2.gz DHYJVGFGILTENV-WCBMZHEXSA-N 0 3 247.285 2.563 20 0 BFADHN C[C@H](N[C@@H](C)[C@H](O)C(F)(F)F)c1ccccc1 ZINC000631717299 372520938 /nfs/dbraw/zinc/52/09/38/372520938.db2.gz HXQLAELNFSEPPG-QXEWZRGKSA-N 0 3 247.260 2.649 20 0 BFADHN COC[C@H](CC(C)C)N[C@@H]1C[C@H]1C(F)(F)F ZINC000631754220 372555610 /nfs/dbraw/zinc/55/56/10/372555610.db2.gz BMSNIFKGSHHURH-IVZWLZJFSA-N 0 3 239.281 2.588 20 0 BFADHN c1sc([C@@H]2CCCCN2)nc1C1CC1 ZINC000068906434 373087373 /nfs/dbraw/zinc/08/73/73/373087373.db2.gz WQDQAUQTKIHOPX-VIFPVBQESA-N 0 3 208.330 2.835 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCCN(C)C2)o1 ZINC000040671803 373588350 /nfs/dbraw/zinc/58/83/50/373588350.db2.gz JSKJXDGLOANQDI-BZPMIXESSA-N 0 3 248.370 2.587 20 0 BFADHN CN1CCC[C@H](NCc2cc3ccccc3o2)C1 ZINC000040672437 373591134 /nfs/dbraw/zinc/59/11/34/373591134.db2.gz YQRIOOORTUROHA-ZDUSSCGKSA-N 0 3 244.338 2.617 20 0 BFADHN Cn1cc(CN2CCC[C@@H]3CCCC[C@H]32)cn1 ZINC000245739701 374087768 /nfs/dbraw/zinc/08/77/68/374087768.db2.gz LUNFGABVXRZGKV-UONOGXRCSA-N 0 3 233.359 2.575 20 0 BFADHN C=Cn1cc(CN2CCC[C@@H](CC)CC2)cn1 ZINC000193983461 206000140 /nfs/dbraw/zinc/00/01/40/206000140.db2.gz FFHVPLHIYWUVJJ-CYBMUJFWSA-N 0 3 233.359 2.996 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cc(C)ncn2)C1 ZINC000428227357 206055189 /nfs/dbraw/zinc/05/51/89/206055189.db2.gz JFMBFJIPVFVMFX-CYBMUJFWSA-N 0 3 233.359 2.797 20 0 BFADHN CC/C=C\CNC(=O)Nc1cc(C)cc(CN)c1 ZINC000424421251 206039072 /nfs/dbraw/zinc/03/90/72/206039072.db2.gz XZTQDIDWXJPDSZ-PLNGDYQASA-N 0 3 247.342 2.541 20 0 BFADHN COc1ccc(CN(C)[C@@H]2CC2(C)C)cc1OC ZINC000426566539 206050770 /nfs/dbraw/zinc/05/07/70/206050770.db2.gz SPCXDONZZCFVSW-CQSZACIVSA-N 0 3 249.354 2.934 20 0 BFADHN CN(C/C=C/c1ccncc1)[C@@H]1CC1(C)C ZINC000426559974 206051091 /nfs/dbraw/zinc/05/10/91/206051091.db2.gz NZLKUGCUMLGBJL-MUBLQREKSA-N 0 3 216.328 2.825 20 0 BFADHN COc1ccccc1CN(C)[C@@H]1CC1(C)C ZINC000426558240 206052258 /nfs/dbraw/zinc/05/22/58/206052258.db2.gz UNDPEJXBJWOLOD-CYBMUJFWSA-N 0 3 219.328 2.926 20 0 BFADHN CC[C@@H](C)[C@@H](C)N(Cc1n[nH]c(C)n1)C1CC1 ZINC000428229712 206056914 /nfs/dbraw/zinc/05/69/14/206056914.db2.gz FTGQLJLYJDNKGH-NXEZZACHSA-N 0 3 236.363 2.512 20 0 BFADHN C[C@@H]1C[C@@H]1NCc1nc(-c2ccccc2)c[nH]1 ZINC000194291681 206063059 /nfs/dbraw/zinc/06/30/59/206063059.db2.gz PSEKLABKWUMUFP-PWSUYJOCSA-N 0 3 227.311 2.575 20 0 BFADHN CC[C@@H](F)CN(C)CCc1ccccc1 ZINC000440698678 206079832 /nfs/dbraw/zinc/07/98/32/206079832.db2.gz QGVQKIWMGZRUJH-CYBMUJFWSA-N 0 3 209.308 2.909 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CC[C@@H]1C1CC1 ZINC000449426382 206097931 /nfs/dbraw/zinc/09/79/31/206097931.db2.gz PECFSWPCAVFTGN-GFCCVEGCSA-N 0 3 233.359 2.692 20 0 BFADHN Cc1cc(CN2CC[C@@H]2C2CC2)cc(C)c1O ZINC000449426276 206098312 /nfs/dbraw/zinc/09/83/12/206098312.db2.gz KMTHCOMCHNNLPL-CQSZACIVSA-N 0 3 231.339 2.993 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CC[C@H]1C1CC1 ZINC000449426383 206098698 /nfs/dbraw/zinc/09/86/98/206098698.db2.gz PECFSWPCAVFTGN-LBPRGKRZSA-N 0 3 233.359 2.692 20 0 BFADHN CCN(Cc1cnns1)[C@H]1CCCC[C@@H]1C ZINC000450813165 206105080 /nfs/dbraw/zinc/10/50/80/206105080.db2.gz VCFMCIPENQJDMO-JQWIXIFHSA-N 0 3 239.388 2.939 20 0 BFADHN CCSCCN1CCC=C(c2cccnc2)C1 ZINC000451025711 206105794 /nfs/dbraw/zinc/10/57/94/206105794.db2.gz QTWCTCBGPNPHIK-UHFFFAOYSA-N 0 3 248.395 2.924 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1cncc(C)c1 ZINC000459702826 206138008 /nfs/dbraw/zinc/13/80/08/206138008.db2.gz FWVFWLLBIFIIOL-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccc(C)c(F)c2)C1 ZINC000459651416 206136138 /nfs/dbraw/zinc/13/61/38/206136138.db2.gz VILPBCKDFUAKTH-BETUJISGSA-N 0 3 237.318 2.791 20 0 BFADHN CC(=O)[C@@H](C)SCCCN1CCCCC1 ZINC000191832986 259578962 /nfs/dbraw/zinc/57/89/62/259578962.db2.gz SQVRRYWRDAWRKS-GFCCVEGCSA-N 0 3 229.389 2.573 20 0 BFADHN CCC(C)(C)[C@H]1CCCN1Cc1nccn1C ZINC000178906298 134313058 /nfs/dbraw/zinc/31/30/58/134313058.db2.gz WIVQGAXZEQRFQQ-GFCCVEGCSA-N 0 3 235.375 2.821 20 0 BFADHN CCOCCN(C)Cc1ccsc1C ZINC000293515156 170390209 /nfs/dbraw/zinc/39/02/09/170390209.db2.gz CNPSXYSFZPLFQM-UHFFFAOYSA-N 0 3 213.346 2.525 20 0 BFADHN OC1CCC(N[C@H]2CSc3ccccc32)CC1 ZINC000129521712 183028185 /nfs/dbraw/zinc/02/81/85/183028185.db2.gz AVAWXBPVHGOUDN-XIVSLSHWSA-N 0 3 249.379 2.727 20 0 BFADHN CCCC[C@@H](CCC)CNC(=O)[C@@H](N)C(C)C ZINC000119558764 168961946 /nfs/dbraw/zinc/96/19/46/168961946.db2.gz FLVFPYARIXVOJU-OLZOCXBDSA-N 0 3 242.407 2.692 20 0 BFADHN OC1(CCNCc2ccsc2Cl)CCC1 ZINC000336725398 183016994 /nfs/dbraw/zinc/01/69/94/183016994.db2.gz GKSHRFIRDJOZOL-UHFFFAOYSA-N 0 3 245.775 2.796 20 0 BFADHN CCCC[C@@H](COC)NCc1ccco1 ZINC000130671654 168965854 /nfs/dbraw/zinc/96/58/54/168965854.db2.gz MMBZIGUUPOOVBI-NSHDSACASA-N 0 3 211.305 2.574 20 0 BFADHN OCCCCCNCc1ccsc1Cl ZINC000308546747 183046817 /nfs/dbraw/zinc/04/68/17/183046817.db2.gz PUKQKSBFFDHZJE-UHFFFAOYSA-N 0 3 233.764 2.654 20 0 BFADHN OCCN(C/C=C\c1ccccc1)C1CCC1 ZINC000336692778 183064282 /nfs/dbraw/zinc/06/42/82/183064282.db2.gz IROASCLRGQIYHV-YVMONPNESA-N 0 3 231.339 2.547 20 0 BFADHN OCCN(Cc1ccco1)CC1CCCCC1 ZINC000362530153 183069673 /nfs/dbraw/zinc/06/96/73/183069673.db2.gz VGIKLZWEOHLZBA-UHFFFAOYSA-N 0 3 237.343 2.654 20 0 BFADHN OCCN(Cc1cccc(C(F)F)c1)C1CC1 ZINC000279542521 183069780 /nfs/dbraw/zinc/06/97/80/183069780.db2.gz VQBKOQHIOXPHEN-UHFFFAOYSA-N 0 3 241.281 2.581 20 0 BFADHN OCCN1CCCC[C@H]1c1cccc(Cl)c1 ZINC000370214074 183071802 /nfs/dbraw/zinc/07/18/02/183071802.db2.gz ZEDPAVLWSPQMOL-ZDUSSCGKSA-N 0 3 239.746 2.859 20 0 BFADHN OCC[C@H](N[C@@H]1C=CCCC1)c1ccccc1 ZINC000271743842 183097515 /nfs/dbraw/zinc/09/75/15/183097515.db2.gz JCHRBVADVQZOBP-CABCVRRESA-N 0 3 231.339 2.808 20 0 BFADHN OCC[C@H](NC[C@H]1CC=CCC1)c1ccco1 ZINC000184505991 183097611 /nfs/dbraw/zinc/09/76/11/183097611.db2.gz NRXRRIKDFZXZOW-STQMWFEESA-N 0 3 235.327 2.649 20 0 BFADHN OCC[C@H](NCC12CCC(CC1)C2)c1ccco1 ZINC000295841554 183096300 /nfs/dbraw/zinc/09/63/00/183096300.db2.gz WYQDQEHHSONFJL-OWYJLGKBSA-N 0 3 249.354 2.873 20 0 BFADHN OCC[C@@H]1CCC[C@@H]1NCc1ccc(Cl)o1 ZINC000336663536 183092384 /nfs/dbraw/zinc/09/23/84/183092384.db2.gz DBTDNXUJUDVOKB-ONGXEEELSA-N 0 3 243.734 2.574 20 0 BFADHN CCCC[C@H](COC)N[C@@H](C)c1cccnc1 ZINC000129632470 169004702 /nfs/dbraw/zinc/00/47/02/169004702.db2.gz ISLPDKJNADCYON-GXTWGEPZSA-N 0 3 236.359 2.937 20 0 BFADHN CCCC[C@H](COC)NCc1occc1C ZINC000164599730 169004935 /nfs/dbraw/zinc/00/49/35/169004935.db2.gz HTRKGFBSCAVCAX-GFCCVEGCSA-N 0 3 225.332 2.883 20 0 BFADHN CCCC[C@H](N)C(=O)N(CC)CC(CC)CC ZINC000037619516 169005824 /nfs/dbraw/zinc/00/58/24/169005824.db2.gz RYMMUPOJDIRYQI-ZDUSSCGKSA-N 0 3 242.407 2.789 20 0 BFADHN OC[C@@H]1CCCN(C/C=C\c2ccccc2)CC1 ZINC000339754357 183118208 /nfs/dbraw/zinc/11/82/08/183118208.db2.gz PFGODOPRGJWVGJ-LWAXHKBTSA-N 0 3 245.366 2.794 20 0 BFADHN CCCC[C@H](N[C@@H](C)C(=O)NC)c1ccccc1 ZINC000042561912 169012274 /nfs/dbraw/zinc/01/22/74/169012274.db2.gz WYNPZOVBARTQPU-JSGCOSHPSA-N 0 3 248.370 2.642 20 0 BFADHN OCc1ccc(CN2CCC[C@H]3CCC[C@@H]32)o1 ZINC000092485659 183163678 /nfs/dbraw/zinc/16/36/78/183163678.db2.gz VRLXUXWVUJQEJP-RISCZKNCSA-N 0 3 235.327 2.536 20 0 BFADHN OCc1ccc(CNCC[C@@H]2C[C@H]3CC[C@@H]2C3)o1 ZINC000174316530 183164484 /nfs/dbraw/zinc/16/44/84/183164484.db2.gz DSANHABKAKPMCD-YNEHKIRRSA-N 0 3 249.354 2.688 20 0 BFADHN O[C@@H]1CCC[C@H]1CNCc1ccsc1Cl ZINC000336737151 183197067 /nfs/dbraw/zinc/19/70/67/183197067.db2.gz PUASTYCZKJMZIV-WCBMZHEXSA-N 0 3 245.775 2.652 20 0 BFADHN O[C@@H]1CC[C@@H](CNc2ccnc3ccccc32)C1 ZINC000191642377 183201891 /nfs/dbraw/zinc/20/18/91/183201891.db2.gz CTTFUBMCKGJFQJ-VXGBXAGGSA-N 0 3 242.322 2.808 20 0 BFADHN O[C@@H]1CC[C@H]1NCc1csc2ccccc12 ZINC000335282264 183205309 /nfs/dbraw/zinc/20/53/09/183205309.db2.gz QMQBPTBPQJJNSZ-VXGBXAGGSA-N 0 3 233.336 2.514 20 0 BFADHN O[C@@H]1CC[C@H]1NCc1ccc(Cl)c(Cl)c1 ZINC000335283959 183205394 /nfs/dbraw/zinc/20/53/94/183205394.db2.gz XFGPOKXTFBVMRU-GHMZBOCLSA-N 0 3 246.137 2.606 20 0 BFADHN O[C@@H]1CCCC[C@@H]1NCc1ccsc1Cl ZINC000335150136 183189626 /nfs/dbraw/zinc/18/96/26/183189626.db2.gz JGLHRGYGAGPGSQ-VHSXEESVSA-N 0 3 245.775 2.795 20 0 BFADHN CCCN(C)C[C@H](O)c1ccccc1Cl ZINC000299413381 169077852 /nfs/dbraw/zinc/07/78/52/169077852.db2.gz PSZXPIVJQCSUKY-LBPRGKRZSA-N 0 3 227.735 2.715 20 0 BFADHN O[C@@H]1CCCN(Cc2cccc(Cl)c2)CC1 ZINC000292978662 183194528 /nfs/dbraw/zinc/19/45/28/183194528.db2.gz BEIXHPOFMLRQMH-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN O[C@H](CN1CC2(C1)CCCC2)c1ccc(F)cc1 ZINC000364488454 183220051 /nfs/dbraw/zinc/22/00/51/183220051.db2.gz UTCCNYGEXNXCII-CQSZACIVSA-N 0 3 249.329 2.735 20 0 BFADHN O[C@H](CN1CCCCC1)c1cccc(Cl)c1 ZINC000019784940 183220301 /nfs/dbraw/zinc/22/03/01/183220301.db2.gz HWCUJWYHLDUYEO-CYBMUJFWSA-N 0 3 239.746 2.859 20 0 BFADHN CCCN(C)Cc1ccc(C(C)=O)cc1 ZINC000296943767 169080556 /nfs/dbraw/zinc/08/05/56/169080556.db2.gz BUHPLCLGMJZEHS-UHFFFAOYSA-N 0 3 205.301 2.731 20 0 BFADHN CCCN(C)Cc1cc(C(=O)OC)sc1C ZINC000089122429 169081833 /nfs/dbraw/zinc/08/18/33/169081833.db2.gz LDYUKHXVBHSWJQ-UHFFFAOYSA-N 0 3 241.356 2.685 20 0 BFADHN CCCN(CC)C(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000338032380 169116527 /nfs/dbraw/zinc/11/65/27/169116527.db2.gz XWRDLBNIKKAGQJ-UPJWGTAASA-N 0 3 240.391 2.506 20 0 BFADHN CCCN(CC(C)C)[C@@H](CC)C(=O)OCC ZINC000309270376 169106235 /nfs/dbraw/zinc/10/62/35/169106235.db2.gz RVNFOXPYCYJYIQ-LBPRGKRZSA-N 0 3 229.364 2.696 20 0 BFADHN O[C@H]1CCC[C@@H]1CNCc1ccccc1Cl ZINC000084227409 183236964 /nfs/dbraw/zinc/23/69/64/183236964.db2.gz PKSKCHJEQBCVMO-YPMHNXCESA-N 0 3 239.746 2.591 20 0 BFADHN O[C@H]1CCC[C@@H]1CNCc1ccsc1Cl ZINC000336737150 183237027 /nfs/dbraw/zinc/23/70/27/183237027.db2.gz PUASTYCZKJMZIV-SCZZXKLOSA-N 0 3 245.775 2.652 20 0 BFADHN CCCN(CCC)Cc1ccc(OC)cn1 ZINC000271772767 169149073 /nfs/dbraw/zinc/14/90/73/169149073.db2.gz FQOJSDNYQUOTQB-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CCCN(CCC)[C@@H](C)c1cnccn1 ZINC000297756158 169150012 /nfs/dbraw/zinc/15/00/12/169150012.db2.gz FDSTZBDCTXBNBG-NSHDSACASA-N 0 3 207.321 2.660 20 0 BFADHN CCCN(CC)Cc1cccc(O)c1OC ZINC000272341049 169129317 /nfs/dbraw/zinc/12/93/17/169129317.db2.gz YTFIHXMINBJBKS-UHFFFAOYSA-N 0 3 223.316 2.633 20 0 BFADHN CCCN(CC)Cc1cnc(CC)s1 ZINC000269354603 169130625 /nfs/dbraw/zinc/13/06/25/169130625.db2.gz LXFRXBHKAYLQBK-UHFFFAOYSA-N 0 3 212.362 2.937 20 0 BFADHN CCCN(CC)[C@H]1CCc2ccccc2NC1=O ZINC000276862553 169131192 /nfs/dbraw/zinc/13/11/92/169131192.db2.gz XHRPQURBLDBJDR-AWEZNQCLSA-N 0 3 246.354 2.672 20 0 BFADHN Oc1ccc(F)c(CN[C@@H]2CC=CCC2)c1F ZINC000293577864 183273561 /nfs/dbraw/zinc/27/35/61/183273561.db2.gz JNVJIXGNFYSQCD-SECBINFHSA-N 0 3 239.265 2.869 20 0 BFADHN [O-]c1cc(C[NH2+]CC2CC2)cc(C(F)(F)F)c1 ZINC000289970934 183257219 /nfs/dbraw/zinc/25/72/19/183257219.db2.gz REDLCWZIODLDJL-UHFFFAOYSA-N 0 3 245.244 2.911 20 0 BFADHN CCCN(Cc1ccc(F)cc1)[C@H]1CCOC1 ZINC000271243010 169176350 /nfs/dbraw/zinc/17/63/50/169176350.db2.gz FOVOOCJIRBFAAA-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN Oc1cccc(CN2CCC(CF)CC2)c1 ZINC000295104722 183287295 /nfs/dbraw/zinc/28/72/95/183287295.db2.gz UAGYBEMXQIEHCR-UHFFFAOYSA-N 0 3 223.291 2.574 20 0 BFADHN CCCN(CCCC(C)(C)C)CC(=O)N(C)C ZINC000337127642 169153293 /nfs/dbraw/zinc/15/32/93/169153293.db2.gz HAXUFQLOXHJJSO-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN CCCN(CCN(C)C)C(=O)CC[C@@H](C)CC ZINC000352633921 169155070 /nfs/dbraw/zinc/15/50/70/169155070.db2.gz UGLJMLRXBZCIGA-ZDUSSCGKSA-N 0 3 242.407 2.613 20 0 BFADHN Oc1cccc(CN2CC[C@H](c3ccco3)C2)c1 ZINC000378345275 183289352 /nfs/dbraw/zinc/28/93/52/183289352.db2.gz IPGRFKANLMYWAH-ZDUSSCGKSA-N 0 3 243.306 2.975 20 0 BFADHN Oc1cccc(CNCC2(C(F)F)CC2)c1 ZINC000336664957 183290282 /nfs/dbraw/zinc/29/02/82/183290282.db2.gz DVDOAGISYSNMGC-UHFFFAOYSA-N 0 3 227.254 2.527 20 0 BFADHN Oc1cccc2c1CC[C@@H]2NC[C@H]1CCC=CO1 ZINC000278860495 183295681 /nfs/dbraw/zinc/29/56/81/183295681.db2.gz LYAHDNDCWDHAIO-RISCZKNCSA-N 0 3 245.322 2.662 20 0 BFADHN Oc1cccc2c1CC[C@@H]2NC[C@H]1CCCCO1 ZINC000042815486 183295692 /nfs/dbraw/zinc/29/56/92/183295692.db2.gz NYPARXOGTDMPSE-RISCZKNCSA-N 0 3 247.338 2.538 20 0 BFADHN CCCN(Cc1ccccn1)C[C@H]1CCCCO1 ZINC000353308554 169180079 /nfs/dbraw/zinc/18/00/79/169180079.db2.gz NWPKYIHNNTXKTD-OAHLLOKOSA-N 0 3 248.370 2.863 20 0 BFADHN CCCN(Cc1nccn1CC)CC(C)C ZINC000336643636 169180646 /nfs/dbraw/zinc/18/06/46/169180646.db2.gz BAKCFIJYFNHJSG-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN CCCN(Cc1ncccc1C)C1CC1 ZINC000180473091 169181780 /nfs/dbraw/zinc/18/17/80/169181780.db2.gz XJJJXRQURISDDW-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@H]1CC[C@H]1C1CCC1 ZINC000337993968 183320240 /nfs/dbraw/zinc/32/02/40/183320240.db2.gz FOKGLPJHDJMLAS-IHRRRGAJSA-N 0 3 245.370 2.955 20 0 BFADHN CCCN1CCC(Nc2ncc(C)s2)CC1 ZINC000302862277 169189456 /nfs/dbraw/zinc/18/94/56/169189456.db2.gz WBFHTARNWBGMGO-UHFFFAOYSA-N 0 3 239.388 2.738 20 0 BFADHN CCCN1CCC[C@@]2(C1)OCc1ccccc12 ZINC000369006968 169197956 /nfs/dbraw/zinc/19/79/56/169197956.db2.gz FNXONYNLOFYFMV-HNNXBMFYSA-N 0 3 231.339 2.918 20 0 BFADHN CCCN1CCN(Cc2ccccc2C)CC1 ZINC000023253451 169203339 /nfs/dbraw/zinc/20/33/39/169203339.db2.gz YSKBPIQPKBWPPF-UHFFFAOYSA-N 0 3 232.371 2.523 20 0 BFADHN CCCN(Cc1ccccn1)C[C@@H]1CCCCO1 ZINC000353308553 169178381 /nfs/dbraw/zinc/17/83/81/169178381.db2.gz NWPKYIHNNTXKTD-HNNXBMFYSA-N 0 3 248.370 2.863 20 0 BFADHN CCCN(Cc1cnccc1OC)CC1CC1 ZINC000286758115 169178886 /nfs/dbraw/zinc/17/88/86/169178886.db2.gz GUZYAIUTUBFGIQ-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCCN(Cc1cccnc1OC)CC(C)C ZINC000362925814 169179676 /nfs/dbraw/zinc/17/96/76/169179676.db2.gz VJXHQYXIMWXSQV-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CCCNC(=O)CN[C@@H](CC)c1cccc(C)c1 ZINC000285621265 169228062 /nfs/dbraw/zinc/22/80/62/169228062.db2.gz KTXZXPAWYLSVCJ-AWEZNQCLSA-N 0 3 248.370 2.562 20 0 BFADHN c1cc(CN2CC[C@@]3(C2)CCCOC3)cs1 ZINC000375302304 183347111 /nfs/dbraw/zinc/34/71/11/183347111.db2.gz JVMVUVIEVLJSLQ-CYBMUJFWSA-N 0 3 237.368 2.751 20 0 BFADHN c1cc2c(c(CN3C[C@H]4CCC[C@@H]4C3)c1)OCO2 ZINC000093443099 183348851 /nfs/dbraw/zinc/34/88/51/183348851.db2.gz QRZPTYGMIDLNDP-VXGBXAGGSA-N 0 3 245.322 2.647 20 0 BFADHN c1cc(CNCCOC2CCCCC2)ccn1 ZINC000070168103 183350099 /nfs/dbraw/zinc/35/00/99/183350099.db2.gz FHQBLRILUHZANY-UHFFFAOYSA-N 0 3 234.343 2.521 20 0 BFADHN c1cc(CNC[C@H]2CCC3(CCCCC3)O2)c[nH]1 ZINC000276594510 183350730 /nfs/dbraw/zinc/35/07/30/183350730.db2.gz DYCZAYVLKAYOIW-CQSZACIVSA-N 0 3 248.370 2.986 20 0 BFADHN CCCN1Cc2ccccc2OC[C@@H]1C ZINC000273054734 169211255 /nfs/dbraw/zinc/21/12/55/169211255.db2.gz ZCAQGXZQYISOMI-NSHDSACASA-N 0 3 205.301 2.680 20 0 BFADHN CCCN1Cc2ccc(OC)cc2C2(CC2)C1 ZINC000289700794 169211693 /nfs/dbraw/zinc/21/16/93/169211693.db2.gz WERAJHKAHJTZQB-UHFFFAOYSA-N 0 3 231.339 2.952 20 0 BFADHN c1cc(CN[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)n[nH]1 ZINC000041015396 183351763 /nfs/dbraw/zinc/35/17/63/183351763.db2.gz RAXGOHFDKSVRHB-YNEHKIRRSA-N 0 3 233.359 2.858 20 0 BFADHN c1cc(CN[C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)co1 ZINC000179002351 183353128 /nfs/dbraw/zinc/35/31/28/183353128.db2.gz LKWHKWYKNBEWSS-MJBXVCDLSA-N 0 3 247.338 2.717 20 0 BFADHN CCCNC1(c2nccs2)CCCC1 ZINC000041061325 169254274 /nfs/dbraw/zinc/25/42/74/169254274.db2.gz QGIFXEYQOOFINT-UHFFFAOYSA-N 0 3 210.346 2.912 20 0 BFADHN CCCNC(C)(C)c1nc(C)c(C)s1 ZINC000041061462 169254792 /nfs/dbraw/zinc/25/47/92/169254792.db2.gz CGCTTYSXCLLIAP-UHFFFAOYSA-N 0 3 212.362 2.995 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@H]1CCSC1 ZINC000020204000 183373341 /nfs/dbraw/zinc/37/33/41/183373341.db2.gz CYAJXHYWUSPUCB-ONGXEEELSA-N 0 3 223.341 2.752 20 0 BFADHN c1cn(-c2ccccc2)nc1CNC1CCCC1 ZINC000037927355 183386648 /nfs/dbraw/zinc/38/66/48/183386648.db2.gz OATRSHLSXACOTK-UHFFFAOYSA-N 0 3 241.338 2.905 20 0 BFADHN c1cc(CNCC2CC(c3ccccc3)C2)n[nH]1 ZINC000374708280 183388725 /nfs/dbraw/zinc/38/87/25/183388725.db2.gz XUKIGGRSOVFKIF-UHFFFAOYSA-N 0 3 241.338 2.693 20 0 BFADHN CCCN[C@H](COCC(C)C)c1ccco1 ZINC000184194724 169288980 /nfs/dbraw/zinc/28/89/80/169288980.db2.gz BWUQTAVTBWLHBC-GFCCVEGCSA-N 0 3 225.332 2.993 20 0 BFADHN c1c[nH]c(CNCC2(Cc3ccccc3)CC2)n1 ZINC000158903337 183390621 /nfs/dbraw/zinc/39/06/21/183390621.db2.gz AZHNAPFHZLGZBC-UHFFFAOYSA-N 0 3 241.338 2.522 20 0 BFADHN c1cc([C@H]2CCCN2CCc2ccccc2)n[nH]1 ZINC000072357063 183392096 /nfs/dbraw/zinc/39/20/96/183392096.db2.gz BCBPYGYRWRVHDM-OAHLLOKOSA-N 0 3 241.338 2.789 20 0 BFADHN CCCNCc1ccc(OC)c(OC)c1Cl ZINC000082491252 169266815 /nfs/dbraw/zinc/26/68/15/169266815.db2.gz YAMJIZMSETZICM-UHFFFAOYSA-N 0 3 243.734 2.857 20 0 BFADHN CCCNCc1cc(O)ccc1Br ZINC000083372238 169266942 /nfs/dbraw/zinc/26/69/42/169266942.db2.gz MLBVRYFPWBBRKQ-UHFFFAOYSA-N 0 3 244.132 2.654 20 0 BFADHN CCCNCc1ccnc(Cl)c1Cl ZINC000290327536 169268282 /nfs/dbraw/zinc/26/82/82/169268282.db2.gz AOTHSSUDPNWNLB-UHFFFAOYSA-N 0 3 219.115 2.888 20 0 BFADHN c1ccc(CN(CC[C@@H]2CCCO2)C2CC2)nc1 ZINC000189808388 183396615 /nfs/dbraw/zinc/39/66/15/183396615.db2.gz SFOIDKCZCQZQER-HNNXBMFYSA-N 0 3 246.354 2.615 20 0 BFADHN c1ccc(CN2CCC[C@@H](C3CC3)C2)nc1 ZINC000289362107 183399046 /nfs/dbraw/zinc/39/90/46/183399046.db2.gz HLGITNYSWJMODC-CYBMUJFWSA-N 0 3 216.328 2.704 20 0 BFADHN CCCN[C@@H](COC)c1ccc(CC)o1 ZINC000163657601 169279324 /nfs/dbraw/zinc/27/93/24/169279324.db2.gz QSMMJWCXNDEVJO-NSHDSACASA-N 0 3 211.305 2.529 20 0 BFADHN CCCOc1ccc([C@H](C)N[C@H](C)CO)cc1 ZINC000035602811 169322260 /nfs/dbraw/zinc/32/22/60/169322260.db2.gz ARVHKKHCYFIWFD-NEPJUHHUSA-N 0 3 237.343 2.507 20 0 BFADHN CCCOc1ccc([C@H](C)N[C@@H](C)CO)cc1 ZINC000035602807 169322274 /nfs/dbraw/zinc/32/22/74/169322274.db2.gz ARVHKKHCYFIWFD-RYUDHWBXSA-N 0 3 237.343 2.507 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cncc(F)c1 ZINC000275113910 169364556 /nfs/dbraw/zinc/36/45/56/169364556.db2.gz HHYYQICGEUMRCE-SNVBAGLBSA-N 0 3 210.296 2.841 20 0 BFADHN CCC[C@@H](C)NCc1cc(OC)ccc1OC ZINC000019903061 169370891 /nfs/dbraw/zinc/37/08/91/169370891.db2.gz ILDJYNKZRJAOIH-LLVKDONJSA-N 0 3 237.343 2.982 20 0 BFADHN CCC[C@@H](C)N1CCC[C@](O)(C(F)(F)F)C1 ZINC000337242285 169367799 /nfs/dbraw/zinc/36/77/99/169367799.db2.gz GKBIKKQDILHLOD-NXEZZACHSA-N 0 3 239.281 2.564 20 0 BFADHN CCC[C@@H](C)N1CCOc2cc(O)ccc2C1 ZINC000285505292 169368065 /nfs/dbraw/zinc/36/80/65/169368065.db2.gz NAUCINYBUZDUBC-LLVKDONJSA-N 0 3 235.327 2.775 20 0 BFADHN CCC[C@@H](C)NCc1cn2ccccc2n1 ZINC000020040769 169370443 /nfs/dbraw/zinc/37/04/43/169370443.db2.gz PYRZWDXNDJALIG-LLVKDONJSA-N 0 3 217.316 2.613 20 0 BFADHN CCC[C@@H](C)CN1CCO[C@H](C2CC2)C1 ZINC000362522627 169359075 /nfs/dbraw/zinc/35/90/75/169359075.db2.gz CAERIGOEONAUJX-YPMHNXCESA-N 0 3 211.349 2.533 20 0 BFADHN CCC[C@@H](C)CNCc1nc(C)cs1 ZINC000038090838 169359762 /nfs/dbraw/zinc/35/97/62/169359762.db2.gz VEYSONHFENDPGI-SECBINFHSA-N 0 3 212.362 2.977 20 0 BFADHN CCC[C@@H](C)CN[C@H](COC)c1ccco1 ZINC000133361403 169360919 /nfs/dbraw/zinc/36/09/19/169360919.db2.gz LJZIEGGTQAACDX-VXGBXAGGSA-N 0 3 225.332 2.993 20 0 BFADHN CCC[C@@H](CC)NCc1c(C)noc1C ZINC000070125744 169379782 /nfs/dbraw/zinc/37/97/82/169379782.db2.gz DNDAONYKCVGKLH-LLVKDONJSA-N 0 3 210.321 2.960 20 0 BFADHN CCC[C@@H](CC)N[C@H](CCO)c1ccco1 ZINC000186550307 169380527 /nfs/dbraw/zinc/38/05/27/169380527.db2.gz ATUMVVJNNXTBLY-VXGBXAGGSA-N 0 3 225.332 2.871 20 0 BFADHN CCC[C@@H](CCO)CN[C@@H](C)c1ccco1 ZINC000122045171 169382887 /nfs/dbraw/zinc/38/28/87/169382887.db2.gz VGZNKZBQTQCWNC-RYUDHWBXSA-N 0 3 225.332 2.729 20 0 BFADHN CCC[C@@H](CNCc1ccc(Cl)o1)OC ZINC000356322961 169384656 /nfs/dbraw/zinc/38/46/56/169384656.db2.gz QKOFPIFOCCUWQN-VIFPVBQESA-N 0 3 231.723 2.838 20 0 BFADHN CCC[C@@H](N)C(=O)N(CC)c1cccc(C)c1C ZINC000269045941 169386677 /nfs/dbraw/zinc/38/66/77/169386677.db2.gz NHKUCHPXHRQVST-CYBMUJFWSA-N 0 3 248.370 2.784 20 0 BFADHN CCC[C@@H](N)C(=O)N(CC)c1cc(C)cc(C)c1 ZINC000236899062 169386793 /nfs/dbraw/zinc/38/67/93/169386793.db2.gz AMOAUTHIDONIMH-CQSZACIVSA-N 0 3 248.370 2.784 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1cccc2ccccc21 ZINC000036872641 169391601 /nfs/dbraw/zinc/39/16/01/169391601.db2.gz CYYSHCQHJKLDGV-CYBMUJFWSA-N 0 3 242.322 2.906 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@H](CC)c1ccc(C)cc1 ZINC000037028317 169391796 /nfs/dbraw/zinc/39/17/96/169391796.db2.gz BVLUPFYOWBVERM-ZIAGYGMSSA-N 0 3 248.370 2.690 20 0 BFADHN Cc1ccc(F)c(CN[C@@]2(C)CCCOC2)c1 ZINC000221343532 134320213 /nfs/dbraw/zinc/32/02/13/134320213.db2.gz UKVLDESZNNALLZ-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN CCCn1cc(CN(C)[C@@H](C)C2(C)CC2)cn1 ZINC000180534203 134329650 /nfs/dbraw/zinc/32/96/50/134329650.db2.gz ZJKPNKBUIAYGRK-LBPRGKRZSA-N 0 3 235.375 2.914 20 0 BFADHN CC(C)n1cc(CN(C)CCC2CC2)cn1 ZINC000180787281 134331648 /nfs/dbraw/zinc/33/16/48/134331648.db2.gz VJQNZFNMMSRCJN-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CC(C)[C@H](NC[C@H](O)C(F)F)c1ccccc1 ZINC000223143152 134337243 /nfs/dbraw/zinc/33/72/43/134337243.db2.gz CZHNLJSEPKFNTL-RYUDHWBXSA-N 0 3 243.297 2.599 20 0 BFADHN CCC[C@H](C)CC(=O)NC[C@H](N)c1ccccc1 ZINC000237003436 169477488 /nfs/dbraw/zinc/47/74/88/169477488.db2.gz HTFAJVDFZVFYGQ-JSGCOSHPSA-N 0 3 248.370 2.629 20 0 BFADHN CCC[C@H](C)NC1(C(=O)Nc2ccccc2)CC1 ZINC000337356686 169492878 /nfs/dbraw/zinc/49/28/78/169492878.db2.gz CNXFNUXJNXENAZ-LBPRGKRZSA-N 0 3 246.354 2.936 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1cncc(C)c1)OC ZINC000297293550 169499479 /nfs/dbraw/zinc/49/94/79/169499479.db2.gz LYPXMDMDCPXTCC-GXTWGEPZSA-N 0 3 236.359 2.856 20 0 BFADHN CCC[C@H](NCCOC(C)C)c1cccnc1 ZINC000186633521 169511167 /nfs/dbraw/zinc/51/11/67/169511167.db2.gz ZINZIHPQTYAYIQ-AWEZNQCLSA-N 0 3 236.359 2.937 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](O)C(F)F)c(C)s1 ZINC000224442886 134347150 /nfs/dbraw/zinc/34/71/50/134347150.db2.gz VIDLAEDLMHFSQT-XCBNKYQSSA-N 0 3 249.326 2.642 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H](O)C(F)F)cc1C ZINC000224453017 134347535 /nfs/dbraw/zinc/34/75/35/134347535.db2.gz YAVREDXZQZSTLA-ZYHUDNBSSA-N 0 3 243.297 2.580 20 0 BFADHN CCC[C@](C)(O)CNCc1cc(C)ccc1F ZINC000227288191 169553298 /nfs/dbraw/zinc/55/32/98/169553298.db2.gz PRKMZIOXVKCVOY-AWEZNQCLSA-N 0 3 239.334 2.775 20 0 BFADHN CCCc1cc(NC[C@H](C)O)c2ccccc2n1 ZINC000120177274 169567633 /nfs/dbraw/zinc/56/76/33/169567633.db2.gz WJXIQWHSELYSPB-NSHDSACASA-N 0 3 244.338 2.980 20 0 BFADHN Cc1nc(CNC[C@@H]2CC2(C)C)cs1 ZINC000226256371 134362289 /nfs/dbraw/zinc/36/22/89/134362289.db2.gz RKEFHFSKKUNUTD-VIFPVBQESA-N 0 3 210.346 2.587 20 0 BFADHN CO[C@@H]1C[C@H](NCc2occc2C)C12CCC2 ZINC000308340444 532964499 /nfs/dbraw/zinc/96/44/99/532964499.db2.gz BGKRRLBFWIFYMB-QWHCGFSZSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@H](NCc1cc[nH]n1)[C@@H]1CCC[C@@H](C)C1 ZINC000229324182 259639492 /nfs/dbraw/zinc/63/94/92/259639492.db2.gz KESQMHYOBMAOTH-GRYCIOLGSA-N 0 3 221.348 2.714 20 0 BFADHN CSC[C@H](C)NCc1cccc(C)c1F ZINC000295760027 383837191 /nfs/dbraw/zinc/83/71/91/383837191.db2.gz QDEHIFNOUVSUOS-JTQLQIEISA-N 0 3 227.348 2.975 20 0 BFADHN C[C@@H](NCC1=CCCC1)c1ccccn1 ZINC000401053677 383844731 /nfs/dbraw/zinc/84/47/31/383844731.db2.gz QTIKPKKQAMPKGB-LLVKDONJSA-N 0 3 202.301 2.843 20 0 BFADHN COC[C@@H](N[C@H](C)c1ccc(C)o1)C1CC1 ZINC000087478520 383845901 /nfs/dbraw/zinc/84/59/01/383845901.db2.gz OEGKFPBOGTZLAH-ZYHUDNBSSA-N 0 3 223.316 2.664 20 0 BFADHN CC(C)CCCN[C@H](C)c1cnccn1 ZINC000070025438 383856420 /nfs/dbraw/zinc/85/64/20/383856420.db2.gz QDSYWAZGIFOFLS-LLVKDONJSA-N 0 3 207.321 2.563 20 0 BFADHN Fc1ccc(F)c(CNC[C@@H]2CCC=CO2)c1 ZINC000111405209 383861538 /nfs/dbraw/zinc/86/15/38/383861538.db2.gz LJUHTEXOYUDGCN-LBPRGKRZSA-N 0 3 239.265 2.747 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCc3occc32)[C@@H](C)O1 ZINC000295848170 383862373 /nfs/dbraw/zinc/86/23/73/383862373.db2.gz BTDHCBOZPOISRE-AAXDQBDMSA-N 0 3 235.327 2.813 20 0 BFADHN CN(Cc1cccc(Cl)c1)C[C@@H]1CCCO1 ZINC000066562267 383869071 /nfs/dbraw/zinc/86/90/71/383869071.db2.gz NTXVZDCUZLKDDM-ZDUSSCGKSA-N 0 3 239.746 2.951 20 0 BFADHN C[C@H](NCc1ncc[nH]1)C(C)(C)c1ccccc1 ZINC000066593758 383873289 /nfs/dbraw/zinc/87/32/89/383873289.db2.gz KZHFTYNIPCFDAE-LBPRGKRZSA-N 0 3 243.354 2.866 20 0 BFADHN CC(C)[C@@H](CO)NCc1ccc(F)c(Cl)c1 ZINC000088041097 383873431 /nfs/dbraw/zinc/87/34/31/383873431.db2.gz MCHOGGLRLZSEJT-GFCCVEGCSA-N 0 3 245.725 2.586 20 0 BFADHN COC(=O)c1cc(CN[C@@H]2CC=CCC2)oc1C ZINC000088746849 383921084 /nfs/dbraw/zinc/92/10/84/383921084.db2.gz CHGVFTCRWFPEAU-LLVKDONJSA-N 0 3 249.310 2.573 20 0 BFADHN CC1(C)CCC[C@@H](CNCc2cnccn2)C1 ZINC000573067277 383926627 /nfs/dbraw/zinc/92/66/27/383926627.db2.gz CHAMMPVCAZMDMT-GFCCVEGCSA-N 0 3 233.359 2.783 20 0 BFADHN CC[C@H]1C[C@H](NCc2occc2C)CCO1 ZINC000309384182 383928464 /nfs/dbraw/zinc/92/84/64/383928464.db2.gz AZJAYDRVBUUXKB-NEPJUHHUSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1nc(C)c(CN[C@H]2C[C@@H]2C(F)F)s1 ZINC000348187963 383931325 /nfs/dbraw/zinc/93/13/25/383931325.db2.gz QSVFZLIHSZEYNI-YUMQZZPRSA-N 0 3 232.299 2.503 20 0 BFADHN Cn1ncc2c1CCC[C@H]2NCc1cccs1 ZINC000037024077 383931925 /nfs/dbraw/zinc/93/19/25/383931925.db2.gz SKZVUOORMUSFGN-GFCCVEGCSA-N 0 3 247.367 2.649 20 0 BFADHN CC[C@H](N)C(=O)N[C@@H](C)c1ccc(C(C)C)cc1 ZINC000037027816 383932106 /nfs/dbraw/zinc/93/21/06/383932106.db2.gz QJJDMJWLSRDFCB-FZMZJTMJSA-N 0 3 248.370 2.725 20 0 BFADHN CSCCN[C@@H](C)c1ccc(F)c(F)c1 ZINC000070184524 383882519 /nfs/dbraw/zinc/88/25/19/383882519.db2.gz XTPHGNRTEFWMDX-QMMMGPOBSA-N 0 3 231.311 2.978 20 0 BFADHN CSCCN[C@H](C)c1ccc(F)cc1F ZINC000070184521 383884001 /nfs/dbraw/zinc/88/40/01/383884001.db2.gz VTSSNTKTNXOYPJ-MRVPVSSYSA-N 0 3 231.311 2.978 20 0 BFADHN CCOCCNCc1cc2ccccc2o1 ZINC000036228377 383886262 /nfs/dbraw/zinc/88/62/62/383886262.db2.gz PWRYZIUDYIKKPI-UHFFFAOYSA-N 0 3 219.284 2.559 20 0 BFADHN CCOCCNCc1ccc(C(C)C)cc1 ZINC000036228736 383888806 /nfs/dbraw/zinc/88/88/06/383888806.db2.gz VIVWKBWQJMGBHV-UHFFFAOYSA-N 0 3 221.344 2.936 20 0 BFADHN CCOCCN[C@H](C)c1ccc(OCC)cc1 ZINC000036228765 383889615 /nfs/dbraw/zinc/88/96/15/383889615.db2.gz GBPJKCCGDBBRNW-GFCCVEGCSA-N 0 3 237.343 2.772 20 0 BFADHN CCOCCNCc1c(C)cc(C)cc1C ZINC000036228629 383890346 /nfs/dbraw/zinc/89/03/46/383890346.db2.gz MFYNPGRNRVJQAV-UHFFFAOYSA-N 0 3 221.344 2.738 20 0 BFADHN CCOCCN[C@@H](C)c1ccc(OCC)cc1 ZINC000036228763 383891060 /nfs/dbraw/zinc/89/10/60/383891060.db2.gz GBPJKCCGDBBRNW-LBPRGKRZSA-N 0 3 237.343 2.772 20 0 BFADHN Cc1cnc(CN2CC[C@H](C)C[C@H](C)C2)o1 ZINC000127705110 383891661 /nfs/dbraw/zinc/89/16/61/383891661.db2.gz OOQJRPGPDCKOJK-QWRGUYRKSA-N 0 3 222.332 2.851 20 0 BFADHN C[C@H](N[C@@H]1COCC1(C)C)c1ccccc1F ZINC000313109111 383896360 /nfs/dbraw/zinc/89/63/60/383896360.db2.gz DBOBVNLZVCGACS-GXFFZTMASA-N 0 3 237.318 2.901 20 0 BFADHN COc1ccc(C)cc1CN1C[C@H](C)[C@@H]1C ZINC000559350009 383899712 /nfs/dbraw/zinc/89/97/12/383899712.db2.gz YIMDAHRZZXTUPI-RYUDHWBXSA-N 0 3 219.328 2.844 20 0 BFADHN COc1ccc(C)cc1CN1C[C@@H](C)[C@@H]1C ZINC000559350007 383900371 /nfs/dbraw/zinc/90/03/71/383900371.db2.gz YIMDAHRZZXTUPI-NEPJUHHUSA-N 0 3 219.328 2.844 20 0 BFADHN Cc1cc2cc(CN[C@@H]3CO[C@@H](C)C3)ccc2[nH]1 ZINC000647210956 383901617 /nfs/dbraw/zinc/90/16/17/383901617.db2.gz JSTVAIWLZFNYGF-FZMZJTMJSA-N 0 3 244.338 2.743 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1CC(=O)c1cc(C)[nH]c1C ZINC000348194646 383934222 /nfs/dbraw/zinc/93/42/22/383934222.db2.gz WRGGVMWZBBZDCW-MFKMUULPSA-N 0 3 248.370 2.935 20 0 BFADHN CC(C)n1cncc1CN1CCC[C@H]1C1CC1 ZINC000644901356 383905191 /nfs/dbraw/zinc/90/51/91/383905191.db2.gz CGFREPGCTLPNDR-AWEZNQCLSA-N 0 3 233.359 2.838 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CO[C@H](C)C2)cc1F ZINC000647214071 383984611 /nfs/dbraw/zinc/98/46/11/383984611.db2.gz MAOPXBWZHBMNNR-WZRBSPASSA-N 0 3 237.318 2.962 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccc(C)cn2)C[C@H]1C ZINC000449506107 383988481 /nfs/dbraw/zinc/98/84/81/383988481.db2.gz ZXUYTWSJODFUSL-UKRRQHHQSA-N 0 3 248.370 2.637 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1cc(C)ccc1C ZINC000037621287 383991680 /nfs/dbraw/zinc/99/16/80/383991680.db2.gz VNLJHBJAISGPDO-CQSZACIVSA-N 0 3 234.343 2.759 20 0 BFADHN CC(C)[C@@H]1CCC[C@H]1[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000560152453 383937122 /nfs/dbraw/zinc/93/71/22/383937122.db2.gz DYENEXUEUQVQLX-NWDGAFQWSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)[C@@H]1CCC[C@H]1NCc1nnc(C2CC2)[nH]1 ZINC000560152453 383937134 /nfs/dbraw/zinc/93/71/34/383937134.db2.gz DYENEXUEUQVQLX-NWDGAFQWSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H]1[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000560152454 383937694 /nfs/dbraw/zinc/93/76/94/383937694.db2.gz DYENEXUEUQVQLX-RYUDHWBXSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H]1NCc1nnc(C2CC2)[nH]1 ZINC000560152454 383937697 /nfs/dbraw/zinc/93/76/97/383937697.db2.gz DYENEXUEUQVQLX-RYUDHWBXSA-N 0 3 248.374 2.596 20 0 BFADHN CC[C@@H](CNCc1ncc[nH]1)c1ccccc1 ZINC000067695144 383937805 /nfs/dbraw/zinc/93/78/05/383937805.db2.gz DLCJEKWORLWULF-LBPRGKRZSA-N 0 3 229.327 2.693 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1ccsc1 ZINC000070624407 383945218 /nfs/dbraw/zinc/94/52/18/383945218.db2.gz CJPSNBVTCCJWFG-KOLCDFICSA-N 0 3 213.346 2.824 20 0 BFADHN Cc1cnc(CN[C@@H]2C[C@H](C)[C@H]2C)s1 ZINC000306383790 383946736 /nfs/dbraw/zinc/94/67/36/383946736.db2.gz VLVBRZJQMCZBPQ-FXBDTBDDSA-N 0 3 210.346 2.586 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](C)Cn2cccn2)s1 ZINC000037155256 383947450 /nfs/dbraw/zinc/94/74/50/383947450.db2.gz YNWFQWUTSVUWMS-JQWIXIFHSA-N 0 3 249.383 2.992 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](C)Cn2cccn2)cc1 ZINC000037155458 383947820 /nfs/dbraw/zinc/94/78/20/383947820.db2.gz FFEUUVXGOMPPCB-KGLIPLIRSA-N 0 3 243.354 2.931 20 0 BFADHN C[C@H](NC(C1CC1)C1CC1)c1nccs1 ZINC000070633993 383949651 /nfs/dbraw/zinc/94/96/51/383949651.db2.gz LWZAGZKZIJVZOH-QMMMGPOBSA-N 0 3 222.357 2.982 20 0 BFADHN CSCCCN[C@H](C)c1nccs1 ZINC000070633976 383949890 /nfs/dbraw/zinc/94/98/90/383949890.db2.gz HEPLTVFZXBJVNA-MRVPVSSYSA-N 0 3 216.375 2.547 20 0 BFADHN CC[C@@H](CN[C@@H]1CCc2ccc(OC)cc21)OC ZINC000290268622 383952619 /nfs/dbraw/zinc/95/26/19/383952619.db2.gz VWXPRNQTRAGFNX-SWLSCSKDSA-N 0 3 249.354 2.697 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2cn(C)nc2C)s1 ZINC000112837391 383953625 /nfs/dbraw/zinc/95/36/25/383953625.db2.gz KUPXPUWEEUFQCN-JTQLQIEISA-N 0 3 249.383 2.949 20 0 BFADHN C[C@@H](NCCCO)c1ccc(F)cc1Cl ZINC000037236505 383962764 /nfs/dbraw/zinc/96/27/64/383962764.db2.gz UQWMIDKMGGTZJD-MRVPVSSYSA-N 0 3 231.698 2.512 20 0 BFADHN CC[C@@H](NCc1cccc(C)c1F)[C@@H](O)CC ZINC000313156733 383965258 /nfs/dbraw/zinc/96/52/58/383965258.db2.gz MVVGOYRHZUDPIT-OLZOCXBDSA-N 0 3 239.334 2.773 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1)c1cccc(Cl)c1 ZINC000070964432 383972097 /nfs/dbraw/zinc/97/20/97/383972097.db2.gz AACJNYDZHBLCEL-BXKDBHETSA-N 0 3 225.719 2.780 20 0 BFADHN c1ccc([C@@H](NCc2cncnc2)C2CC2)cc1 ZINC000054767637 383976189 /nfs/dbraw/zinc/97/61/89/383976189.db2.gz DEUPWJULMURZMR-OAHLLOKOSA-N 0 3 239.322 2.718 20 0 BFADHN CCCCCC[C@H](C)NCc1ncc[nH]1 ZINC000049715398 384022918 /nfs/dbraw/zinc/02/29/18/384022918.db2.gz WPEJKAXFZLCABS-NSHDSACASA-N 0 3 209.337 2.858 20 0 BFADHN Cc1nc(CN2C[C@@H](C)[C@H](C)C2)sc1C ZINC000268069338 384003667 /nfs/dbraw/zinc/00/36/67/384003667.db2.gz CBTXJZQDBSSGAU-RKDXNWHRSA-N 0 3 224.373 2.848 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@H]2CCOC2)o1 ZINC000037738021 384003727 /nfs/dbraw/zinc/00/37/27/384003727.db2.gz HONIGGDOMSQNRB-NTZNESFSSA-N 0 3 235.327 2.529 20 0 BFADHN CCc1ncc(CNC2CCC(F)(F)CC2)o1 ZINC000647215729 384006124 /nfs/dbraw/zinc/00/61/24/384006124.db2.gz FUYATTNRDHNTOC-UHFFFAOYSA-N 0 3 244.285 2.905 20 0 BFADHN Cc1ccc([C@@H](C)NCc2ccnn2C)cc1 ZINC000037770669 384012592 /nfs/dbraw/zinc/01/25/92/384012592.db2.gz IFDFFTHJFBXIEA-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN Cc1ccc([C@@H](C)NCc2ccnn2C)c(C)c1 ZINC000037772208 384012777 /nfs/dbraw/zinc/01/27/77/384012777.db2.gz ZREZBHCIIKABEE-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN Clc1cc(CN2C[C@@H]3CCC[C@@H]3C2)ccn1 ZINC000132021523 384043217 /nfs/dbraw/zinc/04/32/17/384043217.db2.gz UXWFSPZWRZSIMP-TXEJJXNPSA-N 0 3 236.746 2.967 20 0 BFADHN CC[C@H](CN(Cc1ccc(C)o1)C1CC1)OC ZINC000642680313 384044593 /nfs/dbraw/zinc/04/45/93/384044593.db2.gz WSJMDWVJJCVFID-CYBMUJFWSA-N 0 3 237.343 2.977 20 0 BFADHN CCOCCN[C@H](C)c1sccc1C ZINC000069636416 384053710 /nfs/dbraw/zinc/05/37/10/384053710.db2.gz GZHVJUUUNQBZPH-SNVBAGLBSA-N 0 3 213.346 2.744 20 0 BFADHN Cc1cc(CNCC[C@@H](O)C(C)C)cs1 ZINC000449153776 384027835 /nfs/dbraw/zinc/02/78/35/384027835.db2.gz KXXBUNBXYVZRHK-GFCCVEGCSA-N 0 3 227.373 2.553 20 0 BFADHN C[C@@H](NCC(C)(C)C[C@H](C)O)c1ccco1 ZINC000090087248 384028732 /nfs/dbraw/zinc/02/87/32/384028732.db2.gz CSJZRTCYNCDROO-WDEREUQCSA-N 0 3 225.332 2.727 20 0 BFADHN CCO[C@@H]1CCN(Cc2cnccc2C)C[C@H]1C ZINC000449509684 384029244 /nfs/dbraw/zinc/02/92/44/384029244.db2.gz WRXBOVLNRDPUOZ-UKRRQHHQSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3ccc(F)cc32)[C@@H](C)O1 ZINC000560278448 384031871 /nfs/dbraw/zinc/03/18/71/384031871.db2.gz ROLIIYGJLDBWLH-IDMWTJEOSA-N 0 3 249.329 2.969 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@@H]1CO[C@H](C)C1 ZINC000647217174 384036136 /nfs/dbraw/zinc/03/61/36/384036136.db2.gz CRZCWWDBZVOMMR-UPJWGTAASA-N 0 3 249.354 2.832 20 0 BFADHN COC(=O)C1CCN([C@@H](C)c2ccccc2)CC1 ZINC000070193195 384087316 /nfs/dbraw/zinc/08/73/16/384087316.db2.gz PXMQHQUIYWGGRK-LBPRGKRZSA-N 0 3 247.338 2.633 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1CCSC1 ZINC000076684105 384062398 /nfs/dbraw/zinc/06/23/98/384062398.db2.gz AUPSREAZJIEEMP-GFCCVEGCSA-N 0 3 237.368 2.599 20 0 BFADHN CCOc1cccc(CN[C@@H]2C[C@H](C)O[C@H]2C)c1 ZINC000296371252 384065949 /nfs/dbraw/zinc/06/59/49/384065949.db2.gz JYJZLWFYPFVSBH-SLEUVZQESA-N 0 3 249.354 2.741 20 0 BFADHN CC[C@H](COC)NCc1cc(C)cc(OC)c1 ZINC000090723943 384071697 /nfs/dbraw/zinc/07/16/97/384071697.db2.gz GTAHMWZCIYDVNQ-CYBMUJFWSA-N 0 3 237.343 2.518 20 0 BFADHN C[C@@H](NCCC1CCCC1)c1cnccn1 ZINC000070025365 384073889 /nfs/dbraw/zinc/07/38/89/384073889.db2.gz FKWPYPZIPRDYDF-LLVKDONJSA-N 0 3 219.332 2.708 20 0 BFADHN CO[C@H](CN[C@@H]1CCc2ccc(F)cc21)C1CC1 ZINC000293864597 384074125 /nfs/dbraw/zinc/07/41/25/384074125.db2.gz ZFPQVXBYSYAIKV-HUUCEWRRSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1ccccc1[C@@H](C)N[C@H]1CO[C@@H](C)C1 ZINC000401825296 384077622 /nfs/dbraw/zinc/07/76/22/384077622.db2.gz LLZSYHRCOOXYKJ-YNEHKIRRSA-N 0 3 219.328 2.823 20 0 BFADHN COc1ccsc1CN[C@H]1CCS[C@@H]1C ZINC000647257862 384080906 /nfs/dbraw/zinc/08/09/06/384080906.db2.gz BUICBVPUBCSZEC-BDAKNGLRSA-N 0 3 243.397 2.740 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000309449186 384097193 /nfs/dbraw/zinc/09/71/93/384097193.db2.gz KPWPEHOVJIXJAF-JTQLQIEISA-N 0 3 225.332 2.972 20 0 BFADHN C[C@@H](C(=O)Nc1ccccc1)N1[C@H](C)CC[C@H]1C ZINC000070266745 384097343 /nfs/dbraw/zinc/09/73/43/384097343.db2.gz DESBGULDWNTCSO-UPJWGTAASA-N 0 3 246.354 2.886 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1cc2cccnc2o1 ZINC000647259107 384110542 /nfs/dbraw/zinc/11/05/42/384110542.db2.gz IUHIXEUXJYAIQS-SKDRFNHKSA-N 0 3 248.351 2.811 20 0 BFADHN C[C@@H](NCCc1ccc(F)cc1)c1cnccn1 ZINC000070477185 384111508 /nfs/dbraw/zinc/11/15/08/384111508.db2.gz UJQMVGLOKDWGQF-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1cccs1)c1cnccn1 ZINC000070478393 384113756 /nfs/dbraw/zinc/11/37/56/384113756.db2.gz JWXJNMPAGOXEMN-WDEREUQCSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@H](C[C@@H]1CCCO1)N[C@H](C)c1cncs1 ZINC000309521094 384117282 /nfs/dbraw/zinc/11/72/82/384117282.db2.gz ANMKCWXDPKLPSX-MXWKQRLJSA-N 0 3 240.372 2.751 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc(Cl)o2)C1(C)C ZINC000227828488 134390412 /nfs/dbraw/zinc/39/04/12/134390412.db2.gz NJKGUEWZBHTTIC-UWVGGRQHSA-N 0 3 243.734 2.836 20 0 BFADHN COC(C)(C)C[C@@H](C)N[C@H](C)c1nccs1 ZINC000070732333 384134070 /nfs/dbraw/zinc/13/40/70/384134070.db2.gz YTHQQCZNRFPICF-NXEZZACHSA-N 0 3 242.388 2.997 20 0 BFADHN Cc1ccc2nccc(N[C@@H]3CCSC3)c2c1 ZINC000530484622 384125391 /nfs/dbraw/zinc/12/53/91/384125391.db2.gz DOSSJRZWYYMBSX-LLVKDONJSA-N 0 3 244.363 2.883 20 0 BFADHN COC[C@@H](C)N[C@@H]1CCc2cc(Cl)ccc21 ZINC000091437017 384126418 /nfs/dbraw/zinc/12/64/18/384126418.db2.gz KFXWWICOOFMOMX-NOZJJQNGSA-N 0 3 239.746 2.952 20 0 BFADHN Cc1csc(CN[C@H]2CC[C@H](C)C2)n1 ZINC000070643516 384126800 /nfs/dbraw/zinc/12/68/00/384126800.db2.gz XGHWIPVGIAZGGL-WPRPVWTQSA-N 0 3 210.346 2.730 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1ccccc1F ZINC000070656634 384130707 /nfs/dbraw/zinc/13/07/07/384130707.db2.gz WBPKPZSNQRCLAL-QWRGUYRKSA-N 0 3 225.307 2.901 20 0 BFADHN COC(=O)c1oc([C@H](C)N2CCCC2)cc1C ZINC000091535858 384131701 /nfs/dbraw/zinc/13/17/01/384131701.db2.gz ZNNLMZOPODEFIA-JTQLQIEISA-N 0 3 237.299 2.531 20 0 BFADHN Fc1cc(CN[C@H]2CCCOC2)ccc1Cl ZINC000071012672 384147484 /nfs/dbraw/zinc/14/74/84/384147484.db2.gz YBEGWTHZIBZNOR-JTQLQIEISA-N 0 3 243.709 2.748 20 0 BFADHN Fc1ccc(CN[C@H]2CCCOC2)c(Cl)c1 ZINC000071013291 384148590 /nfs/dbraw/zinc/14/85/90/384148590.db2.gz FUPGNOBCNOZAPB-NSHDSACASA-N 0 3 243.709 2.748 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCS2)o1 ZINC000087473146 384149265 /nfs/dbraw/zinc/14/92/65/384149265.db2.gz GBBWLWZOHOSFAX-NSHDSACASA-N 0 3 211.330 2.573 20 0 BFADHN CO[C@@H](CNCc1ccc(O)cc1)CC(C)C ZINC000669572587 487528507 /nfs/dbraw/zinc/52/85/07/487528507.db2.gz UUWVLTCZSVLCTF-CQSZACIVSA-N 0 3 237.343 2.543 20 0 BFADHN CC[C@H]1CCN(Cc2ccccc2NC(C)=O)C1 ZINC000091930242 384153586 /nfs/dbraw/zinc/15/35/86/384153586.db2.gz SJNCMGGBUYZVQH-ZDUSSCGKSA-N 0 3 246.354 2.877 20 0 BFADHN C[C@@H](NCCCC(C)(C)CO)c1nccs1 ZINC000091727772 384141364 /nfs/dbraw/zinc/14/13/64/384141364.db2.gz RRDQREFXYJNHLT-SNVBAGLBSA-N 0 3 242.388 2.592 20 0 BFADHN CC[C@@H](CN1CC[C@H]1c1cccc(F)c1)OC ZINC000642692693 384142939 /nfs/dbraw/zinc/14/29/39/384142939.db2.gz NZRURIFNYGEFFW-KBPBESRZSA-N 0 3 237.318 2.998 20 0 BFADHN CO[C@H]1CCCN(Cc2ccc(F)c(C)c2)C1 ZINC000091772504 384143869 /nfs/dbraw/zinc/14/38/69/384143869.db2.gz IGCBDBUVQCWIDZ-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN CO[C@H]1CCCN(C/C=C/c2ccc(F)cc2)C1 ZINC000091772652 384144491 /nfs/dbraw/zinc/14/44/91/384144491.db2.gz CGGXGAIDFUWUKG-OMDKTOEGSA-N 0 3 249.329 2.950 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)Nc1cccc(C)c1C ZINC000070979961 384144458 /nfs/dbraw/zinc/14/44/58/384144458.db2.gz DINFURQNIBZODR-GFCCVEGCSA-N 0 3 248.370 2.972 20 0 BFADHN CC(C)[C@@H](CO)N[C@H](C)c1ccc(F)c(F)c1 ZINC000092392959 384175594 /nfs/dbraw/zinc/17/55/94/384175594.db2.gz NTJHBOJZGPFGHI-NOZJJQNGSA-N 0 3 243.297 2.632 20 0 BFADHN CC(C)[C@@H](CO)N[C@@H](C)c1cc(F)ccc1F ZINC000092393970 384175930 /nfs/dbraw/zinc/17/59/30/384175930.db2.gz IOVKSXGNWATUGP-TVQRCGJNSA-N 0 3 243.297 2.632 20 0 BFADHN CC(=O)Nc1ccccc1CN1CCCCC1 ZINC000092107387 384161686 /nfs/dbraw/zinc/16/16/86/384161686.db2.gz KXRKWKLWJQPMKJ-UHFFFAOYSA-N 0 3 232.327 2.631 20 0 BFADHN CN(CCc1ccc(F)cc1)CC1=CCCOC1 ZINC000092162133 384164808 /nfs/dbraw/zinc/16/48/08/384164808.db2.gz NYBWTHJTOVWBFP-UHFFFAOYSA-N 0 3 249.329 2.647 20 0 BFADHN C[C@@H](CN1CC[C@H](F)C1)c1ccc(F)cc1 ZINC000449394314 384164872 /nfs/dbraw/zinc/16/48/72/384164872.db2.gz NQEPKLQCJHFANF-GWCFXTLKSA-N 0 3 225.282 2.973 20 0 BFADHN CCc1ccc(CN2CCN3CCCC[C@@H]3C2)o1 ZINC000092616087 384185648 /nfs/dbraw/zinc/18/56/48/384185648.db2.gz WSNFNHVZXTVDKX-CYBMUJFWSA-N 0 3 248.370 2.512 20 0 BFADHN CCc1ccc(CN(CC)C[C@@H]2CCCO2)o1 ZINC000092407359 384178070 /nfs/dbraw/zinc/17/80/70/384178070.db2.gz RJFQBWXUKYUTGE-ZDUSSCGKSA-N 0 3 237.343 2.843 20 0 BFADHN Cn1cccc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000092485891 384182630 /nfs/dbraw/zinc/18/26/30/384182630.db2.gz GQKAQGYONGBDTG-OCCSQVGLSA-N 0 3 218.344 2.790 20 0 BFADHN Cc1c(CN2CCCC3(CCCC3)C2)cnn1C ZINC000336585309 384183507 /nfs/dbraw/zinc/18/35/07/384183507.db2.gz YQOZNZWUJWAOSO-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN Clc1ccc(CNC[C@@H]2CCSC2)nc1 ZINC000278768900 384186337 /nfs/dbraw/zinc/18/63/37/384186337.db2.gz OMJHFPVTZRDULA-VIFPVBQESA-N 0 3 242.775 2.578 20 0 BFADHN CC[C@@H]1CN(CC2Cc3ccccc3C2)CCO1 ZINC000558567359 384187670 /nfs/dbraw/zinc/18/76/70/384187670.db2.gz VDJXWGRFTZVEDQ-MRXNPFEDSA-N 0 3 245.366 2.512 20 0 BFADHN CSCc1cnc(CNC[C@@H]2C[C@H]2C)s1 ZINC000294013094 384190188 /nfs/dbraw/zinc/19/01/88/384190188.db2.gz GWHWVGOMSRVVLH-BDAKNGLRSA-N 0 3 242.413 2.752 20 0 BFADHN Cc1ccc(CN(C)CC2=CCCOC2)s1 ZINC000093049416 384207602 /nfs/dbraw/zinc/20/76/02/384207602.db2.gz CXOYYMFFGFDMMT-UHFFFAOYSA-N 0 3 237.368 2.835 20 0 BFADHN c1ccc(OCCCNCc2ccccn2)cc1 ZINC000041582262 384192469 /nfs/dbraw/zinc/19/24/69/384192469.db2.gz SSHCQLAZWPPYFP-UHFFFAOYSA-N 0 3 242.322 2.640 20 0 BFADHN Cn1cc(CNCCCC2CC2)c(C(F)F)n1 ZINC000518700187 384192452 /nfs/dbraw/zinc/19/24/52/384192452.db2.gz BRTMXHCJYHHWPO-UHFFFAOYSA-N 0 3 243.301 2.638 20 0 BFADHN C[C@@H](CCO)CCN[C@H](C)c1ccccc1F ZINC000296572258 384192535 /nfs/dbraw/zinc/19/25/35/384192535.db2.gz DGMSMMGPGNQGOL-VXGBXAGGSA-N 0 3 239.334 2.885 20 0 BFADHN c1ccc(OCCCNCc2cccnc2)cc1 ZINC000041582257 384192722 /nfs/dbraw/zinc/19/27/22/384192722.db2.gz KCYQEWRYCDDVDT-UHFFFAOYSA-N 0 3 242.322 2.640 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@H]2C2CCC2)n1 ZINC000092780846 384194067 /nfs/dbraw/zinc/19/40/67/384194067.db2.gz AJMSEAUPHZFSSS-AWEZNQCLSA-N 0 3 246.354 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@H]2C2CCC2)n1 ZINC000092780846 384194069 /nfs/dbraw/zinc/19/40/69/384194069.db2.gz AJMSEAUPHZFSSS-AWEZNQCLSA-N 0 3 246.354 2.860 20 0 BFADHN C[C@@H](NCC(C)(C)C1CCC1)c1cnccn1 ZINC000296593598 384195133 /nfs/dbraw/zinc/19/51/33/384195133.db2.gz VCTBXYQMHUVHPP-LLVKDONJSA-N 0 3 233.359 2.954 20 0 BFADHN Cc1ccc2[nH]c(SC[C@@H](C)N(C)C)nc2c1 ZINC000358851727 384196947 /nfs/dbraw/zinc/19/69/47/384196947.db2.gz HZXZYMHDUJONAN-SNVBAGLBSA-N 0 3 249.383 2.914 20 0 BFADHN CCOCCNc1ccnc2ccccc21 ZINC000041720700 384199081 /nfs/dbraw/zinc/19/90/81/384199081.db2.gz WKRSSUNEBGLARH-UHFFFAOYSA-N 0 3 216.284 2.683 20 0 BFADHN CC(C)(C)[C@H](CO)NCc1sccc1Cl ZINC000278796341 384199215 /nfs/dbraw/zinc/19/92/15/384199215.db2.gz WFJRIMVEESXWOF-JTQLQIEISA-N 0 3 247.791 2.898 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cc(C)on1 ZINC000132684745 384199740 /nfs/dbraw/zinc/19/97/40/384199740.db2.gz GCMOPDHSWQOSCW-CMPLNLGQSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1noc(C)c1CN[C@@H](C)C1CCC1 ZINC000132686386 384200138 /nfs/dbraw/zinc/20/01/38/384200138.db2.gz GLDFOJCAAWZDKK-QMMMGPOBSA-N 0 3 208.305 2.570 20 0 BFADHN COC(=O)[C@@H]1CCCCN1C[C@@H](C)CC(C)C ZINC000304186011 384231976 /nfs/dbraw/zinc/23/19/76/384231976.db2.gz RYNBDFQUTQVYNX-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN CC(C)C1CC(NCc2cnn3ccccc23)C1 ZINC000560575365 384232965 /nfs/dbraw/zinc/23/29/65/384232965.db2.gz MZQLBNZGCPHWHD-UHFFFAOYSA-N 0 3 243.354 2.859 20 0 BFADHN CCOC[C@H]1CCCN(Cc2ccc(C)o2)C1 ZINC000093419038 384234854 /nfs/dbraw/zinc/23/48/54/384234854.db2.gz XMQKVJMSIPELJI-ZDUSSCGKSA-N 0 3 237.343 2.837 20 0 BFADHN CC[C@H](C)[C@H]1CCCCN1Cc1cnccn1 ZINC000560576368 384237008 /nfs/dbraw/zinc/23/70/08/384237008.db2.gz SBMVAOAEOQSFTQ-GXTWGEPZSA-N 0 3 233.359 2.877 20 0 BFADHN Oc1cccc(CNCCOCC2CCCC2)c1 ZINC000313295650 384237604 /nfs/dbraw/zinc/23/76/04/384237604.db2.gz KSHOSNNOZGZNBA-UHFFFAOYSA-N 0 3 249.354 2.689 20 0 BFADHN COC[C@H](NC1CC1)c1cccc(Cl)c1 ZINC000289856629 384240140 /nfs/dbraw/zinc/24/01/40/384240140.db2.gz RKLBXSPGKIHQEK-LBPRGKRZSA-N 0 3 225.719 2.780 20 0 BFADHN Cc1cc(C)cc([C@H](C)NCc2ccnn2C)c1 ZINC000118906381 384216785 /nfs/dbraw/zinc/21/67/85/384216785.db2.gz LLZFQGPFXQTJBV-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCOC2)cc1C ZINC000132140922 384217007 /nfs/dbraw/zinc/21/70/07/384217007.db2.gz VJRPYCLGOVUDRY-AWEZNQCLSA-N 0 3 219.328 2.524 20 0 BFADHN C[C@H]1c2ccccc2CCN1Cc1cnc[nH]1 ZINC000093149453 384217403 /nfs/dbraw/zinc/21/74/03/384217403.db2.gz YXILNXPVTPIEEQ-NSHDSACASA-N 0 3 227.311 2.529 20 0 BFADHN CC[C@H](O)[C@H]1CCCCN1Cc1ncccc1C ZINC000093175135 384220296 /nfs/dbraw/zinc/22/02/96/384220296.db2.gz HDGYDOIEARJCPK-CABCVRRESA-N 0 3 248.370 2.515 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](C)[C@@H]2CCOC2)o1 ZINC000132167127 384220890 /nfs/dbraw/zinc/22/08/90/384220890.db2.gz ORNLBVYRFVWQOC-GRYCIOLGSA-N 0 3 223.316 2.664 20 0 BFADHN CC[C@@H](NCC(C)(C)SC)c1nccn1C ZINC000132230132 384221784 /nfs/dbraw/zinc/22/17/84/384221784.db2.gz GQKZGCYPOVSONJ-SNVBAGLBSA-N 0 3 241.404 2.602 20 0 BFADHN COCC[C@H](C)NCc1cc(Cl)ccc1F ZINC000119004480 384224201 /nfs/dbraw/zinc/22/42/01/384224201.db2.gz OETDAYKANHMKML-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN CCc1nc([C@H](C)N2CCCC3(CC3)CC2)n[nH]1 ZINC000626115264 384227827 /nfs/dbraw/zinc/22/78/27/384227827.db2.gz MRXVUTSSFAUWBY-NSHDSACASA-N 0 3 248.374 2.694 20 0 BFADHN Cc1ccc(CN(C)CC[C@H](C)O)cc1C ZINC000093481664 384242749 /nfs/dbraw/zinc/24/27/49/384242749.db2.gz PZKYEBVRVQKHEF-ZDUSSCGKSA-N 0 3 221.344 2.506 20 0 BFADHN C[C@H](NCCO)c1cc2cc(Cl)ccc2o1 ZINC000132689449 384243185 /nfs/dbraw/zinc/24/31/85/384243185.db2.gz UYCIZCJFNJAGTF-QMMMGPOBSA-N 0 3 239.702 2.729 20 0 BFADHN COc1ccc(CN(C)[C@H](C)C2(C)CC2)cn1 ZINC000132683388 384245102 /nfs/dbraw/zinc/24/51/02/384245102.db2.gz NCZOBIKXOBNWEX-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H]1CCC[C@H](CN2CCO[C@H](C)C2)C1 ZINC000560605984 384248466 /nfs/dbraw/zinc/24/84/66/384248466.db2.gz HOKKUCNJIPYMGZ-MCIONIFRSA-N 0 3 225.376 2.924 20 0 BFADHN Clc1cccc2c1CC[C@H]2N[C@@H]1CCOC1 ZINC000167068142 384249621 /nfs/dbraw/zinc/24/96/21/384249621.db2.gz VJVAQXFZRJUJPG-NOZJJQNGSA-N 0 3 237.730 2.706 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H]1CCC[C@H]2C[C@H]21 ZINC000558699240 384251482 /nfs/dbraw/zinc/25/14/82/384251482.db2.gz FODPVSNVIHCOQA-BFHYXJOUSA-N 0 3 247.386 2.817 20 0 BFADHN CCC1(CO)CCN(Cc2ccsc2)CC1 ZINC000093592486 384251661 /nfs/dbraw/zinc/25/16/61/384251661.db2.gz ALNQESJJQYBTNO-UHFFFAOYSA-N 0 3 239.384 2.733 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1cccc(O)c1 ZINC000093558894 384251742 /nfs/dbraw/zinc/25/17/42/384251742.db2.gz USXXFHYEXIPRTN-LLVKDONJSA-N 0 3 239.384 2.966 20 0 BFADHN C[C@H](NCCN1CCCC[C@@H]1C)c1ccccn1 ZINC000158647050 384254389 /nfs/dbraw/zinc/25/43/89/384254389.db2.gz OFQBODMERLSDGO-KBPBESRZSA-N 0 3 247.386 2.607 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1ccsc1 ZINC000133608920 384255023 /nfs/dbraw/zinc/25/50/23/384255023.db2.gz WGFOOKOOUMUTHV-KOLCDFICSA-N 0 3 213.346 2.560 20 0 BFADHN Cc1ccc(Cn2cc3c(n2)[C@H](N)CCC3)cc1 ZINC000644167914 384256998 /nfs/dbraw/zinc/25/69/98/384256998.db2.gz OHVPEUKXJUPEEF-CQSZACIVSA-N 0 3 241.338 2.576 20 0 BFADHN CN(Cc1cccc(F)c1)C[C@@H]1CCCCO1 ZINC000042977090 384260261 /nfs/dbraw/zinc/26/02/61/384260261.db2.gz MPJKYHQHCGRURG-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN CC(C)N(C)Cc1cc(F)c(F)c(F)c1 ZINC000158724243 384263333 /nfs/dbraw/zinc/26/33/33/384263333.db2.gz DQQHFOQJFFGRII-UHFFFAOYSA-N 0 3 217.234 2.944 20 0 BFADHN Cc1cc(N2CCN(C)CC2)ccc1NC(C)C ZINC000132987322 384267860 /nfs/dbraw/zinc/26/78/60/384267860.db2.gz OHDGJIYZMAZIID-UHFFFAOYSA-N 0 3 247.386 2.567 20 0 BFADHN C1=C(CN2CC3C[C@@H]4CC2C[C@H](C3)C4)COCC1 ZINC000336631620 384271552 /nfs/dbraw/zinc/27/15/52/384271552.db2.gz WZWHPKFVXWMGBL-PJPHBNEVSA-N 0 3 247.382 2.844 20 0 BFADHN CCc1ccc(CN(C)[C@@H](CO)C(C)(C)C)o1 ZINC000093821994 384272589 /nfs/dbraw/zinc/27/25/89/384272589.db2.gz HDGHDYSBBBBBLT-ZDUSSCGKSA-N 0 3 239.359 2.681 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cccc(O)c1)C(C)C ZINC000282432077 384276417 /nfs/dbraw/zinc/27/64/17/384276417.db2.gz TYWYQGJLXPMUFT-RISCZKNCSA-N 0 3 237.343 2.714 20 0 BFADHN C[C@H](O)CCN(C)Cc1ccc(Cl)c(F)c1 ZINC000093481899 384241731 /nfs/dbraw/zinc/24/17/31/384241731.db2.gz IVHNWEUKCUVEBA-VIFPVBQESA-N 0 3 245.725 2.682 20 0 BFADHN C[C@@H](O)CCN(C)Cc1ccc(F)c(Cl)c1 ZINC000093481300 384242124 /nfs/dbraw/zinc/24/21/24/384242124.db2.gz AFQLGBZNSXTBLQ-SECBINFHSA-N 0 3 245.725 2.682 20 0 BFADHN Cc1ccc2ncc(CN(C)C3CCC3)n2c1 ZINC000093475989 384242192 /nfs/dbraw/zinc/24/21/92/384242192.db2.gz SEUFIWOPZIXSKN-UHFFFAOYSA-N 0 3 229.327 2.627 20 0 BFADHN Cc1ccc(CN(C)CC[C@@H](C)O)cc1C ZINC000093481663 384242347 /nfs/dbraw/zinc/24/23/47/384242347.db2.gz PZKYEBVRVQKHEF-CYBMUJFWSA-N 0 3 221.344 2.506 20 0 BFADHN C[C@H](CC1CC1)N[C@H]1COc2ccccc21 ZINC000133643375 384304919 /nfs/dbraw/zinc/30/49/19/384304919.db2.gz ANSXLOIWPAHKQK-MFKMUULPSA-N 0 3 217.312 2.898 20 0 BFADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](N)C(C)C ZINC000011958641 384305415 /nfs/dbraw/zinc/30/54/15/384305415.db2.gz KPAWAOSKTFUKIP-NSHDSACASA-N 0 3 240.734 2.570 20 0 BFADHN C[C@@H](CNCc1cscn1)CC(F)F ZINC000647225605 384307156 /nfs/dbraw/zinc/30/71/56/384307156.db2.gz OYLDYVDRAGAMDM-SSDOTTSWSA-N 0 3 220.288 2.524 20 0 BFADHN COC[C@H](C)N[C@H]1CCCOc2ccccc21 ZINC000133681239 384307502 /nfs/dbraw/zinc/30/75/02/384307502.db2.gz MFXDEAJSJKIEQZ-AAEUAGOBSA-N 0 3 235.327 2.525 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@H]1C)c1ccc(F)cc1F ZINC000119826777 384309223 /nfs/dbraw/zinc/30/92/23/384309223.db2.gz IRIMYZWKJRWFNN-MWODSPESSA-N 0 3 241.281 2.793 20 0 BFADHN CCN(CC=C(C)C)CCc1nccs1 ZINC000159213210 384311650 /nfs/dbraw/zinc/31/16/50/384311650.db2.gz RTLBWNRFTPJXHB-UHFFFAOYSA-N 0 3 224.373 2.974 20 0 BFADHN C[C@@H](N[C@@H]1CCCOC1)c1cc(F)cc(F)c1 ZINC000094827546 384316575 /nfs/dbraw/zinc/31/65/75/384316575.db2.gz XQUVYXZJGVAOJW-NOZJJQNGSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@H](CCCO)N[C@@H](C)c1cc(F)ccc1F ZINC000094942029 384319136 /nfs/dbraw/zinc/31/91/36/384319136.db2.gz OZEFFDSUDOFBOM-ZJUUUORDSA-N 0 3 243.297 2.776 20 0 BFADHN CN(Cc1ccc(Cl)s1)CC(C)(C)CO ZINC000051294246 384319578 /nfs/dbraw/zinc/31/95/78/384319578.db2.gz AEZXSAFFBGWEOQ-UHFFFAOYSA-N 0 3 247.791 2.852 20 0 BFADHN C[C@@H](CCCO)N[C@@H](C)c1cc(F)cc(F)c1 ZINC000094942586 384319966 /nfs/dbraw/zinc/31/99/66/384319966.db2.gz HKPFFEUZGOVTQK-UWVGGRQHSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@H](CCCO)N[C@@H](C)c1ccc(F)cc1F ZINC000094942609 384320233 /nfs/dbraw/zinc/32/02/33/384320233.db2.gz TZNDCHLMZZINNT-ZJUUUORDSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1nc(CNCC2CC(F)(F)C2)cs1 ZINC000291646599 384283881 /nfs/dbraw/zinc/28/38/81/384283881.db2.gz CCYPDGCWLCGHTB-UHFFFAOYSA-N 0 3 232.299 2.586 20 0 BFADHN CN(C)CCNc1ncc(-c2ccccc2)s1 ZINC000119536945 384284709 /nfs/dbraw/zinc/28/47/09/384284709.db2.gz NXSXVYXZNRFMEP-UHFFFAOYSA-N 0 3 247.367 2.784 20 0 BFADHN COCC1(CCN[C@H](C)c2cc(C)ccn2)CC1 ZINC000647320574 384287261 /nfs/dbraw/zinc/28/72/61/384287261.db2.gz RHAMEAZAYJGCOU-CYBMUJFWSA-N 0 3 248.370 2.857 20 0 BFADHN COC[C@@H](NCC1CCCC1)c1ccco1 ZINC000133362505 384287749 /nfs/dbraw/zinc/28/77/49/384287749.db2.gz MCRRAAGEBOWEEQ-GFCCVEGCSA-N 0 3 223.316 2.747 20 0 BFADHN COCc1ccc(CN2CCCC[C@@H]2C)o1 ZINC000158921908 384289194 /nfs/dbraw/zinc/28/91/94/384289194.db2.gz IQINGWBVLUWXIM-NSHDSACASA-N 0 3 223.316 2.800 20 0 BFADHN c1ncc(CN2CCCCCCC2)s1 ZINC000158957403 384291183 /nfs/dbraw/zinc/29/11/83/384291183.db2.gz NNSFMEBNXPQOTH-UHFFFAOYSA-N 0 3 210.346 2.909 20 0 BFADHN COCCCNC(C)(C)c1nc(C)c(C)s1 ZINC000173954615 384292322 /nfs/dbraw/zinc/29/23/22/384292322.db2.gz OJQFMOLLANFCBJ-UHFFFAOYSA-N 0 3 242.388 2.621 20 0 BFADHN CCOc1cc(C[NH2+]C(C)C)cc(Cl)c1[O-] ZINC000083372146 384293648 /nfs/dbraw/zinc/29/36/48/384293648.db2.gz DLQZIXZJAHSQEV-UHFFFAOYSA-N 0 3 243.734 2.942 20 0 BFADHN C=Cc1ccc(CCN[C@@H](C)c2ncc[nH]2)cc1 ZINC000291318447 384295937 /nfs/dbraw/zinc/29/59/37/384295937.db2.gz UNMWHAFAWYXBCY-LBPRGKRZSA-N 0 3 241.338 2.946 20 0 BFADHN COc1ncccc1CN[C@H]1CCCC1(C)C ZINC000094307267 384296185 /nfs/dbraw/zinc/29/61/85/384296185.db2.gz JPSMBEWKBUZBPU-LBPRGKRZSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@@H](NCCC(C)(F)F)c1ccccn1 ZINC000294183987 384296433 /nfs/dbraw/zinc/29/64/33/384296433.db2.gz KJINCVFRYXTQBY-SECBINFHSA-N 0 3 214.259 2.778 20 0 BFADHN Cc1ccc([C@@H](C)CNCc2ncc[nH]2)cc1 ZINC000119684018 384296472 /nfs/dbraw/zinc/29/64/72/384296472.db2.gz RVAYVXBXOKLNNZ-LBPRGKRZSA-N 0 3 229.327 2.611 20 0 BFADHN CSCCN1CCOC2(C1)CCCCCC2 ZINC000119719772 384299891 /nfs/dbraw/zinc/29/98/91/384299891.db2.gz ACXFAMNIUSCIJW-UHFFFAOYSA-N 0 3 243.416 2.775 20 0 BFADHN CCc1nc(C)c(CN(C)CC2CCC2)o1 ZINC000428242491 384299848 /nfs/dbraw/zinc/29/98/48/384299848.db2.gz SSUNFZSMOJMCSL-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN C[C@@H](CO)N(C)Cc1csc2ccccc12 ZINC000159137619 384303028 /nfs/dbraw/zinc/30/30/28/384303028.db2.gz XEFVREBSUALESV-JTQLQIEISA-N 0 3 235.352 2.714 20 0 BFADHN CC[C@H](NC[C@](C)(CC)OC)c1nccs1 ZINC000319666368 384338691 /nfs/dbraw/zinc/33/86/91/384338691.db2.gz OYMMENCCKBPNJC-JQWIXIFHSA-N 0 3 242.388 2.999 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@@H]1CCCc2sccc21 ZINC000134213112 384339421 /nfs/dbraw/zinc/33/94/21/384339421.db2.gz MUQSDPPULPIQSC-ZMLRMANQSA-N 0 3 237.368 2.893 20 0 BFADHN CO[C@@H](C)[C@@H](C)NC1(c2ccccc2)CC1 ZINC000134235527 384339800 /nfs/dbraw/zinc/33/98/00/384339800.db2.gz BEBQXDOZGVSMGL-NEPJUHHUSA-N 0 3 219.328 2.689 20 0 BFADHN Cc1ccc(CN[C@@]2(C)CCOC2)cc1C ZINC000120234520 384341700 /nfs/dbraw/zinc/34/17/00/384341700.db2.gz LNNHIEHURFBQPF-AWEZNQCLSA-N 0 3 219.328 2.572 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1ccc2ncccc2c1 ZINC000134247062 384342861 /nfs/dbraw/zinc/34/28/61/384342861.db2.gz YONMECWCFOJBQR-SMDDNHRTSA-N 0 3 242.322 2.502 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1ccccn1 ZINC000083863597 384344356 /nfs/dbraw/zinc/34/43/56/384344356.db2.gz TTWYVUZAXRRCGU-NEPJUHHUSA-N 0 3 206.333 2.996 20 0 BFADHN CSc1ccc(CN[C@@H]2CCO[C@@H]2C)cc1 ZINC000120465262 384354890 /nfs/dbraw/zinc/35/48/90/384354890.db2.gz VMVRRJAKWMYKOZ-ZWNOBZJWSA-N 0 3 237.368 2.676 20 0 BFADHN CC[C@](C)(CCO)NCc1cc(F)ccc1F ZINC000134471032 384357444 /nfs/dbraw/zinc/35/74/44/384357444.db2.gz KCDIBSZZLYSVOI-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN C/C=C/CNCc1c[nH]nc1-c1ccc(C)o1 ZINC000384732149 384362573 /nfs/dbraw/zinc/36/25/73/384362573.db2.gz JWZQRERILBEPCC-ONEGZZNKSA-N 0 3 231.299 2.644 20 0 BFADHN CCN(CC)CCSc1ccc(Cl)nn1 ZINC000084060013 384362545 /nfs/dbraw/zinc/36/25/45/384362545.db2.gz SMYRPQMBOHVDKA-UHFFFAOYSA-N 0 3 245.779 2.564 20 0 BFADHN CCCC[C@H](C(=O)OC)N(C)CC1CCCC1 ZINC000168801631 384323790 /nfs/dbraw/zinc/32/37/90/384323790.db2.gz OTHBAFJNFXIDRQ-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN CSc1ccc(CN[C@]2(C)CCOC2)cc1 ZINC000120122903 384329885 /nfs/dbraw/zinc/32/98/85/384329885.db2.gz LZNKPTABTAFXON-CYBMUJFWSA-N 0 3 237.368 2.677 20 0 BFADHN CN(Cc1cc(Cl)cn1C)CC1CCC1 ZINC000120134759 384331550 /nfs/dbraw/zinc/33/15/50/384331550.db2.gz JIJHBIZNEPMJIQ-UHFFFAOYSA-N 0 3 226.751 2.910 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCCCC1(C)C ZINC000335571616 384333056 /nfs/dbraw/zinc/33/30/56/384333056.db2.gz YJPUMOYIZNDDLY-LLVKDONJSA-N 0 3 239.388 2.905 20 0 BFADHN Cc1cc(C)cc(CN[C@@]2(C)CCOC2)c1 ZINC000120170892 384334635 /nfs/dbraw/zinc/33/46/35/384334635.db2.gz DPFFTOLNMIJRCI-AWEZNQCLSA-N 0 3 219.328 2.572 20 0 BFADHN CCc1ccc([C@H](COC)NCC2CC2)o1 ZINC000174479648 384335009 /nfs/dbraw/zinc/33/50/09/384335009.db2.gz QVWFYISYJNIMSI-LBPRGKRZSA-N 0 3 223.316 2.529 20 0 BFADHN CN(CCc1cccs1)C[C@H]1CCCCO1 ZINC000120961707 384392701 /nfs/dbraw/zinc/39/27/01/384392701.db2.gz PLGIKESHFQNCJA-GFCCVEGCSA-N 0 3 239.384 2.792 20 0 BFADHN CC[C@H](NCc1ccn(C(C)C)n1)[C@H]1C[C@@H]1C ZINC000560880292 384394186 /nfs/dbraw/zinc/39/41/86/384394186.db2.gz HOEJPQVBHMAKKE-UBHSHLNASA-N 0 3 235.375 2.988 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1snnc1C ZINC000556455256 384395184 /nfs/dbraw/zinc/39/51/84/384395184.db2.gz GDJVOWUOLMGBQB-SECBINFHSA-N 0 3 227.377 2.761 20 0 BFADHN Cc1ncc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)o1 ZINC000403711020 384400588 /nfs/dbraw/zinc/40/05/88/384400588.db2.gz XFGRDENACHLBMJ-GRYCIOLGSA-N 0 3 220.316 2.509 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1cccc(O)c1 ZINC000169084438 384407072 /nfs/dbraw/zinc/40/70/72/384407072.db2.gz AIYHASORPMBVET-NXEZZACHSA-N 0 3 225.357 2.794 20 0 BFADHN COCc1nc(CN[C@@H]2CC[C@H](C)C2)cs1 ZINC000275842705 384420131 /nfs/dbraw/zinc/42/01/31/384420131.db2.gz QHDZJGJWYYGRMI-VHSXEESVSA-N 0 3 240.372 2.568 20 0 BFADHN Cc1ccoc1CN[C@@H](CC(C)C)CN(C)C ZINC000135173592 384422217 /nfs/dbraw/zinc/42/22/17/384422217.db2.gz MZLCNYAXTTVXOK-ZDUSSCGKSA-N 0 3 238.375 2.654 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1ccc(Cl)c(F)c1 ZINC000160605735 384422934 /nfs/dbraw/zinc/42/29/34/384422934.db2.gz QSPXKSRTUQWLAX-MRVPVSSYSA-N 0 3 245.725 2.728 20 0 BFADHN Cc1cc(CN2CC(C3CC3)C2)cc(C)c1O ZINC000643345798 384423338 /nfs/dbraw/zinc/42/33/38/384423338.db2.gz NLLCCAXNNLUIED-UHFFFAOYSA-N 0 3 231.339 2.851 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1cccc(Cl)c1 ZINC000096033424 384423870 /nfs/dbraw/zinc/42/38/70/384423870.db2.gz JIJNUYMRPSXEMC-JOYOIKCWSA-N 0 3 225.719 2.607 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2C=C[C@H](CO)C2)cc1C ZINC000134637763 384376081 /nfs/dbraw/zinc/37/60/81/384376081.db2.gz RWYYAGPSKFLDIL-IJEWVQPXSA-N 0 3 245.366 2.891 20 0 BFADHN Cn1cc(CN2CC(C3CC3)C2)c(C(C)(C)C)n1 ZINC000643345449 384380272 /nfs/dbraw/zinc/38/02/72/384380272.db2.gz YXKIQIKKBWWCEA-UHFFFAOYSA-N 0 3 247.386 2.559 20 0 BFADHN C[C@H](NCC[C@H]1CCCCO1)c1nccs1 ZINC000134731944 384384001 /nfs/dbraw/zinc/38/40/01/384384001.db2.gz SIHIVOWOSSYDCW-WDEREUQCSA-N 0 3 240.372 2.753 20 0 BFADHN C[C@H](NCC[C@H]1CCCCO1)c1cncs1 ZINC000134730661 384385140 /nfs/dbraw/zinc/38/51/40/384385140.db2.gz DPVUPOFOADDXKW-WDEREUQCSA-N 0 3 240.372 2.753 20 0 BFADHN C[C@H](NC1CCC1)c1nc2c(s1)CCC2 ZINC000335607886 384386418 /nfs/dbraw/zinc/38/64/18/384386418.db2.gz LEYYQJPXFLFHKN-QMMMGPOBSA-N 0 3 222.357 2.835 20 0 BFADHN CCSCCCN[C@@H](C)c1cn(C)nc1C ZINC000134760551 384386811 /nfs/dbraw/zinc/38/68/11/384386811.db2.gz RUIYOBYBDSNLKN-JTQLQIEISA-N 0 3 241.404 2.522 20 0 BFADHN CC[C@@H](NCc1cnc(C(C)C)s1)[C@H](C)O ZINC000449536520 384387790 /nfs/dbraw/zinc/38/77/90/384387790.db2.gz FFMWKWFXAJYHJM-GXSJLCMTSA-N 0 3 242.388 2.516 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](C)CCO)o1 ZINC000121955189 384447572 /nfs/dbraw/zinc/44/75/72/384447572.db2.gz JXNQDZSJZXNXHR-GHMZBOCLSA-N 0 3 225.332 2.511 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](C)CCO)o1 ZINC000121954892 384448731 /nfs/dbraw/zinc/44/87/31/384448731.db2.gz JXNQDZSJZXNXHR-WDEREUQCSA-N 0 3 225.332 2.511 20 0 BFADHN C[C@H]1CN(C)CCN1CCC1CCCCCC1 ZINC000574961003 384453371 /nfs/dbraw/zinc/45/33/71/384453371.db2.gz DVOMQKPDSRRNSM-AWEZNQCLSA-N 0 3 238.419 2.983 20 0 BFADHN CC1CCC(N(Cc2cc[nH]n2)C2CC2)CC1 ZINC000180034391 384431504 /nfs/dbraw/zinc/43/15/04/384431504.db2.gz GOVMVENKJJSNQP-UHFFFAOYSA-N 0 3 233.359 2.953 20 0 BFADHN Cc1nocc1CN1C[C@H](C)CC1(C)C ZINC000295297920 384433016 /nfs/dbraw/zinc/43/30/16/384433016.db2.gz JQXKSTJJVBGLCV-SECBINFHSA-N 0 3 208.305 2.603 20 0 BFADHN COC[C@H](C)N(C)C/C=C\c1ccccc1 ZINC000255161534 384434612 /nfs/dbraw/zinc/43/46/12/384434612.db2.gz RSXUAPJXICHZFN-SZNGSJQDSA-N 0 3 219.328 2.667 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1ccc(F)c(F)c1 ZINC000305929969 384435249 /nfs/dbraw/zinc/43/52/49/384435249.db2.gz DDSDVZDWOPWTFY-SECBINFHSA-N 0 3 243.297 2.868 20 0 BFADHN CC[C@H](C)N1CCO[C@H](Cc2ccccc2)C1 ZINC000181160518 384461639 /nfs/dbraw/zinc/46/16/39/384461639.db2.gz QMZBQFKCOWHZGP-DZGCQCFKSA-N 0 3 233.355 2.728 20 0 BFADHN CC(C)(CCO)NCc1ccc(F)cc1Cl ZINC000122157577 384463533 /nfs/dbraw/zinc/46/35/33/384463533.db2.gz ICJGDEYBAWUFOM-UHFFFAOYSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2ccc(N)nc2)C1 ZINC000647516367 384464794 /nfs/dbraw/zinc/46/47/94/384464794.db2.gz JERNABILFNPAHN-AWEZNQCLSA-N 0 3 233.359 2.506 20 0 BFADHN CCC[C@]1(C)CCCN(Cc2ccc(N)nc2)C1 ZINC000647516813 384466353 /nfs/dbraw/zinc/46/63/53/384466353.db2.gz PPGGRJOGHGQXOY-OAHLLOKOSA-N 0 3 247.386 2.896 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccco1)C(C)(C)C ZINC000122209098 384466388 /nfs/dbraw/zinc/46/63/88/384466388.db2.gz LJDXMRGWPOCWEQ-ZYHUDNBSSA-N 0 3 225.332 2.991 20 0 BFADHN COC[C@H](NC1CCCC1)c1ccc(C)o1 ZINC000122295274 384471148 /nfs/dbraw/zinc/47/11/48/384471148.db2.gz SNKGYMLWEWZDRO-LBPRGKRZSA-N 0 3 223.316 2.808 20 0 BFADHN CCC[C@@H](NC[C@@H]1CCCO1)c1ccccn1 ZINC000158459274 384472156 /nfs/dbraw/zinc/47/21/56/384472156.db2.gz BYYGSASQWJJIDO-QWHCGFSZSA-N 0 3 234.343 2.691 20 0 BFADHN COC[C@H](N[C@@H](C)CC(C)C)c1ccco1 ZINC000122302412 384472044 /nfs/dbraw/zinc/47/20/44/384472044.db2.gz VEZBOVMVQFWEHZ-RYUDHWBXSA-N 0 3 225.332 2.991 20 0 BFADHN COC[C@H](N[C@H]1CCC[C@@H]1C)c1ccco1 ZINC000122300679 384472414 /nfs/dbraw/zinc/47/24/14/384472414.db2.gz XXSWJGPXECCTDH-SRVKXCTJSA-N 0 3 223.316 2.745 20 0 BFADHN FC1(CN[C@H]2C[C@@H]2Cc2ccccc2)CC1 ZINC000381355267 384472924 /nfs/dbraw/zinc/47/29/24/384472924.db2.gz CXLSWOXNPCPOMO-STQMWFEESA-N 0 3 219.303 2.709 20 0 BFADHN c1nc(CN[C@H]2CCCC23CCC3)cs1 ZINC000334756327 384474584 /nfs/dbraw/zinc/47/45/84/384474584.db2.gz ILJWUEGBHOUXES-NSHDSACASA-N 0 3 222.357 2.956 20 0 BFADHN CC[C@H](CSC)N[C@H](C)c1ccccn1 ZINC000162384307 384485849 /nfs/dbraw/zinc/48/58/49/384485849.db2.gz CGJUIUQGYDFWQS-GHMZBOCLSA-N 0 3 224.373 2.874 20 0 BFADHN CCCCCCC(=O)NC[C@@H](N)c1ccccc1 ZINC000122427696 384482217 /nfs/dbraw/zinc/48/22/17/384482217.db2.gz RVXIWVGCSFGASR-CQSZACIVSA-N 0 3 248.370 2.773 20 0 BFADHN Cc1csc(CN[C@H]2CCC[C@H]2C)n1 ZINC000079055264 384482372 /nfs/dbraw/zinc/48/23/72/384482372.db2.gz CTNWYJGCJYRDRG-SCZZXKLOSA-N 0 3 210.346 2.730 20 0 BFADHN CCSCCN(C)Cc1ccc(OC)cc1 ZINC000122451294 384482782 /nfs/dbraw/zinc/48/27/82/384482782.db2.gz JBIXBXAEDNIBSO-UHFFFAOYSA-N 0 3 239.384 2.880 20 0 BFADHN C[C@H](N[C@H]1/C=C/CCCCC1)c1cnn(C)c1 ZINC000252509205 384501743 /nfs/dbraw/zinc/50/17/43/384501743.db2.gz YFXXUAKCYHIXEP-TUPWZPNASA-N 0 3 233.359 2.960 20 0 BFADHN CN(C)CCSc1cccc(Cl)c1 ZINC000101801766 384502312 /nfs/dbraw/zinc/50/23/12/384502312.db2.gz WQGPIHVDSXUGCC-UHFFFAOYSA-N 0 3 215.749 2.994 20 0 BFADHN COC(CN1CCC[C@@H]1c1cccc(C)c1)OC ZINC000136745434 384505975 /nfs/dbraw/zinc/50/59/75/384505975.db2.gz WMKJYKPZXBVMGI-CQSZACIVSA-N 0 3 249.354 2.751 20 0 BFADHN C[C@H](NCc1ccc(Cl)o1)[C@H]1CCCO1 ZINC000037502775 384507160 /nfs/dbraw/zinc/50/71/60/384507160.db2.gz DYHQKMXBBGGZPR-WCBMZHEXSA-N 0 3 229.707 2.590 20 0 BFADHN CC[C@@H](NC1CC1)c1ccccc1OC ZINC000037183761 384487080 /nfs/dbraw/zinc/48/70/80/384487080.db2.gz VQHWKBHFYUAOAA-GFCCVEGCSA-N 0 3 205.301 2.898 20 0 BFADHN CC(C)C[C@H]1CCCN(Cc2ccc(N)nc2)C1 ZINC000647517424 384488123 /nfs/dbraw/zinc/48/81/23/384488123.db2.gz LCILUYKTIYXYCQ-CYBMUJFWSA-N 0 3 247.386 2.752 20 0 BFADHN COc1cc(C)ccc1CN[C@@]1(C)CCO[C@H]1C ZINC000449238959 384488309 /nfs/dbraw/zinc/48/83/09/384488309.db2.gz HVWIPYOEUIWMIW-WFASDCNBSA-N 0 3 249.354 2.661 20 0 BFADHN COc1cc(C)ccc1CN[C@]1(C)CCO[C@H]1C ZINC000449238958 384488371 /nfs/dbraw/zinc/48/83/71/384488371.db2.gz HVWIPYOEUIWMIW-SWLSCSKDSA-N 0 3 249.354 2.661 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1n[nH]c(C(C)C)n1 ZINC000428256163 384490061 /nfs/dbraw/zinc/49/00/61/384490061.db2.gz NFEMASBIJDJTKM-MNOVXSKESA-N 0 3 236.363 2.549 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)[C@H]1CC1(C)C ZINC000449561182 384534972 /nfs/dbraw/zinc/53/49/72/384534972.db2.gz BFINPWNSACGXLQ-GRYCIOLGSA-N 0 3 235.375 2.988 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@H](CC)COC ZINC000356889305 384536032 /nfs/dbraw/zinc/53/60/32/384536032.db2.gz FQBRMZXEOSNJGA-DGCLKSJQSA-N 0 3 236.359 2.720 20 0 BFADHN CN(Cc1cc(F)cc(F)c1)CC1CC1 ZINC000122882223 384517759 /nfs/dbraw/zinc/51/77/59/384517759.db2.gz KKXGPXOXDSLKMF-UHFFFAOYSA-N 0 3 211.255 2.807 20 0 BFADHN C[C@H](CCO)CNCc1cc2ccccc2o1 ZINC000097439335 384519618 /nfs/dbraw/zinc/51/96/18/384519618.db2.gz HAHHBYDVQBGIEU-LLVKDONJSA-N 0 3 233.311 2.541 20 0 BFADHN C[C@@H](CCCO)N[C@@H](C)c1cccnc1Cl ZINC000122886733 384520529 /nfs/dbraw/zinc/52/05/29/384520529.db2.gz WSGDUCIODDBHDN-UWVGGRQHSA-N 0 3 242.750 2.547 20 0 BFADHN CSCC[C@H](C)N(C)Cc1cccnc1 ZINC000122910434 384521842 /nfs/dbraw/zinc/52/18/42/384521842.db2.gz ODJJXAMBOIBPSI-NSHDSACASA-N 0 3 224.373 2.655 20 0 BFADHN CCN(CCOCC1CC1)Cc1cccs1 ZINC000172736210 384523612 /nfs/dbraw/zinc/52/36/12/384523612.db2.gz RFEFWBMKZJYEES-UHFFFAOYSA-N 0 3 239.384 2.997 20 0 BFADHN Cc1nc(C)c(CN(C)CC2CC2)s1 ZINC000172756102 384524063 /nfs/dbraw/zinc/52/40/63/384524063.db2.gz XQAWYLRVKQZBPW-UHFFFAOYSA-N 0 3 210.346 2.602 20 0 BFADHN CSCCN(C)Cc1cccc(C)c1 ZINC000097476214 384524664 /nfs/dbraw/zinc/52/46/64/384524664.db2.gz YYXWFFXWWHGIJI-UHFFFAOYSA-N 0 3 209.358 2.790 20 0 BFADHN Cc1cc(CNC2CCC(F)(F)CC2)on1 ZINC000647179633 384529268 /nfs/dbraw/zinc/52/92/68/384529268.db2.gz IHPHSSYMAPONGR-UHFFFAOYSA-N 0 3 230.258 2.651 20 0 BFADHN COc1ccccc1CCN(C)CCSC ZINC000170930599 384530043 /nfs/dbraw/zinc/53/00/43/384530043.db2.gz JUKQCJRFYVFENM-UHFFFAOYSA-N 0 3 239.384 2.533 20 0 BFADHN Cc1cnc(CNC2(C)CCCCC2)n1C ZINC000309701030 384545173 /nfs/dbraw/zinc/54/51/73/384545173.db2.gz MOZQORHFQISZAS-UHFFFAOYSA-N 0 3 221.348 2.541 20 0 BFADHN Cc1nocc1CN1CC[C@@H](C)C2(CCC2)C1 ZINC000336126007 384547078 /nfs/dbraw/zinc/54/70/78/384547078.db2.gz XKNUVARZPPWLAR-LLVKDONJSA-N 0 3 234.343 2.995 20 0 BFADHN CC(C)C[C@@H](C)Cn1cc([C@H](N)C(C)C)nn1 ZINC000305909377 384551849 /nfs/dbraw/zinc/55/18/49/384551849.db2.gz HKGGTCLOAGHJLH-DGCLKSJQSA-N 0 3 238.379 2.616 20 0 BFADHN CC[C@H](NC[C@@H]1CC1(C)C)c1ccn(C)n1 ZINC000309715223 384556270 /nfs/dbraw/zinc/55/62/70/384556270.db2.gz SNAHKNJJIQQXPL-QWRGUYRKSA-N 0 3 221.348 2.507 20 0 BFADHN CCc1cccnc1[C@@H](C)NCCN(CC)CC ZINC000356900161 384556734 /nfs/dbraw/zinc/55/67/34/384556734.db2.gz ONFYAFRDPKHDFM-CYBMUJFWSA-N 0 3 249.402 2.636 20 0 BFADHN CCc1cccnc1[C@H](C)NCCN(CC)CC ZINC000356900162 384556863 /nfs/dbraw/zinc/55/68/63/384556863.db2.gz ONFYAFRDPKHDFM-ZDUSSCGKSA-N 0 3 249.402 2.636 20 0 BFADHN COc1ccc(CN[C@@H](C)c2ccncc2)cc1 ZINC000019909057 384557661 /nfs/dbraw/zinc/55/76/61/384557661.db2.gz HXJQTYJGBIORPO-LBPRGKRZSA-N 0 3 242.322 2.941 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1cccnc1Cl ZINC000123396723 384557804 /nfs/dbraw/zinc/55/78/04/384557804.db2.gz SFVWLRSYNLXJIP-ZJUUUORDSA-N 0 3 242.750 2.547 20 0 BFADHN CCCCN(C)Cc1c(C)onc1CC ZINC000162012518 384557997 /nfs/dbraw/zinc/55/79/97/384557997.db2.gz MMZJKUNSOPGFJM-UHFFFAOYSA-N 0 3 210.321 2.777 20 0 BFADHN FC(F)(F)[C@@H]1CCC[C@@H](NCc2ncc[nH]2)C1 ZINC000049715411 384560350 /nfs/dbraw/zinc/56/03/50/384560350.db2.gz ZGTPOXOZAIDDIL-RKDXNWHRSA-N 0 3 247.264 2.620 20 0 BFADHN CC(C)(C)CCCCNC(=O)[C@@H](N)C(C)(C)C ZINC000236603834 384537472 /nfs/dbraw/zinc/53/74/72/384537472.db2.gz CGWICTWLYWPAQI-LLVKDONJSA-N 0 3 242.407 2.692 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H](C)[C@@H]1CC1(C)C ZINC000449561184 384537941 /nfs/dbraw/zinc/53/79/41/384537941.db2.gz BFINPWNSACGXLQ-SRVKXCTJSA-N 0 3 235.375 2.988 20 0 BFADHN CCOCCN[C@@H](C)c1cccc(C)c1C ZINC000161900856 384539872 /nfs/dbraw/zinc/53/98/72/384539872.db2.gz CYBGWVVNGDTDTE-ZDUSSCGKSA-N 0 3 221.344 2.991 20 0 BFADHN COc1c(C)cnc(CN(C)CC2CC2)c1C ZINC000171234889 384569122 /nfs/dbraw/zinc/56/91/22/384569122.db2.gz VBEMWFNFMTVAAR-UHFFFAOYSA-N 0 3 234.343 2.549 20 0 BFADHN CCOCCNCc1ccc2nc(C)ccc2c1 ZINC000097861414 384569146 /nfs/dbraw/zinc/56/91/46/384569146.db2.gz YJJHSPCIJSJXSX-UHFFFAOYSA-N 0 3 244.338 2.669 20 0 BFADHN CC[C@H](F)CN1CCC[C@@H]1CSC ZINC000308293532 384572154 /nfs/dbraw/zinc/57/21/54/384572154.db2.gz IWHDMRRDEMUVJB-VHSXEESVSA-N 0 3 205.342 2.562 20 0 BFADHN C[C@H](NCCOc1ccccc1)c1cccnc1 ZINC000049900328 384573973 /nfs/dbraw/zinc/57/39/73/384573973.db2.gz RYLQIRLKWJQCMA-ZDUSSCGKSA-N 0 3 242.322 2.811 20 0 BFADHN C[C@@H](NCCN(C)C)c1ccc(F)c(Cl)c1 ZINC000020078291 384574180 /nfs/dbraw/zinc/57/41/80/384574180.db2.gz FBROFAPCVBXTKF-SECBINFHSA-N 0 3 244.741 2.691 20 0 BFADHN C[C@@H](CN[C@@H](C)c1cccnc1)C(F)(F)F ZINC000309747954 384574717 /nfs/dbraw/zinc/57/47/17/384574717.db2.gz JRASZRVKMCKQBK-IUCAKERBSA-N 0 3 232.249 2.931 20 0 BFADHN CC[C@H](C)C[C@H](CO)N[C@@H](C)c1ccoc1 ZINC000647336549 384576409 /nfs/dbraw/zinc/57/64/09/384576409.db2.gz BYVKAECWXZEGTN-GMXVVIOVSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1ccc(CN[C@H](C)C[C@@H]2CCCO2)o1 ZINC000309754495 384581772 /nfs/dbraw/zinc/58/17/72/384581772.db2.gz LEMFKORAZGSAPH-PWSUYJOCSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@H](C)C[C@H](CO)N[C@@H](C)c1ccccn1 ZINC000647337926 384583712 /nfs/dbraw/zinc/58/37/12/384583712.db2.gz XHJWVESJLXERPA-RWMBFGLXSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@H](C)C[C@@H](CO)N[C@@H](C)c1ccccn1 ZINC000647337925 384583880 /nfs/dbraw/zinc/58/38/80/384583880.db2.gz XHJWVESJLXERPA-AVGNSLFASA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@@H](CN(Cc1ccccn1)C(C)C)OC ZINC000561234859 384584108 /nfs/dbraw/zinc/58/41/08/384584108.db2.gz ILNHLGWXNFORBU-AWEZNQCLSA-N 0 3 236.359 2.717 20 0 BFADHN C[C@H]1C[C@H](NCc2cc(F)cc(F)c2)CS1 ZINC000334851960 384584307 /nfs/dbraw/zinc/58/43/07/384584307.db2.gz DIUISISDNSOPCC-UFBFGSQYSA-N 0 3 243.322 2.948 20 0 BFADHN CSCC[C@H](C)N(C)Cc1cc(C)no1 ZINC000172433795 384585683 /nfs/dbraw/zinc/58/56/83/384585683.db2.gz LSXQVQYICZUQNZ-JTQLQIEISA-N 0 3 228.361 2.556 20 0 BFADHN CCc1ccc([C@@H](C)NCCn2cc(C)cn2)o1 ZINC000123863872 384591064 /nfs/dbraw/zinc/59/10/64/384591064.db2.gz DHOVXPKSPSNSRC-GFCCVEGCSA-N 0 3 247.342 2.698 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC(F)(F)C2)on1 ZINC000306057407 384567617 /nfs/dbraw/zinc/56/76/17/384567617.db2.gz XYEXHODYLQSOON-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN C[C@]1(CNCc2ccccc2Cl)CCOC1 ZINC000309779978 384602846 /nfs/dbraw/zinc/60/28/46/384602846.db2.gz MRVLICYKEXZCKO-CYBMUJFWSA-N 0 3 239.746 2.856 20 0 BFADHN CC[C@H]1CCCN([C@@H](C)c2cnccn2)C1 ZINC000184416834 384603047 /nfs/dbraw/zinc/60/30/47/384603047.db2.gz SLSBZXXQVHUNQP-RYUDHWBXSA-N 0 3 219.332 2.660 20 0 BFADHN CC1CC(N[C@H](CCO)c2ccccc2F)C1 ZINC000647345544 384608267 /nfs/dbraw/zinc/60/82/67/384608267.db2.gz KRZWMRGJKCWVKW-QIMFLAQGSA-N 0 3 237.318 2.637 20 0 BFADHN CN(C)Cc1cccc(NC[C@@H]2CCC=CO2)c1 ZINC000050268302 384612826 /nfs/dbraw/zinc/61/28/26/384612826.db2.gz FRIQBFXWJROLFK-HNNXBMFYSA-N 0 3 246.354 2.853 20 0 BFADHN COCc1cccc(CNC[C@H]2CCC=CO2)c1 ZINC000050269391 384613784 /nfs/dbraw/zinc/61/37/84/384613784.db2.gz URWFCOAXUURUIQ-OAHLLOKOSA-N 0 3 247.338 2.615 20 0 BFADHN CC[C@H](O)CN[C@@H](C)c1ccc(F)cc1Cl ZINC000162396929 384615780 /nfs/dbraw/zinc/61/57/80/384615780.db2.gz QTTDUHLTYYBDAH-WPRPVWTQSA-N 0 3 245.725 2.901 20 0 BFADHN COCC1(N[C@H](C)[C@H](OC)c2ccccc2)CC1 ZINC000518045301 384633199 /nfs/dbraw/zinc/63/31/99/384633199.db2.gz RAMTWDBNPJCKMN-OCCSQVGLSA-N 0 3 249.354 2.531 20 0 BFADHN C[C@H](NCCN1CCCCC1)c1ccsc1 ZINC000050344761 384617761 /nfs/dbraw/zinc/61/77/61/384617761.db2.gz XTWZAYXMEARKOP-LBPRGKRZSA-N 0 3 238.400 2.885 20 0 BFADHN CC[C@@H](C)N1CCN(CCc2ccccc2)CC1 ZINC000172691558 384618385 /nfs/dbraw/zinc/61/83/85/384618385.db2.gz MYCWJNJFIGXNEW-OAHLLOKOSA-N 0 3 246.398 2.645 20 0 BFADHN CCOC(C)(C)CNCc1cc2cccnc2o1 ZINC000449266689 384618378 /nfs/dbraw/zinc/61/83/78/384618378.db2.gz WONAPQOLCOKHGO-UHFFFAOYSA-N 0 3 248.326 2.733 20 0 BFADHN COC[C@H](C)CN1CC(C)(C)[C@@H]1c1ccncc1 ZINC000556889390 384619241 /nfs/dbraw/zinc/61/92/41/384619241.db2.gz UJZWKVDBJFJSJW-OCCSQVGLSA-N 0 3 248.370 2.747 20 0 BFADHN CC[C@@H](C)CN(CC)[C@@H](C)c1cnccn1 ZINC000184599713 384625317 /nfs/dbraw/zinc/62/53/17/384625317.db2.gz XVTFRHKFTWDKIG-NEPJUHHUSA-N 0 3 221.348 2.906 20 0 BFADHN CN(C/C=C/c1ccccc1)CC1(O)CCCC1 ZINC000124249889 384625832 /nfs/dbraw/zinc/62/58/32/384625832.db2.gz UHESVQZNXFGNFJ-JXMROGBWSA-N 0 3 245.366 2.937 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1ccc2c(c1)COC2 ZINC000647350419 384626290 /nfs/dbraw/zinc/62/62/90/384626290.db2.gz VBBMNLZEROEPBF-JRPNMDOOSA-N 0 3 247.338 2.545 20 0 BFADHN C[C@H](NCCO)c1ccc(-c2ccccc2)cc1 ZINC000020597072 384627733 /nfs/dbraw/zinc/62/77/33/384627733.db2.gz OIEVKGKRNCMJJQ-ZDUSSCGKSA-N 0 3 241.334 2.997 20 0 BFADHN CN(Cc1ccco1)[C@H](CO)CC(C)(C)C ZINC000449590462 384628116 /nfs/dbraw/zinc/62/81/16/384628116.db2.gz VFQIQSOPZPDTSR-NSHDSACASA-N 0 3 225.332 2.509 20 0 BFADHN C[C@@H](NCCCOCC1CC1)c1ccco1 ZINC000020190654 384592269 /nfs/dbraw/zinc/59/22/69/384592269.db2.gz OSNKGCPJUJULKU-LLVKDONJSA-N 0 3 223.316 2.747 20 0 BFADHN Cc1nnsc1CNC1C[C@H](C)C[C@@H](C)C1 ZINC000309760045 384592896 /nfs/dbraw/zinc/59/28/96/384592896.db2.gz MIRBTDHOTHGMEQ-RKDXNWHRSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1occc1CN(C)CCCOC(C)C ZINC000171499999 384594455 /nfs/dbraw/zinc/59/44/55/384594455.db2.gz AHJQMMYUXUCWKW-UHFFFAOYSA-N 0 3 225.332 2.835 20 0 BFADHN CN(Cc1ccc2ccccc2n1)C1CC1 ZINC000123884802 384594765 /nfs/dbraw/zinc/59/47/65/384594765.db2.gz WPFZOOPBVBYAIA-UHFFFAOYSA-N 0 3 212.296 2.829 20 0 BFADHN CCN(CCN[C@@H](C)c1cncc(C)c1)C1CC1 ZINC000184337759 384595838 /nfs/dbraw/zinc/59/58/38/384595838.db2.gz FRDGPRJGGFXJJN-ZDUSSCGKSA-N 0 3 247.386 2.525 20 0 BFADHN CC(C)C[C@H](CN[C@H](C)c1ccco1)N(C)C ZINC000020201433 384599864 /nfs/dbraw/zinc/59/98/64/384599864.db2.gz OQYDOOJHKZDCGF-CHWSQXEVSA-N 0 3 238.375 2.907 20 0 BFADHN Cc1cccnc1[C@H](N[C@H]1CO[C@@H](C)C1)C(C)C ZINC000647183653 384600077 /nfs/dbraw/zinc/60/00/77/384600077.db2.gz GYTALMVCHDXLPA-BFHYXJOUSA-N 0 3 248.370 2.854 20 0 BFADHN CC(C)C[C@H](CN[C@@H](C)c1ccccn1)N(C)C ZINC000020201346 384600816 /nfs/dbraw/zinc/60/08/16/384600816.db2.gz VEWSRHQVYXDIJN-UONOGXRCSA-N 0 3 249.402 2.709 20 0 BFADHN C[C@H](Cn1cccn1)N[C@H]1CCCc2occc21 ZINC000123968893 384600862 /nfs/dbraw/zinc/60/08/62/384600862.db2.gz WEYPHNGWXYERHX-YPMHNXCESA-N 0 3 245.326 2.532 20 0 BFADHN CCCN(Cc1cn2ccccc2n1)C(C)C ZINC000517957301 384601682 /nfs/dbraw/zinc/60/16/82/384601682.db2.gz PUQMNNZESSTGPZ-UHFFFAOYSA-N 0 3 231.343 2.955 20 0 BFADHN COC(=O)c1ccccc1CN1CCCCCC1 ZINC000020220921 384602264 /nfs/dbraw/zinc/60/22/64/384602264.db2.gz OWOBIKCDOVBOIZ-UHFFFAOYSA-N 0 3 247.338 2.849 20 0 BFADHN CN(Cc1ccc(Cl)cc1)CC(C)(C)CO ZINC000051295784 384663031 /nfs/dbraw/zinc/66/30/31/384663031.db2.gz XTURBWOKOATRNX-UHFFFAOYSA-N 0 3 241.762 2.790 20 0 BFADHN COC[C@@H](C)CNCc1ccc2ccccc2n1 ZINC000045696639 384664387 /nfs/dbraw/zinc/66/43/87/384664387.db2.gz NOGVWOGEVAAKTG-LBPRGKRZSA-N 0 3 244.338 2.607 20 0 BFADHN C[C@H](CCc1ccccc1)CN1CCO[C@@H](C)C1 ZINC000556940742 384667479 /nfs/dbraw/zinc/66/74/79/384667479.db2.gz IYXICFOJEUKXFJ-CABCVRRESA-N 0 3 247.382 2.976 20 0 BFADHN C[C@@H](CO)[C@@H](C)NCc1cc(Cl)ccc1F ZINC000162705097 384671325 /nfs/dbraw/zinc/67/13/25/384671325.db2.gz SBTMWVCCKFTMHT-DTWKUNHWSA-N 0 3 245.725 2.586 20 0 BFADHN CC[C@@H](NCC(=O)N(CC)CC)c1ccccc1 ZINC000051628260 384674228 /nfs/dbraw/zinc/67/42/28/384674228.db2.gz SKHTYXZZXOOJMT-CQSZACIVSA-N 0 3 248.370 2.596 20 0 BFADHN CC(C)Cc1ncc(CNC2CC2)s1 ZINC000040996778 384634588 /nfs/dbraw/zinc/63/45/88/384634588.db2.gz NPCIPDCUGZGJGJ-UHFFFAOYSA-N 0 3 210.346 2.594 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC(C)(C)C[C@H]1C ZINC000309809886 384635311 /nfs/dbraw/zinc/63/53/11/384635311.db2.gz TXVZFRKVDJOIBY-PSASIEDQSA-N 0 3 239.388 2.761 20 0 BFADHN CC(C)C[C@H](N[C@@H]1CO[C@@H](C)C1)c1ccncc1 ZINC000647351099 384636156 /nfs/dbraw/zinc/63/61/56/384636156.db2.gz MSSUCWIWTQTMEV-QEJZJMRPSA-N 0 3 248.370 2.936 20 0 BFADHN Cc1nc(C)c([C@H](C)NCc2cccn2C)s1 ZINC000050596133 384636578 /nfs/dbraw/zinc/63/65/78/384636578.db2.gz ODZPCYWGVAGMGG-VIFPVBQESA-N 0 3 249.383 2.949 20 0 BFADHN Cc1n[nH]cc1CN[C@@H](C)Cc1ccsc1 ZINC000042371711 384640491 /nfs/dbraw/zinc/64/04/91/384640491.db2.gz XMKGJTOADVRWMZ-VIFPVBQESA-N 0 3 235.356 2.500 20 0 BFADHN CCOC(=O)CN(CC(C)C)C(CC)CC ZINC000086453522 384649258 /nfs/dbraw/zinc/64/92/58/384649258.db2.gz CVBXQEWXOKVTKW-UHFFFAOYSA-N 0 3 229.364 2.696 20 0 BFADHN CSCCCCNCc1ccnn1C(C)C ZINC000309836505 384649398 /nfs/dbraw/zinc/64/93/98/384649398.db2.gz BIQFOKMSYREKBY-UHFFFAOYSA-N 0 3 241.404 2.697 20 0 BFADHN Cc1ccc(CN[C@H]2CCC2(C)C)nc1C ZINC000313785684 384649994 /nfs/dbraw/zinc/64/99/94/384649994.db2.gz BRYVRMFAXFKKPB-ZDUSSCGKSA-N 0 3 218.344 2.977 20 0 BFADHN C[C@@H](NCc1ccc(Cl)o1)[C@H]1CCCCO1 ZINC000309832298 384650996 /nfs/dbraw/zinc/65/09/96/384650996.db2.gz YXZFJNPKVFVKBW-MWLCHTKSSA-N 0 3 243.734 2.980 20 0 BFADHN COC[C@H](C)CNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000044478056 384652484 /nfs/dbraw/zinc/65/24/84/384652484.db2.gz PXEAXMBIVYOALV-WZRBSPASSA-N 0 3 237.343 2.775 20 0 BFADHN Fc1ccc(CN[C@@H]2CC23CC3)cc1F ZINC000335285311 384723079 /nfs/dbraw/zinc/72/30/79/384723079.db2.gz VGACMFLIDDZYOT-LLVKDONJSA-N 0 3 209.239 2.607 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CCCCC1(C)C ZINC000309934906 384725400 /nfs/dbraw/zinc/72/54/00/384725400.db2.gz ZNDMLRNAJWTVTG-GFCCVEGCSA-N 0 3 221.348 2.777 20 0 BFADHN Oc1cccc2c1CCC[C@@H]2N[C@@H]1CC12CC2 ZINC000335249082 384677843 /nfs/dbraw/zinc/67/78/43/384677843.db2.gz OBHWAXDPRPNXTC-GXTWGEPZSA-N 0 3 229.323 2.912 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1ccncc1Cl ZINC000335249715 384678044 /nfs/dbraw/zinc/67/80/44/384678044.db2.gz PMHTZJXMWABWID-KWQFWETISA-N 0 3 222.719 2.938 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1ccncc1Cl ZINC000335249714 384679180 /nfs/dbraw/zinc/67/91/80/384679180.db2.gz PMHTZJXMWABWID-KCJUWKMLSA-N 0 3 222.719 2.938 20 0 BFADHN CC[C@@H]1CN(C)CCN1Cc1cccc(C)c1C ZINC000556954868 384687367 /nfs/dbraw/zinc/68/73/67/384687367.db2.gz QDDMCPQJABXUNA-MRXNPFEDSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1c[nH]nc1CNCC1(C)CCCCC1 ZINC000309893686 384693717 /nfs/dbraw/zinc/69/37/17/384693717.db2.gz DUXCRNNXWKXKOL-UHFFFAOYSA-N 0 3 221.348 2.778 20 0 BFADHN CSCCCN(C)Cc1cnc(C)s1 ZINC000173545157 384693855 /nfs/dbraw/zinc/69/38/55/384693855.db2.gz OQGPSRCCUMCFHW-UHFFFAOYSA-N 0 3 230.402 2.636 20 0 BFADHN Cc1cccc2c1ncc(C)c2NC[C@@H](C)O ZINC000185248350 384694181 /nfs/dbraw/zinc/69/41/81/384694181.db2.gz TYMGAEBQJJPMGS-LLVKDONJSA-N 0 3 230.311 2.644 20 0 BFADHN Cc1nnsc1CN[C@H]1CC[C@@H]1C1CCC1 ZINC000309895504 384697506 /nfs/dbraw/zinc/69/75/06/384697506.db2.gz FSQDLDZDDYGTDA-MNOVXSKESA-N 0 3 237.372 2.515 20 0 BFADHN CCn1cc(CN[C@@H](C)Cc2ccsc2)cn1 ZINC000050539682 384697723 /nfs/dbraw/zinc/69/77/23/384697723.db2.gz JSQWHPKJWURRPS-NSHDSACASA-N 0 3 249.383 2.685 20 0 BFADHN Cc1nnsc1CN[C@H]1CC[C@H]1C1CCC1 ZINC000309895508 384698103 /nfs/dbraw/zinc/69/81/03/384698103.db2.gz FSQDLDZDDYGTDA-QWRGUYRKSA-N 0 3 237.372 2.515 20 0 BFADHN CCc1ccc(CN[C@@H]2CSC2(C)C)cn1 ZINC000309900031 384698463 /nfs/dbraw/zinc/69/84/63/384698463.db2.gz HFQQWKFAXBZMFS-GFCCVEGCSA-N 0 3 236.384 2.628 20 0 BFADHN Cc1nnc(CN[C@@H]2CC3CCC2CC3)s1 ZINC000335918566 384699812 /nfs/dbraw/zinc/69/98/12/384699812.db2.gz ZYSQDYZDMXWVBT-VQXHTEKXSA-N 0 3 237.372 2.515 20 0 BFADHN Fc1cc(F)cc(CN[C@@H]2CC[C@@H](F)C2)c1 ZINC000335918647 384700303 /nfs/dbraw/zinc/70/03/03/384700303.db2.gz YKLSLIBJHFXGSJ-BXKDBHETSA-N 0 3 229.245 2.945 20 0 BFADHN CC(C)(CNCc1ncc[nH]1)c1cccs1 ZINC000082707829 384704944 /nfs/dbraw/zinc/70/49/44/384704944.db2.gz UKZHJZPJAGFVSJ-UHFFFAOYSA-N 0 3 235.356 2.539 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1ccc(Cl)cn1 ZINC000335276642 384707078 /nfs/dbraw/zinc/70/70/78/384707078.db2.gz HEGZEDRTPJKYPH-GZMMTYOYSA-N 0 3 222.719 2.938 20 0 BFADHN Fc1cccc2c1CC[C@H]2N[C@H]1CC12CC2 ZINC000335280845 384709863 /nfs/dbraw/zinc/70/98/63/384709863.db2.gz UOQFGTMWRCVSLI-OLZOCXBDSA-N 0 3 217.287 2.955 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1cccc(O)c1 ZINC000335279526 384709938 /nfs/dbraw/zinc/70/99/38/384709938.db2.gz QMBIQGHTBGMATN-CABZTGNLSA-N 0 3 203.285 2.595 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1ccc2c(c1)OCO2 ZINC000335279019 384712409 /nfs/dbraw/zinc/71/24/09/384712409.db2.gz OJAWTUZWRBUVAV-NOZJJQNGSA-N 0 3 231.295 2.618 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@@H](C)C1)c1ccc(F)cc1F ZINC000647190838 384712587 /nfs/dbraw/zinc/71/25/87/384712587.db2.gz RAQQUSOCFMXYON-NGZCFLSTSA-N 0 3 241.281 2.793 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1ccc(F)cc1F ZINC000309905748 384713105 /nfs/dbraw/zinc/71/31/05/384713105.db2.gz KDSXLFZDHUAGEH-RYUDHWBXSA-N 0 3 243.322 2.948 20 0 BFADHN CC(C)[C@H](O)CNCc1ccc(Cl)cc1F ZINC000162982958 384716793 /nfs/dbraw/zinc/71/67/93/384716793.db2.gz QGSBVMSDOGLZCS-GFCCVEGCSA-N 0 3 245.725 2.586 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@@H]2CC)o1 ZINC000449611520 384718226 /nfs/dbraw/zinc/71/82/26/384718226.db2.gz WCFFZXOODVDXGN-GXSJLCMTSA-N 0 3 208.305 2.515 20 0 BFADHN c1ccc(CN(CC2=CCCOC2)C2CC2)cc1 ZINC000125432507 384718310 /nfs/dbraw/zinc/71/83/10/384718310.db2.gz XVFUVRSHTRKPMZ-UHFFFAOYSA-N 0 3 243.350 2.998 20 0 BFADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1ccccn1 ZINC000086934438 384721369 /nfs/dbraw/zinc/72/13/69/384721369.db2.gz ISAWXBIVOISDLV-VWYCJHECSA-N 0 3 222.357 2.626 20 0 BFADHN C[C@H](O)CCCN[C@@H](C)c1cccnc1Cl ZINC000279003464 384721633 /nfs/dbraw/zinc/72/16/33/384721633.db2.gz GDAVEIWKFWAXKR-UWVGGRQHSA-N 0 3 242.750 2.547 20 0 BFADHN Fc1cc(CNC2CC2)ccc1OC(F)F ZINC000126139314 384773779 /nfs/dbraw/zinc/77/37/79/384773779.db2.gz MHXGMDVRFCUVLJ-UHFFFAOYSA-N 0 3 231.217 2.679 20 0 BFADHN Cn1ccnc1CN[C@@H](C1CCC1)C1CCCC1 ZINC000647236014 384776363 /nfs/dbraw/zinc/77/63/63/384776363.db2.gz OETSTRWOOGLPIV-OAHLLOKOSA-N 0 3 247.386 2.869 20 0 BFADHN C[C@H](N[C@@H]1CCn2ccnc21)C1CCCCC1 ZINC000335953912 384736108 /nfs/dbraw/zinc/73/61/08/384736108.db2.gz HRXYSUQIXHNATQ-WCQYABFASA-N 0 3 233.359 2.886 20 0 BFADHN CO[C@H](C)CCNCc1ccc(F)cc1Cl ZINC000309950462 384736515 /nfs/dbraw/zinc/73/65/15/384736515.db2.gz FVTXDGNUCCKTLA-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN CN(Cc1ccnc(Cl)c1)[C@@H]1CCSC1 ZINC000052709268 384743345 /nfs/dbraw/zinc/74/33/45/384743345.db2.gz GYRLFAWUBTXDPT-SNVBAGLBSA-N 0 3 242.775 2.672 20 0 BFADHN CCOc1cccc([C@H](C)N[C@H](C)COC)c1 ZINC000174013237 384744833 /nfs/dbraw/zinc/74/48/33/384744833.db2.gz GGXZFFIDGVMAPV-NEPJUHHUSA-N 0 3 237.343 2.771 20 0 BFADHN C[C@@H](NCCC(C)(F)F)c1ccc(F)cn1 ZINC000294307647 384745011 /nfs/dbraw/zinc/74/50/11/384745011.db2.gz VQMMYSGVQXPGPJ-MRVPVSSYSA-N 0 3 232.249 2.917 20 0 BFADHN C[C@H]1Oc2cc(F)ccc2[C@@H]1NCC1CC1 ZINC000309969530 384747173 /nfs/dbraw/zinc/74/71/73/384747173.db2.gz OSPSSMYYBLWQNG-AMIZOPFISA-N 0 3 221.275 2.647 20 0 BFADHN CC(C)N(Cc1ccccn1)C[C@H]1CC[C@@H](C)O1 ZINC000530549461 384750949 /nfs/dbraw/zinc/75/09/49/384750949.db2.gz BUZPHMLLBSJIHW-UKRRQHHQSA-N 0 3 248.370 2.860 20 0 BFADHN CS[C@H](C)CNCc1cc(F)c(F)cc1F ZINC000125813897 384751718 /nfs/dbraw/zinc/75/17/18/384751718.db2.gz NRXUQUPUNIAEAH-SSDOTTSWSA-N 0 3 249.301 2.945 20 0 BFADHN CO[C@@H](CNCc1csc(C)c1)C(C)C ZINC000294320184 384753969 /nfs/dbraw/zinc/75/39/69/384753969.db2.gz WPYXQYSSBCDUDN-LBPRGKRZSA-N 0 3 227.373 2.817 20 0 BFADHN CC(F)(F)CCNCc1ccccc1F ZINC000294323695 384757495 /nfs/dbraw/zinc/75/74/95/384757495.db2.gz XBCGOLBSLNYQBJ-UHFFFAOYSA-N 0 3 217.234 2.961 20 0 BFADHN C[C@H](NCC1(C)COC1)c1ccc(F)cc1F ZINC000083522900 384780052 /nfs/dbraw/zinc/78/00/52/384780052.db2.gz BSWUAZDNUHIFOZ-VIFPVBQESA-N 0 3 241.281 2.652 20 0 BFADHN Fc1ccc(CNC2CCC(F)(F)CC2)nc1 ZINC000647193221 384759302 /nfs/dbraw/zinc/75/93/02/384759302.db2.gz PZUJZECSYYOHBT-UHFFFAOYSA-N 0 3 244.260 2.888 20 0 BFADHN C[C@@H](NCCc1cscn1)c1ccoc1 ZINC000087290257 384760169 /nfs/dbraw/zinc/76/01/69/384760169.db2.gz DUIBNNUNDHGZFH-SECBINFHSA-N 0 3 222.313 2.629 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@H]2CC=CCC2)n1 ZINC000103344112 384762558 /nfs/dbraw/zinc/76/25/58/384762558.db2.gz WDQMPSHJEWHNRS-LBPRGKRZSA-N 0 3 232.327 2.542 20 0 BFADHN Cc1ccc(CNCc2nc(C)ccc2O)cc1 ZINC000103340787 384763451 /nfs/dbraw/zinc/76/34/51/384763451.db2.gz XOLVRIAEYPCEEP-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN COC[C@@H](N[C@@H](C)c1ccc(F)cc1)C1CC1 ZINC000126014866 384763834 /nfs/dbraw/zinc/76/38/34/384763834.db2.gz ZUHFCECPHCVYBI-IINYFYTJSA-N 0 3 237.318 2.901 20 0 BFADHN Fc1cc(Cl)cc(CNC[C@@H]2CCCO2)c1 ZINC000083372125 384764648 /nfs/dbraw/zinc/76/46/48/384764648.db2.gz KCEQPMOUYPWNKI-LBPRGKRZSA-N 0 3 243.709 2.748 20 0 BFADHN C[C@H](NCCCC(C)(C)CO)c1cncs1 ZINC000163204050 384764898 /nfs/dbraw/zinc/76/48/98/384764898.db2.gz JOFGKRVESCSFLN-JTQLQIEISA-N 0 3 242.388 2.592 20 0 BFADHN COC[C@@H](N[C@@H](C)c1ccccc1OC)C1CC1 ZINC000126017631 384765321 /nfs/dbraw/zinc/76/53/21/384765321.db2.gz OFJBQZBDGVFUCK-SMDDNHRTSA-N 0 3 249.354 2.771 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)[C@H]1C)c1ccncc1F ZINC000336752176 384767542 /nfs/dbraw/zinc/76/75/42/384767542.db2.gz VMXIOJSNBGJVCK-MPXOCVNLSA-N 0 3 222.307 2.916 20 0 BFADHN COC[C@@H](N[C@@H](C)c1cccc(F)c1)C1CC1 ZINC000126061980 384768467 /nfs/dbraw/zinc/76/84/67/384768467.db2.gz ZFACQSRGDYDKME-IINYFYTJSA-N 0 3 237.318 2.901 20 0 BFADHN CC[C@H](N[C@H](C)CC1CC1)c1ccn(C)n1 ZINC000310042865 384815955 /nfs/dbraw/zinc/81/59/55/384815955.db2.gz ZUNVKFNOPSPUBX-PWSUYJOCSA-N 0 3 221.348 2.649 20 0 BFADHN CCOc1cccc(CN2CC[C@@H]3CCC[C@H]32)n1 ZINC000426460829 384822765 /nfs/dbraw/zinc/82/27/65/384822765.db2.gz OLHALBKVZBBGTB-GXTWGEPZSA-N 0 3 246.354 2.855 20 0 BFADHN CC(C)[N@H+](Cc1cc([O-])cc(F)c1)C1CC1 ZINC000186186392 384823563 /nfs/dbraw/zinc/82/35/63/384823563.db2.gz LWHOYMXVTKFIDF-UHFFFAOYSA-N 0 3 223.291 2.904 20 0 BFADHN CC(C)[N@@H+](Cc1cc([O-])cc(F)c1)C1CC1 ZINC000186186392 384823573 /nfs/dbraw/zinc/82/35/73/384823573.db2.gz LWHOYMXVTKFIDF-UHFFFAOYSA-N 0 3 223.291 2.904 20 0 BFADHN CC(C)CCCN(C)[C@H](C)C(=O)NC(C)(C)C ZINC000426475967 384825225 /nfs/dbraw/zinc/82/52/25/384825225.db2.gz OBYZROFTXDBVBV-GFCCVEGCSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1cc(CNC[C@H]2CC[C@@H](C)O2)cc(C)c1O ZINC000398174316 384826238 /nfs/dbraw/zinc/82/62/38/384826238.db2.gz VGARLDHSDDPUHA-TZMCWYRMSA-N 0 3 249.354 2.666 20 0 BFADHN C[C@@H](NCc1ccc(F)cn1)[C@H]1CC1(C)C ZINC000309798650 384837726 /nfs/dbraw/zinc/83/77/26/384837726.db2.gz QTCVFQQQFLSWIH-BXKDBHETSA-N 0 3 222.307 2.745 20 0 BFADHN C[C@H](NCCCF)c1ccc(F)cc1F ZINC000127013150 384829095 /nfs/dbraw/zinc/82/90/95/384829095.db2.gz MPILALRNFUHHPF-QMMMGPOBSA-N 0 3 217.234 2.975 20 0 BFADHN C[C@@H](NCCCF)c1ccc(F)cc1F ZINC000127013376 384829610 /nfs/dbraw/zinc/82/96/10/384829610.db2.gz MPILALRNFUHHPF-MRVPVSSYSA-N 0 3 217.234 2.975 20 0 BFADHN Cc1sccc1CNC[C@H]1CC[C@@H](C)O1 ZINC000398178830 384830768 /nfs/dbraw/zinc/83/07/68/384830768.db2.gz RTNAIKNJDYFRQK-BXKDBHETSA-N 0 3 225.357 2.714 20 0 BFADHN Cc1ccc(C)c(CN[C@@H]2CO[C@H](C)C2)c1 ZINC000335664330 384831398 /nfs/dbraw/zinc/83/13/98/384831398.db2.gz RBAMBBZUSGNPHX-OCCSQVGLSA-N 0 3 219.328 2.570 20 0 BFADHN CCN(C)Cc1cn(-c2ccccc2)nc1C ZINC000126256536 384784243 /nfs/dbraw/zinc/78/42/43/384784243.db2.gz XBOZMOBYSUTGEK-UHFFFAOYSA-N 0 3 229.327 2.632 20 0 BFADHN CCN(C)C/C=C/c1ccccc1OC ZINC000126288987 384788587 /nfs/dbraw/zinc/78/85/87/384788587.db2.gz HJUUPZZHRBTJKB-VQHVLOKHSA-N 0 3 205.301 2.660 20 0 BFADHN CSCCCN1CC(C)(C)[C@H]1[C@H]1CCCO1 ZINC000368069267 384791129 /nfs/dbraw/zinc/79/11/29/384791129.db2.gz FLTCLDUIICDUEE-VXGBXAGGSA-N 0 3 243.416 2.629 20 0 BFADHN CCN(CCO)Cc1ccc(CC(C)C)cc1 ZINC000101544356 384791239 /nfs/dbraw/zinc/79/12/39/384791239.db2.gz ZPZZDKPPYZNLNE-UHFFFAOYSA-N 0 3 235.371 2.699 20 0 BFADHN CCc1ccc(CN[C@@H](CC)CSC)cn1 ZINC000310013948 384792186 /nfs/dbraw/zinc/79/21/86/384792186.db2.gz JZTQPDBVQNRAGC-ZDUSSCGKSA-N 0 3 238.400 2.875 20 0 BFADHN Cc1ccoc1CNCCc1ccsc1 ZINC000126647544 384795871 /nfs/dbraw/zinc/79/58/71/384795871.db2.gz OPAXIIQCUVUPAV-UHFFFAOYSA-N 0 3 221.325 2.982 20 0 BFADHN CO[C@]1(C)C[C@@H](N(C)Cc2cccnc2)C1(C)C ZINC000174477845 384796148 /nfs/dbraw/zinc/79/61/48/384796148.db2.gz GUACYBOOHPQWFL-UKRRQHHQSA-N 0 3 248.370 2.717 20 0 BFADHN Fc1ccc(/C=C/CN2CCCC2)cc1 ZINC000126455482 384798963 /nfs/dbraw/zinc/79/89/63/384798963.db2.gz CFODJYHEVSOEJG-ONEGZZNKSA-N 0 3 205.276 2.935 20 0 BFADHN C[C@H](CF)N[C@H]1CS[C@@H](C(C)(C)C)C1 ZINC000308564291 384799394 /nfs/dbraw/zinc/79/93/94/384799394.db2.gz GZBPWVABYHPZHS-OPRDCNLKSA-N 0 3 219.369 2.854 20 0 BFADHN CC/C=C\CCN[C@H](COC)c1ccco1 ZINC000310030028 384800211 /nfs/dbraw/zinc/80/02/11/384800211.db2.gz QURLZSKFRIPNHX-QMAVJUDZSA-N 0 3 223.316 2.913 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@H]1O)c1ccc(Cl)s1 ZINC000306666791 384801529 /nfs/dbraw/zinc/80/15/29/384801529.db2.gz AFARMRLBTFBRAM-BWZBUEFSSA-N 0 3 231.748 2.575 20 0 BFADHN CCC[C@@H]1CN([C@H](C)CC(C)C)CCO1 ZINC000557082383 384803176 /nfs/dbraw/zinc/80/31/76/384803176.db2.gz BDVLZIBFBBMFLR-CHWSQXEVSA-N 0 3 213.365 2.922 20 0 BFADHN CCC1CC(N[C@H](COC)c2ccco2)C1 ZINC000310038614 384805755 /nfs/dbraw/zinc/80/57/55/384805755.db2.gz WGOPFQIWBDTVMP-HTAVTVPLSA-N 0 3 223.316 2.745 20 0 BFADHN Fc1ccc(CNC2CC(F)(F)C2)cc1 ZINC000277627726 384805942 /nfs/dbraw/zinc/80/59/42/384805942.db2.gz KNMPQCSDEKXULN-UHFFFAOYSA-N 0 3 215.218 2.713 20 0 BFADHN CN(Cc1ccc(Cl)s1)CC(C)(C)O ZINC000042489167 384807541 /nfs/dbraw/zinc/80/75/41/384807541.db2.gz NUYOSOZMBWYYKO-UHFFFAOYSA-N 0 3 233.764 2.604 20 0 BFADHN C[C@H](NC[C@H]1CC1(C)C)c1cc2n(n1)CCCC2 ZINC000647293024 384870660 /nfs/dbraw/zinc/87/06/60/384870660.db2.gz QAYQVRATLHSTAT-NWDGAFQWSA-N 0 3 247.386 2.916 20 0 BFADHN Cc1ccc(CCN2CCC[C@H]2CF)cc1 ZINC000339664599 384877225 /nfs/dbraw/zinc/87/72/25/384877225.db2.gz LXRTVGYVBMSSDG-AWEZNQCLSA-N 0 3 221.319 2.971 20 0 BFADHN COCc1cnc(CN[C@@H]2CC[C@@H](C)C2)s1 ZINC000309864909 384879260 /nfs/dbraw/zinc/87/92/60/384879260.db2.gz OBDABSVBHRFRKE-NXEZZACHSA-N 0 3 240.372 2.568 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCCC[C@H]2O)o1 ZINC000186791820 384882483 /nfs/dbraw/zinc/88/24/83/384882483.db2.gz OYBLGNNMXAHINS-IJLUTSLNSA-N 0 3 223.316 2.542 20 0 BFADHN CSCCCCN[C@@H](C)c1cc(C)n(C)n1 ZINC000309885141 384883085 /nfs/dbraw/zinc/88/30/85/384883085.db2.gz XSYLDUVSGFLOFZ-NSHDSACASA-N 0 3 241.404 2.522 20 0 BFADHN CC[C@@H](C)NCc1cn2cc(Cl)ccc2n1 ZINC000105851635 384883377 /nfs/dbraw/zinc/88/33/77/384883377.db2.gz IDEIQEHNEAWTFC-SECBINFHSA-N 0 3 237.734 2.876 20 0 BFADHN CSC(C)(C)CN[C@H]1C[C@H](C)n2ccnc21 ZINC000294129643 384884063 /nfs/dbraw/zinc/88/40/63/384884063.db2.gz OMPLXNSTNCBRQP-UWVGGRQHSA-N 0 3 239.388 2.620 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1ccsc1Cl ZINC000336671897 384838727 /nfs/dbraw/zinc/83/87/27/384838727.db2.gz ZIBADJDOMVERCE-SFYZADRCSA-N 0 3 233.764 2.508 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1ccc(Cl)nc1 ZINC000126867344 384839174 /nfs/dbraw/zinc/83/91/74/384839174.db2.gz BMZJMYDPVSZZAZ-ONGXEEELSA-N 0 3 242.750 2.811 20 0 BFADHN Clc1ccsc1CNC[C@H]1CCCO1 ZINC000087721106 384839528 /nfs/dbraw/zinc/83/95/28/384839528.db2.gz SUWYSIPNLFTQHT-MRVPVSSYSA-N 0 3 231.748 2.670 20 0 BFADHN C[C@H](NCCCn1cccn1)c1ccccc1F ZINC000126967191 384848206 /nfs/dbraw/zinc/84/82/06/384848206.db2.gz RWMGBHNPPRQCGV-LBPRGKRZSA-N 0 3 247.317 2.763 20 0 BFADHN Cc1noc(C)c1CN1CCC[C@H](C)[C@H]1C ZINC000247137654 384851973 /nfs/dbraw/zinc/85/19/73/384851973.db2.gz ZPEJWKWWQBRPKK-GXSJLCMTSA-N 0 3 222.332 2.912 20 0 BFADHN CC[C@H](COC)N[C@@H](CC)c1ccncc1 ZINC000163791367 384859904 /nfs/dbraw/zinc/85/99/04/384859904.db2.gz XUGQBNUFBNLUFO-OLZOCXBDSA-N 0 3 222.332 2.547 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCc3ccc(F)cc32)CO1 ZINC000647198492 384859895 /nfs/dbraw/zinc/85/98/95/384859895.db2.gz IFHOMFIHRALXKQ-YVLXSGLVSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2C=C[C@H](CO)C2)s1 ZINC000127388477 384889183 /nfs/dbraw/zinc/88/91/83/384889183.db2.gz XJQDLLKUCGTMFM-GRYCIOLGSA-N 0 3 237.368 2.644 20 0 BFADHN FC(F)[C@H]1C[C@@H]1NC/C=C/c1ccccc1 ZINC000382219543 384863071 /nfs/dbraw/zinc/86/30/71/384863071.db2.gz MPXKZOCZPVRSKP-XTPCBIOYSA-N 0 3 223.266 2.943 20 0 BFADHN CC(C)(C)[C@H](O)CNCc1sccc1Cl ZINC000278295411 384864848 /nfs/dbraw/zinc/86/48/48/384864848.db2.gz BSYOYNOXYCQAEC-SNVBAGLBSA-N 0 3 247.791 2.898 20 0 BFADHN COC[C@H](C)N[C@H]1CCc2ccc(Cl)cc21 ZINC000127146196 384868020 /nfs/dbraw/zinc/86/80/20/384868020.db2.gz ZODBLIUESUXYBH-ZANVPECISA-N 0 3 239.746 2.952 20 0 BFADHN c1nc(CNC23CCC(CC2)C3)cs1 ZINC000306295935 384868376 /nfs/dbraw/zinc/86/83/76/384868376.db2.gz CKIJMZSZXOZCQU-UHFFFAOYSA-N 0 3 208.330 2.565 20 0 BFADHN CC(C)c1ncc(CNC(C)(C)[C@H](C)O)s1 ZINC000127131505 384869212 /nfs/dbraw/zinc/86/92/12/384869212.db2.gz VDZZAYJDGGCFMB-VIFPVBQESA-N 0 3 242.388 2.516 20 0 BFADHN COc1ncncc1CN[C@@H]1CCCC(C)(C)C1 ZINC000647204673 384956586 /nfs/dbraw/zinc/95/65/86/384956586.db2.gz DAPRJZWCQLYSHS-GFCCVEGCSA-N 0 3 249.358 2.544 20 0 BFADHN CN(CCCCO)Cc1ccc(F)c(Cl)c1 ZINC000259014620 384957026 /nfs/dbraw/zinc/95/70/26/384957026.db2.gz NMLWGHSEMJPICA-UHFFFAOYSA-N 0 3 245.725 2.683 20 0 BFADHN CC[C@@H](CO)N[C@@H](CC)c1ccccc1F ZINC000163988218 384894352 /nfs/dbraw/zinc/89/43/52/384894352.db2.gz HMMDMPBTHQYAGX-GWCFXTLKSA-N 0 3 225.307 2.637 20 0 BFADHN COC[C@H](N[C@H]1CCCc2occc21)C(C)C ZINC000148533162 384894547 /nfs/dbraw/zinc/89/45/47/384894547.db2.gz UQSQUMSENMVZID-STQMWFEESA-N 0 3 237.343 2.918 20 0 BFADHN CC[C@H](F)CN1CCSCC[C@@H]1C ZINC000306367535 384898005 /nfs/dbraw/zinc/89/80/05/384898005.db2.gz HFYSFTRCVYPZHE-UWVGGRQHSA-N 0 3 205.342 2.562 20 0 BFADHN CC(C)C[C@@H](N[C@H]1CCOC1)c1ccncc1 ZINC000647294975 384907279 /nfs/dbraw/zinc/90/72/79/384907279.db2.gz VDFNPYFEHCJOIP-UONOGXRCSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)C[C@@H](N[C@@H]1CCOC1)c1ccncc1 ZINC000647294976 384908561 /nfs/dbraw/zinc/90/85/61/384908561.db2.gz VDFNPYFEHCJOIP-ZIAGYGMSSA-N 0 3 234.343 2.547 20 0 BFADHN COCc1ccc(CN[C@H]2CCC[C@H]2C)o1 ZINC000127523108 384910803 /nfs/dbraw/zinc/91/08/03/384910803.db2.gz CFBSARKGNBUZSS-MFKMUULPSA-N 0 3 223.316 2.704 20 0 BFADHN Cc1csc([C@@H](C)NCC[C@H](C)F)n1 ZINC000308684071 384913561 /nfs/dbraw/zinc/91/35/61/384913561.db2.gz YQDGCHYRLAGKMB-IONNQARKSA-N 0 3 216.325 2.850 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H](C)c1ccc(C)o1 ZINC000251205043 384913999 /nfs/dbraw/zinc/91/39/99/384913999.db2.gz ABGYZBRTARXYKL-NQBHXWOUSA-N 0 3 223.316 2.806 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ccc(CO)o2)C[C@@H]1C ZINC000085039830 384916114 /nfs/dbraw/zinc/91/61/14/384916114.db2.gz LDQUTMDDUMVZBV-WOPDTQHZSA-N 0 3 237.343 2.686 20 0 BFADHN Cc1ccc(CN[C@H](C)Cn2cccn2)cc1F ZINC000106410119 384916657 /nfs/dbraw/zinc/91/66/57/384916657.db2.gz BLZCSAXJIWMRHM-GFCCVEGCSA-N 0 3 247.317 2.509 20 0 BFADHN Cc1cc(C)cc(NC(=O)[C@@H](C(C)C)N(C)C)c1 ZINC000106408065 384918048 /nfs/dbraw/zinc/91/80/48/384918048.db2.gz ZMXCVQPQCKHAMP-CQSZACIVSA-N 0 3 248.370 2.828 20 0 BFADHN CCc1ccc(NC(=O)[C@@H](C(C)C)N(C)C)cc1 ZINC000106408080 384918222 /nfs/dbraw/zinc/91/82/22/384918222.db2.gz OUTXOOMUQFBEPP-CQSZACIVSA-N 0 3 248.370 2.774 20 0 BFADHN CS[C@H](C)CNCc1ccc(F)c(F)c1 ZINC000127986943 384919335 /nfs/dbraw/zinc/91/93/35/384919335.db2.gz AFDKMRRDPHEFIA-MRVPVSSYSA-N 0 3 231.311 2.806 20 0 BFADHN C[C@H]1c2ccccc2CCN1C[C@@H]1CCCO1 ZINC000106862471 384935212 /nfs/dbraw/zinc/93/52/12/384935212.db2.gz BMYAPHDSIWPDCV-JSGCOSHPSA-N 0 3 231.339 2.785 20 0 BFADHN Cc1nc(CNC2CCSCC2)sc1C ZINC000128189748 384935871 /nfs/dbraw/zinc/93/58/71/384935871.db2.gz QFXXCHJEZBKTMR-UHFFFAOYSA-N 0 3 242.413 2.745 20 0 BFADHN CCC[C@@H](NCCOC)c1ccc(OC)cc1 ZINC000152100143 384941006 /nfs/dbraw/zinc/94/10/06/384941006.db2.gz VYKOHKWYZQQPAT-CQSZACIVSA-N 0 3 237.343 2.772 20 0 BFADHN Cc1ccnc([C@@H](C)NCCC[C@@H]2CCOC2)c1 ZINC000449687333 384941497 /nfs/dbraw/zinc/94/14/97/384941497.db2.gz BUXGUUHNNDRUPW-ZIAGYGMSSA-N 0 3 248.370 2.857 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccc(C)nc2)C1(C)C ZINC000085398469 384943090 /nfs/dbraw/zinc/94/30/90/384943090.db2.gz GDCAIKLZFBFPMH-KGLIPLIRSA-N 0 3 248.370 2.683 20 0 BFADHN CCCCN(CC)Cc1cc(OC)ccn1 ZINC000106933361 384945250 /nfs/dbraw/zinc/94/52/50/384945250.db2.gz BXGXBSKXIODVIH-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cncs1 ZINC000187579271 384960519 /nfs/dbraw/zinc/96/05/19/384960519.db2.gz UHRQCIXABGJYOO-KOLCDFICSA-N 0 3 210.346 2.764 20 0 BFADHN CC(C)(CCO)CNCc1ccsc1Cl ZINC000336759687 384946168 /nfs/dbraw/zinc/94/61/68/384946168.db2.gz LKSYSZLQGYUBKW-UHFFFAOYSA-N 0 3 247.791 2.900 20 0 BFADHN COC[C@@H](N[C@@H](C)c1ccoc1)C(C)C ZINC000087290395 384947248 /nfs/dbraw/zinc/94/72/48/384947248.db2.gz UULCFVBJUKYVIP-CMPLNLGQSA-N 0 3 211.305 2.601 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCOCC1)c1cscn1 ZINC000127895026 384960466 /nfs/dbraw/zinc/96/04/66/384960466.db2.gz GZSVPDVSKGHYFX-VHSXEESVSA-N 0 3 240.372 2.609 20 0 BFADHN FCCCNCc1ccc(F)c(Cl)c1 ZINC000088024885 384966769 /nfs/dbraw/zinc/96/67/69/384966769.db2.gz YATFGHHYCANBGD-UHFFFAOYSA-N 0 3 219.662 2.928 20 0 BFADHN C[C@H](O)CCCN[C@@H](C)c1ccc(Cl)nc1 ZINC000279142957 384969754 /nfs/dbraw/zinc/96/97/54/384969754.db2.gz SBOYIPVHGDSAMT-UWVGGRQHSA-N 0 3 242.750 2.547 20 0 BFADHN CO[C@@H](CNCc1ccns1)c1ccccc1 ZINC000404309956 384970812 /nfs/dbraw/zinc/97/08/12/384970812.db2.gz JYHOPGRYIWLFPK-ZDUSSCGKSA-N 0 3 248.351 2.620 20 0 BFADHN C[C@@H](O)CCCN[C@H](C)c1ccc(Cl)nc1 ZINC000279142956 384971023 /nfs/dbraw/zinc/97/10/23/384971023.db2.gz SBOYIPVHGDSAMT-NXEZZACHSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@@H](NC[C@H]1CCCC1(C)C)c1ncc[nH]1 ZINC000340519600 384978478 /nfs/dbraw/zinc/97/84/78/384978478.db2.gz NDKSSJUEVHXMND-GHMZBOCLSA-N 0 3 221.348 2.887 20 0 BFADHN Cn1ccnc1[C@H](NC[C@@H]1CC=CCC1)C1CC1 ZINC000187762075 384980782 /nfs/dbraw/zinc/98/07/82/384980782.db2.gz FVIJTQULQXNPQO-TZMCWYRMSA-N 0 3 245.370 2.817 20 0 BFADHN c1cc(CNCCC2=CCCC2)sn1 ZINC000404419349 384981742 /nfs/dbraw/zinc/98/17/42/384981742.db2.gz QTOXCQKEQGKEAX-UHFFFAOYSA-N 0 3 208.330 2.733 20 0 BFADHN CCSc1cccc(CN(C)C[C@H](C)O)c1 ZINC000187822963 384982196 /nfs/dbraw/zinc/98/21/96/384982196.db2.gz PENLQHSHOKXYCI-NSHDSACASA-N 0 3 239.384 2.611 20 0 BFADHN Cc1ccc(CNC[C@@]2(C)CCCS2)nc1 ZINC000087782632 384989266 /nfs/dbraw/zinc/98/92/66/384989266.db2.gz AJBARGZUPRFODA-CYBMUJFWSA-N 0 3 236.384 2.765 20 0 BFADHN CN(Cc1ccccc1F)C[C@@H]1CCCOC1 ZINC000107689458 384994251 /nfs/dbraw/zinc/99/42/51/384994251.db2.gz YWEWBEGTRZKAAU-LBPRGKRZSA-N 0 3 237.318 2.684 20 0 BFADHN C[C@H](CNCc1ccns1)CC(F)(F)F ZINC000404449673 384999448 /nfs/dbraw/zinc/99/94/48/384999448.db2.gz FTSAWOUGXZJEGB-ZETCQYMHSA-N 0 3 238.278 2.821 20 0 BFADHN Cc1cc(CNCCCC(C)(F)F)sn1 ZINC000404453445 384999585 /nfs/dbraw/zinc/99/95/85/384999585.db2.gz NXPTXSRNVSYIAE-UHFFFAOYSA-N 0 3 234.315 2.977 20 0 BFADHN CC1(C)C(CNCc2cscn2)C1(C)C ZINC000086239418 385001538 /nfs/dbraw/zinc/00/15/38/385001538.db2.gz GVOYZBGAWZTLOM-UHFFFAOYSA-N 0 3 224.373 2.915 20 0 BFADHN CCCn1cc(CNC2(C(C)C)CC2)cn1 ZINC000339502052 385001985 /nfs/dbraw/zinc/00/19/85/385001985.db2.gz PFNLTGRKRVJYIA-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1nn(C(C)C)cc1CNCC[C@@H](C)F ZINC000339487399 385002961 /nfs/dbraw/zinc/00/29/61/385002961.db2.gz VGPCCQXJJPVVMQ-SNVBAGLBSA-N 0 3 227.327 2.610 20 0 BFADHN C[C@@H](NCCc1ccc(O)cc1)c1nccs1 ZINC000086510220 385023532 /nfs/dbraw/zinc/02/35/32/385023532.db2.gz XFVZQEKIVHBNOI-SNVBAGLBSA-N 0 3 248.351 2.742 20 0 BFADHN C[C@@]1(NCc2ccns2)CC=CCC1 ZINC000404456292 385003339 /nfs/dbraw/zinc/00/33/39/385003339.db2.gz VZUSBCAAMSIAKF-LLVKDONJSA-N 0 3 208.330 2.732 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1cc(F)ccc1F ZINC000128146065 385003579 /nfs/dbraw/zinc/00/35/79/385003579.db2.gz YOBDXGQNIAZQAA-ONGXEEELSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1cnc(CN2[C@@H](C)CCC2(C)C)o1 ZINC000336304673 385005435 /nfs/dbraw/zinc/00/54/35/385005435.db2.gz SJCBDQXFBYFLGK-VIFPVBQESA-N 0 3 208.305 2.746 20 0 BFADHN Clc1csc(CN[C@H]2CCCOC2)c1 ZINC000086332831 385010784 /nfs/dbraw/zinc/01/07/84/385010784.db2.gz QSCMCNIVKURXKC-VIFPVBQESA-N 0 3 231.748 2.670 20 0 BFADHN CC(C)C1(NCc2cn3ccsc3n2)CC1 ZINC000339516236 385011824 /nfs/dbraw/zinc/01/18/24/385011824.db2.gz NIWUVZHVUDIREH-UHFFFAOYSA-N 0 3 235.356 2.674 20 0 BFADHN C[C@]1(CNCc2ccns2)CCCS1 ZINC000404483594 385016375 /nfs/dbraw/zinc/01/63/75/385016375.db2.gz HTVVJZVHBHTPKZ-SNVBAGLBSA-N 0 3 228.386 2.518 20 0 BFADHN CO[C@@H](C)CN[C@@H]1CCCOc2cc(C)ccc21 ZINC000188135337 385017734 /nfs/dbraw/zinc/01/77/34/385017734.db2.gz RPWXCYFWINQVPX-GXTWGEPZSA-N 0 3 249.354 2.833 20 0 BFADHN Cc1csc(CNC[C@H]2CCC(F)(F)C2)n1 ZINC000300907306 385049052 /nfs/dbraw/zinc/04/90/52/385049052.db2.gz XGCLFTWOZCDXOQ-VIFPVBQESA-N 0 3 246.326 2.977 20 0 BFADHN Clc1cnccc1NCCN1CCCCC1 ZINC000086831223 385050412 /nfs/dbraw/zinc/05/04/12/385050412.db2.gz YNMOTUYGMWJVNP-UHFFFAOYSA-N 0 3 239.750 2.633 20 0 BFADHN C/C=C(\C)C(=O)Nc1ccc(C)cc1CN(C)C ZINC000176286686 385054961 /nfs/dbraw/zinc/05/49/61/385054961.db2.gz KSGYZPZCWUGAFW-WUXMJOGZSA-N 0 3 246.354 2.961 20 0 BFADHN CCN(C)C(=O)[C@H](C)N[C@H](C)c1cccc(C)c1 ZINC000188498212 385058918 /nfs/dbraw/zinc/05/89/18/385058918.db2.gz YAWFKUVMAOVOPV-OLZOCXBDSA-N 0 3 248.370 2.512 20 0 BFADHN CC[C@H]1COCCN1CC1CCCCC1 ZINC000115577518 385062142 /nfs/dbraw/zinc/06/21/42/385062142.db2.gz DFGLFVZAVDHUCM-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN Cc1ccoc1CNC[C@]1(C)CCCS1 ZINC000130921240 385063978 /nfs/dbraw/zinc/06/39/78/385063978.db2.gz RPKBUHDWZQOATH-LBPRGKRZSA-N 0 3 225.357 2.963 20 0 BFADHN Fc1ccc2c(c1)OCCC[C@H]2NC1CC1 ZINC000087016173 385065677 /nfs/dbraw/zinc/06/56/77/385065677.db2.gz QESNFIICRRYVMC-GFCCVEGCSA-N 0 3 221.275 2.791 20 0 BFADHN C[C@H](F)CCN[C@@H](C)c1cncs1 ZINC000340469695 385066198 /nfs/dbraw/zinc/06/61/98/385066198.db2.gz YFRPTPYSWKMLEV-YUMQZZPRSA-N 0 3 202.298 2.542 20 0 BFADHN C[C@H](NCC1(O)CCCCC1)c1cccc(O)c1 ZINC000087017800 385067861 /nfs/dbraw/zinc/06/78/61/385067861.db2.gz HYISHKUURIRJCF-LBPRGKRZSA-N 0 3 249.354 2.738 20 0 BFADHN CN(Cc1ccco1)C[C@@H](O)CC1CCCC1 ZINC000188637352 385069415 /nfs/dbraw/zinc/06/94/15/385069415.db2.gz DRRHVUVCQKDFBU-ZDUSSCGKSA-N 0 3 237.343 2.653 20 0 BFADHN CC[C@@H](CO)N[C@@H](CC)c1cc(F)ccc1F ZINC000188676996 385078105 /nfs/dbraw/zinc/07/81/05/385078105.db2.gz PVEVVOBTHORTBL-GWCFXTLKSA-N 0 3 243.297 2.776 20 0 BFADHN CCc1ccc(CN[C@@H](C)CSC)o1 ZINC000131262844 385080095 /nfs/dbraw/zinc/08/00/95/385080095.db2.gz MGWFANJNRJMTGO-VIFPVBQESA-N 0 3 213.346 2.683 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCC[C@H](C)C1 ZINC000037252915 385026448 /nfs/dbraw/zinc/02/64/48/385026448.db2.gz VHYHWVBPWAIUJC-JOYOIKCWSA-N 0 3 222.332 2.960 20 0 BFADHN CCC1(CN[C@@H](C)c2nccnc2C)CC1 ZINC000188226069 385028221 /nfs/dbraw/zinc/02/82/21/385028221.db2.gz GDOQCDWDOVXHGJ-NSHDSACASA-N 0 3 219.332 2.626 20 0 BFADHN c1coc(CN2CCC([C@@H]3CCCO3)CC2)c1 ZINC000188271917 385031376 /nfs/dbraw/zinc/03/13/76/385031376.db2.gz QUYBYBNCCDFDLV-AWEZNQCLSA-N 0 3 235.327 2.671 20 0 BFADHN CC[C@@H](C)C[C@@H](CO)NCc1ccccc1F ZINC000647243384 385033770 /nfs/dbraw/zinc/03/37/70/385033770.db2.gz JCNHQACSWVKTKX-YPMHNXCESA-N 0 3 239.334 2.712 20 0 BFADHN CC[C@H](CSC)N[C@H](C)c1nccnc1C ZINC000188303400 385034932 /nfs/dbraw/zinc/03/49/32/385034932.db2.gz IKCNDFQFGRHPBZ-GHMZBOCLSA-N 0 3 239.388 2.577 20 0 BFADHN C[C@@H]1CCCCN1Cc1cccc(F)c1N ZINC000156332043 385036314 /nfs/dbraw/zinc/03/63/14/385036314.db2.gz ZJKWWHJLIXCOLS-SNVBAGLBSA-N 0 3 222.307 2.782 20 0 BFADHN C[C@H](N[C@H]1CCC[C@H]1C)c1nnc2ccccn21 ZINC000086672857 385036892 /nfs/dbraw/zinc/03/68/92/385036892.db2.gz QDTDBVCTPQYCPS-WOPDTQHZSA-N 0 3 244.342 2.569 20 0 BFADHN COC[C@@H](NCc1cc(F)ccc1F)C(C)C ZINC000086695668 385039734 /nfs/dbraw/zinc/03/97/34/385039734.db2.gz HGOUJSLHOWFBOB-CYBMUJFWSA-N 0 3 243.297 2.725 20 0 BFADHN COC[C@H](NCc1cc(F)ccc1F)C(C)C ZINC000086695671 385040621 /nfs/dbraw/zinc/04/06/21/385040621.db2.gz HGOUJSLHOWFBOB-ZDUSSCGKSA-N 0 3 243.297 2.725 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1ccncc1F ZINC000340265332 385043018 /nfs/dbraw/zinc/04/30/18/385043018.db2.gz PULGNSVFHLVOMK-KPXOXKRLSA-N 0 3 240.347 2.765 20 0 BFADHN Cc1cc(CN2CCC3(CCC3)CC2)ncn1 ZINC000644692426 385109923 /nfs/dbraw/zinc/10/99/23/385109923.db2.gz BTXSRPORIHGXIR-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN FC(F)Oc1cccc(CN[C@@H]2CC23CC3)c1 ZINC000424182376 385109788 /nfs/dbraw/zinc/10/97/88/385109788.db2.gz GXLLDDWPOAXKGX-LLVKDONJSA-N 0 3 239.265 2.930 20 0 BFADHN FC(F)Oc1ccccc1CN[C@H]1CC12CC2 ZINC000424182817 385112403 /nfs/dbraw/zinc/11/24/03/385112403.db2.gz HTXCWWYGPMELQA-NSHDSACASA-N 0 3 239.265 2.930 20 0 BFADHN Cc1nccn1CCN[C@@H](C)c1ccsc1 ZINC000061362654 385113348 /nfs/dbraw/zinc/11/33/48/385113348.db2.gz RLPSRKCTUKJANB-JTQLQIEISA-N 0 3 235.356 2.604 20 0 BFADHN CCc1cnc(CN2C[C@H](C)CC2(C)C)o1 ZINC000530866013 385114357 /nfs/dbraw/zinc/11/43/57/385114357.db2.gz MVYNYIOAFTWTEX-SNVBAGLBSA-N 0 3 222.332 2.857 20 0 BFADHN CCc1cnc(CN2C[C@@H](C)CC2(C)C)o1 ZINC000530866012 385114610 /nfs/dbraw/zinc/11/46/10/385114610.db2.gz MVYNYIOAFTWTEX-JTQLQIEISA-N 0 3 222.332 2.857 20 0 BFADHN Cc1nocc1CN1CCC(C)(C)CC1 ZINC000336335691 385116025 /nfs/dbraw/zinc/11/60/25/385116025.db2.gz VIVYHIPXFOIWAC-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1ccc(OCCNCc2ccco2)cc1 ZINC000032016049 385120188 /nfs/dbraw/zinc/12/01/88/385120188.db2.gz YXEAKALNWDNXKK-UHFFFAOYSA-N 0 3 231.295 2.757 20 0 BFADHN C[C@H](N[C@H]1CCCSC1)c1cccc(O)c1 ZINC000061588047 385121792 /nfs/dbraw/zinc/12/17/92/385121792.db2.gz BVNDURBIINXTQC-JQWIXIFHSA-N 0 3 237.368 2.938 20 0 BFADHN COc1cc(C)ccc1CN1CCCOC[C@@H]1C ZINC000648375236 385121922 /nfs/dbraw/zinc/12/19/22/385121922.db2.gz YCQPTYYIXNMTRR-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN COc1cc(C)ccc1CN1CCCOC[C@H]1C ZINC000648375235 385122008 /nfs/dbraw/zinc/12/20/08/385122008.db2.gz YCQPTYYIXNMTRR-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@H](N[C@H]1CCCSC1)c1ccccn1 ZINC000061571662 385122105 /nfs/dbraw/zinc/12/21/05/385122105.db2.gz QYXBASMCATYPGK-QWRGUYRKSA-N 0 3 222.357 2.628 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000189101670 385122681 /nfs/dbraw/zinc/12/26/81/385122681.db2.gz LIWMCNIPQLCBLH-KQXIARHKSA-N 0 3 237.343 2.916 20 0 BFADHN Cc1cnn(CCNCc2cccc(C)c2C)c1 ZINC000109075544 385134600 /nfs/dbraw/zinc/13/46/00/385134600.db2.gz ARQIPCBTMRCYIU-UHFFFAOYSA-N 0 3 243.354 2.598 20 0 BFADHN COC[C@H](CC(C)C)N[C@@H]1CCC[C@@H]1F ZINC000340522356 385086865 /nfs/dbraw/zinc/08/68/65/385086865.db2.gz HZWIKZTUOWGZKK-SDDRHHMPSA-N 0 3 217.328 2.528 20 0 BFADHN CC(C)C[C@H](N[C@H](C)CCO)c1ccccn1 ZINC000151917430 385140569 /nfs/dbraw/zinc/14/05/69/385140569.db2.gz UWMGKQGRDUXFKZ-OCCSQVGLSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1cncc(CN2CCC[C@H](C)C2)c1 ZINC000189768211 385184814 /nfs/dbraw/zinc/18/48/14/385184814.db2.gz WLAVIZMLKWYZBQ-NSHDSACASA-N 0 3 204.317 2.622 20 0 BFADHN C[C@@H](N[C@@H](C)[C@@H]1CCCO1)c1cccc(O)c1 ZINC000020207165 385186163 /nfs/dbraw/zinc/18/61/63/385186163.db2.gz LHNQVCQGXFVRHU-SUNKGSAMSA-N 0 3 235.327 2.610 20 0 BFADHN Cc1cnc(CNCCc2ccsc2)s1 ZINC000294766785 385188544 /nfs/dbraw/zinc/18/85/44/385188544.db2.gz MHSJLYQWWMNMDX-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN C[C@H](N[C@H]1CCCc2c[nH]nc21)[C@@H]1CC1(C)C ZINC000487304497 385190213 /nfs/dbraw/zinc/19/02/13/385190213.db2.gz UUFYFWKWFOFTGX-DLOVCJGASA-N 0 3 233.359 2.811 20 0 BFADHN CCc1ccc(CNCCCC(C)(C)CO)o1 ZINC000305044808 385190348 /nfs/dbraw/zinc/19/03/48/385190348.db2.gz ANZRHSPIMMLWDX-UHFFFAOYSA-N 0 3 239.359 2.730 20 0 BFADHN COC[C@H](NCC[C@@H]1CCCO1)c1ccccc1 ZINC000189841051 385190404 /nfs/dbraw/zinc/19/04/04/385190404.db2.gz QVDDMJGSGPQUKW-GJZGRUSLSA-N 0 3 249.354 2.533 20 0 BFADHN C[C@@](O)(CCNCc1ccco1)c1ccccc1 ZINC000189847329 385190546 /nfs/dbraw/zinc/19/05/46/385190546.db2.gz WJZQGINZSNEAQF-OAHLLOKOSA-N 0 3 245.322 2.667 20 0 BFADHN C[C@@H](O)C[C@H]1CCCN1Cc1ccccc1F ZINC000152539657 385191896 /nfs/dbraw/zinc/19/18/96/385191896.db2.gz SVPMFWPTUDQHLY-DGCLKSJQSA-N 0 3 237.318 2.561 20 0 BFADHN CCOC[C@@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC000177172644 385195578 /nfs/dbraw/zinc/19/55/78/385195578.db2.gz AEGWERYXRWUQDC-UONOGXRCSA-N 0 3 248.370 2.891 20 0 BFADHN Cc1ccc(C)c(CN[C@@H]2COCC2(C)C)c1 ZINC000313026626 385197176 /nfs/dbraw/zinc/19/71/76/385197176.db2.gz ICEMSILZJGLCTD-CQSZACIVSA-N 0 3 233.355 2.818 20 0 BFADHN Cc1ccsc1CN[C@H](CO)c1ccccc1 ZINC000062999089 385199344 /nfs/dbraw/zinc/19/93/44/385199344.db2.gz OBILCIFAGHDTNS-CYBMUJFWSA-N 0 3 247.363 2.880 20 0 BFADHN Cc1ccc(CN[C@H](CO)c2ccccc2)cc1 ZINC000062998967 385199545 /nfs/dbraw/zinc/19/95/45/385199545.db2.gz KEGINYBXONTCRJ-MRXNPFEDSA-N 0 3 241.334 2.818 20 0 BFADHN CCc1ccc(CN(CC(C)(C)O)C2CC2)o1 ZINC000189941338 385199836 /nfs/dbraw/zinc/19/98/36/385199836.db2.gz WNVFHAXTHCZVLC-UHFFFAOYSA-N 0 3 237.343 2.577 20 0 BFADHN Cc1nc(C)c(CN2C[C@@H]3CCC[C@H]32)s1 ZINC000336348468 385143678 /nfs/dbraw/zinc/14/36/78/385143678.db2.gz FTZWBADHMPTTAG-WDEREUQCSA-N 0 3 222.357 2.744 20 0 BFADHN CC(C)C(=O)NC[C@@H](N)c1ccc(C(C)C)cc1 ZINC000151957301 385144697 /nfs/dbraw/zinc/14/46/97/385144697.db2.gz VLGHMIHOGVQWDW-CQSZACIVSA-N 0 3 248.370 2.582 20 0 BFADHN CSCC[C@H](C)N(C)Cc1cncc(C)c1 ZINC000189954932 385200012 /nfs/dbraw/zinc/20/00/12/385200012.db2.gz KOILMUVMXBUKML-LBPRGKRZSA-N 0 3 238.400 2.963 20 0 BFADHN C[C@@H]1C[C@H](Nc2cccc(CN(C)C)c2)[C@H](C)O1 ZINC000294730599 385147848 /nfs/dbraw/zinc/14/78/48/385147848.db2.gz GSCLCCQTEPQVJJ-XUJVJEKNSA-N 0 3 248.370 2.726 20 0 BFADHN COc1nccc(CNC2CCCCCCC2)n1 ZINC000294726806 385149008 /nfs/dbraw/zinc/14/90/08/385149008.db2.gz IQOLBJXNJUYTPD-UHFFFAOYSA-N 0 3 249.358 2.688 20 0 BFADHN CCOC[C@@H](N[C@@H](C)c1ccccn1)C(C)C ZINC000189361459 385149648 /nfs/dbraw/zinc/14/96/48/385149648.db2.gz GMOXRDJIMNLIBA-GXTWGEPZSA-N 0 3 236.359 2.793 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2COCC2(C)C)c1 ZINC000313015160 385155202 /nfs/dbraw/zinc/15/52/02/385155202.db2.gz FFUAUNYHBMFVOX-CYBMUJFWSA-N 0 3 237.318 2.649 20 0 BFADHN COc1ccsc1[C@H](C)N[C@H]1CC12CC2 ZINC000424312944 385156950 /nfs/dbraw/zinc/15/69/50/385156950.db2.gz AFUOBOZQQUQMQW-WPRPVWTQSA-N 0 3 223.341 2.960 20 0 BFADHN CCOC[C@H](C)N[C@@H]1CCc2ccc(OC)cc21 ZINC000092836972 385162295 /nfs/dbraw/zinc/16/22/95/385162295.db2.gz IRHJWWWXOPZHJN-XHDPSFHLSA-N 0 3 249.354 2.697 20 0 BFADHN C[C@H](NCCOCC(F)F)c1ccccc1F ZINC000189582752 385164288 /nfs/dbraw/zinc/16/42/88/385164288.db2.gz VRIDIPFFDXOUTF-VIFPVBQESA-N 0 3 247.260 2.758 20 0 BFADHN CCc1cccc(CN2CCC(C)(O)CC2)c1 ZINC000189552692 385165512 /nfs/dbraw/zinc/16/55/12/385165512.db2.gz MLWONGILJJZMGM-UHFFFAOYSA-N 0 3 233.355 2.596 20 0 BFADHN C[C@@H](NCCOCC1CC1)c1cccs1 ZINC000053585321 385165545 /nfs/dbraw/zinc/16/55/45/385165545.db2.gz PIDFTJBJXIYBND-SNVBAGLBSA-N 0 3 225.357 2.825 20 0 BFADHN COc1ccc(OC)c([C@H](C)N[C@@H]2CC23CC3)c1 ZINC000424326195 385166853 /nfs/dbraw/zinc/16/68/53/385166853.db2.gz ONWFUGLLSUOEGW-IINYFYTJSA-N 0 3 247.338 2.907 20 0 BFADHN C[C@@H](NCC[C@H](C)F)c1cc2n(n1)CCCC2 ZINC000647305212 385172926 /nfs/dbraw/zinc/17/29/26/385172926.db2.gz WRKJGHBKNHGTSL-WDEREUQCSA-N 0 3 239.338 2.618 20 0 BFADHN C[C@H](F)CCN[C@@H](C)c1cc2n(n1)CCCC2 ZINC000647305211 385173911 /nfs/dbraw/zinc/17/39/11/385173911.db2.gz WRKJGHBKNHGTSL-QWRGUYRKSA-N 0 3 239.338 2.618 20 0 BFADHN CC(C)OC1CCN(CCC(F)(F)F)CC1 ZINC000530926119 385175950 /nfs/dbraw/zinc/17/59/50/385175950.db2.gz GSMCLCYXNCMNRQ-UHFFFAOYSA-N 0 3 239.281 2.828 20 0 BFADHN CC[C@H](N[C@H](C)CCOC)c1nc(C)cs1 ZINC000165699132 385177758 /nfs/dbraw/zinc/17/77/58/385177758.db2.gz BIWNXKLNZNROHX-KOLCDFICSA-N 0 3 242.388 2.917 20 0 BFADHN CCC1(NCc2cc(F)ccc2F)CC1 ZINC000294753068 385178185 /nfs/dbraw/zinc/17/81/85/385178185.db2.gz ILNFAGUKOQOTQW-UHFFFAOYSA-N 0 3 211.255 2.997 20 0 BFADHN CCC1(NCc2cccc(F)c2F)CC1 ZINC000294783785 385216804 /nfs/dbraw/zinc/21/68/04/385216804.db2.gz LJBWAWJOPAEYRD-UHFFFAOYSA-N 0 3 211.255 2.997 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1cccs1 ZINC000044688745 385217394 /nfs/dbraw/zinc/21/73/94/385217394.db2.gz XVTMEVYTOZIFAL-NXEZZACHSA-N 0 3 213.346 2.824 20 0 BFADHN CC[C@H](NCc1ccc(C)o1)[C@H]1CCCO1 ZINC000119780018 385217584 /nfs/dbraw/zinc/21/75/84/385217584.db2.gz ASCVWEVLXWMGCK-QWHCGFSZSA-N 0 3 223.316 2.635 20 0 BFADHN CCOC[C@@H](C)NCc1ccc(C)cc1 ZINC000044688241 385217601 /nfs/dbraw/zinc/21/76/01/385217601.db2.gz BPVXGLKEBRVNMM-GFCCVEGCSA-N 0 3 207.317 2.510 20 0 BFADHN CCOC[C@H](C)NCc1ccccc1C ZINC000044688238 385218263 /nfs/dbraw/zinc/21/82/63/385218263.db2.gz ANCRFKZWFQOQKX-LBPRGKRZSA-N 0 3 207.317 2.510 20 0 BFADHN C[C@@H](N[C@H](CO)Cc1ccccc1)c1ccco1 ZINC000063218276 385219337 /nfs/dbraw/zinc/21/93/37/385219337.db2.gz XSDAYEXHMDQKTO-OCCSQVGLSA-N 0 3 245.322 2.534 20 0 BFADHN Cc1sccc1CN[C@@H]1COCC1(C)C ZINC000313030149 385220715 /nfs/dbraw/zinc/22/07/15/385220715.db2.gz XIAIYMLUMLRHPV-LLVKDONJSA-N 0 3 225.357 2.571 20 0 BFADHN CC1(C)CC[C@@H](N[C@H]2CCCc3c[nH]nc32)C1 ZINC000336390100 385223423 /nfs/dbraw/zinc/22/34/23/385223423.db2.gz TWQZLRLUZLUFCS-NEPJUHHUSA-N 0 3 233.359 2.955 20 0 BFADHN CC[C@H](O)CCCN[C@H](C)c1ccc(C)o1 ZINC000130155300 385224616 /nfs/dbraw/zinc/22/46/16/385224616.db2.gz FIQCRKFGAFQDHM-NEPJUHHUSA-N 0 3 225.332 2.790 20 0 BFADHN CCOC[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC000177366819 385228471 /nfs/dbraw/zinc/22/84/71/385228471.db2.gz QKDWUCFVGDFSNC-QWHCGFSZSA-N 0 3 234.343 2.501 20 0 BFADHN CO[C@](C)(CN[C@H](C)c1ccco1)C1CC1 ZINC000291139040 385233049 /nfs/dbraw/zinc/23/30/49/385233049.db2.gz HKYLYATVEKLYEA-ZWNOBZJWSA-N 0 3 223.316 2.745 20 0 BFADHN CN(C)Cc1ccccc1NC(=O)CCC1CC1 ZINC000557931965 385235217 /nfs/dbraw/zinc/23/52/17/385235217.db2.gz WJYZQNHANVKWRY-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CC(C)N(C)C(=O)[C@H](C)N[C@@H](C)c1ccccc1 ZINC000177407089 385236331 /nfs/dbraw/zinc/23/63/31/385236331.db2.gz KQKQBIUXQOIYRI-STQMWFEESA-N 0 3 248.370 2.593 20 0 BFADHN C[C@H](CC[S@@](C)=O)N[C@H](C)c1cccs1 ZINC000252730241 385237191 /nfs/dbraw/zinc/23/71/91/385237191.db2.gz KLIWNMFVJCLDLI-IQMDTDKHSA-N 0 3 245.413 2.556 20 0 BFADHN CC[C@H](NCC[C@@H](C)O)c1ccccc1F ZINC000166348119 385237742 /nfs/dbraw/zinc/23/77/42/385237742.db2.gz MCNPZJKZSKAXPM-MFKMUULPSA-N 0 3 225.307 2.637 20 0 BFADHN CN(Cc1ccc2c[nH]nc2c1)[C@H]1CCSC1 ZINC000130512861 385257452 /nfs/dbraw/zinc/25/74/52/385257452.db2.gz HYHQTZKLAARCEH-LBPRGKRZSA-N 0 3 247.367 2.500 20 0 BFADHN CN(CCCn1ccnc1)Cc1ccc(F)cc1 ZINC000130361077 385242176 /nfs/dbraw/zinc/24/21/76/385242176.db2.gz PFUTZNCLLSTVMB-UHFFFAOYSA-N 0 3 247.317 2.544 20 0 BFADHN CCN(CC)Cc1cnn(Cc2ccccc2)c1 ZINC000130422169 385246427 /nfs/dbraw/zinc/24/64/27/385246427.db2.gz GXGMKZYINXWZBV-UHFFFAOYSA-N 0 3 243.354 2.773 20 0 BFADHN c1csc(CNC2CCC3(CC3)CC2)n1 ZINC000308894641 385247182 /nfs/dbraw/zinc/24/71/82/385247182.db2.gz YZBGWCWLELPVMT-UHFFFAOYSA-N 0 3 222.357 2.956 20 0 BFADHN CC[C@H](CSC)N(C)Cc1cncc(C)c1 ZINC000189987750 385203575 /nfs/dbraw/zinc/20/35/75/385203575.db2.gz RNRMDLCNYWXBRW-CYBMUJFWSA-N 0 3 238.400 2.963 20 0 BFADHN C[C@H](O)CCCN(C)[C@@H](C)c1cccs1 ZINC000308933009 385303109 /nfs/dbraw/zinc/30/31/09/385303109.db2.gz RTFUZAFLMLGIAP-QWRGUYRKSA-N 0 3 227.373 2.902 20 0 BFADHN CC(C)[C@H](O)CN[C@H](C)c1cc(F)cc(F)c1 ZINC000131309949 385323970 /nfs/dbraw/zinc/32/39/70/385323970.db2.gz RRLUKHPZHANDTH-NOZJJQNGSA-N 0 3 243.297 2.632 20 0 BFADHN COC[C@H](C)CNCc1cccc(Cl)c1F ZINC000131125078 385311481 /nfs/dbraw/zinc/31/14/81/385311481.db2.gz UMLUXKVOESEEFZ-SECBINFHSA-N 0 3 245.725 2.851 20 0 BFADHN CC[C@](C)(O)CNCc1cccc(Cl)c1F ZINC000131129872 385311729 /nfs/dbraw/zinc/31/17/29/385311729.db2.gz RHZZVOWTEBZWDG-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN C[C@H](O)CN(C)Cc1ccc2ccccc2c1 ZINC000131301939 385324287 /nfs/dbraw/zinc/32/42/87/385324287.db2.gz BDCOMYDSYCZNCX-LBPRGKRZSA-N 0 3 229.323 2.652 20 0 BFADHN CSc1ccc([C@@H](C)NC[C@H](C)O)cc1F ZINC000131158360 385314025 /nfs/dbraw/zinc/31/40/25/385314025.db2.gz BBTVDRGNMJEZEL-DTWKUNHWSA-N 0 3 243.347 2.579 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCC[C@H](F)C2)c2nccn21 ZINC000647312809 385319480 /nfs/dbraw/zinc/31/94/80/385319480.db2.gz MBALSNDZTMSPEU-RHYQMDGZSA-N 0 3 237.322 2.759 20 0 BFADHN Cc1ccc(CNCCCNc2ccccn2)o1 ZINC000177590414 385264493 /nfs/dbraw/zinc/26/44/93/385264493.db2.gz LCLOIJWZVCZLCO-UHFFFAOYSA-N 0 3 245.326 2.575 20 0 BFADHN C[C@@H](CC1CCCCC1)NCc1ccn(C)n1 ZINC000559964325 385266762 /nfs/dbraw/zinc/26/67/62/385266762.db2.gz NGQKPORBERPBHE-LBPRGKRZSA-N 0 3 235.375 2.869 20 0 BFADHN CN(CCC1CC1)Cc1cccc(O)c1 ZINC000177736925 385279478 /nfs/dbraw/zinc/27/94/78/385279478.db2.gz XKWNWMUHOROHFL-UHFFFAOYSA-N 0 3 205.301 2.624 20 0 BFADHN CN(CC[C@@H]1CCCO1)Cc1ccccc1F ZINC000191056931 385281584 /nfs/dbraw/zinc/28/15/84/385281584.db2.gz QYCBMBVKTIBXRJ-ZDUSSCGKSA-N 0 3 237.318 2.827 20 0 BFADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccncc2)C1(C)C ZINC000130768857 385284419 /nfs/dbraw/zinc/28/44/19/385284419.db2.gz SRDABOZKOXPWTR-ZIAGYGMSSA-N 0 3 248.370 2.717 20 0 BFADHN COCc1ccc([C@@H]2CCCN2CC2CC2)o1 ZINC000130783536 385286034 /nfs/dbraw/zinc/28/60/34/385286034.db2.gz OKNSSRQZOYYKKU-ZDUSSCGKSA-N 0 3 235.327 2.973 20 0 BFADHN Cc1csc(CN[C@H](C)c2ccnn2C)c1 ZINC000344617354 385287465 /nfs/dbraw/zinc/28/74/65/385287465.db2.gz VAQLSHTVUYWTEY-SNVBAGLBSA-N 0 3 235.356 2.641 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H]2CCOC2)o1 ZINC000166859690 385288378 /nfs/dbraw/zinc/28/83/78/385288378.db2.gz GOGKXKMTWJMYCF-QWRGUYRKSA-N 0 3 223.316 2.529 20 0 BFADHN COC[C@H](C)N1C[C@@H](C)[C@H]1c1ccccc1 ZINC000177824002 385289785 /nfs/dbraw/zinc/28/97/85/385289785.db2.gz SREQIPBGTLRMFS-DYEKYZERSA-N 0 3 219.328 2.714 20 0 BFADHN COC(C)(C)CNCc1ccc(SC)s1 ZINC000308928317 385290232 /nfs/dbraw/zinc/29/02/32/385290232.db2.gz IVAFYWQQCAEHAA-UHFFFAOYSA-N 0 3 245.413 2.985 20 0 BFADHN CO[C@@H](C)CNCc1ccc(OC(C)C)cc1 ZINC000191203942 385292624 /nfs/dbraw/zinc/29/26/24/385292624.db2.gz XDMBFQOHAMRWPE-LBPRGKRZSA-N 0 3 237.343 2.598 20 0 BFADHN CO[C@@H](C)CN[C@@H]1CCc2cc(Cl)ccc21 ZINC000191209462 385292997 /nfs/dbraw/zinc/29/29/97/385292997.db2.gz HWHMBQDYVCQQIY-TVQRCGJNSA-N 0 3 239.746 2.952 20 0 BFADHN CSC[C@@H](CCO)N[C@H](C)c1ccsc1 ZINC000168159135 385293421 /nfs/dbraw/zinc/29/34/21/385293421.db2.gz KOJOJDMSGRUWNK-MWLCHTKSSA-N 0 3 245.413 2.513 20 0 BFADHN CSC[C@@H](CCO)N[C@@H](C)c1ccsc1 ZINC000168159033 385293570 /nfs/dbraw/zinc/29/35/70/385293570.db2.gz KOJOJDMSGRUWNK-GXSJLCMTSA-N 0 3 245.413 2.513 20 0 BFADHN CO[C@H](C)CNCc1cc2ccccc2o1 ZINC000191203250 385293709 /nfs/dbraw/zinc/29/37/09/385293709.db2.gz QGDIEHRGUCUTIX-SNVBAGLBSA-N 0 3 219.284 2.557 20 0 BFADHN Cc1ccncc1CNCCSCC(C)C ZINC000280296700 385294674 /nfs/dbraw/zinc/29/46/74/385294674.db2.gz NUJOXQNBVJZCBS-UHFFFAOYSA-N 0 3 238.400 2.869 20 0 BFADHN CO[C@@H](C)CNc1ccnc2ccccc21 ZINC000191227083 385295690 /nfs/dbraw/zinc/29/56/90/385295690.db2.gz BKJXQYKTEXEQQQ-JTQLQIEISA-N 0 3 216.284 2.682 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1cc(F)ccc1F ZINC000131031467 385302128 /nfs/dbraw/zinc/30/21/28/385302128.db2.gz FUZOZTCFSNJJBA-KOLCDFICSA-N 0 3 243.297 2.776 20 0 BFADHN CCCc1csc(CNC2CC(C)C2)n1 ZINC000309043821 385388680 /nfs/dbraw/zinc/38/86/80/385388680.db2.gz MZCLMSDCMIQETD-UHFFFAOYSA-N 0 3 224.373 2.984 20 0 BFADHN c1cc(CN[C@H](Cc2ccccc2)C2CC2)no1 ZINC000178262020 385348627 /nfs/dbraw/zinc/34/86/27/385348627.db2.gz SEISDUJOFQKKEX-OAHLLOKOSA-N 0 3 242.322 2.786 20 0 BFADHN Cc1ccc(CNCC2(C)OCCCO2)c(C)c1 ZINC000192252367 385349191 /nfs/dbraw/zinc/34/91/91/385349191.db2.gz GIMKFEHISYYBJL-UHFFFAOYSA-N 0 3 249.354 2.546 20 0 BFADHN CC1(C)C[C@H](N[C@H]2CCCc3occc32)CO1 ZINC000335361138 385349845 /nfs/dbraw/zinc/34/98/45/385349845.db2.gz XNSJCVUDCYZQLJ-JQWIXIFHSA-N 0 3 235.327 2.814 20 0 BFADHN C[C@@H](CO)[C@@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC000131718879 385355655 /nfs/dbraw/zinc/35/56/55/385355655.db2.gz JYPCXGJXZDNKEC-AEJSXWLSSA-N 0 3 243.297 2.632 20 0 BFADHN CC(C)c1ccc(NC(=O)[C@@H]2NCC2(C)C)cc1 ZINC000643986416 385356372 /nfs/dbraw/zinc/35/63/72/385356372.db2.gz DHICEZQHAGKHGA-ZDUSSCGKSA-N 0 3 246.354 2.747 20 0 BFADHN C[C@H](O)C(C)(C)NCc1cc(F)cc(Cl)c1 ZINC000168278200 385358513 /nfs/dbraw/zinc/35/85/13/385358513.db2.gz NNVWGTPCKNSEKS-QMMMGPOBSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@]1(NCc2cc(F)cc(Cl)c2)CCOC1 ZINC000168273272 385359308 /nfs/dbraw/zinc/35/93/08/385359308.db2.gz FHBKMXHNQKCAMQ-LBPRGKRZSA-N 0 3 243.709 2.748 20 0 BFADHN Fc1ccc(CNC2CCCCC2)nc1 ZINC000158163258 385359494 /nfs/dbraw/zinc/35/94/94/385359494.db2.gz GLOGLJZPLBHEOS-UHFFFAOYSA-N 0 3 208.280 2.643 20 0 BFADHN Cc1ccc([O-])c(C[NH+]2C[C@@H](C)C[C@H](C)C2)n1 ZINC000131808129 385363034 /nfs/dbraw/zinc/36/30/34/385363034.db2.gz OINBSWMYLWCMRU-QWRGUYRKSA-N 0 3 234.343 2.574 20 0 BFADHN C[C@H](NC1CCCC1)c1ccncc1F ZINC000309022938 385366395 /nfs/dbraw/zinc/36/63/95/385366395.db2.gz YHSJIWMQRMAFSC-VIFPVBQESA-N 0 3 208.280 2.814 20 0 BFADHN C[C@@H](O)CN1C[C@H](C)C[C@H]1c1cccc(F)c1 ZINC000192730196 385368292 /nfs/dbraw/zinc/36/82/92/385368292.db2.gz PNGRRELBIHXOKM-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cccc(Cl)c1F ZINC000168427669 385368687 /nfs/dbraw/zinc/36/86/87/385368687.db2.gz QKLUJBIDZCXMHP-RKDXNWHRSA-N 0 3 245.725 2.992 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@H]2C[C@H]2C)c1 ZINC000309025852 385369718 /nfs/dbraw/zinc/36/97/18/385369718.db2.gz ZXCOOTKGMLSBIW-GRYCIOLGSA-N 0 3 204.317 2.697 20 0 BFADHN CC[C@@H](F)CN[C@H]1CC(C)(C)OC1(C)C ZINC000309064503 385391218 /nfs/dbraw/zinc/39/12/18/385391218.db2.gz YNKFWSVPRUUGKH-ZJUUUORDSA-N 0 3 217.328 2.670 20 0 BFADHN CC(C)c1cccc(NC(=O)[C@@H]2NCC2(C)C)c1 ZINC000644016486 385376469 /nfs/dbraw/zinc/37/64/69/385376469.db2.gz WSLSFDBSSRNBKC-ZDUSSCGKSA-N 0 3 246.354 2.747 20 0 BFADHN CCc1ccc(CN[C@H]2CC[C@H](F)C2)o1 ZINC000309029005 385379640 /nfs/dbraw/zinc/37/96/40/385379640.db2.gz CMLDDICKKWKIMA-UWVGGRQHSA-N 0 3 211.280 2.822 20 0 BFADHN CO[C@@](C)(CN[C@@H](C)c1ccccn1)C1CC1 ZINC000291278099 385379832 /nfs/dbraw/zinc/37/98/32/385379832.db2.gz RBCWFMQGELRHTD-FZMZJTMJSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@H](N[C@H](CO)CC1CCC1)c1ccsc1 ZINC000280915570 385381860 /nfs/dbraw/zinc/38/18/60/385381860.db2.gz GWSXALXCJQZIHR-GWCFXTLKSA-N 0 3 239.384 2.950 20 0 BFADHN C[C@H](CCO)N[C@@H](C)c1ccc2ccccc2n1 ZINC000178497825 385382217 /nfs/dbraw/zinc/38/22/17/385382217.db2.gz DITWXHHTJAXCFF-NEPJUHHUSA-N 0 3 244.338 2.656 20 0 BFADHN CCc1cccnc1[C@H](C)NCC1CC1 ZINC000309036274 385382706 /nfs/dbraw/zinc/38/27/06/385382706.db2.gz IGSINKBWJPOIRY-JTQLQIEISA-N 0 3 204.317 2.705 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCCF)[C@H](C)C2 ZINC000280941802 385383692 /nfs/dbraw/zinc/38/36/92/385383692.db2.gz GIJOTPDXQGEGJI-MFKMUULPSA-N 0 3 207.292 2.787 20 0 BFADHN C[C@@H](NCCN1CC=CCC1)c1ccsc1 ZINC000280991505 385385602 /nfs/dbraw/zinc/38/56/02/385385602.db2.gz MSXVJYLMGKPPJU-GFCCVEGCSA-N 0 3 236.384 2.661 20 0 BFADHN CCO[C@@H]1C[C@@H](NCCF)C1(CC)CC ZINC000309063501 385391096 /nfs/dbraw/zinc/39/10/96/385391096.db2.gz YASKXCWNORABTA-GHMZBOCLSA-N 0 3 217.328 2.529 20 0 BFADHN Cc1noc(C)c1CN1CCSCC[C@H]1C ZINC000191810510 385327376 /nfs/dbraw/zinc/32/73/76/385327376.db2.gz SMXWBIRVRVYWIB-SECBINFHSA-N 0 3 240.372 2.619 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]1C)c1ccncc1F ZINC000308972095 385328191 /nfs/dbraw/zinc/32/81/91/385328191.db2.gz FOBIYTQITOLUPP-HOTUBEGUSA-N 0 3 208.280 2.670 20 0 BFADHN CC(C)[C@](C)(CO)NCc1sccc1Cl ZINC000309067685 385392360 /nfs/dbraw/zinc/39/23/60/385392360.db2.gz AYTVGXXYTVMVHU-NSHDSACASA-N 0 3 247.791 2.898 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2nccn2C)[C@H]1C ZINC000360772242 385393256 /nfs/dbraw/zinc/39/32/56/385393256.db2.gz LMFNYCXLBWFVCU-FRRDWIJNSA-N 0 3 235.375 2.582 20 0 BFADHN FCCN[C@@H]1CC[C@H](c2ccccc2)C1 ZINC000281139102 385400757 /nfs/dbraw/zinc/40/07/57/385400757.db2.gz UKZHTOMGAMGVKZ-QWHCGFSZSA-N 0 3 207.292 2.882 20 0 BFADHN C=Cn1cc(CN(CCC)CC2CC2)cn1 ZINC000193576620 385402025 /nfs/dbraw/zinc/40/20/25/385402025.db2.gz KOBUBDQYVJCRHC-UHFFFAOYSA-N 0 3 219.332 2.606 20 0 BFADHN CC(C)C[C@@H](NCCCCO)c1ccccn1 ZINC000194210641 385425640 /nfs/dbraw/zinc/42/56/40/385425640.db2.gz GRNCWZYJLLAWQP-CQSZACIVSA-N 0 3 236.359 2.531 20 0 BFADHN CS[C@H](C)CNCc1cn(C(C)C)nc1C ZINC000281202175 385412106 /nfs/dbraw/zinc/41/21/06/385412106.db2.gz BWEONTIRRNKMJW-SNVBAGLBSA-N 0 3 241.404 2.614 20 0 BFADHN C=Cn1cc(CN2CCC[C@H](C)CC2)cn1 ZINC000193808945 385413475 /nfs/dbraw/zinc/41/34/75/385413475.db2.gz BLPBEVFHXIPRMC-LBPRGKRZSA-N 0 3 219.332 2.606 20 0 BFADHN C[C@H](N(C)Cc1ccc(F)cc1F)C(C)(C)O ZINC000295063733 385415124 /nfs/dbraw/zinc/41/51/24/385415124.db2.gz BZJVJWABKDFAIY-VIFPVBQESA-N 0 3 243.297 2.556 20 0 BFADHN COCc1ccc(CNCC=C(C)C)cc1 ZINC000178771495 385417881 /nfs/dbraw/zinc/41/78/81/385417881.db2.gz RZGIJYJCXLDJBL-UHFFFAOYSA-N 0 3 219.328 2.889 20 0 BFADHN Cc1cn[nH]c1CN[C@H](C)c1cccs1 ZINC000289779853 385422698 /nfs/dbraw/zinc/42/26/98/385422698.db2.gz HBQIFFJREOWSPR-SECBINFHSA-N 0 3 221.329 2.630 20 0 BFADHN CO[C@H](C)CN([C@@H](C)c1ccco1)C1CC1 ZINC000182349674 385440215 /nfs/dbraw/zinc/44/02/15/385440215.db2.gz ZRJIKVASZHSWMA-MNOVXSKESA-N 0 3 223.316 2.840 20 0 BFADHN Cc1ccc(CN2CCCO[C@@H](C)C2)cc1 ZINC000170772114 385443166 /nfs/dbraw/zinc/44/31/66/385443166.db2.gz SRSCYHUFFOSFSP-ZDUSSCGKSA-N 0 3 219.328 2.606 20 0 BFADHN COc1ccc(C)cc1CSCCN(C)C ZINC000179164283 385445893 /nfs/dbraw/zinc/44/58/93/385445893.db2.gz ANQPKGWWWCEMQF-UHFFFAOYSA-N 0 3 239.384 2.798 20 0 BFADHN CCN(C(=O)[C@@H](C)N)c1ccc2ccccc2c1 ZINC000156380447 385448987 /nfs/dbraw/zinc/44/89/87/385448987.db2.gz XRXJDJRMPLBJFK-LLVKDONJSA-N 0 3 242.322 2.540 20 0 BFADHN Cc1oncc1CN1CCC[C@@H](C)CC1 ZINC000128380302 385449483 /nfs/dbraw/zinc/44/94/83/385449483.db2.gz JNUCMBLPJXJBFW-SNVBAGLBSA-N 0 3 208.305 2.605 20 0 BFADHN C[C@@H](Cc1ccccc1)CN1CCN(C)C[C@@H]1C ZINC000360868371 385449623 /nfs/dbraw/zinc/44/96/23/385449623.db2.gz AATCEQFNRIHKLL-GJZGRUSLSA-N 0 3 246.398 2.501 20 0 BFADHN CCSCCCNCc1ccnn1C(C)C ZINC000281834339 385451285 /nfs/dbraw/zinc/45/12/85/385451285.db2.gz AHEIQWGFULSZDP-UHFFFAOYSA-N 0 3 241.404 2.697 20 0 BFADHN CN(CCOc1ccccc1)Cc1ccn(C)c1 ZINC000156415216 385451591 /nfs/dbraw/zinc/45/15/91/385451591.db2.gz RKXGCWJESDURPS-UHFFFAOYSA-N 0 3 244.338 2.536 20 0 BFADHN C[C@H](N(C)Cc1cccc(F)c1F)C(C)(C)O ZINC000295128070 385453332 /nfs/dbraw/zinc/45/33/32/385453332.db2.gz JNJSUUNELJPKES-VIFPVBQESA-N 0 3 243.297 2.556 20 0 BFADHN CSCCCN(C)Cc1cccc(Cl)n1 ZINC000281342976 385428054 /nfs/dbraw/zinc/42/80/54/385428054.db2.gz KBKSAPQWAXFAPQ-UHFFFAOYSA-N 0 3 244.791 2.920 20 0 BFADHN COCc1ccc(CNC(C)(C)C2CC2)o1 ZINC000381564389 385428571 /nfs/dbraw/zinc/42/85/71/385428571.db2.gz SPGPPCOERHNRMW-UHFFFAOYSA-N 0 3 223.316 2.704 20 0 BFADHN C[C@H](NCCN1CC=CCC1)c1ccccc1F ZINC000281320159 385429338 /nfs/dbraw/zinc/42/93/38/385429338.db2.gz ZTZANJPBKQOLLX-ZDUSSCGKSA-N 0 3 248.345 2.738 20 0 BFADHN CCOCc1cc(CN(C)C2CC2)ccc1OC ZINC000179303983 385458419 /nfs/dbraw/zinc/45/84/19/385458419.db2.gz DYUCNMJPOZZOEI-UHFFFAOYSA-N 0 3 249.354 2.826 20 0 BFADHN Cc1sccc1CN[C@]1(C)CCCOC1 ZINC000309131320 385430718 /nfs/dbraw/zinc/43/07/18/385430718.db2.gz XXESBNLENBXWSC-GFCCVEGCSA-N 0 3 225.357 2.715 20 0 BFADHN CC(C)=CCNCc1cn(C(C)C)nc1C ZINC000281427661 385430972 /nfs/dbraw/zinc/43/09/72/385430972.db2.gz RHERZWAXJRKZGU-UHFFFAOYSA-N 0 3 221.348 2.828 20 0 BFADHN Cc1csc(CN[C@H](C)[C@H]2CC2(C)C)n1 ZINC000309138728 385433010 /nfs/dbraw/zinc/43/30/10/385433010.db2.gz SODALBAYURZSMK-NXEZZACHSA-N 0 3 224.373 2.976 20 0 BFADHN c1coc(CN2CCC[C@@H](C[C@H]3CCOC3)C2)c1 ZINC000367683330 385434871 /nfs/dbraw/zinc/43/48/71/385434871.db2.gz HXZYNUGZRFGBNK-UONOGXRCSA-N 0 3 249.354 2.918 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3cc4cccnc4o3)C[C@@H]21 ZINC000449031748 385435623 /nfs/dbraw/zinc/43/56/23/385435623.db2.gz WBFUDCVSSQMWLE-BETUJISGSA-N 0 3 242.322 2.916 20 0 BFADHN c1nc(CN2CCC[C@@H]2C2CCC2)cs1 ZINC000171066039 385466247 /nfs/dbraw/zinc/46/62/47/385466247.db2.gz GOSBXPFJKVDDID-GFCCVEGCSA-N 0 3 222.357 2.908 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1cc(C)oc1C ZINC000020437606 385466334 /nfs/dbraw/zinc/46/63/34/385466334.db2.gz RQZUTNXXZRSVQN-SCZZXKLOSA-N 0 3 211.305 2.582 20 0 BFADHN CCOc1cccc([C@@H](C)NCc2cnc[nH]2)c1 ZINC000171133030 385469462 /nfs/dbraw/zinc/46/94/62/385469462.db2.gz ZMNMQIBCAQIIPO-LLVKDONJSA-N 0 3 245.326 2.659 20 0 BFADHN CCCCN(C)Cc1cnn2ccccc12 ZINC000179514844 385471061 /nfs/dbraw/zinc/47/10/61/385471061.db2.gz KLRWWBALEKHSQH-UHFFFAOYSA-N 0 3 217.316 2.566 20 0 BFADHN Cc1ccnc([C@@H](C)NCC2(C)CC2)c1 ZINC000336770341 385471205 /nfs/dbraw/zinc/47/12/05/385471205.db2.gz WONYTAHGWHMMAW-LLVKDONJSA-N 0 3 204.317 2.841 20 0 BFADHN CCCCN(C)Cc1cnn(C(C)C)c1 ZINC000179514808 385472113 /nfs/dbraw/zinc/47/21/13/385472113.db2.gz NKRCQLXOTAZOMF-UHFFFAOYSA-N 0 3 209.337 2.696 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1cc(C)ccc1F ZINC000289809859 385472469 /nfs/dbraw/zinc/47/24/69/385472469.db2.gz MWDJPHIMYLOIDP-MNOVXSKESA-N 0 3 225.307 2.647 20 0 BFADHN Cc1cc(C)cc([C@H](C)NCC2(C)OCCO2)c1 ZINC000179566060 385473475 /nfs/dbraw/zinc/47/34/75/385473475.db2.gz ZPNGIQYFHGABAL-ZDUSSCGKSA-N 0 3 249.354 2.717 20 0 BFADHN CCc1ccc([C@@H](C)NCC2(C)OCCO2)cc1 ZINC000179573910 385474381 /nfs/dbraw/zinc/47/43/81/385474381.db2.gz GSMJGRLSJBZJRO-GFCCVEGCSA-N 0 3 249.354 2.663 20 0 BFADHN CCOc1ccc(CN2CCCO[C@H](C)C2)cc1 ZINC000171239728 385474668 /nfs/dbraw/zinc/47/46/68/385474668.db2.gz QXRGJHXLLFUZNQ-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1ccc([C@H](C)NCC2(C)OCCO2)cc1C ZINC000179572165 385474734 /nfs/dbraw/zinc/47/47/34/385474734.db2.gz NXGQEKQNICROPW-ZDUSSCGKSA-N 0 3 249.354 2.717 20 0 BFADHN Cc1cc(C)cc(CN2CCCO[C@@H](C)C2)c1 ZINC000171239112 385474811 /nfs/dbraw/zinc/47/48/11/385474811.db2.gz JUIIQQPGVMPSRM-AWEZNQCLSA-N 0 3 233.355 2.914 20 0 BFADHN CN(Cc1cn(C)nc1-c1ccccc1)C1CC1 ZINC000179691074 385484445 /nfs/dbraw/zinc/48/44/45/385484445.db2.gz ZVQXKCWGIIAXCD-UHFFFAOYSA-N 0 3 241.338 2.681 20 0 BFADHN Cn1cccc1[C@H]1CCCN1CC1=CCCOC1 ZINC000179708952 385486025 /nfs/dbraw/zinc/48/60/25/385486025.db2.gz DGESZCUIXCPDJE-OAHLLOKOSA-N 0 3 246.354 2.509 20 0 BFADHN Cc1ccccc1NC(=O)[C@@H](N)CCC(C)C ZINC000257657628 385487278 /nfs/dbraw/zinc/48/72/78/385487278.db2.gz DEPBLSKKBFAIPT-LBPRGKRZSA-N 0 3 234.343 2.697 20 0 BFADHN CN(C)CCSc1nc(-c2ccccc2)co1 ZINC000195103248 385460228 /nfs/dbraw/zinc/46/02/28/385460228.db2.gz HQAJBDLTMRRNJW-UHFFFAOYSA-N 0 3 248.351 2.995 20 0 BFADHN C[C@@H](NC[C@H](O)CC(C)(C)C)c1ccncc1 ZINC000179388439 385463848 /nfs/dbraw/zinc/46/38/48/385463848.db2.gz MLHOQPKQSYYKNY-DGCLKSJQSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1csc(CNC2CC3(CCC3)C2)n1 ZINC000309235987 385490752 /nfs/dbraw/zinc/49/07/52/385490752.db2.gz XMWGJGSHXWGENW-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN CCOc1ccccc1CN[C@H]1CC[C@H]1C ZINC000309231018 385491681 /nfs/dbraw/zinc/49/16/81/385491681.db2.gz TTZSVISQBFHSMI-YPMHNXCESA-N 0 3 219.328 2.973 20 0 BFADHN CCN(C)CCNc1ncc(Cl)cc1Cl ZINC000054128080 385493132 /nfs/dbraw/zinc/49/31/32/385493132.db2.gz ICYITQMZQLJDBJ-UHFFFAOYSA-N 0 3 248.157 2.752 20 0 BFADHN CC(C)CC[C@H](N)C(=O)Nc1ccc(F)cc1 ZINC000257688171 385493356 /nfs/dbraw/zinc/49/33/56/385493356.db2.gz LIAJOCUBQWZMTA-LBPRGKRZSA-N 0 3 238.306 2.528 20 0 BFADHN Cc1csc(CN[C@@H]2CC[C@H]2C2CC2)n1 ZINC000309248493 385498080 /nfs/dbraw/zinc/49/80/80/385498080.db2.gz ZRXCJQOLNMCHQY-WDEREUQCSA-N 0 3 222.357 2.730 20 0 BFADHN CC[C@H]1CN(Cc2ccccc2C)C[C@H](C)O1 ZINC000111273340 385499129 /nfs/dbraw/zinc/49/91/29/385499129.db2.gz BKGLMBALENKWMK-ZFWWWQNUSA-N 0 3 233.355 2.994 20 0 BFADHN Cc1ccc(F)cc1CN(C)C[C@H]1CCCO1 ZINC000179917702 385499775 /nfs/dbraw/zinc/49/97/75/385499775.db2.gz DAIVDWSFIJQBEL-CQSZACIVSA-N 0 3 237.318 2.745 20 0 BFADHN CSCCN1CCc2ccccc2[C@H]1C ZINC000171630071 385501671 /nfs/dbraw/zinc/50/16/71/385501671.db2.gz NVWMGXSTHUOUCG-LLVKDONJSA-N 0 3 221.369 2.969 20 0 BFADHN C[C@@H](CO)[C@@H](C)NCc1ccc(F)cc1Cl ZINC000157476026 385503714 /nfs/dbraw/zinc/50/37/14/385503714.db2.gz LNOZAMFUEODPNC-DTWKUNHWSA-N 0 3 245.725 2.586 20 0 BFADHN Cc1ccc([C@H](C)N(C)Cc2cnc[nH]2)cc1 ZINC000180049084 385506230 /nfs/dbraw/zinc/50/62/30/385506230.db2.gz KITYVPZNKWCPHT-LBPRGKRZSA-N 0 3 229.327 2.911 20 0 BFADHN Cc1cnc(CCN(C)Cc2cccnc2)s1 ZINC000157651719 385513944 /nfs/dbraw/zinc/51/39/44/385513944.db2.gz VYHPSYCRIVROMP-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN CC(C)[C@@H](O)CN[C@@H](C)c1cccc(F)c1F ZINC000183265677 385515357 /nfs/dbraw/zinc/51/53/57/385515357.db2.gz ZQVZGOCPSPEYOG-CABZTGNLSA-N 0 3 243.297 2.632 20 0 BFADHN C[C@]12C[C@H]1CCC[C@H]2NCc1nccs1 ZINC000282191553 385515338 /nfs/dbraw/zinc/51/53/38/385515338.db2.gz NIVNGLKLSHMLPX-FOGDFJRCSA-N 0 3 222.357 2.811 20 0 BFADHN CC(C)[C@H](O)CN[C@@H](C)c1cccc(F)c1F ZINC000183265657 385515730 /nfs/dbraw/zinc/51/57/30/385515730.db2.gz ZQVZGOCPSPEYOG-JOYOIKCWSA-N 0 3 243.297 2.632 20 0 BFADHN COC(=O)CCCN1CCc2ccccc2[C@H]1C ZINC000172094786 385548706 /nfs/dbraw/zinc/54/87/06/385548706.db2.gz IERWWHZOKBVVNK-GFCCVEGCSA-N 0 3 247.338 2.559 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cn2ccccc2n1 ZINC000171947818 385535350 /nfs/dbraw/zinc/53/53/50/385535350.db2.gz FVRBGJCHDXHQEI-GFCCVEGCSA-N 0 3 231.343 2.812 20 0 BFADHN CC(C)n1cncc1CN1CCCC12CCC2 ZINC000335109792 385536741 /nfs/dbraw/zinc/53/67/41/385536741.db2.gz IGTRIEMBKOUVAH-UHFFFAOYSA-N 0 3 233.359 2.983 20 0 BFADHN CSCCN[C@H](c1nc(C)cs1)C1CC1 ZINC000183495910 385548927 /nfs/dbraw/zinc/54/89/27/385548927.db2.gz XSRWOKQNXZHDIT-JTQLQIEISA-N 0 3 242.413 2.855 20 0 BFADHN CC(C)n1nccc1CN[C@H]1C=CCCC1 ZINC000309349050 385542099 /nfs/dbraw/zinc/54/20/99/385542099.db2.gz MJEZAQOLTABIMA-LBPRGKRZSA-N 0 3 219.332 2.662 20 0 BFADHN CCCC(C)(C)NCc1cn(C(C)C)nn1 ZINC000336644172 385543189 /nfs/dbraw/zinc/54/31/89/385543189.db2.gz BZUHNEMCRHMKKD-UHFFFAOYSA-N 0 3 224.352 2.527 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCC[C@H](C)[C@H]2C)[n-]1 ZINC000392375367 385522754 /nfs/dbraw/zinc/52/27/54/385522754.db2.gz OMWKGQJEBWFJLM-MIZYBKAJSA-N 0 3 236.363 2.588 20 0 BFADHN Cn1ccnc1[C@H](NC[C@H]1CC1(C)C)C1CC1 ZINC000362378040 385523616 /nfs/dbraw/zinc/52/36/16/385523616.db2.gz IOWZCWJGFVLJAZ-VXGBXAGGSA-N 0 3 233.359 2.507 20 0 BFADHN Cc1cnc(CN2C[C@@H]3CCC[C@H]3C2)s1 ZINC000180540504 385528591 /nfs/dbraw/zinc/52/85/91/385528591.db2.gz ZQKDKLHUFHQVRH-QWRGUYRKSA-N 0 3 222.357 2.683 20 0 BFADHN CC(C)=CCCNCc1ccn(C(F)F)n1 ZINC000309414616 385575385 /nfs/dbraw/zinc/57/53/85/385575385.db2.gz YMKJLHHMMQVUIP-UHFFFAOYSA-N 0 3 229.274 2.724 20 0 BFADHN CC(C)=CCNCc1cccc2c[nH]nc21 ZINC000309417521 385575517 /nfs/dbraw/zinc/57/55/17/385575517.db2.gz YZMKZWGHHRNKEG-UHFFFAOYSA-N 0 3 215.300 2.619 20 0 BFADHN CN(CCC(C)(C)C)Cc1cccnc1 ZINC000158139555 385551829 /nfs/dbraw/zinc/55/18/29/385551829.db2.gz OECKVYQQWPDNDB-UHFFFAOYSA-N 0 3 206.333 2.950 20 0 BFADHN CN(CCc1cccnc1)Cc1cccc(F)c1 ZINC000172152557 385554113 /nfs/dbraw/zinc/55/41/13/385554113.db2.gz KBKIIBVJOPHTJD-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN C[C@H](NC[C@@H](O)CC(C)(C)C)c1cccnc1 ZINC000181421762 385577706 /nfs/dbraw/zinc/57/77/06/385577706.db2.gz FBUDOBYJYSWFIV-AAEUAGOBSA-N 0 3 236.359 2.529 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1ccccn1 ZINC000336733448 385572457 /nfs/dbraw/zinc/57/24/57/385572457.db2.gz MKQFCLPHRUADSY-ADEWGFFLSA-N 0 3 222.357 2.626 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cc(OC)ccn1 ZINC000273357018 385593547 /nfs/dbraw/zinc/59/35/47/385593547.db2.gz VZIWGERSBSXQCV-MNOVXSKESA-N 0 3 222.332 2.614 20 0 BFADHN C[C@H](Cc1ccncc1)N[C@H](C)c1ccco1 ZINC000337316535 385594483 /nfs/dbraw/zinc/59/44/83/385594483.db2.gz KQLGQDWHYSRGBQ-VXGBXAGGSA-N 0 3 230.311 2.956 20 0 BFADHN C[C@@H](Cc1ccncc1)N[C@@H](C)c1ccco1 ZINC000337316534 385594987 /nfs/dbraw/zinc/59/49/87/385594987.db2.gz KQLGQDWHYSRGBQ-RYUDHWBXSA-N 0 3 230.311 2.956 20 0 BFADHN CCCCN(C(=O)CN(CC)CC)[C@H](C)CC ZINC000337335697 385606270 /nfs/dbraw/zinc/60/62/70/385606270.db2.gz LQABXWNUYIOZAZ-CYBMUJFWSA-N 0 3 242.407 2.755 20 0 BFADHN c1cncc([C@@H](N[C@H]2CCCOC2)C2CCC2)c1 ZINC000280820472 385606531 /nfs/dbraw/zinc/60/65/31/385606531.db2.gz JZRAGTOTBOMZCX-GJZGRUSLSA-N 0 3 246.354 2.691 20 0 BFADHN CCS[C@H]1CCCC[C@H]1NCCF ZINC000336649545 385598447 /nfs/dbraw/zinc/59/84/47/385598447.db2.gz HBRRRZYQYMXLGX-ZJUUUORDSA-N 0 3 205.342 2.610 20 0 BFADHN CN(Cc1cccs1)C[C@@H]1CCCC[C@H]1O ZINC000250245007 385598558 /nfs/dbraw/zinc/59/85/58/385598558.db2.gz SSFCHADZDBRMMX-WCQYABFASA-N 0 3 239.384 2.731 20 0 BFADHN CC[C@@H](C)N1CCc2c(ccc(OC)c2OC)C1 ZINC000337311755 385600181 /nfs/dbraw/zinc/60/01/81/385600181.db2.gz CWGNCPRYVAYDGZ-LLVKDONJSA-N 0 3 249.354 2.860 20 0 BFADHN CC[C@@H](CNCc1cccc(Cl)c1F)OC ZINC000336650601 385606959 /nfs/dbraw/zinc/60/69/59/385606959.db2.gz DRTVBIZIBFENIE-JTQLQIEISA-N 0 3 245.725 2.994 20 0 BFADHN CCc1ccc(CNCCC(F)(F)F)cn1 ZINC000309427181 385579422 /nfs/dbraw/zinc/57/94/22/385579422.db2.gz FMASLEMDZFSVHQ-UHFFFAOYSA-N 0 3 232.249 2.686 20 0 BFADHN C[C@H](N[C@H]1CSC[C@H]1C)c1ccc(F)cn1 ZINC000309443542 385584233 /nfs/dbraw/zinc/58/42/33/385584233.db2.gz KRZOUNHGKXWSIS-PTRXPTGYSA-N 0 3 240.347 2.623 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2cocn2)[C@H]1C ZINC000309456525 385587912 /nfs/dbraw/zinc/58/79/12/385587912.db2.gz NTFPIUDPURQIFP-WOPDTQHZSA-N 0 3 222.332 2.837 20 0 BFADHN CCN[C@H](C)c1ccc2ccccc2n1 ZINC000063076330 385591660 /nfs/dbraw/zinc/59/16/60/385591660.db2.gz NBMFRBSGMBVSSQ-SNVBAGLBSA-N 0 3 200.285 2.905 20 0 BFADHN CCSCCCNCc1ccoc1C ZINC000336651080 385614712 /nfs/dbraw/zinc/61/47/12/385614712.db2.gz JJPMTIRLFWIVOB-UHFFFAOYSA-N 0 3 213.346 2.821 20 0 BFADHN CCC[C@H](C)[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000337355009 385616223 /nfs/dbraw/zinc/61/62/23/385616223.db2.gz BSTHPHWEKLBWHH-AAEUAGOBSA-N 0 3 244.342 2.672 20 0 BFADHN CCC[C@H](C)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000337355009 385616230 /nfs/dbraw/zinc/61/62/30/385616230.db2.gz BSTHPHWEKLBWHH-AAEUAGOBSA-N 0 3 244.342 2.672 20 0 BFADHN C[C@H](N[C@@H](CCO)C(C)(C)C)c1cncs1 ZINC000229575912 385636365 /nfs/dbraw/zinc/63/63/65/385636365.db2.gz MSFKTUCZTLBKDL-ONGXEEELSA-N 0 3 242.388 2.591 20 0 BFADHN CC[C@](C)(CO)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000251252437 385619248 /nfs/dbraw/zinc/61/92/48/385619248.db2.gz KHTDJVVBZGJZLK-SCDSUCTJSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1csc([C@H](C)NCCCOC(C)C)n1 ZINC000184144512 385620237 /nfs/dbraw/zinc/62/02/37/385620237.db2.gz VUEBTBCLPMPMSH-NSHDSACASA-N 0 3 242.388 2.917 20 0 BFADHN COCC1CCC(NCc2ccc(C)o2)CC1 ZINC000414511065 385620349 /nfs/dbraw/zinc/62/03/49/385620349.db2.gz JDJZWNKMZJYNNE-UHFFFAOYSA-N 0 3 237.343 2.883 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H]1CSc2ccccc21 ZINC000309492550 385621535 /nfs/dbraw/zinc/62/15/35/385621535.db2.gz XODWSPORRUXSRD-CKYFFXLPSA-N 0 3 237.368 2.846 20 0 BFADHN COC(=O)c1cccc(CN2CCC=C(C)C2)c1 ZINC000280961920 385622977 /nfs/dbraw/zinc/62/29/77/385622977.db2.gz YZHZYYRXHDQCCC-UHFFFAOYSA-N 0 3 245.322 2.625 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@H]1O)c1ccccc1F ZINC000251512247 385623660 /nfs/dbraw/zinc/62/36/60/385623660.db2.gz IQWZXKLFRHIKBT-COPLHBTASA-N 0 3 237.318 2.637 20 0 BFADHN C[C@H]1[C@@H](Nc2ccc(Cl)cn2)CCCN1C ZINC000336679459 385624436 /nfs/dbraw/zinc/62/44/36/385624436.db2.gz AKBPYNIICUKGQR-ONGXEEELSA-N 0 3 239.750 2.630 20 0 BFADHN CCOCCN[C@H](c1cccnc1)C1CCC1 ZINC000280990941 385625368 /nfs/dbraw/zinc/62/53/68/385625368.db2.gz WXCDMCOPJADMAU-AWEZNQCLSA-N 0 3 234.343 2.549 20 0 BFADHN CO[C@H](C)CN(Cc1ccc(F)cc1)C1CC1 ZINC000181999170 385626186 /nfs/dbraw/zinc/62/61/86/385626186.db2.gz XYPRSWHURJUDCF-LLVKDONJSA-N 0 3 237.318 2.825 20 0 BFADHN CC[C@@H](C)N(Cc1ccccc1)CC1OCCO1 ZINC000181993841 385626757 /nfs/dbraw/zinc/62/67/57/385626757.db2.gz IQJBECMTKPHQJD-CYBMUJFWSA-N 0 3 249.354 2.660 20 0 BFADHN Cc1ccncc1CN1CCS[C@H](C)[C@H]1C ZINC000269742349 385632027 /nfs/dbraw/zinc/63/20/27/385632027.db2.gz ZWBMNKADLFJMFC-VXGBXAGGSA-N 0 3 236.384 2.716 20 0 BFADHN C[C@H](CCO)CNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000251716643 385632656 /nfs/dbraw/zinc/63/26/56/385632656.db2.gz BWGUPXGAERXCLT-WZRBSPASSA-N 0 3 237.343 2.511 20 0 BFADHN OCC1(CNCc2ccccc2Cl)CCC1 ZINC000184365321 385635576 /nfs/dbraw/zinc/63/55/76/385635576.db2.gz OIYACJYUVHICFL-UHFFFAOYSA-N 0 3 239.746 2.592 20 0 BFADHN Fc1ccc(CN[C@H]2CC[C@@H](F)C2)cc1F ZINC000309482989 385607612 /nfs/dbraw/zinc/60/76/12/385607612.db2.gz FFHXJFVCDOZQEQ-ZJUUUORDSA-N 0 3 229.245 2.945 20 0 BFADHN CCCn1cc(CN2CC(C)(C)C[C@@H]2C)cn1 ZINC000414525798 385664805 /nfs/dbraw/zinc/66/48/05/385664805.db2.gz NAEAHIAZDHSTIU-LBPRGKRZSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1occc1CN[C@@H]1CS[C@H](C)C1 ZINC000306899397 385639785 /nfs/dbraw/zinc/63/97/85/385639785.db2.gz DKLPROQYUVMDCL-KCJUWKMLSA-N 0 3 211.330 2.572 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NC[C@H]1CC=CCC1 ZINC000269848939 385640328 /nfs/dbraw/zinc/64/03/28/385640328.db2.gz XLNPPFKPZUNPMZ-AAEUAGOBSA-N 0 3 231.343 2.733 20 0 BFADHN C[C@@H]1[C@H](Nc2ccncc2Cl)CCCN1C ZINC000309506640 385641737 /nfs/dbraw/zinc/64/17/37/385641737.db2.gz WBOBZNYJZZIKTL-MWLCHTKSSA-N 0 3 239.750 2.630 20 0 BFADHN FC(F)(F)C1CC(NCc2cccnc2)C1 ZINC000336682680 385642627 /nfs/dbraw/zinc/64/26/27/385642627.db2.gz PYMAONRHKUJUNC-UHFFFAOYSA-N 0 3 230.233 2.512 20 0 BFADHN Fc1ccc(F)c(CN[C@H]2CC[C@@H](F)C2)c1 ZINC000309505386 385642800 /nfs/dbraw/zinc/64/28/00/385642800.db2.gz MWYIJEASPNJKTR-MNOVXSKESA-N 0 3 229.245 2.945 20 0 BFADHN Fc1ccc(F)c(CN[C@H]2CC[C@H](F)C2)c1 ZINC000309505387 385643418 /nfs/dbraw/zinc/64/34/18/385643418.db2.gz MWYIJEASPNJKTR-QWRGUYRKSA-N 0 3 229.245 2.945 20 0 BFADHN CC[C@H](NCCc1cccs1)c1nccn1C ZINC000182217741 385643854 /nfs/dbraw/zinc/64/38/54/385643854.db2.gz ZNTVZGAEZCZTBY-LBPRGKRZSA-N 0 3 249.383 2.765 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ncnn1C(C)(C)C ZINC000337413705 385643954 /nfs/dbraw/zinc/64/39/54/385643954.db2.gz RZOOGGHPIJZRJN-GHMZBOCLSA-N 0 3 238.379 2.557 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccc(F)cc1)C1CC1 ZINC000293569358 385645417 /nfs/dbraw/zinc/64/54/17/385645417.db2.gz BDSHTYBARKPUTN-YGRLFVJLSA-N 0 3 237.318 2.901 20 0 BFADHN CCOCCN[C@@H](c1ccc(F)cn1)C(C)C ZINC000353337512 385649389 /nfs/dbraw/zinc/64/93/89/385649389.db2.gz PGPNKLVGWXZNTH-CYBMUJFWSA-N 0 3 240.322 2.544 20 0 BFADHN C[C@H](NC1(c2ccccc2F)CC1)[C@@H]1CCOC1 ZINC000182238838 385649430 /nfs/dbraw/zinc/64/94/30/385649430.db2.gz RFJLDKKSZBCFNR-NWDGAFQWSA-N 0 3 249.329 2.829 20 0 BFADHN CC[C@H](NCCCF)c1nc(C)cs1 ZINC000184418126 385650618 /nfs/dbraw/zinc/65/06/18/385650618.db2.gz MMDMHUKDQGSSHA-VIFPVBQESA-N 0 3 216.325 2.852 20 0 BFADHN CC(C)[C@@H](c1ccccc1)N(C)CC1OCCO1 ZINC000182290662 385653522 /nfs/dbraw/zinc/65/35/22/385653522.db2.gz AUQCFKUTEZOTBY-HNNXBMFYSA-N 0 3 249.354 2.688 20 0 BFADHN CC[C@H]1CN(Cc2cccc(F)c2)CCCO1 ZINC000281304390 385655918 /nfs/dbraw/zinc/65/59/18/385655918.db2.gz PYGZRAQQMGEBJM-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN CC[C@H](N[C@H](C)[C@H](C)OC)c1ccncc1 ZINC000252712581 385657387 /nfs/dbraw/zinc/65/73/87/385657387.db2.gz MTONXYSUBFGNKC-MDZLAQPJSA-N 0 3 222.332 2.546 20 0 BFADHN CCc1ccc(CN2CCCO[C@H](CC)C2)o1 ZINC000281324131 385659132 /nfs/dbraw/zinc/65/91/32/385659132.db2.gz RKVTYLBXWRHQOH-CYBMUJFWSA-N 0 3 237.343 2.843 20 0 BFADHN CC[C@H](F)CN[C@H]1CCCC[C@@H]1OC(F)F ZINC000337436321 385661283 /nfs/dbraw/zinc/66/12/83/385661283.db2.gz WVZKUWHXMXVXAS-GUBZILKMSA-N 0 3 239.281 2.875 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1c(C)cc(C)cc1C ZINC000643201923 385672032 /nfs/dbraw/zinc/67/20/32/385672032.db2.gz RZPKBIGUUKCVJN-LBPRGKRZSA-N 0 3 234.343 2.548 20 0 BFADHN CCC[C@H](C)N1CCc2ncsc2C1 ZINC000337464393 385672738 /nfs/dbraw/zinc/67/27/38/385672738.db2.gz LXLWUJRZABKBEU-VIFPVBQESA-N 0 3 210.346 2.690 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1cccc2ccccc21 ZINC000643201941 385672767 /nfs/dbraw/zinc/67/27/67/385672767.db2.gz VRLBQWKBRYDJCV-NSHDSACASA-N 0 3 242.322 2.776 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC(C)(C)C[C@@H]2C)n1 ZINC000414527757 385678992 /nfs/dbraw/zinc/67/89/92/385678992.db2.gz RRNWDXPSKZLFGB-NSHDSACASA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC(C)(C)C[C@@H]2C)n1 ZINC000414527757 385678997 /nfs/dbraw/zinc/67/89/97/385678997.db2.gz RRNWDXPSKZLFGB-NSHDSACASA-N 0 3 234.343 2.716 20 0 BFADHN COc1ccc(COCCN2C[C@H](C)[C@@H]2C)cc1 ZINC000645218148 385679389 /nfs/dbraw/zinc/67/93/89/385679389.db2.gz MBBRDHFUSPAGEA-STQMWFEESA-N 0 3 249.354 2.552 20 0 BFADHN C[C@@H]1CN(Cc2cccc(N(C)C)c2)[C@H]1C ZINC000645218985 385682504 /nfs/dbraw/zinc/68/25/04/385682504.db2.gz BKUOKSVQMMNOTM-NEPJUHHUSA-N 0 3 218.344 2.593 20 0 BFADHN C[C@H](N[C@H]1CC[C@H]1C1CC1)c1ccccn1 ZINC000309553101 385685945 /nfs/dbraw/zinc/68/59/45/385685945.db2.gz IWYVXHODHAJMEQ-JKOKRWQUSA-N 0 3 216.328 2.921 20 0 BFADHN CC[C@H](NC[C@H]1CC=CCC1)c1nccn1C ZINC000182630120 385689282 /nfs/dbraw/zinc/68/92/82/385689282.db2.gz VXRYSUXKLGUURB-STQMWFEESA-N 0 3 233.359 2.817 20 0 BFADHN Cc1nn(C(C)C)cc1CN(C)C(C)C ZINC000281658424 385690665 /nfs/dbraw/zinc/69/06/65/385690665.db2.gz QAZSRTTUEAVLAV-UHFFFAOYSA-N 0 3 209.337 2.613 20 0 BFADHN C[C@@H]1C[C@@H]1NCc1ccc(Cl)s1 ZINC000052272379 385717747 /nfs/dbraw/zinc/71/77/47/385717747.db2.gz OQRFZOXYVMWQRH-SVRRBLITSA-N 0 3 201.722 2.900 20 0 BFADHN COc1cc(C)nc(CN(C)CCC2CC2)c1 ZINC000270310081 385696339 /nfs/dbraw/zinc/69/63/39/385696339.db2.gz PRGFVDIYXZWFAQ-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN Fc1ccc(CN[C@H]2CCC23CCC3)nc1 ZINC000309566743 385697372 /nfs/dbraw/zinc/69/73/72/385697372.db2.gz LCFPQURUPIZFNF-LBPRGKRZSA-N 0 3 220.291 2.643 20 0 BFADHN COc1cc(C)nc(CN(C)C2CCCC2)c1 ZINC000270345490 385698488 /nfs/dbraw/zinc/69/84/88/385698488.db2.gz VILWBWGFECKPCU-UHFFFAOYSA-N 0 3 234.343 2.773 20 0 BFADHN Cc1c(CN2CC=C(C(C)(C)C)CC2)cnn1C ZINC000270349499 385701871 /nfs/dbraw/zinc/70/18/71/385701871.db2.gz HJXWVPXHDVMRPE-UHFFFAOYSA-N 0 3 247.386 2.907 20 0 BFADHN C[C@H](N[C@@H]1CSC[C@@H]1C)c1cncc(F)c1 ZINC000309585314 385718296 /nfs/dbraw/zinc/71/82/96/385718296.db2.gz RPKLYSVCFWZQKU-HOTUBEGUSA-N 0 3 240.347 2.623 20 0 BFADHN CCc1cccnc1NC(=O)C(C)C(F)(F)F ZINC000337569329 385706633 /nfs/dbraw/zinc/70/66/33/385706633.db2.gz AWHUGESYONGXPU-ZETCQYMHSA-N 0 3 246.232 2.781 20 0 BFADHN CN(CCCO)Cc1ccc(C2CCC2)cc1 ZINC000281923746 385715057 /nfs/dbraw/zinc/71/50/57/385715057.db2.gz SADJKMGXPINHFT-UHFFFAOYSA-N 0 3 233.355 2.768 20 0 BFADHN C[C@@H](NCc1ccn(C)c1)c1ccc(Cl)nc1 ZINC000270520813 385715365 /nfs/dbraw/zinc/71/53/65/385715365.db2.gz RNODIKBZYBESDL-SNVBAGLBSA-N 0 3 249.745 2.924 20 0 BFADHN C[C@H](N[C@H]1CCCSC1)c1ccncc1F ZINC000309582810 385716613 /nfs/dbraw/zinc/71/66/13/385716613.db2.gz QWWRDFHAKCOZRC-UWVGGRQHSA-N 0 3 240.347 2.767 20 0 BFADHN CCOc1ccc([C@@H](C)NC[C@@H](C)OC)cc1 ZINC000182421863 385670098 /nfs/dbraw/zinc/67/00/98/385670098.db2.gz HXLWKQPYJVRYRZ-VXGBXAGGSA-N 0 3 237.343 2.771 20 0 BFADHN Cc1nc(C)c(CN2CCCSCC2)s1 ZINC000270678781 385728936 /nfs/dbraw/zinc/72/89/36/385728936.db2.gz UUEOSTZKVMXDOH-UHFFFAOYSA-N 0 3 242.413 2.699 20 0 BFADHN c1c[nH]c(CNCCC2=CCCCCC2)n1 ZINC000185407047 385729160 /nfs/dbraw/zinc/72/91/60/385729160.db2.gz BGFOYQXZDPPONR-UHFFFAOYSA-N 0 3 219.332 2.780 20 0 BFADHN CSCCN[C@H](c1ncc(C)s1)C1CC1 ZINC000185283057 385729409 /nfs/dbraw/zinc/72/94/09/385729409.db2.gz QNCGXHCXVDIIGF-JTQLQIEISA-N 0 3 242.413 2.855 20 0 BFADHN CC(C)Cc1ccc(CN2CCN(C)CC2)cc1 ZINC000337618710 385729544 /nfs/dbraw/zinc/72/95/44/385729544.db2.gz CUIBJLQAIZLQGW-UHFFFAOYSA-N 0 3 246.398 2.633 20 0 BFADHN COC(=O)c1coc(CN(C(C)C)C(C)C)c1 ZINC000183019197 385730545 /nfs/dbraw/zinc/73/05/45/385730545.db2.gz OXMRELNJMWBINX-UHFFFAOYSA-N 0 3 239.315 2.685 20 0 BFADHN CCSc1cccc(CNC(C)(C)CO)c1 ZINC000185528942 385731926 /nfs/dbraw/zinc/73/19/26/385731926.db2.gz RQRBVUORSMXIPK-UHFFFAOYSA-N 0 3 239.384 2.659 20 0 BFADHN C[C@H](N[C@H]1CCC12CCOCC2)c1ccccn1 ZINC000368523668 385732293 /nfs/dbraw/zinc/73/22/93/385732293.db2.gz VQHSNLMFFLOOBG-JSGCOSHPSA-N 0 3 246.354 2.691 20 0 BFADHN CCN(C[C@H]1CCCO1)[C@@H](C)c1cccnc1 ZINC000270715972 385733097 /nfs/dbraw/zinc/73/30/97/385733097.db2.gz JKDSYNQJMJXTJI-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN CCN(CC(C)(C)O)[C@@H](C)c1ccccc1F ZINC000270751229 385737669 /nfs/dbraw/zinc/73/76/69/385737669.db2.gz UEQGYYJHTGJWJU-NSHDSACASA-N 0 3 239.334 2.980 20 0 BFADHN CC(C)OCC(C)(C)NC/C=C/c1ccncc1 ZINC000414551678 385739392 /nfs/dbraw/zinc/73/93/92/385739392.db2.gz PEFRAPBGLRENQC-AATRIKPKSA-N 0 3 248.370 2.888 20 0 BFADHN COCc1ccc(CN[C@H]2CCC2(C)C)o1 ZINC000289946946 385740345 /nfs/dbraw/zinc/74/03/45/385740345.db2.gz BPJBLECMBVOYCM-LBPRGKRZSA-N 0 3 223.316 2.704 20 0 BFADHN CCC(CC)CN1CC(CO)(c2ccccc2)C1 ZINC000414551597 385740676 /nfs/dbraw/zinc/74/06/76/385740676.db2.gz PQENIGTWRJRMBN-UHFFFAOYSA-N 0 3 247.382 2.669 20 0 BFADHN COCc1ccc(CN[C@H]2CC[C@@H](C)C2)o1 ZINC000336694851 385742057 /nfs/dbraw/zinc/74/20/57/385742057.db2.gz LKEQSNWFRVPOFF-MNOVXSKESA-N 0 3 223.316 2.704 20 0 BFADHN COC1(CNCc2ccoc2C)CCCC1 ZINC000309614714 385744811 /nfs/dbraw/zinc/74/48/11/385744811.db2.gz CLXFXTXSJRNVGX-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN CS[C@@H](CN[C@@H](C)c1ncc[nH]1)C(C)(C)C ZINC000336696661 385746497 /nfs/dbraw/zinc/74/64/97/385746497.db2.gz LXWUINZECCXYDR-UWVGGRQHSA-N 0 3 241.404 2.838 20 0 BFADHN CCOCCCN[C@@H](C)c1sccc1OC ZINC000186073048 385746723 /nfs/dbraw/zinc/74/67/23/385746723.db2.gz JRESVBWEYUSDHV-JTQLQIEISA-N 0 3 243.372 2.834 20 0 BFADHN C[C@H](O)C(C)(C)NCc1coc2ccccc12 ZINC000161151343 385747838 /nfs/dbraw/zinc/74/78/38/385747838.db2.gz ZXSDEJISSPIMMU-JTQLQIEISA-N 0 3 233.311 2.682 20 0 BFADHN C[C@H](CNCc1cccc(O)c1)c1nccs1 ZINC000230693231 385749351 /nfs/dbraw/zinc/74/93/51/385749351.db2.gz WAWASDHXQHWDNV-SNVBAGLBSA-N 0 3 248.351 2.742 20 0 BFADHN Cc1ccc([C@H]2CCN(Cc3cc[nH]n3)C2)cc1 ZINC000363852725 385749505 /nfs/dbraw/zinc/74/95/05/385749505.db2.gz SGWXTYRXWPYTAO-AWEZNQCLSA-N 0 3 241.338 2.708 20 0 BFADHN CC[C@H](F)CN1CCS[C@@H](C)[C@@H]1C ZINC000307708600 385786671 /nfs/dbraw/zinc/78/66/71/385786671.db2.gz JIXVLGZFDZVSDM-GUBZILKMSA-N 0 3 205.342 2.560 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1sccc1OC ZINC000186175183 385753199 /nfs/dbraw/zinc/75/31/99/385753199.db2.gz BJZPIUJMWNUZSA-UWVGGRQHSA-N 0 3 243.372 2.568 20 0 BFADHN Cn1ccnc1[C@@H](N[C@@H]1CC=CCC1)C1CC1 ZINC000183329976 385753747 /nfs/dbraw/zinc/75/37/47/385753747.db2.gz JIBAIDBDMVFMHM-OLZOCXBDSA-N 0 3 231.343 2.570 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C2)cc1F ZINC000337701420 385754631 /nfs/dbraw/zinc/75/46/31/385754631.db2.gz WVEPBIKEHOFJGZ-JTQLQIEISA-N 0 3 223.291 2.676 20 0 BFADHN Cn1ccnc1[C@@H](N[C@H]1CC=CCC1)C1CC1 ZINC000183329933 385754848 /nfs/dbraw/zinc/75/48/48/385754848.db2.gz JIBAIDBDMVFMHM-STQMWFEESA-N 0 3 231.343 2.570 20 0 BFADHN CCC1(CO)CCN([C@@H](C)c2ccccn2)CC1 ZINC000270899563 385759084 /nfs/dbraw/zinc/75/90/84/385759084.db2.gz OGJKNTWTLWSJDV-ZDUSSCGKSA-N 0 3 248.370 2.627 20 0 BFADHN CCCCN(CC)Cc1cnc(N(C)C)s1 ZINC000270990064 385767755 /nfs/dbraw/zinc/76/77/55/385767755.db2.gz HCOKUWVBMFODNN-UHFFFAOYSA-N 0 3 241.404 2.831 20 0 BFADHN Cc1ccc(CN(C)Cc2ccn(C)c2)cc1 ZINC000271004890 385770843 /nfs/dbraw/zinc/77/08/43/385770843.db2.gz MWSQSWKVKVXEGD-UHFFFAOYSA-N 0 3 228.339 2.966 20 0 BFADHN COc1ccc(CN2CCC(OC)CC2)cc1C ZINC000271048281 385777442 /nfs/dbraw/zinc/77/74/42/385777442.db2.gz SMTFTTDTEPEHIG-UHFFFAOYSA-N 0 3 249.354 2.614 20 0 BFADHN CC(C)[C@H]1N(Cc2ccc(CO)o2)CC1(C)C ZINC000293991527 385781263 /nfs/dbraw/zinc/78/12/63/385781263.db2.gz SDCTYQBWRJAINU-CYBMUJFWSA-N 0 3 237.343 2.638 20 0 BFADHN CCOc1ccc(CN(C)CC)cc1F ZINC000259012691 385782545 /nfs/dbraw/zinc/78/25/45/385782545.db2.gz GJVPWTUBZMLHTD-UHFFFAOYSA-N 0 3 211.280 2.676 20 0 BFADHN COCc1ccc(CN(C)[C@@H](C)C(C)C)o1 ZINC000271062186 385782821 /nfs/dbraw/zinc/78/28/21/385782821.db2.gz YGEDAFFFUVUHDH-NSHDSACASA-N 0 3 225.332 2.902 20 0 BFADHN CCSCCN[C@@H]1COc2ccc(F)cc21 ZINC000309670210 385784679 /nfs/dbraw/zinc/78/46/79/385784679.db2.gz VSKGEHWDSFDGJG-LLVKDONJSA-N 0 3 241.331 2.602 20 0 BFADHN Cl/C=C/CN[C@H]1CCc2ccccc2OC1 ZINC000309598729 385726789 /nfs/dbraw/zinc/72/67/89/385726789.db2.gz VQIBBZWNQAHBFA-DGDCUBRUSA-N 0 3 237.730 2.722 20 0 BFADHN CCN(CCn1ccnc1C)Cc1cccs1 ZINC000270648705 385727201 /nfs/dbraw/zinc/72/72/01/385727201.db2.gz LFDMEKFVEGXZBK-UHFFFAOYSA-N 0 3 249.383 2.775 20 0 BFADHN C[C@H](O)CCN(C)Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000271175173 385797859 /nfs/dbraw/zinc/79/78/59/385797859.db2.gz JEEREWZPNWFSIW-MDZLAQPJSA-N 0 3 237.343 2.606 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CC[C@H](O)[C@H](C)C1 ZINC000186833396 385799078 /nfs/dbraw/zinc/79/90/78/385799078.db2.gz IVZXEHOMYWHDSS-SUNKGSAMSA-N 0 3 237.318 2.589 20 0 BFADHN CCC1(NCc2cccc(OC)n2)CCC1 ZINC000183865569 385799761 /nfs/dbraw/zinc/79/97/61/385799761.db2.gz CAMWADZXMNUVAQ-UHFFFAOYSA-N 0 3 220.316 2.513 20 0 BFADHN CO[C@@H]1[C@H](C)[C@@H](N[C@H](C)c2ccccn2)C1(C)C ZINC000271862193 385856414 /nfs/dbraw/zinc/85/64/14/385856414.db2.gz KRNMUFVOJKJWRG-HBJVGIJOSA-N 0 3 248.370 2.792 20 0 BFADHN Cc1ccoc1CNCCSC(C)C ZINC000289972216 385802014 /nfs/dbraw/zinc/80/20/14/385802014.db2.gz DEDIOAYWOLRQHF-UHFFFAOYSA-N 0 3 213.346 2.819 20 0 BFADHN CC(C)[C@@H]1N(Cc2cccnc2)CC1(C)C ZINC000294094260 385803861 /nfs/dbraw/zinc/80/38/61/385803861.db2.gz ZTKLWWXIJOSXJY-ZDUSSCGKSA-N 0 3 218.344 2.948 20 0 BFADHN COC[C@@H]1CCN(Cc2ccc([C@H]3C[C@@H]3C)o2)C1 ZINC000271199885 385804392 /nfs/dbraw/zinc/80/43/92/385804392.db2.gz TWLMKXRSQDTJQF-SCRDCRAPSA-N 0 3 249.354 2.871 20 0 BFADHN CO[C@@H]1[C@H](C)[C@@H](N[C@@H](C)c2ccccn2)C1(C)C ZINC000271862196 385856844 /nfs/dbraw/zinc/85/68/44/385856844.db2.gz KRNMUFVOJKJWRG-ZMJPVWNMSA-N 0 3 248.370 2.792 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@H](NCc2ncccc2C)C1(C)C ZINC000271865890 385856874 /nfs/dbraw/zinc/85/68/74/385856874.db2.gz LKCYYCQCRCUTKP-FPMFFAJLSA-N 0 3 248.370 2.539 20 0 BFADHN c1coc([C@@H]2C[C@H]2NCc2ccsc2)c1 ZINC000414561771 385808161 /nfs/dbraw/zinc/80/81/61/385808161.db2.gz ACHCOQQMDVUTGI-GHMZBOCLSA-N 0 3 219.309 2.987 20 0 BFADHN COCc1ccc(CN2CC[C@H](C)[C@@H]2C)o1 ZINC000271248990 385810349 /nfs/dbraw/zinc/81/03/49/385810349.db2.gz HYUHCFDULXWCRP-QWRGUYRKSA-N 0 3 223.316 2.656 20 0 BFADHN C[C@H](N[C@@H]1CSC1(C)C)c1cncs1 ZINC000283161610 385813037 /nfs/dbraw/zinc/81/30/37/385813037.db2.gz IQAGNNPPDZYRJV-IONNQARKSA-N 0 3 228.386 2.688 20 0 BFADHN COc1ncccc1CN[C@H]1CC=C(C)CC1 ZINC000294148495 385813608 /nfs/dbraw/zinc/81/36/08/385813608.db2.gz HVPMXHFOROQDRQ-ZDUSSCGKSA-N 0 3 232.327 2.679 20 0 BFADHN CCN(Cc1cccc(Cl)c1)[C@@H]1CCOC1 ZINC000271294979 385815526 /nfs/dbraw/zinc/81/55/26/385815526.db2.gz RAMLNBMTYMGSJW-CYBMUJFWSA-N 0 3 239.746 2.951 20 0 BFADHN c1cncc(CN2CCC[C@@]3(CCSC3)C2)c1 ZINC000335579752 385816018 /nfs/dbraw/zinc/81/60/18/385816018.db2.gz JWQXEGNLNRBDQK-CQSZACIVSA-N 0 3 248.395 2.801 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1ccc(OC)nn1 ZINC000283300052 385816546 /nfs/dbraw/zinc/81/65/46/385816546.db2.gz YLCLAWPXEPTOQV-CYBMUJFWSA-N 0 3 249.358 2.640 20 0 BFADHN Cc1ccncc1CCCN1CCC[C@@H]1CF ZINC000294209035 385822724 /nfs/dbraw/zinc/82/27/24/385822724.db2.gz YDOPHZWKEXSROZ-CQSZACIVSA-N 0 3 236.334 2.757 20 0 BFADHN Cc1nc(C)c(CN[C@H](C)CC2CCC2)o1 ZINC000311796021 385822946 /nfs/dbraw/zinc/82/29/46/385822946.db2.gz SABVEFKUJVCQCJ-SECBINFHSA-N 0 3 222.332 2.960 20 0 BFADHN Cc1ccc(CN(C(C)C)[C@H]2CCOC2)o1 ZINC000271440182 385828439 /nfs/dbraw/zinc/82/84/39/385828439.db2.gz RQOGJLMFGNRZOX-LBPRGKRZSA-N 0 3 223.316 2.587 20 0 BFADHN C[C@H](NCCCc1ccccn1)c1cncs1 ZINC000271493885 385833920 /nfs/dbraw/zinc/83/39/20/385833920.db2.gz KVXQBKSQJLDMCK-NSHDSACASA-N 0 3 247.367 2.822 20 0 BFADHN CCN(CC(=O)Nc1cccc(C)c1)CC1CC1 ZINC000112210588 385835882 /nfs/dbraw/zinc/83/58/82/385835882.db2.gz XBXKUSFXYWUVOO-UHFFFAOYSA-N 0 3 246.354 2.665 20 0 BFADHN CC[C@@H](C)CN(C)Cc1ccc(OC)cn1 ZINC000271888075 385858313 /nfs/dbraw/zinc/85/83/13/385858313.db2.gz DKMRPBNYOHUBDX-LLVKDONJSA-N 0 3 222.332 2.568 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccn(C)n2)CC(C)(C)C1 ZINC000112344533 385839387 /nfs/dbraw/zinc/83/93/87/385839387.db2.gz QWXYVESMQOPYSQ-YPMHNXCESA-N 0 3 235.375 2.725 20 0 BFADHN Cc1cnc(CN[C@@H](C)CC2CC2)s1 ZINC000122959405 385842212 /nfs/dbraw/zinc/84/22/12/385842212.db2.gz HDSUFINEPUCOFM-QMMMGPOBSA-N 0 3 210.346 2.730 20 0 BFADHN CCC[C@@H](CN(C)Cc1cccc(C)n1)OC ZINC000638110033 385844182 /nfs/dbraw/zinc/84/41/82/385844182.db2.gz AECNNXHXFMUMPF-AWEZNQCLSA-N 0 3 236.359 2.637 20 0 BFADHN CCN(CCC(C)(C)C)Cc1cnn(C)c1 ZINC000112697437 385844385 /nfs/dbraw/zinc/84/43/85/385844385.db2.gz BBCYLIAASMHOPU-UHFFFAOYSA-N 0 3 223.364 2.678 20 0 BFADHN C[C@@H](F)CCN[C@@H](C)c1nc2ccccc2n1C ZINC000340462027 385848260 /nfs/dbraw/zinc/84/82/60/385848260.db2.gz TYGOAYGJOISIQS-MNOVXSKESA-N 0 3 249.333 2.972 20 0 BFADHN CC[C@H](O)CN[C@@H](CC)c1cc(F)ccc1F ZINC000271767551 385849829 /nfs/dbraw/zinc/84/98/29/385849829.db2.gz JBAFQWZTNZJNNY-GWCFXTLKSA-N 0 3 243.297 2.776 20 0 BFADHN CCCCN(CCCO)[C@H](C)c1ccccn1 ZINC000271807245 385851058 /nfs/dbraw/zinc/85/10/58/385851058.db2.gz XVMFWPFNCSKQRS-CYBMUJFWSA-N 0 3 236.359 2.627 20 0 BFADHN C[C@@H](NCc1ccn(C)n1)[C@H](C)c1ccccc1 ZINC000271792801 385851209 /nfs/dbraw/zinc/85/12/09/385851209.db2.gz HFGUNGVPFCKILE-QWHCGFSZSA-N 0 3 243.354 2.702 20 0 BFADHN Cc1ccc2nc(CNC3(C)CCC3)cn2c1 ZINC000284150083 385853481 /nfs/dbraw/zinc/85/34/81/385853481.db2.gz ZHNYNBSUSFPTFY-UHFFFAOYSA-N 0 3 229.327 2.675 20 0 BFADHN Cc1ccc(CN2CCCO[C@@H](C(C)C)C2)o1 ZINC000294030707 385791354 /nfs/dbraw/zinc/79/13/54/385791354.db2.gz OFDGBYGRIOEBLP-CQSZACIVSA-N 0 3 237.343 2.835 20 0 BFADHN Cc1ccc(CN2CCCO[C@H](C(C)C)C2)o1 ZINC000294030704 385791411 /nfs/dbraw/zinc/79/14/11/385791411.db2.gz OFDGBYGRIOEBLP-AWEZNQCLSA-N 0 3 237.343 2.835 20 0 BFADHN c1coc(CN[C@H]2CCc3ccccc3OC2)c1 ZINC000337798595 385791625 /nfs/dbraw/zinc/79/16/25/385791625.db2.gz GZRRVASSAJDCJH-ZDUSSCGKSA-N 0 3 243.306 2.763 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1cncs1 ZINC000123110212 385856081 /nfs/dbraw/zinc/85/60/81/385856081.db2.gz KGKJDJORZANRGY-VWYCJHECSA-N 0 3 240.372 2.751 20 0 BFADHN c1coc(CN[C@@H]2CCc3ccccc3OC2)c1 ZINC000337798590 385792551 /nfs/dbraw/zinc/79/25/51/385792551.db2.gz GZRRVASSAJDCJH-CYBMUJFWSA-N 0 3 243.306 2.763 20 0 BFADHN Cc1nnsc1CN[C@H]1CC[C@@H]1C(C)(C)C ZINC000336707519 385794331 /nfs/dbraw/zinc/79/43/31/385794331.db2.gz QGFFOSHNWSLTLM-UWVGGRQHSA-N 0 3 239.388 2.761 20 0 BFADHN CO[C@H]1CCN(C/C(C)=C/c2ccccc2)C1 ZINC000271168642 385796987 /nfs/dbraw/zinc/79/69/87/385796987.db2.gz GPQBCCFLGXMEDE-VOMSXAGXSA-N 0 3 231.339 2.811 20 0 BFADHN COc1c(O)cccc1CN(C)[C@H](C)C1CC1 ZINC000272237630 385885608 /nfs/dbraw/zinc/88/56/08/385885608.db2.gz FXNPMKQQTIEMJG-SNVBAGLBSA-N 0 3 235.327 2.631 20 0 BFADHN c1ccc(C2=CCCN(C[C@@H]3CCCO3)C2)cc1 ZINC000284848018 385886019 /nfs/dbraw/zinc/88/60/19/385886019.db2.gz TUIAGTAXXXPJAV-INIZCTEOSA-N 0 3 243.350 2.955 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)cn1 ZINC000355070986 385888009 /nfs/dbraw/zinc/88/80/09/385888009.db2.gz AJHZCCLOUPYDHW-UPJWGTAASA-N 0 3 248.370 2.957 20 0 BFADHN Cc1nocc1CN1CC[C@H](C)C[C@@H]1C ZINC000294726946 385888582 /nfs/dbraw/zinc/88/85/82/385888582.db2.gz PUFJIYBPNSFEOT-UWVGGRQHSA-N 0 3 208.305 2.603 20 0 BFADHN CO[C@H]1CCN(Cc2cccc(O)c2)CC1(C)C ZINC000294732300 385889741 /nfs/dbraw/zinc/88/97/41/385889741.db2.gz DKXFWSJLCWPOHU-AWEZNQCLSA-N 0 3 249.354 2.639 20 0 BFADHN CCCCN(C)Cc1cccc(O)c1OC ZINC000272265720 385890411 /nfs/dbraw/zinc/89/04/11/385890411.db2.gz KSVZMXOQGZYRFI-UHFFFAOYSA-N 0 3 223.316 2.633 20 0 BFADHN COC[C@@H]1CCN(Cc2cc(F)ccc2C)C1 ZINC000272280896 385890512 /nfs/dbraw/zinc/89/05/12/385890512.db2.gz MRIZUQOLUTXKSF-GFCCVEGCSA-N 0 3 237.318 2.602 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1cc(C)oc1C ZINC000217737238 385890564 /nfs/dbraw/zinc/89/05/64/385890564.db2.gz WJJRAUHYXUTWIQ-ZYHUDNBSSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1ccncc1CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000284968764 385891366 /nfs/dbraw/zinc/89/13/66/385891366.db2.gz XOUWAFXZEMUOTN-GJZGRUSLSA-N 0 3 246.354 2.533 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H]1CCCc2c(O)cccc21 ZINC000285457856 385928822 /nfs/dbraw/zinc/92/88/22/385928822.db2.gz ZSVFANKQBXGMGI-MJVIPROJSA-N 0 3 249.354 2.783 20 0 BFADHN CC[C@H]1CN(Cc2ccncc2C)CCS1 ZINC000294772745 385893549 /nfs/dbraw/zinc/89/35/49/385893549.db2.gz JMBSQMQZRDGQNP-ZDUSSCGKSA-N 0 3 236.384 2.717 20 0 BFADHN CCC[C@@H](O)CN(Cc1cccs1)C1CC1 ZINC000294782416 385895742 /nfs/dbraw/zinc/89/57/42/385895742.db2.gz ABCITYZHFAHFEC-GFCCVEGCSA-N 0 3 239.384 2.874 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cccc(O)c1OC ZINC000272337509 385896116 /nfs/dbraw/zinc/89/61/16/385896116.db2.gz XVSLSKJIQCNQRH-LLVKDONJSA-N 0 3 237.343 2.879 20 0 BFADHN COc1c(O)cccc1CN1CC[C@H](C)[C@H]1C ZINC000272376254 385897030 /nfs/dbraw/zinc/89/70/30/385897030.db2.gz HDNUZSSXKKYTAI-WDEREUQCSA-N 0 3 235.327 2.631 20 0 BFADHN CCC[C@@H](O)CN(C)[C@H](C)c1cccs1 ZINC000294800320 385897794 /nfs/dbraw/zinc/89/77/94/385897794.db2.gz CCKZIZSWNSWPKB-GHMZBOCLSA-N 0 3 227.373 2.902 20 0 BFADHN CCC[C@@H](O)CN(C)[C@@H](C)c1cccs1 ZINC000294800331 385897960 /nfs/dbraw/zinc/89/79/60/385897960.db2.gz CCKZIZSWNSWPKB-WDEREUQCSA-N 0 3 227.373 2.902 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2occc2C)C12CCC2 ZINC000272400436 385899662 /nfs/dbraw/zinc/89/96/62/385899662.db2.gz KBEOWIGUHBHKAB-IACUBPJLSA-N 0 3 249.354 2.881 20 0 BFADHN CO[C@H]1CCCN(Cc2csc(C)c2)C1 ZINC000294830305 385902274 /nfs/dbraw/zinc/90/22/74/385902274.db2.gz NKJDKSQQHIREBT-LBPRGKRZSA-N 0 3 225.357 2.667 20 0 BFADHN CCC[C@H](C)N[C@@H](COC)c1ccco1 ZINC000122303435 385907385 /nfs/dbraw/zinc/90/73/85/385907385.db2.gz WSGOQEBAZBZZPF-QWRGUYRKSA-N 0 3 211.305 2.745 20 0 BFADHN CCC[C@H](O)CN[C@H](CC)c1nc(C)cs1 ZINC000294874050 385907936 /nfs/dbraw/zinc/90/79/36/385907936.db2.gz BIBFDSRWURNWBP-WDEREUQCSA-N 0 3 242.388 2.653 20 0 BFADHN Cc1ccc(CN2CCC3(CCOCC3)CC2)o1 ZINC000285256898 385911699 /nfs/dbraw/zinc/91/16/99/385911699.db2.gz TVXHWCYSBZLUEC-UHFFFAOYSA-N 0 3 249.354 2.981 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@H]1C)c1ccn(C)n1 ZINC000414046299 385929671 /nfs/dbraw/zinc/92/96/71/385929671.db2.gz AITJVNMPWWPJGK-GRYCIOLGSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@H](C)C[C@H](C)C2)n1 ZINC000355118610 385913449 /nfs/dbraw/zinc/91/34/49/385913449.db2.gz VQDHUVJTMMRCRC-RYUDHWBXSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@H](C)C[C@H](C)C2)n1 ZINC000355118610 385913452 /nfs/dbraw/zinc/91/34/52/385913452.db2.gz VQDHUVJTMMRCRC-RYUDHWBXSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccoc1CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000285301685 385913675 /nfs/dbraw/zinc/91/36/75/385913675.db2.gz VXBNIOFMLKMSDG-STQMWFEESA-N 0 3 235.327 2.731 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1snnc1C ZINC000308941676 385914474 /nfs/dbraw/zinc/91/44/74/385914474.db2.gz VAPXQZGFFNQDDN-DTWKUNHWSA-N 0 3 227.377 2.761 20 0 BFADHN C[C@H](N[C@H]1C[C@@H]1Cc1ccccc1)c1cn[nH]c1 ZINC000285323443 385914614 /nfs/dbraw/zinc/91/46/14/385914614.db2.gz TXKNZAUDJCBLMQ-WHOFXGATSA-N 0 3 241.338 2.692 20 0 BFADHN CS[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC000294912434 385914753 /nfs/dbraw/zinc/91/47/53/385914753.db2.gz AGRGRKQCVYMCGE-LLVKDONJSA-N 0 3 227.398 2.994 20 0 BFADHN CCC1(NCc2cc(F)ncc2F)CCC1 ZINC000294915760 385917420 /nfs/dbraw/zinc/91/74/20/385917420.db2.gz ALNIYWLHDTVANQ-UHFFFAOYSA-N 0 3 226.270 2.782 20 0 BFADHN C[Si](C)(C)CN1CCCC[C@@H]1c1cc[nH]n1 ZINC000285362165 385917947 /nfs/dbraw/zinc/91/79/47/385917947.db2.gz LYCLNLSIBKQDQB-GFCCVEGCSA-N 0 3 237.423 2.814 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cnc2ccccc2c1 ZINC000285473192 385930100 /nfs/dbraw/zinc/93/01/00/385930100.db2.gz BGQJXFRQWYOOLP-LBPRGKRZSA-N 0 3 244.338 2.702 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1ccncc1Cl ZINC000335034692 385923248 /nfs/dbraw/zinc/92/32/48/385923248.db2.gz OGXHQBILOPNFQN-ZJUUUORDSA-N 0 3 240.734 2.565 20 0 BFADHN CSC[C@@H](C)N[C@@H](C)c1ccncc1F ZINC000336726798 385924703 /nfs/dbraw/zinc/92/47/03/385924703.db2.gz HCCQYYSOVVGYSH-BDAKNGLRSA-N 0 3 228.336 2.623 20 0 BFADHN CO[C@H]1CCN(Cc2ccsc2)CC1(C)C ZINC000294972872 385930174 /nfs/dbraw/zinc/93/01/74/385930174.db2.gz JEMXCUKPOWFEIZ-LBPRGKRZSA-N 0 3 239.384 2.995 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3ccccc3F)CC2)CCO1 ZINC000271927078 385861157 /nfs/dbraw/zinc/86/11/57/385861157.db2.gz CLZLEWYJMYUDSD-VXGBXAGGSA-N 0 3 249.329 2.972 20 0 BFADHN COc1ccc(CN2CC[C@H](C)[C@H](C)C2)nc1 ZINC000271998977 385865593 /nfs/dbraw/zinc/86/55/93/385865593.db2.gz HWNJZYZVSWDLAA-NWDGAFQWSA-N 0 3 234.343 2.568 20 0 BFADHN CC(C)CSCCN[C@@H](C)c1ccncn1 ZINC000397388538 385867046 /nfs/dbraw/zinc/86/70/46/385867046.db2.gz MXJWJGUJHKXNCX-NSHDSACASA-N 0 3 239.388 2.516 20 0 BFADHN CCC[C@@H](O)CNCc1ccc(Cl)cc1 ZINC000123282111 385867951 /nfs/dbraw/zinc/86/79/51/385867951.db2.gz ZAVRWPQWSWNOPL-GFCCVEGCSA-N 0 3 227.735 2.591 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1c(C)noc1C ZINC000336726038 385869438 /nfs/dbraw/zinc/86/94/38/385869438.db2.gz GRHBIQPIUABXMC-JQWIXIFHSA-N 0 3 208.305 2.570 20 0 BFADHN CC(C)(C)c1ncc(CN[C@@H]2CC=CCC2)cn1 ZINC000284416050 385869851 /nfs/dbraw/zinc/86/98/51/385869851.db2.gz QXBFBHSBWLDPDV-CYBMUJFWSA-N 0 3 245.370 2.972 20 0 BFADHN COc1ncccc1CN[C@H]1CC[C@H]1C1CCC1 ZINC000337988697 385869972 /nfs/dbraw/zinc/86/99/72/385869972.db2.gz NKUWULRQXUMDHD-KBPBESRZSA-N 0 3 246.354 2.759 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1ccc(OC)cn1 ZINC000272025322 385870686 /nfs/dbraw/zinc/87/06/86/385870686.db2.gz MCPUHKASPGCTGB-AAEUAGOBSA-N 0 3 234.343 2.853 20 0 BFADHN CC(C)(C)c1ncc(CN[C@@H]2CC2(C)C)cn1 ZINC000284454410 385870787 /nfs/dbraw/zinc/87/07/87/385870787.db2.gz UOAKYDJXEVKCRG-LLVKDONJSA-N 0 3 233.359 2.662 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CCCOC1)c1ccco1 ZINC000336738417 385875459 /nfs/dbraw/zinc/87/54/59/385875459.db2.gz KDTYOMATLVFADG-SDDRHHMPSA-N 0 3 223.316 2.745 20 0 BFADHN C[C@H](N[C@H](CO)CC1CCCC1)c1ccccn1 ZINC000338007187 385877252 /nfs/dbraw/zinc/87/72/52/385877252.db2.gz KSMGMKNJRRSZGL-JSGCOSHPSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H](N[C@@H](CO)CC1CCCC1)c1ccco1 ZINC000338026823 385877317 /nfs/dbraw/zinc/87/73/17/385877317.db2.gz KUKVHQRLIOZWBK-DGCLKSJQSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](N[C@H](CO)CC1CCCC1)c1ccco1 ZINC000338026819 385877932 /nfs/dbraw/zinc/87/79/32/385877932.db2.gz KUKVHQRLIOZWBK-AAEUAGOBSA-N 0 3 237.343 2.871 20 0 BFADHN CCc1c(C)nc2ccccc2c1N(C)CCO ZINC000272132333 385879238 /nfs/dbraw/zinc/87/92/38/385879238.db2.gz WWNMJUDDYFBYHS-UHFFFAOYSA-N 0 3 244.338 2.534 20 0 BFADHN Cc1cnccc1CN1CCS[C@@H](C)[C@@H]1C ZINC000294688951 385882812 /nfs/dbraw/zinc/88/28/12/385882812.db2.gz DPKDCPNFEZDFRF-RYUDHWBXSA-N 0 3 236.384 2.716 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2CC[C@@H](CO)C2)o1 ZINC000295130699 385975411 /nfs/dbraw/zinc/97/54/11/385975411.db2.gz YAJRMLIWYUWIEL-NMKXLXIOSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@H]2CCCN2C)o1 ZINC000221146228 385977686 /nfs/dbraw/zinc/97/76/86/385977686.db2.gz WLGVYDYECMHXEL-DYEKYZERSA-N 0 3 248.370 2.587 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@@H](C)c2cncs2)C1 ZINC000414132312 385979235 /nfs/dbraw/zinc/97/92/35/385979235.db2.gz AJJPTGDYYFDKBE-GARJFASQSA-N 0 3 240.372 2.750 20 0 BFADHN COc1ccc(F)cc1CN[C@H](C)[C@@H]1C[C@@H]1C ZINC000414131841 385980000 /nfs/dbraw/zinc/98/00/00/385980000.db2.gz LMCKYPGLOUBZHM-OPQQBVKSSA-N 0 3 237.318 2.968 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)[C@@H]1C[C@H]1C ZINC000414145402 385994933 /nfs/dbraw/zinc/99/49/33/385994933.db2.gz KGGQVDMVQQOXHG-WISYIIOYSA-N 0 3 221.348 2.598 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCCSC2)s1 ZINC000295602382 385982773 /nfs/dbraw/zinc/98/27/73/385982773.db2.gz CYRJZXFGTTWUAU-JTQLQIEISA-N 0 3 242.413 2.684 20 0 BFADHN FCCCCN1CCC=C(c2cccnc2)C1 ZINC000295181266 385983093 /nfs/dbraw/zinc/98/30/93/385983093.db2.gz IRORNDXVXBETAA-UHFFFAOYSA-N 0 3 234.318 2.920 20 0 BFADHN C[C@H](N[C@@H](c1nccn1C)C1CC1)[C@H]1C[C@H]1C ZINC000414135729 385984712 /nfs/dbraw/zinc/98/47/12/385984712.db2.gz FDSSRNZFZRACEM-RSLMWUCJSA-N 0 3 233.359 2.505 20 0 BFADHN Cc1nc(CCN2CCC(CF)CC2)cs1 ZINC000295180157 385984972 /nfs/dbraw/zinc/98/49/72/385984972.db2.gz ROEFSNINSUBAHY-UHFFFAOYSA-N 0 3 242.363 2.676 20 0 BFADHN Cc1cnc(CNC[C@H]2CCCSC2)s1 ZINC000295602383 385985235 /nfs/dbraw/zinc/98/52/35/385985235.db2.gz CYRJZXFGTTWUAU-SNVBAGLBSA-N 0 3 242.413 2.684 20 0 BFADHN C[C@@H](N[C@@H](c1nccn1C)C1CC1)[C@H]1C[C@H]1C ZINC000414135734 385985477 /nfs/dbraw/zinc/98/54/77/385985477.db2.gz FDSSRNZFZRACEM-VCDKRKBESA-N 0 3 233.359 2.505 20 0 BFADHN CC1(C)CC(N[C@H](CO)c2ccsc2)C1 ZINC000336748326 385985908 /nfs/dbraw/zinc/98/59/08/385985908.db2.gz DGBVGDWGPDHLKY-LLVKDONJSA-N 0 3 225.357 2.560 20 0 BFADHN COC[C@H](C)NCc1cc(C2CC2)ccc1F ZINC000295192004 385986728 /nfs/dbraw/zinc/98/67/28/385986728.db2.gz XKVOJTGOQWPLEC-JTQLQIEISA-N 0 3 237.318 2.828 20 0 BFADHN CO[C@H](CN(C)[C@@H](C)c1cccc(O)c1)C1CC1 ZINC000425411417 385987308 /nfs/dbraw/zinc/98/73/08/385987308.db2.gz DABABWWCANXVRJ-XHDPSFHLSA-N 0 3 249.354 2.810 20 0 BFADHN C[C@@H](NC[C@@H]1CCOC1)c1cccc(F)c1F ZINC000221330210 385987937 /nfs/dbraw/zinc/98/79/37/385987937.db2.gz KRGVQLGDBNGIOC-ZJUUUORDSA-N 0 3 241.281 2.652 20 0 BFADHN CC[C@H](CO)N(C)C/C=C/c1ccc(F)cc1 ZINC000295188065 385988073 /nfs/dbraw/zinc/98/80/73/385988073.db2.gz WVKSMDYGEUSRTE-ISZGNANSSA-N 0 3 237.318 2.542 20 0 BFADHN C[C@H](NC[C@@H]1CCOC1)c1cccc(F)c1F ZINC000221330227 385988455 /nfs/dbraw/zinc/98/84/55/385988455.db2.gz KRGVQLGDBNGIOC-UWVGGRQHSA-N 0 3 241.281 2.652 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H](C)c2cncc(C)c2)C1 ZINC000414140781 385990285 /nfs/dbraw/zinc/99/02/85/385990285.db2.gz JFPOLNCWWZOATH-BNOWGMLFSA-N 0 3 234.343 2.608 20 0 BFADHN Fc1cc(CNC[C@H]2CC=CCC2)c(F)cn1 ZINC000295209565 385990486 /nfs/dbraw/zinc/99/04/86/385990486.db2.gz PYMATYUJIDHVRU-JTQLQIEISA-N 0 3 238.281 2.806 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H](C)[C@@H]2CCCCO2)c1 ZINC000285486033 385930954 /nfs/dbraw/zinc/93/09/54/385930954.db2.gz AADOGIFCWBBFFR-GUTXKFCHSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1sccc1CN1CCN(C(C)C)CC1 ZINC000294972384 385932211 /nfs/dbraw/zinc/93/22/11/385932211.db2.gz RPBLEYCZDYUNHN-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@H]1CCO[C@H]1C ZINC000414144793 385992906 /nfs/dbraw/zinc/99/29/06/385992906.db2.gz AHYAKYJCRDITKC-DYEKYZERSA-N 0 3 249.354 2.832 20 0 BFADHN CC(C)CCN[C@@H](C)c1cc2n(n1)CCC2 ZINC000414056487 385934040 /nfs/dbraw/zinc/93/40/40/385934040.db2.gz QAHFPNGUSYAXRT-NSHDSACASA-N 0 3 221.348 2.526 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@H]1C)c1ccn(C)n1 ZINC000414053427 385934590 /nfs/dbraw/zinc/93/45/90/385934590.db2.gz MDWQMXCREYZVRQ-QNWHQSFQSA-N 0 3 235.375 2.895 20 0 BFADHN CO[C@@H](C)CN1CC[C@@H](c2ccccc2F)C1 ZINC000272805924 385936251 /nfs/dbraw/zinc/93/62/51/385936251.db2.gz MAHCEAUTCGPEGM-NWDGAFQWSA-N 0 3 237.318 2.650 20 0 BFADHN CCOc1ncccc1CN[C@H](C)[C@@H]1C[C@@H]1C ZINC000414061589 385936515 /nfs/dbraw/zinc/93/65/15/385936515.db2.gz KMTWCPMGFJEUDD-DMDPSCGWSA-N 0 3 234.343 2.614 20 0 BFADHN CCN(Cc1cccc(OC)c1)C[C@@H](C)OC ZINC000272804632 385938159 /nfs/dbraw/zinc/93/81/59/385938159.db2.gz LYXYXBGICUJSTH-GFCCVEGCSA-N 0 3 237.343 2.552 20 0 BFADHN CC(C)C1CC(NCc2cccnc2N(C)C)C1 ZINC000342177808 385940123 /nfs/dbraw/zinc/94/01/23/385940123.db2.gz WZDGMOQZTFZPAL-UHFFFAOYSA-N 0 3 247.386 2.672 20 0 BFADHN CO[C@H](CN(C)[C@@H](C)c1ccco1)C1CC1 ZINC000425392386 385943109 /nfs/dbraw/zinc/94/31/09/385943109.db2.gz ATBLJDYXCRUMET-GXFFZTMASA-N 0 3 223.316 2.697 20 0 BFADHN FC1(CCNCc2cn3ccccc3n2)CCC1 ZINC000342190140 385943434 /nfs/dbraw/zinc/94/34/34/385943434.db2.gz JWGJZXSQMLSOSF-UHFFFAOYSA-N 0 3 247.317 2.706 20 0 BFADHN CCOc1ccc(CN[C@H]2C[C@@H]2C(F)F)cc1 ZINC000342187280 385943554 /nfs/dbraw/zinc/94/35/54/385943554.db2.gz FQUSNTKGTORDLK-RYUDHWBXSA-N 0 3 241.281 2.829 20 0 BFADHN COC[C@H](C)N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000295016887 385945601 /nfs/dbraw/zinc/94/56/01/385945601.db2.gz KDHIIUUPBUVGMW-DMDPSCGWSA-N 0 3 235.327 2.523 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1ncc[nH]1 ZINC000295039645 385950189 /nfs/dbraw/zinc/95/01/89/385950189.db2.gz MLSWWQAYDOOYNE-LLVKDONJSA-N 0 3 207.321 2.634 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNC[C@H]2CCCO2)o1 ZINC000295037969 385950284 /nfs/dbraw/zinc/95/02/84/385950284.db2.gz MGOIYRDLYCYBSH-DMDPSCGWSA-N 0 3 235.327 2.672 20 0 BFADHN CC[C@@H](N[C@H](C)c1ccn(C)n1)C(C)(C)C ZINC000414079114 385950377 /nfs/dbraw/zinc/95/03/77/385950377.db2.gz BWQYXQFHVNCMKS-ZYHUDNBSSA-N 0 3 223.364 2.895 20 0 BFADHN COc1nccnc1CN[C@H](C)CCC=C(C)C ZINC000295039538 385950626 /nfs/dbraw/zinc/95/06/26/385950626.db2.gz MKSLUNCVGLARSI-GFCCVEGCSA-N 0 3 249.358 2.710 20 0 BFADHN CC/C=C/CCN1CC[C@@H](Oc2ccncc2)C1 ZINC000342245454 385952932 /nfs/dbraw/zinc/95/29/32/385952932.db2.gz JGBOODAJIAWAFX-NHZBNJEXSA-N 0 3 246.354 2.891 20 0 BFADHN C[C@@H](NCCC(C)(C)C)c1cc2n(n1)CCC2 ZINC000414083941 385953343 /nfs/dbraw/zinc/95/33/43/385953343.db2.gz XIFQSYFJSLQVIB-LLVKDONJSA-N 0 3 235.375 2.916 20 0 BFADHN CC[C@H](CSC)N[C@H](C)c1cc(C)n(C)n1 ZINC000282701155 385956527 /nfs/dbraw/zinc/95/65/27/385956527.db2.gz KWVHHOWNARUGMW-GHMZBOCLSA-N 0 3 241.404 2.521 20 0 BFADHN CC/C=C/CCN1CCOC2(CCCC2)C1 ZINC000342201931 385957698 /nfs/dbraw/zinc/95/76/98/385957698.db2.gz CWWBTVXMWIFRLK-ONEGZZNKSA-N 0 3 223.360 2.988 20 0 BFADHN O=C(CCN1CCC(CF)CC1)c1ccccc1 ZINC000295076189 385959727 /nfs/dbraw/zinc/95/97/27/385959727.db2.gz DLONNPMKYLPKBU-UHFFFAOYSA-N 0 3 249.329 2.941 20 0 BFADHN Cc1ccnc([C@H](C)NCCc2cccnc2)c1 ZINC000285744670 385962101 /nfs/dbraw/zinc/96/21/01/385962101.db2.gz RHMNOEARBAAUFR-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN CO[C@H](C)CN(C)[C@H]1CCc2ccc(F)cc21 ZINC000285770437 385962823 /nfs/dbraw/zinc/96/28/23/385962823.db2.gz SBGFEUJGFNJUCI-YGRLFVJLSA-N 0 3 237.318 2.780 20 0 BFADHN Cc1cccc([C@H](C)N[C@@H]2C=C[C@@H](CO)C2)c1C ZINC000345050789 385963586 /nfs/dbraw/zinc/96/35/86/385963586.db2.gz LUKHRJXCYBOZLQ-RRFJBIMHSA-N 0 3 245.366 2.891 20 0 BFADHN C[C@@]1(NCc2ccccc2F)CCO[C@H]1C1CC1 ZINC000273033613 385963879 /nfs/dbraw/zinc/96/38/79/385963879.db2.gz GTJRSXCGTJTNSL-LSDHHAIUSA-N 0 3 249.329 2.873 20 0 BFADHN C[C@H](N[C@H]1CCC[C@H]1C)c1cc2n(n1)CCC2 ZINC000414101084 385964526 /nfs/dbraw/zinc/96/45/26/385964526.db2.gz RXXYAXJPKUIOPG-MDZLAQPJSA-N 0 3 233.359 2.669 20 0 BFADHN CS[C@H]1CCN(Cc2cccc(F)c2)C1 ZINC000295094793 385965000 /nfs/dbraw/zinc/96/50/00/385965000.db2.gz RZOJMNPZRJMJGT-LBPRGKRZSA-N 0 3 225.332 2.763 20 0 BFADHN Cc1ccccc1[C@H](C)N[C@@H]1C=C[C@@H](CO)C1 ZINC000345055374 385966309 /nfs/dbraw/zinc/96/63/09/385966309.db2.gz ZMHVSMLLKPCZGX-BFHYXJOUSA-N 0 3 231.339 2.583 20 0 BFADHN CO[C@@H](CN1CCc2c(F)cccc2C1)C1CC1 ZINC000425416981 385994262 /nfs/dbraw/zinc/99/42/62/385994262.db2.gz HGNGVHIWDMHSKM-HNNXBMFYSA-N 0 3 249.329 2.609 20 0 BFADHN Cc1ccccc1[C@H](C)N[C@H]1C=C[C@@H](CO)C1 ZINC000345055376 385967098 /nfs/dbraw/zinc/96/70/98/385967098.db2.gz ZMHVSMLLKPCZGX-MJBXVCDLSA-N 0 3 231.339 2.583 20 0 BFADHN CC[C@@H](C)N1CCc2ccc(C(=O)OC)cc2C1 ZINC000273105619 385968450 /nfs/dbraw/zinc/96/84/50/385968450.db2.gz QLWRNLXKHPTMKR-LLVKDONJSA-N 0 3 247.338 2.630 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H](C)[C@H]2CCCO2)c1 ZINC000285830090 385969334 /nfs/dbraw/zinc/96/93/34/385969334.db2.gz WWPFBQNHKXONRW-SGMGOOAPSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@@H](C1CCCCC1)N(C)Cc1c[nH]cn1 ZINC000338361201 385970651 /nfs/dbraw/zinc/97/06/51/385970651.db2.gz ZNXDMECPOXPXCO-NSHDSACASA-N 0 3 221.348 2.810 20 0 BFADHN C[C@H](C1CCCCC1)N(C)Cc1c[nH]cn1 ZINC000338361200 385971166 /nfs/dbraw/zinc/97/11/66/385971166.db2.gz ZNXDMECPOXPXCO-LLVKDONJSA-N 0 3 221.348 2.810 20 0 BFADHN Cc1ccc(CN[C@@]2(C)CCO[C@H]2C2CC2)o1 ZINC000273157166 385974877 /nfs/dbraw/zinc/97/48/77/385974877.db2.gz SLLMRVKRLYARSC-KBPBESRZSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H](C)C1)c1ccn(C)n1 ZINC000414124850 385974979 /nfs/dbraw/zinc/97/49/79/385974979.db2.gz CRJYVWASFTUMND-GRYCIOLGSA-N 0 3 221.348 2.507 20 0 BFADHN CC(C)[C@@H](O)CCNCc1sccc1Cl ZINC000336749661 385997100 /nfs/dbraw/zinc/99/71/00/385997100.db2.gz FDSHMZYAZWOZDO-JTQLQIEISA-N 0 3 247.791 2.898 20 0 BFADHN COc1ccc([C@H](C)NCCF)c(C)c1 ZINC000414149331 385998128 /nfs/dbraw/zinc/99/81/28/385998128.db2.gz OHDGHWBJWOKXIP-JTQLQIEISA-N 0 3 211.280 2.624 20 0 BFADHN Cc1ccc(CN2CCCSCC2)s1 ZINC000273420963 385998450 /nfs/dbraw/zinc/99/84/50/385998450.db2.gz QSRZYJZTBRSFGZ-UHFFFAOYSA-N 0 3 227.398 2.995 20 0 BFADHN CC[C@H](N[C@H]1CC[C@H](C)C1)c1ccn(C)n1 ZINC000336749754 385998525 /nfs/dbraw/zinc/99/85/25/385998525.db2.gz YYBBKGWXDRFIAY-SRVKXCTJSA-N 0 3 221.348 2.649 20 0 BFADHN COCC1(CN2CCC(F)(F)CC2)CCC1 ZINC000643379994 385999450 /nfs/dbraw/zinc/99/94/50/385999450.db2.gz CVROLKILAPEZMC-UHFFFAOYSA-N 0 3 233.302 2.534 20 0 BFADHN COc1ncc(CN[C@H]2CC[C@H](C)CC2)s1 ZINC000309262173 386000574 /nfs/dbraw/zinc/00/05/74/386000574.db2.gz CACRNKDKFTZNOT-MGCOHNPYSA-N 0 3 240.372 2.820 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2C=C[C@H](CO)C2)s1 ZINC000345066746 386002599 /nfs/dbraw/zinc/00/25/99/386002599.db2.gz XJQDLLKUCGTMFM-SRVKXCTJSA-N 0 3 237.368 2.644 20 0 BFADHN CC(C)[C@](C)(CO)NCc1ccsc1Cl ZINC000336769814 386003490 /nfs/dbraw/zinc/00/34/90/386003490.db2.gz VXKTXOCSHOYGIQ-NSHDSACASA-N 0 3 247.791 2.898 20 0 BFADHN C[C@H](CCC1CC1)N[C@@H](C)c1nccn1C ZINC000336750351 386003576 /nfs/dbraw/zinc/00/35/76/386003576.db2.gz USIMLXGORLTYAX-MNOVXSKESA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@@H](F)CN1CCC[C@]2(CCSC2)C1 ZINC000451971658 386003619 /nfs/dbraw/zinc/00/36/19/386003619.db2.gz MCHFFAZNCVXXPI-NEPJUHHUSA-N 0 3 231.380 2.954 20 0 BFADHN C[C@@H](NCCC(C)(C)C1CC1)c1ccn(C)n1 ZINC000414154088 386004093 /nfs/dbraw/zinc/00/40/93/386004093.db2.gz YYQVMMFCGDUHTI-LLVKDONJSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@H](N[C@H](C1CC1)C1CCC1)c1ccn(C)n1 ZINC000414154685 386004468 /nfs/dbraw/zinc/00/44/68/386004468.db2.gz UAFGPEDVGQWSCN-HZMBPMFUSA-N 0 3 233.359 2.649 20 0 BFADHN COc1ncc(CN[C@@H]2CCC[C@@H](C)C2)s1 ZINC000309308766 386005365 /nfs/dbraw/zinc/00/53/65/386005365.db2.gz DSSPCANYCUBQGV-NXEZZACHSA-N 0 3 240.372 2.820 20 0 BFADHN Cc1cnc([C@@H](C)NCC[C@@H]2CCOC2)s1 ZINC000336721976 386009033 /nfs/dbraw/zinc/00/90/33/386009033.db2.gz AOEWWBGEAPXCRU-GHMZBOCLSA-N 0 3 240.372 2.529 20 0 BFADHN COC[C@H](N[C@@H]1C[C@@H](C)[C@@H]1C)c1ccco1 ZINC000336770544 386009053 /nfs/dbraw/zinc/00/90/53/386009053.db2.gz TWKBELONXCSKPW-KXNHARMFSA-N 0 3 223.316 2.601 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@H]2CCOC(C)(C)C2)c1 ZINC000286255836 386013663 /nfs/dbraw/zinc/01/36/63/386013663.db2.gz UXLAHAUZUPBMOL-OLZOCXBDSA-N 0 3 248.370 2.998 20 0 BFADHN CC(C)C(C)(C)CNCc1ccccn1 ZINC000189473033 386013816 /nfs/dbraw/zinc/01/38/16/386013816.db2.gz JLXWOLTVBMJFJD-UHFFFAOYSA-N 0 3 206.333 2.853 20 0 BFADHN CO[C@@H](C)CN(C)c1ccnc2ccccc21 ZINC000286272469 386017475 /nfs/dbraw/zinc/01/74/75/386017475.db2.gz FTIXATYFABROBW-NSHDSACASA-N 0 3 230.311 2.706 20 0 BFADHN Cc1ccc(CNCCc2csc(C)n2)o1 ZINC000221820126 386022888 /nfs/dbraw/zinc/02/28/88/386022888.db2.gz CMLAYZZUPBCDEF-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN Cc1ccsc1CN[C@H](C)COC(C)C ZINC000336753302 386023757 /nfs/dbraw/zinc/02/37/57/386023757.db2.gz HNKLOTZYMGIIBI-LLVKDONJSA-N 0 3 227.373 2.960 20 0 BFADHN Cc1ccc2nc(CN[C@H]3CC[C@H](F)C3)cn2c1 ZINC000334421360 386026948 /nfs/dbraw/zinc/02/69/48/386026948.db2.gz BDQOOAXEPVVPHT-RYUDHWBXSA-N 0 3 247.317 2.623 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H](C)c1ccn(C)n1 ZINC000414188589 386028099 /nfs/dbraw/zinc/02/80/99/386028099.db2.gz RGPDOVFXAUYDLB-DMDPSCGWSA-N 0 3 221.348 2.505 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)nn1C ZINC000414187609 386028468 /nfs/dbraw/zinc/02/84/68/386028468.db2.gz QDUWPCIWRGTHOR-NDBYEHHHSA-N 0 3 233.359 2.568 20 0 BFADHN C[C@@H](NCCOCC(F)F)c1cccc(F)c1 ZINC000189582879 386033447 /nfs/dbraw/zinc/03/34/47/386033447.db2.gz JBLDTGNQSYQKPF-SECBINFHSA-N 0 3 247.260 2.758 20 0 BFADHN NCc1ccccc1OC[C@H]1CCC(F)(F)C1 ZINC000309899479 386033939 /nfs/dbraw/zinc/03/39/39/386033939.db2.gz KAZPPTDHMJFITH-JTQLQIEISA-N 0 3 241.281 2.960 20 0 BFADHN COCC[C@@H](C)CN[C@@H](C)c1csc(C)n1 ZINC000414197305 386035441 /nfs/dbraw/zinc/03/54/41/386035441.db2.gz KAURVFQUQIYMBG-ZJUUUORDSA-N 0 3 242.388 2.775 20 0 BFADHN Cc1ccc(CNCc2cccc(CO)c2)cc1 ZINC000222119297 386035743 /nfs/dbraw/zinc/03/57/43/386035743.db2.gz YBJRVVAYVHRMTI-UHFFFAOYSA-N 0 3 241.334 2.777 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@H](C)[C@H]2C)n1 ZINC000414202126 386036518 /nfs/dbraw/zinc/03/65/18/386036518.db2.gz CUWOLKATIKQTBA-OUCADQQQSA-N 0 3 235.375 2.817 20 0 BFADHN CCC[C@H](N)c1cn(CCCC(C)(C)C)nn1 ZINC000309995335 386041000 /nfs/dbraw/zinc/04/10/00/386041000.db2.gz VIFSYEFWLKKOSL-NSHDSACASA-N 0 3 238.379 2.904 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H](C)c1ccccc1OC ZINC000414203536 386041063 /nfs/dbraw/zinc/04/10/63/386041063.db2.gz GWWFVLVGQIYQCT-BNOWGMLFSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H](N[C@@H](C)C1CC1)c1ccncc1F ZINC000336771461 386041214 /nfs/dbraw/zinc/04/12/14/386041214.db2.gz XLTOVGUVHQTISV-DTWKUNHWSA-N 0 3 208.280 2.670 20 0 BFADHN COc1ccc(CNC2CC2)cc1OCC(C)C ZINC000032104783 386050157 /nfs/dbraw/zinc/05/01/57/386050157.db2.gz LIHLGOFHAZLKKB-UHFFFAOYSA-N 0 3 249.354 2.982 20 0 BFADHN Cc1cc(CN[C@@H](C)CCC(C)C)nn1C ZINC000414234979 386050383 /nfs/dbraw/zinc/05/03/83/386050383.db2.gz CYBMSLDUCXJELL-NSHDSACASA-N 0 3 223.364 2.643 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@H]2CCCF)o1 ZINC000414167781 386051192 /nfs/dbraw/zinc/05/11/92/386051192.db2.gz HECWHTHRHOYOCF-WCQYABFASA-N 0 3 240.322 2.991 20 0 BFADHN Cc1ccc([C@@H](C)NCCC[C@H](C)CO)o1 ZINC000222536537 386052007 /nfs/dbraw/zinc/05/20/07/386052007.db2.gz ZOGYUTICWKBNME-CMPLNLGQSA-N 0 3 225.332 2.647 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC1(C)C)c1ccn(C)n1 ZINC000414145712 385995794 /nfs/dbraw/zinc/99/57/94/385995794.db2.gz PPRKLMHEEREMBZ-NEPJUHHUSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2cc[nH]n2)[C@H]1C ZINC000336727665 385995838 /nfs/dbraw/zinc/99/58/38/385995838.db2.gz CCWRBYPEDLAZNJ-GRYCIOLGSA-N 0 3 221.348 2.572 20 0 BFADHN CC[C@H](N[C@H](C)c1ccco1)[C@@H](O)C(C)C ZINC000414300401 386107823 /nfs/dbraw/zinc/10/78/23/386107823.db2.gz SCAIHQKOJLIZSG-MDZLAQPJSA-N 0 3 225.332 2.726 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cn(C)nc1C(F)F ZINC000274482716 386109789 /nfs/dbraw/zinc/10/97/89/386109789.db2.gz MXDVYCYTVYUWKY-SECBINFHSA-N 0 3 245.317 2.836 20 0 BFADHN Cc1n[nH]cc1CN1CCC(C)(C(C)C)CC1 ZINC000189948358 386123773 /nfs/dbraw/zinc/12/37/73/386123773.db2.gz VYNZKRWIPYDHRM-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN COc1ccncc1CN(C)C(C1CC1)C1CC1 ZINC000287302997 386110572 /nfs/dbraw/zinc/11/05/72/386110572.db2.gz MRRJBQUOOYNMEL-UHFFFAOYSA-N 0 3 246.354 2.711 20 0 BFADHN Cc1cc(CNc2nccn2C)ccc1Cl ZINC000414309316 386112947 /nfs/dbraw/zinc/11/29/47/386112947.db2.gz UUGCDMLCIOHTSG-UHFFFAOYSA-N 0 3 235.718 2.994 20 0 BFADHN C[C@@H](NCCCCCO)c1cccc(F)c1F ZINC000223940933 386113551 /nfs/dbraw/zinc/11/35/51/386113551.db2.gz JLUSIIZDJZGKSD-SNVBAGLBSA-N 0 3 243.297 2.778 20 0 BFADHN COC1([C@H](C)N[C@H](C)c2cc(C)ccn2)CCC1 ZINC000414311711 386114127 /nfs/dbraw/zinc/11/41/27/386114127.db2.gz VBNMLKMNSVVVAI-OLZOCXBDSA-N 0 3 248.370 2.998 20 0 BFADHN COC1([C@H](C)N[C@H](C)c2cncs2)CCC1 ZINC000414312592 386115641 /nfs/dbraw/zinc/11/56/41/386115641.db2.gz WUDSIMQWVKXRCX-ZJUUUORDSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H](N[C@H]1C[C@@H](O)C1)c1ccc(Cl)cc1 ZINC000295984411 386116740 /nfs/dbraw/zinc/11/67/40/386116740.db2.gz PAFNZWMQYMPQGC-XQQFMLRXSA-N 0 3 239.746 2.904 20 0 BFADHN C[C@@H](N[C@@H]1CCCc2cn[nH]c21)c1cccnc1 ZINC000334461465 386118513 /nfs/dbraw/zinc/11/85/13/386118513.db2.gz VZYDPPNKMIXOQW-ZWNOBZJWSA-N 0 3 242.326 2.533 20 0 BFADHN Cc1cc(CNCC2CCC(C)CC2)nn1C ZINC000414320322 386119009 /nfs/dbraw/zinc/11/90/09/386119009.db2.gz SMCIDCAEKNRTFV-UHFFFAOYSA-N 0 3 235.375 2.644 20 0 BFADHN CCn1ccc(CN[C@H]2C[C@H](C)C[C@H](C)C2)n1 ZINC000414207023 386058855 /nfs/dbraw/zinc/05/88/55/386058855.db2.gz JHKQSSKRQSYWLE-IMRBUKKESA-N 0 3 235.375 2.817 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1CCO[C@H]1CC ZINC000414205584 386059024 /nfs/dbraw/zinc/05/90/24/386059024.db2.gz ISVNNMVROXOSFC-YUTCNCBUSA-N 0 3 248.370 2.862 20 0 BFADHN COCCNCc1ccc(C(F)(F)F)c(C)c1 ZINC000414253743 386061680 /nfs/dbraw/zinc/06/16/80/386061680.db2.gz DGMBLEMSJBXLSS-UHFFFAOYSA-N 0 3 247.260 2.750 20 0 BFADHN CCn1ccc(CN[C@@H]2C[C@H](C)CC[C@H]2C)n1 ZINC000414207397 386061979 /nfs/dbraw/zinc/06/19/79/386061979.db2.gz JVUKSPZVMRHMJW-YRGRVCCFSA-N 0 3 235.375 2.817 20 0 BFADHN CC(C)=CCN1CCC[C@H]1c1cn(C)nc1C ZINC000366097699 386062821 /nfs/dbraw/zinc/06/28/21/386062821.db2.gz RFABAFNSXWPZNY-AWEZNQCLSA-N 0 3 233.359 2.832 20 0 BFADHN CO[C@H](CNC(C)(C)c1ccc(C)nc1)C1CC1 ZINC000425436280 386063181 /nfs/dbraw/zinc/06/31/81/386063181.db2.gz VUZJFULIZTZOPZ-CQSZACIVSA-N 0 3 248.370 2.640 20 0 BFADHN Cc1c2ccccc2oc1CNCC1(C)COC1 ZINC000286654293 386064355 /nfs/dbraw/zinc/06/43/55/386064355.db2.gz UJMOYMCCSPKFLD-UHFFFAOYSA-N 0 3 245.322 2.867 20 0 BFADHN CCn1ccc(CNC2[C@H](C)CCC[C@H]2C)n1 ZINC000414210272 386064453 /nfs/dbraw/zinc/06/44/53/386064453.db2.gz OGEJTUCSFFBNIN-VXGBXAGGSA-N 0 3 235.375 2.817 20 0 BFADHN COCC[C@@H](C)CN[C@@H](C)c1ccco1 ZINC000414210138 386064584 /nfs/dbraw/zinc/06/45/84/386064584.db2.gz TZHUVIULMIDRGA-MNOVXSKESA-N 0 3 211.305 2.603 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2C[C@@H](C)O[C@@H]2C)c1 ZINC000295651396 386066483 /nfs/dbraw/zinc/06/64/83/386066483.db2.gz ITOZNTZCIMMWJU-IJLUTSLNSA-N 0 3 220.316 2.676 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2nc3cccnc3s2)C1 ZINC000335072895 386066513 /nfs/dbraw/zinc/06/65/13/386066513.db2.gz KHKDSPHNCODEHM-NXEZZACHSA-N 0 3 247.367 2.922 20 0 BFADHN COCC[C@@H](C)CN[C@H](C)c1ccncc1F ZINC000414213572 386067111 /nfs/dbraw/zinc/06/71/11/386067111.db2.gz YCPYXYVMFWGJME-GHMZBOCLSA-N 0 3 240.322 2.544 20 0 BFADHN CN(Cc1ccno1)C1CCC(F)(F)CC1 ZINC000286736558 386068549 /nfs/dbraw/zinc/06/85/49/386068549.db2.gz HRCGDUMSIBGWSO-UHFFFAOYSA-N 0 3 230.258 2.684 20 0 BFADHN CCc1ccc2nccc(N[C@@H](C)CCO)c2c1 ZINC000295658404 386069187 /nfs/dbraw/zinc/06/91/87/386069187.db2.gz ABZPWLFYRYODQE-NSHDSACASA-N 0 3 244.338 2.980 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@H](C)C2)n1 ZINC000414215096 386071155 /nfs/dbraw/zinc/07/11/55/386071155.db2.gz XLJHJYRJKSPXDT-NWDGAFQWSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1CS[C@H](C)C1 ZINC000414226958 386074877 /nfs/dbraw/zinc/07/48/77/386074877.db2.gz KFDBUWLMZIXQMM-WOPDTQHZSA-N 0 3 236.384 2.935 20 0 BFADHN CO[C@@](C)([C@@H](C)N[C@@H](C)c1cccnc1)C1CC1 ZINC000414225334 386075146 /nfs/dbraw/zinc/07/51/46/386075146.db2.gz BIWTXIGUHVZOEZ-ZOWXZIJZSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@@H]1c2ccsc2CCN1C[C@H]1CCCO1 ZINC000274154065 386077398 /nfs/dbraw/zinc/07/73/98/386077398.db2.gz DGLRZNZEKMJBHH-GHMZBOCLSA-N 0 3 237.368 2.846 20 0 BFADHN CCc1ccc2nccc(N(C)C[C@H](C)O)c2c1 ZINC000295732029 386078338 /nfs/dbraw/zinc/07/83/38/386078338.db2.gz WFCOUURIXGNPMH-NSHDSACASA-N 0 3 244.338 2.614 20 0 BFADHN O=C1CCC(CN2CCC[C@H]2c2ccc[nH]2)CC1 ZINC000295748420 386079332 /nfs/dbraw/zinc/07/93/32/386079332.db2.gz ZMOAZSZYVHWQRY-HNNXBMFYSA-N 0 3 246.354 2.911 20 0 BFADHN Cc1nocc1CN1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000334460987 386124413 /nfs/dbraw/zinc/12/44/13/386124413.db2.gz OFSJYQVKHWJFHW-RISCZKNCSA-N 0 3 234.343 2.995 20 0 BFADHN CCC1CCN([C@@H](C)c2cnccn2)CC1 ZINC000274242363 386084337 /nfs/dbraw/zinc/08/43/37/386084337.db2.gz DAATVHOIHDMHIO-NSHDSACASA-N 0 3 219.332 2.660 20 0 BFADHN Cc1cnc(CN(C)C2CCCCCC2)cn1 ZINC000334448931 386084827 /nfs/dbraw/zinc/08/48/27/386084827.db2.gz JJBBOHLPLXFPOW-UHFFFAOYSA-N 0 3 233.359 2.940 20 0 BFADHN CN(Cc1ccc(C(F)F)cc1)[C@H]1CCOC1 ZINC000274244271 386085899 /nfs/dbraw/zinc/08/58/99/386085899.db2.gz YIAYQSYFZSHEHP-LBPRGKRZSA-N 0 3 241.281 2.845 20 0 BFADHN Cc1ccc(CN[C@@H]2CSC2(C)C)cc1 ZINC000336728970 386087465 /nfs/dbraw/zinc/08/74/65/386087465.db2.gz IPKGAKZSLGOEPH-GFCCVEGCSA-N 0 3 221.369 2.979 20 0 BFADHN Cc1cc(CN[C@H](C)Cc2cccs2)nn1C ZINC000414276251 386091060 /nfs/dbraw/zinc/09/10/60/386091060.db2.gz XUTROSLALKTOIK-SNVBAGLBSA-N 0 3 249.383 2.511 20 0 BFADHN Fc1cc(CN[C@H]2CC=CCC2)c(F)cn1 ZINC000295826912 386092511 /nfs/dbraw/zinc/09/25/11/386092511.db2.gz VAEVJYCAPAIVPL-JTQLQIEISA-N 0 3 224.254 2.558 20 0 BFADHN C[C@@H](NC[C@H]1C[C@@H](C)O[C@H]1C)c1ccccn1 ZINC000414282765 386093092 /nfs/dbraw/zinc/09/30/92/386093092.db2.gz OJXPRIZJXZHQEA-FVCCEPFGSA-N 0 3 234.343 2.546 20 0 BFADHN CCC1CCC(NCc2cc(C)n(C)n2)CC1 ZINC000414283057 386094050 /nfs/dbraw/zinc/09/40/50/386094050.db2.gz DYXPNQFSWJTLFG-UHFFFAOYSA-N 0 3 235.375 2.787 20 0 BFADHN Cc1cc(CNCCCC2CCCC2)nn1C ZINC000414283292 386094154 /nfs/dbraw/zinc/09/41/54/386094154.db2.gz OYCWVXJYGDYNLM-UHFFFAOYSA-N 0 3 235.375 2.789 20 0 BFADHN Cc1ccc(CCN2CCN(C)[C@H](C)[C@H]2C)cc1 ZINC000334448056 386094733 /nfs/dbraw/zinc/09/47/33/386094733.db2.gz QSLXQDAFTLTSMS-HUUCEWRRSA-N 0 3 246.398 2.562 20 0 BFADHN CO[C@H](CNC1(c2cccc(F)c2)CC1)C1CC1 ZINC000425455605 386097095 /nfs/dbraw/zinc/09/70/95/386097095.db2.gz HZPHMFBIHVANCO-CQSZACIVSA-N 0 3 249.329 2.829 20 0 BFADHN CO[C@@H](CNC1(c2cccc(F)c2)CC1)C1CC1 ZINC000425455604 386098011 /nfs/dbraw/zinc/09/80/11/386098011.db2.gz HZPHMFBIHVANCO-AWEZNQCLSA-N 0 3 249.329 2.829 20 0 BFADHN CCc1ncc(CNc2cc(C)cc(C)n2)cn1 ZINC000414290195 386099484 /nfs/dbraw/zinc/09/94/84/386099484.db2.gz JAHRWERQMKHPCM-UHFFFAOYSA-N 0 3 242.326 2.663 20 0 BFADHN CCc1cnccc1[C@H](C)NC[C@H](OC)C1CC1 ZINC000425459400 386100160 /nfs/dbraw/zinc/10/01/60/386100160.db2.gz OZMTXEJZOSFQNA-NHYWBVRUSA-N 0 3 248.370 2.720 20 0 BFADHN Cc1cc(CN(C)CCCn2ccnc2)cs1 ZINC000296204888 386147780 /nfs/dbraw/zinc/14/77/80/386147780.db2.gz ZJZGRVPZUOJWMW-UHFFFAOYSA-N 0 3 249.383 2.775 20 0 BFADHN CC[C@H]1COCCN1C[C@H]1CCC(F)(F)C1 ZINC000335152756 386148258 /nfs/dbraw/zinc/14/82/58/386148258.db2.gz DYMWYDOSGZLEJO-QWRGUYRKSA-N 0 3 233.302 2.533 20 0 BFADHN Fc1cccc(C2(NCCOC3CCC3)CC2)c1 ZINC000287812420 386148757 /nfs/dbraw/zinc/14/87/57/386148757.db2.gz PFWWJURDLZQVDV-UHFFFAOYSA-N 0 3 249.329 2.974 20 0 BFADHN CC[C@H](F)CN1CC[C@@H](C)[C@H](n2ccnc2)C1 ZINC000440783072 386150359 /nfs/dbraw/zinc/15/03/59/386150359.db2.gz KNMVPBVLEGDWOB-FRRDWIJNSA-N 0 3 239.338 2.514 20 0 BFADHN CCCC[C@H](CC)N[C@@H]1CNCCC1(F)F ZINC000414991691 386150445 /nfs/dbraw/zinc/15/04/45/386150445.db2.gz AQZVADGBQREVKH-WDEREUQCSA-N 0 3 234.334 2.542 20 0 BFADHN CC[C@H](F)CN(C)[C@H]1C[C@@](C)(OC)C1(C)C ZINC000440782956 386151178 /nfs/dbraw/zinc/15/11/78/386151178.db2.gz DQZVNAPPOOOOTO-GMXVVIOVSA-N 0 3 231.355 2.870 20 0 BFADHN Cc1ccc(CN2CC3(C2)CCOCC3)c(C)c1 ZINC000335158821 386152138 /nfs/dbraw/zinc/15/21/38/386152138.db2.gz QFMLNBHXJROPLY-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN CC[C@@H](CSC)N[C@H](C)c1nccn1CC ZINC000296242396 386153045 /nfs/dbraw/zinc/15/30/45/386153045.db2.gz AXDYAZWVTHSCRJ-MNOVXSKESA-N 0 3 241.404 2.695 20 0 BFADHN CCC1(NCc2ncc(C)o2)CCCC1 ZINC000296245720 386153945 /nfs/dbraw/zinc/15/39/45/386153945.db2.gz LHOPVKCDLGGLIX-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN CNCc1ccccc1NC(=O)NCCC(C)C ZINC000415906108 386162245 /nfs/dbraw/zinc/16/22/45/386162245.db2.gz WOFBMHNNUDGCKQ-UHFFFAOYSA-N 0 3 249.358 2.574 20 0 BFADHN COc1cc(CN[C@@H]2C[C@H](C)O[C@H]2C)ccc1C ZINC000296311006 386162707 /nfs/dbraw/zinc/16/27/07/386162707.db2.gz GPCUGNPUIJGYIL-SGMGOOAPSA-N 0 3 249.354 2.659 20 0 BFADHN Cc1occc1CN[C@H]1CCO[C@@H](C(C)C)C1 ZINC000346133747 386164092 /nfs/dbraw/zinc/16/40/92/386164092.db2.gz PQDUUZHTUNQKJE-UONOGXRCSA-N 0 3 237.343 2.881 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cc(OC)ccn1 ZINC000274943275 386164457 /nfs/dbraw/zinc/16/44/57/386164457.db2.gz FPOPIFDGIHDOEV-RISCZKNCSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1nc(CCNCc2ccoc2C)c(C)o1 ZINC000414371521 386165791 /nfs/dbraw/zinc/16/57/91/386165791.db2.gz YMOAJPCGTPHKKS-UHFFFAOYSA-N 0 3 234.299 2.525 20 0 BFADHN COc1ccncc1CNCC1(C(C)C)CC1 ZINC000310249702 386168761 /nfs/dbraw/zinc/16/87/61/386168761.db2.gz DISVURPAOQEGKA-UHFFFAOYSA-N 0 3 234.343 2.616 20 0 BFADHN CC(C)(O)CN1CC2(CCC2)[C@H]1c1ccccc1 ZINC000296390737 386171156 /nfs/dbraw/zinc/17/11/56/386171156.db2.gz WQTIYBGZJYZUAK-CQSZACIVSA-N 0 3 245.366 2.985 20 0 BFADHN CCc1ncc(CN(CC)CC(C)C)cn1 ZINC000414372192 386171876 /nfs/dbraw/zinc/17/18/76/386171876.db2.gz OWRBBXSADGDEBB-UHFFFAOYSA-N 0 3 221.348 2.517 20 0 BFADHN CO[C@@H]1CCC[C@@H]1CN[C@@H](C)c1nccs1 ZINC000336730150 386173685 /nfs/dbraw/zinc/17/36/85/386173685.db2.gz IEUFCYKFISIQSJ-HBNTYKKESA-N 0 3 240.372 2.609 20 0 BFADHN CC/C=C/CN[C@@H](C)c1cnc(C)nc1C ZINC000296469930 386176012 /nfs/dbraw/zinc/17/60/12/386176012.db2.gz NSQSHIUHTFEELX-FGEFZZPRSA-N 0 3 219.332 2.710 20 0 BFADHN CS[C@@H]1CCN(Cc2ccc(C(C)=O)cc2)C1 ZINC000296465525 386177226 /nfs/dbraw/zinc/17/72/26/386177226.db2.gz XNIDXIMVRZCRED-CQSZACIVSA-N 0 3 249.379 2.827 20 0 BFADHN CCc1ncc(CN(CC(C)C)C(C)C)cn1 ZINC000414373399 386177757 /nfs/dbraw/zinc/17/77/57/386177757.db2.gz SALSWBBYPHUSJG-UHFFFAOYSA-N 0 3 235.375 2.905 20 0 BFADHN CC[C@H](N)c1cn(CC2CCC(C)CC2)nn1 ZINC000310399768 386178541 /nfs/dbraw/zinc/17/85/41/386178541.db2.gz KMCJJCKKMDNSLU-MCIGGMRASA-N 0 3 236.363 2.514 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000296514423 386179040 /nfs/dbraw/zinc/17/90/40/386179040.db2.gz PQBFLISSZFTIGI-HKUMRIAESA-N 0 3 249.354 2.912 20 0 BFADHN COc1cc(CNC(C)C)c(Cl)cc1O ZINC000638323515 386181548 /nfs/dbraw/zinc/18/15/48/386181548.db2.gz IUVILCVDLROUPG-UHFFFAOYSA-N 0 3 229.707 2.552 20 0 BFADHN C[C@@H](CC(C)(C)C)N[C@@H](C(N)=O)c1ccccc1 ZINC000296550662 386181972 /nfs/dbraw/zinc/18/19/72/386181972.db2.gz UMXSIDMRKJDOID-WCQYABFASA-N 0 3 248.370 2.627 20 0 BFADHN CC(C)=CCC[C@@H](C)N[C@H](C)c1ccc(=O)[nH]n1 ZINC000296526840 386182997 /nfs/dbraw/zinc/18/29/97/386182997.db2.gz QFZHYUOWQWNNTP-VXGBXAGGSA-N 0 3 249.358 2.555 20 0 BFADHN CCC[C@H](O)CN(C)Cc1ccc(C)cc1 ZINC000296556604 386184204 /nfs/dbraw/zinc/18/42/04/386184204.db2.gz PFCZQZWOIAYHHL-AWEZNQCLSA-N 0 3 221.344 2.588 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H](C)CC(C)(C)O)c1 ZINC000296577960 386184265 /nfs/dbraw/zinc/18/42/65/386184265.db2.gz UBPYKWLMRQXWTF-RYUDHWBXSA-N 0 3 236.359 2.590 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cc(F)ncc1F ZINC000296584380 386185685 /nfs/dbraw/zinc/18/56/85/386185685.db2.gz XDVXBNIRXAIDJW-RKDXNWHRSA-N 0 3 228.286 2.884 20 0 BFADHN C[C@@H]1CCCN(Cc2cncc(F)c2)[C@H]1C ZINC000275139993 386186197 /nfs/dbraw/zinc/18/61/97/386186197.db2.gz OOBAOOODAFOWMY-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN CSCCN(C)Cc1cccc2cc[nH]c21 ZINC000353882399 386186614 /nfs/dbraw/zinc/18/66/14/386186614.db2.gz PUNKXOHTQFSESM-UHFFFAOYSA-N 0 3 234.368 2.963 20 0 BFADHN Fc1ccc(/C=C/CNCC2=CCCOC2)cc1 ZINC000288334522 386188206 /nfs/dbraw/zinc/18/82/06/386188206.db2.gz AXKCMSMVGSQOLC-HNQUOIGGSA-N 0 3 247.313 2.775 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1c1ccco1)c1cncs1 ZINC000414328926 386125550 /nfs/dbraw/zinc/12/55/50/386125550.db2.gz CZWVQMNCZAGAEW-IVZWLZJFSA-N 0 3 234.324 2.943 20 0 BFADHN CCc1cccc(CN2CCN(C(C)C)CC2)c1 ZINC000345855968 386129264 /nfs/dbraw/zinc/12/92/64/386129264.db2.gz MWYRUHGMEPJZSK-UHFFFAOYSA-N 0 3 246.398 2.775 20 0 BFADHN Fc1ccc2c(c1)CC[C@H]2N[C@@H]1CCCOC1 ZINC000129975113 386132454 /nfs/dbraw/zinc/13/24/54/386132454.db2.gz CSFLBRVXSGPZPN-TZMCWYRMSA-N 0 3 235.302 2.582 20 0 BFADHN CO[C@@H](C)CNc1cc(C)nc2ccccc21 ZINC000224336864 386134728 /nfs/dbraw/zinc/13/47/28/386134728.db2.gz AIMVOAGEJRVPPV-NSHDSACASA-N 0 3 230.311 2.990 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cnccc1OC ZINC000287557281 386135528 /nfs/dbraw/zinc/13/55/28/386135528.db2.gz AZINMTIYSUHHGU-AAEUAGOBSA-N 0 3 234.343 2.853 20 0 BFADHN C[C@@H](NCC(C)(C)CCO)c1ccccc1F ZINC000224380972 386136502 /nfs/dbraw/zinc/13/65/02/386136502.db2.gz MODAUDCRPUKTQR-LLVKDONJSA-N 0 3 239.334 2.885 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@H](C)C2)nn1C ZINC000414302825 386137911 /nfs/dbraw/zinc/13/79/11/386137911.db2.gz BXLGSWMFMATNHL-AAEUAGOBSA-N 0 3 235.375 2.644 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](O)C1)c1csc(Cl)c1 ZINC000296099100 386138269 /nfs/dbraw/zinc/13/82/69/386138269.db2.gz YRRCZJOYZPEMMA-VDAHYXPESA-N 0 3 231.748 2.575 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@@H](C)C2)nn1C ZINC000414302829 386138783 /nfs/dbraw/zinc/13/87/83/386138783.db2.gz BXLGSWMFMATNHL-DGCLKSJQSA-N 0 3 235.375 2.644 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CN(C)CCCCO)o1 ZINC000264010056 386201614 /nfs/dbraw/zinc/20/16/14/386201614.db2.gz IRZLOGMBCWSXRU-WCQYABFASA-N 0 3 237.343 2.607 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(C)CCCCO)o1 ZINC000264010058 386202908 /nfs/dbraw/zinc/20/29/08/386202908.db2.gz IRZLOGMBCWSXRU-YPMHNXCESA-N 0 3 237.343 2.607 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@@H](O)c2ccccc2)o1 ZINC000288468444 386202933 /nfs/dbraw/zinc/20/29/33/386202933.db2.gz FNHIMBNKJXPUDO-IUODEOHRSA-N 0 3 245.322 2.800 20 0 BFADHN CC[C@H](C[C@H](C)CO)N[C@H](C)c1ccccn1 ZINC000346977121 386203913 /nfs/dbraw/zinc/20/39/13/386203913.db2.gz MKBBJIANKIAPPR-YNEHKIRRSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1N[C@H]1CCCSC1 ZINC000288472084 386204072 /nfs/dbraw/zinc/20/40/72/386204072.db2.gz FWSIGMYRSHHYCE-MJVIPROJSA-N 0 3 249.379 2.994 20 0 BFADHN c1cn2c(n1)[C@H](N[C@H]1C[C@@H]1C1CCCCC1)CC2 ZINC000453155288 386205006 /nfs/dbraw/zinc/20/50/06/386205006.db2.gz TUBFUVCIGYVBHA-MCIONIFRSA-N 0 3 245.370 2.886 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1N[C@@H]1CCCSC1 ZINC000288472078 386205095 /nfs/dbraw/zinc/20/50/95/386205095.db2.gz FWSIGMYRSHHYCE-MISXGVKJSA-N 0 3 249.379 2.994 20 0 BFADHN COc1ccncc1CN1CC[C@H](C(C)C)C1 ZINC000347055208 386206007 /nfs/dbraw/zinc/20/60/07/386206007.db2.gz MNVYIUQMBUQCCY-LBPRGKRZSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccncc1CN1CCCCC[C@H]1C ZINC000347058126 386208952 /nfs/dbraw/zinc/20/89/52/386208952.db2.gz RATQRWZDAZHRMH-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cnccc1OC ZINC000347062237 386209362 /nfs/dbraw/zinc/20/93/62/386209362.db2.gz XDPYONQMSIRHNP-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN C1=CC[C@H](CNCc2noc3c2CCCC3)CC1 ZINC000288529524 386212304 /nfs/dbraw/zinc/21/23/04/386212304.db2.gz VMGMYUGRORLXPI-LBPRGKRZSA-N 0 3 246.354 2.999 20 0 BFADHN CN(Cc1ccc(Cl)s1)CC1(O)CCC1 ZINC000336649135 386259807 /nfs/dbraw/zinc/25/98/07/386259807.db2.gz QIWLNQZRZDSHKH-UHFFFAOYSA-N 0 3 245.775 2.748 20 0 BFADHN Cc1cnccc1CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000296983695 386217555 /nfs/dbraw/zinc/21/75/55/386217555.db2.gz VLSUNDKHUDOPTM-CABCVRRESA-N 0 3 246.354 2.533 20 0 BFADHN COc1nccnc1CN[C@H]1CCC[C@H](C)CC1 ZINC000296986190 386217691 /nfs/dbraw/zinc/21/76/91/386217691.db2.gz VOABLEKWPGMHQQ-RYUDHWBXSA-N 0 3 249.358 2.544 20 0 BFADHN CC(C)=CCC[C@@H](C)NC(=O)Cc1nc[nH]c1C ZINC000297013378 386218249 /nfs/dbraw/zinc/21/82/49/386218249.db2.gz TZQJDHXQXJZTQI-LLVKDONJSA-N 0 3 249.358 2.512 20 0 BFADHN CSC[C@@H](C)NCc1cc(F)ccc1F ZINC000125011552 386219103 /nfs/dbraw/zinc/21/91/03/386219103.db2.gz BCQGJXXPVJBRCJ-MRVPVSSYSA-N 0 3 231.311 2.806 20 0 BFADHN CCC(CC)[C@@H](O)CNCc1ccc(F)cc1 ZINC000225815198 386219645 /nfs/dbraw/zinc/21/96/45/386219645.db2.gz JEDFMCWFFMJWLN-AWEZNQCLSA-N 0 3 239.334 2.712 20 0 BFADHN CSC[C@H](C)NCc1ccsc1 ZINC000125009069 386219611 /nfs/dbraw/zinc/21/96/11/386219611.db2.gz UYYPQRYUHDFRKB-QMMMGPOBSA-N 0 3 201.360 2.589 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccccn1 ZINC000264255174 386220960 /nfs/dbraw/zinc/22/09/60/386220960.db2.gz GJECCVAAPJOJLI-ZDUSSCGKSA-N 0 3 204.317 2.846 20 0 BFADHN CC[C@]1(C)CCN(Cc2cnccc2OC)C1 ZINC000347039923 386227094 /nfs/dbraw/zinc/22/70/94/386227094.db2.gz XCASIZUXIQQZBE-CQSZACIVSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@@H](O)C1CCN(C/C=C/c2ccccc2)CC1 ZINC000225914334 386228026 /nfs/dbraw/zinc/22/80/26/386228026.db2.gz HIHVBUTYGIGRCQ-LHRNQBEKSA-N 0 3 245.366 2.793 20 0 BFADHN Cc1ccoc1CN[C@H](C)[C@H](O)c1ccccc1 ZINC000288710856 386228321 /nfs/dbraw/zinc/22/83/21/386228321.db2.gz ZHRDLGRPPAXBJE-DOMZBBRYSA-N 0 3 245.322 2.800 20 0 BFADHN CCc1ccc([C@@H](C)NCC[C@H](C)CCO)o1 ZINC000297163776 386231624 /nfs/dbraw/zinc/23/16/24/386231624.db2.gz CZYDECUTRAYJQL-NWDGAFQWSA-N 0 3 239.359 2.901 20 0 BFADHN CSCCN1CC[C@@H](c2ccccc2F)C1 ZINC000264381902 386232347 /nfs/dbraw/zinc/23/23/47/386232347.db2.gz JSXCLYCDWXIXOV-LLVKDONJSA-N 0 3 239.359 2.978 20 0 BFADHN CCc1ccc(CNCc2cnn(CC)c2)s1 ZINC000226029504 386233045 /nfs/dbraw/zinc/23/30/45/386233045.db2.gz SSJWSUVDJQRCEU-UHFFFAOYSA-N 0 3 249.383 2.817 20 0 BFADHN Cc1ccc(F)cc1CN1CC([C@H]2CCOC2)C1 ZINC000366254561 386235408 /nfs/dbraw/zinc/23/54/08/386235408.db2.gz ZFUZAOMHPMWWPQ-LBPRGKRZSA-N 0 3 249.329 2.602 20 0 BFADHN CCC1(CN[C@H](C)c2cc(C)oc2C)COC1 ZINC000393956532 386235793 /nfs/dbraw/zinc/23/57/93/386235793.db2.gz GRMPOYXXQROHDF-LLVKDONJSA-N 0 3 237.343 2.974 20 0 BFADHN C[C@@H](O)[C@@H]1CCCCN1Cc1ccc(F)cc1 ZINC000264436619 386237254 /nfs/dbraw/zinc/23/72/54/386237254.db2.gz PIOOICKNHKHACT-RISCZKNCSA-N 0 3 237.318 2.561 20 0 BFADHN COC[C@H](NCc1ccc(C)nc1)C1CCCC1 ZINC000275809210 386240663 /nfs/dbraw/zinc/24/06/63/386240663.db2.gz DTHYWCFRXISFPE-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN CCC[C@H](CN[C@H](C)c1cncc(C)c1)OC ZINC000297293573 386241780 /nfs/dbraw/zinc/24/17/80/386241780.db2.gz LYPXMDMDCPXTCC-TZMCWYRMSA-N 0 3 236.359 2.856 20 0 BFADHN CC[C@H](O)CN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000264481840 386241974 /nfs/dbraw/zinc/24/19/74/386241974.db2.gz USDNTLQTHUTWLK-ONGXEEELSA-N 0 3 243.297 2.729 20 0 BFADHN CCOc1cc(C[N@H+](C)CC)cc(Cl)c1[O-] ZINC000297310205 386242133 /nfs/dbraw/zinc/24/21/33/386242133.db2.gz BTMUPMRWXZWNGD-UHFFFAOYSA-N 0 3 243.734 2.896 20 0 BFADHN CCOc1cc(C[N@@H+](C)CC)cc(Cl)c1[O-] ZINC000297310205 386242144 /nfs/dbraw/zinc/24/21/44/386242144.db2.gz BTMUPMRWXZWNGD-UHFFFAOYSA-N 0 3 243.734 2.896 20 0 BFADHN Cc1ccc(CN(CCO)[C@H]2CC[C@H](C)C2)o1 ZINC000339142648 386260804 /nfs/dbraw/zinc/26/08/04/386260804.db2.gz BHWQUUWQGCLSFK-AAEUAGOBSA-N 0 3 237.343 2.571 20 0 BFADHN CC1(C)CN([C@@H]2C=CCCC2)CC(C)(C)O1 ZINC000190557213 386243876 /nfs/dbraw/zinc/24/38/76/386243876.db2.gz YPPCEQLTIRIJIA-GFCCVEGCSA-N 0 3 223.360 2.985 20 0 BFADHN CSCCN1CCC(OC(C)(C)C)CC1 ZINC000336644038 386245872 /nfs/dbraw/zinc/24/58/72/386245872.db2.gz FKVYVXSNDAXCKV-UHFFFAOYSA-N 0 3 231.405 2.629 20 0 BFADHN CCC1(CC)CN(Cc2ccn(C)c2)C1 ZINC000297351333 386246645 /nfs/dbraw/zinc/24/66/45/386246645.db2.gz FXVDZDIKKYQRSI-UHFFFAOYSA-N 0 3 206.333 2.647 20 0 BFADHN Cc1cc(CN(CCO)C2CCC2)c(C)s1 ZINC000275898403 386250028 /nfs/dbraw/zinc/25/00/28/386250028.db2.gz ULOXAPIZMJXHEV-UHFFFAOYSA-N 0 3 239.384 2.712 20 0 BFADHN C[C@H](O)CCN(C)[C@@H](C)c1cccs1 ZINC000125404493 386251295 /nfs/dbraw/zinc/25/12/95/386251295.db2.gz RIGVPOSDOHVKME-UWVGGRQHSA-N 0 3 213.346 2.512 20 0 BFADHN CN(Cc1ccco1)C1Cc2ccccc2C1 ZINC000264611468 386252893 /nfs/dbraw/zinc/25/28/93/386252893.db2.gz JRYODTLSOLSSBU-UHFFFAOYSA-N 0 3 227.307 2.879 20 0 BFADHN CCc1ccc(CN2CC[C@@H](C)[C@H](OC)C2)nc1 ZINC000339112885 386254851 /nfs/dbraw/zinc/25/48/51/386254851.db2.gz WMFVROZGXQAQSX-IUODEOHRSA-N 0 3 248.370 2.501 20 0 BFADHN CC[C@H]1CN(Cc2ccc3occc3c2)C[C@@H]1O ZINC000289040372 386254861 /nfs/dbraw/zinc/25/48/61/386254861.db2.gz AQGGACXEGIYNKO-JSGCOSHPSA-N 0 3 245.322 2.636 20 0 BFADHN CSCCCN1CC=C(C(F)(F)F)CC1 ZINC000336647324 386258409 /nfs/dbraw/zinc/25/84/09/386258409.db2.gz MAYXECAZPLNWGC-UHFFFAOYSA-N 0 3 239.306 2.934 20 0 BFADHN CCC[C@@H](NC[C@@H](C)OC)c1ccccn1 ZINC000188128927 386191973 /nfs/dbraw/zinc/19/19/73/386191973.db2.gz XBBZUJCKUNYBOR-VXGBXAGGSA-N 0 3 222.332 2.547 20 0 BFADHN CC[C@@H]1CCC[C@H](N[C@H]2CNCCC2(F)F)C1 ZINC000414997954 386197085 /nfs/dbraw/zinc/19/70/85/386197085.db2.gz IHQSOBNUJPCXRH-WOPDTQHZSA-N 0 3 246.345 2.542 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H]1C[C@H]1C1CCCCC1)CC2 ZINC000453155287 386200094 /nfs/dbraw/zinc/20/00/94/386200094.db2.gz TUBFUVCIGYVBHA-BFHYXJOUSA-N 0 3 245.370 2.886 20 0 BFADHN CC[C@H](F)CN[C@@H]1CCCO[C@H]1CC(C)C ZINC000336730590 386280682 /nfs/dbraw/zinc/28/06/82/386280682.db2.gz IKDAPQYARZXVAK-XQQFMLRXSA-N 0 3 231.355 2.918 20 0 BFADHN COc1ccncc1CN1CC[C@H](C(C)(C)C)C1 ZINC000347198499 386280758 /nfs/dbraw/zinc/28/07/58/386280758.db2.gz LZOJQGDVSCIECN-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)o1 ZINC000336663538 386285848 /nfs/dbraw/zinc/28/58/48/386285848.db2.gz URPJRYBMEZAWAA-FOGDFJRCSA-N 0 3 222.332 2.849 20 0 BFADHN C[C@H](O)CN1CC[C@@H](C)[C@H]1c1cccc(F)c1 ZINC000297915869 386286179 /nfs/dbraw/zinc/28/61/79/386286179.db2.gz SRCBTFQJILHVCQ-SUNKGSAMSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](O)CN1CC[C@@H](C)[C@H]1c1cccc(F)c1 ZINC000297915845 386286303 /nfs/dbraw/zinc/28/63/03/386286303.db2.gz SRCBTFQJILHVCQ-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN Cc1occc1CN1CCS[C@H](C)[C@@H]1C ZINC000414397867 386286559 /nfs/dbraw/zinc/28/65/59/386286559.db2.gz SEGLBNLIVQMQNU-GXSJLCMTSA-N 0 3 225.357 2.914 20 0 BFADHN CCCCN(CC)Cc1cncc(OC)c1 ZINC000289343482 386287638 /nfs/dbraw/zinc/28/76/38/386287638.db2.gz XFTGKMYVZJEKSO-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN C[C@@H](O)CN1C[C@H](C)C[C@H]1c1ccccc1F ZINC000297961058 386289241 /nfs/dbraw/zinc/28/92/41/386289241.db2.gz WLEGNYZXRGHZNK-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN CO[C@@H](C)CN[C@H]1CCc2c1c(F)ccc2F ZINC000276252476 386290092 /nfs/dbraw/zinc/29/00/92/386290092.db2.gz WKYHBPUXNWRULA-UFBFGSQYSA-N 0 3 241.281 2.577 20 0 BFADHN CC(C)COC[C@@H](NCC1CC1)c1ccco1 ZINC000236621207 386291731 /nfs/dbraw/zinc/29/17/31/386291731.db2.gz IKSRBZYDOMSKTP-CYBMUJFWSA-N 0 3 237.343 2.993 20 0 BFADHN Cc1nocc1CN1CC(C)(C)C[C@H]1C ZINC000335263040 386292684 /nfs/dbraw/zinc/29/26/84/386292684.db2.gz RBNOTVUULXHAII-SECBINFHSA-N 0 3 208.305 2.603 20 0 BFADHN CC(C)N(Cc1ccn(C)n1)C1CCCC1 ZINC000336667455 386293891 /nfs/dbraw/zinc/29/38/91/386293891.db2.gz GLAXKCQOUUCASJ-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN C[C@@]1(CO)CCC[C@H]1NCc1ccc(Cl)o1 ZINC000164789886 386299233 /nfs/dbraw/zinc/29/92/33/386299233.db2.gz ICONJSGBZQMZKA-PWSUYJOCSA-N 0 3 243.734 2.574 20 0 BFADHN Cc1cn[nH]c1CNC(C)(C)c1cccc(F)c1 ZINC000289417470 386300957 /nfs/dbraw/zinc/30/09/57/386300957.db2.gz CEKIGMQZLNWBQI-UHFFFAOYSA-N 0 3 247.317 2.882 20 0 BFADHN CC[C@H](C)C[C@H](CC)N[C@H]1CNCCC1(F)F ZINC000415005718 386304745 /nfs/dbraw/zinc/30/47/45/386304745.db2.gz RUFTTYQBZKZYJP-SRVKXCTJSA-N 0 3 248.361 2.788 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1ccc(Cl)s1 ZINC000125729766 386307459 /nfs/dbraw/zinc/30/74/59/386307459.db2.gz OHEDQHXDQHYFOW-SFYZADRCSA-N 0 3 233.764 2.508 20 0 BFADHN Cc1ccc2oc(NCCN(C)C3CC3)nc2c1 ZINC000265153272 386313117 /nfs/dbraw/zinc/31/31/17/386313117.db2.gz UASDAOQUIHFACS-UHFFFAOYSA-N 0 3 245.326 2.642 20 0 BFADHN CN(Cc1ccno1)CC1CCCCC1 ZINC000298307477 386322589 /nfs/dbraw/zinc/32/25/89/386322589.db2.gz YWQHXUVVXUGZSJ-UHFFFAOYSA-N 0 3 208.305 2.687 20 0 BFADHN C[C@H](O)CCN[C@@H](C)c1nccc2ccccc21 ZINC000289528535 386323138 /nfs/dbraw/zinc/32/31/38/386323138.db2.gz BCXDQICLJSHJBC-RYUDHWBXSA-N 0 3 244.338 2.656 20 0 BFADHN CCc1nocc1CN1CC(C)(C)C[C@H]1C ZINC000335262557 386323299 /nfs/dbraw/zinc/32/32/99/386323299.db2.gz OMRDTXZIGRGIDE-SNVBAGLBSA-N 0 3 222.332 2.857 20 0 BFADHN CCC1(C)CN(Cc2ccncc2C)C1 ZINC000297522254 386262066 /nfs/dbraw/zinc/26/20/66/386262066.db2.gz YGKQRHYGNYOFHI-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CCc1ccc(CN2CCC[C@@](C)(OC)C2)nc1 ZINC000339140715 386262151 /nfs/dbraw/zinc/26/21/51/386262151.db2.gz MKWREKQEYMMWLO-OAHLLOKOSA-N 0 3 248.370 2.645 20 0 BFADHN CC[C@H](C)CN(CC)Cc1n[nH]c(C(C)C)n1 ZINC000428237584 386268893 /nfs/dbraw/zinc/26/88/93/386268893.db2.gz KZZZEXWFBRIVSO-NSHDSACASA-N 0 3 238.379 2.796 20 0 BFADHN CC(C)(C)NCc1ccnn1C1CCCC1 ZINC000336652520 386270162 /nfs/dbraw/zinc/27/01/62/386270162.db2.gz XEEHIXMQKQWFJW-UHFFFAOYSA-N 0 3 221.348 2.886 20 0 BFADHN C[C@H](O)CCCN1Cc2ccccc2[C@@H]1C ZINC000336654825 386272747 /nfs/dbraw/zinc/27/27/47/386272747.db2.gz KKBCJYOYTYQFQU-RYUDHWBXSA-N 0 3 219.328 2.724 20 0 BFADHN CC(C)=CCC[C@@H](C)N[C@@H](C)c1ncnn1C ZINC000276525602 386339950 /nfs/dbraw/zinc/33/99/50/386339950.db2.gz CFEMSEYCGDOIKP-NEPJUHHUSA-N 0 3 236.363 2.601 20 0 BFADHN CCOC(=O)CCCCCN1CC[C@@](C)(F)C1 ZINC000347351784 386345617 /nfs/dbraw/zinc/34/56/17/386345617.db2.gz KLVCAFOPIZONMZ-CYBMUJFWSA-N 0 3 245.338 2.544 20 0 BFADHN c1cc([C@@H]2CCCN2C[C@H]2CCC=CO2)ccn1 ZINC000289725118 386346519 /nfs/dbraw/zinc/34/65/19/386346519.db2.gz HLVBRQWLYMANMA-CABCVRRESA-N 0 3 244.338 2.911 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cc(F)c(F)c(F)c1 ZINC000289753917 386348835 /nfs/dbraw/zinc/34/88/35/386348835.db2.gz IJNGFBXSGXJVFS-HTQZYQBOSA-N 0 3 247.260 2.617 20 0 BFADHN COC[C@@H]1CCN1Cc1cc(C)cc(C)c1 ZINC000339282741 386353538 /nfs/dbraw/zinc/35/35/38/386353538.db2.gz IUPKRICMDAKJGS-AWEZNQCLSA-N 0 3 219.328 2.524 20 0 BFADHN CCc1ncc(CN(C)[C@H](C)C2(C)CC2)cn1 ZINC000414416705 386356990 /nfs/dbraw/zinc/35/69/90/386356990.db2.gz WFQLRSQLAXYWGB-LLVKDONJSA-N 0 3 233.359 2.659 20 0 BFADHN C[C@H]1c2ccccc2CN1CC1(C)COC1 ZINC000336690497 386357050 /nfs/dbraw/zinc/35/70/50/386357050.db2.gz BAAQESSEFVRINT-NSHDSACASA-N 0 3 217.312 2.600 20 0 BFADHN CC(C)=CCCNCc1cnccc1N(C)C ZINC000276653201 386360262 /nfs/dbraw/zinc/36/02/62/386360262.db2.gz UMCUCOQQNKOHKA-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1ccc2c(c1)ncn2C ZINC000335271818 386360426 /nfs/dbraw/zinc/36/04/26/386360426.db2.gz CSBQSKIMTSSOEW-PHIMTYICSA-N 0 3 229.327 2.556 20 0 BFADHN FCCCCN1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289872273 386365724 /nfs/dbraw/zinc/36/57/24/386365724.db2.gz LWQKGKDHLWPEIW-LLVKDONJSA-N 0 3 245.729 2.533 20 0 BFADHN CCC(C)(C)CCN1CCC[C@](F)(CO)C1 ZINC000347366372 386368860 /nfs/dbraw/zinc/36/88/60/386368860.db2.gz ITVLVMBGQUJBKM-CYBMUJFWSA-N 0 3 231.355 2.609 20 0 BFADHN CCC(C)(C)CCN1CCC[C@@](F)(CO)C1 ZINC000347366373 386369181 /nfs/dbraw/zinc/36/91/81/386369181.db2.gz ITVLVMBGQUJBKM-ZDUSSCGKSA-N 0 3 231.355 2.609 20 0 BFADHN Cc1ccc(CCN2CC[C@](C)(F)C2)cc1 ZINC000347369204 386369317 /nfs/dbraw/zinc/36/93/17/386369317.db2.gz IXMGFMMTJWSNPO-AWEZNQCLSA-N 0 3 221.319 2.971 20 0 BFADHN Cc1ccc(NC(=O)[C@@H](N)CC(C)C)c(C)c1 ZINC000011961113 386369521 /nfs/dbraw/zinc/36/95/21/386369521.db2.gz UXUFIUSWDYCGPL-LBPRGKRZSA-N 0 3 234.343 2.615 20 0 BFADHN COC[C@@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC000265799445 386369791 /nfs/dbraw/zinc/36/97/91/386369791.db2.gz NWYZQEUKYYKLBV-NWDGAFQWSA-N 0 3 237.318 2.855 20 0 BFADHN COC[C@@H]1CCN([C@H](C)c2cccc(F)c2)C1 ZINC000265799451 386369895 /nfs/dbraw/zinc/36/98/95/386369895.db2.gz NWYZQEUKYYKLBV-VXGBXAGGSA-N 0 3 237.318 2.855 20 0 BFADHN Cc1nc(C)c(CN[C@@H](C)COC(C)C)s1 ZINC000336743219 386370281 /nfs/dbraw/zinc/37/02/81/386370281.db2.gz OUFQGOGJTTULGG-VIFPVBQESA-N 0 3 242.388 2.663 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1CCCC[C@H]1C ZINC000336743247 386371594 /nfs/dbraw/zinc/37/15/94/386371594.db2.gz OVUXUKLPQPLCHJ-ZYHUDNBSSA-N 0 3 221.348 2.634 20 0 BFADHN CN(C)c1cccnc1CNC[C@@H]1CC=CCC1 ZINC000289940466 386373323 /nfs/dbraw/zinc/37/33/23/386373323.db2.gz WSVMSWQZBYKCTJ-CYBMUJFWSA-N 0 3 245.370 2.594 20 0 BFADHN CN(C)CCN(C)Cc1cc2ccccc2s1 ZINC000289956323 386377142 /nfs/dbraw/zinc/37/71/42/386377142.db2.gz YEJKHUHISDAGAU-UHFFFAOYSA-N 0 3 248.395 2.895 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@@H]1C1CCC1 ZINC000453303181 386377604 /nfs/dbraw/zinc/37/76/04/386377604.db2.gz AHWUXGSZSWLKLC-CHWSQXEVSA-N 0 3 233.359 2.571 20 0 BFADHN CO[C@@H]1CCC[C@H]1CN[C@@H](C)c1ccco1 ZINC000336732246 386378638 /nfs/dbraw/zinc/37/86/38/386378638.db2.gz JHLRZLNCBHRXOY-GMXVVIOVSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1c[nH]nc1CNCC1(c2ccccc2)CC1 ZINC000289996142 386383410 /nfs/dbraw/zinc/38/34/10/386383410.db2.gz SSEKHTNEPJUZAI-UHFFFAOYSA-N 0 3 241.338 2.540 20 0 BFADHN CCCCCNC(=O)[C@@H](C)N(C)CC(C)(C)C ZINC000339251761 386326335 /nfs/dbraw/zinc/32/63/35/386326335.db2.gz NKXSLJPVWWHZMV-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN CCc1ccc(CN2CCC(OC)CC2)cc1 ZINC000265347681 386330201 /nfs/dbraw/zinc/33/02/01/386330201.db2.gz QFHMRYBYJAOGJT-UHFFFAOYSA-N 0 3 233.355 2.860 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2sccc2C)C1 ZINC000289588274 386331911 /nfs/dbraw/zinc/33/19/11/386331911.db2.gz UKJHKXFCWJDMOH-PHIMTYICSA-N 0 3 225.357 2.666 20 0 BFADHN c1c(CNCCCC2CC2)onc1C1CC1 ZINC000638660584 386337400 /nfs/dbraw/zinc/33/74/00/386337400.db2.gz SRBIHGDVCQFLII-UHFFFAOYSA-N 0 3 220.316 2.832 20 0 BFADHN CCSCCN(C)Cc1cccc(OC)c1 ZINC000265447426 386337981 /nfs/dbraw/zinc/33/79/81/386337981.db2.gz SFWOOMDRNMDLGY-UHFFFAOYSA-N 0 3 239.384 2.880 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C)C[C@H](C)C2)cn1 ZINC000414410017 386338197 /nfs/dbraw/zinc/33/81/97/386338197.db2.gz NXCDYAIRDSYHCE-OLZOCXBDSA-N 0 3 247.386 2.907 20 0 BFADHN Cc1ccc([C@H]2C[C@@H](C)CCN2CCCO)o1 ZINC000266564602 386452549 /nfs/dbraw/zinc/45/25/49/386452549.db2.gz NYINMEVHRFLODI-WCQYABFASA-N 0 3 237.343 2.743 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCCN2C2CC2)o1 ZINC000277480540 386438790 /nfs/dbraw/zinc/43/87/90/386438790.db2.gz MAEJEHYCIBARSU-CQSZACIVSA-N 0 3 248.370 2.695 20 0 BFADHN Cc1ccc(CNCCOc2ccccc2F)o1 ZINC000299327070 386439093 /nfs/dbraw/zinc/43/90/93/386439093.db2.gz HBBRATJQMJJZNR-UHFFFAOYSA-N 0 3 249.285 2.896 20 0 BFADHN Cc1cccc(CN(C)CC[C@H]2CCCCO2)n1 ZINC000347489157 386440191 /nfs/dbraw/zinc/44/01/91/386440191.db2.gz SYZRUHKSIJZZJF-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1C/C=C\c1ccccc1 ZINC000399190841 386443211 /nfs/dbraw/zinc/44/32/11/386443211.db2.gz IYFANZGKSKCTFY-DBVFPWQWSA-N 0 3 231.339 2.545 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1C/C=C/c1ccccc1 ZINC000399191233 386444733 /nfs/dbraw/zinc/44/47/33/386444733.db2.gz IYFANZGKSKCTFY-JGOVJGDKSA-N 0 3 231.339 2.545 20 0 BFADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1cncs1 ZINC000336754263 386445312 /nfs/dbraw/zinc/44/53/12/386445312.db2.gz WWDHBBGRNVCRMC-ZJUUUORDSA-N 0 3 240.372 2.751 20 0 BFADHN c1cncc(CN(C[C@H]2CCSC2)C2CC2)c1 ZINC000290510488 386445863 /nfs/dbraw/zinc/44/58/63/386445863.db2.gz PNBOKHKBZZMRNL-CYBMUJFWSA-N 0 3 248.395 2.799 20 0 BFADHN COc1ncccc1CN[C@H]1CCCC12CCC2 ZINC000394817330 386445838 /nfs/dbraw/zinc/44/58/38/386445838.db2.gz RTMZBOGGEGDDAT-ZDUSSCGKSA-N 0 3 246.354 2.903 20 0 BFADHN CC[C@@H](c1ccccc1OC)N(C)CCOC ZINC000347544493 386446171 /nfs/dbraw/zinc/44/61/71/386446171.db2.gz TVTLVWMQRLDFHX-ZDUSSCGKSA-N 0 3 237.343 2.725 20 0 BFADHN Cc1ccc(CN(C)C[C@H](O)C(C)(C)C)s1 ZINC000290530967 386449725 /nfs/dbraw/zinc/44/97/25/386449725.db2.gz ZIWNACYCYMXTTQ-LBPRGKRZSA-N 0 3 241.400 2.895 20 0 BFADHN Cc1ccoc1CN(C)CCCn1ccnc1C ZINC000290533703 386450255 /nfs/dbraw/zinc/45/02/55/386450255.db2.gz QVBOTJZIMJLUFN-UHFFFAOYSA-N 0 3 247.342 2.615 20 0 BFADHN Cc1ccncc1CN1CCSC[C@H](C)C1 ZINC000276929969 386392035 /nfs/dbraw/zinc/39/20/35/386392035.db2.gz STVKYFGSJGFKOL-LLVKDONJSA-N 0 3 236.384 2.575 20 0 BFADHN Cc1noc(C)c1C(C)(C)NC[C@@H]1C[C@H]1C ZINC000336776252 386394780 /nfs/dbraw/zinc/39/47/80/386394780.db2.gz FGNPWILWGAOFQR-KCJUWKMLSA-N 0 3 222.332 2.772 20 0 BFADHN C[C@@H](O)[C@@H]1CCN(Cc2ccc3occc3c2)C1 ZINC000290191811 386404112 /nfs/dbraw/zinc/40/41/12/386404112.db2.gz CFDNASLCNVTPHY-BXUZGUMPSA-N 0 3 245.322 2.636 20 0 BFADHN Cc1ccoc1CNCCN1CCCC[C@H]1C ZINC000266178742 386407275 /nfs/dbraw/zinc/40/72/75/386407275.db2.gz FOIWVINAYDSCCF-CYBMUJFWSA-N 0 3 236.359 2.552 20 0 BFADHN CCOC1CC(N(C)Cc2ccc(C)nc2C)C1 ZINC000347443618 386408009 /nfs/dbraw/zinc/40/80/09/386408009.db2.gz FVAAHYPNNGVNNC-UHFFFAOYSA-N 0 3 248.370 2.698 20 0 BFADHN CCCc1csc(CN[C@H](C)CCOC)n1 ZINC000336777096 386409640 /nfs/dbraw/zinc/40/96/40/386409640.db2.gz HODBIGAOESJBGB-SNVBAGLBSA-N 0 3 242.388 2.610 20 0 BFADHN C[C@@H]1CCN(Cc2cnccn2)[C@@H]2CCCC[C@H]12 ZINC000519335924 386412655 /nfs/dbraw/zinc/41/26/55/386412655.db2.gz JBJSFTMMFPNNNR-BPLDGKMQSA-N 0 3 245.370 2.877 20 0 BFADHN Cc1ccoc1CN[C@@H]1CSC1(C)C ZINC000282937383 386415537 /nfs/dbraw/zinc/41/55/37/386415537.db2.gz WZFQUEJOHHGODK-SNVBAGLBSA-N 0 3 211.330 2.572 20 0 BFADHN Cc1ccoc1CNC[C@H](C)Cn1ccnc1C ZINC000266236420 386416754 /nfs/dbraw/zinc/41/67/54/386416754.db2.gz VUMICMYQUVWXPI-NSHDSACASA-N 0 3 247.342 2.519 20 0 BFADHN CC(C)N(Cc1cncn1C)C1CCCC1 ZINC000336709239 386418191 /nfs/dbraw/zinc/41/81/91/386418191.db2.gz WLCRGEIZYDXZOJ-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN Cc1cn[nH]c1CN(C)Cc1ccc(C)cc1 ZINC000290311894 386418237 /nfs/dbraw/zinc/41/82/37/386418237.db2.gz AOJKFDDWXBKMQP-UHFFFAOYSA-N 0 3 229.327 2.659 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@H]1C(C)(C)C ZINC000453323882 386418579 /nfs/dbraw/zinc/41/85/79/386418579.db2.gz QHLRVXWUNWAVOK-VXGBXAGGSA-N 0 3 235.375 2.817 20 0 BFADHN CCOc1ccccc1CN(CC)CCOC ZINC000299141020 386420601 /nfs/dbraw/zinc/42/06/01/386420601.db2.gz SVPUDGNQVJTTBO-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN COc1c(C)cnc(CN2C[C@H](C)[C@@H](C)C2)c1C ZINC000336710833 386424273 /nfs/dbraw/zinc/42/42/73/386424273.db2.gz NHOBXBLLXRWAIS-RYUDHWBXSA-N 0 3 248.370 2.795 20 0 BFADHN C[C@H](O)CCCN([C@@H](C)c1ccco1)C1CC1 ZINC000336710944 386425071 /nfs/dbraw/zinc/42/50/71/386425071.db2.gz NHQLLKMEWCKUGZ-RYUDHWBXSA-N 0 3 237.343 2.966 20 0 BFADHN O[C@@H](CNCc1cc2ccccc2o1)C1CCC1 ZINC000315796527 259687727 /nfs/dbraw/zinc/68/77/27/259687727.db2.gz VHEYLHIOPDUWHI-AWEZNQCLSA-N 0 3 245.322 2.683 20 0 BFADHN C[C@H](N[C@H]1CSC1(C)C)c1ccco1 ZINC000283422546 386434648 /nfs/dbraw/zinc/43/46/48/386434648.db2.gz RRHBKZQTMYGBBW-WPRPVWTQSA-N 0 3 211.330 2.824 20 0 BFADHN C[C@@]1(CNCc2cc[nH]n2)C[C@@H]1c1ccccc1 ZINC000339444768 386434995 /nfs/dbraw/zinc/43/49/95/386434995.db2.gz BGEMUACNMQIXHQ-CABCVRRESA-N 0 3 241.338 2.693 20 0 BFADHN CC[C@@H]1CCCN(Cc2cc(C)nn2C)CC1 ZINC000417799754 386468139 /nfs/dbraw/zinc/46/81/39/386468139.db2.gz XFSOGISAERRRER-CYBMUJFWSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1ccc(F)cc1CN1C[C@@H](C)[C@H]1C ZINC000339570819 386469302 /nfs/dbraw/zinc/46/93/02/386469302.db2.gz XZCFCXHOLYSMBV-GHMZBOCLSA-N 0 3 207.292 2.974 20 0 BFADHN CCC1(C)CN(Cc2ccc3nccnc3c2)C1 ZINC000639255044 386470315 /nfs/dbraw/zinc/47/03/15/386470315.db2.gz LPIWWLRCQSCTBZ-UHFFFAOYSA-N 0 3 241.338 2.862 20 0 BFADHN Cc1cc(CNCc2ccccc2C)ccn1 ZINC000391178835 386470373 /nfs/dbraw/zinc/47/03/73/386470373.db2.gz JNNMEUBIVXRKSR-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCCC2(C)C)n1C ZINC000339578004 386473487 /nfs/dbraw/zinc/47/34/87/386473487.db2.gz SQCGNCVTUGWJMD-LBPRGKRZSA-N 0 3 235.375 2.644 20 0 BFADHN Cc1ccc(F)c(CNC[C@@H]2CCCCO2)c1 ZINC000227285513 386474412 /nfs/dbraw/zinc/47/44/12/386474412.db2.gz YDZMZLQBKJNEKK-ZDUSSCGKSA-N 0 3 237.318 2.793 20 0 BFADHN C[C@H](CCO)CNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000227372435 386482138 /nfs/dbraw/zinc/48/21/38/386482138.db2.gz BWGUPXGAERXCLT-NTZNESFSSA-N 0 3 237.343 2.511 20 0 BFADHN CCC1(CN[C@H](C)c2ccccc2F)COC1 ZINC000393971844 386486690 /nfs/dbraw/zinc/48/66/90/386486690.db2.gz OLDNNYJLYOVHAQ-LLVKDONJSA-N 0 3 237.318 2.903 20 0 BFADHN CC(C)[C@@H](N[C@@H](C)c1nccs1)C(C)(C)O ZINC000336752125 386489154 /nfs/dbraw/zinc/48/91/54/386489154.db2.gz VLLHGECYSPMQDC-VHSXEESVSA-N 0 3 242.388 2.589 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2C[C@@](C)(O)C2)cc1 ZINC000417352685 386489903 /nfs/dbraw/zinc/48/99/03/386489903.db2.gz GUHPOUXRVZANMY-BNOWGMLFSA-N 0 3 219.328 2.559 20 0 BFADHN CCc1cccc(CN2C[C@@H](C)N(C)[C@H](C)C2)c1 ZINC000339620749 386492280 /nfs/dbraw/zinc/49/22/80/386492280.db2.gz FMOINRZFZSMWHI-ZIAGYGMSSA-N 0 3 246.398 2.773 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@@](C)(O)C2)c(C)s1 ZINC000417354561 386493328 /nfs/dbraw/zinc/49/33/28/386493328.db2.gz MGIQBEVTVCDPSD-XHVZSJERSA-N 0 3 239.384 2.929 20 0 BFADHN COC(=O)c1coc([C@@H](C)N[C@@H](C)C2CC2)c1 ZINC000278159311 386494444 /nfs/dbraw/zinc/49/44/44/386494444.db2.gz BFGLMXQOMDCRLV-DTWKUNHWSA-N 0 3 237.299 2.515 20 0 BFADHN CCCc1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000267111230 386498692 /nfs/dbraw/zinc/49/86/92/386498692.db2.gz YWKGIQJKVZTDMV-UHFFFAOYSA-N 0 3 245.326 2.751 20 0 BFADHN Cc1ccoc1CN1CC[C@H](c2cccnc2)C1 ZINC000335441149 386499036 /nfs/dbraw/zinc/49/90/36/386499036.db2.gz GJIOQXDKPOKTDT-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN CCc1ccc(CN2C[C@@H](C)N(C)[C@H](C)C2)cc1 ZINC000339631183 386499815 /nfs/dbraw/zinc/49/98/15/386499815.db2.gz QHEMWZBNAMZKIR-ZIAGYGMSSA-N 0 3 246.398 2.773 20 0 BFADHN C[C@H](C(=O)Nc1ccc(CN(C)C)cc1)C1CC1 ZINC000267132439 386500736 /nfs/dbraw/zinc/50/07/36/386500736.db2.gz LXOBIKBPOWCYDO-NSHDSACASA-N 0 3 246.354 2.733 20 0 BFADHN CCc1nocc1CN[C@H]1CCCC[C@@H]1C ZINC000647971179 386501378 /nfs/dbraw/zinc/50/13/78/386501378.db2.gz XDSGRGDCPKGZHU-GWCFXTLKSA-N 0 3 222.332 2.905 20 0 BFADHN Cc1cc(C)cc(CN2C[C@H](C)N(C)[C@@H](C)C2)c1 ZINC000339616446 386503886 /nfs/dbraw/zinc/50/38/86/386503886.db2.gz ACHZBUVAAPULSB-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN C[C@@H](NC[C@@H]1CC12CC2)c1nccs1 ZINC000417334872 386504247 /nfs/dbraw/zinc/50/42/47/386504247.db2.gz HOTAVVFHOHVDTP-BDAKNGLRSA-N 0 3 208.330 2.594 20 0 BFADHN C[C@H](NC[C@@H]1CC12CC2)c1nccs1 ZINC000417334877 386504403 /nfs/dbraw/zinc/50/44/03/386504403.db2.gz HOTAVVFHOHVDTP-IUCAKERBSA-N 0 3 208.330 2.594 20 0 BFADHN C=Cc1ccc(CCNCc2n[nH]cc2C)cc1 ZINC000291046515 386506226 /nfs/dbraw/zinc/50/62/26/386506226.db2.gz MEDQJTYPIVMXHC-UHFFFAOYSA-N 0 3 241.338 2.693 20 0 BFADHN CO[C@@]1(C)CCCN(CCC(F)(F)F)CC1 ZINC000366451313 386507216 /nfs/dbraw/zinc/50/72/16/386507216.db2.gz BOVFZLPWKQZHTJ-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN CO[C@](C)(CN[C@@H](C)c1cc(C)ccn1)C1CC1 ZINC000291070606 386507790 /nfs/dbraw/zinc/50/77/90/386507790.db2.gz BBSUNDWQBFGNOU-SWLSCSKDSA-N 0 3 248.370 2.856 20 0 BFADHN CC1(C)CC(NCc2nccn2C(F)F)C1 ZINC000336733243 386507779 /nfs/dbraw/zinc/50/77/79/386507779.db2.gz GKQCGCDCQCGCAX-UHFFFAOYSA-N 0 3 229.274 2.556 20 0 BFADHN CCOc1ccc(CN2CCCC2)cc1F ZINC000278326592 386509924 /nfs/dbraw/zinc/50/99/24/386509924.db2.gz FYQJSAVNILDJRN-UHFFFAOYSA-N 0 3 223.291 2.820 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H]1CCCc2ccc(O)cc21 ZINC000291098219 386511465 /nfs/dbraw/zinc/51/14/65/386511465.db2.gz DKYVPLKZBPJFAW-JRPNMDOOSA-N 0 3 249.354 2.783 20 0 BFADHN Cc1cc(CN2CC[C@@H]3OCC[C@@H]3C2)cs1 ZINC000335445793 386512114 /nfs/dbraw/zinc/51/21/14/386512114.db2.gz YYVQCKYMIWXEAZ-OLZOCXBDSA-N 0 3 237.368 2.667 20 0 BFADHN Cc1cnn(CCN(C)[C@@H](C)c2ccccc2)c1 ZINC000336786296 386512746 /nfs/dbraw/zinc/51/27/46/386512746.db2.gz HLKKAZWJMMNVEU-AWEZNQCLSA-N 0 3 243.354 2.885 20 0 BFADHN CC(C)C[C@@H](C)CC(=O)NC(C)(C)CN(C)C ZINC000457201615 386517048 /nfs/dbraw/zinc/51/70/48/386517048.db2.gz GIWMPCQMNMGCHE-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN CSC1(CN2C[C@@H](C)OC3(CCC3)C2)CC1 ZINC000335449269 386518741 /nfs/dbraw/zinc/51/87/41/386518741.db2.gz KBMYIQORQRQJSN-LLVKDONJSA-N 0 3 241.400 2.525 20 0 BFADHN CCc1ccc(CN(C(C)C)[C@@H]2CCOC2)o1 ZINC000291165588 386519540 /nfs/dbraw/zinc/51/95/40/386519540.db2.gz DACIINFTTTXYRF-GFCCVEGCSA-N 0 3 237.343 2.841 20 0 BFADHN C[C@H](N[C@H]1CCCSC1)c1cccnc1 ZINC000061571766 386519912 /nfs/dbraw/zinc/51/99/12/386519912.db2.gz VZJJUNONSQISNB-JQWIXIFHSA-N 0 3 222.357 2.628 20 0 BFADHN COC(=O)c1coc([C@H](C)NC2CC(C)C2)c1 ZINC000278389792 386520803 /nfs/dbraw/zinc/52/08/03/386520803.db2.gz DTLBZYZWJYCCDZ-YUCVTWSNSA-N 0 3 237.299 2.515 20 0 BFADHN Cc1ccc(CN2CC[C@H](n3cccn3)C2)s1 ZINC000291192661 386522212 /nfs/dbraw/zinc/52/22/12/386522212.db2.gz GSKWACLFVUSPKJ-LBPRGKRZSA-N 0 3 247.367 2.700 20 0 BFADHN Cc1ccc(CN2CC[C@@H](n3cccn3)C2)s1 ZINC000291192659 386522681 /nfs/dbraw/zinc/52/26/81/386522681.db2.gz GSKWACLFVUSPKJ-GFCCVEGCSA-N 0 3 247.367 2.700 20 0 BFADHN Cc1ccc2nc(CN(C)CC(C)C)cn2c1 ZINC000299426343 386453681 /nfs/dbraw/zinc/45/36/81/386453681.db2.gz OVKHMPUAZKZTAE-UHFFFAOYSA-N 0 3 231.343 2.731 20 0 BFADHN CC[C@H]1CN([C@H](C)c2cccnc2)CCS1 ZINC000266594550 386454282 /nfs/dbraw/zinc/45/42/82/386454282.db2.gz VJDHYRQLMWMLSF-YPMHNXCESA-N 0 3 236.384 2.970 20 0 BFADHN CC[C@H]1CN([C@@H](C)c2cccnc2)CCS1 ZINC000266594542 386455746 /nfs/dbraw/zinc/45/57/46/386455746.db2.gz VJDHYRQLMWMLSF-AAEUAGOBSA-N 0 3 236.384 2.970 20 0 BFADHN Cc1nocc1CNC[C@@H]1C[C@@H]1c1ccccc1 ZINC000339510812 386457360 /nfs/dbraw/zinc/45/73/60/386457360.db2.gz BQRGSPJKKBKBIG-DZGCQCFKSA-N 0 3 242.322 2.876 20 0 BFADHN CCN(CC)[C@@H](C)C(=O)N[C@H](C)c1ccccc1 ZINC000299495945 386459246 /nfs/dbraw/zinc/45/92/46/386459246.db2.gz JRBQLIOFRTXUQL-OLZOCXBDSA-N 0 3 248.370 2.594 20 0 BFADHN CCc1ccc(CN(C)[C@@H](CO)CC(C)C)o1 ZINC000290607512 386459296 /nfs/dbraw/zinc/45/92/96/386459296.db2.gz WHOPRHFDFSUNAS-GFCCVEGCSA-N 0 3 239.359 2.681 20 0 BFADHN c1ccc(CN[C@@H]2[C@H]3Cc4ccccc4[C@@H]23)nc1 ZINC000290618740 386459418 /nfs/dbraw/zinc/45/94/18/386459418.db2.gz XBEVYYAWTXQJCX-ARFHVFGLSA-N 0 3 236.318 2.510 20 0 BFADHN CCc1ncc(CN2CCC[C@@H](C3CC3)C2)cn1 ZINC000414438141 386460782 /nfs/dbraw/zinc/46/07/82/386460782.db2.gz DBJVBPNXGKTXFX-CQSZACIVSA-N 0 3 245.370 2.661 20 0 BFADHN CN(Cc1ccno1)[C@@H]1CCc2ccccc2C1 ZINC000335412276 386575097 /nfs/dbraw/zinc/57/50/97/386575097.db2.gz BUCDXQLYPCOTEK-CQSZACIVSA-N 0 3 242.322 2.664 20 0 BFADHN Cc1ccc2nc(CN3C[C@@H](C)[C@H](C)C3)cn2c1 ZINC000267731484 386579170 /nfs/dbraw/zinc/57/91/70/386579170.db2.gz BKGMZJIFGUAFNW-CHWSQXEVSA-N 0 3 243.354 2.731 20 0 BFADHN C[C@H](NCCCCF)c1cncs1 ZINC000336643941 386579166 /nfs/dbraw/zinc/57/91/66/386579166.db2.gz LVFOXAFXLNNUON-QMMMGPOBSA-N 0 3 202.298 2.543 20 0 BFADHN CCCN(Cc1cncn1CC)CC1CC1 ZINC000417704332 386580097 /nfs/dbraw/zinc/58/00/97/386580097.db2.gz SHLOBCDOQNJFOW-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1cncc1CN(C)CCc1ccccc1 ZINC000417704432 386580918 /nfs/dbraw/zinc/58/09/18/386580918.db2.gz QSUWDBWJXULZNV-UHFFFAOYSA-N 0 3 243.354 2.578 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1ccc(C2CC2)cc1F ZINC000291779535 386581487 /nfs/dbraw/zinc/58/14/87/386581487.db2.gz USDAKHSSORWSNW-BONVTDFDSA-N 0 3 249.329 2.970 20 0 BFADHN FC1(F)CCCC[C@H](CNCc2cc[nH]n2)C1 ZINC000291790561 386583985 /nfs/dbraw/zinc/58/39/85/386583985.db2.gz WAGZJQOMKZGOIS-JTQLQIEISA-N 0 3 243.301 2.715 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)on1 ZINC000335418839 386586763 /nfs/dbraw/zinc/58/67/63/386586763.db2.gz DESVANIYWQTKQU-CHWSQXEVSA-N 0 3 234.343 2.994 20 0 BFADHN C[C@H](N(C)Cc1ccccn1)C1(C)CC1 ZINC000267793158 386587348 /nfs/dbraw/zinc/58/73/48/386587348.db2.gz WRXRSFITALZVJK-NSHDSACASA-N 0 3 204.317 2.702 20 0 BFADHN Cc1occc1CN1CC[C@]2(C1)CCCOC2 ZINC000414460253 386589032 /nfs/dbraw/zinc/58/90/32/386589032.db2.gz XXZSELIKOJJPET-AWEZNQCLSA-N 0 3 235.327 2.591 20 0 BFADHN CCC[C@@H](N[C@H](C)CO)c1ccsc1 ZINC000187738089 386589077 /nfs/dbraw/zinc/58/90/77/386589077.db2.gz RILSJOFCSMQIMV-MWLCHTKSSA-N 0 3 213.346 2.560 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2CCOC[C@@H]2C)o1 ZINC000278812151 386589812 /nfs/dbraw/zinc/58/98/12/386589812.db2.gz ZSOFXULFRJPQFX-GMXVVIOVSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@H]1CN([C@H]2CCc3ccc(F)cc32)CCCO1 ZINC000248368826 386592481 /nfs/dbraw/zinc/59/24/81/386592481.db2.gz VPUSFCUVUIJCRA-NHYWBVRUSA-N 0 3 249.329 2.924 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1C(=O)CN(C)C(C)(C)C ZINC000335454995 386531240 /nfs/dbraw/zinc/53/12/40/386531240.db2.gz KXJATOXVUJCMOM-TXEJJXNPSA-N 0 3 240.391 2.506 20 0 BFADHN CSCCN1Cc2ccccc2O[C@@H](C)C1 ZINC000366483646 386533101 /nfs/dbraw/zinc/53/31/01/386533101.db2.gz QOVCAQLZFCHCCL-NSHDSACASA-N 0 3 237.368 2.633 20 0 BFADHN C[C@@H](O)CCCN[C@@H](C)c1cccc(F)c1F ZINC000228026580 386533530 /nfs/dbraw/zinc/53/35/30/386533530.db2.gz DGTXPXPXEGIKTO-ZJUUUORDSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@H](O)CCCN[C@@H](C)c1cc(F)cc(F)c1 ZINC000228036310 386534934 /nfs/dbraw/zinc/53/49/34/386534934.db2.gz UWOBIFDXJCVODZ-UWVGGRQHSA-N 0 3 243.297 2.776 20 0 BFADHN CCC[C@](C)(N)C(=O)N1CCC(C)(CC)CC1 ZINC000062957993 386535112 /nfs/dbraw/zinc/53/51/12/386535112.db2.gz OCIXILNETRKPFQ-AWEZNQCLSA-N 0 3 240.391 2.543 20 0 BFADHN CC(C)(C)[C@H](CO)NCc1coc2ccccc12 ZINC000393978699 386538373 /nfs/dbraw/zinc/53/83/73/386538373.db2.gz WFXGITYZCDLRHQ-AWEZNQCLSA-N 0 3 247.338 2.929 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H]1CCCc2c(O)cccc21 ZINC000291386629 386539818 /nfs/dbraw/zinc/53/98/18/386539818.db2.gz ZSVFANKQBXGMGI-GYSYKLTISA-N 0 3 249.354 2.783 20 0 BFADHN CC(C)=CCN[C@@H](CO)c1sccc1C ZINC000336755949 386549529 /nfs/dbraw/zinc/54/95/29/386549529.db2.gz JCHDYFISHRUMSZ-NSHDSACASA-N 0 3 225.357 2.646 20 0 BFADHN CCn1cncc1CN([C@H](C)C(C)C)C1CC1 ZINC000417689787 386549987 /nfs/dbraw/zinc/54/99/87/386549987.db2.gz GOLFRPNBSIVEFF-GFCCVEGCSA-N 0 3 235.375 2.912 20 0 BFADHN CC[C@@H](N[C@@H](CC)C(=O)NC)c1ccc(C)cc1 ZINC000453408688 386597342 /nfs/dbraw/zinc/59/73/42/386597342.db2.gz QTAYYNHWERPFNR-KGLIPLIRSA-N 0 3 248.370 2.560 20 0 BFADHN F[C@H]1CCN(C[C@H]2CCCCC2(F)F)C1 ZINC000335467343 386556708 /nfs/dbraw/zinc/55/67/08/386556708.db2.gz KPSDEHYUXMCCTL-ZJUUUORDSA-N 0 3 221.266 2.856 20 0 BFADHN C=Cn1cc(CN2CC(C)(C)[C@H]2C2CC2)cn1 ZINC000291675069 386565538 /nfs/dbraw/zinc/56/55/38/386565538.db2.gz WQDNPKSNDBUKMC-CYBMUJFWSA-N 0 3 231.343 2.604 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1ccc(C)nn1 ZINC000414456101 386565896 /nfs/dbraw/zinc/56/58/96/386565896.db2.gz GVSJBPWHHNPQFL-UONOGXRCSA-N 0 3 233.359 2.699 20 0 BFADHN C=Cn1cc(CN2CC(C)(C)[C@@H]2C2CC2)cn1 ZINC000291675071 386567145 /nfs/dbraw/zinc/56/71/45/386567145.db2.gz WQDNPKSNDBUKMC-ZDUSSCGKSA-N 0 3 231.343 2.604 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](F)C1)c1ccccn1 ZINC000336756472 386569374 /nfs/dbraw/zinc/56/93/74/386569374.db2.gz JLFGMNIHQZYEIH-GARJFASQSA-N 0 3 208.280 2.623 20 0 BFADHN c1ccc(CN2CCS[C@@H]3CCCC[C@H]32)nc1 ZINC000267659083 386569431 /nfs/dbraw/zinc/56/94/31/386569431.db2.gz CKSFSODJRYNFMY-ZIAGYGMSSA-N 0 3 248.395 2.942 20 0 BFADHN Cc1cc(C)cc(NC(=O)[C@@]2(C)CCCCN2)c1 ZINC000136132540 386570106 /nfs/dbraw/zinc/57/01/06/386570106.db2.gz PRFZRJMADWBJEN-OAHLLOKOSA-N 0 3 246.354 2.774 20 0 BFADHN Cn1cccc1CN1CC(C)(C)[C@H]1C1CC1 ZINC000291696115 386570739 /nfs/dbraw/zinc/57/07/39/386570739.db2.gz YOXKLEOEXGIQSE-CYBMUJFWSA-N 0 3 218.344 2.646 20 0 BFADHN CC(C)C[C@@H](C)N1CCO[C@]2(CCSC2)C1 ZINC000335409228 386571812 /nfs/dbraw/zinc/57/18/12/386571812.db2.gz RIHADPRFLXARKP-CHWSQXEVSA-N 0 3 243.416 2.629 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1CCCC(C)(C)C ZINC000337152060 386619556 /nfs/dbraw/zinc/61/95/56/386619556.db2.gz KNAGTKKIBQLTKT-NEPJUHHUSA-N 0 3 213.365 2.922 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2COc3ccccc32)[C@H]1C ZINC000336646158 386619584 /nfs/dbraw/zinc/61/95/84/386619584.db2.gz BCFLTZZDHZKLGF-RSLMWUCJSA-N 0 3 217.312 2.754 20 0 BFADHN Cc1csc(CN2C[C@H](C)[C@H](C)C2)n1 ZINC000268103812 386620186 /nfs/dbraw/zinc/62/01/86/386620186.db2.gz LEIXTQJONPOABE-DTORHVGOSA-N 0 3 210.346 2.539 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cncn1CC ZINC000417742067 386621322 /nfs/dbraw/zinc/62/13/22/386621322.db2.gz JXDNPRACKAESFM-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@@H]2CCC23CCC3)[nH]1 ZINC000328815318 386622420 /nfs/dbraw/zinc/62/24/20/386622420.db2.gz NOVJTTRWFOYZHL-WDEREUQCSA-N 0 3 248.374 2.911 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H]2CCC23CCC3)[n-]1 ZINC000328815318 386622424 /nfs/dbraw/zinc/62/24/24/386622424.db2.gz NOVJTTRWFOYZHL-WDEREUQCSA-N 0 3 248.374 2.911 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1ccoc1C ZINC000414463711 386623615 /nfs/dbraw/zinc/62/36/15/386623615.db2.gz OFNDYVYLGNDQNG-CQSZACIVSA-N 0 3 237.343 2.979 20 0 BFADHN Cc1cccc2c1CNCCN2CC(C)C ZINC000415103321 386624100 /nfs/dbraw/zinc/62/41/00/386624100.db2.gz PPHHBNOEDXRHBY-UHFFFAOYSA-N 0 3 218.344 2.561 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CSC[C@@H]2C)c1 ZINC000336781952 386625398 /nfs/dbraw/zinc/62/53/98/386625398.db2.gz WELTYUSEYHJVCE-GWCFXTLKSA-N 0 3 239.359 2.975 20 0 BFADHN C[C@@H]1CN(Cc2cnns2)[C@@H]2CCCC[C@H]21 ZINC000450711917 386626390 /nfs/dbraw/zinc/62/63/90/386626390.db2.gz FFVZPCKOMLXEPM-ADEWGFFLSA-N 0 3 237.372 2.549 20 0 BFADHN CCCCN1CCOC[C@@H]1CC1CCC1 ZINC000339779077 386630058 /nfs/dbraw/zinc/63/00/58/386630058.db2.gz UOYRXWYQRYBQNA-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN Cc1nccc(CN2CC[C@H](C3CCCC3)C2)n1 ZINC000335502865 386631971 /nfs/dbraw/zinc/63/19/71/386631971.db2.gz LBQZAPJVQRMXKH-AWEZNQCLSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1cc(CN[C@H]2CCCC23CCCC3)ncn1 ZINC000645426352 386632897 /nfs/dbraw/zinc/63/28/97/386632897.db2.gz SEDBUYNOTCFFMG-AWEZNQCLSA-N 0 3 245.370 2.988 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@H](C3CCCC3)C2)n[nH]1 ZINC000335503582 386634323 /nfs/dbraw/zinc/63/43/23/386634323.db2.gz OJEIGGOVEMVDDC-GWCFXTLKSA-N 0 3 248.374 2.686 20 0 BFADHN CC[C@H](C)N(C)Cc1cccc2c1OCO2 ZINC000179323304 386637923 /nfs/dbraw/zinc/63/79/23/386637923.db2.gz OURFHYGRCISEGH-JTQLQIEISA-N 0 3 221.300 2.646 20 0 BFADHN CN(C)c1cccnc1CN[C@H]1CCC(C)(C)C1 ZINC000353626781 386638160 /nfs/dbraw/zinc/63/81/60/386638160.db2.gz UUMOKBMXXMGPNK-LBPRGKRZSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@@H](NCC1(C(F)F)CC1)c1ccncc1 ZINC000336758778 386640168 /nfs/dbraw/zinc/64/01/68/386640168.db2.gz ZTAKNUURPJLQCW-SECBINFHSA-N 0 3 226.270 2.778 20 0 BFADHN COc1ccc([C@H](NCCF)C2CC2)cc1 ZINC000268329647 386642825 /nfs/dbraw/zinc/64/28/25/386642825.db2.gz WSIRNHBRSSQOCN-CYBMUJFWSA-N 0 3 223.291 2.705 20 0 BFADHN COCC(C)(C)CN(C)Cc1ccc(C)o1 ZINC000426072081 386647273 /nfs/dbraw/zinc/64/72/73/386647273.db2.gz KREDSXOMEIDWPI-UHFFFAOYSA-N 0 3 225.332 2.692 20 0 BFADHN CC(C)CO[C@@H]1CCN([C@@H](C)c2cccnc2)C1 ZINC000268393161 386648500 /nfs/dbraw/zinc/64/85/00/386648500.db2.gz RGWDQEAKTVVLII-DZGCQCFKSA-N 0 3 248.370 2.890 20 0 BFADHN CCn1cncc1CN(C)C1CCCCC1 ZINC000417748003 386649006 /nfs/dbraw/zinc/64/90/06/386649006.db2.gz RUFISXRRFYDJDH-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@@H](NC[C@H](C)O)c1cc2cccc(F)c2o1 ZINC000337181976 386650782 /nfs/dbraw/zinc/65/07/82/386650782.db2.gz BCMVOSLHXINMFP-DTWKUNHWSA-N 0 3 237.274 2.603 20 0 BFADHN CC[C@@H](C)[C@@H](C)N[C@@H](C)c1nccnc1C ZINC000187831722 386604256 /nfs/dbraw/zinc/60/42/56/386604256.db2.gz MXWDDJRVLRZCJP-FOGDFJRCSA-N 0 3 221.348 2.870 20 0 BFADHN CC(C)N(CCOc1ccccc1)[C@H]1CCOC1 ZINC000291998950 386608782 /nfs/dbraw/zinc/60/87/82/386608782.db2.gz XHBBSTICQCCIIK-AWEZNQCLSA-N 0 3 249.354 2.565 20 0 BFADHN Cc1occc1CN(CCO)[C@H]1CC[C@H](C)C1 ZINC000414463102 386610193 /nfs/dbraw/zinc/61/01/93/386610193.db2.gz ZYSCTUWTWJQFGU-FZMZJTMJSA-N 0 3 237.343 2.571 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1cncs1 ZINC000453427495 386611267 /nfs/dbraw/zinc/61/12/67/386611267.db2.gz ZPCNQTRWNRMOJE-HBNTYKKESA-N 0 3 240.372 2.751 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@H]1CCCC[C@@H]1O)C2 ZINC000520234865 386611221 /nfs/dbraw/zinc/61/12/21/386611221.db2.gz HCLBVBJCPWBSAH-ZBFHGGJFSA-N 0 3 245.366 2.862 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@H]1CCCOc2ccccc21 ZINC000245985187 386614862 /nfs/dbraw/zinc/61/48/62/386614862.db2.gz ZJSBOBNDHPPIQH-UBHSHLNASA-N 0 3 247.338 2.667 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@H]1CCCOc2ccccc21 ZINC000245985189 386615123 /nfs/dbraw/zinc/61/51/23/386615123.db2.gz ZJSBOBNDHPPIQH-YUTCNCBUSA-N 0 3 247.338 2.667 20 0 BFADHN CC(=O)CCN1CC=C(c2ccc(F)cc2)CC1 ZINC000268099740 386618869 /nfs/dbraw/zinc/61/88/69/386618869.db2.gz DVKHOLRZYLAUAK-UHFFFAOYSA-N 0 3 247.313 2.894 20 0 BFADHN CC[C@@H](C)N(C)Cc1cncn1C(C)C ZINC000425327560 386659435 /nfs/dbraw/zinc/65/94/35/386659435.db2.gz PNSBKDHGALQJSZ-LLVKDONJSA-N 0 3 209.337 2.694 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cc(C)ncn2)C1 ZINC000292382071 386660228 /nfs/dbraw/zinc/66/02/28/386660228.db2.gz HOSSJOXTVSVFKW-CQSZACIVSA-N 0 3 233.359 2.797 20 0 BFADHN CCc1cc(N(C)C[C@@H](C)O)c2ccccc2n1 ZINC000292405508 386665133 /nfs/dbraw/zinc/66/51/33/386665133.db2.gz SDDLHGHMZIEUKT-LLVKDONJSA-N 0 3 244.338 2.614 20 0 BFADHN COC[C@@H]1CN(CCCC(C)(C)C)C[C@@H](C)O1 ZINC000337206344 386666090 /nfs/dbraw/zinc/66/60/90/386666090.db2.gz MHKIMMAUINYBBM-OLZOCXBDSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)(C)CCCN1CCO[C@@H](C2CC2)C1 ZINC000337207487 386667211 /nfs/dbraw/zinc/66/72/11/386667211.db2.gz ORZHAJCPLOUPEY-CYBMUJFWSA-N 0 3 225.376 2.924 20 0 BFADHN CN(C)CCNc1ccnc2ccc(Cl)cc21 ZINC000041474757 386670730 /nfs/dbraw/zinc/67/07/30/386670730.db2.gz IJGXEIWVXFFCGD-UHFFFAOYSA-N 0 3 249.745 2.862 20 0 BFADHN CSC[C@@H]1CCCN(Cc2cccnc2)C1 ZINC000292460393 386673704 /nfs/dbraw/zinc/67/37/04/386673704.db2.gz QJINYQOLORSEPO-CYBMUJFWSA-N 0 3 236.384 2.657 20 0 BFADHN CCn1nccc1CN1CC[C@@H](C)C[C@H]1C ZINC000335527889 386673697 /nfs/dbraw/zinc/67/36/97/386673697.db2.gz LPYQUIBRCUXQOD-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC[C@@H]2C2CC2)nn1 ZINC000414477800 386675355 /nfs/dbraw/zinc/67/53/55/386675355.db2.gz CMENZAONSHPBTF-DZGCQCFKSA-N 0 3 245.370 2.701 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC[C@H]2C2CC2)nn1 ZINC000414477805 386675408 /nfs/dbraw/zinc/67/54/08/386675408.db2.gz CMENZAONSHPBTF-ZFWWWQNUSA-N 0 3 245.370 2.701 20 0 BFADHN Cc1cccc2c1ncc(C)c2N(C)C[C@@H](C)O ZINC000292483515 386677425 /nfs/dbraw/zinc/67/74/25/386677425.db2.gz ZROYNNUFHNCQIF-GFCCVEGCSA-N 0 3 244.338 2.669 20 0 BFADHN CC[C@@H](C)[C@H](C)N(Cc1ccn(C)n1)C1CC1 ZINC000353307900 386656965 /nfs/dbraw/zinc/65/69/65/386656965.db2.gz MJIDYQTWFVFHGZ-NEPJUHHUSA-N 0 3 235.375 2.819 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2ccoc2C)C12CCC2 ZINC000414473330 386657184 /nfs/dbraw/zinc/65/71/84/386657184.db2.gz VAMDJMFPKSQDAV-KGLIPLIRSA-N 0 3 249.354 2.977 20 0 BFADHN CC[C@@H](C)[C@@H](C)N(Cc1ccn(C)n1)C1CC1 ZINC000353307906 386657377 /nfs/dbraw/zinc/65/73/77/386657377.db2.gz MJIDYQTWFVFHGZ-VXGBXAGGSA-N 0 3 235.375 2.819 20 0 BFADHN CCn1ccc(CNC[C@@H]2C(C)=CCC[C@H]2C)n1 ZINC000414479524 386683443 /nfs/dbraw/zinc/68/34/43/386683443.db2.gz IOMLFXVTRUYAOS-UKRRQHHQSA-N 0 3 247.386 2.985 20 0 BFADHN CCSCCN[C@H]1COCc2ccccc21 ZINC000336648566 386686372 /nfs/dbraw/zinc/68/63/72/386686372.db2.gz GEZBGXJIQCSAIR-ZDUSSCGKSA-N 0 3 237.368 2.601 20 0 BFADHN COCC[C@@H](C)CNCc1ccc(Cl)o1 ZINC000414480988 386686571 /nfs/dbraw/zinc/68/65/71/386686571.db2.gz LAPPXNJFIXCVNK-SECBINFHSA-N 0 3 231.723 2.695 20 0 BFADHN CC[C@H](CO)N[C@H](CC)c1cc(F)ccc1F ZINC000268723177 386686864 /nfs/dbraw/zinc/68/68/64/386686864.db2.gz PVEVVOBTHORTBL-ZWNOBZJWSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1csc([C@@H](C)NCCC[C@H]2CCO2)n1 ZINC000638504037 386694062 /nfs/dbraw/zinc/69/40/62/386694062.db2.gz BUPOMMZBESMQBF-MNOVXSKESA-N 0 3 240.372 2.671 20 0 BFADHN CCn1cncc1CNC/C=C\c1ccccc1 ZINC000417946713 386698532 /nfs/dbraw/zinc/69/85/32/386698532.db2.gz ZGMKWUCCRJJZQI-TWGQIWQCSA-N 0 3 241.338 2.706 20 0 BFADHN CCc1nocc1CN1CCCC[C@@H]1C ZINC000647956848 386699029 /nfs/dbraw/zinc/69/90/29/386699029.db2.gz LLWJFEWAIKGJCJ-JTQLQIEISA-N 0 3 208.305 2.611 20 0 BFADHN CCCCN1CCc2ccc(C(=O)OC)cc2C1 ZINC000357041906 386700695 /nfs/dbraw/zinc/70/06/95/386700695.db2.gz PXDWIMJVJBRQAO-UHFFFAOYSA-N 0 3 247.338 2.631 20 0 BFADHN CC1CN(CC(C)(C)Oc2ccccc2)C1 ZINC000639125069 386704881 /nfs/dbraw/zinc/70/48/81/386704881.db2.gz ZKSUNOHYCKMFQO-UHFFFAOYSA-N 0 3 219.328 2.796 20 0 BFADHN CC[C@H](O)CCN[C@H]1CCCOc2ccccc21 ZINC000268988740 386730586 /nfs/dbraw/zinc/73/05/86/386730586.db2.gz FOOWQEKWMYLYHC-JSGCOSHPSA-N 0 3 249.354 2.651 20 0 BFADHN C[C@@H](O)CCN(C)Cc1cc2ccccc2o1 ZINC000268977601 386730766 /nfs/dbraw/zinc/73/07/66/386730766.db2.gz NZHZXGXYDYITMX-LLVKDONJSA-N 0 3 233.311 2.636 20 0 BFADHN CCCCN(CC)Cc1ccnc(OC)c1 ZINC000339868334 386731790 /nfs/dbraw/zinc/73/17/90/386731790.db2.gz WIJOSOMTWBQAQA-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN Cc1ccoc1CN(C)C[C@H]1CCSC1 ZINC000292827552 386733145 /nfs/dbraw/zinc/73/31/45/386733145.db2.gz QSTHHVAUNVCVBA-LLVKDONJSA-N 0 3 225.357 2.773 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3nsnc32)[C@H]1C ZINC000269004013 386734859 /nfs/dbraw/zinc/73/48/59/386734859.db2.gz KRFKQCWCSAHKHC-ZJUUUORDSA-N 0 3 247.367 2.922 20 0 BFADHN CO[C@](C)([C@H](C)NCc1ccc(C)o1)C1CC1 ZINC000414496901 386737489 /nfs/dbraw/zinc/73/74/89/386737489.db2.gz DZWYZIZJXRDCQV-SMDDNHRTSA-N 0 3 237.343 2.881 20 0 BFADHN CCN(Cc1cnn(C)c1)CC1CC(C)(C)C1 ZINC000528363776 386737737 /nfs/dbraw/zinc/73/77/37/386737737.db2.gz JTWNBPGQWVGBQD-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN Cn1cccc1CN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000292863922 386739984 /nfs/dbraw/zinc/73/99/84/386739984.db2.gz VPGGUQAMJWGFOP-NWDGAFQWSA-N 0 3 240.297 2.502 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](CCO)C(C)C)o1 ZINC000268894925 386716723 /nfs/dbraw/zinc/71/67/23/386716723.db2.gz NOPINUWFONNUQT-YPMHNXCESA-N 0 3 239.359 2.900 20 0 BFADHN c1n[nH]c2c1CCN([C@H]1C=CCCCCC1)C2 ZINC000645100469 386717528 /nfs/dbraw/zinc/71/75/28/386717528.db2.gz KGFWRBKGPGRCPB-ZDUSSCGKSA-N 0 3 231.343 2.657 20 0 BFADHN Cc1cnccc1CNCc1ccccc1 ZINC000129737325 386718399 /nfs/dbraw/zinc/71/83/99/386718399.db2.gz NVYKNUWCSVISET-UHFFFAOYSA-N 0 3 212.296 2.680 20 0 BFADHN Cc1c[nH]nc1CNC[C@H]1CCC[C@H]1C1CC1 ZINC000414491353 386719509 /nfs/dbraw/zinc/71/95/09/386719509.db2.gz YTBIDGOEXCXXOI-OLZOCXBDSA-N 0 3 233.359 2.634 20 0 BFADHN Cc1cc(CN2CC[C@@H](CC(C)C)C2)n(C)n1 ZINC000417789752 386720705 /nfs/dbraw/zinc/72/07/05/386720705.db2.gz HVHRVEIPQLOBFP-ZDUSSCGKSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@@H](O)CCN[C@H]1CC(C)(C)Cc2occc21 ZINC000268921965 386721330 /nfs/dbraw/zinc/72/13/30/386721330.db2.gz WYLOHPSCSDIGDO-PWSUYJOCSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1ccc2c(c1)COC2 ZINC000268914833 386721767 /nfs/dbraw/zinc/72/17/67/386721767.db2.gz UUEKXZZQADVAIB-XHDPSFHLSA-N 0 3 247.338 2.546 20 0 BFADHN CC[C@@H](NCc1snnc1C)C1CCC1 ZINC000325059000 386721796 /nfs/dbraw/zinc/72/17/96/386721796.db2.gz WKIJWMZKBKYBDV-SNVBAGLBSA-N 0 3 225.361 2.515 20 0 BFADHN CCN1CCN(Cc2cccc(C)c2C)[C@@H](C)C1 ZINC000348559266 386722915 /nfs/dbraw/zinc/72/29/15/386722915.db2.gz TXFJKYNFJGCSKP-AWEZNQCLSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1ccc(CN2CCOC[C@H](C3CCC3)C2)o1 ZINC000292771986 386725610 /nfs/dbraw/zinc/72/56/10/386725610.db2.gz KNXWTLSMENPTSV-CQSZACIVSA-N 0 3 249.354 2.837 20 0 BFADHN CN(Cc1ccc(Cl)cc1)[C@H]1CCCOC1 ZINC000339868858 386726161 /nfs/dbraw/zinc/72/61/61/386726161.db2.gz ZTLDFJCBVBUMJH-ZDUSSCGKSA-N 0 3 239.746 2.951 20 0 BFADHN Cc1ccn2cc(CN[C@H](C)C3CCC3)nc2c1 ZINC000268954703 386728033 /nfs/dbraw/zinc/72/80/33/386728033.db2.gz YKSHWAGUVDNDJK-GFCCVEGCSA-N 0 3 243.354 2.921 20 0 BFADHN CC[C@H]1CCCN(Cc2cncn2CC)CC1 ZINC000417792064 386729600 /nfs/dbraw/zinc/72/96/00/386729600.db2.gz MJLSAAPXVSERKH-ZDUSSCGKSA-N 0 3 235.375 2.915 20 0 BFADHN CN(CCOCC1CC1)[C@@H]1C[C@@H]1c1ccccc1 ZINC000268975530 386730306 /nfs/dbraw/zinc/73/03/06/386730306.db2.gz ORQCJTCEHHSCLG-HZPDHXFCSA-N 0 3 245.366 2.901 20 0 BFADHN CC[C@H](c1ccccc1)N(CC)C(=O)[C@@H](N)CC ZINC000269057230 386746574 /nfs/dbraw/zinc/74/65/74/386746574.db2.gz YBKAPKKYZAZQPO-UONOGXRCSA-N 0 3 248.370 2.724 20 0 BFADHN Cc1ccc(CN2CCC[C@H]2CF)cc1 ZINC000292904474 386746583 /nfs/dbraw/zinc/74/65/83/386746583.db2.gz APWLQUNRPHXDGE-ZDUSSCGKSA-N 0 3 207.292 2.929 20 0 BFADHN CN(CCSCCF)Cc1ccccc1 ZINC000340703258 386746885 /nfs/dbraw/zinc/74/68/85/386746885.db2.gz BASKBTKGUNCFOL-UHFFFAOYSA-N 0 3 227.348 2.821 20 0 BFADHN CC(C)C[C@H](C)N1CCc2ncsc2C1 ZINC000450834239 386747758 /nfs/dbraw/zinc/74/77/58/386747758.db2.gz YWYQVIIGJVJCFW-JTQLQIEISA-N 0 3 224.373 2.936 20 0 BFADHN Cc1ccc(C(=O)CCN2C[C@@H](C)[C@@H]2C)cc1 ZINC000292919519 386747949 /nfs/dbraw/zinc/74/79/49/386747949.db2.gz GCPZHQRVXJAWFW-OLZOCXBDSA-N 0 3 231.339 2.908 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)ncn1 ZINC000292926842 386751085 /nfs/dbraw/zinc/75/10/85/386751085.db2.gz CEVHSPKPEBKZIY-ZIAGYGMSSA-N 0 3 245.370 2.796 20 0 BFADHN CC(C)[C@H]1CCC[C@@H](NCc2cocn2)C1 ZINC000192307493 386755710 /nfs/dbraw/zinc/75/57/10/386755710.db2.gz UOQGSPADYNVZCP-NWDGAFQWSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H](O)C[C@@H](C)CNCc1ccsc1Cl ZINC000336761170 386756766 /nfs/dbraw/zinc/75/67/66/386756766.db2.gz MYTBAQXITUIGEY-RKDXNWHRSA-N 0 3 247.791 2.898 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1ccc(C)nc1)C1CC1 ZINC000414501078 386758305 /nfs/dbraw/zinc/75/83/05/386758305.db2.gz MVIQNIDLDWSNRD-DOMZBBRYSA-N 0 3 248.370 2.683 20 0 BFADHN CC(C)=CCNC[C@@H](O)c1ccccc1C ZINC000192312314 386760286 /nfs/dbraw/zinc/76/02/86/386760286.db2.gz NBJJBOQJYMQDNM-CQSZACIVSA-N 0 3 219.328 2.584 20 0 BFADHN CCN(CC)Cc1ccc(Br)o1 ZINC000191156991 386766688 /nfs/dbraw/zinc/76/66/88/386766688.db2.gz CUWHXZWPGLEHGC-UHFFFAOYSA-N 0 3 232.121 2.884 20 0 BFADHN CC1(C)C[C@@H](N[C@@H]2CCc3c2cccc3F)CO1 ZINC000396509581 386769157 /nfs/dbraw/zinc/76/91/57/386769157.db2.gz AOXQMKWIRRBDTG-QMTHXVAHSA-N 0 3 249.329 2.970 20 0 BFADHN CC(C)(CCO)CN[C@H]1CCCc2occc21 ZINC000192916993 386796730 /nfs/dbraw/zinc/79/67/30/386796730.db2.gz PLLWSIQPRJNRHT-LBPRGKRZSA-N 0 3 237.343 2.655 20 0 BFADHN CC[C@H](O)CN[C@@H]1CC(C)(C)Cc2occc21 ZINC000293214855 386790911 /nfs/dbraw/zinc/79/09/11/386790911.db2.gz ADTMXTGVCSTOIJ-CMPLNLGQSA-N 0 3 237.343 2.654 20 0 BFADHN CC(C)CC[C@@H](O)CN(C)Cc1ccco1 ZINC000280249315 386793952 /nfs/dbraw/zinc/79/39/52/386793952.db2.gz ISURKIHHGJPZRK-GFCCVEGCSA-N 0 3 225.332 2.509 20 0 BFADHN C[C@H](N[C@@H]1CSC1(C)C)c1cncc(F)c1 ZINC000282901084 386773897 /nfs/dbraw/zinc/77/38/97/386773897.db2.gz UEZADDMWRWEYSP-GZMMTYOYSA-N 0 3 240.347 2.765 20 0 BFADHN C[C@@H](NCCCCCO)c1cccnc1Cl ZINC000192923305 386796228 /nfs/dbraw/zinc/79/62/28/386796228.db2.gz IKCHQTDJEYJCAA-SNVBAGLBSA-N 0 3 242.750 2.548 20 0 BFADHN CCSCCCN[C@@H](C)c1cncs1 ZINC000282898259 386775937 /nfs/dbraw/zinc/77/59/37/386775937.db2.gz WOHMTXPWCNWIRK-VIFPVBQESA-N 0 3 230.402 2.937 20 0 BFADHN CC1(C)C[C@@H](N[C@H](c2cccnc2)C2CC2)CO1 ZINC000417977884 386779235 /nfs/dbraw/zinc/77/92/35/386779235.db2.gz XXTDLOYSMRAAKV-KGLIPLIRSA-N 0 3 246.354 2.690 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cnccc1C ZINC000269464683 386796403 /nfs/dbraw/zinc/79/64/03/386796403.db2.gz INIRTOCJSWLGJM-LLVKDONJSA-N 0 3 206.333 2.868 20 0 BFADHN Cc1ccc(CNC[C@H]2CCC[C@@H](C)C2)nn1 ZINC000396572929 386781264 /nfs/dbraw/zinc/78/12/64/386781264.db2.gz YUWXFNQBMZCIHM-YPMHNXCESA-N 0 3 233.359 2.701 20 0 BFADHN Cc1ccc(CNC[C@H]2CCC[C@H](C)C2)nn1 ZINC000396572926 386781891 /nfs/dbraw/zinc/78/18/91/386781891.db2.gz YUWXFNQBMZCIHM-AAEUAGOBSA-N 0 3 233.359 2.701 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC[C@@H](C)C2)nn1 ZINC000396572927 386782015 /nfs/dbraw/zinc/78/20/15/386782015.db2.gz YUWXFNQBMZCIHM-DGCLKSJQSA-N 0 3 233.359 2.701 20 0 BFADHN CCc1cccc(NC(=O)[C@H](N)[C@H](C)CC)c1 ZINC000019507451 386782335 /nfs/dbraw/zinc/78/23/35/386782335.db2.gz PFLQMZJEUKMIEH-ZWNOBZJWSA-N 0 3 234.343 2.561 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1ccc(C)nn1 ZINC000396598767 386784174 /nfs/dbraw/zinc/78/41/74/386784174.db2.gz ZWXJBNLFLNYQAO-TZMCWYRMSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1n[nH]cc1CN1CC2(CC=CC2)[C@@H]1C(C)C ZINC000648071911 386786550 /nfs/dbraw/zinc/78/65/50/386786550.db2.gz ALUODRRDQGMHHJ-AWEZNQCLSA-N 0 3 245.370 2.895 20 0 BFADHN CO[C@]1(C)CCCN(Cc2ccco2)CC1 ZINC000280173412 386786839 /nfs/dbraw/zinc/78/68/39/386786839.db2.gz GDQOHYKSGZZVIV-CYBMUJFWSA-N 0 3 223.316 2.671 20 0 BFADHN CO[C@](C)([C@H](C)NCc1cccc(O)c1)C1CC1 ZINC000414506460 386787094 /nfs/dbraw/zinc/78/70/94/386787094.db2.gz WNBLGIXTAFVOID-XHDPSFHLSA-N 0 3 249.354 2.685 20 0 BFADHN Cc1nn(C)cc1CN1CC(C)(C)CC(C)(C)C1 ZINC000344883410 386789032 /nfs/dbraw/zinc/78/90/32/386789032.db2.gz IQIYGXGUOCADPQ-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1cnc(CNC2(C)CCC(C)CC2)n1C ZINC000341014977 386816838 /nfs/dbraw/zinc/81/68/38/386816838.db2.gz NLGBZNYSICQVAO-UHFFFAOYSA-N 0 3 235.375 2.787 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1ccncc1 ZINC000395343995 386818600 /nfs/dbraw/zinc/81/86/00/386818600.db2.gz GCFIIBVSJBWYPP-CHWSQXEVSA-N 0 3 204.317 2.606 20 0 BFADHN c1cc([C@@H]2CCCN2Cc2cnc[nH]2)cs1 ZINC000280583825 386823810 /nfs/dbraw/zinc/82/38/10/386823810.db2.gz XBQKRGXRIQTRBW-LBPRGKRZSA-N 0 3 233.340 2.808 20 0 BFADHN CC(C)[C@@H]1N(Cc2cncn2C)CC12CC=CC2 ZINC000648072541 386799595 /nfs/dbraw/zinc/79/95/95/386799595.db2.gz HVSKYFFYWADVJP-AWEZNQCLSA-N 0 3 245.370 2.597 20 0 BFADHN CCn1cc([C@@H](C)NC2CC(C)(C)C2)cn1 ZINC000395254501 386799880 /nfs/dbraw/zinc/79/98/80/386799880.db2.gz GMOMHJRYJQEVSD-SNVBAGLBSA-N 0 3 221.348 2.742 20 0 BFADHN Clc1ccc(CN[C@@H]2C[C@H]3OCCC[C@@H]23)o1 ZINC000336669002 386799790 /nfs/dbraw/zinc/79/97/90/386799790.db2.gz GMISTQSXELCTKT-HBNTYKKESA-N 0 3 241.718 2.590 20 0 BFADHN Cc1cnc(CN2[C@H](C)C[C@@H]3CCCC[C@H]32)nc1 ZINC000340891130 386800062 /nfs/dbraw/zinc/80/00/62/386800062.db2.gz NBJQTLKMQBYGCE-HZSPNIEDSA-N 0 3 245.370 2.938 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccnn1CC(C)C ZINC000648003806 386801931 /nfs/dbraw/zinc/80/19/31/386801931.db2.gz AEJBNQDGSBXFJW-CYBMUJFWSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1cncc1CN(C)C[C@@H]1CC=CCC1 ZINC000417997644 386804785 /nfs/dbraw/zinc/80/47/85/386804785.db2.gz HMKFKPQDEPWZIT-CYBMUJFWSA-N 0 3 233.359 2.691 20 0 BFADHN C[C@@H]1CN(CC2=CCCCC2)C[C@H](C2CC2)O1 ZINC000450886562 386805557 /nfs/dbraw/zinc/80/55/57/386805557.db2.gz FTKFHXHUQJPNDB-IUODEOHRSA-N 0 3 235.371 2.986 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CC=CCC2)n(C)n1 ZINC000417995665 386806899 /nfs/dbraw/zinc/80/68/99/386806899.db2.gz FXCFYPHBKAXPPJ-ZDUSSCGKSA-N 0 3 233.359 2.517 20 0 BFADHN Cc1ccoc1CN1CCCC[C@@H]1C[C@@H](C)O ZINC000280365278 386807861 /nfs/dbraw/zinc/80/78/61/386807861.db2.gz DDDZJAJRFPMDGW-CHWSQXEVSA-N 0 3 237.343 2.713 20 0 BFADHN CC(C)[C@H](O)CNC(C)(C)c1ccccc1F ZINC000293361312 386810353 /nfs/dbraw/zinc/81/03/53/386810353.db2.gz OIHFGFGGLRGGKE-CYBMUJFWSA-N 0 3 239.334 2.667 20 0 BFADHN Cc1nnsc1CN[C@H](C)CC(C)(C)C ZINC000395028699 386810799 /nfs/dbraw/zinc/81/07/99/386810799.db2.gz UDMVMCRDRUFDKK-MRVPVSSYSA-N 0 3 227.377 2.761 20 0 BFADHN CC[C@@H](NCc1cc(Cl)cs1)[C@@H](C)O ZINC000395334303 386813559 /nfs/dbraw/zinc/81/35/59/386813559.db2.gz CBKVQQDPCGQZFG-GMSGAONNSA-N 0 3 233.764 2.651 20 0 BFADHN CCCCCNCc1cc(C(F)(F)F)n[nH]1 ZINC000336671837 386815104 /nfs/dbraw/zinc/81/51/04/386815104.db2.gz ARZLKAWIBCLMDL-UHFFFAOYSA-N 0 3 235.253 2.708 20 0 BFADHN Cc1nocc1CNCCCNc1ccccc1 ZINC000293431713 386815868 /nfs/dbraw/zinc/81/58/68/386815868.db2.gz BFUVEBPDFSGGIR-UHFFFAOYSA-N 0 3 245.326 2.575 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCCC[C@@H]2C)n1C ZINC000341040330 386826585 /nfs/dbraw/zinc/82/65/85/386826585.db2.gz FOJTWJLQGDROAV-AAEUAGOBSA-N 0 3 235.375 2.644 20 0 BFADHN CC(=O)Nc1ccccc1CN1CCC=C(C)C1 ZINC000280611223 386827502 /nfs/dbraw/zinc/82/75/02/386827502.db2.gz AIAWDZDNQMNQQS-UHFFFAOYSA-N 0 3 244.338 2.797 20 0 BFADHN Cc1cnc(CN[C@H]2C[C@@H]2C2CCCCC2)n1C ZINC000341042440 386827719 /nfs/dbraw/zinc/82/77/19/386827719.db2.gz HWYDHKZPXOAWKJ-KGLIPLIRSA-N 0 3 247.386 2.787 20 0 BFADHN CC[C@H](CN[C@@H]1CCc2c1cccc2F)OC ZINC000290147027 386828102 /nfs/dbraw/zinc/82/81/02/386828102.db2.gz LBNPMCAHNHBEOZ-QMTHXVAHSA-N 0 3 237.318 2.828 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2ncc(C)n2C)C1 ZINC000341052620 386830533 /nfs/dbraw/zinc/83/05/33/386830533.db2.gz UACDZCLFZNGDCY-OLZOCXBDSA-N 0 3 235.375 2.787 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccco1)CC(C)C ZINC000417388458 386835890 /nfs/dbraw/zinc/83/58/90/386835890.db2.gz ZSJSSXQBRBPLGS-RYUDHWBXSA-N 0 3 225.332 2.991 20 0 BFADHN Cc1c([C@H](C)NCCSC(C)C)cnn1C ZINC000283254405 386838095 /nfs/dbraw/zinc/83/80/95/386838095.db2.gz VOPLTDNJZHRLMD-JTQLQIEISA-N 0 3 241.404 2.521 20 0 BFADHN C[C@H](O)CN1C[C@H](C)C[C@H]1c1cccc(F)c1 ZINC000249593853 386838876 /nfs/dbraw/zinc/83/88/76/386838876.db2.gz PNGRRELBIHXOKM-SUNKGSAMSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](O)CN1C[C@@H](C)C[C@@H]1c1cccc(F)c1 ZINC000249593851 386839131 /nfs/dbraw/zinc/83/91/31/386839131.db2.gz PNGRRELBIHXOKM-COPLHBTASA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H]1c2ccccc2CN1C[C@H]1CCCO1 ZINC000336471699 386840424 /nfs/dbraw/zinc/84/04/24/386840424.db2.gz QMMLWKRSAMIMEY-DGCLKSJQSA-N 0 3 217.312 2.742 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)[C@H]1C)c1ccccn1 ZINC000336673964 386841263 /nfs/dbraw/zinc/84/12/63/386841263.db2.gz GWZMPIYNXRQUBL-HNCHTBHHSA-N 0 3 204.317 2.777 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1nccs1 ZINC000336471662 386841402 /nfs/dbraw/zinc/84/14/02/386841402.db2.gz LJLQSBWWDPWXTE-UWVGGRQHSA-N 0 3 210.346 2.764 20 0 BFADHN COc1ccc(CN2CCC=C(C)C2)cc1 ZINC000280718841 386841553 /nfs/dbraw/zinc/84/15/53/386841553.db2.gz FKCNOKKAPUGHGG-UHFFFAOYSA-N 0 3 217.312 2.847 20 0 BFADHN Cc1cc(CN[C@H]2C[C@@H]2C2CCCCC2)n(C)n1 ZINC000417959807 386841772 /nfs/dbraw/zinc/84/17/72/386841772.db2.gz DZBQUVKSIZIOLF-CABCVRRESA-N 0 3 247.386 2.787 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCC[C@@H](C)C2)n1C ZINC000341123368 386841933 /nfs/dbraw/zinc/84/19/33/386841933.db2.gz MYOOXDARLGWQAW-DGCLKSJQSA-N 0 3 235.375 2.644 20 0 BFADHN CCC(O)(CC)CN[C@H](C)c1cnccc1C ZINC000357784581 386842479 /nfs/dbraw/zinc/84/24/79/386842479.db2.gz MOMBDQHJYKACPY-GFCCVEGCSA-N 0 3 236.359 2.592 20 0 BFADHN c1nc([C@@H](NC2CCC2)C2CCCCC2)n[nH]1 ZINC000330271828 386842932 /nfs/dbraw/zinc/84/29/32/386842932.db2.gz WPCMGROYEFUJRV-LBPRGKRZSA-N 0 3 234.347 2.568 20 0 BFADHN CC[C@H](NCc1cocn1)C1CCCC1 ZINC000395080450 386849453 /nfs/dbraw/zinc/84/94/53/386849453.db2.gz OLHUFPAQKFBBSR-LBPRGKRZSA-N 0 3 208.305 2.733 20 0 BFADHN CCCCN(CC)C(=O)CN1CCC[C@H]1CC ZINC000341168157 386849770 /nfs/dbraw/zinc/84/97/70/386849770.db2.gz LMJCVKVAYBEQCV-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)C1CC1 ZINC000323925001 386895329 /nfs/dbraw/zinc/89/53/29/386895329.db2.gz WGRPBYIJJSFGKU-ADEWGFFLSA-N 0 3 219.332 2.667 20 0 BFADHN Cc1ccsc1CN1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000293652264 386855060 /nfs/dbraw/zinc/85/50/60/386855060.db2.gz NDGSEGXKQYTTJR-TXEJJXNPSA-N 0 3 238.400 2.581 20 0 BFADHN CC1=CC[C@H](N[C@@H](C)c2ccn(C)n2)CC1 ZINC000334791034 386855549 /nfs/dbraw/zinc/85/55/49/386855549.db2.gz DSLAZRHISBDLAU-RYUDHWBXSA-N 0 3 219.332 2.570 20 0 BFADHN CC[C@@]1(O)CCN([C@H](C)c2ccccc2F)C1 ZINC000334790580 386855882 /nfs/dbraw/zinc/85/58/82/386855882.db2.gz FNCSJGJTXBQEGF-BXUZGUMPSA-N 0 3 237.318 2.734 20 0 BFADHN Cc1noc(C2CN(C[C@@H]3CCCC[C@@H]3C)C2)n1 ZINC000334793322 386860100 /nfs/dbraw/zinc/86/01/00/386860100.db2.gz ZKYWLILNDJIKBL-JQWIXIFHSA-N 0 3 249.358 2.604 20 0 BFADHN Cc1cnc(CN2CC3(CCC3)C[C@@H]2C)cn1 ZINC000335742733 386860310 /nfs/dbraw/zinc/86/03/10/386860310.db2.gz KFQNFUXHBRINMB-LBPRGKRZSA-N 0 3 231.343 2.550 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1ccncc1 ZINC000336744761 386863104 /nfs/dbraw/zinc/86/31/04/386863104.db2.gz VFQABWXFKRRCOM-SNVBAGLBSA-N 0 3 232.249 2.902 20 0 BFADHN Cc1cnc(CNCC2(CC(C)C)CC2)n1C ZINC000341292684 386863306 /nfs/dbraw/zinc/86/33/06/386863306.db2.gz DMRRFMQZHRGROQ-UHFFFAOYSA-N 0 3 235.375 2.644 20 0 BFADHN Cc1cnc(CN(C)[C@@H]2CCCC[C@H]2C)nc1 ZINC000335744922 386864537 /nfs/dbraw/zinc/86/45/37/386864537.db2.gz AJWSJSVQWHKDBZ-CHWSQXEVSA-N 0 3 233.359 2.796 20 0 BFADHN CO[C@H]1CCC[C@H]1CNCc1ccccc1F ZINC000341255744 386868090 /nfs/dbraw/zinc/86/80/90/386868090.db2.gz HTXJCKWBEDYYMN-JSGCOSHPSA-N 0 3 237.318 2.730 20 0 BFADHN C[C@@H]1C[C@@H]1CN[C@H]1C[C@@]1(F)c1ccccc1 ZINC000425351002 386869726 /nfs/dbraw/zinc/86/97/26/386869726.db2.gz IEAQCTDDVUCBTR-MHDGFBEUSA-N 0 3 219.303 2.869 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)C3(CCC3)C2)nn1 ZINC000334834925 386874858 /nfs/dbraw/zinc/87/48/58/386874858.db2.gz AOFFWHATZCRDSZ-LBPRGKRZSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1ccc(CN2CC[C@]3(CC[C@H](C)C3)C2)nn1 ZINC000334835730 386876373 /nfs/dbraw/zinc/87/63/73/386876373.db2.gz IFCORXPEUYJQQC-WFASDCNBSA-N 0 3 245.370 2.797 20 0 BFADHN CC/C=C\CCN(C)Cc1cccnc1 ZINC000341321264 386879847 /nfs/dbraw/zinc/87/98/47/386879847.db2.gz PRWULBXRYMRQOY-PLNGDYQASA-N 0 3 204.317 2.870 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)n(C)n1 ZINC000418009076 386883621 /nfs/dbraw/zinc/88/36/21/386883621.db2.gz HRVPAQIGWUHTPH-WZRBSPASSA-N 0 3 235.375 2.595 20 0 BFADHN CC[C@]1(C)CCCN(Cc2ccc(C)nn2)C1 ZINC000334840360 386884341 /nfs/dbraw/zinc/88/43/41/386884341.db2.gz FKXOREZTXFZOEV-CQSZACIVSA-N 0 3 233.359 2.797 20 0 BFADHN CO[C@H]1CCN(Cc2ccccc2SC)C1 ZINC000358055532 386885328 /nfs/dbraw/zinc/88/53/28/386885328.db2.gz ZKVCAHLWVFXHIS-LBPRGKRZSA-N 0 3 237.368 2.629 20 0 BFADHN C[C@H]1C[C@H](O)CN1Cc1cc2cc(F)ccc2o1 ZINC000334841014 386887054 /nfs/dbraw/zinc/88/70/54/386887054.db2.gz WNFYSVLAUBUBJB-CABZTGNLSA-N 0 3 249.285 2.527 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C)[C@H](C)C2)cn1 ZINC000334842744 386887805 /nfs/dbraw/zinc/88/78/05/386887805.db2.gz VTNMNQXWOJCLAO-VXGBXAGGSA-N 0 3 233.359 2.517 20 0 BFADHN Cc1ccc(CN2C[C@H](C)CCC[C@H]2C)nn1 ZINC000334842223 386888420 /nfs/dbraw/zinc/88/84/20/386888420.db2.gz VBHLBTSCFVEMIG-DGCLKSJQSA-N 0 3 233.359 2.796 20 0 BFADHN CC/C=C\CCN1CCOCC12CCCC2 ZINC000341300276 386889896 /nfs/dbraw/zinc/88/98/96/386889896.db2.gz PNEGMZHFECTHMB-ARJAWSKDSA-N 0 3 223.360 2.988 20 0 BFADHN CCc1ncc(CN2CCC[C@@H](C)[C@@H]2C)cn1 ZINC000334847794 386896351 /nfs/dbraw/zinc/89/63/51/386896351.db2.gz KFRIHQAOKUCGLN-NEPJUHHUSA-N 0 3 233.359 2.659 20 0 BFADHN COc1ccsc1CN1[C@H](C)C[C@@H]1C ZINC000335760515 386899384 /nfs/dbraw/zinc/89/93/84/386899384.db2.gz UQESJSMPJKPNTK-DTORHVGOSA-N 0 3 211.330 2.739 20 0 BFADHN Cc1ccc2nccc(NC[C@H](O)C3CC3)c2c1 ZINC000302106861 386904534 /nfs/dbraw/zinc/90/45/34/386904534.db2.gz AASQMNLWICDTLG-HNNXBMFYSA-N 0 3 242.322 2.726 20 0 BFADHN CC(C)Cn1nccc1CN1C[C@H](C)[C@H](C)C1 ZINC000648009417 386904759 /nfs/dbraw/zinc/90/47/59/386904759.db2.gz GVPNTVDRDMXJOS-BETUJISGSA-N 0 3 235.375 2.627 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCC[C@H](C)C2)nn1 ZINC000334854896 386907505 /nfs/dbraw/zinc/90/75/05/386907505.db2.gz HJZSRBQSXBBBHW-FZMZJTMJSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCC[C@@H](C)C2)nn1 ZINC000334854898 386907656 /nfs/dbraw/zinc/90/76/56/386907656.db2.gz HJZSRBQSXBBBHW-RISCZKNCSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ccc2nccc(NCC(C)(C)O)c2c1 ZINC000302159061 386908833 /nfs/dbraw/zinc/90/88/33/386908833.db2.gz OHRPWKAHVDZSNK-UHFFFAOYSA-N 0 3 230.311 2.726 20 0 BFADHN Cc1cc(C)cc(CNc2nccn2C)c1 ZINC000334856293 386908938 /nfs/dbraw/zinc/90/89/38/386908938.db2.gz OWAZIFHQRUYSFE-UHFFFAOYSA-N 0 3 215.300 2.649 20 0 BFADHN Cc1ccc2nccc(NC[C@H](C)CO)c2c1 ZINC000302161665 386909112 /nfs/dbraw/zinc/90/91/12/386909112.db2.gz POABVQRXEUCULB-NSHDSACASA-N 0 3 230.311 2.584 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2C[C@@](C)(O)C2)cs1 ZINC000417393247 386910516 /nfs/dbraw/zinc/91/05/16/386910516.db2.gz BZJFXVZLXDEUJV-JLLWLGSASA-N 0 3 225.357 2.621 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@@](C)(O)C2)cs1 ZINC000417393248 386910938 /nfs/dbraw/zinc/91/09/38/386910938.db2.gz BZJFXVZLXDEUJV-ZMLRMANQSA-N 0 3 225.357 2.621 20 0 BFADHN Cc1cnc([C@@H](C)N2CC[C@@H](C3CC3)C2)cn1 ZINC000335768259 386911464 /nfs/dbraw/zinc/91/14/64/386911464.db2.gz LMKBVKYMKSWVQK-DGCLKSJQSA-N 0 3 231.343 2.578 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]2C2CCC2)nn1 ZINC000334867798 386926881 /nfs/dbraw/zinc/92/68/81/386926881.db2.gz JWRNIMXOFYSCQW-CQSZACIVSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCC[C@H](C)C2)cn1 ZINC000334872418 386933310 /nfs/dbraw/zinc/93/33/10/386933310.db2.gz XMALVNXCEDUHLW-SMDDNHRTSA-N 0 3 233.359 2.796 20 0 BFADHN C[C@H](NCCN1CCC1)c1cc2ccccc2o1 ZINC000358346956 386938690 /nfs/dbraw/zinc/93/86/90/386938690.db2.gz DSRVZTVRSJMVSK-LBPRGKRZSA-N 0 3 244.338 2.789 20 0 BFADHN Cc1ccncc1CN1C[C@H]2CCCC[C@H]21 ZINC000368095811 386939182 /nfs/dbraw/zinc/93/91/82/386939182.db2.gz SOWQHDKWSKHBRV-TZMCWYRMSA-N 0 3 216.328 2.764 20 0 BFADHN Cc1occc1CN1CCC[C@]2(CCOC2)C1 ZINC000334879375 386940492 /nfs/dbraw/zinc/94/04/92/386940492.db2.gz BGTJQZWECKAIDI-AWEZNQCLSA-N 0 3 235.327 2.591 20 0 BFADHN CN(CCOCC1CCC1)Cc1ccccc1 ZINC000341671236 386941727 /nfs/dbraw/zinc/94/17/27/386941727.db2.gz AZNDPSFXORQRLL-UHFFFAOYSA-N 0 3 233.355 2.935 20 0 BFADHN c1coc(CN[C@@H]2[C@H]3Cc4ccccc4[C@@H]23)c1 ZINC000368130404 386943455 /nfs/dbraw/zinc/94/34/55/386943455.db2.gz BODCNGNTLWVWHB-RRFJBIMHSA-N 0 3 225.291 2.708 20 0 BFADHN C[C@H](N[C@@H](CO)CC(F)(F)F)c1ccccc1 ZINC000358381984 386945732 /nfs/dbraw/zinc/94/57/32/386945732.db2.gz ZVENMODMCQBMNB-GXSJLCMTSA-N 0 3 247.260 2.651 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc(F)cc(F)c2)[C@H](C)O1 ZINC000395164918 386954127 /nfs/dbraw/zinc/95/41/27/386954127.db2.gz OWKTVIALVIYVEK-ZDMBXUJBSA-N 0 3 241.281 2.620 20 0 BFADHN Cc1cc(CN2CCC(C3CC3)CC2)on1 ZINC000368335567 386962973 /nfs/dbraw/zinc/96/29/73/386962973.db2.gz ITSVLYUCDBCVQF-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1cc(C)nc(N[C@@H](C)[C@@H]2CCCOC2)c1 ZINC000334890656 386963530 /nfs/dbraw/zinc/96/35/30/386963530.db2.gz LRDJOWXKOQNVLA-QWHCGFSZSA-N 0 3 234.343 2.925 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2CCOC2(C)C)c1 ZINC000334894772 386967467 /nfs/dbraw/zinc/96/74/67/386967467.db2.gz HCVBFECVTCUWJB-AAEUAGOBSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@H](N[C@@H]1CCCc2cn[nH]c21)c1ccoc1 ZINC000368424170 386970806 /nfs/dbraw/zinc/97/08/06/386970806.db2.gz HQLZCRROCJESED-JOYOIKCWSA-N 0 3 231.299 2.731 20 0 BFADHN Cc1sccc1CN1CCN(C)[C@@H](C)[C@H]1C ZINC000352966071 386976560 /nfs/dbraw/zinc/97/65/60/386976560.db2.gz ZOYWVGCWVJVJIK-WDEREUQCSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1cc(C)c(CN(C)C2(CO)CC2)c(C)c1 ZINC000353020493 386977992 /nfs/dbraw/zinc/97/79/92/386977992.db2.gz BDCWIKPFFFQFBN-UHFFFAOYSA-N 0 3 233.355 2.569 20 0 BFADHN CCC1(NCc2ccnc(OC)n2)CCCCC1 ZINC000395198896 386982355 /nfs/dbraw/zinc/98/23/55/386982355.db2.gz UPGOSTDRFNOJRM-UHFFFAOYSA-N 0 3 249.358 2.688 20 0 BFADHN C[C@H](N[C@@H]1CCC12CCOCC2)c1ccccn1 ZINC000368523667 386983521 /nfs/dbraw/zinc/98/35/21/386983521.db2.gz VQHSNLMFFLOOBG-GXTWGEPZSA-N 0 3 246.354 2.691 20 0 BFADHN CC[C@@H](C)N(CCO)Cc1ccc(F)c(F)c1 ZINC000267167739 386993741 /nfs/dbraw/zinc/99/37/41/386993741.db2.gz SYNGAAIOPTYAGJ-SNVBAGLBSA-N 0 3 243.297 2.558 20 0 BFADHN Cc1ccc(F)c(CNCCN(C)C(C)C)c1 ZINC000116915871 386997797 /nfs/dbraw/zinc/99/77/97/386997797.db2.gz IEFKJUOBHMCKFV-UHFFFAOYSA-N 0 3 238.350 2.564 20 0 BFADHN COC(=O)[C@H]1C[C@H](C)CCN1CCC(C)(C)C ZINC000341900511 386999270 /nfs/dbraw/zinc/99/92/70/386999270.db2.gz AJZYLANHQOGWLG-VXGBXAGGSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H](N[C@@H](c1nccn1C)C1CC1)[C@@H]1CC1(C)C ZINC000353034796 387005691 /nfs/dbraw/zinc/00/56/91/387005691.db2.gz MVMCHIFLWBPJFH-WCFLWFBJSA-N 0 3 247.386 2.895 20 0 BFADHN Cc1nocc1CN(C)[C@@H]1CC[C@@H](C)C1 ZINC000334929314 387005831 /nfs/dbraw/zinc/00/58/31/387005831.db2.gz QTNVBSISRKNETC-BXKDBHETSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H](N[C@H](c1nccn1C)C1CC1)[C@@H]1CC1(C)C ZINC000353034794 387006300 /nfs/dbraw/zinc/00/63/00/387006300.db2.gz MVMCHIFLWBPJFH-DRZSPHRISA-N 0 3 247.386 2.895 20 0 BFADHN C[C@H](c1cccnc1)N1CCC[C@@]2(CCOC2)C1 ZINC000334820872 386948931 /nfs/dbraw/zinc/94/89/31/386948931.db2.gz NKSHLIUUBUWFMC-UKRRQHHQSA-N 0 3 246.354 2.645 20 0 BFADHN CC[C@@H]1CCN(Cc2ccnn2CC(C)C)C1 ZINC000648013496 386950635 /nfs/dbraw/zinc/95/06/35/386950635.db2.gz HOKBLYOWSOCTAZ-CYBMUJFWSA-N 0 3 235.375 2.771 20 0 BFADHN CC(C)Cn1nccc1CN1[C@H](C)CC[C@@H]1C ZINC000648013771 386951370 /nfs/dbraw/zinc/95/13/70/386951370.db2.gz QWCKMPOGCJCSKF-BETUJISGSA-N 0 3 235.375 2.912 20 0 BFADHN CCN(Cc1cccs1)C[C@H](OC)C1CC1 ZINC000425378354 387032432 /nfs/dbraw/zinc/03/24/32/387032432.db2.gz AUXJWEXDXYBOGW-ZDUSSCGKSA-N 0 3 239.384 2.995 20 0 BFADHN C[C@@]1(O)C[C@H](N[C@H](c2ccc(F)cc2)C2CC2)C1 ZINC000417404598 387036122 /nfs/dbraw/zinc/03/61/22/387036122.db2.gz OVDRAPNMQPGQJC-SOUVJXGZSA-N 0 3 249.329 2.780 20 0 BFADHN C[C@H](CC1CC1)N[C@@H](CO)c1ccsc1 ZINC000336763776 387036305 /nfs/dbraw/zinc/03/63/05/387036305.db2.gz PNJLRUYXHSUAGX-SKDRFNHKSA-N 0 3 225.357 2.560 20 0 BFADHN COc1cc(C)c(CN[C@H]2CC2(C)C)c(C)n1 ZINC000358981320 387040215 /nfs/dbraw/zinc/04/02/15/387040215.db2.gz PNUAOHQCWBTICD-LBPRGKRZSA-N 0 3 234.343 2.595 20 0 BFADHN Cc1ccoc1CNC[C@@H](C)C(F)(F)F ZINC000336764079 387041771 /nfs/dbraw/zinc/04/17/71/387041771.db2.gz PWQVLOGDTMBYMN-MRVPVSSYSA-N 0 3 221.222 2.876 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CCC(C)(C)CC1 ZINC000096593517 387042282 /nfs/dbraw/zinc/04/22/82/387042282.db2.gz DTDGHOWVZLVDAP-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CCC[C@H](CC)C1 ZINC000096593411 387042304 /nfs/dbraw/zinc/04/23/04/387042304.db2.gz PKLMTZANBWHNIO-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1cc(CN2CC[C@]3(CC[C@H](C)C3)C2)n(C)n1 ZINC000334962868 387042725 /nfs/dbraw/zinc/04/27/25/387042725.db2.gz XVVNMSFBEXQAJM-WFASDCNBSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@@H](NC[C@@H]1CCCS1)c1cncs1 ZINC000157210196 387043243 /nfs/dbraw/zinc/04/32/43/387043243.db2.gz VPTJWCYBQDZRHJ-BDAKNGLRSA-N 0 3 228.386 2.689 20 0 BFADHN CC[C@@H](NCC1(C(F)F)CC1)c1cnn(C)c1 ZINC000359002480 387043794 /nfs/dbraw/zinc/04/37/94/387043794.db2.gz PDJDXKREZUGPMQ-SNVBAGLBSA-N 0 3 243.301 2.506 20 0 BFADHN Cc1ccoc1CNC1CCSCC1 ZINC000126778735 387046089 /nfs/dbraw/zinc/04/60/89/387046089.db2.gz UGDCKAHSDCSFRV-UHFFFAOYSA-N 0 3 211.330 2.573 20 0 BFADHN CC[C@H](NCC1CC(F)(F)C1)c1ccn(C)n1 ZINC000425962536 387050524 /nfs/dbraw/zinc/05/05/24/387050524.db2.gz YQHCUJAERUIDLY-JTQLQIEISA-N 0 3 243.301 2.506 20 0 BFADHN CC[C@H](NC[C@@H]1CC[C@H](C)C1)c1nccn1C ZINC000359053072 387050796 /nfs/dbraw/zinc/05/07/96/387050796.db2.gz ZIDASFONEGRPHA-XQQFMLRXSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H](CO)CC1CCC1 ZINC000359053027 387050948 /nfs/dbraw/zinc/05/09/48/387050948.db2.gz ZGDMMGAREHKFTE-JSGCOSHPSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1ncc(CN2CCCC[C@@H]2C(C)C)cn1 ZINC000334970515 387054886 /nfs/dbraw/zinc/05/48/86/387054886.db2.gz UWZYLRNQHBLPIR-CQSZACIVSA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1nccn1CC ZINC000519309675 387055896 /nfs/dbraw/zinc/05/58/96/387055896.db2.gz MMXGBPFBQAMKFQ-OLZOCXBDSA-N 0 3 235.375 2.819 20 0 BFADHN CC(C)c1nnc([C@@H](C)NC2CC3(CCC3)C2)[nH]1 ZINC000333836675 387058529 /nfs/dbraw/zinc/05/85/29/387058529.db2.gz MOFXHHVCGJQRSC-SNVBAGLBSA-N 0 3 248.374 2.911 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+]C2CC3(CCC3)C2)[n-]1 ZINC000333836675 387058534 /nfs/dbraw/zinc/05/85/34/387058534.db2.gz MOFXHHVCGJQRSC-SNVBAGLBSA-N 0 3 248.374 2.911 20 0 BFADHN CCc1nocc1CN1C[C@H](C)[C@@H](C)[C@@H]1C ZINC000418119663 387067340 /nfs/dbraw/zinc/06/73/40/387067340.db2.gz GZENSCDPTSDKBB-AXFHLTTASA-N 0 3 222.332 2.713 20 0 BFADHN Cc1nocc1CN1CCCCC[C@@H]1C ZINC000334973916 387059583 /nfs/dbraw/zinc/05/95/83/387059583.db2.gz YJELZVIMXJKSRG-JTQLQIEISA-N 0 3 208.305 2.748 20 0 BFADHN C[C@@H](O)CCCN[C@@H](C)c1ccccc1F ZINC000228029569 387059980 /nfs/dbraw/zinc/05/99/80/387059980.db2.gz KZGKUVLGZMXHEL-MNOVXSKESA-N 0 3 225.307 2.637 20 0 BFADHN CCn1cncc1CN(C)C1(C)CCCC1 ZINC000418036343 387064300 /nfs/dbraw/zinc/06/43/00/387064300.db2.gz QHNFYTONRRLTFU-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CC(C)c1cnc(SC[C@@H](C)N(C)C)n1C ZINC000358783965 387013697 /nfs/dbraw/zinc/01/36/97/387013697.db2.gz HYBJLXCPCDMMLD-SNVBAGLBSA-N 0 3 241.404 2.586 20 0 BFADHN Cc1cc(CN2CCCCC(C)(C)C2)n(C)n1 ZINC000418021617 387016444 /nfs/dbraw/zinc/01/64/44/387016444.db2.gz KBSVRPPBANJCLG-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C3(CCC3)C2)n(C)n1 ZINC000334942003 387018140 /nfs/dbraw/zinc/01/81/40/387018140.db2.gz BOZTXKQSTDHSAK-GFCCVEGCSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@@H](NC/C=C\c1ccccc1)c1cnn(C)c1 ZINC000336787774 387021254 /nfs/dbraw/zinc/02/12/54/387021254.db2.gz MZVIKLPXBMXXMX-OYVUYXNMSA-N 0 3 241.338 2.784 20 0 BFADHN CC(C)n1ccnc1SC[C@@H](C)N(C)C ZINC000358875375 387024438 /nfs/dbraw/zinc/02/44/38/387024438.db2.gz ASHXJGWQEPOQFP-SNVBAGLBSA-N 0 3 227.377 2.506 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1ccc(C)c(C)n1 ZINC000425366767 387025147 /nfs/dbraw/zinc/02/51/47/387025147.db2.gz GDMCBTSAUUPXKT-STQMWFEESA-N 0 3 236.384 2.682 20 0 BFADHN C[C@@H](CSc1nc2ccccc2n1C)N(C)C ZINC000358859612 387025714 /nfs/dbraw/zinc/02/57/14/387025714.db2.gz JXLUDLVSHDJXHF-JTQLQIEISA-N 0 3 249.383 2.616 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1cnc(C)cc1C ZINC000425368561 387026712 /nfs/dbraw/zinc/02/67/12/387026712.db2.gz KKFQLLLSUINUIO-STQMWFEESA-N 0 3 236.384 2.682 20 0 BFADHN C[C@H](NCc1ccno1)C1CCC(F)(F)CC1 ZINC000648023659 387027745 /nfs/dbraw/zinc/02/77/45/387027745.db2.gz YYTLGTMXHPDVMK-VIFPVBQESA-N 0 3 244.285 2.978 20 0 BFADHN FC(F)(F)C1=CCN(CC2CCC2)CC1 ZINC000334885102 387027848 /nfs/dbraw/zinc/02/78/48/387027848.db2.gz CAFYFPOCWMJQRH-UHFFFAOYSA-N 0 3 219.250 2.981 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H](C)C[C@@H](C)O ZINC000228081780 387066269 /nfs/dbraw/zinc/06/62/69/387066269.db2.gz RWTHJKUGEAGXMK-UTUOFQBUSA-N 0 3 237.343 2.505 20 0 BFADHN COc1cc(C)c(CN(C)C2CCC2)c(C)n1 ZINC000359220286 387068997 /nfs/dbraw/zinc/06/89/97/387068997.db2.gz GLCHWHIHAWHUHU-UHFFFAOYSA-N 0 3 234.343 2.691 20 0 BFADHN CC(C)C1(N[C@H]2C[C@H](C)n3ccnc32)CC1 ZINC000336235723 387073390 /nfs/dbraw/zinc/07/33/90/387073390.db2.gz QWPQGTBKPFOEHW-QWRGUYRKSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@@H]1CN(Cc2cnc(C3CC3)nc2)[C@@H](C)[C@H]1C ZINC000418120530 387074666 /nfs/dbraw/zinc/07/46/66/387074666.db2.gz HZIVMXFEUGMPSX-WOPDTQHZSA-N 0 3 245.370 2.830 20 0 BFADHN Cc1c[nH]nc1CN1CCC2(CCCC2)CC1 ZINC000334989348 387078511 /nfs/dbraw/zinc/07/85/11/387078511.db2.gz DCRUTLMQCYUPLP-UHFFFAOYSA-N 0 3 233.359 2.874 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@@H]1C)c1nccn1C ZINC000246380914 387080822 /nfs/dbraw/zinc/08/08/22/387080822.db2.gz NJIARCZZTSFRTQ-ZDEQEGDKSA-N 0 3 235.375 2.895 20 0 BFADHN CCn1cncc1CN(C)[C@H](C)C1CCC1 ZINC000418066632 387081422 /nfs/dbraw/zinc/08/14/22/387081422.db2.gz LNURSXIFQIZWAD-LLVKDONJSA-N 0 3 221.348 2.523 20 0 BFADHN Cc1cc(CN2C[C@H](C(C)C)[C@@H]2C(C)C)n(C)n1 ZINC000418073772 387085419 /nfs/dbraw/zinc/08/54/19/387085419.db2.gz NASMGSCFGZTLJO-CABCVRRESA-N 0 3 249.402 2.841 20 0 BFADHN CCn1cncc1CN1CC[C@@H](CC2CC2)C1 ZINC000418077627 387086975 /nfs/dbraw/zinc/08/69/75/387086975.db2.gz MHUORIPFBBFAJY-ZDUSSCGKSA-N 0 3 233.359 2.525 20 0 BFADHN Cc1ccc(CN2CC[C@H](C3CCCC3)C2)nn1 ZINC000334996093 387088791 /nfs/dbraw/zinc/08/87/91/387088791.db2.gz UAYDHBXWKNIINF-AWEZNQCLSA-N 0 3 245.370 2.797 20 0 BFADHN CCn1cncc1CN1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000418079334 387089309 /nfs/dbraw/zinc/08/93/09/387089309.db2.gz XXHJTQWZFZMOQF-LSDHHAIUSA-N 0 3 245.370 2.523 20 0 BFADHN COC[C@@H](CC(C)C)N[C@H](C)c1ccccn1 ZINC000359367749 387092685 /nfs/dbraw/zinc/09/26/85/387092685.db2.gz DYBCTXXLKPFPNA-CHWSQXEVSA-N 0 3 236.359 2.793 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@@H](N)CC(C)C ZINC000228452217 387094204 /nfs/dbraw/zinc/09/42/04/387094204.db2.gz ZKVDWPQTTIOMBA-OLZOCXBDSA-N 0 3 242.407 2.835 20 0 BFADHN COC[C@@H](CC(C)C)NCc1ccc(F)cc1 ZINC000359379715 387094561 /nfs/dbraw/zinc/09/45/61/387094561.db2.gz PKKDVYWULHJLQG-CQSZACIVSA-N 0 3 239.334 2.976 20 0 BFADHN CO[C@@H](CNCc1scnc1C)CC(C)C ZINC000418092664 387100171 /nfs/dbraw/zinc/10/01/71/387100171.db2.gz FUMMIGODWLDZGF-LLVKDONJSA-N 0 3 242.388 2.602 20 0 BFADHN O[C@H](CN1CC2(C1)CCCC2)c1ccccc1 ZINC000228517311 387102124 /nfs/dbraw/zinc/10/21/24/387102124.db2.gz FCZQRBHEWCJLTP-CQSZACIVSA-N 0 3 231.339 2.596 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1cncs1 ZINC000336766219 387104659 /nfs/dbraw/zinc/10/46/59/387104659.db2.gz RMHZNNFHCWCRGT-SSDOTTSWSA-N 0 3 238.278 2.964 20 0 BFADHN OCCC1(CNc2ccnc3ccccc32)CC1 ZINC000157238330 387107746 /nfs/dbraw/zinc/10/77/46/387107746.db2.gz VFNCNOFNBVOUMV-UHFFFAOYSA-N 0 3 242.322 2.809 20 0 BFADHN c1cncc(CN2CCSC[C@@H]2C2CCC2)c1 ZINC000334948335 387110193 /nfs/dbraw/zinc/11/01/93/387110193.db2.gz CKRVUHPVXYACMF-CQSZACIVSA-N 0 3 248.395 2.799 20 0 BFADHN CCOC[C@H](NCc1cnc(C)s1)C(C)C ZINC000188866265 387111713 /nfs/dbraw/zinc/11/17/13/387111713.db2.gz XEVZOQHHVMIZOD-LBPRGKRZSA-N 0 3 242.388 2.602 20 0 BFADHN Cc1cc(CN(CC2CCC2)C(C)C)on1 ZINC000352364447 387113755 /nfs/dbraw/zinc/11/37/55/387113755.db2.gz DGVUGSQGXKGSLE-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN CCOc1cccc(CN(C)CC(C)(C)C)n1 ZINC000352372817 387115367 /nfs/dbraw/zinc/11/53/67/387115367.db2.gz XQDVMMCGMCJSFO-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CCCn1cc(CN(C)CC(C)(C)C)cn1 ZINC000352371592 387116065 /nfs/dbraw/zinc/11/60/65/387116065.db2.gz KMYXVLNGXNKKBD-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN CCC1(C)CCN([C@@H](C)c2n[nH]c(C)n2)CC1 ZINC000336281733 387116937 /nfs/dbraw/zinc/11/69/37/387116937.db2.gz MRGMZHZZPTXFBY-JTQLQIEISA-N 0 3 236.363 2.686 20 0 BFADHN CC(C)C1CCC(NCc2nccn2C)CC1 ZINC000071273408 387119728 /nfs/dbraw/zinc/11/97/28/387119728.db2.gz KXQYFVUOSXIDFW-UHFFFAOYSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1ccc([C@@H](C)NCc2ccnn2C)cc1C ZINC000071138838 387120250 /nfs/dbraw/zinc/12/02/50/387120250.db2.gz PYSRJKWTAQFLPT-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@@H](CO)[C@@H](C)NCc1cccc(F)c1Cl ZINC000228727392 387124317 /nfs/dbraw/zinc/12/43/17/387124317.db2.gz CMBODQCIJAFAAM-DTWKUNHWSA-N 0 3 245.725 2.586 20 0 BFADHN COC(=O)c1cccc(CN2CCCCCC2)c1 ZINC000072562789 387125483 /nfs/dbraw/zinc/12/54/83/387125483.db2.gz ILPSVTFUOFFVFE-UHFFFAOYSA-N 0 3 247.338 2.849 20 0 BFADHN Cc1cccc(CN2CCCC[C@@H]2C[C@H](C)O)n1 ZINC000228883685 387125599 /nfs/dbraw/zinc/12/55/99/387125599.db2.gz HXMKJMPCOHMDCS-DZGCQCFKSA-N 0 3 248.370 2.515 20 0 BFADHN C[C@H](NCC1(C(F)F)CC1)c1ccco1 ZINC000336766934 387126423 /nfs/dbraw/zinc/12/64/23/387126423.db2.gz SORRNYKEDJHJQO-QMMMGPOBSA-N 0 3 215.243 2.976 20 0 BFADHN FCCCN1CCC[C@@H](Oc2ccccc2)C1 ZINC000370617035 387128976 /nfs/dbraw/zinc/12/89/76/387128976.db2.gz YVWRPICLCKNGPJ-CQSZACIVSA-N 0 3 237.318 2.889 20 0 BFADHN COC[C@@H](C)N1CCc2c(C)cc(C)cc2C1 ZINC000186723702 387129194 /nfs/dbraw/zinc/12/91/94/387129194.db2.gz URVLEVQJBFVFDJ-CYBMUJFWSA-N 0 3 233.355 2.696 20 0 BFADHN CC[C@H](NCc1cc(C)n(C)n1)[C@H]1CC1(C)C ZINC000418099053 387129903 /nfs/dbraw/zinc/12/99/03/387129903.db2.gz BEZWZGSBEKTNAG-OLZOCXBDSA-N 0 3 235.375 2.643 20 0 BFADHN CCC1CCN(Cc2cnn3ccccc23)CC1 ZINC000352387769 387142267 /nfs/dbraw/zinc/14/22/67/387142267.db2.gz DLHDTWYSWFJSCA-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN COC(=O)C(C)(C)N(C)CC1CCC(C)CC1 ZINC000353155489 387144562 /nfs/dbraw/zinc/14/45/62/387144562.db2.gz OWDZAUOXDMFMGO-UHFFFAOYSA-N 0 3 241.375 2.696 20 0 BFADHN CC1(C)CN(CCCCF)CC(C)(C)O1 ZINC000352394042 387145702 /nfs/dbraw/zinc/14/57/02/387145702.db2.gz HHANLPCELSJQCT-UHFFFAOYSA-N 0 3 217.328 2.626 20 0 BFADHN CC(C)[C@@H]1CN(C[C@H]2CC=CCC2)CCO1 ZINC000353149868 387146200 /nfs/dbraw/zinc/14/62/00/387146200.db2.gz HSLXOTDVZHGIKT-KBPBESRZSA-N 0 3 223.360 2.700 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1cc(C)c(C)o1 ZINC000290013187 387151128 /nfs/dbraw/zinc/15/11/28/387151128.db2.gz BUMOSJHQQHRXMN-SCVCMEIPSA-N 0 3 225.332 2.970 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1cc(C)c(C)o1 ZINC000290013171 387151485 /nfs/dbraw/zinc/15/14/85/387151485.db2.gz BUMOSJHQQHRXMN-JFGNBEQYSA-N 0 3 225.332 2.970 20 0 BFADHN COc1c(O)cccc1CN1C[C@H](C)[C@@H](C)[C@H]1C ZINC000418134743 387160955 /nfs/dbraw/zinc/16/09/55/387160955.db2.gz PZYIVJUIFXLXIU-QJPTWQEYSA-N 0 3 249.354 2.877 20 0 BFADHN CCC[C@H](N[C@@H]1CO[C@@H](C)C1)c1ccccn1 ZINC000571761662 387163818 /nfs/dbraw/zinc/16/38/18/387163818.db2.gz MXYCJSWLYQELME-OBJOEFQTSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ccncc1CN1C[C@@H](C(N)=O)CC[C@@H]1C ZINC000336340442 387171714 /nfs/dbraw/zinc/17/17/14/387171714.db2.gz UEDWWUDISPFVOW-RYUDHWBXSA-N 0 3 247.342 2.526 20 0 BFADHN F[C@]1(c2ccccc2)C[C@H]1NCC[C@H]1CCOC1 ZINC000571791584 387172059 /nfs/dbraw/zinc/17/20/59/387172059.db2.gz FBIBTQIFJAZPKW-CFVMTHIKSA-N 0 3 249.329 2.640 20 0 BFADHN FC(F)C[C@@H]1COCCN1CC1CCCCC1 ZINC000353285358 387173015 /nfs/dbraw/zinc/17/30/15/387173015.db2.gz IMHRMYHIMWMDEV-GFCCVEGCSA-N 0 3 247.329 2.923 20 0 BFADHN COCc1ccccc1/C=C(/C)CNCCF ZINC000353284926 387174880 /nfs/dbraw/zinc/17/48/80/387174880.db2.gz FXJNIBOVWVNGSI-XFXZXTDPSA-N 0 3 237.318 2.795 20 0 BFADHN Cn1nccc1[C@@H]1CCCCN1CCCCF ZINC000367150793 387176955 /nfs/dbraw/zinc/17/69/55/387176955.db2.gz JLMLXTDQVXYVFY-ZDUSSCGKSA-N 0 3 239.338 2.697 20 0 BFADHN CCN(Cc1nccn1C)[C@H]1CCCC[C@@H]1C ZINC000120024454 387177147 /nfs/dbraw/zinc/17/71/47/387177147.db2.gz YWQHCTGEQXJBTG-STQMWFEESA-N 0 3 235.375 2.821 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2C[C@H](C)C[C@@H](C)[C@H]2C)n1 ZINC000229553094 387177722 /nfs/dbraw/zinc/17/77/22/387177722.db2.gz AINYFWRNNVYJMZ-NQBHXWOUSA-N 0 3 248.370 2.962 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2C[C@H](C)C[C@@H](C)[C@H]2C)n1 ZINC000229553094 387177726 /nfs/dbraw/zinc/17/77/26/387177726.db2.gz AINYFWRNNVYJMZ-NQBHXWOUSA-N 0 3 248.370 2.962 20 0 BFADHN COCCCCN(C)Cc1ccsc1C ZINC000418147939 387178857 /nfs/dbraw/zinc/17/88/57/387178857.db2.gz SEMWRRBGIDPDOW-UHFFFAOYSA-N 0 3 227.373 2.915 20 0 BFADHN Cc1ccc(CN(C)CCC2CC2)c(C)n1 ZINC000187308449 387182630 /nfs/dbraw/zinc/18/26/30/387182630.db2.gz MQQWKRJXXNSPPU-UHFFFAOYSA-N 0 3 218.344 2.930 20 0 BFADHN Cc1ccc(CN2CCC[C@H]([C@@H]3CCOC3)C2)o1 ZINC000336352389 387183103 /nfs/dbraw/zinc/18/31/03/387183103.db2.gz XHIGQEBXDMOLRF-UONOGXRCSA-N 0 3 249.354 2.837 20 0 BFADHN COc1ccc(F)c(CNC[C@H]2CC23CC3)c1 ZINC000418105539 387135494 /nfs/dbraw/zinc/13/54/94/387135494.db2.gz YBGDUEXQKLMBFJ-LLVKDONJSA-N 0 3 235.302 2.724 20 0 BFADHN Cc1occc1CNC[C@@H]1CCCCS1 ZINC000321342989 387135458 /nfs/dbraw/zinc/13/54/58/387135458.db2.gz BQIZUJYTVVUWAL-LBPRGKRZSA-N 0 3 225.357 2.963 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2cncn2CC)C1 ZINC000418112035 387203139 /nfs/dbraw/zinc/20/31/39/387203139.db2.gz HMMNXSQMCAJQGF-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@H]1[C@H](NCc2nnc(C3CC3)[nH]2)CCC[C@@H]1C ZINC000187575097 387204430 /nfs/dbraw/zinc/20/44/30/387204430.db2.gz HMCYCFZRVZHJTQ-HOSYDEDBSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H](NC1CCOCC1)c1cccc(F)c1F ZINC000090963699 387204927 /nfs/dbraw/zinc/20/49/27/387204927.db2.gz DZJPNVRSZJULAA-SECBINFHSA-N 0 3 241.281 2.794 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1ccnn1CC ZINC000187578029 387205900 /nfs/dbraw/zinc/20/59/00/387205900.db2.gz JHYYBYCUWNFJKU-DGCLKSJQSA-N 0 3 221.348 2.523 20 0 BFADHN CCC[C@@H](CNCc1ccc2c(c1)COC2)OC ZINC000648633926 387207085 /nfs/dbraw/zinc/20/70/85/387207085.db2.gz SJHDVIJXTJMPHK-HNNXBMFYSA-N 0 3 249.354 2.622 20 0 BFADHN CC[C@H](CN[C@H](C)c1ccccc1OC)OC ZINC000290036103 387209899 /nfs/dbraw/zinc/20/98/99/387209899.db2.gz DEIUKTUQYZPBAI-VXGBXAGGSA-N 0 3 237.343 2.771 20 0 BFADHN CC[C@@H](C(N)=O)N1CC[C@H](C)C2(CCC2)C1 ZINC000336384365 387213915 /nfs/dbraw/zinc/21/39/15/387213915.db2.gz VNTGDJIPWKVPOH-QWRGUYRKSA-N 0 3 224.348 2.812 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@](C)(O)C2CC2)o1 ZINC000336795655 387213948 /nfs/dbraw/zinc/21/39/48/387213948.db2.gz MCTFOCOAUKJCLU-RIEGTJTDSA-N 0 3 249.354 2.654 20 0 BFADHN CC[C@@H](C(N)=O)N1CC[C@@H](C)C2(CCC2)C1 ZINC000336384364 387214385 /nfs/dbraw/zinc/21/43/85/387214385.db2.gz VNTGDJIPWKVPOH-MNOVXSKESA-N 0 3 224.348 2.812 20 0 BFADHN CCC[C@H](NCCC(C)(C)O)c1ccccn1 ZINC000360026467 387215579 /nfs/dbraw/zinc/21/55/79/387215579.db2.gz YYIKSHYXWPLFJF-LBPRGKRZSA-N 0 3 236.359 2.673 20 0 BFADHN CC(C)CCC[C@H](C)N(C)[C@@H](C)C[S@](C)=O ZINC000187837724 387216350 /nfs/dbraw/zinc/21/63/50/387216350.db2.gz VQJVONQSUSHNDZ-XEZPLFJOSA-N 0 3 247.448 2.900 20 0 BFADHN CC1(C)CCCN(Cc2ccc(CO)o2)CC1 ZINC000093660298 387219271 /nfs/dbraw/zinc/21/92/71/387219271.db2.gz HFFCVRBATCCEKX-UHFFFAOYSA-N 0 3 237.343 2.784 20 0 BFADHN CC[C@@]1(NCc2ccc(C)cc2OC)CCOC1 ZINC000648555050 387253077 /nfs/dbraw/zinc/25/30/77/387253077.db2.gz XZGUFHWMEFEFLL-OAHLLOKOSA-N 0 3 249.354 2.662 20 0 BFADHN C[C@H](CCCO)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000252215376 387222892 /nfs/dbraw/zinc/22/28/92/387222892.db2.gz ZBWRKERTILZFTC-WZRBSPASSA-N 0 3 237.343 2.654 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2cc(C)ccn2)C1(C)C ZINC000353527679 387223282 /nfs/dbraw/zinc/22/32/82/387223282.db2.gz LOPUMZKLHOSXES-IACUBPJLSA-N 0 3 248.370 2.854 20 0 BFADHN Cc1nc(CNC2[C@H](C)CCC[C@H]2C)[nH]c1C ZINC000572060106 387225195 /nfs/dbraw/zinc/22/51/95/387225195.db2.gz QVAOWRDJPMHPAO-NXEZZACHSA-N 0 3 235.375 2.941 20 0 BFADHN Cc1ccc(CN2CCOCC3(CC3)C2)cc1 ZINC000360095437 387227468 /nfs/dbraw/zinc/22/74/68/387227468.db2.gz AOWNANYDCACBEX-UHFFFAOYSA-N 0 3 231.339 2.607 20 0 BFADHN CCC[C@@H](NC[C@H](CC)OC)c1cccnc1 ZINC000353550212 387228377 /nfs/dbraw/zinc/22/83/77/387228377.db2.gz RCCOGRMFNASJJG-UONOGXRCSA-N 0 3 236.359 2.937 20 0 BFADHN CC(C)CCCN[C@@H](c1nncn1C)C(C)C ZINC000352464834 387230502 /nfs/dbraw/zinc/23/05/02/387230502.db2.gz XXFOBBOGJQBBEZ-GFCCVEGCSA-N 0 3 238.379 2.538 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2C[C@H]2CC=CCC2)n1 ZINC000336401668 387231171 /nfs/dbraw/zinc/23/11/71/387231171.db2.gz QXUSCDNVLOJTAN-STQMWFEESA-N 0 3 231.343 2.903 20 0 BFADHN c1c[nH]c([C@H]2CCCN2C[C@@H]2CC=CCC2)n1 ZINC000336401665 387231454 /nfs/dbraw/zinc/23/14/54/387231454.db2.gz QXUSCDNVLOJTAN-CHWSQXEVSA-N 0 3 231.343 2.903 20 0 BFADHN Cc1noc([C@@H]2CCCN2CCC(C)(C)C)n1 ZINC000353577938 387232664 /nfs/dbraw/zinc/23/26/64/387232664.db2.gz UBIPCEHBMQNEKS-NSHDSACASA-N 0 3 237.347 2.951 20 0 BFADHN C[C@H]1OCC[C@]1(C)NCc1ccc(F)c(F)c1 ZINC000230150633 387233541 /nfs/dbraw/zinc/23/35/41/387233541.db2.gz UKRZNPHKWOYPHA-RNCFNFMXSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H]1OCC[C@@]1(C)NCc1ccc(F)c(F)c1 ZINC000230150624 387233914 /nfs/dbraw/zinc/23/39/14/387233914.db2.gz UKRZNPHKWOYPHA-NOZJJQNGSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1ccc(F)cc1CN[C@@]1(C)CCO[C@@H]1C ZINC000230150324 387234819 /nfs/dbraw/zinc/23/48/19/387234819.db2.gz DWAGQEWVVZXWLD-RISCZKNCSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H]1OCC[C@]1(C)NCc1cccc(Cl)c1 ZINC000230154531 387236151 /nfs/dbraw/zinc/23/61/51/387236151.db2.gz FKCCWJFWUZKDPH-GWCFXTLKSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@H]1OCC[C@]1(C)NCc1ccccc1Cl ZINC000230152147 387236335 /nfs/dbraw/zinc/23/63/35/387236335.db2.gz GCFOJHXOKXUEEF-MFKMUULPSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@@H]1OCC[C@@]1(C)NCc1cc(F)ccc1F ZINC000230152886 387236382 /nfs/dbraw/zinc/23/63/82/387236382.db2.gz ICHNABGZAMIQRT-TVQRCGJNSA-N 0 3 241.281 2.622 20 0 BFADHN CC(C)c1ccc(CN2CCN(C)C[C@H]2C)cc1 ZINC000353585526 387236490 /nfs/dbraw/zinc/23/64/90/387236490.db2.gz UGNQZSWMGRWXHD-CQSZACIVSA-N 0 3 246.398 2.946 20 0 BFADHN Cc1ccc(F)c(CN[C@]2(C)CCO[C@@H]2C)c1 ZINC000230152055 387236777 /nfs/dbraw/zinc/23/67/77/387236777.db2.gz FOVZGQXYHVILKB-BXUZGUMPSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H]1OCC[C@]1(C)NCc1cc(F)ccc1F ZINC000230152896 387237299 /nfs/dbraw/zinc/23/72/99/387237299.db2.gz ICHNABGZAMIQRT-ZANVPECISA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCC[C@@H]2CCOC2)o1 ZINC000230165860 387238997 /nfs/dbraw/zinc/23/89/97/387238997.db2.gz KELHJXCTXNSWKV-YRGRVCCFSA-N 0 3 249.354 2.919 20 0 BFADHN COC[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC000353608403 387240328 /nfs/dbraw/zinc/24/03/28/387240328.db2.gz HBWMGVFGAFKBNK-LBPRGKRZSA-N 0 3 237.318 2.602 20 0 BFADHN CC(C)CCOCCN1CCCOC(C)(C)C1 ZINC000371740089 387245712 /nfs/dbraw/zinc/24/57/12/387245712.db2.gz SGTQMKUPWJATTC-UHFFFAOYSA-N 0 3 243.391 2.550 20 0 BFADHN CO[C@@H](C)CN(Cc1cnccc1C)C(C)C ZINC000353649187 387248415 /nfs/dbraw/zinc/24/84/15/387248415.db2.gz KPXZCEAWVLPFPH-ZDUSSCGKSA-N 0 3 236.359 2.635 20 0 BFADHN CC[C@H](NC[C@@H](O)C(C)C)c1nc(C)cs1 ZINC000292884274 387249220 /nfs/dbraw/zinc/24/92/20/387249220.db2.gz IPLZKOCNHVKDMG-WDEREUQCSA-N 0 3 242.388 2.509 20 0 BFADHN C[C@H]1CCCCN(CCOCC(F)(F)F)C1 ZINC000187977737 387250045 /nfs/dbraw/zinc/25/00/45/387250045.db2.gz UPTDOPIGKRYYTF-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN Cc1ccc([C@@H]2CCN(Cc3c[nH]cn3)C2)cc1 ZINC000371801301 387251278 /nfs/dbraw/zinc/25/12/78/387251278.db2.gz FYZXWUVXFUQHGZ-CQSZACIVSA-N 0 3 241.338 2.708 20 0 BFADHN Cc1ccc([C@@H]2CCN(Cc3cnc[nH]3)C2)cc1 ZINC000371801301 387251283 /nfs/dbraw/zinc/25/12/83/387251283.db2.gz FYZXWUVXFUQHGZ-CQSZACIVSA-N 0 3 241.338 2.708 20 0 BFADHN Cc1nn(C(C)C)cc1CN1C[C@H](C)[C@@H](C)C1 ZINC000336362350 387194986 /nfs/dbraw/zinc/19/49/86/387194986.db2.gz ADNCFGZGSFWXQN-RYUDHWBXSA-N 0 3 235.375 2.860 20 0 BFADHN Cn1ccc(CN2CCC[C@@H]2C2CCCC2)n1 ZINC000336365601 387197589 /nfs/dbraw/zinc/19/75/89/387197589.db2.gz UAODHBAAHYOYHX-CQSZACIVSA-N 0 3 233.359 2.575 20 0 BFADHN CSC1(CN[C@H](C)c2cccnc2)CC1 ZINC000193330620 387199060 /nfs/dbraw/zinc/19/90/60/387199060.db2.gz YYIYHPVUKKWCML-SNVBAGLBSA-N 0 3 222.357 2.628 20 0 BFADHN CCCc1ccc(CN(C)[C@H]2CCOC2)cc1 ZINC000353421168 387200098 /nfs/dbraw/zinc/20/00/98/387200098.db2.gz ZFBRQSLVXBRMRP-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN CC(C)n1cc(CN[C@H]2CC3CCC2CC3)nn1 ZINC000336795033 387200857 /nfs/dbraw/zinc/20/08/57/387200857.db2.gz HIQWXYKFJVQWAJ-YIZWMMSDSA-N 0 3 248.374 2.527 20 0 BFADHN CCN(CCOC)CCO[C@H]1CCCC[C@H]1C ZINC000353444931 387201463 /nfs/dbraw/zinc/20/14/63/387201463.db2.gz HGKPKHZSISIYTC-KGLIPLIRSA-N 0 3 243.391 2.550 20 0 BFADHN CN1CCC(Cc2nc(C3CCCC3)no2)CC1 ZINC000336480292 387310295 /nfs/dbraw/zinc/31/02/95/387310295.db2.gz WODLDYSDMHCRDU-UHFFFAOYSA-N 0 3 249.358 2.612 20 0 BFADHN CN(CCCCF)Cc1cccs1 ZINC000286063525 387311875 /nfs/dbraw/zinc/31/18/75/387311875.db2.gz RXAUGBCKTHHIRR-UHFFFAOYSA-N 0 3 201.310 2.930 20 0 BFADHN Cc1ccnc([C@@H](C)NCC2(CO)CCCC2)c1 ZINC000353671839 387255599 /nfs/dbraw/zinc/25/55/99/387255599.db2.gz OSUMJDBTIKAQPD-CYBMUJFWSA-N 0 3 248.370 2.593 20 0 BFADHN Cc1cc(CN[C@@H]2CCO[C@H]2C2CC2)ccc1F ZINC000230315868 387261141 /nfs/dbraw/zinc/26/11/41/387261141.db2.gz OZBDNUDYWAUMCE-CABCVRRESA-N 0 3 249.329 2.791 20 0 BFADHN CCC[C@]1(NCc2cccc(OC)c2)CCOC1 ZINC000285428467 387264931 /nfs/dbraw/zinc/26/49/31/387264931.db2.gz FBJMHCYTHTZQCJ-HNNXBMFYSA-N 0 3 249.354 2.744 20 0 BFADHN Cc1ccnc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)n1 ZINC000360339834 387265735 /nfs/dbraw/zinc/26/57/35/387265735.db2.gz VRDJNBOQMCUQSJ-GMXVVIOVSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1noc(C)c1C(C)(C)NCC1CC1 ZINC000285445985 387266424 /nfs/dbraw/zinc/26/64/24/387266424.db2.gz INZHUPXVRHALGI-UHFFFAOYSA-N 0 3 208.305 2.526 20 0 BFADHN Cc1ccnc(CN[C@@H]2CC[C@H](C)C[C@H]2C)n1 ZINC000360339833 387266428 /nfs/dbraw/zinc/26/64/28/387266428.db2.gz VRDJNBOQMCUQSJ-DMDPSCGWSA-N 0 3 233.359 2.699 20 0 BFADHN CC(C(=O)N(C)c1ccccc1)C(F)(F)F ZINC000336447709 387268575 /nfs/dbraw/zinc/26/85/75/387268575.db2.gz GZPUVUXFWWEXTP-MRVPVSSYSA-N 0 3 231.217 2.848 20 0 BFADHN CCc1ccc(CN2CCC[C@@H]3COCC[C@H]32)o1 ZINC000336441751 387269635 /nfs/dbraw/zinc/26/96/35/387269635.db2.gz OJBKFCZYODLKFJ-IUODEOHRSA-N 0 3 249.354 2.843 20 0 BFADHN CCC[C@@]1(NCc2ccccc2OC)CCOC1 ZINC000285671365 387269995 /nfs/dbraw/zinc/26/99/95/387269995.db2.gz ZSUAMXIMOPYZCE-OAHLLOKOSA-N 0 3 249.354 2.744 20 0 BFADHN C[C@H](NC1CCCC1)c1c(F)cncc1F ZINC000285499735 387271250 /nfs/dbraw/zinc/27/12/50/387271250.db2.gz CTRPBAIEUZHGTG-QMMMGPOBSA-N 0 3 226.270 2.953 20 0 BFADHN CCC[C@@]1(NCc2ccc(F)cc2)CCOC1 ZINC000285592556 387274398 /nfs/dbraw/zinc/27/43/98/387274398.db2.gz PBVFBHUHSJUPRK-CQSZACIVSA-N 0 3 237.318 2.875 20 0 BFADHN CO[C@H](C)CN(Cc1cccnc1C)C(C)C ZINC000353794011 387274347 /nfs/dbraw/zinc/27/43/47/387274347.db2.gz KDJBUQOKGAUCNI-GFCCVEGCSA-N 0 3 236.359 2.635 20 0 BFADHN CCC1(NCc2cc(F)cc(OC)c2)CC1 ZINC000312394305 387277069 /nfs/dbraw/zinc/27/70/69/387277069.db2.gz BAOPHLXQOOJIRF-UHFFFAOYSA-N 0 3 223.291 2.867 20 0 BFADHN C[C@@H](CSc1nncc2ccccc21)N(C)C ZINC000514937824 387317844 /nfs/dbraw/zinc/31/78/44/387317844.db2.gz PKSLQHKSNGUJMI-JTQLQIEISA-N 0 3 247.367 2.672 20 0 BFADHN CO[C@H](C)CN(Cc1cccc(O)c1)C(C)C ZINC000353796112 387277474 /nfs/dbraw/zinc/27/74/74/387277474.db2.gz NKHATDGDMONVDC-GFCCVEGCSA-N 0 3 237.343 2.638 20 0 BFADHN CCC[C@]1(NCc2ccccc2F)CCOC1 ZINC000285672749 387280578 /nfs/dbraw/zinc/28/05/78/387280578.db2.gz UIDGNFKUQWQUMT-AWEZNQCLSA-N 0 3 237.318 2.875 20 0 BFADHN CO[C@@H]1CCN(C/C(C)=C\c2ccccc2)C1 ZINC000353842412 387281460 /nfs/dbraw/zinc/28/14/60/387281460.db2.gz GPQBCCFLGXMEDE-VSKPTYQZSA-N 0 3 231.339 2.811 20 0 BFADHN CO[C@H]1CCN(C/C=C\c2ccc(F)cc2)C1 ZINC000353841639 387281718 /nfs/dbraw/zinc/28/17/18/387281718.db2.gz FFJITEQPIOHBOH-SFAKSCPVSA-N 0 3 235.302 2.560 20 0 BFADHN CO[C@@H]1CCN(C/C=C/c2ccc(F)cc2)C1 ZINC000353841637 387282886 /nfs/dbraw/zinc/28/28/86/387282886.db2.gz FFJITEQPIOHBOH-BAABZTOOSA-N 0 3 235.302 2.560 20 0 BFADHN CCN(Cc1c(C)nn(CC)c1C)C1CCC1 ZINC000353829882 387283014 /nfs/dbraw/zinc/28/30/14/387283014.db2.gz UAGXTBSLVDTAFE-UHFFFAOYSA-N 0 3 235.375 2.894 20 0 BFADHN CC[C@]1(C)CCN([C@H](C)c2cnccn2)C1 ZINC000336458261 387283136 /nfs/dbraw/zinc/28/31/36/387283136.db2.gz XDZHMSJXGHDLEO-DGCLKSJQSA-N 0 3 219.332 2.660 20 0 BFADHN COCc1ccc(CN2C[C@@H]3C[C@H]2CS3)cc1 ZINC000459753252 387284078 /nfs/dbraw/zinc/28/40/78/387284078.db2.gz LUPGTZYZONCKFI-KBPBESRZSA-N 0 3 249.379 2.523 20 0 BFADHN CCC[C@H](NC[C@@H](CO)C1CC1)c1ccccn1 ZINC000353847497 387285719 /nfs/dbraw/zinc/28/57/19/387285719.db2.gz DTVPVJGYMNROQI-KBPBESRZSA-N 0 3 248.370 2.531 20 0 BFADHN Cn1ccnc1[C@H](NC1CC(C)(C)C1)C1CC1 ZINC000353864443 387286890 /nfs/dbraw/zinc/28/68/90/387286890.db2.gz JDAPUTLIOSCIRC-GFCCVEGCSA-N 0 3 233.359 2.649 20 0 BFADHN CSCCN(C)C/C=C/c1ccccc1 ZINC000353865721 387287165 /nfs/dbraw/zinc/28/71/65/387287165.db2.gz LVFPJZYSUPJMIP-RMKNXTFCSA-N 0 3 221.369 2.995 20 0 BFADHN CCc1cccc(F)c1CNC[C@H]1CCCO1 ZINC000353914222 387297541 /nfs/dbraw/zinc/29/75/41/387297541.db2.gz LDRUNIZNEYXFBV-GFCCVEGCSA-N 0 3 237.318 2.657 20 0 BFADHN CCc1cccc(F)c1CNC[C@@](C)(O)CC ZINC000353932967 387300928 /nfs/dbraw/zinc/30/09/28/387300928.db2.gz UHYHZQVEVIKOCT-AWEZNQCLSA-N 0 3 239.334 2.639 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1conc1C ZINC000336471610 387301316 /nfs/dbraw/zinc/30/13/16/387301316.db2.gz VRIQJWHBSIIHHM-SKDRFNHKSA-N 0 3 208.305 2.603 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1ccc2n[nH]cc2c1 ZINC000353974820 387304099 /nfs/dbraw/zinc/30/40/99/387304099.db2.gz SORSUUDLBABUKU-GHMZBOCLSA-N 0 3 247.342 2.639 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000353974822 387305362 /nfs/dbraw/zinc/30/53/62/387305362.db2.gz SORSUUDLBABUKU-QWRGUYRKSA-N 0 3 247.342 2.639 20 0 BFADHN Cc1csc(CN[C@H](C)[C@@H]2C[C@H]2C)n1 ZINC000321875003 387308813 /nfs/dbraw/zinc/30/88/13/387308813.db2.gz IBLSZVMIHGVJRN-SZEHBUNVSA-N 0 3 210.346 2.586 20 0 BFADHN COCC[C@H]1CCCCN(C/C=C/Cl)C1 ZINC000373359110 387360044 /nfs/dbraw/zinc/36/00/44/387360044.db2.gz BWTNJWOKWLNQAO-RPTYSRGTSA-N 0 3 231.767 2.878 20 0 BFADHN C[C@H](Cc1ccccc1)CN1CCO[C@H](C)[C@@H]1C ZINC000360869917 387360643 /nfs/dbraw/zinc/36/06/43/387360643.db2.gz FAVRITSSTBYSJS-QLFBSQMISA-N 0 3 247.382 2.974 20 0 BFADHN C[C@H](Cc1ccccc1)CN1C[C@H]2CC[C@@H](C1)O2 ZINC000360898464 387362063 /nfs/dbraw/zinc/36/20/63/387362063.db2.gz VAZICVGQSIQAGV-BMFZPTHFSA-N 0 3 245.366 2.728 20 0 BFADHN CCOCCN1C[C@H](C)C[C@H]1c1cccnc1 ZINC000373412705 387363289 /nfs/dbraw/zinc/36/32/89/387363289.db2.gz MUYMRBUXXVKPPE-OCCSQVGLSA-N 0 3 234.343 2.501 20 0 BFADHN CCOCCN1C[C@@H](C)C[C@@H]1c1cccnc1 ZINC000373412703 387363868 /nfs/dbraw/zinc/36/38/68/387363868.db2.gz MUYMRBUXXVKPPE-GXTWGEPZSA-N 0 3 234.343 2.501 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H]1CCCOc2ccccc21 ZINC000360946076 387371043 /nfs/dbraw/zinc/37/10/43/387371043.db2.gz HINFDYXWAULEGH-BZPMIXESSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C)[C@@H](C)C2)cn1 ZINC000360964005 387374333 /nfs/dbraw/zinc/37/43/33/387374333.db2.gz KDIYQIFDPPYNIJ-NEPJUHHUSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)[C@@H](C)C2)cn1 ZINC000360964006 387374453 /nfs/dbraw/zinc/37/44/53/387374453.db2.gz KDIYQIFDPPYNIJ-RYUDHWBXSA-N 0 3 218.344 2.868 20 0 BFADHN Cc1ccsc1CN1C[C@@H](C)N(C)[C@H](C)C1 ZINC000354446625 387376287 /nfs/dbraw/zinc/37/62/87/387376287.db2.gz NDGSEGXKQYTTJR-VXGBXAGGSA-N 0 3 238.400 2.581 20 0 BFADHN C[C@H](NC[C@H]1CCCSC1)c1nccs1 ZINC000287119345 387377371 /nfs/dbraw/zinc/37/73/71/387377371.db2.gz RBEROSQPFVKARO-VHSXEESVSA-N 0 3 242.413 2.937 20 0 BFADHN COC(C)(C)CN[C@H]1CCCc2c(O)cccc21 ZINC000360994269 387382013 /nfs/dbraw/zinc/38/20/13/387382013.db2.gz DMBNEQSWNARKEO-ZDUSSCGKSA-N 0 3 249.354 2.784 20 0 BFADHN COC[C@H]1CCN1Cc1ccc2c(c1)CCCC2 ZINC000354056504 387321721 /nfs/dbraw/zinc/32/17/21/387321721.db2.gz IWPQLNAZVWIQDM-MRXNPFEDSA-N 0 3 245.366 2.786 20 0 BFADHN CCCc1cccc(CN2CC[C@@H]2COC)c1 ZINC000354056801 387321824 /nfs/dbraw/zinc/32/18/24/387321824.db2.gz NCJWORLLJLFBGA-OAHLLOKOSA-N 0 3 233.355 2.860 20 0 BFADHN COC[C@@H]1CCN1Cc1cc(C)c(OC)c(C)c1 ZINC000354057635 387323256 /nfs/dbraw/zinc/32/32/56/387323256.db2.gz XQFRTOVGEFESDT-AWEZNQCLSA-N 0 3 249.354 2.533 20 0 BFADHN CCc1cccc(F)c1CNCC[C@H](C)OC ZINC000354124292 387333475 /nfs/dbraw/zinc/33/34/75/387333475.db2.gz JENHQSFYFGJKEY-NSHDSACASA-N 0 3 239.334 2.903 20 0 BFADHN CCC[C@@H](NCc1cnccn1)C(C)(C)C ZINC000515042508 387334737 /nfs/dbraw/zinc/33/47/37/387334737.db2.gz JFEUKMMOLJDKOP-GFCCVEGCSA-N 0 3 221.348 2.781 20 0 BFADHN COC[C@@H](NCC1(C)CCC1)c1ccco1 ZINC000292963070 387336240 /nfs/dbraw/zinc/33/62/40/387336240.db2.gz PHUTWBKCTFOCOF-LLVKDONJSA-N 0 3 223.316 2.747 20 0 BFADHN CC[C@H](CN[C@H](C)c1sccc1OC)OC ZINC000290074114 387337201 /nfs/dbraw/zinc/33/72/01/387337201.db2.gz GHHYMDKJJVAPPM-NXEZZACHSA-N 0 3 243.372 2.832 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1cccc2cccnc21 ZINC000515121531 387337936 /nfs/dbraw/zinc/33/79/36/387337936.db2.gz LJNSWFXNXFIZAZ-ZIAGYGMSSA-N 0 3 242.322 2.502 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@H](C)CCO)CCCO2 ZINC000121330477 387343150 /nfs/dbraw/zinc/34/31/50/387343150.db2.gz WEZYMTPXMMVTEK-TZMCWYRMSA-N 0 3 249.354 2.569 20 0 BFADHN CO[C@H](C)CNCc1cc2c(ccc(C)c2C)[nH]1 ZINC000442992400 387344103 /nfs/dbraw/zinc/34/41/03/387344103.db2.gz NFSLLLQVZKRYTM-LLVKDONJSA-N 0 3 246.354 2.909 20 0 BFADHN CCN(C/C=C/c1ccc(F)cc1)[C@@H]1CCOC1 ZINC000361250879 387424974 /nfs/dbraw/zinc/42/49/74/387424974.db2.gz LZCMOKGHSWWVEL-NHZBNJEXSA-N 0 3 249.329 2.950 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1ccn(CC)n1 ZINC000515801162 387428574 /nfs/dbraw/zinc/42/85/74/387428574.db2.gz SOHGMKJNWYXMNO-WCQYABFASA-N 0 3 221.348 2.571 20 0 BFADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1CCCO ZINC000354836501 387436290 /nfs/dbraw/zinc/43/62/90/387436290.db2.gz QAZSJBBQZSDFPQ-MNOVXSKESA-N 0 3 237.318 2.516 20 0 BFADHN C[C@@H]1[C@@H](NCc2ncc[nH]2)C[C@@H]1c1ccccc1 ZINC000361305240 387436312 /nfs/dbraw/zinc/43/63/12/387436312.db2.gz WWANGJGOZIDQCP-UBHSHLNASA-N 0 3 241.338 2.692 20 0 BFADHN C[C@@H]1[C@H](NCc2ncc[nH]2)C[C@H]1c1ccccc1 ZINC000361305239 387437324 /nfs/dbraw/zinc/43/73/24/387437324.db2.gz WWANGJGOZIDQCP-IACUBPJLSA-N 0 3 241.338 2.692 20 0 BFADHN C[C@H](O)CCNCc1ccc(-c2ccccc2)o1 ZINC000304118897 387438070 /nfs/dbraw/zinc/43/80/70/387438070.db2.gz JVGWGIKRPWLRFN-LBPRGKRZSA-N 0 3 245.322 2.807 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@H](C)c1c(C)cccc1C ZINC000423867614 387439977 /nfs/dbraw/zinc/43/99/77/387439977.db2.gz AOQYSTHRUWSJJZ-CHWSQXEVSA-N 0 3 248.370 2.608 20 0 BFADHN CCC[C@H](N)C(=O)N[C@H](C)c1c(C)cccc1C ZINC000423867639 387440160 /nfs/dbraw/zinc/44/01/60/387440160.db2.gz AOQYSTHRUWSJJZ-OLZOCXBDSA-N 0 3 248.370 2.608 20 0 BFADHN Cc1noc(C)c1CCN1CCC(C)(C)CC1 ZINC000336601047 387444666 /nfs/dbraw/zinc/44/46/66/387444666.db2.gz ZEUCQOZAWLLCFN-UHFFFAOYSA-N 0 3 236.359 2.956 20 0 BFADHN CCC[C@](C)(N)C(=O)N1CCCCC(C)(C)C1 ZINC000423892669 387445741 /nfs/dbraw/zinc/44/57/41/387445741.db2.gz YYNKCCWOCVAKPC-AWEZNQCLSA-N 0 3 240.391 2.543 20 0 BFADHN c1csc(CN2CCC3(CCO3)CC2)c1 ZINC000374361401 387445877 /nfs/dbraw/zinc/44/58/77/387445877.db2.gz BACCDDLAJRLHTH-UHFFFAOYSA-N 0 3 223.341 2.503 20 0 BFADHN CCn1nc(C)c(CNC2CC3(CCC3)C2)c1C ZINC000515981654 387446105 /nfs/dbraw/zinc/44/61/05/387446105.db2.gz SIQWQTHTPFNDOK-UHFFFAOYSA-N 0 3 247.386 2.942 20 0 BFADHN CC(C)[C@H](N)C(=O)NCC[C@@H](C)CC(C)(C)C ZINC000423931601 387452697 /nfs/dbraw/zinc/45/26/97/387452697.db2.gz YYSJAIFMMJNJLO-NEPJUHHUSA-N 0 3 242.407 2.548 20 0 BFADHN C[C@H](N[C@@H](C)C1CCCC1)c1ccncn1 ZINC000395802629 387453244 /nfs/dbraw/zinc/45/32/44/387453244.db2.gz ARZQOICJLSMUKC-QWRGUYRKSA-N 0 3 219.332 2.706 20 0 BFADHN CC(C)[C@H](N)C(=O)NCC[C@H](C)CC(C)(C)C ZINC000423931603 387453387 /nfs/dbraw/zinc/45/33/87/387453387.db2.gz YYSJAIFMMJNJLO-RYUDHWBXSA-N 0 3 242.407 2.548 20 0 BFADHN Cc1cnc(CN2CCC3(C2)CCCCC3)cn1 ZINC000336612522 387454407 /nfs/dbraw/zinc/45/44/07/387454407.db2.gz ROJFQTGIEPAGGW-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN CCc1ccc(CN2[C@H](C)C[C@@H]2C)cn1 ZINC000336618522 387459612 /nfs/dbraw/zinc/45/96/12/387459612.db2.gz FSQPNMIUZDIREJ-PHIMTYICSA-N 0 3 204.317 2.627 20 0 BFADHN Cc1c(CN2CCC(C(C)C)CC2)cnn1C ZINC000336561661 387388805 /nfs/dbraw/zinc/38/88/05/387388805.db2.gz PCYSOFYECFRLJC-UHFFFAOYSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H](C)CC[C@@H]2C)ncn1 ZINC000648489819 387389286 /nfs/dbraw/zinc/38/92/86/387389286.db2.gz UGPPBFJSMLEHPT-UHIISALHSA-N 0 3 233.359 2.699 20 0 BFADHN c1ncc(CN2CC[C@@H](CC3CC3)C2)s1 ZINC000354523008 387389576 /nfs/dbraw/zinc/38/95/76/387389576.db2.gz BJFSTTJDWJORHX-NSHDSACASA-N 0 3 222.357 2.765 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000515500537 387390757 /nfs/dbraw/zinc/39/07/57/387390757.db2.gz LTAAOFOSDFQEPZ-WDNDVIMCSA-N 0 3 233.359 2.661 20 0 BFADHN C[C@@H](NCCOCC1CCC1)c1ccccn1 ZINC000361028017 387391967 /nfs/dbraw/zinc/39/19/67/387391967.db2.gz BBJGUOIBAZBXSQ-GFCCVEGCSA-N 0 3 234.343 2.549 20 0 BFADHN Cc1ccoc1CN(C(C)C)[C@H]1CCOC1 ZINC000361052642 387393783 /nfs/dbraw/zinc/39/37/83/387393783.db2.gz OSDZGASQQMMZQH-LBPRGKRZSA-N 0 3 223.316 2.587 20 0 BFADHN Cc1ccncc1CN(C)CC[C@@H]1CCCCO1 ZINC000361443737 387462449 /nfs/dbraw/zinc/46/24/49/387462449.db2.gz CHPOARMSPDICFF-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN OCC[C@H]1CCCN1Cc1cccc(Cl)c1 ZINC000303742174 387394557 /nfs/dbraw/zinc/39/45/57/387394557.db2.gz OMCVYWHQSBYLDD-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN Cc1c[nH]nc1CN(C)[C@H]1CCC[C@H](C)C1 ZINC000336578539 387400767 /nfs/dbraw/zinc/40/07/67/387400767.db2.gz PGWXXMBKQXLQBG-JQWIXIFHSA-N 0 3 221.348 2.729 20 0 BFADHN CC[C@H](NCc1nnc(C2CC2)[nH]1)C1CCCC1 ZINC000515593944 387402645 /nfs/dbraw/zinc/40/26/45/387402645.db2.gz KBLTUBSWNJAWDM-LBPRGKRZSA-N 0 3 248.374 2.741 20 0 BFADHN CCOc1cccc(CN2CCC[C@@H]2CC)n1 ZINC000352649165 387406157 /nfs/dbraw/zinc/40/61/57/387406157.db2.gz IPKLBPILANLZLQ-ZDUSSCGKSA-N 0 3 234.343 2.855 20 0 BFADHN CC(C)n1nccc1CNC[C@H](C)C(F)(F)F ZINC000354632916 387407243 /nfs/dbraw/zinc/40/72/43/387407243.db2.gz NGLYIOVOXDRADT-VIFPVBQESA-N 0 3 249.280 2.752 20 0 BFADHN Cc1ccn2cc(CN[C@H](C)C3(C)CC3)nc2c1 ZINC000361155546 387407350 /nfs/dbraw/zinc/40/73/50/387407350.db2.gz UOHFIENKSGUWRR-GFCCVEGCSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@H](C)[C@@H]2C)s1 ZINC000361156815 387408619 /nfs/dbraw/zinc/40/86/19/387408619.db2.gz UFCBNIYZPQFQON-NEPJUHHUSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1ccsc1CN1C[C@@H](C)N(C)C[C@@H]1C ZINC000361156940 387408785 /nfs/dbraw/zinc/40/87/85/387408785.db2.gz ZTUHIAMJHDFHDC-NEPJUHHUSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@@H](C)[C@@H]2C)cc1C ZINC000361164689 387409380 /nfs/dbraw/zinc/40/93/80/387409380.db2.gz GVPRPDGMQQLDFS-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN CC(C)[C@@H]1C[C@H](N[C@@H]2CCC[C@H]2F)CS1 ZINC000354652767 387412263 /nfs/dbraw/zinc/41/22/63/387412263.db2.gz KBKCCZLAHYSBJM-QCNOEVLYSA-N 0 3 231.380 2.997 20 0 BFADHN Cc1noc(C)c1CN1CC[C@H](c2ccco2)C1 ZINC000374012570 387413050 /nfs/dbraw/zinc/41/30/50/387413050.db2.gz SJESFNJLNKKXAU-LBPRGKRZSA-N 0 3 246.310 2.874 20 0 BFADHN CCCc1ncc(CNCC2(C)CC2)o1 ZINC000515769980 387421485 /nfs/dbraw/zinc/42/14/85/387421485.db2.gz RAIVFAMPTSVAPG-UHFFFAOYSA-N 0 3 208.305 2.517 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CCC[C@H]2C2CC2)[n-]1 ZINC000515769893 387421905 /nfs/dbraw/zinc/42/19/05/387421905.db2.gz IVPMAYIFAKKTIX-NWDGAFQWSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCC[C@H]2C2CC2)[nH]1 ZINC000515769893 387421907 /nfs/dbraw/zinc/42/19/07/387421907.db2.gz IVPMAYIFAKKTIX-NWDGAFQWSA-N 0 3 248.374 2.596 20 0 BFADHN CC[C@H](NC(=O)CNC(C)C)c1ccc(C)cc1 ZINC000037389751 387422948 /nfs/dbraw/zinc/42/29/48/387422948.db2.gz JSTSXIWWYDGISA-AWEZNQCLSA-N 0 3 248.370 2.560 20 0 BFADHN Fc1cccc(CCCN2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000374920511 387499918 /nfs/dbraw/zinc/49/99/18/387499918.db2.gz WCEMXAPWHXEDNY-GASCZTMLSA-N 0 3 249.329 2.622 20 0 BFADHN C[C@H](NCC1(C)CCCC1)c1ccncn1 ZINC000395897416 387500887 /nfs/dbraw/zinc/50/08/87/387500887.db2.gz MZSWALVYYNDCRM-NSHDSACASA-N 0 3 219.332 2.708 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000304660538 387500935 /nfs/dbraw/zinc/50/09/35/387500935.db2.gz ZQLUNXHTNBLHSI-NTZNESFSSA-N 0 3 220.316 2.570 20 0 BFADHN COc1cccc([C@@H](C)N[C@@H]2COC(C)(C)C2)c1 ZINC000396846984 387502932 /nfs/dbraw/zinc/50/29/32/387502932.db2.gz CNHNZQXZTQSNCB-YPMHNXCESA-N 0 3 249.354 2.913 20 0 BFADHN Cc1cccc(OCCN[C@@H]2COC(C)(C)C2)c1 ZINC000396879195 387506963 /nfs/dbraw/zinc/50/69/63/387506963.db2.gz JYKXFUAYSIBZFA-ZDUSSCGKSA-N 0 3 249.354 2.531 20 0 BFADHN c1ccc2c(c1)OC[C@@H](CN1CCCCCC1)O2 ZINC000001455900 387507070 /nfs/dbraw/zinc/50/70/70/387507070.db2.gz CFWIHUFVMSAPNI-CYBMUJFWSA-N 0 3 247.338 2.702 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@@H]1CC[C@@H](C)O1 ZINC000355276409 387509360 /nfs/dbraw/zinc/50/93/60/387509360.db2.gz LRKVEDUKFPPUCA-AGIUHOORSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1cc(C)nc(N[C@H]2CCOC[C@H]2C)c1 ZINC000192646220 387512619 /nfs/dbraw/zinc/51/26/19/387512619.db2.gz MHBYLPHEZLVGPA-PWSUYJOCSA-N 0 3 220.316 2.535 20 0 BFADHN CCC(C)(C)NC(=O)Nc1ccccc1CNC ZINC000395949787 387514758 /nfs/dbraw/zinc/51/47/58/387514758.db2.gz YHAFQMNFDMECHA-UHFFFAOYSA-N 0 3 249.358 2.716 20 0 BFADHN COCCN(C[C@@H]1CC=CCC1)CC1(F)CC1 ZINC000527243465 387543793 /nfs/dbraw/zinc/54/37/93/387543793.db2.gz GCAFSUJVCWKCQO-CYBMUJFWSA-N 0 3 241.350 2.793 20 0 BFADHN C[C@@H]1CCN(Cc2ccc3c(n2)CCC3)[C@H]1C ZINC000516547740 387520325 /nfs/dbraw/zinc/52/03/25/387520325.db2.gz RXFBCPFAYVBBCA-NEPJUHHUSA-N 0 3 230.355 2.801 20 0 BFADHN FC1(CNC[C@@H]2CCCC2(F)F)CC1 ZINC000516538555 387520430 /nfs/dbraw/zinc/52/04/30/387520430.db2.gz SHEHJCMGQQBSOU-QMMMGPOBSA-N 0 3 207.239 2.514 20 0 BFADHN C[C@@H](NCCCc1cccs1)c1cnccn1 ZINC000536838041 387524915 /nfs/dbraw/zinc/52/49/15/387524915.db2.gz GQOLMNCBCJUXHB-LLVKDONJSA-N 0 3 247.367 2.822 20 0 BFADHN CC(C)c1cccc2c1OCCC[C@H]2NCCO ZINC000305103741 387526178 /nfs/dbraw/zinc/52/61/78/387526178.db2.gz CVKBQTDQMVZBKL-CQSZACIVSA-N 0 3 249.354 2.606 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1NCc1ccc(C)nc1C ZINC000361745394 387529379 /nfs/dbraw/zinc/52/93/79/387529379.db2.gz IKPVYIPUFZRHLX-LSDHHAIUSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCCCC[C@@H]2C)n1 ZINC000211452690 387531215 /nfs/dbraw/zinc/53/12/15/387531215.db2.gz DXKFAMXZWXNUBK-LBPRGKRZSA-N 0 3 234.343 2.860 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCCCC[C@@H]2C)n1 ZINC000211452690 387531218 /nfs/dbraw/zinc/53/12/18/387531218.db2.gz DXKFAMXZWXNUBK-LBPRGKRZSA-N 0 3 234.343 2.860 20 0 BFADHN C[C@@H](N[C@@H]1CCC(C)(C)C1)c1cnccn1 ZINC000122452000 387533166 /nfs/dbraw/zinc/53/31/66/387533166.db2.gz UBDWGYRMCACTHY-GHMZBOCLSA-N 0 3 219.332 2.706 20 0 BFADHN FC1(CN2CCS[C@@H]3CCCC[C@H]32)CC1 ZINC000527219496 387539521 /nfs/dbraw/zinc/53/95/21/387539521.db2.gz NRHLRKFUTNUCFJ-GHMZBOCLSA-N 0 3 229.364 2.849 20 0 BFADHN C[C@H]1Cc2ccccc2CN1CC1(F)CC1 ZINC000527219331 387540013 /nfs/dbraw/zinc/54/00/13/387540013.db2.gz KOFUUUJPPRHLNE-NSHDSACASA-N 0 3 219.303 2.935 20 0 BFADHN Cc1ccc(OCCCNCC2(F)CC2)cc1 ZINC000527229894 387541815 /nfs/dbraw/zinc/54/18/15/387541815.db2.gz ODGRFSSGLMZZJS-UHFFFAOYSA-N 0 3 237.318 2.856 20 0 BFADHN C[C@@H]1C[C@H](CN(C)Cc2ccco2)CCO1 ZINC000352743503 387469254 /nfs/dbraw/zinc/46/92/54/387469254.db2.gz IJBDPRJTIWGSBW-VXGBXAGGSA-N 0 3 223.316 2.527 20 0 BFADHN CCN(CCC1CC1)CC(=O)Nc1ccccc1 ZINC000355046667 387470600 /nfs/dbraw/zinc/47/06/00/387470600.db2.gz XGXSBFJLMBAKCF-UHFFFAOYSA-N 0 3 246.354 2.747 20 0 BFADHN CCN(CCC1CC1)Cc1ccnc(OC)c1 ZINC000355068742 387474951 /nfs/dbraw/zinc/47/49/51/387474951.db2.gz YTISZIVTEJGCEU-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCOc1cccc(CN2CC[C@H](CC)C2)n1 ZINC000355085328 387476616 /nfs/dbraw/zinc/47/66/16/387476616.db2.gz YCYUBQHQJVKPHK-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CSC2(C)C)c1 ZINC000287623486 387478449 /nfs/dbraw/zinc/47/84/49/387478449.db2.gz TUTZQGDUOZQEOK-JQWIXIFHSA-N 0 3 236.384 2.935 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H]2CSC2(C)C)c1 ZINC000287623491 387478736 /nfs/dbraw/zinc/47/87/36/387478736.db2.gz TUTZQGDUOZQEOK-ZYHUDNBSSA-N 0 3 236.384 2.935 20 0 BFADHN C[C@H](N[C@H]1/C=C/CCCCC1)c1cn[nH]c1 ZINC000336629005 387481326 /nfs/dbraw/zinc/48/13/26/387481326.db2.gz CEVPDGDKHXSPLB-FXOGGVSJSA-N 0 3 219.332 2.949 20 0 BFADHN COC(=O)CN(CCCCCF)C1CCCC1 ZINC000304453228 387483168 /nfs/dbraw/zinc/48/31/68/387483168.db2.gz YCNXPDOYGPSRRK-UHFFFAOYSA-N 0 3 245.338 2.544 20 0 BFADHN C(CC1CCCC1)CN1C[C@H]2CC[C@@H](C1)O2 ZINC000361542143 387483843 /nfs/dbraw/zinc/48/38/43/387483843.db2.gz WRURYFJJCSKWNW-OKILXGFUSA-N 0 3 223.360 2.820 20 0 BFADHN CC(C)n1nccc1CNCC1(C(F)F)CC1 ZINC000355172168 387491782 /nfs/dbraw/zinc/49/17/82/387491782.db2.gz XMYXRVCWIVXOJP-UHFFFAOYSA-N 0 3 243.301 2.599 20 0 BFADHN Cc1cnn(C)c1CN[C@H]1C[C@@H]1C1CCCCC1 ZINC000375756842 387580226 /nfs/dbraw/zinc/58/02/26/387580226.db2.gz JVBIKZBAVSHABM-KGLIPLIRSA-N 0 3 247.386 2.787 20 0 BFADHN COC[C@@H](C)NCc1cccc(OC(C)C)c1 ZINC000040639981 387581898 /nfs/dbraw/zinc/58/18/98/387581898.db2.gz PKNGMDFLTTXTDR-GFCCVEGCSA-N 0 3 237.343 2.598 20 0 BFADHN CC(C)C1([NH2+]Cc2cc([O-])cc(F)c2)CC1 ZINC000292972736 387586018 /nfs/dbraw/zinc/58/60/18/387586018.db2.gz KJPZGFLFDLFSLT-UHFFFAOYSA-N 0 3 223.291 2.810 20 0 BFADHN CSC[C@@H]1CCCN1CCC(F)(F)F ZINC000355876412 387587346 /nfs/dbraw/zinc/58/73/46/387587346.db2.gz BABRDEZAXPABDX-QMMMGPOBSA-N 0 3 227.295 2.766 20 0 BFADHN CN(CCCC(=O)OC(C)(C)C)CC1(F)CC1 ZINC000527511432 387590029 /nfs/dbraw/zinc/59/00/29/387590029.db2.gz NMJVIDVXTPNFQU-UHFFFAOYSA-N 0 3 245.338 2.542 20 0 BFADHN CC(C)[C@@H]1CN(CC2(F)CC2)CCS1 ZINC000527511213 387590226 /nfs/dbraw/zinc/59/02/26/387590226.db2.gz XMUMSUYKXCTOAM-JTQLQIEISA-N 0 3 217.353 2.562 20 0 BFADHN CCSCC[C@H](C)N(C)CC1(F)CC1 ZINC000527511149 387590801 /nfs/dbraw/zinc/59/08/01/387590801.db2.gz JCMNBHWWFXKUNH-JTQLQIEISA-N 0 3 219.369 2.952 20 0 BFADHN CO[C@@H](C)CN(CCC(F)(F)F)C(C)C ZINC000355891753 387591589 /nfs/dbraw/zinc/59/15/89/387591589.db2.gz OFDIFCBXAFBZIZ-VIFPVBQESA-N 0 3 227.270 2.684 20 0 BFADHN CO[C@@H](CN1CCC(F)(F)C[C@H]1C)C1CC1 ZINC000528765146 387596797 /nfs/dbraw/zinc/59/67/97/387596797.db2.gz APDXWSDUCHODCS-KOLCDFICSA-N 0 3 233.302 2.531 20 0 BFADHN CO[C@H](CN1CCC(F)(F)C[C@H]1C)C1CC1 ZINC000528765149 387596940 /nfs/dbraw/zinc/59/69/40/387596940.db2.gz APDXWSDUCHODCS-MWLCHTKSSA-N 0 3 233.302 2.531 20 0 BFADHN COC[C@@H](C)N1CCC(C)=C(c2ccco2)C1 ZINC000367220879 387606886 /nfs/dbraw/zinc/60/68/86/387606886.db2.gz ZGWZJORYMGNRGU-GFCCVEGCSA-N 0 3 235.327 2.794 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1ccnc(C)c1 ZINC000527540774 387611472 /nfs/dbraw/zinc/61/14/72/387611472.db2.gz XCBUDJLEFNOHMB-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN CSC1(CN2CC[C@@](C)(F)C2)CCC1 ZINC000356109536 387612095 /nfs/dbraw/zinc/61/20/95/387612095.db2.gz ACCWLUQNTLXBMB-SNVBAGLBSA-N 0 3 217.353 2.706 20 0 BFADHN Cc1cn[nH]c1CN1CC[C@@H]1Cc1ccccc1 ZINC000356127534 387618007 /nfs/dbraw/zinc/61/80/07/387618007.db2.gz OPAUYJNCIAWQBT-CQSZACIVSA-N 0 3 241.338 2.535 20 0 BFADHN Cc1c[nH]nc1CN1C[C@@H](C)CCC[C@H]1C ZINC000356124189 387619525 /nfs/dbraw/zinc/61/95/25/387619525.db2.gz PBXDOVGAPLWGMJ-CMPLNLGQSA-N 0 3 221.348 2.729 20 0 BFADHN CCCCN(C)C(=O)[C@H](C)N1CCCCCC1 ZINC000114232350 387620307 /nfs/dbraw/zinc/62/03/07/387620307.db2.gz ICJRATDLPFFWBL-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1ccsc1CN[C@@H](C)c1cnccc1N ZINC000422706216 387621837 /nfs/dbraw/zinc/62/18/37/387621837.db2.gz TVWQKJZOJBZUEU-JTQLQIEISA-N 0 3 247.367 2.715 20 0 BFADHN Cc1noc(C)c1CN1CC[C@@H](C)[C@H](C)C1 ZINC000122961972 387622054 /nfs/dbraw/zinc/62/20/54/387622054.db2.gz KDUAHWUNNSCQKK-NXEZZACHSA-N 0 3 222.332 2.769 20 0 BFADHN CCC[C@H](C)CN(C)[C@@H](C)C(=O)NC(C)(C)C ZINC000362527988 387625429 /nfs/dbraw/zinc/62/54/29/387625429.db2.gz IAHFSWSQVZPOIY-RYUDHWBXSA-N 0 3 242.407 2.658 20 0 BFADHN C(=C/c1ccccc1)\CN1CCC2(CCO2)CC1 ZINC000376400733 387626738 /nfs/dbraw/zinc/62/67/38/387626738.db2.gz WGFDKEXKYGGWGB-QPJJXVBHSA-N 0 3 243.350 2.955 20 0 BFADHN CCN(CCC1CC1)Cc1ccnn1CC ZINC000355516058 387545734 /nfs/dbraw/zinc/54/57/34/387545734.db2.gz SLGUOUDEFJDFPB-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN COCc1ccc(CN2[C@@H](C)C[C@@H]2C)cc1 ZINC000527238793 387547150 /nfs/dbraw/zinc/54/71/50/387547150.db2.gz WHZGDTCMAPROKF-RYUDHWBXSA-N 0 3 219.328 2.816 20 0 BFADHN C[C@H](NCCO)c1ccc(-c2ccccc2)o1 ZINC000305082457 387548900 /nfs/dbraw/zinc/54/89/00/387548900.db2.gz RIUKJAUZQRDFKG-NSHDSACASA-N 0 3 231.295 2.590 20 0 BFADHN CC[C@H](N[C@@H](CO)C(C)C)c1ccccc1F ZINC000122557762 387549441 /nfs/dbraw/zinc/54/94/41/387549441.db2.gz IJHDQHQHWBGJEN-KBPBESRZSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1cnc(CN[C@H](C)[C@@H]2C[C@H]2C)s1 ZINC000321924567 387549444 /nfs/dbraw/zinc/54/94/44/387549444.db2.gz RNSXLAWYYDHVIU-SZEHBUNVSA-N 0 3 210.346 2.586 20 0 BFADHN Cc1ccc(F)c(CN[C@@H]2CCOC[C@@H]2C)c1 ZINC000278039592 387551259 /nfs/dbraw/zinc/55/12/59/387551259.db2.gz XJJRLKGLWKHHCA-SMDDNHRTSA-N 0 3 237.318 2.649 20 0 BFADHN CC(C)(C)[C@@H](O)CNCc1sccc1Cl ZINC000278295405 387551300 /nfs/dbraw/zinc/55/13/00/387551300.db2.gz BSYOYNOXYCQAEC-JTQLQIEISA-N 0 3 247.791 2.898 20 0 BFADHN CCC[C@@H](N[C@@H](C)C(=O)NCC)c1ccccc1 ZINC000035139297 387551674 /nfs/dbraw/zinc/55/16/74/387551674.db2.gz UBGLQQFMIUMSLY-GXTWGEPZSA-N 0 3 248.370 2.642 20 0 BFADHN C[C@@H](Cc1ccoc1)N[C@H]1COc2ccccc21 ZINC000361924326 387552173 /nfs/dbraw/zinc/55/21/73/387552173.db2.gz MPLDMNDRZFLTNU-FZMZJTMJSA-N 0 3 243.306 2.934 20 0 BFADHN C[C@H](NCCc1ccco1)c1ccccn1 ZINC000035661717 387552640 /nfs/dbraw/zinc/55/26/40/387552640.db2.gz PWXNHAVDPQBGCK-NSHDSACASA-N 0 3 216.284 2.568 20 0 BFADHN C[C@@H](NCCc1ccco1)c1ccncc1 ZINC000035661716 387553671 /nfs/dbraw/zinc/55/36/71/387553671.db2.gz MEOPAKAQTCAHJB-LLVKDONJSA-N 0 3 216.284 2.568 20 0 BFADHN C[C@@H](Cc1ccncc1)N[C@@H](C)c1cncs1 ZINC000361970018 387555456 /nfs/dbraw/zinc/55/54/56/387555456.db2.gz FFOTYCXKJFPIJT-QWRGUYRKSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccncc1)c1cncs1 ZINC000361970019 387556051 /nfs/dbraw/zinc/55/60/51/387556051.db2.gz FFOTYCXKJFPIJT-WDEREUQCSA-N 0 3 247.367 2.820 20 0 BFADHN Cn1nccc1CN1CCC[C@H](C(C)(C)C)C1 ZINC000355649640 387561372 /nfs/dbraw/zinc/56/13/72/387561372.db2.gz LZMMUGMHJAHDGS-LBPRGKRZSA-N 0 3 235.375 2.678 20 0 BFADHN Cn1ccc(CN2CCC[C@H](C(C)(C)C)C2)n1 ZINC000355650352 387561621 /nfs/dbraw/zinc/56/16/21/387561621.db2.gz NGJHGTQPZIGQLZ-LBPRGKRZSA-N 0 3 235.375 2.678 20 0 BFADHN CC(C)C[C@@H](C)NC(=O)C[C@@H](N)c1ccccc1 ZINC000037265160 387563535 /nfs/dbraw/zinc/56/35/35/387563535.db2.gz CAZXEJRZHRKTGD-TZMCWYRMSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@H](CC1CCCC1)N(C)[C@H](C)C[S@@](C)=O ZINC000362070837 387567847 /nfs/dbraw/zinc/56/78/47/387567847.db2.gz XBPOMWVUYKQNGB-XHBSWPGZSA-N 0 3 245.432 2.654 20 0 BFADHN C[C@@H](NC[C@@H]1Cc2ccccc2O1)c1ccco1 ZINC000038009423 387570143 /nfs/dbraw/zinc/57/01/43/387570143.db2.gz NNWJIMGPIFYWHQ-YPMHNXCESA-N 0 3 243.306 2.934 20 0 BFADHN Cc1cnc(CN2C[C@H](C)CC2(C)C)o1 ZINC000266956216 387578907 /nfs/dbraw/zinc/57/89/07/387578907.db2.gz WIBLJZUOZPISPR-SECBINFHSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@H](c1ccc(F)cc1F)N(CC)CCO ZINC000305205596 387579017 /nfs/dbraw/zinc/57/90/17/387579017.db2.gz OAKOYTRMHIOYBC-CYBMUJFWSA-N 0 3 243.297 2.730 20 0 BFADHN Cc1nc(CCN2CCC(C)(C)C2)cs1 ZINC000124716490 387632092 /nfs/dbraw/zinc/63/20/92/387632092.db2.gz OTMUZFREPKZRRP-UHFFFAOYSA-N 0 3 224.373 2.726 20 0 BFADHN CC/C=C/CCN(C)[C@H](C)C(=O)NC(C)(C)C ZINC000362583791 387634802 /nfs/dbraw/zinc/63/48/02/387634802.db2.gz NRNVCGIWLXEAGT-IDVQTMNDSA-N 0 3 240.391 2.578 20 0 BFADHN CC[C@H](C)N1CCc2nc(C(C)C)ncc2C1 ZINC000527623019 387640036 /nfs/dbraw/zinc/64/00/36/387640036.db2.gz JFTLCGIYJHUZTO-NSHDSACASA-N 0 3 233.359 2.757 20 0 BFADHN CC(C)c1ccc(CN[C@H]2C[C@@](C)(O)C2)cc1 ZINC000418107848 387640684 /nfs/dbraw/zinc/64/06/84/387640684.db2.gz FFWZLBIYFDWNHG-GASCZTMLSA-N 0 3 233.355 2.813 20 0 BFADHN Cc1cncc(CN2CCC3(CC3)CC2)c1 ZINC000376494933 387641499 /nfs/dbraw/zinc/64/14/99/387641499.db2.gz JSSFTDRPJGJMRJ-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@H]1NCc1ccn(C)n1 ZINC000430702862 387643302 /nfs/dbraw/zinc/64/33/02/387643302.db2.gz CZPANPUNHJNFNK-OUCADQQQSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1ccc(SCCN(C)C)cc1C ZINC000123062480 387643866 /nfs/dbraw/zinc/64/38/66/387643866.db2.gz LEAOQDYCJKILSY-UHFFFAOYSA-N 0 3 209.358 2.957 20 0 BFADHN COC[C@H](N[C@@H](C)[C@@H]1C[C@H]1C1CC1)c1ccco1 ZINC000527670180 387654033 /nfs/dbraw/zinc/65/40/33/387654033.db2.gz NAUODRYXFXAIFE-PYJNHQTQSA-N 0 3 249.354 2.991 20 0 BFADHN CCN(CC)[C@@H](C)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC000123110511 387654406 /nfs/dbraw/zinc/65/44/06/387654406.db2.gz LERUZFMVMYFMIT-XQQFMLRXSA-N 0 3 240.391 2.506 20 0 BFADHN CSc1ccccc1CN(C)CC[C@H](C)O ZINC000305802037 387655111 /nfs/dbraw/zinc/65/51/11/387655111.db2.gz DSGLADBQUNYCLB-NSHDSACASA-N 0 3 239.384 2.611 20 0 BFADHN Cc1c[nH]nc1CN1CC(C)(C)CC(C)(C)C1 ZINC000356407505 387655700 /nfs/dbraw/zinc/65/57/00/387655700.db2.gz HFGHSAXCAYJJJX-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN C[C@@H](N[C@@H]1CCCc2c[nH]nc21)[C@@H]1C[C@H]1C1CC1 ZINC000527674232 387656560 /nfs/dbraw/zinc/65/65/60/387656560.db2.gz KBHIVHFASNCERP-IGJVIKARSA-N 0 3 245.370 2.811 20 0 BFADHN COCc1ccc(CN2CC(C)=C[C@@H](C)C2)o1 ZINC000430866555 387657038 /nfs/dbraw/zinc/65/70/38/387657038.db2.gz QTCHHKJEOCVDLF-LLVKDONJSA-N 0 3 235.327 2.824 20 0 BFADHN CC1=C[C@H](C)CN(Cc2ccc3c[nH]nc3c2)C1 ZINC000430878391 387657050 /nfs/dbraw/zinc/65/70/50/387657050.db2.gz OENYLFPPGXDTOV-NSHDSACASA-N 0 3 241.338 2.961 20 0 BFADHN CCCn1cc(CN2CC(C)=C[C@H](C)C2)cn1 ZINC000430865759 387657071 /nfs/dbraw/zinc/65/70/71/387657071.db2.gz FALJKPJCVRICMK-LBPRGKRZSA-N 0 3 233.359 2.691 20 0 BFADHN COc1cc(C)nc(CNCCC(C)C)c1 ZINC000125955244 387669033 /nfs/dbraw/zinc/66/90/33/387669033.db2.gz OGYMWRMOJNEMFL-UHFFFAOYSA-N 0 3 222.332 2.534 20 0 BFADHN Cn1cnc(CNCC[C@@H]2CCCC2(C)C)c1 ZINC000527726691 387677520 /nfs/dbraw/zinc/67/75/20/387677520.db2.gz CVALMDFCOUOLGV-LBPRGKRZSA-N 0 3 235.375 2.726 20 0 BFADHN CC[C@H](NCc1ccc(Cl)o1)[C@H]1CCCO1 ZINC000126318382 387678895 /nfs/dbraw/zinc/67/88/95/387678895.db2.gz ZMXLIEKVBKMRRJ-WDEREUQCSA-N 0 3 243.734 2.980 20 0 BFADHN CCc1nocc1CNC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000527734122 387683103 /nfs/dbraw/zinc/68/31/03/387683103.db2.gz KQRMADVLDGDYJC-UTUOFQBUSA-N 0 3 234.343 2.763 20 0 BFADHN CCSc1ccccc1[C@@H](C)N[C@@H](C)CO ZINC000123316281 387684367 /nfs/dbraw/zinc/68/43/67/387684367.db2.gz IHBUFOFYNWBRIF-WDEREUQCSA-N 0 3 239.384 2.830 20 0 BFADHN CCSc1ccccc1[C@H](C)N[C@@H](C)CO ZINC000123316478 387684779 /nfs/dbraw/zinc/68/47/79/387684779.db2.gz IHBUFOFYNWBRIF-QWRGUYRKSA-N 0 3 239.384 2.830 20 0 BFADHN Fc1ccccc1CNCC[C@H]1CCCOC1 ZINC000527737580 387685040 /nfs/dbraw/zinc/68/50/40/387685040.db2.gz SHWWQAGVLYVCTR-GFCCVEGCSA-N 0 3 237.318 2.732 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1ccccc1F ZINC000044688770 387689016 /nfs/dbraw/zinc/68/90/16/387689016.db2.gz WBWXTMTXSMUNBJ-GHMZBOCLSA-N 0 3 225.307 2.901 20 0 BFADHN CCOC[C@@H](C)NCc1cc(C)c(O)c(C)c1 ZINC000044690602 387689261 /nfs/dbraw/zinc/68/92/61/387689261.db2.gz UYQYNKNNOKNTPF-GFCCVEGCSA-N 0 3 237.343 2.524 20 0 BFADHN c1coc(CNC[C@@H]2CCN2C2CCCCC2)c1 ZINC000527752501 387691501 /nfs/dbraw/zinc/69/15/01/387691501.db2.gz NKKRNJYPSPRVIM-AWEZNQCLSA-N 0 3 248.370 2.776 20 0 BFADHN CCOC[C@@H](C)NCc1cc(C)cc(C)c1 ZINC000044692109 387691584 /nfs/dbraw/zinc/69/15/84/387691584.db2.gz XFZQXYFZSICJJR-CYBMUJFWSA-N 0 3 221.344 2.818 20 0 BFADHN CCOC[C@@H](C)NCc1cc(C)ccc1C ZINC000044692063 387693146 /nfs/dbraw/zinc/69/31/46/387693146.db2.gz LSYMTYASUVQGBF-CYBMUJFWSA-N 0 3 221.344 2.818 20 0 BFADHN CC[C@@H](F)CN1CCS[C@H](C(C)C)C1 ZINC000440774797 387693959 /nfs/dbraw/zinc/69/39/59/387693959.db2.gz ZBCSSZWQXLLDBO-MNOVXSKESA-N 0 3 219.369 2.808 20 0 BFADHN CCSCCN1CC(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC000367729376 387745734 /nfs/dbraw/zinc/74/57/34/387745734.db2.gz TUAKZJCVMLKUIT-RYUDHWBXSA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@H](C)CN[C@@H](C)c1ncccc1F ZINC000527827612 387749409 /nfs/dbraw/zinc/74/94/09/387749409.db2.gz GUHQINPUOYPBKZ-UWVGGRQHSA-N 0 3 210.296 2.917 20 0 BFADHN CCC[C@@]1(CO)CCN(Cc2ccc(C)o2)C1 ZINC000377853245 387752505 /nfs/dbraw/zinc/75/25/05/387752505.db2.gz YHIYYTWCVNXFSA-CQSZACIVSA-N 0 3 237.343 2.573 20 0 BFADHN C[C@@H](NCc1cn2ccsc2n1)[C@@H]1CC12CC2 ZINC000527850779 387754494 /nfs/dbraw/zinc/75/44/94/387754494.db2.gz KTWOTTADUVVOMH-KOLCDFICSA-N 0 3 247.367 2.674 20 0 BFADHN CC(C)N(C(=O)CN1[C@H](C)CC[C@H]1C)C(C)C ZINC000123421634 387697439 /nfs/dbraw/zinc/69/74/39/387697439.db2.gz CAWRJTZHRIPDCY-CHWSQXEVSA-N 0 3 240.391 2.505 20 0 BFADHN CC(C)C(=O)CCN1CCC(F)(F)C[C@H]1C ZINC000528772035 387697656 /nfs/dbraw/zinc/69/76/56/387697656.db2.gz KDBUEGWLLFCSJR-SNVBAGLBSA-N 0 3 233.302 2.721 20 0 BFADHN CC[C@@H](F)CN1CCC[C@H]1c1cccn1C ZINC000440788331 387698455 /nfs/dbraw/zinc/69/84/55/387698455.db2.gz ZGTCIPUYBMSYDC-YPMHNXCESA-N 0 3 224.323 2.910 20 0 BFADHN C[C@H]1CCC(C)(C)N1CC(=O)Nc1ccccc1 ZINC000452016079 387701835 /nfs/dbraw/zinc/70/18/35/387701835.db2.gz WBHWFUPAJPMIMW-LBPRGKRZSA-N 0 3 246.354 2.888 20 0 BFADHN CC[C@H](F)CN1CCC(OCC2CC2)CC1 ZINC000440795726 387703646 /nfs/dbraw/zinc/70/36/46/387703646.db2.gz UUNLFDRTQBPAAB-LBPRGKRZSA-N 0 3 229.339 2.626 20 0 BFADHN COC[C@H]1CCCCN1CCOc1ccccc1 ZINC000452028909 387704842 /nfs/dbraw/zinc/70/48/42/387704842.db2.gz HWIIVRIRIWCZRL-CQSZACIVSA-N 0 3 249.354 2.566 20 0 BFADHN Fc1ccc(CN2CCC[C@H]2[C@H]2CCCO2)cc1 ZINC000367447676 387710930 /nfs/dbraw/zinc/71/09/30/387710930.db2.gz KMZKKZTXHWADSB-LSDHHAIUSA-N 0 3 249.329 2.969 20 0 BFADHN CC(C)n1cc(CNCC[C@@H]2CC=CCC2)nn1 ZINC000527787809 387711311 /nfs/dbraw/zinc/71/13/11/387711311.db2.gz IPRBUJCXXXCSNK-CYBMUJFWSA-N 0 3 248.374 2.695 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1ccc(F)cc1C ZINC000527783705 387711693 /nfs/dbraw/zinc/71/16/93/387711693.db2.gz QSZUVMNFZIKDQY-KGLIPLIRSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cc(F)c(CNC2CCC2)c(F)c1 ZINC000424141683 387719072 /nfs/dbraw/zinc/71/90/72/387719072.db2.gz HLGRGDLQPJZCTJ-UHFFFAOYSA-N 0 3 211.255 2.915 20 0 BFADHN C[C@H](NCc1ncccn1)[C@@H]1CCC[C@H](C)C1 ZINC000452062373 387719616 /nfs/dbraw/zinc/71/96/16/387719616.db2.gz SHBPZDLUPSBXAK-RWMBFGLXSA-N 0 3 233.359 2.781 20 0 BFADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1NCc1cccc(F)c1 ZINC000527800087 387721319 /nfs/dbraw/zinc/72/13/19/387721319.db2.gz CIEUJPQKKJNQJW-FBKDDSFISA-N 0 3 237.318 2.727 20 0 BFADHN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1NCc1ccc(F)cc1 ZINC000527800732 387721412 /nfs/dbraw/zinc/72/14/12/387721412.db2.gz DUPZLFCPSMSDLT-DYNIEEOBSA-N 0 3 237.318 2.727 20 0 BFADHN CCCCNC(=O)C[C@@H](c1ccccc1)N(C)C ZINC000431629043 387721988 /nfs/dbraw/zinc/72/19/88/387721988.db2.gz BPALTSYGQNHAAH-AWEZNQCLSA-N 0 3 248.370 2.596 20 0 BFADHN CC[C@H]1COCCN1CCCc1ccccc1 ZINC000115572278 387723516 /nfs/dbraw/zinc/72/35/16/387723516.db2.gz CNXPMGYSXSXYPE-HNNXBMFYSA-N 0 3 233.355 2.730 20 0 BFADHN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1NCc1ccsc1 ZINC000527802742 387724330 /nfs/dbraw/zinc/72/43/30/387724330.db2.gz QXICQIOJUWSELY-GUDRVLHUSA-N 0 3 225.357 2.650 20 0 BFADHN CCOc1ccc2c(c1)CCN(CCCF)C2 ZINC000441058305 387726028 /nfs/dbraw/zinc/72/60/28/387726028.db2.gz BLGAUBBXJWETMF-UHFFFAOYSA-N 0 3 237.318 2.803 20 0 BFADHN COC[C@@H]1CCCN(Cc2cccc(C)n2)CC1 ZINC000452077857 387728149 /nfs/dbraw/zinc/72/81/49/387728149.db2.gz GDHRLHKBTUQOHU-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN CCOc1ccccc1OCCN(C)C1CC1 ZINC000441166487 387731682 /nfs/dbraw/zinc/73/16/82/387731682.db2.gz JWDHCISEMLHGSE-UHFFFAOYSA-N 0 3 235.327 2.558 20 0 BFADHN CCc1cccnc1[C@@H](C)NCC1(OC)CCC1 ZINC000356855944 387732523 /nfs/dbraw/zinc/73/25/23/387732523.db2.gz VXDLWTPMBHIGCU-GFCCVEGCSA-N 0 3 248.370 2.864 20 0 BFADHN Clc1cccc(Cl)c1CNCC1COC1 ZINC000527819284 387734461 /nfs/dbraw/zinc/73/44/61/387734461.db2.gz OSBUEJHHBUARJX-UHFFFAOYSA-N 0 3 246.137 2.729 20 0 BFADHN Cc1cc(CN(C)C[C@H]2CCCO2)ccc1F ZINC000128040575 387735151 /nfs/dbraw/zinc/73/51/51/387735151.db2.gz DWQBOZDTEFVFAP-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@H](O)C2(C)C)cc1C ZINC000128099444 387736956 /nfs/dbraw/zinc/73/69/56/387736956.db2.gz DEPBRCOTRIZDAF-UONOGXRCSA-N 0 3 233.355 2.552 20 0 BFADHN CC[C@H]1CCCN(Cc2ccnn2CC)CC1 ZINC000128838684 387755947 /nfs/dbraw/zinc/75/59/47/387755947.db2.gz UTINZUBUVGXDCJ-ZDUSSCGKSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H](O)C2(C)C)cc1C ZINC000128099219 387737090 /nfs/dbraw/zinc/73/70/90/387737090.db2.gz DEPBRCOTRIZDAF-ZIAGYGMSSA-N 0 3 233.355 2.552 20 0 BFADHN CC(C)c1cccc([C@@H](C)N[C@H](C)CC(N)=O)c1 ZINC000527868502 387740178 /nfs/dbraw/zinc/74/01/78/387740178.db2.gz SYKFTTUQRSBHGV-VXGBXAGGSA-N 0 3 248.370 2.725 20 0 BFADHN Cc1cnc(CN[C@@H](C)[C@@H]2CC23CC3)s1 ZINC000527870402 387740854 /nfs/dbraw/zinc/74/08/54/387740854.db2.gz RZKXHCOHLSKSJQ-UWVGGRQHSA-N 0 3 222.357 2.730 20 0 BFADHN COC[C@@H]1CCCN(Cc2cncc(C)c2)CC1 ZINC000452110773 387741149 /nfs/dbraw/zinc/74/11/49/387741149.db2.gz ZSXBMLVJPXPFTH-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)[C@@H]1CC12CC2 ZINC000527906646 387762221 /nfs/dbraw/zinc/76/22/21/387762221.db2.gz QDPQEWZZESBHHI-NOOOWODRSA-N 0 3 231.343 2.667 20 0 BFADHN COC(=O)C(C)(C)N(C)C[C@H]1CCC[C@@H](C)C1 ZINC000356998107 387763011 /nfs/dbraw/zinc/76/30/11/387763011.db2.gz AFYPMOGZHLYUNO-NEPJUHHUSA-N 0 3 241.375 2.696 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@H](C)[C@@H]2CC23CC3)[n-]1 ZINC000527877480 387767055 /nfs/dbraw/zinc/76/70/55/387767055.db2.gz PURCIKZKRMZRPF-VWYCJHECSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@H](C)[C@@H]2CC23CC3)[nH]1 ZINC000527877480 387767063 /nfs/dbraw/zinc/76/70/63/387767063.db2.gz PURCIKZKRMZRPF-VWYCJHECSA-N 0 3 248.374 2.767 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]2CC(C)C)o1 ZINC000441547281 387768344 /nfs/dbraw/zinc/76/83/44/387768344.db2.gz PFZMUAZOUMQOAL-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H](C)[C@@H]2CC23CC3)s1 ZINC000527891962 387775858 /nfs/dbraw/zinc/77/58/58/387775858.db2.gz YORARFWKXHDOEF-NRPADANISA-N 0 3 237.372 2.686 20 0 BFADHN Cc1nnc([C@H](C)N[C@H](C)[C@@H]2CC23CC3)s1 ZINC000527891976 387775972 /nfs/dbraw/zinc/77/59/72/387775972.db2.gz YORARFWKXHDOEF-WEDXCCLWSA-N 0 3 237.372 2.686 20 0 BFADHN CCN(Cc1cnn(C)c1C(F)(F)F)C(C)C ZINC000150871146 387779535 /nfs/dbraw/zinc/77/95/35/387779535.db2.gz CTHNWGRSAOBZFY-UHFFFAOYSA-N 0 3 249.280 2.669 20 0 BFADHN Cc1ccc(CN2CCCOC(C)(C)C2)o1 ZINC000378344558 387779742 /nfs/dbraw/zinc/77/97/42/387779742.db2.gz ANOAIHYDFVBIBY-UHFFFAOYSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1ccoc1CN[C@H](C)[C@H]1CC12CC2 ZINC000527901561 387780140 /nfs/dbraw/zinc/78/01/40/387780140.db2.gz KYMUBWFHGJPRLY-GHMZBOCLSA-N 0 3 205.301 2.866 20 0 BFADHN CCc1cc(N2CCSC[C@@H](C)C2)ccn1 ZINC000450478380 387784383 /nfs/dbraw/zinc/78/43/83/387784383.db2.gz FXPKBNGWLUTQAH-NSHDSACASA-N 0 3 236.384 2.833 20 0 BFADHN CCc1cc(N2CCS[C@@H](C)CC2)ccn1 ZINC000450490717 387786471 /nfs/dbraw/zinc/78/64/71/387786471.db2.gz UOUFECVXSTZXHY-NSHDSACASA-N 0 3 236.384 2.976 20 0 BFADHN Cc1nc(CNC2CCCC2)sc1C ZINC000049814807 387788489 /nfs/dbraw/zinc/78/84/89/387788489.db2.gz JOCZECKZDCHQSZ-UHFFFAOYSA-N 0 3 210.346 2.792 20 0 BFADHN COC[C@@H]1CCN1CC(C)(C)c1ccccc1 ZINC000646235584 387792850 /nfs/dbraw/zinc/79/28/50/387792850.db2.gz XLLNDSOOXDQSTO-AWEZNQCLSA-N 0 3 233.355 2.685 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1ccc(F)cc1F ZINC000132775683 387795606 /nfs/dbraw/zinc/79/56/06/387795606.db2.gz PBPRZGPVOUBKFI-KOLCDFICSA-N 0 3 243.297 2.776 20 0 BFADHN CCOC1CC(N(C)c2ccnc(CC)c2)C1 ZINC000450530139 387796524 /nfs/dbraw/zinc/79/65/24/387796524.db2.gz XMJYEWMXHZMDQN-UHFFFAOYSA-N 0 3 234.343 2.648 20 0 BFADHN C[C@H](NCC[C@H]1CC=CCC1)c1nccn1C ZINC000527979475 387797908 /nfs/dbraw/zinc/79/79/08/387797908.db2.gz FUBMDKPKIZHNLO-STQMWFEESA-N 0 3 233.359 2.817 20 0 BFADHN C[C@@H](NCC[C@H]1CC=CCC1)c1nccn1C ZINC000527979469 387798527 /nfs/dbraw/zinc/79/85/27/387798527.db2.gz FUBMDKPKIZHNLO-OLZOCXBDSA-N 0 3 233.359 2.817 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H]1CCCc2occc21 ZINC000527981789 387799436 /nfs/dbraw/zinc/79/94/36/387799436.db2.gz VNEADKCFRYXHBN-YUTCNCBUSA-N 0 3 235.327 2.814 20 0 BFADHN CCc1ccc(CNCC2(SC)CC2)o1 ZINC000193652854 387800841 /nfs/dbraw/zinc/80/08/41/387800841.db2.gz QFCVMOYYGZFMDR-UHFFFAOYSA-N 0 3 225.357 2.827 20 0 BFADHN Cc1cc([C@H](C)NCC23CC(C2)CO3)cs1 ZINC000527984307 387801296 /nfs/dbraw/zinc/80/12/96/387801296.db2.gz XKZGKTDGYANOOO-ZBOXLXRLSA-N 0 3 237.368 2.886 20 0 BFADHN COc1ccccc1CN[C@@H]1C=CCCC1 ZINC000151139428 387801373 /nfs/dbraw/zinc/80/13/73/387801373.db2.gz QMUQAJOVCUQVMP-CYBMUJFWSA-N 0 3 217.312 2.894 20 0 BFADHN Cc1ccc([C@@H](C)NCC23CC(C2)CO3)c(C)n1 ZINC000527983401 387801934 /nfs/dbraw/zinc/80/19/34/387801934.db2.gz QPWQRFNGLBMZKT-NUYPLMSZSA-N 0 3 246.354 2.528 20 0 BFADHN CC(C)C(CN1CC2(C1)CCCO2)C(C)C ZINC000628427778 387804787 /nfs/dbraw/zinc/80/47/87/387804787.db2.gz YLZLWVHOKLCNFG-UHFFFAOYSA-N 0 3 225.376 2.779 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@H](C)[C@H]1C)c1ccncc1 ZINC000528001844 387807496 /nfs/dbraw/zinc/80/74/96/387807496.db2.gz KGCMVZLDPYKMNO-MOWSAHLDSA-N 0 3 234.343 2.544 20 0 BFADHN CCOc1ccc(CN(C)[C@@H](C)COC)cc1 ZINC000193901572 387808226 /nfs/dbraw/zinc/80/82/26/387808226.db2.gz PBJMVMDABZOVQH-LBPRGKRZSA-N 0 3 237.343 2.552 20 0 BFADHN C=Cn1cc(CN(C)CC2CCCC2)cn1 ZINC000193819766 387809306 /nfs/dbraw/zinc/80/93/06/387809306.db2.gz ARZWWPLKFCMENM-UHFFFAOYSA-N 0 3 219.332 2.606 20 0 BFADHN CC[C@H](COC)N[C@H](c1ccccn1)C1CCC1 ZINC000527968098 387811313 /nfs/dbraw/zinc/81/13/13/387811313.db2.gz OEDJLPWPLPXJKR-HIFRSBDPSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H]1CN(CC2(C)CCC2)[C@H](C)[C@@H](C)O1 ZINC000432788977 387819881 /nfs/dbraw/zinc/81/98/81/387819881.db2.gz OGKWCRGUQSYOLI-IJLUTSLNSA-N 0 3 211.349 2.674 20 0 BFADHN C[C@H](NC[C@H]1CCN1C1CCCC1)c1ccco1 ZINC000528014949 387822761 /nfs/dbraw/zinc/82/27/61/387822761.db2.gz WARFBEKULVWLRJ-GXTWGEPZSA-N 0 3 248.370 2.947 20 0 BFADHN CC(C)C[C@@H]1COCCN1CC1(C)CCC1 ZINC000432811485 387826054 /nfs/dbraw/zinc/82/60/54/387826054.db2.gz DHNBZPXSDBOBHI-CYBMUJFWSA-N 0 3 225.376 2.924 20 0 BFADHN Cc1ccc(NC(=O)[C@]2(C)CCCCN2)cc1C ZINC000136128355 387826620 /nfs/dbraw/zinc/82/66/20/387826620.db2.gz MVLMPALBPHEIPQ-HNNXBMFYSA-N 0 3 246.354 2.774 20 0 BFADHN CC[C@H](NCc1c[nH]cn1)c1ccc(C)cc1 ZINC000054764636 387829426 /nfs/dbraw/zinc/82/94/26/387829426.db2.gz INRIYWMFXLAUGB-AWEZNQCLSA-N 0 3 229.327 2.959 20 0 BFADHN CC[C@H](NCc1cnc[nH]1)c1ccc(C)cc1 ZINC000054764636 387829430 /nfs/dbraw/zinc/82/94/30/387829430.db2.gz INRIYWMFXLAUGB-AWEZNQCLSA-N 0 3 229.327 2.959 20 0 BFADHN CC[C@@H]1CN(CC2(C)CCC2)C[C@@H](C)O1 ZINC000432825539 387829472 /nfs/dbraw/zinc/82/94/72/387829472.db2.gz UNLZVXCEOPDFDD-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cc(C(F)(F)F)n[nH]1 ZINC000442800887 387843621 /nfs/dbraw/zinc/84/36/21/387843621.db2.gz KZDWXYZIDOICHQ-ZETCQYMHSA-N 0 3 247.264 2.659 20 0 BFADHN CCCN(CCC)Cc1n[nH]c(C(C)(C)C)n1 ZINC000432939455 387845101 /nfs/dbraw/zinc/84/51/01/387845101.db2.gz SRETXXHVTSJLJW-UHFFFAOYSA-N 0 3 238.379 2.724 20 0 BFADHN CC(C)[C@@H](N)C(=O)Nc1cccc(C(F)F)c1 ZINC000157566155 387845952 /nfs/dbraw/zinc/84/59/52/387845952.db2.gz UGQHTXRXZAZZLS-SNVBAGLBSA-N 0 3 242.269 2.546 20 0 BFADHN Cc1cnccc1CNC[C@@H]1CCCC1(F)F ZINC000394559400 387868187 /nfs/dbraw/zinc/86/81/87/387868187.db2.gz KBSYOLBTCCJIRT-LBPRGKRZSA-N 0 3 240.297 2.915 20 0 BFADHN CC(C)=CCCN[C@H](C)c1cnccc1N ZINC000423069821 387872251 /nfs/dbraw/zinc/87/22/51/387872251.db2.gz MHRYZTOOUHAPTJ-LLVKDONJSA-N 0 3 219.332 2.501 20 0 BFADHN C[C@@H]1CN(CC2(C)CCC2)[C@@H](C)[C@@H](C)O1 ZINC000432788976 387819197 /nfs/dbraw/zinc/81/91/97/387819197.db2.gz OGKWCRGUQSYOLI-GRYCIOLGSA-N 0 3 211.349 2.674 20 0 BFADHN CCC[C@@H](NC(=O)CN(CC)CC)C(C)(C)C ZINC000452660620 387883848 /nfs/dbraw/zinc/88/38/48/387883848.db2.gz ICVMBHDFTKNWAI-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN CCCC[C@@H](N)C(=O)N1CCC[C@H](CCC)C1 ZINC000236698863 387900378 /nfs/dbraw/zinc/90/03/78/387900378.db2.gz CBLFUJOQVMNNSX-QWHCGFSZSA-N 0 3 240.391 2.543 20 0 BFADHN CCN(Cc1ccn(C)n1)[C@H]1CCCC[C@@H]1C ZINC000444679544 387908815 /nfs/dbraw/zinc/90/88/15/387908815.db2.gz VKKCUOWVUFVWED-JSGCOSHPSA-N 0 3 235.375 2.821 20 0 BFADHN CN(CCO[C@H]1CCCCO1)Cc1ccccc1 ZINC000195229032 387911361 /nfs/dbraw/zinc/91/13/61/387911361.db2.gz QSKPHGDCEMGGLM-HNNXBMFYSA-N 0 3 249.354 2.662 20 0 BFADHN CCOC(=O)[C@@H](CC)N1CCC[C@@H](C(C)C)C1 ZINC000444748144 387919525 /nfs/dbraw/zinc/91/95/25/387919525.db2.gz GIRJPLMXYBVSCS-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN CCCN(CC)Cc1cc(CO)ccc1F ZINC000528359341 387911645 /nfs/dbraw/zinc/91/16/45/387911645.db2.gz IIYVVBFPZMMJFV-UHFFFAOYSA-N 0 3 225.307 2.550 20 0 BFADHN C[C@H](c1ccccn1)N1C[C@@H](C)S[C@H](C)C1 ZINC000532970062 387915849 /nfs/dbraw/zinc/91/58/49/387915849.db2.gz TYFSRFXTCHQKBA-IJLUTSLNSA-N 0 3 236.384 2.968 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2)[C@@H](C)[C@@H](C)O1 ZINC000438375063 387930590 /nfs/dbraw/zinc/93/05/90/387930590.db2.gz SPNGLEIOMAFFMI-HZSPNIEDSA-N 0 3 233.355 2.727 20 0 BFADHN CC[C@H]1C[C@H](C)CN1CCn1cc(Cl)cn1 ZINC000459372903 387934561 /nfs/dbraw/zinc/93/45/61/387934561.db2.gz ZYBWKEGIQMKRGF-JQWIXIFHSA-N 0 3 241.766 2.657 20 0 BFADHN Cc1csc(CN[C@H](C)c2cnccc2N)c1 ZINC000423154581 387934723 /nfs/dbraw/zinc/93/47/23/387934723.db2.gz PALAZVXDECHGML-SNVBAGLBSA-N 0 3 247.367 2.715 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1C[C@H]2C[C@H]2C1 ZINC000424196769 387923237 /nfs/dbraw/zinc/92/32/37/387923237.db2.gz ASIWWYJWGPSTMK-ZSBIGDGJSA-N 0 3 247.338 2.592 20 0 BFADHN CC1(C)CCC[C@@](O)(CNCc2ccccn2)C1 ZINC000438333315 387924006 /nfs/dbraw/zinc/92/40/06/387924006.db2.gz UFRCSDGRIJPBNN-HNNXBMFYSA-N 0 3 248.370 2.503 20 0 BFADHN Cc1cc(CN(CC2CCC2)C(C)C)nn1C ZINC000444767964 387924286 /nfs/dbraw/zinc/92/42/86/387924286.db2.gz SEZXXOWPLXBAKD-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@@H](NCC1=CCCCC1)c1cnccc1N ZINC000423147408 387924390 /nfs/dbraw/zinc/92/43/90/387924390.db2.gz RXLIBLXKMPNICY-LLVKDONJSA-N 0 3 231.343 2.645 20 0 BFADHN Cc1ccccc1CN1C[C@H](C)O[C@@H](C)[C@@H]1C ZINC000438352168 387926748 /nfs/dbraw/zinc/92/67/48/387926748.db2.gz HKPKUSDTTHDEGB-IHRRRGAJSA-N 0 3 233.355 2.993 20 0 BFADHN CCC[C@@]1(C(=O)OCC)CCCN1CC(C)C ZINC000444781233 387928924 /nfs/dbraw/zinc/92/89/24/387928924.db2.gz GZOWDOQYBUFVQW-AWEZNQCLSA-N 0 3 241.375 2.840 20 0 BFADHN CC1(C)CN(Cc2ccc(C3CC3)cc2)C[C@H]1O ZINC000459506343 387954063 /nfs/dbraw/zinc/95/40/63/387954063.db2.gz PWXXEZFSEWDBHM-OAHLLOKOSA-N 0 3 245.366 2.767 20 0 BFADHN C[C@H](c1ccccc1)N1C[C@@H](C)O[C@H](C)C1 ZINC000245381114 387942989 /nfs/dbraw/zinc/94/29/89/387942989.db2.gz AJNYOTCREFLUCF-JHJVBQTASA-N 0 3 219.328 2.857 20 0 BFADHN CCCN(C)[C@H](C)c1ccc([S@@](C)=O)cc1 ZINC000245476709 387950618 /nfs/dbraw/zinc/95/06/18/387950618.db2.gz TWJMLLNFIRSAFJ-BDJLRTHQSA-N 0 3 239.384 2.827 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@H]1CCCCO1 ZINC000245494259 387951360 /nfs/dbraw/zinc/95/13/60/387951360.db2.gz NCPILYPATVYHDK-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN CO[C@@H](CNCc1cc2ccccc2o1)C1CC1 ZINC000293174645 387962466 /nfs/dbraw/zinc/96/24/66/387962466.db2.gz WHKKWEWDSZTTAW-HNNXBMFYSA-N 0 3 245.322 2.947 20 0 BFADHN CC1(C)CCC(CN2CCOCC2)CC1 ZINC000156382656 387965190 /nfs/dbraw/zinc/96/51/90/387965190.db2.gz KIPSCGHDPRPIDS-UHFFFAOYSA-N 0 3 211.349 2.535 20 0 BFADHN C[C@@H]1COCC[C@@H]1N[C@@H]1C[C@]1(F)c1ccccc1 ZINC000424313773 387965714 /nfs/dbraw/zinc/96/57/14/387965714.db2.gz BNZBSBPAZZEFCJ-BEAPCOKYSA-N 0 3 249.329 2.638 20 0 BFADHN CC(C)c1ccc([C@@H](C)N[C@@H]2CC[C@H]2O)cc1 ZINC000424313099 387965751 /nfs/dbraw/zinc/96/57/51/387965751.db2.gz IKTGTCRJGWEKPF-KCPJHIHWSA-N 0 3 233.355 2.984 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@H]1C1CCC1)c1cnn(C)c1 ZINC000424316837 387967838 /nfs/dbraw/zinc/96/78/38/387967838.db2.gz BMUOSDZZZPCVJK-BFHYXJOUSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1oncc1CN(C)[C@H](C)C1CCC1 ZINC000445814472 387970614 /nfs/dbraw/zinc/97/06/14/387970614.db2.gz XYYVZERUKXNDMV-SECBINFHSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@H](N[C@H](C)c1nnc(C)s1)[C@@H]1C[C@@H]1C ZINC000321177246 387956724 /nfs/dbraw/zinc/95/67/24/387956724.db2.gz ZEHCTMUZHWIMFY-URPMGSGRSA-N 0 3 239.388 2.932 20 0 BFADHN c1nc(C2CC2)ncc1CN[C@@H]1CC12CCCC2 ZINC000459517526 387957452 /nfs/dbraw/zinc/95/74/52/387957452.db2.gz XFIODDSKDOKTRH-CYBMUJFWSA-N 0 3 243.354 2.776 20 0 BFADHN Cc1ccoc1CNC[C@H]1CCCSC1 ZINC000288350135 387978894 /nfs/dbraw/zinc/97/88/94/387978894.db2.gz CBUAOUIRUHOEIU-LLVKDONJSA-N 0 3 225.357 2.821 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@@H]1C[C@H]1C1CCC1 ZINC000424336010 387979001 /nfs/dbraw/zinc/97/90/01/387979001.db2.gz XJGFYCCXUORVJN-DUFXMDAXSA-N 0 3 233.359 2.568 20 0 BFADHN Cn1cc2c(n1)CCC[C@@H]2N[C@@H]1C[C@H]1C1CCC1 ZINC000424334516 387979346 /nfs/dbraw/zinc/97/93/46/387979346.db2.gz VRNAAXMVYGVGKH-CORIIIEPSA-N 0 3 245.370 2.576 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1O)c1cccc(C2CC2)c1 ZINC000424337076 387980537 /nfs/dbraw/zinc/98/05/37/387980537.db2.gz YTEYRVXTBSJHEF-COLVAYQJSA-N 0 3 231.339 2.738 20 0 BFADHN CCn1nccc1CN1C[C@@H](C)CCC[C@H]1C ZINC000245738196 387981035 /nfs/dbraw/zinc/98/10/35/387981035.db2.gz LENPJBZNFXZKTM-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1C1CCC1)c1cccnc1 ZINC000424326451 387973061 /nfs/dbraw/zinc/97/30/61/387973061.db2.gz LTIYGSCOKHIEMM-LEWSCRJBSA-N 0 3 216.328 2.921 20 0 BFADHN CCN(CC[C@@H](C)O)Cc1ccc(Cl)s1 ZINC000439011899 387973767 /nfs/dbraw/zinc/97/37/67/387973767.db2.gz SGAHMGBLIKMMGK-SECBINFHSA-N 0 3 247.791 2.994 20 0 BFADHN COCC[C@H](C)N[C@@H]1C[C@]1(F)c1ccccc1 ZINC000424330766 387975733 /nfs/dbraw/zinc/97/57/33/387975733.db2.gz RCERIXXMSATCRP-YUTCNCBUSA-N 0 3 237.318 2.638 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H]1CC[C@H]3CCC[C@H]3C1)CC2 ZINC000453116526 387987678 /nfs/dbraw/zinc/98/76/78/387987678.db2.gz JEVBJJAWXPRNCK-XJFOESAGSA-N 0 3 245.370 2.886 20 0 BFADHN CC[C@@H](C)CN1CCn2cccc2[C@H]1C ZINC000245877239 387989260 /nfs/dbraw/zinc/98/92/60/387989260.db2.gz RSWLOJKRBVIKBJ-VXGBXAGGSA-N 0 3 206.333 2.911 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)C(C)(C)C ZINC000453127085 387991213 /nfs/dbraw/zinc/99/12/13/387991213.db2.gz SROFKECHSMLACU-WDEREUQCSA-N 0 3 223.364 2.988 20 0 BFADHN C[C@@H]1N(CC2=CCCC2)CCOC1(C)C ZINC000645815771 387995076 /nfs/dbraw/zinc/99/50/76/387995076.db2.gz QCJONPCZCJVZCD-NSHDSACASA-N 0 3 209.333 2.596 20 0 BFADHN CC[C@H](N[C@H](C)c1ccncn1)C(C)(C)C ZINC000453102929 387983347 /nfs/dbraw/zinc/98/33/47/387983347.db2.gz QYBBXDVHCIDXJC-PWSUYJOCSA-N 0 3 221.348 2.952 20 0 BFADHN CCCn1cc(CN2C[C@@H](C)CC[C@H]2C)cn1 ZINC000245809685 387984329 /nfs/dbraw/zinc/98/43/29/387984329.db2.gz ZDUOFSYMUDPBDI-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@H](C)C(C)C ZINC000453107644 387985227 /nfs/dbraw/zinc/98/52/27/387985227.db2.gz HSRZFEXCCWUURW-RYUDHWBXSA-N 0 3 223.364 2.846 20 0 BFADHN CCN1CCCC[C@H]1CN[C@H](C)c1ccccn1 ZINC000245846913 387985328 /nfs/dbraw/zinc/98/53/28/387985328.db2.gz CIEWOTAESZTYLU-KGLIPLIRSA-N 0 3 247.386 2.607 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1N[C@H]1CCc2cc(F)ccc21 ZINC000245981796 387998814 /nfs/dbraw/zinc/99/88/14/387998814.db2.gz PMYZBBBOVDTQOA-BFHYXJOUSA-N 0 3 249.329 2.562 20 0 BFADHN C[C@@H]1C[C@@H](NCCC2(F)CCC2)c2nccn21 ZINC000453212051 388010979 /nfs/dbraw/zinc/01/09/79/388010979.db2.gz BQIJAAFYIZZUSQ-GHMZBOCLSA-N 0 3 237.322 2.761 20 0 BFADHN CSCCCCN[C@@H](C)c1ccccn1 ZINC000159179161 388000550 /nfs/dbraw/zinc/00/05/50/388000550.db2.gz DUNNKCHAMBNDOU-NSHDSACASA-N 0 3 224.373 2.875 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](CC)c1ccccc1 ZINC000309657928 388001664 /nfs/dbraw/zinc/00/16/64/388001664.db2.gz QKNVJMDACHXZPC-BFHYXJOUSA-N 0 3 219.328 2.905 20 0 BFADHN C[C@@H]1CCN(Cc2ccccn2)C[C@H]1C ZINC000246060930 388005044 /nfs/dbraw/zinc/00/50/44/388005044.db2.gz YIMYJQVUTZJJKP-VXGBXAGGSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@H]1CCN(Cc2cnc3ccccn23)C[C@H]1C ZINC000246061164 388005447 /nfs/dbraw/zinc/00/54/47/388005447.db2.gz YKRSUKPLDKKRGD-QWHCGFSZSA-N 0 3 243.354 2.812 20 0 BFADHN CCOCCCN1CCC[C@H]1c1ccc[nH]1 ZINC000159336540 388008462 /nfs/dbraw/zinc/00/84/62/388008462.db2.gz ZOGZISNCMPLFBG-ZDUSSCGKSA-N 0 3 222.332 2.578 20 0 BFADHN CCC[C@@H](CN[C@@H](CC)c1ccncc1)OC ZINC000453249410 388024773 /nfs/dbraw/zinc/02/47/73/388024773.db2.gz MFLRYVSCHWEEEP-KBPBESRZSA-N 0 3 236.359 2.937 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1CCC1(C)C ZINC000453212648 388011372 /nfs/dbraw/zinc/01/13/72/388011372.db2.gz CFRZBSUPIVDRQA-WDEREUQCSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@@H]1CCC[C@H](CN[C@@H]2CCn3ccnc32)[C@H]1C ZINC000453221660 388014723 /nfs/dbraw/zinc/01/47/23/388014723.db2.gz PVBTXVNMBTXWPB-XJFOESAGSA-N 0 3 247.386 2.990 20 0 BFADHN C[C@H](NCCC(C)(F)F)c1cccc(CO)c1 ZINC000453225578 388016232 /nfs/dbraw/zinc/01/62/32/388016232.db2.gz WIGAFXOUNVQKGY-JTQLQIEISA-N 0 3 243.297 2.875 20 0 BFADHN C[C@H](NC1CC(C(C)(C)C)C1)c1cn[nH]c1 ZINC000453232063 388017666 /nfs/dbraw/zinc/01/76/66/388017666.db2.gz GWNAQINEASGHME-GCVQQVDUSA-N 0 3 221.348 2.885 20 0 BFADHN CC[C@H](NC[C@H](OC)C1CC1)c1ccncc1 ZINC000453235504 388019291 /nfs/dbraw/zinc/01/92/91/388019291.db2.gz XPZJSLISGFHZNF-KBPBESRZSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@H](NC[C@@H](N)c1ccccc1)c1ccco1 ZINC000423236510 388021491 /nfs/dbraw/zinc/02/14/91/388021491.db2.gz HJUQGJAPJBPHKK-WCQYABFASA-N 0 3 230.311 2.630 20 0 BFADHN C=Cn1cc(CN[C@@H](CC)CC(F)(F)F)cn1 ZINC000446750595 388035484 /nfs/dbraw/zinc/03/54/84/388035484.db2.gz IAQFQICYNXRRGX-JTQLQIEISA-N 0 3 247.264 2.804 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@H](C)c1ccncn1 ZINC000453293782 388037454 /nfs/dbraw/zinc/03/74/54/388037454.db2.gz VQYHSVCLCRBZRZ-JHJVBQTASA-N 0 3 233.359 2.954 20 0 BFADHN CC(C)[C@@H](C)NC(=O)CN(C)[C@H](C)C(C)(C)C ZINC000533249070 388038151 /nfs/dbraw/zinc/03/81/51/388038151.db2.gz JTMKNBVCDOAIQT-VXGBXAGGSA-N 0 3 242.407 2.514 20 0 BFADHN C[C@@H](O)[C@H]1CCN(Cc2sccc2Cl)C1 ZINC000194476288 388039387 /nfs/dbraw/zinc/03/93/87/388039387.db2.gz SOXVEASATZBIOP-BDAKNGLRSA-N 0 3 245.775 2.604 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc(C)c(C)o1)[C@@H](C)O ZINC000453318708 388039661 /nfs/dbraw/zinc/03/96/61/388039661.db2.gz BTPAQFULYSBIKD-UMNHJUIQSA-N 0 3 225.332 2.706 20 0 BFADHN CC1CC(NCc2ccc3c(n2)CCCC3)C1 ZINC000446896579 388043501 /nfs/dbraw/zinc/04/35/01/388043501.db2.gz PAWUWRRQVVOANB-UHFFFAOYSA-N 0 3 230.355 2.849 20 0 BFADHN CC[C@H](F)CN(CCOC)[C@@H]1CC[C@@H](C)C1 ZINC000440498668 388044179 /nfs/dbraw/zinc/04/41/79/388044179.db2.gz KPDMPRDHELWMBX-FRRDWIJNSA-N 0 3 231.355 2.872 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCCC[C@H]1C1CC1 ZINC000453336430 388050924 /nfs/dbraw/zinc/05/09/24/388050924.db2.gz YBLCMGJBCNEQIM-UONOGXRCSA-N 0 3 247.386 2.961 20 0 BFADHN CC[C@H](F)CN(CCO)C1CCC(CC)CC1 ZINC000440520100 388051431 /nfs/dbraw/zinc/05/14/31/388051431.db2.gz VDZKAYAJNRCELA-MOKVOYLWSA-N 0 3 245.382 2.998 20 0 BFADHN CC1(C)CC[C@@H]1NCc1cnc(C2CC2)o1 ZINC000453338700 388052039 /nfs/dbraw/zinc/05/20/39/388052039.db2.gz CKCKOFILDCNZRW-NSHDSACASA-N 0 3 220.316 2.830 20 0 BFADHN CC(C)CN(C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000447081043 388053737 /nfs/dbraw/zinc/05/37/37/388053737.db2.gz BNOXATLXMMVWCT-UHFFFAOYSA-N 0 3 223.364 2.795 20 0 BFADHN Cc1ccc([C@H](N[C@H]2C[C@@H](O)C2)C2CCC2)o1 ZINC000453356040 388057347 /nfs/dbraw/zinc/05/73/47/388057347.db2.gz HSEIGBPOYQNANP-MBNYWOFBSA-N 0 3 235.327 2.542 20 0 BFADHN Cn1ccnc1[C@H](NC[C@@H]1C[C@H]1C1CC1)C1CC1 ZINC000453355062 388057557 /nfs/dbraw/zinc/05/75/57/388057557.db2.gz HGJMQSOFZQZQJG-MELADBBJSA-N 0 3 245.370 2.507 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@@H]1C[C@H]1C(C)C ZINC000453364586 388060227 /nfs/dbraw/zinc/06/02/27/388060227.db2.gz SCODPDSBJYVPCL-AVGNSLFASA-N 0 3 233.359 2.728 20 0 BFADHN c1ccc2c(c1)SC[C@H]2NCC1CC1 ZINC000083748804 388060681 /nfs/dbraw/zinc/06/06/81/388060681.db2.gz RNBFKKCBQUJYON-LLVKDONJSA-N 0 3 205.326 2.833 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCC23CCC3)c2nccn21 ZINC000453374164 388062481 /nfs/dbraw/zinc/06/24/81/388062481.db2.gz XBBLYJLTOQJZBY-GRYCIOLGSA-N 0 3 231.343 2.811 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](O)C2CCC2)oc1C ZINC000453371481 388062904 /nfs/dbraw/zinc/06/29/04/388062904.db2.gz GJWXRTGIVUNCIG-GXFFZTMASA-N 0 3 237.343 2.708 20 0 BFADHN CCC1(CNCc2cc(C(F)(F)F)n[nH]2)CC1 ZINC000440620302 388063140 /nfs/dbraw/zinc/06/31/40/388063140.db2.gz ZFDGDSYLZNYLNK-UHFFFAOYSA-N 0 3 247.264 2.708 20 0 BFADHN CCC[C@@H](NC[C@H](O)C1CCC1)c1ccccn1 ZINC000453370180 388063277 /nfs/dbraw/zinc/06/32/77/388063277.db2.gz FRJCRVIGMMCWSB-HIFRSBDPSA-N 0 3 248.370 2.673 20 0 BFADHN CCc1cccc(NC(=O)[C@H]2CCCCCN2)c1 ZINC000083896417 388065680 /nfs/dbraw/zinc/06/56/80/388065680.db2.gz NXKKNCOBEICTGN-CQSZACIVSA-N 0 3 246.354 2.720 20 0 BFADHN c1nc(C2CC2)oc1CN[C@H]1CCC12CCC2 ZINC000453380506 388066092 /nfs/dbraw/zinc/06/60/92/388066092.db2.gz ZMVWREZUMCCZRL-LBPRGKRZSA-N 0 3 232.327 2.974 20 0 BFADHN C[C@@H](NCCOC(F)(F)F)c1ccccc1 ZINC000084177588 388072203 /nfs/dbraw/zinc/07/22/03/388072203.db2.gz FBUAFOUPKBYHNN-SECBINFHSA-N 0 3 233.233 2.874 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1cnccc1C ZINC000453403139 388073109 /nfs/dbraw/zinc/07/31/09/388073109.db2.gz NBBLDMIOPBZMIE-BPLDGKMQSA-N 0 3 248.370 2.998 20 0 BFADHN CO[C@H](C)CNC1(c2ccccc2C)CC1 ZINC000645980821 388114747 /nfs/dbraw/zinc/11/47/47/388114747.db2.gz NVGVFEDOAKWASE-GFCCVEGCSA-N 0 3 219.328 2.609 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@H]1O)c1cc(F)cc(F)c1 ZINC000453401554 388074073 /nfs/dbraw/zinc/07/40/73/388074073.db2.gz DTUMHKRCQRFXCK-BZHVJNSISA-N 0 3 241.281 2.529 20 0 BFADHN CCC[C@@H](N[C@H](CC)C(=O)NC)c1ccccc1 ZINC000453406015 388074449 /nfs/dbraw/zinc/07/44/49/388074449.db2.gz OYQHPNMTRVHBMC-ZIAGYGMSSA-N 0 3 248.370 2.642 20 0 BFADHN Cc1ccc(CN(C)CCC[C@H]2CCOC2)nc1 ZINC000645924896 388076182 /nfs/dbraw/zinc/07/61/82/388076182.db2.gz HDGBEZFPAYWAEK-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1cncc([C@H](C)NC[C@H]2CC[C@@H](C)O2)c1 ZINC000453411207 388076872 /nfs/dbraw/zinc/07/68/72/388076872.db2.gz QEPJKSIYRINNTG-MBNYWOFBSA-N 0 3 234.343 2.608 20 0 BFADHN COC(=O)c1coc([C@@H](C)NCC2(C)CC2)c1 ZINC000447573383 388079931 /nfs/dbraw/zinc/07/99/31/388079931.db2.gz HPWALIOOOMNACH-SECBINFHSA-N 0 3 237.299 2.517 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H](C)c1cc(C)oc1C ZINC000447600950 388081649 /nfs/dbraw/zinc/08/16/49/388081649.db2.gz OCIUASGOMWKDFL-SVJDAXRGSA-N 0 3 237.343 2.874 20 0 BFADHN C[C@H](NC1C[C@H](C)C[C@@H](C)C1)c1nccn1C ZINC000084972992 388082247 /nfs/dbraw/zinc/08/22/47/388082247.db2.gz JJUWMJUALBZGSZ-UTUOFQBUSA-N 0 3 235.375 2.895 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@H]1CCC[C@H](CC)C1 ZINC000085069231 388083675 /nfs/dbraw/zinc/08/36/75/388083675.db2.gz RGTJIYBQXKIFDN-AVGNSLFASA-N 0 3 240.391 2.589 20 0 BFADHN C[C@@H](NCc1ccn(C2CCCC2)n1)C1CC1 ZINC000085173085 388084675 /nfs/dbraw/zinc/08/46/75/388084675.db2.gz RLKBJDSNRMBPDW-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1ccc(CNCCC2CC(F)(F)C2)nc1 ZINC000453590359 388087659 /nfs/dbraw/zinc/08/76/59/388087659.db2.gz OMOQLKAXZMQTTM-UHFFFAOYSA-N 0 3 240.297 2.915 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCOC(C)(C)C2)o1 ZINC000453583712 388087786 /nfs/dbraw/zinc/08/77/86/388087786.db2.gz PIFKBHCASVHDPV-GFCCVEGCSA-N 0 3 237.343 2.883 20 0 BFADHN FC1(F)CC(CCNCc2cscn2)C1 ZINC000453591007 388088169 /nfs/dbraw/zinc/08/81/69/388088169.db2.gz QDAMBFNVWVEURP-UHFFFAOYSA-N 0 3 232.299 2.668 20 0 BFADHN CCC1CN(Cc2ccc(C)c(OC)c2)C1 ZINC000453608095 388092110 /nfs/dbraw/zinc/09/21/10/388092110.db2.gz SXJWVOKJUGNYSC-UHFFFAOYSA-N 0 3 219.328 2.845 20 0 BFADHN CCC1CN(Cc2ccc(F)c(C)c2)C1 ZINC000453610760 388092226 /nfs/dbraw/zinc/09/22/26/388092226.db2.gz YRRMKDBXHGIBNS-UHFFFAOYSA-N 0 3 207.292 2.976 20 0 BFADHN CC[C@@]1(C(C)C)C[C@H]1NCc1cnn(C)c1C ZINC000453612024 388092460 /nfs/dbraw/zinc/09/24/60/388092460.db2.gz MSSAROXNEFLHEJ-KGLIPLIRSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1cnc(CN[C@]2(C)CCCC[C@H]2C)n1C ZINC000453619564 388093059 /nfs/dbraw/zinc/09/30/59/388093059.db2.gz IBCIKHHOEDLOJE-BXUZGUMPSA-N 0 3 235.375 2.787 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1ccccn1 ZINC000453621734 388093674 /nfs/dbraw/zinc/09/36/74/388093674.db2.gz XUZCJLNOIDFWHC-UONOGXRCSA-N 0 3 218.344 2.996 20 0 BFADHN Cc1cnc(CN[C@]2(C)CCCC[C@@H]2C)n1C ZINC000453619567 388093816 /nfs/dbraw/zinc/09/38/16/388093816.db2.gz IBCIKHHOEDLOJE-SMDDNHRTSA-N 0 3 235.375 2.787 20 0 BFADHN CC(C)=CCN(Cc1cnn(C)c1)CC(C)C ZINC000453619084 388093950 /nfs/dbraw/zinc/09/39/50/388093950.db2.gz WCNJDERFUOGKMF-UHFFFAOYSA-N 0 3 235.375 2.844 20 0 BFADHN COCCN[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000212705842 388095023 /nfs/dbraw/zinc/09/50/23/388095023.db2.gz FRMQHCYENWSZMS-QMMMGPOBSA-N 0 3 231.698 2.776 20 0 BFADHN COc1cc(CNC[C@@H]2CC[C@@H](C)O2)ccc1C ZINC000453631063 388095544 /nfs/dbraw/zinc/09/55/44/388095544.db2.gz NCAFTFFUSLBKLK-OCCSQVGLSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)(C)[C@H]1CN([C@@H]2C=CCCC2)CCO1 ZINC000447829813 388095685 /nfs/dbraw/zinc/09/56/85/388095685.db2.gz HHNAYBFUWAWFAD-CHWSQXEVSA-N 0 3 223.360 2.842 20 0 BFADHN CC(C)CSCCNCc1ccns1 ZINC000404471145 388096061 /nfs/dbraw/zinc/09/60/61/388096061.db2.gz QRXOGUAYIDXOPB-UHFFFAOYSA-N 0 3 230.402 2.622 20 0 BFADHN COC(C)(C)CCN1CCO[C@@H](C(C)(C)C)C1 ZINC000447841373 388096261 /nfs/dbraw/zinc/09/62/61/388096261.db2.gz CUVVIBPHXAUOBK-GFCCVEGCSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)(C)[C@H]1CN(CC2CCC2)CCO1 ZINC000447841092 388097165 /nfs/dbraw/zinc/09/71/65/388097165.db2.gz WKFORVQQWOSVPS-GFCCVEGCSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1cc[nH]n1 ZINC000086662518 388105305 /nfs/dbraw/zinc/10/53/05/388105305.db2.gz RETSSPITDXQINJ-PWSUYJOCSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@H]1CCC[C@@H](N(C)Cc2cnc(N)s2)C1 ZINC000086765948 388107883 /nfs/dbraw/zinc/10/78/83/388107883.db2.gz QRXLIZTVBNMNFP-VHSXEESVSA-N 0 3 239.388 2.736 20 0 BFADHN CC1CCC(N(C)Cc2cnc(N)s2)CC1 ZINC000086766097 388108118 /nfs/dbraw/zinc/10/81/18/388108118.db2.gz AIEZLMDUFBPMJK-UHFFFAOYSA-N 0 3 239.388 2.736 20 0 BFADHN CC[C@H](O)CNC1(c2ccccc2Cl)CC1 ZINC000645976996 388113369 /nfs/dbraw/zinc/11/33/69/388113369.db2.gz ISBWZIAEMPUDCT-JTQLQIEISA-N 0 3 239.746 2.690 20 0 BFADHN Cc1ccccc1C1(NC[C@@H]2CCCO2)CC1 ZINC000645979159 388113879 /nfs/dbraw/zinc/11/38/79/388113879.db2.gz ZVAVVWFPYVMTMT-ZDUSSCGKSA-N 0 3 231.339 2.753 20 0 BFADHN CC[C@H](N[C@H](C)c1cc(C)c(C)o1)[C@@H](C)O ZINC000453318700 388045032 /nfs/dbraw/zinc/04/50/32/388045032.db2.gz BTPAQFULYSBIKD-FOGDFJRCSA-N 0 3 225.332 2.706 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2ccno2)CC1 ZINC000533280587 388045467 /nfs/dbraw/zinc/04/54/67/388045467.db2.gz HMWDZQHVNFOEBK-LBPRGKRZSA-N 0 3 222.332 2.933 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@@H]1C(C)(C)C ZINC000453323879 388046706 /nfs/dbraw/zinc/04/67/06/388046706.db2.gz QHLRVXWUNWAVOK-NWDGAFQWSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@@H](F)CN1CCSC(C)(C)CC1 ZINC000440508629 388047702 /nfs/dbraw/zinc/04/77/02/388047702.db2.gz CNBMJHYMNCPEML-SNVBAGLBSA-N 0 3 219.369 2.952 20 0 BFADHN CC(C)(C)CCNC(=O)C[C@H](N)c1ccccc1 ZINC000083379022 388050454 /nfs/dbraw/zinc/05/04/54/388050454.db2.gz ZPXCHXHRHKWAQE-ZDUSSCGKSA-N 0 3 248.370 2.629 20 0 BFADHN CCC[C@@H](NCCC1(CO)CC1)c1ccccn1 ZINC000453334296 388050559 /nfs/dbraw/zinc/05/05/59/388050559.db2.gz HNJFPAOWTODEAB-CYBMUJFWSA-N 0 3 248.370 2.675 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCCC[C@@H]1C1CC1 ZINC000453336432 388050623 /nfs/dbraw/zinc/05/06/23/388050623.db2.gz YBLCMGJBCNEQIM-ZIAGYGMSSA-N 0 3 247.386 2.961 20 0 BFADHN CC[C@H](CSC)N[C@H](C)c1cnn(CC)c1 ZINC000162351494 388156235 /nfs/dbraw/zinc/15/62/35/388156235.db2.gz GTROLYBYIWSJJF-ZYHUDNBSSA-N 0 3 241.404 2.695 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H](C)CC(C)(C)C)[n-]1 ZINC000449203743 388157096 /nfs/dbraw/zinc/15/70/96/388157096.db2.gz KTEHYRTVJYQYRI-ZJUUUORDSA-N 0 3 238.379 2.842 20 0 BFADHN CCc1nnc([C@H](C)N[C@H](C)CC(C)(C)C)[nH]1 ZINC000449203743 388157097 /nfs/dbraw/zinc/15/70/97/388157097.db2.gz KTEHYRTVJYQYRI-ZJUUUORDSA-N 0 3 238.379 2.842 20 0 BFADHN CC1=CC[C@@H](NCc2ccccn2)CC1 ZINC000646150014 388157495 /nfs/dbraw/zinc/15/74/95/388157495.db2.gz FAGXYDAFAWPLNY-GFCCVEGCSA-N 0 3 202.301 2.670 20 0 BFADHN Cc1nocc1CN1CCC2(CCC2)CC1 ZINC000646195107 388162157 /nfs/dbraw/zinc/16/21/57/388162157.db2.gz DSDMQLKWHDSRQN-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN Cc1cc(CN2C[C@H](C)N(C)C[C@H]2C)cs1 ZINC000449250169 388162631 /nfs/dbraw/zinc/16/26/31/388162631.db2.gz ODFPOSFVBVHYBP-WDEREUQCSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@H]3OCCC[C@@H]23)c1F ZINC000449273761 388165725 /nfs/dbraw/zinc/16/57/25/388165725.db2.gz ZTXUCKRPVVORFT-BFHYXJOUSA-N 0 3 249.329 2.791 20 0 BFADHN CC[C@@H]1CN(CC2=CCCC2)C[C@@H](CC)O1 ZINC000645928961 388167369 /nfs/dbraw/zinc/16/73/69/388167369.db2.gz YYMOKJMVUJBDNL-ZIAGYGMSSA-N 0 3 223.360 2.986 20 0 BFADHN CCc1nn(C)cc1CN1CC(C)(C)[C@H]1C(C)C ZINC000646221140 388167623 /nfs/dbraw/zinc/16/76/23/388167623.db2.gz WMHPGPSBCJUKFQ-CQSZACIVSA-N 0 3 249.402 2.849 20 0 BFADHN CSC[C@@H](C)NCc1cc(C)ccc1F ZINC000164182160 388167673 /nfs/dbraw/zinc/16/76/73/388167673.db2.gz YUEPYGUFOZRORZ-SNVBAGLBSA-N 0 3 227.348 2.975 20 0 BFADHN C[C@H]1C[C@@H]1CN[C@@H]1CCOc2c(F)cccc21 ZINC000488568908 388188222 /nfs/dbraw/zinc/18/82/22/388188222.db2.gz BTPIJBYSZJKXHY-OPQQBVKSSA-N 0 3 235.302 2.895 20 0 BFADHN CCc1nc(C)c(CN[C@H](C)Cc2ccoc2)o1 ZINC000449338400 388175705 /nfs/dbraw/zinc/17/57/05/388175705.db2.gz GEZBLCLYXGEMHM-SNVBAGLBSA-N 0 3 248.326 2.859 20 0 BFADHN COCC(C)(C)CNCc1cc2cccnc2o1 ZINC000449338622 388176272 /nfs/dbraw/zinc/17/62/72/388176272.db2.gz VYJRXZSZRVRFJS-UHFFFAOYSA-N 0 3 248.326 2.590 20 0 BFADHN COC[C@@H]1CCN1Cc1cccc2ccoc21 ZINC000449339141 388176314 /nfs/dbraw/zinc/17/63/14/388176314.db2.gz JMEDRHPREKFODW-ZDUSSCGKSA-N 0 3 231.295 2.654 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@@H]1CCC[C@@H]1F ZINC000449343550 388176790 /nfs/dbraw/zinc/17/67/90/388176790.db2.gz LKRYQODVDYMZND-ADEWGFFLSA-N 0 3 211.280 2.691 20 0 BFADHN COc1cc(C)ccc1CNC[C@H](OC)C1CC1 ZINC000449343864 388176887 /nfs/dbraw/zinc/17/68/87/388176887.db2.gz NRNYYMSOAQYVEP-HNNXBMFYSA-N 0 3 249.354 2.518 20 0 BFADHN C[C@@H](F)CCNCc1ccnn1C1CCCC1 ZINC000449343781 388176932 /nfs/dbraw/zinc/17/69/32/388176932.db2.gz MZJGFBWNOXHROC-LLVKDONJSA-N 0 3 239.338 2.836 20 0 BFADHN CC[C@@H](CNCc1cccc2ccoc21)OC ZINC000449341383 388177209 /nfs/dbraw/zinc/17/72/09/388177209.db2.gz YWPGTQQDRLSBIC-ZDUSSCGKSA-N 0 3 233.311 2.947 20 0 BFADHN CC(C)C[C@@H](C)CN(C1CC1)C1COC1 ZINC000449348175 388177593 /nfs/dbraw/zinc/17/75/93/388177593.db2.gz BLZCQJQRAWDVCK-LLVKDONJSA-N 0 3 211.349 2.532 20 0 BFADHN FCC(CF)N[C@@H]1CCCC[C@H]1C1CC1 ZINC000449381545 388188754 /nfs/dbraw/zinc/18/87/54/388188754.db2.gz SOAPCYICPHAVQF-NWDGAFQWSA-N 0 3 217.303 2.852 20 0 BFADHN COC[C@H](C)N[C@H]1CCCc2c(F)cccc21 ZINC000449348484 388178494 /nfs/dbraw/zinc/17/84/94/388178494.db2.gz GTMYLSFKYARUNY-HZMBPMFUSA-N 0 3 237.318 2.828 20 0 BFADHN COC1(CN2CCC[C@](C)(F)C2)CCCC1 ZINC000449348454 388178555 /nfs/dbraw/zinc/17/85/55/388178555.db2.gz GMGLHCSTFHSBQN-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN CCSCCN1CCC[C@@](C)(F)C1 ZINC000449350796 388178776 /nfs/dbraw/zinc/17/87/76/388178776.db2.gz NKWBKGVZQRFDJQ-SNVBAGLBSA-N 0 3 205.342 2.564 20 0 BFADHN Cc1ccc(CNCCCC2CCOCC2)nc1 ZINC000449353434 388179817 /nfs/dbraw/zinc/17/98/17/388179817.db2.gz BJPCELUVZTYRTJ-UHFFFAOYSA-N 0 3 248.370 2.686 20 0 BFADHN CC(C)C1CC(N[C@@H]2c3ccccc3C[C@@H]2O)C1 ZINC000488454873 388180281 /nfs/dbraw/zinc/18/02/81/388180281.db2.gz JMIYBDNESORDON-FPCDFSMTSA-N 0 3 245.366 2.669 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cccnc1OC ZINC000488449574 388180586 /nfs/dbraw/zinc/18/05/86/388180586.db2.gz OJSIEVULPXBZFZ-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)[NH2+]C2CC(C)C2)[n-]1 ZINC000488499238 388182807 /nfs/dbraw/zinc/18/28/07/388182807.db2.gz NTICRCQALLNBDH-SEQHWMEXSA-N 0 3 236.363 2.767 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCO[C@H](C2CCCCC2)C1 ZINC000488521787 388183103 /nfs/dbraw/zinc/18/31/03/388183103.db2.gz UXIQRWKYLLPZMG-YUELXQCFSA-N 0 3 237.387 2.924 20 0 BFADHN CO[C@]1(C)C[C@H](NCc2cccc(C)n2)C1(C)C ZINC000162484860 388184174 /nfs/dbraw/zinc/18/41/74/388184174.db2.gz DBKCVWNMGDMEID-DZGCQCFKSA-N 0 3 248.370 2.683 20 0 BFADHN CC(C)(C)n1cc(CNC2CC(C)(F)C2)cn1 ZINC000449370665 388184472 /nfs/dbraw/zinc/18/44/72/388184472.db2.gz LMAAYEBWAUKGLG-UHFFFAOYSA-N 0 3 239.338 2.618 20 0 BFADHN COc1cccc2c1CCN(C[C@H]1C[C@@H]1C)C2 ZINC000488537041 388185509 /nfs/dbraw/zinc/18/55/09/388185509.db2.gz PJZXWBCIFYEHIP-WCQYABFASA-N 0 3 231.339 2.709 20 0 BFADHN Fc1cccc(C2(NC[C@H]3CCCO3)CCC2)c1 ZINC000646004790 388123022 /nfs/dbraw/zinc/12/30/22/388123022.db2.gz PPPGRKLSQSHKON-CQSZACIVSA-N 0 3 249.329 2.974 20 0 BFADHN CC(C)n1ncnc1CN[C@H](C1CC1)C1CCC1 ZINC000324782800 388124658 /nfs/dbraw/zinc/12/46/58/388124658.db2.gz IQVPWFXEKWBPRH-AWEZNQCLSA-N 0 3 248.374 2.527 20 0 BFADHN CC(C)N(C)C(=O)[C@@H](C)N(C)CCC(C)(C)C ZINC000646027853 388126887 /nfs/dbraw/zinc/12/68/87/388126887.db2.gz JPLZUYQNNPIWDK-GFCCVEGCSA-N 0 3 242.407 2.610 20 0 BFADHN CCN(CC)C(=O)[C@@H](C)N(C)CCC(C)(C)C ZINC000646027280 388126909 /nfs/dbraw/zinc/12/69/09/388126909.db2.gz CGCCAAVYOKSYLJ-GFCCVEGCSA-N 0 3 242.407 2.611 20 0 BFADHN CC1(CNC2(c3ccccc3F)CCC2)COC1 ZINC000646026483 388127032 /nfs/dbraw/zinc/12/70/32/388127032.db2.gz QSHXGXXVZRBHTM-UHFFFAOYSA-N 0 3 249.329 2.831 20 0 BFADHN CCCCCNC(=O)CN(C)CCC(C)(C)C ZINC000646027552 388127149 /nfs/dbraw/zinc/12/71/49/388127149.db2.gz OTPLZKHBDABYQF-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CCCN1CCN(CCc2cccc(C)c2)CC1 ZINC000449001746 388127205 /nfs/dbraw/zinc/12/72/05/388127205.db2.gz FVBRPOIPHYBGDE-UHFFFAOYSA-N 0 3 246.398 2.565 20 0 BFADHN COc1ncccc1CN(C)CCC(C)(C)C ZINC000646028610 388127836 /nfs/dbraw/zinc/12/78/36/388127836.db2.gz KABLJZJAIDFYKY-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN C[C@H](c1cnccn1)N(C)CCC(C)(C)C ZINC000646028539 388128381 /nfs/dbraw/zinc/12/83/81/388128381.db2.gz BGUXZKWDNRAVJS-LLVKDONJSA-N 0 3 221.348 2.906 20 0 BFADHN Cc1cccc(CCN2CCOC[C@H]2C2CC2)c1 ZINC000449031150 388132663 /nfs/dbraw/zinc/13/26/63/388132663.db2.gz UOOKEGMSAMMRKW-INIZCTEOSA-N 0 3 245.366 2.648 20 0 BFADHN Cc1cccc(CCN2CCSC[C@@H]2C)c1 ZINC000449036800 388133988 /nfs/dbraw/zinc/13/39/88/388133988.db2.gz VTXIZEBZURKPIL-ZDUSSCGKSA-N 0 3 235.396 2.975 20 0 BFADHN Cc1cccc(CCN(C)Cc2ccc[nH]2)c1 ZINC000449036369 388134544 /nfs/dbraw/zinc/13/45/44/388134544.db2.gz JLOSEHIUIDBQKA-UHFFFAOYSA-N 0 3 228.339 2.998 20 0 BFADHN CCCCN[C@@H](CCO)c1ccccc1F ZINC000646067940 388136196 /nfs/dbraw/zinc/13/61/96/388136196.db2.gz DXPXVRLKPZHYMT-ZDUSSCGKSA-N 0 3 225.307 2.639 20 0 BFADHN CC[C@@H](C)CN[C@@H](CCO)c1ccccc1F ZINC000646068691 388136255 /nfs/dbraw/zinc/13/62/55/388136255.db2.gz ZDBIQCHQAHZBHZ-RISCZKNCSA-N 0 3 239.334 2.885 20 0 BFADHN CC[C@@H](C)CN[C@H](CCO)c1ccccc1F ZINC000646068689 388136270 /nfs/dbraw/zinc/13/62/70/388136270.db2.gz ZDBIQCHQAHZBHZ-BXUZGUMPSA-N 0 3 239.334 2.885 20 0 BFADHN CCCCN[C@H](CCO)c1ccccc1F ZINC000646067939 388136302 /nfs/dbraw/zinc/13/63/02/388136302.db2.gz DXPXVRLKPZHYMT-CYBMUJFWSA-N 0 3 225.307 2.639 20 0 BFADHN OCC[C@@H](N[C@H]1C=CCCC1)c1ccccc1F ZINC000646068699 388136352 /nfs/dbraw/zinc/13/63/52/388136352.db2.gz ZFYKWVAJKMIVHK-SWLSCSKDSA-N 0 3 249.329 2.948 20 0 BFADHN Cc1nocc1CN1CC[C@H](c2ccco2)C1 ZINC000646086504 388139224 /nfs/dbraw/zinc/13/92/24/388139224.db2.gz JIQXXZMXYRPQAJ-NSHDSACASA-N 0 3 232.283 2.566 20 0 BFADHN Cc1cccn2cc(CN(C)C(C)(C)C)nc12 ZINC000646087798 388139829 /nfs/dbraw/zinc/13/98/29/388139829.db2.gz YPCZRCLDDCYQQO-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN Cc1sccc1CN1CCCOC[C@@H]1C ZINC000646088859 388140694 /nfs/dbraw/zinc/14/06/94/388140694.db2.gz SXHMQMYBKOFWHT-JTQLQIEISA-N 0 3 225.357 2.667 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1CC1(C)C ZINC000449095137 388141150 /nfs/dbraw/zinc/14/11/50/388141150.db2.gz WKUSSJVEYHEEAK-CYBMUJFWSA-N 0 3 219.328 2.892 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1cccc(F)c1 ZINC000164065668 388145031 /nfs/dbraw/zinc/14/50/31/388145031.db2.gz QNQOMPVUJAFQSA-UWVGGRQHSA-N 0 3 211.280 2.511 20 0 BFADHN C[C@H](O)CN1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC000646117805 388145728 /nfs/dbraw/zinc/14/57/28/388145728.db2.gz AISHJWSDYRBQAL-DZGCQCFKSA-N 0 3 243.350 2.761 20 0 BFADHN CC[C@@H](NCCn1cccn1)c1csc(C)c1 ZINC000646126821 388148178 /nfs/dbraw/zinc/14/81/78/388148178.db2.gz DXJXEOHSXDHNSG-CYBMUJFWSA-N 0 3 249.383 2.994 20 0 BFADHN C[C@@H](Cc1ccoc1)NCCC(F)(F)F ZINC000449169183 388152023 /nfs/dbraw/zinc/15/20/23/388152023.db2.gz CRVFOBPYXFEBPJ-QMMMGPOBSA-N 0 3 221.222 2.753 20 0 BFADHN Cc1cc(CN2CCCC[C@H]2C2CCC2)ncn1 ZINC000646140090 388154287 /nfs/dbraw/zinc/15/42/87/388154287.db2.gz SFBJPZWFZOQCMH-HNNXBMFYSA-N 0 3 245.370 2.940 20 0 BFADHN COc1cc([C@H](C)NCC2=CCCC2)ccn1 ZINC000645916202 388252896 /nfs/dbraw/zinc/25/28/96/388252896.db2.gz OMJNEZXWFBBBNX-NSHDSACASA-N 0 3 232.327 2.851 20 0 BFADHN CC(C)(CO)CCNCc1ccsc1Cl ZINC000312584831 256524998 /nfs/dbraw/zinc/52/49/98/256524998.db2.gz PHEGIUHADSAMCL-UHFFFAOYSA-N 0 3 247.791 2.900 20 0 BFADHN C[C@@H](NCCO)c1cccc(Cl)c1Cl ZINC000166474769 388254953 /nfs/dbraw/zinc/25/49/53/388254953.db2.gz ZXECAXRJEBDCBS-SSDOTTSWSA-N 0 3 234.126 2.636 20 0 BFADHN CO[C@H](C)CN[C@H]1CCCc2sccc21 ZINC000167067007 388255919 /nfs/dbraw/zinc/25/59/19/388255919.db2.gz JYQXZEQOYVAOBK-KOLCDFICSA-N 0 3 225.357 2.750 20 0 BFADHN Cc1cnn([C@H]2CCN(CC3=CCCC3)C2)c1 ZINC000645919751 388260906 /nfs/dbraw/zinc/26/09/06/388260906.db2.gz WQOXYOPKIKPDNY-AWEZNQCLSA-N 0 3 231.343 2.549 20 0 BFADHN CCCN(C[C@@H]1C[C@H]1C)[C@@H](CC)C(=O)OCC ZINC000488578934 388189035 /nfs/dbraw/zinc/18/90/35/388189035.db2.gz GFNFTUQCUWTDCU-AGIUHOORSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCc2cc(F)ccc2C1 ZINC000488585339 388189648 /nfs/dbraw/zinc/18/96/48/388189648.db2.gz TZFNASGSCXRZCR-GXFFZTMASA-N 0 3 219.303 2.840 20 0 BFADHN C[C@@H](c1ccccn1)N(C)C[C@H]1C[C@H]1C ZINC000488593670 388190281 /nfs/dbraw/zinc/19/02/81/388190281.db2.gz UBXORVOTMADTDH-GRYCIOLGSA-N 0 3 204.317 2.730 20 0 BFADHN C[C@@H](CN1CC[C@@H](F)C1)c1ccccc1 ZINC000449389966 388191860 /nfs/dbraw/zinc/19/18/60/388191860.db2.gz FIQJSYYULHHAJL-WCQYABFASA-N 0 3 207.292 2.834 20 0 BFADHN C[C@@H](Cc1ccccc1)CN1CC[C@H](F)C1 ZINC000449391859 388192399 /nfs/dbraw/zinc/19/23/99/388192399.db2.gz IQJULBGFLFZPRO-JSGCOSHPSA-N 0 3 221.319 2.909 20 0 BFADHN CCc1ccc(CN2CC[C@@](C)(COC)C2)cn1 ZINC000449398170 388194478 /nfs/dbraw/zinc/19/44/78/388194478.db2.gz XJSZUMMMELJDKQ-OAHLLOKOSA-N 0 3 248.370 2.502 20 0 BFADHN C[C@@H]1CCC[C@H](C[NH2+]Cc2nnc(C3CC3)[n-]2)C1 ZINC000449406058 388196790 /nfs/dbraw/zinc/19/67/90/388196790.db2.gz RXPJVIFVOMGIAZ-MNOVXSKESA-N 0 3 248.374 2.598 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2nnc(C3CC3)[nH]2)C1 ZINC000449406058 388196793 /nfs/dbraw/zinc/19/67/93/388196793.db2.gz RXPJVIFVOMGIAZ-MNOVXSKESA-N 0 3 248.374 2.598 20 0 BFADHN CCN(CC[C@@H](C)c1ccccc1)C1COC1 ZINC000449422541 388200753 /nfs/dbraw/zinc/20/07/53/388200753.db2.gz FAUAWUYKPHWTSN-CYBMUJFWSA-N 0 3 233.355 2.901 20 0 BFADHN COc1cc(C)c(CN2CC[C@H]2C2CC2)c(C)n1 ZINC000449423649 388202011 /nfs/dbraw/zinc/20/20/11/388202011.db2.gz BCYXHSQGSTYBNL-AWEZNQCLSA-N 0 3 246.354 2.691 20 0 BFADHN Fc1cccc(CN2CC[C@H]2C2CC2)c1 ZINC000449424950 388202303 /nfs/dbraw/zinc/20/23/03/388202303.db2.gz LBFCHXIZSUUWOF-ZDUSSCGKSA-N 0 3 205.276 2.810 20 0 BFADHN CCN(CCCCOc1ccccc1)C1COC1 ZINC000449427685 388202814 /nfs/dbraw/zinc/20/28/14/388202814.db2.gz SNMLXBAOQJAJPX-UHFFFAOYSA-N 0 3 249.354 2.566 20 0 BFADHN COc1ccc(CN2CC[C@@H]2C2CC2)cc1 ZINC000449430036 388203916 /nfs/dbraw/zinc/20/39/16/388203916.db2.gz YSEZCSYBXSJEOK-CQSZACIVSA-N 0 3 217.312 2.680 20 0 BFADHN Fc1ccc(CN2CC[C@H]2C2CC2)cc1 ZINC000449429119 388204126 /nfs/dbraw/zinc/20/41/26/388204126.db2.gz WRZIEOHZSWIOET-ZDUSSCGKSA-N 0 3 205.276 2.810 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1ncc(C)n1C ZINC000449432275 388204735 /nfs/dbraw/zinc/20/47/35/388204735.db2.gz GPFCQAZSKDVORK-OLZOCXBDSA-N 0 3 235.375 2.644 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1ncc(C)n1C ZINC000449432277 388204854 /nfs/dbraw/zinc/20/48/54/388204854.db2.gz GPFCQAZSKDVORK-STQMWFEESA-N 0 3 235.375 2.644 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1conc1C ZINC000449435171 388205546 /nfs/dbraw/zinc/20/55/46/388205546.db2.gz VFGDQIARBGSVPK-VXGBXAGGSA-N 0 3 222.332 2.899 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1cocn1 ZINC000449433637 388205553 /nfs/dbraw/zinc/20/55/53/388205553.db2.gz NCFALURUMLLQTK-GHMZBOCLSA-N 0 3 208.305 2.591 20 0 BFADHN CCc1ccc(CN2CC[C@H](SC)C2)cn1 ZINC000449445771 388207531 /nfs/dbraw/zinc/20/75/31/388207531.db2.gz LSLJKLFMBZMHIA-ZDUSSCGKSA-N 0 3 236.384 2.581 20 0 BFADHN CC/C=C\CNCc1ccc(CC)nc1 ZINC000449452232 388209120 /nfs/dbraw/zinc/20/91/20/388209120.db2.gz FRMPRKYAWNPVEQ-WAYWQWQTSA-N 0 3 204.317 2.700 20 0 BFADHN CC/C=C\CNCc1cnn(CC(C)C)c1 ZINC000449452594 388209214 /nfs/dbraw/zinc/20/92/14/388209214.db2.gz GIZJIVDCXCUZJN-WAYWQWQTSA-N 0 3 221.348 2.595 20 0 BFADHN CC/C=C\CNCc1ccc(N(C)CC)nc1 ZINC000449454424 388209836 /nfs/dbraw/zinc/20/98/36/388209836.db2.gz JTYUCZXFPSDNRT-SREVYHEPSA-N 0 3 233.359 2.594 20 0 BFADHN Cc1nocc1CN1C[C@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000449454474 388209979 /nfs/dbraw/zinc/20/99/79/388209979.db2.gz ZJTXXQDOISBMDX-UNQGMJICSA-N 0 3 244.338 2.627 20 0 BFADHN CCC[C@H](NCc1nccn1C)C(C)(C)C ZINC000449464993 388212093 /nfs/dbraw/zinc/21/20/93/388212093.db2.gz ANTHIPKTYAWLMQ-NSHDSACASA-N 0 3 223.364 2.725 20 0 BFADHN CCC[C@H](NCc1ncc[nH]1)C(C)(C)C ZINC000449477883 388214466 /nfs/dbraw/zinc/21/44/66/388214466.db2.gz XDFKPXDTNJIMBP-JTQLQIEISA-N 0 3 209.337 2.714 20 0 BFADHN CN(CCOc1cccc(F)c1)[C@@H]1CC1(C)C ZINC000489473700 388215788 /nfs/dbraw/zinc/21/57/88/388215788.db2.gz JBGGPIFSVSLQBB-CYBMUJFWSA-N 0 3 237.318 2.935 20 0 BFADHN CN(C)c1ccccc1CN(C)[C@H]1CC1(C)C ZINC000489482863 388217084 /nfs/dbraw/zinc/21/70/84/388217084.db2.gz SIHAKACFJFXDNI-AWEZNQCLSA-N 0 3 232.371 2.983 20 0 BFADHN CCO[C@@H]1CCN(Cc2occc2C)C[C@@H]1C ZINC000449496039 388219088 /nfs/dbraw/zinc/21/90/88/388219088.db2.gz PHSRTDCWPNRXJT-QWHCGFSZSA-N 0 3 237.343 2.835 20 0 BFADHN Cc1nc2ccccn2c1CN(C)[C@H]1CC1(C)C ZINC000489504023 388219399 /nfs/dbraw/zinc/21/93/99/388219399.db2.gz WIMFBFLGPGPTCG-ZDUSSCGKSA-N 0 3 243.354 2.873 20 0 BFADHN CCO[C@H]1CCN(Cc2cccnc2C)C[C@H]1C ZINC000449500919 388219423 /nfs/dbraw/zinc/21/94/23/388219423.db2.gz VKIIGQYSYZLOSN-DOMZBBRYSA-N 0 3 248.370 2.637 20 0 BFADHN CCc1ccc(CN2CC[C@H](OC)[C@@H](C)C2)cn1 ZINC000449505752 388220483 /nfs/dbraw/zinc/22/04/83/388220483.db2.gz RSVCADNMGWEHOF-WFASDCNBSA-N 0 3 248.370 2.501 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC)[C@@H](C)C2)cn1 ZINC000449505750 388220556 /nfs/dbraw/zinc/22/05/56/388220556.db2.gz RSVCADNMGWEHOF-SWLSCSKDSA-N 0 3 248.370 2.501 20 0 BFADHN CCC[C@H](CC(C)C)C(=O)NC[C@@H](C)N(C)C ZINC000449517036 388222049 /nfs/dbraw/zinc/22/20/49/388222049.db2.gz DLJPGMVRBSVEKZ-CHWSQXEVSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1nocc1CN1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000449530775 388224912 /nfs/dbraw/zinc/22/49/12/388224912.db2.gz AOWLUDCOVVKXTA-ZIAGYGMSSA-N 0 3 232.327 2.603 20 0 BFADHN c1cc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)ccn1 ZINC000449534665 388225682 /nfs/dbraw/zinc/22/56/82/388225682.db2.gz GWBCSJCVWNGDBB-GJZGRUSLSA-N 0 3 228.339 2.702 20 0 BFADHN c1cncc(CN2C[C@H](C3CC3)[C@H]2C2CC2)c1 ZINC000449532203 388226082 /nfs/dbraw/zinc/22/60/82/388226082.db2.gz DBSKSSWKFCFQLD-HUUCEWRRSA-N 0 3 228.339 2.702 20 0 BFADHN C=Cn1cc(CN2C[C@H](C3CC3)[C@H]2C2CC2)cn1 ZINC000449545289 388227860 /nfs/dbraw/zinc/22/78/60/388227860.db2.gz YNKDEGJXADOQFD-HUUCEWRRSA-N 0 3 243.354 2.604 20 0 BFADHN Cc1nocc1CNCCc1cc(C)ccc1F ZINC000449551333 388230624 /nfs/dbraw/zinc/23/06/24/388230624.db2.gz FUINVHSFJJTENI-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCC(C)(C)C ZINC000449561555 388232590 /nfs/dbraw/zinc/23/25/90/388232590.db2.gz CXJIETNUUFQWQN-LLVKDONJSA-N 0 3 223.364 2.990 20 0 BFADHN CC[C@H](N[C@@H](C)c1nccn1CC)C(C)C ZINC000449562749 388232649 /nfs/dbraw/zinc/23/26/49/388232649.db2.gz NRVDJNWWGPBEDU-RYUDHWBXSA-N 0 3 223.364 2.988 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H](C)CC(C)C ZINC000449561350 388233157 /nfs/dbraw/zinc/23/31/57/388233157.db2.gz BTZDHPYWGXTSAQ-VXGBXAGGSA-N 0 3 223.364 2.988 20 0 BFADHN CCC1CC(N[C@@H](C)c2nccn2CC)C1 ZINC000449564652 388233918 /nfs/dbraw/zinc/23/39/18/388233918.db2.gz CNTKDRBXQARUBK-UNXYVOJBSA-N 0 3 221.348 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H](C)Cc1ccoc1 ZINC000449567474 388235280 /nfs/dbraw/zinc/23/52/80/388235280.db2.gz WBKZCUKVLBCFTJ-RYUDHWBXSA-N 0 3 247.342 2.778 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](C)c1nccn1CC ZINC000449567884 388235374 /nfs/dbraw/zinc/23/53/74/388235374.db2.gz ZEBSBEIWXQUYJR-TUAOUCFPSA-N 0 3 221.348 2.742 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@@H]2C2CCC2)o1 ZINC000449608541 388241450 /nfs/dbraw/zinc/24/14/50/388241450.db2.gz IHNMGTZCUPHYKF-OLZOCXBDSA-N 0 3 234.343 2.905 20 0 BFADHN CCc1ncc(CNC2CCC(C)CC2)o1 ZINC000449608779 388241601 /nfs/dbraw/zinc/24/16/01/388241601.db2.gz KAMBCIPZHORWBC-UHFFFAOYSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1ncc(CNC[C@H]2CC=CCC2)o1 ZINC000449608089 388241897 /nfs/dbraw/zinc/24/18/97/388241897.db2.gz DZNQCICQASNNNL-NSHDSACASA-N 0 3 220.316 2.683 20 0 BFADHN CCc1ncc(CN[C@H]2CCC[C@H]2C2CC2)o1 ZINC000449610308 388242199 /nfs/dbraw/zinc/24/21/99/388242199.db2.gz QBQZVPCVBJFESR-STQMWFEESA-N 0 3 234.343 2.905 20 0 BFADHN CCc1ncc(CN[C@@H](CC)C2CCC2)o1 ZINC000449613776 388243135 /nfs/dbraw/zinc/24/31/35/388243135.db2.gz SYCUDTBCUOJGJY-LBPRGKRZSA-N 0 3 222.332 2.905 20 0 BFADHN CCCc1ncc(CN[C@@H](C)CC2CC2)o1 ZINC000449613075 388243157 /nfs/dbraw/zinc/24/31/57/388243157.db2.gz QPNIUCBVTYIOML-JTQLQIEISA-N 0 3 222.332 2.905 20 0 BFADHN CCCc1ncc(CNCC2(SC)CC2)o1 ZINC000449613470 388243482 /nfs/dbraw/zinc/24/34/82/388243482.db2.gz RZEVBGSTTQHDFK-UHFFFAOYSA-N 0 3 240.372 2.612 20 0 BFADHN CCCc1ncc(CN[C@@H]2C[C@@H](C)[C@@H]2C)o1 ZINC000449614255 388243665 /nfs/dbraw/zinc/24/36/65/388243665.db2.gz VCYGGWYXGKMJTN-JFGNBEQYSA-N 0 3 222.332 2.761 20 0 BFADHN CO[C@H](C)CN1CCCc2ccccc2CC1 ZINC000451712479 388291639 /nfs/dbraw/zinc/29/16/39/388291639.db2.gz QXEHFYJBJDGEER-CYBMUJFWSA-N 0 3 233.355 2.512 20 0 BFADHN COC[C@@H](C)N1CCCc2ccccc2CC1 ZINC000451715321 388291677 /nfs/dbraw/zinc/29/16/77/388291677.db2.gz WPYYDINEYRGAOW-CYBMUJFWSA-N 0 3 233.355 2.512 20 0 BFADHN CCC[C@H](CC(C)C)C(=O)N[C@@H](C)CN(C)C ZINC000451767176 388294650 /nfs/dbraw/zinc/29/46/50/388294650.db2.gz YMRHUMFNSNSJDT-QWHCGFSZSA-N 0 3 242.407 2.515 20 0 BFADHN FCCCCN1CCOCC12CCCCC2 ZINC000451811982 388297709 /nfs/dbraw/zinc/29/77/09/388297709.db2.gz GISSJCLQNSVEHQ-UHFFFAOYSA-N 0 3 229.339 2.771 20 0 BFADHN CCc1cccc(CN[C@H]2COCC2(C)C)c1 ZINC000451808746 388298043 /nfs/dbraw/zinc/29/80/43/388298043.db2.gz GRCQOXRJJLUWKX-AWEZNQCLSA-N 0 3 233.355 2.764 20 0 BFADHN Cc1cnc(CN2C[C@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)o1 ZINC000451361901 388265284 /nfs/dbraw/zinc/26/52/84/388265284.db2.gz HCTVKXOWWPBYDP-OSFYFWSMSA-N 0 3 244.338 2.627 20 0 BFADHN Cc1oncc1CN1C[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000451377893 388267859 /nfs/dbraw/zinc/26/78/59/388267859.db2.gz XPYFCDGQTRPHRX-BEAPCOKYSA-N 0 3 244.338 2.627 20 0 BFADHN COC[C@@H](NCCCC(C)C)c1ccco1 ZINC000276182542 388347152 /nfs/dbraw/zinc/34/71/52/388347152.db2.gz IGAXXYQTPFLBAL-GFCCVEGCSA-N 0 3 225.332 2.993 20 0 BFADHN c1cc2cccc(CNCC3=CCCOC3)c2[nH]1 ZINC000276126713 388347569 /nfs/dbraw/zinc/34/75/69/388347569.db2.gz XZPHTILZBBYIDG-UHFFFAOYSA-N 0 3 242.322 2.604 20 0 BFADHN CC[C@@H](CO)N[C@H](C)c1csc(Cl)c1 ZINC000276331755 388348861 /nfs/dbraw/zinc/34/88/61/388348861.db2.gz IROIDFOSAGFQMV-APPZFPTMSA-N 0 3 233.764 2.823 20 0 BFADHN C[C@@H](NC1CC(F)(F)C1)c1cccnc1 ZINC000277091298 388352194 /nfs/dbraw/zinc/35/21/94/388352194.db2.gz KNMVVFXTBBROSK-MRVPVSSYSA-N 0 3 212.243 2.530 20 0 BFADHN F[C@@H]1CCN([C@H]2CCc3ccccc32)C1 ZINC000648721636 388354706 /nfs/dbraw/zinc/35/47/06/388354706.db2.gz XBLLTDNADZXKFK-YPMHNXCESA-N 0 3 205.276 2.718 20 0 BFADHN CCC1(CNCc2cscc2Cl)COC1 ZINC000648787686 388356497 /nfs/dbraw/zinc/35/64/97/388356497.db2.gz RUUHNDIDZGKCGX-UHFFFAOYSA-N 0 3 245.775 2.918 20 0 BFADHN CCCc1nc(C)c(CN[C@@H]2CCSC2)o1 ZINC000293684191 388357830 /nfs/dbraw/zinc/35/78/30/388357830.db2.gz NJGHUNPOYPYFCE-SNVBAGLBSA-N 0 3 240.372 2.531 20 0 BFADHN CC[C@@H](C)[C@H](O)CNCc1ccsc1C ZINC000293683437 388358165 /nfs/dbraw/zinc/35/81/65/388358165.db2.gz VWCUBFGOFDZZFG-BXKDBHETSA-N 0 3 227.373 2.553 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1ccc(F)cn1)OC ZINC000293695461 388359577 /nfs/dbraw/zinc/35/95/77/388359577.db2.gz OMPKEDQPYMFRSV-CMPLNLGQSA-N 0 3 240.322 2.686 20 0 BFADHN CN1CCN(CC2(C)CC2)[C@@H](c2ccccc2)C1 ZINC000572672468 388365274 /nfs/dbraw/zinc/36/52/74/388365274.db2.gz IKQRFIJUMGLSSX-OAHLLOKOSA-N 0 3 244.382 2.775 20 0 BFADHN Fc1ccc(F)c(CN[C@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000309564332 388368130 /nfs/dbraw/zinc/36/81/30/388368130.db2.gz KLDNNSHWIFRIMA-PJXYFTJBSA-N 0 3 223.266 2.853 20 0 BFADHN CCC[C@H]1CCCC[C@H]1NCc1cc[nH]n1 ZINC000163842839 388368936 /nfs/dbraw/zinc/36/89/36/388368936.db2.gz GACPGBHDTDHVHD-WCQYABFASA-N 0 3 221.348 2.858 20 0 BFADHN CCC[C@@H]1CCCC[C@H]1NCc1cc[nH]n1 ZINC000163842724 388369014 /nfs/dbraw/zinc/36/90/14/388369014.db2.gz GACPGBHDTDHVHD-DGCLKSJQSA-N 0 3 221.348 2.858 20 0 BFADHN Cc1ccc(CN2CC[C@@H](O)[C@H]2C)cc1Cl ZINC000648800642 388373306 /nfs/dbraw/zinc/37/33/06/388373306.db2.gz LYJABRXVTYYECF-ZWNOBZJWSA-N 0 3 239.746 2.604 20 0 BFADHN CC[C@@H]1CN(C)CCN1CCc1ccc(C)cc1 ZINC000572801085 388377838 /nfs/dbraw/zinc/37/78/38/388377838.db2.gz MOXJEPPCUHWSSG-MRXNPFEDSA-N 0 3 246.398 2.564 20 0 BFADHN CC[C@H](NCc1ccc(CO)o1)C(C)(C)C ZINC000164520445 388379819 /nfs/dbraw/zinc/37/98/19/388379819.db2.gz SBPMBGNPHOQPDM-LBPRGKRZSA-N 0 3 225.332 2.686 20 0 BFADHN CC(C)C1CCC(NCc2cocn2)CC1 ZINC000236589200 388380533 /nfs/dbraw/zinc/38/05/33/388380533.db2.gz JGHXBDQABBNUIB-UHFFFAOYSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccccc2C2CC2)CO1 ZINC000402029175 388381215 /nfs/dbraw/zinc/38/12/15/388381215.db2.gz HVXXJPDVLXINSV-BXUZGUMPSA-N 0 3 231.339 2.831 20 0 BFADHN c1ccc(CN2CC[C@H]3CCCO[C@H]3C2)cc1 ZINC000306631257 388385753 /nfs/dbraw/zinc/38/57/53/388385753.db2.gz NAONCAAJZIXQIV-CABCVRRESA-N 0 3 231.339 2.688 20 0 BFADHN CO[C@H](CNCc1cc(Cl)cs1)C1CC1 ZINC000293001700 388386168 /nfs/dbraw/zinc/38/61/68/388386168.db2.gz NCJOGUCMYWURJG-LLVKDONJSA-N 0 3 245.775 2.916 20 0 BFADHN COC1(CCNCc2ccccc2F)CCC1 ZINC000293119853 388386788 /nfs/dbraw/zinc/38/67/88/388386788.db2.gz ZCMSFJISZNQUHC-UHFFFAOYSA-N 0 3 237.318 2.875 20 0 BFADHN CC[C@@H](C)[C@@H](N)C(=O)NCC(CC)(CC)CC ZINC000236964176 388387301 /nfs/dbraw/zinc/38/73/01/388387301.db2.gz HLIBRHPZCZLLJY-VXGBXAGGSA-N 0 3 242.407 2.692 20 0 BFADHN CCC(CC)CC(=O)Nc1cccc(CN)c1 ZINC000646578147 388387518 /nfs/dbraw/zinc/38/75/18/388387518.db2.gz MPADXYFEYLWTKD-UHFFFAOYSA-N 0 3 234.343 2.910 20 0 BFADHN C[C@H](C(=O)Nc1cccc(CN)c1)C1CCCC1 ZINC000646578103 388387712 /nfs/dbraw/zinc/38/77/12/388387712.db2.gz JUZOFMRIPDGDNY-NSHDSACASA-N 0 3 246.354 2.910 20 0 BFADHN COC[C@H](NCc1ccsc1C)C1CC1 ZINC000293373995 388388087 /nfs/dbraw/zinc/38/80/87/388388087.db2.gz VNQWBCORNNIXEH-LBPRGKRZSA-N 0 3 225.357 2.571 20 0 BFADHN COC[C@@H](NCc1ccsc1C)C1CC1 ZINC000293373984 388388476 /nfs/dbraw/zinc/38/84/76/388388476.db2.gz VNQWBCORNNIXEH-GFCCVEGCSA-N 0 3 225.357 2.571 20 0 BFADHN C[C@@H](CC(C)(C)O)NCc1cccc(F)c1F ZINC000294949582 388406278 /nfs/dbraw/zinc/40/62/78/388406278.db2.gz CXWGEADIJPZCRE-VIFPVBQESA-N 0 3 243.297 2.604 20 0 BFADHN c1cnc(CNC(C2CCC2)C2CCC2)cn1 ZINC000324930228 388390371 /nfs/dbraw/zinc/39/03/71/388390371.db2.gz NKCATSYTDPOHIK-UHFFFAOYSA-N 0 3 231.343 2.535 20 0 BFADHN C[C@@H]1C[C@H](NCC(C)(C)C)c2nccn21 ZINC000293869477 388392539 /nfs/dbraw/zinc/39/25/39/388392539.db2.gz DWRPSZSPNPURRC-ZJUUUORDSA-N 0 3 207.321 2.525 20 0 BFADHN CC(C)[C@H](C)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000294138594 388394887 /nfs/dbraw/zinc/39/48/87/388394887.db2.gz PCVFUSDWWSJNQO-OUAUKWLOSA-N 0 3 207.321 2.523 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CC3CCC2CC3)[n-]1 ZINC000516616859 388395855 /nfs/dbraw/zinc/39/58/55/388395855.db2.gz XTDPXNVMVBHQJC-HTAVTVPLSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CC3CCC2CC3)[nH]1 ZINC000516616859 388395857 /nfs/dbraw/zinc/39/58/57/388395857.db2.gz XTDPXNVMVBHQJC-HTAVTVPLSA-N 0 3 248.374 2.596 20 0 BFADHN Cc1nocc1CN[C@H](C)CCc1ccco1 ZINC000294245731 388397789 /nfs/dbraw/zinc/39/77/89/388397789.db2.gz UIBMATVKWXXNPW-SNVBAGLBSA-N 0 3 234.299 2.687 20 0 BFADHN CC(F)(F)CCNCc1cccc2c1OCO2 ZINC000294238057 388398090 /nfs/dbraw/zinc/39/80/90/388398090.db2.gz OXMFTUFTJPRCRY-UHFFFAOYSA-N 0 3 243.253 2.550 20 0 BFADHN Cc1sccc1CNCCN1CCCCC1 ZINC000294331008 388399317 /nfs/dbraw/zinc/39/93/17/388399317.db2.gz YODAMOHAVMMONF-UHFFFAOYSA-N 0 3 238.400 2.632 20 0 BFADHN C1=C[C@H](N2CCN(C3CCCC3)CC2)CCC1 ZINC000066492544 388401285 /nfs/dbraw/zinc/40/12/85/388401285.db2.gz CIGLIUNSYJUGDQ-AWEZNQCLSA-N 0 3 234.387 2.655 20 0 BFADHN COC1(CCN[C@H](C)c2nccs2)CCC1 ZINC000294648012 388402329 /nfs/dbraw/zinc/40/23/29/388402329.db2.gz QKPFEEUQXYGTHM-SNVBAGLBSA-N 0 3 240.372 2.753 20 0 BFADHN CC[C@@H](N[C@H](CC)c1cc(C)ccc1C)C(N)=O ZINC000528802022 388403094 /nfs/dbraw/zinc/40/30/94/388403094.db2.gz PZAZCRFUCSRSOO-ZIAGYGMSSA-N 0 3 248.370 2.608 20 0 BFADHN CCc1cccc(C)c1NC(=O)/C=C\CN(C)C ZINC000492072820 388333882 /nfs/dbraw/zinc/33/38/82/388333882.db2.gz UAYCQUSASMRILS-YFHOEESVSA-N 0 3 246.354 2.614 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1cncs1)OC ZINC000322619729 388440852 /nfs/dbraw/zinc/44/08/52/388440852.db2.gz NEVYHAKKFKEKHZ-ONGXEEELSA-N 0 3 228.361 2.609 20 0 BFADHN CCCN(Cc1cnc2ccccn12)C1CC1 ZINC000177301537 388480339 /nfs/dbraw/zinc/48/03/39/388480339.db2.gz BXFBNUBVHVGJHO-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN CC[C@@](C)(CNCc1sc(C)nc1C)OC ZINC000322658003 388443122 /nfs/dbraw/zinc/44/31/22/388443122.db2.gz ZLRPMCAITZPCOZ-LBPRGKRZSA-N 0 3 242.388 2.665 20 0 BFADHN CCO[C@H]1C[C@@H](N(C)CC2(F)CC2)C12CCC2 ZINC000525980269 388444627 /nfs/dbraw/zinc/44/46/27/388444627.db2.gz DYPKFGLLHRWJBQ-NEPJUHHUSA-N 0 3 241.350 2.768 20 0 BFADHN Cc1cc(CN)cc(NC(=O)CC2CCC2)c1 ZINC000322734007 388445319 /nfs/dbraw/zinc/44/53/19/388445319.db2.gz VDLMBXCVXSEYQV-UHFFFAOYSA-N 0 3 232.327 2.582 20 0 BFADHN CC[C@](C)(CNCc1ccc(F)cc1)OC ZINC000322750721 388445757 /nfs/dbraw/zinc/44/57/57/388445757.db2.gz DHDMJZMTUMEALW-CYBMUJFWSA-N 0 3 225.307 2.730 20 0 BFADHN CC[C@H](CN[C@@H](C)c1ccsc1)OC ZINC000290196483 388446757 /nfs/dbraw/zinc/44/67/57/388446757.db2.gz OTGONQNNVYTCPU-GXSJLCMTSA-N 0 3 213.346 2.824 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@H](C)C2)o1 ZINC000334723064 388447165 /nfs/dbraw/zinc/44/71/65/388447165.db2.gz CNMPVMIHSQYAPQ-GXSJLCMTSA-N 0 3 208.305 2.651 20 0 BFADHN c1cc2c(o1)CCC[C@H]2NCC1=CCOCC1 ZINC000334676694 388447606 /nfs/dbraw/zinc/44/76/06/388447606.db2.gz YCDYTBYZWKRVTC-CYBMUJFWSA-N 0 3 233.311 2.593 20 0 BFADHN Cc1cc(Cl)cc(CNC[C@@H](O)C2CC2)c1 ZINC000339252223 388480699 /nfs/dbraw/zinc/48/06/99/388480699.db2.gz AVDRHRHPHAQWRV-CYBMUJFWSA-N 0 3 239.746 2.509 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCCC[C@@H]1C ZINC000339205705 388480723 /nfs/dbraw/zinc/48/07/23/388480723.db2.gz VDSFCHODMJMCIU-JQWIXIFHSA-N 0 3 222.332 2.899 20 0 BFADHN CCC[C@@H](C)C(=O)Nc1cc(C)cc(CN)c1 ZINC000322884396 388450040 /nfs/dbraw/zinc/45/00/40/388450040.db2.gz CGKMTKQUIYPHDS-LLVKDONJSA-N 0 3 234.343 2.828 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCCOC2)cc(C)c1O ZINC000335321461 388450147 /nfs/dbraw/zinc/45/01/47/388450147.db2.gz LXGHVADQSUOJDS-AWEZNQCLSA-N 0 3 249.354 2.620 20 0 BFADHN CC[C@@H](C)CC(=O)Nc1cc(C)cc(CN)c1 ZINC000322917475 388450163 /nfs/dbraw/zinc/45/01/63/388450163.db2.gz LBSGGJUIOIYXIJ-SNVBAGLBSA-N 0 3 234.343 2.828 20 0 BFADHN C[C@H]1C[C@@H](NCc2coc3ccccc23)CO1 ZINC000335433847 388450557 /nfs/dbraw/zinc/45/05/57/388450557.db2.gz GPBHRZPHCAIRMH-CMPLNLGQSA-N 0 3 231.295 2.700 20 0 BFADHN CCO[C@H]1C[C@@H](NCC2(F)CC2)C12CCCC2 ZINC000525989078 388453087 /nfs/dbraw/zinc/45/30/87/388453087.db2.gz BFEXDQJQLNRZKJ-NEPJUHHUSA-N 0 3 241.350 2.816 20 0 BFADHN CCCN(CC(=O)OC(C)(C)C)C1CCCC1 ZINC000194992092 388458663 /nfs/dbraw/zinc/45/86/63/388458663.db2.gz QTTDLPUVVQBKAC-UHFFFAOYSA-N 0 3 241.375 2.983 20 0 BFADHN Cc1nocc1CN[C@@H]1CC[C@@H](C)C[C@H]1C ZINC000339259544 388481105 /nfs/dbraw/zinc/48/11/05/388481105.db2.gz XUPQNCIRVBBYOD-GIPNMCIBSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@H](CN[C@@H](C)c1ccccc1F)OC ZINC000336657416 388459217 /nfs/dbraw/zinc/45/92/17/388459217.db2.gz IIQJSKKFKLPSAH-WDEREUQCSA-N 0 3 225.307 2.901 20 0 BFADHN O[C@H](CNCc1cscc1Cl)C1CCC1 ZINC000648844320 388466193 /nfs/dbraw/zinc/46/61/93/388466193.db2.gz JSAXDIZFZXUGJA-LLVKDONJSA-N 0 3 245.775 2.652 20 0 BFADHN Cc1csc([C@H](C)N[C@H]2CSC[C@@H]2C)n1 ZINC000336719198 388467329 /nfs/dbraw/zinc/46/73/29/388467329.db2.gz YYTBJEWSEWBHJO-HGNGGELXSA-N 0 3 242.413 2.854 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1cc(F)cc(F)c1 ZINC000336715737 388467640 /nfs/dbraw/zinc/46/76/40/388467640.db2.gz VQSRBRZEJSNYBM-NEPJUHHUSA-N 0 3 243.322 2.948 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cnc(C(C)C)s1 ZINC000336723166 388468666 /nfs/dbraw/zinc/46/86/66/388468666.db2.gz DWSWUMYUOLUFLF-UWVGGRQHSA-N 0 3 242.388 2.780 20 0 BFADHN CC[C@H](NCCCCSC)c1ccn(C)n1 ZINC000336726343 388470258 /nfs/dbraw/zinc/47/02/58/388470258.db2.gz GHSBMLFSVDZHDV-NSHDSACASA-N 0 3 241.404 2.604 20 0 BFADHN CC[C@H](N[C@H]1CCC[C@H]1C)c1ccn(C)n1 ZINC000336728370 388470352 /nfs/dbraw/zinc/47/03/52/388470352.db2.gz HCBWRLVCZXDZLN-WOPDTQHZSA-N 0 3 221.348 2.649 20 0 BFADHN CCC[C@H](O)CNCc1cc(C)cc(Cl)c1 ZINC000339258601 388481565 /nfs/dbraw/zinc/48/15/65/388481565.db2.gz JCTJRTFTGCJTBQ-ZDUSSCGKSA-N 0 3 241.762 2.899 20 0 BFADHN Cc1ccoc1CN[C@@H]1[C@H]2CCCO[C@@H]2C1(C)C ZINC000336793177 388470854 /nfs/dbraw/zinc/47/08/54/388470854.db2.gz VSQYVVIKEMMYMW-BNOWGMLFSA-N 0 3 249.354 2.881 20 0 BFADHN C[C@@H](NCC1CCC1)c1ccc(F)cn1 ZINC000133867167 388472558 /nfs/dbraw/zinc/47/25/58/388472558.db2.gz WWEMAAKVIVPMFZ-SECBINFHSA-N 0 3 208.280 2.671 20 0 BFADHN CSC[C@H](C)N[C@@H](C)c1cncs1 ZINC000133956391 388473471 /nfs/dbraw/zinc/47/34/71/388473471.db2.gz KKLAKPHDODYNAU-YUMQZZPRSA-N 0 3 216.375 2.545 20 0 BFADHN C[C@H](N[C@@H]1CC1(C)C)c1ccc(F)cn1 ZINC000133997295 388474269 /nfs/dbraw/zinc/47/42/69/388474269.db2.gz XJSKSTNSPMFIIJ-GZMMTYOYSA-N 0 3 208.280 2.670 20 0 BFADHN C[C@H](NCCCOC(F)F)c1ccc(F)cn1 ZINC000338025545 388475094 /nfs/dbraw/zinc/47/50/94/388475094.db2.gz XMZLXPZWEDKHCF-QMMMGPOBSA-N 0 3 248.248 2.501 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2CCSC2)c1 ZINC000134350709 388475762 /nfs/dbraw/zinc/47/57/62/388475762.db2.gz LFSRVTSNVHKLFZ-SNVBAGLBSA-N 0 3 208.330 2.616 20 0 BFADHN CCN(Cc1cn2cc(C)ccc2n1)C(C)C ZINC000194095542 388476894 /nfs/dbraw/zinc/47/68/94/388476894.db2.gz LBUHZPHUBYXBQS-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN O[C@@H](CNCc1ccc(Cl)cc1)C1CCC1 ZINC000316273721 388408289 /nfs/dbraw/zinc/40/82/89/388408289.db2.gz GYKYIYYTCUIEIV-ZDUSSCGKSA-N 0 3 239.746 2.591 20 0 BFADHN C[C@H](NC[C@@H](O)C1CCC1)c1ccsc1 ZINC000316298948 388408983 /nfs/dbraw/zinc/40/89/83/388408983.db2.gz CYOLKMLAQIPEML-JOYOIKCWSA-N 0 3 225.357 2.560 20 0 BFADHN C[C@H](CC(C)(C)O)NCc1cc(F)ccc1F ZINC000295140840 388409223 /nfs/dbraw/zinc/40/92/23/388409223.db2.gz ZOXVUMSEDRDSAK-SECBINFHSA-N 0 3 243.297 2.604 20 0 BFADHN COC[C@H](NCc1cccc(C)c1F)C1CC1 ZINC000295838306 388414102 /nfs/dbraw/zinc/41/41/02/388414102.db2.gz VTFPYZCRANCQLU-ZDUSSCGKSA-N 0 3 237.318 2.649 20 0 BFADHN CC(C)n1nccc1CNCCC(C)(F)F ZINC000295950505 388415601 /nfs/dbraw/zinc/41/56/01/388415601.db2.gz HBEPRVZKMCJCSS-UHFFFAOYSA-N 0 3 231.290 2.599 20 0 BFADHN CC[C@H](NCc1cccc(C)c1F)C(C)(C)O ZINC000296069680 388417231 /nfs/dbraw/zinc/41/72/31/388417231.db2.gz PTKASJJJBOWETF-LBPRGKRZSA-N 0 3 239.334 2.773 20 0 BFADHN C[C@H](NCc1cn2ccccc2n1)[C@H]1CC1(C)C ZINC000397568911 388479248 /nfs/dbraw/zinc/47/92/48/388479248.db2.gz CXPRWKPWPUTNON-WCQYABFASA-N 0 3 243.354 2.859 20 0 BFADHN OCc1ccc(CN[C@@H]2CC[C@H]3CCC[C@H]3C2)o1 ZINC000525181908 388419310 /nfs/dbraw/zinc/41/93/10/388419310.db2.gz FAXJKDHWCNDRKN-FRRDWIJNSA-N 0 3 249.354 2.830 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(F)c(F)c2)[C@H](C)O1 ZINC000296301696 388421146 /nfs/dbraw/zinc/42/11/46/388421146.db2.gz GDIYXXXBZRFYPU-VYUIOLGVSA-N 0 3 241.281 2.620 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@H](O)C2CCC2)o1 ZINC000316868122 388422537 /nfs/dbraw/zinc/42/25/37/388422537.db2.gz ZNIMDWGCEOHHET-HONMWMINSA-N 0 3 249.354 2.654 20 0 BFADHN C1=C(CN2CCC[C@@H]3CCC[C@@H]32)COCC1 ZINC000245569671 388423989 /nfs/dbraw/zinc/42/39/89/388423989.db2.gz WKEAPPUPYNAUPM-KBPBESRZSA-N 0 3 221.344 2.598 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCOC2(C)C)o1 ZINC000296662927 388425181 /nfs/dbraw/zinc/42/51/81/388425181.db2.gz ZYWWTZJVMPFHAF-JQWIXIFHSA-N 0 3 223.316 2.806 20 0 BFADHN CCc1cnc(CNc2ccnc(CC)c2)o1 ZINC000307773864 388425247 /nfs/dbraw/zinc/42/52/47/388425247.db2.gz QKDAEIQACWGDTN-UHFFFAOYSA-N 0 3 231.299 2.807 20 0 BFADHN CCOC(=O)[C@@H](CC)N1CC[C@H](CC(C)C)C1 ZINC000307802046 388426964 /nfs/dbraw/zinc/42/69/64/388426964.db2.gz CPLQJUQAUWVRBQ-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1ccc([C@@H](C)NCC[C@H](C)CCO)o1 ZINC000297446063 388429166 /nfs/dbraw/zinc/42/91/66/388429166.db2.gz WQDVHCNWKUFMOL-CMPLNLGQSA-N 0 3 225.332 2.647 20 0 BFADHN CN(CCC1CC1)Cc1ccc2c(n1)CCC2 ZINC000573183236 388429963 /nfs/dbraw/zinc/42/99/63/388429963.db2.gz HBDDNDRDOIXVGI-UHFFFAOYSA-N 0 3 230.355 2.802 20 0 BFADHN CCc1cnc(CN[C@H](C)[C@@H]2C[C@H]2C)s1 ZINC000322359367 388432589 /nfs/dbraw/zinc/43/25/89/388432589.db2.gz WHGLHVMKBXWTIN-FXPVBKGRSA-N 0 3 224.373 2.840 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1cncc(F)c1)OC ZINC000322500190 388436618 /nfs/dbraw/zinc/43/66/18/388436618.db2.gz FVHUEFOZHJZUGD-ZWNOBZJWSA-N 0 3 240.322 2.686 20 0 BFADHN CC[C@](C)(CNCc1cc(F)cc(F)c1)OC ZINC000322535609 388438190 /nfs/dbraw/zinc/43/81/90/388438190.db2.gz RGZQFONJFLVDHW-CYBMUJFWSA-N 0 3 243.297 2.870 20 0 BFADHN CC[C@](C)(CNCc1ccc(Cl)o1)OC ZINC000322581598 388438623 /nfs/dbraw/zinc/43/86/23/388438623.db2.gz CYYHUADUSQXIQP-LLVKDONJSA-N 0 3 231.723 2.838 20 0 BFADHN CC(C)C1(NCc2cc(CO)ccc2F)CC1 ZINC000528511710 388538926 /nfs/dbraw/zinc/53/89/26/388538926.db2.gz HCMHYNGMXLDGHQ-UHFFFAOYSA-N 0 3 237.318 2.596 20 0 BFADHN C/C(Cl)=C\CN1CCCC[C@H]1C1(O)CC1 ZINC000528519088 388541072 /nfs/dbraw/zinc/54/10/72/388541072.db2.gz ILLRXLNKWJDJCH-UAWPZABVSA-N 0 3 229.751 2.508 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](CO)C2CCCC2)o1 ZINC000353480994 388542882 /nfs/dbraw/zinc/54/28/82/388542882.db2.gz JSIWDKOAYKQUHN-YPMHNXCESA-N 0 3 237.343 2.790 20 0 BFADHN CCC[C@H](CN[C@@H]1CCCc2cccnc21)OC ZINC000378690052 388482662 /nfs/dbraw/zinc/48/26/62/388482662.db2.gz HWQVMBCJHOYMOC-ZIAGYGMSSA-N 0 3 248.370 2.864 20 0 BFADHN Cc1nocc1CNC[C@H]1CC[C@@H](C)C1 ZINC000339385463 388483211 /nfs/dbraw/zinc/48/32/11/388483211.db2.gz PQGRQXOPBIUNCZ-KOLCDFICSA-N 0 3 208.305 2.509 20 0 BFADHN C[C@@H](F)CCNCc1ccc(F)c(F)c1 ZINC000339481780 388483770 /nfs/dbraw/zinc/48/37/70/388483770.db2.gz BULDDTZYCJQHRM-MRVPVSSYSA-N 0 3 217.234 2.803 20 0 BFADHN CCC[C@H](NCc1ccn(C)n1)[C@H]1CC1(C)C ZINC000397619452 388484253 /nfs/dbraw/zinc/48/42/53/388484253.db2.gz KWJCGVDOHUCZIK-OLZOCXBDSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H](NCc1c(F)cc(F)cc1F)C1CC1 ZINC000339522779 388485044 /nfs/dbraw/zinc/48/50/44/388485044.db2.gz AZBVBUOBIKNBEV-SSDOTTSWSA-N 0 3 229.245 2.992 20 0 BFADHN CC[C@H](C)N(CC(=O)OC(C)(C)C)CC1CC1 ZINC000136763723 388548474 /nfs/dbraw/zinc/54/84/74/388548474.db2.gz DVWQTRFJDFXUHQ-NSHDSACASA-N 0 3 241.375 2.839 20 0 BFADHN CC[C@@H](NCc1nnc(C)s1)[C@@H]1CC1(C)C ZINC000397705720 388491950 /nfs/dbraw/zinc/49/19/50/388491950.db2.gz RJVIFOPXVOZUNR-VHSXEESVSA-N 0 3 239.388 2.761 20 0 BFADHN CCO[C@@H]1C[C@H](N[C@@H]2CCC[C@@H]2F)C1(C)C ZINC000340530111 388493160 /nfs/dbraw/zinc/49/31/60/388493160.db2.gz LPSKYBOIKBNJHA-WHOHXGKFSA-N 0 3 229.339 2.670 20 0 BFADHN COc1ccccc1[C@H](C)NCC1=CCOCC1 ZINC000397707215 388493393 /nfs/dbraw/zinc/49/33/93/388493393.db2.gz NGORNIJQAJFGMQ-LBPRGKRZSA-N 0 3 247.338 2.693 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)[C@@H]1CC1(C)C ZINC000397711610 388494046 /nfs/dbraw/zinc/49/40/46/388494046.db2.gz PEXYGDPCBXKWTE-NOOOWODRSA-N 0 3 233.359 2.913 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@H]3C[C@H]3C2)o1 ZINC000397726599 388494712 /nfs/dbraw/zinc/49/47/12/388494712.db2.gz NRGINTCMLHUMMX-JGPRNRPPSA-N 0 3 205.301 2.730 20 0 BFADHN Cc1ccc(CNCC2=CCOCC2)cc1F ZINC000397740800 388495644 /nfs/dbraw/zinc/49/56/44/388495644.db2.gz VUBARDOVIDZMNA-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN c1oc2ccccc2c1CNCC1=CCOCC1 ZINC000397735532 388495852 /nfs/dbraw/zinc/49/58/52/388495852.db2.gz HQLWHCDYVAPSTA-UHFFFAOYSA-N 0 3 243.306 2.869 20 0 BFADHN COc1ccc(C)cc1CNC[C@]1(C)CCOC1 ZINC000344375996 388506751 /nfs/dbraw/zinc/50/67/51/388506751.db2.gz WXNVMLNAOSHDOH-HNNXBMFYSA-N 0 3 249.354 2.520 20 0 BFADHN CCOC[C@H](C)NCc1cc(C)cs1 ZINC000344613432 388507540 /nfs/dbraw/zinc/50/75/40/388507540.db2.gz OXYJAVPVWZFBMM-JTQLQIEISA-N 0 3 213.346 2.571 20 0 BFADHN Cc1csc(CNCc2c(C)n[nH]c2C)c1 ZINC000344610787 388507836 /nfs/dbraw/zinc/50/78/36/388507836.db2.gz LJWQXTKNRURCRK-UHFFFAOYSA-N 0 3 235.356 2.686 20 0 BFADHN CC(C)C[C@H](C)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000345350546 388512198 /nfs/dbraw/zinc/51/21/98/388512198.db2.gz HUKXMBXLWXUDEO-SDDRHHMPSA-N 0 3 221.348 2.913 20 0 BFADHN COCc1cccc(CN2[C@H](C)C[C@@H]2C)c1 ZINC000548098056 388512372 /nfs/dbraw/zinc/51/23/72/388512372.db2.gz IJVIRGVFCUFFLY-TXEJJXNPSA-N 0 3 219.328 2.816 20 0 BFADHN C[C@@H]1CCC[C@H](N[C@H]2CCn3ccnc32)CC1 ZINC000345345522 388512868 /nfs/dbraw/zinc/51/28/68/388512868.db2.gz JIKZIOZDFWWVLU-AGIUHOORSA-N 0 3 233.359 2.886 20 0 BFADHN CCN(CCc1cscn1)Cc1ccoc1 ZINC000649280545 388513361 /nfs/dbraw/zinc/51/33/61/388513361.db2.gz NKRLNPDLRAHJRX-UHFFFAOYSA-N 0 3 236.340 2.801 20 0 BFADHN C[C@@H]1C[C@H](NCCc2ccccc2)c2nccn21 ZINC000345366331 388513896 /nfs/dbraw/zinc/51/38/96/388513896.db2.gz ZPQJJXIAZRFORO-OCCSQVGLSA-N 0 3 241.338 2.721 20 0 BFADHN Cc1ccc(F)cc1CNC[C@H]1CC[C@H](C)O1 ZINC000398041567 388515997 /nfs/dbraw/zinc/51/59/97/388515997.db2.gz QOABFQIKWGLIBG-SMDDNHRTSA-N 0 3 237.318 2.791 20 0 BFADHN CCOC[C@@H]1CCCN(C/C=C(/C)Cl)C1 ZINC000528379458 388518685 /nfs/dbraw/zinc/51/86/85/388518685.db2.gz HBZPSDXOLUMKKU-HUMZTAOYSA-N 0 3 231.767 2.878 20 0 BFADHN C/C(Cl)=C\CN1C[C@@H]2CCCCN2C[C@@H]1C ZINC000528386391 388519052 /nfs/dbraw/zinc/51/90/52/388519052.db2.gz HDCOUHSTEMXCTQ-NHFJXKHHSA-N 0 3 242.794 2.688 20 0 BFADHN C[C@@H]1CN(C/C=C\c2ccccc2)[C@@H](C)CN1C ZINC000548176186 388519909 /nfs/dbraw/zinc/51/99/09/388519909.db2.gz OUAPTFFLFXRSGY-FZXWVIKQSA-N 0 3 244.382 2.724 20 0 BFADHN C/C(Cl)=C\CN1CCC[C@H](c2ncc[nH]2)C1 ZINC000528414941 388521461 /nfs/dbraw/zinc/52/14/61/388521461.db2.gz ADCPBBLAMVJIBU-INJWIWPUSA-N 0 3 239.750 2.732 20 0 BFADHN C[C@H](NCc1ccc(Cl)o1)[C@]1(C)CCCO1 ZINC000378857591 388522091 /nfs/dbraw/zinc/52/20/91/388522091.db2.gz LRINVJJCCSDZCU-CABZTGNLSA-N 0 3 243.734 2.980 20 0 BFADHN COC[C@H](NCC1CC(C)(C)C1)c1ccco1 ZINC000528443312 388527771 /nfs/dbraw/zinc/52/77/71/388527771.db2.gz XPOBSGRMHFEFJR-LBPRGKRZSA-N 0 3 237.343 2.993 20 0 BFADHN COC[C@@H](NCC1CC(C)(C)C1)c1ccco1 ZINC000528443311 388528105 /nfs/dbraw/zinc/52/81/05/388528105.db2.gz XPOBSGRMHFEFJR-GFCCVEGCSA-N 0 3 237.343 2.993 20 0 BFADHN CC1(C)CC(CN2CCC[C@H]2c2cc[nH]n2)C1 ZINC000528481572 388532089 /nfs/dbraw/zinc/53/20/89/388532089.db2.gz YSPPZEYTKYVKQD-ZDUSSCGKSA-N 0 3 233.359 2.983 20 0 BFADHN CO[C@H]1CCCC[C@@H]1NC/C=C(/C)Cl ZINC000528496259 388535475 /nfs/dbraw/zinc/53/54/75/388535475.db2.gz YZIIFTVOSXIRDK-RHJQIECPSA-N 0 3 217.740 2.676 20 0 BFADHN CCCN(CCC)C(=O)CN(C)C1CCCC1 ZINC000185478118 388587650 /nfs/dbraw/zinc/58/76/50/388587650.db2.gz UGTSNVVGYMRWHD-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CCOC(=O)[C@H]1CCCN1[C@H]1CCC[C@H](C)C1 ZINC000185621240 388589168 /nfs/dbraw/zinc/58/91/68/388589168.db2.gz QXXFKKIUCFPCPU-RWMBFGLXSA-N 0 3 239.359 2.593 20 0 BFADHN CCn1nccc1CN1CCC[C@H](C)[C@H]1C ZINC000245561749 388589182 /nfs/dbraw/zinc/58/91/82/388589182.db2.gz OITBVZNZKDSDLO-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN CC(C)(C)C1CC(NCc2ccc(CO)o2)C1 ZINC000549860892 388594019 /nfs/dbraw/zinc/59/40/19/388594019.db2.gz KNETXWSSDLVIPG-UHFFFAOYSA-N 0 3 237.343 2.686 20 0 BFADHN C[C@H](CN[C@H]1C[C@H](C)n2ccnc21)C1CC1 ZINC000379202610 388597505 /nfs/dbraw/zinc/59/75/05/388597505.db2.gz ZBSNEPIQWJHNOA-SCVCMEIPSA-N 0 3 219.332 2.525 20 0 BFADHN Cc1cncc([C@@H](C)NCC2(CO)CCCC2)c1 ZINC000186483731 388600411 /nfs/dbraw/zinc/60/04/11/388600411.db2.gz OISMFXMIFFUARL-CYBMUJFWSA-N 0 3 248.370 2.593 20 0 BFADHN Clc1cnccc1CNC1CCSCC1 ZINC000220731074 388607261 /nfs/dbraw/zinc/60/72/61/388607261.db2.gz APHIHVWLTXNDNV-UHFFFAOYSA-N 0 3 242.775 2.720 20 0 BFADHN CC[C@H](N[C@@H]1CCC[C@H]1O)c1ccccc1F ZINC000245896726 388609447 /nfs/dbraw/zinc/60/94/47/388609447.db2.gz DCRSCPVKRJZSML-BFHYXJOUSA-N 0 3 237.318 2.780 20 0 BFADHN CSC[C@@H](C)N[C@@H](C)c1cn2ccccc2n1 ZINC000548713479 388554185 /nfs/dbraw/zinc/55/41/85/388554185.db2.gz LUQFQMYXIHVOIP-MNOVXSKESA-N 0 3 249.383 2.736 20 0 BFADHN CO[C@@H](C)CN[C@H](c1ccccn1)C1CCC1 ZINC000528657424 388555331 /nfs/dbraw/zinc/55/53/31/388555331.db2.gz JNPHMBOPRBJMNS-FZMZJTMJSA-N 0 3 234.343 2.547 20 0 BFADHN COc1ccc(OCCN2[C@@H](C)C[C@@H]2C)cc1 ZINC000548754243 388556958 /nfs/dbraw/zinc/55/69/58/388556958.db2.gz KMNHMCDYPAYKHU-RYUDHWBXSA-N 0 3 235.327 2.557 20 0 BFADHN C[C@]12C[C@H]1CCC[C@@H]2NCc1ncccc1F ZINC000548792787 388563128 /nfs/dbraw/zinc/56/31/28/388563128.db2.gz RTDXBGFOOVFIRP-SWHYSGLUSA-N 0 3 234.318 2.889 20 0 BFADHN COCCN(C)CCc1ccc(C(C)C)cc1 ZINC000137561848 388563186 /nfs/dbraw/zinc/56/31/86/388563186.db2.gz WSDNQNIOYCVEOY-UHFFFAOYSA-N 0 3 235.371 2.931 20 0 BFADHN c1nc(C2CC2)nc2c1CN(CC1CCC1)CC2 ZINC000528733136 388563667 /nfs/dbraw/zinc/56/36/67/388563667.db2.gz JFOXNWYUVMMYSN-UHFFFAOYSA-N 0 3 243.354 2.512 20 0 BFADHN CCc1nnc([C@H](C)N[C@@H]2CC[C@H]2C2CCC2)[nH]1 ZINC000548884597 388568513 /nfs/dbraw/zinc/56/85/13/388568513.db2.gz DHVIDBPABFVZAW-ZMLRMANQSA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CC[C@H]2C2CCC2)[n-]1 ZINC000548884597 388568514 /nfs/dbraw/zinc/56/85/14/388568514.db2.gz DHVIDBPABFVZAW-ZMLRMANQSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC(F)(F)C[C@H]2C)O1 ZINC000528772614 388571701 /nfs/dbraw/zinc/57/17/01/388571701.db2.gz MJENQZXZDHQNTB-GMTAPVOTSA-N 0 3 233.302 2.674 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC(F)(F)C[C@@H]2C)O1 ZINC000528772615 388571914 /nfs/dbraw/zinc/57/19/14/388571914.db2.gz MJENQZXZDHQNTB-HBNTYKKESA-N 0 3 233.302 2.674 20 0 BFADHN CC[C@@H](CN1CCC(F)(F)C[C@H]1C)OC ZINC000528773349 388571945 /nfs/dbraw/zinc/57/19/45/388571945.db2.gz PRBMNZQCEUWEAZ-ZJUUUORDSA-N 0 3 221.291 2.531 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H]1C)c1ncccc1F ZINC000528829987 388577494 /nfs/dbraw/zinc/57/74/94/388577494.db2.gz ZGKBGRSRMBQQGD-LPEHRKFASA-N 0 3 208.280 2.527 20 0 BFADHN C[C@H](NC[C@H]1C[C@H]1C)c1ncccc1F ZINC000528829985 388577599 /nfs/dbraw/zinc/57/75/99/388577599.db2.gz ZGKBGRSRMBQQGD-KXUCPTDWSA-N 0 3 208.280 2.527 20 0 BFADHN CC[C@@H](C)CN(C)[C@H](C)C(=O)NC(C)(C)CC ZINC000247492505 388665133 /nfs/dbraw/zinc/66/51/33/388665133.db2.gz GZGOFOBGNSQZML-VXGBXAGGSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1ccc(C)c([C@H](C)NCc2cncn2C)c1 ZINC000112338108 388665534 /nfs/dbraw/zinc/66/55/34/388665534.db2.gz KAGYGQVGEHJZBE-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1c(CN(C)CC2CCC(C)CC2)cnn1C ZINC000552073262 388667125 /nfs/dbraw/zinc/66/71/25/388667125.db2.gz XHHWXBSGLNIIMD-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2cccc(C)n2)C1(C)C ZINC000085398224 388668468 /nfs/dbraw/zinc/66/84/68/388668468.db2.gz WBZHZDLRMWKTGK-UONOGXRCSA-N 0 3 248.370 2.683 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CCO[C@@H](C)C1 ZINC000552119967 388670150 /nfs/dbraw/zinc/67/01/50/388670150.db2.gz KTYUSGSMKCYBHX-FRRDWIJNSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1nc(CCN[C@H](C)c2ccoc2)cs1 ZINC000221968599 388671172 /nfs/dbraw/zinc/67/11/72/388671172.db2.gz KDVFBMNMYQCJBG-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN CCCC[C@H]1CCC[C@H]1NCc1ncccn1 ZINC000191834743 388671556 /nfs/dbraw/zinc/67/15/56/388671556.db2.gz ZKZZMWUUNAEOCQ-QWHCGFSZSA-N 0 3 233.359 2.925 20 0 BFADHN CCCC[C@H]1CCC[C@@H]1NCc1ncccn1 ZINC000191834718 388671568 /nfs/dbraw/zinc/67/15/68/388671568.db2.gz ZKZZMWUUNAEOCQ-STQMWFEESA-N 0 3 233.359 2.925 20 0 BFADHN CCCCCNC(=O)CN(C(C)C)C1CCC1 ZINC000125530716 388673210 /nfs/dbraw/zinc/67/32/10/388673210.db2.gz MUQOREYWUOVDBX-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@@H](c1ccccc1F)N1CCC[C@@H](CO)C1 ZINC000248326044 388675638 /nfs/dbraw/zinc/67/56/38/388675638.db2.gz BQMMLSHEGURIBD-NWDGAFQWSA-N 0 3 237.318 2.591 20 0 BFADHN Fc1cccnc1CNC[C@@H]1CC=CCC1 ZINC000125778981 388676714 /nfs/dbraw/zinc/67/67/14/388676714.db2.gz FLSUNHLJKWYUDG-LLVKDONJSA-N 0 3 220.291 2.667 20 0 BFADHN CO[C@@H](C)CN(C)[C@@H](C)c1sc(C)nc1C ZINC000248404416 388679299 /nfs/dbraw/zinc/67/92/99/388679299.db2.gz ABEMITLDGPRDHE-WPRPVWTQSA-N 0 3 242.388 2.788 20 0 BFADHN CCC[C@@H](C)CN1CCO[C@H](CCC)C1 ZINC000538305342 388682983 /nfs/dbraw/zinc/68/29/83/388682983.db2.gz RRRXVIOLZDJRDD-CHWSQXEVSA-N 0 3 213.365 2.924 20 0 BFADHN CCCNC(=O)CN(CCC)[C@@H](C)CC(C)C ZINC000552228877 388683031 /nfs/dbraw/zinc/68/30/31/388683031.db2.gz CXJNMJKBPKUEEC-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN CC(C)(C)CNc1ccc2c(c1)OCCNC2 ZINC000530182582 388623841 /nfs/dbraw/zinc/62/38/41/388623841.db2.gz VRYFKPXKFHEGOY-UHFFFAOYSA-N 0 3 234.343 2.627 20 0 BFADHN C[C@H](Cc1ccsc1)N(C)C[C@H]1CCCO1 ZINC000246224501 388624290 /nfs/dbraw/zinc/62/42/90/388624290.db2.gz PNXAABBHQHBYFE-DGCLKSJQSA-N 0 3 239.384 2.790 20 0 BFADHN C[C@H](CF)NCc1ccc2ncccc2c1 ZINC000288474868 388627078 /nfs/dbraw/zinc/62/70/78/388627078.db2.gz PEZWURYJIYDZTO-SNVBAGLBSA-N 0 3 218.275 2.682 20 0 BFADHN C[C@H]1CN([C@@H]2C=CCCC2)CC(C)(C)O1 ZINC000246288425 388627683 /nfs/dbraw/zinc/62/76/83/388627683.db2.gz UOOLQMNICFRFNK-NWDGAFQWSA-N 0 3 209.333 2.594 20 0 BFADHN COc1ccccc1CNCCc1ccc(C)o1 ZINC000521815038 388629686 /nfs/dbraw/zinc/62/96/86/388629686.db2.gz ARDQWNABOGXWEA-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN c1ccc2nc(CN[C@@H]3CCCOC3)ccc2c1 ZINC000109546870 388640337 /nfs/dbraw/zinc/64/03/37/388640337.db2.gz KSIZEVXAWPDKAY-CQSZACIVSA-N 0 3 242.322 2.503 20 0 BFADHN Cc1nc(NC[C@H]2CCCN(C)C2)sc1C ZINC000083532838 388642452 /nfs/dbraw/zinc/64/24/52/388642452.db2.gz AQFOSHYHXWONJZ-LLVKDONJSA-N 0 3 239.388 2.514 20 0 BFADHN Cc1cc(C[NH2+]Cc2ncccc2[O-])c(C)s1 ZINC000530278284 388646756 /nfs/dbraw/zinc/64/67/56/388646756.db2.gz RFGALOZURAYIRK-UHFFFAOYSA-N 0 3 248.351 2.755 20 0 BFADHN C[C@@H]1CCCN(CCOCC(F)(F)F)[C@H]1C ZINC000247122581 388659959 /nfs/dbraw/zinc/65/99/59/388659959.db2.gz YWVTVVPJBFGNSH-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CCc1nnc(CN2[C@H](C)C[C@@H]3CCCC[C@H]32)o1 ZINC000247338078 388662865 /nfs/dbraw/zinc/66/28/65/388662865.db2.gz FXNXRIGSSWXTMB-GRYCIOLGSA-N 0 3 249.358 2.785 20 0 BFADHN COC(=O)CN(CCCC(C)C)C1CCCC1 ZINC000128008422 388736413 /nfs/dbraw/zinc/73/64/13/388736413.db2.gz DSSRUJFHMRFXCO-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1CCC[C@@H](CC)C1 ZINC000227035312 388737955 /nfs/dbraw/zinc/73/79/55/388737955.db2.gz PKLMTZANBWHNIO-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1CCC[C@H]1OC ZINC000227105125 388741374 /nfs/dbraw/zinc/74/13/74/388741374.db2.gz JSZQTQVZUIUKNU-NJZAAPMLSA-N 0 3 249.354 2.913 20 0 BFADHN CCCCCC(=O)N(CCC)[C@@H]1CCN(C)C1 ZINC000649002320 388693527 /nfs/dbraw/zinc/69/35/27/388693527.db2.gz BPLGCLAFLATNDC-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CCCCCC(=O)N(CCC)[C@H]1CCN(C)C1 ZINC000649002321 388694244 /nfs/dbraw/zinc/69/42/44/388694244.db2.gz BPLGCLAFLATNDC-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN COc1ncccc1CN1CCC[C@H](C)[C@@H]1C ZINC000248821829 388694556 /nfs/dbraw/zinc/69/45/56/388694556.db2.gz XIDWLHSUIWYAHY-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCC(C)(C)C2)CCO1 ZINC000552379907 388700077 /nfs/dbraw/zinc/70/00/77/388700077.db2.gz WBZMAMBULSNCDN-CHWSQXEVSA-N 0 3 225.376 2.924 20 0 BFADHN COCC1(N[C@H]2CCc3c2cccc3F)CC1 ZINC000538550821 388701450 /nfs/dbraw/zinc/70/14/50/388701450.db2.gz GRTAIOUFMZVPJQ-ZDUSSCGKSA-N 0 3 235.302 2.582 20 0 BFADHN COc1ncccc1CN1[C@H](C)CCC[C@H]1C ZINC000193342127 388701563 /nfs/dbraw/zinc/70/15/63/388701563.db2.gz WCOFGDLDYLCZFW-VXGBXAGGSA-N 0 3 234.343 2.853 20 0 BFADHN CCOc1ccc([C@H](C)NC2(COC)CC2)cc1 ZINC000538550113 388701843 /nfs/dbraw/zinc/70/18/43/388701843.db2.gz SCJDTQJUBMSFQC-LBPRGKRZSA-N 0 3 249.354 2.915 20 0 BFADHN CCN[C@@H](C)c1cncc(Br)c1 ZINC000087281943 388702396 /nfs/dbraw/zinc/70/23/96/388702396.db2.gz QMCMMSUHERWYKQ-ZETCQYMHSA-N 0 3 229.121 2.515 20 0 BFADHN C[C@@H](NCc1ccnc(N)c1)c1ccccc1 ZINC000193471741 388706825 /nfs/dbraw/zinc/70/68/25/388706825.db2.gz BGJROJPHRSCUIT-LLVKDONJSA-N 0 3 227.311 2.515 20 0 BFADHN COc1ccc([C@@H](C)NCCCF)c(OC)c1 ZINC000126877185 388706933 /nfs/dbraw/zinc/70/69/33/388706933.db2.gz OYALOCHHZFADNK-SNVBAGLBSA-N 0 3 241.306 2.714 20 0 BFADHN Cc1ccc(CNCCc2cccc(F)c2)nc1 ZINC000126957474 388709188 /nfs/dbraw/zinc/70/91/88/388709188.db2.gz FAINNKJKHPZBMJ-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN COc1cccc(CN2C[C@H](C)C[C@H]2C)c1OC ZINC000538717560 388710670 /nfs/dbraw/zinc/71/06/70/388710670.db2.gz JJUDTLCCYXPTGW-VXGBXAGGSA-N 0 3 249.354 2.934 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@H]1O)c1ccc(Cl)s1 ZINC000226263161 388713388 /nfs/dbraw/zinc/71/33/88/388713388.db2.gz IVRZXYJNXGSORD-IWSPIJDZSA-N 0 3 245.775 2.966 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cccc3c2OCO3)C1 ZINC000538738584 388715990 /nfs/dbraw/zinc/71/59/90/388715990.db2.gz GDASYWWHXYGWMK-GHMZBOCLSA-N 0 3 233.311 2.646 20 0 BFADHN C[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1cocn1 ZINC000249316365 388717031 /nfs/dbraw/zinc/71/70/31/388717031.db2.gz PQZPTQLUADHYAK-NQBHXWOUSA-N 0 3 220.316 2.828 20 0 BFADHN C[C@H]1CN([C@H]2C=CCCC2)CC(C)(C)O1 ZINC000066496751 388718861 /nfs/dbraw/zinc/71/88/61/388718861.db2.gz UOOLQMNICFRFNK-RYUDHWBXSA-N 0 3 209.333 2.594 20 0 BFADHN CCCC[C@@H](COC)NCc1cccc(C)n1 ZINC000127280007 388720561 /nfs/dbraw/zinc/72/05/61/388720561.db2.gz FIFQVIUTQTWRAC-AWEZNQCLSA-N 0 3 236.359 2.685 20 0 BFADHN FC(F)OCCCN[C@H]1CCCc2occc21 ZINC000194043744 388721233 /nfs/dbraw/zinc/72/12/33/388721233.db2.gz MGXLZMBVNVYNQJ-JTQLQIEISA-N 0 3 245.269 2.876 20 0 BFADHN CCN(Cc1cc(C(=O)OC)c(C)o1)C(C)C ZINC000194090939 388722728 /nfs/dbraw/zinc/72/27/28/388722728.db2.gz GMFIOYLHESRJLT-UHFFFAOYSA-N 0 3 239.315 2.605 20 0 BFADHN CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1NCc1ccn(C)n1 ZINC000226652245 388724942 /nfs/dbraw/zinc/72/49/42/388724942.db2.gz FOKKATBKOBRDRP-SNPRPXQTSA-N 0 3 249.402 2.971 20 0 BFADHN C[C@@H](c1ccccc1F)N1CC[C@@H](O)[C@H](C)C1 ZINC000249601241 388729281 /nfs/dbraw/zinc/72/92/81/388729281.db2.gz YHQCGHARBHXCKZ-UHIISALHSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1cc(CN2CC[C@@H](O)[C@@H](C)C2)c(C)s1 ZINC000249583253 388729316 /nfs/dbraw/zinc/72/93/16/388729316.db2.gz RYGDNXSJUCYTDC-TVQRCGJNSA-N 0 3 239.384 2.568 20 0 BFADHN Cc1ccc(CN2CCCC[C@@H]2C)nc1 ZINC000127719975 388729459 /nfs/dbraw/zinc/72/94/59/388729459.db2.gz DEXPMLGZFCBMAM-LBPRGKRZSA-N 0 3 204.317 2.764 20 0 BFADHN C[C@@H]1CN([C@@H]2CCc3ccc(F)cc32)CC[C@H]1O ZINC000249587548 388729787 /nfs/dbraw/zinc/72/97/87/388729787.db2.gz UIMGZUFHBBZXCC-VCTAVGKDSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@H](NCC(C1CC1)C1CC1)c1cnccn1 ZINC000088455691 388730788 /nfs/dbraw/zinc/73/07/88/388730788.db2.gz RDXDEPJKMFLIKD-JTQLQIEISA-N 0 3 231.343 2.563 20 0 BFADHN CCOCCCCN[C@@H](C)c1cscn1 ZINC000127826511 388731649 /nfs/dbraw/zinc/73/16/49/388731649.db2.gz OWJRKQMAKNAENC-JTQLQIEISA-N 0 3 228.361 2.610 20 0 BFADHN Cc1cccn2c(CN(C)CC3CCC3)cnc12 ZINC000127795175 388731751 /nfs/dbraw/zinc/73/17/51/388731751.db2.gz DKKNXIFSTMHOFT-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CCc1cnc(CN2[C@@H](C)CC[C@@H]2CC)o1 ZINC000540054998 388792652 /nfs/dbraw/zinc/79/26/52/388792652.db2.gz FMFQAXIDKVSDDD-QWRGUYRKSA-N 0 3 222.332 3.000 20 0 BFADHN C[C@@H]1[C@@H](C)N(C/C=C\c2ccccc2)CCN1C ZINC000540052403 388793166 /nfs/dbraw/zinc/79/31/66/388793166.db2.gz BRPULPJJEJXDSE-IKQZUGGXSA-N 0 3 244.382 2.724 20 0 BFADHN CCCN(C)CC(=O)NCC(C)(C)C(C)(C)C ZINC000554378979 388813934 /nfs/dbraw/zinc/81/39/34/388813934.db2.gz YNVPKWHIXLJOCW-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN C[C@H](NCCCc1cccs1)c1nccn1C ZINC000540396326 388804763 /nfs/dbraw/zinc/80/47/63/388804763.db2.gz HCHLUFGEIDFSSH-NSHDSACASA-N 0 3 249.383 2.765 20 0 BFADHN CN(CCC1CC1)Cc1ccc(Cl)cn1 ZINC000554317889 388809287 /nfs/dbraw/zinc/80/92/87/388809287.db2.gz ABXIVJCVFCFXAS-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN Cc1ccoc1CN1C[C@@H](C)S[C@@H](C)C1 ZINC000092541061 388810998 /nfs/dbraw/zinc/81/09/98/388810998.db2.gz HJKOPOYUNCATNP-PHIMTYICSA-N 0 3 225.357 2.914 20 0 BFADHN CCCn1cc(CNCCc2ccc(C)o2)cn1 ZINC000522646922 388768567 /nfs/dbraw/zinc/76/85/67/388768567.db2.gz MAYUUCVICCMLLJ-UHFFFAOYSA-N 0 3 247.342 2.527 20 0 BFADHN COCC1(N[C@@H]2CCCc3ccccc32)CC1 ZINC000539653062 388769966 /nfs/dbraw/zinc/76/99/66/388769966.db2.gz YYJQFKHOGAPDQV-CQSZACIVSA-N 0 3 231.339 2.833 20 0 BFADHN C[C@H](N[C@H]1CC[C@H](O)CC1)c1ccccc1F ZINC000252728155 388776432 /nfs/dbraw/zinc/77/64/32/388776432.db2.gz ACYHMEDVSCAQDH-SRVKXCTJSA-N 0 3 237.318 2.780 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CC[C@@H](C)[C@H](C)C1 ZINC000252727615 388776475 /nfs/dbraw/zinc/77/64/75/388776475.db2.gz KROMGNBHSNIOPT-JHJVBQTASA-N 0 3 241.375 2.696 20 0 BFADHN C[C@H](CC[S@](C)=O)N[C@H](C)c1ccsc1 ZINC000252729955 388776598 /nfs/dbraw/zinc/77/65/98/388776598.db2.gz JBURLBUFWACESS-FCHSOHFDSA-N 0 3 245.413 2.556 20 0 BFADHN COc1ccc(OC)c(CN2C[C@@H](C)C[C@@H]2C)c1 ZINC000539818708 388779296 /nfs/dbraw/zinc/77/92/96/388779296.db2.gz HNOPRJRQEABOGG-RYUDHWBXSA-N 0 3 249.354 2.934 20 0 BFADHN CCc1ccc(CN2CC[C@H](OC)C2)s1 ZINC000539827022 388780828 /nfs/dbraw/zinc/78/08/28/388780828.db2.gz RGTOBBXCZBBLEH-JTQLQIEISA-N 0 3 225.357 2.531 20 0 BFADHN c1nc2cc(CN3C[C@H]4CCC[C@H]43)ccc2[nH]1 ZINC000539828416 388781735 /nfs/dbraw/zinc/78/17/35/388781735.db2.gz HDHLGIPEYXQFRB-BXUZGUMPSA-N 0 3 227.311 2.547 20 0 BFADHN c1nc2ccc(CN3C[C@H]4CCC[C@H]43)cc2[nH]1 ZINC000539828416 388781739 /nfs/dbraw/zinc/78/17/39/388781739.db2.gz HDHLGIPEYXQFRB-BXUZGUMPSA-N 0 3 227.311 2.547 20 0 BFADHN CCCN[C@H](CO)c1cccc(Cl)c1F ZINC000229251022 388782757 /nfs/dbraw/zinc/78/27/57/388782757.db2.gz SBFIUNCCCBAXGT-SNVBAGLBSA-N 0 3 231.698 2.512 20 0 BFADHN C[C@@H]1CN(Cc2coc3ccccc23)CC[C@H]1O ZINC000250112732 388783406 /nfs/dbraw/zinc/78/34/06/388783406.db2.gz TWVBQPMPYVTIMJ-BXUZGUMPSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1ccn(C)n1 ZINC000539838942 388783788 /nfs/dbraw/zinc/78/37/88/388783788.db2.gz RRSPNHGPRQEEBF-YRGRVCCFSA-N 0 3 233.359 2.573 20 0 BFADHN C[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1ccn(C)n1 ZINC000539838941 388784042 /nfs/dbraw/zinc/78/40/42/388784042.db2.gz RRSPNHGPRQEEBF-MBNYWOFBSA-N 0 3 233.359 2.573 20 0 BFADHN CCC[C@H](N[C@H]1C[C@@H](O)C1(C)C)c1cccnc1 ZINC000250132060 388784525 /nfs/dbraw/zinc/78/45/25/388784525.db2.gz XGAZMWSMHPJEOC-MELADBBJSA-N 0 3 248.370 2.672 20 0 BFADHN Cc1ccn2c(CN3CCCCC3)cnc2c1 ZINC000092107098 388785962 /nfs/dbraw/zinc/78/59/62/388785962.db2.gz GVHDJICZBSUFKD-UHFFFAOYSA-N 0 3 229.327 2.629 20 0 BFADHN C[C@H](NCc1cc[nH]n1)[C@H]1CCC[C@@H](C)C1 ZINC000229324200 388786028 /nfs/dbraw/zinc/78/60/28/388786028.db2.gz KESQMHYOBMAOTH-WOPDTQHZSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@H](Cc1ccccc1F)N[C@@H](C)CF ZINC000290239701 388788533 /nfs/dbraw/zinc/78/85/33/388788533.db2.gz UPIHDSWZOMOPLQ-ZJUUUORDSA-N 0 3 213.271 2.704 20 0 BFADHN CCn1ccnc1CN[C@H]1CC[C@H](C)CC1 ZINC000252892872 388789944 /nfs/dbraw/zinc/78/99/44/388789944.db2.gz OXOLEOLOJIRQPS-HAQNSBGRSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1oncc1CN(C)CCc1cccs1 ZINC000128737456 388791243 /nfs/dbraw/zinc/79/12/43/388791243.db2.gz RLQDYINJLFJGDP-UHFFFAOYSA-N 0 3 236.340 2.719 20 0 BFADHN CC[C@@H](NCc1cscc1Cl)[C@@H](C)O ZINC000648754256 388814568 /nfs/dbraw/zinc/81/45/68/388814568.db2.gz PCGHQVRZSBXLRK-GMSGAONNSA-N 0 3 233.764 2.651 20 0 BFADHN CCCCCNCc1ncc(CC)s1 ZINC000230141107 388816013 /nfs/dbraw/zinc/81/60/13/388816013.db2.gz LVLRNAKVSSQDPI-UHFFFAOYSA-N 0 3 212.362 2.985 20 0 BFADHN C[C@H](C[C@H](C)O)NCc1ccc(Cl)s1 ZINC000164521895 135389016 /nfs/dbraw/zinc/38/90/16/135389016.db2.gz SAABDDOMQFLBDH-SFYZADRCSA-N 0 3 233.764 2.651 20 0 BFADHN C[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccoc1 ZINC000556224798 388870795 /nfs/dbraw/zinc/87/07/95/388870795.db2.gz PRLOSRUCTMVJDA-VHSXEESVSA-N 0 3 232.283 2.792 20 0 BFADHN Cc1c(CN[C@H](C)Cc2ccsc2)cnn1C ZINC000093650160 388846198 /nfs/dbraw/zinc/84/61/98/388846198.db2.gz IJOKHNJBGGQLJK-SNVBAGLBSA-N 0 3 249.383 2.511 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cn[nH]c2)[C@H]1C(C)C ZINC000648766066 388847666 /nfs/dbraw/zinc/84/76/66/388847666.db2.gz ZMLVQPAIPPLQEW-STQMWFEESA-N 0 3 221.348 2.522 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cn[nH]c2)[C@@H]1C(C)C ZINC000648766065 388848861 /nfs/dbraw/zinc/84/88/61/388848861.db2.gz ZMLVQPAIPPLQEW-QWHCGFSZSA-N 0 3 221.348 2.522 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCc1ccccn1 ZINC000152950789 388900380 /nfs/dbraw/zinc/90/03/80/388900380.db2.gz OIKXPQYXKAUVLX-CYBMUJFWSA-N 0 3 241.338 2.712 20 0 BFADHN Clc1cnccc1CN1C[C@@H]2CCC[C@H]2C1 ZINC000153015461 388918715 /nfs/dbraw/zinc/91/87/15/388918715.db2.gz ZTXXYGQFDMAMJC-QWRGUYRKSA-N 0 3 236.746 2.967 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000565242498 388930881 /nfs/dbraw/zinc/93/08/81/388930881.db2.gz FRMRHYNPFAYZPJ-GDNZZTSVSA-N 0 3 233.359 2.595 20 0 BFADHN CC[C@H]1CN(C)CCN1Cc1ccc(C)s1 ZINC000565261663 388932882 /nfs/dbraw/zinc/93/28/82/388932882.db2.gz BYLAKKJTTSZLNT-LBPRGKRZSA-N 0 3 238.400 2.583 20 0 BFADHN CCn1nccc1CN1CCC[C@@H]1CC(C)C ZINC000153451116 388975540 /nfs/dbraw/zinc/97/55/40/388975540.db2.gz WFHRATAVQBDZNO-CYBMUJFWSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2ccno2)[C@H]1C ZINC000267937007 389002354 /nfs/dbraw/zinc/00/23/54/389002354.db2.gz QQDWKNLKSGRPTM-JFGNBEQYSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H](NCC1CC(C)(C)C1)c1cc2n(n1)CCC2 ZINC000565997577 388981591 /nfs/dbraw/zinc/98/15/91/388981591.db2.gz MMVQUXFPPRYICH-LLVKDONJSA-N 0 3 247.386 2.916 20 0 BFADHN Cc1csc(C2(NCC3CC3)CCC2)n1 ZINC000263866703 388991667 /nfs/dbraw/zinc/99/16/67/388991667.db2.gz ZVZJMKNDLZOWRO-UHFFFAOYSA-N 0 3 222.357 2.830 20 0 BFADHN CC(C)(C)[C@H](CO)NCc1ccccc1Cl ZINC000265463084 388993433 /nfs/dbraw/zinc/99/34/33/388993433.db2.gz YAVIMDPAQVOZLR-LBPRGKRZSA-N 0 3 241.762 2.837 20 0 BFADHN C[C@H](NCCOC1CCC1)c1ccccc1 ZINC000266602546 388996805 /nfs/dbraw/zinc/99/68/05/388996805.db2.gz ONKZLGNQDDVFTP-LBPRGKRZSA-N 0 3 219.328 2.906 20 0 BFADHN CO[C@@H](CNCc1ccc(F)cc1)C(C)C ZINC000266646935 388996998 /nfs/dbraw/zinc/99/69/98/388996998.db2.gz WTGBWVHDTIWPEC-ZDUSSCGKSA-N 0 3 225.307 2.586 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CCCCO1)c1ccncc1 ZINC000271960312 389027661 /nfs/dbraw/zinc/02/76/61/389027661.db2.gz QZTAUIVHUYCYOI-OBJOEFQTSA-N 0 3 234.343 2.690 20 0 BFADHN FCCN[C@@H](c1ccc(F)cc1)C1CC1 ZINC000272032673 389027851 /nfs/dbraw/zinc/02/78/51/389027851.db2.gz NFNLHGZSHZCMSP-GFCCVEGCSA-N 0 3 211.255 2.836 20 0 BFADHN CCc1ccc(CN[C@H](C)[C@@H]2CCCCO2)o1 ZINC000272044400 389028054 /nfs/dbraw/zinc/02/80/54/389028054.db2.gz WNSNFBOTMNIRSB-RISCZKNCSA-N 0 3 237.343 2.889 20 0 BFADHN C[C@H](CCCCO)NCc1ccccc1Cl ZINC000272294696 389031407 /nfs/dbraw/zinc/03/14/07/389031407.db2.gz OSTRLUQTMDNYRJ-LLVKDONJSA-N 0 3 241.762 2.981 20 0 BFADHN CO[C@H](C)CCN[C@H](C)c1ccc(C)o1 ZINC000268807672 389004763 /nfs/dbraw/zinc/00/47/63/389004763.db2.gz CFGVLKFJJLCYBF-MWLCHTKSSA-N 0 3 211.305 2.664 20 0 BFADHN C[C@@H](NC[C@@H](C)C[C@H](C)O)c1ccccc1F ZINC000268951384 389005280 /nfs/dbraw/zinc/00/52/80/389005280.db2.gz CHNQOMQWXHOQCI-SDDRHHMPSA-N 0 3 239.334 2.883 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccc(C)o1)C(C)C ZINC000268886867 389005801 /nfs/dbraw/zinc/00/58/01/389005801.db2.gz CHVFSRIQXNNWPN-AAEUAGOBSA-N 0 3 225.332 2.910 20 0 BFADHN C[C@@H](NCC1=CCCOC1)c1cccc(F)c1 ZINC000269321808 389008176 /nfs/dbraw/zinc/00/81/76/389008176.db2.gz ZGMJXOJSLWTJSN-LLVKDONJSA-N 0 3 235.302 2.823 20 0 BFADHN Cc1ccc(CNCCc2ccncc2C)o1 ZINC000269973825 389010825 /nfs/dbraw/zinc/01/08/25/389010825.db2.gz LOYVIWOZJRLCAA-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN C[C@@H](N[C@@H](C)CSC(C)(C)C)c1cn[nH]c1 ZINC000271514183 389021303 /nfs/dbraw/zinc/02/13/03/389021303.db2.gz NNLRWHLWTIDKBX-VHSXEESVSA-N 0 3 241.404 2.981 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCO[C@@H](C(C)C)C1 ZINC000271603881 389024035 /nfs/dbraw/zinc/02/40/35/389024035.db2.gz YVFSGXFXEJAHGH-CHWSQXEVSA-N 0 3 237.343 2.881 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1ccc(Cl)cn1 ZINC000274873633 389049351 /nfs/dbraw/zinc/04/93/51/389049351.db2.gz GEKYZNDLEMNTKQ-MWLCHTKSSA-N 0 3 240.734 2.565 20 0 BFADHN CCN(CC)Cc1nn(CC)c2ccccc12 ZINC000274529921 389045889 /nfs/dbraw/zinc/04/58/89/389045889.db2.gz DAVQSNMMPWZCLW-UHFFFAOYSA-N 0 3 231.343 2.898 20 0 BFADHN COc1ncccc1CN1CC[C@@H](C)C[C@H](C)C1 ZINC000488103596 533258907 /nfs/dbraw/zinc/25/89/07/533258907.db2.gz LISMYUBFCBJRCM-OLZOCXBDSA-N 0 3 248.370 2.958 20 0 BFADHN C(CN1CCN2CCCC[C@H]2C1)C1CCCC1 ZINC000251520561 533360781 /nfs/dbraw/zinc/36/07/81/533360781.db2.gz WTUMKFFCATVXMN-HNNXBMFYSA-N 0 3 236.403 2.737 20 0 BFADHN C[C@@H](NCCC[C@H]1CCOC1)c1cncs1 ZINC000401943055 533389403 /nfs/dbraw/zinc/38/94/03/533389403.db2.gz UITIMPVEBJIMPW-MNOVXSKESA-N 0 3 240.372 2.610 20 0 BFADHN C[C@@H](NC/C=C/c1ccncc1)c1cccnc1 ZINC000486992452 533326613 /nfs/dbraw/zinc/32/66/13/533326613.db2.gz ZPEUGECNSGXAQK-CXLRFSCWSA-N 0 3 239.322 2.841 20 0 BFADHN COc1cccc(CN2CCC[C@@H](C(C)C)C2)n1 ZINC000483335765 533477985 /nfs/dbraw/zinc/47/79/85/533477985.db2.gz CTMNOTRHOODLTB-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN COC(=O)CN(CC1CCCCCC1)C(C)C ZINC000508822007 533491851 /nfs/dbraw/zinc/49/18/51/533491851.db2.gz LVPQRYIMXLECQU-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1cccc(CNCCOCC(F)(F)F)c1 ZINC000042238553 322837141 /nfs/dbraw/zinc/83/71/41/322837141.db2.gz NOVYAHCSJVCNBF-UHFFFAOYSA-N 0 3 247.260 2.664 20 0 BFADHN Cc1ccc2nccc(N3CCOC[C@@H]3C)c2c1 ZINC000302164637 132260966 /nfs/dbraw/zinc/26/09/66/132260966.db2.gz QQLGBBXTTVIFEC-LBPRGKRZSA-N 0 3 242.322 2.768 20 0 BFADHN c1cc2c(o1)CCC[C@@H]2N[C@@H]1CCO[C@@H]1C1CC1 ZINC000219292808 133523250 /nfs/dbraw/zinc/52/32/50/133523250.db2.gz CTHIWKQYFUKNBH-GZBFAFLISA-N 0 3 247.338 2.814 20 0 BFADHN CC(C)CC[C@H](C)N[C@@H](C)c1nccn1C ZINC000070007381 133539061 /nfs/dbraw/zinc/53/90/61/133539061.db2.gz WUZWMTIZEAVOGQ-RYUDHWBXSA-N 0 3 223.364 2.895 20 0 BFADHN CCOC[C@H](C)NCc1ccc(F)c(Cl)c1 ZINC000088041011 133545778 /nfs/dbraw/zinc/54/57/78/133545778.db2.gz OOMZRMMHYTVNOH-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(F)cc2)C[C@H]1C ZINC000334738297 133744520 /nfs/dbraw/zinc/74/45/20/133744520.db2.gz IVAWAOZPQAQMIH-BXUZGUMPSA-N 0 3 237.318 2.683 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NC[C@H](C)C(F)(F)F ZINC000347554307 133840662 /nfs/dbraw/zinc/84/06/62/133840662.db2.gz JXAGFTXCJCOBDS-JGVFFNPUSA-N 0 3 249.280 2.578 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)[C@@H]2C)c(C)n1 ZINC000335931124 134011118 /nfs/dbraw/zinc/01/11/18/134011118.db2.gz CBQYGHHCRGXDDC-SKDRFNHKSA-N 0 3 204.317 2.539 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1nc2cccnc2s1 ZINC000335934103 134011902 /nfs/dbraw/zinc/01/19/02/134011902.db2.gz DOGDXARKVMASML-VHSXEESVSA-N 0 3 247.367 2.922 20 0 BFADHN Cc1ccc(C)c(CN2C[C@H](C)N(C)C[C@H]2C)c1 ZINC000351945794 134015427 /nfs/dbraw/zinc/01/54/27/134015427.db2.gz UOCQGDBFHAMJFF-LSDHHAIUSA-N 0 3 246.398 2.828 20 0 BFADHN C[C@H](c1ccccc1Cl)N1C[C@@H](C)[C@@H](O)C1 ZINC000351951233 134015876 /nfs/dbraw/zinc/01/58/76/134015876.db2.gz JCJXAYRBWPHZHA-BREBYQMCSA-N 0 3 239.746 2.714 20 0 BFADHN Cc1nocc1CN(C)[C@H]1CCCC[C@@H]1C ZINC000335943199 134019017 /nfs/dbraw/zinc/01/90/17/134019017.db2.gz RUZFZBIACZVDAD-GWCFXTLKSA-N 0 3 222.332 2.994 20 0 BFADHN C[C@H](F)CCN1CC(C)(C)[C@H]1[C@@H]1CCCO1 ZINC000351959936 134019026 /nfs/dbraw/zinc/01/90/26/134019026.db2.gz SUJXWWKCTWCUBB-SDDRHHMPSA-N 0 3 229.339 2.624 20 0 BFADHN CC(C)n1cc(CN2C[C@H](C)C[C@H]2C)cn1 ZINC000335944603 134019262 /nfs/dbraw/zinc/01/92/62/134019262.db2.gz XTTFLTKBKHOSNV-VXGBXAGGSA-N 0 3 221.348 2.694 20 0 BFADHN Cc1cnc(CN2CCC[C@H]2C(C)(C)C)cn1 ZINC000335945553 134020172 /nfs/dbraw/zinc/02/01/72/134020172.db2.gz OCDNFUWAXQNNID-ZDUSSCGKSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1cnc([C@@H](C)N2CC[C@H](C3CC3)C2)o1 ZINC000335946203 134020186 /nfs/dbraw/zinc/02/01/86/134020186.db2.gz BLCURHKLPVYGLG-PWSUYJOCSA-N 0 3 220.316 2.776 20 0 BFADHN CC1CCC(CN2CCOC(C)(C)C2)CC1 ZINC000351972336 134024789 /nfs/dbraw/zinc/02/47/89/134024789.db2.gz MICRTVMFYBWQKO-UHFFFAOYSA-N 0 3 225.376 2.924 20 0 BFADHN Cc1ccc(NC(=O)C(C)C(F)(F)F)cc1O ZINC000335976406 134031451 /nfs/dbraw/zinc/03/14/51/134031451.db2.gz IYUDYYUHMQAVKX-ZETCQYMHSA-N 0 3 247.216 2.838 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC(F)(F)[C@@H](C)C2)O1 ZINC000352029246 134044156 /nfs/dbraw/zinc/04/41/56/134044156.db2.gz USBDKPRCZSSVNK-AXFHLTTASA-N 0 3 233.302 2.531 20 0 BFADHN C[C@@H](F)CCN1CCC(F)(F)[C@H](C)C1 ZINC000336005684 134048752 /nfs/dbraw/zinc/04/87/52/134048752.db2.gz JRXITQLNZLDBDE-RKDXNWHRSA-N 0 3 209.255 2.712 20 0 BFADHN Cc1oncc1CN1CCC(C)(C2CC2)CC1 ZINC000336005863 134048888 /nfs/dbraw/zinc/04/88/88/134048888.db2.gz HWMSEFSJZDPNLB-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN CC[C@H](C(N)=O)N1CC[C@@H](C)C(C)(C)C1 ZINC000336010709 134050663 /nfs/dbraw/zinc/05/06/63/134050663.db2.gz IIKWCUBSVCDBTA-NXEZZACHSA-N 0 3 212.337 2.668 20 0 BFADHN CC[C@@H](C(N)=O)N1CC[C@@H](C)C(C)(C)C1 ZINC000336010712 134050945 /nfs/dbraw/zinc/05/09/45/134050945.db2.gz IIKWCUBSVCDBTA-ZJUUUORDSA-N 0 3 212.337 2.668 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@@H](C)C2(CCC2)C1 ZINC000336011838 134051630 /nfs/dbraw/zinc/05/16/30/134051630.db2.gz FIFJKRXTENNUIU-GFCCVEGCSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1ccsc1CN1CC[C@H](n2cccn2)C1 ZINC000336032046 134058768 /nfs/dbraw/zinc/05/87/68/134058768.db2.gz RFCPQSHDRCNMTF-LBPRGKRZSA-N 0 3 247.367 2.700 20 0 BFADHN C[C@@H](F)CCN1CCN(c2ccccc2)CC1 ZINC000352113230 134068415 /nfs/dbraw/zinc/06/84/15/134068415.db2.gz FWACSIBMSPTSNB-CYBMUJFWSA-N 0 3 236.334 2.557 20 0 BFADHN C[C@H]1CSCCN(CCC(F)(F)F)C1 ZINC000336095777 134080251 /nfs/dbraw/zinc/08/02/51/134080251.db2.gz LTWBWNXNDTVKQJ-MRVPVSSYSA-N 0 3 227.295 2.624 20 0 BFADHN Cc1cnc([C@H](C)N2CCCC[C@H](C)C2)cn1 ZINC000336115440 134088169 /nfs/dbraw/zinc/08/81/69/134088169.db2.gz LUORABKEEHWEMW-AAEUAGOBSA-N 0 3 233.359 2.968 20 0 BFADHN c1ncc(CN2CC[C@@H]3CCC[C@@H]32)s1 ZINC000336116879 134088447 /nfs/dbraw/zinc/08/84/47/134088447.db2.gz XMOOMHWHJUPZII-ONGXEEELSA-N 0 3 208.330 2.518 20 0 BFADHN Cc1oncc1CN(C)[C@@H]1CC[C@@H](C)C1 ZINC000336121118 134091742 /nfs/dbraw/zinc/09/17/42/134091742.db2.gz KGKGFNJGZWOQSL-BXKDBHETSA-N 0 3 208.305 2.603 20 0 BFADHN CN(C/C=C/c1ccccc1)[C@H]1CCCOC1 ZINC000352193806 134098236 /nfs/dbraw/zinc/09/82/36/134098236.db2.gz GFDNCDFRHYXPCT-BOSPYUDASA-N 0 3 231.339 2.811 20 0 BFADHN FC1(F)CC[C@@H](CN2CCOC[C@H]2C2CC2)C1 ZINC000336197233 134126746 /nfs/dbraw/zinc/12/67/46/134126746.db2.gz KRCDUZOAVSSPMI-PWSUYJOCSA-N 0 3 245.313 2.533 20 0 BFADHN Cc1cnccc1CN1C[C@@H](C(N)=O)CC[C@@H]1C ZINC000336211280 134134701 /nfs/dbraw/zinc/13/47/01/134134701.db2.gz HVQJFGPYKJLPCO-AAEUAGOBSA-N 0 3 247.342 2.526 20 0 BFADHN c1cc(O[C@H]2CCN([C@@H]3C=CCCC3)C2)ccn1 ZINC000336216454 134137625 /nfs/dbraw/zinc/13/76/25/134137625.db2.gz NFFOEFNPYGFHES-HIFRSBDPSA-N 0 3 244.338 2.643 20 0 BFADHN C[C@@H](NC[C@@H](O)CC(C)(C)C)c1cncs1 ZINC000167846396 134154066 /nfs/dbraw/zinc/15/40/66/134154066.db2.gz NMPNBCOCTPMNPW-ZJUUUORDSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@H](CN(C)C)N[C@H](C)c1ccc(F)c(F)c1 ZINC000168756745 134248048 /nfs/dbraw/zinc/24/80/48/134248048.db2.gz VASUFGAFSSWYCB-NXEZZACHSA-N 0 3 242.313 2.566 20 0 BFADHN C[C@H]1CC[C@@H](NCc2nc3ccccc3n2C)C1 ZINC000169097421 134249237 /nfs/dbraw/zinc/24/92/37/134249237.db2.gz CERXKFXFSXBNFK-NWDGAFQWSA-N 0 3 243.354 2.852 20 0 BFADHN CCn1ccnc1CN1CCC[C@@H]1C1CCC1 ZINC000170872492 134254210 /nfs/dbraw/zinc/25/42/10/134254210.db2.gz BEUHTWXESABDBO-CYBMUJFWSA-N 0 3 233.359 2.668 20 0 BFADHN CC[C@@H](C)N(C)Cc1cn2ccsc2n1 ZINC000170916270 134255344 /nfs/dbraw/zinc/25/53/44/134255344.db2.gz YAWUBTDWBDBPQT-SECBINFHSA-N 0 3 223.345 2.626 20 0 BFADHN Cc1ncc(CN(C)CCc2cccnc2)s1 ZINC000172074671 134261456 /nfs/dbraw/zinc/26/14/56/134261456.db2.gz HSKWFFPMOIRALL-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN Cc1ccccc1CN1CCN(C2CCC2)CC1 ZINC000172198640 134262840 /nfs/dbraw/zinc/26/28/40/134262840.db2.gz NSXDLXWEXDPFIX-UHFFFAOYSA-N 0 3 244.382 2.665 20 0 BFADHN Cc1cccc([C@H](C)NCc2c[nH]cn2)c1C ZINC000172038933 134264245 /nfs/dbraw/zinc/26/42/45/134264245.db2.gz XDOSYLKUOQINMJ-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1cccc([C@H](C)NCc2cnc[nH]2)c1C ZINC000172038933 134264246 /nfs/dbraw/zinc/26/42/46/134264246.db2.gz XDOSYLKUOQINMJ-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN CCCCN(C/C=C\c1ccccc1)CCO ZINC000219662769 134289065 /nfs/dbraw/zinc/28/90/65/134289065.db2.gz OAMLEBGJOJCPRX-YFHOEESVSA-N 0 3 233.355 2.794 20 0 BFADHN CC[C@H]1CCCN(Cc2cccnc2OC)C1 ZINC000176456607 134291738 /nfs/dbraw/zinc/29/17/38/134291738.db2.gz GAUVAJYFTFZSRQ-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)[C@H](C)C1(C)CC1 ZINC000177222564 134296374 /nfs/dbraw/zinc/29/63/74/134296374.db2.gz AGFDVTXIYJKVKU-GFCCVEGCSA-N 0 3 235.375 2.657 20 0 BFADHN CC(C)[C@@H]1CCCN(Cc2cncn2C)CC1 ZINC000177078452 134297047 /nfs/dbraw/zinc/29/70/47/134297047.db2.gz NHZNHLOSPBPOOT-CYBMUJFWSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@@H]1CCCN(Cc2cnc3ccccn23)[C@@H]1C ZINC000177329063 134299442 /nfs/dbraw/zinc/29/94/42/134299442.db2.gz BNYCGSUMHIYYRX-CHWSQXEVSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000178135239 134306415 /nfs/dbraw/zinc/30/64/15/134306415.db2.gz RMOIBYZFVJZOPY-AAEUAGOBSA-N 0 3 239.363 2.540 20 0 BFADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C)c1ccoc1 ZINC000178725592 134310328 /nfs/dbraw/zinc/31/03/28/134310328.db2.gz OWXQWHDSNKEXKY-SYEHKZFSSA-N 0 3 235.327 2.744 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)[C@@H](C)C2)no1 ZINC000220669608 134311703 /nfs/dbraw/zinc/31/17/03/134311703.db2.gz MFRBGBPAUZWUNN-JFGNBEQYSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C[C@H](C)C2)on1 ZINC000178969065 134313882 /nfs/dbraw/zinc/31/38/82/134313882.db2.gz SEFIWBRWEGAJSD-QWRGUYRKSA-N 0 3 222.332 2.851 20 0 BFADHN CCOC(=O)CN(C[C@H]1CC=CCC1)C(C)C ZINC000179424148 134320800 /nfs/dbraw/zinc/32/08/00/134320800.db2.gz PRHLDKHCXBEBDL-ZDUSSCGKSA-N 0 3 239.359 2.616 20 0 BFADHN CCC[C@H]1CCCCN1Cc1cncn1C ZINC000179520672 134321174 /nfs/dbraw/zinc/32/11/74/134321174.db2.gz LHERDGDGUKCRRV-LBPRGKRZSA-N 0 3 221.348 2.575 20 0 BFADHN OCCN(C/C=C/c1ccccc1)C1CCC1 ZINC000221206265 134322619 /nfs/dbraw/zinc/32/26/19/134322619.db2.gz IROASCLRGQIYHV-VMPITWQZSA-N 0 3 231.339 2.547 20 0 BFADHN CCCn1cc(CN(C)C[C@@H](C)CC)cn1 ZINC000179686486 134323691 /nfs/dbraw/zinc/32/36/91/134323691.db2.gz FFHZPLUOWUAXHZ-LBPRGKRZSA-N 0 3 223.364 2.771 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H](NCc2nccn2C)C1 ZINC000221390403 134324063 /nfs/dbraw/zinc/32/40/63/134324063.db2.gz DYKZZBGOIGPZCH-CHWSQXEVSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@H](NC[C@@H]1CC1(C)C)c1nccs1 ZINC000222038443 134329244 /nfs/dbraw/zinc/32/92/44/134329244.db2.gz MWTPXEGXYVXEQQ-IUCAKERBSA-N 0 3 210.346 2.840 20 0 BFADHN C[C@@H](NC[C@H]1CC1(C)C)c1ccc(F)cn1 ZINC000222043476 134329299 /nfs/dbraw/zinc/32/92/99/134329299.db2.gz NIGZQLBFFRDZDA-NXEZZACHSA-N 0 3 222.307 2.917 20 0 BFADHN C[C@@H](NC[C@@H]1CC1(C)C)c1ccccn1 ZINC000222036492 134329480 /nfs/dbraw/zinc/32/94/80/134329480.db2.gz RJRUBEFHCGLTHC-MNOVXSKESA-N 0 3 204.317 2.778 20 0 BFADHN C[C@@H](NCC1(C)CC1)c1ccc(F)cn1 ZINC000222186192 134330069 /nfs/dbraw/zinc/33/00/69/134330069.db2.gz PCFOQLDODVCITQ-SECBINFHSA-N 0 3 208.280 2.671 20 0 BFADHN C[C@H]1CCC[C@H](CO)N1Cc1ccccc1F ZINC000181384520 134336439 /nfs/dbraw/zinc/33/64/39/134336439.db2.gz DDEJNCSAOYTXRW-WCQYABFASA-N 0 3 237.318 2.561 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@H](C)CC(F)(F)F ZINC000223186025 134337616 /nfs/dbraw/zinc/33/76/16/134337616.db2.gz OEGFZEMZWNTQLK-HTQZYQBOSA-N 0 3 249.280 2.720 20 0 BFADHN CCc1nc(CNCC2(C)CC2)cs1 ZINC000224187216 134342302 /nfs/dbraw/zinc/34/23/02/134342302.db2.gz FBLWMBAIIIKTLG-UHFFFAOYSA-N 0 3 210.346 2.595 20 0 BFADHN C[C@H](NCC1(O)CCC1)c1cccc(F)c1F ZINC000223946506 134343435 /nfs/dbraw/zinc/34/34/35/134343435.db2.gz UGMZYQWYJGTLMX-VIFPVBQESA-N 0 3 241.281 2.530 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@H]3CCCC[C@@H]32)o1 ZINC000182487404 134345719 /nfs/dbraw/zinc/34/57/19/134345719.db2.gz SXHKMSZQKPCLTA-UHTWSYAYSA-N 0 3 234.343 2.994 20 0 BFADHN CCC[C@@H](N[C@H]1C[C@H](O)C1(C)C)c1ccccn1 ZINC000182631122 134346174 /nfs/dbraw/zinc/34/61/74/134346174.db2.gz OOQMOYSEVDPIMN-RDBSUJKOSA-N 0 3 248.370 2.672 20 0 BFADHN FCCCN1CC[C@@H](Oc2cccc(F)c2)C1 ZINC000183375195 134350956 /nfs/dbraw/zinc/35/09/56/134350956.db2.gz VXGGDEABKUWLCX-CYBMUJFWSA-N 0 3 241.281 2.638 20 0 BFADHN C[C@H](CNCc1ccco1)N1CCCC[C@@H]1C ZINC000225619919 134353086 /nfs/dbraw/zinc/35/30/86/134353086.db2.gz MGBYFBLVEKZKDT-QWHCGFSZSA-N 0 3 236.359 2.632 20 0 BFADHN CC[C@H]1CN(CC)CCN1Cc1ccccc1 ZINC000183754784 134353957 /nfs/dbraw/zinc/35/39/57/134353957.db2.gz XAGSEZRPFDEPGI-HNNXBMFYSA-N 0 3 232.371 2.603 20 0 BFADHN C[C@@H]1C[C@H]1CN[C@@H]1COCc2ccccc21 ZINC000226009505 134358143 /nfs/dbraw/zinc/35/81/43/134358143.db2.gz FNTPBNONZHEEBU-SCDSUCTJSA-N 0 3 217.312 2.504 20 0 BFADHN COc1cc(C)nc(CNCC2(C3CC3)CC2)c1 ZINC000225911931 134359888 /nfs/dbraw/zinc/35/98/88/134359888.db2.gz CFKRDLUPYFNPAA-UHFFFAOYSA-N 0 3 246.354 2.678 20 0 BFADHN CCC[C@H]1CCCCN1Cc1n[nH]c(CC)n1 ZINC000184689881 134367935 /nfs/dbraw/zinc/36/79/35/134367935.db2.gz HKAVOLGJJWGAOD-NSHDSACASA-N 0 3 236.363 2.522 20 0 BFADHN COc1ccc(CNCC2(C)CCC2)c(OC)c1 ZINC000226787618 134369038 /nfs/dbraw/zinc/36/90/38/134369038.db2.gz IAWAVVVDCZVZJU-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1nccn1C ZINC000226915812 134371967 /nfs/dbraw/zinc/37/19/67/134371967.db2.gz GBMSKSCKLANYGG-XQHKEYJVSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1nccc(CN[C@@H]2CCC[C@H](C)[C@H]2C)n1 ZINC000227066351 134374168 /nfs/dbraw/zinc/37/41/68/134374168.db2.gz WIRNXMLCYWNLFD-MISXGVKJSA-N 0 3 233.359 2.699 20 0 BFADHN CCN1CC[C@H](c2c(F)cccc2F)C1 ZINC000185302235 134379979 /nfs/dbraw/zinc/37/99/79/134379979.db2.gz CRBWVFSZPXVFFH-VIFPVBQESA-N 0 3 211.255 2.774 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccc(Cl)s1)[C@H](C)O ZINC000227476029 134383804 /nfs/dbraw/zinc/38/38/04/134383804.db2.gz WQLZAKHOLUDVIG-CSMHCCOUSA-N 0 3 233.764 2.821 20 0 BFADHN CO[C@@H]1C[C@H](NCc2ccsc2)C1(C)C ZINC000227828122 134389947 /nfs/dbraw/zinc/38/99/47/134389947.db2.gz LBVAEZUUQRRHAH-WDEREUQCSA-N 0 3 225.357 2.651 20 0 BFADHN CO[C@H]1C[C@@H](NCc2ccc(Cl)o2)C1(C)C ZINC000227828478 134390270 /nfs/dbraw/zinc/39/02/70/134390270.db2.gz NJKGUEWZBHTTIC-ZJUUUORDSA-N 0 3 243.734 2.836 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@@H]2CCC[C@@H]2C)n1 ZINC000230666949 134519596 /nfs/dbraw/zinc/51/95/96/134519596.db2.gz BDBNNIVSLXIPEQ-JQWIXIFHSA-N 0 3 234.343 2.621 20 0 BFADHN CCOC[C@H](C)N[C@H]1CCCc2occc21 ZINC000132366699 134654354 /nfs/dbraw/zinc/65/43/54/134654354.db2.gz FQZMVLWZGFPTCK-JQWIXIFHSA-N 0 3 223.316 2.672 20 0 BFADHN COc1ccc(CN2CC[C@H](C(C)C)C2)cc1O ZINC000135648695 134753836 /nfs/dbraw/zinc/75/38/36/134753836.db2.gz FOAHFOOYOKPTFI-ZDUSSCGKSA-N 0 3 249.354 2.879 20 0 BFADHN C[C@H]1C[C@@H](NCc2cc(F)cc(Cl)c2)CO1 ZINC000335662491 134852667 /nfs/dbraw/zinc/85/26/67/134852667.db2.gz FIDMIQAXVYPHBU-QPUJVOFHSA-N 0 3 243.709 2.746 20 0 BFADHN C[C@@H]1CCN(Cc2ccc3c(c2)OCCO3)[C@H]1C ZINC000248369971 135000433 /nfs/dbraw/zinc/00/04/33/135000433.db2.gz WWLRJQHKECDZLY-NEPJUHHUSA-N 0 3 247.338 2.688 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C1)c1nccs1 ZINC000070644298 135001361 /nfs/dbraw/zinc/00/13/61/135001361.db2.gz VKPMKXNGZOMBTN-UTLUCORTSA-N 0 3 210.346 2.982 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCC(C)(C)O2)CCS1 ZINC000248558053 135004117 /nfs/dbraw/zinc/00/41/17/135004117.db2.gz DASYQTFEONJOAO-VXGBXAGGSA-N 0 3 243.416 2.771 20 0 BFADHN CO[C@H](C)CN1CCC[C@H]1c1cccc(F)c1 ZINC000248415063 135006224 /nfs/dbraw/zinc/00/62/24/135006224.db2.gz HOTZHEGCIULERM-RISCZKNCSA-N 0 3 237.318 2.998 20 0 BFADHN CCN(C[C@H](C)OC)[C@H](C)c1cccc(O)c1 ZINC000248426130 135008341 /nfs/dbraw/zinc/00/83/41/135008341.db2.gz OGAFMBSYJQKDOS-NWDGAFQWSA-N 0 3 237.343 2.810 20 0 BFADHN CC[C@@H](NCCCSC)c1nccs1 ZINC000070717127 135010140 /nfs/dbraw/zinc/01/01/40/135010140.db2.gz BYQUOBYCGLHBPV-SECBINFHSA-N 0 3 230.402 2.937 20 0 BFADHN C[C@H]1CC[C@H](C)N(CCOCC(F)(F)F)C1 ZINC000076149388 135013138 /nfs/dbraw/zinc/01/31/38/135013138.db2.gz GONPUHVUIXBLIY-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN CO[C@@]1(C)C[C@H](N(C)Cc2ccccn2)C1(C)C ZINC000076150916 135015008 /nfs/dbraw/zinc/01/50/08/135015008.db2.gz WITKEJGOSCLSOR-ZFWWWQNUSA-N 0 3 248.370 2.717 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@@H](C)C[C@H](C)C1 ZINC000248613283 135017474 /nfs/dbraw/zinc/01/74/74/135017474.db2.gz JCCVVCLCKSBEAA-FRRDWIJNSA-N 0 3 233.359 2.906 20 0 BFADHN CCN(C)c1ccc(CN2CCC[C@@H](C)C2)cn1 ZINC000248835173 135022522 /nfs/dbraw/zinc/02/25/22/135022522.db2.gz JNKAMKVGHNMIGM-CYBMUJFWSA-N 0 3 247.386 2.770 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CC[C@H]1CCCO1 ZINC000248878716 135024383 /nfs/dbraw/zinc/02/43/83/135024383.db2.gz WMYKFNBZGDJYIH-GXTWGEPZSA-N 0 3 234.343 2.644 20 0 BFADHN CO[C@H]1CN(Cc2ccc(C)s2)CC[C@H]1C ZINC000249238298 135036175 /nfs/dbraw/zinc/03/61/75/135036175.db2.gz WIMCYLIQASREJS-MFKMUULPSA-N 0 3 239.384 2.913 20 0 BFADHN C[C@H](O)CN1CCC[C@H]1c1cccc(Cl)c1 ZINC000249638028 135042923 /nfs/dbraw/zinc/04/29/23/135042923.db2.gz XDTYOMXEAUKYIZ-GWCFXTLKSA-N 0 3 239.746 2.858 20 0 BFADHN CN(Cc1ccsc1)C[C@@H]1CCCC[C@H]1O ZINC000250255628 135051617 /nfs/dbraw/zinc/05/16/17/135051617.db2.gz YDWLIAXBQBROHO-QWHCGFSZSA-N 0 3 239.384 2.731 20 0 BFADHN CC(C)C1(NCc2ccn(C(F)F)n2)CC1 ZINC000339504702 135074659 /nfs/dbraw/zinc/07/46/59/135074659.db2.gz XXFAPPRPFGCLRL-UHFFFAOYSA-N 0 3 229.274 2.556 20 0 BFADHN Cc1csc(CN2CC[C@@H](C)[C@H]2C)n1 ZINC000246232972 135082212 /nfs/dbraw/zinc/08/22/12/135082212.db2.gz MXKTYSNZYZWCRY-PSASIEDQSA-N 0 3 210.346 2.682 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2COc3ccccc32)C[C@H](C)O1 ZINC000252111602 135083537 /nfs/dbraw/zinc/08/35/37/135083537.db2.gz JQVAQJKEEGHPGC-OPDFLTKYSA-N 0 3 247.338 2.666 20 0 BFADHN C[C@H](N[C@H]1CCc2c1cccc2O)[C@H]1CCCO1 ZINC000252374158 135087651 /nfs/dbraw/zinc/08/76/51/135087651.db2.gz ZADRKVLVYQRCGX-VZJVUDMVSA-N 0 3 247.338 2.537 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N[C@H](C)c1nncn1C ZINC000252391231 135088062 /nfs/dbraw/zinc/08/80/62/135088062.db2.gz PPKVXQGNNGPQGM-QJPTWQEYSA-N 0 3 238.379 2.681 20 0 BFADHN C[C@@H](N)c1nc2c(s1)CCCC2(C)C ZINC000086844151 135098383 /nfs/dbraw/zinc/09/83/83/135098383.db2.gz TYGISNJBZRVLRO-SSDOTTSWSA-N 0 3 210.346 2.777 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(F)cc2)CS1 ZINC000086934278 135103284 /nfs/dbraw/zinc/10/32/84/135103284.db2.gz HSDXYXREWWDIDK-BXKDBHETSA-N 0 3 225.332 2.809 20 0 BFADHN C[C@H](CCN[C@@H](C)c1cccs1)[S@](C)=O ZINC000252733227 135105097 /nfs/dbraw/zinc/10/50/97/135105097.db2.gz YLJSRDFCFWCCRT-FTGAXOIBSA-N 0 3 245.413 2.556 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@H](C)c1ccc(F)cc1F ZINC000252754574 135107381 /nfs/dbraw/zinc/10/73/81/135107381.db2.gz ILWVVBBOQZRAGU-KXUCPTDWSA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@H](C)CN(CC)[C@@H](C(N)=O)c1ccccc1 ZINC000252802104 135111257 /nfs/dbraw/zinc/11/12/57/135111257.db2.gz RGJCMXWTXNVGTP-GXTWGEPZSA-N 0 3 248.370 2.581 20 0 BFADHN CO[C@@H]1CCCN(C/C=C\c2ccc(F)cc2)C1 ZINC000255421170 135130033 /nfs/dbraw/zinc/13/00/33/135130033.db2.gz CGGXGAIDFUWUKG-NSRYLSIASA-N 0 3 249.329 2.950 20 0 BFADHN CCc1ncc(CNC[C@@H]2CCCS2)s1 ZINC000087473128 135142426 /nfs/dbraw/zinc/14/24/26/135142426.db2.gz KRNFJHUUHHZHGF-VIFPVBQESA-N 0 3 242.413 2.691 20 0 BFADHN CC1(C)CC[C@H](NCc2ccccn2)C1 ZINC000087769459 135167346 /nfs/dbraw/zinc/16/73/46/135167346.db2.gz MEMRZBQCTRATPO-NSHDSACASA-N 0 3 204.317 2.750 20 0 BFADHN CC1(C)CC[C@H](NCc2cscn2)C1 ZINC000087771834 135168116 /nfs/dbraw/zinc/16/81/16/135168116.db2.gz VAXPIVOHWRZCSU-VIFPVBQESA-N 0 3 210.346 2.811 20 0 BFADHN Cc1ccc(CN[C@@H]2CC=CCC2)nc1 ZINC000087782545 135169886 /nfs/dbraw/zinc/16/98/86/135169886.db2.gz KYVRENWDTVPKEE-GFCCVEGCSA-N 0 3 202.301 2.588 20 0 BFADHN Cc1ccc([C@H](C)NCCOC(F)(F)F)o1 ZINC000084177831 135189308 /nfs/dbraw/zinc/18/93/08/135189308.db2.gz GMMKIUDVNRERGD-QMMMGPOBSA-N 0 3 237.221 2.775 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cnc(N)s2)C1 ZINC000261562100 135203899 /nfs/dbraw/zinc/20/38/99/135203899.db2.gz BAWFCEBIRXTXQQ-GFCCVEGCSA-N 0 3 239.388 2.737 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@H](C)C2)no1 ZINC000084342447 135210400 /nfs/dbraw/zinc/21/04/00/135210400.db2.gz DNMMTPCVAQJRBI-JQWIXIFHSA-N 0 3 222.332 2.899 20 0 BFADHN CC(C)[C@@H]1CCCC[C@H]1NCc1ncccn1 ZINC000215018805 135218194 /nfs/dbraw/zinc/21/81/94/135218194.db2.gz DYGKPXBJFZZTMI-QWHCGFSZSA-N 0 3 233.359 2.781 20 0 BFADHN CCCn1cc(CNCCC2(F)CCC2)cn1 ZINC000342229512 135254071 /nfs/dbraw/zinc/25/40/71/135254071.db2.gz QLRVZNHHMYIWCG-UHFFFAOYSA-N 0 3 239.338 2.665 20 0 BFADHN CC/C=C/CCN(CCC)CC(=O)NCCC ZINC000342232237 135254583 /nfs/dbraw/zinc/25/45/83/135254583.db2.gz IKSYNOCADCIGFP-BQYQJAHWSA-N 0 3 240.391 2.581 20 0 BFADHN COc1ccc(C)cc1CN[C@H](C)[C@@H](C)OC ZINC000342285868 135255242 /nfs/dbraw/zinc/25/52/42/135255242.db2.gz VBBPZMFKMPPNNY-VXGBXAGGSA-N 0 3 237.343 2.517 20 0 BFADHN COc1ccc(C)cc1CN[C@@H](C)[C@@H](C)OC ZINC000342285864 135255749 /nfs/dbraw/zinc/25/57/49/135255749.db2.gz VBBPZMFKMPPNNY-NWDGAFQWSA-N 0 3 237.343 2.517 20 0 BFADHN Fc1ccc(/C=C\CNCC2=CCCOC2)cc1 ZINC000342270659 135259537 /nfs/dbraw/zinc/25/95/37/135259537.db2.gz AXKCMSMVGSQOLC-IWQZZHSRSA-N 0 3 247.313 2.775 20 0 BFADHN COc1ccc2c(c1)C[C@@H](N[C@H](C)CF)CC2 ZINC000342261850 135259708 /nfs/dbraw/zinc/25/97/08/135259708.db2.gz XUKWJDSANAHXHR-MFKMUULPSA-N 0 3 237.318 2.500 20 0 BFADHN Fc1cccc2[nH]c(CN[C@H]3CCCOC3)cc21 ZINC000342327633 135264646 /nfs/dbraw/zinc/26/46/46/135264646.db2.gz VGLAGTJZAXCGRQ-JTQLQIEISA-N 0 3 248.301 2.576 20 0 BFADHN CCCCN(CC)C(=O)[C@@H]1CCCN1CCC ZINC000342550373 135287046 /nfs/dbraw/zinc/28/70/46/135287046.db2.gz XPWRPFCLLXDRNO-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CCCN(CCC)C(=O)[C@H]1CCCN1CCC ZINC000342548492 135287264 /nfs/dbraw/zinc/28/72/64/135287264.db2.gz UVDSFGVVRXXEOW-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CC1(C)[C@H](NCCF)C[C@H]1Oc1ccccc1 ZINC000342571007 135290359 /nfs/dbraw/zinc/29/03/59/135290359.db2.gz BIMDRUQAHFXDOV-CHWSQXEVSA-N 0 3 237.318 2.792 20 0 BFADHN CCC1CC(N[C@H](C)c2ccncc2OC)C1 ZINC000342573320 135291079 /nfs/dbraw/zinc/29/10/79/135291079.db2.gz HACNKUGBUXXOGI-VOMCLLRMSA-N 0 3 234.343 2.929 20 0 BFADHN CCC[C@@H](C)CN1CCN2CCCC[C@@H]2C1 ZINC000342617381 135296102 /nfs/dbraw/zinc/29/61/02/135296102.db2.gz ASAJXTVGZASOFZ-ZIAGYGMSSA-N 0 3 224.392 2.593 20 0 BFADHN CCCCOc1ccc([C@H](C)NCCO)cc1 ZINC000342622135 135297029 /nfs/dbraw/zinc/29/70/29/135297029.db2.gz CPSJXMGTJMJWFT-LBPRGKRZSA-N 0 3 237.343 2.508 20 0 BFADHN CC/C=C\CCN[C@H](CO)c1ccc(F)cc1 ZINC000342646136 135299926 /nfs/dbraw/zinc/29/99/26/135299926.db2.gz SVDXIJJXUOZFDL-VQTKUKTRSA-N 0 3 237.318 2.805 20 0 BFADHN COc1cccc(CN(C)CC[C@@H]2CCCO2)c1 ZINC000342601659 135302232 /nfs/dbraw/zinc/30/22/32/135302232.db2.gz WMCVERNGZONOHU-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN C[C@@H](CCc1ccccc1)CN1CCOCC1 ZINC000342679476 135305047 /nfs/dbraw/zinc/30/50/47/135305047.db2.gz ISGGEJKPVLSBTD-AWEZNQCLSA-N 0 3 233.355 2.588 20 0 BFADHN COCCN(C)[C@@H]1CCc2ccc(Cl)cc21 ZINC000342693771 135306335 /nfs/dbraw/zinc/30/63/35/135306335.db2.gz MYZKPPPHPBROMW-CYBMUJFWSA-N 0 3 239.746 2.906 20 0 BFADHN CCCC[C@@H](N)C(=O)N1CCC[C@@H](CC)CC1 ZINC000079339321 135306825 /nfs/dbraw/zinc/30/68/25/135306825.db2.gz UBVJRXDNRTZQFE-CHWSQXEVSA-N 0 3 240.391 2.543 20 0 BFADHN CCC[C@@](C)(N)C(=O)N[C@@H](C)CCC(C)(C)C ZINC000262714023 135314694 /nfs/dbraw/zinc/31/46/94/135314694.db2.gz SZSOYEBQPBDIFE-SMDDNHRTSA-N 0 3 242.407 2.835 20 0 BFADHN CC1(C)CC[C@@H]1N[C@@H](CO)c1cccc(F)c1 ZINC000342735696 135316685 /nfs/dbraw/zinc/31/66/85/135316685.db2.gz PCDBZLVCYCBWHA-STQMWFEESA-N 0 3 237.318 2.637 20 0 BFADHN C[C@H](CCC(C)(C)C)N(C)[C@@H](C)C[S@](C)=O ZINC000342781873 135317533 /nfs/dbraw/zinc/31/75/33/135317533.db2.gz QYOSOUBVBCNIJX-WQGACYEGSA-N 0 3 247.448 2.900 20 0 BFADHN Cc1nccc(CN(C)[C@H]2CCC[C@H](C)C2)n1 ZINC000334171004 135319869 /nfs/dbraw/zinc/31/98/69/135319869.db2.gz BGMJUWJSWPDPGB-FZMZJTMJSA-N 0 3 233.359 2.796 20 0 BFADHN Cn1ccnc1[C@@H](NCCC(C)(C)C)C1CC1 ZINC000342802825 135321712 /nfs/dbraw/zinc/32/17/12/135321712.db2.gz QUVXHSXFUJBIFI-LBPRGKRZSA-N 0 3 235.375 2.897 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)n1C ZINC000342800703 135322347 /nfs/dbraw/zinc/32/23/47/135322347.db2.gz UACIGJFTQCQOEZ-WZRBSPASSA-N 0 3 235.375 2.595 20 0 BFADHN c1cc([C@@H]2CCCCN2CC2CCC2)n[nH]1 ZINC000334172393 135331057 /nfs/dbraw/zinc/33/10/57/135331057.db2.gz BMSDUMYZRNRWFL-ZDUSSCGKSA-N 0 3 219.332 2.737 20 0 BFADHN Cc1nccc(CN2CCC(C)(C3CC3)CC2)n1 ZINC000334284206 135339093 /nfs/dbraw/zinc/33/90/93/135339093.db2.gz ZYRHGHPVVCGHDH-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN CC[C@H](NCCc1cccnc1)c1nccs1 ZINC000080278657 135367879 /nfs/dbraw/zinc/36/78/79/135367879.db2.gz AJZQVROTKBVMIE-LBPRGKRZSA-N 0 3 247.367 2.822 20 0 BFADHN Cc1nocc1CN1CCC[C@@H](C(C)C)C1 ZINC000334369456 135373374 /nfs/dbraw/zinc/37/33/74/135373374.db2.gz UOQIKUFZQQCVED-GFCCVEGCSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)C[C@@H](C)O)c(C)o1 ZINC000164494162 135380381 /nfs/dbraw/zinc/38/03/81/135380381.db2.gz SXVIMFTUFLPWGA-KKZNHRDASA-N 0 3 225.332 2.706 20 0 BFADHN Cn1ccc(CNCc2ccc(Cl)cc2)c1 ZINC000080794384 135385020 /nfs/dbraw/zinc/38/50/20/135385020.db2.gz OJSIJZDZVXTWTC-UHFFFAOYSA-N 0 3 234.730 2.968 20 0 BFADHN CC(C)n1nccc1CN1CC[C@H](C)[C@H]1C ZINC000334393124 135385497 /nfs/dbraw/zinc/38/54/97/135385497.db2.gz ZHOPOBZHJGFGLY-NWDGAFQWSA-N 0 3 221.348 2.694 20 0 BFADHN C[C@H]1CCN(Cc2nc3ccccc3o2)C1 ZINC000334401249 135390386 /nfs/dbraw/zinc/39/03/86/135390386.db2.gz NJADGAZRPPSENV-JTQLQIEISA-N 0 3 216.284 2.670 20 0 BFADHN Cc1cccc([C@H]2CCN(Cc3cc[nH]n3)C2)c1 ZINC000334414994 135396913 /nfs/dbraw/zinc/39/69/13/135396913.db2.gz NLMVXWLAFAYTMD-AWEZNQCLSA-N 0 3 241.338 2.708 20 0 BFADHN C[C@H](N[C@H]1COC(C)(C)C1)c1ccccc1 ZINC000334936271 135406509 /nfs/dbraw/zinc/40/65/09/135406509.db2.gz ZOTPRSJZIADNOD-WCQYABFASA-N 0 3 219.328 2.905 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@H](NCc2cccc(C)n2)C1(C)C ZINC000344041658 135732584 /nfs/dbraw/zinc/73/25/84/135732584.db2.gz CTCJXNMRUDDUFF-FPMFFAJLSA-N 0 3 248.370 2.539 20 0 BFADHN Cc1ncc(C[NH2+][C@H]2CCCC(C)(C)C2)c(=O)[n-]1 ZINC000344336545 135764195 /nfs/dbraw/zinc/76/41/95/135764195.db2.gz STNNNVJIDJSPCX-LBPRGKRZSA-N 0 3 249.358 2.549 20 0 BFADHN CC(C(=O)Nc1ccc(F)cc1)C(F)(F)F ZINC000334604214 135777243 /nfs/dbraw/zinc/77/72/43/135777243.db2.gz OKSOIEYBOFVJFA-ZCFIWIBFSA-N 0 3 235.180 2.963 20 0 BFADHN Fc1ccccc1CCN1CCC[C@]12CCOC2 ZINC000366948892 135940338 /nfs/dbraw/zinc/94/03/38/135940338.db2.gz ZWEOIFQKPWIWTR-OAHLLOKOSA-N 0 3 249.329 2.623 20 0 BFADHN C[C@H]1CN(CC23CC4CC(CC(C4)C2)C3)CCO1 ZINC000346787597 135973658 /nfs/dbraw/zinc/97/36/58/135973658.db2.gz AFHCKBSCXUILQN-AXQFOSQISA-N 0 3 249.398 2.924 20 0 BFADHN Cc1ccnc(CN[C@@H](C)C2CCCCC2)n1 ZINC000347527466 135991218 /nfs/dbraw/zinc/99/12/18/135991218.db2.gz DQQYEEMYMCFRKP-LBPRGKRZSA-N 0 3 233.359 2.843 20 0 BFADHN c1ccc2c(c1)C=C(CN[C@H]1CC13CC3)CO2 ZINC000335284113 136001374 /nfs/dbraw/zinc/00/13/74/136001374.db2.gz BXJLVOSLCQZFAP-AWEZNQCLSA-N 0 3 227.307 2.605 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@@H]2C2CCCCC2)cn1 ZINC000347770810 136008927 /nfs/dbraw/zinc/00/89/27/136008927.db2.gz HVMJVPKALFZFFO-HUUCEWRRSA-N 0 3 245.370 2.843 20 0 BFADHN CCc1cc(CCCN2CCCCC2)on1 ZINC000347740053 136010264 /nfs/dbraw/zinc/01/02/64/136010264.db2.gz YSQRTCCMGSHSIA-UHFFFAOYSA-N 0 3 222.332 2.656 20 0 BFADHN CC[C@@H]1CN(CC23CCC(CC2)C3)CCO1 ZINC000347745912 136011085 /nfs/dbraw/zinc/01/10/85/136011085.db2.gz JJYIDJRTTMNWAJ-ROKHWSDSSA-N 0 3 223.360 2.678 20 0 BFADHN CC[C@H](C)CN(CC)Cc1c(C)cnn1C ZINC000347851830 136012575 /nfs/dbraw/zinc/01/25/75/136012575.db2.gz ILJVSEAFUWSSQR-NSHDSACASA-N 0 3 223.364 2.597 20 0 BFADHN CCN(Cc1cn(C(C)C)nn1)[C@H](C)C(C)C ZINC000347853970 136012769 /nfs/dbraw/zinc/01/27/69/136012769.db2.gz QXBPBMMBTDSATI-GFCCVEGCSA-N 0 3 238.379 2.725 20 0 BFADHN CCN(Cc1cn(C(C)C)nn1)[C@@H](C)C(C)C ZINC000347853975 136012901 /nfs/dbraw/zinc/01/29/01/136012901.db2.gz QXBPBMMBTDSATI-LBPRGKRZSA-N 0 3 238.379 2.725 20 0 BFADHN CCC[C@H]1CCCN(Cc2c(C)cnn2C)C1 ZINC000347887230 136014684 /nfs/dbraw/zinc/01/46/84/136014684.db2.gz UXDCBCVBFLRJRO-ZDUSSCGKSA-N 0 3 235.375 2.741 20 0 BFADHN CCc1ccc(CN2CC[C@H]2C(C)C)cn1 ZINC000347969809 136017857 /nfs/dbraw/zinc/01/78/57/136017857.db2.gz WGEWICZISFEHOD-AWEZNQCLSA-N 0 3 218.344 2.874 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cnc(C)cn1 ZINC000335313933 136031499 /nfs/dbraw/zinc/03/14/99/136031499.db2.gz ZHBZDDZDGFVKEB-WCQYABFASA-N 0 3 219.332 2.548 20 0 BFADHN c1c(CN[C@H]2CC[C@@H]2C2CC2)nc2ccccn12 ZINC000348087497 136035468 /nfs/dbraw/zinc/03/54/68/136035468.db2.gz PFJMSFQWMBFTJZ-KGLIPLIRSA-N 0 3 241.338 2.613 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+]C[C@@H](C)CC(C)C)[n-]1 ZINC000348138045 136039148 /nfs/dbraw/zinc/03/91/48/136039148.db2.gz ROZIBFHXYWPAAY-WDEREUQCSA-N 0 3 238.379 2.700 20 0 BFADHN CCc1nnc([C@@H](C)NC[C@@H](C)CC(C)C)[nH]1 ZINC000348138045 136039149 /nfs/dbraw/zinc/03/91/49/136039149.db2.gz ROZIBFHXYWPAAY-WDEREUQCSA-N 0 3 238.379 2.700 20 0 BFADHN Cc1ccccc1CN[C@@H]1C[C@H]1C(F)F ZINC000348187257 136040595 /nfs/dbraw/zinc/04/05/95/136040595.db2.gz PPWXTRNDURPPTD-GHMZBOCLSA-N 0 3 211.255 2.738 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)CC(C)(C)C2)cn1 ZINC000335326082 136051937 /nfs/dbraw/zinc/05/19/37/136051937.db2.gz VEFLUCDKUQWHSR-NSHDSACASA-N 0 3 233.359 2.653 20 0 BFADHN C[C@@H]1C[C@H](C)N1CC1=Cc2ccccc2OC1 ZINC000335328037 136052858 /nfs/dbraw/zinc/05/28/58/136052858.db2.gz AXKGFFPQCISHQA-TXEJJXNPSA-N 0 3 229.323 2.945 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2[C@H](C)C[C@H]2C)o1 ZINC000335329194 136052906 /nfs/dbraw/zinc/05/29/06/136052906.db2.gz XQAKZRYKSAYUBK-NXEZZACHSA-N 0 3 245.326 2.961 20 0 BFADHN Cc1cnn(C)c1CN1C[C@H](C)CC(C)(C)C1 ZINC000348264107 136058482 /nfs/dbraw/zinc/05/84/82/136058482.db2.gz WBEHQKAQRPRVBB-LLVKDONJSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@@H]1CN(CCc2ccccc2)C[C@@H](C2CC2)O1 ZINC000348234120 136060905 /nfs/dbraw/zinc/06/09/05/136060905.db2.gz SICYJIWARRGTNJ-CJNGLKHVSA-N 0 3 245.366 2.728 20 0 BFADHN Cn1ccnc1[C@H](N[C@H]1CC[C@@H]1C1CC1)C1CC1 ZINC000348289704 136062236 /nfs/dbraw/zinc/06/22/36/136062236.db2.gz LCXYHPJILDDZHX-HZSPNIEDSA-N 0 3 245.370 2.649 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1CC[C@H]1C1CC1)C1CC1 ZINC000348289702 136062249 /nfs/dbraw/zinc/06/22/49/136062249.db2.gz LCXYHPJILDDZHX-BFHYXJOUSA-N 0 3 245.370 2.649 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2C[C@@H]2C(F)F)cn1 ZINC000348300280 136063677 /nfs/dbraw/zinc/06/36/77/136063677.db2.gz ITJRAOSOBNISNJ-INTQDDNPSA-N 0 3 243.301 2.768 20 0 BFADHN COc1cc([C@H](C)N[C@H]2CC[C@H]2C)c(F)cn1 ZINC000348301053 136063781 /nfs/dbraw/zinc/06/37/81/136063781.db2.gz KJPMOBKLORGLAX-PTRXPTGYSA-N 0 3 238.306 2.678 20 0 BFADHN CCn1cc([C@@H](C)NCCC2(F)CCC2)cn1 ZINC000348321263 136069236 /nfs/dbraw/zinc/06/92/36/136069236.db2.gz MONYDZQXIZVZIP-LLVKDONJSA-N 0 3 239.338 2.836 20 0 BFADHN Cc1ccc2cc([C@@H](C)NCCN(C)C)oc2c1 ZINC000348336894 136075860 /nfs/dbraw/zinc/07/58/60/136075860.db2.gz SLZLVYIBIQVLNH-GFCCVEGCSA-N 0 3 246.354 2.953 20 0 BFADHN CN(Cc1ccnn1C)CC1CCCCCC1 ZINC000348407580 136077173 /nfs/dbraw/zinc/07/71/73/136077173.db2.gz PSKAYBZXWCNKFZ-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCC[C@H](F)C1 ZINC000335373388 136084425 /nfs/dbraw/zinc/08/44/25/136084425.db2.gz SAWVETQBQJZTON-WDEREUQCSA-N 0 3 226.295 2.662 20 0 BFADHN CC1(F)CC(NCc2ccc(F)c(F)c2)C1 ZINC000335375499 136085414 /nfs/dbraw/zinc/08/54/14/136085414.db2.gz KBXKLOGJRMYVEI-UHFFFAOYSA-N 0 3 229.245 2.945 20 0 BFADHN Cc1occc1CN[C@@H]1CCC[C@@H](F)C1 ZINC000335387601 136089531 /nfs/dbraw/zinc/08/95/31/136089531.db2.gz RKKQWZSMIZSIBM-VXGBXAGGSA-N 0 3 211.280 2.958 20 0 BFADHN c1nc(CN2CC[C@@H]3CCCC[C@@H]32)cs1 ZINC000335389893 136090246 /nfs/dbraw/zinc/09/02/46/136090246.db2.gz NSYWKPJCUTYOPY-JQWIXIFHSA-N 0 3 222.357 2.908 20 0 BFADHN COc1ccc(CN2CC[C@@H]3CCCC[C@@H]32)nc1 ZINC000335390483 136090601 /nfs/dbraw/zinc/09/06/01/136090601.db2.gz VQNLFEFNYWSTBV-WFASDCNBSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@@H]1C[C@H](NCc2cccc3ccoc32)CO1 ZINC000335390091 136090658 /nfs/dbraw/zinc/09/06/58/136090658.db2.gz LUSMUUGTLZRLSM-MFKMUULPSA-N 0 3 231.295 2.700 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc3occc3c2)CO1 ZINC000335392864 136091469 /nfs/dbraw/zinc/09/14/69/136091469.db2.gz NIAGPKLVQNQYDU-GWCFXTLKSA-N 0 3 231.295 2.700 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc3occc3c2)CO1 ZINC000335392867 136091492 /nfs/dbraw/zinc/09/14/92/136091492.db2.gz NIAGPKLVQNQYDU-MFKMUULPSA-N 0 3 231.295 2.700 20 0 BFADHN Cc1cccc(C)c1CN1CC(C)(C(N)=O)C1 ZINC000335398523 136093408 /nfs/dbraw/zinc/09/34/08/136093408.db2.gz WNQWBPQIKBKHSN-UHFFFAOYSA-N 0 3 232.327 2.661 20 0 BFADHN Cc1oncc1CN1C[C@H](C)CC(C)(C)C1 ZINC000335400026 136093968 /nfs/dbraw/zinc/09/39/68/136093968.db2.gz RIMKWHMUQUXBBW-SNVBAGLBSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1cccc(CCN2CCC=C(C)C2)n1 ZINC000335364573 136095316 /nfs/dbraw/zinc/09/53/16/136095316.db2.gz BGNOQDDQJTVQDA-UHFFFAOYSA-N 0 3 216.328 2.585 20 0 BFADHN CCN1CCN(Cc2ccc(C)cc2C)[C@H](C)C1 ZINC000348542106 136095470 /nfs/dbraw/zinc/09/54/70/136095470.db2.gz ARXJMELMGMRDCP-OAHLLOKOSA-N 0 3 246.398 2.829 20 0 BFADHN C[C@@H](N[C@H]1CCCC(F)(F)C1)c1ccncn1 ZINC000335572835 136110229 /nfs/dbraw/zinc/11/02/29/136110229.db2.gz RQKAQKIELOPICR-ZJUUUORDSA-N 0 3 241.285 2.705 20 0 BFADHN c1nnsc1CN1CCCC12CCCCC2 ZINC000335575890 136111035 /nfs/dbraw/zinc/11/10/35/136111035.db2.gz GOGVQONXVHMKCU-UHFFFAOYSA-N 0 3 237.372 2.837 20 0 BFADHN F[C@H]1CC[C@@H](NCc2cc3cccnc3o2)C1 ZINC000335582249 136112884 /nfs/dbraw/zinc/11/28/84/136112884.db2.gz SJIJWGSUYQDRFB-WDEREUQCSA-N 0 3 234.274 2.808 20 0 BFADHN COc1ccc(CN2CC(C3CCC3)C2)cc1 ZINC000348706470 136116284 /nfs/dbraw/zinc/11/62/84/136116284.db2.gz HTEQWBUAYWWXRZ-UHFFFAOYSA-N 0 3 231.339 2.927 20 0 BFADHN CCN(Cc1ccc([C@@H]2C[C@@H]2C)o1)C[C@H](C)O ZINC000348700009 136116293 /nfs/dbraw/zinc/11/62/93/136116293.db2.gz BFOBVZFDDKDMRI-GMXVVIOVSA-N 0 3 237.343 2.606 20 0 BFADHN COC[C@H](C)N[C@H](C)c1csc(C)c1 ZINC000381551064 397744611 /nfs/dbraw/zinc/74/46/11/397744611.db2.gz GANYOMGWUIQSDK-WCBMZHEXSA-N 0 3 213.346 2.742 20 0 BFADHN FC1(F)CCC(CNCc2cocn2)CC1 ZINC000309601889 397866259 /nfs/dbraw/zinc/86/62/59/397866259.db2.gz WVMVDNBTFFBXQL-UHFFFAOYSA-N 0 3 230.258 2.590 20 0 BFADHN CC(C)[C@H](O)CNCc1cc(Cl)cs1 ZINC000183869086 397868192 /nfs/dbraw/zinc/86/81/92/397868192.db2.gz PEWHNXLIBZVSEE-SNVBAGLBSA-N 0 3 233.764 2.508 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1cc2n(n1)CCC2 ZINC000649538682 397929003 /nfs/dbraw/zinc/92/90/03/397929003.db2.gz WDGUQHGSRDQSQB-CQSZACIVSA-N 0 3 247.386 2.984 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cc2n(n1)CCCC2 ZINC000649575606 397965113 /nfs/dbraw/zinc/96/51/13/397965113.db2.gz VOFVGLFCRUGOLQ-RYUDHWBXSA-N 0 3 235.375 2.744 20 0 BFADHN CC(C)C[C@H](C)N1CCO[C@@H](C2CC2)C1 ZINC000445542402 397943942 /nfs/dbraw/zinc/94/39/42/397943942.db2.gz XGMOYWDPXLLVSM-WCQYABFASA-N 0 3 211.349 2.532 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H]1O)c1cccc(F)c1F ZINC000183300975 397945218 /nfs/dbraw/zinc/94/52/18/397945218.db2.gz XXKLNEJVKMKGAV-ZHAHWJHGSA-N 0 3 241.281 2.529 20 0 BFADHN CCCCOCCN1CCS[C@@H](C)[C@@H]1C ZINC000118534214 397945324 /nfs/dbraw/zinc/94/53/24/397945324.db2.gz YCMXCMLUBNJFSS-RYUDHWBXSA-N 0 3 231.405 2.629 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCC[C@H]2C2CC2)n1C ZINC000414478063 397952152 /nfs/dbraw/zinc/95/21/52/397952152.db2.gz DAXIWUIVVVDGKT-KBPBESRZSA-N 0 3 247.386 2.644 20 0 BFADHN CC(C)C(NCc1cc2n(n1)CCCC2)C(C)C ZINC000649577310 397968468 /nfs/dbraw/zinc/96/84/68/397968468.db2.gz DPESNPURKASFHW-UHFFFAOYSA-N 0 3 249.402 2.990 20 0 BFADHN CO[C@](C)(CN[C@H](C)c1cccc(O)c1)C1CC1 ZINC000311091781 397890210 /nfs/dbraw/zinc/89/02/10/397890210.db2.gz YZWQTNJYGJKJRM-IAQYHMDHSA-N 0 3 249.354 2.858 20 0 BFADHN C[C@H](N[C@@H](C)c1ccnn1C)c1cccs1 ZINC000192246958 397893019 /nfs/dbraw/zinc/89/30/19/397893019.db2.gz BTINFCWZEOCDTH-UWVGGRQHSA-N 0 3 235.356 2.893 20 0 BFADHN C=Cn1cc(CN(CC)CCCC)cn1 ZINC000193597647 397896666 /nfs/dbraw/zinc/89/66/66/397896666.db2.gz ANLAVSRRFIPIGS-UHFFFAOYSA-N 0 3 207.321 2.606 20 0 BFADHN CO[C@@H](CNCc1ccn(C)c1)c1ccccc1 ZINC000270664764 397962133 /nfs/dbraw/zinc/96/21/33/397962133.db2.gz USAIRHRBTLTISF-HNNXBMFYSA-N 0 3 244.338 2.502 20 0 BFADHN Cc1ccoc1CN1CCC(c2ncc[nH]2)CC1 ZINC000271132157 397963457 /nfs/dbraw/zinc/96/34/57/397963457.db2.gz AELGBKYPINLMMO-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN CCCCCC(=O)NC[C@H]1CCN1C(C)(C)C ZINC000617018892 397917099 /nfs/dbraw/zinc/91/70/99/397917099.db2.gz BKUDPEQKQCLGNR-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CCC(C)(C)CCNCc1ccccn1 ZINC000631208034 397926687 /nfs/dbraw/zinc/92/66/87/397926687.db2.gz YTPXFYTYJVOAPD-UHFFFAOYSA-N 0 3 206.333 2.998 20 0 BFADHN CCC[C@H](C)CCCN1CCc2c[nH]nc2C1 ZINC000625260882 397991552 /nfs/dbraw/zinc/99/15/52/397991552.db2.gz CLZRXERJLJGKCV-LBPRGKRZSA-N 0 3 235.375 2.984 20 0 BFADHN C[C@H](NCC[C@@H]1CCO[C@H](C)C1)c1ccccn1 ZINC000273204264 397995140 /nfs/dbraw/zinc/99/51/40/397995140.db2.gz JGHLWMCUZAKIAM-HZSPNIEDSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)(C)c1noc([C@@H]2CC[C@@H](C3CC3)N2)n1 ZINC000650225054 397996776 /nfs/dbraw/zinc/99/67/76/397996776.db2.gz CKBIKHZCVFGQFZ-UWVGGRQHSA-N 0 3 235.331 2.570 20 0 BFADHN COC[C@@H](NCC1CCC1)c1ccc(C)o1 ZINC000184641234 398045406 /nfs/dbraw/zinc/04/54/06/398045406.db2.gz BKZHDJPFCWBSKW-GFCCVEGCSA-N 0 3 223.316 2.665 20 0 BFADHN CCC=CCNCc1n[nH]c2ccccc12 ZINC000648716644 398000775 /nfs/dbraw/zinc/00/07/75/398000775.db2.gz CAFLBTTYLFXFRC-UTCJRWHESA-N 0 3 215.300 2.619 20 0 BFADHN CCC=CCNCc1[nH]nc2ccccc21 ZINC000648716644 398000778 /nfs/dbraw/zinc/00/07/78/398000778.db2.gz CAFLBTTYLFXFRC-UTCJRWHESA-N 0 3 215.300 2.619 20 0 BFADHN COC(C)(C)CN(C)CCC(=O)c1ccccc1 ZINC000404255179 398046133 /nfs/dbraw/zinc/04/61/33/398046133.db2.gz QQCCWSLSZZNDPG-UHFFFAOYSA-N 0 3 249.354 2.616 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1ccccc1F ZINC000336663240 398006484 /nfs/dbraw/zinc/00/64/84/398006484.db2.gz CMXQCFBZCBCXIT-VXGBXAGGSA-N 0 3 225.332 2.809 20 0 BFADHN CCC[C@H](NCc1cc2n(n1)CCC2)C1CCC1 ZINC000649627481 398008806 /nfs/dbraw/zinc/00/88/06/398008806.db2.gz IWASBFGPQKRUQH-HNNXBMFYSA-N 0 3 247.386 2.888 20 0 BFADHN CCC[C@@H](NCc1cc2n(n1)CCC2)C1CCC1 ZINC000649627482 398009068 /nfs/dbraw/zinc/00/90/68/398009068.db2.gz IWASBFGPQKRUQH-OAHLLOKOSA-N 0 3 247.386 2.888 20 0 BFADHN OCCCNC1(c2ccccc2Cl)CCC1 ZINC000649643078 398018524 /nfs/dbraw/zinc/01/85/24/398018524.db2.gz YWAJAQWZHNRKGJ-UHFFFAOYSA-N 0 3 239.746 2.691 20 0 BFADHN Cc1ccccc1C1(NCc2c[nH]cn2)CCC1 ZINC000649644622 398019177 /nfs/dbraw/zinc/01/91/77/398019177.db2.gz KYWWZGHQOMBBHC-UHFFFAOYSA-N 0 3 241.338 2.887 20 0 BFADHN Cc1ccccc1C1(NCc2cnc[nH]2)CCC1 ZINC000649644622 398019180 /nfs/dbraw/zinc/01/91/80/398019180.db2.gz KYWWZGHQOMBBHC-UHFFFAOYSA-N 0 3 241.338 2.887 20 0 BFADHN CC[C@H](C)NCc1ccn(C2CCCC2)n1 ZINC000082867320 398022344 /nfs/dbraw/zinc/02/23/44/398022344.db2.gz ZJFCZMMENVLGJY-NSHDSACASA-N 0 3 221.348 2.886 20 0 BFADHN COc1cc(C)nc(CN2CCC23CCCC3)c1 ZINC000649657775 398025145 /nfs/dbraw/zinc/02/51/45/398025145.db2.gz LZTDCKITOKGDRC-UHFFFAOYSA-N 0 3 246.354 2.917 20 0 BFADHN C[C@H](NC1CC(F)(F)C1)c1cccc(CO)c1 ZINC000277006292 398025266 /nfs/dbraw/zinc/02/52/66/398025266.db2.gz BCYVHTGASVIRSI-VIFPVBQESA-N 0 3 241.281 2.627 20 0 BFADHN Cc1cncc(CN2CCC23CCCC3)c1 ZINC000649657980 398026042 /nfs/dbraw/zinc/02/60/42/398026042.db2.gz TZLKKXCWHLQWCP-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN Cc1ncc(CN2CCC23CCCC3)s1 ZINC000649656545 398026710 /nfs/dbraw/zinc/02/67/10/398026710.db2.gz SATKQJQFFDYVRS-UHFFFAOYSA-N 0 3 222.357 2.970 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCC12CCCC2 ZINC000649656373 398026766 /nfs/dbraw/zinc/02/67/66/398026766.db2.gz KHEBOQUTYOUJDC-UHFFFAOYSA-N 0 3 233.359 2.555 20 0 BFADHN CCOc1ccc(CN2CCC23CCCC3)nc1 ZINC000649656934 398027051 /nfs/dbraw/zinc/02/70/51/398027051.db2.gz GOSVFZGXMFJLFI-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN COc1cccc(CN2CCC23CCCC3)n1 ZINC000649656377 398027383 /nfs/dbraw/zinc/02/73/83/398027383.db2.gz KLYBYOIOXUHKAF-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN Cc1ccoc1CN[C@H](C)C[C@H]1CCCO1 ZINC000336732880 398029001 /nfs/dbraw/zinc/02/90/01/398029001.db2.gz GGORWNGARIPMSN-VXGBXAGGSA-N 0 3 223.316 2.635 20 0 BFADHN COCCN(C)[C@@H](C)CSc1ccccc1 ZINC000649664270 398030087 /nfs/dbraw/zinc/03/00/87/398030087.db2.gz BFJUDRPTXCKIMM-LBPRGKRZSA-N 0 3 239.384 2.745 20 0 BFADHN Cc1ccc(CN2CCC(C3(O)CC3)CC2)cc1 ZINC000649674709 398032768 /nfs/dbraw/zinc/03/27/68/398032768.db2.gz BAYABDFZKMBMBQ-UHFFFAOYSA-N 0 3 245.366 2.732 20 0 BFADHN C[C@H](c1ccccc1)N(C)Cc1ccc(N)nc1 ZINC000383634067 398032924 /nfs/dbraw/zinc/03/29/24/398032924.db2.gz CKTDOOXBVUONKM-GFCCVEGCSA-N 0 3 241.338 2.687 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1ccc(OC)nc1 ZINC000271604548 397969888 /nfs/dbraw/zinc/96/98/88/397969888.db2.gz VOXWVYJOMOPPRF-AAEUAGOBSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@H](C)N(CCO)Cc1cc(C)ccc1F ZINC000451024040 397969963 /nfs/dbraw/zinc/96/99/63/397969963.db2.gz GZQIQMHWFCUCSN-LBPRGKRZSA-N 0 3 239.334 2.727 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1cc2n(n1)CCC2 ZINC000649587999 397971912 /nfs/dbraw/zinc/97/19/12/397971912.db2.gz YJVRLVYCGBADCP-SWLSCSKDSA-N 0 3 247.386 2.888 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1cc2n(n1)CCC2 ZINC000649587997 397972061 /nfs/dbraw/zinc/97/20/61/397972061.db2.gz YJVRLVYCGBADCP-DOMZBBRYSA-N 0 3 247.386 2.888 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cc2n(n1)CCCC2 ZINC000649588084 397972274 /nfs/dbraw/zinc/97/22/74/397972274.db2.gz AUSDQDHOOXCCKJ-SWLSCSKDSA-N 0 3 247.386 2.840 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1cc2n(n1)CCC2 ZINC000649587998 397972283 /nfs/dbraw/zinc/97/22/83/397972283.db2.gz YJVRLVYCGBADCP-IUODEOHRSA-N 0 3 247.386 2.888 20 0 BFADHN FCCCCNCc1nccn1-c1ccccc1 ZINC000361057849 397972796 /nfs/dbraw/zinc/97/27/96/397972796.db2.gz CEZOYKMYPMGDRH-UHFFFAOYSA-N 0 3 247.317 2.712 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2cc3n(n2)CCC3)[C@H]1C ZINC000649587165 397977430 /nfs/dbraw/zinc/97/74/30/397977430.db2.gz BYASUJHHUVWZOR-TYNCELHUSA-N 0 3 247.386 2.744 20 0 BFADHN CCC1(CO)CCN(C/C=C(\C)Cl)CC1 ZINC000528428717 397986707 /nfs/dbraw/zinc/98/67/07/397986707.db2.gz DTLQYRQHFOHVLN-NYYWCZLTSA-N 0 3 231.767 2.614 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1cc(C)no1 ZINC000389684416 397986939 /nfs/dbraw/zinc/98/69/39/397986939.db2.gz GAUHLIRZHXVQGJ-ZJUUUORDSA-N 0 3 210.321 2.897 20 0 BFADHN CCN(CC)Cc1cn(C(C)C)nc1C ZINC000281668439 398061802 /nfs/dbraw/zinc/06/18/02/398061802.db2.gz QQBGGWCKQUXKJZ-UHFFFAOYSA-N 0 3 209.337 2.614 20 0 BFADHN CC[C@@H]1CN(CCOC(C)(C)C)[C@@H](CC)CO1 ZINC000649719659 398062443 /nfs/dbraw/zinc/06/24/43/398062443.db2.gz VAQKGUCHAGZQDM-QWHCGFSZSA-N 0 3 243.391 2.691 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000649717254 398063565 /nfs/dbraw/zinc/06/35/65/398063565.db2.gz YGCDYXNLEWWAKA-OWTLIXCDSA-N 0 3 234.343 2.607 20 0 BFADHN CC[C@@H]1CO[C@@H](CC)CN1CCCCCOC ZINC000649720759 398063812 /nfs/dbraw/zinc/06/38/12/398063812.db2.gz NXHXTSGYFUCRPB-KGLIPLIRSA-N 0 3 243.391 2.693 20 0 BFADHN Cc1nc(CN2CCC[C@@H](C3CCC3)CC2)n[nH]1 ZINC000640105826 398071392 /nfs/dbraw/zinc/07/13/92/398071392.db2.gz BSYJLUFKWPZLHC-CYBMUJFWSA-N 0 3 248.374 2.515 20 0 BFADHN C[C@H](NCc1ccccc1F)[C@]1(C)CCCO1 ZINC000340176069 398074732 /nfs/dbraw/zinc/07/47/32/398074732.db2.gz BAROPSUVFSRBFG-FZMZJTMJSA-N 0 3 237.318 2.873 20 0 BFADHN C[C@H](CCO)N[C@H](C)c1ccc(Cl)s1 ZINC000121310953 398085297 /nfs/dbraw/zinc/08/52/97/398085297.db2.gz XQTZOIKVTDYOQV-HTQZYQBOSA-N 0 3 233.764 2.823 20 0 BFADHN CCN(Cc1ccc(F)cc1)[C@H]1CCCOC1 ZINC000649755430 398086471 /nfs/dbraw/zinc/08/64/71/398086471.db2.gz NWBFBARESVEWGM-AWEZNQCLSA-N 0 3 237.318 2.827 20 0 BFADHN CC(C)(C)[C@H]1CN(CC2(C)CC2)CCO1 ZINC000517677647 398087493 /nfs/dbraw/zinc/08/74/93/398087493.db2.gz KIJYOFXFPZNPEH-LLVKDONJSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1cnn([C@H]2CCN(Cc3occc3C)C2)c1 ZINC000290986837 398087912 /nfs/dbraw/zinc/08/79/12/398087912.db2.gz URWJQDTWUWKLDX-ZDUSSCGKSA-N 0 3 245.326 2.540 20 0 BFADHN Cn1cc(CNCC2(C)CCC2)c(C(F)F)n1 ZINC000291216208 398091697 /nfs/dbraw/zinc/09/16/97/398091697.db2.gz OOFQBSYVFWNPCF-UHFFFAOYSA-N 0 3 243.301 2.638 20 0 BFADHN CCC1(CC)CN(Cc2cnc[nH]2)CCS1 ZINC000280194543 398054706 /nfs/dbraw/zinc/05/47/06/398054706.db2.gz IIMKAAXRKIFCLJ-UHFFFAOYSA-N 0 3 239.388 2.517 20 0 BFADHN CC[C@H]1CN(C)CCN1Cc1ccccc1C ZINC000556954300 398057150 /nfs/dbraw/zinc/05/71/50/398057150.db2.gz UFEHKJJYROVITE-HNNXBMFYSA-N 0 3 232.371 2.521 20 0 BFADHN FC1(F)CC12CCN(C[C@@H]1CCCCO1)CC2 ZINC000649776844 398098346 /nfs/dbraw/zinc/09/83/46/398098346.db2.gz ZCPMJUYMSNHONJ-NSHDSACASA-N 0 3 245.313 2.677 20 0 BFADHN C[C@@H](NCC1CC(C)(C)C1)c1cnccn1 ZINC000309610242 398102274 /nfs/dbraw/zinc/10/22/74/398102274.db2.gz ZTBTYRBZSNCESE-SNVBAGLBSA-N 0 3 219.332 2.563 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(Cl)o2)[C@H](C)O1 ZINC000384766176 398104644 /nfs/dbraw/zinc/10/46/44/398104644.db2.gz OPPWZHBGEOAIBZ-WEDXCCLWSA-N 0 3 229.707 2.589 20 0 BFADHN FC1(F)CC12CCN(Cc1cccnc1)CC2 ZINC000649776132 398098026 /nfs/dbraw/zinc/09/80/26/398098026.db2.gz DVNNBEQRPOVXBC-UHFFFAOYSA-N 0 3 238.281 2.703 20 0 BFADHN Cc1ncsc1CNC1(C2CCC2)CC1 ZINC000649811114 398117851 /nfs/dbraw/zinc/11/78/51/398117851.db2.gz FYJWEIXWXHXVKP-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN CCn1cc(CN(CCCCF)C(C)C)cn1 ZINC000649814211 398119794 /nfs/dbraw/zinc/11/97/94/398119794.db2.gz DXFCUMNETAEYNG-UHFFFAOYSA-N 0 3 241.354 2.863 20 0 BFADHN COc1ncccc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000193359915 398110796 /nfs/dbraw/zinc/11/07/96/398110796.db2.gz QECAEDBKISCAQQ-JSGCOSHPSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@H](NCc1ncccc1F)C(C)(C)C ZINC000090720649 398111626 /nfs/dbraw/zinc/11/16/26/398111626.db2.gz KIYNVNIFUPWSHN-VIFPVBQESA-N 0 3 210.296 2.745 20 0 BFADHN Cc1ncc(CN[C@@H](C)C2CCCC2)o1 ZINC000397916958 398124254 /nfs/dbraw/zinc/12/42/54/398124254.db2.gz KYHIPHRBKSTWCM-VIFPVBQESA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@@H](C)N1CCN(C/C=C(/C)Cl)CC1 ZINC000528516831 398124649 /nfs/dbraw/zinc/12/46/49/398124649.db2.gz FSJQDDPEGPLATI-DKRCXCIFSA-N 0 3 230.783 2.545 20 0 BFADHN Cc1nocc1CN1CCCCCCC1 ZINC000294333941 398125758 /nfs/dbraw/zinc/12/57/58/398125758.db2.gz ADGDEZDXEIQBRV-UHFFFAOYSA-N 0 3 208.305 2.749 20 0 BFADHN Cc1cccc([C@@H](NCC2OCCO2)C(C)C)c1 ZINC000528770632 398126149 /nfs/dbraw/zinc/12/61/49/398126149.db2.gz RESMLOOUQLEHAF-HNNXBMFYSA-N 0 3 249.354 2.655 20 0 BFADHN CC(C)CC[C@@H](C)N[C@H](C)c1cnccn1 ZINC000070024696 398123093 /nfs/dbraw/zinc/12/30/93/398123093.db2.gz VRILGQPHEIPMAB-VXGBXAGGSA-N 0 3 221.348 2.952 20 0 BFADHN Cc1cccc(CN[C@H](C)[C@H]2C[C@H]2C)n1 ZINC000321019473 398132373 /nfs/dbraw/zinc/13/23/73/398132373.db2.gz BEAFMWRGBZBXGS-XWIASGKRSA-N 0 3 204.317 2.524 20 0 BFADHN CC(C)N(CCn1cccn1)Cc1cccs1 ZINC000336691285 398132877 /nfs/dbraw/zinc/13/28/77/398132877.db2.gz IDZBPTLHRICIFL-UHFFFAOYSA-N 0 3 249.383 2.855 20 0 BFADHN C[C@H](NCc1cc(F)cnc1Cl)C1CC1 ZINC000295116681 398133287 /nfs/dbraw/zinc/13/32/87/398133287.db2.gz MLUYOJSRGAMUDW-ZETCQYMHSA-N 0 3 228.698 2.762 20 0 BFADHN CO[C@H](C)CN1CCc2ccccc2[C@H](C)C1 ZINC000649835306 398133820 /nfs/dbraw/zinc/13/38/20/398133820.db2.gz FXDXIOHNEKALIW-CHWSQXEVSA-N 0 3 233.355 2.683 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCSC2)c1 ZINC000286342953 398133830 /nfs/dbraw/zinc/13/38/30/398133830.db2.gz YXYCZGHBAQREBY-WDEREUQCSA-N 0 3 222.357 2.546 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)o1 ZINC000398110540 322864389 /nfs/dbraw/zinc/86/43/89/322864389.db2.gz RCGNHOLRKOFIFI-GBIKHYSHSA-N 0 3 222.332 2.897 20 0 BFADHN FC(F)(F)OCCN[C@H]1CCCc2occc21 ZINC000398268367 322868533 /nfs/dbraw/zinc/86/85/33/322868533.db2.gz XRRVJOHLWKCQOD-VIFPVBQESA-N 0 3 249.232 2.783 20 0 BFADHN COCC[C@@H](C)N[C@H](C)c1ncc(C)s1 ZINC000124711759 174385590 /nfs/dbraw/zinc/38/55/90/174385590.db2.gz GNLVGDVMQQBSBO-PSASIEDQSA-N 0 3 228.361 2.527 20 0 BFADHN C[C@H](NCCc1cccnc1)c1ccoc1 ZINC000087289898 322876353 /nfs/dbraw/zinc/87/63/53/322876353.db2.gz DQVRNLJZMHPPMU-NSHDSACASA-N 0 3 216.284 2.568 20 0 BFADHN CCCC(C)(C)NC(=O)Nc1cccc(CN)c1 ZINC000169110620 487559201 /nfs/dbraw/zinc/55/92/01/487559201.db2.gz BAHPKOFIQUJNSH-UHFFFAOYSA-N 0 3 249.358 2.846 20 0 BFADHN Cc1cn[nH]c1CN[C@H]1CCc2ccc(C)cc21 ZINC000685615458 487563795 /nfs/dbraw/zinc/56/37/95/487563795.db2.gz FIHHJYBWUJBGNE-AWEZNQCLSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1cn[nH]c1CN[C@@H]1CCc2c1cccc2C ZINC000685615222 487563918 /nfs/dbraw/zinc/56/39/18/487563918.db2.gz DHHYGCBSLUVJSW-CQSZACIVSA-N 0 3 241.338 2.804 20 0 BFADHN CC(C)OC1CC(N[C@H](C)c2cccnc2)C1 ZINC000185059400 167175823 /nfs/dbraw/zinc/17/58/23/167175823.db2.gz KEZCKKNXMWFTFA-LMWSTFAQSA-N 0 3 234.343 2.688 20 0 BFADHN Cc1c[nH]nc1CNC[C@H](C)c1cccc(C)c1 ZINC000685617000 487565862 /nfs/dbraw/zinc/56/58/62/487565862.db2.gz GAPFVTFAZLYKIF-LBPRGKRZSA-N 0 3 243.354 2.920 20 0 BFADHN Cc1cc(CNC[C@@H]2CC(C)=C[C@@H](C)C2)ncn1 ZINC000685619380 487566222 /nfs/dbraw/zinc/56/62/22/487566222.db2.gz WYQIQRYQVDPOBX-RISCZKNCSA-N 0 3 245.370 2.867 20 0 BFADHN CCOc1ccc(CN2CCCC[C@@H]2C)nc1 ZINC000674387152 487568185 /nfs/dbraw/zinc/56/81/85/487568185.db2.gz CGXUQVHHYBOIBF-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1ccccc1CN1CC(C2CCOCC2)C1 ZINC000669715997 487568905 /nfs/dbraw/zinc/56/89/05/487568905.db2.gz YYYIOTAVNOVBDJ-UHFFFAOYSA-N 0 3 245.366 2.853 20 0 BFADHN C[C@@H]1CN(Cc2noc3c2CCCC3)C[C@H]1C ZINC000674458479 487579111 /nfs/dbraw/zinc/57/91/11/487579111.db2.gz GOVJBGZTZDYRBQ-GHMZBOCLSA-N 0 3 234.343 2.641 20 0 BFADHN CCOc1ccc(CN2C[C@H](C)[C@H](C)[C@H]2C)nc1 ZINC000669575972 487584580 /nfs/dbraw/zinc/58/45/80/487584580.db2.gz IYNLBFZSIWVFQS-RWMBFGLXSA-N 0 3 248.370 2.957 20 0 BFADHN C[C@]1(F)CCN(CC2Cc3ccccc3C2)C1 ZINC000685839056 487585676 /nfs/dbraw/zinc/58/56/76/487585676.db2.gz SXDVZQIHYLTINL-HNNXBMFYSA-N 0 3 233.330 2.835 20 0 BFADHN CC(C)OC[C@H](C)NCc1ccc(F)c(F)c1 ZINC000337342683 167265529 /nfs/dbraw/zinc/26/55/29/167265529.db2.gz RKSYOHRISOMXBJ-JTQLQIEISA-N 0 3 243.297 2.868 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H](C)c1cncc(F)c1 ZINC000337306172 167266584 /nfs/dbraw/zinc/26/65/84/167266584.db2.gz RRKYTKCGDGUYGQ-QWRGUYRKSA-N 0 3 240.322 2.685 20 0 BFADHN C[C@@H]1CCC[C@H](N(C)Cc2cnc3n2CCC3)C1 ZINC000667499465 487597640 /nfs/dbraw/zinc/59/76/40/487597640.db2.gz KURQKCNVEMEAEW-OLZOCXBDSA-N 0 3 247.386 2.840 20 0 BFADHN C[C@@H](O)CNC(C)(C)c1cccc(Cl)c1F ZINC000192805709 487597818 /nfs/dbraw/zinc/59/78/18/487597818.db2.gz SYYRTHNQDYDIJH-MRVPVSSYSA-N 0 3 245.725 2.685 20 0 BFADHN c1ccc2c(CN3CCCSCC3)n[nH]c2c1 ZINC000680475607 487603032 /nfs/dbraw/zinc/60/30/32/487603032.db2.gz LVQWSHOZDMMGOC-UHFFFAOYSA-N 0 3 247.367 2.502 20 0 BFADHN c1ccc2c(c1)n[nH]c2CN1CCCSCC1 ZINC000680475607 487603035 /nfs/dbraw/zinc/60/30/35/487603035.db2.gz LVQWSHOZDMMGOC-UHFFFAOYSA-N 0 3 247.367 2.502 20 0 BFADHN C[C@@H]1CCN(Cc2cncnc2)[C@H]2CCCC[C@@H]12 ZINC000534337957 487604371 /nfs/dbraw/zinc/60/43/71/487604371.db2.gz MPNLEIHUUVTSNK-SNPRPXQTSA-N 0 3 245.370 2.877 20 0 BFADHN CC(C)SCCN1CC(C)(C)OC[C@@H]1C ZINC000338378832 167322789 /nfs/dbraw/zinc/32/27/89/167322789.db2.gz UYJVGAVFNGGWKF-NSHDSACASA-N 0 3 231.405 2.627 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccc(F)cc1 ZINC000178318642 487609481 /nfs/dbraw/zinc/60/94/81/487609481.db2.gz KOFBOROEFAHWGL-JXJLXUTGSA-N 0 3 235.302 2.796 20 0 BFADHN CN(CCC(C)(C)C)Cc1cnc2n1CCC2 ZINC000668274909 487609700 /nfs/dbraw/zinc/60/97/00/487609700.db2.gz OJJQBIWLEAWUIA-UHFFFAOYSA-N 0 3 235.375 2.697 20 0 BFADHN Cc1nc2ccccc2nc1CNCC(C)C ZINC000674731957 487609733 /nfs/dbraw/zinc/60/97/33/487609733.db2.gz JUZMEJVFVZYKEQ-UHFFFAOYSA-N 0 3 229.327 2.684 20 0 BFADHN CO[C@@H](CN(C)Cc1ccc(C)cn1)C1CCC1 ZINC000660684645 409549076 /nfs/dbraw/zinc/54/90/76/409549076.db2.gz YEHOPJPIUOZQMG-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN CC[C@H](N[C@@H](C)c1cnc(C)s1)C(C)(C)O ZINC000393520939 409704254 /nfs/dbraw/zinc/70/42/54/409704254.db2.gz ONILIMVGHXXELM-KWQFWETISA-N 0 3 242.388 2.652 20 0 BFADHN COCC1(NCc2ccc(C)cn2)CCCCC1 ZINC000662341153 409653985 /nfs/dbraw/zinc/65/39/85/409653985.db2.gz LRWKWVISVTWGQM-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CN(Cc1ccncc1)C[C@H]1CC1(C)C ZINC000662344523 409657881 /nfs/dbraw/zinc/65/78/81/409657881.db2.gz BXZSHQPEBXRKQR-GFCCVEGCSA-N 0 3 204.317 2.560 20 0 BFADHN CC(C)C[C@H](C)N1CCO[C@@](C)(C(F)F)C1 ZINC000662369640 409678154 /nfs/dbraw/zinc/67/81/54/409678154.db2.gz VTIXVLCDCQYAEB-CMPLNLGQSA-N 0 3 235.318 2.777 20 0 BFADHN CCc1nc([C@@H](C)N2CC(C)(C)C[C@@H]2C)n[nH]1 ZINC000660806286 409773437 /nfs/dbraw/zinc/77/34/37/409773437.db2.gz RVZJILFUIPIVKQ-VHSXEESVSA-N 0 3 236.363 2.549 20 0 BFADHN Cc1nc(C)c([C@H](C)NC[C@H]2CCCO2)s1 ZINC000040518783 409825859 /nfs/dbraw/zinc/82/58/59/409825859.db2.gz BHUYUZUTMNEISO-GZMMTYOYSA-N 0 3 240.372 2.590 20 0 BFADHN CC(C)SCCN[C@H](C)c1cncs1 ZINC000286890027 167332514 /nfs/dbraw/zinc/33/25/14/167332514.db2.gz DAZSFIMRCCAHDY-SECBINFHSA-N 0 3 230.402 2.935 20 0 BFADHN CC(C)=CCCN1C[C@@H](C)OC2(CCC2)C1 ZINC000660907466 409956202 /nfs/dbraw/zinc/95/62/02/409956202.db2.gz QUKNSEFOEUFMFD-CYBMUJFWSA-N 0 3 223.360 2.986 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1ccc(F)cn1 ZINC000090725938 410008853 /nfs/dbraw/zinc/00/88/53/410008853.db2.gz GEMHJXOXJGXBKC-IUCAKERBSA-N 0 3 228.336 2.623 20 0 BFADHN Cc1nc2ccccc2nc1CN(C)C(C)C ZINC000674753722 487613246 /nfs/dbraw/zinc/61/32/46/487613246.db2.gz JXIARCDCXZWFCU-UHFFFAOYSA-N 0 3 229.327 2.778 20 0 BFADHN C[C@@H](COCC1CC1)NCc1ccc(F)cc1 ZINC000651981320 410121532 /nfs/dbraw/zinc/12/15/32/410121532.db2.gz HRSHIAFPFGYYFC-NSHDSACASA-N 0 3 237.318 2.730 20 0 BFADHN Cc1cc(NC(=O)[C@H]2C[C@H]2C)ccc1CN(C)C ZINC000655433612 410253931 /nfs/dbraw/zinc/25/39/31/410253931.db2.gz GZINFRDIAPHZNG-RISCZKNCSA-N 0 3 246.354 2.651 20 0 BFADHN CCCN(CCC)C(=O)CN(CC)[C@@H](C)CC ZINC000661107595 410255138 /nfs/dbraw/zinc/25/51/38/410255138.db2.gz SGKQVQLNOHXKFR-ZDUSSCGKSA-N 0 3 242.407 2.755 20 0 BFADHN CC[C@@H](C)N(CC)Cc1cc2n(n1)CCCC2 ZINC000661104367 410230874 /nfs/dbraw/zinc/23/08/74/410230874.db2.gz LINVLWQWZNGHID-GFCCVEGCSA-N 0 3 235.375 2.840 20 0 BFADHN CCc1nocc1CN(CC)[C@H](C)CC ZINC000661104377 410231096 /nfs/dbraw/zinc/23/10/96/410231096.db2.gz LKHUPIOFGGJAFW-SNVBAGLBSA-N 0 3 210.321 2.857 20 0 BFADHN CCOCCN[C@@H](c1ncccc1C)C(C)C ZINC000266025494 487615251 /nfs/dbraw/zinc/61/52/51/487615251.db2.gz YLWVUSXITRYIHL-CYBMUJFWSA-N 0 3 236.359 2.713 20 0 BFADHN C(=C\c1ccccc1)\CNCc1ccncc1 ZINC000035535576 166228648 /nfs/dbraw/zinc/22/86/48/166228648.db2.gz WMOBOHQHCLEOGJ-DAXSKMNVSA-N 0 3 224.307 2.885 20 0 BFADHN CCC[C@H](CN[C@H]1CCc2c1cccc2O)OC ZINC000393492577 410703435 /nfs/dbraw/zinc/70/34/35/410703435.db2.gz UKISNQKNQSTHKJ-RISCZKNCSA-N 0 3 249.354 2.784 20 0 BFADHN CC[C@H](CN1CC[C@H]1c1ccc(F)cc1)OC ZINC000661506195 410716712 /nfs/dbraw/zinc/71/67/12/410716712.db2.gz DSDCTGOJOXAJFC-KGLIPLIRSA-N 0 3 237.318 2.998 20 0 BFADHN CC[C@@H](CN1CC[C@@H]1c1ccc(F)cc1)OC ZINC000661506199 410716865 /nfs/dbraw/zinc/71/68/65/410716865.db2.gz DSDCTGOJOXAJFC-UONOGXRCSA-N 0 3 237.318 2.998 20 0 BFADHN CCn1cc(CNC(C)(C)CC(F)(F)F)cn1 ZINC000681987868 487619183 /nfs/dbraw/zinc/61/91/83/487619183.db2.gz AFOCRPVDPNFLRQ-UHFFFAOYSA-N 0 3 249.280 2.724 20 0 BFADHN CC(C)CC[C@@H](NCCCO)c1ccoc1 ZINC000285714945 166896560 /nfs/dbraw/zinc/89/65/60/166896560.db2.gz PSKNZGXRLKOJTC-CYBMUJFWSA-N 0 3 225.332 2.729 20 0 BFADHN CC(C)CNCc1nnc(-c2ccccc2)s1 ZINC000088815984 167009936 /nfs/dbraw/zinc/00/99/36/167009936.db2.gz GAXJEGJXSMJCJZ-UHFFFAOYSA-N 0 3 247.367 2.951 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1cc(C)ncn1 ZINC000651280130 410897997 /nfs/dbraw/zinc/89/79/97/410897997.db2.gz PBBDAWQQPKCNHX-LLVKDONJSA-N 0 3 221.348 2.699 20 0 BFADHN CC(C)CN[C@H]1c2ccc(F)cc2O[C@@H]1C ZINC000336647468 167015715 /nfs/dbraw/zinc/01/57/15/167015715.db2.gz BYSRBXTWCUUHGY-NOZJJQNGSA-N 0 3 223.291 2.893 20 0 BFADHN CC(C)COC1CCN(Cc2ccccn2)CC1 ZINC000077395583 167023850 /nfs/dbraw/zinc/02/38/50/167023850.db2.gz CNICZSRVIAOXBZ-UHFFFAOYSA-N 0 3 248.370 2.719 20 0 BFADHN CC(C)NCC(=O)Nc1ccc(C(C)C)cc1 ZINC000037385988 167098131 /nfs/dbraw/zinc/09/81/31/167098131.db2.gz AZCXJWAISKWUDV-UHFFFAOYSA-N 0 3 234.343 2.747 20 0 BFADHN CC(C)NCc1cnc(C(C)(C)C)s1 ZINC000040996733 167122125 /nfs/dbraw/zinc/12/21/25/167122125.db2.gz QSTWNULDISFJNU-UHFFFAOYSA-N 0 3 212.362 2.939 20 0 BFADHN CC(C)OCCCN(Cc1ccncc1)C1CC1 ZINC000119773222 167212131 /nfs/dbraw/zinc/21/21/31/167212131.db2.gz LMMUERBFMHNAKH-UHFFFAOYSA-N 0 3 248.370 2.861 20 0 BFADHN CC(C)OCCCN1CCc2ccccc2C1 ZINC000171497087 167215728 /nfs/dbraw/zinc/21/57/28/167215728.db2.gz KLSCGKMAPIYHDU-UHFFFAOYSA-N 0 3 233.355 2.860 20 0 BFADHN CC(C)OCCN1CCC[C@H]1c1cccnc1 ZINC000336681466 167231065 /nfs/dbraw/zinc/23/10/65/167231065.db2.gz CUALNPSMDMDJPB-AWEZNQCLSA-N 0 3 234.343 2.644 20 0 BFADHN CC(C)OCCN1CCC[C@H](c2ccncc2)C1 ZINC000289280818 167231796 /nfs/dbraw/zinc/23/17/96/167231796.db2.gz GCZWOMWMPWWMAF-HNNXBMFYSA-N 0 3 248.370 2.686 20 0 BFADHN CC(C)OCCN1CCC=C(c2ccco2)C1 ZINC000285466115 167232176 /nfs/dbraw/zinc/23/21/76/167232176.db2.gz ZSYHXDGWXLCWGR-UHFFFAOYSA-N 0 3 235.327 2.794 20 0 BFADHN CC(C)OCCN1Cc2ccccc2O[C@@H](C)C1 ZINC000292441043 167236347 /nfs/dbraw/zinc/23/63/47/167236347.db2.gz OKZUZWPYXXQODA-ZDUSSCGKSA-N 0 3 249.354 2.695 20 0 BFADHN CC(C)OC[C@@H](C)NCc1ccc(F)c(F)c1 ZINC000337342684 167257886 /nfs/dbraw/zinc/25/78/86/167257886.db2.gz RKSYOHRISOMXBJ-SNVBAGLBSA-N 0 3 243.297 2.868 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H]1c2ccccc2O[C@@H]1C ZINC000342437185 167259958 /nfs/dbraw/zinc/25/99/58/167259958.db2.gz NYMZVOMMYGWEKG-LALPHHSUSA-N 0 3 249.354 2.912 20 0 BFADHN CC(C)OC[C@H]1CN(CCC(C)(C)C)CCO1 ZINC000372124812 167271956 /nfs/dbraw/zinc/27/19/56/167271956.db2.gz VFMBXXDYEYDQSK-CYBMUJFWSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)Oc1ccc([C@@H](C)N[C@@H]2CCOC2)cc1 ZINC000112758592 167298486 /nfs/dbraw/zinc/29/84/86/167298486.db2.gz RJRMEDKRSLZYJN-TZMCWYRMSA-N 0 3 249.354 2.913 20 0 BFADHN CC(C)Oc1cccc(CN[C@H]2CCO[C@H]2C)c1 ZINC000135303774 167306686 /nfs/dbraw/zinc/30/66/86/167306686.db2.gz OQKMROKGQZLCKV-WFASDCNBSA-N 0 3 249.354 2.741 20 0 BFADHN CC(C)Oc1cccc([C@H](C)NC[C@@H](C)O)c1 ZINC000192779493 167308595 /nfs/dbraw/zinc/30/85/95/167308595.db2.gz ITJLZEWFXKCQNX-NEPJUHHUSA-N 0 3 237.343 2.505 20 0 BFADHN CC(C)Oc1ccccc1CN[C@H]1CCO[C@@H]1C ZINC000120379523 167310805 /nfs/dbraw/zinc/31/08/05/167310805.db2.gz UJRDOCGIXYMFRV-OCCSQVGLSA-N 0 3 249.354 2.741 20 0 BFADHN CC(C)SCCN(C)CCc1nccs1 ZINC000282972777 167320710 /nfs/dbraw/zinc/32/07/10/167320710.db2.gz CYBRNAOWYYQZOZ-UHFFFAOYSA-N 0 3 244.429 2.759 20 0 BFADHN CC(C)SCCN(C)CCc1ccncc1 ZINC000338276588 167321664 /nfs/dbraw/zinc/32/16/64/167321664.db2.gz BPRCXBWTLWPXNH-UHFFFAOYSA-N 0 3 238.400 2.698 20 0 BFADHN CC(C)SCCN1C[C@@H](C)O[C@@H](C)[C@@H]1C ZINC000338365247 167328111 /nfs/dbraw/zinc/32/81/11/167328111.db2.gz LODMKQOASRBBIM-WOPDTQHZSA-N 0 3 231.405 2.626 20 0 BFADHN CC(C)SCCNCc1cnn2ccccc12 ZINC000290123929 167331448 /nfs/dbraw/zinc/33/14/48/167331448.db2.gz MHKPHAXFKQACNZ-UHFFFAOYSA-N 0 3 249.383 2.566 20 0 BFADHN CC(C)Sc1ccc([C@@H](C)NCCO)cc1 ZINC000166489587 167337252 /nfs/dbraw/zinc/33/72/52/167337252.db2.gz AUJNHKOEHDZNMN-LLVKDONJSA-N 0 3 239.384 2.830 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cnc([C@H](C)O)s1 ZINC000289212266 167367580 /nfs/dbraw/zinc/36/75/80/167367580.db2.gz WPLWXXOBRLLBDF-ZJUUUORDSA-N 0 3 242.388 2.673 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cn(C)nc1C(F)F ZINC000274444642 167368974 /nfs/dbraw/zinc/36/89/74/167368974.db2.gz CNVCUCRWHIYHHK-SECBINFHSA-N 0 3 245.317 2.834 20 0 BFADHN CC(C)[C@@H](C)NCc1ccc(Cl)cn1 ZINC000132986245 167382023 /nfs/dbraw/zinc/38/20/23/167382023.db2.gz IDNXPCPFRPYONI-SECBINFHSA-N 0 3 212.724 2.869 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2cocn2)C1 ZINC000397814461 410936175 /nfs/dbraw/zinc/93/61/75/410936175.db2.gz HQNYQGXBPSEWNO-SCVCMEIPSA-N 0 3 208.305 2.589 20 0 BFADHN CCC[C@H](CN[C@H](C)c1cnc(C)s1)OC ZINC000651373050 410958452 /nfs/dbraw/zinc/95/84/52/410958452.db2.gz RSIHBMXDRPGKCW-MWLCHTKSSA-N 0 3 242.388 2.917 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2ccnc(C)c2)C1(C)C ZINC000651388398 410971234 /nfs/dbraw/zinc/97/12/34/410971234.db2.gz WBLGPOPBHJUADR-UKRRQHHQSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)CCC(C)(C)C)n1 ZINC000399652947 411054415 /nfs/dbraw/zinc/05/44/15/411054415.db2.gz CGHCTYRAUYXXRU-UHFFFAOYSA-N 0 3 236.359 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)CCC(C)(C)C)n1 ZINC000399652947 411054421 /nfs/dbraw/zinc/05/44/21/411054421.db2.gz CGHCTYRAUYXXRU-UHFFFAOYSA-N 0 3 236.359 2.964 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H]2CC[C@@H](C)O2)c(C)n1 ZINC000651499420 411073473 /nfs/dbraw/zinc/07/34/73/411073473.db2.gz NJGOIFRIEUMUQX-DYEKYZERSA-N 0 3 248.370 2.917 20 0 BFADHN C[C@@H]1CN(C/C=C/c2ccccc2)C[C@H](C)C1O ZINC000661625193 411082862 /nfs/dbraw/zinc/08/28/62/411082862.db2.gz SDOZOLULMIZREJ-OOHALKHHSA-N 0 3 245.366 2.649 20 0 BFADHN CC(C)c1ccc([C@@H](C)N[C@@H](C)CC(N)=O)cc1 ZINC000130669968 167612846 /nfs/dbraw/zinc/61/28/46/167612846.db2.gz BMJABTBKAKBZAY-NWDGAFQWSA-N 0 3 248.370 2.725 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2cc3ccccc3[nH]2)O1 ZINC000651621364 411131214 /nfs/dbraw/zinc/13/12/14/411131214.db2.gz DRMWBTDMBKNCAW-FZMZJTMJSA-N 0 3 244.338 2.825 20 0 BFADHN CC[C@H](C)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000044293109 411134227 /nfs/dbraw/zinc/13/42/27/411134227.db2.gz OOAYNFZEHINXCI-NSHDSACASA-N 0 3 249.358 2.668 20 0 BFADHN CC[C@]1(C)CCC[C@@H]1NCc1cocn1 ZINC000651716462 411138088 /nfs/dbraw/zinc/13/80/88/411138088.db2.gz YSVCCNRVTBHRIP-NWDGAFQWSA-N 0 3 208.305 2.733 20 0 BFADHN CC[C@@]1(C)CCC[C@@H]1NCc1cocn1 ZINC000651716463 411138282 /nfs/dbraw/zinc/13/82/82/411138282.db2.gz YSVCCNRVTBHRIP-RYUDHWBXSA-N 0 3 208.305 2.733 20 0 BFADHN FC(F)(F)CCNCc1cc2cnccc2o1 ZINC000651724728 411139072 /nfs/dbraw/zinc/13/90/72/411139072.db2.gz FBIJUVLGRLWBOA-UHFFFAOYSA-N 0 3 244.216 2.870 20 0 BFADHN c1c2cnccc2oc1CN[C@H]1CCCSC1 ZINC000651731479 411139551 /nfs/dbraw/zinc/13/95/51/411139551.db2.gz PXTYCSULSAPVOP-NSHDSACASA-N 0 3 248.351 2.813 20 0 BFADHN c1c2cnccc2oc1CN[C@@H]1CCCSC1 ZINC000651731478 411139640 /nfs/dbraw/zinc/13/96/40/411139640.db2.gz PXTYCSULSAPVOP-LLVKDONJSA-N 0 3 248.351 2.813 20 0 BFADHN CCC1(CC)CCCN(Cc2c[nH]cn2)C1 ZINC000661671618 411141145 /nfs/dbraw/zinc/14/11/45/411141145.db2.gz FNBNJAMERCAVSC-UHFFFAOYSA-N 0 3 221.348 2.812 20 0 BFADHN CCC1(CC)CCCN(Cc2cnc[nH]2)C1 ZINC000661671618 411141146 /nfs/dbraw/zinc/14/11/46/411141146.db2.gz FNBNJAMERCAVSC-UHFFFAOYSA-N 0 3 221.348 2.812 20 0 BFADHN CC[C@@]1(C)CCC[C@@H]1NCc1nnc(C)s1 ZINC000651758203 411141638 /nfs/dbraw/zinc/14/16/38/411141638.db2.gz SHVURZFUQLETQA-JQWIXIFHSA-N 0 3 239.388 2.905 20 0 BFADHN COC[C@H](CC(C)(C)C)NCc1cncs1 ZINC000651762028 411142119 /nfs/dbraw/zinc/14/21/19/411142119.db2.gz VNZNHSABAXJCCQ-JTQLQIEISA-N 0 3 242.388 2.684 20 0 BFADHN CCc1cccc(CNCCOC(C)C)c1 ZINC000651761097 411142240 /nfs/dbraw/zinc/14/22/40/411142240.db2.gz DLFSLIRUNOPONK-UHFFFAOYSA-N 0 3 221.344 2.764 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1cccc(CC)c1 ZINC000651786693 411143715 /nfs/dbraw/zinc/14/37/15/411143715.db2.gz IUFDLYHJCOBCOM-ZIAGYGMSSA-N 0 3 219.328 2.516 20 0 BFADHN CCc1cccc(CN[C@H]2C[C@@H]2C(F)F)c1 ZINC000651807274 411144724 /nfs/dbraw/zinc/14/47/24/411144724.db2.gz VCWMXJFTLOWEQU-RYUDHWBXSA-N 0 3 225.282 2.992 20 0 BFADHN c1c(CN2C[C@@H]3CCC[C@H]3C2)onc1C1CC1 ZINC000659998674 411163773 /nfs/dbraw/zinc/16/37/73/411163773.db2.gz RDJBFZWNEQEZCG-RYUDHWBXSA-N 0 3 232.327 2.784 20 0 BFADHN COc1ccc(CNC2CC(C)(F)C2)c(F)c1 ZINC000651818245 411145478 /nfs/dbraw/zinc/14/54/78/411145478.db2.gz NYTOCXNLWDKTHO-UHFFFAOYSA-N 0 3 241.281 2.815 20 0 BFADHN C[C@H]1C[C@@H](NCc2cc3cnccc3o2)CS1 ZINC000651830770 411146601 /nfs/dbraw/zinc/14/66/01/411146601.db2.gz MQPIEPDEDATXOH-GXSJLCMTSA-N 0 3 248.351 2.811 20 0 BFADHN CCc1nn(C)cc1CN1CCC12CCCC2 ZINC000651873461 411148321 /nfs/dbraw/zinc/14/83/21/411148321.db2.gz INSKBCMILKVSCU-UHFFFAOYSA-N 0 3 233.359 2.501 20 0 BFADHN CN(C)c1ccc(CN2CCC23CCCC3)cn1 ZINC000651873813 411148484 /nfs/dbraw/zinc/14/84/84/411148484.db2.gz XAKIDWVRMJSRQR-UHFFFAOYSA-N 0 3 245.370 2.666 20 0 BFADHN CC(C)[C@H](C)N[C@H](c1ccccc1F)[C@H](C)O ZINC000651892150 411149067 /nfs/dbraw/zinc/14/90/67/411149067.db2.gz HTCONGKYNPWKET-MJVIPROJSA-N 0 3 239.334 2.882 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(CCO[C@H]2CC2(F)F)C1 ZINC000659969392 411151092 /nfs/dbraw/zinc/15/10/92/411151092.db2.gz BGDMAQRRMZTDQJ-MXWKQRLJSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@H](NC[C@H]1CCCS1)c1cncs1 ZINC000157210343 411164335 /nfs/dbraw/zinc/16/43/35/411164335.db2.gz VPTJWCYBQDZRHJ-DTWKUNHWSA-N 0 3 228.386 2.689 20 0 BFADHN Cc1cc(CN2CC[C@@H](C(C)C)C2)nc(C)n1 ZINC000659995939 411160960 /nfs/dbraw/zinc/16/09/60/411160960.db2.gz IVBSGEZEKVUCRG-CYBMUJFWSA-N 0 3 233.359 2.571 20 0 BFADHN CC(C)n1cncc1CNC1CC2(CCC2)C1 ZINC000652042243 411091922 /nfs/dbraw/zinc/09/19/22/411091922.db2.gz RMRLGISIHOJGGL-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H](CCO)N(C)Cc1coc2ccccc12 ZINC000652048043 411098350 /nfs/dbraw/zinc/09/83/50/411098350.db2.gz DKLBOOYIIXBMPC-NSHDSACASA-N 0 3 233.311 2.636 20 0 BFADHN Cc1occc1CN1CC[C@@]2(CC2(F)F)C1 ZINC000652064475 411103369 /nfs/dbraw/zinc/10/33/69/411103369.db2.gz OWNPQAWPWIJFIU-LLVKDONJSA-N 0 3 227.254 2.819 20 0 BFADHN Oc1ccc(CN2CC[C@]3(CC3(F)F)C2)cc1 ZINC000652063126 411104234 /nfs/dbraw/zinc/10/42/34/411104234.db2.gz FGAGUIUIDNTFKU-LBPRGKRZSA-N 0 3 239.265 2.623 20 0 BFADHN Cc1nc(CN2C[C@@H]3CCC[C@H]3C2)c(C)o1 ZINC000659996814 411161637 /nfs/dbraw/zinc/16/16/37/411161637.db2.gz DKNSRDITSRBUTB-RYUDHWBXSA-N 0 3 220.316 2.523 20 0 BFADHN F[C@H]1CCCN(C[C@@H]2CCOc3ccccc32)C1 ZINC000652077298 411108823 /nfs/dbraw/zinc/10/88/23/411108823.db2.gz ZABDQTPKDXUKNN-STQMWFEESA-N 0 3 249.329 2.987 20 0 BFADHN CC(C)CCN(Cc1ccc(N)nc1)C1CC1 ZINC000110813948 411119326 /nfs/dbraw/zinc/11/93/26/411119326.db2.gz WSANKVWDAOOEQZ-UHFFFAOYSA-N 0 3 233.359 2.674 20 0 BFADHN CCNCc1cn(-c2cccc(C)c2)nc1C ZINC000212745237 411168325 /nfs/dbraw/zinc/16/83/25/411168325.db2.gz HTURWXQBSKIXTA-UHFFFAOYSA-N 0 3 229.327 2.599 20 0 BFADHN CCn1ccnc1CN[C@H]1CCC[C@@]1(C)CC ZINC000652204283 411168590 /nfs/dbraw/zinc/16/85/90/411168590.db2.gz MNKSFILHCSPITJ-GXTWGEPZSA-N 0 3 235.375 2.961 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)O)c1cc2cnccc2o1 ZINC000657946032 411176819 /nfs/dbraw/zinc/17/68/19/411176819.db2.gz OVKVJLCURODXKD-NXEZZACHSA-N 0 3 248.326 2.638 20 0 BFADHN CC[C@](C)(CO)N[C@@H](C)c1cc2cnccc2o1 ZINC000657955202 411180410 /nfs/dbraw/zinc/18/04/10/411180410.db2.gz FPRMUYIHYBEKAH-IINYFYTJSA-N 0 3 248.326 2.639 20 0 BFADHN Cc1cc([C@H](C)NC2(C3CCC3)CC2)nn1C ZINC000652273132 411185237 /nfs/dbraw/zinc/18/52/37/411185237.db2.gz CQLKPBHLFXDNHG-NSHDSACASA-N 0 3 233.359 2.712 20 0 BFADHN C[C@H](NCCC(C)(C)O)c1cc2cnccc2o1 ZINC000657978457 411186796 /nfs/dbraw/zinc/18/67/96/411186796.db2.gz HVLQGLGQERQHDR-JTQLQIEISA-N 0 3 248.326 2.639 20 0 BFADHN Cc1cncc([C@@H](C)N[C@@H](C)COCC2CC2)c1 ZINC000652287102 411187471 /nfs/dbraw/zinc/18/74/71/411187471.db2.gz NMRUZGOTYAJJKL-QWHCGFSZSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@@H](CCC1CC1)NCc1cc2n(n1)CCCC2 ZINC000655759832 411190989 /nfs/dbraw/zinc/19/09/89/411190989.db2.gz SNKVKULCVVVYSC-LBPRGKRZSA-N 0 3 247.386 2.888 20 0 BFADHN c1cc(CN2CCC[C@H](OC3CCC3)C2)ccn1 ZINC000653813610 411191613 /nfs/dbraw/zinc/19/16/13/411191613.db2.gz CLXPMAACMKVWCM-HNNXBMFYSA-N 0 3 246.354 2.615 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ccoc2)CS1 ZINC000655697610 411244394 /nfs/dbraw/zinc/24/43/94/411244394.db2.gz SBSULMGCTISQAZ-KOLCDFICSA-N 0 3 211.330 2.653 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H]2CCCO2)c(C)n1 ZINC000658096772 411244571 /nfs/dbraw/zinc/24/45/71/411244571.db2.gz SQAWWTCXUWOLJG-YPMHNXCESA-N 0 3 234.343 2.528 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CCc1noc2ccccc21 ZINC000653705107 411195541 /nfs/dbraw/zinc/19/55/41/411195541.db2.gz PYQZLSGCEIVNIG-WDEREUQCSA-N 0 3 230.311 2.711 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](CO)CCF)cc1 ZINC000652306311 411196149 /nfs/dbraw/zinc/19/61/49/411196149.db2.gz UAIHRXKLFUWHJK-RISCZKNCSA-N 0 3 239.334 2.620 20 0 BFADHN Cc1cc(C)cc([C@@H](C)N[C@H](CO)CCF)c1 ZINC000652306155 411196178 /nfs/dbraw/zinc/19/61/78/411196178.db2.gz PKHJPAIBWCLUDI-OCCSQVGLSA-N 0 3 239.334 2.675 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](CO)CCF)cc1 ZINC000652306312 411196356 /nfs/dbraw/zinc/19/63/56/411196356.db2.gz UAIHRXKLFUWHJK-SMDDNHRTSA-N 0 3 239.334 2.620 20 0 BFADHN C[C@]12COC[C@H]1CN(C/C=C/c1ccccc1)C2 ZINC000661701245 411199105 /nfs/dbraw/zinc/19/91/05/411199105.db2.gz LPBYSSYYWUKWTN-RTWZZBQXSA-N 0 3 243.350 2.668 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCCSCC1 ZINC000658021808 411199444 /nfs/dbraw/zinc/19/94/44/411199444.db2.gz WODQLPJUVGGCSL-LLVKDONJSA-N 0 3 225.357 2.963 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1cc2cnccc2o1 ZINC000658020050 411199861 /nfs/dbraw/zinc/19/98/61/411199861.db2.gz SHCSYEGFCAUSMM-GMTAPVOTSA-N 0 3 248.326 2.902 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1cc2cnccc2o1 ZINC000658020051 411199904 /nfs/dbraw/zinc/19/99/04/411199904.db2.gz SHCSYEGFCAUSMM-MXWKQRLJSA-N 0 3 248.326 2.902 20 0 BFADHN CC(C)n1ccnc1CNC1(C(C)C)CC1 ZINC000339506955 167772524 /nfs/dbraw/zinc/77/25/24/167772524.db2.gz OOCPGJYHMCYPJU-UHFFFAOYSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1nccnc1[C@H](C)NC1CC2(CCC2)C1 ZINC000652327947 411202124 /nfs/dbraw/zinc/20/21/24/411202124.db2.gz PFVXDZGICQBJPC-NSHDSACASA-N 0 3 231.343 2.768 20 0 BFADHN C[C@H](NC1CC2(CCC2)C1)c1cc2n(n1)CCC2 ZINC000652327630 411202420 /nfs/dbraw/zinc/20/24/20/411202420.db2.gz DITYCPXSKFXOGO-NSHDSACASA-N 0 3 245.370 2.813 20 0 BFADHN C[C@@H](NC1CC2(CCC2)C1)c1cc2n(n1)CCC2 ZINC000652327629 411202891 /nfs/dbraw/zinc/20/28/91/411202891.db2.gz DITYCPXSKFXOGO-LLVKDONJSA-N 0 3 245.370 2.813 20 0 BFADHN C[C@H]1C[C@@H](NC2CCC(C)(C)CC2)c2ncnn21 ZINC000658100274 411245225 /nfs/dbraw/zinc/24/52/25/411245225.db2.gz GRMVYJMKLIKASE-CMPLNLGQSA-N 0 3 248.374 2.842 20 0 BFADHN CCCN(CCC)CC(=O)N1CCCCCC1 ZINC000066216321 411204309 /nfs/dbraw/zinc/20/43/09/411204309.db2.gz ZHRMAGURYCTLHQ-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN CCn1ccnc1CN[C@@H](C)C(C)(C)C(F)F ZINC000658028697 411205251 /nfs/dbraw/zinc/20/52/51/411205251.db2.gz UZOFQHJPHXDWJI-VIFPVBQESA-N 0 3 245.317 2.672 20 0 BFADHN CC[C@H](NCc1cncc(C)c1)[C@@H]1CCCCO1 ZINC000653875597 411205612 /nfs/dbraw/zinc/20/56/12/411205612.db2.gz WZLWYKMVVBWRKM-GJZGRUSLSA-N 0 3 248.370 2.827 20 0 BFADHN CC(C)n1cncc1CNCCC(C)(F)F ZINC000357713774 167781445 /nfs/dbraw/zinc/78/14/45/167781445.db2.gz FTZDSLSONXKVEF-UHFFFAOYSA-N 0 3 231.290 2.599 20 0 BFADHN CCc1ccc(CNCCOC(C)(C)C)cn1 ZINC000556837534 322928239 /nfs/dbraw/zinc/92/82/39/322928239.db2.gz TVOVWTZNYAGHMZ-UHFFFAOYSA-N 0 3 236.359 2.549 20 0 BFADHN COC1([C@H](C)N[C@@H]2CCCC[C@H]2F)CCC1 ZINC000658034636 411209601 /nfs/dbraw/zinc/20/96/01/411209601.db2.gz KUKVNBOBFAWARF-QJPTWQEYSA-N 0 3 229.339 2.814 20 0 BFADHN COC1([C@H](C)NCc2cnc(C)cc2C)CCC1 ZINC000658032316 411210128 /nfs/dbraw/zinc/21/01/28/411210128.db2.gz PTHKCBXNSXXYIH-ZDUSSCGKSA-N 0 3 248.370 2.746 20 0 BFADHN Cc1ncc(CN[C@@H]2[C@@H]3CCC[C@@H]3C2(C)C)o1 ZINC000658034984 411210548 /nfs/dbraw/zinc/21/05/48/411210548.db2.gz KJCKLDYCJLFJQB-FRRDWIJNSA-N 0 3 234.343 2.897 20 0 BFADHN C[C@H](O)CN(C/C=C\c1ccccc1)C1CC1 ZINC000653895907 411213201 /nfs/dbraw/zinc/21/32/01/411213201.db2.gz HIDZBLBFCHPIKI-UJZCVKTISA-N 0 3 231.339 2.545 20 0 BFADHN CC[C@@H]1CCC[C@H](N[C@@H]2C[C@H](C)n3ncnc32)C1 ZINC000658102587 411246207 /nfs/dbraw/zinc/24/62/07/411246207.db2.gz PUJBRWKXOOOXTC-QNWHQSFQSA-N 0 3 248.374 2.842 20 0 BFADHN COC1([C@H](C)NCc2cc(C)nc(C)c2)CCC1 ZINC000658038231 411213860 /nfs/dbraw/zinc/21/38/60/411213860.db2.gz NVVSFWVYSLOMRF-ZDUSSCGKSA-N 0 3 248.370 2.746 20 0 BFADHN CC(C)n1nccc1CN[C@@H](C)CC(F)(F)F ZINC000354655821 167794178 /nfs/dbraw/zinc/79/41/78/167794178.db2.gz WTVBKGJDIBFFCX-VIFPVBQESA-N 0 3 249.280 2.895 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CC[C@@H](C)[C@H](C)C2)c2ncnn21 ZINC000658102418 411246579 /nfs/dbraw/zinc/24/65/79/411246579.db2.gz PISPLPSQETZSLE-NJMOYASZSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@@H](NC[C@@H]1CCN1C(C)(C)C)c1ccoc1 ZINC000658053927 411220862 /nfs/dbraw/zinc/22/08/62/411220862.db2.gz BYVXNWMSUZAZLY-YPMHNXCESA-N 0 3 236.359 2.803 20 0 BFADHN CC(C)CCCN1CC2(CC2(F)F)C1 ZINC000656265684 411221731 /nfs/dbraw/zinc/22/17/31/411221731.db2.gz WJKJJLJKJRHICP-UHFFFAOYSA-N 0 3 203.276 2.764 20 0 BFADHN C[C@@H]1CCCC[C@@H]1N[C@H]1CCCn2ccnc21 ZINC000655680989 411222550 /nfs/dbraw/zinc/22/25/50/411222550.db2.gz WBZSAJQUZYKMOB-AGIUHOORSA-N 0 3 233.359 2.886 20 0 BFADHN c1cn2c(n1)[C@@H](NCC1CCCCC1)CCC2 ZINC000655683606 411225380 /nfs/dbraw/zinc/22/53/80/411225380.db2.gz IRYGUEINBGEBLB-ZDUSSCGKSA-N 0 3 233.359 2.888 20 0 BFADHN c1cn2c(n1)[C@H](NCC1CCCCC1)CCC2 ZINC000655683605 411225638 /nfs/dbraw/zinc/22/56/38/411225638.db2.gz IRYGUEINBGEBLB-CYBMUJFWSA-N 0 3 233.359 2.888 20 0 BFADHN c1cn2c(n1)[C@@H](NCCc1ccsc1)CCC2 ZINC000655685406 411227916 /nfs/dbraw/zinc/22/79/16/411227916.db2.gz BVOAWWNIERQEQO-LBPRGKRZSA-N 0 3 247.367 2.612 20 0 BFADHN Cc1cccc(-n2cc([C@H](N)C(C)(C)C)nn2)c1 ZINC000656435203 411247348 /nfs/dbraw/zinc/24/73/48/411247348.db2.gz JDGZBWSYICADCR-ZDUSSCGKSA-N 0 3 244.342 2.622 20 0 BFADHN CCCC1(C(=O)Nc2cccc(CN)c2)CC1 ZINC000310401647 411236530 /nfs/dbraw/zinc/23/65/30/411236530.db2.gz URTPDJUIHARNGJ-UHFFFAOYSA-N 0 3 232.327 2.664 20 0 BFADHN COc1cc(C)c(C)cc1N[C@@H]1CN(C)C[C@@H]1C ZINC000658113342 411247950 /nfs/dbraw/zinc/24/79/50/411247950.db2.gz IIUSPHPOQLKFCV-GXTWGEPZSA-N 0 3 248.370 2.674 20 0 BFADHN CCn1cc(CN[C@@H](C)C(C)(C)C(F)F)cn1 ZINC000657917857 411165651 /nfs/dbraw/zinc/16/56/51/411165651.db2.gz FLWRYEJAKRXWDA-VIFPVBQESA-N 0 3 245.317 2.672 20 0 BFADHN C[C@@H]1CC[C@H](NC/C=C/CO)c2ccccc21 ZINC000658136984 411261587 /nfs/dbraw/zinc/26/15/87/411261587.db2.gz YYLSQDQSHBRPDC-WNTXTPNSSA-N 0 3 231.339 2.763 20 0 BFADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1NC/C=C\CO ZINC000658137908 411262153 /nfs/dbraw/zinc/26/21/53/411262153.db2.gz JWSHSQUGABXJRN-HOZRCZGOSA-N 0 3 249.329 2.587 20 0 BFADHN COc1ccc([C@@H](NC/C=C/CO)C(C)C)cc1 ZINC000658139387 411263017 /nfs/dbraw/zinc/26/30/17/411263017.db2.gz PFEJRFCSFLQGJF-RGDDUWESSA-N 0 3 249.354 2.530 20 0 BFADHN Cc1ccc([C@@H](NC/C=C\CO)C2CCCC2)o1 ZINC000658138946 411263898 /nfs/dbraw/zinc/26/38/98/411263898.db2.gz NYIRVZPOZXJZGN-XVWMLYKFSA-N 0 3 249.354 2.957 20 0 BFADHN Cc1ccc([C@@H](NC/C=C\CO)C2CCC2)o1 ZINC000658141361 411264858 /nfs/dbraw/zinc/26/48/58/411264858.db2.gz VAUFRPZUGVYDCZ-SFAKSCPVSA-N 0 3 235.327 2.567 20 0 BFADHN CCN(Cc1cc(OC)no1)C1CCCCC1 ZINC000659840217 411327284 /nfs/dbraw/zinc/32/72/84/411327284.db2.gz CEDSVGFVQHOMMQ-UHFFFAOYSA-N 0 3 238.331 2.838 20 0 BFADHN CCC[C@@H](CC)N[C@@H]1CCCn2ccnc21 ZINC000655725729 411327389 /nfs/dbraw/zinc/32/73/89/411327389.db2.gz XULZWZAHIJLSDM-VXGBXAGGSA-N 0 3 221.348 2.886 20 0 BFADHN CC[C@H](N)c1cn([C@@]2(C)CCCC[C@@H]2C)nn1 ZINC000656459613 411269704 /nfs/dbraw/zinc/26/97/04/411269704.db2.gz WGCTUDHKCQHZKY-GVXVVHGQSA-N 0 3 236.363 2.613 20 0 BFADHN Cc1ncsc1CN[C@@H]1CC[C@H](C)SC1 ZINC000655716951 411273421 /nfs/dbraw/zinc/27/34/21/411273421.db2.gz QSLKPABEUGVTEF-WCBMZHEXSA-N 0 3 242.413 2.825 20 0 BFADHN COC[C@H](NC1CC(C)C1)c1cccc(OC)c1 ZINC000658166916 411278483 /nfs/dbraw/zinc/27/84/83/411278483.db2.gz WEDIXUPQLJDOBS-HGMXIMQMSA-N 0 3 249.354 2.771 20 0 BFADHN Cc1cc([C@H](C)N[C@]2(C)CC2(C)C)nn1C ZINC000658304551 411328478 /nfs/dbraw/zinc/32/84/78/411328478.db2.gz PRUDMOQMJVYFBN-GXFFZTMASA-N 0 3 221.348 2.568 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CC(CC(F)(F)F)C1 ZINC000656689026 411328649 /nfs/dbraw/zinc/32/86/49/411328649.db2.gz ZEFKWJBKZHQMDM-VXNVDRBHSA-N 0 3 207.239 2.527 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H](C)O1)c1cccnc1 ZINC000656686571 411328749 /nfs/dbraw/zinc/32/87/49/411328749.db2.gz HSEFFSJTPXWAQS-DYEKYZERSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H](NC[C@H]1CCC[C@@H](C)O1)c1cccnc1 ZINC000656686573 411328845 /nfs/dbraw/zinc/32/88/45/411328845.db2.gz HSEFFSJTPXWAQS-YRGRVCCFSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@H]1C[C@H](NCCC(C)(C)F)c2nccn21 ZINC000658190914 411286998 /nfs/dbraw/zinc/28/69/98/411286998.db2.gz HQUSDSIVELGATH-UWVGGRQHSA-N 0 3 225.311 2.617 20 0 BFADHN CC[C@@H](NCCC(C)(C)F)c1nccn1C ZINC000658192629 411288249 /nfs/dbraw/zinc/28/82/49/411288249.db2.gz OAWULKYIIASGMJ-SNVBAGLBSA-N 0 3 227.327 2.599 20 0 BFADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1cccnc1 ZINC000656686564 411329267 /nfs/dbraw/zinc/32/92/67/411329267.db2.gz HOPMUOVEJAVGNL-GXSJLCMTSA-N 0 3 226.270 2.778 20 0 BFADHN Cc1ncc([C@@H](C)NCCc2ccoc2)s1 ZINC000658198766 411290594 /nfs/dbraw/zinc/29/05/94/411290594.db2.gz HRDJSRVTGXGJEO-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN C[C@@H](NCCc1ccoc1)c1ccc(F)cn1 ZINC000658202446 411291062 /nfs/dbraw/zinc/29/10/62/411291062.db2.gz UJCGTFOKVJVVAH-SNVBAGLBSA-N 0 3 234.274 2.707 20 0 BFADHN C[C@H](NCC[C@]1(C)CC1(F)F)c1cnccn1 ZINC000656689557 411329415 /nfs/dbraw/zinc/32/94/15/411329415.db2.gz RLXGJTNZMNBFJD-GXSJLCMTSA-N 0 3 241.285 2.563 20 0 BFADHN CC1(C)C(CN[C@H]2CCn3ccnc32)C1(C)C ZINC000335953930 167880461 /nfs/dbraw/zinc/88/04/61/167880461.db2.gz IYEWKCWNQUFVCD-JTQLQIEISA-N 0 3 233.359 2.600 20 0 BFADHN CC[C@@H](NC[C@]1(C)CC1(F)F)c1nccn1C ZINC000658217917 411297576 /nfs/dbraw/zinc/29/75/76/411297576.db2.gz HURYOCNPUNDGFA-KOLCDFICSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1ccco1 ZINC000658220520 411300484 /nfs/dbraw/zinc/30/04/84/411300484.db2.gz PVLJGGYPEQEEBS-SCZZXKLOSA-N 0 3 215.243 2.976 20 0 BFADHN C[C@@H](NCC[C@]1(C)CC1(F)F)c1ccncn1 ZINC000658222835 411301658 /nfs/dbraw/zinc/30/16/58/411301658.db2.gz RUNUOWKUBPXJFU-MWLCHTKSSA-N 0 3 241.285 2.563 20 0 BFADHN CCCC[C@@H](C)NCc1nccc(OC)c1F ZINC000658224346 411303786 /nfs/dbraw/zinc/30/37/86/411303786.db2.gz ACJOTOPTHKJBGD-SNVBAGLBSA-N 0 3 240.322 2.898 20 0 BFADHN COc1ccnc(CN[C@H](C)C2CCC2)c1F ZINC000658227003 411304454 /nfs/dbraw/zinc/30/44/54/411304454.db2.gz GCAGUULXQOUKJP-SECBINFHSA-N 0 3 238.306 2.508 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc2n(n1)CCC2)C1CC1 ZINC000658236401 411305936 /nfs/dbraw/zinc/30/59/36/411305936.db2.gz VKAGDYGMBIMRDO-GWCFXTLKSA-N 0 3 233.359 2.669 20 0 BFADHN Cn1ncc2c1CCC[C@H]2N[C@@]1(C)CC1(C)C ZINC000658309748 411330955 /nfs/dbraw/zinc/33/09/55/411330955.db2.gz YJXFXGJYVUWRNU-RISCZKNCSA-N 0 3 233.359 2.576 20 0 BFADHN CC1(C)C[C@@H](N[C@@H]2CCc3ccc(O)cc32)CO1 ZINC000658235498 411306233 /nfs/dbraw/zinc/30/62/33/411306233.db2.gz DPFJDGJZAVSIDI-BXUZGUMPSA-N 0 3 247.338 2.537 20 0 BFADHN CN(Cc1ccccc1)[C@@H]1COC(C)(C)C1 ZINC000659826216 411309223 /nfs/dbraw/zinc/30/92/23/411309223.db2.gz DGEWLBCUOVIRIK-ZDUSSCGKSA-N 0 3 219.328 2.686 20 0 BFADHN CN(Cc1ccccc1)[C@H]1COC(C)(C)C1 ZINC000659826099 411309349 /nfs/dbraw/zinc/30/93/49/411309349.db2.gz DGEWLBCUOVIRIK-CYBMUJFWSA-N 0 3 219.328 2.686 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H](C)C2)nc(C)n1 ZINC000659829465 411312715 /nfs/dbraw/zinc/31/27/15/411312715.db2.gz QUVFFVNVKJHFKL-GHMZBOCLSA-N 0 3 233.359 2.571 20 0 BFADHN OC[C@H](CN[C@@H]1CCCc2occc21)CC1CC1 ZINC000658251888 411314184 /nfs/dbraw/zinc/31/41/84/411314184.db2.gz KTSKSBYPTQDZNK-GXTWGEPZSA-N 0 3 249.354 2.655 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C12CCC2)c1ccsc1 ZINC000658251446 411314530 /nfs/dbraw/zinc/31/45/30/411314530.db2.gz HHGIXPXCKILUDF-ZMLRMANQSA-N 0 3 237.368 2.702 20 0 BFADHN CC1(C)CCC[C@H]1CNCc1cocn1 ZINC000293454425 167914404 /nfs/dbraw/zinc/91/44/04/167914404.db2.gz PQUOEQCUUATNLN-JTQLQIEISA-N 0 3 208.305 2.591 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C12CCC2)c1cccs1 ZINC000658255431 411316287 /nfs/dbraw/zinc/31/62/87/411316287.db2.gz UDVOZJAHAZCCKU-ZMLRMANQSA-N 0 3 237.368 2.702 20 0 BFADHN CC(C)CC1(N[C@H](C)c2cn[nH]c2)CC1 ZINC000658320472 411332085 /nfs/dbraw/zinc/33/20/85/411332085.db2.gz BDCSITBCSZZMPN-SNVBAGLBSA-N 0 3 207.321 2.639 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@@H]3C[C@@H]3C2)c1 ZINC000661966618 411332758 /nfs/dbraw/zinc/33/27/58/411332758.db2.gz KPHZZZLUKAZDEV-VXGBXAGGSA-N 0 3 219.303 2.976 20 0 BFADHN CCCCN1CCCc2cccc(CN)c21 ZINC000629558775 411324723 /nfs/dbraw/zinc/32/47/23/411324723.db2.gz NGGYDMYXZNVRHD-UHFFFAOYSA-N 0 3 218.344 2.698 20 0 BFADHN CC[C@@H](C)CCN[C@H](C)c1ccncn1 ZINC000658122401 411251119 /nfs/dbraw/zinc/25/11/19/411251119.db2.gz FUIXOWWDVVSRKN-GHMZBOCLSA-N 0 3 207.321 2.563 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1cc2n(n1)CCCC2 ZINC000655770139 411251396 /nfs/dbraw/zinc/25/13/96/411251396.db2.gz ZRYUHPQRHRFYEO-CHWSQXEVSA-N 0 3 247.386 2.745 20 0 BFADHN CC[C@H](C)CCN[C@H](C)c1nccnc1C ZINC000658121384 411251675 /nfs/dbraw/zinc/25/16/75/411251675.db2.gz PNWFPEFHFWBCGW-WDEREUQCSA-N 0 3 221.348 2.872 20 0 BFADHN CCn1nccc1CN1C[C@H](C)CC[C@@H]1C ZINC000127776928 411252355 /nfs/dbraw/zinc/25/23/55/411252355.db2.gz JRVPJNYXQRKVLD-NEPJUHHUSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@H](C)CCN[C@@H](C)c1cc2n(n1)CCC2 ZINC000658124625 411252635 /nfs/dbraw/zinc/25/26/35/411252635.db2.gz QNCYQQPJJMGKAJ-RYUDHWBXSA-N 0 3 235.375 2.916 20 0 BFADHN CC[C@@H](C)CCN[C@@H]1CCCn2ccnc21 ZINC000658125971 411253268 /nfs/dbraw/zinc/25/32/68/411253268.db2.gz WLEGZYSVZIFJMW-VXGBXAGGSA-N 0 3 221.348 2.744 20 0 BFADHN C[C@H]1C[C@H](CN[C@@H]2CCn3ccnc32)C[C@@H](C)C1 ZINC000658128399 411254326 /nfs/dbraw/zinc/25/43/26/411254326.db2.gz UTZPDDRZTVVONU-ZOBORPQBSA-N 0 3 247.386 2.990 20 0 BFADHN C[C@H](CF)NCc1ccc2[nH]ccc2c1 ZINC000655773720 411254539 /nfs/dbraw/zinc/25/45/39/411254539.db2.gz FMMFFEFHZCNRDT-SECBINFHSA-N 0 3 206.264 2.616 20 0 BFADHN Cc1nn(-c2ccc(C)cc2)cc1CNC1CC1 ZINC000655703650 411254571 /nfs/dbraw/zinc/25/45/71/411254571.db2.gz JMFIDJMUURLHAR-UHFFFAOYSA-N 0 3 241.338 2.741 20 0 BFADHN C[C@H](NC/C=C\CO)c1cccc(Cl)c1 ZINC000658131764 411255062 /nfs/dbraw/zinc/25/50/62/411255062.db2.gz GKCLHEHRMAMIHS-ZMWSMAPVSA-N 0 3 225.719 2.539 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CN[C@H]1CCCn2ccnc21 ZINC000655772843 411255763 /nfs/dbraw/zinc/25/57/63/411255763.db2.gz SXITUJDOKCOCOP-AVGNSLFASA-N 0 3 233.359 2.600 20 0 BFADHN CCC[C@H](NC/C=C/CO)c1ccsc1 ZINC000658132951 411256138 /nfs/dbraw/zinc/25/61/38/411256138.db2.gz BSMJSBHTRDWJHK-PCAWENJQSA-N 0 3 225.357 2.727 20 0 BFADHN Cc1cc([C@@H](C)NC/C=C\CO)c(C)s1 ZINC000658132430 411256907 /nfs/dbraw/zinc/25/69/07/411256907.db2.gz LPRATJBBPBYKPA-UMCURTJPSA-N 0 3 225.357 2.564 20 0 BFADHN CC[C@@H](NC/C=C\CO)c1ccc(Cl)cc1 ZINC000658132819 411256947 /nfs/dbraw/zinc/25/69/47/411256947.db2.gz NQZCWRGBSALBKL-DHCBQETCSA-N 0 3 239.746 2.929 20 0 BFADHN C[C@@H](NC/C=C/CO)c1ccc(Cl)c(F)c1 ZINC000658133301 411257458 /nfs/dbraw/zinc/25/74/58/411257458.db2.gz DJTGXNAELHIOCV-GKQMSVHHSA-N 0 3 243.709 2.678 20 0 BFADHN Cc1cc([C@H](C)NC/C=C\CO)cc(C)c1F ZINC000658133159 411257520 /nfs/dbraw/zinc/25/75/20/411257520.db2.gz KMGRIRSCLWSKAQ-RXNFCKPNSA-N 0 3 237.318 2.642 20 0 BFADHN C[C@H](NC/C=C/CO)c1cc2ccccc2o1 ZINC000658133090 411257848 /nfs/dbraw/zinc/25/78/48/411257848.db2.gz OUYKJQXMGFSYKC-ZWNMCFTASA-N 0 3 231.295 2.632 20 0 BFADHN Cc1ccc([C@@H](NC/C=C/CO)C2CCC2)cc1 ZINC000658133843 411257911 /nfs/dbraw/zinc/25/79/11/411257911.db2.gz GJUBOIWRMBPHTP-KZSQQDEKSA-N 0 3 245.366 2.974 20 0 BFADHN C[C@H](NC/C=C/CO)c1ccc(Cl)c(F)c1 ZINC000658133302 411258188 /nfs/dbraw/zinc/25/81/88/411258188.db2.gz DJTGXNAELHIOCV-HPOULIHZSA-N 0 3 243.709 2.678 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2cc3n(n2)CCCC3)C1 ZINC000655708068 411258349 /nfs/dbraw/zinc/25/83/49/411258349.db2.gz IXMJFFKCNKZPTO-QWHCGFSZSA-N 0 3 247.386 2.888 20 0 BFADHN C[C@@H](NC/C=C/CO)c1ccc2c(c1)CCCC2 ZINC000658133805 411258573 /nfs/dbraw/zinc/25/85/73/411258573.db2.gz OBKLTNBYLUALLS-MUBLQREKSA-N 0 3 245.366 2.765 20 0 BFADHN CC[C@@H](NC/C=C\CO)c1cc(C)ccc1OC ZINC000658133243 411258632 /nfs/dbraw/zinc/25/86/32/411258632.db2.gz CSFKCYJJGPOCLR-GEXIGZQTSA-N 0 3 249.354 2.593 20 0 BFADHN CC(C)C[C@H](NC/C=C\CO)c1cccs1 ZINC000658134837 411259623 /nfs/dbraw/zinc/25/96/23/411259623.db2.gz SBAWITKBCFJXLN-MVZIDQBPSA-N 0 3 239.384 2.973 20 0 BFADHN CSc1ccc([C@@H](C)NC/C=C/CO)cc1 ZINC000658134802 411259976 /nfs/dbraw/zinc/25/99/76/411259976.db2.gz WYIPEKWZBBJLKW-PGLGOXFNSA-N 0 3 237.368 2.608 20 0 BFADHN Cc1ccc([C@@H](C)NC/C=C/CO)cc1C ZINC000658134326 411260044 /nfs/dbraw/zinc/26/00/44/411260044.db2.gz VSGIYXYMLDRJFZ-MUBLQREKSA-N 0 3 219.328 2.503 20 0 BFADHN CSc1ccc([C@H](C)NC/C=C\CO)cc1 ZINC000658134792 411260174 /nfs/dbraw/zinc/26/01/74/411260174.db2.gz WYIPEKWZBBJLKW-BYCRGOAPSA-N 0 3 237.368 2.608 20 0 BFADHN CC1(C)COCCN1C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000659858900 411349620 /nfs/dbraw/zinc/34/96/20/411349620.db2.gz VAWQMJZJCGRBGA-UPJWGTAASA-N 0 3 223.360 2.533 20 0 BFADHN CC[C@@H](N[C@H]1C[C@H](O)C1)c1ccc(F)cc1F ZINC000658348882 411350385 /nfs/dbraw/zinc/35/03/85/411350385.db2.gz CQDUBWNGLYCXPG-GIPNMCIBSA-N 0 3 241.281 2.529 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](O)C1)c1ccccc1Cl ZINC000658350529 411352425 /nfs/dbraw/zinc/35/24/25/411352425.db2.gz FSVWIDZEDSHDEP-OPRDCNLKSA-N 0 3 225.719 2.514 20 0 BFADHN CCOC[C@H](C)NCc1cccc(OC)c1C ZINC000658351109 411353420 /nfs/dbraw/zinc/35/34/20/411353420.db2.gz BJCVUAWJEDDENT-NSHDSACASA-N 0 3 237.343 2.518 20 0 BFADHN C[C@@H](N[C@H]1C[C@H](O)C1)c1cccc(Cl)c1 ZINC000658351970 411354820 /nfs/dbraw/zinc/35/48/20/411354820.db2.gz RPGSDZDBCGDEAD-GGZOMVNGSA-N 0 3 225.719 2.514 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1CCC2(COC2)C1 ZINC000662075958 411358538 /nfs/dbraw/zinc/35/85/38/411358538.db2.gz OZXDFGLTHDEGEJ-CQSZACIVSA-N 0 3 249.329 2.999 20 0 BFADHN CNCc1cccc(NC(=O)[C@H]2CCC2(C)C)c1 ZINC000658927213 411362458 /nfs/dbraw/zinc/36/24/58/411362458.db2.gz QMTRFRPSFOCYLP-CYBMUJFWSA-N 0 3 246.354 2.781 20 0 BFADHN Cc1cc(CN)cc(NC(=O)[C@H]2CCC2(C)C)c1 ZINC000658928333 411362763 /nfs/dbraw/zinc/36/27/63/411362763.db2.gz AXNWRDLUMMCWAU-CYBMUJFWSA-N 0 3 246.354 2.828 20 0 BFADHN CC(C)N(C[C@@H]1CC[C@@H]2C[C@@H]2C1)C1COC1 ZINC000660647507 411370884 /nfs/dbraw/zinc/37/08/84/411370884.db2.gz MBMFTMBTGAJEOC-UPJWGTAASA-N 0 3 223.360 2.532 20 0 BFADHN Fc1cccc(CCN2CC[C@@H]3C[C@@H]3C2)c1F ZINC000661967128 411334724 /nfs/dbraw/zinc/33/47/24/411334724.db2.gz BPOUVGJTDSRHSE-VXGBXAGGSA-N 0 3 237.293 2.849 20 0 BFADHN Cc1cc([C@H](C)NCCCc2ccco2)nn1C ZINC000658325551 411335089 /nfs/dbraw/zinc/33/50/89/411335089.db2.gz OSHRYVZMDWOOKY-LBPRGKRZSA-N 0 3 247.342 2.605 20 0 BFADHN CO[C@H](CN1CC[C@@H]2C[C@@H]2C1)c1ccccc1 ZINC000661967985 411335936 /nfs/dbraw/zinc/33/59/36/411335936.db2.gz SQJVTHJAVICPAB-RBSFLKMASA-N 0 3 231.339 2.716 20 0 BFADHN C[C@@H](NCCCc1ccco1)c1ccccn1 ZINC000658327620 411336193 /nfs/dbraw/zinc/33/61/93/411336193.db2.gz PFXCNJCFALJPLV-GFCCVEGCSA-N 0 3 230.311 2.958 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1cc2cnccc2o1 ZINC000658329687 411337285 /nfs/dbraw/zinc/33/72/85/411337285.db2.gz GBDGPHPJVAKUCW-FZQKWOKYSA-N 0 3 246.310 2.656 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1ccc2c(c1)COC2 ZINC000658330963 411338389 /nfs/dbraw/zinc/33/83/89/411338389.db2.gz HCAVDLRYRCPJLC-KMUNFCNLSA-N 0 3 247.338 2.545 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCCNc2ccccc21 ZINC000658332213 411339124 /nfs/dbraw/zinc/33/91/24/411339124.db2.gz PFFONCVQGCYMMF-ZNMIVQPWSA-N 0 3 246.354 2.700 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCCOc2ccccc21 ZINC000658331690 411339230 /nfs/dbraw/zinc/33/92/30/411339230.db2.gz MKLQVOBIQMMARW-YUELXQCFSA-N 0 3 247.338 2.667 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCc2ccc(C)cc21 ZINC000658331864 411339667 /nfs/dbraw/zinc/33/96/67/411339667.db2.gz MVYFIPQOIFMEIU-KFWWJZLASA-N 0 3 231.339 2.749 20 0 BFADHN CC1CCC(N(Cc2cn(C)cn2)C2CC2)CC1 ZINC000659845350 411339644 /nfs/dbraw/zinc/33/96/44/411339644.db2.gz JACUWCJDIBUWHR-UHFFFAOYSA-N 0 3 247.386 2.963 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1c2ccccc2C[C@@H]1C ZINC000658332549 411341188 /nfs/dbraw/zinc/34/11/88/411341188.db2.gz RWXUUGRCSWOUFI-PUPMMZHASA-N 0 3 231.339 2.687 20 0 BFADHN COCCN(C)Cc1cccc(C(C)C)c1 ZINC000659848315 411341557 /nfs/dbraw/zinc/34/15/57/411341557.db2.gz BMAWWNMILYUHSN-UHFFFAOYSA-N 0 3 221.344 2.888 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1cccc(C)c1 ZINC000658334499 411342000 /nfs/dbraw/zinc/34/20/00/411342000.db2.gz KSWUCAOXFBDMLA-BNOWGMLFSA-N 0 3 219.328 2.823 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCc2c1cccc2C ZINC000658334300 411342050 /nfs/dbraw/zinc/34/20/50/411342050.db2.gz IDAWBIQIEWOPKV-ZNMIVQPWSA-N 0 3 231.339 2.749 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1ccc(F)cc1 ZINC000658334476 411342075 /nfs/dbraw/zinc/34/20/75/411342075.db2.gz KDUMUYXTZUKKHU-WQAKAFBOSA-N 0 3 223.291 2.654 20 0 BFADHN COc1ccc(C)cc1CN1CC[C@H]2C[C@H]2C1 ZINC000661977852 411342132 /nfs/dbraw/zinc/34/21/32/411342132.db2.gz LYPJCVBEVVQMSG-STQMWFEESA-N 0 3 231.339 2.845 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1cccs1 ZINC000658334277 411342282 /nfs/dbraw/zinc/34/22/82/411342282.db2.gz HHUJCILVQVXJQV-GDPRMGEGSA-N 0 3 211.330 2.576 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@@H]1C[C@]1(C)OC ZINC000658334225 411342524 /nfs/dbraw/zinc/34/25/24/411342524.db2.gz FTOKPAINNQSJDD-DFBGVHRSSA-N 0 3 249.354 2.832 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@]1(C)OC)c1cccs1 ZINC000658334465 411342619 /nfs/dbraw/zinc/34/26/19/411342619.db2.gz JVRKWBGEVYUBEJ-JLLWLGSASA-N 0 3 225.357 2.966 20 0 BFADHN CCOc1ccc([C@H](C)N[C@@H]2C[C@]2(C)OC)cc1 ZINC000658334680 411343182 /nfs/dbraw/zinc/34/31/82/411343182.db2.gz RRKQWKWSNMIPFK-GLQYFDAESA-N 0 3 249.354 2.913 20 0 BFADHN COc1cc(C)ccc1[C@@H](C)N[C@@H]1C[C@]1(C)OC ZINC000658334545 411343501 /nfs/dbraw/zinc/34/35/01/411343501.db2.gz MIXAWWVBSCKFPY-DFBGVHRSSA-N 0 3 249.354 2.832 20 0 BFADHN C[C@@H](N[C@H]1[C@@H]2CCC[C@@H]2C1(C)C)c1nccn1C ZINC000658337005 411344329 /nfs/dbraw/zinc/34/43/29/411344329.db2.gz BJAAZOUVFJWRLA-NDBYEHHHSA-N 0 3 247.386 2.895 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1NCc1ccc(Cl)cc1 ZINC000163322665 168000107 /nfs/dbraw/zinc/00/01/07/168000107.db2.gz ITODXCUVXDGDKS-RYUDHWBXSA-N 0 3 239.746 2.589 20 0 BFADHN CC1(C)[C@H](NCc2ccc(Cl)cc2)C[C@@H]1O ZINC000163322545 168001706 /nfs/dbraw/zinc/00/17/06/168001706.db2.gz ITODXCUVXDGDKS-NEPJUHHUSA-N 0 3 239.746 2.589 20 0 BFADHN C[C@@H](N[C@@H]1[C@@H]2CCC[C@@H]2C1(C)C)c1nccn1C ZINC000658337000 411344619 /nfs/dbraw/zinc/34/46/19/411344619.db2.gz BJAAZOUVFJWRLA-FVCCEPFGSA-N 0 3 247.386 2.895 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1CCF)c1nccn1C ZINC000658337656 411344781 /nfs/dbraw/zinc/34/47/81/411344781.db2.gz FUQUHEQQSKPFNL-SDDRHHMPSA-N 0 3 239.338 2.599 20 0 BFADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1cnc[nH]1 ZINC000280316256 168004437 /nfs/dbraw/zinc/00/44/37/168004437.db2.gz XPVBADHHTKQGNK-SECBINFHSA-N 0 3 247.264 2.573 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H]2C[C@@]21C)c1nccn1C ZINC000658336920 411345062 /nfs/dbraw/zinc/34/50/62/411345062.db2.gz AZCRJZVDVPCEGO-SFTQSGBHSA-N 0 3 233.359 2.649 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1N[C@@H]1CCc2cc(F)ccc21 ZINC000129556962 168009197 /nfs/dbraw/zinc/00/91/97/168009197.db2.gz PMYZBBBOVDTQOA-MGPQQGTHSA-N 0 3 249.329 2.562 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1C1CCC1)c1nccn1C ZINC000658339819 411345823 /nfs/dbraw/zinc/34/58/23/411345823.db2.gz VWJGVSVPFFQPJJ-WCFLWFBJSA-N 0 3 233.359 2.649 20 0 BFADHN CC1(CCNCc2cccc3c2OCCO3)CC1 ZINC000280504276 168020051 /nfs/dbraw/zinc/02/00/51/168020051.db2.gz GKKXTHWAEGFNAY-UHFFFAOYSA-N 0 3 247.338 2.738 20 0 BFADHN CC1(CCNCc2cn3ccccc3n2)CC1 ZINC000280566231 168020836 /nfs/dbraw/zinc/02/08/36/168020836.db2.gz LHXTXUGYLPPHFP-UHFFFAOYSA-N 0 3 229.327 2.614 20 0 BFADHN CC1(CN[C@@H]2CCn3ccnc32)CCCCC1 ZINC000335954373 168045094 /nfs/dbraw/zinc/04/50/94/168045094.db2.gz KUTYVXVMOLSFQY-GFCCVEGCSA-N 0 3 233.359 2.888 20 0 BFADHN CC1(CN[C@@H](CO)c2ccsc2)CCC1 ZINC000336649065 168045228 /nfs/dbraw/zinc/04/52/28/168045228.db2.gz FORMWVBZWONZRW-NSHDSACASA-N 0 3 225.357 2.561 20 0 BFADHN CC1(CN[C@H](CO)c2ccsc2)CCC1 ZINC000336649063 168046149 /nfs/dbraw/zinc/04/61/49/168046149.db2.gz FORMWVBZWONZRW-LLVKDONJSA-N 0 3 225.357 2.561 20 0 BFADHN CC1(F)CC(NCc2cccc(F)c2F)C1 ZINC000335376028 168052505 /nfs/dbraw/zinc/05/25/05/168052505.db2.gz QFUQTMIKXUGSIU-UHFFFAOYSA-N 0 3 229.245 2.945 20 0 BFADHN CCN(Cc1cc(OC)no1)[C@H](C)C(C)C ZINC000659858241 411348999 /nfs/dbraw/zinc/34/89/99/411348999.db2.gz WBTNNKZZZQSKFN-SNVBAGLBSA-N 0 3 226.320 2.550 20 0 BFADHN CC1(NCc2cn3cccnc3n2)CCCCC1 ZINC000298242609 168064763 /nfs/dbraw/zinc/06/47/63/168064763.db2.gz CLGNASIAGZERJA-UHFFFAOYSA-N 0 3 244.342 2.542 20 0 BFADHN CC1(O)CCN(CCCc2ccsc2)CC1 ZINC000360969650 168067103 /nfs/dbraw/zinc/06/71/03/168067103.db2.gz IXGDTZKPNYTPGH-UHFFFAOYSA-N 0 3 239.384 2.528 20 0 BFADHN CC1=C(C)CN(C[C@@H](O)c2ccccc2F)CC1 ZINC000280777975 168084453 /nfs/dbraw/zinc/08/44/53/168084453.db2.gz PSTQSAOIIBGJFK-OAHLLOKOSA-N 0 3 249.329 2.901 20 0 BFADHN Cc1ncc(CN2CCC(C)=C(C)C2)s1 ZINC000280939109 168085226 /nfs/dbraw/zinc/08/52/26/168085226.db2.gz SISSFNDBZIOCDR-UHFFFAOYSA-N 0 3 222.357 2.994 20 0 BFADHN CC1=C(c2ccco2)CN(CC2(C)COC2)CC1 ZINC000338353027 168088440 /nfs/dbraw/zinc/08/84/40/168088440.db2.gz GXNPXIOPSISMNF-UHFFFAOYSA-N 0 3 247.338 2.795 20 0 BFADHN CC1=CCCN([C@H](C)c2ccccn2)C1 ZINC000280926633 168100347 /nfs/dbraw/zinc/10/03/47/168100347.db2.gz UTUQMTNNLBTSRQ-GFCCVEGCSA-N 0 3 202.301 2.795 20 0 BFADHN Cc1ncsc1CCN1CCC=C(C)C1 ZINC000280716961 168100697 /nfs/dbraw/zinc/10/06/97/168100697.db2.gz FERQBTUTRBIBRU-UHFFFAOYSA-N 0 3 222.357 2.646 20 0 BFADHN CC1=CCCN(Cc2ccc3c(c2)ncn3C)C1 ZINC000281683317 168100958 /nfs/dbraw/zinc/10/09/58/168100958.db2.gz AWHBLYQSMRBWNM-UHFFFAOYSA-N 0 3 241.338 2.725 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN(C)Cc1ccnn1C ZINC000355290372 168101781 /nfs/dbraw/zinc/10/17/81/168101781.db2.gz LSXUPNYHBLLAJG-DZGCQCFKSA-N 0 3 247.386 2.844 20 0 BFADHN CC1=CCN(CCN[C@H](C)c2ccco2)CC1 ZINC000358234198 168113589 /nfs/dbraw/zinc/11/35/89/168113589.db2.gz WPWDIFZQOOWDGS-CYBMUJFWSA-N 0 3 234.343 2.582 20 0 BFADHN CC1=CCN(C[C@H](O)CC2CCCC2)CC1 ZINC000354731236 168113981 /nfs/dbraw/zinc/11/39/81/168113981.db2.gz LLZRSMIUPPSKEL-CQSZACIVSA-N 0 3 223.360 2.580 20 0 BFADHN CC1=CCN(C[C@@](C)(O)c2ccccc2)CC1 ZINC000270322243 168114041 /nfs/dbraw/zinc/11/40/41/168114041.db2.gz KJJYEVJGKWCXDJ-OAHLLOKOSA-N 0 3 231.339 2.546 20 0 BFADHN CC1=CCN(Cc2ccc3c[nH]nc3c2)CC1 ZINC000271153775 168114699 /nfs/dbraw/zinc/11/46/99/168114699.db2.gz ALTLOKLNKGKHMC-UHFFFAOYSA-N 0 3 227.311 2.715 20 0 BFADHN Cc1ccc([C@@H](O)CN2CC=C(C)CC2)cc1 ZINC000270387479 168114936 /nfs/dbraw/zinc/11/49/36/168114936.db2.gz XLRGKXZBDNWZBE-HNNXBMFYSA-N 0 3 231.339 2.680 20 0 BFADHN Cc1cc(CN[C@H]2CC=C(C)CC2)on1 ZINC000293758971 168120905 /nfs/dbraw/zinc/12/09/05/168120905.db2.gz YVGUIBJHKXCMNP-NSHDSACASA-N 0 3 206.289 2.571 20 0 BFADHN Cc1cccc(CN2CC(C)=C[C@@H](C)C2)n1 ZINC000336600198 168122998 /nfs/dbraw/zinc/12/29/98/168122998.db2.gz BFLUXQMLPDLGJF-LLVKDONJSA-N 0 3 216.328 2.788 20 0 BFADHN Cc1ccc(CN2CC(C)=C[C@@H](C)C2)nc1 ZINC000336290854 168123420 /nfs/dbraw/zinc/12/34/20/168123420.db2.gz SBLXWWONHAUHDX-GFCCVEGCSA-N 0 3 216.328 2.788 20 0 BFADHN Cc1cncc(CN2CC(C)=C[C@@H](C)C2)c1 ZINC000336600011 168124135 /nfs/dbraw/zinc/12/41/35/168124135.db2.gz YXRPVOFXDUYURE-GFCCVEGCSA-N 0 3 216.328 2.788 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CN2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000356124573 168125200 /nfs/dbraw/zinc/12/52/00/168125200.db2.gz JMIJKWPWOYCYSY-BEAPCOKYSA-N 0 3 235.371 2.842 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN2CCO[C@H](C)C2)C1 ZINC000354317492 168128069 /nfs/dbraw/zinc/12/80/69/168128069.db2.gz GVWKDLLEATYQIS-IACUBPJLSA-N 0 3 223.360 2.700 20 0 BFADHN Cc1ccncc1CN1CC(C)=C[C@H](C)C1 ZINC000336290777 168128306 /nfs/dbraw/zinc/12/83/06/168128306.db2.gz IKOWHPZJHFQRFK-NSHDSACASA-N 0 3 216.328 2.788 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN(C)Cc2cnccn2)C1 ZINC000290486284 168129011 /nfs/dbraw/zinc/12/90/11/168129011.db2.gz RIPPRHHQUHITSV-JSGCOSHPSA-N 0 3 245.370 2.901 20 0 BFADHN CC1CC(NCc2cc(F)ccc2F)C1 ZINC000128873002 168146676 /nfs/dbraw/zinc/14/66/76/168146676.db2.gz ABZMMMVZTSPUIE-UHFFFAOYSA-N 0 3 211.255 2.853 20 0 BFADHN CC1CC(NCc2cc(F)c(F)c(F)c2)C1 ZINC000080249296 168147037 /nfs/dbraw/zinc/14/70/37/168147037.db2.gz KBMNZUWBSDULGL-UHFFFAOYSA-N 0 3 229.245 2.992 20 0 BFADHN CC1CCC(N(C)Cc2ccc(CO)o2)CC1 ZINC000119881432 168160309 /nfs/dbraw/zinc/16/03/09/168160309.db2.gz DPCKYJUIRQSMSS-UHFFFAOYSA-N 0 3 237.343 2.782 20 0 BFADHN CC1CCC(O)(CN(C)Cc2ccco2)CC1 ZINC000156144015 168166859 /nfs/dbraw/zinc/16/68/59/168166859.db2.gz FBLPHMHVYNHOIM-UHFFFAOYSA-N 0 3 237.343 2.653 20 0 BFADHN CCC(=O)CCCN1CCS[C@H](CC)C1 ZINC000300064171 168199109 /nfs/dbraw/zinc/19/91/09/168199109.db2.gz LYHQAGAMIIVJTP-GFCCVEGCSA-N 0 3 229.389 2.573 20 0 BFADHN CCC(=O)CCN(C)C[C@H](C)c1nccs1 ZINC000190332641 168200251 /nfs/dbraw/zinc/20/02/51/168200251.db2.gz UVBSGUPPTUHQAC-JTQLQIEISA-N 0 3 240.372 2.548 20 0 BFADHN CCC(=O)CCN(C)Cc1ccc(OC)c(C)c1 ZINC000190214499 168200369 /nfs/dbraw/zinc/20/03/69/168200369.db2.gz VGXMVCSATFLVBW-UHFFFAOYSA-N 0 3 249.354 2.805 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cnc2ccccc2n1 ZINC000659945828 411389735 /nfs/dbraw/zinc/38/97/35/411389735.db2.gz CRRHAJKDQLOGCK-NWDGAFQWSA-N 0 3 241.338 2.860 20 0 BFADHN CCC(=O)CCN1CCC[C@@H]1c1ccc[nH]1 ZINC000276869260 168201995 /nfs/dbraw/zinc/20/19/95/168201995.db2.gz LIXRGIONBLFVIV-CYBMUJFWSA-N 0 3 220.316 2.521 20 0 BFADHN CCC(=O)CCN1CC[C@H](c2cccc(F)c2)C1 ZINC000276830685 168202987 /nfs/dbraw/zinc/20/29/87/168202987.db2.gz GOGJMWSLTYVGFM-ZDUSSCGKSA-N 0 3 249.329 2.984 20 0 BFADHN CC[C@@H]1CN(CCC(C)(C)C2CC2)CCO1 ZINC000653492429 411382670 /nfs/dbraw/zinc/38/26/70/411382670.db2.gz MFNVGGIMJAQAAE-CYBMUJFWSA-N 0 3 225.376 2.924 20 0 BFADHN CCC(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000128798451 168242579 /nfs/dbraw/zinc/24/25/79/168242579.db2.gz GNWYJYHBPXYJEA-UHFFFAOYSA-N 0 3 246.354 2.939 20 0 BFADHN CCC(C)(C)CCN1CCOC[C@@H]1C1CC1 ZINC000341004849 168275357 /nfs/dbraw/zinc/27/53/57/168275357.db2.gz CXEJONVCILAZDL-CYBMUJFWSA-N 0 3 225.376 2.924 20 0 BFADHN CCCC[C@H](CCC)CNC(=O)CNC(C)C ZINC000659275624 411386948 /nfs/dbraw/zinc/38/69/48/411386948.db2.gz MYVJICYEEJZZAT-ZDUSSCGKSA-N 0 3 242.407 2.707 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H]2CCCc3cccnc32)C1 ZINC000656864847 411387130 /nfs/dbraw/zinc/38/71/30/411387130.db2.gz LCDUNBMXYVYYGS-MJBXVCDLSA-N 0 3 246.354 2.616 20 0 BFADHN CCC(C)(C)N(C)Cc1ccc(F)cn1 ZINC000276254813 168286562 /nfs/dbraw/zinc/28/65/62/168286562.db2.gz QCFMYMFQJCMULO-UHFFFAOYSA-N 0 3 210.296 2.841 20 0 BFADHN CCC(C)(C)N(C)Cc1cncc(F)c1 ZINC000275142154 168287817 /nfs/dbraw/zinc/28/78/17/168287817.db2.gz PBMPPDTXVORZOE-UHFFFAOYSA-N 0 3 210.296 2.841 20 0 BFADHN CCC(C)(C)NC(=O)[C@H](C)[C@H](N)c1ccccc1 ZINC000131599272 168294711 /nfs/dbraw/zinc/29/47/11/168294711.db2.gz ONWGAFCCFRHMOG-YPMHNXCESA-N 0 3 248.370 2.627 20 0 BFADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1ccccc1 ZINC000020303302 168300115 /nfs/dbraw/zinc/30/01/15/168300115.db2.gz CEYWBXMLJWSFHO-NSHDSACASA-N 0 3 234.343 2.792 20 0 BFADHN CC[C@@H]1C[C@H](NCc2cc(C)ccc2OC)CO1 ZINC000657298546 411455800 /nfs/dbraw/zinc/45/58/00/411455800.db2.gz SFOQMOWZRUUGOS-UONOGXRCSA-N 0 3 249.354 2.661 20 0 BFADHN C/C=C/CNCc1ccc(O[C@@H](C)COC)cc1 ZINC000623740483 411403590 /nfs/dbraw/zinc/40/35/90/411403590.db2.gz XXOXQDDDFZDUCN-IHVVCDCBSA-N 0 3 249.354 2.766 20 0 BFADHN C[C@@H](CCc1ccco1)N[C@@H](C)c1ccn(C)n1 ZINC000459770523 411416351 /nfs/dbraw/zinc/41/63/51/411416351.db2.gz YPQKICHDCGUUTF-RYUDHWBXSA-N 0 3 247.342 2.685 20 0 BFADHN Cc1cccc(CN2CCC[C@@H]3COC[C@@H]32)c1 ZINC000657208994 411418539 /nfs/dbraw/zinc/41/85/39/411418539.db2.gz MGMOPKRKWHPXIC-CABCVRRESA-N 0 3 231.339 2.606 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1CC[C@H](CO)C1 ZINC000266944793 411419048 /nfs/dbraw/zinc/41/90/48/411419048.db2.gz SIBARBIPDZHRMH-SMDDNHRTSA-N 0 3 237.318 2.591 20 0 BFADHN C(=C/c1ccccc1)\CN1CCC[C@@H]2COC[C@@H]21 ZINC000657208975 411418944 /nfs/dbraw/zinc/41/89/44/411418944.db2.gz LAFZACOQTHQFIX-VFXWJFPTSA-N 0 3 243.350 2.811 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N1CC[C@@H](CO)C1 ZINC000266944792 411419184 /nfs/dbraw/zinc/41/91/84/411419184.db2.gz SIBARBIPDZHRMH-RISCZKNCSA-N 0 3 237.318 2.591 20 0 BFADHN CC[C@H]1CN(CC)CCN1CC1=CCCCC1 ZINC000451745201 411420021 /nfs/dbraw/zinc/42/00/21/411420021.db2.gz XESWNLXSQSGTTR-HNNXBMFYSA-N 0 3 236.403 2.903 20 0 BFADHN Cc1sccc1CN1CCC[C@@H]2COC[C@@H]21 ZINC000657224054 411420396 /nfs/dbraw/zinc/42/03/96/411420396.db2.gz DGENZEOLBQGBOQ-OLZOCXBDSA-N 0 3 237.368 2.667 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN2CCO[C@@H](CF)C2)C1 ZINC000414465870 411422753 /nfs/dbraw/zinc/42/27/53/411422753.db2.gz ADFQYDXLMPQFBM-UBHSHLNASA-N 0 3 241.350 2.649 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN2CCO[C@@H](CF)C2)C1 ZINC000414465871 411423120 /nfs/dbraw/zinc/42/31/20/411423120.db2.gz ADFQYDXLMPQFBM-YUTCNCBUSA-N 0 3 241.350 2.649 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1CCCC1CCC1 ZINC000659820759 411462689 /nfs/dbraw/zinc/46/26/89/411462689.db2.gz BTDUPNLROGTQIU-NEPJUHHUSA-N 0 3 211.349 2.676 20 0 BFADHN c1ccc2c(c1)onc2CCN1C[C@H]2CCC[C@@H]21 ZINC000653584282 411425056 /nfs/dbraw/zinc/42/50/56/411425056.db2.gz LRUJVUPMKGGTQP-RISCZKNCSA-N 0 3 242.322 2.855 20 0 BFADHN Cc1nc(C)c(CN(C)C2CC(C)(C)C2)o1 ZINC000657245084 411425599 /nfs/dbraw/zinc/42/55/99/411425599.db2.gz NMCXHRADYVPAOK-UHFFFAOYSA-N 0 3 222.332 2.912 20 0 BFADHN COc1ccccc1CN[C@@H](C)C1(OC)CCC1 ZINC000414521825 411426133 /nfs/dbraw/zinc/42/61/33/411426133.db2.gz FWNBRJZONPPWMJ-LBPRGKRZSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ccoc1CN[C@@H]1[C@H]2CCO[C@H]2C12CCC2 ZINC000269960029 411426439 /nfs/dbraw/zinc/42/64/39/411426439.db2.gz UUSVIAGJGHRCBK-MRVWCRGKSA-N 0 3 247.338 2.635 20 0 BFADHN COCC1(N(C)Cc2ccc(C)c(OC)c2)CC1 ZINC000653593518 411428085 /nfs/dbraw/zinc/42/80/85/411428085.db2.gz DMOLQCFELAMBMF-UHFFFAOYSA-N 0 3 249.354 2.614 20 0 BFADHN CO[C@H](CN(C)Cc1cccs1)C1CCC1 ZINC000659825305 411463650 /nfs/dbraw/zinc/46/36/50/411463650.db2.gz AORDQYSNSCYCBA-CYBMUJFWSA-N 0 3 239.384 2.995 20 0 BFADHN Cc1c[nH]c(CN[C@@H](C)CCc2ccccc2)n1 ZINC000657286149 411443952 /nfs/dbraw/zinc/44/39/52/411443952.db2.gz SEWSYMMVSIYMGQ-LBPRGKRZSA-N 0 3 243.354 2.829 20 0 BFADHN CCCN(CCC)[C@H](c1nccn1C)C1CC1 ZINC000637834019 411444241 /nfs/dbraw/zinc/44/42/41/411444241.db2.gz ZYCGNBAVOVXJQQ-ZDUSSCGKSA-N 0 3 235.375 2.993 20 0 BFADHN Fc1c(CNC2CC2)cccc1OC1CC1 ZINC000657285440 411444327 /nfs/dbraw/zinc/44/43/27/411444327.db2.gz FURAONXYIZXIKI-UHFFFAOYSA-N 0 3 221.275 2.619 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)CCC(C)C)n1 ZINC000657286125 411444379 /nfs/dbraw/zinc/44/43/79/411444379.db2.gz INAFFJOPGPNFQZ-SNVBAGLBSA-N 0 3 209.337 2.632 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccccc2Cl)CO1 ZINC000657285926 411444428 /nfs/dbraw/zinc/44/44/28/411444428.db2.gz QMGUTKLAQMIPNR-NEPJUHHUSA-N 0 3 239.746 2.997 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2cccc(Cl)c2)CO1 ZINC000657285284 411444794 /nfs/dbraw/zinc/44/47/94/411444794.db2.gz BDMNMBFKWDLDOP-CHWSQXEVSA-N 0 3 239.746 2.997 20 0 BFADHN CC[C@@H]1CCCN(CCO[C@H]2CC2(F)F)C1 ZINC000659824265 411463797 /nfs/dbraw/zinc/46/37/97/411463797.db2.gz ARJIJQFJABMOQZ-MNOVXSKESA-N 0 3 233.302 2.533 20 0 BFADHN CCCCCNCc1c(C(F)(F)F)cnn1C ZINC000657286749 411445489 /nfs/dbraw/zinc/44/54/89/411445489.db2.gz BYLVNDBJSBNAHR-UHFFFAOYSA-N 0 3 249.280 2.719 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccccc2C)CO1 ZINC000657288521 411447725 /nfs/dbraw/zinc/44/77/25/411447725.db2.gz CMTNKCRXNWRULW-UONOGXRCSA-N 0 3 219.328 2.652 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2ccccc2OC)CO1 ZINC000657288750 411450467 /nfs/dbraw/zinc/45/04/67/411450467.db2.gz FBVVHUIITWEHEH-YNEHKIRRSA-N 0 3 249.354 2.913 20 0 BFADHN CC[C@@H]1C[C@@H](NCCOc2cccc(C)c2)CO1 ZINC000657291690 411453620 /nfs/dbraw/zinc/45/36/20/411453620.db2.gz BDNXBYQWFZZSHL-ZIAGYGMSSA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccc(F)c(C)c2)CO1 ZINC000657295711 411454033 /nfs/dbraw/zinc/45/40/33/411454033.db2.gz VSDHGHZJDBLYOQ-STQMWFEESA-N 0 3 237.318 2.791 20 0 BFADHN Cc1c[nH]c(CNCCCCc2ccccc2)n1 ZINC000657294812 411454776 /nfs/dbraw/zinc/45/47/76/411454776.db2.gz FRGAJAPSDXOONZ-UHFFFAOYSA-N 0 3 243.354 2.831 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CCCC[C@@H]2C)n1 ZINC000657328672 411467043 /nfs/dbraw/zinc/46/70/43/411467043.db2.gz ALVQJUQCQGUYKN-JQWIXIFHSA-N 0 3 221.348 2.634 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H](C)C1CC1 ZINC000657330161 411467318 /nfs/dbraw/zinc/46/73/18/411467318.db2.gz RLVDVMQKJFIVEY-LLVKDONJSA-N 0 3 221.348 2.600 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CCCC[C@H]2C)n1 ZINC000657328673 411467380 /nfs/dbraw/zinc/46/73/80/411467380.db2.gz ALVQJUQCQGUYKN-PWSUYJOCSA-N 0 3 221.348 2.634 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccc(F)c(F)c2)CO1 ZINC000657328736 411467581 /nfs/dbraw/zinc/46/75/81/411467581.db2.gz DNEMUNWLUJYHSE-MNOVXSKESA-N 0 3 241.281 2.622 20 0 BFADHN c1c(CN2CCCCCC2)onc1C1CC1 ZINC000659831167 411468378 /nfs/dbraw/zinc/46/83/78/411468378.db2.gz DUJYMEZXSLVQJW-UHFFFAOYSA-N 0 3 220.316 2.928 20 0 BFADHN COCC[C@H](C)NCc1ccc(F)c(F)c1F ZINC000657341504 411468797 /nfs/dbraw/zinc/46/87/97/411468797.db2.gz CFJMHZWVJSVHGC-QMMMGPOBSA-N 0 3 247.260 2.619 20 0 BFADHN c1ccc2nc(CN3C4CCC3CC4)cnc2c1 ZINC000659835791 411469325 /nfs/dbraw/zinc/46/93/25/411469325.db2.gz OTRITMJUHGGOIE-UHFFFAOYSA-N 0 3 239.322 2.757 20 0 BFADHN CCC[C@H](C)N(C)Cc1cc(C)nc(C)n1 ZINC000659836418 411470083 /nfs/dbraw/zinc/47/00/83/411470083.db2.gz PJPSEWQPOOPJOX-NSHDSACASA-N 0 3 221.348 2.714 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CCC=C(C)C ZINC000659840136 411472550 /nfs/dbraw/zinc/47/25/50/411472550.db2.gz BANDPZVWBLHGGV-STQMWFEESA-N 0 3 211.349 2.842 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@@]2(O)CCC[C@H]2C)c1 ZINC000293981919 411472993 /nfs/dbraw/zinc/47/29/93/411472993.db2.gz LAJQGYHYMUTTEK-IPYPFGDCSA-N 0 3 248.370 2.592 20 0 BFADHN CO[C@H](CN1CCSCC1)C1CCCCC1 ZINC000659843345 411473789 /nfs/dbraw/zinc/47/37/89/411473789.db2.gz RKWJIFVBUFZWON-CYBMUJFWSA-N 0 3 243.416 2.631 20 0 BFADHN CC[C@H]1C[C@@H](NC2(c3ccc(F)cc3)CC2)CO1 ZINC000657351054 411475714 /nfs/dbraw/zinc/47/57/14/411475714.db2.gz ZUEFVBIVVGEKML-KGLIPLIRSA-N 0 3 249.329 2.972 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H]2CCc3c2cccc3O)CO1 ZINC000657351569 411476110 /nfs/dbraw/zinc/47/61/10/411476110.db2.gz QDHYDRYUUYBIMA-JTNHKYCSSA-N 0 3 247.338 2.537 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3cccc(F)c3)CC2)[C@H](C)O1 ZINC000295441896 411477587 /nfs/dbraw/zinc/47/75/87/411477587.db2.gz OWNGIKUXYYXAJY-UHIISALHSA-N 0 3 249.329 2.970 20 0 BFADHN CC(C)n1ccnc1CN[C@H]1CC=CCC1 ZINC000657367266 411479356 /nfs/dbraw/zinc/47/93/56/411479356.db2.gz NIQPUROWBQTMJL-LBPRGKRZSA-N 0 3 219.332 2.662 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](COC)c2ccccc2)CO1 ZINC000657366977 411479581 /nfs/dbraw/zinc/47/95/81/411479581.db2.gz NOKPZMSATGPWOH-KFWWJZLASA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H]2CSc3ccccc32)CO1 ZINC000657365632 411481806 /nfs/dbraw/zinc/48/18/06/411481806.db2.gz DEGRYOWIWNFBOJ-DMDPSCGWSA-N 0 3 249.379 2.991 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2cc3n(n2)CCC3)C1 ZINC000657373518 411482133 /nfs/dbraw/zinc/48/21/33/411482133.db2.gz XYTKJUODQUCGPD-QWHCGFSZSA-N 0 3 247.386 2.888 20 0 BFADHN CCC1(C)CCN(CCO[C@@H]2CC2(F)F)CC1 ZINC000659864954 411482904 /nfs/dbraw/zinc/48/29/04/411482904.db2.gz BCXKEJHRLIKSJB-LLVKDONJSA-N 0 3 247.329 2.923 20 0 BFADHN COc1cc(CN2CCC[C@@H]2CC(C)C)on1 ZINC000659865877 411483088 /nfs/dbraw/zinc/48/30/88/411483088.db2.gz QWRYVYMSVIQDEX-LLVKDONJSA-N 0 3 238.331 2.694 20 0 BFADHN CC[C@@H]1C[C@@H](NC2(c3cccc(F)c3)CC2)CO1 ZINC000657371306 411484336 /nfs/dbraw/zinc/48/43/36/411484336.db2.gz ISUYUKCLPIGPQN-ZIAGYGMSSA-N 0 3 249.329 2.972 20 0 BFADHN CCc1nocc1CN(C)C(C)(C)CC ZINC000660073542 411533426 /nfs/dbraw/zinc/53/34/26/411533426.db2.gz IGOJJBWNPDKWOE-UHFFFAOYSA-N 0 3 210.321 2.857 20 0 BFADHN CC[C@@H]1CCCN1Cc1cc(C2CC2)no1 ZINC000659873588 411486195 /nfs/dbraw/zinc/48/61/95/411486195.db2.gz QGMBGWRNMPFELM-LLVKDONJSA-N 0 3 220.316 2.926 20 0 BFADHN CC[C@H]1CCCN1Cc1cc(C2CC2)no1 ZINC000659873589 411486446 /nfs/dbraw/zinc/48/64/46/411486446.db2.gz QGMBGWRNMPFELM-NSHDSACASA-N 0 3 220.316 2.926 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1cc2n(n1)CCC2 ZINC000657377902 411487024 /nfs/dbraw/zinc/48/70/24/411487024.db2.gz RKVQULICWIYGLE-RYUDHWBXSA-N 0 3 235.375 2.744 20 0 BFADHN CC1(CNCc2ccc(F)c(F)c2F)CC1 ZINC000657386782 411489764 /nfs/dbraw/zinc/48/97/64/411489764.db2.gz HBDLXRPLQVSJGO-UHFFFAOYSA-N 0 3 229.245 2.994 20 0 BFADHN CC(C)n1ccnc1CNCC1(C(C)C)CC1 ZINC000657391159 411490872 /nfs/dbraw/zinc/49/08/72/411490872.db2.gz HQAYWDDJJGAMJW-UHFFFAOYSA-N 0 3 235.375 2.990 20 0 BFADHN CCC1(CNCc2ccnn2CC2CC2)CC1 ZINC000657389143 411491293 /nfs/dbraw/zinc/49/12/93/411491293.db2.gz SFTFJQAOMQCTGO-UHFFFAOYSA-N 0 3 233.359 2.573 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1cccnc1 ZINC000453618019 411493748 /nfs/dbraw/zinc/49/37/48/411493748.db2.gz UQIMICIQXOHEFH-UONOGXRCSA-N 0 3 218.344 2.996 20 0 BFADHN C[C@H](Cc1ccccn1)N[C@@H](C)c1ccco1 ZINC000655839727 411533913 /nfs/dbraw/zinc/53/39/13/411533913.db2.gz YYRWRBJEBWXZLZ-NEPJUHHUSA-N 0 3 230.311 2.956 20 0 BFADHN CC[C@H]1C[C@@H](Nc2cc(C)cc(C)n2)CO1 ZINC000657414296 411498767 /nfs/dbraw/zinc/49/87/67/411498767.db2.gz VTKROODBUWZZFR-NEPJUHHUSA-N 0 3 220.316 2.678 20 0 BFADHN C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCN(C2CCC2)CC1 ZINC000659929215 411501363 /nfs/dbraw/zinc/50/13/63/411501363.db2.gz QRYNIQMJWCYBAJ-KFWWJZLASA-N 0 3 248.414 2.593 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](c2cccnc2)C2CC2)CO1 ZINC000657419218 411501425 /nfs/dbraw/zinc/50/14/25/411501425.db2.gz JUKBVDALICQPRY-KFWWJZLASA-N 0 3 246.354 2.690 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@H]2C)c(C)o1 ZINC000659949360 411507945 /nfs/dbraw/zinc/50/79/45/411507945.db2.gz UGUQYJXRDQNDJS-RKDXNWHRSA-N 0 3 208.305 2.522 20 0 BFADHN Cc1nc(CN2C[C@H](C)CCC[C@@H]2C)co1 ZINC000659951009 411508001 /nfs/dbraw/zinc/50/80/01/411508001.db2.gz BQJJYIJNSONQAC-MNOVXSKESA-N 0 3 222.332 2.994 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)[C@@H]2C)nc(C)n1 ZINC000659950679 411508613 /nfs/dbraw/zinc/50/86/13/411508613.db2.gz QUOXGTILLSUFJA-JQWIXIFHSA-N 0 3 233.359 2.714 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CC[C@@H](C)[C@H](C)C2)n1 ZINC000657436562 411509317 /nfs/dbraw/zinc/50/93/17/411509317.db2.gz WDIGMSDEGMFRNP-CKYFFXLPSA-N 0 3 221.348 2.632 20 0 BFADHN CC1(C)CCC[C@@H](NCc2cc3n(n2)CCC3)C1 ZINC000657441795 411511785 /nfs/dbraw/zinc/51/17/85/411511785.db2.gz HSXMIZREXZKHTF-GFCCVEGCSA-N 0 3 247.386 2.888 20 0 BFADHN CC(C)n1ccnc1CNCC1CC(C)(C)C1 ZINC000657444228 411512303 /nfs/dbraw/zinc/51/23/03/411512303.db2.gz WIPPGFOHMKPJAY-UHFFFAOYSA-N 0 3 235.375 2.990 20 0 BFADHN Cc1ncc(CNC(C)(C)CC(F)(F)F)n1C ZINC000657444271 411512681 /nfs/dbraw/zinc/51/26/81/411512681.db2.gz YATAAMBBOJGXNG-UHFFFAOYSA-N 0 3 249.280 2.549 20 0 BFADHN CO[C@H](C)CNCc1cc2c(cccc2C)[nH]1 ZINC000657445308 411512940 /nfs/dbraw/zinc/51/29/40/411512940.db2.gz FSJQDEZHRPWLKJ-LLVKDONJSA-N 0 3 232.327 2.601 20 0 BFADHN CC[C@@H]1C[C@H](NCc2cccc3cc[nH]c32)CO1 ZINC000657447127 411513462 /nfs/dbraw/zinc/51/34/62/411513462.db2.gz PMBHDOWIJVQWQO-UONOGXRCSA-N 0 3 244.338 2.825 20 0 BFADHN CCC(C)(C)CNCc1nccn1C(C)C ZINC000657447962 411514200 /nfs/dbraw/zinc/51/42/00/411514200.db2.gz VBIPFAHWPJXTQY-UHFFFAOYSA-N 0 3 223.364 2.990 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1coc(C)n1 ZINC000659975160 411514841 /nfs/dbraw/zinc/51/48/41/411514841.db2.gz VGBJUYWWWZMLBP-JOYOIKCWSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2ccsc2)CO1 ZINC000657461206 411517051 /nfs/dbraw/zinc/51/70/51/411517051.db2.gz FCDVTNLJEWADNZ-ZMLRMANQSA-N 0 3 225.357 2.966 20 0 BFADHN Cn1ncc(C2CCC2)c1CN[C@H]1CCC1(C)C ZINC000657464876 411518223 /nfs/dbraw/zinc/51/82/23/411518223.db2.gz AKQZUFRCEPFPJE-AWEZNQCLSA-N 0 3 247.386 2.966 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cc(OC)no1 ZINC000659984158 411519920 /nfs/dbraw/zinc/51/99/20/411519920.db2.gz DGCUQEYQDFQFFV-JTQLQIEISA-N 0 3 226.320 2.551 20 0 BFADHN Cc1cccc2[nH]c(CN[C@H](C)CF)cc21 ZINC000657466979 411519941 /nfs/dbraw/zinc/51/99/41/411519941.db2.gz UMRCVUYVOHKURB-SNVBAGLBSA-N 0 3 220.291 2.924 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cc(OC)no1 ZINC000659984159 411520306 /nfs/dbraw/zinc/52/03/06/411520306.db2.gz DGCUQEYQDFQFFV-SNVBAGLBSA-N 0 3 226.320 2.551 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1CC[C@@H](C)C1 ZINC000657457617 411520945 /nfs/dbraw/zinc/52/09/45/411520945.db2.gz OJRCBBNFYFCPGK-CHWSQXEVSA-N 0 3 235.375 2.990 20 0 BFADHN CCc1cccc2cc(CNC[C@@H](O)CC)oc21 ZINC000657471758 411523350 /nfs/dbraw/zinc/52/33/50/411523350.db2.gz HIYGWSPHNCPZTL-ZDUSSCGKSA-N 0 3 247.338 2.856 20 0 BFADHN CC(C)C1(NCc2ccnn2CC2CC2)CC1 ZINC000657474251 411524288 /nfs/dbraw/zinc/52/42/88/411524288.db2.gz DGRNWHPBWWRAPW-UHFFFAOYSA-N 0 3 233.359 2.571 20 0 BFADHN CC(C)(C)C(C)(C)CNCc1cc2n(n1)CCC2 ZINC000657474051 411524430 /nfs/dbraw/zinc/52/44/30/411524430.db2.gz YUVQTNBNMAOGIO-UHFFFAOYSA-N 0 3 249.402 2.991 20 0 BFADHN CO[C@@H](CN(C)Cc1cccc(C)n1)C1CCC1 ZINC000660082409 411535102 /nfs/dbraw/zinc/53/51/02/411535102.db2.gz YWHMYPUGSADLFA-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN CC1(NCc2cccc3c2OCO3)CC=CC1 ZINC000655815415 411524719 /nfs/dbraw/zinc/52/47/19/411524719.db2.gz ZNSHROIMJIQXSN-UHFFFAOYSA-N 0 3 231.295 2.614 20 0 BFADHN CCc1nocc1CN1[C@H](C)CC[C@@H]1C ZINC000660000078 411525203 /nfs/dbraw/zinc/52/52/03/411525203.db2.gz MGYOPUMZTBMDBH-AOOOYVTPSA-N 0 3 208.305 2.610 20 0 BFADHN CCc1cccnc1[C@@H](C)NCC1(COC)CC1 ZINC000655818427 411525956 /nfs/dbraw/zinc/52/59/56/411525956.db2.gz RYLHXMQBOZMIBK-GFCCVEGCSA-N 0 3 248.370 2.721 20 0 BFADHN COCC1(CN[C@@H](C)c2ccc(C)o2)CC1 ZINC000655820963 411526548 /nfs/dbraw/zinc/52/65/48/411526548.db2.gz PQJVBAMOFVFABE-NSHDSACASA-N 0 3 223.316 2.665 20 0 BFADHN Cn1cc(CNC2(C)CC=CC2)c(C(F)F)n1 ZINC000655816429 411527410 /nfs/dbraw/zinc/52/74/10/411527410.db2.gz XGPGSUFNDBXOMP-UHFFFAOYSA-N 0 3 241.285 2.556 20 0 BFADHN CC1(NCc2cnc(C3CC3)nc2)CC=CC1 ZINC000655816485 411527599 /nfs/dbraw/zinc/52/75/99/411527599.db2.gz YJXLMHUFANSNMI-UHFFFAOYSA-N 0 3 229.327 2.552 20 0 BFADHN COCC1(CNCc2ccc(C)cc2OC)CC1 ZINC000655834349 411531262 /nfs/dbraw/zinc/53/12/62/411531262.db2.gz BMWDYHZAQOJMRT-UHFFFAOYSA-N 0 3 249.354 2.520 20 0 BFADHN CC(C)(C)C[C@@H]1C[C@H]1NCc1cnn2c1CCC2 ZINC000657500418 411538645 /nfs/dbraw/zinc/53/86/45/411538645.db2.gz KYLASUDLVSIRSD-WCQYABFASA-N 0 3 247.386 2.744 20 0 BFADHN CC[C@@H]1C[C@H](NCc2cccc(C)c2OC)CO1 ZINC000657504827 411539121 /nfs/dbraw/zinc/53/91/21/411539121.db2.gz OKMVYPHAPVRLIY-UONOGXRCSA-N 0 3 249.354 2.661 20 0 BFADHN CN(CCC1CC1)Cc1cc(C2CC2)no1 ZINC000660117938 411539156 /nfs/dbraw/zinc/53/91/56/411539156.db2.gz PXUJDAQJVDEAFF-UHFFFAOYSA-N 0 3 220.316 2.784 20 0 BFADHN CC[C@H]1C[C@H](NCc2cccc(C)c2OC)CO1 ZINC000657504824 411539433 /nfs/dbraw/zinc/53/94/33/411539433.db2.gz OKMVYPHAPVRLIY-KBPBESRZSA-N 0 3 249.354 2.661 20 0 BFADHN CCN1C[C@@H](C)N(Cc2ccc(C)o2)C[C@H]1C ZINC000353814368 170028129 /nfs/dbraw/zinc/02/81/29/170028129.db2.gz ZTTIHSDJQOAICV-VXGBXAGGSA-N 0 3 236.359 2.503 20 0 BFADHN CC(C)c1cccc(CN(C)[C@H](C)CO)c1 ZINC000660124278 411541299 /nfs/dbraw/zinc/54/12/99/411541299.db2.gz HEKHUTBYSYIWEE-GFCCVEGCSA-N 0 3 221.344 2.623 20 0 BFADHN CCC[C@H]1CN(CCC=C(C)C)CCO1 ZINC000660134075 411542767 /nfs/dbraw/zinc/54/27/67/411542767.db2.gz VPRSUFLVAKUFEH-ZDUSSCGKSA-N 0 3 211.349 2.844 20 0 BFADHN CCC[C@@H]1CN(CCC2CCC2)CCO1 ZINC000660133102 411543180 /nfs/dbraw/zinc/54/31/80/411543180.db2.gz OJKGEGVUBRTAOA-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN Cc1occc1CNC[C@@H]1CCN1C(C)(C)C ZINC000657527051 411543864 /nfs/dbraw/zinc/54/38/64/411543864.db2.gz PROYRJVBPMPXEZ-ZDUSSCGKSA-N 0 3 236.359 2.550 20 0 BFADHN CO[C@@H](CN(C)[C@@H](C)c1ccccn1)C1CCC1 ZINC000660139718 411544010 /nfs/dbraw/zinc/54/40/10/411544010.db2.gz DVZKRDSHSVGEFE-WFASDCNBSA-N 0 3 248.370 2.890 20 0 BFADHN CC1(C)C[C@@H](NC/C=C/c2ccc(F)cc2)CO1 ZINC000657531759 411544364 /nfs/dbraw/zinc/54/43/64/411544364.db2.gz YGNIYZQPOKGQTI-RDFMZFSFSA-N 0 3 249.329 2.996 20 0 BFADHN COCc1csc(CN[C@@H]2CC23CC3)c1 ZINC000657495105 411535619 /nfs/dbraw/zinc/53/56/19/411535619.db2.gz GSMQAXKREWCJPA-LLVKDONJSA-N 0 3 223.341 2.537 20 0 BFADHN CCNC(=O)[C@@H](C)N[C@@H](CC)c1ccc(C)cc1 ZINC000035138551 170087616 /nfs/dbraw/zinc/08/76/16/170087616.db2.gz CELSSGMQDCUSLG-OCCSQVGLSA-N 0 3 248.370 2.560 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CC3CCC2CC3)n1 ZINC000657498664 411536601 /nfs/dbraw/zinc/53/66/01/411536601.db2.gz WMDGIYUFQQVDRP-BPCQOVAHSA-N 0 3 233.359 2.634 20 0 BFADHN c1ccc2c(CN[C@@H]3CCCSC3)noc2c1 ZINC000655854650 411537796 /nfs/dbraw/zinc/53/77/96/411537796.db2.gz MLLQJWKUPNLZKQ-SNVBAGLBSA-N 0 3 248.351 2.813 20 0 BFADHN C(CC1CCC1)CN1CCOCC12CCC2 ZINC000660115562 411538069 /nfs/dbraw/zinc/53/80/69/411538069.db2.gz BNQQAOVLRFCDGI-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN OC[C@@H](NCc1ccsc1Cl)C1CCC1 ZINC000657559106 411550943 /nfs/dbraw/zinc/55/09/43/411550943.db2.gz VDABBNDYSFYKQU-SNVBAGLBSA-N 0 3 245.775 2.652 20 0 BFADHN OC[C@@H](NCc1cscc1Cl)C1CCC1 ZINC000657559515 411551015 /nfs/dbraw/zinc/55/10/15/411551015.db2.gz ZXXJNYVCPWWIMI-LLVKDONJSA-N 0 3 245.775 2.652 20 0 BFADHN CCc1nocc1CN1[C@H](C)CC[C@@H]1CC ZINC000660169225 411551449 /nfs/dbraw/zinc/55/14/49/411551449.db2.gz KCFPQDYPVHBZAY-PWSUYJOCSA-N 0 3 222.332 3.000 20 0 BFADHN COc1cc(CN[C@H](C)C2CCCCC2)on1 ZINC000660178389 411551965 /nfs/dbraw/zinc/55/19/65/411551965.db2.gz QWROJCGAYWSDRM-SNVBAGLBSA-N 0 3 238.331 2.742 20 0 BFADHN CO[C@H](CN1CC[C@@H](C)[C@H](F)C1)C1CCCC1 ZINC000660221333 411556361 /nfs/dbraw/zinc/55/63/61/411556361.db2.gz OHEADGCZICBTDS-MRVWCRGKSA-N 0 3 243.366 2.872 20 0 BFADHN CC[C@H](COCC1CC1)NCc1ccco1 ZINC000657562658 411552312 /nfs/dbraw/zinc/55/23/12/411552312.db2.gz VYCQVCQZXRXGOX-GFCCVEGCSA-N 0 3 223.316 2.574 20 0 BFADHN CCNCc1c(C)nn(-c2ccc(F)cc2)c1C ZINC000040418824 170124265 /nfs/dbraw/zinc/12/42/65/170124265.db2.gz NMXKQFAARZUQAX-UHFFFAOYSA-N 0 3 247.317 2.738 20 0 BFADHN CCNCc1cc2cccc(OC)c2o1 ZINC000034994860 170126147 /nfs/dbraw/zinc/12/61/47/170126147.db2.gz KJLCLBUBXNFZFV-UHFFFAOYSA-N 0 3 205.257 2.551 20 0 BFADHN CCNCc1cnc(-c2ccco2)s1 ZINC000040997020 170129345 /nfs/dbraw/zinc/12/93/45/170129345.db2.gz FWGFVALWNNQYBK-UHFFFAOYSA-N 0 3 208.286 2.513 20 0 BFADHN C[C@H]1C[C@@H](NCC2CCC(C)CC2)c2ncnn21 ZINC000657568029 411553403 /nfs/dbraw/zinc/55/34/03/411553403.db2.gz BMPZYIOEZYGDKJ-PYNQCMFNSA-N 0 3 248.374 2.700 20 0 BFADHN CCNCc1ccccc1OC(F)(F)F ZINC000019423111 170129506 /nfs/dbraw/zinc/12/95/06/170129506.db2.gz FXYCPMNZOUQBJR-UHFFFAOYSA-N 0 3 219.206 2.695 20 0 BFADHN CC(C)(C)CNCc1cccnc1N1CCCC1 ZINC000657569476 411553535 /nfs/dbraw/zinc/55/35/35/411553535.db2.gz KCWMHJMPFYRKDD-UHFFFAOYSA-N 0 3 247.386 2.818 20 0 BFADHN CCNCc1nc(CCC(C)C)cs1 ZINC000336730437 170131749 /nfs/dbraw/zinc/13/17/49/170131749.db2.gz KBRXXUIKXCJRHC-UHFFFAOYSA-N 0 3 212.362 2.841 20 0 BFADHN C[C@@H]1C[C@H](NC[C@H]2CCCC[C@H]2C)c2ncnn21 ZINC000657569315 411553692 /nfs/dbraw/zinc/55/36/92/411553692.db2.gz MDKMAAIJXRVOCT-LPWJVIDDSA-N 0 3 248.374 2.700 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1cc2n(n1)CCC2 ZINC000657578522 411553932 /nfs/dbraw/zinc/55/39/32/411553932.db2.gz MERTZFPVCNOLSX-NSHDSACASA-N 0 3 235.375 2.744 20 0 BFADHN CCN[C@@H](C)c1cnn(-c2ccc(F)cc2)c1C ZINC000040932745 170143258 /nfs/dbraw/zinc/14/32/58/170143258.db2.gz CRBNBSUNDVULBW-JTQLQIEISA-N 0 3 247.317 2.990 20 0 BFADHN Cc1c[nH]nc1CNCC1C[C@@H](C)C[C@H](C)C1 ZINC000657589340 411555616 /nfs/dbraw/zinc/55/56/16/411555616.db2.gz JCBGVRWTXXHUMA-QWRGUYRKSA-N 0 3 235.375 2.880 20 0 BFADHN C[C@H]1CC(CNCc2cc[nH]n2)C[C@H](C)C1 ZINC000657589612 411555638 /nfs/dbraw/zinc/55/56/38/411555638.db2.gz MWRYUYDMDFTRIJ-GHMZBOCLSA-N 0 3 221.348 2.572 20 0 BFADHN CCN[C@@H]1CCCOc2cc(F)ccc21 ZINC000087016190 170151061 /nfs/dbraw/zinc/15/10/61/170151061.db2.gz HWPZGXWIIBGFMI-LLVKDONJSA-N 0 3 209.264 2.649 20 0 BFADHN C[C@@H]1CC(CNCc2nccn2C)C[C@@H](C)C1 ZINC000657589276 411555886 /nfs/dbraw/zinc/55/58/86/411555886.db2.gz GTXDDSDLZMFWNQ-RYUDHWBXSA-N 0 3 235.375 2.582 20 0 BFADHN C[C@@H]1CC(CNCc2cc[nH]n2)C[C@@H](C)C1 ZINC000657589613 411555896 /nfs/dbraw/zinc/55/58/96/411555896.db2.gz MWRYUYDMDFTRIJ-QWRGUYRKSA-N 0 3 221.348 2.572 20 0 BFADHN CCCCOc1ccc(CNC/C=C\CO)cc1 ZINC000657591363 411556064 /nfs/dbraw/zinc/55/60/64/411556064.db2.gz GWVWEILALBTOPR-PLNGDYQASA-N 0 3 249.354 2.504 20 0 BFADHN Cc1occc1CNC[C@H](C)c1ccccn1 ZINC000657534059 411545241 /nfs/dbraw/zinc/54/52/41/411545241.db2.gz DNJOWUSVDTYFPE-NSHDSACASA-N 0 3 230.311 2.876 20 0 BFADHN Cc1ncsc1CNC[C@H](C)c1ccccn1 ZINC000657532556 411545243 /nfs/dbraw/zinc/54/52/43/411545243.db2.gz JNINMWKPTGKIFW-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN C[C@H](CNCc1ccncc1F)c1ccccn1 ZINC000657536617 411545718 /nfs/dbraw/zinc/54/57/18/411545718.db2.gz YNDKYMJOFHBCQY-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN CCN[C@H](c1ccccc1)c1ccccn1 ZINC000019476084 170165058 /nfs/dbraw/zinc/16/50/58/170165058.db2.gz VWHDXXXJCVBXLL-CQSZACIVSA-N 0 3 212.296 2.781 20 0 BFADHN Fc1ccc(CN[C@@]23C[C@@H]2CCC3)cc1F ZINC000657548811 411546257 /nfs/dbraw/zinc/54/62/57/411546257.db2.gz LZMMERHYWTXYCZ-GWCFXTLKSA-N 0 3 223.266 2.997 20 0 BFADHN Fc1ccc(CN[C@]23C[C@H]2CCC3)c(F)c1 ZINC000657548756 411546335 /nfs/dbraw/zinc/54/63/35/411546335.db2.gz IQILCHLENGJECJ-ZWNOBZJWSA-N 0 3 223.266 2.997 20 0 BFADHN COc1cccc(CN[C@@]23C[C@@H]2CCC3)c1OC ZINC000657548943 411546860 /nfs/dbraw/zinc/54/68/60/411546860.db2.gz STILFHNKARHHMA-WFASDCNBSA-N 0 3 247.338 2.736 20 0 BFADHN CC(C)(C)c1ncc(CN[C@@]23C[C@@H]2CCC3)cn1 ZINC000657550939 411547780 /nfs/dbraw/zinc/54/77/80/411547780.db2.gz FXYTVYJPWWAEIQ-WFASDCNBSA-N 0 3 245.370 2.806 20 0 BFADHN CC(C)(C)n1cc(CN[C@]23C[C@H]2CCC3)cn1 ZINC000657552515 411548297 /nfs/dbraw/zinc/54/82/97/411548297.db2.gz RJGIGCBXPXZACA-TZMCWYRMSA-N 0 3 233.359 2.670 20 0 BFADHN Clc1ccc(CN[C@@]23C[C@@H]2CCC3)o1 ZINC000657552659 411548478 /nfs/dbraw/zinc/54/84/78/411548478.db2.gz SKHXSGFXOLCGHD-KWQFWETISA-N 0 3 211.692 2.965 20 0 BFADHN Cc1ccc2nc(CN[C@]34C[C@H]3CCC4)cn2c1 ZINC000657553665 411549300 /nfs/dbraw/zinc/54/93/00/411549300.db2.gz VKYLNRQOCZGUOD-IUODEOHRSA-N 0 3 241.338 2.675 20 0 BFADHN CCN(Cc1ccncc1)C[C@H](OC)C1CCC1 ZINC000660162274 411549936 /nfs/dbraw/zinc/54/99/36/411549936.db2.gz UQDLTLGRJCFAHH-HNNXBMFYSA-N 0 3 248.370 2.719 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1coc(C)n1 ZINC000660168520 411550096 /nfs/dbraw/zinc/55/00/96/411550096.db2.gz DEYHKTQYQBQQFE-SKDRFNHKSA-N 0 3 208.305 2.746 20 0 BFADHN CCOC(=O)CCCN(C)[C@@H](C)c1ccco1 ZINC000102398971 170201323 /nfs/dbraw/zinc/20/13/23/170201323.db2.gz VCYGRHOFVKXUOK-NSHDSACASA-N 0 3 239.315 2.616 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1coc(C)n1 ZINC000660168519 411550586 /nfs/dbraw/zinc/55/05/86/411550586.db2.gz DEYHKTQYQBQQFE-JOYOIKCWSA-N 0 3 208.305 2.746 20 0 BFADHN CCOC(=O)CCCN[C@@H](C)c1cccs1 ZINC000070225165 170203088 /nfs/dbraw/zinc/20/30/88/170203088.db2.gz RZLUHKBKYUMEMM-JTQLQIEISA-N 0 3 241.356 2.742 20 0 BFADHN CC[C@@H]1C[C@H](NCc2cc(C)cc(C)c2)CO1 ZINC000657626496 411565993 /nfs/dbraw/zinc/56/59/93/411565993.db2.gz XNEHRGVRPAKEML-LSDHHAIUSA-N 0 3 233.355 2.961 20 0 BFADHN CCOC(=O)CCN(C)Cc1cccc(CC)c1 ZINC000346240203 170205122 /nfs/dbraw/zinc/20/51/22/170205122.db2.gz LAKLUHCRFUDYKQ-UHFFFAOYSA-N 0 3 249.354 2.634 20 0 BFADHN COc1cc(CN[C@@H]2CCCCC[C@H]2C)on1 ZINC000660304639 411566436 /nfs/dbraw/zinc/56/64/36/411566436.db2.gz GBLVGLVQJNAKNF-ZYHUDNBSSA-N 0 3 238.331 2.742 20 0 BFADHN COc1cc(CN[C@@H]2CCC[C@H](C)[C@H]2C)on1 ZINC000660304619 411566763 /nfs/dbraw/zinc/56/67/63/411566763.db2.gz FZXLQISGBZNIAX-HOSYDEDBSA-N 0 3 238.331 2.598 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)co1 ZINC000660305701 411566773 /nfs/dbraw/zinc/56/67/73/411566773.db2.gz MKNBXWGOZFSRST-OUJBWJOFSA-N 0 3 222.332 2.897 20 0 BFADHN CCOC(=O)CN(CC(C)C)CC(C)(C)C ZINC000341881750 170216126 /nfs/dbraw/zinc/21/61/26/170216126.db2.gz JVKBHGOETCSRRB-UHFFFAOYSA-N 0 3 229.364 2.554 20 0 BFADHN Cc1cnc(CNCCCOC(C)(C)C)s1 ZINC000657637369 411567507 /nfs/dbraw/zinc/56/75/07/411567507.db2.gz GIHXONQAPRTWBE-UHFFFAOYSA-N 0 3 242.388 2.746 20 0 BFADHN CC[C@@H](C)CCNCc1cn2cccc(F)c2n1 ZINC000657586869 411557743 /nfs/dbraw/zinc/55/77/43/411557743.db2.gz NKQXQSZLBHNJGL-LLVKDONJSA-N 0 3 249.333 2.999 20 0 BFADHN CCc1oc2ccccc2c1CNC/C=C\CO ZINC000657593262 411559397 /nfs/dbraw/zinc/55/93/97/411559397.db2.gz RJFGDMHCHRSUHD-WAYWQWQTSA-N 0 3 245.322 2.633 20 0 BFADHN C[C@H](NC/C=C/CO)c1ccc(Cl)cc1 ZINC000657595234 411560486 /nfs/dbraw/zinc/56/04/86/411560486.db2.gz YVRCBGFKENXXAZ-PBKGFPTLSA-N 0 3 225.719 2.539 20 0 BFADHN Cc1cc(CN2CC[C@@H](C(C)(C)C)C2)nc(C)n1 ZINC000660255666 411560724 /nfs/dbraw/zinc/56/07/24/411560724.db2.gz XLBUQEDNEQMYJL-CYBMUJFWSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@H](NCc1ccsc1Cl)C1(CO)CC1 ZINC000657607888 411561931 /nfs/dbraw/zinc/56/19/31/411561931.db2.gz NUVKQJDHSDKBAP-QMMMGPOBSA-N 0 3 245.775 2.652 20 0 BFADHN Cc1nc(CN[C@H](C)C2CCCC2)co1 ZINC000660267239 411562014 /nfs/dbraw/zinc/56/20/14/411562014.db2.gz LRZGFFPIKBUCLP-SECBINFHSA-N 0 3 208.305 2.651 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccc(Cl)o2)C1 ZINC000657610912 411563155 /nfs/dbraw/zinc/56/31/55/411563155.db2.gz SSEYTAXSEIMUDO-CZMCAQCFSA-N 0 3 229.707 2.590 20 0 BFADHN CCOC(=O)[C@H]1CCCN1C[C@@H](C)CC(C)C ZINC000304184365 170281286 /nfs/dbraw/zinc/28/12/86/170281286.db2.gz REGMKMFYFAJBNY-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN CCc1nc([C@@H](C)N(CC)CCC2CC2)n[nH]1 ZINC000660276910 411563798 /nfs/dbraw/zinc/56/37/98/411563798.db2.gz ITRCWJZGTOAQNV-SNVBAGLBSA-N 0 3 236.363 2.550 20 0 BFADHN C[C@H]1CCN(CCO[C@@H]2CC2(F)F)CC1(C)C ZINC000660277682 411564238 /nfs/dbraw/zinc/56/42/38/411564238.db2.gz OPCNXRSCFILJQS-WDEREUQCSA-N 0 3 247.329 2.779 20 0 BFADHN Cc1nnc([C@@H](C)NC[C@@H]2C[C@H]3C[C@H]3C2)s1 ZINC000660280454 411564707 /nfs/dbraw/zinc/56/47/07/411564707.db2.gz QJLISCWPBDNJPF-CZULRBLNSA-N 0 3 237.372 2.543 20 0 BFADHN Cc1cc(CNCCO[C@H]2CC2(F)F)cs1 ZINC000657618594 411565019 /nfs/dbraw/zinc/56/50/19/411565019.db2.gz HOCKOTIRPUKBNC-JTQLQIEISA-N 0 3 247.310 2.570 20 0 BFADHN Cc1ccsc1CNCCO[C@@H]1CC1(F)F ZINC000657618921 411565374 /nfs/dbraw/zinc/56/53/74/411565374.db2.gz PAAGTBRWBGMZGD-SNVBAGLBSA-N 0 3 247.310 2.570 20 0 BFADHN CCOC(=O)c1ccc(CN(CC)C2CC2)cc1 ZINC000338221783 170295165 /nfs/dbraw/zinc/29/51/65/170295165.db2.gz JNYUVYPUEDKPFM-UHFFFAOYSA-N 0 3 247.338 2.848 20 0 BFADHN Cc1ccc(CCNCC2(F)CC2)cc1C ZINC000657626635 411565820 /nfs/dbraw/zinc/56/58/20/411565820.db2.gz NAHOZHBTKAYXDN-UHFFFAOYSA-N 0 3 221.319 2.938 20 0 BFADHN Clc1ccc(CNCCc2ccoc2)o1 ZINC000657652774 411570516 /nfs/dbraw/zinc/57/05/16/411570516.db2.gz PERJUKNWYWWOBE-UHFFFAOYSA-N 0 3 225.675 2.858 20 0 BFADHN Cc1nocc1CNC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000657655346 411571220 /nfs/dbraw/zinc/57/12/20/411571220.db2.gz DGAJIVDSFUWRPF-GMXVVIOVSA-N 0 3 220.316 2.509 20 0 BFADHN c1c(CN2CC[C@@H]3CCC[C@@H]32)onc1C1CC1 ZINC000660332686 411571229 /nfs/dbraw/zinc/57/12/29/411571229.db2.gz SRKRLQIMDKSQJA-FZMZJTMJSA-N 0 3 232.327 2.926 20 0 BFADHN COc1cc(CN2CC[C@H]3CCC[C@@H]32)sn1 ZINC000660332310 411571291 /nfs/dbraw/zinc/57/12/91/411571291.db2.gz KRPHNGYNSFSVEO-KOLCDFICSA-N 0 3 238.356 2.526 20 0 BFADHN COc1ccsc1CNCCc1ccoc1 ZINC000657654697 411571287 /nfs/dbraw/zinc/57/12/87/411571287.db2.gz YTBLDKQRDDCZOL-UHFFFAOYSA-N 0 3 237.324 2.682 20 0 BFADHN Cc1nc(CNC[C@@H]2CCC[C@H]3C[C@H]32)[nH]c1C ZINC000657656558 411571364 /nfs/dbraw/zinc/57/13/64/411571364.db2.gz KBEAIXNOMNTYDU-RWMBFGLXSA-N 0 3 233.359 2.552 20 0 BFADHN c1csc(CNC[C@@H]2CCC[C@@H]3C[C@@H]32)n1 ZINC000657656512 411571450 /nfs/dbraw/zinc/57/14/50/411571450.db2.gz IYMLSLDTYOZVKU-VWYCJHECSA-N 0 3 222.357 2.669 20 0 BFADHN COCc1csc(CNCc2cc[nH]c2)c1 ZINC000657659021 411572317 /nfs/dbraw/zinc/57/23/17/411572317.db2.gz ABJMNDDJBYWWLX-UHFFFAOYSA-N 0 3 236.340 2.512 20 0 BFADHN CCOC(C)(C)CNCc1ccc(CC)o1 ZINC000166985780 170312653 /nfs/dbraw/zinc/31/26/53/170312653.db2.gz ILFCRARVPVHUAB-UHFFFAOYSA-N 0 3 225.332 2.747 20 0 BFADHN CCOC(C)(C)CNCc1ccccc1F ZINC000166949624 170313245 /nfs/dbraw/zinc/31/32/45/170313245.db2.gz GDFNNSFOCQBHTB-UHFFFAOYSA-N 0 3 225.307 2.730 20 0 BFADHN CCc1nnc([C@@H](C)N[C@H]2CCCC2(C)C)[nH]1 ZINC000660366011 411573004 /nfs/dbraw/zinc/57/30/04/411573004.db2.gz BFSBOJMBDYTIPS-ZJUUUORDSA-N 0 3 236.363 2.596 20 0 BFADHN Cc1noc(C)c1CNCCCC1CCC1 ZINC000657667626 411573024 /nfs/dbraw/zinc/57/30/24/411573024.db2.gz VXIWQPTUGHTSBW-UHFFFAOYSA-N 0 3 222.332 2.961 20 0 BFADHN CCOC1(CNCc2cccnc2C)CCCC1 ZINC000311054043 170319335 /nfs/dbraw/zinc/31/93/35/170319335.db2.gz IWIVDIOGZKSORX-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN COc1cc(CN2CCC[C@H](C(C)C)C2)on1 ZINC000660370052 411573503 /nfs/dbraw/zinc/57/35/03/411573503.db2.gz GOASBTNCGBWNIQ-NSHDSACASA-N 0 3 238.331 2.551 20 0 BFADHN CCOC1CC(CCNCc2occc2C)C1 ZINC000353194408 170323598 /nfs/dbraw/zinc/32/35/98/170323598.db2.gz RGZSQLRZVWYMEH-UHFFFAOYSA-N 0 3 237.343 2.883 20 0 BFADHN C[C@H]1CCC[C@@H](CNCc2ccccc2F)O1 ZINC000657668569 411573644 /nfs/dbraw/zinc/57/36/44/411573644.db2.gz YTNKPBFTSFZTPU-AAEUAGOBSA-N 0 3 237.318 2.873 20 0 BFADHN CCOC1CC(N(C)Cc2ccc(C)o2)C1 ZINC000290358214 170327424 /nfs/dbraw/zinc/32/74/24/170327424.db2.gz GQJXEEZTJCOFMC-UHFFFAOYSA-N 0 3 223.316 2.587 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2ccccc2F)O1 ZINC000657668570 411573814 /nfs/dbraw/zinc/57/38/14/411573814.db2.gz YTNKPBFTSFZTPU-DGCLKSJQSA-N 0 3 237.318 2.873 20 0 BFADHN Cc1ccc(CNC[C@@]2(C)CC2(F)F)o1 ZINC000657668709 411574007 /nfs/dbraw/zinc/57/40/07/411574007.db2.gz HLNGRPJEUCQUQS-SNVBAGLBSA-N 0 3 215.243 2.723 20 0 BFADHN CCOC1CC(N[C@@H](C)c2cccc(O)c2)C1 ZINC000124789684 170329260 /nfs/dbraw/zinc/32/92/60/170329260.db2.gz LOVOJIZSDRPWNV-JKDOVBDQSA-N 0 3 235.327 2.610 20 0 BFADHN C[C@]1(CNCc2ccc(F)cc2)CC1(F)F ZINC000657669517 411574749 /nfs/dbraw/zinc/57/47/49/411574749.db2.gz MTYCEYIKGTVNMH-LLVKDONJSA-N 0 3 229.245 2.961 20 0 BFADHN CCOCC(C)(C)NCc1cccs1 ZINC000293277947 170354696 /nfs/dbraw/zinc/35/46/96/170354696.db2.gz NXIMYCBUHZSTBP-UHFFFAOYSA-N 0 3 213.346 2.653 20 0 BFADHN CCOCC(C)(C)NCc1cnc(CC)s1 ZINC000293062650 170355304 /nfs/dbraw/zinc/35/53/04/170355304.db2.gz UTOWDCKZESKFOW-UHFFFAOYSA-N 0 3 242.388 2.610 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1nc(C)oc1C ZINC000660316965 411567931 /nfs/dbraw/zinc/56/79/31/411567931.db2.gz LZRBXLNLSVUXDT-NOZJJQNGSA-N 0 3 222.332 2.912 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cc(C)nc(C)n1 ZINC000660316904 411568021 /nfs/dbraw/zinc/56/80/21/411568021.db2.gz KIYBWSIDRBCBSY-YGRLFVJLSA-N 0 3 233.359 2.714 20 0 BFADHN CC(C)(F)CCNCc1cccc2c1OCO2 ZINC000657644726 411568184 /nfs/dbraw/zinc/56/81/84/411568184.db2.gz TZKCJRVBJALJKB-UHFFFAOYSA-N 0 3 239.290 2.643 20 0 BFADHN CC(C)(F)CCNCc1cnn(C(C)(C)C)c1 ZINC000657644238 411568238 /nfs/dbraw/zinc/56/82/38/411568238.db2.gz BGFYXWLNZGGDPZ-UHFFFAOYSA-N 0 3 241.354 2.866 20 0 BFADHN CC(C)=CCCN(C)[C@H](C)C(=O)NC(C)(C)C ZINC000660322655 411568466 /nfs/dbraw/zinc/56/84/66/411568466.db2.gz BONUMSCBYRFUIH-GFCCVEGCSA-N 0 3 240.391 2.578 20 0 BFADHN C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCO[C@@H](C2CC2)C1 ZINC000660321755 411568686 /nfs/dbraw/zinc/56/86/86/411568686.db2.gz IRRCPCAQYMQJKS-REBRKWNGSA-N 0 3 235.371 2.533 20 0 BFADHN CCOCCCN(C)Cc1cc(Cl)cn1C ZINC000120262393 170370346 /nfs/dbraw/zinc/37/03/46/170370346.db2.gz QRZZGDKAEJJHHO-UHFFFAOYSA-N 0 3 244.766 2.537 20 0 BFADHN CCOCCCN(Cc1ccco1)C(C)C ZINC000336688871 170371491 /nfs/dbraw/zinc/37/14/91/170371491.db2.gz YXZOMAWLQBNGML-UHFFFAOYSA-N 0 3 225.332 2.917 20 0 BFADHN CCOCCCN1Cc2ccccc2OC[C@@H]1C ZINC000359876834 170376397 /nfs/dbraw/zinc/37/63/97/170376397.db2.gz PKHPZYDSEMKJFB-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN CCOCCCNCc1sccc1Cl ZINC000192974857 170381151 /nfs/dbraw/zinc/38/11/51/170381151.db2.gz JFFLIQBDTPAUBM-UHFFFAOYSA-N 0 3 233.764 2.918 20 0 BFADHN CCOCCCN[C@@H](C)c1ncccc1CC ZINC000356826785 170382329 /nfs/dbraw/zinc/38/23/29/170382329.db2.gz BOQWDUSQQHJUIZ-LBPRGKRZSA-N 0 3 236.359 2.721 20 0 BFADHN CCOCCCN[C@H](C)c1ncccc1CC ZINC000356826784 170383066 /nfs/dbraw/zinc/38/30/66/170383066.db2.gz BOQWDUSQQHJUIZ-GFCCVEGCSA-N 0 3 236.359 2.721 20 0 BFADHN CCOCCCN[C@H](C)c1cccnc1Cl ZINC000131520994 170383328 /nfs/dbraw/zinc/38/33/28/170383328.db2.gz IZMNCDOOGSFSQW-SNVBAGLBSA-N 0 3 242.750 2.812 20 0 BFADHN CC[C@H]1C[C@H](N[C@@H]2CCc3ccccc32)CO1 ZINC000657643474 411569939 /nfs/dbraw/zinc/56/99/39/411569939.db2.gz LEJKDKVFMBVDFT-KCQAQPDRSA-N 0 3 231.339 2.831 20 0 BFADHN CCOCCN(C)CCc1ccc(CC)cc1 ZINC000357554957 170388115 /nfs/dbraw/zinc/38/81/15/170388115.db2.gz RHRIIIRUZWQLOR-UHFFFAOYSA-N 0 3 235.371 2.760 20 0 BFADHN CCOCCN(C)[C@H](C)c1sc(C)nc1C ZINC000106936643 170391322 /nfs/dbraw/zinc/39/13/22/170391322.db2.gz DJOLTXLKNUVLKI-SNVBAGLBSA-N 0 3 242.388 2.789 20 0 BFADHN CCOCCN(CC)Cc1sc(C)nc1C ZINC000172797321 170395603 /nfs/dbraw/zinc/39/56/03/170395603.db2.gz RXTIICQYEZXCLX-UHFFFAOYSA-N 0 3 242.388 2.618 20 0 BFADHN CCOCCN1CCC=C(c2ccccc2)C1 ZINC000284754704 170397580 /nfs/dbraw/zinc/39/75/80/170397580.db2.gz LUYIEFCDBJTTIY-UHFFFAOYSA-N 0 3 231.339 2.812 20 0 BFADHN Cc1nc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)c(C)o1 ZINC000660824927 411646901 /nfs/dbraw/zinc/64/69/01/411646901.db2.gz RTNOZWXBHGRXFG-AEJSXWLSSA-N 0 3 222.332 2.768 20 0 BFADHN Cc1nc(CN2CC[C@H](C3CCC3)C2)c(C)o1 ZINC000660815001 411644507 /nfs/dbraw/zinc/64/45/07/411644507.db2.gz ZVXUHBHRPWKHFS-ZDUSSCGKSA-N 0 3 234.343 2.913 20 0 BFADHN CCn1ccnc1CNCCCC1CCC1 ZINC000657664853 411576988 /nfs/dbraw/zinc/57/69/88/411576988.db2.gz ADLBUYZZUKYPCS-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2ccsc2)O1 ZINC000657665146 411577156 /nfs/dbraw/zinc/57/71/56/411577156.db2.gz NWQCGAFWAWDABQ-PWSUYJOCSA-N 0 3 225.357 2.795 20 0 BFADHN COc1cccc(CNC[C@@H]2CCC[C@@H](C)O2)c1 ZINC000657665666 411577410 /nfs/dbraw/zinc/57/74/10/411577410.db2.gz PKNKBVBQUQJXSR-DOMZBBRYSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2ccccc2)O1 ZINC000657666202 411577855 /nfs/dbraw/zinc/57/78/55/411577855.db2.gz RMKDHQXOFWUQJH-TZMCWYRMSA-N 0 3 219.328 2.734 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC[C@H](C)O2)o1 ZINC000657666351 411578026 /nfs/dbraw/zinc/57/80/26/411578026.db2.gz SRNYNTIBJKNJAL-JQWIXIFHSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1nocc1CNCCCC1CCC1 ZINC000657666863 411578111 /nfs/dbraw/zinc/57/81/11/411578111.db2.gz NHCAQPRGYZESMX-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN Oc1ccc2c(c1)[C@@H](N[C@H]1CCCSC1)CC2 ZINC000657694164 411578546 /nfs/dbraw/zinc/57/85/46/411578546.db2.gz SNTOLLLGDPUATO-FZMZJTMJSA-N 0 3 249.379 2.865 20 0 BFADHN Cc1ccc2c(c1)CCN([C@H]1COC(C)(C)C1)C2 ZINC000660397009 411579582 /nfs/dbraw/zinc/57/95/82/411579582.db2.gz QTBYQOJGTBJBLP-OAHLLOKOSA-N 0 3 245.366 2.921 20 0 BFADHN Cn1ccc(CN[C@H]2CCc3ccc(O)cc32)c1 ZINC000657692753 411579666 /nfs/dbraw/zinc/57/96/66/411579666.db2.gz CSYVGPXLSLPCPT-HNNXBMFYSA-N 0 3 242.322 2.508 20 0 BFADHN CC[C@@H](NCc1ncc(COC)s1)C1CC1 ZINC000657679233 411580230 /nfs/dbraw/zinc/58/02/30/411580230.db2.gz BEYGMWLYBAXPHQ-LLVKDONJSA-N 0 3 240.372 2.568 20 0 BFADHN CC(C)=CCCN1CC(C)(C)OC[C@@H]1C ZINC000660406432 411580668 /nfs/dbraw/zinc/58/06/68/411580668.db2.gz FJDCLZSDGORKKW-LBPRGKRZSA-N 0 3 211.349 2.842 20 0 BFADHN Cc1cc(F)ccc1CN[C@H]1C[C@H](O)C12CCC2 ZINC000657701691 411582271 /nfs/dbraw/zinc/58/22/71/411582271.db2.gz AEJWLSRZGZLITN-KBPBESRZSA-N 0 3 249.329 2.527 20 0 BFADHN Cc1cc(F)ccc1CN[C@H]1C[C@@H](O)C12CCC2 ZINC000657701695 411582728 /nfs/dbraw/zinc/58/27/28/411582728.db2.gz AEJWLSRZGZLITN-UONOGXRCSA-N 0 3 249.329 2.527 20 0 BFADHN CCC(CC)CN1CCC[C@@H]1c1cc[nH]n1 ZINC000660428993 411583723 /nfs/dbraw/zinc/58/37/23/411583723.db2.gz IRAPKQZIRXSHDG-CYBMUJFWSA-N 0 3 221.348 2.983 20 0 BFADHN c1cc([C@@H]2CCCN2C[C@@H]2CC[C@@H]3C[C@@H]3C2)n[nH]1 ZINC000660432178 411584411 /nfs/dbraw/zinc/58/44/11/411584411.db2.gz QMPRZGNSGKJBEH-CXTNEJHOSA-N 0 3 245.370 2.983 20 0 BFADHN FC1(F)CC12CCC(NCc1cocn1)CC2 ZINC000657715593 411586300 /nfs/dbraw/zinc/58/63/00/411586300.db2.gz BFZDWPUKFKIRLI-UHFFFAOYSA-N 0 3 242.269 2.732 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@@H](C)[C@H]2C)nc(C)n1 ZINC000660826141 411645705 /nfs/dbraw/zinc/64/57/05/411645705.db2.gz ZYUZAWZRIMANMQ-MVWJERBFSA-N 0 3 233.359 2.570 20 0 BFADHN Cc1cnccc1CNC[C@@H]1C(C)(C)C1(F)F ZINC000657738609 411588561 /nfs/dbraw/zinc/58/85/61/411588561.db2.gz MERRZTLTIYYXPR-LLVKDONJSA-N 0 3 240.297 2.771 20 0 BFADHN CC(C)Cn1nccc1CN[C@]1(C)CC1(C)C ZINC000657761276 411590926 /nfs/dbraw/zinc/59/09/26/411590926.db2.gz ICHYUUCBFXEJOX-CQSZACIVSA-N 0 3 235.375 2.817 20 0 BFADHN COc1cccc(CN[C@@]2(C)CC2(C)C)c1OC ZINC000657760817 411591079 /nfs/dbraw/zinc/59/10/79/411591079.db2.gz UCIZXTXFLKCODJ-HNNXBMFYSA-N 0 3 249.354 2.982 20 0 BFADHN Cc1ccc(CN[C@@]2(C)CC2(C)C)nc1 ZINC000657761912 411591448 /nfs/dbraw/zinc/59/14/48/411591448.db2.gz VJEBBEZZCCUYHC-ZDUSSCGKSA-N 0 3 204.317 2.668 20 0 BFADHN C1=C(CNCc2ccc3c(c2)OCCO3)CCC1 ZINC000657748667 411592076 /nfs/dbraw/zinc/59/20/76/411592076.db2.gz LBPIAFUXPKUNDA-UHFFFAOYSA-N 0 3 245.322 2.658 20 0 BFADHN CCOC[C@H](C)N[C@H]1c2ccccc2O[C@H]1C ZINC000368585504 170447919 /nfs/dbraw/zinc/44/79/19/170447919.db2.gz RNQLJDQQLKWFDD-COPLHBTASA-N 0 3 235.327 2.523 20 0 BFADHN CO[C@@H](CNCc1ccco1)C1CCCC1 ZINC000657767070 411594021 /nfs/dbraw/zinc/59/40/21/411594021.db2.gz MIIBOHDFDKUBTD-ZDUSSCGKSA-N 0 3 223.316 2.574 20 0 BFADHN CCn1ccnc1CNC1(CC(C)C)CC1 ZINC000657774344 411594410 /nfs/dbraw/zinc/59/44/10/411594410.db2.gz DZVBUHUNZPHINE-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN CC1(C)OCC[C@H]1CNCc1ccc(Cl)o1 ZINC000657786284 411595497 /nfs/dbraw/zinc/59/54/97/411595497.db2.gz NXVOKGHQGHKQOR-VIFPVBQESA-N 0 3 243.734 2.838 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@]2(C)OC)s1 ZINC000657788208 411595983 /nfs/dbraw/zinc/59/59/83/411595983.db2.gz KUWULOLHIBQGSP-NEPJUHHUSA-N 0 3 225.357 2.578 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cc2ccccc2o1 ZINC000657788632 411596097 /nfs/dbraw/zinc/59/60/97/411596097.db2.gz KOMYFFLOOVUTAD-KGLIPLIRSA-N 0 3 231.295 2.700 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cccc2cnccc21 ZINC000657790509 411597294 /nfs/dbraw/zinc/59/72/94/411597294.db2.gz SGDLEYFLRHMOPB-CABCVRRESA-N 0 3 242.322 2.502 20 0 BFADHN CC[C@@H](C)CN[C@@H](CO)c1ccc(F)cc1F ZINC000657792108 411597858 /nfs/dbraw/zinc/59/78/58/411597858.db2.gz LFRMVMZJAXHDSU-RNCFNFMXSA-N 0 3 243.297 2.634 20 0 BFADHN FC1(F)C[C@@H]1OCCN1CCC[C@H](C2CC2)C1 ZINC000660560624 411600503 /nfs/dbraw/zinc/60/05/03/411600503.db2.gz MHZAJEQJXAAHRE-RYUDHWBXSA-N 0 3 245.313 2.533 20 0 BFADHN CC[C@H]1C[C@@H](NCc2cccc(OC)c2C)CO1 ZINC000657804047 411600488 /nfs/dbraw/zinc/60/04/88/411600488.db2.gz VCTVRMAFJSDGJT-KGLIPLIRSA-N 0 3 249.354 2.661 20 0 BFADHN O[C@H]1C[C@H](NCc2cccc(C3CCCC3)c2)C1 ZINC000657808159 411601222 /nfs/dbraw/zinc/60/12/22/411601222.db2.gz CDCVFQUDJOVUAR-WKILWMFISA-N 0 3 245.366 2.957 20 0 BFADHN Cc1cc(Cl)cc(C)c1CN[C@H]1C[C@H](O)C1 ZINC000657809758 411602345 /nfs/dbraw/zinc/60/23/45/411602345.db2.gz QBEQETLCGSRQJO-HAQNSBGRSA-N 0 3 239.746 2.570 20 0 BFADHN C[C@@H](NCc1cccnc1)C(C)(C)C(F)F ZINC000657815078 411604393 /nfs/dbraw/zinc/60/43/93/411604393.db2.gz CVUUMWLYYROAGF-SECBINFHSA-N 0 3 228.286 2.851 20 0 BFADHN c1coc(CN[C@@H]2CCCSCC2)c1 ZINC000657815086 411604399 /nfs/dbraw/zinc/60/43/99/411604399.db2.gz BWFIRXCGGVSFEJ-SNVBAGLBSA-N 0 3 211.330 2.655 20 0 BFADHN OC[C@H]1CN(CCCC2CCC2)CCC1(F)F ZINC000660596093 411605195 /nfs/dbraw/zinc/60/51/95/411605195.db2.gz JXWGGPONGAPEBN-GFCCVEGCSA-N 0 3 247.329 2.516 20 0 BFADHN c1cc2n(n1)CCC[C@H]2NCCc1ccsc1 ZINC000657827886 411608120 /nfs/dbraw/zinc/60/81/20/411608120.db2.gz OPYSURJJBUBWIN-GFCCVEGCSA-N 0 3 247.367 2.612 20 0 BFADHN Cc1ccc(CN[C@H]2CCCn3nccc32)s1 ZINC000657839765 411610099 /nfs/dbraw/zinc/61/00/99/411610099.db2.gz FZKQSLVJCYPWKE-LBPRGKRZSA-N 0 3 247.367 2.878 20 0 BFADHN Cc1nc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)co1 ZINC000660643968 411611285 /nfs/dbraw/zinc/61/12/85/411611285.db2.gz YKDPKJNKANRFFF-CHWSQXEVSA-N 0 3 234.343 2.994 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCN(C)C[C@@H]1CC ZINC000660645938 411612175 /nfs/dbraw/zinc/61/21/75/411612175.db2.gz YATGIDQNDYUHGL-RYUDHWBXSA-N 0 3 248.361 2.694 20 0 BFADHN CC(C)C[C@@]1(C)CCCN1Cc1cn(C)cn1 ZINC000660672540 411616456 /nfs/dbraw/zinc/61/64/56/411616456.db2.gz UXKBJZQTQSJWQU-CQSZACIVSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1nc(CN2C[C@@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)co1 ZINC000660678177 411616900 /nfs/dbraw/zinc/61/69/00/411616900.db2.gz XXHQJXVXNPCAOM-OSRDXIQISA-N 0 3 244.338 2.627 20 0 BFADHN CC[C@H](C)N1CCN(CCCC2CCC2)CC1 ZINC000660735150 411619762 /nfs/dbraw/zinc/61/97/62/411619762.db2.gz BSAOQBFWFOQCAU-AWEZNQCLSA-N 0 3 238.419 2.983 20 0 BFADHN C[C@@H](NCCOCCF)c1ccc(F)c(F)c1 ZINC000663311277 411621416 /nfs/dbraw/zinc/62/14/16/411621416.db2.gz TWCQBZOWCVTCPI-SECBINFHSA-N 0 3 247.260 2.602 20 0 BFADHN COc1cc(CN[C@@]2(C)CCCC[C@@H]2C)on1 ZINC000660766932 411622708 /nfs/dbraw/zinc/62/27/08/411622708.db2.gz JOSOXKJDYIHWFZ-GWCFXTLKSA-N 0 3 238.331 2.742 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN(C)Cc1c[nH]cn1 ZINC000661094498 411705632 /nfs/dbraw/zinc/70/56/32/411705632.db2.gz XLOHYMTXPYBEGZ-NEPJUHHUSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN(C)Cc1cnc[nH]1 ZINC000661094498 411705636 /nfs/dbraw/zinc/70/56/36/411705636.db2.gz XLOHYMTXPYBEGZ-NEPJUHHUSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@H]1CCCC[C@H]1CN(C)Cc1c[nH]cn1 ZINC000661094693 411706057 /nfs/dbraw/zinc/70/60/57/411706057.db2.gz XLOHYMTXPYBEGZ-RYUDHWBXSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@H]1CCCC[C@H]1CN(C)Cc1cnc[nH]1 ZINC000661094693 411706059 /nfs/dbraw/zinc/70/60/59/411706059.db2.gz XLOHYMTXPYBEGZ-RYUDHWBXSA-N 0 3 221.348 2.668 20 0 BFADHN CC[C@H](C)N(CC)Cc1cncc(F)c1 ZINC000661103814 411708091 /nfs/dbraw/zinc/70/80/91/411708091.db2.gz HXUYGFRKGTUTNZ-JTQLQIEISA-N 0 3 210.296 2.841 20 0 BFADHN CC[C@H](C)N(CC)Cc1cc(OC)ccn1 ZINC000661105636 411710865 /nfs/dbraw/zinc/71/08/65/411710865.db2.gz GGQRNVUKZVRNON-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN Cc1ccc(CN2CCC3(CC3)CC2)nc1 ZINC000663437883 411658588 /nfs/dbraw/zinc/65/85/88/411658588.db2.gz JVQJSNANASMSIE-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN C[C@@H]1C[C@H](NCCOCCF)c2ccccc21 ZINC000663460347 411661997 /nfs/dbraw/zinc/66/19/97/411661997.db2.gz NFVGWBGNHOEQQB-RISCZKNCSA-N 0 3 237.318 2.811 20 0 BFADHN Cc1cc(C)cc([C@H](C)NCCOCCF)c1 ZINC000663463702 411665219 /nfs/dbraw/zinc/66/52/19/411665219.db2.gz NCAJYKPKYGZPSI-ZDUSSCGKSA-N 0 3 239.334 2.940 20 0 BFADHN CC[C@H](NCCOCCF)c1ccccc1F ZINC000663462871 411665562 /nfs/dbraw/zinc/66/55/62/411665562.db2.gz SUAOMQYCFLMFPA-ZDUSSCGKSA-N 0 3 243.297 2.853 20 0 BFADHN CCn1nccc1CN[C@@H](C)C(C)(C)C(F)F ZINC000663471772 411667984 /nfs/dbraw/zinc/66/79/84/411667984.db2.gz XXXCYPAPNVKKRF-VIFPVBQESA-N 0 3 245.317 2.672 20 0 BFADHN CCOCCN(C)Cc1cccc2ncccc12 ZINC000661132468 411717595 /nfs/dbraw/zinc/71/75/95/411717595.db2.gz YWAKKEILQOYEGM-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN CC[C@H]1CN(CCC2CCC2)[C@@H](C)CO1 ZINC000660912351 411669164 /nfs/dbraw/zinc/66/91/64/411669164.db2.gz JWVQPQSERUWYAF-AAEUAGOBSA-N 0 3 211.349 2.676 20 0 BFADHN COc1cc(CNC2(C3CCC3)CC2)sn1 ZINC000660918484 411672849 /nfs/dbraw/zinc/67/28/49/411672849.db2.gz BNAZEODHWZZAQJ-UHFFFAOYSA-N 0 3 238.356 2.574 20 0 BFADHN c1nc2ccccn2c1CN1C[C@@H]2CCCC[C@@H]21 ZINC000660932626 411681655 /nfs/dbraw/zinc/68/16/55/411681655.db2.gz BKEBJCXKNICROT-JSGCOSHPSA-N 0 3 241.338 2.709 20 0 BFADHN Cc1ccncc1CN1C[C@@H]2CCCC[C@@H]21 ZINC000660933523 411683631 /nfs/dbraw/zinc/68/36/31/411683631.db2.gz SOWQHDKWSKHBRV-JSGCOSHPSA-N 0 3 216.328 2.764 20 0 BFADHN Cc1noc(C)c1CCN1C[C@@H]2CCCC[C@@H]21 ZINC000660933615 411684523 /nfs/dbraw/zinc/68/45/23/411684523.db2.gz VTAZGIYOYKPBPP-JSGCOSHPSA-N 0 3 234.343 2.708 20 0 BFADHN CCOc1cccc(CN[C@@H]2CCOC2(C)C)c1 ZINC000293236070 170578435 /nfs/dbraw/zinc/57/84/35/170578435.db2.gz AYZWEUQHZYLBTC-CQSZACIVSA-N 0 3 249.354 2.742 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1ncnn1C(C)C ZINC000661230430 411735502 /nfs/dbraw/zinc/73/55/02/411735502.db2.gz AVUGJXBMEANLDP-NSHDSACASA-N 0 3 238.379 2.773 20 0 BFADHN CCOc1cccc([C@H](C)N[C@@H](C)COC)c1 ZINC000174013213 170586086 /nfs/dbraw/zinc/58/60/86/170586086.db2.gz GGXZFFIDGVMAPV-RYUDHWBXSA-N 0 3 237.343 2.771 20 0 BFADHN Cc1ccc2c(c1)CN([C@@H]1COC(C)(C)C1)CC2 ZINC000661372381 411756523 /nfs/dbraw/zinc/75/65/23/411756523.db2.gz LSCNMQGEUVENIJ-HNNXBMFYSA-N 0 3 245.366 2.921 20 0 BFADHN CC(C)c1ccc2c(c1)CN(C[C@@H](C)CO)CC2 ZINC000661373970 411758469 /nfs/dbraw/zinc/75/84/69/411758469.db2.gz PGWHSVVQZAPYGA-CYBMUJFWSA-N 0 3 247.382 2.797 20 0 BFADHN CC(C)c1ccc2c(c1)CN(CC[C@H](C)O)CC2 ZINC000661376538 411760933 /nfs/dbraw/zinc/76/09/33/411760933.db2.gz YJBJKSRGYSTKNA-ZDUSSCGKSA-N 0 3 247.382 2.939 20 0 BFADHN Cc1cc(CN[C@@H]2Cc3ccccc3[C@@H]2C)on1 ZINC000661516331 411793575 /nfs/dbraw/zinc/79/35/75/411793575.db2.gz ALOWMDGJKWASQO-XHDPSFHLSA-N 0 3 242.322 2.801 20 0 BFADHN C[C@@H](O)CCN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661433516 411773669 /nfs/dbraw/zinc/77/36/69/411773669.db2.gz GWHMHNRIQPLHKE-OCCSQVGLSA-N 0 3 249.354 2.968 20 0 BFADHN CCCCCN1CC2(CCC2)OC[C@@H]1C ZINC000661470067 411782586 /nfs/dbraw/zinc/78/25/86/411782586.db2.gz QVMNRPHVXHSLHG-LBPRGKRZSA-N 0 3 211.349 2.820 20 0 BFADHN C[C@@H]1COC2(CCC2)CN1[C@H]1C=CCCC1 ZINC000661467501 411783348 /nfs/dbraw/zinc/78/33/48/411783348.db2.gz SABIWEVAQNJJAF-OLZOCXBDSA-N 0 3 221.344 2.739 20 0 BFADHN C[C@H]1COC2(CCC2)CN1[C@H]1C=CCCC1 ZINC000661467503 411783473 /nfs/dbraw/zinc/78/34/73/411783473.db2.gz SABIWEVAQNJJAF-STQMWFEESA-N 0 3 221.344 2.739 20 0 BFADHN CC(C)[C@H](NC[C@@H](C)CO)c1ccccc1F ZINC000661483202 411785101 /nfs/dbraw/zinc/78/51/01/411785101.db2.gz GINIXHCYIZHMQK-RISCZKNCSA-N 0 3 239.334 2.741 20 0 BFADHN CC(C)[C@H](O)CN1CC[C@H]1c1ccc(F)cc1 ZINC000661510954 411791296 /nfs/dbraw/zinc/79/12/96/411791296.db2.gz WAJYICSSISNQIV-UONOGXRCSA-N 0 3 237.318 2.589 20 0 BFADHN c1coc(C2CCN([C@H]3CCCOC3)CC2)c1 ZINC000661162305 411724495 /nfs/dbraw/zinc/72/44/95/411724495.db2.gz PGIHYINRBQVXEM-ZDUSSCGKSA-N 0 3 235.327 2.638 20 0 BFADHN CC/C=C/CCN1CCO[C@@](C)(C2CC2)C1 ZINC000661167368 411725836 /nfs/dbraw/zinc/72/58/36/411725836.db2.gz HKZGVCLUSLJUIG-ISZGNANSSA-N 0 3 223.360 2.844 20 0 BFADHN CC(C)CCN1CCO[C@](C)(C2CC2)C1 ZINC000661166229 411726730 /nfs/dbraw/zinc/72/67/30/411726730.db2.gz IHMBXKUSLUEUDY-ZDUSSCGKSA-N 0 3 211.349 2.533 20 0 BFADHN Cn1cnc(CN2CC[C@H](C3CCCCC3)C2)c1 ZINC000661577394 411807014 /nfs/dbraw/zinc/80/70/14/411807014.db2.gz AELYQOJEUZSZHE-AWEZNQCLSA-N 0 3 247.386 2.822 20 0 BFADHN O[C@@]1(C2CC2)CCCN(Cc2ccccc2F)C1 ZINC000661633148 411826684 /nfs/dbraw/zinc/82/66/84/411826684.db2.gz PVNXDGLFYJZHCU-HNNXBMFYSA-N 0 3 249.329 2.563 20 0 BFADHN Cc1cccnc1CN1CCC[C@@H](CCF)C1 ZINC000661690125 411838680 /nfs/dbraw/zinc/83/86/80/411838680.db2.gz JKQYZBAYUVLAMA-ZDUSSCGKSA-N 0 3 236.334 2.962 20 0 BFADHN Cc1ncccc1CN1CCC[C@@H](CCF)C1 ZINC000661690582 411842321 /nfs/dbraw/zinc/84/23/21/411842321.db2.gz RVZGCMMTZXINIB-ZDUSSCGKSA-N 0 3 236.334 2.962 20 0 BFADHN COc1ccc2c(c1)CCN(CC(C)C)C2 ZINC000661737210 411859976 /nfs/dbraw/zinc/85/99/76/411859976.db2.gz GFTLKAHZAQUBEZ-UHFFFAOYSA-N 0 3 219.328 2.709 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C)O[C@@H](C)C2)cc1 ZINC000661857771 411904294 /nfs/dbraw/zinc/90/42/94/411904294.db2.gz WCGBOWAZFKSHEY-KGLIPLIRSA-N 0 3 233.355 2.994 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1ncc(C)s1 ZINC000122862240 170791271 /nfs/dbraw/zinc/79/12/71/170791271.db2.gz SZYYULYRKXKXHY-SCZZXKLOSA-N 0 3 212.362 2.976 20 0 BFADHN COc1cccc(CN2CC[C@@H](C)O[C@@H](C)C2)c1 ZINC000661858431 411905912 /nfs/dbraw/zinc/90/59/12/411905912.db2.gz SMHLNWLCVCDXHF-OLZOCXBDSA-N 0 3 249.354 2.695 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N(Cc2ccnc(C)n2)C1 ZINC000661859698 411906497 /nfs/dbraw/zinc/90/64/97/411906497.db2.gz CWICUXNAWSHSNC-DGCLKSJQSA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@@H](C)c1nnc([C@@H](C)[NH2+]CC(C)C)[n-]1 ZINC000309686892 170806474 /nfs/dbraw/zinc/80/64/74/170806474.db2.gz HOZRHUQZYGAHHU-NXEZZACHSA-N 0 3 224.352 2.625 20 0 BFADHN CC[C@@H](C)c1nnc([C@@H](C)NCC(C)C)[nH]1 ZINC000309686892 170806475 /nfs/dbraw/zinc/80/64/75/170806475.db2.gz HOZRHUQZYGAHHU-NXEZZACHSA-N 0 3 224.352 2.625 20 0 BFADHN CC(C)=CCN1CCC2(CCCC2=O)CC1 ZINC000661952331 411918284 /nfs/dbraw/zinc/91/82/84/411918284.db2.gz BPKZNBKTCXYVCF-UHFFFAOYSA-N 0 3 221.344 2.788 20 0 BFADHN O=C1CCCC12CCN([C@H]1C=CCCC1)CC2 ZINC000661955051 411918533 /nfs/dbraw/zinc/91/85/33/411918533.db2.gz BDJQYZJGVSNWQL-ZDUSSCGKSA-N 0 3 233.355 2.930 20 0 BFADHN Cc1ccc(C(=O)CCN2CC[C@@H]3C[C@@H]3C2)cc1 ZINC000661969217 411926943 /nfs/dbraw/zinc/92/69/43/411926943.db2.gz IZOJBNQATFPYQQ-HUUCEWRRSA-N 0 3 243.350 2.910 20 0 BFADHN O=C(CCN1CC[C@@H]2C[C@@H]2C1)c1ccccc1 ZINC000661975440 411930069 /nfs/dbraw/zinc/93/00/69/411930069.db2.gz XRUTVKNXHMTVPF-ZIAGYGMSSA-N 0 3 229.323 2.601 20 0 BFADHN CC(C)n1ncnc1CN[C@@H]1CCC(C)(C)C1 ZINC000132415830 411933985 /nfs/dbraw/zinc/93/39/85/411933985.db2.gz PMNIOSVTLUXXQX-LLVKDONJSA-N 0 3 236.363 2.527 20 0 BFADHN CC[C@@H](CNCc1ccc(Cl)s1)OC ZINC000289729469 170829559 /nfs/dbraw/zinc/82/95/59/170829559.db2.gz GQBKMHOPDSPHCR-QMMMGPOBSA-N 0 3 233.764 2.916 20 0 BFADHN C[C@H](NCc1ccon1)C1CCC(F)CC1 ZINC000661998822 411939048 /nfs/dbraw/zinc/93/90/48/411939048.db2.gz PHNJYZAMWUZVOI-WHXUTIOJSA-N 0 3 226.295 2.681 20 0 BFADHN C[C@@H](NCc1ccon1)C1CCC(F)CC1 ZINC000661998821 411939554 /nfs/dbraw/zinc/93/95/54/411939554.db2.gz PHNJYZAMWUZVOI-KPPDAEKUSA-N 0 3 226.295 2.681 20 0 BFADHN Cc1cccc(NC[C@@H]2CCN2C(C)(C)C)n1 ZINC000664142326 411869794 /nfs/dbraw/zinc/86/97/94/411869794.db2.gz IPYWDRUMWFBCKA-LBPRGKRZSA-N 0 3 233.359 2.675 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1cccc(F)c1 ZINC000070656300 170848072 /nfs/dbraw/zinc/84/80/72/170848072.db2.gz SJJOJEUADKQWIJ-GWCFXTLKSA-N 0 3 225.307 2.901 20 0 BFADHN CC[C@@H](CSC)N[C@@H](C)c1nccn1CC ZINC000296242398 170852784 /nfs/dbraw/zinc/85/27/84/170852784.db2.gz AXDYAZWVTHSCRJ-QWRGUYRKSA-N 0 3 241.404 2.695 20 0 BFADHN CC[C@@H](CSC)NCc1ncc(C)s1 ZINC000294933552 170853259 /nfs/dbraw/zinc/85/32/59/170853259.db2.gz CERSUSMOVXWUOE-VIFPVBQESA-N 0 3 230.402 2.683 20 0 BFADHN COc1ccncc1CNC1(CC(C)C)CC1 ZINC000662067372 411970175 /nfs/dbraw/zinc/97/01/75/411970175.db2.gz XMELLRMLGVLJQR-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN c1c2ccccc2oc1CN1CCC2(COC2)C1 ZINC000662074620 411976587 /nfs/dbraw/zinc/97/65/87/411976587.db2.gz KFVNXLMMMQXGBN-UHFFFAOYSA-N 0 3 243.306 2.655 20 0 BFADHN CCCN1CC[C@H](Nc2ncc(Cl)s2)C1 ZINC000664529505 411978849 /nfs/dbraw/zinc/97/88/49/411978849.db2.gz JRZDECGBTQTRFW-QMMMGPOBSA-N 0 3 245.779 2.693 20 0 BFADHN COc1cccc(C2(NC[C@@H](C)OC)CCC2)c1 ZINC000662109813 411987549 /nfs/dbraw/zinc/98/75/49/411987549.db2.gz QDNWEHYPTVOXCF-GFCCVEGCSA-N 0 3 249.354 2.699 20 0 BFADHN CC[C@@H](N[C@H](C)COC)c1ccccc1OC ZINC000037196132 170912851 /nfs/dbraw/zinc/91/28/51/170912851.db2.gz FTGIARKKOGTWRU-DGCLKSJQSA-N 0 3 237.343 2.771 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1ccnc(C)n1 ZINC000662161343 412013333 /nfs/dbraw/zinc/01/33/33/412013333.db2.gz RGVUBUZDIILTDJ-SMDDNHRTSA-N 0 3 233.359 2.938 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@@H]2COC[C@@H]2C1 ZINC000662034710 411953221 /nfs/dbraw/zinc/95/32/21/411953221.db2.gz DNRKGKDVFONXTB-UPJWGTAASA-N 0 3 249.329 2.855 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@@H]2COC[C@@H]2C1 ZINC000662038297 411956413 /nfs/dbraw/zinc/95/64/13/411956413.db2.gz SNBANFWPRCNQRA-BNOWGMLFSA-N 0 3 249.329 2.855 20 0 BFADHN CCC(CC)CCCN(C)[C@@H](C)C(=O)N(C)C ZINC000662040589 411957787 /nfs/dbraw/zinc/95/77/87/411957787.db2.gz UOIYXGBNGXGZQA-LBPRGKRZSA-N 0 3 242.407 2.611 20 0 BFADHN Cc1ncc(CN2CCC[C@H](C3CCC3)C2)cn1 ZINC000662042498 411959640 /nfs/dbraw/zinc/95/96/40/411959640.db2.gz DRGPBHDTZGQEGD-HNNXBMFYSA-N 0 3 245.370 2.797 20 0 BFADHN CCCN1CC(C)(C)[C@H]1c1cccnc1 ZINC000664826983 412026242 /nfs/dbraw/zinc/02/62/42/412026242.db2.gz DNGJARWSXLWEMU-GFCCVEGCSA-N 0 3 204.317 2.875 20 0 BFADHN CC1(C)CN(C[C@H]2CCOC2)[C@H]1c1cccnc1 ZINC000664823849 412027206 /nfs/dbraw/zinc/02/72/06/412027206.db2.gz QAXJCUCMGHNBGC-OCCSQVGLSA-N 0 3 246.354 2.501 20 0 BFADHN CC(C)(O)CCN1CC(C)(C)[C@@H]1c1ccncc1 ZINC000664831065 412028697 /nfs/dbraw/zinc/02/86/97/412028697.db2.gz ZOMXUYIENJAPDY-ZDUSSCGKSA-N 0 3 248.370 2.626 20 0 BFADHN CC1(C)CN(C[C@H]2CCOC2)[C@H]1c1ccncc1 ZINC000664832341 412029432 /nfs/dbraw/zinc/02/94/32/412029432.db2.gz IQNPVPOVLNTIOH-OCCSQVGLSA-N 0 3 246.354 2.501 20 0 BFADHN COCCCCN1CC(C)(C)[C@@H]1c1ccncc1 ZINC000664829162 412030075 /nfs/dbraw/zinc/03/00/75/412030075.db2.gz KNAPSUGSWIRWHE-AWEZNQCLSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CC1=CCSC1 ZINC000662268960 412060531 /nfs/dbraw/zinc/06/05/31/412060531.db2.gz RGEYOTVOXBWERP-NSHDSACASA-N 0 3 234.368 2.748 20 0 BFADHN CC1(C)Cc2n[nH]cc2CN([C@H]2C=CCCC2)C1 ZINC000664868783 412036209 /nfs/dbraw/zinc/03/62/09/412036209.db2.gz QAZGHJAEBXBSAC-ZDUSSCGKSA-N 0 3 245.370 2.903 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cccnc1OC ZINC000128945279 171000707 /nfs/dbraw/zinc/00/07/07/171000707.db2.gz YDTJXAWMACTDRL-DGCLKSJQSA-N 0 3 234.343 2.759 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@@H](C)c1cc(C)n(C)n1 ZINC000337446239 171001829 /nfs/dbraw/zinc/00/18/29/171001829.db2.gz GRJSHBGSROUSQX-YNEHKIRRSA-N 0 3 235.375 2.958 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1nccs1 ZINC000130534643 171001956 /nfs/dbraw/zinc/00/19/56/171001956.db2.gz GUWUYOTVUUBRCI-NXEZZACHSA-N 0 3 210.346 2.811 20 0 BFADHN CC[C@@H]1CCN1Cc1ccc2c[nH]nc2c1 ZINC000292707475 171024904 /nfs/dbraw/zinc/02/49/04/171024904.db2.gz CZDWIKYGWIKHKD-GFCCVEGCSA-N 0 3 215.300 2.547 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1ncc(C)o1 ZINC000271532605 171037722 /nfs/dbraw/zinc/03/77/22/171037722.db2.gz MLSIRCQTQCEMAM-MWLCHTKSSA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1nccs1 ZINC000271589932 171053619 /nfs/dbraw/zinc/05/36/19/171053619.db2.gz SXHOPKYBMHJWRB-VHSXEESVSA-N 0 3 210.346 2.906 20 0 BFADHN C[C@H](c1ccncc1)N(C)CC1=CCSC1 ZINC000662268959 412060993 /nfs/dbraw/zinc/06/09/93/412060993.db2.gz RGEYOTVOXBWERP-LLVKDONJSA-N 0 3 234.368 2.748 20 0 BFADHN CN(CCc1ccccc1)CC1=CCSC1 ZINC000662267483 412061317 /nfs/dbraw/zinc/06/13/17/412061317.db2.gz GPEHRHBTEKPIEX-UHFFFAOYSA-N 0 3 233.380 2.834 20 0 BFADHN CC[C@@H]1CN(C/C=C\c2ccc(F)cc2)C[C@@H]1O ZINC000355202139 171073809 /nfs/dbraw/zinc/07/38/09/171073809.db2.gz TVJAPZNTLBGSOJ-SUVXUSRCSA-N 0 3 249.329 2.542 20 0 BFADHN CC[C@@H]1CN(CC2(SC)CCC2)C[C@H](C)O1 ZINC000356058839 171078727 /nfs/dbraw/zinc/07/87/27/171078727.db2.gz PSTKIUTXIYNHDX-NWDGAFQWSA-N 0 3 243.416 2.771 20 0 BFADHN Cc1cc(CN2CC3(CC3)C2)c(C)s1 ZINC000335382771 487621878 /nfs/dbraw/zinc/62/18/78/487621878.db2.gz HXAXJDPTVGOMMQ-UHFFFAOYSA-N 0 3 207.342 2.961 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCC[C@@H](C)C2)CCO1 ZINC000356968095 171085802 /nfs/dbraw/zinc/08/58/02/171085802.db2.gz BDYFKKJKFFVASY-MGPQQGTHSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@@H]1CN(Cc2cc3ccccc3o2)C[C@@H]1O ZINC000270865160 171089268 /nfs/dbraw/zinc/08/92/68/171089268.db2.gz IPDKZRGZPKLNFY-RISCZKNCSA-N 0 3 245.322 2.636 20 0 BFADHN CC[C@@H]1CN([C@@H](C)c2ccccc2F)C[C@H]1O ZINC000271005893 171093751 /nfs/dbraw/zinc/09/37/51/171093751.db2.gz ZGEGVDALJVWHMW-MISXGVKJSA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@@H]1CN([C@H](C)c2cccnc2)CCS1 ZINC000266594545 171097070 /nfs/dbraw/zinc/09/70/70/171097070.db2.gz VJDHYRQLMWMLSF-DGCLKSJQSA-N 0 3 236.384 2.970 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1nccs1 ZINC000336471652 171117321 /nfs/dbraw/zinc/11/73/21/171117321.db2.gz LJLQSBWWDPWXTE-NXEZZACHSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@@H]1NCc1ccn(C)n1 ZINC000358265939 171126127 /nfs/dbraw/zinc/12/61/27/171126127.db2.gz LOUKQNWNOATJHQ-BZPMIXESSA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@@H]1C[C@H](CN2CC[C@@](C)(F)C2)CCO1 ZINC000356976284 171130309 /nfs/dbraw/zinc/13/03/09/171130309.db2.gz CKJRPESLTOATNY-JHJVBQTASA-N 0 3 229.339 2.626 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1ccc(OC)nc1 ZINC000274996151 171160534 /nfs/dbraw/zinc/16/05/34/171160534.db2.gz OWBAKYMOQGFYRQ-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@](C)(CO)NCc1ccc(SC)s1 ZINC000309170167 171171734 /nfs/dbraw/zinc/17/17/34/171171734.db2.gz LBGQKPUJTPJKNQ-NSHDSACASA-N 0 3 245.413 2.721 20 0 BFADHN CC[C@@](C)(NC[C@@H](C)OC)c1nc(C)cs1 ZINC000182345040 171176224 /nfs/dbraw/zinc/17/62/24/171176224.db2.gz DCJGUGUROUJNAC-ZYHUDNBSSA-N 0 3 242.388 2.701 20 0 BFADHN CC[C@@](C)(O)CNCc1ccc(F)c(Cl)c1 ZINC000088041070 171184626 /nfs/dbraw/zinc/18/46/26/171184626.db2.gz CDMFVXQVRDJIIA-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1cccc(F)c1F ZINC000127474651 171184921 /nfs/dbraw/zinc/18/49/21/171184921.db2.gz ADFHDZKFMPNWAC-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@](C)(O)CN[C@@H]1CCCOc2ccccc21 ZINC000154560318 171185124 /nfs/dbraw/zinc/18/51/24/171185124.db2.gz DXMIPHRCIABXED-UKRRQHHQSA-N 0 3 249.354 2.651 20 0 BFADHN CC[C@@]1(C)COCCN1CC1CC(F)(F)C1 ZINC000334797982 171203891 /nfs/dbraw/zinc/20/38/91/171203891.db2.gz NVYYVMMEOBUCDG-NSHDSACASA-N 0 3 233.302 2.533 20 0 BFADHN CC[C@@]1(C)C[C@H]1C(=O)N1CC2(CCC2(F)F)C1 ZINC000336508018 171207107 /nfs/dbraw/zinc/20/71/07/171207107.db2.gz RTTRUDATTCNTTR-ONGXEEELSA-N 0 3 243.297 2.680 20 0 BFADHN CC[C@@]1(C)C[C@H]1C(=O)N(C)CC(C)(C)C ZINC000341306752 171207145 /nfs/dbraw/zinc/20/71/45/171207145.db2.gz HJJYAMYMQJIELG-GWCFXTLKSA-N 0 3 211.349 2.927 20 0 BFADHN CC[C@@]1(CO)CCCN([C@@H](C)c2cccnc2)C1 ZINC000275162711 171211787 /nfs/dbraw/zinc/21/17/87/171211787.db2.gz RWDSWCCZEWSLER-DZGCQCFKSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H](C(=O)OC(C)(C)C)N1CC[C@H](C)[C@@H]1C ZINC000361826130 171239332 /nfs/dbraw/zinc/23/93/32/171239332.db2.gz SJKRJEPELYHFET-SDDRHHMPSA-N 0 3 241.375 2.837 20 0 BFADHN CC[C@H](C)CN(C)CC(=O)Nc1ccccc1C ZINC000111254900 171272838 /nfs/dbraw/zinc/27/28/38/171272838.db2.gz OIHPUEBPOCLCQD-LBPRGKRZSA-N 0 3 248.370 2.911 20 0 BFADHN CC[C@H](C)CN(C)Cc1ccc(C)cn1 ZINC000341018203 171273785 /nfs/dbraw/zinc/27/37/85/171273785.db2.gz TWLVRWZWRXWKGV-NSHDSACASA-N 0 3 206.333 2.868 20 0 BFADHN CC[C@H](C)CN(C)Cc1cnn(CC(F)F)c1 ZINC000295257959 171273919 /nfs/dbraw/zinc/27/39/19/171273919.db2.gz GQFDPZLBOUFQEQ-JTQLQIEISA-N 0 3 245.317 2.626 20 0 BFADHN CC[C@H](C)CN(C)Cc1cnc([C@H](C)O)s1 ZINC000289140017 171274492 /nfs/dbraw/zinc/27/44/92/171274492.db2.gz PINDSJPKDKHPTE-UWVGGRQHSA-N 0 3 242.388 2.674 20 0 BFADHN CC[C@H](C)CN(C)Cc1cccc2nccn21 ZINC000179753733 171274995 /nfs/dbraw/zinc/27/49/95/171274995.db2.gz IQNFPFAZMAENLK-LBPRGKRZSA-N 0 3 231.343 2.812 20 0 BFADHN CC[C@H](C)CN1CCC[C@@H]1c1cc[nH]n1 ZINC000278685864 171280693 /nfs/dbraw/zinc/28/06/93/171280693.db2.gz RYUYFRJUZJVTGI-CMPLNLGQSA-N 0 3 207.321 2.593 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1cn2cccnc2n1 ZINC000297803829 171295516 /nfs/dbraw/zinc/29/55/16/171295516.db2.gz XPXAIXAGGIXFMR-NWDGAFQWSA-N 0 3 246.358 2.644 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1cn2cccnc2n1 ZINC000297803831 171298396 /nfs/dbraw/zinc/29/83/96/171298396.db2.gz XPXAIXAGGIXFMR-RYUDHWBXSA-N 0 3 246.358 2.644 20 0 BFADHN CC[C@H](C)N(C)C(=O)[C@H](C)[C@H](N)c1ccccc1 ZINC000130132770 171303866 /nfs/dbraw/zinc/30/38/66/171303866.db2.gz JDYSIKVLFLDPJX-SCRDCRAPSA-N 0 3 248.370 2.579 20 0 BFADHN CC[C@H](C)N(C)CC(=O)N(C)[C@@H](C)CC(C)C ZINC000104688737 171305216 /nfs/dbraw/zinc/30/52/16/171305216.db2.gz YUPRZEVIKPYUEC-STQMWFEESA-N 0 3 242.407 2.610 20 0 BFADHN CC[C@H](C)N(C)Cc1c(C)cc(OC)nc1C ZINC000359235442 171307559 /nfs/dbraw/zinc/30/75/59/171307559.db2.gz NSAVIOLHUONCGE-NSHDSACASA-N 0 3 236.359 2.937 20 0 BFADHN CC[C@H](C)N(C)CC[S@](=O)c1ccccc1 ZINC000355353631 171308063 /nfs/dbraw/zinc/30/80/63/171308063.db2.gz JJFLCVSOQGWXHG-LRDDRELGSA-N 0 3 239.384 2.525 20 0 BFADHN CC[C@H](C)N1CCc2cccc(F)c2C1 ZINC000337319157 171320547 /nfs/dbraw/zinc/32/05/47/171320547.db2.gz NRXIVGUGOZPQAI-JTQLQIEISA-N 0 3 207.292 2.982 20 0 BFADHN CC[C@H](C)NCC(=O)c1ccc(Cl)cc1 ZINC000041612081 171340112 /nfs/dbraw/zinc/34/01/12/171340112.db2.gz CCHYKFWVVGGSLR-VIFPVBQESA-N 0 3 225.719 2.911 20 0 BFADHN CC[C@H](C)NCc1cccc(Br)n1 ZINC000083371112 171343025 /nfs/dbraw/zinc/34/30/25/171343025.db2.gz HSXBPQYXKVTIII-QMMMGPOBSA-N 0 3 243.148 2.732 20 0 BFADHN CC[C@H](C)NCc1cccc2c1OCCCO2 ZINC000036167467 171343995 /nfs/dbraw/zinc/34/39/95/171343995.db2.gz WRCKNDZCOMFDQZ-NSHDSACASA-N 0 3 235.327 2.736 20 0 BFADHN CC[C@H](C)NCc1ncc(C)c(OC)c1C ZINC000020036764 171344574 /nfs/dbraw/zinc/34/45/74/171344574.db2.gz YOEGRKIEZFWUCM-JTQLQIEISA-N 0 3 222.332 2.595 20 0 BFADHN CC[C@@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)CC)[n-]1 ZINC000336729071 171347916 /nfs/dbraw/zinc/34/79/16/171347916.db2.gz HKKLLKZHRSCMRY-KXUCPTDWSA-N 0 3 224.352 2.767 20 0 BFADHN CC[C@@H](C)c1nnc([C@@H](C)N[C@@H](C)CC)[nH]1 ZINC000336729071 171347917 /nfs/dbraw/zinc/34/79/17/171347917.db2.gz HKKLLKZHRSCMRY-KXUCPTDWSA-N 0 3 224.352 2.767 20 0 BFADHN CC[C@H](C)N[C@H](C)c1cc(OC)ncc1F ZINC000336671352 171347935 /nfs/dbraw/zinc/34/79/35/171347935.db2.gz AKTQFKUUUZEUSO-DTWKUNHWSA-N 0 3 226.295 2.678 20 0 BFADHN CC[C@H](C)N[C@H](CCOC)c1ccco1 ZINC000272534563 171348698 /nfs/dbraw/zinc/34/86/98/171348698.db2.gz JQWFYPZDAWWWLO-WDEREUQCSA-N 0 3 211.305 2.745 20 0 BFADHN CC[C@H](C)[C@@H](C)NC(=O)C[C@@H](N)c1ccccc1 ZINC000218805757 171361612 /nfs/dbraw/zinc/36/16/12/171361612.db2.gz VKGHSRRXVPUBAS-OUCADQQQSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cn2ccsc2n1 ZINC000132300281 171365196 /nfs/dbraw/zinc/36/51/96/171365196.db2.gz ZPJULDPYUXRZBW-VHSXEESVSA-N 0 3 237.372 2.920 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@H](C)c1cccnc1)OC ZINC000294318070 171368976 /nfs/dbraw/zinc/36/89/76/171368976.db2.gz WKRLVUXDQAXWMR-OUCADQQQSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@@H](C)c1ccncc1)OC ZINC000294352444 171369103 /nfs/dbraw/zinc/36/91/03/171369103.db2.gz ZJJPXKFTEMALJJ-SGMGOOAPSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@H](C)c1cc(C)c(C)o1 ZINC000282424834 171378020 /nfs/dbraw/zinc/37/80/20/171378020.db2.gz YUXSLQAQXBAIJE-NDMJEZRESA-N 0 3 239.359 2.954 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@@H](C)c1ccsc1 ZINC000167622709 171378836 /nfs/dbraw/zinc/37/88/36/171378836.db2.gz IHYSOEMPQSAJTQ-NHCYSSNCSA-N 0 3 227.373 2.806 20 0 BFADHN CC[C@H](C)[C@@](C)(O)CN[C@@H](C)c1ccccn1 ZINC000305746487 171382910 /nfs/dbraw/zinc/38/29/10/171382910.db2.gz VXCZHDNLWVBCKF-OBJOEFQTSA-N 0 3 236.359 2.529 20 0 BFADHN CCc1ccc(CN2CC3CC2(C)C3)nc1 ZINC000662385330 412138549 /nfs/dbraw/zinc/13/85/49/412138549.db2.gz SCTICJAOPOBKMH-UHFFFAOYSA-N 0 3 216.328 2.628 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cccnc1OC ZINC000037485410 171389560 /nfs/dbraw/zinc/38/95/60/171389560.db2.gz UFPJYLKILQAONK-QWRGUYRKSA-N 0 3 222.332 2.614 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000345346017 171390430 /nfs/dbraw/zinc/39/04/30/171390430.db2.gz CAJYNKGDPCEDMK-WHOHXGKFSA-N 0 3 221.348 2.913 20 0 BFADHN CC[C@H](C)[C@H](CNCc1cccnc1C)OC ZINC000362975801 171392171 /nfs/dbraw/zinc/39/21/71/171392171.db2.gz HCLCBRHOMIZAHU-FZMZJTMJSA-N 0 3 236.359 2.541 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)N[C@H](C)CCCC(C)C ZINC000040858777 171395273 /nfs/dbraw/zinc/39/52/73/171395273.db2.gz LCVJGUBELAJMDH-XQQFMLRXSA-N 0 3 242.407 2.691 20 0 BFADHN CC12CC(C1)CN2CCc1c(F)cccc1F ZINC000662386139 412139832 /nfs/dbraw/zinc/13/98/32/412139832.db2.gz UWMBOBCKAXWXGW-UHFFFAOYSA-N 0 3 237.293 2.992 20 0 BFADHN CO[C@](C)([C@H](C)NCc1cc(C)ccn1)C1CC1 ZINC000665126214 412105331 /nfs/dbraw/zinc/10/53/31/412105331.db2.gz JVODEEVSYWZCNF-SWLSCSKDSA-N 0 3 248.370 2.683 20 0 BFADHN COCC1(NCc2cc(C)ccn2)CCCCC1 ZINC000662339168 412107774 /nfs/dbraw/zinc/10/77/74/412107774.db2.gz AVPIPTIVVOBPOK-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN CO[C@H](C)CN[C@]1(c2ccccc2)CC1(C)C ZINC000662340021 412109925 /nfs/dbraw/zinc/10/99/25/412109925.db2.gz HAAHLTUIDFQVQG-DOMZBBRYSA-N 0 3 233.355 2.936 20 0 BFADHN CO[C@@H](C)CN[C@@]1(c2ccccc2)CC1(C)C ZINC000662340023 412110121 /nfs/dbraw/zinc/11/01/21/412110121.db2.gz HAAHLTUIDFQVQG-SWLSCSKDSA-N 0 3 233.355 2.936 20 0 BFADHN CO[C@H](C)CN[C@@]1(c2ccccc2)CC1(C)C ZINC000662340022 412110141 /nfs/dbraw/zinc/11/01/41/412110141.db2.gz HAAHLTUIDFQVQG-IUODEOHRSA-N 0 3 233.355 2.936 20 0 BFADHN Cc1cnc([C@@H](C)N(C)C[C@H]2CC2(C)C)cn1 ZINC000662344685 412113197 /nfs/dbraw/zinc/11/31/97/412113197.db2.gz RIVQHOOVAIDCIR-VXGBXAGGSA-N 0 3 233.359 2.824 20 0 BFADHN CN(CCC(F)(F)F)C[C@H]1CC1(C)C ZINC000662345867 412115757 /nfs/dbraw/zinc/11/57/57/412115757.db2.gz MZYFCVCGBWGYNN-MRVPVSSYSA-N 0 3 209.255 2.917 20 0 BFADHN C[C@@H]1CN(CC2CCC2)C[C@](C)(C(F)F)O1 ZINC000662357740 412121826 /nfs/dbraw/zinc/12/18/26/412121826.db2.gz FHDOIGYDTJVRBB-BXKDBHETSA-N 0 3 233.302 2.531 20 0 BFADHN CC[C@H](C)N1C[C@H](C)O[C@@](C)(C(F)F)C1 ZINC000662358955 412122948 /nfs/dbraw/zinc/12/29/48/412122948.db2.gz GRTSRWFHVHBUNJ-ATZCPNFKSA-N 0 3 221.291 2.529 20 0 BFADHN C[C@]1(C(F)F)CN(CC2CCCC2)CCO1 ZINC000662369441 412127415 /nfs/dbraw/zinc/12/74/15/412127415.db2.gz SWWBXFMFGPOVCU-GFCCVEGCSA-N 0 3 233.302 2.533 20 0 BFADHN CC12CC(C1)CN2CCc1ccc(F)c(F)c1 ZINC000662382052 412134686 /nfs/dbraw/zinc/13/46/86/412134686.db2.gz DGEQPIUSJLUNBV-UHFFFAOYSA-N 0 3 237.293 2.992 20 0 BFADHN C[C@H](c1ccccn1)N1CC2CC1(C)C2 ZINC000662383835 412136560 /nfs/dbraw/zinc/13/65/60/412136560.db2.gz FXBAFVFPDAZQRS-XSRFYTQQSA-N 0 3 202.301 2.627 20 0 BFADHN CC1(C)CC[C@H]1C(=O)Nc1cccc(CN)c1 ZINC000662392220 412143572 /nfs/dbraw/zinc/14/35/72/412143572.db2.gz DRYPZURKAJFBSY-LBPRGKRZSA-N 0 3 232.327 2.520 20 0 BFADHN CC[C@H](NCCCCO)c1cc(F)ccc1F ZINC000194225499 171519843 /nfs/dbraw/zinc/51/98/43/171519843.db2.gz APXPTGPBNVHFIP-ZDUSSCGKSA-N 0 3 243.297 2.778 20 0 BFADHN CC[C@H](NCC[C@H]1CCOC1)c1nccs1 ZINC000336742459 171522384 /nfs/dbraw/zinc/52/23/84/171522384.db2.gz SDOYFYNRIZBKAQ-QWRGUYRKSA-N 0 3 240.372 2.610 20 0 BFADHN CC[C@H](NCc1cccc(F)c1)[C@H]1CCCO1 ZINC000119846912 171531821 /nfs/dbraw/zinc/53/18/21/171531821.db2.gz OKVSAEJSTJVCQU-UONOGXRCSA-N 0 3 237.318 2.873 20 0 BFADHN CC[C@H](NCc1ncc(C)s1)C(C)C ZINC000122971224 171533127 /nfs/dbraw/zinc/53/31/27/171533127.db2.gz WFSKGHUNYHESDC-JTQLQIEISA-N 0 3 212.362 2.976 20 0 BFADHN CCC(CC)CCCN(C)C(=O)C1(N)CCC1 ZINC000662715703 412281368 /nfs/dbraw/zinc/28/13/68/412281368.db2.gz OZFBRSQCEPZGTB-UHFFFAOYSA-N 0 3 240.391 2.543 20 0 BFADHN CCc1ccc(CNCC2(CCOC)CC2)o1 ZINC000151214749 171804874 /nfs/dbraw/zinc/80/48/74/171804874.db2.gz SCZYEOCTBMNWBL-UHFFFAOYSA-N 0 3 237.343 2.748 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](O)C(C)C)o1 ZINC000167785224 171838631 /nfs/dbraw/zinc/83/86/31/171838631.db2.gz VSOQBGRGIBHPSM-CMPLNLGQSA-N 0 3 225.332 2.510 20 0 BFADHN CCc1cccc(F)c1CNCC1(C)COC1 ZINC000354346285 171858577 /nfs/dbraw/zinc/85/85/77/171858577.db2.gz GZFPECIBCORYLN-UHFFFAOYSA-N 0 3 237.318 2.514 20 0 BFADHN Fc1cnccc1CN[C@H]1CCC[C@H](F)C1 ZINC000335388732 487623744 /nfs/dbraw/zinc/62/37/44/487623744.db2.gz XEIYBDIRXJWOGD-QWRGUYRKSA-N 0 3 226.270 2.591 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@@]1(C)CCCO1 ZINC000356869893 171899130 /nfs/dbraw/zinc/89/91/30/171899130.db2.gz NZSDJFIVMCYXQS-SWLSCSKDSA-N 0 3 248.370 2.864 20 0 BFADHN CCc1nc([C@@H](C)NCCCCOC)cs1 ZINC000184696035 172002652 /nfs/dbraw/zinc/00/26/52/172002652.db2.gz SYLSRZLOKQUOOH-SNVBAGLBSA-N 0 3 242.388 2.783 20 0 BFADHN CCc1ncc(CN2C[C@@H](C)C[C@H]2C)s1 ZINC000353748336 172017663 /nfs/dbraw/zinc/01/76/63/172017663.db2.gz HYKQZASVIPEAHT-VHSXEESVSA-N 0 3 224.373 2.936 20 0 BFADHN CCc1ncc(CN[C@@H]2CCCSC2)s1 ZINC000129833948 172019665 /nfs/dbraw/zinc/01/96/65/172019665.db2.gz ALBAGGBPKNJMEN-SECBINFHSA-N 0 3 242.413 2.691 20 0 BFADHN CCc1nnc(CN[C@@H]2CCC[C@@H](C)C2)s1 ZINC000309970568 172053274 /nfs/dbraw/zinc/05/32/74/172053274.db2.gz PHEFDLDNSWAOFU-NXEZZACHSA-N 0 3 239.388 2.769 20 0 BFADHN CCc1nnc(CN[C@@H](C)[C@@H](C)CC)s1 ZINC000308963942 172053703 /nfs/dbraw/zinc/05/37/03/172053703.db2.gz TZTQNSVCQGPPNX-IUCAKERBSA-N 0 3 227.377 2.625 20 0 BFADHN CCc1noc(C)c1CN1CC(C)=C[C@@H](C)C1 ZINC000336316535 172075176 /nfs/dbraw/zinc/07/51/76/172075176.db2.gz HEFQBFBRYIDIRA-SNVBAGLBSA-N 0 3 234.343 2.943 20 0 BFADHN CCc1noc(C)c1CN1CC[C@H](C2CC2)C1 ZINC000374309011 172077602 /nfs/dbraw/zinc/07/76/02/172077602.db2.gz LDQTYKUXATVKIO-LBPRGKRZSA-N 0 3 234.343 2.777 20 0 BFADHN CCc1noc(C)c1CNC1CCCCC1 ZINC000339439174 172079351 /nfs/dbraw/zinc/07/93/51/172079351.db2.gz ZNKJOUICMTYHIS-UHFFFAOYSA-N 0 3 222.332 2.968 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CCC[C@H]1C ZINC000309667648 172080497 /nfs/dbraw/zinc/08/04/97/172080497.db2.gz UWLSXZSWBIUPCA-RNCFNFMXSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1nocc1CN(C)CC1(C)CCC1 ZINC000339609548 172093170 /nfs/dbraw/zinc/09/31/70/172093170.db2.gz YSUVWJAWRQDNAT-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN CCc1nocc1CNCC1(CC)CC1 ZINC000339292420 172098210 /nfs/dbraw/zinc/09/82/10/172098210.db2.gz AYCDGTHZPVPJSI-UHFFFAOYSA-N 0 3 208.305 2.517 20 0 BFADHN CCc1nocc1CN1CCS[C@@H](C)CC1 ZINC000335777168 172098418 /nfs/dbraw/zinc/09/84/18/172098418.db2.gz QIIJJAHTKIRHOE-JTQLQIEISA-N 0 3 240.372 2.564 20 0 BFADHN CCc1nocc1CN1CC[C@H](CC2CC2)C1 ZINC000354524394 172098608 /nfs/dbraw/zinc/09/86/08/172098608.db2.gz GSEOOYDZMIKISC-GFCCVEGCSA-N 0 3 234.343 2.859 20 0 BFADHN CCc1nocc1CNC[C@@H]1CC[C@H](C)C1 ZINC000339386321 172099657 /nfs/dbraw/zinc/09/96/57/172099657.db2.gz XYWXOVMQKXJKPM-WDEREUQCSA-N 0 3 222.332 2.763 20 0 BFADHN CCc1nocc1CNC[C@H]1CC=CCC1 ZINC000339203327 172100354 /nfs/dbraw/zinc/10/03/54/172100354.db2.gz IOHXSFBFHNIAPB-NSHDSACASA-N 0 3 220.316 2.683 20 0 BFADHN CCc1nocc1CNCCc1ccc(F)cc1 ZINC000339177582 172100695 /nfs/dbraw/zinc/10/06/95/172100695.db2.gz VVFTYVWPTXOKPA-UHFFFAOYSA-N 0 3 248.301 2.708 20 0 BFADHN CCc1oc2ccccc2c1CNC[C@H](O)CC ZINC000124522176 172111993 /nfs/dbraw/zinc/11/19/93/172111993.db2.gz XUYGLUVHSLTOGX-LLVKDONJSA-N 0 3 247.338 2.856 20 0 BFADHN CCc1oc2ccccc2c1CN(C)[C@H](C)CO ZINC000289486862 172112807 /nfs/dbraw/zinc/11/28/07/172112807.db2.gz RDRHMOPWFCDWQW-LLVKDONJSA-N 0 3 247.338 2.808 20 0 BFADHN CCn1c(CNCC(C)C)nc2ccccc21 ZINC000037977289 172144363 /nfs/dbraw/zinc/14/43/63/172144363.db2.gz BHZRPLPEWRTDLF-UHFFFAOYSA-N 0 3 231.343 2.802 20 0 BFADHN CCn1cc(CCN(C)[C@@H](C)c2ccco2)cn1 ZINC000276293594 172157941 /nfs/dbraw/zinc/15/79/41/172157941.db2.gz ILDZHYGQJGUDFP-LBPRGKRZSA-N 0 3 247.342 2.732 20 0 BFADHN CCn1cc(CN(C)C[C@@H]2CC=CCC2)c(C)n1 ZINC000097610179 172159866 /nfs/dbraw/zinc/15/98/66/172159866.db2.gz DDORUDYQLQMMNO-CQSZACIVSA-N 0 3 247.386 3.000 20 0 BFADHN CCn1cc(CN(C)[C@H]2CC[C@@H](C)C2)cn1 ZINC000338995191 172163083 /nfs/dbraw/zinc/16/30/83/172163083.db2.gz BNRBLNFISJUXBK-YPMHNXCESA-N 0 3 221.348 2.523 20 0 BFADHN CCn1cc(CN2CC[C@@H](C)C3(CCC3)C2)cn1 ZINC000361104767 172164094 /nfs/dbraw/zinc/16/40/94/172164094.db2.gz LGBKHLXVPQKVOZ-CYBMUJFWSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1cc(CN2CCC[C@@H]3CCC[C@@H]32)cn1 ZINC000092485473 172164558 /nfs/dbraw/zinc/16/45/58/172164558.db2.gz KTHDUKHMKUVHHZ-KBPBESRZSA-N 0 3 233.359 2.668 20 0 BFADHN CCn1cc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)cn1 ZINC000355075404 172166839 /nfs/dbraw/zinc/16/68/39/172166839.db2.gz JTNVJPSEIAGWEI-JHJVBQTASA-N 0 3 235.375 2.769 20 0 BFADHN CCn1cc(CNCc2cc(C)cc(C)c2)cn1 ZINC000265530794 172172931 /nfs/dbraw/zinc/17/29/31/172172931.db2.gz PRZXPWMVOXPLNZ-UHFFFAOYSA-N 0 3 243.354 2.810 20 0 BFADHN CCn1ccnc1CN1CCC[C@@H]1C(C)(C)C ZINC000353445016 172219101 /nfs/dbraw/zinc/21/91/01/172219101.db2.gz WAIBJMNXPAPGOP-GFCCVEGCSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H](C)C(C)C ZINC000295235835 172227860 /nfs/dbraw/zinc/22/78/60/172227860.db2.gz OKYZATPOSAOMFA-WDEREUQCSA-N 0 3 209.337 2.598 20 0 BFADHN CCn1cnnc1CN[C@@H](C)CCC(C)(C)C ZINC000336713757 172237277 /nfs/dbraw/zinc/23/72/77/172237277.db2.gz RKBMTZMKBGRFAE-NSHDSACASA-N 0 3 238.379 2.602 20 0 BFADHN CCn1nc(C)c(CN2CCC[C@@H]2C)c1C ZINC000124327565 172245838 /nfs/dbraw/zinc/24/58/38/172245838.db2.gz AWNRYOGZQHHAPN-JTQLQIEISA-N 0 3 221.348 2.504 20 0 BFADHN CCn1nc(CN[C@@H]2CC2(C)C)c2ccccc21 ZINC000089174301 172250243 /nfs/dbraw/zinc/25/02/43/172250243.db2.gz TXXCKYRSCGWYPD-CQSZACIVSA-N 0 3 243.354 2.944 20 0 BFADHN CCn1nccc1CN(C)CC1CCCC1 ZINC000123168142 172263366 /nfs/dbraw/zinc/26/33/66/172263366.db2.gz UHTSIOHGEIPHAD-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1nccc1CN1CC(C)(C)[C@@H]2CCC[C@H]21 ZINC000354580675 172267326 /nfs/dbraw/zinc/26/73/26/172267326.db2.gz OBSZRGGLGWASRF-ZIAGYGMSSA-N 0 3 247.386 2.914 20 0 BFADHN CCn1nccc1CN1CC[C@@H](CC2CC2)C1 ZINC000354526230 172267487 /nfs/dbraw/zinc/26/74/87/172267487.db2.gz NNHMLVDFXWWDGO-ZDUSSCGKSA-N 0 3 233.359 2.525 20 0 BFADHN CCn1ncnc1CN[C@@H](C)CCC(C)(C)C ZINC000342586624 172281839 /nfs/dbraw/zinc/28/18/39/172281839.db2.gz AFSHLPTXSHJIPE-NSHDSACASA-N 0 3 238.379 2.602 20 0 BFADHN [O-]c1ccc(C[N@H+]2CCCCC[C@H]2CCO)cc1 ZINC000671309273 487625008 /nfs/dbraw/zinc/62/50/08/487625008.db2.gz AMEHWYBUAVFSES-AWEZNQCLSA-N 0 3 249.354 2.519 20 0 BFADHN [O-]c1ccc(C[N@@H+]2CCCCC[C@H]2CCO)cc1 ZINC000671309273 487625012 /nfs/dbraw/zinc/62/50/12/487625012.db2.gz AMEHWYBUAVFSES-AWEZNQCLSA-N 0 3 249.354 2.519 20 0 BFADHN CCc1ccc(CN[C@H]2CS[C@H](C)C2)cn1 ZINC000414499775 487626090 /nfs/dbraw/zinc/62/60/90/487626090.db2.gz PDMDNHFQWBEAKF-ZWNOBZJWSA-N 0 3 236.384 2.628 20 0 BFADHN CNCc1nc(C)c(-c2ccccc2)s1 ZINC000062182355 173395051 /nfs/dbraw/zinc/39/50/51/173395051.db2.gz HDSMZOBTJZFHFH-UHFFFAOYSA-N 0 3 218.325 2.838 20 0 BFADHN COC(=O)c1coc([C@@H](C)N[C@H]2CC2(C)C)c1 ZINC000278677925 173913509 /nfs/dbraw/zinc/91/35/09/173913509.db2.gz JQCDSODBMXQLKV-KCJUWKMLSA-N 0 3 237.299 2.515 20 0 BFADHN COC(CN(C)[C@@H](C)c1ccccc1C)OC ZINC000275717544 173957903 /nfs/dbraw/zinc/95/79/03/173957903.db2.gz WWTOGUANCJETEN-LBPRGKRZSA-N 0 3 237.343 2.607 20 0 BFADHN COC1(CN[C@H]2CCC[C@H]2F)CCCCC1 ZINC000339589219 174005093 /nfs/dbraw/zinc/00/50/93/174005093.db2.gz GGEJBTQDIKYIFS-NEPJUHHUSA-N 0 3 229.339 2.816 20 0 BFADHN COC1CCN(C/C=C/c2ccc(F)cc2)CC1 ZINC000271038535 174029064 /nfs/dbraw/zinc/02/90/64/174029064.db2.gz LHXQVHNBUNCQTE-NSCUHMNNSA-N 0 3 249.329 2.950 20 0 BFADHN COCC(C)(C)CNCc1cc(F)ccc1F ZINC000336731105 174073757 /nfs/dbraw/zinc/07/37/57/174073757.db2.gz YMMZJOKEADFPCG-UHFFFAOYSA-N 0 3 243.297 2.727 20 0 BFADHN COCC(C)(C)CN[C@@H]1COCc2ccccc21 ZINC000311114574 174075092 /nfs/dbraw/zinc/07/50/92/174075092.db2.gz WAUNTISZTRNDGB-CQSZACIVSA-N 0 3 249.354 2.520 20 0 BFADHN COCC(C)(C)N(C)Cc1csc(C)c1 ZINC000295995539 174080320 /nfs/dbraw/zinc/08/03/20/174080320.db2.gz KMTAIWVRTYFSSU-UHFFFAOYSA-N 0 3 227.373 2.913 20 0 BFADHN COCC1(C)CCN(Cc2cccc(O)c2)CC1 ZINC000354792515 174093096 /nfs/dbraw/zinc/09/30/96/174093096.db2.gz OCTWAFHKKBNURE-UHFFFAOYSA-N 0 3 249.354 2.641 20 0 BFADHN COCC1(CN[C@@H](C)c2ccco2)CCC1 ZINC000282456802 174104562 /nfs/dbraw/zinc/10/45/62/174104562.db2.gz FTEPZLQBLKNQSD-NSHDSACASA-N 0 3 223.316 2.747 20 0 BFADHN COCC1(CN[C@@H](C)c2nccs2)CCC1 ZINC000282756510 174105168 /nfs/dbraw/zinc/10/51/68/174105168.db2.gz NZNBFTZHIFIKCP-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN COCC1(NCc2cccc(Cl)c2)CCC1 ZINC000284211677 174109131 /nfs/dbraw/zinc/10/91/31/174109131.db2.gz ZOSRIGVXCYEZOL-UHFFFAOYSA-N 0 3 239.746 2.999 20 0 BFADHN COCCCCCN(C)Cc1ccco1 ZINC000171497270 174176043 /nfs/dbraw/zinc/17/60/43/174176043.db2.gz JTNFDOMXIDWRIX-UHFFFAOYSA-N 0 3 211.305 2.528 20 0 BFADHN COCCCCCNC(C)(C)c1nccs1 ZINC000266646204 174182639 /nfs/dbraw/zinc/18/26/39/174182639.db2.gz CZBNCQHMKXUFTB-UHFFFAOYSA-N 0 3 242.388 2.785 20 0 BFADHN COCCCCN1CCOc2ccc(C)cc2C1 ZINC000285699627 174191675 /nfs/dbraw/zinc/19/16/75/174191675.db2.gz VUJRNATUWAFXEZ-UHFFFAOYSA-N 0 3 249.354 2.616 20 0 BFADHN COCCCCN1CCc2sccc2C1 ZINC000114206299 174193551 /nfs/dbraw/zinc/19/35/51/174193551.db2.gz XRPAVDKOHQZYMD-UHFFFAOYSA-N 0 3 225.357 2.533 20 0 BFADHN COCCCCN1CC[C@@H]1c1ccccc1 ZINC000336660588 174194234 /nfs/dbraw/zinc/19/42/34/174194234.db2.gz ASENDJKWAOWTCZ-CQSZACIVSA-N 0 3 219.328 2.860 20 0 BFADHN COCCCCNC(C)(C)c1c(C)noc1C ZINC000353549961 174201123 /nfs/dbraw/zinc/20/11/23/174201123.db2.gz MBCQCPWLCXTDCW-UHFFFAOYSA-N 0 3 240.347 2.543 20 0 BFADHN COCCCCN[C@@H](C)c1cc(C)c(C)o1 ZINC000282388379 174202060 /nfs/dbraw/zinc/20/20/60/174202060.db2.gz XHSCRTPIELUQNS-NSHDSACASA-N 0 3 225.332 2.974 20 0 BFADHN COCCCN(C)CCC(=O)c1ccc(C)cc1 ZINC000062035228 174216494 /nfs/dbraw/zinc/21/64/94/174216494.db2.gz XBHYLEIZHWAOPZ-UHFFFAOYSA-N 0 3 249.354 2.536 20 0 BFADHN COCCCN(C)Cc1cc2ccccc2o1 ZINC000175174961 174218135 /nfs/dbraw/zinc/21/81/35/174218135.db2.gz ZJYXEGQRBORCBD-UHFFFAOYSA-N 0 3 233.311 2.901 20 0 BFADHN COCCCN(C)Cc1cccc(C)c1F ZINC000294965000 174218254 /nfs/dbraw/zinc/21/82/54/174218254.db2.gz WKEGLTXDQWWDBS-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN COCCCN[C@H](C)c1ccc(F)cc1F ZINC000020142591 174250835 /nfs/dbraw/zinc/25/08/35/174250835.db2.gz JBRBYEIQTJIIJP-SECBINFHSA-N 0 3 229.270 2.652 20 0 BFADHN COCCCNc1ccnc2ccc(C)cc21 ZINC000302170470 174252235 /nfs/dbraw/zinc/25/22/35/174252235.db2.gz UHJKSFPZPZESDP-UHFFFAOYSA-N 0 3 230.311 2.992 20 0 BFADHN COCCC[C@@H](C)N[C@H](C)c1ccccn1 ZINC000178127020 174261106 /nfs/dbraw/zinc/26/11/06/174261106.db2.gz QKCDJYXGNFCTDO-VXGBXAGGSA-N 0 3 222.332 2.547 20 0 BFADHN COCCC[C@@H](C)NCc1ccccc1F ZINC000178160214 174261354 /nfs/dbraw/zinc/26/13/54/174261354.db2.gz UCIMIRKFPSKDRE-LLVKDONJSA-N 0 3 225.307 2.730 20 0 BFADHN COCCN(C)CCc1ccc2ccccc2c1 ZINC000358181857 174278624 /nfs/dbraw/zinc/27/86/24/174278624.db2.gz BSGNTVSEHFGIAV-UHFFFAOYSA-N 0 3 243.350 2.961 20 0 BFADHN COCCN(C)[C@H](C)c1cc(C)ccc1C ZINC000338736428 174282773 /nfs/dbraw/zinc/28/27/73/174282773.db2.gz QHJSKAAWCZADBB-CYBMUJFWSA-N 0 3 221.344 2.943 20 0 BFADHN COCCN(C)[C@@H]1CCCC[C@H]1C(F)(F)F ZINC000361579327 174282959 /nfs/dbraw/zinc/28/29/59/174282959.db2.gz VLEDLNKGISRXRG-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN COCCN(Cc1ccc(C)o1)CC(C)C ZINC000125081988 174298649 /nfs/dbraw/zinc/29/86/49/174298649.db2.gz LIYYXGWYBAXXNY-UHFFFAOYSA-N 0 3 225.332 2.692 20 0 BFADHN COCCN1CCC(c2cccc(OC)c2)CC1 ZINC000299581710 174305405 /nfs/dbraw/zinc/30/54/05/174305405.db2.gz RGOCYDGNKRHQLS-UHFFFAOYSA-N 0 3 249.354 2.521 20 0 BFADHN COCCNCc1ccc(C(F)(F)F)s1 ZINC000336767176 174336218 /nfs/dbraw/zinc/33/62/18/174336218.db2.gz SIQULAKCFJVRBV-UHFFFAOYSA-N 0 3 239.262 2.503 20 0 BFADHN COCCN[C@H](C)c1ccccc1Cl ZINC000019881313 174340390 /nfs/dbraw/zinc/34/03/90/174340390.db2.gz INNDDLDMPNAEPF-SECBINFHSA-N 0 3 213.708 2.637 20 0 BFADHN COCCN[C@H](C)c1cc2cccc(OC)c2o1 ZINC000020085639 174340416 /nfs/dbraw/zinc/34/04/16/174340416.db2.gz JPRTWLPYXRRPDV-SNVBAGLBSA-N 0 3 249.310 2.738 20 0 BFADHN COCCN[C@]1(c2ccc(Cl)cc2)C[C@H]1C ZINC000336723310 174341078 /nfs/dbraw/zinc/34/10/78/174341078.db2.gz AQKSNGQEYGWCBH-ZWNOBZJWSA-N 0 3 239.746 2.811 20 0 BFADHN COCC[C@@H](C)NCc1ccc(C)cc1OC ZINC000124557669 174384424 /nfs/dbraw/zinc/38/44/24/174384424.db2.gz IAKGCGURVBBDIZ-GFCCVEGCSA-N 0 3 237.343 2.518 20 0 BFADHN COCC[C@@H](C)N[C@H](C)c1cccnc1Cl ZINC000152722499 174385434 /nfs/dbraw/zinc/38/54/34/174385434.db2.gz MKFRKWLCUKEUCH-NXEZZACHSA-N 0 3 242.750 2.811 20 0 BFADHN COCC[C@@H](NCc1ccccn1)C(C)(C)C ZINC000337939911 174393947 /nfs/dbraw/zinc/39/39/47/174393947.db2.gz AGRFNKSQFKDMTL-CYBMUJFWSA-N 0 3 236.359 2.622 20 0 BFADHN COCC[C@@H](NC(C)C)c1ccc(C)o1 ZINC000272692067 174394058 /nfs/dbraw/zinc/39/40/58/174394058.db2.gz XHCVGSPPNSWDBI-LLVKDONJSA-N 0 3 211.305 2.664 20 0 BFADHN COCC[C@@H](NCc1cc(C)on1)C(C)(C)C ZINC000338346077 174394288 /nfs/dbraw/zinc/39/42/88/174394288.db2.gz GWLPSJGKARIASJ-GFCCVEGCSA-N 0 3 240.347 2.524 20 0 BFADHN COCC[C@@H](N[C@H](C)C1CC1)c1ccco1 ZINC000272623134 174395661 /nfs/dbraw/zinc/39/56/61/174395661.db2.gz YNGIDCXOSDCGDN-ZYHUDNBSSA-N 0 3 223.316 2.745 20 0 BFADHN COCC[C@@H]1COCCN1CCCC(C)(C)C ZINC000368260851 174398052 /nfs/dbraw/zinc/39/80/52/174398052.db2.gz NOOOJMNAYUWREQ-CYBMUJFWSA-N 0 3 243.391 2.550 20 0 BFADHN COC[C@H](N[C@H]1CSc2ccccc21)C1CC1 ZINC000358343784 174587654 /nfs/dbraw/zinc/58/76/54/174587654.db2.gz UQEZECKNNCDQLX-STQMWFEESA-N 0 3 249.379 2.848 20 0 BFADHN COCc1ccccc1CNC[C@H]1CCC=CO1 ZINC000050269189 174634166 /nfs/dbraw/zinc/63/41/66/174634166.db2.gz JLBSWFAGWSANKM-OAHLLOKOSA-N 0 3 247.338 2.615 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@H]1CCc2c1cccc2F ZINC000290083904 174714204 /nfs/dbraw/zinc/71/42/04/174714204.db2.gz GYOBJNRBYPRNMA-BFVZDQMLSA-N 0 3 237.318 2.826 20 0 BFADHN CO[C@H](C)CNCc1ccccc1OC(C)C ZINC000191204949 174834754 /nfs/dbraw/zinc/83/47/54/174834754.db2.gz VBONZVLPTHIUKG-GFCCVEGCSA-N 0 3 237.343 2.598 20 0 BFADHN C[C@@H]1CCCN(Cc2ccnn2CC2CC2)[C@H]1C ZINC000667568271 487629249 /nfs/dbraw/zinc/62/92/49/487629249.db2.gz ZGCCXZNXXDIWRX-OLZOCXBDSA-N 0 3 247.386 2.914 20 0 BFADHN CO[C@H]1CCC[C@H]1CNCc1ccc(Cl)o1 ZINC000336775403 174883495 /nfs/dbraw/zinc/88/34/95/174883495.db2.gz YXSYVAFETHBRRA-ONGXEEELSA-N 0 3 243.734 2.838 20 0 BFADHN COc1cc([C@@H](C)NCC(C)C)c(F)cn1 ZINC000286798555 175013005 /nfs/dbraw/zinc/01/30/05/175013005.db2.gz AHVMQNOEYSKZER-SECBINFHSA-N 0 3 226.295 2.536 20 0 BFADHN COc1cc([C@H](C)NCC=C(C)C)ccn1 ZINC000336666990 175016036 /nfs/dbraw/zinc/01/60/36/175016036.db2.gz FIVZOJWNKITTBD-NSHDSACASA-N 0 3 220.316 2.707 20 0 BFADHN COc1cc2c(cc1CNC(C)C)O[C@H](C)C2 ZINC000060806155 175021696 /nfs/dbraw/zinc/02/16/96/175021696.db2.gz NUSTYOQTOKXEOE-SNVBAGLBSA-N 0 3 235.327 2.517 20 0 BFADHN COc1cc2c(cc1F)CC[C@@H]2NC1CC1 ZINC000281335405 175022698 /nfs/dbraw/zinc/02/26/98/175022698.db2.gz UFELKFZKQOEVPB-LBPRGKRZSA-N 0 3 221.275 2.574 20 0 BFADHN COc1ccc(C)cc1CCNCc1ccco1 ZINC000061557227 175074959 /nfs/dbraw/zinc/07/49/59/175074959.db2.gz YCVKXLRCFWGWSJ-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN COc1ccc(C)cc1CNC[C@@H]1CCCCO1 ZINC000042815248 175076247 /nfs/dbraw/zinc/07/62/47/175076247.db2.gz IOCGWZMIIXDLKS-AWEZNQCLSA-N 0 3 249.354 2.662 20 0 BFADHN COc1ccc(C)cc1[C@H](C)NC[C@@H](C)OC ZINC000182421362 175081333 /nfs/dbraw/zinc/08/13/33/175081333.db2.gz LNLFYFGZEQEIME-NEPJUHHUSA-N 0 3 237.343 2.689 20 0 BFADHN COc1ccc(CN(C)C2CCC2)c(OC)c1 ZINC000120541120 175094690 /nfs/dbraw/zinc/09/46/90/175094690.db2.gz GTKUKJPGUUICJD-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2CCCO2)cc1C ZINC000171392735 175095136 /nfs/dbraw/zinc/09/51/36/175095136.db2.gz QJOWQVNKIWGBIN-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN COc1ccc(CN2CCS[C@H](C)C2)cc1 ZINC000131400743 175100784 /nfs/dbraw/zinc/10/07/84/175100784.db2.gz XQZVSLNKHRAFSE-LLVKDONJSA-N 0 3 237.368 2.633 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C(C)(C)C2)cn1 ZINC000354023912 175101023 /nfs/dbraw/zinc/10/10/23/175101023.db2.gz HYFPMLCXSSCVSK-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccc(CN2CCSC[C@@H]2C)cc1 ZINC000179664904 175101221 /nfs/dbraw/zinc/10/12/21/175101221.db2.gz UOALBXXNOUZZSA-NSHDSACASA-N 0 3 237.368 2.633 20 0 BFADHN COc1ccc(CN2C[C@@H]3CCC[C@H]3C2)cc1O ZINC000156103796 175103185 /nfs/dbraw/zinc/10/31/85/175103185.db2.gz NJRLVGWXFXDGGF-STQMWFEESA-N 0 3 247.338 2.633 20 0 BFADHN COc1ccc(CNCCF)c2ccccc12 ZINC000280794595 175106884 /nfs/dbraw/zinc/10/68/84/175106884.db2.gz RMGGBIIWKBKXFG-UHFFFAOYSA-N 0 3 233.286 2.908 20 0 BFADHN COc1ccc(CN[C@H](C)CSC)cc1F ZINC000076769608 175111095 /nfs/dbraw/zinc/11/10/95/175111095.db2.gz AGNZCWVLQUUAMG-SECBINFHSA-N 0 3 243.347 2.675 20 0 BFADHN COc1ccc(CN[C@H]2CCC[C@H]2F)cc1 ZINC000339589451 175112219 /nfs/dbraw/zinc/11/22/19/175112219.db2.gz GWTAWNHOIPDRAM-OLZOCXBDSA-N 0 3 223.291 2.675 20 0 BFADHN COc1ccc(F)c(CNC[C@@H]2CC2(C)C)c1 ZINC000339250778 175125329 /nfs/dbraw/zinc/12/53/29/175125329.db2.gz JPDNVDUHVVGAER-NSHDSACASA-N 0 3 237.318 2.970 20 0 BFADHN COc1ccc(F)cc1CN1CC[C@@H](C)C1 ZINC000299692791 175129153 /nfs/dbraw/zinc/12/91/53/175129153.db2.gz ZPORYWPXQWHYSY-SNVBAGLBSA-N 0 3 223.291 2.676 20 0 BFADHN COc1ccc(F)cc1CNC1CCCC1 ZINC000057516086 175129655 /nfs/dbraw/zinc/12/96/55/175129655.db2.gz HOOCZJBBTTWWFU-UHFFFAOYSA-N 0 3 223.291 2.867 20 0 BFADHN COc1ccc(F)cc1CNC1(C)CCC1 ZINC000291000418 175129996 /nfs/dbraw/zinc/12/99/96/175129996.db2.gz BWLDDHLOTMNBQM-UHFFFAOYSA-N 0 3 223.291 2.867 20 0 BFADHN COc1ccc(F)cc1[C@H](C)NCCSC ZINC000154782035 175133146 /nfs/dbraw/zinc/13/31/46/175133146.db2.gz NBOIBDIVPQKNQS-VIFPVBQESA-N 0 3 243.347 2.848 20 0 BFADHN COc1ccc(F)cc1[C@H](C)NC[C@@H](C)OC ZINC000182426902 175133398 /nfs/dbraw/zinc/13/33/98/175133398.db2.gz OSFCJAVZYUKAPF-ZJUUUORDSA-N 0 3 241.306 2.520 20 0 BFADHN COc1ccc(OC)c(CNCC[C@H](C)F)c1 ZINC000339484825 175165059 /nfs/dbraw/zinc/16/50/59/175165059.db2.gz OGQICLHUOFZMPS-JTQLQIEISA-N 0 3 241.306 2.542 20 0 BFADHN COc1ccc(OC)c(CN[C@H](C)C2(C)CC2)c1 ZINC000340459682 175165398 /nfs/dbraw/zinc/16/53/98/175165398.db2.gz KCIBLJIEHUCZSD-LLVKDONJSA-N 0 3 249.354 2.982 20 0 BFADHN COc1ccc(OC)c(CN[C@@H]2CCC2(C)C)c1 ZINC000284533119 175165445 /nfs/dbraw/zinc/16/54/45/175165445.db2.gz XEEXWTRJARUWOM-CQSZACIVSA-N 0 3 249.354 2.982 20 0 BFADHN COc1ccc(OC)c([C@H](C)NCC2CC2)c1 ZINC000019884877 175168793 /nfs/dbraw/zinc/16/87/93/175168793.db2.gz VSNVPHIKEVMPGP-JTQLQIEISA-N 0 3 235.327 2.764 20 0 BFADHN COc1ccc(OCCCN2C[C@@H](C)[C@@H]2C)cc1 ZINC000339571605 175172708 /nfs/dbraw/zinc/17/27/08/175172708.db2.gz KTQRPDKKPGVASQ-OLZOCXBDSA-N 0 3 249.354 2.804 20 0 BFADHN COc1ccc(SC[C@H](C)N(C)C)cc1 ZINC000358848380 175175203 /nfs/dbraw/zinc/17/52/03/175175203.db2.gz BLSUNQXTIWNPFL-JTQLQIEISA-N 0 3 225.357 2.737 20 0 BFADHN COc1ccc([C@@H](C)N[C@H]2CC2(C)C)cc1O ZINC000290836353 175177805 /nfs/dbraw/zinc/17/78/05/175177805.db2.gz YCHBZZLBMSKPIG-RNCFNFMXSA-N 0 3 235.327 2.850 20 0 BFADHN COc1ccc([C@H](C)NC[C@H](C)OC)cc1F ZINC000182421206 175188812 /nfs/dbraw/zinc/18/88/12/175188812.db2.gz QZFJCYGAPCXZMT-UWVGGRQHSA-N 0 3 241.306 2.520 20 0 BFADHN COc1ccc([C@H](C)NCc2[nH]ncc2C)cc1 ZINC000290012895 175189800 /nfs/dbraw/zinc/18/98/00/175189800.db2.gz UOJKARRMSBYNEH-NSHDSACASA-N 0 3 245.326 2.578 20 0 BFADHN COc1ccc2c(c1)OCCN(CC(C)C)C2 ZINC000338633098 175212321 /nfs/dbraw/zinc/21/23/21/175212321.db2.gz MBKJFFNRHQVYDL-UHFFFAOYSA-N 0 3 235.327 2.546 20 0 BFADHN COc1ccc2c(c1)[C@@H](NC(C)C)CCO2 ZINC000035652791 175214701 /nfs/dbraw/zinc/21/47/01/175214701.db2.gz ZBWVKFIRWPTMJU-LBPRGKRZSA-N 0 3 221.300 2.517 20 0 BFADHN COc1cccc(C2(NCCSC)CC2)c1 ZINC000271729419 175248147 /nfs/dbraw/zinc/24/81/47/175248147.db2.gz SALUPAHOLRIHGZ-UHFFFAOYSA-N 0 3 237.368 2.637 20 0 BFADHN COc1cccc(C2(NCc3cc[nH]c3)CC2)c1 ZINC000268154652 175248501 /nfs/dbraw/zinc/24/85/01/175248501.db2.gz BYIPECXSDMRTGU-UHFFFAOYSA-N 0 3 242.322 2.802 20 0 BFADHN COc1cccc(CN(C)[C@@H](C)C2(C)CC2)n1 ZINC000177217656 175255332 /nfs/dbraw/zinc/25/53/32/175255332.db2.gz WQCPPUFSXCYBHD-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1cccc(CN(C)[C@H](C)C(C)C)n1 ZINC000264811178 175255858 /nfs/dbraw/zinc/25/58/58/175255858.db2.gz KFDYKQRPSPETRT-LLVKDONJSA-N 0 3 222.332 2.567 20 0 BFADHN COc1cccc(CN(C)CC2CC2)c1OC ZINC000178296976 175256212 /nfs/dbraw/zinc/25/62/12/175256212.db2.gz OSMZOTHZQAYIKX-UHFFFAOYSA-N 0 3 235.327 2.546 20 0 BFADHN COc1cccc(CN(C2CCC2)C2CCC2)n1 ZINC000292570417 175257318 /nfs/dbraw/zinc/25/73/18/175257318.db2.gz ZXQZVSJZNJPTRV-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN COc1cccc(CN2CCC[C@H]2C)c1OC ZINC000124347340 175258970 /nfs/dbraw/zinc/25/89/70/175258970.db2.gz CTJGRDVLKLFFJV-LLVKDONJSA-N 0 3 235.327 2.688 20 0 BFADHN COc1cccc(CNC23CCC(CC2)C3)n1 ZINC000364573557 175262991 /nfs/dbraw/zinc/26/29/91/175262991.db2.gz FJIMDYQUHOLCJT-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN COc1cccc(CNCC2(C3CC3)CCC2)n1 ZINC000191466451 175263875 /nfs/dbraw/zinc/26/38/75/175263875.db2.gz NFYFLTGFBLPOOW-UHFFFAOYSA-N 0 3 246.354 2.760 20 0 BFADHN COc1cccc(C[C@H](NCCF)C2CC2)c1 ZINC000273203235 175272487 /nfs/dbraw/zinc/27/24/87/175272487.db2.gz AHFFBXPLPUWSJQ-AWEZNQCLSA-N 0 3 237.318 2.575 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1CC1(C)C ZINC000285562209 175281439 /nfs/dbraw/zinc/28/14/39/175281439.db2.gz VLSQGLUQNKMBAR-CYBMUJFWSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc([C@H](C)N2CCOC[C@H](C)C2)c1 ZINC000336528016 175292140 /nfs/dbraw/zinc/29/21/40/175292140.db2.gz AEIBRUJEWSURSE-OLZOCXBDSA-N 0 3 249.354 2.725 20 0 BFADHN COc1cccc([C@H](C)NC[C@@H](OC)C2CC2)c1 ZINC000295092766 175293099 /nfs/dbraw/zinc/29/30/99/175293099.db2.gz UZLPPEHSGWBEII-XHDPSFHLSA-N 0 3 249.354 2.771 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2CCO[C@@H]2C)c1 ZINC000120349516 175293211 /nfs/dbraw/zinc/29/32/11/175293211.db2.gz MLYOAUDXSKMBEP-MISXGVKJSA-N 0 3 235.327 2.523 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2C[C@H](C)O[C@H]2C)c1 ZINC000296673784 175293510 /nfs/dbraw/zinc/29/35/10/175293510.db2.gz HGUVNRIQMGEIRX-JUFZMCDQSA-N 0 3 249.354 2.912 20 0 BFADHN COc1cccc2cc(CNC3CC3)oc21 ZINC000034995044 175306483 /nfs/dbraw/zinc/30/64/83/175306483.db2.gz YOXVDEWMNBDTQK-UHFFFAOYSA-N 0 3 217.268 2.693 20 0 BFADHN COc1ccccc1/C=C/CN(C)C[C@H](C)OC ZINC000289270402 175313819 /nfs/dbraw/zinc/31/38/19/175313819.db2.gz GHXFFFGXMNOXAP-XOVSCCBYSA-N 0 3 249.354 2.675 20 0 BFADHN COc1ccccc1CN(C)CC1CCOCC1 ZINC000172007072 175324856 /nfs/dbraw/zinc/32/48/56/175324856.db2.gz YFDWESNZLWCRQX-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN COc1ccccc1CN1CCC12CCC2 ZINC000353662549 175326064 /nfs/dbraw/zinc/32/60/64/175326064.db2.gz AGAZBXMQLZZMFQ-UHFFFAOYSA-N 0 3 217.312 2.824 20 0 BFADHN COc1ccccc1CN1CC[C@H](OC)C[C@H]1C ZINC000282484110 175327349 /nfs/dbraw/zinc/32/73/49/175327349.db2.gz XCRNBBRTEFCDSN-OCCSQVGLSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccccc1CN1CC[C@H](C)[C@@H](OC)C1 ZINC000188314659 175328044 /nfs/dbraw/zinc/32/80/44/175328044.db2.gz PSPBIEFGRDAPBI-WFASDCNBSA-N 0 3 249.354 2.552 20 0 BFADHN COc1ccccc1CN[C@@H]1CC1(C)C ZINC000044372000 175330218 /nfs/dbraw/zinc/33/02/18/175330218.db2.gz RQERCYLHJMXNAI-GFCCVEGCSA-N 0 3 205.301 2.583 20 0 BFADHN COc1ccccc1CN[C@@H]1CC[C@@H]1C ZINC000308790125 175330341 /nfs/dbraw/zinc/33/03/41/175330341.db2.gz HBTHVMTZOLFGGJ-CMPLNLGQSA-N 0 3 205.301 2.583 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1CCSC1 ZINC000020096630 175351784 /nfs/dbraw/zinc/35/17/84/175351784.db2.gz VVLMELSPLHRZJQ-QWRGUYRKSA-N 0 3 237.368 2.851 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1CCOC1(C)C ZINC000296589236 175352287 /nfs/dbraw/zinc/35/22/87/175352287.db2.gz UWTYXNYYIAXFEX-SMDDNHRTSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@](C)(O)C1CC1 ZINC000336796355 175352538 /nfs/dbraw/zinc/35/25/38/175352538.db2.gz RVZJVBAKFPYXHU-NHYWBVRUSA-N 0 3 249.354 2.507 20 0 BFADHN COc1ccnc(CN[C@H]2CCCC2(C)C)c1 ZINC000276981094 175359998 /nfs/dbraw/zinc/35/99/98/175359998.db2.gz YLODIGCOZHQVGZ-ZDUSSCGKSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccnc(CN2C[C@H](C)CC[C@@H]2C)c1 ZINC000266532486 175360512 /nfs/dbraw/zinc/36/05/12/175360512.db2.gz GBQNSIIBQNWDBF-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CN1CC[C@@H](C)C[C@H]1C ZINC000287903841 175369807 /nfs/dbraw/zinc/36/98/07/175369807.db2.gz UYGVGQXGQPDLJX-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CNCC1CCCCC1 ZINC000292668457 175371794 /nfs/dbraw/zinc/37/17/94/175371794.db2.gz MCGZTCCTNLMFCP-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN COc1ccncc1CN[C@@H]1CCCC[C@H]1C ZINC000287795349 175371798 /nfs/dbraw/zinc/37/17/98/175371798.db2.gz OEHKZQUEXQDKHP-DGCLKSJQSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccsc1CN(C)[C@@H](C)C1CC1 ZINC000271075328 175377477 /nfs/dbraw/zinc/37/74/77/175377477.db2.gz GMUNMOLRKZSKLA-VIFPVBQESA-N 0 3 225.357 2.987 20 0 BFADHN COc1ccsc1CN[C@@H]1CSC[C@@H]1C ZINC000308936053 175377958 /nfs/dbraw/zinc/37/79/58/175377958.db2.gz KVUWAHFIFILKOS-DTWKUNHWSA-N 0 3 243.397 2.598 20 0 BFADHN COc1ccsc1CN[C@@H]1CC[C@@H](F)C1 ZINC000308967889 175378962 /nfs/dbraw/zinc/37/89/62/175378962.db2.gz XCMHPDOEJRUTCU-RKDXNWHRSA-N 0 3 229.320 2.737 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@H]1CCSC1 ZINC000274578735 175379364 /nfs/dbraw/zinc/37/93/64/175379364.db2.gz KKXSBGGOFBLQQC-BDAKNGLRSA-N 0 3 243.397 2.913 20 0 BFADHN COc1ccsc1[C@H](C)N[C@@H]1CCCOC1 ZINC000274599072 175379780 /nfs/dbraw/zinc/37/97/80/175379780.db2.gz PGGFSVOULCEPIX-VHSXEESVSA-N 0 3 241.356 2.586 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@@H](C)[C@H](C)OC ZINC000276108051 175380083 /nfs/dbraw/zinc/38/00/83/175380083.db2.gz HGZAICPSJWCVNQ-AEJSXWLSSA-N 0 3 243.372 2.831 20 0 BFADHN COc1ccsc1[C@H](C)NCCC[C@@H](C)O ZINC000278957247 175380196 /nfs/dbraw/zinc/38/01/96/175380196.db2.gz ZVSZTRVKOJNXKB-ZJUUUORDSA-N 0 3 243.372 2.568 20 0 BFADHN COc1ccsc1[C@H](C)N[C@@H](C)C[C@H](C)O ZINC000336657512 175380307 /nfs/dbraw/zinc/38/03/07/175380307.db2.gz BBDNBUSBXGNVST-GUBZILKMSA-N 0 3 243.372 2.567 20 0 BFADHN COc1cncc(CN(C2CCC2)C2CCC2)c1 ZINC000292475932 175384689 /nfs/dbraw/zinc/38/46/89/175384689.db2.gz RTFJKOKHMPEJOU-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN COc1cncc(CN2CCC[C@H](C)[C@H]2C)c1 ZINC000290179160 175385095 /nfs/dbraw/zinc/38/50/95/175385095.db2.gz FEMPZMKWGBTGTE-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN2CC[C@H](CC3CC3)C2)c1 ZINC000339683719 175385530 /nfs/dbraw/zinc/38/55/30/175385530.db2.gz OMJCROOJPKBRRQ-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cncc(CN2CCC[C@@H]3CCC[C@H]32)c1 ZINC000290420787 175386109 /nfs/dbraw/zinc/38/61/09/175386109.db2.gz USJLAYQIBKOSFZ-DZGCQCFKSA-N 0 3 246.354 2.855 20 0 BFADHN CSCCCNCc1cccc(F)c1F ZINC000069631122 175487069 /nfs/dbraw/zinc/48/70/69/175487069.db2.gz IXQWYEULFWQULE-UHFFFAOYSA-N 0 3 231.311 2.808 20 0 BFADHN CSCCCN[C@@H](C)c1ncc(C)s1 ZINC000125986490 175487934 /nfs/dbraw/zinc/48/79/34/175487934.db2.gz LFBYUNSAYSJUFI-VIFPVBQESA-N 0 3 230.402 2.855 20 0 BFADHN C[C@@H](C(=O)Nc1ccccc1)N(C)CCC1CC1 ZINC000135276739 175621266 /nfs/dbraw/zinc/62/12/66/175621266.db2.gz ANJFJOAEHDIYEH-LBPRGKRZSA-N 0 3 246.354 2.746 20 0 BFADHN C[C@@H](CCCO)NCc1cc2ccccc2o1 ZINC000088503448 175668281 /nfs/dbraw/zinc/66/82/81/175668281.db2.gz UODRBFUOEGRAAN-NSHDSACASA-N 0 3 233.311 2.683 20 0 BFADHN C[C@@H](CO)NCc1ccc(-c2ccccc2)cc1 ZINC000035603746 175756031 /nfs/dbraw/zinc/75/60/31/175756031.db2.gz GHZBQMHKIXTVHS-ZDUSSCGKSA-N 0 3 241.334 2.824 20 0 BFADHN C[C@@H](Cc1ccc(O)cc1)NCc1cscn1 ZINC000105446940 175771365 /nfs/dbraw/zinc/77/13/65/175771365.db2.gz XVQGTHRRORHHDY-JTQLQIEISA-N 0 3 248.351 2.570 20 0 BFADHN C[C@@H](NC1CC1)c1cnn(C2CCCC2)c1 ZINC000336721955 175858166 /nfs/dbraw/zinc/85/81/66/175858166.db2.gz ANYSJRCSYIMWPC-SNVBAGLBSA-N 0 3 219.332 2.811 20 0 BFADHN C[C@@H](N[C@@H]1CCC(C)(C)C1)c1ncc[nH]1 ZINC000124788712 175912408 /nfs/dbraw/zinc/91/24/08/175912408.db2.gz HHIMFOJTFKKKNF-NXEZZACHSA-N 0 3 207.321 2.639 20 0 BFADHN C[C@@H](N[C@H]1CCSC1)c1cccnc1Cl ZINC000271565054 175927897 /nfs/dbraw/zinc/92/78/97/175927897.db2.gz YJJPUZJLBREOJF-BDAKNGLRSA-N 0 3 242.775 2.891 20 0 BFADHN C[C@@H](c1ccc(Cl)cc1)N(CCO)C1CC1 ZINC000177689734 176014841 /nfs/dbraw/zinc/01/48/41/176014841.db2.gz UJNHGQSUHZSZHR-JTQLQIEISA-N 0 3 239.746 2.858 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1C[C@H](O)C(C)(C)C1 ZINC000336251250 176014947 /nfs/dbraw/zinc/01/49/47/176014947.db2.gz KDNITRXHROQWJM-GWCFXTLKSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N(C)C[C@H]1CCCO1 ZINC000274275709 176015474 /nfs/dbraw/zinc/01/54/74/176015474.db2.gz IDQCOEJBRFKVKZ-SMDDNHRTSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CC[C@@H](C)[C@H](O)C1 ZINC000357576554 176018548 /nfs/dbraw/zinc/01/85/48/176018548.db2.gz ZMDZZCSQOHDYFJ-UHIISALHSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)C[C@@H]1CC[C@@H](C)O1 ZINC000188548739 176018866 /nfs/dbraw/zinc/01/88/66/176018866.db2.gz POVKXFUZCNFPDY-XUJVJEKNSA-N 0 3 249.354 2.953 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)C[C@@H]1CCCO1 ZINC000134513742 176019296 /nfs/dbraw/zinc/01/92/96/176019296.db2.gz LFDRWOQCVYUPGV-FZMZJTMJSA-N 0 3 235.327 2.564 20 0 BFADHN C[C@@H](c1ccccc1)N(C)Cc1cnc[nH]1 ZINC000179701521 176020455 /nfs/dbraw/zinc/02/04/55/176020455.db2.gz MOBXYDVXQAWDTO-NSHDSACASA-N 0 3 215.300 2.603 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)[C@@H]1CCC[C@H]1O ZINC000226284975 176025611 /nfs/dbraw/zinc/02/56/11/176025611.db2.gz UACBSGDGAKKKSR-ZLKJLUDKSA-N 0 3 237.318 2.732 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCN1CCCCC1 ZINC000162421652 176026265 /nfs/dbraw/zinc/02/62/65/176026265.db2.gz NWUJIYODSQTUIB-AWEZNQCLSA-N 0 3 247.386 2.560 20 0 BFADHN C[C@@H](c1ccccn1)N1CCSC[C@H](C)C1 ZINC000190413495 176027455 /nfs/dbraw/zinc/02/74/55/176027455.db2.gz GFMVJLFVLSZUMI-NEPJUHHUSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@@H](c1ccccn1)N1CC[C@H](O)CC(C)(C)C1 ZINC000295920975 176027292 /nfs/dbraw/zinc/02/72/92/176027292.db2.gz FIAVNPJTXQPZAL-STQMWFEESA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@H](c1cccnc1)N1CCC(CF)CC1 ZINC000295197236 176028590 /nfs/dbraw/zinc/02/85/90/176028590.db2.gz UROGYXHITTUNOW-NSHDSACASA-N 0 3 222.307 2.824 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCC1CC1 ZINC000269714747 176028836 /nfs/dbraw/zinc/02/88/36/176028836.db2.gz RALFECVXIPNQEY-NSHDSACASA-N 0 3 204.317 2.875 20 0 BFADHN C[C@@H](c1cccnc1)N(C)C[C@@H]1CCCOC1 ZINC000266704565 176028950 /nfs/dbraw/zinc/02/89/50/176028950.db2.gz DMPBXZCFXZEEOL-STQMWFEESA-N 0 3 234.343 2.501 20 0 BFADHN C[C@@H](c1cccnc1)N1CC[C@H](O)CC(C)(C)C1 ZINC000295297096 176030113 /nfs/dbraw/zinc/03/01/13/176030113.db2.gz HACIKBFYGGKMSM-JSGCOSHPSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@H](c1ccco1)N(C)C[C@H]1CCCOC1 ZINC000336710506 176031046 /nfs/dbraw/zinc/03/10/46/176031046.db2.gz ZHCDJYSYZYJUHN-NWDGAFQWSA-N 0 3 223.316 2.699 20 0 BFADHN C[C@@H](c1cccs1)N(C)CCC(C)(C)O ZINC000086234107 176032753 /nfs/dbraw/zinc/03/27/53/176032753.db2.gz MTDZUKRDAXQKRG-JTQLQIEISA-N 0 3 227.373 2.902 20 0 BFADHN C[C@@H](c1cnccn1)N1CC[C@@H](C)[C@@H](C)C1 ZINC000184704821 176036841 /nfs/dbraw/zinc/03/68/41/176036841.db2.gz QWYVLBKDCXANRM-WOPDTQHZSA-N 0 3 219.332 2.516 20 0 BFADHN C[C@@H]1CCCCN(Cc2cncs2)C1 ZINC000187517371 176065647 /nfs/dbraw/zinc/06/56/47/176065647.db2.gz KAPHGNCUVIDBIJ-SNVBAGLBSA-N 0 3 210.346 2.765 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN[C@H]1CCn2ccnc21 ZINC000345359157 176077488 /nfs/dbraw/zinc/07/74/88/176077488.db2.gz XFBZCDRJWXHQAB-UPJWGTAASA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H]1CCCC[C@@H]1NCc1nccs1 ZINC000040444052 176081920 /nfs/dbraw/zinc/08/19/20/176081920.db2.gz DMKBZJLXUDLGDN-ZJUUUORDSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@@H]1CCCC[C@@H]1OCCN1CCCC1 ZINC000120024081 176082616 /nfs/dbraw/zinc/08/26/16/176082616.db2.gz JCAJZGTUFQPRIF-OLZOCXBDSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@@H]1CCCC[C@H]1OCCN1CCCC1 ZINC000120024431 176089524 /nfs/dbraw/zinc/08/95/24/176089524.db2.gz JCAJZGTUFQPRIF-CHWSQXEVSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@@H]1CCCC[C@]1(C)NCc1cnccn1 ZINC000308759959 176090191 /nfs/dbraw/zinc/09/01/91/176090191.db2.gz WVTGMCYPVXZVII-YPMHNXCESA-N 0 3 219.332 2.535 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1C(=O)c1cccc(CN)c1 ZINC000019398038 176115073 /nfs/dbraw/zinc/11/50/73/176115073.db2.gz MONYLWLZEXOROK-VXGBXAGGSA-N 0 3 246.354 2.548 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCOC[C@H]2C)C1 ZINC000356958897 176117879 /nfs/dbraw/zinc/11/78/79/176117879.db2.gz VZCXZHPFXUPLIW-JHJVBQTASA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H]1CCC[C@@H](CN[C@@H]2CCn3ccnc32)C1 ZINC000345342124 176119532 /nfs/dbraw/zinc/11/95/32/176119532.db2.gz FTNPXMMFNLQJIY-JHJVBQTASA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2cocn2)CC1 ZINC000277017303 176124005 /nfs/dbraw/zinc/12/40/05/176124005.db2.gz MGJLDGZURLKXMS-GHMZBOCLSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1nccn1C(F)F ZINC000070831326 176131755 /nfs/dbraw/zinc/13/17/55/176131755.db2.gz KESFJCDJWNVFMM-BDAKNGLRSA-N 0 3 229.274 2.556 20 0 BFADHN C[C@@H]1CCC[C@H](CN2C[C@@H](C)OC[C@H]2C)C1 ZINC000356954169 176137945 /nfs/dbraw/zinc/13/79/45/176137945.db2.gz BNUKXONICIELLG-SYQHCUMBSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@@H]1CCN(C/C=C/Cl)C[C@@H]1n1ccnc1 ZINC000129395208 176166572 /nfs/dbraw/zinc/16/65/72/176166572.db2.gz SKDIUJPSKMIRLP-OURHSOLNSA-N 0 3 239.750 2.519 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(C(F)(F)F)cn2)C1 ZINC000277585499 176173475 /nfs/dbraw/zinc/17/34/75/176173475.db2.gz CRBJVOHNBCOTAC-SECBINFHSA-N 0 3 244.260 2.942 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(CO)o2)CC12CCC2 ZINC000272412808 176174209 /nfs/dbraw/zinc/17/42/09/176174209.db2.gz ZUJFTRKWZIRHKW-GFCCVEGCSA-N 0 3 249.354 2.784 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3nccn32)C[C@@H]1C ZINC000180307110 176176654 /nfs/dbraw/zinc/17/66/54/176176654.db2.gz NTVKFDGBEMXBMQ-OLZOCXBDSA-N 0 3 243.354 2.812 20 0 BFADHN C[C@@H]1CCN(Cc2cn3ccccc3n2)[C@@H]1C ZINC000177893646 176176920 /nfs/dbraw/zinc/17/69/20/176176920.db2.gz JDGSBYAFESNMRD-VXGBXAGGSA-N 0 3 229.327 2.565 20 0 BFADHN C[C@H](C(=O)Nc1ccccc1)N1CC[C@@H](C)[C@H]1C ZINC000248344703 176180283 /nfs/dbraw/zinc/18/02/83/176180283.db2.gz LXJSFCARDFFBIW-JHJVBQTASA-N 0 3 246.354 2.744 20 0 BFADHN C[C@@H]1CCN([C@H]2CCc3ccc(F)cc32)C[C@@H]1O ZINC000357565762 176180497 /nfs/dbraw/zinc/18/04/97/176180497.db2.gz FAVONNCWTGIFHX-ONERCXAPSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@@H](C)[C@@H](O)C1 ZINC000305768014 176181019 /nfs/dbraw/zinc/18/10/19/176181019.db2.gz UBIUCVZJNVTYLJ-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2ccc(F)cn2)C1 ZINC000276189627 176197916 /nfs/dbraw/zinc/19/79/16/176197916.db2.gz IBXGOVJZCRMUEN-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC000331551572 176200163 /nfs/dbraw/zinc/20/01/63/176200163.db2.gz RTUDZDLAANSUFU-CKYFFXLPSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC000331551576 176200178 /nfs/dbraw/zinc/20/01/78/176200178.db2.gz RTUDZDLAANSUFU-FOGDFJRCSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@H](c2cccnc2)C2CC2)O1 ZINC000355281474 176206120 /nfs/dbraw/zinc/20/61/20/176206120.db2.gz WKTCTCNPKZPAJL-UGFHNGPFSA-N 0 3 246.354 2.690 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ccon2)[C@H](C)C1 ZINC000088052752 176212882 /nfs/dbraw/zinc/21/28/82/176212882.db2.gz DDTONRPKZYRAAS-CKYFFXLPSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1CC[C@H](NCc2cscn2)CC1 ZINC000252949476 176213013 /nfs/dbraw/zinc/21/30/13/176213013.db2.gz CANZIFUYLUXSFZ-MGCOHNPYSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@H]1CC[C@H](NCc2c(Cl)cnn2C)CC1 ZINC000131358192 176213041 /nfs/dbraw/zinc/21/30/41/176213041.db2.gz BWJWFMSGPIVTOD-AOOOYVTPSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2nccn2C(F)F)C1 ZINC000121982266 176213283 /nfs/dbraw/zinc/21/32/83/176213283.db2.gz KVJPZNMUEARSOM-RKDXNWHRSA-N 0 3 229.274 2.556 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@@H](C)C1)c1nnc2ccccn21 ZINC000227191197 176214657 /nfs/dbraw/zinc/21/46/57/176214657.db2.gz MVZWFTQHTADCMW-IJLUTSLNSA-N 0 3 244.342 2.569 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1cc(F)c(F)cc1F ZINC000336649409 176216763 /nfs/dbraw/zinc/21/67/63/176216763.db2.gz GXFGYPMEWSAJAG-KRTXAFLBSA-N 0 3 229.245 2.992 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1cnn(-c2ccccc2)c1 ZINC000310951370 176217349 /nfs/dbraw/zinc/21/73/49/176217349.db2.gz RGEHVVCUCSWLKJ-DOMZBBRYSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC[C@@H]2c2cccn2C)O1 ZINC000190749746 176224190 /nfs/dbraw/zinc/22/41/90/176224190.db2.gz VWKYQIJZIANIAF-UMVBOHGHSA-N 0 3 248.370 2.730 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2cc(F)ccc2F)O1 ZINC000128492445 176226832 /nfs/dbraw/zinc/22/68/32/176226832.db2.gz DRXMDLPAKQOYEY-BXKDBHETSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1CN(C)CCN1CCCC1CCCC1 ZINC000361620200 176267859 /nfs/dbraw/zinc/26/78/59/176267859.db2.gz PJCVWHQWIXWXKW-CYBMUJFWSA-N 0 3 224.392 2.593 20 0 BFADHN C[C@@H]1CN(CC2(C)CCC2)C[C@@H](C2CC2)O1 ZINC000355219311 176284226 /nfs/dbraw/zinc/28/42/26/176284226.db2.gz MASZZQMKQPENPX-YPMHNXCESA-N 0 3 223.360 2.676 20 0 BFADHN C[C@@H]1CN(CC2CCC(=O)CC2)CCC1(F)F ZINC000336254318 176286070 /nfs/dbraw/zinc/28/60/70/176286070.db2.gz VFBGRBKQFJTPKU-SNVBAGLBSA-N 0 3 245.313 2.723 20 0 BFADHN C[C@@H]1CN(CC2CC2)[C@@H](c2ccccc2)CO1 ZINC000268624147 176286932 /nfs/dbraw/zinc/28/69/32/176286932.db2.gz ATFWWIFBDHBZPM-IUODEOHRSA-N 0 3 231.339 2.858 20 0 BFADHN C[C@@H]1CN(C[C@H]2C[C@H]2c2ccccc2)CCO1 ZINC000339584297 176300380 /nfs/dbraw/zinc/30/03/80/176300380.db2.gz GDZCKQKLVOSWJM-YUELXQCFSA-N 0 3 231.339 2.511 20 0 BFADHN C[C@@H]1CN(Cc2ccc(-n3ccnc3)cc2)[C@@H]1C ZINC000292880188 176302991 /nfs/dbraw/zinc/30/29/91/176302991.db2.gz CAZXDQRICYPTFZ-CHWSQXEVSA-N 0 3 241.338 2.713 20 0 BFADHN C[C@@H]1CN(Cc2ccc3c(c2)CCO3)CCS1 ZINC000111221929 176304879 /nfs/dbraw/zinc/30/48/79/176304879.db2.gz CIZRXQOIMVXHIA-LLVKDONJSA-N 0 3 249.379 2.559 20 0 BFADHN C[C@@H]1CN(Cc2cccc3[nH]ccc32)C[C@@H](C)O1 ZINC000127040828 176306169 /nfs/dbraw/zinc/30/61/69/176306169.db2.gz MUCMNBNUKRNNQD-VXGBXAGGSA-N 0 3 244.338 2.777 20 0 BFADHN C[C@@H]1CN(Cc2cncs2)C(C)(C)C1 ZINC000271182897 176310958 /nfs/dbraw/zinc/31/09/58/176310958.db2.gz LPUCKFPDIXHVMJ-VIFPVBQESA-N 0 3 210.346 2.764 20 0 BFADHN C[C@H](c1ccccc1F)N1CCCO[C@H](C)C1 ZINC000245403466 176316974 /nfs/dbraw/zinc/31/69/74/176316974.db2.gz LSNZCBQDCJSFEY-VXGBXAGGSA-N 0 3 237.318 2.998 20 0 BFADHN C[C@@H]1COCC[C@@H]1NCc1ccccc1Cl ZINC000191754625 176341483 /nfs/dbraw/zinc/34/14/83/176341483.db2.gz HQWXVPHKEFKYJX-MFKMUULPSA-N 0 3 239.746 2.855 20 0 BFADHN C[C@@H]1COCC[C@H]1NC1(c2ccccc2F)CC1 ZINC000195097662 176344009 /nfs/dbraw/zinc/34/40/09/176344009.db2.gz HTHQJMCGTUONHQ-BXUZGUMPSA-N 0 3 249.329 2.829 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1ccc(Cl)cn1 ZINC000309163042 176352916 /nfs/dbraw/zinc/35/29/16/176352916.db2.gz ZVNHYYFTVUOGMA-KCJUWKMLSA-N 0 3 242.775 2.576 20 0 BFADHN C[C@@H]1CSC[C@@H]1N[C@@H]1c2ccccc2O[C@H]1C ZINC000296062415 176354428 /nfs/dbraw/zinc/35/44/28/176354428.db2.gz PIRIJVJPHIYTAV-IHOMSZDFSA-N 0 3 249.379 2.850 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1cn(C)nc1C(C)(C)C ZINC000336608522 176363716 /nfs/dbraw/zinc/36/37/16/176363716.db2.gz DOQQHHXAICULCA-GHMZBOCLSA-N 0 3 235.375 2.700 20 0 BFADHN C[C@@H]1C[C@@H](NCCC(C)(C)C)c2nccn21 ZINC000345365591 176375350 /nfs/dbraw/zinc/37/53/50/176375350.db2.gz YPVURLJAYBCFHS-GHMZBOCLSA-N 0 3 221.348 2.915 20 0 BFADHN C[C@@H]1C[C@@H](NCC2(C)CCC2)c2nccn21 ZINC000335769220 176375506 /nfs/dbraw/zinc/37/55/06/176375506.db2.gz CMSYCEFVIRGKPV-GHMZBOCLSA-N 0 3 219.332 2.669 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccccc2F)CN1C1CC1 ZINC000122325235 176377247 /nfs/dbraw/zinc/37/72/47/176377247.db2.gz OEWXNBPECYEIAV-DGCLKSJQSA-N 0 3 248.345 2.541 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(Cl)o2)CS1 ZINC000307437581 176377266 /nfs/dbraw/zinc/37/72/66/176377266.db2.gz UREXGAUSLMIMNF-HTQZYQBOSA-N 0 3 231.748 2.917 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2C=C[C@H](CO)C2)c2ccccc21 ZINC000289223016 176378695 /nfs/dbraw/zinc/37/86/95/176378695.db2.gz LKBWLRXMGONOND-OQMKEHIESA-N 0 3 243.350 2.762 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCOC[C@@H]1CC1CCC1 ZINC000354727525 176391704 /nfs/dbraw/zinc/39/17/04/176391704.db2.gz FUXNQBGOHBZGNM-BNOWGMLFSA-N 0 3 223.360 2.533 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCOC[C@H]1CC1CCC1 ZINC000354727527 176391709 /nfs/dbraw/zinc/39/17/09/176391709.db2.gz FUXNQBGOHBZGNM-MRVWCRGKSA-N 0 3 223.360 2.533 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1cccc(F)c1F ZINC000122950179 176396849 /nfs/dbraw/zinc/39/68/49/176396849.db2.gz WQIKJOWBCQSLLN-PSASIEDQSA-N 0 3 211.255 2.710 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@H]2CCC[C@H]2O)o1 ZINC000252182801 176407595 /nfs/dbraw/zinc/40/75/95/176407595.db2.gz TWKCVJLYDZDXDD-UVLXDEKHSA-N 0 3 249.354 2.654 20 0 BFADHN Cc1nnc(CN[C@@H]2CCCC23CCCCC3)[nH]1 ZINC000313030856 487634018 /nfs/dbraw/zinc/63/40/18/487634018.db2.gz BYJITRNOKLQRMH-GFCCVEGCSA-N 0 3 248.374 2.706 20 0 BFADHN CC[C@H](O)CN[C@@H](C)c1csc(Cl)c1 ZINC000234029872 487634078 /nfs/dbraw/zinc/63/40/78/487634078.db2.gz KDEWBPKNLHTCPD-CBAPKCEASA-N 0 3 233.764 2.823 20 0 BFADHN CCCn1cc(CN2C[C@@H]3CCCC[C@@H]32)cn1 ZINC000668299440 487634441 /nfs/dbraw/zinc/63/44/41/487634441.db2.gz FJMGMIXYUATZPV-KBPBESRZSA-N 0 3 233.359 2.668 20 0 BFADHN C[C@@]1(NCc2ccsc2)CCO[C@@H]1C1CC1 ZINC000273086600 176540135 /nfs/dbraw/zinc/54/01/35/176540135.db2.gz KCJDCCXYVPIAEY-CHWSQXEVSA-N 0 3 237.368 2.795 20 0 BFADHN C[C@H](CCCO)N[C@H](C)c1ccc(F)cc1F ZINC000094942611 176623727 /nfs/dbraw/zinc/62/37/27/176623727.db2.gz TZNDCHLMZZINNT-NXEZZACHSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@H](NC1CCOCC1)c1c(F)cccc1F ZINC000036319260 176830974 /nfs/dbraw/zinc/83/09/74/176830974.db2.gz GDHSJPMUEZQJCJ-VIFPVBQESA-N 0 3 241.281 2.794 20 0 BFADHN C[C@H](NC[C@@H]1CCCSC1)c1ccccn1 ZINC000287142846 176861747 /nfs/dbraw/zinc/86/17/47/176861747.db2.gz SIZLANPEBGGVLW-RYUDHWBXSA-N 0 3 236.384 2.875 20 0 BFADHN C[C@H](NCc1ccc(F)cc1F)[C@@H]1CCCO1 ZINC000020205353 176875639 /nfs/dbraw/zinc/87/56/39/176875639.db2.gz IPGXLDPHCPMMHO-ZANVPECISA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@@H]1O)c1ccccc1F ZINC000042237388 176895346 /nfs/dbraw/zinc/89/53/46/176895346.db2.gz AJWQJOIZPYOVDM-GDLCADMTSA-N 0 3 237.318 2.780 20 0 BFADHN C[C@H](N[C@@H]1CSC1(C)C)c1ccncc1 ZINC000283443509 176905278 /nfs/dbraw/zinc/90/52/78/176905278.db2.gz UBHUQRISKRXZEP-GXSJLCMTSA-N 0 3 222.357 2.626 20 0 BFADHN C[C@H](CSC(C)(C)C)N[C@@H](C)c1cn[nH]c1 ZINC000271514187 176911157 /nfs/dbraw/zinc/91/11/57/176911157.db2.gz NNLRWHLWTIDKBX-ZJUUUORDSA-N 0 3 241.404 2.981 20 0 BFADHN C[C@H](O)[C@@H]1CCN(Cc2ccccc2Cl)C1 ZINC000166083065 177009721 /nfs/dbraw/zinc/00/97/21/177009721.db2.gz DORPJJJJJNZFMI-WDEREUQCSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H](O)[C@H]1CCCCN1Cc1ccccc1F ZINC000264478208 177015030 /nfs/dbraw/zinc/01/50/30/177015030.db2.gz ZJPTXKQYOFPCII-SMDDNHRTSA-N 0 3 237.318 2.561 20 0 BFADHN C[C@H](O)[C@H]1CCN(Cc2ccc3occc3c2)C1 ZINC000290191814 177016069 /nfs/dbraw/zinc/01/60/69/177016069.db2.gz CFDNASLCNVTPHY-FZMZJTMJSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@H](O)[C@H]1CCN(Cc2sccc2Cl)C1 ZINC000194476276 177016251 /nfs/dbraw/zinc/01/62/51/177016251.db2.gz SOXVEASATZBIOP-IUCAKERBSA-N 0 3 245.775 2.604 20 0 BFADHN C[C@H](c1ccc(C(F)(F)F)cc1)N(C)CCO ZINC000267133913 177033103 /nfs/dbraw/zinc/03/31/03/177033103.db2.gz FMBQUGDVDUDSNI-SECBINFHSA-N 0 3 247.260 2.691 20 0 BFADHN C[C@H](c1ccccn1)N(C)CC1CCC1 ZINC000177160778 177042425 /nfs/dbraw/zinc/04/24/25/177042425.db2.gz YGDCHTULVUJURV-LLVKDONJSA-N 0 3 204.317 2.875 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCc1cscn1 ZINC000295068019 177042463 /nfs/dbraw/zinc/04/24/63/177042463.db2.gz ZNAVYBGNXURWQP-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN C[C@H](c1cccnc1)N1CCC(CCCO)CC1 ZINC000360087952 177044725 /nfs/dbraw/zinc/04/47/25/177044725.db2.gz ZDGKHIOUJFKJNO-CYBMUJFWSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCc1cccnc1 ZINC000180789758 177045684 /nfs/dbraw/zinc/04/56/84/177045684.db2.gz WZWUWMBLQAOCHR-CYBMUJFWSA-N 0 3 241.338 2.712 20 0 BFADHN C[C@H](c1cccs1)N(C)CCC(C)(C)O ZINC000086234109 177049307 /nfs/dbraw/zinc/04/93/07/177049307.db2.gz MTDZUKRDAXQKRG-SNVBAGLBSA-N 0 3 227.373 2.902 20 0 BFADHN C[C@H](c1cnccn1)N1CCC(C)(C)CC1 ZINC000336562634 177050853 /nfs/dbraw/zinc/05/08/53/177050853.db2.gz DLLOPUUWQXMHJU-LLVKDONJSA-N 0 3 219.332 2.660 20 0 BFADHN C[C@H]1CC(C)(C)C[C@@H]1[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000333332707 177063700 /nfs/dbraw/zinc/06/37/00/177063700.db2.gz REDZWHJWVNJFTO-ONGXEEELSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H]1CC(C)(C)C[C@@H]1NCc1nnc(C2CC2)[nH]1 ZINC000333332707 177063701 /nfs/dbraw/zinc/06/37/01/177063701.db2.gz REDZWHJWVNJFTO-ONGXEEELSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H]1CC(O)C[C@H](C)N1Cc1ccccc1F ZINC000191196427 177066108 /nfs/dbraw/zinc/06/61/08/177066108.db2.gz JDQGBSUUYUDGMT-QWRGUYRKSA-N 0 3 237.318 2.559 20 0 BFADHN C[C@H]1CCCCN1CCNc1cccc(F)n1 ZINC000299152721 177074511 /nfs/dbraw/zinc/07/45/11/177074511.db2.gz NKFNPJMCGLCTPQ-NSHDSACASA-N 0 3 237.322 2.507 20 0 BFADHN C[C@@H](NCCN1CCCC[C@@H]1C)c1ccco1 ZINC000226769728 177075723 /nfs/dbraw/zinc/07/57/23/177075723.db2.gz XCCHLEGYMSXQOQ-QWHCGFSZSA-N 0 3 236.359 2.805 20 0 BFADHN C[C@H]1CCCC[C@@]1(C)NCc1cnccn1 ZINC000308759958 177084898 /nfs/dbraw/zinc/08/48/98/177084898.db2.gz WVTGMCYPVXZVII-WCQYABFASA-N 0 3 219.332 2.535 20 0 BFADHN C[C@H]1CCCC[C@H]1CCNCc1ncc[nH]1 ZINC000309446777 177085627 /nfs/dbraw/zinc/08/56/27/177085627.db2.gz LNOJULJGDXTZEU-RYUDHWBXSA-N 0 3 221.348 2.716 20 0 BFADHN C[C@H]1CCCC[C@]1(C)NCc1ncccn1 ZINC000308711639 177090418 /nfs/dbraw/zinc/09/04/18/177090418.db2.gz OWBMIVRNAFBJDO-AAEUAGOBSA-N 0 3 219.332 2.535 20 0 BFADHN CCOc1ccc(CN2CCC[C@H]2C2CC2)nc1 ZINC000674862977 487636961 /nfs/dbraw/zinc/63/69/61/487636961.db2.gz DIKZLOQPZWWFFL-HNNXBMFYSA-N 0 3 246.354 2.855 20 0 BFADHN C[C@H]1CCCN1CCC(=O)c1ccccc1 ZINC000053931527 177108635 /nfs/dbraw/zinc/10/86/35/177108635.db2.gz BEZZBOZZFWTDQC-LBPRGKRZSA-N 0 3 217.312 2.744 20 0 BFADHN C[C@H]1CCCN1C[C@H](O)c1ccccc1Cl ZINC000076116085 177108885 /nfs/dbraw/zinc/10/88/85/177108885.db2.gz YLAXIDURFANEDF-GWCFXTLKSA-N 0 3 239.746 2.858 20 0 BFADHN C[C@@H]1CCC[C@H](C)CN1CC(=O)OC(C)(C)C ZINC000357718288 177114799 /nfs/dbraw/zinc/11/47/99/177114799.db2.gz VLINEGQSDIMSNU-NWDGAFQWSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1Cc1cccc(C(N)=O)c1 ZINC000075020944 177116695 /nfs/dbraw/zinc/11/66/95/177116695.db2.gz JTXVMHXIUITRNF-TXEJJXNPSA-N 0 3 246.354 2.548 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1Cc1ccccn1 ZINC000066665451 177117562 /nfs/dbraw/zinc/11/75/62/177117562.db2.gz FUBISUFEXDSKMJ-TXEJJXNPSA-N 0 3 204.317 2.845 20 0 BFADHN C[C@H]1CCC[C@H](C)N1C(=O)c1cccc(CN)c1 ZINC000019398043 177131170 /nfs/dbraw/zinc/13/11/70/177131170.db2.gz MONYLWLZEXOROK-RYUDHWBXSA-N 0 3 246.354 2.548 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1ccn(C(F)F)n1 ZINC000341468982 177140422 /nfs/dbraw/zinc/14/04/22/177140422.db2.gz AXVNZYHDAOWFRU-UWVGGRQHSA-N 0 3 243.301 2.804 20 0 BFADHN CCOc1ccc(CN(C)[C@@H](C)CC)nc1 ZINC000674862571 487637114 /nfs/dbraw/zinc/63/71/14/487637114.db2.gz DAAMUHFFAWBWQW-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN C[C@H]1CN(CCC(F)(F)F)CC[C@@H]1C ZINC000122982132 177158667 /nfs/dbraw/zinc/15/86/67/177158667.db2.gz BIQXOSJFQFMRNA-IUCAKERBSA-N 0 3 209.255 2.917 20 0 BFADHN C[C@H]1CCN(Cc2cccnc2N)CC12CCC2 ZINC000296192604 177164610 /nfs/dbraw/zinc/16/46/10/177164610.db2.gz DSEIOGDHKJTANS-LBPRGKRZSA-N 0 3 245.370 2.676 20 0 BFADHN C[C@H]1CCN(Cc2cnn(-c3ccccc3)c2)C1 ZINC000263689149 177166819 /nfs/dbraw/zinc/16/68/19/177166819.db2.gz JNUCSCDRIDCCPO-ZDUSSCGKSA-N 0 3 241.338 2.714 20 0 BFADHN C[C@H]1CCN(Cc2ccnn2-c2ccccc2)C1 ZINC000279775873 177166942 /nfs/dbraw/zinc/16/69/42/177166942.db2.gz GXROQEQYKBUCTF-ZDUSSCGKSA-N 0 3 241.338 2.714 20 0 BFADHN C[C@H]1CCOCCN1C/C=C/c1ccccc1 ZINC000362234594 177177585 /nfs/dbraw/zinc/17/75/85/177177585.db2.gz IERGENXDWXJAJM-GPAKFWEMSA-N 0 3 231.339 2.811 20 0 BFADHN C[C@H]1CCSCCN1Cc1ccco1 ZINC000191822085 177180059 /nfs/dbraw/zinc/18/00/59/177180059.db2.gz AEPDMZYIWRQYSR-JTQLQIEISA-N 0 3 211.330 2.607 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2ccc(F)cn2)C1 ZINC000276189631 177182733 /nfs/dbraw/zinc/18/27/33/177182733.db2.gz IBXGOVJZCRMUEN-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2cccc3nccn32)C1 ZINC000180310469 177183694 /nfs/dbraw/zinc/18/36/94/177183694.db2.gz GLAWIGCDIUYETO-OLZOCXBDSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1cncc(F)c1 ZINC000275123377 177184938 /nfs/dbraw/zinc/18/49/38/177184938.db2.gz JSWSLLIVKLPCFR-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CC[C@@H](CN2CCC[C@@H]2c2cccn2C)O1 ZINC000190749793 177189517 /nfs/dbraw/zinc/18/95/17/177189517.db2.gz VWKYQIJZIANIAF-KCQAQPDRSA-N 0 3 248.370 2.730 20 0 BFADHN C[C@H]1CC[C@@H](CN(C)CCOc2ccccc2)O1 ZINC000188535555 177189810 /nfs/dbraw/zinc/18/98/10/177189810.db2.gz PJJGZXMHDVBFQH-ZFWWWQNUSA-N 0 3 249.354 2.565 20 0 BFADHN C[C@H]1CC[C@@H](CN2CCCc3occc3C2)O1 ZINC000288880822 177189930 /nfs/dbraw/zinc/18/99/30/177189930.db2.gz REFHEDJKSVLRGH-AAEUAGOBSA-N 0 3 235.327 2.595 20 0 BFADHN C[C@H]1CC[C@@H]([NH2+]Cc2ccc([O-])c(F)c2)C1 ZINC000164617799 177193137 /nfs/dbraw/zinc/19/31/37/177193137.db2.gz LWBIHUPUMDXLPW-GXSJLCMTSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1cc(F)c(F)c(F)c1 ZINC000309468161 177195386 /nfs/dbraw/zinc/19/53/86/177195386.db2.gz WGCLWHOAWRRZAO-CPCISQLKSA-N 0 3 229.245 2.992 20 0 BFADHN C[C@H]1CC[C@@]2(CCN(CCOCC(F)F)C2)C1 ZINC000372749195 177196397 /nfs/dbraw/zinc/19/63/97/177196397.db2.gz LPXLNTKOYKQNCS-WCQYABFASA-N 0 3 247.329 2.780 20 0 BFADHN C[C@H]1CC[C@H](CN(C)CCOc2ccccc2)O1 ZINC000188535507 177200768 /nfs/dbraw/zinc/20/07/68/177200768.db2.gz PJJGZXMHDVBFQH-DZGCQCFKSA-N 0 3 249.354 2.565 20 0 BFADHN C[C@H]1CC[C@H](CN(C)Cc2ccccc2)O1 ZINC000190327138 177201334 /nfs/dbraw/zinc/20/13/34/177201334.db2.gz PYMOJWNUCUGCMY-GXTWGEPZSA-N 0 3 219.328 2.686 20 0 BFADHN C[C@H]1CC[C@H]1NCc1c(F)cccc1F ZINC000336687254 177207772 /nfs/dbraw/zinc/20/77/72/177207772.db2.gz LIODWDYNMBDZFY-QPUJVOFHSA-N 0 3 211.255 2.853 20 0 BFADHN C[C@H]1CN(C)C[C@H]1c1nc(C2CCCCC2)no1 ZINC000359911161 177239914 /nfs/dbraw/zinc/23/99/14/177239914.db2.gz KWPFIXZGTBDMRW-CMPLNLGQSA-N 0 3 249.358 2.782 20 0 BFADHN C[C@H]1CN(CC2CC2)C[C@H](c2ccccc2)O1 ZINC000155699474 177245716 /nfs/dbraw/zinc/24/57/16/177245716.db2.gz DVRHYSZQLLUFFC-SWLSCSKDSA-N 0 3 231.339 2.858 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CCO[C@H]1CCCC[C@H]1C ZINC000339582277 177252504 /nfs/dbraw/zinc/25/25/04/177252504.db2.gz QOMSMYGKQJAGOZ-RQJABVFESA-N 0 3 225.376 2.922 20 0 BFADHN C[C@H]1CN(CCOCC(F)(F)F)C(C)(C)C1 ZINC000336689641 177253188 /nfs/dbraw/zinc/25/31/88/177253188.db2.gz ZSCSAZIZQAFEAG-SECBINFHSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@H]1CN(Cc2cccc3c2NCC3)CCS1 ZINC000136061551 177264335 /nfs/dbraw/zinc/26/43/35/177264335.db2.gz NYKPMLKOLAULCC-NSHDSACASA-N 0 3 248.395 2.592 20 0 BFADHN C[C@@H]1CN(Cc2cccc3[nH]ccc32)C[C@H](C)O1 ZINC000127040382 177264456 /nfs/dbraw/zinc/26/44/56/177264456.db2.gz MUCMNBNUKRNNQD-TXEJJXNPSA-N 0 3 244.338 2.777 20 0 BFADHN C[C@H]1CN(Cc2ccno2)CC(C)(C)C1 ZINC000336389373 177268018 /nfs/dbraw/zinc/26/80/18/177268018.db2.gz LYDRIYUMKXHYLA-SNVBAGLBSA-N 0 3 208.305 2.543 20 0 BFADHN C[C@@H](c1ccccc1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000078485983 177273975 /nfs/dbraw/zinc/27/39/75/177273975.db2.gz AJNYOTCREFLUCF-XQQFMLRXSA-N 0 3 219.328 2.857 20 0 BFADHN C[C@H]1COCC[C@@H]1NCc1ccccc1Cl ZINC000191754640 177294939 /nfs/dbraw/zinc/29/49/39/177294939.db2.gz HQWXVPHKEFKYJX-GWCFXTLKSA-N 0 3 239.746 2.855 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1cn(C)nc1C(C)(C)C ZINC000336608523 177319768 /nfs/dbraw/zinc/31/97/68/177319768.db2.gz DOQQHHXAICULCA-PHIMTYICSA-N 0 3 235.375 2.700 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)O[C@@H](C)C1)c1ccccn1 ZINC000294641794 177334004 /nfs/dbraw/zinc/33/40/04/177334004.db2.gz PYDHUZPPFMEPOH-QNWHQSFQSA-N 0 3 234.343 2.688 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](C)O[C@@H](C)C1)c1ccccn1 ZINC000294641798 177334601 /nfs/dbraw/zinc/33/46/01/177334601.db2.gz PYDHUZPPFMEPOH-XQHKEYJVSA-N 0 3 234.343 2.688 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCN(C)Cc2ccccc21 ZINC000353587328 177343615 /nfs/dbraw/zinc/34/36/15/177343615.db2.gz HKOHRYVWRKHCTA-GXTWGEPZSA-N 0 3 230.355 2.594 20 0 BFADHN C[C@H]1C[C@@H]1NCc1cnc(C(F)(F)F)s1 ZINC000308820428 177350325 /nfs/dbraw/zinc/35/03/25/177350325.db2.gz BUASFUVPNYVSJK-FSPLSTOPSA-N 0 3 236.262 2.660 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN[C@H]2CC[C@H](O)CC2)o1 ZINC000252705382 177351172 /nfs/dbraw/zinc/35/11/72/177351172.db2.gz QBYLTUKFEJDOPF-MNXVOIDGSA-N 0 3 249.354 2.796 20 0 BFADHN C[C@H]1C[C@H](CN(C)Cc2ccoc2)CCO1 ZINC000352695289 177361649 /nfs/dbraw/zinc/36/16/49/177361649.db2.gz WEIYQCDOAJXFCH-NWDGAFQWSA-N 0 3 223.316 2.527 20 0 BFADHN C[C@H]1C[C@H](NCCn2cccn2)c2ccccc21 ZINC000336791693 177370985 /nfs/dbraw/zinc/37/09/85/177370985.db2.gz OOQKGIPPSXGZIU-WFASDCNBSA-N 0 3 241.338 2.721 20 0 BFADHN C[C@H](CC1CC1)N[C@H]1C[C@H](C)n2ccnc21 ZINC000345344417 177371622 /nfs/dbraw/zinc/37/16/22/177371622.db2.gz AXQQIBWFGZENGI-SCVCMEIPSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@H]1C[C@H]1CNCc1cccc2c1OCCCO2 ZINC000132102767 177382250 /nfs/dbraw/zinc/38/22/50/177382250.db2.gz AXMKBIBOASCANP-AAEUAGOBSA-N 0 3 247.338 2.594 20 0 BFADHN C[C@H](CO)CN1CCC[C@H]1c1cccc(F)c1 ZINC000678044178 487642678 /nfs/dbraw/zinc/64/26/78/487642678.db2.gz NNZYHNNCLWYGKG-FZMZJTMJSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@@H]1C[C@H](N(C)Cc2ccccc2F)CCO1 ZINC000335541718 487643339 /nfs/dbraw/zinc/64/33/39/487643339.db2.gz AWNJYMHAHKVSFP-DGCLKSJQSA-N 0 3 237.318 2.825 20 0 BFADHN Cc1cc(CN2CCC[C@H]2C(C)(C)C)nn1C ZINC000487354127 225301700 /nfs/dbraw/zinc/30/17/00/225301700.db2.gz FWGPKTOVQLVXFE-ZDUSSCGKSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCC[C@H]2C)nn1C ZINC000487395698 225303958 /nfs/dbraw/zinc/30/39/58/225303958.db2.gz LGOROTWKSNNGKE-BXUZGUMPSA-N 0 3 235.375 2.739 20 0 BFADHN COCCN(Cc1ccccn1)[C@H]1CCC[C@H]1C ZINC000488072625 225345798 /nfs/dbraw/zinc/34/57/98/225345798.db2.gz JZQRQCHZKNVOPI-HIFRSBDPSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN(Cc1ccc(C)o1)C[C@H]1C[C@H]1C ZINC000488293296 225362575 /nfs/dbraw/zinc/36/25/75/225362575.db2.gz FNHDFVDDYJCYJY-DGCLKSJQSA-N 0 3 237.343 2.692 20 0 BFADHN Cc1ccccc1N1CCN(C[C@H]2C[C@@H]2C)CC1 ZINC000488307966 225366307 /nfs/dbraw/zinc/36/63/07/225366307.db2.gz BSFZKNGIVRULMH-LSDHHAIUSA-N 0 3 244.382 2.773 20 0 BFADHN C[C@H]1C[C@@H]1CN[C@H]1CCOc2c(F)cccc21 ZINC000488538285 225412953 /nfs/dbraw/zinc/41/29/53/225412953.db2.gz BTPIJBYSZJKXHY-CWSCBRNRSA-N 0 3 235.302 2.895 20 0 BFADHN C[C@@H](NCCc1nccs1)c1ccoc1 ZINC000087290215 322933406 /nfs/dbraw/zinc/93/34/06/322933406.db2.gz IXUFJLOBCPCIOR-SECBINFHSA-N 0 3 222.313 2.629 20 0 BFADHN Cc1cc(CN[C@H]2CC(C)(C)C[C@@H]2C)ncn1 ZINC000683436038 487647381 /nfs/dbraw/zinc/64/73/81/487647381.db2.gz NZQBKKFMHHXDRS-GWCFXTLKSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1ccc([C@@H](NCCCCO)C2CCC2)o1 ZINC000494479951 226265691 /nfs/dbraw/zinc/26/56/91/226265691.db2.gz XDBVQVUTJYVIJH-AWEZNQCLSA-N 0 3 237.343 2.791 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H](c2nccn2C)C2CC2)[C@H]1C ZINC000495016851 226274507 /nfs/dbraw/zinc/27/45/07/226274507.db2.gz KTUILUNZNKAYHM-RSLMWUCJSA-N 0 3 233.359 2.505 20 0 BFADHN CCc1noc(CC)c1CN[C@@H]1C[C@H](C)[C@@H]1C ZINC000495050381 226275207 /nfs/dbraw/zinc/27/52/07/226275207.db2.gz TXKDQVJLLUNLFU-OUJBWJOFSA-N 0 3 236.359 2.934 20 0 BFADHN C[C@H](NCCC[C@H]1CCOC1)c1ccccn1 ZINC000400546678 226346141 /nfs/dbraw/zinc/34/61/41/226346141.db2.gz MJSYVOIBPLLYPP-STQMWFEESA-N 0 3 234.343 2.549 20 0 BFADHN C[C@H]1C[C@@H](O)CN(Cc2ccccc2Cl)C1 ZINC000401970743 226363809 /nfs/dbraw/zinc/36/38/09/226363809.db2.gz ZIFSLUOWTNEPLU-CMPLNLGQSA-N 0 3 239.746 2.543 20 0 BFADHN CCn1nccc1CN1CCC[C@H]1C(C)C ZINC000507123234 226365648 /nfs/dbraw/zinc/36/56/48/226365648.db2.gz XTRLLUHAJICATQ-ZDUSSCGKSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@@]1(NCc2ccc(F)cc2)CCO[C@@H]1C1CC1 ZINC000273096917 487653454 /nfs/dbraw/zinc/65/34/54/487653454.db2.gz UVCZPEBQLUBNSM-HUUCEWRRSA-N 0 3 249.329 2.873 20 0 BFADHN CCN(Cc1ccc2[nH]ccc2c1)[C@@H]1CCOC1 ZINC000671627648 487655762 /nfs/dbraw/zinc/65/57/62/487655762.db2.gz FNLROSDKVIRXHJ-CQSZACIVSA-N 0 3 244.338 2.779 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H]2CCC[C@@H]2F)C1(C)C ZINC000393437233 487660510 /nfs/dbraw/zinc/66/05/10/487660510.db2.gz LPSKYBOIKBNJHA-QCNOEVLYSA-N 0 3 229.339 2.670 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H](C)[C@H]2CCCCO2)c1 ZINC000274354411 487662035 /nfs/dbraw/zinc/66/20/35/487662035.db2.gz GWHWDERRNQUHJF-KCQAQPDRSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1cc(CN2[C@H](C)C[C@@H]3CCCC[C@H]32)nn1C ZINC000487409953 229179438 /nfs/dbraw/zinc/17/94/38/229179438.db2.gz FPBYDSOORRMYTQ-VNHYZAJKSA-N 0 3 247.386 2.882 20 0 BFADHN CO[C@H]1C[C@@H](NCc2occc2C)C12CCC2 ZINC000308340441 229227544 /nfs/dbraw/zinc/22/75/44/229227544.db2.gz BGKRRLBFWIFYMB-OLZOCXBDSA-N 0 3 235.327 2.635 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2ccccn2)C12CCC2 ZINC000308383649 229231971 /nfs/dbraw/zinc/23/19/71/229231971.db2.gz YSLZBGJHARJELP-KWCYVHTRSA-N 0 3 246.354 2.690 20 0 BFADHN Cc1cc(CNC[C@H](C)CC(F)(F)F)no1 ZINC000402777476 229265643 /nfs/dbraw/zinc/26/56/43/229265643.db2.gz NWSIBRHBERFJSU-SSDOTTSWSA-N 0 3 236.237 2.661 20 0 BFADHN CC[C@@H](O)[C@H]1CCCCN1Cc1ccc(C)nc1 ZINC000680933981 487674516 /nfs/dbraw/zinc/67/45/16/487674516.db2.gz IHBGUMAGBCEORN-HUUCEWRRSA-N 0 3 248.370 2.515 20 0 BFADHN CN(Cc1ccco1)CC1CCSCC1 ZINC000637861397 487680696 /nfs/dbraw/zinc/68/06/96/487680696.db2.gz SGJQNEGUXOLJBD-UHFFFAOYSA-N 0 3 225.357 2.855 20 0 BFADHN CC[C@@H]1CCCN1C(=O)Nc1cccc(CN)c1 ZINC000037915367 487681817 /nfs/dbraw/zinc/68/18/17/487681817.db2.gz MCKSYSNYENRCMG-CYBMUJFWSA-N 0 3 247.342 2.552 20 0 BFADHN CCOC1CCN(Cc2ccoc2C)CC1 ZINC000666295399 487682106 /nfs/dbraw/zinc/68/21/06/487682106.db2.gz GEVGHWZLCZGQSF-UHFFFAOYSA-N 0 3 223.316 2.589 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CCC2(CCC2)C1 ZINC000667644227 487690825 /nfs/dbraw/zinc/69/08/25/487690825.db2.gz BESITTPPAOBCOK-UHFFFAOYSA-N 0 3 245.370 2.674 20 0 BFADHN CC1(NCc2ccncc2F)CCCC1 ZINC000336212726 487691717 /nfs/dbraw/zinc/69/17/17/487691717.db2.gz IPGYTATXEBXQTB-UHFFFAOYSA-N 0 3 208.280 2.643 20 0 BFADHN C[C@H]1CC[C@]2(CCN(Cc3cccnc3N)C2)C1 ZINC000336275960 487693621 /nfs/dbraw/zinc/69/36/21/487693621.db2.gz BRUMHDXDMNYEFA-WFASDCNBSA-N 0 3 245.370 2.676 20 0 BFADHN Fc1cnccc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC000336219538 487693879 /nfs/dbraw/zinc/69/38/79/487693879.db2.gz HOTQQRWCBPKZJL-RYUDHWBXSA-N 0 3 234.318 2.843 20 0 BFADHN CCCC[C@H](CC)CNCc1ccon1 ZINC000088053882 487696068 /nfs/dbraw/zinc/69/60/68/487696068.db2.gz KCGQBJMQMFSKHK-NSHDSACASA-N 0 3 210.321 2.981 20 0 BFADHN CN(CCC1(O)CCC1)Cc1cccc(F)c1 ZINC000396920526 262826886 /nfs/dbraw/zinc/82/68/86/262826886.db2.gz QLXGZUSHAZEUFP-UHFFFAOYSA-N 0 3 237.318 2.563 20 0 BFADHN Cc1cc(C(=O)CN2C[C@H](C)[C@@H](C)[C@@H]2C)c(C)[nH]1 ZINC000399590507 262839946 /nfs/dbraw/zinc/83/99/46/262839946.db2.gz FJUJVMJIAKHORR-NDMJEZRESA-N 0 3 248.370 2.791 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2cccnc2)nc1 ZINC000399804105 262846128 /nfs/dbraw/zinc/84/61/28/262846128.db2.gz CJPPXXHHINHCTB-CYBMUJFWSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@H]1CN(Cc2ncccc2Cl)C[C@@H]1C ZINC000528397816 262849201 /nfs/dbraw/zinc/84/92/01/262849201.db2.gz VKFLDXZABDXZNN-UWVGGRQHSA-N 0 3 224.735 2.823 20 0 BFADHN CCc1ccc(CN[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)nc1 ZINC000528759853 262850143 /nfs/dbraw/zinc/85/01/43/262850143.db2.gz PSRVPUVXLAGTEE-OXIQGZBJSA-N 0 3 248.370 2.546 20 0 BFADHN Cc1ccccc1CN[C@]12C[C@H]1COC2(C)C ZINC000527757384 262879945 /nfs/dbraw/zinc/87/99/45/262879945.db2.gz FAUZTHYOJNQCCF-DZGCQCFKSA-N 0 3 231.339 2.652 20 0 BFADHN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1NCc1cccc(F)c1 ZINC000527800086 262883707 /nfs/dbraw/zinc/88/37/07/262883707.db2.gz CIEUJPQKKJNQJW-DYNIEEOBSA-N 0 3 237.318 2.727 20 0 BFADHN CCc1ccc(CN[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)cn1 ZINC000527807400 262885545 /nfs/dbraw/zinc/88/55/45/262885545.db2.gz ZMHLZIVEPZGQLK-ASHKBJFXSA-N 0 3 248.370 2.546 20 0 BFADHN CCC(CC)NC(=O)Nc1cc(C)cc(CN)c1 ZINC000424448915 262912648 /nfs/dbraw/zinc/91/26/48/262912648.db2.gz ZDANXEGVWASFTR-UHFFFAOYSA-N 0 3 249.358 2.764 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCC2=CCOCC2)o1 ZINC000397722098 262930851 /nfs/dbraw/zinc/93/08/51/262930851.db2.gz WUNAWGJPOCZNHM-FZMZJTMJSA-N 0 3 247.338 2.839 20 0 BFADHN CCCN1CCN(c2cccc3[nH]ccc32)CC1 ZINC000528764982 262971784 /nfs/dbraw/zinc/97/17/84/262971784.db2.gz ZRRXGUBLMBRXIK-UHFFFAOYSA-N 0 3 243.354 2.700 20 0 BFADHN CC[C@@H](N[C@H](c1cccc(C)c1)C(C)C)C(N)=O ZINC000528769825 262972113 /nfs/dbraw/zinc/97/21/13/262972113.db2.gz VVVBTPMLUZTQAQ-KGLIPLIRSA-N 0 3 248.370 2.546 20 0 BFADHN CC[C@H](C)CCCCC(=O)N[C@@H](C)CN(C)C ZINC000672516957 487705212 /nfs/dbraw/zinc/70/52/12/487705212.db2.gz QXIUKVDQMGNLKJ-STQMWFEESA-N 0 3 242.407 2.659 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCC2(CCC2)CC1 ZINC000671728677 487710850 /nfs/dbraw/zinc/71/08/50/487710850.db2.gz IWIRNZGKUQMUPV-UHFFFAOYSA-N 0 3 247.386 2.803 20 0 BFADHN C[C@H](c1ccccc1)[C@H](C)NCc1cn(C)cn1 ZINC000683899746 487711716 /nfs/dbraw/zinc/71/17/16/487711716.db2.gz JHFIYTAORIYOTA-STQMWFEESA-N 0 3 243.354 2.702 20 0 BFADHN COc1cccc(CN2C[C@@H](C)[C@H](C)C2)c1F ZINC000669708567 487712407 /nfs/dbraw/zinc/71/24/07/487712407.db2.gz XPIGVROQYKWYTE-GHMZBOCLSA-N 0 3 237.318 2.922 20 0 BFADHN CC(C)c1cccc(CN2CCN(C)CC2)c1 ZINC000075838949 487713065 /nfs/dbraw/zinc/71/30/65/487713065.db2.gz IZMZDUIBUJVRMY-UHFFFAOYSA-N 0 3 232.371 2.557 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H]2CCCO2)c(C)n1 ZINC000651404671 487726887 /nfs/dbraw/zinc/72/68/87/487726887.db2.gz SQAWWTCXUWOLJG-WCQYABFASA-N 0 3 234.343 2.528 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CN(C)Cc2c[nH]cn2)C1 ZINC000668422320 487726916 /nfs/dbraw/zinc/72/69/16/487726916.db2.gz YBLLJAPUAPUGCY-YPMHNXCESA-N 0 3 233.359 2.834 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CN(C)Cc2cnc[nH]2)C1 ZINC000668422320 487726919 /nfs/dbraw/zinc/72/69/19/487726919.db2.gz YBLLJAPUAPUGCY-YPMHNXCESA-N 0 3 233.359 2.834 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H](C)c1ccc(C)nc1C ZINC000681141227 487736744 /nfs/dbraw/zinc/73/67/44/487736744.db2.gz HYBBNKNATOIYNM-HLMASZERSA-N 0 3 248.370 2.676 20 0 BFADHN CC[C@@H](O)CNC1(c2ccc(F)cc2)CCC1 ZINC000675493979 487740109 /nfs/dbraw/zinc/74/01/09/487740109.db2.gz JGEAVUBBUKDDFS-CYBMUJFWSA-N 0 3 237.318 2.565 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2ccsc2)nn1C ZINC000666232594 487765250 /nfs/dbraw/zinc/76/52/50/487765250.db2.gz MZBVKSWDEAZECK-JTQLQIEISA-N 0 3 249.383 2.511 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1cc2n(n1)CCCC2 ZINC000649593305 487767774 /nfs/dbraw/zinc/76/77/74/487767774.db2.gz KRHSEAFOSSDMSR-WFASDCNBSA-N 0 3 247.386 2.888 20 0 BFADHN CC1(C)COCCN1CC1Cc2ccccc2C1 ZINC000555104621 487769958 /nfs/dbraw/zinc/76/99/58/487769958.db2.gz NIWMRQNUTRHGEP-UHFFFAOYSA-N 0 3 245.366 2.512 20 0 BFADHN Cc1ccc(CN[C@H]2CC[C@H]2C2CC2)nc1C ZINC000459683246 487788213 /nfs/dbraw/zinc/78/82/13/487788213.db2.gz ZODKYHGNGSVXDD-GJZGRUSLSA-N 0 3 230.355 2.977 20 0 BFADHN Cc1ncc(CN[C@H]2CC[C@H]2C(C)C)o1 ZINC000459680026 487788232 /nfs/dbraw/zinc/78/82/32/487788232.db2.gz UMOXIJILIDGPEJ-RYUDHWBXSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@H]2C(C)C)o1 ZINC000459680025 487788710 /nfs/dbraw/zinc/78/87/10/487788710.db2.gz UMOXIJILIDGPEJ-NWDGAFQWSA-N 0 3 208.305 2.507 20 0 BFADHN CN(CCOC(C)(C)C)C[C@H]1CCC(C)(C)O1 ZINC000681405400 487793606 /nfs/dbraw/zinc/79/36/06/487793606.db2.gz KSXRLKYZJADXMA-GFCCVEGCSA-N 0 3 243.391 2.691 20 0 BFADHN C[C@@H](CN[C@H](C)c1cncs1)c1ccncc1 ZINC000675928459 487793365 /nfs/dbraw/zinc/79/33/65/487793365.db2.gz UGRGWNHNKDYBOT-WDEREUQCSA-N 0 3 247.367 2.992 20 0 BFADHN CCO[C@H]1CCCN(Cc2ccc(C)nc2C)C1 ZINC000681404061 487794457 /nfs/dbraw/zinc/79/44/57/487794457.db2.gz OVUAESNKHFYTTG-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CC[C@@H]2CCC[C@@H]21 ZINC000668012648 487796165 /nfs/dbraw/zinc/79/61/65/487796165.db2.gz HDRWPJIIZVIRAQ-JSGCOSHPSA-N 0 3 245.370 2.672 20 0 BFADHN c1ccc2[nH]c(C3CN(CCC4CC4)C3)nc2c1 ZINC000675977921 487798062 /nfs/dbraw/zinc/79/80/62/487798062.db2.gz ZVLWCGVFLUVQDU-UHFFFAOYSA-N 0 3 241.338 2.762 20 0 BFADHN CN(Cc1cc2ccccc2o1)C(C)(C)CO ZINC000676039410 487803340 /nfs/dbraw/zinc/80/33/40/487803340.db2.gz MDIKBHBEQILFMD-UHFFFAOYSA-N 0 3 233.311 2.636 20 0 BFADHN Cc1cc(CN(C(C)C)C2CCCC2)nn1C ZINC000676038964 487803414 /nfs/dbraw/zinc/80/34/14/487803414.db2.gz BVCVHASWOWXJBR-UHFFFAOYSA-N 0 3 235.375 2.882 20 0 BFADHN CN(CCOC(C)(C)C)CCOC(C)(C)C ZINC000676043239 487803716 /nfs/dbraw/zinc/80/37/16/487803716.db2.gz XDFCZKHYFCUJOX-UHFFFAOYSA-N 0 3 231.380 2.548 20 0 BFADHN CCCc1cccc(CN(CCCO)C2CC2)c1 ZINC000681484321 487804425 /nfs/dbraw/zinc/80/44/25/487804425.db2.gz DLWIISOLOJVICP-UHFFFAOYSA-N 0 3 247.382 2.986 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@H]2CC2(F)F)cn1 ZINC000666444539 487810534 /nfs/dbraw/zinc/81/05/34/487810534.db2.gz HUFRCMRHEFHLRX-MWLCHTKSSA-N 0 3 226.270 2.523 20 0 BFADHN CCc1nn(C)cc1CN1CC(C)(C)C[C@@H]1C ZINC000666455052 487812775 /nfs/dbraw/zinc/81/27/75/487812775.db2.gz XFJUBTCAMUVICB-NSHDSACASA-N 0 3 235.375 2.603 20 0 BFADHN CCc1nn(C)cc1CN1CC(C)(C)C[C@H]1C ZINC000666455047 487813081 /nfs/dbraw/zinc/81/30/81/487813081.db2.gz XFJUBTCAMUVICB-LLVKDONJSA-N 0 3 235.375 2.603 20 0 BFADHN CCN(Cc1ccc(CO)o1)C1CC(C)(C)C1 ZINC000666459531 487815231 /nfs/dbraw/zinc/81/52/31/487815231.db2.gz HGYIBQUTYBDATL-UHFFFAOYSA-N 0 3 237.343 2.782 20 0 BFADHN CCc1nocc1CN1CC[C@@H](C2CCC2)C1 ZINC000666487910 487818743 /nfs/dbraw/zinc/81/87/43/487818743.db2.gz UFIKJROCCBFTIM-GFCCVEGCSA-N 0 3 234.343 2.859 20 0 BFADHN CCCn1cc(CN2CC[C@@H](C3CCC3)C2)cn1 ZINC000666488243 487818985 /nfs/dbraw/zinc/81/89/85/487818985.db2.gz SYOZJCLPGPNDCK-OAHLLOKOSA-N 0 3 247.386 2.915 20 0 BFADHN CCc1ncc(CN2CC[C@H](C3CCC3)C2)cn1 ZINC000666487683 487819686 /nfs/dbraw/zinc/81/96/86/487819686.db2.gz GGSAQFDOTNWDJH-AWEZNQCLSA-N 0 3 245.370 2.661 20 0 BFADHN CCc1nocc1CN1CC[C@H](C2CCC2)C1 ZINC000666487911 487819736 /nfs/dbraw/zinc/81/97/36/487819736.db2.gz UFIKJROCCBFTIM-LBPRGKRZSA-N 0 3 234.343 2.859 20 0 BFADHN COc1cccc([C@H](C)N[C@H]2COCC2(C)C)c1 ZINC000313020836 322942949 /nfs/dbraw/zinc/94/29/49/322942949.db2.gz DDPBSADJLGOWMN-FZMZJTMJSA-N 0 3 249.354 2.771 20 0 BFADHN Cc1ccc(CNCCCCCO)c(Cl)c1 ZINC000229126829 487822616 /nfs/dbraw/zinc/82/26/16/487822616.db2.gz RPXRXTKBVDHBJJ-UHFFFAOYSA-N 0 3 241.762 2.901 20 0 BFADHN COC[C@@H]1CCCN1Cc1cccc(Cl)c1 ZINC000674465638 487823918 /nfs/dbraw/zinc/82/39/18/487823918.db2.gz CPOPXQGYFMKYKA-ZDUSSCGKSA-N 0 3 239.746 2.951 20 0 BFADHN CCCCN(C)[C@@H](C)c1cnc(C)nc1C ZINC000681640035 487828545 /nfs/dbraw/zinc/82/85/45/487828545.db2.gz RWQVGWGDFDMZMS-NSHDSACASA-N 0 3 221.348 2.886 20 0 BFADHN COCc1csc(CN2[C@H](C)C[C@H]2C)c1 ZINC000668091038 487834676 /nfs/dbraw/zinc/83/46/76/487834676.db2.gz YMASXTLKOTVEFD-NXEZZACHSA-N 0 3 225.357 2.877 20 0 BFADHN CCN(Cc1cc2cnccc2o1)C[C@@H](C)OC ZINC000651815403 487835593 /nfs/dbraw/zinc/83/55/93/487835593.db2.gz PCTTWISVBLWBKP-LLVKDONJSA-N 0 3 248.326 2.685 20 0 BFADHN CCC(=O)CCCN1C[C@@H](C)C(F)(F)[C@H](C)C1 ZINC000625652851 487835736 /nfs/dbraw/zinc/83/57/36/487835736.db2.gz MYXDQMYFXKATKW-GHMZBOCLSA-N 0 3 247.329 2.969 20 0 BFADHN CC[C@@H](O)[C@@H](CC)NCc1cc(C)ccc1F ZINC000313084957 322947666 /nfs/dbraw/zinc/94/76/66/322947666.db2.gz JYBGGDLAKWNVOY-ZIAGYGMSSA-N 0 3 239.334 2.773 20 0 BFADHN CCN(Cc1cc(C)n(C)n1)C1CCCCC1 ZINC000487420321 528767201 /nfs/dbraw/zinc/76/72/01/528767201.db2.gz YOOQUBKYSAOXAK-UHFFFAOYSA-N 0 3 235.375 2.883 20 0 BFADHN CC[C@@]1(C)CCCN(CCOC(F)F)C1 ZINC000851791062 588629192 /nfs/dbraw/zinc/62/91/92/588629192.db2.gz SGSJROYDVLDRQR-NSHDSACASA-N 0 3 221.291 2.738 20 0 BFADHN CC[C@@H](C)NCc1cccnc1Br ZINC000865401624 589433045 /nfs/dbraw/zinc/43/30/45/589433045.db2.gz YSPSDFCRRDWBIZ-MRVPVSSYSA-N 0 3 243.148 2.732 20 0 BFADHN CCCC[C@H](CC)N1CCC[C@@H]1C(=O)OCC ZINC000468546227 528914114 /nfs/dbraw/zinc/91/41/14/528914114.db2.gz BJDLIVCMENWMSS-QWHCGFSZSA-N 0 3 241.375 2.983 20 0 BFADHN CC[C@@H]1CN(CCC(C)(C)SC)CCO1 ZINC000876560922 590215523 /nfs/dbraw/zinc/21/55/23/590215523.db2.gz PPPRWWLKXFZWIO-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN CCOc1ccc(CN2CC[C@@H]3CCC[C@@H]32)nc1 ZINC000681710516 487846793 /nfs/dbraw/zinc/84/67/93/487846793.db2.gz TUHQZKYROWXEAF-WFASDCNBSA-N 0 3 246.354 2.855 20 0 BFADHN c1cc(CN2CCC[C@H]2Cc2ccccc2)no1 ZINC000077248513 487846381 /nfs/dbraw/zinc/84/63/81/487846381.db2.gz AQDIRJIMEVWVAX-HNNXBMFYSA-N 0 3 242.322 2.882 20 0 BFADHN C[C@@]1(CN2CC[C@H](CF)C2)CC1(Cl)Cl ZINC000880299802 590996052 /nfs/dbraw/zinc/99/60/52/590996052.db2.gz LPRFETRLGAPLRW-BDAKNGLRSA-N 0 3 240.149 2.862 20 0 BFADHN COc1ccc(CN(C)CCCC(C)C)cn1 ZINC000681711751 487848540 /nfs/dbraw/zinc/84/85/40/487848540.db2.gz IAWWXTJGOVSVPF-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CO[C@](C)(CNc1cc(C)cc(C)n1)C1CC1 ZINC000638788741 487853366 /nfs/dbraw/zinc/85/33/66/487853366.db2.gz SNWQBBVPNCVKBE-CQSZACIVSA-N 0 3 234.343 2.925 20 0 BFADHN c1cc(CN2C3CCCC2CCC3)ccn1 ZINC000676487612 487855434 /nfs/dbraw/zinc/85/54/34/487855434.db2.gz RIRMRGZNSVYJEX-UHFFFAOYSA-N 0 3 216.328 2.989 20 0 BFADHN COc1ccc(CNCC2=CCCC2)cc1 ZINC000401058109 487857795 /nfs/dbraw/zinc/85/77/95/487857795.db2.gz CFOISKISJBMVFZ-UHFFFAOYSA-N 0 3 217.312 2.895 20 0 BFADHN CC(C)(C)C[C@@H]1C[C@H]1NCc1ccncc1 ZINC000651912212 487860186 /nfs/dbraw/zinc/86/01/86/487860186.db2.gz PHQCXUBNKOFNFT-QWHCGFSZSA-N 0 3 218.344 2.996 20 0 BFADHN CC(C)(C)C[C@@H]1C[C@H]1NCc1ccccn1 ZINC000651912132 487860403 /nfs/dbraw/zinc/86/04/03/487860403.db2.gz MTIYBMNOYNDWIM-WCQYABFASA-N 0 3 218.344 2.996 20 0 BFADHN CCCOc1ccc(CN2CC(C)C2)cc1 ZINC000559073732 322958086 /nfs/dbraw/zinc/95/80/86/322958086.db2.gz VUIZDTKAMGRRKT-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NCC1(C(F)(F)F)CC1 ZINC000671768265 487868990 /nfs/dbraw/zinc/86/89/90/487868990.db2.gz XRZQBJUQSSRNTJ-ZETCQYMHSA-N 0 3 247.264 2.711 20 0 BFADHN C[C@@H]1CCN(CCCCCF)C[C@@H]1F ZINC000671242865 487877507 /nfs/dbraw/zinc/87/75/07/487877507.db2.gz SFVHXWATQJQGQT-MNOVXSKESA-N 0 3 205.292 2.806 20 0 BFADHN CC[C@H](O)[C@H]1CCCCN1Cc1ccc(C)cn1 ZINC000676614278 487879410 /nfs/dbraw/zinc/87/94/10/487879410.db2.gz RHOIXHMBIWQHAH-CABCVRRESA-N 0 3 248.370 2.515 20 0 BFADHN CCC(CC)CN[C@H](C)c1ccncn1 ZINC000395892119 487881414 /nfs/dbraw/zinc/88/14/14/487881414.db2.gz LHHDMDGCULWXIR-SNVBAGLBSA-N 0 3 207.321 2.563 20 0 BFADHN Cc1c(CN(C)C[C@H](C)C(C)(C)C)cnn1C ZINC000670270515 487886786 /nfs/dbraw/zinc/88/67/86/487886786.db2.gz NOOQKSHCLKPYRI-NSHDSACASA-N 0 3 237.391 2.843 20 0 BFADHN Cc1ccnc(CN2CCCCC[C@@H]2CCO)c1 ZINC000671246638 487891732 /nfs/dbraw/zinc/89/17/32/487891732.db2.gz LZKSZXRBAKVYRC-OAHLLOKOSA-N 0 3 248.370 2.517 20 0 BFADHN C[C@@H](Cc1cccc(F)c1)N(C)Cc1cn[nH]c1 ZINC000682071958 487893191 /nfs/dbraw/zinc/89/31/91/487893191.db2.gz LDKTUNNDPAXZJO-NSHDSACASA-N 0 3 247.317 2.612 20 0 BFADHN Cc1cc(C)cc(CN[C@@H]2CO[C@H](C3CC3)C2)c1 ZINC000668531130 487894331 /nfs/dbraw/zinc/89/43/31/487894331.db2.gz PICCILAXWKTOGS-HOTGVXAUSA-N 0 3 245.366 2.961 20 0 BFADHN Fc1ccc(/C=C/CNC[C@@H]2CCCO2)cc1 ZINC000083362310 487903963 /nfs/dbraw/zinc/90/39/63/487903963.db2.gz ANVSAHACIMWKDD-YOUVMVQBSA-N 0 3 235.302 2.608 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1CSC[C@@H]1C ZINC000304538195 487904321 /nfs/dbraw/zinc/90/43/21/487904321.db2.gz RVXDFCPMERZXPA-DKCNOQQISA-N 0 3 240.372 2.694 20 0 BFADHN CCc1ccccc1CN1CCCOC[C@H]1C ZINC000682085902 487905941 /nfs/dbraw/zinc/90/59/41/487905941.db2.gz VVCFBJVNMJVPQX-CYBMUJFWSA-N 0 3 233.355 2.860 20 0 BFADHN CN(CC[C@@H]1CCOC1)Cc1ccsc1 ZINC000682152500 487914131 /nfs/dbraw/zinc/91/41/31/487914131.db2.gz SAXWFHYCUKPFDP-LLVKDONJSA-N 0 3 225.357 2.607 20 0 BFADHN CCCC[C@H](CC)CCN(C)CC(=O)NCC ZINC000682153107 487915800 /nfs/dbraw/zinc/91/58/00/487915800.db2.gz XKRWBNKJTFSOBK-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN Cc1ccc(CNC(C)(C)[C@@H](C)O)c(Cl)c1 ZINC000235219361 487935963 /nfs/dbraw/zinc/93/59/63/487935963.db2.gz IXQYODPMVPXGMH-SNVBAGLBSA-N 0 3 241.762 2.897 20 0 BFADHN CC(C)NCC(=O)Nc1cccc(C(F)F)c1 ZINC000386486307 487945494 /nfs/dbraw/zinc/94/54/94/487945494.db2.gz XQVLHZQVKOXDTO-UHFFFAOYSA-N 0 3 242.269 2.561 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CN(C)Cc1cn[nH]c1 ZINC000682404676 487947745 /nfs/dbraw/zinc/94/77/45/487947745.db2.gz HYDMWNJITOTGIA-OCCSQVGLSA-N 0 3 233.359 2.834 20 0 BFADHN C[C@@H](NCCCOC(C)(C)C)c1ccccn1 ZINC000679937850 487948078 /nfs/dbraw/zinc/94/80/78/487948078.db2.gz ZQRHYDSLRWVLLV-GFCCVEGCSA-N 0 3 236.359 2.937 20 0 BFADHN Cc1cccc(CC[C@@H](C)NCc2ncc[nH]2)c1 ZINC000080584784 487956260 /nfs/dbraw/zinc/95/62/60/487956260.db2.gz SROSZZUTEZXEMW-CYBMUJFWSA-N 0 3 243.354 2.829 20 0 BFADHN CCc1ccc(CNC[C@@H](OC)C(C)(C)C)o1 ZINC000150899414 323002013 /nfs/dbraw/zinc/00/20/13/323002013.db2.gz ILXLDUIVFVWGMZ-CYBMUJFWSA-N 0 3 239.359 2.993 20 0 BFADHN CCOc1ccccc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000566500451 323003740 /nfs/dbraw/zinc/00/37/40/323003740.db2.gz MVLZUKKXINEKHP-AGGWBTHJSA-N 0 3 231.339 2.973 20 0 BFADHN COCCN[C@@H]1C[C@@H](C)c2c1cccc2C ZINC000127816718 323005819 /nfs/dbraw/zinc/00/58/19/323005819.db2.gz FUVZDXYLDLSWCO-DGCLKSJQSA-N 0 3 219.328 2.779 20 0 BFADHN CC[C@H](N[C@@H]1CCC[C@H]1O)c1ccccc1OC ZINC000246569565 323009610 /nfs/dbraw/zinc/00/96/10/323009610.db2.gz XTRLHRMWYXWREX-BFHYXJOUSA-N 0 3 249.354 2.649 20 0 BFADHN CCn1nc(CNCCCF)c2ccccc21 ZINC000301848627 323016586 /nfs/dbraw/zinc/01/65/86/323016586.db2.gz XCPKXLYSRPFWPW-UHFFFAOYSA-N 0 3 235.306 2.505 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1ccc2c(c1)COC2 ZINC000562498609 323022024 /nfs/dbraw/zinc/02/20/24/323022024.db2.gz IAQUNOFORMEFPD-COLVAYQJSA-N 0 3 247.338 2.545 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1ccc2c(c1)COC2 ZINC000562498611 323022052 /nfs/dbraw/zinc/02/20/52/323022052.db2.gz IAQUNOFORMEFPD-VCTAVGKDSA-N 0 3 247.338 2.545 20 0 BFADHN c1c2cccnc2oc1CN1C2CCC1CC2 ZINC000562646537 323026378 /nfs/dbraw/zinc/02/63/78/323026378.db2.gz REJCUWYKKBEXPV-UHFFFAOYSA-N 0 3 228.295 2.955 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cccc(F)c2F)C1 ZINC000562683165 323027266 /nfs/dbraw/zinc/02/72/66/323027266.db2.gz SPNFXWCJYKWQMK-PHIMTYICSA-N 0 3 241.281 2.622 20 0 BFADHN CCCN(Cc1ccc2c(n1)CCC2)C1CC1 ZINC000562679099 323027404 /nfs/dbraw/zinc/02/74/04/323027404.db2.gz HOGJGQJGUJYFOZ-UHFFFAOYSA-N 0 3 230.355 2.945 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2ccoc2)nc1 ZINC000562784304 323030580 /nfs/dbraw/zinc/03/05/80/323030580.db2.gz RPUOUYXOMFWZNK-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN COc1cccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)c1OC ZINC000565241993 323036996 /nfs/dbraw/zinc/03/69/96/323036996.db2.gz BCTFNDKIMKLUNW-DABQJJPHSA-N 0 3 247.338 2.592 20 0 BFADHN Cc1ccccc1C[C@H](C)NCc1ccno1 ZINC000569693959 323037264 /nfs/dbraw/zinc/03/72/64/323037264.db2.gz CERBBEITMRHJTQ-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN CCOc1ncccc1CN[C@@H]1CCCC12CC2 ZINC000570058290 323048705 /nfs/dbraw/zinc/04/87/05/323048705.db2.gz PZXWRBGVQMEGAO-CYBMUJFWSA-N 0 3 246.354 2.903 20 0 BFADHN CCC(CC)N(CC)Cc1snnc1C ZINC000570098578 323049842 /nfs/dbraw/zinc/04/98/42/323049842.db2.gz TXPMUFXTORDJMU-UHFFFAOYSA-N 0 3 227.377 2.857 20 0 BFADHN Cc1nc(CNC[C@H]2C[C@H]2C)nc2ccccc12 ZINC000570142822 323050753 /nfs/dbraw/zinc/05/07/53/323050753.db2.gz QIFXHWSWSGFVFU-ZYHUDNBSSA-N 0 3 241.338 2.684 20 0 BFADHN CC(C)(C)c1ncc(CNC2(C3CC3)CC2)cn1 ZINC000570349227 323057053 /nfs/dbraw/zinc/05/70/53/323057053.db2.gz MJZZVAGVYLCRPP-UHFFFAOYSA-N 0 3 245.370 2.806 20 0 BFADHN COC1(CN2CCC[C@H](F)C2)CCCCC1 ZINC000570403078 323058596 /nfs/dbraw/zinc/05/85/96/323058596.db2.gz HVTZZBCFGQINND-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H]1COc2ccc(C)cc21 ZINC000570445788 323059566 /nfs/dbraw/zinc/05/95/66/323059566.db2.gz ZYERQLZHMYNFDN-SGMGOOAPSA-N 0 3 249.379 2.912 20 0 BFADHN CCn1ccnc1[C@H](C)NCCCC1CC1 ZINC000570484175 323060864 /nfs/dbraw/zinc/06/08/64/323060864.db2.gz OUQBUOYILPEPNR-NSHDSACASA-N 0 3 221.348 2.744 20 0 BFADHN C[C@H](O)CN1CCC(=Cc2cccc(F)c2)CC1 ZINC000570525420 323062012 /nfs/dbraw/zinc/06/20/12/323062012.db2.gz LRFQGGRPJMDBOP-LBPRGKRZSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1ccccc1CNCCOCC1CCC1 ZINC000570562262 323063087 /nfs/dbraw/zinc/06/30/87/323063087.db2.gz CMZZTYVDMYURDR-UHFFFAOYSA-N 0 3 233.355 2.901 20 0 BFADHN C[C@@H](N[C@@H](C)c1cn2ccccc2n1)C1CC1 ZINC000570580647 323063903 /nfs/dbraw/zinc/06/39/03/323063903.db2.gz ABFOKLJGSZQQFS-MNOVXSKESA-N 0 3 229.327 2.783 20 0 BFADHN Cc1nc(CN[C@H](C)CCC(C)C)[nH]c1C ZINC000570740721 323069262 /nfs/dbraw/zinc/06/92/62/323069262.db2.gz PJGJDHWMWZYSPE-SNVBAGLBSA-N 0 3 223.364 2.941 20 0 BFADHN CC/C=C\CCN1CCO[C@@]2(CCSC2)C1 ZINC000563066929 323084260 /nfs/dbraw/zinc/08/42/60/323084260.db2.gz CSNZUWMOKBQYTH-SCOBNMCVSA-N 0 3 241.400 2.551 20 0 BFADHN c1ccc([C@H]2CCCN2CCOCC2CC2)nc1 ZINC000531201387 323085232 /nfs/dbraw/zinc/08/52/32/323085232.db2.gz MFVSEVSWIDGRRH-OAHLLOKOSA-N 0 3 246.354 2.645 20 0 BFADHN Fc1cc(F)cc(CNCCc2ccco2)c1 ZINC000125596453 323086493 /nfs/dbraw/zinc/08/64/93/323086493.db2.gz YYABSAJYYISWMM-UHFFFAOYSA-N 0 3 237.249 2.890 20 0 BFADHN CCc1cnc(CN2C[C@H]3CCCC[C@@H]3C2)o1 ZINC000531416862 323088987 /nfs/dbraw/zinc/08/89/87/323088987.db2.gz UGINXEIWMBGTTK-VXGBXAGGSA-N 0 3 234.343 2.859 20 0 BFADHN CCOc1ccc(CNCC2=CCOCC2)cc1 ZINC000397722028 323090572 /nfs/dbraw/zinc/09/05/72/323090572.db2.gz WOQUMVHNRFETSN-UHFFFAOYSA-N 0 3 247.338 2.522 20 0 BFADHN CC[C@H](N)C(=O)Nc1ccc(CCC(C)C)cc1 ZINC000563152379 323095800 /nfs/dbraw/zinc/09/58/00/323095800.db2.gz QTYQNVJERURVHB-AWEZNQCLSA-N 0 3 248.370 2.951 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CCCC23CCC3)[n-]1 ZINC000571016460 323103492 /nfs/dbraw/zinc/10/34/92/323103492.db2.gz SQUMATZCPVPWJM-LLVKDONJSA-N 0 3 248.374 2.741 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCCC23CCC3)[nH]1 ZINC000571016460 323103493 /nfs/dbraw/zinc/10/34/93/323103493.db2.gz SQUMATZCPVPWJM-LLVKDONJSA-N 0 3 248.374 2.741 20 0 BFADHN COc1cccc(F)c1[C@H](C)NC(C)C ZINC000125227629 323106550 /nfs/dbraw/zinc/10/65/50/323106550.db2.gz QLQGFLHHKSEZLR-VIFPVBQESA-N 0 3 211.280 2.893 20 0 BFADHN Cn1ccc(CNC[C@H]2CCCC(C)(C)C2)n1 ZINC000534286720 323115338 /nfs/dbraw/zinc/11/53/38/323115338.db2.gz MJYUFFOABIITKZ-LBPRGKRZSA-N 0 3 235.375 2.726 20 0 BFADHN COc1nc2ccccc2cc1CN1CCCC1 ZINC000534329897 323116873 /nfs/dbraw/zinc/11/68/73/323116873.db2.gz DRUAHOANDCWMDR-UHFFFAOYSA-N 0 3 242.322 2.839 20 0 BFADHN Cc1nc2ccccn2c1CN1C2CCC1CC2 ZINC000534333985 323117740 /nfs/dbraw/zinc/11/77/40/323117740.db2.gz IWPWAMHNJAGUIF-UHFFFAOYSA-N 0 3 241.338 2.770 20 0 BFADHN CC(C)OCCN1CCC=C(c2ccccn2)C1 ZINC000563367522 323121476 /nfs/dbraw/zinc/12/14/76/323121476.db2.gz ZBCMXZXMHDNBBK-UHFFFAOYSA-N 0 3 246.354 2.596 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](F)C1)c1cn2ccccc2n1 ZINC000563419089 323123746 /nfs/dbraw/zinc/12/37/46/323123746.db2.gz RXTJYAPHBXRICQ-QJPTWQEYSA-N 0 3 247.317 2.876 20 0 BFADHN CCc1ccc(CN[C@@H]2CO[C@H](C)C2)s1 ZINC000401803834 323125311 /nfs/dbraw/zinc/12/53/11/323125311.db2.gz ASFSEAVXPXQDBF-ZJUUUORDSA-N 0 3 225.357 2.578 20 0 BFADHN c1cc(-c2ccc(CNC3(C4CC4)CC3)o2)n[nH]1 ZINC000563589295 323130664 /nfs/dbraw/zinc/13/06/64/323130664.db2.gz YSUWGSRBHGSJBG-UHFFFAOYSA-N 0 3 243.310 2.702 20 0 BFADHN Cc1cccn2cc(CN[C@@H]3CCC[C@H]3C)nc12 ZINC000152216276 323133577 /nfs/dbraw/zinc/13/35/77/323133577.db2.gz WRYCFRUZBJEUKZ-BXUZGUMPSA-N 0 3 243.354 2.921 20 0 BFADHN CSc1ccc(CN[C@H]2CO[C@@H](C)C2)cc1 ZINC000402188699 323134307 /nfs/dbraw/zinc/13/43/07/323134307.db2.gz DCPZETSMXZPNHS-CMPLNLGQSA-N 0 3 237.368 2.676 20 0 BFADHN CCCC[C@H](CC)CN(C)[C@H](C)C[S@@](C)=O ZINC000248608854 323135990 /nfs/dbraw/zinc/13/59/90/323135990.db2.gz HBCMCPSWYIBFTP-DVOMOZLQSA-N 0 3 247.448 2.902 20 0 BFADHN Cc1cnc(CCN(C)[C@@H]2CC2(C)C)c(C)c1 ZINC000571279786 323139887 /nfs/dbraw/zinc/13/98/87/323139887.db2.gz AAMVCMNCICLMBE-CQSZACIVSA-N 0 3 232.371 2.971 20 0 BFADHN Cc1nc(CNCCCC(C)C)[nH]c1C ZINC000571298575 323140717 /nfs/dbraw/zinc/14/07/17/323140717.db2.gz FUJPONQMWDXEGF-UHFFFAOYSA-N 0 3 209.337 2.552 20 0 BFADHN CCc1nocc1CNC1CC(C)(C)C1 ZINC000571299669 323140754 /nfs/dbraw/zinc/14/07/54/323140754.db2.gz XIXDIBBMCBYHRI-UHFFFAOYSA-N 0 3 208.305 2.515 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](CC)c1ccc(OC)cc1 ZINC000571389289 323143418 /nfs/dbraw/zinc/14/34/18/323143418.db2.gz LZNFKUXHWBLZKQ-RRFJBIMHSA-N 0 3 249.354 2.913 20 0 BFADHN CC(C)C[C@@H](C)Cn1cc2c(n1)[C@@H](N)CCC2 ZINC000571396904 323143497 /nfs/dbraw/zinc/14/34/97/323143497.db2.gz QYWMADXDXJBLKV-YPMHNXCESA-N 0 3 235.375 2.901 20 0 BFADHN CC1(C)CC(NCc2cc(C(F)(F)F)n[nH]2)C1 ZINC000571581877 323148560 /nfs/dbraw/zinc/14/85/60/323148560.db2.gz KHTNXPLSTJVPMF-UHFFFAOYSA-N 0 3 247.264 2.707 20 0 BFADHN Cc1nc([C@H](C)N[C@@H]2C[C@H]3C[C@H]3C2)cs1 ZINC000397654951 323151623 /nfs/dbraw/zinc/15/16/23/323151623.db2.gz YBRJEBVZNSNMAD-BBHBSTQDSA-N 0 3 222.357 2.901 20 0 BFADHN COCC1(NCc2cc3ccccc3o2)CC1 ZINC000531957120 323157725 /nfs/dbraw/zinc/15/77/25/323157725.db2.gz JOQDTGXOSVHQFJ-UHFFFAOYSA-N 0 3 231.295 2.701 20 0 BFADHN C[C@H](c1cccs1)N(C)CCn1cccn1 ZINC000064139702 323159960 /nfs/dbraw/zinc/15/99/60/323159960.db2.gz CQQTVYWUTDAAEC-LLVKDONJSA-N 0 3 235.356 2.638 20 0 BFADHN COc1cccc(CN2C3CCC2CC3)c1OC ZINC000535066558 323165125 /nfs/dbraw/zinc/16/51/25/323165125.db2.gz SZRQCEBCZNILSP-UHFFFAOYSA-N 0 3 247.338 2.831 20 0 BFADHN COc1cc(CN2C3CCC2CC3)cc(OC)c1 ZINC000535066373 323165137 /nfs/dbraw/zinc/16/51/37/323165137.db2.gz NYKWCONWBJHWHP-UHFFFAOYSA-N 0 3 247.338 2.831 20 0 BFADHN Cc1ccc(CN2CCC(OC(C)C)CC2)o1 ZINC000535078505 323165386 /nfs/dbraw/zinc/16/53/86/323165386.db2.gz AYJANSMKSUOBLV-UHFFFAOYSA-N 0 3 237.343 2.977 20 0 BFADHN CCc1cc(CNC2CC(CC)C2)on1 ZINC000308904998 323180914 /nfs/dbraw/zinc/18/09/14/323180914.db2.gz CGSATTNRANHSSL-UHFFFAOYSA-N 0 3 208.305 2.515 20 0 BFADHN Fc1ccc(CNC[C@H]2CCC(F)(F)C2)nc1 ZINC000529557646 323183926 /nfs/dbraw/zinc/18/39/26/323183926.db2.gz OERHCFNVBNUSND-VIFPVBQESA-N 0 3 244.260 2.746 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)[C@H](C)C(C)(C)C ZINC000535826693 323192691 /nfs/dbraw/zinc/19/26/91/323192691.db2.gz RIWOXDKKFBEHEZ-LLVKDONJSA-N 0 3 223.364 2.893 20 0 BFADHN COc1ccccc1CN(C)CC(C)(C)OC ZINC000535850423 323193658 /nfs/dbraw/zinc/19/36/58/323193658.db2.gz YCCXNZMZUGPFHL-UHFFFAOYSA-N 0 3 237.343 2.552 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2cccnc2)o1 ZINC000536180527 323196378 /nfs/dbraw/zinc/19/63/78/323196378.db2.gz YQGOQNJBINOPNP-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN CCC(CC)N(Cc1ccc(CO)o1)C1CC1 ZINC000536650287 323199591 /nfs/dbraw/zinc/19/95/91/323199591.db2.gz HBSGHNKMCYAVDH-UHFFFAOYSA-N 0 3 237.343 2.925 20 0 BFADHN Cc1nc(CCN[C@@H](C)c2ccncc2)c(C)o1 ZINC000536770136 323204927 /nfs/dbraw/zinc/20/49/27/323204927.db2.gz DIMGOMFFUSFRCS-JTQLQIEISA-N 0 3 245.326 2.580 20 0 BFADHN CC(C)[C@@H]1CCCC[C@H]1NCc1cc[nH]n1 ZINC000103173444 323245843 /nfs/dbraw/zinc/24/58/43/323245843.db2.gz CKNHOIQLNBUTOZ-QWHCGFSZSA-N 0 3 221.348 2.714 20 0 BFADHN COC(C)(C)CN(C)Cc1ccc(F)c(F)c1 ZINC000532340044 323254652 /nfs/dbraw/zinc/25/46/52/323254652.db2.gz IYYPWAAQKXOHBD-UHFFFAOYSA-N 0 3 243.297 2.822 20 0 BFADHN CCC[C@H](CC)N[C@H](C)c1ccncn1 ZINC000395911609 323262544 /nfs/dbraw/zinc/26/25/44/323262544.db2.gz PGATUHGWCQQGKB-MNOVXSKESA-N 0 3 207.321 2.706 20 0 BFADHN C[C@H](NCCC(C)(C)C1CC1)c1ccncn1 ZINC000395914171 323263262 /nfs/dbraw/zinc/26/32/62/323263262.db2.gz QMLQHBVGAOESOS-NSHDSACASA-N 0 3 233.359 2.954 20 0 BFADHN C[C@H](NC[C@@H]1CCCC[C@H]1C)c1ccncn1 ZINC000395917162 323263631 /nfs/dbraw/zinc/26/36/31/323263631.db2.gz RQHFLHFYVGBIJG-AGIUHOORSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@@H](NCC1CCCCC1)c1ccncn1 ZINC000395914253 323263739 /nfs/dbraw/zinc/26/37/39/323263739.db2.gz QNQGQFGUWCUKMS-LLVKDONJSA-N 0 3 219.332 2.708 20 0 BFADHN C[C@H](N[C@H](C1CC1)C1CCC1)c1nccn1C ZINC000324711495 323265814 /nfs/dbraw/zinc/26/58/14/323265814.db2.gz CEKGRDJIMAPTSW-GWCFXTLKSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)C(C)(C)C)on1 ZINC000532489386 323268259 /nfs/dbraw/zinc/26/82/59/323268259.db2.gz AVOAEOCWNDCMOM-JTQLQIEISA-N 0 3 210.321 2.849 20 0 BFADHN COCC1(NC/C=C/c2ccc(F)cc2)CC1 ZINC000532671512 323287165 /nfs/dbraw/zinc/28/71/65/323287165.db2.gz CLAPSTXAQFFPMY-NSCUHMNNSA-N 0 3 235.302 2.608 20 0 BFADHN CO[C@H](CN(Cc1ccccn1)C(C)C)C1CC1 ZINC000563953419 323333458 /nfs/dbraw/zinc/33/34/58/323333458.db2.gz LPLGOGQVCOLNJG-OAHLLOKOSA-N 0 3 248.370 2.717 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C(C)(C)C(C)C)n1 ZINC000309386374 323365270 /nfs/dbraw/zinc/36/52/70/323365270.db2.gz SSOXPYADNWTRIU-UHFFFAOYSA-N 0 3 222.332 2.620 20 0 BFADHN CC[C@@H](N[C@H](C)c1n[nH]c(C)n1)c1ccccc1 ZINC000392387924 323379285 /nfs/dbraw/zinc/37/92/85/323379285.db2.gz RHEUBFZUSNSJBS-ZWNOBZJWSA-N 0 3 244.342 2.915 20 0 BFADHN CC[C@H](COC)Nc1ccnc2ccc(C)cc21 ZINC000571870495 323490957 /nfs/dbraw/zinc/49/09/57/323490957.db2.gz NYLSPOQNSZXLRF-GFCCVEGCSA-N 0 3 244.338 2.802 20 0 BFADHN Cc1sccc1CNCc1ccccc1CO ZINC000572018748 323525603 /nfs/dbraw/zinc/52/56/03/323525603.db2.gz JAXHUNPVRKKMMN-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2ccco2)cn1 ZINC000161608702 323553411 /nfs/dbraw/zinc/55/34/11/323553411.db2.gz GZKDZTUSZBIFPK-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN CCc1cccc(CN2CC3(C2)CCOCC3)c1 ZINC000572412618 323626225 /nfs/dbraw/zinc/62/62/25/323626225.db2.gz PXSBKFIIFZKQGG-UHFFFAOYSA-N 0 3 245.366 2.861 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C)c1cncs1 ZINC000527997892 323734870 /nfs/dbraw/zinc/73/48/70/323734870.db2.gz AAMGIXMUSGYMPB-CUAHWVMCSA-N 0 3 240.372 2.606 20 0 BFADHN Cc1ccc(CN[C@H](C)CCO)c(Cl)c1 ZINC000235449901 487961680 /nfs/dbraw/zinc/96/16/80/487961680.db2.gz ZQFBIPAJIWMBAK-SNVBAGLBSA-N 0 3 227.735 2.509 20 0 BFADHN C[C@H](CC(F)(F)F)NCc1ccc(CO)cc1 ZINC000235454385 487961690 /nfs/dbraw/zinc/96/16/90/487961690.db2.gz NOUPGIDIKHLFGG-SECBINFHSA-N 0 3 247.260 2.609 20 0 BFADHN COc1ccccc1CNCCC(F)(F)F ZINC000059100326 324003737 /nfs/dbraw/zinc/00/37/37/324003737.db2.gz ZASDHFBIJCIQBW-UHFFFAOYSA-N 0 3 233.233 2.737 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1cc(CC)ccc1CC ZINC000121646341 324018743 /nfs/dbraw/zinc/01/87/43/324018743.db2.gz GNHRTALXZDTAQZ-CYBMUJFWSA-N 0 3 248.370 2.877 20 0 BFADHN COCCN[C@H]1C[C@H](C)Sc2sccc21 ZINC000122039818 324028337 /nfs/dbraw/zinc/02/83/37/324028337.db2.gz OJFUKUPRDPEURV-WPRPVWTQSA-N 0 3 243.397 2.910 20 0 BFADHN COCC1(N[C@@H]2CCc3cc(F)ccc32)CC1 ZINC000537448536 324072404 /nfs/dbraw/zinc/07/24/04/324072404.db2.gz QHVDMBZGYUUXGO-CYBMUJFWSA-N 0 3 235.302 2.582 20 0 BFADHN COCc1ccc(CN2CC[C@H](C(C)C)C2)o1 ZINC000537709700 324098808 /nfs/dbraw/zinc/09/88/08/324098808.db2.gz JIQGVJRRWQPXIK-LBPRGKRZSA-N 0 3 237.343 2.904 20 0 BFADHN COC(C)(C)CN(C)Cc1ccc(F)cc1C ZINC000537725401 324101007 /nfs/dbraw/zinc/10/10/07/324101007.db2.gz SILWUPVYYUCFEU-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN CCC[C@@H]1CCCC[C@H]1NCc1ccn(C)n1 ZINC000516500948 324117604 /nfs/dbraw/zinc/11/76/04/324117604.db2.gz BBFWCHGPVGXGKQ-TZMCWYRMSA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)Cn1cc(CN2CC[C@@H]2C2CC2)cn1 ZINC000449424783 324139961 /nfs/dbraw/zinc/13/99/61/324139961.db2.gz GAJGPDWKMBOPJW-CQSZACIVSA-N 0 3 233.359 2.523 20 0 BFADHN Cc1cccc2ncc(CN(C)[C@H](C)C3CC3)n21 ZINC000507440891 324170905 /nfs/dbraw/zinc/17/09/05/324170905.db2.gz KIGAGNRTMHLNCZ-GFCCVEGCSA-N 0 3 243.354 2.873 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cc(CO)ccc2F)C1 ZINC000528391152 324218920 /nfs/dbraw/zinc/21/89/20/324218920.db2.gz YNEOVJWFJQYAEA-QWRGUYRKSA-N 0 3 237.318 2.548 20 0 BFADHN CC[C@@H](C)Nc1cccc(OCCN(C)C)c1 ZINC000037068945 324243920 /nfs/dbraw/zinc/24/39/20/324243920.db2.gz QITKCDDXTVUDJO-GFCCVEGCSA-N 0 3 236.359 2.837 20 0 BFADHN CN(Cc1ccc2cc[nH]c2c1)C1CC1 ZINC000529522870 324317902 /nfs/dbraw/zinc/31/79/02/324317902.db2.gz IJNOHNCMSIKAHN-UHFFFAOYSA-N 0 3 200.285 2.762 20 0 BFADHN C[C@@H]1CN(Cc2ccc3cc[nH]c3c2)CCCO1 ZINC000529531427 324327156 /nfs/dbraw/zinc/32/71/56/324327156.db2.gz SMRXDCGJSFZWEG-GFCCVEGCSA-N 0 3 244.338 2.779 20 0 BFADHN CC(C)C(C)(C)NCc1ccc(F)cn1 ZINC000529537551 324329850 /nfs/dbraw/zinc/32/98/50/324329850.db2.gz FRDCQIDIBGFGGB-UHFFFAOYSA-N 0 3 210.296 2.745 20 0 BFADHN CCC1(NCc2ccc(F)cn2)CCC1 ZINC000529547241 324335995 /nfs/dbraw/zinc/33/59/95/324335995.db2.gz WBRZXQOHPRLYTQ-UHFFFAOYSA-N 0 3 208.280 2.643 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1ccc(F)cn1 ZINC000529558447 324341884 /nfs/dbraw/zinc/34/18/84/324341884.db2.gz RYOVFYXNYQSMTD-QWRGUYRKSA-N 0 3 222.307 2.747 20 0 BFADHN C[C@@H](N[C@@H](C)C1CC(F)(F)C1)c1ccn(C)n1 ZINC000529605098 324361556 /nfs/dbraw/zinc/36/15/56/324361556.db2.gz OXZAQZVUDSGIFL-DTWKUNHWSA-N 0 3 243.301 2.505 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccn(C)n1)C1CC(F)(F)C1 ZINC000529605094 324361662 /nfs/dbraw/zinc/36/16/62/324361662.db2.gz OXZAQZVUDSGIFL-BDAKNGLRSA-N 0 3 243.301 2.505 20 0 BFADHN FC1(F)[C@H]2CN(Cc3ccc4cc[nH]c4c3)C[C@H]21 ZINC000529617275 324364888 /nfs/dbraw/zinc/36/48/88/324364888.db2.gz AQLKZVOVSUWTND-TXEJJXNPSA-N 0 3 248.276 2.865 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1cc(C)c(O)c(C)c1 ZINC000529654557 324372548 /nfs/dbraw/zinc/37/25/48/324372548.db2.gz YNSXDIQKUGXAPK-HIFRSBDPSA-N 0 3 249.354 2.666 20 0 BFADHN CCC(CC)CN[C@@H]1Cc2ccccc2NC1=O ZINC000529669909 324375817 /nfs/dbraw/zinc/37/58/17/324375817.db2.gz WSZVRQGSCVCBIK-CQSZACIVSA-N 0 3 246.354 2.576 20 0 BFADHN COc1cccc(F)c1[C@@H](C)NC(C)C ZINC000125227852 324635364 /nfs/dbraw/zinc/63/53/64/324635364.db2.gz QLQGFLHHKSEZLR-SECBINFHSA-N 0 3 211.280 2.893 20 0 BFADHN CC(C)c1ccc(C(C)(C)NC(=O)[C@@H](C)N)cc1 ZINC000083906442 487964201 /nfs/dbraw/zinc/96/42/01/487964201.db2.gz FOFJVHKNKSAENZ-LLVKDONJSA-N 0 3 248.370 2.509 20 0 BFADHN CC(C)c1ccc(C(C)(C)NC(=O)[C@H](C)N)cc1 ZINC000083906444 487964266 /nfs/dbraw/zinc/96/42/66/487964266.db2.gz FOFJVHKNKSAENZ-NSHDSACASA-N 0 3 248.370 2.509 20 0 BFADHN Cc1nn(C)cc1CN[C@@H](C)Cc1ccsc1 ZINC000125991253 324672441 /nfs/dbraw/zinc/67/24/41/324672441.db2.gz MZRBIHSNDDWVOW-JTQLQIEISA-N 0 3 249.383 2.511 20 0 BFADHN CCSCC[C@@H](C)NCc1nccn1CC ZINC000127481303 324770015 /nfs/dbraw/zinc/77/00/15/324770015.db2.gz MJVPLLUOYGZCTB-LLVKDONJSA-N 0 3 241.404 2.524 20 0 BFADHN O[C@@H]1CCCC[C@H]1CCCNCc1ccco1 ZINC000128128331 324808346 /nfs/dbraw/zinc/80/83/46/324808346.db2.gz QRMBTDQYNQVHRD-GXTWGEPZSA-N 0 3 237.343 2.701 20 0 BFADHN CC(C)[C@@H](NCc1nccs1)C1CC1 ZINC000128227676 324813862 /nfs/dbraw/zinc/81/38/62/324813862.db2.gz FRPDGKMZKKSGKO-LLVKDONJSA-N 0 3 210.346 2.667 20 0 BFADHN CC[C@@H]1COCCN1CCC(C)(C)C1CC1 ZINC000653557537 487965427 /nfs/dbraw/zinc/96/54/27/487965427.db2.gz ZBMIXXBKVGLABW-CYBMUJFWSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H](N[C@H]1CCO[C@@H]1C)c1ccc(F)cc1F ZINC000227822110 324870105 /nfs/dbraw/zinc/87/01/05/324870105.db2.gz IRIMYZWKJRWFNN-KKFJDGPESA-N 0 3 241.281 2.793 20 0 BFADHN CC[C@H](NC[C@@H]1CC=CCC1)c1ccn(C)n1 ZINC000541743348 324976805 /nfs/dbraw/zinc/97/68/05/324976805.db2.gz IVPIEXXIVOUFJA-OLZOCXBDSA-N 0 3 233.359 2.817 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccsc1)c1nccn1C ZINC000070445290 325009868 /nfs/dbraw/zinc/00/98/68/325009868.db2.gz HASUAFUMJVYEHI-WDEREUQCSA-N 0 3 249.383 2.763 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@@H](C)c1nccn1C ZINC000070445289 325010082 /nfs/dbraw/zinc/01/00/82/325010082.db2.gz HASUAFUMJVYEHI-MNOVXSKESA-N 0 3 249.383 2.763 20 0 BFADHN CC(C)CC[C@H]1CCCN1Cc1ccnn1C ZINC000130307454 325037263 /nfs/dbraw/zinc/03/72/63/325037263.db2.gz IASPOEGAJLLUOU-CYBMUJFWSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1ccc([C@@H](NCCCO)C2CCCC2)o1 ZINC000542672379 325041048 /nfs/dbraw/zinc/04/10/48/325041048.db2.gz ZQGDRKYDLMCBEB-AWEZNQCLSA-N 0 3 237.343 2.791 20 0 BFADHN CCc1cnc(CN[C@H](C)CC2CC2)s1 ZINC000130559496 325066793 /nfs/dbraw/zinc/06/67/93/325066793.db2.gz ODAATFRMVCTRKO-SECBINFHSA-N 0 3 224.373 2.984 20 0 BFADHN CCc1cnc(CN[C@H](C)C2(C)CC2)s1 ZINC000130607681 325071836 /nfs/dbraw/zinc/07/18/36/325071836.db2.gz NOMGKNXDXLRLAN-SECBINFHSA-N 0 3 224.373 2.984 20 0 BFADHN CCn1nccc1CN(C)[C@H]1CCC[C@H](C)C1 ZINC000130658612 325077474 /nfs/dbraw/zinc/07/74/74/325077474.db2.gz OQVXVLKNZLYGTK-STQMWFEESA-N 0 3 235.375 2.914 20 0 BFADHN Cc1oncc1CN1CCc2ccccc2CC1 ZINC000543444907 325088876 /nfs/dbraw/zinc/08/88/76/325088876.db2.gz GMQGBTJTBGJDGJ-UHFFFAOYSA-N 0 3 242.322 2.584 20 0 BFADHN Cc1ccc(CN2CCN(C3CCCC3)CC2)o1 ZINC000131100795 325116188 /nfs/dbraw/zinc/11/61/88/325116188.db2.gz DIGSWBAIAQUBQI-UHFFFAOYSA-N 0 3 248.370 2.648 20 0 BFADHN CCC1CCN(Cc2ccc(OC)nc2)CC1 ZINC000131132929 325120857 /nfs/dbraw/zinc/12/08/57/325120857.db2.gz RWVRSUHRVKQDFD-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@H](CCO)NCc1cccc(Cl)c1F ZINC000131139521 325121069 /nfs/dbraw/zinc/12/10/69/325121069.db2.gz FQWZIVRPSHDXDW-SNVBAGLBSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@H](NC(C1CC1)C1CC1)c1ccn(C)n1 ZINC000544568536 325149287 /nfs/dbraw/zinc/14/92/87/325149287.db2.gz JOUXDNNOBXIXGK-LBPRGKRZSA-N 0 3 233.359 2.649 20 0 BFADHN CC(C)CN[C@H](c1ccccc1)c1cncnc1 ZINC000544582962 325149957 /nfs/dbraw/zinc/14/99/57/325149957.db2.gz SHCKBHQCUNGJDB-OAHLLOKOSA-N 0 3 241.338 2.812 20 0 BFADHN C[C@@]1(CO)CCCN1Cc1cc2ccccc2o1 ZINC000544760010 325158091 /nfs/dbraw/zinc/15/80/91/325158091.db2.gz JEXGMIPDKONCKY-HNNXBMFYSA-N 0 3 245.322 2.780 20 0 BFADHN C[C@H](O)CN[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000131765158 325182307 /nfs/dbraw/zinc/18/23/07/325182307.db2.gz YAMZZTDZTLIKRX-YUMQZZPRSA-N 0 3 231.698 2.511 20 0 BFADHN CCCOc1cccc(CN[C@H]2C[C@@H]2OCC)c1 ZINC000564283394 325171422 /nfs/dbraw/zinc/17/14/22/325171422.db2.gz GKEJNAKTAFNLAD-GJZGRUSLSA-N 0 3 249.354 2.742 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2ccco2)C1(C)C ZINC000072926408 325181280 /nfs/dbraw/zinc/18/12/80/325181280.db2.gz HTJFLAIWCXAZPK-YPMHNXCESA-N 0 3 223.316 2.573 20 0 BFADHN CN(Cc1cc2cc(F)ccc2o1)[C@@H]1CCOC1 ZINC000132029971 325196171 /nfs/dbraw/zinc/19/61/71/325196171.db2.gz OJFASSLZZSQZGZ-GFCCVEGCSA-N 0 3 249.285 2.793 20 0 BFADHN c1cc(C2CC2)ccc1CN[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000132225953 325205219 /nfs/dbraw/zinc/20/52/19/325205219.db2.gz WJECHQHKEAGMDK-OAGGEKHMSA-N 0 3 243.350 2.974 20 0 BFADHN COc1ccc(CN2C[C@H]3CCCC[C@@H]3C2)cn1 ZINC000132351267 325212088 /nfs/dbraw/zinc/21/20/88/325212088.db2.gz VGUKWARMBOOWKY-ZIAGYGMSSA-N 0 3 246.354 2.712 20 0 BFADHN CCCCN(C)CC(=O)N(C)[C@H](C)CC(C)C ZINC000132344341 325212118 /nfs/dbraw/zinc/21/21/18/325212118.db2.gz IHXKCEPNEQVLSN-CYBMUJFWSA-N 0 3 242.407 2.611 20 0 BFADHN C[C@@]1(CO)CCCN1Cc1ccc(Cl)cc1 ZINC000545398201 325219254 /nfs/dbraw/zinc/21/92/54/325219254.db2.gz CFOMJXREODUERN-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN C[C@@]1(CO)CCCN1CCCCC(F)(F)F ZINC000545397745 325219513 /nfs/dbraw/zinc/21/95/13/325219513.db2.gz LPZVFUQNMGUFCJ-JTQLQIEISA-N 0 3 239.281 2.566 20 0 BFADHN CCN1CCN([C@H](C)c2cccc(C)c2)CC1 ZINC000075616014 325303688 /nfs/dbraw/zinc/30/36/88/325303688.db2.gz TVFLJWJYSZDMQK-CQSZACIVSA-N 0 3 232.371 2.694 20 0 BFADHN Cc1cccc([C@H](C)N2CCN(C3CC3)CC2)c1 ZINC000075673765 325306490 /nfs/dbraw/zinc/30/64/90/325306490.db2.gz LPTBDMACQYIUCO-AWEZNQCLSA-N 0 3 244.382 2.836 20 0 BFADHN COCCN(C)CC(C)(C)c1ccc(C)cc1 ZINC000075861593 325317865 /nfs/dbraw/zinc/31/78/65/325317865.db2.gz HLNKLTSOSRACRT-UHFFFAOYSA-N 0 3 235.371 2.851 20 0 BFADHN CC[C@H](N[C@@H](C)[C@@H]1CCOC1)c1nccs1 ZINC000134089591 325342553 /nfs/dbraw/zinc/34/25/53/325342553.db2.gz KJSUMHBGUAIBDT-AXFHLTTASA-N 0 3 240.372 2.609 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2ccc(C)cn2)C1(C)C ZINC000134381416 325356430 /nfs/dbraw/zinc/35/64/30/325356430.db2.gz YHBGEVTUOOZXCJ-ZFWWWQNUSA-N 0 3 248.370 2.683 20 0 BFADHN CCCCC(=O)N(CC)C[C@H]1CCCN1CC ZINC000547475475 325360688 /nfs/dbraw/zinc/36/06/88/325360688.db2.gz PVWTUJYNVFCQJB-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@@H](N[C@@H]1C=C[C@H](CO)C1)c1ccccc1 ZINC000134647141 325369762 /nfs/dbraw/zinc/36/97/62/325369762.db2.gz OEGJRDZTLROCFD-NWANDNLSSA-N 0 3 231.339 2.664 20 0 BFADHN Cc1ccc(C)c([C@H](C)N[C@@H]2C=C[C@H](CO)C2)c1 ZINC000134645349 325369817 /nfs/dbraw/zinc/36/98/17/325369817.db2.gz YBUIHQUCEPVMGR-SOUVJXGZSA-N 0 3 245.366 2.891 20 0 BFADHN C[C@H](NCC[C@H]1CCCCO1)c1ccoc1 ZINC000134732468 325374111 /nfs/dbraw/zinc/37/41/11/325374111.db2.gz BXMRUILHVYLJGW-WCQYABFASA-N 0 3 223.316 2.889 20 0 BFADHN COCC(C)(C)NCc1ccc(F)c(Cl)c1 ZINC000135080503 325392427 /nfs/dbraw/zinc/39/24/27/325392427.db2.gz CFTOKDRBYKVAAF-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN COc1ccccc1OCCN1[C@H](C)C[C@@H]1C ZINC000547768570 325394297 /nfs/dbraw/zinc/39/42/97/325394297.db2.gz ASYIGDIPINCPLR-TXEJJXNPSA-N 0 3 235.327 2.557 20 0 BFADHN CCOc1cccc(CNCC(C)(C)OC)c1 ZINC000135231533 325401308 /nfs/dbraw/zinc/40/13/08/325401308.db2.gz QCDNVYBUHYGYOL-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN C[C@H]1OCCN(CCC(C)(C)C2CC2)[C@@H]1C ZINC000653570398 487968278 /nfs/dbraw/zinc/96/82/78/487968278.db2.gz MURCQZGFSGMFFH-VXGBXAGGSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cocn2)C[C@H]1C ZINC000248646760 325615954 /nfs/dbraw/zinc/61/59/54/325615954.db2.gz PMIGOLKTQNDOPR-MXWKQRLJSA-N 0 3 208.305 2.589 20 0 BFADHN Cc1csc([C@H](C)N[C@H]2CCOC[C@H]2C)n1 ZINC000248835746 325623269 /nfs/dbraw/zinc/62/32/69/325623269.db2.gz GRKFDDPEANCQJY-MIMYLULJSA-N 0 3 240.372 2.527 20 0 BFADHN C[C@@H](NC1C[C@@H](C)O[C@H](C)C1)c1nccs1 ZINC000252164504 325685529 /nfs/dbraw/zinc/68/55/29/325685529.db2.gz QGUFOJBKFSYNFT-OPRDCNLKSA-N 0 3 240.372 2.750 20 0 BFADHN CCC[C@H](NC(=O)[C@H](N)CC)C1CCCCC1 ZINC000564992090 325842300 /nfs/dbraw/zinc/84/23/00/325842300.db2.gz WEWKFWZCQMHOHJ-OLZOCXBDSA-N 0 3 240.391 2.589 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000565242503 325885722 /nfs/dbraw/zinc/88/57/22/325885722.db2.gz FRMRHYNPFAYZPJ-ZSBIGDGJSA-N 0 3 233.359 2.595 20 0 BFADHN Cc1nc(CN[C@H](C)CCC2CC2)[nH]c1C ZINC000565786108 325957970 /nfs/dbraw/zinc/95/79/70/325957970.db2.gz JHLMLQFELKDYHT-SECBINFHSA-N 0 3 221.348 2.695 20 0 BFADHN Cc1cccc(CCN2CC(C)=C[C@H](C)C2)n1 ZINC000566162723 326005142 /nfs/dbraw/zinc/00/51/42/326005142.db2.gz FKFBUGUIEKPJQK-LBPRGKRZSA-N 0 3 230.355 2.831 20 0 BFADHN Cc1ccncc1CCCNC1(CF)CCC1 ZINC000566248188 326023439 /nfs/dbraw/zinc/02/34/39/326023439.db2.gz SMRZPYPOFCIQSG-UHFFFAOYSA-N 0 3 236.334 2.804 20 0 BFADHN Cc1nnsc1CN1CC[C@@H]2CCCC[C@@H]21 ZINC000566306025 326031846 /nfs/dbraw/zinc/03/18/46/326031846.db2.gz DNCGCEPNOKQNSD-QWRGUYRKSA-N 0 3 237.372 2.611 20 0 BFADHN Cc1nc(CNCC2CCC(C)CC2)[nH]c1C ZINC000566496558 326058555 /nfs/dbraw/zinc/05/85/55/326058555.db2.gz JMBFBWRFSIKVRQ-UHFFFAOYSA-N 0 3 235.375 2.942 20 0 BFADHN Cc1ccccc1[C@H](C)N(C)C(=O)CNC(C)C ZINC000566507978 326060258 /nfs/dbraw/zinc/06/02/58/326060258.db2.gz PAVMROIQKKTRTC-ZDUSSCGKSA-N 0 3 248.370 2.512 20 0 BFADHN COCC1(NCc2ccc(Cl)o2)CCCC1 ZINC000566690216 326075259 /nfs/dbraw/zinc/07/52/59/326075259.db2.gz IJTDPTUHHHXQPJ-UHFFFAOYSA-N 0 3 243.734 2.982 20 0 BFADHN CCc1cc(OC)ccc1CN[C@@H]1CO[C@H](C)C1 ZINC000566796301 326084090 /nfs/dbraw/zinc/08/40/90/326084090.db2.gz WLZONCQYXGJJPX-RISCZKNCSA-N 0 3 249.354 2.525 20 0 BFADHN F[C@H]1CCC[C@H]1Nc1ccnc2ccccc21 ZINC000566927788 326094943 /nfs/dbraw/zinc/09/49/43/326094943.db2.gz QKYHVYQJMLREPZ-SMDDNHRTSA-N 0 3 230.286 2.959 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCOc3ccccc32)CO1 ZINC000566973218 326098531 /nfs/dbraw/zinc/09/85/31/326098531.db2.gz QJBFBULRYVUCCY-BZPMIXESSA-N 0 3 247.338 2.667 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@H]1CC12CCCC2 ZINC000567061238 326108474 /nfs/dbraw/zinc/10/84/74/326108474.db2.gz QQZXLOQOTKAOFD-YPMHNXCESA-N 0 3 231.343 2.768 20 0 BFADHN CC(C)CCCN1CCO[C@]2(CCSC2)C1 ZINC000567265320 326153379 /nfs/dbraw/zinc/15/33/79/326153379.db2.gz KKYRCXXKWQIGQY-CYBMUJFWSA-N 0 3 243.416 2.631 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cnc(C2CC2)s1 ZINC000309544609 326158004 /nfs/dbraw/zinc/15/80/04/326158004.db2.gz GQTDVKVYBVVBHV-IUCAKERBSA-N 0 3 240.372 2.534 20 0 BFADHN CCCN(C)Cc1cncc(CN(C)CCC)c1 ZINC000567322830 326160150 /nfs/dbraw/zinc/16/01/50/326160150.db2.gz KASVRTFHQQWGJT-UHFFFAOYSA-N 0 3 249.402 2.765 20 0 BFADHN CC(C)n1ccc(CNC2CC(C)(C)C2)n1 ZINC000309572920 326161564 /nfs/dbraw/zinc/16/15/64/326161564.db2.gz OCPKWEXRMTXQHS-UHFFFAOYSA-N 0 3 221.348 2.742 20 0 BFADHN CN(Cc1cnn(C)c1)C1CCCCCC1 ZINC000154778476 326164189 /nfs/dbraw/zinc/16/41/89/326164189.db2.gz WCLYRBLEOARUHC-UHFFFAOYSA-N 0 3 221.348 2.575 20 0 BFADHN CC[C@@H]1CCC[C@H](CNCc2ccno2)C1 ZINC000310022715 326180118 /nfs/dbraw/zinc/18/01/18/326180118.db2.gz OCTGYZZNVDLMMH-NEPJUHHUSA-N 0 3 222.332 2.981 20 0 BFADHN C[C@@H]1CN([C@@H]2C=CCCC2)CC2(CCC2)O1 ZINC000567499291 326204699 /nfs/dbraw/zinc/20/46/99/326204699.db2.gz QRHNEJACNRKPLG-CHWSQXEVSA-N 0 3 221.344 2.739 20 0 BFADHN C[C@@H]1CN([C@H]2C=CCCC2)CC2(CCC2)O1 ZINC000567499292 326204843 /nfs/dbraw/zinc/20/48/43/326204843.db2.gz QRHNEJACNRKPLG-OLZOCXBDSA-N 0 3 221.344 2.739 20 0 BFADHN COCC1(CCN[C@H](C)c2ccco2)CC1 ZINC000567856111 326271742 /nfs/dbraw/zinc/27/17/42/326271742.db2.gz CAOIPUMGKMPQNM-LLVKDONJSA-N 0 3 223.316 2.747 20 0 BFADHN C[C@H](CCc1ccccc1F)NCc1ccno1 ZINC000567888576 326276967 /nfs/dbraw/zinc/27/69/67/326276967.db2.gz JSCHSHLJKVZGQO-LLVKDONJSA-N 0 3 248.301 2.925 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1nc(C)c(C)[nH]1 ZINC000567916236 326283386 /nfs/dbraw/zinc/28/33/86/326283386.db2.gz ODOSDAPOCCXQGB-NXEZZACHSA-N 0 3 223.364 2.941 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CCC[C@H](C)C1 ZINC000157721768 326292574 /nfs/dbraw/zinc/29/25/74/326292574.db2.gz WMQXWBWQXFPRIY-LBPRGKRZSA-N 0 3 249.402 2.777 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1c[nH]nc1C(C)(C)C ZINC000158086656 326307851 /nfs/dbraw/zinc/30/78/51/326307851.db2.gz WRHCFJSQINKHRN-SNVBAGLBSA-N 0 3 235.375 2.938 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1ccc(C#N)cn1 ZINC000568166348 326317848 /nfs/dbraw/zinc/31/78/48/326317848.db2.gz IVZLWVFLLQFBPP-SMDDNHRTSA-N 0 3 229.327 2.574 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ncccn2)CC(C)(C)C1 ZINC000568275758 326339599 /nfs/dbraw/zinc/33/95/99/326339599.db2.gz XQKVVPPXQCKCCM-VXGBXAGGSA-N 0 3 233.359 2.781 20 0 BFADHN Cc1ncccc1CN1CCC(C)CC1 ZINC000158865739 326344846 /nfs/dbraw/zinc/34/48/46/326344846.db2.gz HPHIBIBTFNFHMY-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@@H](C)c2ccoc2)C1 ZINC000568367797 326350253 /nfs/dbraw/zinc/35/02/53/326350253.db2.gz BNUCUOFAYXVOEL-WCFLWFBJSA-N 0 3 223.316 2.886 20 0 BFADHN CCC1(N[C@@H](C)c2cn3ccccc3n2)CC1 ZINC000568880961 326380914 /nfs/dbraw/zinc/38/09/14/326380914.db2.gz OSWAERPOPQQMQR-NSHDSACASA-N 0 3 229.327 2.928 20 0 BFADHN COc1ccc(CN[C@@H]2CC[C@@H](F)C2)c(F)c1 ZINC000568890308 326381563 /nfs/dbraw/zinc/38/15/63/326381563.db2.gz SIZZGYNHCTZRRL-GHMZBOCLSA-N 0 3 241.281 2.815 20 0 BFADHN Cc1cccc(CN[C@@H](C)Cc2ccoc2)n1 ZINC000568949804 326383157 /nfs/dbraw/zinc/38/31/57/326383157.db2.gz BRZGYMAMULZRNL-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1CCCc2c(O)cccc21 ZINC000569050374 326388026 /nfs/dbraw/zinc/38/80/26/326388026.db2.gz MQKDLEQPPBHQAS-KCQAQPDRSA-N 0 3 247.338 2.537 20 0 BFADHN Cc1nc(CN[C@H]2CCCC[C@@H]2C)[nH]c1C ZINC000569140124 326392974 /nfs/dbraw/zinc/39/29/74/326392974.db2.gz CXUATWROANVPPA-CABZTGNLSA-N 0 3 221.348 2.695 20 0 BFADHN Cc1cc(CN[C@H]2C[C@@H](O)C2(C)C)c(C)s1 ZINC000163404536 326401477 /nfs/dbraw/zinc/40/14/77/326401477.db2.gz YYMXTBRSCOPPHA-NWDGAFQWSA-N 0 3 239.384 2.614 20 0 BFADHN COc1cccnc1CN[C@@H]1CCCC12CC2 ZINC000572781894 326411011 /nfs/dbraw/zinc/41/10/11/326411011.db2.gz BCCOFJDWCMTBQM-CYBMUJFWSA-N 0 3 232.327 2.513 20 0 BFADHN Cc1ccc(CN2C[C@H]3COC[C@@]3(C)C2)cc1F ZINC000668594314 487979787 /nfs/dbraw/zinc/97/97/87/487979787.db2.gz BEXSDZPOOSHPME-DZGCQCFKSA-N 0 3 249.329 2.602 20 0 BFADHN CC1(C)C[C@@H](NCc2ccc3[nH]ccc3c2)CO1 ZINC000396559994 487982889 /nfs/dbraw/zinc/98/28/89/487982889.db2.gz ZUOVVOXNBRDUMZ-CYBMUJFWSA-N 0 3 244.338 2.825 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H](C)c2cnc(C)s2)C1 ZINC000666149220 487983803 /nfs/dbraw/zinc/98/38/03/487983803.db2.gz YZPCUJFAEYJZQZ-IEBDPFPHSA-N 0 3 240.372 2.670 20 0 BFADHN CCC[C@H](C)NC(=O)[C@H](C)[C@@H](N)c1ccccc1 ZINC000226824238 487988415 /nfs/dbraw/zinc/98/84/15/487988415.db2.gz URVGRAWCKIBPNX-OUCADQQQSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)C(C)(C)O)oc1C ZINC000309606825 487995452 /nfs/dbraw/zinc/99/54/52/487995452.db2.gz YWAQLEWYAQNCGW-MWLCHTKSSA-N 0 3 225.332 2.706 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)C2CCC2)nn1C ZINC000309609866 487995478 /nfs/dbraw/zinc/99/54/78/487995478.db2.gz ZRRPTUZOWJKRSP-GHMZBOCLSA-N 0 3 221.348 2.568 20 0 BFADHN CCN1CCN(C/C=C\c2ccccc2)C[C@@H]1C ZINC000677191845 487996748 /nfs/dbraw/zinc/99/67/48/487996748.db2.gz GGVCENVFONLPHH-XMELGKRDSA-N 0 3 244.382 2.726 20 0 BFADHN Cc1ccc2nc(CNC[C@@H](C)C3CC3)cn2c1 ZINC000671190067 487997646 /nfs/dbraw/zinc/99/76/46/487997646.db2.gz RXPIDIKGMUQAJZ-GFCCVEGCSA-N 0 3 243.354 2.778 20 0 BFADHN CCN1CCN(Cc2cc(C)cc(C)c2)C[C@@H]1C ZINC000677194242 487999130 /nfs/dbraw/zinc/99/91/30/487999130.db2.gz GIPTWWRQHMXIMZ-HNNXBMFYSA-N 0 3 246.398 2.829 20 0 BFADHN CN(Cc1cn[nH]c1)CC1(c2ccccc2)CC1 ZINC000682166444 488000653 /nfs/dbraw/zinc/00/06/53/488000653.db2.gz BHYHZASBHFREIQ-UHFFFAOYSA-N 0 3 241.338 2.573 20 0 BFADHN CC1(C)CCCN(CCO[C@H]2CC2(F)F)C1 ZINC000659924616 488002145 /nfs/dbraw/zinc/00/21/45/488002145.db2.gz BRKMCIDOVGHJSD-JTQLQIEISA-N 0 3 233.302 2.533 20 0 BFADHN CC(C)[C@@H](CNCc1ccco1)c1ccnn1C ZINC000682616343 488002553 /nfs/dbraw/zinc/00/25/53/488002553.db2.gz XSVPCVDJIQUSMO-CYBMUJFWSA-N 0 3 247.342 2.543 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H](CO)CC2CC2)o1 ZINC000677245728 488007848 /nfs/dbraw/zinc/00/78/48/488007848.db2.gz RQKWKTWAYMMDHV-MPKXVKKWSA-N 0 3 249.354 2.654 20 0 BFADHN Cc1ncccc1CN[C@H](C)[C@H]1CC1(F)F ZINC000666445416 488011556 /nfs/dbraw/zinc/01/15/56/488011556.db2.gz TWZUOPNMYCUJED-MWLCHTKSSA-N 0 3 226.270 2.523 20 0 BFADHN COC[C@@H](CC(C)(C)C)NCc1conc1C ZINC000655842501 488012496 /nfs/dbraw/zinc/01/24/96/488012496.db2.gz KSIHIBVCEDVWBJ-GFCCVEGCSA-N 0 3 240.347 2.524 20 0 BFADHN Cc1ncsc1CN[C@H](C)[C@H]1CC1(F)F ZINC000666445370 488013165 /nfs/dbraw/zinc/01/31/65/488013165.db2.gz SLEMHKFOUKYKKH-HTRCEHHLSA-N 0 3 232.299 2.585 20 0 BFADHN CC(C)(C)O[C@H]1C[C@H](NCc2cncs2)C1 ZINC000655844983 488013784 /nfs/dbraw/zinc/01/37/84/488013784.db2.gz CRAUDXWZLOJCAY-MGCOHNPYSA-N 0 3 240.372 2.579 20 0 BFADHN CCCN(CC)Cc1ccnn1CCC ZINC000667463026 488014963 /nfs/dbraw/zinc/01/49/63/488014963.db2.gz AYJWZBFYJNRGQC-UHFFFAOYSA-N 0 3 209.337 2.525 20 0 BFADHN C[C@@H]1CCCN(Cc2c(C3CC3)cnn2C)C1 ZINC000667471899 488016732 /nfs/dbraw/zinc/01/67/32/488016732.db2.gz PJNYVEBPQOSMES-LLVKDONJSA-N 0 3 233.359 2.529 20 0 BFADHN CN(Cc1cnn2c1CCC2)C1CCCCC1 ZINC000667471877 488017556 /nfs/dbraw/zinc/01/75/56/488017556.db2.gz OQPMAASTZGPIQU-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN CCCCN(CCCC)CC(=O)NCC(C)C ZINC000052870287 488018167 /nfs/dbraw/zinc/01/81/67/488018167.db2.gz VCKZZDQHQLGLSJ-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CCCN(CCO)Cc1cc2ccc(C)cc2[nH]1 ZINC000667477783 488021779 /nfs/dbraw/zinc/02/17/79/488021779.db2.gz QLKSYRXWKVHXQK-UHFFFAOYSA-N 0 3 246.354 2.681 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C)O[C@@H](C)C2)o1 ZINC000668625564 488025623 /nfs/dbraw/zinc/02/56/23/488025623.db2.gz UMFQCEZMPSIRDK-NEPJUHHUSA-N 0 3 223.316 2.587 20 0 BFADHN CN(Cc1cnc2n1CCC2)C1CCCCCC1 ZINC000667491778 488025287 /nfs/dbraw/zinc/02/52/87/488025287.db2.gz HBYASLBGUJOIEX-UHFFFAOYSA-N 0 3 247.386 2.984 20 0 BFADHN O[C@@H]1CCCC[C@@H]1CN1Cc2ccccc2C1 ZINC000053884322 488028558 /nfs/dbraw/zinc/02/85/58/488028558.db2.gz VOSKDBQROFTNHR-HUUCEWRRSA-N 0 3 231.339 2.553 20 0 BFADHN Cc1ccc(CN(CCC[C@H](C)O)C2CC2)o1 ZINC000682754965 488028242 /nfs/dbraw/zinc/02/82/42/488028242.db2.gz MELFLKXDUDIWSW-NSHDSACASA-N 0 3 237.343 2.713 20 0 BFADHN CC(C)CCN(C)Cc1ccnn1CC1CC1 ZINC000667499788 488029936 /nfs/dbraw/zinc/02/99/36/488029936.db2.gz VIFJREOMDOGVFU-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN CCCn1nccc1CN(C)[C@@H](C)C(C)C ZINC000667499150 488030009 /nfs/dbraw/zinc/03/00/09/488030009.db2.gz AQKCQHUSMHHRPS-LBPRGKRZSA-N 0 3 223.364 2.769 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCC[C@H](C)C2)n1C ZINC000667499804 488030282 /nfs/dbraw/zinc/03/02/82/488030282.db2.gz VQKIELACPCDVOR-AAEUAGOBSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1ccsc1[C@H](C)NCCOCCF ZINC000663462609 488030572 /nfs/dbraw/zinc/03/05/72/488030572.db2.gz FXQQOLHRGYQRBG-JTQLQIEISA-N 0 3 231.336 2.693 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCC[C@@H](C)C2)n1C ZINC000667499807 488030959 /nfs/dbraw/zinc/03/09/59/488030959.db2.gz VQKIELACPCDVOR-YPMHNXCESA-N 0 3 235.375 2.739 20 0 BFADHN CCCn1nccc1CN(C)[C@H](C)CC ZINC000667505164 488033055 /nfs/dbraw/zinc/03/30/55/488033055.db2.gz HINVSUQMWREWIJ-LLVKDONJSA-N 0 3 209.337 2.523 20 0 BFADHN COCC1(N(C)Cc2ccc(F)cc2C)CC1 ZINC000680070364 488033064 /nfs/dbraw/zinc/03/30/64/488033064.db2.gz DOCQCTAISMBZKE-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN CCC[C@H](C)N[C@@H](C)c1cc2n(n1)CCC2 ZINC000666079411 488033621 /nfs/dbraw/zinc/03/36/21/488033621.db2.gz JAIREFMUPBDQPO-QWRGUYRKSA-N 0 3 221.348 2.669 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2cc(C)ncn2)C1 ZINC000677425480 488033798 /nfs/dbraw/zinc/03/37/98/488033798.db2.gz UMXKWEYHILXAQS-STQMWFEESA-N 0 3 233.359 2.843 20 0 BFADHN COC[C@H](C)Oc1ccc(CN(C)C2CC2)cc1 ZINC000667504333 488034060 /nfs/dbraw/zinc/03/40/60/488034060.db2.gz OUIQIPQMNUYMKZ-LBPRGKRZSA-N 0 3 249.354 2.695 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc2cnccc2c1 ZINC000685447506 488034130 /nfs/dbraw/zinc/03/41/30/488034130.db2.gz NDOWDVUYOZPWAL-GFCCVEGCSA-N 0 3 244.338 2.702 20 0 BFADHN c1cn2c(n1)[C@H](NC1CC3(CCC3)C1)CCC2 ZINC000655780887 488035545 /nfs/dbraw/zinc/03/55/45/488035545.db2.gz PXKLFQFCFDWELC-GFCCVEGCSA-N 0 3 231.343 2.640 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1ccnn1CC1CC1 ZINC000667511471 488035595 /nfs/dbraw/zinc/03/55/95/488035595.db2.gz NDEASCZGWIQBPZ-NSHDSACASA-N 0 3 233.359 2.523 20 0 BFADHN CC(C)CN(Cc1c(C2CC2)cnn1C)C1CC1 ZINC000667517656 488036895 /nfs/dbraw/zinc/03/68/95/488036895.db2.gz ALAACCLYVIMALC-UHFFFAOYSA-N 0 3 247.386 2.918 20 0 BFADHN CC[C@@H]1CCCN(Cc2c(C3CC3)cnn2C)C1 ZINC000667531749 488039239 /nfs/dbraw/zinc/03/92/39/488039239.db2.gz OTYLWUUCDSNSGW-GFCCVEGCSA-N 0 3 247.386 2.920 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCC[C@@H]1CCOC1 ZINC000682806082 488043902 /nfs/dbraw/zinc/04/39/02/488043902.db2.gz WUQAZPJAQWQXOC-ZIAGYGMSSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCC[C@H]1CCOC1 ZINC000682806080 488044052 /nfs/dbraw/zinc/04/40/52/488044052.db2.gz WUQAZPJAQWQXOC-KGLIPLIRSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@@H](CNCc1ccno1)C1CCCCC1 ZINC000390817836 488044276 /nfs/dbraw/zinc/04/42/76/488044276.db2.gz PHYZNPYLPZHNBO-NSHDSACASA-N 0 3 222.332 2.981 20 0 BFADHN c1nn2c(c1CN1CCC[C@H]3CCC[C@H]31)CCC2 ZINC000667556013 488045360 /nfs/dbraw/zinc/04/53/60/488045360.db2.gz VVTXFCJTOFTTPK-TZMCWYRMSA-N 0 3 245.370 2.594 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CCC[C@H]1C1CC1 ZINC000667596822 488055058 /nfs/dbraw/zinc/05/50/58/488055058.db2.gz SNEFJZOTXHBZHV-AWEZNQCLSA-N 0 3 245.370 2.672 20 0 BFADHN C[C@@H]1CCCN1Cc1c(C2CCC2)cnn1C ZINC000667619117 488060687 /nfs/dbraw/zinc/06/06/87/488060687.db2.gz SQPVJGLXLYOUOJ-LLVKDONJSA-N 0 3 233.359 2.672 20 0 BFADHN CCC[C@@H](C)N(C)CC(=O)NC1CCCCC1 ZINC000075703247 488070523 /nfs/dbraw/zinc/07/05/23/488070523.db2.gz VBVSTUYXFJGOSK-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN C[C@H]1CN(Cc2ccnn2CC2CC2)CC[C@@H]1C ZINC000667649410 488071381 /nfs/dbraw/zinc/07/13/81/488071381.db2.gz SJPAYNNRYDNOGW-STQMWFEESA-N 0 3 247.386 2.771 20 0 BFADHN Cc1cccc2[nH]c(CN(C)CC[C@@H](C)O)cc21 ZINC000667649015 488071755 /nfs/dbraw/zinc/07/17/55/488071755.db2.gz GXUVCQHUVMBMHS-GFCCVEGCSA-N 0 3 246.354 2.679 20 0 BFADHN C[C@H]1CN(Cc2c(C3CC3)cnn2C)CC[C@@H]1C ZINC000667649423 488071897 /nfs/dbraw/zinc/07/18/97/488071897.db2.gz SQLVFCONILSEBH-RYUDHWBXSA-N 0 3 247.386 2.775 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2ccnn2CC2CC2)C1 ZINC000667650104 488072938 /nfs/dbraw/zinc/07/29/38/488072938.db2.gz MGZCBLLHAZNTDS-OLZOCXBDSA-N 0 3 247.386 2.914 20 0 BFADHN CCN(Cc1ccnn1CC1CC1)C1CCC1 ZINC000667658798 488077818 /nfs/dbraw/zinc/07/78/18/488077818.db2.gz QQDHNWZZDMQRMH-UHFFFAOYSA-N 0 3 233.359 2.668 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CCc2c[nH]nc2C1 ZINC000680330427 488081224 /nfs/dbraw/zinc/08/12/24/488081224.db2.gz ZIGSQWCGRREDJC-DGCLKSJQSA-N 0 3 233.359 2.594 20 0 BFADHN CCN(Cc1oc(C)nc1C)CC1CCC1 ZINC000685580387 488082969 /nfs/dbraw/zinc/08/29/69/488082969.db2.gz MOMBBNPUWUPYEA-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN CCC[C@H]1CCCN(Cc2cnn3c2CCC3)C1 ZINC000667673534 488083628 /nfs/dbraw/zinc/08/36/28/488083628.db2.gz DEAGTKOXZQCWSQ-ZDUSSCGKSA-N 0 3 247.386 2.841 20 0 BFADHN Cc1ccnc(CN2CCC[C@H](C)C2)c1 ZINC000683003170 488084494 /nfs/dbraw/zinc/08/44/94/488084494.db2.gz MOJNOKDZXJLCIJ-LBPRGKRZSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1ccnc(CN2CCCCCC2)c1 ZINC000683002988 488084866 /nfs/dbraw/zinc/08/48/66/488084866.db2.gz LWKNRTZWFNYYEI-UHFFFAOYSA-N 0 3 204.317 2.766 20 0 BFADHN CCCN1CC[C@H](NCc2ccc(Cl)o2)C1 ZINC000389258262 488085304 /nfs/dbraw/zinc/08/53/04/488085304.db2.gz OFORYQUTMVVXGF-JTQLQIEISA-N 0 3 242.750 2.507 20 0 BFADHN Cc1ccnc(CN2C3CCC2CC3)c1 ZINC000683004017 488086402 /nfs/dbraw/zinc/08/64/02/488086402.db2.gz YJCLXPVYERJPTO-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cnc(C)n1C ZINC000667688014 488086772 /nfs/dbraw/zinc/08/67/72/488086772.db2.gz CUOKGMSUASGWNE-NSHDSACASA-N 0 3 223.364 2.597 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cnc2n1CCC2 ZINC000667688448 488088023 /nfs/dbraw/zinc/08/80/23/488088023.db2.gz SEVZFSMIWCQCFT-LBPRGKRZSA-N 0 3 235.375 2.697 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cc(C)ccn1 ZINC000683008865 488088911 /nfs/dbraw/zinc/08/89/11/488088911.db2.gz AOGDGEOSRKVRNZ-LLVKDONJSA-N 0 3 206.333 2.868 20 0 BFADHN C[C@@H]1CCN(Cc2c(C3CCC3)cnn2C)[C@@H]1C ZINC000667693403 488089096 /nfs/dbraw/zinc/08/90/96/488089096.db2.gz KNCRAKHNPSJHEO-VXGBXAGGSA-N 0 3 247.386 2.918 20 0 BFADHN C[C@@H]1CCN(Cc2c(C3CC3)cnn2C)[C@H]1C ZINC000667693659 488089281 /nfs/dbraw/zinc/08/92/81/488089281.db2.gz RKGCCSRCNHFMIX-MNOVXSKESA-N 0 3 233.359 2.528 20 0 BFADHN C[C@H](N(C)Cc1ccnn1CC1CC1)C1(C)CC1 ZINC000667695883 488090961 /nfs/dbraw/zinc/09/09/61/488090961.db2.gz FNFMRMLZJYHIQJ-LBPRGKRZSA-N 0 3 247.386 2.914 20 0 BFADHN CC[C@@H]1CCN(Cc2c(C3CCC3)cnn2C)C1 ZINC000667697757 488091954 /nfs/dbraw/zinc/09/19/54/488091954.db2.gz LHPRQTCAJJLHCE-GFCCVEGCSA-N 0 3 247.386 2.920 20 0 BFADHN CCCn1nccc1CN1[C@H](C)CC[C@@H]1C ZINC000667699283 488093038 /nfs/dbraw/zinc/09/30/38/488093038.db2.gz YEIOZQLWIJLKHS-TXEJJXNPSA-N 0 3 221.348 2.666 20 0 BFADHN CCn1ccc(CN(C)CC=C(C)C)c1 ZINC000683030497 488100962 /nfs/dbraw/zinc/10/09/62/488100962.db2.gz XINTVRUIIARNQT-UHFFFAOYSA-N 0 3 206.333 2.906 20 0 BFADHN CCN(C(=O)Nc1cccc(CN)c1)C1CCC1 ZINC000667728777 488103242 /nfs/dbraw/zinc/10/32/42/488103242.db2.gz ZKIBILQWIDBHBL-UHFFFAOYSA-N 0 3 247.342 2.552 20 0 BFADHN CC[C@@]1(C)COCCN1CCCOC(C)(C)C ZINC000677728076 488104435 /nfs/dbraw/zinc/10/44/35/488104435.db2.gz ARGUYWNYVHTTTI-AWEZNQCLSA-N 0 3 243.391 2.693 20 0 BFADHN CC[C@]1(C)COCCN1CCCOC(C)(C)C ZINC000677728077 488104519 /nfs/dbraw/zinc/10/45/19/488104519.db2.gz ARGUYWNYVHTTTI-CQSZACIVSA-N 0 3 243.391 2.693 20 0 BFADHN C[C@@H](c1cccnc1)N1CC[C@@H](C(F)F)C1 ZINC000677730171 488108552 /nfs/dbraw/zinc/10/85/52/488108552.db2.gz AHQGAEPQDRQHDU-GXSJLCMTSA-N 0 3 226.270 2.730 20 0 BFADHN CCCn1cc(CN2CCC[C@@H](C3CC3)C2)cn1 ZINC000685475907 487537049 /nfs/dbraw/zinc/53/70/49/487537049.db2.gz ASMQDXIJVWITDK-OAHLLOKOSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@@H](c1ccncc1)N1CC[C@H](C(F)F)C1 ZINC000677734891 488112931 /nfs/dbraw/zinc/11/29/31/488112931.db2.gz XMRWFSNWPLTZML-ONGXEEELSA-N 0 3 226.270 2.730 20 0 BFADHN FC(F)[C@@H]1CCN(CCC(F)(F)F)C1 ZINC000677735549 488113617 /nfs/dbraw/zinc/11/36/17/488113617.db2.gz CSIWOGMADMJDNU-ZCFIWIBFSA-N 0 3 217.181 2.526 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2N[C@H]1CO[C@H](C2CC2)C1 ZINC000667769997 488115821 /nfs/dbraw/zinc/11/58/21/488115821.db2.gz LXBAFVGPQWRGDD-KBMXLJTQSA-N 0 3 243.350 2.831 20 0 BFADHN CN(c1ccccc1)[C@@H]1CCN(CC2(F)CC2)C1 ZINC000668690056 488119832 /nfs/dbraw/zinc/11/98/32/488119832.db2.gz JYCPLIDMNAHNSW-CQSZACIVSA-N 0 3 248.345 2.699 20 0 BFADHN CN(c1ccccc1)[C@H]1CCN(CC2(F)CC2)C1 ZINC000668690055 488119686 /nfs/dbraw/zinc/11/96/86/488119686.db2.gz JYCPLIDMNAHNSW-AWEZNQCLSA-N 0 3 248.345 2.699 20 0 BFADHN C[C@H]1CCN(Cc2ccc(O)cc2)CCS1 ZINC000683164519 488122069 /nfs/dbraw/zinc/12/20/69/488122069.db2.gz HTAHUOAPOJDMLU-NSHDSACASA-N 0 3 237.368 2.720 20 0 BFADHN CC(C)c1ccc(CNC2([C@H](C)O)CC2)cc1 ZINC000668695641 488124473 /nfs/dbraw/zinc/12/44/73/488124473.db2.gz HOWBPCLFDJOCNB-LBPRGKRZSA-N 0 3 233.355 2.813 20 0 BFADHN Cc1cc(C)c(CNC2([C@H](C)O)CC2)cc1C ZINC000668696618 488125856 /nfs/dbraw/zinc/12/58/56/488125856.db2.gz SDAPFRDSGONEHL-ZDUSSCGKSA-N 0 3 233.355 2.615 20 0 BFADHN CCc1ccc(CN[C@@H]2CO[C@H](C3CC3)C2)cc1 ZINC000667817536 488128009 /nfs/dbraw/zinc/12/80/09/488128009.db2.gz YYYWYCVCTJGNPA-HOTGVXAUSA-N 0 3 245.366 2.906 20 0 BFADHN C/C(=C\c1ccccc1)CNC1([C@@H](C)O)CC1 ZINC000668697976 488128910 /nfs/dbraw/zinc/12/89/10/488128910.db2.gz QLHPCRNGGVNARY-RSKUSDAESA-N 0 3 231.339 2.593 20 0 BFADHN CC[C@H](N[C@@H](C)c1ncc[nH]1)[C@@H]1CC1(C)C ZINC000397651494 488129318 /nfs/dbraw/zinc/12/93/18/488129318.db2.gz LVPZAIUZNZZMAU-DCAQKATOSA-N 0 3 221.348 2.885 20 0 BFADHN C[C@H]1CCc2ccccc2[C@H]1NCc1cn[nH]c1 ZINC000677811423 488129163 /nfs/dbraw/zinc/12/91/63/488129163.db2.gz NLEOZWMRVPVCSZ-NHYWBVRUSA-N 0 3 241.338 2.823 20 0 BFADHN Cc1cc(Cl)cc(CNC2([C@@H](C)O)CC2)c1 ZINC000668699549 488131329 /nfs/dbraw/zinc/13/13/29/488131329.db2.gz FCGABEPVPQVZMH-SNVBAGLBSA-N 0 3 239.746 2.651 20 0 BFADHN CC[C@H]1CCCN(Cc2ccc(N(C)C)nc2)C1 ZINC000119319047 487539183 /nfs/dbraw/zinc/53/91/83/487539183.db2.gz ROHNWLKNXISJOV-ZDUSSCGKSA-N 0 3 247.386 2.770 20 0 BFADHN CN(C)c1ccc(CN2CCCC(C)(C)C2)cn1 ZINC000121149982 490077134 /nfs/dbraw/zinc/07/71/34/490077134.db2.gz KARKNKOKKXBOLV-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN CCOc1ccc([C@@H](C)N[C@H]2CCOC2)cc1 ZINC000112759089 487540131 /nfs/dbraw/zinc/54/01/31/487540131.db2.gz IBWRWRJFKIPCRE-YPMHNXCESA-N 0 3 235.327 2.525 20 0 BFADHN CCC(C)(C)[C@@H](C)N[C@@H](C)c1ccncn1 ZINC000658113666 487544600 /nfs/dbraw/zinc/54/46/00/487544600.db2.gz IFEYJRLDOFQMJC-WDEREUQCSA-N 0 3 221.348 2.952 20 0 BFADHN CN(C)CCn1cc(-c2ccc(Cl)cc2)cn1 ZINC000094576334 487544920 /nfs/dbraw/zinc/54/49/20/487544920.db2.gz DQSHVZAHKXSJAR-UHFFFAOYSA-N 0 3 249.745 2.765 20 0 BFADHN Cc1ccoc1CN1CCC[C@H](c2cc[nH]n2)C1 ZINC000121260983 490080267 /nfs/dbraw/zinc/08/02/67/490080267.db2.gz KLBGCHMTVOKEJC-LBPRGKRZSA-N 0 3 245.326 2.691 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H](c3ccco3)C2)o1 ZINC000685564561 487547434 /nfs/dbraw/zinc/54/74/34/487547434.db2.gz VCEIIQPOHXOFAZ-LBPRGKRZSA-N 0 3 246.310 2.874 20 0 BFADHN CCN(CCC(F)(F)F)C[C@@H]1CC[C@@H](C)O1 ZINC000682757684 487548984 /nfs/dbraw/zinc/54/89/84/487548984.db2.gz VEXNCHNWBCZASK-ZJUUUORDSA-N 0 3 239.281 2.828 20 0 BFADHN CCN(CCC(F)(F)F)C[C@H]1CC[C@H](C)O1 ZINC000682757683 487549060 /nfs/dbraw/zinc/54/90/60/487549060.db2.gz VEXNCHNWBCZASK-VHSXEESVSA-N 0 3 239.281 2.828 20 0 BFADHN CCCCN(CC)C(=O)Nc1cccc(CN)c1 ZINC000036720647 487554193 /nfs/dbraw/zinc/55/41/93/487554193.db2.gz NWVBCKBZXJMMHX-UHFFFAOYSA-N 0 3 249.358 2.799 20 0 BFADHN COc1cccc(CNC2CCCC2)c1F ZINC000160459306 488134806 /nfs/dbraw/zinc/13/48/06/488134806.db2.gz AZWKUFPVGYNUCJ-UHFFFAOYSA-N 0 3 223.291 2.867 20 0 BFADHN COc1cccc(CNCC2CCC2)c1F ZINC000160461368 488135220 /nfs/dbraw/zinc/13/52/20/488135220.db2.gz RATOGKDLZOXVOE-UHFFFAOYSA-N 0 3 223.291 2.724 20 0 BFADHN CC[C@@H](C)[C@@H](C)N(Cc1cncnc1)C1CC1 ZINC000119552190 487556879 /nfs/dbraw/zinc/55/68/79/487556879.db2.gz WCHMVFBKNAXVJV-VXGBXAGGSA-N 0 3 233.359 2.876 20 0 BFADHN CC[C@@H](C(N)=O)N1CCC[C@@]2(CCSC2)C1 ZINC000334657402 487532900 /nfs/dbraw/zinc/53/29/00/487532900.db2.gz WCIWGDRJXAONRJ-CMPLNLGQSA-N 0 3 242.388 2.519 20 0 BFADHN Cc1ccc(CNCCC[C@@H](C)O)c(Cl)c1 ZINC000227716394 487482271 /nfs/dbraw/zinc/48/22/71/487482271.db2.gz HSJBYIMMYDBHOV-LLVKDONJSA-N 0 3 241.762 2.899 20 0 BFADHN CCOC[C@H]1CCN([C@@H](C)c2ccncc2)C1 ZINC000673915385 487477633 /nfs/dbraw/zinc/47/76/33/487477633.db2.gz UWVVDWLXLUNEAR-STQMWFEESA-N 0 3 234.343 2.501 20 0 BFADHN Cc1ncc(CN2CCC(C(C)C)CC2)n1C ZINC000201003284 487478766 /nfs/dbraw/zinc/47/87/66/487478766.db2.gz KEIZVZJMRTVHOL-UHFFFAOYSA-N 0 3 235.375 2.597 20 0 BFADHN CCCc1ncc(CN[C@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000668224080 487480787 /nfs/dbraw/zinc/48/07/87/487480787.db2.gz BULJZAVPZXQNEZ-WXHSDQCUSA-N 0 3 234.343 2.905 20 0 BFADHN c1cn2c(n1)[C@@H](NCCC1CCCC1)CCC2 ZINC000655696461 487485885 /nfs/dbraw/zinc/48/58/85/487485885.db2.gz PYVVZMIGOWUGQC-ZDUSSCGKSA-N 0 3 233.359 2.888 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2ccno2)C1 ZINC000231883683 487486049 /nfs/dbraw/zinc/48/60/49/487486049.db2.gz RJQIQXPGORCJOV-SCVCMEIPSA-N 0 3 208.305 2.589 20 0 BFADHN Cc1cc(CNC[C@@H](C)C(C)(C)C)on1 ZINC000308792026 487494274 /nfs/dbraw/zinc/49/42/74/487494274.db2.gz IQTQKTUKXHYMBG-SECBINFHSA-N 0 3 210.321 2.755 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCC1)c1cc2n(n1)CCC2 ZINC000666114680 487498244 /nfs/dbraw/zinc/49/82/44/487498244.db2.gz QLJPTGZBTFLRRO-WDEREUQCSA-N 0 3 233.359 2.669 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NC1CSC1)[C@H](C)C2 ZINC000381373873 487506368 /nfs/dbraw/zinc/50/63/68/487506368.db2.gz IIOZUWVWNRORGC-YGRLFVJLSA-N 0 3 233.380 2.933 20 0 BFADHN C[C@@H](CC(F)(F)F)N[C@H]1COC2(CCC2)C1 ZINC000657975945 487501543 /nfs/dbraw/zinc/50/15/43/487501543.db2.gz GKKFYKJLIRKRTD-DTWKUNHWSA-N 0 3 237.265 2.629 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@@H](C)C1CC1 ZINC000674048373 487503849 /nfs/dbraw/zinc/50/38/49/487503849.db2.gz LLTCWMFJJBGSOB-NSHDSACASA-N 0 3 234.343 2.595 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CC(C(C)(C)C)C1 ZINC000668234815 487509666 /nfs/dbraw/zinc/50/96/66/487509666.db2.gz VYYGSPLDGTZRGL-UHFFFAOYSA-N 0 3 247.386 2.775 20 0 BFADHN CO[C@H]1CCCN(Cc2occc2C)CC1 ZINC000680003661 487514940 /nfs/dbraw/zinc/51/49/40/487514940.db2.gz KOQBOCAZHFQTPD-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@@H](O)C2(C)C)c(C)n1 ZINC000681743844 487520230 /nfs/dbraw/zinc/52/02/30/487520230.db2.gz VNROGBCKKHZLMJ-IACUBPJLSA-N 0 3 248.370 2.508 20 0 BFADHN FC(F)C1CN(C[C@H]2C[C@H]2c2ccccc2)C1 ZINC000669571622 487522465 /nfs/dbraw/zinc/52/24/65/487522465.db2.gz WCDLCJJYWNEYIJ-YPMHNXCESA-N 0 3 237.293 2.987 20 0 BFADHN c1nc(CN[C@@H]2CCCC23CC3)cs1 ZINC000657860522 488153994 /nfs/dbraw/zinc/15/39/94/488153994.db2.gz ISXLZCXMIIXBFJ-SNVBAGLBSA-N 0 3 208.330 2.565 20 0 BFADHN Cc1cc(CN2[C@H](C)CCC[C@H]2CO)cs1 ZINC000518995962 488158183 /nfs/dbraw/zinc/15/81/83/488158183.db2.gz PCYSVPCBUYYYMQ-MFKMUULPSA-N 0 3 239.384 2.792 20 0 BFADHN C[C@H](CF)N[C@H](C)CCc1n[nH]c2ccccc12 ZINC000685473424 487534849 /nfs/dbraw/zinc/53/48/49/487534849.db2.gz XMLOLTIKPYNKOD-GHMZBOCLSA-N 0 3 249.333 2.832 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000178314119 487610745 /nfs/dbraw/zinc/61/07/45/487610745.db2.gz BUOYTRLCNFLHCE-MDHDOXDCSA-N 0 3 247.338 2.666 20 0 BFADHN Cc1cnn(C)c1CNC(C)(C)CC(F)(F)F ZINC000671718843 487705387 /nfs/dbraw/zinc/70/53/87/487705387.db2.gz VAOQNHVVRSJDTE-UHFFFAOYSA-N 0 3 249.280 2.549 20 0 BFADHN CCc1nn(C)c(CC)c1CN[C@]12C[C@H]1CCC2 ZINC000668447375 487769794 /nfs/dbraw/zinc/76/97/94/487769794.db2.gz OSBSVGPEPBFGTJ-IAQYHMDHSA-N 0 3 247.386 2.577 20 0 BFADHN CCC[C@@H](C)NC(=O)[C@H](N)CC1CCCCC1 ZINC000302331460 487820236 /nfs/dbraw/zinc/82/02/36/487820236.db2.gz GFUCZFVZAVYRAG-DGCLKSJQSA-N 0 3 240.391 2.589 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2N1CCO[C@H](C2CC2)C1 ZINC000682072519 487895140 /nfs/dbraw/zinc/89/51/40/487895140.db2.gz JHFRMBUXLMSFQH-HOTGVXAUSA-N 0 3 243.350 2.785 20 0 BFADHN C/C(=C\c1ccccc1)CN1CC[C@@]2(O)C[C@H]2C1 ZINC000668599017 487987506 /nfs/dbraw/zinc/98/75/06/487987506.db2.gz QRJIOYCFGKNXCG-FKZVYCBESA-N 0 3 243.350 2.547 20 0 BFADHN CCc1ncc(CN2CC[C@@H]3C[C@@H]3C2)s1 ZINC000668675767 488094527 /nfs/dbraw/zinc/09/45/27/488094527.db2.gz HUNBNZKAKNNSPH-NXEZZACHSA-N 0 3 222.357 2.547 20 0 BFADHN c1ccc2c(c1)C=C(CN1CC[C@@H]3C[C@@H]3C1)CO2 ZINC000668676578 488097968 /nfs/dbraw/zinc/09/79/68/488097968.db2.gz SLXPXFZWHAKGSY-UKRRQHHQSA-N 0 3 241.334 2.804 20 0 BFADHN c1c2cccnc2oc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000668679068 488105774 /nfs/dbraw/zinc/10/57/74/488105774.db2.gz YIEDZFYYQPWKGX-ZYHUDNBSSA-N 0 3 228.295 2.670 20 0 BFADHN Cc1c[nH]c(CNC23CC4CC(CC(C4)C2)C3)n1 ZINC000230501370 488111860 /nfs/dbraw/zinc/11/18/60/488111860.db2.gz PDAAGEGTMLPCJC-UHFFFAOYSA-N 0 3 245.370 2.777 20 0 BFADHN C[C@H](N[C@H]1CO[C@@H](C2CC2)C1)c1cccc(O)c1 ZINC000667853567 488139645 /nfs/dbraw/zinc/13/96/45/488139645.db2.gz HDCGREQKKOUZMC-PSOPSSQASA-N 0 3 247.338 2.610 20 0 BFADHN Cc1ccc(CNC2([C@@H](C)O)CC2)cc1Cl ZINC000668702755 488139943 /nfs/dbraw/zinc/13/99/43/488139943.db2.gz PNEZIDDHBAXYSE-SNVBAGLBSA-N 0 3 239.746 2.651 20 0 BFADHN CC(C)[C@H](C)N[C@H](CO)c1ccc(F)cc1F ZINC000662162682 488141155 /nfs/dbraw/zinc/14/11/55/488141155.db2.gz LYADWUSBEMRILP-TVQRCGJNSA-N 0 3 243.297 2.632 20 0 BFADHN C[C@@H]1OCC[C@@H]1NCc1ccc2cccnc2c1 ZINC000677853509 488141548 /nfs/dbraw/zinc/14/15/48/488141548.db2.gz GZJJCAZTOUEHMO-FZMZJTMJSA-N 0 3 242.322 2.502 20 0 BFADHN CCN(Cc1ccc(OC)cc1)[C@@H]1CCCOC1 ZINC000677885739 488147111 /nfs/dbraw/zinc/14/71/11/488147111.db2.gz LBYNZLHKPGENLI-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN C(c1noc2c1CCCC2)N1CC[C@@H](C2CC2)C1 ZINC000677905282 488153204 /nfs/dbraw/zinc/15/32/04/488153204.db2.gz LDJQLSZJUSXQNI-GFCCVEGCSA-N 0 3 246.354 2.785 20 0 BFADHN Cc1cccc(C)c1CN[C@H]1CO[C@@H](C2CC2)C1 ZINC000667910835 488153677 /nfs/dbraw/zinc/15/36/77/488153677.db2.gz ZYECCTSLGYOHTM-GDBMZVCRSA-N 0 3 245.366 2.961 20 0 BFADHN C[C@@H](NCc1ccccc1)c1ccc[nH]1 ZINC000199672447 488155877 /nfs/dbraw/zinc/15/58/77/488155877.db2.gz HXVIBAVPFSRUJY-LLVKDONJSA-N 0 3 200.285 2.866 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1cn(CC)nn1 ZINC000404419124 488157136 /nfs/dbraw/zinc/15/71/36/488157136.db2.gz AETAOWIUGIJIDM-NWDGAFQWSA-N 0 3 238.379 2.602 20 0 BFADHN O[C@@H]1CCCC[C@H]1NCc1cscc1Cl ZINC000379927874 488158879 /nfs/dbraw/zinc/15/88/79/488158879.db2.gz MODHWHRPBPEQHL-GHMZBOCLSA-N 0 3 245.775 2.795 20 0 BFADHN Cc1cnn(C)c1CN1CCC[C@@H](C2CCC2)C1 ZINC000668717866 488159568 /nfs/dbraw/zinc/15/95/68/488159568.db2.gz DYUSFKYAVXUSDN-CQSZACIVSA-N 0 3 247.386 2.741 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1cc2cnccc2o1 ZINC000657878846 488159886 /nfs/dbraw/zinc/15/98/86/488159886.db2.gz ICOHBHJYVSVEAP-QMTHXVAHSA-N 0 3 248.326 2.639 20 0 BFADHN CCn1nccc1CN1CCC[C@H](C2CCC2)C1 ZINC000668718094 488160402 /nfs/dbraw/zinc/16/04/02/488160402.db2.gz IRKCLNQPSJHDFE-AWEZNQCLSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1cc(CN[C@@H]2CCCC23CCCC3)nn1 ZINC000404489343 488165270 /nfs/dbraw/zinc/16/52/70/488165270.db2.gz GMZSMYSXSOHMBV-CYBMUJFWSA-N 0 3 248.374 2.501 20 0 BFADHN c1ccc2[nH]c(C3CN(C4CCCC4)C3)nc2c1 ZINC000114348012 488165524 /nfs/dbraw/zinc/16/55/24/488165524.db2.gz KDTLKNOYMWGUMQ-UHFFFAOYSA-N 0 3 241.338 2.905 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1cc2cnccc2o1 ZINC000657887577 488166439 /nfs/dbraw/zinc/16/64/39/488166439.db2.gz DGGMRMBDAISLRB-PWSUYJOCSA-N 0 3 248.326 2.903 20 0 BFADHN Cc1ncc(CN2CC[C@@]3(CC[C@@H](C)C3)C2)n1C ZINC000667964702 488169501 /nfs/dbraw/zinc/16/95/01/488169501.db2.gz ODTVWIPHVXFEHA-IUODEOHRSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1cc(CNCc2ccc(C)c(Cl)c2)n[nH]1 ZINC000683471991 488170940 /nfs/dbraw/zinc/17/09/40/488170940.db2.gz FSZQPEAINOAQRC-UHFFFAOYSA-N 0 3 249.745 2.970 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccnc2ccccc12 ZINC000683476679 488171882 /nfs/dbraw/zinc/17/18/82/488171882.db2.gz MJISXKDRUCEIAL-LSDHHAIUSA-N 0 3 242.322 2.502 20 0 BFADHN CC1(C)C[C@H](NCc2cc3ccccc3[nH]2)CO1 ZINC000669532065 488180967 /nfs/dbraw/zinc/18/09/67/488180967.db2.gz XCPBCWYNMOBLKK-ZDUSSCGKSA-N 0 3 244.338 2.825 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1ccnn1CC1CC1 ZINC000668003139 488182048 /nfs/dbraw/zinc/18/20/48/488182048.db2.gz INBGSYGHYDUPHG-WFASDCNBSA-N 0 3 247.386 2.914 20 0 BFADHN C[C@H]1CCCCN(Cc2ccnn2CC2CC2)C1 ZINC000668002705 488182205 /nfs/dbraw/zinc/18/22/05/488182205.db2.gz ZPKXMCUQGNLHHN-ZDUSSCGKSA-N 0 3 247.386 2.915 20 0 BFADHN CCCn1nccc1CN1CC[C@@H]2CCC[C@H]21 ZINC000668012635 488185257 /nfs/dbraw/zinc/18/52/57/488185257.db2.gz GXEUDMAKYUHDJF-GXTWGEPZSA-N 0 3 233.359 2.668 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@@H](C)c1ncc[nH]1 ZINC000453277565 488187602 /nfs/dbraw/zinc/18/76/02/488187602.db2.gz BAESWDYQUIGJHH-QJPTWQEYSA-N 0 3 221.348 2.887 20 0 BFADHN CC[C@](C)(CN[C@@H]1CCCc2cccnc21)OC ZINC000322540525 488190004 /nfs/dbraw/zinc/19/00/04/488190004.db2.gz SMSGJNPNWAYQMR-UKRRQHHQSA-N 0 3 248.370 2.864 20 0 BFADHN Cc1ccc(F)c(CCN[C@@H](C)c2ncc[nH]2)c1 ZINC000453351964 488190154 /nfs/dbraw/zinc/19/01/54/488190154.db2.gz MYBVTSLDOBDVCA-NSHDSACASA-N 0 3 247.317 2.751 20 0 BFADHN Cc1cscc1CN[C@H]1CO[C@H](C2CC2)C1 ZINC000668076174 488197848 /nfs/dbraw/zinc/19/78/48/488197848.db2.gz IVYPKPBHGKRNLU-OLZOCXBDSA-N 0 3 237.368 2.714 20 0 BFADHN CCCN(CC)Cc1cn2cccc(F)c2n1 ZINC000678118473 488198996 /nfs/dbraw/zinc/19/89/96/488198996.db2.gz SIAJMFJHHPADNP-UHFFFAOYSA-N 0 3 235.306 2.705 20 0 BFADHN c1n[nH]cc1CN1CCC(c2ccccc2)CC1 ZINC000678131577 488201502 /nfs/dbraw/zinc/20/15/02/488201502.db2.gz HFXYULTUFZNRHV-UHFFFAOYSA-N 0 3 241.338 2.789 20 0 BFADHN COC[C@H](C)Oc1ccc(CN2CC(C)C2)cc1 ZINC000668093797 488201787 /nfs/dbraw/zinc/20/17/87/488201787.db2.gz UKPOIXLNDGWECZ-ZDUSSCGKSA-N 0 3 249.354 2.552 20 0 BFADHN CCN(C)Cc1c[nH]nc1-c1cccc(OC)c1 ZINC000678134038 488202611 /nfs/dbraw/zinc/20/26/11/488202611.db2.gz RAURMCGHJQIWRC-UHFFFAOYSA-N 0 3 245.326 2.537 20 0 BFADHN COCCN(C)Cc1ccccc1C1CC1 ZINC000678133048 488202885 /nfs/dbraw/zinc/20/28/85/488202885.db2.gz SXAFXSFUQSDZDP-UHFFFAOYSA-N 0 3 219.328 2.642 20 0 BFADHN CCCN(Cc1nn(C)c2ccccc12)C1CC1 ZINC000678138060 488204126 /nfs/dbraw/zinc/20/41/26/488204126.db2.gz ASLSFWROPUTKFH-UHFFFAOYSA-N 0 3 243.354 2.948 20 0 BFADHN Cn1nccc1CN(C1CC1)C1CCCCC1 ZINC000678141883 488206065 /nfs/dbraw/zinc/20/60/65/488206065.db2.gz SFGVPUQJAQSPSU-UHFFFAOYSA-N 0 3 233.359 2.717 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cn2cccc(F)c2n1 ZINC000678144756 488207167 /nfs/dbraw/zinc/20/71/67/488207167.db2.gz PXKDEQYPDUBGDC-SNVBAGLBSA-N 0 3 247.317 2.704 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1CCSc2ccccc21 ZINC000683693944 488208925 /nfs/dbraw/zinc/20/89/25/488208925.db2.gz NQTGXAKRHBZAHS-FRRDWIJNSA-N 0 3 249.379 2.991 20 0 BFADHN CC(C)OCCN1CCC(c2cccnc2)CC1 ZINC000678168176 488210498 /nfs/dbraw/zinc/21/04/98/488210498.db2.gz RMQCGVRFTLIOSR-UHFFFAOYSA-N 0 3 248.370 2.686 20 0 BFADHN C[C@@H]1CCN(Cc2cn[nH]c2)[C@H]2CCCC[C@@H]12 ZINC000678179538 488213780 /nfs/dbraw/zinc/21/37/80/488213780.db2.gz LVLJYSKVFKHWKE-XBFCOCLRSA-N 0 3 233.359 2.810 20 0 BFADHN CC[C@@H]1CCCN1Cc1nn(C)c2ccccc12 ZINC000678210287 488216746 /nfs/dbraw/zinc/21/67/46/488216746.db2.gz IWHQYWFBNMXXQD-GFCCVEGCSA-N 0 3 243.354 2.948 20 0 BFADHN CCOc1ccc(CN2CC[C@@H]2COC)c(C)c1 ZINC000668192469 488218186 /nfs/dbraw/zinc/21/81/86/488218186.db2.gz CQDDBXMMUWXQFS-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CC[C@@H]1CN(Cc2cccc(N)c2)C[C@@H](CC)O1 ZINC000398553433 488219358 /nfs/dbraw/zinc/21/93/58/488219358.db2.gz CVILPNKBSDZVJF-HUUCEWRRSA-N 0 3 248.370 2.658 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc(N(C)C)nc2)[C@@H]1C ZINC000678222343 488220472 /nfs/dbraw/zinc/22/04/72/488220472.db2.gz MNPXXFNDYBAIPQ-CHWSQXEVSA-N 0 3 247.386 2.768 20 0 BFADHN c1csc(CN2CCC[C@@H](n3ccnc3)C2)c1 ZINC000678234481 488222030 /nfs/dbraw/zinc/22/20/30/488222030.db2.gz GFAMTHQCWIBFKI-GFCCVEGCSA-N 0 3 247.367 2.782 20 0 BFADHN CN(Cc1ccccc1C1CC1)[C@@H]1CCOC1 ZINC000678238877 488223006 /nfs/dbraw/zinc/22/30/06/488223006.db2.gz JPNRZJFWGZDAQO-CQSZACIVSA-N 0 3 231.339 2.785 20 0 BFADHN CCN1CCN(Cc2ccc(C)c(C)c2)C[C@H]1C ZINC000678261785 488228465 /nfs/dbraw/zinc/22/84/65/488228465.db2.gz SNOLTJFLSQAGHU-OAHLLOKOSA-N 0 3 246.398 2.829 20 0 BFADHN OCCCN(C/C=C/c1ccc(F)cc1)C1CC1 ZINC000678263881 488229520 /nfs/dbraw/zinc/22/95/20/488229520.db2.gz PNSKDJUNZHLVOQ-HNQUOIGGSA-N 0 3 249.329 2.686 20 0 BFADHN c1n[nH]cc1CN1CCCC2(CCCCC2)C1 ZINC000678264492 488229533 /nfs/dbraw/zinc/22/95/33/488229533.db2.gz JCUPAASJSNUGRK-UHFFFAOYSA-N 0 3 233.359 2.956 20 0 BFADHN c1c(CNC23CCC(CC2)CC3)nn2c1CCC2 ZINC000668219215 488229993 /nfs/dbraw/zinc/22/99/93/488229993.db2.gz GFILVQLOYZNXPG-UHFFFAOYSA-N 0 3 245.370 2.642 20 0 BFADHN Cc1ccc(CN(C)CC2(C)CC2)nc1 ZINC000683839486 488230472 /nfs/dbraw/zinc/23/04/72/488230472.db2.gz JHAFAHQKNMMKJQ-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN C[C@@H](CO)CN(C)Cc1ccc(F)c(Cl)c1 ZINC000678269896 488232029 /nfs/dbraw/zinc/23/20/29/488232029.db2.gz PEJDCRVDULKSNK-SECBINFHSA-N 0 3 245.725 2.539 20 0 BFADHN CCOc1cccc(CN2CCCOC[C@@H]2C)c1 ZINC000678272100 488233512 /nfs/dbraw/zinc/23/35/12/488233512.db2.gz BNKOQVISJOYGRG-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1ccc(C[C@@H](C)N(C)Cc2cn[nH]c2)cc1 ZINC000678274841 488234391 /nfs/dbraw/zinc/23/43/91/488234391.db2.gz KCYXOPJDDFKYKT-CYBMUJFWSA-N 0 3 243.354 2.781 20 0 BFADHN C[C@H](Cc1ccsc1)N(C)Cc1cn[nH]c1 ZINC000678281506 488236045 /nfs/dbraw/zinc/23/60/45/488236045.db2.gz ZDRNSCKJBWZOHY-SNVBAGLBSA-N 0 3 235.356 2.534 20 0 BFADHN CC(C)C[C@@H]1CCCN(Cc2cn[nH]c2)C1 ZINC000678281240 488236339 /nfs/dbraw/zinc/23/63/39/488236339.db2.gz NNMNLZMGTGJYGN-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@@H](N(C)Cc1ccc(N(C)C)nc1)C1(C)CC1 ZINC000678334874 488241891 /nfs/dbraw/zinc/24/18/91/488241891.db2.gz YCEYXWYTTAAMGR-GFCCVEGCSA-N 0 3 247.386 2.768 20 0 BFADHN CCC[C@H](C)NCc1ccc(-c2cc[nH]n2)o1 ZINC000683925440 488245267 /nfs/dbraw/zinc/24/52/67/488245267.db2.gz IGQHRLRZJZLONA-JTQLQIEISA-N 0 3 233.315 2.948 20 0 BFADHN CC(C)[C@H](C)N(C)C(=O)Nc1cccc(CN)c1 ZINC000036788227 488245464 /nfs/dbraw/zinc/24/54/64/488245464.db2.gz YGFMEFGOXMEJPX-NSHDSACASA-N 0 3 249.358 2.654 20 0 BFADHN COc1nc(C)cc(C)c1CNC1CC(C)(C)C1 ZINC000683940408 488248733 /nfs/dbraw/zinc/24/87/33/488248733.db2.gz XNQVOVMZXMQAGH-UHFFFAOYSA-N 0 3 248.370 2.985 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccc(C)nc2C)n[nH]1 ZINC000678427730 488255067 /nfs/dbraw/zinc/25/50/67/488255067.db2.gz NZHCXHVXUHQJCV-LLVKDONJSA-N 0 3 244.342 2.581 20 0 BFADHN C[C@H]1CCC[C@@H](CN2CCc3c[nH]nc3C2)C1 ZINC000678466023 488257548 /nfs/dbraw/zinc/25/75/48/488257548.db2.gz CHAWKRBVVMBLRS-NWDGAFQWSA-N 0 3 233.359 2.594 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2Cc3ccccc32)no1 ZINC000672556377 488260205 /nfs/dbraw/zinc/26/02/05/488260205.db2.gz LYVBPWWZXKJNHU-DGCLKSJQSA-N 0 3 242.322 2.974 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2Cc3ccccc32)no1 ZINC000672556376 488260297 /nfs/dbraw/zinc/26/02/97/488260297.db2.gz LYVBPWWZXKJNHU-AAEUAGOBSA-N 0 3 242.322 2.974 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1c(C)cc(C)nc1OC ZINC000672603136 488261425 /nfs/dbraw/zinc/26/14/25/488261425.db2.gz AULLUNKXKQNWSS-TZMCWYRMSA-N 0 3 248.370 2.985 20 0 BFADHN CC[C@@H](N[C@H]1CCCC1(F)F)C1CCOCC1 ZINC000672622917 488262412 /nfs/dbraw/zinc/26/24/12/488262412.db2.gz ZBLWEOLAAJRWEG-NEPJUHHUSA-N 0 3 247.329 2.969 20 0 BFADHN FC1(F)CC2(CN(C3CCCC3)C2)C1 ZINC000678531907 488264243 /nfs/dbraw/zinc/26/42/43/488264243.db2.gz RTTOSWDWBIFSJJ-UHFFFAOYSA-N 0 3 201.260 2.660 20 0 BFADHN C[C@H](CN[C@@H](C)c1nccs1)c1ccncc1 ZINC000678544296 488265709 /nfs/dbraw/zinc/26/57/09/488265709.db2.gz YNYXRSVLKQDJRV-MNOVXSKESA-N 0 3 247.367 2.992 20 0 BFADHN CC[C@H](NCc1cn2cccc(C)c2n1)C1CC1 ZINC000678591335 488269325 /nfs/dbraw/zinc/26/93/25/488269325.db2.gz MEYRBMJXRPYFJQ-AWEZNQCLSA-N 0 3 243.354 2.921 20 0 BFADHN CCC[C@@H](NCC(C)(C)CO)c1ccccn1 ZINC000678602309 488270421 /nfs/dbraw/zinc/27/04/21/488270421.db2.gz NHCLITYQDYLZCL-GFCCVEGCSA-N 0 3 236.359 2.531 20 0 BFADHN CO[C@@]1(C)CCCN([C@H](C)c2ccncc2)C1 ZINC000684208695 488276835 /nfs/dbraw/zinc/27/68/35/488276835.db2.gz KKGIPSXHGRJTQP-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN CC(C)OCCCN1CCC(F)(F)CC1 ZINC000684626060 488335017 /nfs/dbraw/zinc/33/50/17/488335017.db2.gz OYYJRQVQWMKGRN-UHFFFAOYSA-N 0 3 221.291 2.533 20 0 BFADHN C[C@H](CN[C@@H](c1nccn1C)C1CC1)C1CC1 ZINC000678806489 488285626 /nfs/dbraw/zinc/28/56/26/488285626.db2.gz CUAWJNHQCSHDNY-ZWNOBZJWSA-N 0 3 233.359 2.507 20 0 BFADHN COc1ccc(CN2C3CCCC2CCC3)nc1 ZINC000678885623 488293239 /nfs/dbraw/zinc/29/32/39/488293239.db2.gz XNGWKYJXGXRTJN-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN CCN(CCCn1ccnc1)CCC(F)(F)F ZINC000678883136 488293496 /nfs/dbraw/zinc/29/34/96/488293496.db2.gz SGNBACUWGRCGPG-UHFFFAOYSA-N 0 3 249.280 2.548 20 0 BFADHN CCC[C@@H]1CCCC[C@@H]1NCc1cn(C)cn1 ZINC000673601331 488296041 /nfs/dbraw/zinc/29/60/41/488296041.db2.gz AFLDXYYPBUNFQP-OCCSQVGLSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1ccncc1[C@H](C)NCCOC1CCC1 ZINC000679067235 488305278 /nfs/dbraw/zinc/30/52/78/488305278.db2.gz QVUIDZMTQAWCEC-LBPRGKRZSA-N 0 3 234.343 2.610 20 0 BFADHN Cc1nc2ccccn2c1CN1CC(C(C)C)C1 ZINC000684407324 488307517 /nfs/dbraw/zinc/30/75/17/488307517.db2.gz BMYNXMVGLKFXRK-UHFFFAOYSA-N 0 3 243.354 2.731 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1n[nH]c2ccccc21 ZINC000684411780 488308722 /nfs/dbraw/zinc/30/87/22/488308722.db2.gz NTYLJRNUJSCAFQ-NXEZZACHSA-N 0 3 215.300 2.546 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1[nH]nc2ccccc21 ZINC000684411780 488308724 /nfs/dbraw/zinc/30/87/24/488308724.db2.gz NTYLJRNUJSCAFQ-NXEZZACHSA-N 0 3 215.300 2.546 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1n[nH]c2ccccc21 ZINC000684411781 488308729 /nfs/dbraw/zinc/30/87/29/488308729.db2.gz NTYLJRNUJSCAFQ-UWVGGRQHSA-N 0 3 215.300 2.546 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1[nH]nc2ccccc21 ZINC000684411781 488308731 /nfs/dbraw/zinc/30/87/31/488308731.db2.gz NTYLJRNUJSCAFQ-UWVGGRQHSA-N 0 3 215.300 2.546 20 0 BFADHN C[C@@H](NC1CC=CC1)c1cn2ccccc2n1 ZINC000684836375 488347968 /nfs/dbraw/zinc/34/79/68/488347968.db2.gz WEDFUGMADOVGKY-LLVKDONJSA-N 0 3 227.311 2.704 20 0 BFADHN CC[C@H]1CN(Cc2ccc(O)cc2)CCS1 ZINC000679392995 488350136 /nfs/dbraw/zinc/35/01/36/488350136.db2.gz RBXYFMVJYXPEDL-ZDUSSCGKSA-N 0 3 237.368 2.720 20 0 BFADHN COC1(CN[C@@H](C)c2ccc(C)nc2C)CCC1 ZINC000679575021 488358555 /nfs/dbraw/zinc/35/85/55/488358555.db2.gz JCIZWLVWTHXHQX-LBPRGKRZSA-N 0 3 248.370 2.918 20 0 BFADHN FC(F)O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000679682722 488364375 /nfs/dbraw/zinc/36/43/75/488364375.db2.gz UGSGCBSNLHZNJK-GFCCVEGCSA-N 0 3 241.281 2.890 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cc2ccccc2[nH]1 ZINC000679852236 488369086 /nfs/dbraw/zinc/36/90/86/488369086.db2.gz IGKRBGMMMPALBJ-NSHDSACASA-N 0 3 232.327 2.635 20 0 BFADHN CC[C@@H](C)CN1CCN(c2cc(C)ccn2)CC1 ZINC000166345387 490090572 /nfs/dbraw/zinc/09/05/72/490090572.db2.gz MNHUPKZERHEOAW-CYBMUJFWSA-N 0 3 247.386 2.558 20 0 BFADHN CCOc1ccc(OCCN2CC=CCC2)cc1 ZINC000679322232 490113767 /nfs/dbraw/zinc/11/37/67/490113767.db2.gz WQGSEBHSUIPNHP-UHFFFAOYSA-N 0 3 247.338 2.726 20 0 BFADHN CC[C@@H]1c2ccccc2CCN1Cc1cn[nH]c1 ZINC000678266462 490118394 /nfs/dbraw/zinc/11/83/94/490118394.db2.gz HNJLVZGTWGCDFJ-OAHLLOKOSA-N 0 3 241.338 2.919 20 0 BFADHN Cc1c(CN(CCC(C)C)C2CC2)cnn1C ZINC000122274508 490131618 /nfs/dbraw/zinc/13/16/18/490131618.db2.gz NVOODEHQUXRJLC-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1csc(N(C)C)n1 ZINC000122327471 490133183 /nfs/dbraw/zinc/13/31/83/490133183.db2.gz XEEKTBGMPDDHRS-NXEZZACHSA-N 0 3 241.404 2.733 20 0 BFADHN C[C@H](NCCN(C)C)c1ccc(Cl)c(F)c1 ZINC000215049727 490181443 /nfs/dbraw/zinc/18/14/43/490181443.db2.gz QTSHDFZMMKTPIP-VIFPVBQESA-N 0 3 244.741 2.691 20 0 BFADHN CC1(C)C[C@H](N2CCCc3occc3C2)CO1 ZINC000660562097 490237117 /nfs/dbraw/zinc/23/71/17/490237117.db2.gz JVZLZYDROHURBF-LBPRGKRZSA-N 0 3 235.327 2.595 20 0 BFADHN Cc1nc([C@@H](C)N2CCC[C@@H](C3CCC3)C2)n[nH]1 ZINC000662043869 490247338 /nfs/dbraw/zinc/24/73/38/490247338.db2.gz MWUBVBKJPIHSGT-ZWNOBZJWSA-N 0 3 248.374 2.686 20 0 BFADHN CC(C)(C)[C@@H](CO)NCc1coc2ccccc12 ZINC000194636583 490263337 /nfs/dbraw/zinc/26/33/37/490263337.db2.gz WFXGITYZCDLRHQ-CQSZACIVSA-N 0 3 247.338 2.929 20 0 BFADHN C1=C(CCNCc2cnc(C3CC3)nc2)CCC1 ZINC000459441250 490270619 /nfs/dbraw/zinc/27/06/19/490270619.db2.gz NJTKHFYOCVLGAJ-UHFFFAOYSA-N 0 3 243.354 2.944 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CC[C@H]2C2CC2)o1 ZINC000426323985 490288205 /nfs/dbraw/zinc/28/82/05/490288205.db2.gz ORKUTEZJBBKEKP-RYUDHWBXSA-N 0 3 234.343 2.824 20 0 BFADHN CCS[C@@H]1CC[C@@H](N(C)Cc2ccno2)C1 ZINC000558674299 490290137 /nfs/dbraw/zinc/29/01/37/490290137.db2.gz WRBRYEHUMBDADQ-ZYHUDNBSSA-N 0 3 240.372 2.781 20 0 BFADHN CC[C@H](C)[C@H](CN(C)Cc1cccn1C)OC ZINC000638105452 490301449 /nfs/dbraw/zinc/30/14/49/490301449.db2.gz IMQVGYFMXYJWIZ-JSGCOSHPSA-N 0 3 238.375 2.518 20 0 BFADHN OCCN[C@@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000126893950 490344809 /nfs/dbraw/zinc/34/48/09/490344809.db2.gz VGVCGEFKULXJDE-SNVBAGLBSA-N 0 3 246.137 2.563 20 0 BFADHN Cc1ccc([C@@H](C)NCCOC(C)C)o1 ZINC000042226189 490382109 /nfs/dbraw/zinc/38/21/09/490382109.db2.gz VWMSKROTQLKIOA-LLVKDONJSA-N 0 3 211.305 2.664 20 0 BFADHN C[C@@H](N[C@@H]1CCCC1(C)C)c1ncc[nH]1 ZINC000124770611 490403195 /nfs/dbraw/zinc/40/31/95/490403195.db2.gz GPLPWTANGMXEBF-NXEZZACHSA-N 0 3 207.321 2.639 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](C)[C@@H](C)C1)c1ncc[nH]1 ZINC000124817695 490404906 /nfs/dbraw/zinc/40/49/06/490404906.db2.gz WIACHKBOMQFABE-FIQHERPVSA-N 0 3 221.348 2.885 20 0 BFADHN CCc1cc(CNC[C@@H]2CC=CCC2)on1 ZINC000125707641 490439919 /nfs/dbraw/zinc/43/99/19/490439919.db2.gz CCOZYKSAMSOVKO-LLVKDONJSA-N 0 3 220.316 2.683 20 0 BFADHN CC(C)(C)c1ncc(CN2CC[C@@H]3C[C@@H]3C2)cn1 ZINC000668677495 490516390 /nfs/dbraw/zinc/51/63/90/490516390.db2.gz QHVLVRJAXNBGSP-CHWSQXEVSA-N 0 3 245.370 2.616 20 0 BFADHN Cc1ncc([C@H](C)NCC[C@H]2CCCO2)s1 ZINC000126952089 490526973 /nfs/dbraw/zinc/52/69/73/490526973.db2.gz COCNJMLUMZJVFE-GXSJLCMTSA-N 0 3 240.372 2.671 20 0 BFADHN c1cc(CN2CCC3(COC3)C2)cc(C2CC2)c1 ZINC000668718862 490542807 /nfs/dbraw/zinc/54/28/07/490542807.db2.gz HLKYWVPPRUNUDA-UHFFFAOYSA-N 0 3 243.350 2.786 20 0 BFADHN FC1(F)CC[C@@H](NCc2ccco2)C1 ZINC000306705432 490644742 /nfs/dbraw/zinc/64/47/42/490644742.db2.gz HTEWUHDDBSVKGX-MRVPVSSYSA-N 0 3 201.216 2.557 20 0 BFADHN CC(C)C[C@H]1CCCN(Cc2cncnc2)C1 ZINC000131794507 490659047 /nfs/dbraw/zinc/65/90/47/490659047.db2.gz VOYHYVDUDLPQMX-CYBMUJFWSA-N 0 3 233.359 2.735 20 0 BFADHN CCN(Cc1ccoc1)[C@@H](C)CCOC ZINC000088594068 491106694 /nfs/dbraw/zinc/10/66/94/491106694.db2.gz KUCNPRHICCRKQZ-NSHDSACASA-N 0 3 211.305 2.527 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2ccno2)C1 ZINC000300400696 491070156 /nfs/dbraw/zinc/07/01/56/491070156.db2.gz RJQIQXPGORCJOV-JFGNBEQYSA-N 0 3 208.305 2.589 20 0 BFADHN CCOc1ccc(CN2CCCCCC2)nc1 ZINC000083595630 491097264 /nfs/dbraw/zinc/09/72/64/491097264.db2.gz HHBROKGSRFCWOG-UHFFFAOYSA-N 0 3 234.343 2.856 20 0 BFADHN Cc1nc(C)c(CN2C[C@@H]3CCCC[C@@H]32)o1 ZINC000660931527 491117955 /nfs/dbraw/zinc/11/79/55/491117955.db2.gz OVCCFLQNARFALS-RYUDHWBXSA-N 0 3 220.316 2.666 20 0 BFADHN CCC1(NCc2cccc3c2OCCO3)CCC1 ZINC000135622855 491121187 /nfs/dbraw/zinc/12/11/87/491121187.db2.gz RSUMJNPYWCGBMC-UHFFFAOYSA-N 0 3 247.338 2.880 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cnc(C2CC2)nc1 ZINC000335146634 491122307 /nfs/dbraw/zinc/12/23/07/491122307.db2.gz MYHAARDRDOGZFJ-WDEREUQCSA-N 0 3 231.343 2.584 20 0 BFADHN Cc1cccc(CN[C@H]2COC(C)(C)C2)c1C ZINC000396522765 491127652 /nfs/dbraw/zinc/12/76/52/491127652.db2.gz FAWDEPPKKDMHHY-CQSZACIVSA-N 0 3 233.355 2.961 20 0 BFADHN COC(C)(C)CCN[C@@H](C)c1c(C)noc1C ZINC000230417026 491130079 /nfs/dbraw/zinc/13/00/79/491130079.db2.gz XHFFHUOQCUBPGA-VIFPVBQESA-N 0 3 240.347 2.757 20 0 BFADHN FCCCN[C@H]1CCc2c1cc(F)cc2F ZINC000125932029 491130230 /nfs/dbraw/zinc/13/02/30/491130230.db2.gz UILGBUIHYFWERU-LBPRGKRZSA-N 0 3 229.245 2.901 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1nccs1 ZINC000230650393 491130500 /nfs/dbraw/zinc/13/05/00/491130500.db2.gz KYWKKULMSDJKGV-VHSXEESVSA-N 0 3 210.346 2.669 20 0 BFADHN CCc1nc(C)c(CNCC2(C)CCC2)o1 ZINC000336776576 491130757 /nfs/dbraw/zinc/13/07/57/491130757.db2.gz GACVHJMQWMLSNT-UHFFFAOYSA-N 0 3 222.332 2.825 20 0 BFADHN C[C@H](N[C@@H]1CCCc2cccnc21)[C@@H]1CCCO1 ZINC000091660463 491131233 /nfs/dbraw/zinc/13/12/33/491131233.db2.gz ODZDSFGHRYLTNI-YUTCNCBUSA-N 0 3 246.354 2.616 20 0 BFADHN c1coc(CCN[C@@H]2CCCc3cccnc32)c1 ZINC000091660549 491131423 /nfs/dbraw/zinc/13/14/23/491131423.db2.gz QABGKRXUOZRMMW-CQSZACIVSA-N 0 3 242.322 2.884 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2ccc(N(C)C)nc2)C1 ZINC000127783886 491132761 /nfs/dbraw/zinc/13/27/61/491132761.db2.gz CQLDSTFDICGFQN-CHWSQXEVSA-N 0 3 247.386 2.768 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1ccc(Cl)o1 ZINC000307480439 491133612 /nfs/dbraw/zinc/13/36/12/491133612.db2.gz KHIJTQMAOQXSQE-CBAPKCEASA-N 0 3 231.748 2.917 20 0 BFADHN C[C@H](CC1CCC1)NCc1ccccn1 ZINC000131919872 491134114 /nfs/dbraw/zinc/13/41/14/491134114.db2.gz HZYMSYSKWYMKLW-LLVKDONJSA-N 0 3 204.317 2.750 20 0 BFADHN CC[C@@H](NC[C@H](C)C1CC1)c1nccn1C ZINC000132131513 491134993 /nfs/dbraw/zinc/13/49/93/491134993.db2.gz DXBVYULIVLSYEQ-CMPLNLGQSA-N 0 3 221.348 2.507 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1cscc1Cl ZINC000308613411 491137410 /nfs/dbraw/zinc/13/74/10/491137410.db2.gz RFIVRHYBLVSBEZ-SSDOTTSWSA-N 0 3 233.764 2.651 20 0 BFADHN C/C=C/CN[C@H](C)c1cccc(NC(C)=O)c1 ZINC000223631763 491137797 /nfs/dbraw/zinc/13/77/97/491137797.db2.gz OQLIZWDSJAYIAL-SGUJLRQBSA-N 0 3 232.327 2.872 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1csc(C)n1 ZINC000308740890 491137840 /nfs/dbraw/zinc/13/78/40/491137840.db2.gz ORVSCHWSVDIOJW-MWLCHTKSSA-N 0 3 210.346 2.730 20 0 BFADHN c1csc(CNC[C@H]2Cc3ccccc32)n1 ZINC000082432815 491146385 /nfs/dbraw/zinc/14/63/85/491146385.db2.gz NMYMPOBPGIODJX-LLVKDONJSA-N 0 3 230.336 2.573 20 0 BFADHN C[C@H](CO)CN[C@@H](C)c1c(F)cccc1Cl ZINC000309282060 491147101 /nfs/dbraw/zinc/14/71/01/491147101.db2.gz GZTAUPDMVNTWTK-IUCAKERBSA-N 0 3 245.725 2.758 20 0 BFADHN C[C@@H](CO)CNC1(c2ccc(Cl)cc2)CC1 ZINC000309447330 491148404 /nfs/dbraw/zinc/14/84/04/491148404.db2.gz LRYVRYIGGLQIHY-SNVBAGLBSA-N 0 3 239.746 2.547 20 0 BFADHN C[C@H](NCCOCC1CCC1)c1cncs1 ZINC000309515542 491150693 /nfs/dbraw/zinc/15/06/93/491150693.db2.gz YOULWJUQRYQNLJ-JTQLQIEISA-N 0 3 240.372 2.610 20 0 BFADHN Cc1cc(CN[C@@H](C)c2sccc2C)[nH]n1 ZINC000309761915 491156050 /nfs/dbraw/zinc/15/60/50/491156050.db2.gz IVTSNQQJRNNUGD-JTQLQIEISA-N 0 3 235.356 2.939 20 0 BFADHN Cc1cc(CN[C@@H](C)c2sccc2C)n[nH]1 ZINC000309761915 491156051 /nfs/dbraw/zinc/15/60/51/491156051.db2.gz IVTSNQQJRNNUGD-JTQLQIEISA-N 0 3 235.356 2.939 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CNCc1cocn1 ZINC000309794756 491156660 /nfs/dbraw/zinc/15/66/60/491156660.db2.gz PWUOMXJHISHNEY-AAEUAGOBSA-N 0 3 220.316 2.757 20 0 BFADHN C[C@@H](N[C@@H](C)C(C)(C)C)c1nccn1C ZINC000133884094 491160954 /nfs/dbraw/zinc/16/09/54/491160954.db2.gz ZIMLUGDEHNVFKT-ZJUUUORDSA-N 0 3 209.337 2.505 20 0 BFADHN COc1cccc(CN[C@@H]2CCC(F)(F)C2)c1 ZINC000310565103 491162033 /nfs/dbraw/zinc/16/20/33/491162033.db2.gz LIVYROZOTAYXJC-LLVKDONJSA-N 0 3 241.281 2.973 20 0 BFADHN C[C@@H]1CCC[C@@H](Nc2ccnc(CO)c2)C1 ZINC000858344054 582459738 /nfs/dbraw/zinc/45/97/38/582459738.db2.gz JBPMWVMQNOYPNT-GHMZBOCLSA-N 0 3 220.316 2.565 20 0 BFADHN CC(C)(C)OCCN1CCC[C@@]2(CC2(F)F)C1 ZINC000844434463 582585514 /nfs/dbraw/zinc/58/55/14/582585514.db2.gz ACSXETYLDRGBSX-GFCCVEGCSA-N 0 3 247.329 2.923 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1ccnc(C)n1 ZINC000351722659 535509482 /nfs/dbraw/zinc/50/94/82/535509482.db2.gz WSQZLJIEXCZMQM-LLVKDONJSA-N 0 3 221.348 2.653 20 0 BFADHN CSC[C@H]1CCCN1C/C=C\c1ccncc1 ZINC000494980161 533816898 /nfs/dbraw/zinc/81/68/98/533816898.db2.gz YLHRQEBZDKLWAW-CTGSTSKSSA-N 0 3 248.395 2.922 20 0 BFADHN C[C@H]1COC(C)(C)CN1CCCc1ccncc1 ZINC000488230474 534021142 /nfs/dbraw/zinc/02/11/42/534021142.db2.gz JINYNJZLOPYMKG-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN C[C@@H](c1ccc2c(c1)CCC2)N1CCC[C@@H](O)C1 ZINC000487982229 534071987 /nfs/dbraw/zinc/07/19/87/534071987.db2.gz QLPHLWYYTBBIBH-BLLLJJGKSA-N 0 3 245.366 2.693 20 0 BFADHN CCN(CC)C[C@H]1C[C@]1(C)Br ZINC000891438871 582685799 /nfs/dbraw/zinc/68/57/99/582685799.db2.gz VLSQKSCFQCTKBX-BDAKNGLRSA-N 0 3 220.154 2.502 20 0 BFADHN COC1CCC(CN(C)Cc2ccco2)CC1 ZINC000891486984 582691982 /nfs/dbraw/zinc/69/19/82/582691982.db2.gz GTRCKLITFTWNEM-UHFFFAOYSA-N 0 3 237.343 2.917 20 0 BFADHN COC(=O)[C@@H](CC(C)C)N1CC[C@H](C(C)C)C1 ZINC000509386844 534242100 /nfs/dbraw/zinc/24/21/00/534242100.db2.gz KSCQSSILSFUIBF-QWHCGFSZSA-N 0 3 241.375 2.552 20 0 BFADHN Cc1cc(F)ncc1CN1[C@@H](C)CC[C@@H]1C ZINC000892223806 582809501 /nfs/dbraw/zinc/80/95/01/582809501.db2.gz MPZXQSZZSJLXGU-QWRGUYRKSA-N 0 3 222.307 2.902 20 0 BFADHN C[C@]1(Br)C[C@@H]1CNCc1ccco1 ZINC000892430064 582885241 /nfs/dbraw/zinc/88/52/41/582885241.db2.gz ZRGMYCVPMJEQSG-SCZZXKLOSA-N 0 3 244.132 2.543 20 0 BFADHN COCCNCc1cc(C(C)(C)C)oc1C ZINC000892429868 582885921 /nfs/dbraw/zinc/88/59/21/582885921.db2.gz SKVGSVCSIHARMQ-UHFFFAOYSA-N 0 3 225.332 2.622 20 0 BFADHN CC1(C)C[C@H](c2ccccc2)N(CC(N)=O)C1 ZINC000335627918 534901730 /nfs/dbraw/zinc/90/17/30/534901730.db2.gz VYRWLIBJTSOGRR-GFCCVEGCSA-N 0 3 232.327 2.995 20 0 BFADHN CN(Cc1ccc(Cl)cn1)[C@@H]1CCSC1 ZINC000336147003 536468676 /nfs/dbraw/zinc/46/86/76/536468676.db2.gz MAMSKLWKHISSLO-LLVKDONJSA-N 0 3 242.775 2.672 20 0 BFADHN CN(Cc1cccc2ccoc21)[C@H]1CCOC1 ZINC000335586056 536477908 /nfs/dbraw/zinc/47/79/08/536477908.db2.gz GGNCCOSVGYATCD-ZDUSSCGKSA-N 0 3 231.295 2.654 20 0 BFADHN COCCCN(C)C/C=C\c1ccc(F)cc1 ZINC000255374946 536497021 /nfs/dbraw/zinc/49/70/21/536497021.db2.gz MDTMAMRGZVKDKP-HYXAFXHYSA-N 0 3 237.318 2.807 20 0 BFADHN CC(C)(CNCc1cc[nH]n1)C1=CCCC1 ZINC000308753780 527172028 /nfs/dbraw/zinc/17/20/28/527172028.db2.gz HHCFYLSPVFPYIX-UHFFFAOYSA-N 0 3 219.332 2.636 20 0 BFADHN CCC[C@H](C)N1CCO[C@@H](C(C)(C)C)C1 ZINC000501874420 528051196 /nfs/dbraw/zinc/05/11/96/528051196.db2.gz NYUWPWMORJYNKF-NWDGAFQWSA-N 0 3 213.365 2.922 20 0 BFADHN CCCN1CCN(Cc2ccc(C)c(C)c2)CC1 ZINC000505300935 528247384 /nfs/dbraw/zinc/24/73/84/528247384.db2.gz BOSUDQWYIXRWNO-UHFFFAOYSA-N 0 3 246.398 2.831 20 0 BFADHN CCCN1CCN(c2cc(C)ccc2C)CC1 ZINC000483523714 528249281 /nfs/dbraw/zinc/24/92/81/528249281.db2.gz KZDWKGQGQQHWBT-UHFFFAOYSA-N 0 3 232.371 2.835 20 0 BFADHN CCCc1ccccc1NC(=O)/C=C\CN(C)C ZINC000493759278 528638281 /nfs/dbraw/zinc/63/82/81/528638281.db2.gz RVMPMJZUFDTKIO-XFFZJAGNSA-N 0 3 246.354 2.695 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H]1C[C@H](C)[C@@H]1C ZINC000495079445 528732317 /nfs/dbraw/zinc/73/23/17/528732317.db2.gz ABPFRJOKJJEINP-RWMBFGLXSA-N 0 3 235.375 2.673 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H]1C[C@@H](C)[C@@H]1C ZINC000495079443 528733081 /nfs/dbraw/zinc/73/30/81/528733081.db2.gz ABPFRJOKJJEINP-FRRDWIJNSA-N 0 3 235.375 2.673 20 0 BFADHN CCOC(=O)CN(C[C@@H](C)CC)C1CCCC1 ZINC000481051245 528802259 /nfs/dbraw/zinc/80/22/59/528802259.db2.gz GPEUHBQWYDBUET-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CCN(Cc1cnc2cccc(C)n12)C1CC1 ZINC000507229543 528870677 /nfs/dbraw/zinc/87/06/77/528870677.db2.gz MRHDAZZFEPNUKH-UHFFFAOYSA-N 0 3 229.327 2.627 20 0 BFADHN CCOC[C@H](C)NC/C=C/c1ccc(F)cc1 ZINC000494230034 528976626 /nfs/dbraw/zinc/97/66/26/528976626.db2.gz HGDMMLBMDNERTP-ITKZLYELSA-N 0 3 237.318 2.854 20 0 BFADHN CCC[C@@H](NC(=O)[C@@H](N)CC)C1CCCCC1 ZINC000494862110 528985986 /nfs/dbraw/zinc/98/59/86/528985986.db2.gz WEWKFWZCQMHOHJ-QWHCGFSZSA-N 0 3 240.391 2.589 20 0 BFADHN CC(C)[C@H](CO)CNCc1ccsc1Cl ZINC000308931151 529024022 /nfs/dbraw/zinc/02/40/22/529024022.db2.gz FIRKXSXJUAKTGC-JTQLQIEISA-N 0 3 247.791 2.756 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CNCc1cc[nH]n1 ZINC000401775951 529286737 /nfs/dbraw/zinc/28/67/37/529286737.db2.gz YZIJNDWEUNJXBU-NEPJUHHUSA-N 0 3 221.348 2.716 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CNCc1cocn1 ZINC000401738518 529286786 /nfs/dbraw/zinc/28/67/86/529286786.db2.gz IBPVKYUQAPDVFI-NEPJUHHUSA-N 0 3 222.332 2.981 20 0 BFADHN CCCN1CCN(c2ccccc2C)CC1 ZINC000040980750 534934202 /nfs/dbraw/zinc/93/42/02/534934202.db2.gz FPXLKWBFQQEPEG-UHFFFAOYSA-N 0 3 218.344 2.527 20 0 BFADHN CC1(C)SC[C@@H]1NCc1cccc2c[nH]nc21 ZINC000335068027 535012444 /nfs/dbraw/zinc/01/24/44/535012444.db2.gz JPAKHQJEZVHPPO-NSHDSACASA-N 0 3 247.367 2.547 20 0 BFADHN CC(C)CNCc1cnc(C(F)(F)F)s1 ZINC000166591754 535169841 /nfs/dbraw/zinc/16/98/41/535169841.db2.gz ASQLURIQZJMMOG-UHFFFAOYSA-N 0 3 238.278 2.908 20 0 BFADHN CC(C)[C@H]1CN(C[C@@H]2CC[C@@H](C)O2)CCS1 ZINC000248907848 535237762 /nfs/dbraw/zinc/23/77/62/535237762.db2.gz MHCFOTLNDZZMAV-FRRDWIJNSA-N 0 3 243.416 2.627 20 0 BFADHN CCC[C@@](C)(N)C(=O)N1CCC[C@](C)(CC)C1 ZINC000262720976 535523457 /nfs/dbraw/zinc/52/34/57/535523457.db2.gz ZUCYKXMIRQWFBY-UONOGXRCSA-N 0 3 240.391 2.543 20 0 BFADHN CCN(CC)[C@@H](C)C(=O)Nc1cccc(C)c1 ZINC000342633156 535638188 /nfs/dbraw/zinc/63/81/88/535638188.db2.gz XPUUHBIFBFQWMQ-LBPRGKRZSA-N 0 3 234.343 2.664 20 0 BFADHN CCC[C@H](NCC[C@H](C)OC)c1ccccn1 ZINC000346986997 535705145 /nfs/dbraw/zinc/70/51/45/535705145.db2.gz QSCWWPCGCSWKDE-STQMWFEESA-N 0 3 236.359 2.937 20 0 BFADHN CC[C@@H](N[C@H]1CCOC1)c1cccc(F)c1 ZINC000164085168 535809190 /nfs/dbraw/zinc/80/91/90/535809190.db2.gz SCQOYUMFLSKVMJ-QWHCGFSZSA-N 0 3 223.291 2.655 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](C)c1nnc2ccccn21 ZINC000348070381 535824914 /nfs/dbraw/zinc/82/49/14/535824914.db2.gz HZKZPFSUYNRZBG-IJLUTSLNSA-N 0 3 244.342 2.569 20 0 BFADHN CCn1nccc1CN1CC[C@@H](C)C[C@H](C)C1 ZINC000180519503 535870239 /nfs/dbraw/zinc/87/02/39/535870239.db2.gz WZEIEEJBUCIHIW-OLZOCXBDSA-N 0 3 235.375 2.771 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2ccnc(C)n2)C1 ZINC000336164340 536038368 /nfs/dbraw/zinc/03/83/68/536038368.db2.gz OSVDBUWUVGKMFS-AWEZNQCLSA-N 0 3 233.359 2.797 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2cnc(N)s2)C1 ZINC000261562101 536038943 /nfs/dbraw/zinc/03/89/43/536038943.db2.gz BAWFCEBIRXTXQQ-LBPRGKRZSA-N 0 3 239.388 2.737 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@H](C)[C@H]1C ZINC000250333516 536110930 /nfs/dbraw/zinc/11/09/30/536110930.db2.gz GZEFARVCEJAGBZ-YNEHKIRRSA-N 0 3 235.375 2.817 20 0 BFADHN CCc1ccc(CN2CC[C@@H](CC)C2)cn1 ZINC000347911090 536179103 /nfs/dbraw/zinc/17/91/03/536179103.db2.gz KNYUJNWOHBYLOE-GFCCVEGCSA-N 0 3 218.344 2.876 20 0 BFADHN COc1cc(C)c(CN[C@@H]2CC2(C)C)cc1OC ZINC000343639547 536576764 /nfs/dbraw/zinc/57/67/64/536576764.db2.gz LWDXZVYIYVHHLC-CQSZACIVSA-N 0 3 249.354 2.900 20 0 BFADHN COC1CC(NCc2nccc3ccccc32)C1 ZINC000350555255 536721598 /nfs/dbraw/zinc/72/15/98/536721598.db2.gz KOZHVWHNYSFXES-UHFFFAOYSA-N 0 3 242.322 2.502 20 0 BFADHN COCC(C)(C)NCc1cc(C)c(O)c(C)c1 ZINC000167235034 536795686 /nfs/dbraw/zinc/79/56/86/536795686.db2.gz RXGBCQKEUKNQNW-UHFFFAOYSA-N 0 3 237.343 2.524 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2cccnc2)C1(C)C ZINC000227790373 536799885 /nfs/dbraw/zinc/79/98/85/536799885.db2.gz FHZHKBOYOBKJLX-CYZMBNFOSA-N 0 3 234.343 2.546 20 0 BFADHN COc1cccc2c1CCN(CC(C)C)C2 ZINC000182676973 536941690 /nfs/dbraw/zinc/94/16/90/536941690.db2.gz MAHPOTKBVAZSHV-UHFFFAOYSA-N 0 3 219.328 2.709 20 0 BFADHN CC(C)C(N[C@H](C)c1nccn1C)C(C)C ZINC000309711291 566322753 /nfs/dbraw/zinc/32/27/53/566322753.db2.gz XDNZOAQTCFJLNN-LLVKDONJSA-N 0 3 223.364 2.751 20 0 BFADHN CC[C@@H](C)N1CCc2c(cccc2NC(C)=O)C1 ZINC000186701287 566343261 /nfs/dbraw/zinc/34/32/61/566343261.db2.gz ZHZHYLVGQMKAGE-LLVKDONJSA-N 0 3 246.354 2.802 20 0 BFADHN CCO[C@@H]1CCCN(Cc2ccc(OC)cc2)C1 ZINC000155469783 566472589 /nfs/dbraw/zinc/47/25/89/566472589.db2.gz RNAPFLFHZVXQMF-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@H](CC(F)(F)F)Nc1nc(C)cc(N)n1 ZINC000893181579 583065396 /nfs/dbraw/zinc/06/53/96/583065396.db2.gz LXOKKRGWDMIODQ-SSDOTTSWSA-N 0 3 248.252 2.510 20 0 BFADHN CC(C)(CO)NCc1ccc(C(F)F)c(F)c1 ZINC000893341822 583113933 /nfs/dbraw/zinc/11/39/33/583113933.db2.gz CATCULKXKXOYOL-UHFFFAOYSA-N 0 3 247.260 2.624 20 0 BFADHN CCCN(CCC)Cc1c(C)ccnc1N ZINC000893872185 583236753 /nfs/dbraw/zinc/23/67/53/583236753.db2.gz UAOWFTVBOWAJMR-UHFFFAOYSA-N 0 3 221.348 2.594 20 0 BFADHN Cc1ncoc1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000893929611 583250172 /nfs/dbraw/zinc/25/01/72/583250172.db2.gz VDYOXSMJNJKJEA-ZYHUDNBSSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1ncoc1CN(CCC(C)C)C1CC1 ZINC000893928413 583250576 /nfs/dbraw/zinc/25/05/76/583250576.db2.gz QJBSKYRMCJQYMP-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN CCCCN(Cc1[nH]nc(C)c1C)C1CC1 ZINC000893939007 583254130 /nfs/dbraw/zinc/25/41/30/583254130.db2.gz GFVOUVJQOJVRKX-UHFFFAOYSA-N 0 3 221.348 2.791 20 0 BFADHN CCCCN(Cc1n[nH]c(C)c1C)C1CC1 ZINC000893939007 583254132 /nfs/dbraw/zinc/25/41/32/583254132.db2.gz GFVOUVJQOJVRKX-UHFFFAOYSA-N 0 3 221.348 2.791 20 0 BFADHN Cc1ccc2c(c1)CC[C@@H]2NCCOCCF ZINC000853238530 588728195 /nfs/dbraw/zinc/72/81/95/588728195.db2.gz LAERIGIMRATDPA-AWEZNQCLSA-N 0 3 237.318 2.558 20 0 BFADHN C/C=C\CNCc1ccc(OCOCC)cc1 ZINC000858290942 582458845 /nfs/dbraw/zinc/45/88/45/582458845.db2.gz KEYBEDTVDVTPTG-HYXAFXHYSA-N 0 3 235.327 2.725 20 0 BFADHN C[C@H]1CCC[C@H](Nc2ccnc(CO)c2)C1 ZINC000858344056 582459750 /nfs/dbraw/zinc/45/97/50/582459750.db2.gz JBPMWVMQNOYPNT-QWRGUYRKSA-N 0 3 220.316 2.565 20 0 BFADHN C[C@@H]1CCC[C@H](Nc2ccnc(CO)c2)C1 ZINC000858344055 582460092 /nfs/dbraw/zinc/46/00/92/582460092.db2.gz JBPMWVMQNOYPNT-MNOVXSKESA-N 0 3 220.316 2.565 20 0 BFADHN CC1(C)CC(CN2CCC[C@H]2c2ncc[nH]2)C1 ZINC000859222509 582487079 /nfs/dbraw/zinc/48/70/79/582487079.db2.gz LLMMKOLVEJNSFZ-LBPRGKRZSA-N 0 3 233.359 2.983 20 0 BFADHN CCCCCCN1CCN(CCCF)CC1 ZINC000860009602 582498741 /nfs/dbraw/zinc/49/87/41/582498741.db2.gz ADSJJXAEHXRXTI-UHFFFAOYSA-N 0 3 230.371 2.544 20 0 BFADHN Cc1csc([C@H](C)NC[C@](C)(O)C(C)C)n1 ZINC000168062303 582524672 /nfs/dbraw/zinc/52/46/72/582524672.db2.gz YOOUTAFZFUSWSR-JQWIXIFHSA-N 0 3 242.388 2.509 20 0 BFADHN CCC(=O)CCCN1CCC[C@@]2(CC2(F)F)C1 ZINC000844442886 582587137 /nfs/dbraw/zinc/58/71/37/582587137.db2.gz HFUPMWSKQUNIIJ-GFCCVEGCSA-N 0 3 245.313 2.867 20 0 BFADHN Cc1cc(C)cc([C@H](C)NCc2c[nH]cn2)c1 ZINC000113412983 588838937 /nfs/dbraw/zinc/83/89/37/588838937.db2.gz TWZADAYAONCGKP-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1cc(C)cc([C@H](C)NCc2cnc[nH]2)c1 ZINC000113412983 588838938 /nfs/dbraw/zinc/83/89/38/588838938.db2.gz TWZADAYAONCGKP-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN CC[C@]1(NCc2cc(F)cc(F)c2)CCOC1 ZINC000393479893 582649995 /nfs/dbraw/zinc/64/99/95/582649995.db2.gz NQSKIEQSTFIMRG-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN CCCN(CC)Cc1cnc(F)cc1C ZINC000891400479 582677939 /nfs/dbraw/zinc/67/79/39/582677939.db2.gz UWWFVLLOQCQXHY-UHFFFAOYSA-N 0 3 210.296 2.761 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@@H](C)C2)o1 ZINC000891449154 582688243 /nfs/dbraw/zinc/68/82/43/582688243.db2.gz MUHZADCANWCBMM-GHMZBOCLSA-N 0 3 223.316 2.766 20 0 BFADHN CCCCN(C)Cc1cnc(F)cc1C ZINC000891449239 582688288 /nfs/dbraw/zinc/68/82/88/582688288.db2.gz PGPDZOHEWOIEJK-UHFFFAOYSA-N 0 3 210.296 2.761 20 0 BFADHN Cc1cc(F)ncc1CN1C2CCC1CC2 ZINC000891555539 582696974 /nfs/dbraw/zinc/69/69/74/582696974.db2.gz PXSUQYXZTQJEFL-UHFFFAOYSA-N 0 3 220.291 2.656 20 0 BFADHN CC(C)CN(C)Cc1cnn(C2CCC2)c1 ZINC000891578318 582699087 /nfs/dbraw/zinc/69/90/87/582699087.db2.gz KAFBGESZJOCOJI-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CN(Cc1cccc2c1OCC2)[C@@H]1CCSC1 ZINC000891603568 582701316 /nfs/dbraw/zinc/70/13/16/582701316.db2.gz YDRLVYLWXUREEC-CYBMUJFWSA-N 0 3 249.379 2.559 20 0 BFADHN CCN(Cc1cnc(F)c(C)c1)C1CC1 ZINC000891610101 582701752 /nfs/dbraw/zinc/70/17/52/582701752.db2.gz YTDGIFQXEDSNFR-UHFFFAOYSA-N 0 3 208.280 2.513 20 0 BFADHN CCC[C@H](C)N(C)Cc1cn(C)nc1C1CC1 ZINC000891626248 582703009 /nfs/dbraw/zinc/70/30/09/582703009.db2.gz WPKUPUHEECDSLQ-NSHDSACASA-N 0 3 235.375 2.918 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnn(C)c1C1CC1 ZINC000891626126 582703506 /nfs/dbraw/zinc/70/35/06/582703506.db2.gz UBMCPKHCCRDKPI-NSHDSACASA-N 0 3 235.375 2.918 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cnn(C)c1C1CC1 ZINC000891643739 582705638 /nfs/dbraw/zinc/70/56/38/582705638.db2.gz OBUIQAZKYYJKNZ-SNVBAGLBSA-N 0 3 233.359 2.528 20 0 BFADHN CC(C)N(Cc1cnn(C2CCC2)c1)C1CC1 ZINC000891660626 582706547 /nfs/dbraw/zinc/70/65/47/582706547.db2.gz CJSOYPGTSPHLIX-UHFFFAOYSA-N 0 3 233.359 2.981 20 0 BFADHN CC(C)N(Cc1cnn(C)c1C1CC1)C1CC1 ZINC000891660557 582706744 /nfs/dbraw/zinc/70/67/44/582706744.db2.gz AHUKWIURDVYJLZ-UHFFFAOYSA-N 0 3 233.359 2.670 20 0 BFADHN CCn1cc(CN(CC(C)C)C2CC2)c(C)n1 ZINC000891667621 582707866 /nfs/dbraw/zinc/70/78/66/582707866.db2.gz HKKUOXANZITFDD-UHFFFAOYSA-N 0 3 235.375 2.832 20 0 BFADHN CC[C@@H]1CCCN(Cc2cn(C)nc2C2CC2)C1 ZINC000891708113 582712028 /nfs/dbraw/zinc/71/20/28/582712028.db2.gz WKFGCXLDOJYTPO-GFCCVEGCSA-N 0 3 247.386 2.920 20 0 BFADHN CC[C@H]1CCCN(Cc2cn(C)nc2C2CC2)C1 ZINC000891708115 582712342 /nfs/dbraw/zinc/71/23/42/582712342.db2.gz WKFGCXLDOJYTPO-LBPRGKRZSA-N 0 3 247.386 2.920 20 0 BFADHN CC[C@@H]1CCCN1Cc1cn(CC)nc1C ZINC000891779775 582720397 /nfs/dbraw/zinc/72/03/97/582720397.db2.gz OGGWUEXQMANBSZ-CYBMUJFWSA-N 0 3 221.348 2.586 20 0 BFADHN C[C@H]1CCN(Cc2cn(C)nc2C2CCCC2)C1 ZINC000891798329 582722875 /nfs/dbraw/zinc/72/28/75/582722875.db2.gz QBDSRPAVXYNJGD-LBPRGKRZSA-N 0 3 247.386 2.920 20 0 BFADHN CN(Cc1cn(C)nc1C1CC1)CC1CCCC1 ZINC000891845941 582728985 /nfs/dbraw/zinc/72/89/85/582728985.db2.gz GEMYTKPRVUWSSU-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN COc1ccc(CN2CCC[C@H](C)[C@@H]2C)o1 ZINC000891862591 582731044 /nfs/dbraw/zinc/73/10/44/582731044.db2.gz REDKFEGTAZMRGE-QWRGUYRKSA-N 0 3 223.316 2.909 20 0 BFADHN CC1(C)CCCN1Cc1cnn(C2CCC2)c1 ZINC000891870718 582732784 /nfs/dbraw/zinc/73/27/84/582732784.db2.gz VYMJVYCOVYXYDY-UHFFFAOYSA-N 0 3 233.359 2.983 20 0 BFADHN CCn1cc(CN2CCC(C)(C)CC2)c(C)n1 ZINC000891911122 582736426 /nfs/dbraw/zinc/73/64/26/582736426.db2.gz NXHKYAYALQFCPH-UHFFFAOYSA-N 0 3 235.375 2.833 20 0 BFADHN Cc1ccc(CCN(C)[C@@H]2CCOC2)cc1C ZINC000891936320 582740668 /nfs/dbraw/zinc/74/06/68/582740668.db2.gz WBLWPPZZWROORN-OAHLLOKOSA-N 0 3 233.355 2.567 20 0 BFADHN C[C@H]1CCCN1Cc1cnn(C2CCC2)c1 ZINC000892018644 582762429 /nfs/dbraw/zinc/76/24/29/582762429.db2.gz CLPHDTQOGMBVAM-NSHDSACASA-N 0 3 219.332 2.592 20 0 BFADHN CCN(Cc1cnn(C)c1C1CC1)CC1CC1 ZINC000892036011 582768096 /nfs/dbraw/zinc/76/80/96/582768096.db2.gz PQVKIIQUTUHPJX-UHFFFAOYSA-N 0 3 233.359 2.529 20 0 BFADHN CCN(Cc1cnc(F)cc1C)CC1CC1 ZINC000892035259 582768393 /nfs/dbraw/zinc/76/83/93/582768393.db2.gz CNJPWWGGXXVKCA-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN CCN(Cc1cccc2[nH]c(=O)oc21)CC1CC1 ZINC000892036372 582768863 /nfs/dbraw/zinc/76/88/63/582768863.db2.gz VIOVJRXZUPKHJK-UHFFFAOYSA-N 0 3 246.310 2.765 20 0 BFADHN CCn1cc(CN2CCC3(CCC3)C2)c(C)n1 ZINC000892054419 582776776 /nfs/dbraw/zinc/77/67/76/582776776.db2.gz CPLVUWCMWUPKQY-UHFFFAOYSA-N 0 3 233.359 2.587 20 0 BFADHN CN(C[C@@H]1C[C@@]1(C)Br)C1CCC1 ZINC000892059076 582779254 /nfs/dbraw/zinc/77/92/54/582779254.db2.gz UNFXPLLCAHWSAR-WCBMZHEXSA-N 0 3 232.165 2.644 20 0 BFADHN C[C@H]1CCN(Cc2cn3ccnc3s2)C[C@H]1C ZINC000892064922 582782459 /nfs/dbraw/zinc/78/24/59/582782459.db2.gz GVDAFUJQZSPSNO-WDEREUQCSA-N 0 3 249.383 2.874 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1nccn1C1CC1 ZINC000892068099 582785233 /nfs/dbraw/zinc/78/52/33/582785233.db2.gz FBRLCGZVPLMNDQ-NWDGAFQWSA-N 0 3 233.359 2.838 20 0 BFADHN CCOc1ccc(CN2C[C@@H](C)[C@H](C)C2)o1 ZINC000892068206 582785329 /nfs/dbraw/zinc/78/53/29/582785329.db2.gz HAGXOPOIZYJNPU-GHMZBOCLSA-N 0 3 223.316 2.766 20 0 BFADHN COc1ccc(CN(C)CCCOC(C)C)o1 ZINC000892074695 582785946 /nfs/dbraw/zinc/78/59/46/582785946.db2.gz DKPUIACYIZICRO-UHFFFAOYSA-N 0 3 241.331 2.535 20 0 BFADHN COc1ccc(CN2CCCOC[C@@H]2C)c(C)c1 ZINC000892075166 582786334 /nfs/dbraw/zinc/78/63/34/582786334.db2.gz FDPUUCAUKUWFJU-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN CCN(Cc1ccnn1C1CCC1)C1CCC1 ZINC000892085942 582788389 /nfs/dbraw/zinc/78/83/89/582788389.db2.gz LZLJCPXRYOMKLE-UHFFFAOYSA-N 0 3 233.359 2.983 20 0 BFADHN Cc1cc(CN2CC[C@H](C3CC3)C2)cnc1F ZINC000892141324 582799154 /nfs/dbraw/zinc/79/91/54/582799154.db2.gz ALSWNBLVIFOGQO-ZDUSSCGKSA-N 0 3 234.318 2.761 20 0 BFADHN COc1ccc(CN2CC[C@@H](C3CC3)C2)o1 ZINC000892141317 582799416 /nfs/dbraw/zinc/79/94/16/582799416.db2.gz AIBIWNUPYZLWDQ-LLVKDONJSA-N 0 3 221.300 2.520 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cnn(C)c2C2CC2)C1 ZINC000892146088 582800613 /nfs/dbraw/zinc/80/06/13/582800613.db2.gz LUEHJIMWWPQNLA-HNNXBMFYSA-N 0 3 247.386 2.920 20 0 BFADHN c1cn2cc(CN3CC[C@@H](C4CC4)C3)sc2n1 ZINC000892146857 582801063 /nfs/dbraw/zinc/80/10/63/582801063.db2.gz YRRDVDCBTQCKFD-LLVKDONJSA-N 0 3 247.367 2.628 20 0 BFADHN c1cn2cc(CN3CC[C@H](C4CC4)C3)sc2n1 ZINC000892146859 582801342 /nfs/dbraw/zinc/80/13/42/582801342.db2.gz YRRDVDCBTQCKFD-NSHDSACASA-N 0 3 247.367 2.628 20 0 BFADHN CC[C@H]1CCN(Cc2cccc3[nH]c(=O)oc32)C1 ZINC000892223893 582810171 /nfs/dbraw/zinc/81/01/71/582810171.db2.gz NGQVDAYPVDLAPQ-JTQLQIEISA-N 0 3 246.310 2.765 20 0 BFADHN CC(=O)[C@@H]1CCCN(CCc2ccccc2)C1 ZINC000111690207 582818240 /nfs/dbraw/zinc/81/82/40/582818240.db2.gz OSTJEWLTSYGSQZ-OAHLLOKOSA-N 0 3 231.339 2.530 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCC[C@@H]1CCCO1 ZINC000092331513 582855235 /nfs/dbraw/zinc/85/52/35/582855235.db2.gz ZJFLRPKROSLICZ-SKDRFNHKSA-N 0 3 238.331 2.511 20 0 BFADHN CN(C)c1cc(CN2CCCCCCC2)ccn1 ZINC000782175098 582869485 /nfs/dbraw/zinc/86/94/85/582869485.db2.gz PGTNSAMXYOXSIK-UHFFFAOYSA-N 0 3 247.386 2.914 20 0 BFADHN CCCCCCNCc1ccc(OC)o1 ZINC000892427740 582884674 /nfs/dbraw/zinc/88/46/74/582884674.db2.gz WDRDJVLNSWJXCE-UHFFFAOYSA-N 0 3 211.305 2.958 20 0 BFADHN C[C@@]1(Br)C[C@H]1CNCc1ccco1 ZINC000892430065 582885071 /nfs/dbraw/zinc/88/50/71/582885071.db2.gz ZRGMYCVPMJEQSG-WCBMZHEXSA-N 0 3 244.132 2.543 20 0 BFADHN CCOc1ccc(CNCCCOC(C)C)o1 ZINC000892440627 582887634 /nfs/dbraw/zinc/88/76/34/582887634.db2.gz VSVYJWWTNCLNSG-UHFFFAOYSA-N 0 3 241.331 2.583 20 0 BFADHN Cc1occc1CNC[C@H](O)c1ccc(C)cc1 ZINC000840881890 582912490 /nfs/dbraw/zinc/91/24/90/582912490.db2.gz KSBVBALNIMRFHL-HNNXBMFYSA-N 0 3 245.322 2.720 20 0 BFADHN COc1ccc(CNCCc2ccc(C)o2)o1 ZINC000892562500 582914780 /nfs/dbraw/zinc/91/47/80/582914780.db2.gz FAUJYRDXCPBMDZ-UHFFFAOYSA-N 0 3 235.283 2.522 20 0 BFADHN CCCCCOC(=O)C12CCCN(CCC1)C2 ZINC000892565572 582915560 /nfs/dbraw/zinc/91/55/60/582915560.db2.gz UHCGTYFYNQHGIU-UHFFFAOYSA-N 0 3 239.359 2.596 20 0 BFADHN C[C@H](CF)NCc1cc2ccccc2[nH]1 ZINC000393726705 582919821 /nfs/dbraw/zinc/91/98/21/582919821.db2.gz DRSAIDVRAPMMRI-SECBINFHSA-N 0 3 206.264 2.616 20 0 BFADHN Fc1cncc([C@H](N[C@@H]2C=CCC2)C2CC2)c1 ZINC000850510297 582923464 /nfs/dbraw/zinc/92/34/64/582923464.db2.gz SEIHXFPOUSSOLF-ZIAGYGMSSA-N 0 3 232.302 2.980 20 0 BFADHN C[C@@H](N[C@H](C)C1CCC(F)CC1)c1ncc[nH]1 ZINC000850557740 582929575 /nfs/dbraw/zinc/92/95/75/582929575.db2.gz XJEBESNJNTWBAF-QYNFOATHSA-N 0 3 239.338 2.977 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ccnc(OC)c1F ZINC000892676812 582950158 /nfs/dbraw/zinc/95/01/58/582950158.db2.gz PLWGLKCBOREDAS-UWVGGRQHSA-N 0 3 240.322 2.754 20 0 BFADHN CCOc1ccc(CN[C@H](C)C2(C)CC2)o1 ZINC000892685926 582955433 /nfs/dbraw/zinc/95/54/33/582955433.db2.gz FJGJSMOPYRDRKQ-SNVBAGLBSA-N 0 3 223.316 2.957 20 0 BFADHN CCC(C)(C)NCc1ccnc(OC)c1F ZINC000892778621 582981016 /nfs/dbraw/zinc/98/10/16/582981016.db2.gz LLUBWLGWTKRIDP-UHFFFAOYSA-N 0 3 226.295 2.508 20 0 BFADHN CC(C)C[C@@H]1CCCN(c2ccncc2CO)C1 ZINC000892904810 583007502 /nfs/dbraw/zinc/00/75/02/583007502.db2.gz DEZGYZLVSHSFFR-ZDUSSCGKSA-N 0 3 248.370 2.836 20 0 BFADHN CCOc1ccc(CN[C@@H]2CCC[C@@H]2C)o1 ZINC000892946178 583015022 /nfs/dbraw/zinc/01/50/22/583015022.db2.gz VJBFIIJXSWKETD-CMPLNLGQSA-N 0 3 223.316 2.957 20 0 BFADHN COc1nccc(CN[C@@H]2CCC[C@@H]2C)c1F ZINC000892946355 583015495 /nfs/dbraw/zinc/01/54/95/583015495.db2.gz YYBPSVQXQVFJMW-GXSJLCMTSA-N 0 3 238.306 2.508 20 0 BFADHN COc1ccc(CNCC2CCSCC2)o1 ZINC000892947112 583015793 /nfs/dbraw/zinc/01/57/93/583015793.db2.gz CZPCGGXRDXTOGK-UHFFFAOYSA-N 0 3 241.356 2.521 20 0 BFADHN COc1ccc(CN[C@H](C)C2CCCC2)o1 ZINC000892967136 583022341 /nfs/dbraw/zinc/02/23/41/583022341.db2.gz ALBMPCTZFXHTMV-SNVBAGLBSA-N 0 3 223.316 2.957 20 0 BFADHN CCCSC[C@@H](C)NCc1cnn(CC)c1 ZINC000893000665 583028269 /nfs/dbraw/zinc/02/82/69/583028269.db2.gz LFWCLWORWKEKKH-LLVKDONJSA-N 0 3 241.404 2.524 20 0 BFADHN Cc1cc(CNCCC(F)(F)F)cnc1F ZINC000893082868 583045752 /nfs/dbraw/zinc/04/57/52/583045752.db2.gz DYEYRLNNILDCRU-UHFFFAOYSA-N 0 3 236.212 2.571 20 0 BFADHN Cc1cc(F)ncc1CNCCC(F)(F)F ZINC000893084421 583047304 /nfs/dbraw/zinc/04/73/04/583047304.db2.gz TYAJWLMSRWOWME-UHFFFAOYSA-N 0 3 236.212 2.571 20 0 BFADHN CCCSC[C@H](C)NCc1ccc(F)cn1 ZINC000893151072 583058261 /nfs/dbraw/zinc/05/82/61/583058261.db2.gz NWIMKBDEFZHRMT-JTQLQIEISA-N 0 3 242.363 2.842 20 0 BFADHN COc1ccc(CNCCC2=CCCC2)nc1 ZINC000893224058 583074183 /nfs/dbraw/zinc/07/41/83/583074183.db2.gz WJDARZAMCXDAAX-UHFFFAOYSA-N 0 3 232.327 2.680 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cn(C)nc1Cl ZINC000856767249 588876212 /nfs/dbraw/zinc/87/62/12/588876212.db2.gz KCILNNAHKWSXKM-RKDXNWHRSA-N 0 3 229.755 2.598 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cn(C)nc1Cl ZINC000856767248 588876397 /nfs/dbraw/zinc/87/63/97/588876397.db2.gz KCILNNAHKWSXKM-IUCAKERBSA-N 0 3 229.755 2.598 20 0 BFADHN CC[C@H](CSC)NCc1cnc(F)c(C)c1 ZINC000893279486 583083078 /nfs/dbraw/zinc/08/30/78/583083078.db2.gz OGBPTVMDRXZLBA-LLVKDONJSA-N 0 3 242.363 2.760 20 0 BFADHN CC(C)CC1(NCc2cn(C)nc2Cl)CC1 ZINC000856796143 588878196 /nfs/dbraw/zinc/87/81/96/588878196.db2.gz OWEOALUKEWMUPU-UHFFFAOYSA-N 0 3 241.766 2.742 20 0 BFADHN C[C@@H](NC[C@@H]1Cc2ccccc2O1)c1ccoc1 ZINC000094869085 583171001 /nfs/dbraw/zinc/17/10/01/583171001.db2.gz NCVDCMYNUHSGIM-RISCZKNCSA-N 0 3 243.306 2.934 20 0 BFADHN C[C@@H](NCC1(O)CCC(C)CC1)c1ccoc1 ZINC000094869355 583171183 /nfs/dbraw/zinc/17/11/83/583171183.db2.gz VRXIGWWKZJZNRO-MBHSVXNSSA-N 0 3 237.343 2.871 20 0 BFADHN Cc1occc1CN1CC[C@H](c2ccccn2)C1 ZINC000840942638 583174073 /nfs/dbraw/zinc/17/40/73/583174073.db2.gz OVUVLXKMBAPDAN-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN CC[C@H](N[C@H](C)[C@@H](C)OC)c1ccncc1 ZINC000168212264 583185326 /nfs/dbraw/zinc/18/53/26/583185326.db2.gz MTONXYSUBFGNKC-WZRBSPASSA-N 0 3 222.332 2.546 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cn(C)nc1Cl ZINC000857040461 588883311 /nfs/dbraw/zinc/88/33/11/588883311.db2.gz ZFNRDWKSYQTXDI-KOLCDFICSA-N 0 3 241.766 2.694 20 0 BFADHN CSCCCN(C)c1cc(N)ncc1Cl ZINC000893675678 583193944 /nfs/dbraw/zinc/19/39/44/583193944.db2.gz SVKXISVQPLRLDM-UHFFFAOYSA-N 0 3 245.779 2.507 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1nccn1C1CC1 ZINC000893676816 583195301 /nfs/dbraw/zinc/19/53/01/583195301.db2.gz OEZNURWHPZYVJD-YPMHNXCESA-N 0 3 233.359 2.838 20 0 BFADHN COc1ccc(CN2CCCC[C@@H](C)C2)o1 ZINC000893679451 583197414 /nfs/dbraw/zinc/19/74/14/583197414.db2.gz SIUWCWFUIBQOPZ-LLVKDONJSA-N 0 3 223.316 2.910 20 0 BFADHN CC(C)(CNCc1ccoc1)OCC1CC1 ZINC000840960734 583198972 /nfs/dbraw/zinc/19/89/72/583198972.db2.gz TWZITCQLSIFELJ-UHFFFAOYSA-N 0 3 223.316 2.574 20 0 BFADHN CCOc1ccc(CN[C@H]2CC[C@@H](C)C2)o1 ZINC000893866623 583234790 /nfs/dbraw/zinc/23/47/90/583234790.db2.gz KMWJXUHJDSVABH-MNOVXSKESA-N 0 3 223.316 2.957 20 0 BFADHN OC[C@H]1CCCN(C/C=C/c2cccc(F)c2)C1 ZINC000893863698 583234856 /nfs/dbraw/zinc/23/48/56/583234856.db2.gz LCNHYOLBWIVBKQ-IWZWCOEHSA-N 0 3 249.329 2.543 20 0 BFADHN CCCN(Cc1ocnc1C)CC1CC1 ZINC000893864229 583234952 /nfs/dbraw/zinc/23/49/52/583234952.db2.gz URCBAVNZYFFQCI-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN CCOc1ccc(CN[C@@H]2CC[C@H](C)C2)o1 ZINC000893866626 583235213 /nfs/dbraw/zinc/23/52/13/583235213.db2.gz KMWJXUHJDSVABH-WDEREUQCSA-N 0 3 223.316 2.957 20 0 BFADHN Cc1noc2ncc(CN3CCC[C@@H](C)C3)cc12 ZINC000893870376 583236156 /nfs/dbraw/zinc/23/61/56/583236156.db2.gz ARUYTXHLXJZZBH-SNVBAGLBSA-N 0 3 245.326 2.763 20 0 BFADHN O=c1oc2ccc(CN3C4CCC3CC4)cc2o1 ZINC000893918433 583247469 /nfs/dbraw/zinc/24/74/69/583247469.db2.gz KDOVMILUZZATRS-UHFFFAOYSA-N 0 3 245.278 2.513 20 0 BFADHN Cc1coc(CN(C[C@@H]2CCOC2)C2CC2)c1 ZINC000893929688 583250633 /nfs/dbraw/zinc/25/06/33/583250633.db2.gz VZBGZGOIOQHAHU-LBPRGKRZSA-N 0 3 235.327 2.589 20 0 BFADHN Cc1ccnc(N)c1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000893929595 583250810 /nfs/dbraw/zinc/25/08/10/583250810.db2.gz UZIRLUCKNRARLV-WCQYABFASA-N 0 3 247.386 2.983 20 0 BFADHN Cc1n[nH]c(CN(C)[C@@H](C)C(C)C)c1C ZINC000893931499 583251545 /nfs/dbraw/zinc/25/15/45/583251545.db2.gz OWUVOBQOZRHKAW-NSHDSACASA-N 0 3 209.337 2.503 20 0 BFADHN Cc1noc2ncc(CN(C)[C@@H](C)C3CC3)cc12 ZINC000893947668 583259289 /nfs/dbraw/zinc/25/92/89/583259289.db2.gz ZAGVJTSXLFMXFG-JTQLQIEISA-N 0 3 245.326 2.762 20 0 BFADHN Cc1n[nH]c(CN(CC2CC2)C(C)C)c1C ZINC000893960009 583262851 /nfs/dbraw/zinc/26/28/51/583262851.db2.gz YXCKDVRNAOYWPC-UHFFFAOYSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1coc(CN2CCC[C@@H](n3ccnc3)C2)c1 ZINC000893994402 583267545 /nfs/dbraw/zinc/26/75/45/583267545.db2.gz LEKVDOSWYWQUJG-CYBMUJFWSA-N 0 3 245.326 2.622 20 0 BFADHN CN(C/C=C/c1cccc(F)c1)[C@H]1CCOC1 ZINC000894001281 583271564 /nfs/dbraw/zinc/27/15/64/583271564.db2.gz HKMJEAULDBBAEI-KQIUPUNMSA-N 0 3 235.302 2.560 20 0 BFADHN Cc1n[nH]c(CN2CC[C@@H](CC(C)C)C2)c1C ZINC000894019713 583273641 /nfs/dbraw/zinc/27/36/41/583273641.db2.gz BEDLBKGNQPXLFI-ZDUSSCGKSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ncoc1CN1CC[C@@H](CC(C)C)C1 ZINC000894021051 583275158 /nfs/dbraw/zinc/27/51/58/583275158.db2.gz LFNDWGWGPIVTKR-LBPRGKRZSA-N 0 3 222.332 2.851 20 0 BFADHN Cn1ncc(CN[C@H]2CC23CCCC3)c1C1CC1 ZINC000894033388 583277121 /nfs/dbraw/zinc/27/71/21/583277121.db2.gz FXDLTGCPDHDWCK-ZDUSSCGKSA-N 0 3 245.370 2.720 20 0 BFADHN Cn1cc(CN[C@@H]2CC23CCCC3)c(C2CC2)n1 ZINC000894034917 583278557 /nfs/dbraw/zinc/27/85/57/583278557.db2.gz QHZWFLCGGWFTNL-CYBMUJFWSA-N 0 3 245.370 2.720 20 0 BFADHN c1cn2cc(CN[C@@H]3CC34CCCC4)sc2n1 ZINC000894033702 583278415 /nfs/dbraw/zinc/27/84/15/583278415.db2.gz IGUHSJHOLWAVNF-LLVKDONJSA-N 0 3 247.367 2.818 20 0 BFADHN CO[C@@H]1CCN(C/C=C/c2cccc(F)c2)C1 ZINC000894033964 583278901 /nfs/dbraw/zinc/27/89/01/583278901.db2.gz OKWKBZCIPQGWHW-LYKUJDHUSA-N 0 3 235.302 2.560 20 0 BFADHN Cc1cc(CN[C@@H]2CC23CCCC3)cnc1F ZINC000894035433 583279385 /nfs/dbraw/zinc/27/93/85/583279385.db2.gz VNPJIYRKMDTFBW-GFCCVEGCSA-N 0 3 234.318 2.951 20 0 BFADHN CCn1cc(CN[C@@H]2CC23CCCC3)c(C)n1 ZINC000894035849 583279547 /nfs/dbraw/zinc/27/95/47/583279547.db2.gz ZYUXFHWJIODPEH-CYBMUJFWSA-N 0 3 233.359 2.634 20 0 BFADHN Cc1ncoc1CN1CCCC[C@H]1C(C)C ZINC000894026883 583280969 /nfs/dbraw/zinc/28/09/69/583280969.db2.gz KAFFEUMSVNNPNQ-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1ncoc1CN1CCCCC[C@@H]1C ZINC000894025278 583281134 /nfs/dbraw/zinc/28/11/34/583281134.db2.gz UXZXVANNUPSKGC-JTQLQIEISA-N 0 3 208.305 2.748 20 0 BFADHN CCn1cc(CN2CC3(CCC3)C[C@H]2C)c(C)n1 ZINC000894039717 583283567 /nfs/dbraw/zinc/28/35/67/583283567.db2.gz VUYPKTWCMDZLQT-GFCCVEGCSA-N 0 3 247.386 2.976 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2ccc3oc(=O)oc3c2)C1 ZINC000894047015 583287546 /nfs/dbraw/zinc/28/75/46/583287546.db2.gz ZJVPHOJTAORPRV-UWVGGRQHSA-N 0 3 247.294 2.616 20 0 BFADHN Cc1ccnc(N)c1CN1CCC(C(C)C)CC1 ZINC000894052145 583289288 /nfs/dbraw/zinc/28/92/88/583289288.db2.gz AMZKCSLMZZXWMM-UHFFFAOYSA-N 0 3 247.386 2.840 20 0 BFADHN Cc1n[nH]c(CN2CCC(C(C)C)CC2)c1C ZINC000894053210 583289876 /nfs/dbraw/zinc/28/98/76/583289876.db2.gz ILGGZGYFSMCJCI-UHFFFAOYSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1[nH]nc(CN2CCC(C(C)C)CC2)c1C ZINC000894053210 583289877 /nfs/dbraw/zinc/28/98/77/583289877.db2.gz ILGGZGYFSMCJCI-UHFFFAOYSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1cccc2c1OCC2 ZINC000894138500 583315366 /nfs/dbraw/zinc/31/53/66/583315366.db2.gz FEYSDNHTTNTTFJ-GHMZBOCLSA-N 0 3 217.312 2.604 20 0 BFADHN COc1ccc(CN2[C@H](C)C[C@@H]2C)c(C)c1 ZINC000894138612 583315387 /nfs/dbraw/zinc/31/53/87/583315387.db2.gz HBYKMUNXSAJNFH-TXEJJXNPSA-N 0 3 219.328 2.986 20 0 BFADHN CC(C)(CNc1ccncc1CO)C1=CCCC1 ZINC000894139347 583315675 /nfs/dbraw/zinc/31/56/75/583315675.db2.gz MEDQKGISAFDQBU-UHFFFAOYSA-N 0 3 246.354 2.544 20 0 BFADHN Cc1ncoc1CNC1(C)CCCCC1 ZINC000894153408 583319203 /nfs/dbraw/zinc/31/92/03/583319203.db2.gz XFEISQJDRKHBOE-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN Cc1ncoc1CN[C@H]1CCC[C@@H](C)C1 ZINC000894166614 583323943 /nfs/dbraw/zinc/32/39/43/583323943.db2.gz YRKBAGIWTYUCES-KOLCDFICSA-N 0 3 208.305 2.651 20 0 BFADHN CCN(Cc1cnn(C)c1C1CC1)CC1CCC1 ZINC000894176665 583327796 /nfs/dbraw/zinc/32/77/96/583327796.db2.gz QFQNTDPVNRFRRI-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN Cc1ccc([C@@H](O)CN2CC(CC3CC3)C2)cc1 ZINC000857212003 588894130 /nfs/dbraw/zinc/89/41/30/588894130.db2.gz GOPNFJFSICIJPK-INIZCTEOSA-N 0 3 245.366 2.760 20 0 BFADHN Cc1ncoc1CNC[C@H]1CCCC[C@H]1C ZINC000894204084 583338349 /nfs/dbraw/zinc/33/83/49/583338349.db2.gz IGXHHYIVTDUHEU-ZYHUDNBSSA-N 0 3 222.332 2.899 20 0 BFADHN Clc1ccc(CNC2(C3CCC3)CC2)nn1 ZINC000877613016 583338578 /nfs/dbraw/zinc/33/85/78/583338578.db2.gz SZKFPJOWGLJJGH-UHFFFAOYSA-N 0 3 237.734 2.552 20 0 BFADHN CC(C)(CCNCc1c[nH]cn1)c1ccccc1 ZINC000894204422 583340861 /nfs/dbraw/zinc/34/08/61/583340861.db2.gz NUIIWPVCQBGCAL-UHFFFAOYSA-N 0 3 243.354 2.867 20 0 BFADHN CO[C@H]1C[C@@H](CN2CCc3cccc(F)c3C2)C1 ZINC000878336089 583370490 /nfs/dbraw/zinc/37/04/90/583370490.db2.gz WDVSKZWWSHQHLQ-BJHJDKERSA-N 0 3 249.329 2.609 20 0 BFADHN C[C@H](CN1CC[S@@](=O)CC1(C)C)C(C)(C)C ZINC000878476452 583376070 /nfs/dbraw/zinc/37/60/70/583376070.db2.gz KFZLUCLOUOJINC-BDJLRTHQSA-N 0 3 245.432 2.512 20 0 BFADHN CCSCCCNCc1ccc(OC)o1 ZINC000894258757 583396115 /nfs/dbraw/zinc/39/61/15/583396115.db2.gz ZAZMFCKPSZNBHG-UHFFFAOYSA-N 0 3 229.345 2.521 20 0 BFADHN CS[C@H]1CCC[C@@H](NCc2ocnc2C)C1 ZINC000894259824 583398393 /nfs/dbraw/zinc/39/83/93/583398393.db2.gz JVFIENVOIVSIIG-MNOVXSKESA-N 0 3 240.372 2.747 20 0 BFADHN CC(C)(CNCc1c[nH]cn1)Cc1ccccc1 ZINC000894262521 583399908 /nfs/dbraw/zinc/39/99/08/583399908.db2.gz RKZMJHZSSREPES-UHFFFAOYSA-N 0 3 243.354 2.768 20 0 BFADHN Cc1ncoc1CN[C@H](C)C1CCCC1 ZINC000894272796 583403683 /nfs/dbraw/zinc/40/36/83/583403683.db2.gz GHKBCMFZXMVPAC-SECBINFHSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CSC2(C)C)cnc1F ZINC000894279463 583407516 /nfs/dbraw/zinc/40/75/16/583407516.db2.gz DKSHGEJPMHAFTP-SNVBAGLBSA-N 0 3 240.347 2.513 20 0 BFADHN Cc1ncoc1CNC1C[C@H](C)C[C@@H](C)C1 ZINC000894281270 583408469 /nfs/dbraw/zinc/40/84/69/583408469.db2.gz SRLDCNONONVCKP-NXEZZACHSA-N 0 3 222.332 2.897 20 0 BFADHN CC(C)(C)[C@H](NCN1CCC1=O)c1ccccc1 ZINC000857231317 588897279 /nfs/dbraw/zinc/89/72/79/588897279.db2.gz DOBFDMPDOROORQ-CQSZACIVSA-N 0 3 246.354 2.553 20 0 BFADHN CC1(C)C[C@H](NCc2c[nH]cn2)c2ccccc21 ZINC000894346275 583430863 /nfs/dbraw/zinc/43/08/63/583430863.db2.gz ORWWKBVZAOMFFE-AWEZNQCLSA-N 0 3 241.338 2.922 20 0 BFADHN CC1(C)C[C@H](NCc2cnc[nH]2)c2ccccc21 ZINC000894346275 583430865 /nfs/dbraw/zinc/43/08/65/583430865.db2.gz ORWWKBVZAOMFFE-AWEZNQCLSA-N 0 3 241.338 2.922 20 0 BFADHN Nc1cc(N2CCCC(F)(F)C2)c(Cl)cn1 ZINC000894383023 583442483 /nfs/dbraw/zinc/44/24/83/583442483.db2.gz GPKCHLFVYFXRHD-UHFFFAOYSA-N 0 3 247.676 2.553 20 0 BFADHN Cc1coc(CN(C)C[C@@H]2CCCC[C@@H]2O)c1 ZINC000894420529 583455439 /nfs/dbraw/zinc/45/54/39/583455439.db2.gz OHXDLMKGVMBOCI-JSGCOSHPSA-N 0 3 237.343 2.571 20 0 BFADHN CCOCCN(C)C/C=C/c1cccc(F)c1 ZINC000894425595 583455504 /nfs/dbraw/zinc/45/55/04/583455504.db2.gz YKRGVCRZMJOAJC-FNORWQNLSA-N 0 3 237.318 2.807 20 0 BFADHN Cc1ncoc1CN1CC[C@]2(CC[C@H](C)C2)C1 ZINC000894440105 583460040 /nfs/dbraw/zinc/46/00/40/583460040.db2.gz UGAXEUGIISNSEL-FZMZJTMJSA-N 0 3 234.343 2.995 20 0 BFADHN COc1nccc(CN[C@@H]2CCC2(C)C)c1F ZINC000894445012 583460520 /nfs/dbraw/zinc/46/05/20/583460520.db2.gz LLANFKNQTUAFFG-SNVBAGLBSA-N 0 3 238.306 2.508 20 0 BFADHN COc1ccc(CN[C@@H]2CCC2(C)C)o1 ZINC000894447200 583462380 /nfs/dbraw/zinc/46/23/80/583462380.db2.gz YSMYHRZOLJANLJ-SNVBAGLBSA-N 0 3 209.289 2.566 20 0 BFADHN CCc1nnc(C[N@@H+]2CCCC2(CC)CC)[n-]1 ZINC000880094038 583466164 /nfs/dbraw/zinc/46/61/64/583466164.db2.gz ABGUNYCUXVPVFP-UHFFFAOYSA-N 0 3 236.363 2.522 20 0 BFADHN CCc1nnc(C[N@H+]2CCCC2(CC)CC)[n-]1 ZINC000880094038 583466165 /nfs/dbraw/zinc/46/61/65/583466165.db2.gz ABGUNYCUXVPVFP-UHFFFAOYSA-N 0 3 236.363 2.522 20 0 BFADHN C[C@@H]1CN(C[C@@H]2C[C@]2(C)Br)[C@@H]1C ZINC000894627307 583495179 /nfs/dbraw/zinc/49/51/79/583495179.db2.gz FORARMGXJRVMEK-IMSYWVGJSA-N 0 3 232.165 2.500 20 0 BFADHN CCN(CCC1CC1)Cc1ocnc1C ZINC000894467207 583467709 /nfs/dbraw/zinc/46/77/09/583467709.db2.gz XXVPETDGTUKQIS-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1nccn1C1CC1 ZINC000894500550 583474944 /nfs/dbraw/zinc/47/49/44/583474944.db2.gz VWCZZHVLDMIMQN-NWDGAFQWSA-N 0 3 233.359 2.981 20 0 BFADHN OCCN(C/C=C/c1cccc(F)c1)C1CCC1 ZINC000894532915 583478242 /nfs/dbraw/zinc/47/82/42/583478242.db2.gz KAVKWUYENVDOEK-HWKANZROSA-N 0 3 249.329 2.686 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cccc2c1NCCC2 ZINC000894533112 583478686 /nfs/dbraw/zinc/47/86/86/583478686.db2.gz PHLLQFBCNJFZLS-NWDGAFQWSA-N 0 3 248.370 2.558 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cccc2c1NCCC2 ZINC000894533113 583478907 /nfs/dbraw/zinc/47/89/07/583478907.db2.gz PHLLQFBCNJFZLS-RYUDHWBXSA-N 0 3 248.370 2.558 20 0 BFADHN Cc1n[nH]c(CN2C[C@H](C)C[C@@H](C)[C@H]2C)c1C ZINC000894540712 583480733 /nfs/dbraw/zinc/48/07/33/583480733.db2.gz LWSPXHVOOLKYLL-GIPNMCIBSA-N 0 3 235.375 2.893 20 0 BFADHN CC(C)O[C@@H]1C[C@@H](N[C@@H](C)CF)C1(C)C ZINC000894601142 583490929 /nfs/dbraw/zinc/49/09/29/583490929.db2.gz QYOZXSNLRWUIMY-HBNTYKKESA-N 0 3 217.328 2.526 20 0 BFADHN C[C@@H](CF)NC[C@@H]1CCc2ccccc21 ZINC000894601177 583491084 /nfs/dbraw/zinc/49/10/84/583491084.db2.gz RITGMAQIGWERLC-JQWIXIFHSA-N 0 3 207.292 2.664 20 0 BFADHN CC1(C)CN(C/C=C\c2cccc(F)c2)C[C@H]1O ZINC000894595110 583492702 /nfs/dbraw/zinc/49/27/02/583492702.db2.gz GROXKEXFIXRMIE-KXQLTZBTSA-N 0 3 249.329 2.542 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2cc(C)co2)C1 ZINC000894597590 583492900 /nfs/dbraw/zinc/49/29/00/583492900.db2.gz NUDADGZJVNGBPM-ZDUSSCGKSA-N 0 3 223.316 2.589 20 0 BFADHN CCOc1ccc(CNCC[C@@H](C)F)o1 ZINC000894622741 583496142 /nfs/dbraw/zinc/49/61/42/583496142.db2.gz BPOYJCVVOLGERH-SECBINFHSA-N 0 3 215.268 2.516 20 0 BFADHN CCN(Cc1ocnc1C)CC1CCC1 ZINC000894627876 583496551 /nfs/dbraw/zinc/49/65/51/583496551.db2.gz KZOADMBXBKFECI-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CC[C@@H](C)C1 ZINC000894630025 583496832 /nfs/dbraw/zinc/49/68/32/583496832.db2.gz WRAWRHABAIKPIC-MWLCHTKSSA-N 0 3 208.305 2.509 20 0 BFADHN CCOc1ccc(CNCCC(C)(F)F)o1 ZINC000894658378 583499429 /nfs/dbraw/zinc/49/94/29/583499429.db2.gz FPBFCJSKHPJLQS-UHFFFAOYSA-N 0 3 233.258 2.813 20 0 BFADHN CCC[C@H](CNCc1ccc(OCC)o1)OC ZINC000894663065 583501522 /nfs/dbraw/zinc/50/15/22/583501522.db2.gz GLECOORFZRXTQJ-LLVKDONJSA-N 0 3 241.331 2.583 20 0 BFADHN CCc1onc(C)c1CN1CC[C@@H]1C1CC1 ZINC000894690980 583508587 /nfs/dbraw/zinc/50/85/87/583508587.db2.gz WJDBOWUEPJWMHZ-GFCCVEGCSA-N 0 3 220.316 2.530 20 0 BFADHN C[C@]1(Br)C[C@H]1CN1CC[C@H]1C1CC1 ZINC000894690969 583508972 /nfs/dbraw/zinc/50/89/72/583508972.db2.gz WCVLDPISIJOINT-DCAQKATOSA-N 0 3 244.176 2.644 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1nnc(C)s1 ZINC000894690743 583508780 /nfs/dbraw/zinc/50/87/80/583508780.db2.gz SPRPLNBXFPMCDF-MNOVXSKESA-N 0 3 239.388 2.762 20 0 BFADHN c1nn(CC2CCC2)cc1CN1CC[C@@H]1C1CC1 ZINC000894690590 583508841 /nfs/dbraw/zinc/50/88/41/583508841.db2.gz SNCIEXHWJQQVEZ-OAHLLOKOSA-N 0 3 245.370 2.668 20 0 BFADHN CCCSC[C@H](C)NCc1conc1C ZINC000894698012 583510680 /nfs/dbraw/zinc/51/06/80/583510680.db2.gz VMAUPWYWSVDAQT-VIFPVBQESA-N 0 3 228.361 2.604 20 0 BFADHN CCc1onc(C)c1CNC[C@@H]1C[C@H]1CC ZINC000894714075 583511477 /nfs/dbraw/zinc/51/14/77/583511477.db2.gz BBVQNXZHXXAGAN-MNOVXSKESA-N 0 3 222.332 2.681 20 0 BFADHN CCOc1ccc(CNC[C@@](C)(CC)OC)o1 ZINC000894711435 583512819 /nfs/dbraw/zinc/51/28/19/583512819.db2.gz JFXILIOKMGVJST-CYBMUJFWSA-N 0 3 241.331 2.583 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCC[C@H]2C(C)C)[n-]1 ZINC000894774733 583517360 /nfs/dbraw/zinc/51/73/60/583517360.db2.gz WJXQIJSLDRVEEV-ZMLRMANQSA-N 0 3 236.363 2.588 20 0 BFADHN CN(C/C=C\c1cccc(F)c1)C[C@H](O)C1CC1 ZINC000894776791 583518936 /nfs/dbraw/zinc/51/89/36/583518936.db2.gz KMRYROGTNPOLPK-QTLSWZBMSA-N 0 3 249.329 2.542 20 0 BFADHN Cc1coc(CNCC[C@@H](O)c2ccccc2)c1 ZINC000894779182 583520046 /nfs/dbraw/zinc/52/00/46/583520046.db2.gz WFCDVRPHNIRHGP-OAHLLOKOSA-N 0 3 245.322 2.801 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1ocnc1C ZINC000894781241 583520325 /nfs/dbraw/zinc/52/03/25/583520325.db2.gz DQXYXLRVUYRZES-JTQLQIEISA-N 0 3 228.361 2.556 20 0 BFADHN CC1(C)[C@H](O)CCN1C/C=C/c1cccc(F)c1 ZINC000894813714 583523319 /nfs/dbraw/zinc/52/33/19/583523319.db2.gz CHLKJMMPBHPIAB-YVARQFDVSA-N 0 3 249.329 2.684 20 0 BFADHN CC1(C)[C@@H](O)CCN1C/C=C/c1cccc(F)c1 ZINC000894813716 583523829 /nfs/dbraw/zinc/52/38/29/583523829.db2.gz CHLKJMMPBHPIAB-YVZNUBBCSA-N 0 3 249.329 2.684 20 0 BFADHN COCC1(C)CN(C[C@@H]2CCc3ccccc32)C1 ZINC000894839182 583529612 /nfs/dbraw/zinc/52/96/12/583529612.db2.gz BYSIZJRTDHJHJW-AWEZNQCLSA-N 0 3 245.366 2.685 20 0 BFADHN CCC1(C)CN(C[C@H]2C[C@@]2(C)Br)C1 ZINC000894840918 583529771 /nfs/dbraw/zinc/52/97/71/583529771.db2.gz SHGJHFWQRWHSDM-MWLCHTKSSA-N 0 3 246.192 2.892 20 0 BFADHN CCn1cc(CN2CC(C(C)(C)C)C2)c(C)n1 ZINC000894844033 583530600 /nfs/dbraw/zinc/53/06/00/583530600.db2.gz UXSRBGLYYRIACK-UHFFFAOYSA-N 0 3 235.375 2.689 20 0 BFADHN C[C@@H]1c2sccc2CCN1CCn1ccnc1 ZINC000880376898 583530657 /nfs/dbraw/zinc/53/06/57/583530657.db2.gz LEPQHYCKVMBDRY-LLVKDONJSA-N 0 3 247.367 2.564 20 0 BFADHN COCc1csc(CN2C[C@H](C)[C@@H]2C)c1 ZINC000894862015 583535330 /nfs/dbraw/zinc/53/53/30/583535330.db2.gz RMKUGPAOSHSMFD-UWVGGRQHSA-N 0 3 225.357 2.735 20 0 BFADHN C[C@H]1CN(Cc2cccc3c2NCCC3)[C@H]1C ZINC000894862471 583537176 /nfs/dbraw/zinc/53/71/76/583537176.db2.gz ZBSPINZQGYDLMM-RYUDHWBXSA-N 0 3 230.355 2.885 20 0 BFADHN C[C@H](Cc1ccco1)N[C@H]1CCCc2c[nH]nc21 ZINC000857846120 588911678 /nfs/dbraw/zinc/91/16/78/588911678.db2.gz HGFIYMZDOVNECP-MFKMUULPSA-N 0 3 245.326 2.601 20 0 BFADHN Oc1ccc(CN[C@@H]2CCCC[C@H]2F)cc1F ZINC000894914083 583555032 /nfs/dbraw/zinc/55/50/32/583555032.db2.gz VMPJQAPFUUBDJU-ZYHUDNBSSA-N 0 3 241.281 2.902 20 0 BFADHN C[C@@H](Cc1ccco1)N[C@@H]1CCCc2c[nH]nc21 ZINC000857846119 588911802 /nfs/dbraw/zinc/91/18/02/588911802.db2.gz HGFIYMZDOVNECP-GXFFZTMASA-N 0 3 245.326 2.601 20 0 BFADHN Cc1coc(CN(C)[C@@H](CO)CC(C)(C)C)c1 ZINC000894915924 583555264 /nfs/dbraw/zinc/55/52/64/583555264.db2.gz WVOQRIWOFGUAPO-GFCCVEGCSA-N 0 3 239.359 2.817 20 0 BFADHN Cc1ncoc1CNC[C@@H](C)CC(F)(F)F ZINC000894993463 583563971 /nfs/dbraw/zinc/56/39/71/583563971.db2.gz XEBPJKTXDNPTEJ-ZETCQYMHSA-N 0 3 236.237 2.661 20 0 BFADHN Cc1ncoc1CNC1(C2CCC2)CCC1 ZINC000894986637 583565533 /nfs/dbraw/zinc/56/55/33/583565533.db2.gz ZFKBKBSDYYDZDU-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN COc1ccc(CNC[C@@H]2C[C@H]2C2CCC2)o1 ZINC000895006641 583565902 /nfs/dbraw/zinc/56/59/02/583565902.db2.gz UJIIQWWVVNFXDC-AAEUAGOBSA-N 0 3 235.327 2.814 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCCC1CCCC1 ZINC000857847121 588912495 /nfs/dbraw/zinc/91/24/95/588912495.db2.gz VRPRHQCRZDUKFC-ZDUSSCGKSA-N 0 3 233.359 2.957 20 0 BFADHN CSCC[C@H](C)N[C@@H]1CCCc2c[nH]nc21 ZINC000857853849 588913527 /nfs/dbraw/zinc/91/35/27/588913527.db2.gz AEIPLAUKMFDRFL-GXSJLCMTSA-N 0 3 239.388 2.518 20 0 BFADHN COc1ccc(CNC[C@H](C)CC(F)F)o1 ZINC000895091464 583587109 /nfs/dbraw/zinc/58/71/09/583587109.db2.gz NMGXEFRXPVOFEH-MRVPVSSYSA-N 0 3 233.258 2.669 20 0 BFADHN CCC1(CN[C@H]2CCCc3c[nH]nc32)CC1 ZINC000857857120 588914181 /nfs/dbraw/zinc/91/41/81/588914181.db2.gz AHIKBOOYQLISLN-NSHDSACASA-N 0 3 219.332 2.567 20 0 BFADHN CC1(C)CC(CN[C@H]2CCCc3c[nH]nc32)C1 ZINC000857865568 588914763 /nfs/dbraw/zinc/91/47/63/588914763.db2.gz PLSDKBBERGOUQD-LBPRGKRZSA-N 0 3 233.359 2.813 20 0 BFADHN CCOc1ccc(CN[C@@H]2CCS[C@@H]2C)o1 ZINC000895125093 583596786 /nfs/dbraw/zinc/59/67/86/583596786.db2.gz KKXRZOCPVWWKPT-MWLCHTKSSA-N 0 3 241.356 2.662 20 0 BFADHN C[C@H](N[C@@H]1CCCc2c[nH]nc21)C1(C)CC1 ZINC000857862119 588915009 /nfs/dbraw/zinc/91/50/09/588915009.db2.gz YQDGAIUUHHJWMS-GXSJLCMTSA-N 0 3 219.332 2.565 20 0 BFADHN CC(C)C1(N[C@H]2CCCc3c[nH]nc32)CC1 ZINC000857866707 588915387 /nfs/dbraw/zinc/91/53/87/588915387.db2.gz DUHWPMVEQYHWIO-NSHDSACASA-N 0 3 219.332 2.565 20 0 BFADHN Cn1ncc(CN2CCC23CCCC3)c1C1CC1 ZINC000895137673 583602682 /nfs/dbraw/zinc/60/26/82/583602682.db2.gz JKALGDKAOFQJST-UHFFFAOYSA-N 0 3 245.370 2.816 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@H]1CC12CCCC2 ZINC000857867222 588915494 /nfs/dbraw/zinc/91/54/94/588915494.db2.gz ZQPSULLOOWIWRX-RYUDHWBXSA-N 0 3 231.343 2.709 20 0 BFADHN C[C@@H](CCO)N(C)C/C=C\c1cccc(F)c1 ZINC000895149196 583605621 /nfs/dbraw/zinc/60/56/21/583605621.db2.gz DHANICJCLMUSSN-RNZFLTOJSA-N 0 3 237.318 2.542 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CN[C@@H]1CCCc2c[nH]nc21 ZINC000857869261 588916491 /nfs/dbraw/zinc/91/64/91/588916491.db2.gz MESJIDGNZLUWPL-RWMBFGLXSA-N 0 3 233.359 2.669 20 0 BFADHN CCCN(Cc1c(C)ccnc1N)C(C)C ZINC000895183964 583618817 /nfs/dbraw/zinc/61/88/17/583618817.db2.gz QXLCHCRUYQFFMB-UHFFFAOYSA-N 0 3 221.348 2.593 20 0 BFADHN CCc1onc(C)c1CNC1CC2(CCC2)C1 ZINC000895185509 583619240 /nfs/dbraw/zinc/61/92/40/583619240.db2.gz NVMZFUYXAAHFBL-UHFFFAOYSA-N 0 3 234.343 2.968 20 0 BFADHN CCn1cc(CNC2CC3(CCC3)C2)c(C)n1 ZINC000895186955 583619713 /nfs/dbraw/zinc/61/97/13/583619713.db2.gz ZPACFPZCTRRXRR-UHFFFAOYSA-N 0 3 233.359 2.634 20 0 BFADHN CCCN(Cc1cn(C)nc1C1CC1)C(C)C ZINC000895205140 583625206 /nfs/dbraw/zinc/62/52/06/583625206.db2.gz MOZLEVRUGNUORS-UHFFFAOYSA-N 0 3 235.375 2.918 20 0 BFADHN CCCN(Cc1cn(CC)nc1C)C(C)C ZINC000895204286 583625222 /nfs/dbraw/zinc/62/52/22/583625222.db2.gz ASVWIXJKNJQIOS-UHFFFAOYSA-N 0 3 223.364 2.832 20 0 BFADHN CCCN(Cc1cnn(C)c1C1CC1)C(C)C ZINC000895204315 583625285 /nfs/dbraw/zinc/62/52/85/583625285.db2.gz BMVVGPQAQXZESM-UHFFFAOYSA-N 0 3 235.375 2.918 20 0 BFADHN Cc1n[nH]c(CN2CCC[C@@H](CCF)C2)c1C ZINC000895274752 583635301 /nfs/dbraw/zinc/63/53/01/583635301.db2.gz JXDHAQLHNAXKLP-LBPRGKRZSA-N 0 3 239.338 2.598 20 0 BFADHN Cc1[nH]nc(CN2CCC[C@@H](CCF)C2)c1C ZINC000895274752 583635302 /nfs/dbraw/zinc/63/53/02/583635302.db2.gz JXDHAQLHNAXKLP-LBPRGKRZSA-N 0 3 239.338 2.598 20 0 BFADHN CC1=NO[C@H](CNC/C=C\c2cccc(F)c2)C1 ZINC000895300306 583641837 /nfs/dbraw/zinc/64/18/37/583641837.db2.gz SNNFTNZKRFEMMH-MFBWXBCUSA-N 0 3 248.301 2.593 20 0 BFADHN CC1=NO[C@H](CN[C@H](C)Cc2ccccc2C)C1 ZINC000895304666 583642768 /nfs/dbraw/zinc/64/27/68/583642768.db2.gz PEKONEKTISOGIZ-DOMZBBRYSA-N 0 3 246.354 2.680 20 0 BFADHN Cc1cc(CNC[C@@H]2CC(C)=NO2)c(C)s1 ZINC000895308524 583646296 /nfs/dbraw/zinc/64/62/96/583646296.db2.gz MMALWLNDACSBPY-LBPRGKRZSA-N 0 3 238.356 2.619 20 0 BFADHN C/C(=C\c1ccccc1)CNC[C@@H]1CC(C)=NO1 ZINC000895309626 583646525 /nfs/dbraw/zinc/64/65/25/583646525.db2.gz DEIZBEHFOMGTDH-JQVXPOPVSA-N 0 3 244.338 2.844 20 0 BFADHN CC1=NO[C@@H](CNCc2c(C)cc(C)cc2C)C1 ZINC000895310838 583646953 /nfs/dbraw/zinc/64/69/53/583646953.db2.gz KCQJCSGTDMLFBG-CQSZACIVSA-N 0 3 246.354 2.866 20 0 BFADHN CC1=NO[C@H](CNCc2cc(C)c(C)cc2C)C1 ZINC000895311359 583647074 /nfs/dbraw/zinc/64/70/74/583647074.db2.gz PNSINIGITUZEMK-HNNXBMFYSA-N 0 3 246.354 2.866 20 0 BFADHN CC1=NO[C@@H](CNCc2ccc(C)c(C)c2)C1 ZINC000895312102 583647375 /nfs/dbraw/zinc/64/73/75/583647375.db2.gz UEDRZHFCLDOBGU-CQSZACIVSA-N 0 3 232.327 2.558 20 0 BFADHN C[C@@H](COc1cccc(F)c1)NCc1ccoc1 ZINC000727467315 583655622 /nfs/dbraw/zinc/65/56/22/583655622.db2.gz ZZZZTPCCJAIPJJ-NSHDSACASA-N 0 3 249.285 2.976 20 0 BFADHN C[C@H](CNCc1ccoc1)N1CCCC[C@H]1C ZINC000727465859 583655969 /nfs/dbraw/zinc/65/59/69/583655969.db2.gz MUDIBYBNPTUROJ-CHWSQXEVSA-N 0 3 236.359 2.632 20 0 BFADHN Cc1cc(F)ncc1CNC[C@@]1(C)CC1(F)F ZINC000895380815 583662537 /nfs/dbraw/zinc/66/25/37/583662537.db2.gz ONVLGPUQZHODRT-LLVKDONJSA-N 0 3 244.260 2.664 20 0 BFADHN Fc1cccc(/C=C/CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000895390512 583664349 /nfs/dbraw/zinc/66/43/49/583664349.db2.gz VNNOINHRVLNQGU-SKRJFHENSA-N 0 3 217.287 2.791 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000895434137 583672685 /nfs/dbraw/zinc/67/26/85/583672685.db2.gz QUZRPJVPAZWOMT-AGGWBTHJSA-N 0 3 247.386 2.969 20 0 BFADHN COc1nccc(CN[C@]2(C)CC2(C)C)c1F ZINC000895443624 583674205 /nfs/dbraw/zinc/67/42/05/583674205.db2.gz XQDWFCWXHDSQRB-CYBMUJFWSA-N 0 3 238.306 2.508 20 0 BFADHN Cc1[nH]nc(CN2CCC(CCF)CC2)c1C ZINC000895440822 583675109 /nfs/dbraw/zinc/67/51/09/583675109.db2.gz ZIFPMSBGDFERDR-UHFFFAOYSA-N 0 3 239.338 2.598 20 0 BFADHN Cc1ncoc1CN[C@H](C)CC1CCC1 ZINC000895449990 583675798 /nfs/dbraw/zinc/67/57/98/583675798.db2.gz QVHCYINNYCZSPZ-SECBINFHSA-N 0 3 208.305 2.651 20 0 BFADHN CCn1cc(CNC2(CC(C)C)CC2)c(C)n1 ZINC000895450210 583676130 /nfs/dbraw/zinc/67/61/30/583676130.db2.gz CWXJPNIVGIWNLB-UHFFFAOYSA-N 0 3 235.375 2.880 20 0 BFADHN Clc1csc(CNC[C@@H]2C=CCC2)n1 ZINC000895526455 583684559 /nfs/dbraw/zinc/68/45/59/583684559.db2.gz CCJFJXUTLIRAFB-MRVPVSSYSA-N 0 3 228.748 2.852 20 0 BFADHN CC(C)CCCCN1CCc2n[nH]cc2C1 ZINC000895541854 583688394 /nfs/dbraw/zinc/68/83/94/583688394.db2.gz ZOXYHOWFRWQTGP-UHFFFAOYSA-N 0 3 221.348 2.594 20 0 BFADHN C=C/C=C\CCNCc1cc(F)ncc1F ZINC000895542048 583688675 /nfs/dbraw/zinc/68/86/75/583688675.db2.gz SMIBLOKOEAVEDQ-ARJAWSKDSA-N 0 3 224.254 2.582 20 0 BFADHN C=C/C=C\CCNCc1cc(C(=O)OC)oc1C ZINC000895542289 583688842 /nfs/dbraw/zinc/68/88/42/583688842.db2.gz WQJPDJALWGCUIL-WAYWQWQTSA-N 0 3 249.310 2.597 20 0 BFADHN COc1ccc(CNC[C@H]2CCCC23CC3)o1 ZINC000895667891 583705624 /nfs/dbraw/zinc/70/56/24/583705624.db2.gz BQFQXQXRMQIKJI-LLVKDONJSA-N 0 3 235.327 2.958 20 0 BFADHN COc1ccc(CN[C@H]2CCCC23CC3)o1 ZINC000895691644 583707771 /nfs/dbraw/zinc/70/77/71/583707771.db2.gz JTXMLNRHFGGBEM-NSHDSACASA-N 0 3 221.300 2.711 20 0 BFADHN Clc1ccc2c(n1)[C@@H](N[C@H]1CC13CC3)CC2 ZINC000857917133 588923919 /nfs/dbraw/zinc/92/39/19/588923919.db2.gz WAPWZUXRWJXGFU-UWVGGRQHSA-N 0 3 234.730 2.864 20 0 BFADHN Cc1cc(CN[C@]23C[C@H]2CCCC3)cnc1F ZINC000895753037 583719993 /nfs/dbraw/zinc/71/99/93/583719993.db2.gz MZQJIWRYFYLBPW-TZMCWYRMSA-N 0 3 234.318 2.951 20 0 BFADHN CCn1cc(CN[C@]23C[C@H]2CCCC3)c(C)n1 ZINC000895753925 583720456 /nfs/dbraw/zinc/72/04/56/583720456.db2.gz SGHORXBMLZAGJS-ZIAGYGMSSA-N 0 3 233.359 2.634 20 0 BFADHN COc1ccc(CN[C@@]23C[C@@H]2CCCC3)o1 ZINC000895754730 583720915 /nfs/dbraw/zinc/72/09/15/583720915.db2.gz YVQVVHGURLKIFB-GWCFXTLKSA-N 0 3 221.300 2.711 20 0 BFADHN C/C=C/CN[C@H]1CCc2ccc(Cl)nc21 ZINC000857916128 588924003 /nfs/dbraw/zinc/92/40/03/588924003.db2.gz GNABHAVEEYDPKW-PBKGFPTLSA-N 0 3 222.719 2.888 20 0 BFADHN CCCSC[C@@H](C)NCc1ccnc(F)c1 ZINC000895769934 583724060 /nfs/dbraw/zinc/72/40/60/583724060.db2.gz WHPDQEWAZXFUFE-SNVBAGLBSA-N 0 3 242.363 2.842 20 0 BFADHN CS[C@H]1C[C@H]([NH2+]Cc2ccc([O-])c(F)c2)C1 ZINC000895777567 583726729 /nfs/dbraw/zinc/72/67/29/583726729.db2.gz MZMMXZWWEDFVGC-MGCOHNPYSA-N 0 3 241.331 2.515 20 0 BFADHN C[C@@]1(Br)C[C@H]1CN1CC(C)(C)C1 ZINC000895825778 583734937 /nfs/dbraw/zinc/73/49/37/583734937.db2.gz MPBBRLMQXDGYDR-WCBMZHEXSA-N 0 3 232.165 2.502 20 0 BFADHN Cc1cc(F)ncc1CN1C[C@@H]2CC=CC[C@@H]2C1 ZINC000895829019 583735618 /nfs/dbraw/zinc/73/56/18/583735618.db2.gz JXQOPPOMWDJCRW-BETUJISGSA-N 0 3 246.329 2.927 20 0 BFADHN Cc1ccoc1CNCC1(C2(O)CCC2)CCC1 ZINC000895869314 583738274 /nfs/dbraw/zinc/73/82/74/583738274.db2.gz DRXHRXLKEXZAFS-UHFFFAOYSA-N 0 3 249.354 2.763 20 0 BFADHN COc1ccccc1/C=C/CN(C)CCCF ZINC000895897540 583740731 /nfs/dbraw/zinc/74/07/31/583740731.db2.gz AYYQCDMTTQYMPR-VMPITWQZSA-N 0 3 237.318 3.000 20 0 BFADHN CN(CCCF)Cc1cc2cccnc2o1 ZINC000895895959 583743804 /nfs/dbraw/zinc/74/38/04/583743804.db2.gz JBIFXYSLYDZIHR-UHFFFAOYSA-N 0 3 222.263 2.619 20 0 BFADHN CO[C@H]1CC[C@@H](C)N(Cc2cc(C)oc2C)C1 ZINC000895926230 583747561 /nfs/dbraw/zinc/74/75/61/583747561.db2.gz BOPGBEYTKGTIPU-YGRLFVJLSA-N 0 3 237.343 2.896 20 0 BFADHN COc1ccccc1CN1C[C@@H](OC)CC[C@H]1C ZINC000895946468 583749557 /nfs/dbraw/zinc/74/95/57/583749557.db2.gz PRARWGIWIQRGRO-OCCSQVGLSA-N 0 3 249.354 2.695 20 0 BFADHN C=C/C=C/CCNCc1ccc(OC)o1 ZINC000895996403 583759382 /nfs/dbraw/zinc/75/93/82/583759382.db2.gz UMFAPEVTVCMJJW-SNAWJCMRSA-N 0 3 207.273 2.510 20 0 BFADHN CCOc1ccc(CNC[C@H]2C=CCC2)o1 ZINC000895994551 583761197 /nfs/dbraw/zinc/76/11/97/583761197.db2.gz LTYPWZVWLMFUBS-NSHDSACASA-N 0 3 221.300 2.734 20 0 BFADHN CCc1onc(C)c1CNC[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C ZINC000896046617 583765807 /nfs/dbraw/zinc/76/58/07/583765807.db2.gz FAYMELSGKZTPIF-SYEHKZFSSA-N 0 3 248.370 2.927 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@@]1(C)CC1(C)C ZINC000883260137 583769635 /nfs/dbraw/zinc/76/96/35/583769635.db2.gz LBALEFLCBVTYKO-BXUZGUMPSA-N 0 3 235.375 2.990 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@]1(C)CC1(C)C ZINC000883260138 583770003 /nfs/dbraw/zinc/77/00/03/583770003.db2.gz LBALEFLCBVTYKO-FZMZJTMJSA-N 0 3 235.375 2.990 20 0 BFADHN Cc1nc([C@H]2CCN(CC3(C)CCCC3)C2)no1 ZINC000896230826 583784226 /nfs/dbraw/zinc/78/42/26/583784226.db2.gz LFMVCULGCFSFIQ-LBPRGKRZSA-N 0 3 249.358 2.748 20 0 BFADHN Cc1nc([C@H]2CCN(CC3(C(C)C)CC3)C2)no1 ZINC000896231188 583784254 /nfs/dbraw/zinc/78/42/54/583784254.db2.gz PRBLQMHHVZPPGW-LBPRGKRZSA-N 0 3 249.358 2.604 20 0 BFADHN FC1(CN2C[C@@H]3C[C@]3(c3ccccc3)C2)CC1 ZINC000896229640 583784455 /nfs/dbraw/zinc/78/44/55/583784455.db2.gz BDTOVZNTDNKKSS-DZGCQCFKSA-N 0 3 231.314 2.762 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000896401135 583799469 /nfs/dbraw/zinc/79/94/69/583799469.db2.gz LJFITWVRMWCDGA-GMTLJSCSSA-N 0 3 235.302 2.665 20 0 BFADHN Cc1sccc1CN1CCO[C@@H](C)CC1 ZINC000896577435 583813686 /nfs/dbraw/zinc/81/36/86/583813686.db2.gz LERXYZXWHVGCOH-JTQLQIEISA-N 0 3 225.357 2.667 20 0 BFADHN C[C@H]1CCN(Cc2ccc3[nH]ccc3c2)CCO1 ZINC000896576702 583814016 /nfs/dbraw/zinc/81/40/16/583814016.db2.gz CRSJZLZTKUBBCE-LBPRGKRZSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1cc(CN2CCO[C@@H](C)CC2)c(C)o1 ZINC000896583908 583815542 /nfs/dbraw/zinc/81/55/42/583815542.db2.gz IZYFDZKZTXIGNL-JTQLQIEISA-N 0 3 223.316 2.507 20 0 BFADHN CCOc1cccc(CN2CCO[C@@H](C)CC2)c1 ZINC000896585736 583815828 /nfs/dbraw/zinc/81/58/28/583815828.db2.gz WYUISLJEQOCFNL-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN CC(C)(/C=C/Cl)NC[C@H]1CCC=CO1 ZINC000896748183 583830012 /nfs/dbraw/zinc/83/00/12/583830012.db2.gz UPMYHCHHOPPDGE-VQCYPWCPSA-N 0 3 215.724 2.800 20 0 BFADHN c1cc2n(c1)CCN(C[C@@H]1CC=CCC1)C2 ZINC000896760770 583831441 /nfs/dbraw/zinc/83/14/41/583831441.db2.gz OGUAICJRUYDDIW-CYBMUJFWSA-N 0 3 216.328 2.660 20 0 BFADHN COc1ccc([C@H](C)NC2CSC2)cc1C ZINC000896803245 583834367 /nfs/dbraw/zinc/83/43/67/583834367.db2.gz HOTYBKNEBRGKOT-JTQLQIEISA-N 0 3 237.368 2.770 20 0 BFADHN CCCCCCNC(=O)CN1CCC[C@@H]1CC ZINC000727932076 583837808 /nfs/dbraw/zinc/83/78/08/583837808.db2.gz RKLLBACKHNPPIU-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1ccc([C@H](O)[C@@H](C)NCc2ccoc2)cc1 ZINC000796486620 583856427 /nfs/dbraw/zinc/85/64/27/583856427.db2.gz PNOCKGNIKQSPEA-IUODEOHRSA-N 0 3 245.322 2.800 20 0 BFADHN O=C(CC1CCCC1)OCCN1CCCCC1 ZINC000143289454 583856640 /nfs/dbraw/zinc/85/66/40/583856640.db2.gz BANOMSWDSZPDKY-UHFFFAOYSA-N 0 3 239.359 2.596 20 0 BFADHN Cc1cnc(CN2CCC3(CCC3)CC2)cn1 ZINC000796514418 583856985 /nfs/dbraw/zinc/85/69/85/583856985.db2.gz BLJXPMVOLCEQGJ-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN Fc1cc(CNC[C@@H]2CCCC2(F)F)ccn1 ZINC000858173244 588937391 /nfs/dbraw/zinc/93/73/91/588937391.db2.gz XDHYSLCAUVJRSU-JTQLQIEISA-N 0 3 244.260 2.746 20 0 BFADHN CC1(C)[C@@H](CNCc2ccnc(F)c2)C1(F)F ZINC000858192219 588938322 /nfs/dbraw/zinc/93/83/22/588938322.db2.gz HGEUBIOPLWHRRH-SECBINFHSA-N 0 3 244.260 2.602 20 0 BFADHN C[C@H]1CSC[C@H]1N[C@H]1CCCc2cccnc21 ZINC000897072618 583875669 /nfs/dbraw/zinc/87/56/69/583875669.db2.gz WRKBNHMGTPEYKN-WCFLWFBJSA-N 0 3 248.395 2.800 20 0 BFADHN CCO[C@H]1CCN(Cc2cc(C)oc2C)C1 ZINC000897069525 583877043 /nfs/dbraw/zinc/87/70/43/583877043.db2.gz XCIJOQZPXGWBGY-ZDUSSCGKSA-N 0 3 223.316 2.507 20 0 BFADHN C[C@@H](CC(C)(C)C)[NH2+]Cc1cncc([O-])c1 ZINC000897316184 583918557 /nfs/dbraw/zinc/91/85/57/583918557.db2.gz XPKPGUMOLJCWGK-JTQLQIEISA-N 0 3 222.332 2.702 20 0 BFADHN CC(C)C[C@H](C)C[NH2+]Cc1cncc([O-])c1 ZINC000897315996 583918720 /nfs/dbraw/zinc/91/87/20/583918720.db2.gz VQXAYDRFDSCKSJ-NSHDSACASA-N 0 3 222.332 2.559 20 0 BFADHN C[C@@H]1CC[C@@H]([NH2+]Cc2cncc([O-])c2)[C@H](C)C1 ZINC000897316342 583918788 /nfs/dbraw/zinc/91/87/88/583918788.db2.gz YYLJPTUEPVGHRH-JTNHKYCSSA-N 0 3 234.343 2.702 20 0 BFADHN [O-]c1cncc(C[NH2+][C@@H]2CCCC[C@@H]2C2CC2)c1 ZINC000897316293 583918909 /nfs/dbraw/zinc/91/89/09/583918909.db2.gz YFURONBISYCCPD-HUUCEWRRSA-N 0 3 246.354 2.846 20 0 BFADHN Cc1ccc(CNCC[C@@H]2CC=CCC2)nn1 ZINC000858271878 588942135 /nfs/dbraw/zinc/94/21/35/588942135.db2.gz OAIZALYWQRUWHK-CYBMUJFWSA-N 0 3 231.343 2.621 20 0 BFADHN C/C=C\CNCc1cc(C)c(OC)c(C)c1 ZINC000858290033 588942361 /nfs/dbraw/zinc/94/23/61/588942361.db2.gz CHEKOXWQOYVVJF-WAYWQWQTSA-N 0 3 219.328 2.978 20 0 BFADHN C/C=C\CNCc1cnc(Cl)c(C)c1 ZINC000858291438 588942376 /nfs/dbraw/zinc/94/23/76/588942376.db2.gz YZLYBPWMPAPTPU-ARJAWSKDSA-N 0 3 210.708 2.709 20 0 BFADHN FC(F)Oc1ccc(CNCC2(F)CC2)cc1 ZINC000526973632 583931649 /nfs/dbraw/zinc/93/16/49/583931649.db2.gz ZWGJTTVPKZDZEV-UHFFFAOYSA-N 0 3 245.244 2.880 20 0 BFADHN OCc1cc(NCC2CCCCC2)ccn1 ZINC000858309464 588943899 /nfs/dbraw/zinc/94/38/99/588943899.db2.gz ATKYRFPBISBGFI-UHFFFAOYSA-N 0 3 220.316 2.566 20 0 BFADHN CC[C@H](NCc1cccc(F)n1)[C@@H]1C[C@@H]1C ZINC000840732528 583945636 /nfs/dbraw/zinc/94/56/36/583945636.db2.gz ZMVVJNBIHLFWGA-WCQGTBRESA-N 0 3 222.307 2.745 20 0 BFADHN CC[C@H](N[C@H](C)c1nccnc1C)[C@H]1C[C@H]1C ZINC000872130292 583947586 /nfs/dbraw/zinc/94/75/86/583947586.db2.gz GRMKXRPPEJJOFI-XEZLXBQYSA-N 0 3 233.359 2.870 20 0 BFADHN CO[C@H]1C[C@H](NCc2cnc(C(C)C)s2)C1 ZINC000858322490 588945646 /nfs/dbraw/zinc/94/56/46/588945646.db2.gz XBFHTDFKUPHJEC-MGCOHNPYSA-N 0 3 240.372 2.534 20 0 BFADHN CC(C)n1ccnc1CNCC(C)(C)C1CC1 ZINC000897438187 583983450 /nfs/dbraw/zinc/98/34/50/583983450.db2.gz SKUKOPGJTZRVPG-UHFFFAOYSA-N 0 3 235.375 2.990 20 0 BFADHN Cc1csc(CNCC(C)(C)C2CC2)n1 ZINC000897442228 583985067 /nfs/dbraw/zinc/98/50/67/583985067.db2.gz CVGSOZLGMVPVCI-UHFFFAOYSA-N 0 3 224.373 2.977 20 0 BFADHN CC(C)(CNCc1ccccn1)C1CCOCC1 ZINC000897450549 583985375 /nfs/dbraw/zinc/98/53/75/583985375.db2.gz FVWNUXPQGBGKKJ-UHFFFAOYSA-N 0 3 248.370 2.624 20 0 BFADHN C[C@@H](Cc1cccs1)Nc1ccnc(CO)c1 ZINC000858344620 588947666 /nfs/dbraw/zinc/94/76/66/588947666.db2.gz ROINRBDVVJNGTD-JTQLQIEISA-N 0 3 248.351 2.679 20 0 BFADHN Fc1ncccc1CN[C@@H]1CC[C@@H]1C1CCC1 ZINC000800984459 584000407 /nfs/dbraw/zinc/00/04/07/584000407.db2.gz VEFDWUJKFNGWEV-CHWSQXEVSA-N 0 3 234.318 2.889 20 0 BFADHN CC[C@@H](C)C[C@@H](C)Nc1ccnc(CO)c1 ZINC000858425107 588953677 /nfs/dbraw/zinc/95/36/77/588953677.db2.gz FHHUGKWLOPFPDI-GHMZBOCLSA-N 0 3 222.332 2.811 20 0 BFADHN Cc1c[nH]c(CN2C[C@@H]3[C@@H]4CC[C@@H](C4)[C@]3(C)C2)n1 ZINC000897613023 584031779 /nfs/dbraw/zinc/03/17/79/584031779.db2.gz DKAQWHKCXSWGRC-COMQUAJESA-N 0 3 245.370 2.586 20 0 BFADHN C[C@H]1CC[C@H](Nc2ccnc(CO)c2)CC1 ZINC000858402540 588951624 /nfs/dbraw/zinc/95/16/24/588951624.db2.gz AWMLEKLDWPSXQU-XYPYZODXSA-N 0 3 220.316 2.565 20 0 BFADHN Cc1cn[nH]c1CNc1ccc(C2CC2)cn1 ZINC000897716266 584043810 /nfs/dbraw/zinc/04/38/10/584043810.db2.gz XZBIIYRACVSOOC-UHFFFAOYSA-N 0 3 228.299 2.603 20 0 BFADHN C[C@@H](CNc1ccnc(CO)c1)C(C)(C)C ZINC000858412121 588952334 /nfs/dbraw/zinc/95/23/34/588952334.db2.gz RNLJXUVCBNMVKD-JTQLQIEISA-N 0 3 222.332 2.668 20 0 BFADHN C[C@H](Nc1ccnc(CO)c1)C1CCCC1 ZINC000858412013 588952436 /nfs/dbraw/zinc/95/24/36/588952436.db2.gz RVLZDPFKMQYVET-JTQLQIEISA-N 0 3 220.316 2.565 20 0 BFADHN C[C@@H]1CC(Nc2ccnc(CO)c2)C[C@@H](C)C1 ZINC000858420079 588952833 /nfs/dbraw/zinc/95/28/33/588952833.db2.gz ICYSKAZFLMCWAQ-QWRGUYRKSA-N 0 3 234.343 2.811 20 0 BFADHN C[C@@H](NC1CC(CF)(CF)C1)c1cncs1 ZINC000897931261 584059096 /nfs/dbraw/zinc/05/90/96/584059096.db2.gz YBLTWBONWKEQHO-MRVPVSSYSA-N 0 3 246.326 2.882 20 0 BFADHN c1cc2c(c(CN[C@@H]3C[C@H]4CCC[C@@H]43)c1)OCO2 ZINC000897953070 584063236 /nfs/dbraw/zinc/06/32/36/584063236.db2.gz MGRMBKJWJOLNCX-KGYLQXTDSA-N 0 3 245.322 2.694 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@H]3CCC[C@H]32)cs1 ZINC000897952691 584063548 /nfs/dbraw/zinc/06/35/48/584063548.db2.gz IVYMIISQDNZNHL-YUSALJHKSA-N 0 3 222.357 2.730 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)sn1 ZINC000897954120 584064068 /nfs/dbraw/zinc/06/40/68/584064068.db2.gz AKKGEHVCHFYSMH-MVWJERBFSA-N 0 3 222.357 2.730 20 0 BFADHN CCCn1nccc1CN[C@@H]1C[C@H]2CCC[C@H]21 ZINC000897957661 584065663 /nfs/dbraw/zinc/06/56/63/584065663.db2.gz DIKNIOSTWQIMLR-MRVWCRGKSA-N 0 3 233.359 2.571 20 0 BFADHN CC(C)Cn1nccc1CN[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897959059 584067183 /nfs/dbraw/zinc/06/71/83/584067183.db2.gz POYAXYKFGGBVJT-VHDGCEQUSA-N 0 3 247.386 2.817 20 0 BFADHN c1cnc2c(c1)CC[C@@H]2N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897959822 584067383 /nfs/dbraw/zinc/06/73/83/584067383.db2.gz LVYNYJQEZKWFFC-RFQIPJPRSA-N 0 3 228.339 2.847 20 0 BFADHN c1cc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)n(C2CCC2)n1 ZINC000897960521 584067984 /nfs/dbraw/zinc/06/79/84/584067984.db2.gz VLNGWOKJMRMURP-NILFDRSVSA-N 0 3 245.370 2.886 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1C[C@H]2CCC[C@@H]21)C1CC1 ZINC000897960370 584068099 /nfs/dbraw/zinc/06/80/99/584068099.db2.gz RMPKGYUQCWSSJT-XJFOESAGSA-N 0 3 245.370 2.649 20 0 BFADHN OCCC1CC(NCc2cscc2Cl)C1 ZINC000898008666 584071043 /nfs/dbraw/zinc/07/10/43/584071043.db2.gz SISHZFMNRBJLDI-UHFFFAOYSA-N 0 3 245.775 2.652 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNC2CC(CCO)C2)o1 ZINC000898008299 584071059 /nfs/dbraw/zinc/07/10/59/584071059.db2.gz RJUHFKYCIQQQMR-DLOFLVKXSA-N 0 3 249.354 2.654 20 0 BFADHN Cc1c[nH]c(CNCC[C@@H]2CCC(F)(F)C2)n1 ZINC000898014009 584074265 /nfs/dbraw/zinc/07/42/65/584074265.db2.gz MAUIJFCCWKZEDS-JTQLQIEISA-N 0 3 243.301 2.633 20 0 BFADHN CCC[C@H](C)NCc1cc(C(F)(F)F)n(C)n1 ZINC000898021553 584075860 /nfs/dbraw/zinc/07/58/60/584075860.db2.gz KZTCRJUUCBRHMM-QMMMGPOBSA-N 0 3 249.280 2.717 20 0 BFADHN Cn1nc(CNCC(C)(C)C)cc1C(F)(F)F ZINC000898022833 584075972 /nfs/dbraw/zinc/07/59/72/584075972.db2.gz NDQHZJKTTSQMDW-UHFFFAOYSA-N 0 3 249.280 2.575 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC=CCCC2)nn1C ZINC000898031930 584077188 /nfs/dbraw/zinc/07/71/88/584077188.db2.gz JMIZAASPSCSRMN-CQSZACIVSA-N 0 3 247.386 2.955 20 0 BFADHN CC1(C)CCC[C@H]1Nc1ccnc(CO)c1 ZINC000858438013 588954931 /nfs/dbraw/zinc/95/49/31/588954931.db2.gz QEAZGLDFFLMUJR-GFCCVEGCSA-N 0 3 220.316 2.565 20 0 BFADHN c1c[nH]c(CNC[C@H]2CCC=CCCC2)n1 ZINC000898032016 584077356 /nfs/dbraw/zinc/07/73/56/584077356.db2.gz KQXRYUHHDZUWBI-LBPRGKRZSA-N 0 3 219.332 2.636 20 0 BFADHN Cc1ccc(CN[C@H]2CCOC23CCCC3)o1 ZINC000898113403 584084475 /nfs/dbraw/zinc/08/44/75/584084475.db2.gz ZFKVMRBHDUCDQW-ZDUSSCGKSA-N 0 3 235.327 2.779 20 0 BFADHN CCC[C@H](CN1CC(Cc2ccoc2)C1)OC ZINC000898159666 584087700 /nfs/dbraw/zinc/08/77/00/584087700.db2.gz BJHNDLRBDGPUEW-CQSZACIVSA-N 0 3 237.343 2.569 20 0 BFADHN c1cc(CC2CN(Cc3ccccc3)C2)co1 ZINC000898162093 584088351 /nfs/dbraw/zinc/08/83/51/584088351.db2.gz MFTQOZQENKYIOT-UHFFFAOYSA-N 0 3 227.307 2.954 20 0 BFADHN CC1(CN2CC(Cc3ccoc3)C2)CCC1 ZINC000898160740 584088506 /nfs/dbraw/zinc/08/85/06/584088506.db2.gz RNWIITWJDJHRPP-UHFFFAOYSA-N 0 3 219.328 2.944 20 0 BFADHN O[C@@H](CCNCc1cc2ccccc2o1)C1CC1 ZINC000898206136 584091829 /nfs/dbraw/zinc/09/18/29/584091829.db2.gz MABFWIXTRQIQGO-AWEZNQCLSA-N 0 3 245.322 2.683 20 0 BFADHN CC1(C)CCC[C@H](CN2CC[C@H](O)[C@H](F)C2)C1 ZINC000898188068 584094387 /nfs/dbraw/zinc/09/43/87/584094387.db2.gz OEVFMJZFRVQZFS-XQQFMLRXSA-N 0 3 243.366 2.608 20 0 BFADHN COc1ccccc1CNC(C)(C)CSC ZINC000898305917 584109043 /nfs/dbraw/zinc/10/90/43/584109043.db2.gz XRWQDHBCPZNVPY-UHFFFAOYSA-N 0 3 239.384 2.926 20 0 BFADHN C[C@H]1CCN(c2ccnc(CO)c2)CC12CCC2 ZINC000858465545 588957406 /nfs/dbraw/zinc/95/74/06/588957406.db2.gz HPSMYLBACSAZBY-LBPRGKRZSA-N 0 3 246.354 2.590 20 0 BFADHN Cc1cc(CN[C@@H]2COC[C@H]2C2CC2)cs1 ZINC000898380272 584115047 /nfs/dbraw/zinc/11/50/47/584115047.db2.gz MVTONOFFKVAVPH-QWHCGFSZSA-N 0 3 237.368 2.571 20 0 BFADHN CO[C@@H](C)CN[C@@H]1CCCc2c(F)cccc21 ZINC000866345382 584118204 /nfs/dbraw/zinc/11/82/04/584118204.db2.gz ONCMHKIFEKRWGX-IINYFYTJSA-N 0 3 237.318 2.828 20 0 BFADHN C[C@@H]1CN(c2ccnc(CO)c2)[C@H]2CCCC[C@H]12 ZINC000858477737 588958920 /nfs/dbraw/zinc/95/89/20/588958920.db2.gz LGOZXQPHKAUZTQ-DFBGVHRSSA-N 0 3 246.354 2.589 20 0 BFADHN Cc1n[nH]cc1CNC1(C)C(C)(C)C1(C)C ZINC000898414418 584131477 /nfs/dbraw/zinc/13/14/77/584131477.db2.gz OTOYBPPDFPLXJH-UHFFFAOYSA-N 0 3 221.348 2.632 20 0 BFADHN CCn1cncc1CNC1(C)C(C)(C)C1(C)C ZINC000898414985 584132166 /nfs/dbraw/zinc/13/21/66/584132166.db2.gz YAEVWJRXFPOAGR-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN COc1ccc(CNC/C=C\Cl)c(C)c1 ZINC000898424418 584132562 /nfs/dbraw/zinc/13/25/62/584132562.db2.gz DXSWUHBGRBWMJB-UTCJRWHESA-N 0 3 225.719 2.846 20 0 BFADHN COC[C@@H](CC(C)(C)C)NC/C=C\Cl ZINC000898428554 584133102 /nfs/dbraw/zinc/13/31/02/584133102.db2.gz JOYYAPFNGIGQDP-WWQCOOJYSA-N 0 3 219.756 2.780 20 0 BFADHN Cc1ccncc1CCCNC/C=C/Cl ZINC000898431934 584135381 /nfs/dbraw/zinc/13/53/81/584135381.db2.gz DFELOQMGVSACLZ-ZZXKWVIFSA-N 0 3 224.735 2.665 20 0 BFADHN Cc1cc(F)ccc1CNC/C=C/Cl ZINC000898435608 584135615 /nfs/dbraw/zinc/13/56/15/584135615.db2.gz JTXKOBDNXTXOIF-GORDUTHDSA-N 0 3 213.683 2.976 20 0 BFADHN COCc1ccc(CNC/C=C\Cl)cc1 ZINC000898435557 584135644 /nfs/dbraw/zinc/13/56/44/584135644.db2.gz JCMASABOQCPRID-UQCOIBPSSA-N 0 3 225.719 2.675 20 0 BFADHN c1ncc(CNC2(C3CCCCC3)CC2)cn1 ZINC000898462616 584138886 /nfs/dbraw/zinc/13/88/86/584138886.db2.gz QIXCYIGJESHAOY-UHFFFAOYSA-N 0 3 231.343 2.679 20 0 BFADHN C[C@H](CCC1CC1)Nc1ccnc(CO)c1 ZINC000858486939 588960168 /nfs/dbraw/zinc/96/01/68/588960168.db2.gz RJCIZIODZRPKPV-SNVBAGLBSA-N 0 3 220.316 2.565 20 0 BFADHN C[C@@H](Cc1ccncc1)N[C@@H](C)c1ccns1 ZINC000858576162 588968210 /nfs/dbraw/zinc/96/82/10/588968210.db2.gz IKMHTBACJSSYSV-QWRGUYRKSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@@H](N[C@@H](C)CSCCF)c1ccns1 ZINC000858577019 588968245 /nfs/dbraw/zinc/96/82/45/588968245.db2.gz PGSYSEYMJVWTNK-DTWKUNHWSA-N 0 3 248.392 2.885 20 0 BFADHN OCc1cc(NCC2(C3CCC3)CCC2)ccn1 ZINC000858565856 588966515 /nfs/dbraw/zinc/96/65/15/588966515.db2.gz COUSGYSXSQDDOV-UHFFFAOYSA-N 0 3 246.354 2.956 20 0 BFADHN CC1CC(COc2ccccc2CN)C1 ZINC000899588080 584220572 /nfs/dbraw/zinc/22/05/72/584220572.db2.gz GLGVQLAOIYPGRN-UHFFFAOYSA-N 0 3 205.301 2.570 20 0 BFADHN C[C@@H](CNc1ccnc(CO)c1)CC(F)(F)F ZINC000858570470 588967108 /nfs/dbraw/zinc/96/71/08/588967108.db2.gz JVOVXRWWIZMHQA-MRVPVSSYSA-N 0 3 248.248 2.574 20 0 BFADHN CCC[C@H](N)c1cn(CC2CCC=CCC2)nn1 ZINC000899742832 584236234 /nfs/dbraw/zinc/23/62/34/584236234.db2.gz JTLAEXCULIJTMX-ZDUSSCGKSA-N 0 3 248.374 2.824 20 0 BFADHN CC[C@@H](Nc1ccnc(CO)c1)[C@@H]1CC1(C)C ZINC000858571893 588968016 /nfs/dbraw/zinc/96/80/16/588968016.db2.gz IZZSAUYMKJIUBV-QWHCGFSZSA-N 0 3 234.343 2.811 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CCCOC1)c1ccns1 ZINC000858576192 588968076 /nfs/dbraw/zinc/96/80/76/588968076.db2.gz JBLDZFGYFXHVNL-GARJFASQSA-N 0 3 240.372 2.609 20 0 BFADHN OCc1cc(N[C@@H]2CCC[C@H](C3CC3)C2)ccn1 ZINC000858584305 588969257 /nfs/dbraw/zinc/96/92/57/588969257.db2.gz PMTLIBJHXIOFKL-QWHCGFSZSA-N 0 3 246.354 2.955 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC12CC2)c1ncc[nH]1 ZINC000858594967 588969989 /nfs/dbraw/zinc/96/99/89/588969989.db2.gz VGSHNSZDNCPRQI-MNOVXSKESA-N 0 3 219.332 2.641 20 0 BFADHN C/C=C\C[C@@H]1CCCN(c2ccnc(CO)c2)C1 ZINC000858864157 588980172 /nfs/dbraw/zinc/98/01/72/588980172.db2.gz UVBKHSGWXBHSMY-XQJDBVBESA-N 0 3 246.354 2.757 20 0 BFADHN CC[C@](C)(N)c1cc(-c2ccc(OC)cc2)no1 ZINC000901386889 584436497 /nfs/dbraw/zinc/43/64/97/584436497.db2.gz NXTAMRZSFSLYJU-AWEZNQCLSA-N 0 3 246.310 2.934 20 0 BFADHN CNC(C)(C)c1cc(-c2cccc(F)c2)no1 ZINC000901399436 584438768 /nfs/dbraw/zinc/43/87/68/584438768.db2.gz HGTIJIYZWHMJPV-UHFFFAOYSA-N 0 3 234.274 2.935 20 0 BFADHN Cl/C=C/CN1CCc2ccccc2CC1 ZINC000116404869 588983835 /nfs/dbraw/zinc/98/38/35/588983835.db2.gz KVQKDIDVZVUMDN-FPYGCLRLSA-N 0 3 221.731 2.840 20 0 BFADHN CCn1cnc(CN[C@H]2CCC[C@@H](C)C2)c1 ZINC000902048404 584516695 /nfs/dbraw/zinc/51/66/95/584516695.db2.gz FEVSPQKBHPHUHF-NEPJUHHUSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cnc(CNC[C@H]2CCC[C@@H](C)C2)c1 ZINC000902096524 584525283 /nfs/dbraw/zinc/52/52/83/584525283.db2.gz JNZWOGMKGKHOOY-OLZOCXBDSA-N 0 3 235.375 2.819 20 0 BFADHN CNc1ccccc1CN[C@H](C)CSC ZINC000902257104 584544680 /nfs/dbraw/zinc/54/46/80/584544680.db2.gz WLJSSRKWBPCLOU-SNVBAGLBSA-N 0 3 224.373 2.569 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1cn(CC)cn1 ZINC000902279076 584546813 /nfs/dbraw/zinc/54/68/13/584546813.db2.gz KERXZSGDRLRCNP-NEPJUHHUSA-N 0 3 223.364 2.817 20 0 BFADHN CC[C@H](C)C[C@@H](C)NCc1cn(CC)cn1 ZINC000902279077 584546885 /nfs/dbraw/zinc/54/68/85/584546885.db2.gz KERXZSGDRLRCNP-NWDGAFQWSA-N 0 3 223.364 2.817 20 0 BFADHN CNc1ccc(C)cc1CNC[C@@]1(C)CCCO1 ZINC000902302025 584554011 /nfs/dbraw/zinc/55/40/11/584554011.db2.gz JHFLDGVINJWHGY-OAHLLOKOSA-N 0 3 248.370 2.695 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1ccnc(F)c1 ZINC000859062469 588996112 /nfs/dbraw/zinc/99/61/12/588996112.db2.gz QFDDNMHCAUDLGL-SNVBAGLBSA-N 0 3 210.296 2.697 20 0 BFADHN CNc1ccccc1CN[C@H](C)c1ccc[nH]1 ZINC000902420493 584592512 /nfs/dbraw/zinc/59/25/12/584592512.db2.gz XLVQTJPZIAETAF-LLVKDONJSA-N 0 3 229.327 2.907 20 0 BFADHN COC1CC(CN(Cc2ccc(C)o2)C2CC2)C1 ZINC000859078976 588998577 /nfs/dbraw/zinc/99/85/77/588998577.db2.gz MDYDQNLJFWMPDN-UHFFFAOYSA-N 0 3 249.354 2.977 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1csc(N)n1 ZINC000902491743 584618363 /nfs/dbraw/zinc/61/83/63/584618363.db2.gz SKHYBLVASGZRLD-SNVBAGLBSA-N 0 3 239.388 2.950 20 0 BFADHN CC(C)(C)[C@H]1CC[C@@H]1NCc1csc(N)n1 ZINC000902497842 584619214 /nfs/dbraw/zinc/61/92/14/584619214.db2.gz AFYKPZJWALFVRU-UWVGGRQHSA-N 0 3 239.388 2.640 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2csc(N)n2)[C@H]1C ZINC000902499683 584619895 /nfs/dbraw/zinc/61/98/95/584619895.db2.gz QGALNPJZMDGMFU-WCABBAIRSA-N 0 3 239.388 2.640 20 0 BFADHN Nc1nc(CN[C@@H]2CCCC23CCC3)cs1 ZINC000902498891 584620116 /nfs/dbraw/zinc/62/01/16/584620116.db2.gz HJAOZGMDIBSPKQ-SNVBAGLBSA-N 0 3 237.372 2.538 20 0 BFADHN CCCC[C@H](CC)NCc1csc(N)n1 ZINC000902487757 584626725 /nfs/dbraw/zinc/62/67/25/584626725.db2.gz DODGPVQWLXUFFS-VIFPVBQESA-N 0 3 227.377 2.784 20 0 BFADHN CNc1ccccc1CNCC=C(C)C ZINC000902522527 584632093 /nfs/dbraw/zinc/63/20/93/584632093.db2.gz DMQWDFOGIXIKJL-UHFFFAOYSA-N 0 3 204.317 2.784 20 0 BFADHN CC[C@@]1(NCc2cc(C)ccc2NC)CCOC1 ZINC000902526713 584632777 /nfs/dbraw/zinc/63/27/77/584632777.db2.gz OSEASHRAAXYTNY-OAHLLOKOSA-N 0 3 248.370 2.695 20 0 BFADHN CCn1cnc(CN[C@]2(C)CCCC[C@H]2C)c1 ZINC000902584974 584639363 /nfs/dbraw/zinc/63/93/63/584639363.db2.gz AQOXLIRRHCBISE-TZMCWYRMSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@@](C)(CNCc1ccccc1NC)OC ZINC000902586186 584639706 /nfs/dbraw/zinc/63/97/06/584639706.db2.gz ZTOBJBHFSLNQFY-AWEZNQCLSA-N 0 3 236.359 2.633 20 0 BFADHN CC[C@@H](O)CNCc1cc(C(C)(C)C)oc1C ZINC000902616246 584644336 /nfs/dbraw/zinc/64/43/36/584644336.db2.gz BMZRCOFURNSQKJ-GFCCVEGCSA-N 0 3 239.359 2.746 20 0 BFADHN CCn1cnc(CNCC[C@H]2CC=CCC2)c1 ZINC000902626098 584645917 /nfs/dbraw/zinc/64/59/17/584645917.db2.gz MGMRLMVVHXWRET-ZDUSSCGKSA-N 0 3 233.359 2.739 20 0 BFADHN C/C=C\CNCc1cc(C)ccc1NC ZINC000902626375 584645955 /nfs/dbraw/zinc/64/59/55/584645955.db2.gz XDSYWDUTYVJGMX-PLNGDYQASA-N 0 3 204.317 2.702 20 0 BFADHN CCn1cnc(CNC[C@H]2CCCC23CC3)c1 ZINC000902627543 584646361 /nfs/dbraw/zinc/64/63/61/584646361.db2.gz WALDFCUJYCVTEY-GFCCVEGCSA-N 0 3 233.359 2.573 20 0 BFADHN CCn1cnc(CN[C@H](C)[C@@]23C[C@@H]2CCCC3)c1 ZINC000902631977 584647287 /nfs/dbraw/zinc/64/72/87/584647287.db2.gz ZHOQSHIMZGAJNS-VNHYZAJKSA-N 0 3 247.386 2.961 20 0 BFADHN CNc1ccccc1CNC[C@]1(C)CCCCO1 ZINC000902656606 584650332 /nfs/dbraw/zinc/65/03/32/584650332.db2.gz GQSILQSAFMQJBB-HNNXBMFYSA-N 0 3 248.370 2.777 20 0 BFADHN CC(C)(CNCc1cn(C2CC2)cn1)C1CC1 ZINC000902672663 584652000 /nfs/dbraw/zinc/65/20/00/584652000.db2.gz ZSIWQBONHWIBKU-UHFFFAOYSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H](NC1CC1)c1ccc(C(F)(F)F)cn1 ZINC000902721219 584659837 /nfs/dbraw/zinc/65/98/37/584659837.db2.gz UIQDBROPCRKVQI-SSDOTTSWSA-N 0 3 230.233 2.913 20 0 BFADHN C[C@H](N[C@@H]1COC[C@@H]1C1CC1)c1ccccc1F ZINC000902723153 584660364 /nfs/dbraw/zinc/66/03/64/584660364.db2.gz PUDNHNMQLWLOLA-PSOPSSQASA-N 0 3 249.329 2.901 20 0 BFADHN COc1ncccc1CNC1C[C@H]2CCC[C@@H]2C1 ZINC000902741111 584663567 /nfs/dbraw/zinc/66/35/67/584663567.db2.gz LOYLGKKGTZYTLN-VXGBXAGGSA-N 0 3 246.354 2.759 20 0 BFADHN COc1ncccc1CN[C@@H]1C[C@H]2CCC[C@H]2C1 ZINC000902741109 584663599 /nfs/dbraw/zinc/66/35/99/584663599.db2.gz LOYLGKKGTZYTLN-DABQJJPHSA-N 0 3 246.354 2.759 20 0 BFADHN Cc1noc(C)c1CNC1C[C@@H]2CCC[C@H]2C1 ZINC000902764494 584666333 /nfs/dbraw/zinc/66/63/33/584666333.db2.gz FYGSAELYIIFGLQ-RYUDHWBXSA-N 0 3 234.343 2.960 20 0 BFADHN CCCN(CCC)Cc1ccnc(F)c1 ZINC000859075816 588997464 /nfs/dbraw/zinc/99/74/64/588997464.db2.gz ZXBJRTKJPQRHQS-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN Cc1cc(CNC2C[C@@H]3CCC[C@H]3C2)on1 ZINC000902794240 584673350 /nfs/dbraw/zinc/67/33/50/584673350.db2.gz UCFDYCNDXDYTFJ-QWRGUYRKSA-N 0 3 220.316 2.651 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NC1C[C@@H]2CCC[C@H]2C1 ZINC000902882425 584688240 /nfs/dbraw/zinc/68/82/40/584688240.db2.gz VDNGBDUNYRSTQD-MJVIPROJSA-N 0 3 245.370 2.955 20 0 BFADHN COc1ccc2c(c1)C[C@@H](C)C[C@H]2NCCF ZINC000902978620 584707802 /nfs/dbraw/zinc/70/78/02/584707802.db2.gz JCOBMAYMZLDAOV-QMTHXVAHSA-N 0 3 237.318 2.878 20 0 BFADHN C[C@@H]1C[C@H](CN2CCC[C@H](F)C2)C[C@H](C)O1 ZINC000902991634 584710467 /nfs/dbraw/zinc/71/04/67/584710467.db2.gz XPULFZNVSUQZNH-LOWDOPEQSA-N 0 3 229.339 2.624 20 0 BFADHN CC(C)c1nnc(C[NH2+]C2C[C@@H]3CCC[C@H]3C2)[n-]1 ZINC000903005063 584716721 /nfs/dbraw/zinc/71/67/21/584716721.db2.gz NPXWIMYVXAECNQ-QWRGUYRKSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CNC2C[C@@H]3CCC[C@H]3C2)[nH]1 ZINC000903005063 584716722 /nfs/dbraw/zinc/71/67/22/584716722.db2.gz NPXWIMYVXAECNQ-QWRGUYRKSA-N 0 3 248.374 2.596 20 0 BFADHN CCC[C@@H](N[C@H](C)c1cn(C)nn1)C(C)(C)C ZINC000903007763 584717757 /nfs/dbraw/zinc/71/77/57/584717757.db2.gz HHHVZIWDUWGAEZ-ZYHUDNBSSA-N 0 3 238.379 2.681 20 0 BFADHN CCc1ncc(CNC2C[C@H]3CCC[C@@H]3C2)o1 ZINC000903013133 584718031 /nfs/dbraw/zinc/71/80/31/584718031.db2.gz XIGYECBNJQTLEX-GHMZBOCLSA-N 0 3 234.343 2.905 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2N[C@H]1CCCC12CC2 ZINC000903071528 584726098 /nfs/dbraw/zinc/72/60/98/584726098.db2.gz HKTNMYIQGVOXBE-NEPJUHHUSA-N 0 3 231.343 2.709 20 0 BFADHN CSC[C@@H](C)N[C@H](c1ccccn1)C1CC1 ZINC000903098511 584726558 /nfs/dbraw/zinc/72/65/58/584726558.db2.gz PTLWITFKMZYHQH-MFKMUULPSA-N 0 3 236.384 2.874 20 0 BFADHN C[C@H](O)C[C@H](C)CN[C@H](c1ccccn1)C1CC1 ZINC000903099409 584726862 /nfs/dbraw/zinc/72/68/62/584726862.db2.gz HGHNMUFRBPAALL-HUBLWGQQSA-N 0 3 248.370 2.529 20 0 BFADHN CSC[C@H](C)N[C@@H](c1ccccn1)C1CC1 ZINC000903098510 584726921 /nfs/dbraw/zinc/72/69/21/584726921.db2.gz PTLWITFKMZYHQH-GXFFZTMASA-N 0 3 236.384 2.874 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2ccon2)[C@@H]1C ZINC000903104885 584728210 /nfs/dbraw/zinc/72/82/10/584728210.db2.gz NEJPPWJMUGAOIT-LSCVPOLPSA-N 0 3 222.332 2.835 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@@H](c1ccccn1)C1CC1 ZINC000903096754 584729116 /nfs/dbraw/zinc/72/91/16/584729116.db2.gz KDBVPZGYKWIDDX-TUKIKUTGSA-N 0 3 248.370 2.529 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CN[C@H]1CCCc2c[nH]nc21 ZINC000903093340 584729244 /nfs/dbraw/zinc/72/92/44/584729244.db2.gz IRYRMBZDGHJRLW-LPUQOGTASA-N 0 3 245.370 2.669 20 0 BFADHN CO[C@@H]1CCC[C@@H]1NC1(c2ccc(F)cc2)CC1 ZINC000903136923 584730214 /nfs/dbraw/zinc/73/02/14/584730214.db2.gz HIEABGWEXHSQIA-UONOGXRCSA-N 0 3 249.329 2.972 20 0 BFADHN Cc1cc([C@H](C)NCC[C@@H]2C[C@H]2C2CC2)nn1C ZINC000903162154 584731403 /nfs/dbraw/zinc/73/14/03/584731403.db2.gz ZBDWTZJOZTZFAH-YUTCNCBUSA-N 0 3 247.386 2.815 20 0 BFADHN C[C@H](NCC[C@@H]1CC[C@@H]2C[C@@H]21)c1ccn(C)n1 ZINC000903163547 584731990 /nfs/dbraw/zinc/73/19/90/584731990.db2.gz DFVAGSHYESAQSP-WUHRBBMRSA-N 0 3 233.359 2.507 20 0 BFADHN c1cc2c(c(CN[C@@H]3CCSC3)n1)CCCC2 ZINC000903185418 584733595 /nfs/dbraw/zinc/73/35/95/584733595.db2.gz SPKGATIBEXPFHB-GFCCVEGCSA-N 0 3 248.395 2.556 20 0 BFADHN COCC1(CN[C@H](c2ccccn2)C2CC2)CC1 ZINC000903196304 584734372 /nfs/dbraw/zinc/73/43/72/584734372.db2.gz MDZTWOAALJZUEY-AWEZNQCLSA-N 0 3 246.354 2.549 20 0 BFADHN c1cc(CCN[C@H](c2ccccn2)C2CC2)co1 ZINC000903199568 584735123 /nfs/dbraw/zinc/73/51/23/584735123.db2.gz DVNXERDAHQJTLV-HNNXBMFYSA-N 0 3 242.322 2.958 20 0 BFADHN C[C@H](CC1CCC1)NCc1cc(N(C)C)ccn1 ZINC000903202165 584735277 /nfs/dbraw/zinc/73/52/77/584735277.db2.gz YLPASPJDMXWRDS-GFCCVEGCSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@@H](CC1CCC1)NCc1cc(N(C)C)ccn1 ZINC000903202166 584735546 /nfs/dbraw/zinc/73/55/46/584735546.db2.gz YLPASPJDMXWRDS-LBPRGKRZSA-N 0 3 247.386 2.816 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1nc2c(s1)CCC2 ZINC000903191361 584735974 /nfs/dbraw/zinc/73/59/74/584735974.db2.gz UZSREBYXWYYAKM-NXEZZACHSA-N 0 3 236.384 2.910 20 0 BFADHN C/C=C/CN[C@H](C)c1cccc(COC(C)=O)c1 ZINC000903207925 584736243 /nfs/dbraw/zinc/73/62/43/584736243.db2.gz WRZFNGLNYLTXJX-ZYOFXKKJSA-N 0 3 247.338 2.976 20 0 BFADHN C[C@@H](NCC(C)(C)C1CC1)c1nccn1C ZINC000903243694 584738108 /nfs/dbraw/zinc/73/81/08/584738108.db2.gz HNQUTUGIUBMISO-SNVBAGLBSA-N 0 3 221.348 2.507 20 0 BFADHN Cc1nccnc1[C@H](C)NCC(C)(C)C1CC1 ZINC000903243355 584738283 /nfs/dbraw/zinc/73/82/83/584738283.db2.gz APQUHTNJEPIAEF-NSHDSACASA-N 0 3 233.359 2.872 20 0 BFADHN CCn1ccnc1[C@H](C)NCC(C)(C)C1CC1 ZINC000903239601 584738302 /nfs/dbraw/zinc/73/83/02/584738302.db2.gz HUTSQSLVIWMWMU-NSHDSACASA-N 0 3 235.375 2.990 20 0 BFADHN Cc1cc([C@@H](C)NCC(C)(C)C2CC2)nn1C ZINC000903244309 584738500 /nfs/dbraw/zinc/73/85/00/584738500.db2.gz QWYFLZQSSNWPOP-LLVKDONJSA-N 0 3 235.375 2.815 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1ccccn1 ZINC000903252438 584738828 /nfs/dbraw/zinc/73/88/28/584738828.db2.gz CVHXOUILLFBYTM-GHMOJZLGSA-N 0 3 216.328 2.777 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1ccccn1 ZINC000903252439 584738843 /nfs/dbraw/zinc/73/88/43/584738843.db2.gz CVHXOUILLFBYTM-MPLKPFFPSA-N 0 3 216.328 2.777 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)ccn1 ZINC000903253359 584739027 /nfs/dbraw/zinc/73/90/27/584739027.db2.gz JNEAXHBULKTRJF-GPXIKIIXSA-N 0 3 246.354 2.785 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1cccnc1 ZINC000903253405 584739134 /nfs/dbraw/zinc/73/91/34/584739134.db2.gz JTOSKQJFWONDNX-NBUWXKEQSA-N 0 3 216.328 2.777 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1nccn1C ZINC000903254741 584739599 /nfs/dbraw/zinc/73/95/99/584739599.db2.gz RVPRHJSVZOYRFV-NAWOPXAZSA-N 0 3 233.359 2.505 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000903254440 584739619 /nfs/dbraw/zinc/73/96/19/584739619.db2.gz QZBJKGNVUZEJFK-RXGFPQBGSA-N 0 3 233.359 2.598 20 0 BFADHN C[C@@H](NCC1(C2(O)CCC2)CC1)c1ccco1 ZINC000903260913 584740211 /nfs/dbraw/zinc/74/02/11/584740211.db2.gz YEPMHESRURGEKQ-LLVKDONJSA-N 0 3 235.327 2.625 20 0 BFADHN C[C@@H](N[C@@H]1CCO[C@H]1C1CC1)c1ccsc1 ZINC000903264992 584740536 /nfs/dbraw/zinc/74/05/36/584740536.db2.gz UYSBKMCUBLPEKG-WQAKAFBOSA-N 0 3 237.368 2.966 20 0 BFADHN CCCCC1(N[C@@H](C)c2nccn2C)CC1 ZINC000903309118 584747737 /nfs/dbraw/zinc/74/77/37/584747737.db2.gz DBKAVRNGJCNLNQ-NSHDSACASA-N 0 3 221.348 2.794 20 0 BFADHN C[C@@H](N[C@H](C)C1(Cl)CC1)c1cncs1 ZINC000903310785 584748235 /nfs/dbraw/zinc/74/82/35/584748235.db2.gz LJZWTIXEZCYOOP-HTQZYQBOSA-N 0 3 230.764 2.954 20 0 BFADHN CCCCC1(N[C@@H](C)c2ccnnc2)CC1 ZINC000903313108 584748587 /nfs/dbraw/zinc/74/85/87/584748587.db2.gz IIGXYRDSQJXHTI-NSHDSACASA-N 0 3 219.332 2.850 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000903317281 584749922 /nfs/dbraw/zinc/74/99/22/584749922.db2.gz LYDNHTCJSMNISA-UMSGYPCISA-N 0 3 231.343 2.624 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H]2CCC[C@H]21)c1nccn1C ZINC000903316522 584750141 /nfs/dbraw/zinc/75/01/41/584750141.db2.gz JPZZNFVYHZHRID-FVCCEPFGSA-N 0 3 233.359 2.649 20 0 BFADHN COc1ccnc([C@H](C)N[C@@H]2C[C@@H]3CCC[C@@H]23)c1 ZINC000903318132 584750529 /nfs/dbraw/zinc/75/05/29/584750529.db2.gz OFKIBSANAONMDG-HTTKSJEASA-N 0 3 246.354 2.929 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](c2ccccn2)C2CC2)CO1 ZINC000903334555 584751391 /nfs/dbraw/zinc/75/13/91/584751391.db2.gz BZWQCASOXHUXOX-GUTXKFCHSA-N 0 3 246.354 2.690 20 0 BFADHN CC1CC(N[C@@H](c2ccccn2)C2CC2)C1 ZINC000903335218 584751427 /nfs/dbraw/zinc/75/14/27/584751427.db2.gz MKUJHPVNVYNHAO-MMWSSPAHSA-N 0 3 216.328 2.921 20 0 BFADHN C[C@@H](NC[C@H]1CCC=CCCC1)c1cnn(C)n1 ZINC000903345044 584752962 /nfs/dbraw/zinc/75/29/62/584752962.db2.gz KXKDVWPIKZYFFN-OLZOCXBDSA-N 0 3 248.374 2.602 20 0 BFADHN C[C@H](NC[C@@H]1CCC=CCCC1)c1cnnn1C ZINC000903347039 584752965 /nfs/dbraw/zinc/75/29/65/584752965.db2.gz YXBBWYOWZMTKGI-QWHCGFSZSA-N 0 3 248.374 2.602 20 0 BFADHN COc1ccccc1[C@@H](C)NC1CC(CCO)C1 ZINC000903328266 584753315 /nfs/dbraw/zinc/75/33/15/584753315.db2.gz IPQJJDKMCIXTMO-PNESKVBLSA-N 0 3 249.354 2.507 20 0 BFADHN CCc1ccc([C@H](C)NCC[C@@H](O)C2CC2)o1 ZINC000903409076 584758941 /nfs/dbraw/zinc/75/89/41/584758941.db2.gz VMNWTIKQYZNCMB-GXFFZTMASA-N 0 3 237.343 2.654 20 0 BFADHN CCc1ccc([C@@H](C)NCC[C@@H](O)C2CC2)o1 ZINC000903409079 584759351 /nfs/dbraw/zinc/75/93/51/584759351.db2.gz VMNWTIKQYZNCMB-ZWNOBZJWSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1ncccc1CCN[C@H](C)c1ccco1 ZINC000903414609 584759781 /nfs/dbraw/zinc/75/97/81/584759781.db2.gz CHCHYMIGUPEWDR-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN CC(C)[C@@H](NCC[C@@H](O)C1CC1)c1ccccn1 ZINC000903412881 584759985 /nfs/dbraw/zinc/75/99/85/584759985.db2.gz YBVMTWWULFSLMS-HUUCEWRRSA-N 0 3 248.370 2.529 20 0 BFADHN COc1ccccc1[C@@H](C)NCC[C@@H](O)C1CC1 ZINC000903404152 584760987 /nfs/dbraw/zinc/76/09/87/584760987.db2.gz ISERYIZAJGIVSH-BXUZGUMPSA-N 0 3 249.354 2.507 20 0 BFADHN C[C@H](NC1(C)C(C)(C)C1(C)C)c1nccn1C ZINC000903441634 584761159 /nfs/dbraw/zinc/76/11/59/584761159.db2.gz BDWSMKBTWRLOGD-JTQLQIEISA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@@H]1COC[C@H]1N[C@@H](c1ccncc1)C1CC1 ZINC000903428925 584761605 /nfs/dbraw/zinc/76/16/05/584761605.db2.gz MSYJYGRQJSEVDF-KCPJHIHWSA-N 0 3 246.354 2.547 20 0 BFADHN C[C@@H]1[C@@H](N[C@@H](c2ccncc2)C2CC2)CO[C@H]1C ZINC000903429435 584761778 /nfs/dbraw/zinc/76/17/78/584761778.db2.gz QMWUZPIEABFQEQ-LWWSYDQCSA-N 0 3 246.354 2.546 20 0 BFADHN CC[C@H](N[C@H]1COC[C@H]1C1CC1)c1ccncc1 ZINC000903440213 584763116 /nfs/dbraw/zinc/76/31/16/584763116.db2.gz LNGLJHFWFFQVAK-KKUMJFAQSA-N 0 3 246.354 2.547 20 0 BFADHN [O-]c1cccc2c1[C@H]([NH2+]C/C=C\Cl)CC2 ZINC000903443969 584768664 /nfs/dbraw/zinc/76/86/64/584768664.db2.gz MJSGDQSKZRAYRB-RQVRIRCRSA-N 0 3 223.703 2.722 20 0 BFADHN CC1CC(CN2CCOc3cc(N)ccc3C2)C1 ZINC000903778585 584799581 /nfs/dbraw/zinc/79/95/81/584799581.db2.gz OODLXVNNOQYOSR-UHFFFAOYSA-N 0 3 246.354 2.509 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1ccnc(F)c1 ZINC000859153651 589010280 /nfs/dbraw/zinc/01/02/80/589010280.db2.gz VSBHSNXJGRARNL-PWSUYJOCSA-N 0 3 222.307 2.841 20 0 BFADHN CC(C)(C)CN1CCOc2ccc(N)cc2C1 ZINC000903947503 584829398 /nfs/dbraw/zinc/82/93/98/584829398.db2.gz YBJIQTUQGDBHTE-UHFFFAOYSA-N 0 3 234.343 2.509 20 0 BFADHN CC1(CN2CCOc3ccc(N)cc3C2)CCC1 ZINC000903944779 584829638 /nfs/dbraw/zinc/82/96/38/584829638.db2.gz NAVCFMTZXXHNRT-UHFFFAOYSA-N 0 3 246.354 2.653 20 0 BFADHN CC[C@H](C)CN1CCOc2ccc(N)cc2C1 ZINC000903944057 584829734 /nfs/dbraw/zinc/82/97/34/584829734.db2.gz FMXRLWKCFUJGEG-NSHDSACASA-N 0 3 234.343 2.509 20 0 BFADHN CC(C)CCC[C@H](C)NCc1cc(N)ccn1 ZINC000903949652 584830197 /nfs/dbraw/zinc/83/01/97/584830197.db2.gz CTXFSDSIHSEXGP-LBPRGKRZSA-N 0 3 235.375 2.968 20 0 BFADHN C[C@@H](N(C)Cc1ccnc(F)c1)C1(C)CC1 ZINC000859162058 589011902 /nfs/dbraw/zinc/01/19/02/589011902.db2.gz VUMUIKVHWCHCJZ-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN CN(Cc1ccc(N)nn1)C1CCCCCCC1 ZINC000904316264 584863559 /nfs/dbraw/zinc/86/35/59/584863559.db2.gz JMYHXBWXDOYUNA-UHFFFAOYSA-N 0 3 248.374 2.604 20 0 BFADHN CC[C@@H](C(=O)N1CCCC1(CC)CC)N(C)C ZINC000874628868 584883249 /nfs/dbraw/zinc/88/32/49/584883249.db2.gz MASKPPHEQWRSHT-LBPRGKRZSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@@H]1CCN(Cn2ccc(-c3ccsc3)n2)C1 ZINC000731660487 584928193 /nfs/dbraw/zinc/92/81/93/584928193.db2.gz ZHIMNMQIYRAPDJ-LLVKDONJSA-N 0 3 247.367 2.911 20 0 BFADHN CCCCCCN(C)CC(=O)N(CC)CCC ZINC000732269476 584935346 /nfs/dbraw/zinc/93/53/46/584935346.db2.gz TWMLPUUUMJXLPK-UHFFFAOYSA-N 0 3 242.407 2.757 20 0 BFADHN CCCCCCN(C)CC(=O)N(CC)C(C)C ZINC000732274708 584935357 /nfs/dbraw/zinc/93/53/57/584935357.db2.gz MSUFAWPPJDNMMU-UHFFFAOYSA-N 0 3 242.407 2.755 20 0 BFADHN CCc1nc(CN[C@H](CC)[C@H]2C[C@H]2C)co1 ZINC000840759281 584945463 /nfs/dbraw/zinc/94/54/63/584945463.db2.gz HOXADQMWRNAUGR-ADEWGFFLSA-N 0 3 222.332 2.761 20 0 BFADHN Cc1nonc1CN(C(C)C)C1CCCCC1 ZINC000734147446 584957333 /nfs/dbraw/zinc/95/73/33/584957333.db2.gz PTQWEAWBGGLQAG-UHFFFAOYSA-N 0 3 237.347 2.921 20 0 BFADHN Cc1nonc1CN1CC[C@H](C)[C@H]2CCCC[C@H]21 ZINC000734151739 584957646 /nfs/dbraw/zinc/95/76/46/584957646.db2.gz LKLPSWDRNSILJG-ZKYQVNSYSA-N 0 3 249.358 2.779 20 0 BFADHN C[C@@H](c1ccccc1)[C@@H](CO)NCc1ccoc1 ZINC000734846042 584968794 /nfs/dbraw/zinc/96/87/94/584968794.db2.gz NJQFPKLOQHLTIC-SWLSCSKDSA-N 0 3 245.322 2.534 20 0 BFADHN C[C@H](NCc1ccoc1)[C@@H](O)c1ccc(F)cc1 ZINC000734846117 584968817 /nfs/dbraw/zinc/96/88/17/584968817.db2.gz BQTLWDXTQYWGMB-IINYFYTJSA-N 0 3 249.285 2.630 20 0 BFADHN Cc1ccc(CN(C)C[C@@](C)(O)C(F)F)s1 ZINC000885983559 585032819 /nfs/dbraw/zinc/03/28/19/585032819.db2.gz JPCNZMPSAVZMMS-LLVKDONJSA-N 0 3 249.326 2.504 20 0 BFADHN CCN(CC)CCOC(=O)[C@]1(C)CC=CCC1 ZINC000838185678 585049125 /nfs/dbraw/zinc/04/91/25/585049125.db2.gz WWXKPXWBDASVOB-CQSZACIVSA-N 0 3 239.359 2.618 20 0 BFADHN COCCCCCN(C)Cc1c(C)noc1C ZINC000103226271 585074409 /nfs/dbraw/zinc/07/44/09/585074409.db2.gz AEUBYPVYZKCTJB-UHFFFAOYSA-N 0 3 240.347 2.540 20 0 BFADHN C[C@H]1c2c(F)cccc2CCN1C[C@@H](O)C1CC1 ZINC000838852297 585075161 /nfs/dbraw/zinc/07/51/61/585075161.db2.gz BRKFJUIXAWNOOG-IINYFYTJSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@@H]1CCN(C[C@@H](O)C2CC2)[C@H](c2ccco2)C1 ZINC000838861682 585076117 /nfs/dbraw/zinc/07/61/17/585076117.db2.gz MQVLSCAGIXSWNV-KWCYVHTRSA-N 0 3 249.354 2.824 20 0 BFADHN CC1(C)CN(C[C@H](O)C2CC2)[C@H]1c1ccccc1 ZINC000838900785 585080025 /nfs/dbraw/zinc/08/00/25/585080025.db2.gz ORNLQIBJFGUMDP-GJZGRUSLSA-N 0 3 245.366 2.840 20 0 BFADHN COCc1cc(CNC[C@@H](C)C(C)(C)C)no1 ZINC000838955117 585080603 /nfs/dbraw/zinc/08/06/03/585080603.db2.gz IBSBMBFCUKXGTM-SNVBAGLBSA-N 0 3 240.347 2.593 20 0 BFADHN CC[C@H]1CCCCCN1Cc1nonc1C ZINC000739926676 585086373 /nfs/dbraw/zinc/08/63/73/585086373.db2.gz JSFHWQQOZUCGCN-NSHDSACASA-N 0 3 223.320 2.533 20 0 BFADHN CCC[C@@H](NCc1cnn(CC)n1)C(C)(C)C ZINC000839126631 585093419 /nfs/dbraw/zinc/09/34/19/585093419.db2.gz IYPOJUWGEJQCSH-GFCCVEGCSA-N 0 3 238.379 2.602 20 0 BFADHN c1ccc(CC2CN(C[C@H]3CCC=CO3)C2)cc1 ZINC000839127813 585093755 /nfs/dbraw/zinc/09/37/55/585093755.db2.gz IIPCZQLPRGSIMV-MRXNPFEDSA-N 0 3 243.350 2.854 20 0 BFADHN CCOC[C@@H]1CCCCN1C/C=C\Cl ZINC000839180673 585096665 /nfs/dbraw/zinc/09/66/65/585096665.db2.gz WQQOARXUTDQHBI-XOIDGJRKSA-N 0 3 217.740 2.630 20 0 BFADHN CC(=O)CCN(C)[C@@H](C)Cc1cccc(F)c1 ZINC000839193844 585097798 /nfs/dbraw/zinc/09/77/98/585097798.db2.gz WNEQSLLEFSDFNV-NSHDSACASA-N 0 3 237.318 2.668 20 0 BFADHN Cc1ccc(OCCN2C[C@@H]3C[C@H]2CS3)cc1 ZINC000839201177 585099716 /nfs/dbraw/zinc/09/97/16/585099716.db2.gz DZTNITFCOWPNFS-JSGCOSHPSA-N 0 3 249.379 2.564 20 0 BFADHN COC(=O)CC(C)(C)NC/C=C\c1ccccc1 ZINC000741254799 585111796 /nfs/dbraw/zinc/11/17/96/585111796.db2.gz FKRWWUHDXULTPQ-YFHOEESVSA-N 0 3 247.338 2.631 20 0 BFADHN CCCOC(=O)[C@H](C)N1CCC[C@H]1C1CCC1 ZINC000741782844 585118423 /nfs/dbraw/zinc/11/84/23/585118423.db2.gz NMBLZVFSUUETON-AAEUAGOBSA-N 0 3 239.359 2.593 20 0 BFADHN CCCCOC(=O)[C@H](C)N1CCC[C@@H]1C1CC1 ZINC000741782939 585118782 /nfs/dbraw/zinc/11/87/82/585118782.db2.gz OSEPBQUSNYEFEV-WCQYABFASA-N 0 3 239.359 2.593 20 0 BFADHN Cc1n[nH]cc1CN[C@@H]1CCc2cc(C)ccc21 ZINC000742725163 585130751 /nfs/dbraw/zinc/13/07/51/585130751.db2.gz HJTNDHLNZNQOTO-OAHLLOKOSA-N 0 3 241.338 2.804 20 0 BFADHN Cc1cc(CN2CCC3(CCCC3)C2)on1 ZINC000743863614 585141509 /nfs/dbraw/zinc/14/15/09/585141509.db2.gz KPPGQCPNRGMPFB-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN CCCN1CCN(c2ccc(CC)cc2)CC1 ZINC000743868177 585141782 /nfs/dbraw/zinc/14/17/82/585141782.db2.gz WZEOENNDYMFQOE-UHFFFAOYSA-N 0 3 232.371 2.781 20 0 BFADHN CCCCCCC(=O)NCCN1CCC[C@@H]1C ZINC000744348109 585149421 /nfs/dbraw/zinc/14/94/21/585149421.db2.gz DROZYLOSEJWICU-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN CCC(C)(C)CC(=O)N[C@@H](CN(C)C)C(C)C ZINC000744512560 585151381 /nfs/dbraw/zinc/15/13/81/585151381.db2.gz PVMNACQENQODAR-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1cccnc1SCCN1CCCC1 ZINC000804921788 585164991 /nfs/dbraw/zinc/16/49/91/585164991.db2.gz GGXSEUGKLNUFBF-UHFFFAOYSA-N 0 3 222.357 2.578 20 0 BFADHN CC[C@@](C)(NCc1ccnn1C)c1ccccc1 ZINC000747100060 585175118 /nfs/dbraw/zinc/17/51/18/585175118.db2.gz PIUOEFSWRHSNHB-OAHLLOKOSA-N 0 3 243.354 2.835 20 0 BFADHN Cc1cccc([C@H](C)NCc2ccnn2C)c1C ZINC000747100440 585175141 /nfs/dbraw/zinc/17/51/41/585175141.db2.gz ZEWLKKMDPZJBBM-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cccc([C@@H](C)NCc2ccnn2C)c1C ZINC000747100438 585175205 /nfs/dbraw/zinc/17/52/05/585175205.db2.gz ZEWLKKMDPZJBBM-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN CCN1CCN(c2ccc(C)c(C)c2)C[C@@H]1C ZINC000747968063 585186517 /nfs/dbraw/zinc/18/65/17/585186517.db2.gz KDCSPFKUGCSCOL-AWEZNQCLSA-N 0 3 232.371 2.834 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3C[C@@H]32)cc1F ZINC000859216501 589019909 /nfs/dbraw/zinc/01/99/09/589019909.db2.gz KPXZSKAISAICSD-YPMHNXCESA-N 0 3 205.276 2.728 20 0 BFADHN C[C@@H](NCc1ccco1)[C@H](O)c1cccc(F)c1 ZINC000749113875 585199511 /nfs/dbraw/zinc/19/95/11/585199511.db2.gz CRLUFONNJAYSSR-YGRLFVJLSA-N 0 3 249.285 2.630 20 0 BFADHN CC(C)[C@H]1OCCC[C@H]1CNCc1ccoc1 ZINC000749294445 585201048 /nfs/dbraw/zinc/20/10/48/585201048.db2.gz IBSGDAXAKIJGSM-UONOGXRCSA-N 0 3 237.343 2.820 20 0 BFADHN C[C@@H]1CCN(C[C@@H](O)c2cccc(F)c2)[C@H]1C ZINC000752089673 585242111 /nfs/dbraw/zinc/24/21/11/585242111.db2.gz XKLYSLUUHXXRNA-UHIISALHSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H]1CCN(C[C@H](O)c2cccc(F)c2)[C@@H]1C ZINC000752089668 585242153 /nfs/dbraw/zinc/24/21/53/585242153.db2.gz XKLYSLUUHXXRNA-GYSYKLTISA-N 0 3 237.318 2.589 20 0 BFADHN CCC(=O)CCN1Cc2ccccc2[C@H](C)C1 ZINC000753696209 585266350 /nfs/dbraw/zinc/26/63/50/585266350.db2.gz HUCRQKSOOAKENB-GFCCVEGCSA-N 0 3 231.339 2.975 20 0 BFADHN CC(C)CCN(C)Cc1ccnc(N(C)C)c1 ZINC000754010397 585275059 /nfs/dbraw/zinc/27/50/59/585275059.db2.gz HZPHCPVSYUREGH-UHFFFAOYSA-N 0 3 235.375 2.626 20 0 BFADHN C[C@@H]1CCCN(Cc2ccnc(N(C)C)c2)[C@H]1C ZINC000754043518 585276133 /nfs/dbraw/zinc/27/61/33/585276133.db2.gz SGGFPCWZWONQNF-OLZOCXBDSA-N 0 3 247.386 2.768 20 0 BFADHN CN(C)c1cc(CN2CCCC(C)(C)C2)ccn1 ZINC000754043609 585276267 /nfs/dbraw/zinc/27/62/67/585276267.db2.gz NNIFBFNOZOQUKP-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1ccnc(N(C)C)c1 ZINC000754060780 585276849 /nfs/dbraw/zinc/27/68/49/585276849.db2.gz IWOAMMYPLYCFIP-RYUDHWBXSA-N 0 3 233.359 2.520 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1ccnc(N(C)C)c1 ZINC000754060782 585276977 /nfs/dbraw/zinc/27/69/77/585276977.db2.gz IWOAMMYPLYCFIP-VXGBXAGGSA-N 0 3 233.359 2.520 20 0 BFADHN CC[C@@H]1CC(=O)N(CN(C)[C@H](C)C(C)(C)C)C1 ZINC000755914982 585310453 /nfs/dbraw/zinc/31/04/53/585310453.db2.gz WSMOJJLNEUGJHW-VXGBXAGGSA-N 0 3 240.391 2.569 20 0 BFADHN CC[C@@H]1CC(=O)N(CN(C)[C@@H](C)C(C)(C)C)C1 ZINC000755914979 585310484 /nfs/dbraw/zinc/31/04/84/585310484.db2.gz WSMOJJLNEUGJHW-NWDGAFQWSA-N 0 3 240.391 2.569 20 0 BFADHN Clc1cccc(OCCN2CC[C@@H]3C[C@@H]32)c1 ZINC000839535615 585329384 /nfs/dbraw/zinc/32/93/84/585329384.db2.gz YUQQJXHQUUAHNV-MFKMUULPSA-N 0 3 237.730 2.813 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1ccnc(N(C)C)c1 ZINC000839658264 585333784 /nfs/dbraw/zinc/33/37/84/585333784.db2.gz KMODMCWZVKZDEZ-GFCCVEGCSA-N 0 3 247.386 2.768 20 0 BFADHN COC1CC(N[C@@H](CC(C)C)c2ccccn2)C1 ZINC000757678751 585344134 /nfs/dbraw/zinc/34/41/34/585344134.db2.gz HKPPXFQBZAKWIE-PIMMBPRGSA-N 0 3 248.370 2.936 20 0 BFADHN Cc1ccc(CNC2COC(C)(C)OC2)cc1C ZINC000758012965 585348223 /nfs/dbraw/zinc/34/82/23/585348223.db2.gz ZSIKAIDCQADJMM-UHFFFAOYSA-N 0 3 249.354 2.545 20 0 BFADHN CC(C)(CNCc1ccoc1)[C@H]1CCCCO1 ZINC000758496411 585356597 /nfs/dbraw/zinc/35/65/97/585356597.db2.gz CBPMAGYQSDSFAD-CYBMUJFWSA-N 0 3 237.343 2.965 20 0 BFADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1CCF ZINC000759343842 585369483 /nfs/dbraw/zinc/36/94/83/585369483.db2.gz AXXZJKFYPFTVAA-OCCSQVGLSA-N 0 3 221.319 2.909 20 0 BFADHN CCN(CCF)[C@H](C)Cc1ccc(OC)cc1 ZINC000759348771 585370412 /nfs/dbraw/zinc/37/04/12/585370412.db2.gz SSEINTSKRFBLQK-GFCCVEGCSA-N 0 3 239.334 2.918 20 0 BFADHN C[C@@H]1CCN(Cc2ccnc(F)c2)C[C@@H]1C ZINC000859222988 589021641 /nfs/dbraw/zinc/02/16/41/589021641.db2.gz ZAETUEKHFMXKQN-MNOVXSKESA-N 0 3 222.307 2.699 20 0 BFADHN FC(F)(F)[C@H]1CCN([C@@H]2C=CCCC2)C1 ZINC000761049380 585404198 /nfs/dbraw/zinc/40/41/98/585404198.db2.gz PVICADUIZVNQDV-VHSXEESVSA-N 0 3 219.250 2.979 20 0 BFADHN CC(C)=CCN1CC[C@@H](C(F)(F)F)C1 ZINC000761056672 585404588 /nfs/dbraw/zinc/40/45/88/585404588.db2.gz ZERBUHRGGUHQJZ-SECBINFHSA-N 0 3 207.239 2.837 20 0 BFADHN Cc1cc(CN2CCN(C)[C@@H](C)C2)c(C)s1 ZINC000761066964 585404831 /nfs/dbraw/zinc/40/48/31/585404831.db2.gz DOUVUDQCMYHHTD-JTQLQIEISA-N 0 3 238.400 2.501 20 0 BFADHN CC[C@H](c1ccccc1)N1CCN(C)[C@H](C)C1 ZINC000761070858 585405347 /nfs/dbraw/zinc/40/53/47/585405347.db2.gz AMKUYFFBZIYGIQ-UKRRQHHQSA-N 0 3 232.371 2.774 20 0 BFADHN C[C@H]1CN(CCCC2CCCC2)CCN1C ZINC000761077981 585405925 /nfs/dbraw/zinc/40/59/25/585405925.db2.gz PZZHPIXOUPLQHA-ZDUSSCGKSA-N 0 3 224.392 2.593 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCN(C)[C@@H](C)C1 ZINC000761077471 585406167 /nfs/dbraw/zinc/40/61/67/585406167.db2.gz SYVGBURXMGYRNE-RYUDHWBXSA-N 0 3 236.334 2.523 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@@H]2C[C@@H]21 ZINC000761081252 585406711 /nfs/dbraw/zinc/40/67/11/585406711.db2.gz FTKYWDBLDIYCJT-XWIASGKRSA-N 0 3 205.276 2.981 20 0 BFADHN Cc1ccccc1[C@H](C)N1CCN(C)[C@H](C)C1 ZINC000761079850 585406781 /nfs/dbraw/zinc/40/67/81/585406781.db2.gz GBWLNAGSJRUOHE-KGLIPLIRSA-N 0 3 232.371 2.692 20 0 BFADHN Clc1ccccc1CCN1CC[C@H]2C[C@H]21 ZINC000761089469 585408117 /nfs/dbraw/zinc/40/81/17/585408117.db2.gz IZLKDFDJKYSUGC-WCQYABFASA-N 0 3 221.731 2.977 20 0 BFADHN Cc1cc(C)cc(OCCN2CC[C@H]3C[C@H]32)c1 ZINC000761090293 585408129 /nfs/dbraw/zinc/40/81/29/585408129.db2.gz HZCCNKOSWGDPDA-DZGCQCFKSA-N 0 3 231.339 2.776 20 0 BFADHN C[C@H]1CN(Cc2ccnc(F)c2)CC[C@@H]1C ZINC000859222989 589021842 /nfs/dbraw/zinc/02/18/42/589021842.db2.gz ZAETUEKHFMXKQN-QWRGUYRKSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@@H]1CCN(Cc2ccnc(F)c2)C[C@H]1C ZINC000859222987 589021891 /nfs/dbraw/zinc/02/18/91/589021891.db2.gz ZAETUEKHFMXKQN-GHMZBOCLSA-N 0 3 222.307 2.699 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1ccnc(F)c1 ZINC000859226494 589022224 /nfs/dbraw/zinc/02/22/24/589022224.db2.gz QVDOXQQFJZCTPH-CMPLNLGQSA-N 0 3 222.307 2.984 20 0 BFADHN c1ccc2c(c1)ccnc2CN[C@H]1CCSC1 ZINC000765406594 585485581 /nfs/dbraw/zinc/48/55/81/585485581.db2.gz VYVZGAHWEGVPBO-LBPRGKRZSA-N 0 3 244.363 2.830 20 0 BFADHN CO[C@@H]1CCC[C@@H]1N[C@H]1CCc2ccc(F)cc21 ZINC000765429235 585486319 /nfs/dbraw/zinc/48/63/19/585486319.db2.gz ZCRPETSVGBJSFH-SOUVJXGZSA-N 0 3 249.329 2.970 20 0 BFADHN COC[C@H](C)Nc1ccnc2cc(OC)ccc21 ZINC000765450196 585486824 /nfs/dbraw/zinc/48/68/24/585486824.db2.gz NBFZFDKVXWEVNE-JTQLQIEISA-N 0 3 246.310 2.690 20 0 BFADHN CN1CC[C@H](N[C@@H]2CC(C)(C)Cc3occc32)C1 ZINC000765772911 585492543 /nfs/dbraw/zinc/49/25/43/585492543.db2.gz XRHVKYPKQVNHSZ-WCQYABFASA-N 0 3 248.370 2.587 20 0 BFADHN CCc1cc(CN[C@H]2CCc3ccccc32)n[nH]1 ZINC000765994745 585495466 /nfs/dbraw/zinc/49/54/66/585495466.db2.gz RNVZNMJWOSFHTE-HNNXBMFYSA-N 0 3 241.338 2.749 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cccc3nsnc32)C1 ZINC000766074435 585497291 /nfs/dbraw/zinc/49/72/91/585497291.db2.gz HLHZDTUHRDANNH-UWVGGRQHSA-N 0 3 247.367 2.922 20 0 BFADHN COC[C@@H](NCc1c(C)cccc1F)C(C)C ZINC000766213735 585498987 /nfs/dbraw/zinc/49/89/87/585498987.db2.gz QORKNUCEFSEWIN-CQSZACIVSA-N 0 3 239.334 2.895 20 0 BFADHN CC/C=C/CCN(C)Cc1cccc(C(N)=O)c1 ZINC000767303726 585516414 /nfs/dbraw/zinc/51/64/14/585516414.db2.gz JLVQAJMGQNTJMU-SNAWJCMRSA-N 0 3 246.354 2.574 20 0 BFADHN O=C(CCN1CCCc2sccc2C1)C1CC1 ZINC000767372204 585518063 /nfs/dbraw/zinc/51/80/63/585518063.db2.gz AXQNABLSFFUBJC-UHFFFAOYSA-N 0 3 249.379 2.866 20 0 BFADHN Cc1cc(CNC2CCC(C)(O)CC2)c(C)o1 ZINC000767375427 585518546 /nfs/dbraw/zinc/51/85/46/585518546.db2.gz NGRANZFBCBJUJM-UHFFFAOYSA-N 0 3 237.343 2.680 20 0 BFADHN Cc1cc(CNCCc2ccncc2)c(C)o1 ZINC000767382964 585518788 /nfs/dbraw/zinc/51/87/88/585518788.db2.gz YPFYCQBXUIMPLZ-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CCCCC[C@@H]1CCCN1c1cc(C)nc(N)n1 ZINC000767814679 585526309 /nfs/dbraw/zinc/52/63/09/585526309.db2.gz ONDNSCXCRHLCNP-GFCCVEGCSA-N 0 3 248.374 2.916 20 0 BFADHN CN(CCCF)[C@H]1C[C@H]1c1ccccc1 ZINC000767906588 585527279 /nfs/dbraw/zinc/52/72/79/585527279.db2.gz UZSCPPRDHBHHIM-STQMWFEESA-N 0 3 207.292 2.834 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1Cc1nonc1C ZINC000767907608 585528214 /nfs/dbraw/zinc/52/82/14/585528214.db2.gz PQCHUFSSRWCVFU-GXFFZTMASA-N 0 3 237.347 2.779 20 0 BFADHN COc1ccccc1CNC1CC(C(F)F)C1 ZINC000768666254 585541681 /nfs/dbraw/zinc/54/16/81/585541681.db2.gz BLNYOTAWJFVZEC-UHFFFAOYSA-N 0 3 241.281 2.829 20 0 BFADHN CC(C)c1cc(CNC2CC(C(F)F)C2)on1 ZINC000768691567 585541897 /nfs/dbraw/zinc/54/18/97/585541897.db2.gz UUAOHIBEQQBREZ-UHFFFAOYSA-N 0 3 244.285 2.931 20 0 BFADHN COCCCN(C)Cc1cncc2ccccc21 ZINC000769139614 585549878 /nfs/dbraw/zinc/54/98/78/585549878.db2.gz MBCDKURQSPMRSR-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN C[C@@H]1CN(Cc2ccc3c(c2)COC3)CCS1 ZINC000769319885 585553794 /nfs/dbraw/zinc/55/37/94/585553794.db2.gz DBZXDSZUQLZDFP-LLVKDONJSA-N 0 3 249.379 2.654 20 0 BFADHN c1ccc2[nH]c(CN3CCC[C@@H]3C3CC3)nc2c1 ZINC000769329816 585554088 /nfs/dbraw/zinc/55/40/88/585554088.db2.gz MWASTTXVXQKNRQ-CQSZACIVSA-N 0 3 241.338 2.937 20 0 BFADHN Cc1cccc2c1CCN(Cc1ccn(C)c1)C2 ZINC000769337980 585554279 /nfs/dbraw/zinc/55/42/79/585554279.db2.gz JKVINUSFZDCWEB-UHFFFAOYSA-N 0 3 240.350 2.892 20 0 BFADHN c1cc(CN2CCCC3(CCOCC3)C2)co1 ZINC000769447434 585557006 /nfs/dbraw/zinc/55/70/06/585557006.db2.gz VMECKYXDIIGKOP-UHFFFAOYSA-N 0 3 235.327 2.672 20 0 BFADHN c1cc(CN2CCC(OCC3CC3)CC2)co1 ZINC000769502145 585558451 /nfs/dbraw/zinc/55/84/51/585558451.db2.gz VHWHVCBFFORAKM-UHFFFAOYSA-N 0 3 235.327 2.671 20 0 BFADHN CCc1ccccc1CCN1CCOCC[C@@H]1C ZINC000769786505 585563298 /nfs/dbraw/zinc/56/32/98/585563298.db2.gz JMHGEJWXMSIVGF-AWEZNQCLSA-N 0 3 247.382 2.902 20 0 BFADHN CCc1noc(C)c1[C@@H](C)NCCCCOC ZINC000769871945 585565975 /nfs/dbraw/zinc/56/59/75/585565975.db2.gz DEVDMDLTDICZBF-SNVBAGLBSA-N 0 3 240.347 2.623 20 0 BFADHN CN(C)c1cc(CN2CCCC23CCC3)ccn1 ZINC000769998863 585568920 /nfs/dbraw/zinc/56/89/20/585568920.db2.gz CJEWYHCFYRPOJW-UHFFFAOYSA-N 0 3 245.370 2.666 20 0 BFADHN CCCCCCN(C)C1(C(=O)OC)CCCC1 ZINC000770239611 585572239 /nfs/dbraw/zinc/57/22/39/585572239.db2.gz LQECLKIHISQENR-UHFFFAOYSA-N 0 3 241.375 2.984 20 0 BFADHN CCC[C@H](C)CC(=O)OCCN1CCCCC1 ZINC000770361177 585573050 /nfs/dbraw/zinc/57/30/50/585573050.db2.gz WRPIVODXTKCKDE-ZDUSSCGKSA-N 0 3 241.375 2.842 20 0 BFADHN CCCCC[C@H](CC)C(=O)N[C@@H](C)CN(C)C ZINC000805426043 585592141 /nfs/dbraw/zinc/59/21/41/585592141.db2.gz MBNPQGDSRJDJQC-STQMWFEESA-N 0 3 242.407 2.659 20 0 BFADHN CC(C)CCCCCC(=O)N[C@@H](C)CN(C)C ZINC000805424268 585592164 /nfs/dbraw/zinc/59/21/64/585592164.db2.gz UWXKACFPRXEEKT-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN CCN(CC)CCOC(=O)[C@@H](C)C(C)(C)C ZINC000772135965 585609113 /nfs/dbraw/zinc/60/91/13/585609113.db2.gz IMQGHQQQNQIFJC-LLVKDONJSA-N 0 3 229.364 2.554 20 0 BFADHN CCC(CC)CC(=O)OCCN(CC)CC ZINC000772188952 585609867 /nfs/dbraw/zinc/60/98/67/585609867.db2.gz VSYGGAXJVIIRAC-UHFFFAOYSA-N 0 3 229.364 2.698 20 0 BFADHN CCC[C@@H](C(=O)OCCN(CC)CC)C(C)C ZINC000772232574 585610478 /nfs/dbraw/zinc/61/04/78/585610478.db2.gz TYDYHQVDTQTDMG-CYBMUJFWSA-N 0 3 243.391 2.944 20 0 BFADHN CCCCOC(=O)[C@H](C)N1[C@H](C)CC[C@H]1CC ZINC000772346476 585613117 /nfs/dbraw/zinc/61/31/17/585613117.db2.gz NMMPJCTWKSOZSG-FRRDWIJNSA-N 0 3 241.375 2.981 20 0 BFADHN CCC[C@@H](C)NCc1nc2cc(F)ccc2n1C ZINC000773000523 585629217 /nfs/dbraw/zinc/62/92/17/585629217.db2.gz QGQNLGFGDBQPDF-SNVBAGLBSA-N 0 3 249.333 2.991 20 0 BFADHN CC(=O)CCN(C)[C@H](C)Cc1ccccc1C ZINC000773935084 585646696 /nfs/dbraw/zinc/64/66/96/585646696.db2.gz OXCUVPSRDKMMCW-CYBMUJFWSA-N 0 3 233.355 2.837 20 0 BFADHN CC(=O)CCN(C)[C@@H](C)Cc1ccccc1C ZINC000773935086 585646751 /nfs/dbraw/zinc/64/67/51/585646751.db2.gz OXCUVPSRDKMMCW-ZDUSSCGKSA-N 0 3 233.355 2.837 20 0 BFADHN Cc1cccc(C)c1CN[C@H](C)c1ccnn1C ZINC000774257955 585655031 /nfs/dbraw/zinc/65/50/31/585655031.db2.gz QCWNRFUXQXANTR-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1ccc2c(n1)CCCC2 ZINC000774436990 585659187 /nfs/dbraw/zinc/65/91/87/585659187.db2.gz GELFSOLVRVKRRL-RISCZKNCSA-N 0 3 230.355 2.849 20 0 BFADHN Cc1cc([C@@H]2CCCN2C[C@@H]2CCC=CO2)on1 ZINC000774841716 585667148 /nfs/dbraw/zinc/66/71/48/585667148.db2.gz WKVWREDOWHQPHI-STQMWFEESA-N 0 3 248.326 2.813 20 0 BFADHN CCN(CCC(F)(F)F)C[C@@H]1CCC=CO1 ZINC000774869883 585668099 /nfs/dbraw/zinc/66/80/99/585668099.db2.gz OLAFLHIVWXKWOY-JTQLQIEISA-N 0 3 237.265 2.953 20 0 BFADHN c1ccc(C[C@@H]2CCN2C[C@@H]2CCC=CO2)cc1 ZINC000774901953 585668767 /nfs/dbraw/zinc/66/87/67/585668767.db2.gz BBILOEFRKJRFNJ-HOTGVXAUSA-N 0 3 243.350 2.996 20 0 BFADHN c1c2ccccc2[nH]c1CNC[C@H]1CCC=CO1 ZINC000774989685 585670168 /nfs/dbraw/zinc/67/01/68/585670168.db2.gz JVYRNKAUGAOGQH-CQSZACIVSA-N 0 3 242.322 2.950 20 0 BFADHN Cc1cccc(F)c1CNC[C@@H]1CCC=CO1 ZINC000775028174 585670523 /nfs/dbraw/zinc/67/05/23/585670523.db2.gz CPGOULSOULNWTN-LBPRGKRZSA-N 0 3 235.302 2.916 20 0 BFADHN FC(F)(F)C[C@H]1CCN(C[C@@H]2CCC=CO2)C1 ZINC000775135698 585672521 /nfs/dbraw/zinc/67/25/21/585672521.db2.gz KZYVWODDVXMBIJ-MNOVXSKESA-N 0 3 249.276 2.953 20 0 BFADHN CC(C)[C@@H](NCC1(O)CCCC1)c1ccccn1 ZINC000775445041 585677754 /nfs/dbraw/zinc/67/77/54/585677754.db2.gz DYAMKMGLCUKYKZ-CQSZACIVSA-N 0 3 248.370 2.673 20 0 BFADHN CC(C)[C@H](NC[C@@H]1CCCO1)c1ccccn1 ZINC000775447026 585678073 /nfs/dbraw/zinc/67/80/73/585678073.db2.gz VQOAMMWUZFMEMV-JSGCOSHPSA-N 0 3 234.343 2.547 20 0 BFADHN CCCCC[C@@H](CC)C(=O)NC[C@H](C)N(C)C ZINC000775656617 585682044 /nfs/dbraw/zinc/68/20/44/585682044.db2.gz XOHSWEZDVRJDMM-QWHCGFSZSA-N 0 3 242.407 2.659 20 0 BFADHN CN(Cc1ccc(CF)cc1)C[C@@H]1CCCO1 ZINC000775730832 585683772 /nfs/dbraw/zinc/68/37/72/585683772.db2.gz JVAXBJWDGRMBIF-AWEZNQCLSA-N 0 3 237.318 2.767 20 0 BFADHN c1cc(CN2CCOCC3(CCCC3)C2)co1 ZINC000776019303 585689529 /nfs/dbraw/zinc/68/95/29/585689529.db2.gz ZNDGNLVXCKHTGT-UHFFFAOYSA-N 0 3 235.327 2.672 20 0 BFADHN Cc1ccc(SCCN2CCCC2)nc1 ZINC000776432396 585698795 /nfs/dbraw/zinc/69/87/95/585698795.db2.gz ALHANVBUDYRZAG-UHFFFAOYSA-N 0 3 222.357 2.578 20 0 BFADHN CC(C)CC[C@@H]1CCCCN1Cn1cnnc1 ZINC000776764943 585705305 /nfs/dbraw/zinc/70/53/05/585705305.db2.gz UBSDYSQCSGHLAT-ZDUSSCGKSA-N 0 3 236.363 2.526 20 0 BFADHN CC(C)C[C@H]1C[C@@H](NCc2ccoc2)CCO1 ZINC000776955232 585706871 /nfs/dbraw/zinc/70/68/71/585706871.db2.gz VSKYXRVAZYMNBA-KBPBESRZSA-N 0 3 237.343 2.963 20 0 BFADHN FCc1ccc(CN2CCSCC2)cc1 ZINC000777557095 585715362 /nfs/dbraw/zinc/71/53/62/585715362.db2.gz DMLLPQVBJIAMJO-UHFFFAOYSA-N 0 3 225.332 2.705 20 0 BFADHN Fc1ccc(CN[C@@H]2CCc3ccccc32)cn1 ZINC000778152232 585726280 /nfs/dbraw/zinc/72/62/80/585726280.db2.gz QPKGCDCHYKOPDA-CQSZACIVSA-N 0 3 242.297 2.998 20 0 BFADHN C[C@H]1CCN(CCc2cccs2)C[C@H]1F ZINC000778239231 585728636 /nfs/dbraw/zinc/72/86/36/585728636.db2.gz RJBMLVXXCCMGGI-CMPLNLGQSA-N 0 3 227.348 2.971 20 0 BFADHN C[C@H]1CCN(CCCCCCCO)C[C@H]1F ZINC000778235772 585728775 /nfs/dbraw/zinc/72/87/75/585728775.db2.gz IVPIVXIWMSVQDT-QWHCGFSZSA-N 0 3 231.355 2.609 20 0 BFADHN CCCOC(=O)C(C)(C)N(C)C1CCCC1 ZINC000779123094 585745331 /nfs/dbraw/zinc/74/53/31/585745331.db2.gz VQSCVGFHQDAIKT-UHFFFAOYSA-N 0 3 227.348 2.593 20 0 BFADHN CCCCN(Cc1ccc(F)nc1)C1CC1 ZINC000779154397 585746217 /nfs/dbraw/zinc/74/62/17/585746217.db2.gz UTSJSQCDJMZSJR-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN CC[C@H]1CN(C[C@H]2CCc3ccccc32)CCO1 ZINC000780288158 585777009 /nfs/dbraw/zinc/77/70/09/585777009.db2.gz SITRHXBRQHQRSE-CABCVRRESA-N 0 3 245.366 2.827 20 0 BFADHN C[C@H]1CC[C@@H](NCc2cn3cccc(F)c3n2)C1 ZINC000780575935 585781730 /nfs/dbraw/zinc/78/17/30/585781730.db2.gz HDLRWLSTYGLUSK-WDEREUQCSA-N 0 3 247.317 2.752 20 0 BFADHN C[C@@H](C1CC1)N1CCO[C@@H](Cc2ccccc2)C1 ZINC000782192075 585811783 /nfs/dbraw/zinc/81/17/83/585811783.db2.gz SFRVPKUONPPDFN-BBRMVZONSA-N 0 3 245.366 2.728 20 0 BFADHN FCc1ccc(CN2CCOCC3(CC3)C2)cc1 ZINC000782534851 585819560 /nfs/dbraw/zinc/81/95/60/585819560.db2.gz GQUZPFGOPPBKOC-UHFFFAOYSA-N 0 3 249.329 2.769 20 0 BFADHN Cc1cccc(C)c1NC(=O)CN[C@H]1CC[C@H]1C ZINC000783216208 585831051 /nfs/dbraw/zinc/83/10/51/585831051.db2.gz QOSNDKPPNDQXCR-MFKMUULPSA-N 0 3 246.354 2.630 20 0 BFADHN CCCOc1ncccc1CN[C@@H]1CC[C@H]1C ZINC000783227077 585831486 /nfs/dbraw/zinc/83/14/86/585831486.db2.gz SPRKJUZHEVNBAR-DGCLKSJQSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1nc2cc(F)ccc2n1C ZINC000783252572 585832474 /nfs/dbraw/zinc/83/24/74/585832474.db2.gz SOILUOYRCQXQBR-ONGXEEELSA-N 0 3 247.317 2.601 20 0 BFADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CC[C@H]1C)CCO2 ZINC000783293551 585833370 /nfs/dbraw/zinc/83/33/70/585833370.db2.gz HHEUKFOCCLEGPZ-HONMWMINSA-N 0 3 247.338 2.907 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@@H]1CCC=CO1 ZINC000783309162 585833577 /nfs/dbraw/zinc/83/35/77/585833577.db2.gz HKRWUUKRBCOCFQ-OCCSQVGLSA-N 0 3 246.354 2.987 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@@H]1CCC=CO1 ZINC000783308982 585833732 /nfs/dbraw/zinc/83/37/32/585833732.db2.gz HKRWUUKRBCOCFQ-JSGCOSHPSA-N 0 3 246.354 2.987 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@H]2C[C@H]21 ZINC000784224384 585852950 /nfs/dbraw/zinc/85/29/50/585852950.db2.gz VHVYKISYFHPJEM-GXFFZTMASA-N 0 3 205.276 2.728 20 0 BFADHN Cc1ccc(CCCN2CCN(C)[C@H](C)C2)cc1 ZINC000784221727 585853003 /nfs/dbraw/zinc/85/30/03/585853003.db2.gz GAHYZVUNBRFOQG-OAHLLOKOSA-N 0 3 246.398 2.564 20 0 BFADHN Cc1cc(CN[C@]2(C)CCO[C@H]2C2CC2)c(C)o1 ZINC000784683213 585865531 /nfs/dbraw/zinc/86/55/31/585865531.db2.gz VHSFRLAQCPQYJK-LSDHHAIUSA-N 0 3 249.354 2.944 20 0 BFADHN Cc1nc(F)ccc1CN[C@@H]1CC12CCCC2 ZINC000785519566 585875399 /nfs/dbraw/zinc/87/53/99/585875399.db2.gz DHZBJOHPBBOPGG-GFCCVEGCSA-N 0 3 234.318 2.951 20 0 BFADHN Cc1nc(F)ccc1CN1[C@@H](C)CC[C@@H]1C ZINC000787209863 585903372 /nfs/dbraw/zinc/90/33/72/585903372.db2.gz SVVMNSSISRNAPC-UWVGGRQHSA-N 0 3 222.307 2.902 20 0 BFADHN C[C@@H]1C[C@@H](C(C)(C)C)CCN1CC1OCCO1 ZINC000805839940 585910131 /nfs/dbraw/zinc/91/01/31/585910131.db2.gz VUYIRPMGUHLYRJ-NEPJUHHUSA-N 0 3 241.375 2.506 20 0 BFADHN Cc1cccc2c1CCN(C[C@@H]1CC[C@H](C)O1)C2 ZINC000789318099 585939597 /nfs/dbraw/zinc/93/95/97/585939597.db2.gz FNLPWVWUWMSVNF-ZFWWWQNUSA-N 0 3 245.366 2.921 20 0 BFADHN Cc1cccc2c1CCN(CCC[C@@H](C)O)C2 ZINC000789318090 585939637 /nfs/dbraw/zinc/93/96/37/585939637.db2.gz FIUVBUYQUBTUCR-CYBMUJFWSA-N 0 3 233.355 2.514 20 0 BFADHN CCN(CCc1ccccn1)C[C@@H]1CC[C@H](C)O1 ZINC000789335787 585940034 /nfs/dbraw/zinc/94/00/34/585940034.db2.gz LYONBZQLIIECKF-ZFWWWQNUSA-N 0 3 248.370 2.514 20 0 BFADHN CCCCCCN(C)Cc1cnn(C)c1C ZINC000790446034 585965076 /nfs/dbraw/zinc/96/50/76/585965076.db2.gz YVTASKYWLPKPQV-UHFFFAOYSA-N 0 3 223.364 2.741 20 0 BFADHN O=C(OC1CC1)c1ccc(CN2CCCC2)cc1 ZINC000805925892 585966119 /nfs/dbraw/zinc/96/61/19/585966119.db2.gz SSNXIKSJWSKULY-UHFFFAOYSA-N 0 3 245.322 2.602 20 0 BFADHN Cc1ccc([C@H](O)CN2[C@H](C)C[C@@H]2C)cc1 ZINC000791568319 585984450 /nfs/dbraw/zinc/98/44/50/585984450.db2.gz NTRRQXRBAZXIOC-MBNYWOFBSA-N 0 3 219.328 2.511 20 0 BFADHN Cc1ccccc1OCCN1[C@@H](C)C[C@@H]1C ZINC000791570163 585984452 /nfs/dbraw/zinc/98/44/52/585984452.db2.gz ZROKXVSGCKXCPX-STQMWFEESA-N 0 3 219.328 2.857 20 0 BFADHN C[C@H]1[C@@H](C(F)(F)F)CCN1CCC(=O)C1CC1 ZINC000791585143 585984980 /nfs/dbraw/zinc/98/49/80/585984980.db2.gz RIUSNHASAZQDOH-WPRPVWTQSA-N 0 3 249.276 2.628 20 0 BFADHN COCCN(C/C=C\Cl)[C@@H]1CC[C@@H](C)C1 ZINC000792465787 586000794 /nfs/dbraw/zinc/00/07/94/586000794.db2.gz QDGHSLLEWISFEN-BCIKPAMSSA-N 0 3 231.767 2.876 20 0 BFADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1C[C@H]1CCC=CO1 ZINC000793230057 586014747 /nfs/dbraw/zinc/01/47/47/586014747.db2.gz DBOPKPGAZLZOPV-MXWKQRLJSA-N 0 3 249.276 2.952 20 0 BFADHN C[C@@H]1[C@H](C(F)(F)F)CCN1C[C@H]1CCC=CO1 ZINC000793230056 586014907 /nfs/dbraw/zinc/01/49/07/586014907.db2.gz DBOPKPGAZLZOPV-GMTAPVOTSA-N 0 3 249.276 2.952 20 0 BFADHN CO[C@]1(C)CCCN(Cc2ccoc2)CC1 ZINC000793339341 586016955 /nfs/dbraw/zinc/01/69/55/586016955.db2.gz IRYXWBBCGAFRGM-CYBMUJFWSA-N 0 3 223.316 2.671 20 0 BFADHN Cc1cc([C@H](C)NCc2ccc(C)cc2)n(C)n1 ZINC000793514987 586021260 /nfs/dbraw/zinc/02/12/60/586021260.db2.gz GVJNAUWMAPIAGG-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cc([C@@H](C)NCc2sccc2C)n(C)n1 ZINC000793530943 586021863 /nfs/dbraw/zinc/02/18/63/586021863.db2.gz ZHSTYBXIVMMGGS-LLVKDONJSA-N 0 3 249.383 2.949 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)CC(F)(F)F)n(C)n1 ZINC000793552813 586022788 /nfs/dbraw/zinc/02/27/88/586022788.db2.gz LLQMGKOAPJMALL-RKDXNWHRSA-N 0 3 249.280 2.720 20 0 BFADHN Fc1cc(CN(CC2CC2)C2CCC2)ccn1 ZINC000859289756 589028339 /nfs/dbraw/zinc/02/83/39/589028339.db2.gz OJNLQSZOFBKGAD-UHFFFAOYSA-N 0 3 234.318 2.985 20 0 BFADHN CC[C@H]1CCN1C[C@@H](O)c1ccc(Cl)cc1 ZINC000795647547 586089924 /nfs/dbraw/zinc/08/99/24/586089924.db2.gz FBUHNXBPMBBNSS-QWHCGFSZSA-N 0 3 239.746 2.858 20 0 BFADHN CC[C@@H]1CCN1C[C@@H](O)c1ccccc1C ZINC000795649760 586090054 /nfs/dbraw/zinc/09/00/54/586090054.db2.gz QRHBNATWJYAAOX-TZMCWYRMSA-N 0 3 219.328 2.513 20 0 BFADHN CC1(C)CC[C@H](CN2CCC(F)(F)CC2)O1 ZINC000796541762 586106469 /nfs/dbraw/zinc/10/64/69/586106469.db2.gz ZIZSMBDJFNEGNY-SNVBAGLBSA-N 0 3 233.302 2.675 20 0 BFADHN CCCCOC(=O)CCN1CCC(C)=C(C)C1 ZINC000796593608 586108236 /nfs/dbraw/zinc/10/82/36/586108236.db2.gz MRIBHAUDECRQEF-UHFFFAOYSA-N 0 3 239.359 2.762 20 0 BFADHN CC1=C[C@@H](C)CN(C[C@H](O)c2ccc(F)cc2)C1 ZINC000797526907 586128471 /nfs/dbraw/zinc/12/84/71/586128471.db2.gz ZKHFQIAAEUOSLV-ABAIWWIYSA-N 0 3 249.329 2.757 20 0 BFADHN Cc1cc(C)cc([C@@H](O)CN2CCC=C(C)C2)c1 ZINC000797999069 586135487 /nfs/dbraw/zinc/13/54/87/586135487.db2.gz OZBJFDRXIYDFQE-INIZCTEOSA-N 0 3 245.366 2.989 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CC[C@H]1C1CC1 ZINC000798005547 586135747 /nfs/dbraw/zinc/13/57/47/586135747.db2.gz WUWZHYNUGYDCAV-DRZSPHRISA-N 0 3 231.343 2.624 20 0 BFADHN CC[C@H]1CN(Cc2cc(C)oc2C)CCCO1 ZINC000798965131 586154394 /nfs/dbraw/zinc/15/43/94/586154394.db2.gz TWVABAOCOPDKEN-AWEZNQCLSA-N 0 3 237.343 2.897 20 0 BFADHN c1ncc(CN2CC[C@@H](c3ccccn3)C2)s1 ZINC000798964127 586154690 /nfs/dbraw/zinc/15/46/90/586154690.db2.gz HZWYXEWLAAQQJQ-LLVKDONJSA-N 0 3 245.351 2.528 20 0 BFADHN CC(C)COCCN1CC[C@@H](c2ccccn2)C1 ZINC000800384284 586214145 /nfs/dbraw/zinc/21/41/45/586214145.db2.gz WLMLNSPFDKFPAE-CQSZACIVSA-N 0 3 248.370 2.544 20 0 BFADHN C[C@@H]1CN(CCSC(C)(C)C)CCS1 ZINC000801917948 586276768 /nfs/dbraw/zinc/27/67/68/586276768.db2.gz CRHNWYPYJDJOHM-SNVBAGLBSA-N 0 3 233.446 2.955 20 0 BFADHN CCN1CCN(CCSC(C)(C)C)C[C@@H]1C ZINC000801936845 586279651 /nfs/dbraw/zinc/27/96/51/586279651.db2.gz XNQBOJMRISVKBV-LBPRGKRZSA-N 0 3 244.448 2.544 20 0 BFADHN Cc1ccc2c(c1)CCN(CCC(=O)C(C)C)C2 ZINC000808751580 586306149 /nfs/dbraw/zinc/30/61/49/586306149.db2.gz CXVJPZLBYORFAK-UHFFFAOYSA-N 0 3 245.366 2.968 20 0 BFADHN CC[C@H](C)CCC(=O)OCCN1CCCCC1 ZINC000803881870 586377843 /nfs/dbraw/zinc/37/78/43/586377843.db2.gz ZCCBYOQAAQOPDI-ZDUSSCGKSA-N 0 3 241.375 2.842 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN(C1CC1)C1CC1 ZINC000859623358 589049948 /nfs/dbraw/zinc/04/99/48/589049948.db2.gz NPRYMUWHAHKUDQ-OAHLLOKOSA-N 0 3 249.329 2.794 20 0 BFADHN CC(C)CC(=O)CCN(C1CC1)C1CC1 ZINC000859627791 589050103 /nfs/dbraw/zinc/05/01/03/589050103.db2.gz VVHIBMOBYPLZFQ-UHFFFAOYSA-N 0 3 209.333 2.619 20 0 BFADHN CC(C)=CCOC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000808520254 586477102 /nfs/dbraw/zinc/47/71/02/586477102.db2.gz NPAYVVNOELMCNT-XQQFMLRXSA-N 0 3 239.359 2.757 20 0 BFADHN CC(C)C(=O)CCN1CCC[C@@H]1c1ccccn1 ZINC000808731914 586484989 /nfs/dbraw/zinc/48/49/89/586484989.db2.gz HARJVNVSTMFZAH-CQSZACIVSA-N 0 3 246.354 2.834 20 0 BFADHN CCn1ccc(CN(C)CCC(=O)C(C)C)c1 ZINC000808751603 586488346 /nfs/dbraw/zinc/48/83/46/586488346.db2.gz DSGITXWYNMCGPP-UHFFFAOYSA-N 0 3 236.359 2.555 20 0 BFADHN C[C@H](O)c1ncc(CN2[C@H](C)CC[C@H]2C)s1 ZINC000809593272 586508533 /nfs/dbraw/zinc/50/85/33/586508533.db2.gz MFWVWVMKGXMHKB-BBBLOLIVSA-N 0 3 240.372 2.569 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1ccoc1 ZINC000809767390 586518346 /nfs/dbraw/zinc/51/83/46/586518346.db2.gz JRTOVHUOCUKTHH-NSHDSACASA-N 0 3 213.346 2.853 20 0 BFADHN FC(F)(F)[C@H]1CCN(CCc2ccncc2)C1 ZINC000809967422 586527356 /nfs/dbraw/zinc/52/73/56/586527356.db2.gz AKFUYEPUMRSWLQ-NSHDSACASA-N 0 3 244.260 2.508 20 0 BFADHN C[C@H]1CN(CCC(=O)C(C)(C)C)CCC1(F)F ZINC000810045466 586532695 /nfs/dbraw/zinc/53/26/95/586532695.db2.gz PQQUIWRJKAVRFS-JTQLQIEISA-N 0 3 247.329 2.969 20 0 BFADHN CC(C)C(=O)CCN1CCC(F)(F)[C@@H](C)C1 ZINC000810044500 586532883 /nfs/dbraw/zinc/53/28/83/586532883.db2.gz BRCXHDNXTQJORZ-JTQLQIEISA-N 0 3 233.302 2.579 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H](C)c1cccc(F)c1 ZINC000810140526 586535407 /nfs/dbraw/zinc/53/54/07/586535407.db2.gz WDEBYBAYCQNDIH-SNVBAGLBSA-N 0 3 247.317 2.751 20 0 BFADHN Cc1cc(CN[C@@H](CO)C2CCCC2)c(C)o1 ZINC000810178213 586536221 /nfs/dbraw/zinc/53/62/21/586536221.db2.gz LNBCIVRMSSKRKH-AWEZNQCLSA-N 0 3 237.343 2.537 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C[C@@H]2C(C)=CCC[C@@H]2C)[n-]1 ZINC000813330973 586600300 /nfs/dbraw/zinc/60/03/00/586600300.db2.gz KLQLQNDVBXHRDP-GMXVVIOVSA-N 0 3 248.374 2.756 20 0 BFADHN Cc1nnc([C@H](C)NC[C@@H]2C(C)=CCC[C@@H]2C)[nH]1 ZINC000813330973 586600301 /nfs/dbraw/zinc/60/03/01/586600301.db2.gz KLQLQNDVBXHRDP-GMXVVIOVSA-N 0 3 248.374 2.756 20 0 BFADHN CSC[C@@H]1CCCN(Cc2ccoc2)C1 ZINC000814178728 586616149 /nfs/dbraw/zinc/61/61/49/586616149.db2.gz BELLQQVSGUMDIF-GFCCVEGCSA-N 0 3 225.357 2.855 20 0 BFADHN CC(C)CN(Cc1cnn(C)c1Cl)C(C)C ZINC000816491253 586736917 /nfs/dbraw/zinc/73/69/17/586736917.db2.gz FMVIBXPPSAVIPH-UHFFFAOYSA-N 0 3 243.782 2.940 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@H]2C)cc(C)c1O ZINC000816647423 586748661 /nfs/dbraw/zinc/74/86/61/586748661.db2.gz RXMLMYFCJHLUBC-NWDGAFQWSA-N 0 3 219.328 2.849 20 0 BFADHN COCCOc1cccc(CN2C[C@H](C)[C@H]2C)c1 ZINC000816648075 586750097 /nfs/dbraw/zinc/75/00/97/586750097.db2.gz DHAPBINDNNOIJK-QWHCGFSZSA-N 0 3 249.354 2.552 20 0 BFADHN COc1cc(C)c(CN2C[C@H](C)[C@H]2C)c(C)n1 ZINC000816647625 586750654 /nfs/dbraw/zinc/75/06/54/586750654.db2.gz VVBRSYKJCDTAJJ-CMPLNLGQSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)N(C)c1ccc(CN2C[C@@H](C)[C@@H]2C)cn1 ZINC000816648845 586751785 /nfs/dbraw/zinc/75/17/85/586751785.db2.gz PZWYXZRMLAQARC-OLZOCXBDSA-N 0 3 247.386 2.766 20 0 BFADHN COc1ccccc1CN1C[C@H](C)[C@@H]1C ZINC000816648741 586751816 /nfs/dbraw/zinc/75/18/16/586751816.db2.gz OKNNPMPSPFSMIB-QWRGUYRKSA-N 0 3 205.301 2.535 20 0 BFADHN Cn1c(Cl)nc(Cl)c1CNC1CC=CC1 ZINC000817409104 586801119 /nfs/dbraw/zinc/80/11/19/586801119.db2.gz ZMHAVIMYNGAVRD-UHFFFAOYSA-N 0 3 246.141 2.535 20 0 BFADHN C[C@H](CN(C)CC[S@](C)=O)C1CCCCC1 ZINC000859865744 589067793 /nfs/dbraw/zinc/06/77/93/589067793.db2.gz BYYBITKDLMZHAB-WBMJQRKESA-N 0 3 245.432 2.513 20 0 BFADHN FCCN1C[C@@H]2C[C@@H](c3ccccc3)[C@@H]2C1 ZINC000859928947 589071726 /nfs/dbraw/zinc/07/17/26/589071726.db2.gz SJCJVCFTPIBGBC-MELADBBJSA-N 0 3 219.303 2.691 20 0 BFADHN Clc1ncccc1CN[C@@H]1C[C@H]2C[C@H]2C1 ZINC000818238591 586881712 /nfs/dbraw/zinc/88/17/12/586881712.db2.gz LNMVNOOROYGWMT-JGPRNRPPSA-N 0 3 222.719 2.623 20 0 BFADHN Cc1cc(NC[C@H]2CCC[C@H]2C2CC2)nc(N)n1 ZINC000840356502 586883212 /nfs/dbraw/zinc/88/32/12/586883212.db2.gz JTNIPHWXKKGRKF-NEPJUHHUSA-N 0 3 246.358 2.605 20 0 BFADHN Cc1cc(NC[C@H]2CCC[C@@H]2C2CC2)nc(N)n1 ZINC000840356631 586883486 /nfs/dbraw/zinc/88/34/86/586883486.db2.gz JTNIPHWXKKGRKF-VXGBXAGGSA-N 0 3 246.358 2.605 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1ccc(Cl)nc1 ZINC000818356863 586888697 /nfs/dbraw/zinc/88/86/97/586888697.db2.gz RTBACIZLBJIIHM-WPRPVWTQSA-N 0 3 222.719 2.938 20 0 BFADHN CCc1noc(C)c1[C@@H](C)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000818361818 586889872 /nfs/dbraw/zinc/88/98/72/586889872.db2.gz ZRWAYPWPTQSLQZ-KXGXSXBTSA-N 0 3 234.343 2.995 20 0 BFADHN CCNCC(=O)N(CC)[C@@H](CC)c1ccccc1 ZINC000818500073 586895346 /nfs/dbraw/zinc/89/53/46/586895346.db2.gz COKKWHPPYZWKIP-AWEZNQCLSA-N 0 3 248.370 2.596 20 0 BFADHN O[C@@H](CN[C@@H]1CCCC[C@H]1F)c1ccccc1 ZINC000819369345 586958003 /nfs/dbraw/zinc/95/80/03/586958003.db2.gz JDUXMPGTNAMQQD-MCIONIFRSA-N 0 3 237.318 2.590 20 0 BFADHN CC(C)OC1CC(N[C@@H](C)c2ccns2)C1 ZINC000860062536 589078289 /nfs/dbraw/zinc/07/82/89/589078289.db2.gz XXADJGRZWVDRGU-WHXUTIOJSA-N 0 3 240.372 2.750 20 0 BFADHN Cc1cnc(NC[C@H](c2ccccc2)N(C)C)o1 ZINC000820599731 587058037 /nfs/dbraw/zinc/05/80/37/587058037.db2.gz YYRPYHPGJSEULI-CYBMUJFWSA-N 0 3 245.326 2.698 20 0 BFADHN C[C@@H]1CCCCN1Cc1ccc2nonc2c1 ZINC000821346997 587110675 /nfs/dbraw/zinc/11/06/75/587110675.db2.gz AMVOBXHXRVJURS-SNVBAGLBSA-N 0 3 231.299 2.597 20 0 BFADHN COc1ccccc1CN(C)[C@@H]1CC[C@@H](OC)C1 ZINC000821348462 587110684 /nfs/dbraw/zinc/11/06/84/587110684.db2.gz OXFLVSPDPMCCOZ-ZIAGYGMSSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1ccc(OC(=O)[C@H]2CCN(C)[C@@H](C)C2)cc1 ZINC000822244817 587159632 /nfs/dbraw/zinc/15/96/32/587159632.db2.gz LUOQLDKJQTWVAL-STQMWFEESA-N 0 3 247.338 2.631 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1ccc[nH]1 ZINC000822464078 587168663 /nfs/dbraw/zinc/16/86/63/587168663.db2.gz KRNAWZCSNGWPOU-INTQDDNPSA-N 0 3 210.346 2.559 20 0 BFADHN CO[C@H](CN[C@H](c1ccccn1)C(C)C)C1CC1 ZINC000822817880 587187550 /nfs/dbraw/zinc/18/75/50/587187550.db2.gz BAYFAZBDMOQJHG-CABCVRRESA-N 0 3 248.370 2.793 20 0 BFADHN Cc1ccc(-c2noc([C@@H]3C[C@H]4C[C@H]4N3)n2)s1 ZINC000823280514 587213649 /nfs/dbraw/zinc/21/36/49/587213649.db2.gz OGNHIIAJTNZOJW-HLTSFMKQSA-N 0 3 247.323 2.529 20 0 BFADHN CC[C@@H](C)NCc1ccnc(Cl)c1F ZINC000823585003 587232220 /nfs/dbraw/zinc/23/22/20/587232220.db2.gz QUWJQCRTUPTVAT-SSDOTTSWSA-N 0 3 216.687 2.762 20 0 BFADHN CCn1nc(C)c(CN[C@H](C)CCC(C)C)n1 ZINC000823584497 587232248 /nfs/dbraw/zinc/23/22/48/587232248.db2.gz KGKJHCSHFDFTNW-LLVKDONJSA-N 0 3 238.379 2.521 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1ccnc(Cl)c1F ZINC000823654299 587234879 /nfs/dbraw/zinc/23/48/79/587234879.db2.gz TWILQFTXKZKFGD-APPZFPTMSA-N 0 3 228.698 2.620 20 0 BFADHN C[C@@H](CNCc1ccncc1F)C(C)(C)C ZINC000823876924 587245179 /nfs/dbraw/zinc/24/51/79/587245179.db2.gz TYDAFPJRUDTGHM-JTQLQIEISA-N 0 3 224.323 2.993 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1CSC[C@@H]1C ZINC000823949496 587246961 /nfs/dbraw/zinc/24/69/61/587246961.db2.gz JEAWVUVYCWXBMP-GXFFZTMASA-N 0 3 239.359 2.975 20 0 BFADHN CC(C)C1(CNCc2cc(F)ncc2F)CC1 ZINC000823960664 587247431 /nfs/dbraw/zinc/24/74/31/587247431.db2.gz BDTYNLNUFXHMMQ-UHFFFAOYSA-N 0 3 240.297 2.886 20 0 BFADHN CCC[C@H](NCc1nonc1C)C1CCCC1 ZINC000824389687 587269314 /nfs/dbraw/zinc/26/93/14/587269314.db2.gz QISNNGRVKOVAOX-LBPRGKRZSA-N 0 3 237.347 2.827 20 0 BFADHN CCn1nc(C)c(CNC(C)(C)C(C)(C)C)n1 ZINC000824433675 587270693 /nfs/dbraw/zinc/27/06/93/587270693.db2.gz MTTYFIUMCAUYOV-UHFFFAOYSA-N 0 3 238.379 2.521 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@@H]1N[C@@H]1CCn2ccnc21 ZINC000824525805 587273107 /nfs/dbraw/zinc/27/31/07/587273107.db2.gz DOLAGFHLJGJESW-UTUOFQBUSA-N 0 3 233.359 2.742 20 0 BFADHN CC(C)[C@@H]1CCC[C@H]1N[C@@H]1CCn2ccnc21 ZINC000824526602 587273671 /nfs/dbraw/zinc/27/36/71/587273671.db2.gz NSZJULFVFVIWSI-YNEHKIRRSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1cc(F)cnc1Cl ZINC000824544047 587275246 /nfs/dbraw/zinc/27/52/46/587275246.db2.gz SMENETLNYPJKSX-HTQZYQBOSA-N 0 3 228.698 2.620 20 0 BFADHN CC(C)(CNCc1ccoc1)c1ccccn1 ZINC000824583477 587278081 /nfs/dbraw/zinc/27/80/81/587278081.db2.gz MLOCKHSNUIBYRS-UHFFFAOYSA-N 0 3 230.311 2.742 20 0 BFADHN CS[C@H]1CCN(Cc2cc(C)oc2C)C1 ZINC000824683404 587282810 /nfs/dbraw/zinc/28/28/10/587282810.db2.gz IBHIMZZLPNQTTD-LBPRGKRZSA-N 0 3 225.357 2.834 20 0 BFADHN CC[C@](C)(CNCc1cccnc1Cl)OC ZINC000824743970 587285111 /nfs/dbraw/zinc/28/51/11/587285111.db2.gz UALQPURZDJPVAD-GFCCVEGCSA-N 0 3 242.750 2.640 20 0 BFADHN C[C@@]1(CNCc2ccoc2)CC1(Cl)Cl ZINC000824765443 587286358 /nfs/dbraw/zinc/28/63/58/587286358.db2.gz LIYYYSWIVCTHIL-VIFPVBQESA-N 0 3 234.126 2.953 20 0 BFADHN Cc1nocc1CNC[C@@]1(C)CC1(Cl)Cl ZINC000824780381 587287124 /nfs/dbraw/zinc/28/71/24/587287124.db2.gz MQPUVPGRFKJGQM-SECBINFHSA-N 0 3 249.141 2.657 20 0 BFADHN CO[C@@H]1CCN(Cc2cc3ccc(C)cc3[nH]2)C1 ZINC000824831707 587289360 /nfs/dbraw/zinc/28/93/60/587289360.db2.gz WRPJDCDUWVOMMO-CQSZACIVSA-N 0 3 244.338 2.697 20 0 BFADHN Fc1ccc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)cn1 ZINC000824941866 587294224 /nfs/dbraw/zinc/29/42/24/587294224.db2.gz RZIPZZOQQZCSNN-HIFRSBDPSA-N 0 3 246.329 2.841 20 0 BFADHN Fc1ccc(CN2C[C@H](C3CC3)[C@H]2C2CC2)cn1 ZINC000824941867 587294239 /nfs/dbraw/zinc/29/42/39/587294239.db2.gz RZIPZZOQQZCSNN-UKRRQHHQSA-N 0 3 246.329 2.841 20 0 BFADHN Cn1cncc1CN1CCCCCCCC1 ZINC000824983198 587296650 /nfs/dbraw/zinc/29/66/50/587296650.db2.gz SRNBFGUPZRJISJ-UHFFFAOYSA-N 0 3 221.348 2.576 20 0 BFADHN CCc1nc(CN[C@@H](CC)C(C)C)co1 ZINC000825057430 587301081 /nfs/dbraw/zinc/30/10/81/587301081.db2.gz NIHLFNKDTRYYQO-NSHDSACASA-N 0 3 210.321 2.761 20 0 BFADHN CCc1nc(CNC2CCC(C)CC2)co1 ZINC000825057080 587301231 /nfs/dbraw/zinc/30/12/31/587301231.db2.gz MDORSPNIWSKOIF-UHFFFAOYSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nc(CN[C@H](C)C2CCCC2)co1 ZINC000825062271 587301653 /nfs/dbraw/zinc/30/16/53/587301653.db2.gz RJPXAKWEYUMGDZ-SNVBAGLBSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nc(CN[C@@H](C)C2CCCC2)co1 ZINC000825062270 587301741 /nfs/dbraw/zinc/30/17/41/587301741.db2.gz RJPXAKWEYUMGDZ-JTQLQIEISA-N 0 3 222.332 2.905 20 0 BFADHN CO[C@@H]([C@H](C)NCc1cc(C)oc1C)C1CC1 ZINC000825098463 587303839 /nfs/dbraw/zinc/30/38/39/587303839.db2.gz JGZGRRLIKQAGBW-HZMBPMFUSA-N 0 3 237.343 2.800 20 0 BFADHN COC[C@H]1CCCN(Cc2ccoc2)CC1 ZINC000825322171 587314546 /nfs/dbraw/zinc/31/45/46/587314546.db2.gz ZAMHFCRRZTWLNZ-LBPRGKRZSA-N 0 3 223.316 2.528 20 0 BFADHN COC[C@@H]1CCCN(Cc2ccoc2)CC1 ZINC000825322170 587314868 /nfs/dbraw/zinc/31/48/68/587314868.db2.gz ZAMHFCRRZTWLNZ-GFCCVEGCSA-N 0 3 223.316 2.528 20 0 BFADHN CSC1(CN[C@H]2C[C@@H](O)c3ccccc32)CC1 ZINC000827170773 587371916 /nfs/dbraw/zinc/37/19/16/587371916.db2.gz JROXOTAQGALLKX-QWHCGFSZSA-N 0 3 249.379 2.650 20 0 BFADHN CCSCCN[C@H]1C[C@H](O)c2ccccc21 ZINC000827179521 587371983 /nfs/dbraw/zinc/37/19/83/587371983.db2.gz ZVPKSMZJZIVOLC-STQMWFEESA-N 0 3 237.368 2.508 20 0 BFADHN Cn1nc(C2CC2)cc1CNC1CC(C)(C)C1 ZINC000827780414 587402041 /nfs/dbraw/zinc/40/20/41/587402041.db2.gz XBADXFAVNSPPTQ-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@H]3C[C@H]32)c1 ZINC000828534026 587448981 /nfs/dbraw/zinc/44/89/81/587448981.db2.gz WVWWRYZGNYYGCD-GXFFZTMASA-N 0 3 205.276 2.728 20 0 BFADHN COC1(CN[C@H](C)c2c(C)noc2C)CCC1 ZINC000828688220 587457409 /nfs/dbraw/zinc/45/74/09/587457409.db2.gz RTFXIDGZGTUCEV-SECBINFHSA-N 0 3 238.331 2.511 20 0 BFADHN CC(C)[C@@H](NCCC[C@H](C)O)c1ccccn1 ZINC000828693505 587457493 /nfs/dbraw/zinc/45/74/93/587457493.db2.gz URGRQYLSWXPSKT-GXTWGEPZSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1cccc(Cl)c1CN[C@@H](CO)C1CC1 ZINC000828829240 587465176 /nfs/dbraw/zinc/46/51/76/587465176.db2.gz RKMGXVVASLRYNY-ZDUSSCGKSA-N 0 3 239.746 2.509 20 0 BFADHN O=C1OCC[C@]12CCCN2CCC1=CCCCC1 ZINC000828988622 587477552 /nfs/dbraw/zinc/47/75/52/587477552.db2.gz ZZGAIWAIFWOPKF-OAHLLOKOSA-N 0 3 249.354 2.658 20 0 BFADHN CN(C[C@H]1CC1(Cl)Cl)C(C)(C)C ZINC000830536207 587556744 /nfs/dbraw/zinc/55/67/44/587556744.db2.gz LLEQVSAMEYDTCR-SSDOTTSWSA-N 0 3 210.148 2.911 20 0 BFADHN Cn1cnc(CNC[C@@H]2CCCC(C)(C)C2)c1 ZINC000830719665 587564851 /nfs/dbraw/zinc/56/48/51/587564851.db2.gz GFUJZCUFJBJGPF-GFCCVEGCSA-N 0 3 235.375 2.726 20 0 BFADHN CC(C)O[C@@H]1C[C@@H](NC/C=C/Cl)C1(C)C ZINC000831353544 587600388 /nfs/dbraw/zinc/60/03/88/587600388.db2.gz VAEOWVIQWUGPMD-XIJCSBCJSA-N 0 3 231.767 2.921 20 0 BFADHN CCCCCCN1CCN([C@@H](C)CC)CC1 ZINC000832188345 587649650 /nfs/dbraw/zinc/64/96/50/587649650.db2.gz XZFGKSQPNQFHER-AWEZNQCLSA-N 0 3 226.408 2.983 20 0 BFADHN CO[C@@H]1C[C@@H](N(C)C/C=C/Cl)C12CCC2 ZINC000832204498 587650186 /nfs/dbraw/zinc/65/01/86/587650186.db2.gz MZDMHBDENJEWER-OZSGMGSPSA-N 0 3 229.751 2.628 20 0 BFADHN CCCOC(=O)[C@H](C)N1[C@H](C)CCC1(C)C ZINC000832564543 587666457 /nfs/dbraw/zinc/66/64/57/587666457.db2.gz HFMSKYSBQBISIL-MNOVXSKESA-N 0 3 227.348 2.591 20 0 BFADHN CCCOC(=O)[C@@H](C)N1[C@@H](C)CCC1(C)C ZINC000832564545 587666490 /nfs/dbraw/zinc/66/64/90/587666490.db2.gz HFMSKYSBQBISIL-WDEREUQCSA-N 0 3 227.348 2.591 20 0 BFADHN Cc1nonc1CN[C@@H](C)[C@@H]1CCC[C@H](C)C1 ZINC000832690857 587677861 /nfs/dbraw/zinc/67/78/61/587677861.db2.gz LRBDSNXDSZMOKY-JBLDHEPKSA-N 0 3 237.347 2.682 20 0 BFADHN Cc1ccc([C@@H](O)CN2CC[C@H]2C2CC2)cc1 ZINC000834382294 587753819 /nfs/dbraw/zinc/75/38/19/587753819.db2.gz JJLWYRKBNNDOFQ-GJZGRUSLSA-N 0 3 231.339 2.513 20 0 BFADHN Cc1ccccc1[C@H](O)CN1CC[C@@H]1C1CC1 ZINC000834383654 587753840 /nfs/dbraw/zinc/75/38/40/587753840.db2.gz VBDVCCHXWZIUPV-HUUCEWRRSA-N 0 3 231.339 2.513 20 0 BFADHN CC[C@@H](NC[C@@H](O)CC(C)C)c1ccncc1 ZINC000834548273 587759130 /nfs/dbraw/zinc/75/91/30/587759130.db2.gz MMTUAHSRFGERET-UONOGXRCSA-N 0 3 236.359 2.529 20 0 BFADHN CO[C@@H]1C[C@H](NCc2cc(C)oc2C)C12CCC2 ZINC000834651000 587762726 /nfs/dbraw/zinc/76/27/26/587762726.db2.gz SUYDSPDVDFJJBF-UONOGXRCSA-N 0 3 249.354 2.944 20 0 BFADHN Fc1ncccc1CN[C@@H](C1CC1)C1CCC1 ZINC000834789646 587766971 /nfs/dbraw/zinc/76/69/71/587766971.db2.gz HGRAJKYLHNJTFE-CYBMUJFWSA-N 0 3 234.318 2.889 20 0 BFADHN CC[C@H](N[C@H](C)c1ccnc(Cl)c1)[C@@H](C)O ZINC000834888068 587769667 /nfs/dbraw/zinc/76/96/67/587769667.db2.gz MMWBMPAIFYXABC-KKZNHRDASA-N 0 3 242.750 2.545 20 0 BFADHN CCc1nc(CN[C@@H](C(C)C)C2CC2)co1 ZINC000834901579 587770120 /nfs/dbraw/zinc/77/01/20/587770120.db2.gz WJINOLDTVUYSCN-ZDUSSCGKSA-N 0 3 222.332 2.761 20 0 BFADHN CCCCOC(=O)c1cccc(CN(C)C)c1 ZINC000835077598 587780537 /nfs/dbraw/zinc/78/05/37/587780537.db2.gz GYGCXAODCQDZSY-UHFFFAOYSA-N 0 3 235.327 2.705 20 0 BFADHN CC(C)N(C)CC(=O)N1CCCCCCCC1 ZINC000836925911 587843336 /nfs/dbraw/zinc/84/33/36/587843336.db2.gz VWHIIBYHKQWUQU-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1occc1CNC[C@H](O)c1ccccc1F ZINC000840882224 587927857 /nfs/dbraw/zinc/92/78/57/587927857.db2.gz NMJDIGPIZVLUEC-AWEZNQCLSA-N 0 3 249.285 2.550 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc(Cl)nc2)CS1 ZINC000840957388 587931990 /nfs/dbraw/zinc/93/19/90/587931990.db2.gz AHRZYCCPAVMQOZ-WCBMZHEXSA-N 0 3 242.775 2.719 20 0 BFADHN CC(C)[C@H]1N(Cc2ccoc2)CC12CCOCC2 ZINC000840971175 587933042 /nfs/dbraw/zinc/93/30/42/587933042.db2.gz URLPRQFXNMVAGF-CQSZACIVSA-N 0 3 249.354 2.917 20 0 BFADHN CC[C@H](c1ccccc1)N1CCC2(C1)OCCO2 ZINC000104083371 587933907 /nfs/dbraw/zinc/93/39/07/587933907.db2.gz FNZDLSOPSNNBEV-CQSZACIVSA-N 0 3 247.338 2.587 20 0 BFADHN CCCCC[C@@H](C)N[C@@H]1CNCCC1(F)F ZINC000841078695 587944613 /nfs/dbraw/zinc/94/46/13/587944613.db2.gz HIWMULUDKCCNSE-GHMZBOCLSA-N 0 3 234.334 2.542 20 0 BFADHN CCOc1cccc([C@@H](C)N[C@H]2C[C@@](C)(O)C2)c1 ZINC000841760639 587974113 /nfs/dbraw/zinc/97/41/13/587974113.db2.gz WWQOGCBBWJPHHU-KYOSRNDESA-N 0 3 249.354 2.649 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2cnn(C)c2Cl)C[C@H]1C ZINC000841891547 587982027 /nfs/dbraw/zinc/98/20/27/587982027.db2.gz ZVRFMJVOOQYDGI-OPRDCNLKSA-N 0 3 241.766 2.550 20 0 BFADHN CCC[C@H](NCc1cn(C)cn1)C1CCCC1 ZINC000842225374 588007372 /nfs/dbraw/zinc/00/73/72/588007372.db2.gz NNBLTJXWSCBYKV-AWEZNQCLSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H](O)c2ccco2)cc1 ZINC000104827320 588042481 /nfs/dbraw/zinc/04/24/81/588042481.db2.gz TUBUJHUUBTVABC-OCCSQVGLSA-N 0 3 245.322 2.972 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1ccnc(Cl)c1 ZINC000842702320 588054701 /nfs/dbraw/zinc/05/47/01/588054701.db2.gz FWEWBQPPZGWLJI-WCABBAIRSA-N 0 3 240.734 2.563 20 0 BFADHN Fc1ncccc1CNC1CCC(F)(F)CC1 ZINC000842706042 588054722 /nfs/dbraw/zinc/05/47/22/588054722.db2.gz KLCIJCPPGXWKSD-UHFFFAOYSA-N 0 3 244.260 2.888 20 0 BFADHN CC[C@H](C)C[C@@H](CO)NCc1cc(C)oc1C ZINC000842756885 588060232 /nfs/dbraw/zinc/06/02/32/588060232.db2.gz PXYVZXDCDVZJGP-HZMBPMFUSA-N 0 3 239.359 2.783 20 0 BFADHN Cc1nc(F)ccc1CN[C@H]1CCS[C@H]1C ZINC000842778238 588062734 /nfs/dbraw/zinc/06/27/34/588062734.db2.gz DUUHFRREFYCQTR-ONGXEEELSA-N 0 3 240.347 2.513 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@@H]1CO[C@H](C)C1 ZINC000842928275 588071793 /nfs/dbraw/zinc/07/17/93/588071793.db2.gz INPJEHVJBKLEHZ-MDZLAQPJSA-N 0 3 237.318 2.962 20 0 BFADHN Nc1ccc(CN2CCCC3(CCCC3)C2)cn1 ZINC000843119610 588083514 /nfs/dbraw/zinc/08/35/14/588083514.db2.gz VSJAEZXLMBZCQB-UHFFFAOYSA-N 0 3 245.370 2.820 20 0 BFADHN CCC[C@H](C)c1noc(C2(CN)CCCC2)n1 ZINC000843182179 588090947 /nfs/dbraw/zinc/09/09/47/588090947.db2.gz KXGNQNNRZVPVJG-JTQLQIEISA-N 0 3 237.347 2.744 20 0 BFADHN O[C@@H](CN1CCC12CCCC2)c1ccc(F)cc1 ZINC000844276771 588179492 /nfs/dbraw/zinc/17/94/92/588179492.db2.gz WBSIFYJNWZTABE-AWEZNQCLSA-N 0 3 249.329 2.878 20 0 BFADHN CCN1CCC[C@@](O)(c2ccc(Cl)cc2)C1 ZINC000844372767 588188067 /nfs/dbraw/zinc/18/80/67/588188067.db2.gz DYZPYCACFPPMTC-ZDUSSCGKSA-N 0 3 239.746 2.643 20 0 BFADHN CC[C@@H]1CN(CCc2cccs2)[C@H](C)CO1 ZINC000844389125 588188938 /nfs/dbraw/zinc/18/89/38/588188938.db2.gz CYAVCAMCTDQZMC-VXGBXAGGSA-N 0 3 239.384 2.790 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC[C@]3(CC3(F)F)C2)O1 ZINC000844438831 588193575 /nfs/dbraw/zinc/19/35/75/588193575.db2.gz FQFLEDXJWIYMGB-WOPDTQHZSA-N 0 3 245.313 2.675 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC[C@@]3(CC3(F)F)C2)O1 ZINC000844438821 588193995 /nfs/dbraw/zinc/19/39/95/588193995.db2.gz FQFLEDXJWIYMGB-GRYCIOLGSA-N 0 3 245.313 2.675 20 0 BFADHN CC/C=C\CCN1C[C@H]2[C@@H](C1)C2(F)F ZINC000844457096 588196090 /nfs/dbraw/zinc/19/60/90/588196090.db2.gz IZIUCMCRBSIVFW-BZDJSAGESA-N 0 3 201.260 2.540 20 0 BFADHN CC(C)CC(=O)CCN1CC[C@@]2(CC2(F)F)C1 ZINC000844469110 588198238 /nfs/dbraw/zinc/19/82/38/588198238.db2.gz VVSXBZPEEDTVFV-GFCCVEGCSA-N 0 3 245.313 2.723 20 0 BFADHN C[C@H](c1noc([C@@H]2CC[C@@H](C3CC3)N2)n1)C1CC1 ZINC000844913809 588219362 /nfs/dbraw/zinc/21/93/62/588219362.db2.gz VQAKRWPDRWIBCG-UWJYBYFXSA-N 0 3 247.342 2.786 20 0 BFADHN CC(C)C(C)(C)C(=O)O[C@@H](C)CN1CCCC1 ZINC000845663435 588239927 /nfs/dbraw/zinc/23/99/27/588239927.db2.gz JPARIHSAZKAIAP-LBPRGKRZSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H](CN1CCCC1)OC(=O)CCC1CCC1 ZINC000845666145 588240352 /nfs/dbraw/zinc/24/03/52/588240352.db2.gz OKABOWUSGDQAHE-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN CC[C@H](C)CC(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845734946 588243008 /nfs/dbraw/zinc/24/30/08/588243008.db2.gz ICOPLEXZWGNGER-NWDGAFQWSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@H](O)[C@H](N[C@@H]1CC[C@@H]1C)c1ccccc1F ZINC000846013124 588253475 /nfs/dbraw/zinc/25/34/75/588253475.db2.gz JXTXKHSSSXIZOS-ZNIXKSQXSA-N 0 3 237.318 2.636 20 0 BFADHN Cc1cc(CN2CCC(C3(O)CC3)CC2)c(C)o1 ZINC000846008891 588253495 /nfs/dbraw/zinc/25/34/95/588253495.db2.gz SNEJEOUXJFLDFX-UHFFFAOYSA-N 0 3 249.354 2.633 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCC=CO2)[C@H](CC)CO1 ZINC000846027064 588254031 /nfs/dbraw/zinc/25/40/31/588254031.db2.gz KGFUATKJNDSTDO-MGPQQGTHSA-N 0 3 239.359 2.569 20 0 BFADHN C[C@]1(CCNCc2ccccn2)C[C@]1(F)Cl ZINC000846036652 588254321 /nfs/dbraw/zinc/25/43/21/588254321.db2.gz NCBNVLFNVBEZPQ-NWDGAFQWSA-N 0 3 242.725 2.876 20 0 BFADHN BrC1(CN2CCCCCC2)CC1 ZINC000846773264 588287239 /nfs/dbraw/zinc/28/72/39/588287239.db2.gz YGHDCRIDYJSURU-UHFFFAOYSA-N 0 3 232.165 2.790 20 0 BFADHN BrC1(CN2CCC3(CCC3)C2)CC1 ZINC000846815066 588290979 /nfs/dbraw/zinc/29/09/79/588290979.db2.gz IGZQVYLFRJHRLR-UHFFFAOYSA-N 0 3 244.176 2.790 20 0 BFADHN CC[C@@H](C)N(C)CC1(Br)CC1 ZINC000846822306 588291109 /nfs/dbraw/zinc/29/11/09/588291109.db2.gz MRXVONHFOJBMDJ-MRVPVSSYSA-N 0 3 220.154 2.644 20 0 BFADHN COc1cc(CN2CC[C@H]3C[C@H]32)ccc1C ZINC000846837959 588292301 /nfs/dbraw/zinc/29/23/01/588292301.db2.gz RZMPUBXPAUWHIT-QWHCGFSZSA-N 0 3 217.312 2.598 20 0 BFADHN C[C@H]1CN(CC2(Br)CC2)CC[C@@H]1C ZINC000846839882 588292334 /nfs/dbraw/zinc/29/23/34/588292334.db2.gz HOJWNJRRVHJSFT-UWVGGRQHSA-N 0 3 246.192 2.892 20 0 BFADHN Fc1cc(CNC2(C3CC3)CC2)cnc1Cl ZINC000846852961 588293460 /nfs/dbraw/zinc/29/34/60/588293460.db2.gz NFZFPMOHHGMCHJ-UHFFFAOYSA-N 0 3 240.709 2.906 20 0 BFADHN C[C@H](COC(=O)CC1(C)CC1)N1CCCCC1 ZINC000847960714 588345558 /nfs/dbraw/zinc/34/55/58/588345558.db2.gz APXRIFBQDDSABG-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN CCC[C@@H](C)C(=O)OC[C@@H](C)N1CCCCC1 ZINC000847960794 588345575 /nfs/dbraw/zinc/34/55/75/588345575.db2.gz QTCMJNGIURCLLX-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)[C@H](C)C(=O)OC[C@@H](C)N1CCCCC1 ZINC000847961018 588345775 /nfs/dbraw/zinc/34/57/75/588345775.db2.gz SGAYRLBMJGGYGA-OLZOCXBDSA-N 0 3 241.375 2.696 20 0 BFADHN CC(C)[C@@H](C)C(=O)OC[C@@H](C)N1CCCCC1 ZINC000847961017 588345886 /nfs/dbraw/zinc/34/58/86/588345886.db2.gz SGAYRLBMJGGYGA-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN C/C=C(\CC)C(=O)OC[C@@H](C)N1CCCCC1 ZINC000847962153 588345894 /nfs/dbraw/zinc/34/58/94/588345894.db2.gz HBAUBLTXYOKPIJ-NASADATOSA-N 0 3 239.359 2.760 20 0 BFADHN CC=CC(=O)Nc1cnccc1NC(CC)CC ZINC000848108425 588356228 /nfs/dbraw/zinc/35/62/28/588356228.db2.gz KQIWYEOQMJTLIY-QPJJXVBHSA-N 0 3 247.342 2.619 20 0 BFADHN C[C@@H](CN[C@@H]1CCCn2ccnc21)C(C)(C)C ZINC000848530448 588392925 /nfs/dbraw/zinc/39/29/25/588392925.db2.gz HAHSLXMOWKCFLI-NWDGAFQWSA-N 0 3 235.375 2.990 20 0 BFADHN CCOCCNCc1ccccc1C(C)C ZINC000848527837 588393280 /nfs/dbraw/zinc/39/32/80/588393280.db2.gz FWTHSTNAWLTKPE-UHFFFAOYSA-N 0 3 221.344 2.936 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cccnc2F)CS1 ZINC000848549357 588395988 /nfs/dbraw/zinc/39/59/88/588395988.db2.gz OBDAOOIEHYSADX-KOLCDFICSA-N 0 3 240.347 2.594 20 0 BFADHN CC(C)c1ccccc1CN[C@H](CO)CCF ZINC000848557626 588397182 /nfs/dbraw/zinc/39/71/82/588397182.db2.gz IWWQJKMOBYEGSJ-ZDUSSCGKSA-N 0 3 239.334 2.620 20 0 BFADHN CC1(NCc2cccnc2Cl)CC=CC1 ZINC000848561574 588397494 /nfs/dbraw/zinc/39/74/94/588397494.db2.gz ZAZBNBOAYGUUJV-UHFFFAOYSA-N 0 3 222.719 2.933 20 0 BFADHN CC1(NCc2cc(C(F)(F)F)n[nH]2)CC=CC1 ZINC000848561286 588397755 /nfs/dbraw/zinc/39/77/55/588397755.db2.gz USTRZNIQNPLDOQ-UHFFFAOYSA-N 0 3 245.248 2.627 20 0 BFADHN C[C@@H](N(C)Cc1cnc(Cl)n1C)C1(C)CC1 ZINC000848890628 588417558 /nfs/dbraw/zinc/41/75/58/588417558.db2.gz SVGHLVKZRXRBLA-SECBINFHSA-N 0 3 241.766 2.694 20 0 BFADHN CC(C)c1ccccc1CN1CC(C)(CO)C1 ZINC000848916096 588419991 /nfs/dbraw/zinc/41/99/91/588419991.db2.gz CPFJUGAABOWKEW-UHFFFAOYSA-N 0 3 233.355 2.624 20 0 BFADHN CCCN(Cc1ccc(F)nc1)C(C)C ZINC000848923312 588421411 /nfs/dbraw/zinc/42/14/11/588421411.db2.gz IRQAPBDFJOKNKL-UHFFFAOYSA-N 0 3 210.296 2.841 20 0 BFADHN O[C@H](CN1C[C@@H]2CCCC[C@@H]21)c1cccc(F)c1 ZINC000849325790 588472845 /nfs/dbraw/zinc/47/28/45/588472845.db2.gz SMRDTWJRPXGKON-AEGPPILISA-N 0 3 249.329 2.734 20 0 BFADHN Cc1c[nH]c(CNCC[C@@]2(C)C[C@]2(F)Cl)n1 ZINC000850340293 588537396 /nfs/dbraw/zinc/53/73/96/588537396.db2.gz VOMKYTDMBDKWBM-WDEREUQCSA-N 0 3 245.729 2.512 20 0 BFADHN C[C@@H]1C[C@H](NC2CCCCCCC2)c2ncnn21 ZINC000850351768 588538139 /nfs/dbraw/zinc/53/81/39/588538139.db2.gz HCPPOUAOHKLEHG-YPMHNXCESA-N 0 3 248.374 2.986 20 0 BFADHN Cc1cc(CN[C@H]2C=CCC2)cnc1Cl ZINC000850358524 588539247 /nfs/dbraw/zinc/53/92/47/588539247.db2.gz FMNPGSNPWCIKRF-NSHDSACASA-N 0 3 222.719 2.852 20 0 BFADHN C1=C[C@H](NCc2scnc2C2CC2)CC1 ZINC000850358340 588539455 /nfs/dbraw/zinc/53/94/55/588539455.db2.gz BMVGTINXISTSQR-JTQLQIEISA-N 0 3 220.341 2.829 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@H]1C=CCC1 ZINC000850359022 588539930 /nfs/dbraw/zinc/53/99/30/588539930.db2.gz SWDIGTQAOMZCQT-ZDUSSCGKSA-N 0 3 219.332 2.581 20 0 BFADHN C1=C[C@H](NCc2cc3cccnc3o2)CC1 ZINC000850359784 588540486 /nfs/dbraw/zinc/54/04/86/588540486.db2.gz RAGQHDTXPANSED-NSHDSACASA-N 0 3 214.268 2.636 20 0 BFADHN CC(C)(F)CCNCc1ccc(Cl)nc1 ZINC000850374566 588541419 /nfs/dbraw/zinc/54/14/19/588541419.db2.gz MNLSZJHKBWVREI-UHFFFAOYSA-N 0 3 230.714 2.963 20 0 BFADHN C[C@]1(CNCc2cccnc2Cl)CC1(F)F ZINC000850379482 588542011 /nfs/dbraw/zinc/54/20/11/588542011.db2.gz QNXZBPGEKLJIEX-SNVBAGLBSA-N 0 3 246.688 2.870 20 0 BFADHN Clc1ccc(CNCCc2ccoc2)cn1 ZINC000850376220 588542202 /nfs/dbraw/zinc/54/22/02/588542202.db2.gz CNDVPBADAUIBIV-UHFFFAOYSA-N 0 3 236.702 2.660 20 0 BFADHN Cn1c(CNCCCC2CCC2)cnc1Cl ZINC000850380738 588542608 /nfs/dbraw/zinc/54/26/08/588542608.db2.gz KWDQHYQXJSABNN-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN CC[C@@H]1COC[C@@H]1NCc1cscc1Cl ZINC000850384002 588542795 /nfs/dbraw/zinc/54/27/95/588542795.db2.gz XQJVLIUCJBRSAW-KCJUWKMLSA-N 0 3 245.775 2.916 20 0 BFADHN c1[nH]nc2cc(CNCC3=CCCC3)ccc12 ZINC000850396186 588543706 /nfs/dbraw/zinc/54/37/06/588543706.db2.gz RFXWYQAJWIJHAO-UHFFFAOYSA-N 0 3 227.311 2.763 20 0 BFADHN COC1CC(N[C@H](C)c2cc3cnccc3o2)C1 ZINC000850459943 588548181 /nfs/dbraw/zinc/54/81/81/588548181.db2.gz KOECSUJKQXFNMA-OIKLOGQESA-N 0 3 246.310 2.656 20 0 BFADHN CON(C)CCN[C@H](C)c1cccc(C2CC2)c1 ZINC000850477505 588549026 /nfs/dbraw/zinc/54/90/26/588549026.db2.gz HSSUIOXZDAQODY-GFCCVEGCSA-N 0 3 248.370 2.708 20 0 BFADHN C[C@H](N[C@@H]1CCn2ccnc21)C(C)(C)C(F)F ZINC000850472007 588549230 /nfs/dbraw/zinc/54/92/30/588549230.db2.gz VUXATROOHVAGMY-DTWKUNHWSA-N 0 3 243.301 2.597 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1cccnc1Cl ZINC000850499133 588552038 /nfs/dbraw/zinc/55/20/38/588552038.db2.gz JWCDJWFWHVJZEB-SECBINFHSA-N 0 3 242.750 2.638 20 0 BFADHN CC[C@@H](N[C@@H]1C=CCC1)c1ccncc1 ZINC000850507572 588553327 /nfs/dbraw/zinc/55/33/27/588553327.db2.gz KACQUHHSQJHJLH-CHWSQXEVSA-N 0 3 202.301 2.841 20 0 BFADHN COc1cc(C)c([C@H](C)NC/C=C\CO)cc1C ZINC000850508168 588554007 /nfs/dbraw/zinc/55/40/07/588554007.db2.gz KRLWTMRDTWVWQV-SZZPACECSA-N 0 3 249.354 2.511 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2ncc[nH]2)cc1C ZINC000850522192 588556437 /nfs/dbraw/zinc/55/64/37/588556437.db2.gz HOUSSZLHGGQCMX-CYBMUJFWSA-N 0 3 243.354 2.920 20 0 BFADHN CC[C@@H]1COC[C@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000850540460 588558505 /nfs/dbraw/zinc/55/85/05/588558505.db2.gz NFQKDOGRSDWZDI-VCTAVGKDSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1cc([C@H](C)N[C@@]2(C)CC2(C)C)n(C)n1 ZINC000850554179 588560129 /nfs/dbraw/zinc/56/01/29/588560129.db2.gz CQQDPHZIXMDVQQ-GWCFXTLKSA-N 0 3 221.348 2.568 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1ccnc(Cl)c1 ZINC000850571101 588561148 /nfs/dbraw/zinc/56/11/48/588561148.db2.gz MQMAFNLOFVTYQG-UISBYWKRSA-N 0 3 240.734 2.563 20 0 BFADHN Cc1cc([C@@H](C)NCCCc2ccco2)n(C)n1 ZINC000850570304 588561327 /nfs/dbraw/zinc/56/13/27/588561327.db2.gz ULIDWFJLSFZBCD-GFCCVEGCSA-N 0 3 247.342 2.605 20 0 BFADHN Cc1ccccc1CN(C)CCOC(F)F ZINC000851711507 588615116 /nfs/dbraw/zinc/61/51/16/588615116.db2.gz CFOTWMWEOMKVIY-UHFFFAOYSA-N 0 3 229.270 2.666 20 0 BFADHN Cc1ccccc1CN(C)C[C@H]1COC(C)(C)O1 ZINC000851711708 588615555 /nfs/dbraw/zinc/61/55/55/588615555.db2.gz DZDQYUGXZDONLA-AWEZNQCLSA-N 0 3 249.354 2.578 20 0 BFADHN C[C@H](c1ccccc1)N(C)C[C@H]1COC(C)(C)O1 ZINC000851716874 588616113 /nfs/dbraw/zinc/61/61/13/588616113.db2.gz NEOWEIBADNROPX-OCCSQVGLSA-N 0 3 249.354 2.831 20 0 BFADHN C[C@@H](c1ccccc1)N(C)C[C@@H]1COC(C)(C)O1 ZINC000851716872 588616209 /nfs/dbraw/zinc/61/62/09/588616209.db2.gz NEOWEIBADNROPX-GXTWGEPZSA-N 0 3 249.354 2.831 20 0 BFADHN C[C@@H](C1CC1)N(Cc1cccc(F)n1)C1CC1 ZINC000851727346 588618356 /nfs/dbraw/zinc/61/83/56/588618356.db2.gz LAAZRSKUVDPULO-JTQLQIEISA-N 0 3 234.318 2.984 20 0 BFADHN Cc1cccc(CN(C)C[C@H]2COC(C)(C)O2)c1 ZINC000851731940 588619599 /nfs/dbraw/zinc/61/95/99/588619599.db2.gz DNQZSTPCVDYOHQ-AWEZNQCLSA-N 0 3 249.354 2.578 20 0 BFADHN CCN(Cc1cnc2ccccc2n1)C(C)C ZINC000851733435 588619913 /nfs/dbraw/zinc/61/99/13/588619913.db2.gz VVNANHIYBBLSJG-UHFFFAOYSA-N 0 3 229.327 2.860 20 0 BFADHN CCN(Cc1ccoc1)[C@H]1CC[C@H](OC)C1 ZINC000851748059 588622314 /nfs/dbraw/zinc/62/23/14/588622314.db2.gz ZEILGKMCVHYZPN-STQMWFEESA-N 0 3 223.316 2.669 20 0 BFADHN C[C@H]1CCC[C@H](C)N1Cc1cccc(F)n1 ZINC000851763805 588624550 /nfs/dbraw/zinc/62/45/50/588624550.db2.gz FMWNVLKDRKGICJ-QWRGUYRKSA-N 0 3 222.307 2.984 20 0 BFADHN CC(C)C[C@@H]1CCCCCN1Cc1cnon1 ZINC000851782948 588627982 /nfs/dbraw/zinc/62/79/82/588627982.db2.gz ZNRJNWHMCZKPDG-ZDUSSCGKSA-N 0 3 237.347 2.860 20 0 BFADHN C[C@H]1CN(Cc2cccc(F)n2)C(C)(C)C1 ZINC000851799859 588630232 /nfs/dbraw/zinc/63/02/32/588630232.db2.gz UDNDTHCNJMITJE-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN CO[C@@H]1CCN(Cc2cccc(SC)c2)C1 ZINC000851799711 588630257 /nfs/dbraw/zinc/63/02/57/588630257.db2.gz DSASRPUHORPTJV-GFCCVEGCSA-N 0 3 237.368 2.629 20 0 BFADHN C[C@H](c1cccc(O)c1)N(C)CCOC(F)F ZINC000851842177 588634266 /nfs/dbraw/zinc/63/42/66/588634266.db2.gz QJTLCQIPKWHOSH-SECBINFHSA-N 0 3 245.269 2.624 20 0 BFADHN C[C@@H]1CCN(CCOC(F)F)CC12CCC2 ZINC000851889670 588641052 /nfs/dbraw/zinc/64/10/52/588641052.db2.gz OHPGSNRMJNIQKQ-SNVBAGLBSA-N 0 3 233.302 2.738 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H]2CCC(=O)O2)cc1 ZINC000851892567 588641533 /nfs/dbraw/zinc/64/15/33/588641533.db2.gz OZADBABURXBOHV-FZMZJTMJSA-N 0 3 247.338 2.605 20 0 BFADHN CC[C@@](C)(NC[C@@H]1CCC(=O)O1)c1ccccc1 ZINC000851902373 588641724 /nfs/dbraw/zinc/64/17/24/588641724.db2.gz PTUUPUKNKCLBFM-DZGCQCFKSA-N 0 3 247.338 2.607 20 0 BFADHN FC(F)OCCNC1(c2ccccc2)CC1 ZINC000851896638 588641777 /nfs/dbraw/zinc/64/17/77/588641777.db2.gz FHKWXYYHIRPVTR-UHFFFAOYSA-N 0 3 227.254 2.505 20 0 BFADHN C[C@@H]1CC[C@@]2(CCN(CCOC(F)F)C2)C1 ZINC000851921236 588643974 /nfs/dbraw/zinc/64/39/74/588643974.db2.gz ZERMSAWGJMRBKM-ZYHUDNBSSA-N 0 3 233.302 2.738 20 0 BFADHN CCCC[C@@H](NCc1cnon1)C1CCC1 ZINC000851925096 588644265 /nfs/dbraw/zinc/64/42/65/588644265.db2.gz RWXQLGITVOOQMV-GFCCVEGCSA-N 0 3 223.320 2.518 20 0 BFADHN CC(C)(NCCOC(F)F)c1cccs1 ZINC000851933214 588645198 /nfs/dbraw/zinc/64/51/98/588645198.db2.gz OWKLPATYWJVUHT-UHFFFAOYSA-N 0 3 235.299 2.812 20 0 BFADHN CC1(C)CN(CCOC(F)F)CC(C)(C)C1 ZINC000851941572 588646317 /nfs/dbraw/zinc/64/63/17/588646317.db2.gz LHNAARBVZSOYDT-UHFFFAOYSA-N 0 3 235.318 2.984 20 0 BFADHN C[C@H](NC[C@H](O)c1ccccn1)c1ccsc1 ZINC000852018465 588655845 /nfs/dbraw/zinc/65/58/45/588655845.db2.gz NENQQWALAYOIQG-GWCFXTLKSA-N 0 3 248.351 2.527 20 0 BFADHN CCC(CC)(CCO)NCC=C(Cl)Cl ZINC000852019040 588655987 /nfs/dbraw/zinc/65/59/87/588655987.db2.gz TUPJANOVHFNDRT-UHFFFAOYSA-N 0 3 240.174 2.836 20 0 BFADHN c1nonc1CN[C@@H]1CCCCC12CCCC2 ZINC000852070679 588659128 /nfs/dbraw/zinc/65/91/28/588659128.db2.gz VIYVRHUPILIDBS-GFCCVEGCSA-N 0 3 235.331 2.662 20 0 BFADHN Cc1ccccc1CCN(C)CCOC(F)F ZINC000852096393 588661771 /nfs/dbraw/zinc/66/17/71/588661771.db2.gz BEOHINFKHALWPV-UHFFFAOYSA-N 0 3 243.297 2.709 20 0 BFADHN CC1(C)CC[C@@H]1NCc1cc(C2CC2)no1 ZINC000852125744 588665785 /nfs/dbraw/zinc/66/57/85/588665785.db2.gz WTOFDEBYYQNPTQ-LBPRGKRZSA-N 0 3 220.316 2.830 20 0 BFADHN C[C@@H]1CCC(C)(C)N1Cc1cccc(F)n1 ZINC000852148157 588667233 /nfs/dbraw/zinc/66/72/33/588667233.db2.gz PSMXQGOIXLNSNI-SNVBAGLBSA-N 0 3 222.307 2.984 20 0 BFADHN CCN(C[C@H](O)c1ccccn1)C1CC(C)(C)C1 ZINC000852175678 588669442 /nfs/dbraw/zinc/66/94/42/588669442.db2.gz JOIBLWBMEBHTLI-AWEZNQCLSA-N 0 3 248.370 2.626 20 0 BFADHN CCC[C@H](C)[C@H](CO)NCC=C(Cl)Cl ZINC000852210853 588672589 /nfs/dbraw/zinc/67/25/89/588672589.db2.gz LTFDDJZDLCRQHR-IUCAKERBSA-N 0 3 240.174 2.692 20 0 BFADHN CCC(=O)CCN1CCc2ccc(C)cc2C1 ZINC000852403320 588680848 /nfs/dbraw/zinc/68/08/48/588680848.db2.gz BYQRNCACFGRGJQ-UHFFFAOYSA-N 0 3 231.339 2.722 20 0 BFADHN FC(F)OCCN1CCC(C2CCC2)CC1 ZINC000852407525 588680865 /nfs/dbraw/zinc/68/08/65/588680865.db2.gz BOYRNDHIPLXGMN-UHFFFAOYSA-N 0 3 233.302 2.738 20 0 BFADHN CCC(CC)CCCN(C)CC1OCCO1 ZINC000852670961 588695063 /nfs/dbraw/zinc/69/50/63/588695063.db2.gz AWLNTAJDBQSHCH-UHFFFAOYSA-N 0 3 229.364 2.508 20 0 BFADHN Cc1oncc1CN1CCC[C@@H](C2CCC2)C1 ZINC000852672937 588695148 /nfs/dbraw/zinc/69/51/48/588695148.db2.gz DDJAKXKSHHVJDX-CYBMUJFWSA-N 0 3 234.343 2.995 20 0 BFADHN CCCCC[C@H]1CCCCN1CC[S@@](C)=O ZINC000852712495 588697249 /nfs/dbraw/zinc/69/72/49/588697249.db2.gz QLDWTRMZNVQGEW-XJKSGUPXSA-N 0 3 245.432 2.800 20 0 BFADHN CC[C@H](C)N(C)Cc1ccnc(F)c1C ZINC000862219699 589194942 /nfs/dbraw/zinc/19/49/42/589194942.db2.gz ODLGQWHWZSZHBG-VIFPVBQESA-N 0 3 210.296 2.759 20 0 BFADHN Cc1c(CN2CCCC2(C)C)ccnc1F ZINC000862236442 589196502 /nfs/dbraw/zinc/19/65/02/589196502.db2.gz BCVIRCCGGLGUHN-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN Cc1c(CN2CC[C@@H](C3CC3)C2)ccnc1F ZINC000862250807 589197545 /nfs/dbraw/zinc/19/75/45/589197545.db2.gz VESHFIMXAYSROG-CYBMUJFWSA-N 0 3 234.318 2.761 20 0 BFADHN Cc1ncc(CN2CC[C@@H]2Cc2ccccc2)o1 ZINC000862249549 589197689 /nfs/dbraw/zinc/19/76/89/589197689.db2.gz TVOXVTMNYYILJT-CQSZACIVSA-N 0 3 242.322 2.800 20 0 BFADHN CC[C@H]1CCN(Cc2ccnc(F)c2C)C1 ZINC000862251656 589197782 /nfs/dbraw/zinc/19/77/82/589197782.db2.gz KIACKONYYQHXJW-NSHDSACASA-N 0 3 222.307 2.761 20 0 BFADHN C[C@@]1(CNCc2cccnc2F)CCCS1 ZINC000862351529 589202480 /nfs/dbraw/zinc/20/24/80/589202480.db2.gz PHUYKEPPGAYCHD-LBPRGKRZSA-N 0 3 240.347 2.596 20 0 BFADHN C[C@@H]1CCOCCN1Cc1ccc2cc[nH]c2c1 ZINC000862368284 589205184 /nfs/dbraw/zinc/20/51/84/589205184.db2.gz GNDWABANESEFPV-GFCCVEGCSA-N 0 3 244.338 2.779 20 0 BFADHN CCC1(NCc2ccnc(F)c2C)CC1 ZINC000862383406 589206734 /nfs/dbraw/zinc/20/67/34/589206734.db2.gz RMWWJBMOHJLEDM-UHFFFAOYSA-N 0 3 208.280 2.561 20 0 BFADHN CC/C=C/CNCc1ccnc(F)c1C ZINC000862384086 589206754 /nfs/dbraw/zinc/20/67/54/589206754.db2.gz MKVNHTNAASJWHY-SNAWJCMRSA-N 0 3 208.280 2.585 20 0 BFADHN Fc1cc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)ccn1 ZINC000862387501 589207454 /nfs/dbraw/zinc/20/74/54/589207454.db2.gz LBCPXCQALUKBQU-DZGCQCFKSA-N 0 3 246.329 2.841 20 0 BFADHN Cc1c(CN[C@@H]2CS[C@H](C)C2)ccnc1F ZINC000862394806 589208399 /nfs/dbraw/zinc/20/83/99/589208399.db2.gz KZMACOMCSADHRP-KCJUWKMLSA-N 0 3 240.347 2.513 20 0 BFADHN Cc1c(CNC[C@@]2(C)CC2(F)F)ccnc1F ZINC000862415426 589211408 /nfs/dbraw/zinc/21/14/08/589211408.db2.gz GNUDWHAODKJFJD-LLVKDONJSA-N 0 3 244.260 2.664 20 0 BFADHN CC[C@H](C)CN(CC)CN1C[C@H](CC)CC1=O ZINC000119383435 589275714 /nfs/dbraw/zinc/27/57/14/589275714.db2.gz MHCJHGWNPUIMRU-QWHCGFSZSA-N 0 3 240.391 2.570 20 0 BFADHN CCC[C@H](C)CCCN1CCNC[C@@H]1C(F)F ZINC000863542172 589297308 /nfs/dbraw/zinc/29/73/08/589297308.db2.gz AZMCEEUCJZAVTO-NWDGAFQWSA-N 0 3 248.361 2.742 20 0 BFADHN C/C=C\CNC[C@@H](O)c1cc(C)cc(C)c1 ZINC000863582564 589301418 /nfs/dbraw/zinc/30/14/18/589301418.db2.gz NUVDSNXBUAQHNR-ZRUQZJFASA-N 0 3 219.328 2.503 20 0 BFADHN C/C=C\CNC[C@H](O)c1cccc(Cl)c1 ZINC000863581659 589301445 /nfs/dbraw/zinc/30/14/45/589301445.db2.gz DTXVRKRKDYHJSL-DLGQBQFBSA-N 0 3 225.719 2.539 20 0 BFADHN C/C=C/CNC[C@@H](O)c1ccc(F)cc1Cl ZINC000863582332 589301823 /nfs/dbraw/zinc/30/18/23/589301823.db2.gz KYEYAOZEDFZWPO-QAVQXKDTSA-N 0 3 243.709 2.678 20 0 BFADHN Cc1cc(CNCCc2ccccc2O)c(C)o1 ZINC000119581330 589310027 /nfs/dbraw/zinc/31/00/27/589310027.db2.gz KDBJQWFBCSVEAA-UHFFFAOYSA-N 0 3 245.322 2.934 20 0 BFADHN CCc1[nH]nc(Cl)c1CNCC1CC(C)C1 ZINC000864052851 589334964 /nfs/dbraw/zinc/33/49/64/589334964.db2.gz QJKYEAVNZBDAIN-UHFFFAOYSA-N 0 3 241.766 2.761 20 0 BFADHN CN(C)Cc1ccc(-c2ccc(CO)cc2)cc1 ZINC000205330999 589420995 /nfs/dbraw/zinc/42/09/95/589420995.db2.gz FHKKBPRCTYOEGZ-UHFFFAOYSA-N 0 3 241.334 2.908 20 0 BFADHN C[C@H]1CCCC[C@H]1NCc1cn(C2CC2)cn1 ZINC000865397306 589431692 /nfs/dbraw/zinc/43/16/92/589431692.db2.gz FIAGEVZAYBKFTC-SMDDNHRTSA-N 0 3 233.359 2.886 20 0 BFADHN CCC(CC)NCc1cc2c(cc[nH]c2=O)o1 ZINC000865399322 589432499 /nfs/dbraw/zinc/43/24/99/589432499.db2.gz LVGFRHBRAAOODB-UHFFFAOYSA-N 0 3 234.299 2.812 20 0 BFADHN O=c1[nH]ccc2oc(CNCC3CCCC3)cc21 ZINC000865407276 589433539 /nfs/dbraw/zinc/43/35/39/589433539.db2.gz BXUXKAQQEGTCHV-UHFFFAOYSA-N 0 3 246.310 2.813 20 0 BFADHN C[C@@H](Cc1ccco1)NCc1cn(C2CC2)cn1 ZINC000865407793 589433721 /nfs/dbraw/zinc/43/37/21/589433721.db2.gz JIQVAQHHLFGLLI-NSHDSACASA-N 0 3 245.326 2.532 20 0 BFADHN CC(C)CCCNCc1cn(C2CC2)cn1 ZINC000865407619 589433748 /nfs/dbraw/zinc/43/37/48/589433748.db2.gz JVDQOHNIXHQGCB-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN Clc1cncc(Cl)c1CNCC1CC1 ZINC000865410463 589434341 /nfs/dbraw/zinc/43/43/41/589434341.db2.gz VHKFLSCQWGIUJZ-UHFFFAOYSA-N 0 3 231.126 2.888 20 0 BFADHN CC(C)=CCNCc1cnc(Cl)c(F)c1 ZINC000865543199 589444830 /nfs/dbraw/zinc/44/48/30/589444830.db2.gz VAIPOOZTPKWQKY-UHFFFAOYSA-N 0 3 228.698 2.930 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cn(C2CC2)cn1 ZINC000865490371 589441072 /nfs/dbraw/zinc/44/10/72/589441072.db2.gz TZFUEQBHESTXCZ-RISCZKNCSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1c(Cl)cccc1CN[C@]1(C)CCOC1 ZINC000865509924 589442453 /nfs/dbraw/zinc/44/24/53/589442453.db2.gz GPNZPVYUKNALOS-CYBMUJFWSA-N 0 3 239.746 2.917 20 0 BFADHN CC1(C)CC[C@@H](NCc2cn(C3CC3)cn2)C1 ZINC000865517310 589443035 /nfs/dbraw/zinc/44/30/35/589443035.db2.gz RSWIWULXXIPTJY-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@H]1CC[C@@H](NCc2cc3c(cc[nH]c3=O)o2)C1 ZINC000865537163 589443973 /nfs/dbraw/zinc/44/39/73/589443973.db2.gz AZYFPNBSOWXKLU-VHSXEESVSA-N 0 3 246.310 2.812 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2cn(C3CC3)cn2)C1 ZINC000865548479 589445051 /nfs/dbraw/zinc/44/50/51/589445051.db2.gz BTUDWFYBQFHBKY-VXGBXAGGSA-N 0 3 233.359 2.744 20 0 BFADHN CC1(C)CC[C@@H]1NCc1ccnn1CC1CCC1 ZINC000865568631 589447512 /nfs/dbraw/zinc/44/75/12/589447512.db2.gz VNLQKUFZTRVKQA-AWEZNQCLSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@H](F)CCNCc1cnc(Cl)c(F)c1 ZINC000865579794 589448309 /nfs/dbraw/zinc/44/83/09/589448309.db2.gz FXVSDIFTZNUTFN-ZETCQYMHSA-N 0 3 234.677 2.712 20 0 BFADHN CC(C)C1(NCc2cc3c(cc[nH]c3=O)o2)CC1 ZINC000865579734 589448718 /nfs/dbraw/zinc/44/87/18/589448718.db2.gz DKJGXUJKUSMXAZ-UHFFFAOYSA-N 0 3 246.310 2.812 20 0 BFADHN CC1(NCc2cc3c(cc[nH]c3=O)o2)CC=CC1 ZINC000865616452 589451533 /nfs/dbraw/zinc/45/15/33/589451533.db2.gz IDNJCRHHNZJABB-UHFFFAOYSA-N 0 3 244.294 2.732 20 0 BFADHN Cc1c(Cl)cccc1CN[C@@H]1CO[C@@H](C)C1 ZINC000865614811 589451732 /nfs/dbraw/zinc/45/17/32/589451732.db2.gz QTDGEGTYGJQDHB-CABZTGNLSA-N 0 3 239.746 2.915 20 0 BFADHN c1nc(CNCCCC2CCC2)cn1C1CC1 ZINC000865627201 589452521 /nfs/dbraw/zinc/45/25/21/589452521.db2.gz CCLOICDVBUBXRM-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN c1coc(CCCNCc2cn(C3CC3)cn2)c1 ZINC000865632385 589452554 /nfs/dbraw/zinc/45/25/54/589452554.db2.gz PGQWLXQDYJGKPW-UHFFFAOYSA-N 0 3 245.326 2.533 20 0 BFADHN c1nc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)cn1C1CC1 ZINC000865637981 589453487 /nfs/dbraw/zinc/45/34/87/589453487.db2.gz SJKAIESQQSWRKR-FRRDWIJNSA-N 0 3 245.370 2.744 20 0 BFADHN F[C@@H]1CCCC[C@@H]1NCc1ccc2[nH]cnc2c1 ZINC000865699617 589457158 /nfs/dbraw/zinc/45/71/58/589457158.db2.gz XCYYMFSLHDEXPN-NEPJUHHUSA-N 0 3 247.317 2.933 20 0 BFADHN F[C@@H]1CCCC[C@@H]1NCc1ccc2nc[nH]c2c1 ZINC000865699617 589457159 /nfs/dbraw/zinc/45/71/59/589457159.db2.gz XCYYMFSLHDEXPN-NEPJUHHUSA-N 0 3 247.317 2.933 20 0 BFADHN Cc1ccoc1CN[C@@H]1CC(C)(C)CC[C@H]1O ZINC000865700225 589457428 /nfs/dbraw/zinc/45/74/28/589457428.db2.gz YQFWJTCMRFDEJN-VXGBXAGGSA-N 0 3 237.343 2.617 20 0 BFADHN CCC[C@](C)(CO)NCc1cc(C)oc1C ZINC000120705290 589457844 /nfs/dbraw/zinc/45/78/44/589457844.db2.gz MHSKUMJPJAPEGO-CYBMUJFWSA-N 0 3 225.332 2.537 20 0 BFADHN CS[C@H]1C[C@H](NCc2cc(F)ccc2F)C1 ZINC000865734112 589457980 /nfs/dbraw/zinc/45/79/80/589457980.db2.gz JCMWSRXXOHGZFZ-XYPYZODXSA-N 0 3 243.322 2.948 20 0 BFADHN CS[C@H]1C[C@H](NCc2occc2C)C1 ZINC000865734410 589459363 /nfs/dbraw/zinc/45/93/63/589459363.db2.gz XHVZHYFUAHSNNF-MGCOHNPYSA-N 0 3 211.330 2.572 20 0 BFADHN CS[C@H]1C[C@H](NCc2ccsc2)C1 ZINC000865734869 589459390 /nfs/dbraw/zinc/45/93/90/589459390.db2.gz SIOHBFAVMHVBFG-MGCOHNPYSA-N 0 3 213.371 2.732 20 0 BFADHN Cc1cnccc1CNC(C)(C)[C@H]1CCCCO1 ZINC000865743340 589460492 /nfs/dbraw/zinc/46/04/92/589460492.db2.gz AUKGXVAQJGUMLI-CQSZACIVSA-N 0 3 248.370 2.827 20 0 BFADHN CC(C)(NCc1ccncc1)[C@@H]1CCCCO1 ZINC000865746934 589460718 /nfs/dbraw/zinc/46/07/18/589460718.db2.gz RCRMFSIOXZCDOG-ZDUSSCGKSA-N 0 3 234.343 2.519 20 0 BFADHN CC[C@@H](C)NCc1cc(C)cc(OC)c1OC ZINC000885894404 589469836 /nfs/dbraw/zinc/46/98/36/589469836.db2.gz SOFXECFBLQVBEK-LLVKDONJSA-N 0 3 237.343 2.900 20 0 BFADHN CCc1cc(N2C[C@H](OC)CC[C@H]2C)ccn1 ZINC000866071574 589474942 /nfs/dbraw/zinc/47/49/42/589474942.db2.gz HWOOUHCFDBCQNJ-BXUZGUMPSA-N 0 3 234.343 2.648 20 0 BFADHN Cc1cc(CN[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)c(C)o1 ZINC000120921636 589478511 /nfs/dbraw/zinc/47/85/11/589478511.db2.gz KVVGTOLVYCYZEC-MJBXVCDLSA-N 0 3 249.354 2.800 20 0 BFADHN CC(C)(CNc1ccnc(CO)c1)C(C)(F)F ZINC000866185723 589479412 /nfs/dbraw/zinc/47/94/12/589479412.db2.gz XRTSHYAQRRYDSD-UHFFFAOYSA-N 0 3 244.285 2.667 20 0 BFADHN COCCN[C@H]1c2cc(F)ccc2CC[C@@H]1C ZINC000866214422 589480868 /nfs/dbraw/zinc/48/08/68/589480868.db2.gz OKCRYMMONJLAEM-IINYFYTJSA-N 0 3 237.318 2.685 20 0 BFADHN CCOCCN[C@@H]1CCCc2c(F)cccc21 ZINC000866236260 589482022 /nfs/dbraw/zinc/48/20/22/589482022.db2.gz BISFZTHNHOQXNB-CQSZACIVSA-N 0 3 237.318 2.829 20 0 BFADHN C[C@@H](CCc1cccnc1)NCc1nccs1 ZINC000866238109 589482130 /nfs/dbraw/zinc/48/21/30/589482130.db2.gz MDFJTRAGWADMDS-NSHDSACASA-N 0 3 247.367 2.649 20 0 BFADHN C[C@H](CCc1cccnc1)NCc1ccoc1 ZINC000866240972 589482266 /nfs/dbraw/zinc/48/22/66/589482266.db2.gz SALNUMNGYUNBCV-GFCCVEGCSA-N 0 3 230.311 2.786 20 0 BFADHN CCC[C@@H](N[C@@H]1CCN(C)C1)c1cc(C)ccn1 ZINC000866260721 589483453 /nfs/dbraw/zinc/48/34/53/589483453.db2.gz WMNLNSWLLYMLSH-ZIAGYGMSSA-N 0 3 247.386 2.525 20 0 BFADHN CCC[C@H](N[C@@H]1CCN(C)C1)c1cc(C)ccn1 ZINC000866260719 589483455 /nfs/dbraw/zinc/48/34/55/589483455.db2.gz WMNLNSWLLYMLSH-KGLIPLIRSA-N 0 3 247.386 2.525 20 0 BFADHN CC(C)[C@@H](NC[C@H](O)C1CCC1)c1ccccn1 ZINC000866369565 589488655 /nfs/dbraw/zinc/48/86/55/589488655.db2.gz MGHIZOKBHLMQJU-LSDHHAIUSA-N 0 3 248.370 2.529 20 0 BFADHN COc1ccc2c(c1)CC[C@@H]2N[C@@H]1C=CCC1 ZINC000866389897 589489597 /nfs/dbraw/zinc/48/95/97/589489597.db2.gz XNBUXGOGGUXZQW-DOMZBBRYSA-N 0 3 229.323 2.991 20 0 BFADHN COC[C@H](CC(C)C)N[C@@H]1CCCC[C@@H]1F ZINC000866450105 589493657 /nfs/dbraw/zinc/49/36/57/589493657.db2.gz OCQHHJVQEMURIS-RWMBFGLXSA-N 0 3 231.355 2.918 20 0 BFADHN C[C@@H](N[C@@H]1CC(C)(C)CC[C@H]1O)c1ccccn1 ZINC000866452661 589494278 /nfs/dbraw/zinc/49/42/78/589494278.db2.gz LTXMMMWTZBXJHM-MRVWCRGKSA-N 0 3 248.370 2.672 20 0 BFADHN C[C@H](N[C@@H]1CC(C)(C)CC[C@H]1O)c1cccnc1 ZINC000866452285 589494421 /nfs/dbraw/zinc/49/44/21/589494421.db2.gz BOPPGDWFEGGQRT-IACUBPJLSA-N 0 3 248.370 2.672 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2ccncc2)C1 ZINC000866486834 589496647 /nfs/dbraw/zinc/49/66/47/589496647.db2.gz QLAVVXQQOMZXLC-YUSALJHKSA-N 0 3 222.357 2.626 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2cncc(C)c2)C1 ZINC000866487693 589496845 /nfs/dbraw/zinc/49/68/45/589496845.db2.gz FLDWTNNPIRGLET-RAIGVLPGSA-N 0 3 236.384 2.935 20 0 BFADHN C[C@@H](CC1CC1)NCc1nn(C)cc1C(F)F ZINC000866567189 589500515 /nfs/dbraw/zinc/50/05/15/589500515.db2.gz MGZDKHZVDOLNJK-QMMMGPOBSA-N 0 3 243.301 2.636 20 0 BFADHN CC[C@@H](NCc1nn(C)cc1C(F)F)C(C)C ZINC000866563689 589500828 /nfs/dbraw/zinc/50/08/28/589500828.db2.gz OALNEVIMOSVBEH-SNVBAGLBSA-N 0 3 245.317 2.882 20 0 BFADHN C[C@H](c1ccccn1)N1CC[C@H]2CSC[C@@H]2C1 ZINC000866581830 589501071 /nfs/dbraw/zinc/50/10/71/589501071.db2.gz DJPVSOWLQFUUTI-AGIUHOORSA-N 0 3 248.395 2.828 20 0 BFADHN C[C@H](c1ccccn1)N1CC[C@H]2CSC[C@H]2C1 ZINC000866581831 589501102 /nfs/dbraw/zinc/50/11/02/589501102.db2.gz DJPVSOWLQFUUTI-FRRDWIJNSA-N 0 3 248.395 2.828 20 0 BFADHN CC[C@H](C[C@@H](C)O)N[C@@H](C)c1cnc(C)s1 ZINC000866634693 589506269 /nfs/dbraw/zinc/50/62/69/589506269.db2.gz IEVIYJPPCWNGFQ-WCABBAIRSA-N 0 3 242.388 2.652 20 0 BFADHN C[C@@H](NCC[C@@H](O)C1CCCC1)c1ccccn1 ZINC000866638846 589507038 /nfs/dbraw/zinc/50/70/38/589507038.db2.gz TZGDBTBIMQEXHJ-IUODEOHRSA-N 0 3 248.370 2.673 20 0 BFADHN CC(C)=CCCN1CCOc2cc(N)ccc2C1 ZINC000866687220 589511914 /nfs/dbraw/zinc/51/19/14/589511914.db2.gz PDGRXEJTVGQRPP-UHFFFAOYSA-N 0 3 246.354 2.820 20 0 BFADHN CC[C@@H](C)CN1CCOc2cc(N)ccc2C1 ZINC000866690224 589512363 /nfs/dbraw/zinc/51/23/63/589512363.db2.gz WUJBZNALFVPBHQ-LLVKDONJSA-N 0 3 234.343 2.509 20 0 BFADHN Cc1cc(CNC[C@]2(C)CCCO2)c(C)o1 ZINC000121605184 589520439 /nfs/dbraw/zinc/52/04/39/589520439.db2.gz YACYTUJKXOPIOE-ZDUSSCGKSA-N 0 3 223.316 2.555 20 0 BFADHN CC1(C)[C@@H](N)c2ccccc2N1C(=O)C1CCC1 ZINC000867991948 589542684 /nfs/dbraw/zinc/54/26/84/589542684.db2.gz UOAGQMUJSSDJPK-ZDUSSCGKSA-N 0 3 244.338 2.612 20 0 BFADHN CC1(C(=O)N2c3ccccc3[C@H](N)C2(C)C)CC1 ZINC000867989127 589542711 /nfs/dbraw/zinc/54/27/11/589542711.db2.gz BUTDVMUKTSHMME-LBPRGKRZSA-N 0 3 244.338 2.612 20 0 BFADHN CC[C@@H](C)C(=O)N1c2ccccc2[C@H](N)C1(C)C ZINC000867990538 589542735 /nfs/dbraw/zinc/54/27/35/589542735.db2.gz NRQHUPLSOAKGHN-MFKMUULPSA-N 0 3 246.354 2.858 20 0 BFADHN CC[C@@H](C)C(=O)N1c2ccccc2[C@@H](N)C1(C)C ZINC000867990541 589542793 /nfs/dbraw/zinc/54/27/93/589542793.db2.gz NRQHUPLSOAKGHN-ZWNOBZJWSA-N 0 3 246.354 2.858 20 0 BFADHN CC(C)(C)C(=O)N1c2ccccc2[C@H](N)C1(C)C ZINC000867991021 589542818 /nfs/dbraw/zinc/54/28/18/589542818.db2.gz JFGWKJWLUVGBFQ-LBPRGKRZSA-N 0 3 246.354 2.858 20 0 BFADHN CC(C)C1(O)CN(CCCCc2ccccc2)C1 ZINC000122304920 589577536 /nfs/dbraw/zinc/57/75/36/589577536.db2.gz DVBBUJNEQVZYNX-UHFFFAOYSA-N 0 3 247.382 2.712 20 0 BFADHN CCC[C@H](C)[C@@H](CC)NCc1ccon1 ZINC000122432494 589589384 /nfs/dbraw/zinc/58/93/84/589589384.db2.gz GBSATWXULPTZFI-CMPLNLGQSA-N 0 3 210.321 2.979 20 0 BFADHN CC[C@H](NC[C@@H](O)C1CC1)c1ccccc1F ZINC000122529786 589599388 /nfs/dbraw/zinc/59/93/88/589599388.db2.gz ROEBETUSPDAKOG-UONOGXRCSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)c1cc(C)cs1 ZINC000870547539 589673058 /nfs/dbraw/zinc/67/30/58/589673058.db2.gz JDJMOTZWPVHMRC-SNVBAGLBSA-N 0 3 241.356 2.554 20 0 BFADHN O[C@H](CN1CCC2(CCC2)C1)c1cccc(F)c1 ZINC000123296563 589688260 /nfs/dbraw/zinc/68/82/60/589688260.db2.gz QNRRCMCNUXANBI-CQSZACIVSA-N 0 3 249.329 2.735 20 0 BFADHN CC[C@H](O)CCN[C@H](C)c1ccnc(Cl)c1 ZINC000123403080 589701398 /nfs/dbraw/zinc/70/13/98/589701398.db2.gz MSKRFUBVEKQHIW-KOLCDFICSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1cc(CNCCc2ccncc2C)c(C)o1 ZINC000123492314 589732010 /nfs/dbraw/zinc/73/20/10/589732010.db2.gz PFVPEHBZHXKLNW-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN C[C@@H](N[C@@H](C)C1CC1)c1cc(O)ccc1F ZINC000872018851 589856708 /nfs/dbraw/zinc/85/67/08/589856708.db2.gz QPNMCUZMGNEFIE-DTWKUNHWSA-N 0 3 223.291 2.980 20 0 BFADHN COCCCCN[C@@H](C)c1cc(O)ccc1F ZINC000872023386 589856889 /nfs/dbraw/zinc/85/68/89/589856889.db2.gz RLCWPMWLRLQZRV-JTQLQIEISA-N 0 3 241.306 2.609 20 0 BFADHN C[C@H]([NH2+]CC1CCC1)c1cccc([O-])c1F ZINC000872028582 589857267 /nfs/dbraw/zinc/85/72/67/589857267.db2.gz QMMRQCPTHHNYSG-VIFPVBQESA-N 0 3 223.291 2.982 20 0 BFADHN COCC[C@H](C)[NH2+][C@@H](C)c1cccc([O-])c1F ZINC000872031635 589857618 /nfs/dbraw/zinc/85/76/18/589857618.db2.gz RRUNWFCSXWHZIY-UWVGGRQHSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@H]([NH2+]C[C@H]1C[C@H]1C)c1cccc([O-])c1F ZINC000872027868 589857826 /nfs/dbraw/zinc/85/78/26/589857826.db2.gz MVGQVCZGKCJRTP-KXUCPTDWSA-N 0 3 223.291 2.838 20 0 BFADHN CC[C@@H](CCC(F)(F)F)NCc1cc[nH]n1 ZINC000872035696 589858199 /nfs/dbraw/zinc/85/81/99/589858199.db2.gz YBXRFFOVKAIFTO-QMMMGPOBSA-N 0 3 235.253 2.620 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1cccc(O)c1F ZINC000872038035 589859348 /nfs/dbraw/zinc/85/93/48/589859348.db2.gz KGERFRYDLJRWQU-NXEZZACHSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@H]([NH2+]C1CC(C)C1)c1cccc([O-])c1F ZINC000872047156 589860372 /nfs/dbraw/zinc/86/03/72/589860372.db2.gz BTCNTSNMIPXBNN-KYHHOPLUSA-N 0 3 223.291 2.980 20 0 BFADHN C[C@@H](N[C@@H]1CCSC1)c1cc(O)ccc1F ZINC000872047926 589860528 /nfs/dbraw/zinc/86/05/28/589860528.db2.gz GXXNAWQAPKVDMB-RKDXNWHRSA-N 0 3 241.331 2.687 20 0 BFADHN CS[C@H](C)C[NH2+][C@H](C)c1cccc([O-])c1F ZINC000872054125 589862210 /nfs/dbraw/zinc/86/22/10/589862210.db2.gz ZQRGISHTJHIOMA-RKDXNWHRSA-N 0 3 243.347 2.933 20 0 BFADHN CC[C@@H](NCc1ncccn1)C(C)(C)CC ZINC000872087444 589867545 /nfs/dbraw/zinc/86/75/45/589867545.db2.gz DUWUAKBEJUFMRG-LLVKDONJSA-N 0 3 221.348 2.781 20 0 BFADHN COCC(C)(C)CCN[C@H](C)c1cc(C)on1 ZINC000872104987 589871683 /nfs/dbraw/zinc/87/16/83/589871683.db2.gz WOBCDONXAFLXSO-LLVKDONJSA-N 0 3 240.347 2.696 20 0 BFADHN Cc1cncc([C@H](C)NC[C@@H]2CC(C)(C)CO2)c1 ZINC000872105216 589871938 /nfs/dbraw/zinc/87/19/38/589871938.db2.gz DKEDFRXSSYDYPW-JSGCOSHPSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@H](NCCC[C@H]1C=CCC1)c1nccn1C ZINC000872105747 589872462 /nfs/dbraw/zinc/87/24/62/589872462.db2.gz BGOQMEKEVDHKTJ-STQMWFEESA-N 0 3 233.359 2.817 20 0 BFADHN CC[C@@H](NC[C@H]1CC(C)(C)CO1)c1ccncc1 ZINC000872106252 589872589 /nfs/dbraw/zinc/87/25/89/589872589.db2.gz QJTMGJXAMUZGCM-ZIAGYGMSSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H](NCCC[C@H]1C=CCC1)c1ccc(=O)[nH]n1 ZINC000872108045 589873253 /nfs/dbraw/zinc/87/32/53/589873253.db2.gz REBWBSRRKZIGCV-NEPJUHHUSA-N 0 3 247.342 2.579 20 0 BFADHN CCC[C@@H](C)[C@H](CC)NCc1nonc1C ZINC000872137594 589875625 /nfs/dbraw/zinc/87/56/25/589875625.db2.gz ULTOHEQUWJGZOV-KOLCDFICSA-N 0 3 225.336 2.682 20 0 BFADHN Cc1ccc([C@H](NC[C@H]2C[C@H](O)C2)C2CCC2)o1 ZINC000872125824 589876304 /nfs/dbraw/zinc/87/63/04/589876304.db2.gz HFZQLFMLAKWPQN-UXIGCNINSA-N 0 3 249.354 2.790 20 0 BFADHN CC(C)C[C@@H](NC[C@H]1C[C@H](O)C1)c1ccccn1 ZINC000872127048 589877084 /nfs/dbraw/zinc/87/70/84/589877084.db2.gz SLLXLZHUMRTXDF-UMVBOHGHSA-N 0 3 248.370 2.529 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H]1CCC12CCC2 ZINC000872131956 589877542 /nfs/dbraw/zinc/87/75/42/589877542.db2.gz AVYIWYKHAWCLBJ-VXGBXAGGSA-N 0 3 231.343 2.768 20 0 BFADHN CCC[C@@H](NCc1nonc1C)[C@H]1CC1(C)C ZINC000872136687 589879339 /nfs/dbraw/zinc/87/93/39/589879339.db2.gz MSVXRUXXVWLGND-GHMZBOCLSA-N 0 3 237.347 2.682 20 0 BFADHN CC(C)(F)CNC[C@H](O)c1ccccc1Cl ZINC000872217165 589895890 /nfs/dbraw/zinc/89/58/90/589895890.db2.gz PAZFSLDNEPBGIE-NSHDSACASA-N 0 3 245.725 2.711 20 0 BFADHN CC1(CN2CCO[C@H](C(F)F)CC2)CCCC1 ZINC000872254114 589901258 /nfs/dbraw/zinc/90/12/58/589901258.db2.gz SFTZSHQGQSAMSM-NSHDSACASA-N 0 3 247.329 2.923 20 0 BFADHN CC(=O)Oc1ccc(CN2CCC(C)(C)C2)cc1 ZINC000124103344 589940794 /nfs/dbraw/zinc/94/07/94/589940794.db2.gz MBUSSSYHJZWRNH-UHFFFAOYSA-N 0 3 247.338 2.844 20 0 BFADHN CC12CC(C1)CN2c1ccncc1C1CC1 ZINC000872607744 589955748 /nfs/dbraw/zinc/95/57/48/589955748.db2.gz IDCWCXZHNZASGV-UHFFFAOYSA-N 0 3 214.312 2.948 20 0 BFADHN C1=C[C@@H](CCCNCc2ccccn2)CC1 ZINC000873153619 589987411 /nfs/dbraw/zinc/98/74/11/589987411.db2.gz AZQHXKYJZSMFLA-CYBMUJFWSA-N 0 3 216.328 2.918 20 0 BFADHN c1csc(CNCCC[C@H]2C=CCC2)n1 ZINC000873153613 589987742 /nfs/dbraw/zinc/98/77/42/589987742.db2.gz ARQIGYGYHYVADX-NSHDSACASA-N 0 3 222.357 2.979 20 0 BFADHN CCc1ncc(CNCCC[C@H]2C=CCC2)cn1 ZINC000873154246 589987898 /nfs/dbraw/zinc/98/78/98/589987898.db2.gz SKZFMOYYMRXHTO-ZDUSSCGKSA-N 0 3 245.370 2.875 20 0 BFADHN C1=C[C@H](CCCNCc2cncs2)CC1 ZINC000873154462 589988409 /nfs/dbraw/zinc/98/84/09/589988409.db2.gz XKWDLQUJIXSQRX-NSHDSACASA-N 0 3 222.357 2.979 20 0 BFADHN CCc1ccc(CNC[C@@H]2C[C@@H]3CCC[C@@H]3O2)o1 ZINC000873155572 589988735 /nfs/dbraw/zinc/98/87/35/589988735.db2.gz FZVGCIOYPZXZCY-CQDKDKBSSA-N 0 3 249.354 2.889 20 0 BFADHN CC[C@H](NCc1ccoc1)[C@@H](O)c1ccccc1 ZINC000873194922 589991063 /nfs/dbraw/zinc/99/10/63/589991063.db2.gz WPVDLAKUSHGUSR-GJZGRUSLSA-N 0 3 245.322 2.881 20 0 BFADHN CC/C=C(/C)C(=O)Nc1ccccc1CNC ZINC000209210729 589994136 /nfs/dbraw/zinc/99/41/36/589994136.db2.gz VNGQKZUKXAZGGZ-XFFZJAGNSA-N 0 3 232.327 2.701 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)C1CCC=CCC1 ZINC000873550316 590056971 /nfs/dbraw/zinc/05/69/71/590056971.db2.gz GYWODYSAQUCTLB-ZDUSSCGKSA-N 0 3 239.359 2.616 20 0 BFADHN CCn1nccc1CN1CCC2(CCCC2)C1 ZINC000124663498 590071126 /nfs/dbraw/zinc/07/11/26/590071126.db2.gz GUFDLJUHFOMVFH-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@H](C)[C@@H]1CCCO1 ZINC000020206465 590086071 /nfs/dbraw/zinc/08/60/71/590086071.db2.gz JDCJOYJUMCUMGC-BZPMIXESSA-N 0 3 249.354 2.913 20 0 BFADHN CSCC[C@H](C)NCc1cnc(C)s1 ZINC000125044195 590116569 /nfs/dbraw/zinc/11/65/69/590116569.db2.gz OSPRYBVAXVOPPS-QMMMGPOBSA-N 0 3 230.402 2.683 20 0 BFADHN CSCC[C@H](C)NCc1ccc(C)o1 ZINC000125031892 590114494 /nfs/dbraw/zinc/11/44/94/590114494.db2.gz STWIGSBBNMUPKH-VIFPVBQESA-N 0 3 213.346 2.819 20 0 BFADHN CCC1(CC)CCCN1C(=O)CN(C)C(C)C ZINC000874628475 590119611 /nfs/dbraw/zinc/11/96/11/590119611.db2.gz VZBHGMARXVQTIN-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN CCN(CN1CCSC1=S)[C@H](C)C(C)C ZINC000125344083 590162199 /nfs/dbraw/zinc/16/21/99/590162199.db2.gz XDSBRYZDWYRXIF-SNVBAGLBSA-N 0 3 246.445 2.644 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2ccnc(Cl)c2)C1 ZINC000125699988 590182033 /nfs/dbraw/zinc/18/20/33/590182033.db2.gz XGSHHRIJONYANB-NXEZZACHSA-N 0 3 224.735 2.965 20 0 BFADHN CC/C=C(\F)C(=O)Nc1cccc(CNC)c1 ZINC000876509207 590204881 /nfs/dbraw/zinc/20/48/81/590204881.db2.gz CLSQGSIXZAMACK-XGICHPGQSA-N 0 3 236.290 2.608 20 0 BFADHN CCC[C@@H](CC)C(=O)NC[C@@H](N)c1ccccc1 ZINC000876526421 590208317 /nfs/dbraw/zinc/20/83/17/590208317.db2.gz YXHNNDZPZTWOCB-TZMCWYRMSA-N 0 3 248.370 2.629 20 0 BFADHN CC[C@H]1CN(CCC(C)(C)SC)CCO1 ZINC000876560924 590215667 /nfs/dbraw/zinc/21/56/67/590215667.db2.gz PPPRWWLKXFZWIO-NSHDSACASA-N 0 3 231.405 2.629 20 0 BFADHN CCOc1cc(F)cc(CN(C)C2CC2)c1 ZINC000876579877 590218992 /nfs/dbraw/zinc/21/89/92/590218992.db2.gz ATKQFSWIUFXFPB-UHFFFAOYSA-N 0 3 223.291 2.819 20 0 BFADHN CCN[C@@H](C)C(=O)N(C)C1CCCCCCC1 ZINC000876635283 590223958 /nfs/dbraw/zinc/22/39/58/590223958.db2.gz SDJZFZKQUMZTJY-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CC[C@@H]1CCCN1Cc1cc2c(cc[nH]c2=O)o1 ZINC000876633967 590224268 /nfs/dbraw/zinc/22/42/68/590224268.db2.gz ZAGGQLMTGLQSMZ-SNVBAGLBSA-N 0 3 246.310 2.908 20 0 BFADHN CCCn1cc(CN(CC)[C@@H](C)C(C)C)nn1 ZINC000876643565 590225752 /nfs/dbraw/zinc/22/57/52/590225752.db2.gz GQGMJVAYQIHQSE-LBPRGKRZSA-N 0 3 238.379 2.555 20 0 BFADHN Fc1cccc2c1CCN(CCC[C@@H]1CCO1)C2 ZINC000876719646 590233446 /nfs/dbraw/zinc/23/34/46/590233446.db2.gz QWRCNFXSCNDLBY-CYBMUJFWSA-N 0 3 249.329 2.753 20 0 BFADHN COc1c(C)cnc(CN2CC[C@H]2C(C)C)c1C ZINC000876878478 590252249 /nfs/dbraw/zinc/25/22/49/590252249.db2.gz CVSCSVOQVKSMKT-AWEZNQCLSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)[C@@H]1CCN1C[C@@H]1CC1(Cl)Cl ZINC000876880105 590252780 /nfs/dbraw/zinc/25/27/80/590252780.db2.gz ZOXXKNWCLCCTTK-IUCAKERBSA-N 0 3 222.159 2.911 20 0 BFADHN CC[C@H](C)NCc1nc(Cl)cs1 ZINC000876887970 590254185 /nfs/dbraw/zinc/25/41/85/590254185.db2.gz LNHGXVDGEATVAI-LURJTMIESA-N 0 3 204.726 2.685 20 0 BFADHN Cc1csc(CN[C@H](C)C2CCC2)n1 ZINC000126215001 590254425 /nfs/dbraw/zinc/25/44/25/590254425.db2.gz YJTIJNOBSPFXQG-SECBINFHSA-N 0 3 210.346 2.730 20 0 BFADHN C[C@H](Cc1ccco1)NCc1cc(C2CC2)no1 ZINC000876917205 590256808 /nfs/dbraw/zinc/25/68/08/590256808.db2.gz OUJRMHWVLKVOGG-SNVBAGLBSA-N 0 3 246.310 2.866 20 0 BFADHN CC/C=C(/F)C(=O)Nc1cc(C)cc(CN)c1 ZINC000876933294 590258563 /nfs/dbraw/zinc/25/85/63/590258563.db2.gz LHEYCGVEPFKHQJ-UUILKARUSA-N 0 3 236.290 2.656 20 0 BFADHN C[C@H]1CC[C@H](NCc2ccc(Cl)nn2)CC1 ZINC000877026786 590270804 /nfs/dbraw/zinc/27/08/04/590270804.db2.gz KCOANQFNYRZWKJ-MGCOHNPYSA-N 0 3 239.750 2.798 20 0 BFADHN Nc1c(Cl)cccc1CN[C@H]1CCSC1 ZINC000877072430 590277820 /nfs/dbraw/zinc/27/78/20/590277820.db2.gz MIPALPHNBKBSAQ-VIFPVBQESA-N 0 3 242.775 2.517 20 0 BFADHN COCCC(C)(C)NCc1nc(Cl)cs1 ZINC000877077230 590278822 /nfs/dbraw/zinc/27/88/22/590278822.db2.gz ZRVJFBQGJRATMW-UHFFFAOYSA-N 0 3 248.779 2.701 20 0 BFADHN CCC1(CNCc2cc(OC)cnc2F)CC1 ZINC000877077247 590278939 /nfs/dbraw/zinc/27/89/39/590278939.db2.gz AGDUSOTXWRGSSH-UHFFFAOYSA-N 0 3 238.306 2.509 20 0 BFADHN CC1(C)CCC[C@H]1NCc1ccc(Cl)nn1 ZINC000877078289 590279389 /nfs/dbraw/zinc/27/93/89/590279389.db2.gz KZGQJTJICJDSIZ-SNVBAGLBSA-N 0 3 239.750 2.798 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cc(C3CC3)no2)C1 ZINC000877460948 590331493 /nfs/dbraw/zinc/33/14/93/590331493.db2.gz ZTOJQHCEHPQWSS-MWLCHTKSSA-N 0 3 220.316 2.830 20 0 BFADHN C[C@H]1CC[C@H](NCc2cc(C3CC3)no2)C1 ZINC000877460949 590331819 /nfs/dbraw/zinc/33/18/19/590331819.db2.gz ZTOJQHCEHPQWSS-ONGXEEELSA-N 0 3 220.316 2.830 20 0 BFADHN COc1cnc(F)c(CNCCC=C(C)C)c1 ZINC000877471835 590335038 /nfs/dbraw/zinc/33/50/38/590335038.db2.gz BTAAUTNWXWLVKK-UHFFFAOYSA-N 0 3 238.306 2.675 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1ccc(Cl)nn1 ZINC000877500723 590341839 /nfs/dbraw/zinc/34/18/39/590341839.db2.gz IFUNROWVAGLYOQ-NXEZZACHSA-N 0 3 239.750 2.656 20 0 BFADHN C[C@H](F)CCNCc1cccc(Cl)c1N ZINC000877552690 590355435 /nfs/dbraw/zinc/35/54/35/590355435.db2.gz VQRQAULXJUFLTL-QMMMGPOBSA-N 0 3 230.714 2.760 20 0 BFADHN CCC[C@@H](CN1CCC[C@](C)(F)C1)OC ZINC000877557723 590359195 /nfs/dbraw/zinc/35/91/95/590359195.db2.gz OYUBFVBKJVBUOI-RYUDHWBXSA-N 0 3 217.328 2.626 20 0 BFADHN ClC1(Cl)C[C@@H]1CN1CC[C@H]1C1CC1 ZINC000877561476 590359913 /nfs/dbraw/zinc/35/99/13/590359913.db2.gz GMVHWEPFUVFBJD-BDAKNGLRSA-N 0 3 220.143 2.665 20 0 BFADHN Cc1nocc1CN[C@H](C)CSC(C)(C)C ZINC000877563456 590360508 /nfs/dbraw/zinc/36/05/08/590360508.db2.gz NMWHZEQGBFGKSJ-SECBINFHSA-N 0 3 242.388 2.993 20 0 BFADHN CCc1nc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)co1 ZINC000877570811 590361679 /nfs/dbraw/zinc/36/16/79/590361679.db2.gz NLFDNPGNBJAFGT-UTUOFQBUSA-N 0 3 234.343 2.763 20 0 BFADHN CC[C@@H](C)N1CCN(CC2(C)CCCC2)CC1 ZINC000877573737 590363280 /nfs/dbraw/zinc/36/32/80/590363280.db2.gz VJPLULKYUCKPEQ-CQSZACIVSA-N 0 3 238.419 2.983 20 0 BFADHN CSC(C)(C)CCN1CC(C(F)F)C1 ZINC000877580169 590364606 /nfs/dbraw/zinc/36/46/06/590364606.db2.gz GIVSYWMLSWCFJQ-UHFFFAOYSA-N 0 3 223.332 2.715 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1ccc(Cl)nn1 ZINC000877582753 590366424 /nfs/dbraw/zinc/36/64/24/590366424.db2.gz HATZOOMSWPUPPF-MWLCHTKSSA-N 0 3 239.750 2.654 20 0 BFADHN Fc1ccc(/C=C\CNC2CSC2)cc1 ZINC000877634735 590380173 /nfs/dbraw/zinc/38/01/73/590380173.db2.gz RLMKDBRTOKPJFJ-UPHRSURJSA-N 0 3 223.316 2.544 20 0 BFADHN Cc1c(Cl)cccc1CNC1([C@H](C)O)CC1 ZINC000877636655 590380932 /nfs/dbraw/zinc/38/09/32/590380932.db2.gz AKRSLDPPDIAHBD-JTQLQIEISA-N 0 3 239.746 2.651 20 0 BFADHN COc1cnc(F)c(CNC2CC(C)(C)C2)c1 ZINC000877639648 590381590 /nfs/dbraw/zinc/38/15/90/590381590.db2.gz NWILALXDWAMTBN-UHFFFAOYSA-N 0 3 238.306 2.508 20 0 BFADHN F[C@@H]1CCCC[C@@H]1NC[C@H]1CCC=CO1 ZINC000877682992 590391365 /nfs/dbraw/zinc/39/13/65/590391365.db2.gz WHZFZGQOUZMIEI-UTUOFQBUSA-N 0 3 213.296 2.549 20 0 BFADHN CC[C@]1(O)CCCN(Cc2cc(C)oc2C)C1 ZINC000877691736 590393212 /nfs/dbraw/zinc/39/32/12/590393212.db2.gz UTNWEHRRFUXRFV-AWEZNQCLSA-N 0 3 237.343 2.633 20 0 BFADHN c1nc(C2CC2)oc1CN1C[C@@H]2CC=CC[C@@H]2C1 ZINC000877718493 590399955 /nfs/dbraw/zinc/39/99/55/590399955.db2.gz KJRHANLEFUVOFT-BETUJISGSA-N 0 3 244.338 2.950 20 0 BFADHN c1cc(CNCCC[C@H]2C=CCC2)sn1 ZINC000877807619 590426243 /nfs/dbraw/zinc/42/62/43/590426243.db2.gz XMWGVUBOCQQBDA-NSHDSACASA-N 0 3 222.357 2.979 20 0 BFADHN CC(C)CN1CC[C@@H](C(F)(F)F)O[C@H](C)C1 ZINC000877823581 590426935 /nfs/dbraw/zinc/42/69/35/590426935.db2.gz MKFZZFMMXLXAFH-ZJUUUORDSA-N 0 3 239.281 2.684 20 0 BFADHN Cc1ccc(CN(C)C[C@@H](C)C(C)(C)C)nn1 ZINC000877824598 590428607 /nfs/dbraw/zinc/42/86/07/590428607.db2.gz KIASDMYAQBSBGY-LLVKDONJSA-N 0 3 235.375 2.899 20 0 BFADHN CCOC(=O)[C@@H](C)C1CN(CCC(C)(C)C)C1 ZINC000877830772 590428821 /nfs/dbraw/zinc/42/88/21/590428821.db2.gz PVGLHFWYAYBNLR-NSHDSACASA-N 0 3 241.375 2.554 20 0 BFADHN C[C@H](CN(C)Cc1cnn(C)c1)CC(C)(C)C ZINC000877903876 590444863 /nfs/dbraw/zinc/44/48/63/590444863.db2.gz HNGNLOPJEBZGQG-LBPRGKRZSA-N 0 3 237.391 2.924 20 0 BFADHN CC(C)[C@@H](O)CCN1CCc2sccc2C1 ZINC000877925765 590449102 /nfs/dbraw/zinc/44/91/02/590449102.db2.gz KSPZDKRIPPOMSL-LBPRGKRZSA-N 0 3 239.384 2.513 20 0 BFADHN CO[C@H]1C[C@@H](CN2CCc3sccc3C2)C1 ZINC000877926206 590449150 /nfs/dbraw/zinc/44/91/50/590449150.db2.gz SOUWUEVHVVMWPW-KLPPZKSPSA-N 0 3 237.368 2.531 20 0 BFADHN CCN(CC)Cc1ccc(C)c(Cl)n1 ZINC000877928848 590450306 /nfs/dbraw/zinc/45/03/06/590450306.db2.gz KKLUOYHUPNXKAC-UHFFFAOYSA-N 0 3 212.724 2.885 20 0 BFADHN CC(C)NC(=O)CN(C)C[C@H](C)CC(C)(C)C ZINC000877941816 590454124 /nfs/dbraw/zinc/45/41/24/590454124.db2.gz ZOTICXKDRPCFFS-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN CSCCN[C@@H](C)c1ccc(Cl)nc1 ZINC000126813495 590460052 /nfs/dbraw/zinc/46/00/52/590460052.db2.gz NMOFOKBSTDMXEV-QMMMGPOBSA-N 0 3 230.764 2.749 20 0 BFADHN C=C/C=C\CCN1C[C@H](C)OC[C@H]1CC ZINC000877963707 590460576 /nfs/dbraw/zinc/46/05/76/590460576.db2.gz JAMUMDJVCQMKEJ-ASOISWSRSA-N 0 3 209.333 2.618 20 0 BFADHN CC(C)[C@@H](O)CCN(Cc1ccco1)C(C)C ZINC000878002553 590469666 /nfs/dbraw/zinc/46/96/66/590469666.db2.gz ZWEXAEGYCPWOEQ-AWEZNQCLSA-N 0 3 239.359 2.897 20 0 BFADHN CO[C@H]1C[C@@H](CN(C)[C@@H](C)c2ccco2)C1 ZINC000878003020 590471169 /nfs/dbraw/zinc/47/11/69/590471169.db2.gz RWKDEWYGFATMCZ-SDDRHHMPSA-N 0 3 223.316 2.697 20 0 BFADHN CCCN(C)Cc1ccc(C)c(Cl)n1 ZINC000878014763 590471678 /nfs/dbraw/zinc/47/16/78/590471678.db2.gz VWJIIFPPTQLROZ-UHFFFAOYSA-N 0 3 212.724 2.885 20 0 BFADHN CCN(CC[C@H](O)C(C)C)Cc1ccoc1 ZINC000878036126 590476783 /nfs/dbraw/zinc/47/67/83/590476783.db2.gz HAYDKFBTMLITIC-ZDUSSCGKSA-N 0 3 225.332 2.509 20 0 BFADHN COC(C)(C)CN[C@H](C)c1ccc(Cl)nc1 ZINC000126882862 590489689 /nfs/dbraw/zinc/48/96/89/590489689.db2.gz BEAPGSVVBMXUMB-SECBINFHSA-N 0 3 242.750 2.811 20 0 BFADHN CCn1cc(CN(C)CC2CC3(CCC3)C2)cn1 ZINC000878108821 590496558 /nfs/dbraw/zinc/49/65/58/590496558.db2.gz XSVOVKRUVOMOOS-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CC(C)CC1CCN(CCc2cnccn2)CC1 ZINC000878191804 590520497 /nfs/dbraw/zinc/52/04/97/590520497.db2.gz UXVQHXQZHFOCBJ-UHFFFAOYSA-N 0 3 247.386 2.777 20 0 BFADHN C=C/C=C/CCN1CCOC2(CCCC2)C1 ZINC000878196961 590522874 /nfs/dbraw/zinc/52/28/74/590522874.db2.gz KBENEQVLEZAMSY-ONEGZZNKSA-N 0 3 221.344 2.764 20 0 BFADHN C[C@H]1OCCN(CC2CC3(CCC3)C2)[C@H]1C ZINC000878197199 590523194 /nfs/dbraw/zinc/52/31/94/590523194.db2.gz KTECGSAHVRLQGJ-NWDGAFQWSA-N 0 3 223.360 2.676 20 0 BFADHN C=C/C=C/CCN1CCc2ccc(O)cc2C1 ZINC000878252809 590529805 /nfs/dbraw/zinc/52/98/05/590529805.db2.gz VPXKSOVKLIYOMV-ONEGZZNKSA-N 0 3 229.323 2.883 20 0 BFADHN C=C/C=C/CCN(C)C1(C(=O)OC)CCCC1 ZINC000878273935 590532384 /nfs/dbraw/zinc/53/23/84/590532384.db2.gz TVYWKZSOAIFYTF-AATRIKPKSA-N 0 3 237.343 2.536 20 0 BFADHN CN(CCCC1CC1)[C@H]1CCCc2c1cnn2C ZINC000878289956 590535342 /nfs/dbraw/zinc/53/53/42/590535342.db2.gz GUVIGYKUHBLEQA-AWEZNQCLSA-N 0 3 247.386 2.920 20 0 BFADHN C=C/C=C\CCN(C)Cc1ccc(OC)nc1 ZINC000878291011 590535799 /nfs/dbraw/zinc/53/57/99/590535799.db2.gz QIOIUOUVVKYHHN-WAYWQWQTSA-N 0 3 232.327 2.654 20 0 BFADHN C[C@H]1COCCN(CCCSC(C)(C)C)C1 ZINC000878298089 590536207 /nfs/dbraw/zinc/53/62/07/590536207.db2.gz GEOZKBFMEFVSOA-GFCCVEGCSA-N 0 3 245.432 2.877 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2C[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000878294917 590536646 /nfs/dbraw/zinc/53/66/46/590536646.db2.gz UFYFMGQOYXATJX-SBJFKYEJSA-N 0 3 233.355 2.737 20 0 BFADHN C[C@@H](CN1CCc2n[nH]cc2C1)CC(C)(C)C ZINC000878311199 590541102 /nfs/dbraw/zinc/54/11/02/590541102.db2.gz DCIZFTBUWRHOQL-LLVKDONJSA-N 0 3 235.375 2.840 20 0 BFADHN CC1(C)CC[C@H](CN2CCCSCC2)OC1 ZINC000878312889 590541225 /nfs/dbraw/zinc/54/12/25/590541225.db2.gz CDKMPCFCWJPTSW-GFCCVEGCSA-N 0 3 243.416 2.631 20 0 BFADHN C[C@@H]1CN(CCCSC(C)(C)C)CCN1C ZINC000878315939 590542363 /nfs/dbraw/zinc/54/23/63/590542363.db2.gz DPMVTPNCTPPVBN-GFCCVEGCSA-N 0 3 244.448 2.544 20 0 BFADHN C[C@H](NC[C@H]1CC2(CCC2)CO1)c1cccnc1 ZINC000878346073 590552902 /nfs/dbraw/zinc/55/29/02/590552902.db2.gz CPGXDPBLJPHRMY-GXTWGEPZSA-N 0 3 246.354 2.691 20 0 BFADHN C[C@H]1CCOCCN1CC[C@@H]1CCCC1(F)F ZINC000878374577 590554560 /nfs/dbraw/zinc/55/45/60/590554560.db2.gz URIQBADIAFQDMO-RYUDHWBXSA-N 0 3 247.329 2.923 20 0 BFADHN C[C@@H]1OCC[C@@H]1CNC1(c2ccccc2F)CC1 ZINC000878366740 590554834 /nfs/dbraw/zinc/55/48/34/590554834.db2.gz XALNSXPDYOWUHO-NWDGAFQWSA-N 0 3 249.329 2.829 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1nccn1CC(F)F ZINC000878365692 590554849 /nfs/dbraw/zinc/55/48/49/590554849.db2.gz CTFHONQGOYZXAV-ZJUUUORDSA-N 0 3 245.317 2.672 20 0 BFADHN C=C/C=C\CCN[C@H](CO)c1cccc(F)c1 ZINC000878394578 590558737 /nfs/dbraw/zinc/55/87/37/590558737.db2.gz ARROOPRBZJDNLQ-VQTKUKTRSA-N 0 3 235.302 2.581 20 0 BFADHN OC[C@@H](NCCCC1CC1)c1cccc(F)c1 ZINC000878395991 590559451 /nfs/dbraw/zinc/55/94/51/590559451.db2.gz HYDLUPIANNHMMB-CQSZACIVSA-N 0 3 237.318 2.639 20 0 BFADHN COc1ccccc1[C@@H](CO)NCCCC1CC1 ZINC000878397487 590559852 /nfs/dbraw/zinc/55/98/52/590559852.db2.gz UEFKHPAOQKBDRP-CQSZACIVSA-N 0 3 249.354 2.508 20 0 BFADHN C[C@H](C[S@@](C)=O)N(C)C[C@@H](C)CC(C)(C)C ZINC000878405707 590561504 /nfs/dbraw/zinc/56/15/04/590561504.db2.gz IVXNETJVRURQTL-HWWQOWPSSA-N 0 3 247.448 2.758 20 0 BFADHN C=C/C=C\CCN[C@@H](COC)c1ccco1 ZINC000878409959 590562025 /nfs/dbraw/zinc/56/20/25/590562025.db2.gz PTAJCAMNEATBCF-RXNFCKPNSA-N 0 3 221.300 2.689 20 0 BFADHN C=C/C=C\CCN[C@@H](CO)c1ccsc1 ZINC000878442561 590568959 /nfs/dbraw/zinc/56/89/59/590568959.db2.gz NWNSWSGKMCJNIS-MVZIDQBPSA-N 0 3 223.341 2.503 20 0 BFADHN COCCN(CCCC1CC1)Cc1cccnc1 ZINC000878475852 590574550 /nfs/dbraw/zinc/57/45/50/590574550.db2.gz SXDADRNMALKCFT-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN CC1=CCCN(C[C@@H]2CCC(C)(C)CO2)C1 ZINC000878548703 590587515 /nfs/dbraw/zinc/58/75/15/590587515.db2.gz PIJYUFUJNQPJGU-ZDUSSCGKSA-N 0 3 223.360 2.844 20 0 BFADHN CC(=O)CN1C[C@H](C)C[C@@H]1c1ccccc1 ZINC000878612588 590596655 /nfs/dbraw/zinc/59/66/55/590596655.db2.gz RJAIEBHKFYKEDB-BXUZGUMPSA-N 0 3 217.312 2.659 20 0 BFADHN CC[C@@H](C)N1CCN(CCCC2CC2)CC1 ZINC000878683779 590609496 /nfs/dbraw/zinc/60/94/96/590609496.db2.gz IJXJSRURBGLTCW-CYBMUJFWSA-N 0 3 224.392 2.593 20 0 BFADHN CC1(C)CCC[C@H](CN2C[C@@H]3C[C@H]2CS3)O1 ZINC000878706507 590612599 /nfs/dbraw/zinc/61/25/99/590612599.db2.gz YHJSQUQEJKAHBV-TUAOUCFPSA-N 0 3 241.400 2.524 20 0 BFADHN COC1(CCN2CCC=C(Cl)C2)CCC1 ZINC000878790087 590622179 /nfs/dbraw/zinc/62/21/79/590622179.db2.gz DOLRAVRVTUWSIW-UHFFFAOYSA-N 0 3 229.751 2.774 20 0 BFADHN C[C@@H](NCc1nccn1CC(F)F)C(C)(C)C ZINC000878810560 590626031 /nfs/dbraw/zinc/62/60/31/590626031.db2.gz AXACFNNVTNXBDR-SECBINFHSA-N 0 3 245.317 2.672 20 0 BFADHN C[C@@]1(C2CC2)CN(CCCC2CC2)CCO1 ZINC000878889437 590642214 /nfs/dbraw/zinc/64/22/14/590642214.db2.gz XYNURCHIYYFILM-AWEZNQCLSA-N 0 3 223.360 2.678 20 0 BFADHN C([C@@H]1CCC2(CCC2)O1)N(C1CC1)C1CC1 ZINC000879037835 590684401 /nfs/dbraw/zinc/68/44/01/590684401.db2.gz MZKHXWPSSCILCQ-ZDUSSCGKSA-N 0 3 221.344 2.715 20 0 BFADHN FCC[C@H]1CN(CC2CC3(CCC3)C2)CCO1 ZINC000879054139 590688627 /nfs/dbraw/zinc/68/86/27/590688627.db2.gz LJJBUXYULLNHMK-ZDUSSCGKSA-N 0 3 241.350 2.627 20 0 BFADHN CO[C@H]1CC[C@@H](C)N(CCOCCC(C)C)C1 ZINC000879322366 590742564 /nfs/dbraw/zinc/74/25/64/590742564.db2.gz VQXVXOUUUVDAOC-KGLIPLIRSA-N 0 3 243.391 2.548 20 0 BFADHN CCc1ccc(CN2C[C@H](OC)CC[C@@H]2C)nc1 ZINC000879325431 590746163 /nfs/dbraw/zinc/74/61/63/590746163.db2.gz NJFOVHODDOGWLI-SWLSCSKDSA-N 0 3 248.370 2.643 20 0 BFADHN CC[C@@H](O)CCCNCc1cc(C)oc1C ZINC000127694701 590754711 /nfs/dbraw/zinc/75/47/11/590754711.db2.gz MMWQDIYLQZACGP-CYBMUJFWSA-N 0 3 225.332 2.537 20 0 BFADHN Fc1cccc(CN2C[C@@H]3CC=CC[C@@H]3C2)n1 ZINC000879530091 590791018 /nfs/dbraw/zinc/79/10/18/590791018.db2.gz LSRNEAALUBTMBP-TXEJJXNPSA-N 0 3 232.302 2.619 20 0 BFADHN Cc1cncc(CN2CC[C@H]3CSC[C@@H]3C2)c1 ZINC000879564087 590805033 /nfs/dbraw/zinc/80/50/33/590805033.db2.gz GQTQLPGMKHIJKP-KBPBESRZSA-N 0 3 248.395 2.575 20 0 BFADHN C[C@@H](c1ccncc1)N1CCC(C(C)(C)O)CC1 ZINC000879625611 590840677 /nfs/dbraw/zinc/84/06/77/590840677.db2.gz ITAXYHMLSWLVPN-LBPRGKRZSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@H](N[C@H]1C=CCCCCC1)c1ccnn1C ZINC000879686774 590848460 /nfs/dbraw/zinc/84/84/60/590848460.db2.gz ITURDVXOJADXJS-OLZOCXBDSA-N 0 3 233.359 2.960 20 0 BFADHN CCC[C@@H](O)CN(C)Cc1ccc2cc[nH]c2c1 ZINC000879728199 590856528 /nfs/dbraw/zinc/85/65/28/590856528.db2.gz MGRALBLGTQQTAO-CQSZACIVSA-N 0 3 246.354 2.761 20 0 BFADHN CCOCCN1CCC[C@@H]1c1cncc(C)c1 ZINC000879747187 590861049 /nfs/dbraw/zinc/86/10/49/590861049.db2.gz JVYHCWPQJIOJSM-CQSZACIVSA-N 0 3 234.343 2.563 20 0 BFADHN Cc1cncc([C@H]2CCCN2CC2(C)COC2)c1 ZINC000879750239 590862132 /nfs/dbraw/zinc/86/21/32/590862132.db2.gz OGEWKQRYYUOSDB-CQSZACIVSA-N 0 3 246.354 2.563 20 0 BFADHN Cc1cncc([C@H]2CCCN2CCCF)c1 ZINC000879750303 590862695 /nfs/dbraw/zinc/86/26/95/590862695.db2.gz QBHCOFNQVHEORJ-CYBMUJFWSA-N 0 3 222.307 2.887 20 0 BFADHN C[C@H](c1ccccn1)N1CCC[C@@H](C(C)(C)O)C1 ZINC000879963421 590899499 /nfs/dbraw/zinc/89/94/99/590899499.db2.gz WIPZTQPKFJDGAU-CHWSQXEVSA-N 0 3 248.370 2.626 20 0 BFADHN FCCN1CC[C@]2(CCc3ccccc32)C1 ZINC000879998159 590904372 /nfs/dbraw/zinc/90/43/72/590904372.db2.gz MNQBYLCLEPQFQR-CQSZACIVSA-N 0 3 219.303 2.546 20 0 BFADHN CCC[C@H](C)N1CCO[C@@H](C(F)(F)F)CC1 ZINC000880053514 590915035 /nfs/dbraw/zinc/91/50/35/590915035.db2.gz OLNQNNZTKHKDLT-VHSXEESVSA-N 0 3 239.281 2.828 20 0 BFADHN CCC1(CC)CCCN1Cc1nccn1C ZINC000880093710 590923306 /nfs/dbraw/zinc/92/33/06/590923306.db2.gz HGMVVHZAKHOVCF-UHFFFAOYSA-N 0 3 221.348 2.575 20 0 BFADHN C[C@H](c1cccnc1)N1CCC[C@@H](CF)C1 ZINC000880232448 590963357 /nfs/dbraw/zinc/96/33/57/590963357.db2.gz NITOYVBZUDJKCT-NEPJUHHUSA-N 0 3 222.307 2.824 20 0 BFADHN CCc1noc(C)c1CN1CCC[C@@H](CF)C1 ZINC000880233548 590965635 /nfs/dbraw/zinc/96/56/35/590965635.db2.gz LVHNQEFZFSYIII-NSHDSACASA-N 0 3 240.322 2.727 20 0 BFADHN FC[C@@H]1CCCN(Cc2cccs2)C1 ZINC000880237088 590967916 /nfs/dbraw/zinc/96/79/16/590967916.db2.gz PVSBTOTYAHHPJJ-JTQLQIEISA-N 0 3 213.321 2.930 20 0 BFADHN COc1ccc(CN2CCC[C@@H](CF)C2)cc1 ZINC000880237005 590968735 /nfs/dbraw/zinc/96/87/35/590968735.db2.gz OHENAZUGBCTNJR-ZDUSSCGKSA-N 0 3 237.318 2.877 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](CF)C2)cn1 ZINC000880238286 590969710 /nfs/dbraw/zinc/96/97/10/590969710.db2.gz QTYHFZNTTOZHTL-LBPRGKRZSA-N 0 3 222.307 2.572 20 0 BFADHN FC1CCN(CCOCC2CCCC2)CC1 ZINC000880240037 590974376 /nfs/dbraw/zinc/97/43/76/590974376.db2.gz XHPPCOITKYMINB-UHFFFAOYSA-N 0 3 229.339 2.627 20 0 BFADHN CC(C)=CCN1CCN(c2ccncc2)[C@H](C)C1 ZINC000880261050 590980071 /nfs/dbraw/zinc/98/00/71/590980071.db2.gz TUOZAFAIBQRHPC-CQSZACIVSA-N 0 3 245.370 2.558 20 0 BFADHN FC[C@@H]1CCN(CCc2c(F)cccc2F)C1 ZINC000880299925 590995165 /nfs/dbraw/zinc/99/51/65/590995165.db2.gz MFJMDBLNEJSNKP-JTQLQIEISA-N 0 3 243.272 2.799 20 0 BFADHN Cc1cc(C)c(CN2CC[C@@H](CF)C2)c(C)n1 ZINC000880301184 590996492 /nfs/dbraw/zinc/99/64/92/590996492.db2.gz NXLUWXOMFPNRKN-ZDUSSCGKSA-N 0 3 236.334 2.798 20 0 BFADHN CC[C@H](C)CN1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880303445 590998690 /nfs/dbraw/zinc/99/86/90/590998690.db2.gz NXNBUJLGEXSWOB-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN CC(C)=CCN1CC[C@H](c2nccs2)C1 ZINC000880314883 591001751 /nfs/dbraw/zinc/00/17/51/591001751.db2.gz GUUGTWVPPQSDNS-NSHDSACASA-N 0 3 222.357 2.899 20 0 BFADHN CCC(CC)CNC1(c2ncccn2)CCC1 ZINC000880367342 591012359 /nfs/dbraw/zinc/01/23/59/591012359.db2.gz BLVHGMWBXKZCSF-UHFFFAOYSA-N 0 3 233.359 2.882 20 0 BFADHN COCc1ccc(CN[C@@H](C)CCSC)o1 ZINC000128401964 591030549 /nfs/dbraw/zinc/03/05/49/591030549.db2.gz FRAVKEIDOWKQSD-JTQLQIEISA-N 0 3 243.372 2.657 20 0 BFADHN COCc1ccc(CN[C@H](C)CCSC)o1 ZINC000128402156 591031354 /nfs/dbraw/zinc/03/13/54/591031354.db2.gz FRAVKEIDOWKQSD-SNVBAGLBSA-N 0 3 243.372 2.657 20 0 BFADHN C[C@@H]1CC[C@H](CO)N1Cc1cc2ccccc2o1 ZINC000880438881 591039521 /nfs/dbraw/zinc/03/95/21/591039521.db2.gz CUIZDOUPGHUSRK-DGCLKSJQSA-N 0 3 245.322 2.778 20 0 BFADHN c1cc(CN2CCC3(CCCCO3)CC2)ccn1 ZINC000880455020 591049099 /nfs/dbraw/zinc/04/90/99/591049099.db2.gz NBJLOAPIJXUQAI-UHFFFAOYSA-N 0 3 246.354 2.617 20 0 BFADHN OC[C@H]1C=CCN1Cc1cccc2ccccc21 ZINC000880484893 591058002 /nfs/dbraw/zinc/05/80/02/591058002.db2.gz VMCHICFYZHZEGC-OAHLLOKOSA-N 0 3 239.318 2.573 20 0 BFADHN CC(C)c1cccc(CN2CC=C[C@@H]2CO)c1 ZINC000880494757 591073967 /nfs/dbraw/zinc/07/39/67/591073967.db2.gz SDFHBJUSBZHHEH-OAHLLOKOSA-N 0 3 231.339 2.543 20 0 BFADHN CC[C@@](C)(CN)c1nc(-c2cccc(F)c2)no1 ZINC000211494838 591177390 /nfs/dbraw/zinc/17/73/90/591177390.db2.gz JFPYOYKQBAFJEI-ZDUSSCGKSA-N 0 3 249.289 2.502 20 0 BFADHN CCCCC[C@@H](NC(=O)[C@H](N)CC)C(C)(C)C ZINC000129869888 591414940 /nfs/dbraw/zinc/41/49/40/591414940.db2.gz CPSSUSHWODUQHK-VXGBXAGGSA-N 0 3 242.407 2.835 20 0 BFADHN CCc1ccc(NC(=O)C(C)C(F)(F)F)nc1 ZINC000882719258 591420399 /nfs/dbraw/zinc/42/03/99/591420399.db2.gz GIYUQFAWGHUHRF-SSDOTTSWSA-N 0 3 246.232 2.781 20 0 BFADHN CC[C@@H](N)C(=O)N(C)c1ccc(C(C)C)cc1 ZINC000130088359 591436368 /nfs/dbraw/zinc/43/63/68/591436368.db2.gz NSKKZNZICWEZPI-CYBMUJFWSA-N 0 3 234.343 2.510 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@@H]2CC=CCC2)n1 ZINC000882927963 591476198 /nfs/dbraw/zinc/47/61/98/591476198.db2.gz YMZZVLLSPJSYTB-GFCCVEGCSA-N 0 3 233.359 2.979 20 0 BFADHN CC(C)c1c[nH]c(CN[C@H](C)C2(C)CC2)n1 ZINC000882936601 591477736 /nfs/dbraw/zinc/47/77/36/591477736.db2.gz YSPQGWCMDWIGBQ-SNVBAGLBSA-N 0 3 221.348 2.811 20 0 BFADHN CSC[C@@H](C)NCc1cccc(Cl)c1N ZINC000883013975 591514256 /nfs/dbraw/zinc/51/42/56/591514256.db2.gz FDVVGOCXVFKFLW-MRVPVSSYSA-N 0 3 244.791 2.763 20 0 BFADHN CC[C@@H](NCc1cc(C(=O)OC)co1)C(C)C ZINC000130753298 591515131 /nfs/dbraw/zinc/51/51/31/591515131.db2.gz CVXKQNVRANHPFU-GFCCVEGCSA-N 0 3 239.315 2.590 20 0 BFADHN Fc1cccc(CN[C@@H]2C[C@@H]3CC[C@@H](C3)C2)n1 ZINC000883073516 591535624 /nfs/dbraw/zinc/53/56/24/591535624.db2.gz SCTSIEJAXHSKLB-PTEHBNRSSA-N 0 3 234.318 2.889 20 0 BFADHN Fc1cccnc1CN[C@H]1C[C@@H]2CC[C@@H](C2)C1 ZINC000883073956 591535973 /nfs/dbraw/zinc/53/59/73/591535973.db2.gz HMLLRMARQZMRNZ-GDNZZTSVSA-N 0 3 234.318 2.889 20 0 BFADHN CC(C)c1c[nH]c(CNCCC2(C)CC2)n1 ZINC000883107317 591540502 /nfs/dbraw/zinc/54/05/02/591540502.db2.gz UOZKLWQFHZAONW-UHFFFAOYSA-N 0 3 221.348 2.813 20 0 BFADHN CC(C)c1c[nH]c(CN[C@H]2CCC2(C)C)n1 ZINC000883120080 591542529 /nfs/dbraw/zinc/54/25/29/591542529.db2.gz SEEYSEPODKGVEE-NSHDSACASA-N 0 3 221.348 2.811 20 0 BFADHN CC(C)c1c[nH]c(CNC2(C(C)C)CC2)n1 ZINC000883130816 591546099 /nfs/dbraw/zinc/54/60/99/591546099.db2.gz SVFCGDAZCJHKOR-UHFFFAOYSA-N 0 3 221.348 2.811 20 0 BFADHN CCc1ncc(CN[C@@H]2C[C@@H]3CC[C@@H](C3)C2)o1 ZINC000883136590 591547905 /nfs/dbraw/zinc/54/79/05/591547905.db2.gz IATQQPURBFTJPC-ZSBIGDGJSA-N 0 3 234.343 2.905 20 0 BFADHN CCn1ccc(CN[C@@H]2C[C@@H]3CC[C@@H](C3)C2)n1 ZINC000883145587 591551613 /nfs/dbraw/zinc/55/16/13/591551613.db2.gz GRGGLBWXEYIXFU-DABQJJPHSA-N 0 3 233.359 2.571 20 0 BFADHN CC[C@H](C[C@H](C)O)NCc1ccc(SC)o1 ZINC000883200411 591563366 /nfs/dbraw/zinc/56/33/66/591563366.db2.gz JAAOADVMJVKBLL-VHSXEESVSA-N 0 3 243.372 2.641 20 0 BFADHN CC[C@@H](C[C@H](C)O)NCc1ccc(SC)o1 ZINC000883200410 591571810 /nfs/dbraw/zinc/57/18/10/591571810.db2.gz JAAOADVMJVKBLL-UWVGGRQHSA-N 0 3 243.372 2.641 20 0 BFADHN C1=C[C@@H](CNCc2nc3c(s2)CCC3)CC1 ZINC000883237618 591583902 /nfs/dbraw/zinc/58/39/02/591583902.db2.gz GRHDSEUMPMHKKQ-SNVBAGLBSA-N 0 3 234.368 2.688 20 0 BFADHN CCc1ncc(CNCC2(CC3CC3)CC2)cn1 ZINC000883248756 591589610 /nfs/dbraw/zinc/58/96/10/591589610.db2.gz FEBNEFYGYKCWQB-UHFFFAOYSA-N 0 3 245.370 2.709 20 0 BFADHN CCC[C@H](C)CCNCc1c(C)nnn1CC ZINC000883250839 591591074 /nfs/dbraw/zinc/59/10/74/591591074.db2.gz QGHPFAALCHHUBB-NSHDSACASA-N 0 3 238.379 2.522 20 0 BFADHN CCC[C@H](C)CCNCc1ccc(C)nn1 ZINC000883250547 591591553 /nfs/dbraw/zinc/59/15/53/591591553.db2.gz CZBCAKCHYASMQX-NSHDSACASA-N 0 3 221.348 2.701 20 0 BFADHN CCC[C@@H](C)CCN[C@H](C)c1nccn1C ZINC000883257671 591594833 /nfs/dbraw/zinc/59/48/33/591594833.db2.gz HPRYUSLLCITXPQ-VXGBXAGGSA-N 0 3 223.364 2.897 20 0 BFADHN CCC[C@H](C)CCN[C@@H](C)c1ccn(C)n1 ZINC000883257933 591595874 /nfs/dbraw/zinc/59/58/74/591595874.db2.gz NQAMPULMMWFBIP-RYUDHWBXSA-N 0 3 223.364 2.897 20 0 BFADHN CCC[C@@H](C)CCN[C@H](C)c1ccn(C)n1 ZINC000883257934 591596498 /nfs/dbraw/zinc/59/64/98/591596498.db2.gz NQAMPULMMWFBIP-VXGBXAGGSA-N 0 3 223.364 2.897 20 0 BFADHN C=C/C=C/CCNCc1cncc(OCC)c1 ZINC000883259494 591597096 /nfs/dbraw/zinc/59/70/96/591597096.db2.gz OLEWKGWOIQLFAQ-AATRIKPKSA-N 0 3 232.327 2.702 20 0 BFADHN C=C/C=C\CCNCc1c(C2CCC2)cnn1C ZINC000883260305 591597666 /nfs/dbraw/zinc/59/76/66/591597666.db2.gz QAQOUABTDWBOEM-PLNGDYQASA-N 0 3 245.370 2.910 20 0 BFADHN C=C/C=C\CCNCc1cnc(C2CC2)o1 ZINC000883259709 591597963 /nfs/dbraw/zinc/59/79/63/591597963.db2.gz VKNDBQDNRHIYGK-ARJAWSKDSA-N 0 3 218.300 2.774 20 0 BFADHN C=C/C=C\CCNCc1cn2cc(C)ccc2n1 ZINC000883259871 591598015 /nfs/dbraw/zinc/59/80/15/591598015.db2.gz BMFRBJHKPFYNHJ-PLNGDYQASA-N 0 3 241.338 2.865 20 0 BFADHN Cn1ccnc1[C@@H](NC[C@]1(C)CC1(C)C)C1CC1 ZINC000883261228 591599160 /nfs/dbraw/zinc/59/91/60/591599160.db2.gz VHMFWCJLSGSJMZ-WFASDCNBSA-N 0 3 247.386 2.897 20 0 BFADHN Cc1c[nH]nc1CNCC[C@@H]1CCCC1(F)F ZINC000883265044 591600326 /nfs/dbraw/zinc/60/03/26/591600326.db2.gz QNEFCQCDZKUGNX-JTQLQIEISA-N 0 3 243.301 2.633 20 0 BFADHN C=C/C=C\CCN[C@@H](C)c1cnc(C)nc1C ZINC000883267768 591600665 /nfs/dbraw/zinc/60/06/65/591600665.db2.gz PNHMFHICJLTCBI-ZADCQDASSA-N 0 3 231.343 2.876 20 0 BFADHN C=C/C=C/CCN[C@@H](C)c1cc(C)nn1C ZINC000883269084 591602130 /nfs/dbraw/zinc/60/21/30/591602130.db2.gz ZIZUYPIABZYEIC-SYTKJHMZSA-N 0 3 219.332 2.511 20 0 BFADHN Cc1ccc(CN[C@@H]2CC[C@H]2C)c(Cl)n1 ZINC000883301752 591609739 /nfs/dbraw/zinc/60/97/39/591609739.db2.gz HYCRVUGEBDJTCP-LDYMZIIASA-N 0 3 224.735 2.932 20 0 BFADHN CC[C@H](NC1(c2ncccn2)CCC1)[C@H]1C[C@H]1C ZINC000883311839 591610919 /nfs/dbraw/zinc/61/09/19/591610919.db2.gz MFEIBTADUQQNIO-AGIUHOORSA-N 0 3 245.370 2.880 20 0 BFADHN COC[C@H]1C[C@@H](NCc2ccc(C)cc2OC)C1 ZINC000883315646 591612891 /nfs/dbraw/zinc/61/28/91/591612891.db2.gz SRDKYWWFAYJBAT-XBXGTLAGSA-N 0 3 249.354 2.518 20 0 BFADHN CCC[C@H](N[C@H]1C[C@@H](COC)C1)c1ccccn1 ZINC000883320117 591614422 /nfs/dbraw/zinc/61/44/22/591614422.db2.gz BLAYMJLXCGPLLJ-GUTXKFCHSA-N 0 3 248.370 2.937 20 0 BFADHN Fc1cnccc1CN[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC000883319902 591614637 /nfs/dbraw/zinc/61/46/37/591614637.db2.gz UNXJFFPVKIXEMQ-VHRBIJSZSA-N 0 3 234.318 2.889 20 0 BFADHN CCC[C@@H](N[C@H]1C[C@@H](COC)C1)c1ccccn1 ZINC000883320118 591615097 /nfs/dbraw/zinc/61/50/97/591615097.db2.gz BLAYMJLXCGPLLJ-VNHYZAJKSA-N 0 3 248.370 2.937 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H]1C[C@@H]3CCCC[C@H]13)CCC2 ZINC000883321742 591616459 /nfs/dbraw/zinc/61/64/59/591616459.db2.gz KADSLUXFFFRQKG-IGQOVBAYSA-N 0 3 245.370 2.886 20 0 BFADHN COCC[C@@H](C)NCc1cc(O)ccc1Cl ZINC000883325714 591616578 /nfs/dbraw/zinc/61/65/78/591616578.db2.gz IOCYOAYATRDXCP-SECBINFHSA-N 0 3 243.734 2.560 20 0 BFADHN C[C@]1(CNCC2(F)CC2)OCCc2ccccc21 ZINC000883338459 591618705 /nfs/dbraw/zinc/61/87/05/591618705.db2.gz CIGDTCGYPKGEHP-CQSZACIVSA-N 0 3 249.329 2.566 20 0 BFADHN Cc1cc(N[C@@H]2C[C@H](C)N(C3CC3)C2)cnc1C ZINC000883342347 591621775 /nfs/dbraw/zinc/62/17/75/591621775.db2.gz TYCNJSCNPAKCFT-SMDDNHRTSA-N 0 3 245.370 2.736 20 0 BFADHN Cc1cc(N[C@H]2C[C@@H](C)N(C3CC3)C2)cnc1C ZINC000883342346 591621848 /nfs/dbraw/zinc/62/18/48/591621848.db2.gz TYCNJSCNPAKCFT-RISCZKNCSA-N 0 3 245.370 2.736 20 0 BFADHN Cc1cc(N[C@@H]2CCCc3[nH]ncc32)cnc1C ZINC000883342963 591623268 /nfs/dbraw/zinc/62/32/68/591623268.db2.gz SWAOKTWUJRMUOW-CYBMUJFWSA-N 0 3 242.326 2.911 20 0 BFADHN CCN1CC[C@H]1CN[C@@H](C)c1cc(C)c(C)o1 ZINC000883355850 591625652 /nfs/dbraw/zinc/62/56/52/591625652.db2.gz ZCSDCURARWSHKQ-AAEUAGOBSA-N 0 3 236.359 2.641 20 0 BFADHN CCN1CC[C@@H]1CN[C@@H](C)c1cc(C)c(C)o1 ZINC000883355852 591626724 /nfs/dbraw/zinc/62/67/24/591626724.db2.gz ZCSDCURARWSHKQ-WCQYABFASA-N 0 3 236.359 2.641 20 0 BFADHN Oc1cccc(CN[C@@H]2CCC[C@]23CCCO3)c1 ZINC000883370845 591634436 /nfs/dbraw/zinc/63/44/36/591634436.db2.gz SWELRHCYQKTFMQ-CABCVRRESA-N 0 3 247.338 2.584 20 0 BFADHN CC1(CN[C@@H]2c3ccccc3CC[C@@H]2F)COC1 ZINC000883381701 591638235 /nfs/dbraw/zinc/63/82/35/591638235.db2.gz RLJYSWHJWJGYSS-UONOGXRCSA-N 0 3 249.329 2.638 20 0 BFADHN CC1(CN[C@H]2c3ccccc3CC[C@H]2F)COC1 ZINC000883381700 591638422 /nfs/dbraw/zinc/63/84/22/591638422.db2.gz RLJYSWHJWJGYSS-KGLIPLIRSA-N 0 3 249.329 2.638 20 0 BFADHN CCc1ccccc1NC(=O)[C@H](CC(C)C)NC ZINC000884050841 591828068 /nfs/dbraw/zinc/82/80/68/591828068.db2.gz NBKAXPMBLRRJBS-AWEZNQCLSA-N 0 3 248.370 2.822 20 0 BFADHN CN[C@@H](CC(C)C)C(=O)Nc1ccsc1C ZINC000884461344 591937297 /nfs/dbraw/zinc/93/72/97/591937297.db2.gz BXXJMVFNHHGYID-NSHDSACASA-N 0 3 240.372 2.629 20 0 BFADHN c1cc(CNC[C@@H]2OCCc3ccccc32)co1 ZINC000134606244 591941882 /nfs/dbraw/zinc/94/18/82/591941882.db2.gz IGYVFSWSFVNBSO-HNNXBMFYSA-N 0 3 243.306 2.683 20 0 BFADHN CN[C@@H](CC(C)C)C(=O)Nc1csc(C)c1 ZINC000884464410 591942350 /nfs/dbraw/zinc/94/23/50/591942350.db2.gz OMQZDXUHQHLCMS-NSHDSACASA-N 0 3 240.372 2.629 20 0 BFADHN Cc1nnc(CNC2C[C@H](C)C[C@@H](C)C2)s1 ZINC000134663383 591945821 /nfs/dbraw/zinc/94/58/21/591945821.db2.gz JRSFYJPUUKXBQL-RKDXNWHRSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1nnc(CN[C@@H]2CCC[C@@H](C)C2)s1 ZINC000134664704 591946400 /nfs/dbraw/zinc/94/64/00/591946400.db2.gz ODTMJXPLTJXPPK-PSASIEDQSA-N 0 3 225.361 2.515 20 0 BFADHN CCSCCCNCc1nc(C)c(C)s1 ZINC000134764696 591949231 /nfs/dbraw/zinc/94/92/31/591949231.db2.gz BXEKSWMBWLZNLV-UHFFFAOYSA-N 0 3 244.429 2.993 20 0 BFADHN Cc1nnc(CNC[C@@H]2CCCC[C@@H]2C)s1 ZINC000134865326 591952797 /nfs/dbraw/zinc/95/27/97/591952797.db2.gz FPVAVGGQMPDQOB-ONGXEEELSA-N 0 3 239.388 2.762 20 0 BFADHN c1cc(CNCCC[C@@H]2CCCCO2)co1 ZINC000135005438 591972290 /nfs/dbraw/zinc/97/22/90/591972290.db2.gz UADOTCPMPPZLBC-ZDUSSCGKSA-N 0 3 223.316 2.719 20 0 BFADHN COc1cc(C)cc(CNC(C)(C)C)c1OC ZINC000885919681 592108888 /nfs/dbraw/zinc/10/88/88/592108888.db2.gz UBGBYISKPXLWER-UHFFFAOYSA-N 0 3 237.343 2.900 20 0 BFADHN C[C@@H]1CN(CC[C@@H]2C[C@H]2C2CC2)C[C@H](C)O1 ZINC000885930712 592109591 /nfs/dbraw/zinc/10/95/91/592109591.db2.gz FNWCCLOTLYOGFU-WVWOOGAGSA-N 0 3 223.360 2.532 20 0 BFADHN Fc1cccc(/C=C\CNC[C@@H]2CCCCO2)c1 ZINC000885941716 592111763 /nfs/dbraw/zinc/11/17/63/592111763.db2.gz FANUYADHQJSQCH-VOKGJARPSA-N 0 3 249.329 2.998 20 0 BFADHN CC[C@@H]1CN(CC[C@@H]2C[C@H]2C2CC2)CCO1 ZINC000885951337 592113702 /nfs/dbraw/zinc/11/37/02/592113702.db2.gz FETXPOXMWOTPAV-MCIONIFRSA-N 0 3 223.360 2.533 20 0 BFADHN CCN1CCCC[C@@H]1CNCc1cc(C)co1 ZINC000885977362 592119308 /nfs/dbraw/zinc/11/93/08/592119308.db2.gz HPOBGOZHHAKUDQ-CYBMUJFWSA-N 0 3 236.359 2.552 20 0 BFADHN C[C@@H]1CCN(CCSC(F)(F)F)C1 ZINC000885980022 592119842 /nfs/dbraw/zinc/11/98/42/592119842.db2.gz RHYIUYYGVMCOSN-SSDOTTSWSA-N 0 3 213.268 2.581 20 0 BFADHN Cc1coc(CNC[C@@H](O)c2ccccc2C)c1 ZINC000885980005 592119944 /nfs/dbraw/zinc/11/99/44/592119944.db2.gz QWUXZFIIVOPUJR-OAHLLOKOSA-N 0 3 245.322 2.720 20 0 BFADHN Cc1coc(CN[C@@H]2[C@@H]3CCCO[C@H]3C2(C)C)c1 ZINC000885999304 592125923 /nfs/dbraw/zinc/12/59/23/592125923.db2.gz HCXJCNZZFYDXGI-BFHYXJOUSA-N 0 3 249.354 2.881 20 0 BFADHN COc1cc(C)cc(CNCCC2CC2)c1OC ZINC000886002026 592127002 /nfs/dbraw/zinc/12/70/02/592127002.db2.gz OQGCYICRTAFABG-UHFFFAOYSA-N 0 3 249.354 2.902 20 0 BFADHN Cc1coc(CNC[C@@]2(C)CCCC[C@H]2O)c1 ZINC000886008387 592129963 /nfs/dbraw/zinc/12/99/63/592129963.db2.gz LHJSBZADQCTPSB-ZIAGYGMSSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1coc(CNCCCc2c(C)noc2C)c1 ZINC000886014253 592131188 /nfs/dbraw/zinc/13/11/88/592131188.db2.gz CRVWQGMINCURNC-UHFFFAOYSA-N 0 3 248.326 2.915 20 0 BFADHN C[C@]1(NC/C=C\c2cccc(F)c2)CCOC1 ZINC000886047262 592139823 /nfs/dbraw/zinc/13/98/23/592139823.db2.gz ZDQVJZZWQQEZOQ-MFBWXBCUSA-N 0 3 235.302 2.608 20 0 BFADHN Cc1coc(CN[C@@H](C)C[C@@H](O)c2ccco2)c1 ZINC000886052130 592140949 /nfs/dbraw/zinc/14/09/49/592140949.db2.gz LKLQZQULAYQJHF-WCQYABFASA-N 0 3 249.310 2.783 20 0 BFADHN Cc1[nH]nc(CN[C@H](C)c2cnccc2C)c1C ZINC000886054924 592141407 /nfs/dbraw/zinc/14/14/07/592141407.db2.gz OKIVJHXUBQKVNG-GFCCVEGCSA-N 0 3 244.342 2.581 20 0 BFADHN Cc1coc(CN[C@H]2CCO[C@H](C3CC3)C2)c1 ZINC000886064843 592142384 /nfs/dbraw/zinc/14/23/84/592142384.db2.gz JOBKOIRPVNOFRM-JSGCOSHPSA-N 0 3 235.327 2.635 20 0 BFADHN FC(F)[C@H]1CCN(CCOC2CCCCC2)C1 ZINC000886094334 592147655 /nfs/dbraw/zinc/14/76/55/592147655.db2.gz PLAMXMLQBUROKI-NSHDSACASA-N 0 3 247.329 2.923 20 0 BFADHN Cc1ccccc1[C@@H](C)N1CCC2(C1)OCCO2 ZINC000137092852 592154799 /nfs/dbraw/zinc/15/47/99/592154799.db2.gz MMUOMQNNJGTGEM-CYBMUJFWSA-N 0 3 247.338 2.505 20 0 BFADHN CCC(CC)(CCO)NCc1cc(C)co1 ZINC000886106713 592155993 /nfs/dbraw/zinc/15/59/93/592155993.db2.gz BJHKUOMHGWPZFH-UHFFFAOYSA-N 0 3 225.332 2.619 20 0 BFADHN Fc1cccc(/C=C\CNCC2=CCCOC2)c1 ZINC000886116976 592157293 /nfs/dbraw/zinc/15/72/93/592157293.db2.gz WKWHKSPYBAPTDU-DJWKRKHSSA-N 0 3 247.313 2.775 20 0 BFADHN C1=CCN(C[C@H]2CCC3(CCC3)CO2)CC1 ZINC000886118984 592158201 /nfs/dbraw/zinc/15/82/01/592158201.db2.gz UYMHPHLVFSSFPR-CYBMUJFWSA-N 0 3 221.344 2.598 20 0 BFADHN Cc1n[nH]c(CNC[C@H]2CCCC2(F)F)c1C ZINC000886134001 592160430 /nfs/dbraw/zinc/16/04/30/592160430.db2.gz HPZZJUWEQLVCOC-SNVBAGLBSA-N 0 3 243.301 2.552 20 0 BFADHN Cc1coc(CN[C@@H]2CCCN3CCCC[C@@H]23)c1 ZINC000886155709 592164734 /nfs/dbraw/zinc/16/47/34/592164734.db2.gz MTEMZNMOFLYEDW-CABCVRRESA-N 0 3 248.370 2.695 20 0 BFADHN Cc1coc(CNC[C@@H]2C[C@@H]3CCC[C@H]3O2)c1 ZINC000886183513 592171547 /nfs/dbraw/zinc/17/15/47/592171547.db2.gz GXTOQVLCUSJGAV-FPMFFAJLSA-N 0 3 235.327 2.635 20 0 BFADHN FC1(F)CCN(C[C@H]2CC[C@H](C3CC3)O2)CC1 ZINC000886184321 592171885 /nfs/dbraw/zinc/17/18/85/592171885.db2.gz ZIBGKRHNRMIFKS-VXGBXAGGSA-N 0 3 245.313 2.675 20 0 BFADHN FC1(F)CCN(C[C@H]2CC[C@@H](C3CC3)O2)CC1 ZINC000886184318 592172101 /nfs/dbraw/zinc/17/21/01/592172101.db2.gz ZIBGKRHNRMIFKS-NEPJUHHUSA-N 0 3 245.313 2.675 20 0 BFADHN CCCc1ccc(CN[C@]23C[C@H]2COC3)s1 ZINC000886199087 592174187 /nfs/dbraw/zinc/17/41/87/592174187.db2.gz KGVPKYDUIHQELU-GWCFXTLKSA-N 0 3 237.368 2.579 20 0 BFADHN CS[C@H]1CCN(CCOC2CCCCC2)C1 ZINC000886226623 592180810 /nfs/dbraw/zinc/18/08/10/592180810.db2.gz PFDSHAMOHKERDM-ZDUSSCGKSA-N 0 3 243.416 2.773 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNCc1cnc(C2CC2)o1 ZINC000886280548 592198183 /nfs/dbraw/zinc/19/81/83/592198183.db2.gz GNQUXTKZUDFILW-DGFQIJBJSA-N 0 3 246.354 2.934 20 0 BFADHN Fc1ccccc1CNC[C@H]1CC2(CCC2)CO1 ZINC000886290382 592201911 /nfs/dbraw/zinc/20/19/11/592201911.db2.gz CQUJNXGYYSRIPO-CYBMUJFWSA-N 0 3 249.329 2.875 20 0 BFADHN FC1CCN(C[C@H]2CCC3(CCC3)CO2)CC1 ZINC000886315338 592206868 /nfs/dbraw/zinc/20/68/68/592206868.db2.gz HJOSXBPJEFFTQN-CYBMUJFWSA-N 0 3 241.350 2.770 20 0 BFADHN CSCC[C@@H](C)N[C@H](C)c1nccnc1C ZINC000219150032 592211841 /nfs/dbraw/zinc/21/18/41/592211841.db2.gz ARJNZLNDUADDSP-MWLCHTKSSA-N 0 3 239.388 2.577 20 0 BFADHN c1c(CNC2CCC=CCC2)nn2c1CCCC2 ZINC000886376730 592221795 /nfs/dbraw/zinc/22/17/95/592221795.db2.gz UMYBOOIDQMTASD-UHFFFAOYSA-N 0 3 245.370 2.808 20 0 BFADHN CC(=O)CN1CC[C@@H](C)[C@H]1c1ccccc1 ZINC000886541456 592255226 /nfs/dbraw/zinc/25/52/26/592255226.db2.gz WQGYLRHMDALTLJ-RISCZKNCSA-N 0 3 217.312 2.659 20 0 BFADHN C[C@@H]1CCN(Cc2cn[nH]c2)[C@H]1c1ccccc1 ZINC000886542857 592256298 /nfs/dbraw/zinc/25/62/98/592256298.db2.gz GYVCCWYMHWLOGV-IUODEOHRSA-N 0 3 241.338 2.993 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@@H]1CCc2cccnc21 ZINC000886607064 592273998 /nfs/dbraw/zinc/27/39/98/592273998.db2.gz KRZBHQMEVWHJMW-MJBXVCDLSA-N 0 3 246.354 2.616 20 0 BFADHN CC1(C)C[C@]1(C)N[C@H]1CCc2cccnc21 ZINC000886639592 592286459 /nfs/dbraw/zinc/28/64/59/592286459.db2.gz GVCDHSDTXJXRCI-FZMZJTMJSA-N 0 3 216.328 2.847 20 0 BFADHN COC[C@@H](C)N[C@H](c1ncccc1C)C(C)C ZINC000072637660 592289055 /nfs/dbraw/zinc/28/90/55/592289055.db2.gz HLVWJZIOODCTFS-OLZOCXBDSA-N 0 3 236.359 2.712 20 0 BFADHN CN(CCOC(=O)C(C)(C)C)Cc1ccccc1 ZINC000138660341 592304475 /nfs/dbraw/zinc/30/44/75/592304475.db2.gz KNNWUMPJQLONBN-UHFFFAOYSA-N 0 3 249.354 2.708 20 0 BFADHN C[C@@H]1C[C@H](NC2(C3CC3)CCC2)c2nccn21 ZINC000886825617 592348744 /nfs/dbraw/zinc/34/87/44/592348744.db2.gz YKKCCFIWYYCABK-PWSUYJOCSA-N 0 3 231.343 2.811 20 0 BFADHN Fc1ccccc1CN1CC[C@@H]([C@@H]2CCCO2)C1 ZINC000886832716 592353377 /nfs/dbraw/zinc/35/33/77/592353377.db2.gz LYQKDVWBCMSMQT-HIFRSBDPSA-N 0 3 249.329 2.827 20 0 BFADHN Fc1cccc(CN2CC[C@@H]([C@@H]3CCCO3)C2)c1 ZINC000886838046 592357803 /nfs/dbraw/zinc/35/78/03/592357803.db2.gz QRXKYMYSOWRTHO-HIFRSBDPSA-N 0 3 249.329 2.827 20 0 BFADHN Fc1cccc(CN2CC[C@@H]([C@H]3CCCO3)C2)c1 ZINC000886838047 592359234 /nfs/dbraw/zinc/35/92/34/592359234.db2.gz QRXKYMYSOWRTHO-UKRRQHHQSA-N 0 3 249.329 2.827 20 0 BFADHN CC(C)=CCN1CCN(c2ccncc2)C[C@H]1C ZINC000887130921 592449503 /nfs/dbraw/zinc/44/95/03/592449503.db2.gz NLSQFBDSSLIFDX-CQSZACIVSA-N 0 3 245.370 2.558 20 0 BFADHN C[C@@H]1CCCC[C@@H]1OCCN(C)CCCF ZINC000887137624 592451537 /nfs/dbraw/zinc/45/15/37/592451537.db2.gz OLBUQMBTTAUETR-OLZOCXBDSA-N 0 3 231.355 2.873 20 0 BFADHN C[C@@H]1CCCC[C@H]1OCCN(C)CCCF ZINC000887137623 592451825 /nfs/dbraw/zinc/45/18/25/592451825.db2.gz OLBUQMBTTAUETR-CHWSQXEVSA-N 0 3 231.355 2.873 20 0 BFADHN CN(CCCF)C[C@H]1CCC2(CCCC2)O1 ZINC000887141267 592457997 /nfs/dbraw/zinc/45/79/97/592457997.db2.gz MBMVTPXPVIDUCV-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN Cc1ccccc1OC(=O)[C@H]1CCN(C)[C@@H](C)C1 ZINC000887593291 592531063 /nfs/dbraw/zinc/53/10/63/592531063.db2.gz MLWWWUIMIGTQMT-STQMWFEESA-N 0 3 247.338 2.631 20 0 BFADHN CC(=O)[C@H]1CCCN(Cc2cccc(C)c2)C1 ZINC000104424370 592613276 /nfs/dbraw/zinc/61/32/76/592613276.db2.gz LXYILOVFGIROBY-HNNXBMFYSA-N 0 3 231.339 2.796 20 0 BFADHN COc1c(C)cnc(CNC2CC=CC2)c1C ZINC000125467628 592722581 /nfs/dbraw/zinc/72/25/81/592722581.db2.gz QZHLEYBKODVTHJ-UHFFFAOYSA-N 0 3 232.327 2.515 20 0 BFADHN C[C@H]1COC2(CCC2)CN1CCCCCF ZINC000661464028 634508052 /nfs/dbraw/zinc/50/80/52/634508052.db2.gz WPUPHFLKQPEZAM-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN C[C@@H](Cn1cc([C@H](C)N)nn1)C1CCCCC1 ZINC000310131219 635172750 /nfs/dbraw/zinc/17/27/50/635172750.db2.gz ACKYUKQBSZKCFA-QWRGUYRKSA-N 0 3 236.363 2.514 20 0 BFADHN Cc1ccc([C@H](O)CN[C@@H](C)c2ccoc2)cc1 ZINC000178451024 634547331 /nfs/dbraw/zinc/54/73/31/634547331.db2.gz MLRFUGGVEDPEJR-SWLSCSKDSA-N 0 3 245.322 2.972 20 0 BFADHN CCc1sc([C@H]2CCCCN2)nc1C ZINC000258105695 634566922 /nfs/dbraw/zinc/56/69/22/634566922.db2.gz OHDDRTFZBTVHPN-SECBINFHSA-N 0 3 210.346 2.829 20 0 BFADHN Cc1cscc1CN[C@H]1CCCc2n[nH]cc21 ZINC000336114321 634605532 /nfs/dbraw/zinc/60/55/32/634605532.db2.gz KRJSLKYFOCULBO-LBPRGKRZSA-N 0 3 247.367 2.947 20 0 BFADHN CO[C@H]1C[C@@H](N[C@@H](C)c2ccccn2)C12CCC2 ZINC000308383654 631242490 /nfs/dbraw/zinc/24/24/90/631242490.db2.gz YSLZBGJHARJELP-YUTCNCBUSA-N 0 3 246.354 2.690 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc(Cl)nc1 ZINC000308574498 631338566 /nfs/dbraw/zinc/33/85/66/631338566.db2.gz CZBJZAZAMQANSE-ZBJFTSOASA-N 0 3 210.708 2.962 20 0 BFADHN CN(Cc1nccc2c1CCCC2)C1CC1 ZINC000891620310 631415946 /nfs/dbraw/zinc/41/59/46/631415946.db2.gz VPFQYSGPLWSDRU-UHFFFAOYSA-N 0 3 216.328 2.555 20 0 BFADHN CN(Cc1ccoc1)Cc1ccccc1O ZINC000091974598 631546336 /nfs/dbraw/zinc/54/63/36/631546336.db2.gz BRVPTMDWBCYFQI-UHFFFAOYSA-N 0 3 217.268 2.617 20 0 BFADHN CC(C)[C@H](O)CCN(Cc1ccoc1)C1CC1 ZINC000934002620 631581482 /nfs/dbraw/zinc/58/14/82/631581482.db2.gz AEJBZVZQDBJLCW-CQSZACIVSA-N 0 3 237.343 2.651 20 0 BFADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2ccoc2)cc1 ZINC000178451034 631640328 /nfs/dbraw/zinc/64/03/28/631640328.db2.gz MLRFUGGVEDPEJR-WFASDCNBSA-N 0 3 245.322 2.972 20 0 BFADHN Cc1ccnc(CN2CCCSC[C@@H]2C)c1 ZINC000934299593 631765356 /nfs/dbraw/zinc/76/53/56/631765356.db2.gz WSPWZBHOKLLZCV-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN COC(=O)c1occc1CN[C@@H]1CC=CC[C@H]1C ZINC000934628078 631988817 /nfs/dbraw/zinc/98/88/17/631988817.db2.gz HNXVOYQYQMQJRI-ZYHUDNBSSA-N 0 3 249.310 2.511 20 0 BFADHN CN(Cc1ccoc1)C[C@@](C)(O)c1ccccc1 ZINC000052097149 632072279 /nfs/dbraw/zinc/07/22/79/632072279.db2.gz PKXIYUBCBGVIHV-OAHLLOKOSA-N 0 3 245.322 2.619 20 0 BFADHN C[C@H](N[C@@H](C1CC1)C1CCC1)c1ncco1 ZINC000926558367 632524895 /nfs/dbraw/zinc/52/48/95/632524895.db2.gz IBLYTZXDSGNQLE-JOYOIKCWSA-N 0 3 220.316 2.904 20 0 BFADHN Cn1c(Cl)cnc1CNCCCC(C)(C)C ZINC000309562806 632533076 /nfs/dbraw/zinc/53/30/76/632533076.db2.gz JWVPQBFRKNOOBB-UHFFFAOYSA-N 0 3 243.782 2.989 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@H]1NCc1ccon1 ZINC000309625709 632585921 /nfs/dbraw/zinc/58/59/21/632585921.db2.gz FSLAPSAWUOZIEF-DMDPSCGWSA-N 0 3 222.332 2.979 20 0 BFADHN COc1ccc(CNC2CSC2)cc1Cl ZINC000309087159 632634676 /nfs/dbraw/zinc/63/46/76/632634676.db2.gz ZDCCNPRZSIHGNB-UHFFFAOYSA-N 0 3 243.759 2.554 20 0 BFADHN COC(=O)/C(C)=C\CN[C@H](C)c1cccs1 ZINC000309110107 632639546 /nfs/dbraw/zinc/63/95/46/632639546.db2.gz XCJJLDHWJKFFKR-ABRRARGCSA-N 0 3 239.340 2.518 20 0 BFADHN Cc1cc(CN[C@@]2(C)CCO[C@H]2C)c(C)o1 ZINC000309791633 632667440 /nfs/dbraw/zinc/66/74/40/632667440.db2.gz PINCSGIKPOLUAU-AAEUAGOBSA-N 0 3 223.316 2.554 20 0 BFADHN C[C@@H]1C[C@@H](C)[N@H+](Cc2ccc([O-])c(F)c2)C1 ZINC000235336565 632674227 /nfs/dbraw/zinc/67/42/27/632674227.db2.gz YEEPZYHEZWMIIX-NXEZZACHSA-N 0 3 223.291 2.762 20 0 BFADHN C[C@@H]1C[C@@H](C)[N@@H+](Cc2ccc([O-])c(F)c2)C1 ZINC000235336565 632674230 /nfs/dbraw/zinc/67/42/30/632674230.db2.gz YEEPZYHEZWMIIX-NXEZZACHSA-N 0 3 223.291 2.762 20 0 BFADHN CCCN[C@@H]1COCc2cccc(Cl)c21 ZINC000924526707 632681687 /nfs/dbraw/zinc/68/16/87/632681687.db2.gz WYGMUESJVSCNGV-LLVKDONJSA-N 0 3 225.719 2.911 20 0 BFADHN Cc1nonc1[C@H](C)N[C@H]1CCCC[C@H]1C ZINC000924527659 632691048 /nfs/dbraw/zinc/69/10/48/632691048.db2.gz VASVHUSLSYQKMT-YWVKMMECSA-N 0 3 223.320 2.607 20 0 BFADHN C[C@H]1CCN(Cc2ccoc2)C[C@@H]1n1ccnc1 ZINC000091960902 632747619 /nfs/dbraw/zinc/74/76/19/632747619.db2.gz NRRIXPJBADIDCQ-JSGCOSHPSA-N 0 3 245.326 2.559 20 0 BFADHN CCCCC[C@H](C)NC(=O)CN(C)CC(C)C ZINC000065962180 632809378 /nfs/dbraw/zinc/80/93/78/632809378.db2.gz CIDQKOYHRMZBNJ-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN CC(C)CC1CCN(Cc2cnc[nH]2)CC1 ZINC000092021007 632810423 /nfs/dbraw/zinc/81/04/23/632810423.db2.gz LSPCVOKSDXSJMD-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN Cc1cc(C)c(NC(=O)[C@@H](N)CC2CC2)c(C)c1 ZINC000310673510 632858695 /nfs/dbraw/zinc/85/86/95/632858695.db2.gz IZGSBVXPTDAKHZ-ZDUSSCGKSA-N 0 3 246.354 2.678 20 0 BFADHN CCC(CC)[C@@H](O)CN[C@@H](C)c1ccns1 ZINC000925033257 635861723 /nfs/dbraw/zinc/86/17/23/635861723.db2.gz LOUUNJPQYNUEOC-ONGXEEELSA-N 0 3 242.388 2.591 20 0 BFADHN CC(C)c1ccc(NC(=O)[C@H](N)CC2CC2)cc1 ZINC000310700032 632890063 /nfs/dbraw/zinc/89/00/63/632890063.db2.gz YDLDNLOBKWEGIJ-CQSZACIVSA-N 0 3 246.354 2.876 20 0 BFADHN C/C=C\CN[C@@H](C)c1cnn(C2CCC2)c1 ZINC000926770484 633015321 /nfs/dbraw/zinc/01/53/21/633015321.db2.gz RELNLFZTUNKTOB-BYCRGOAPSA-N 0 3 219.332 2.835 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@@H]1CCCC12CC2 ZINC000926774627 633032922 /nfs/dbraw/zinc/03/29/22/633032922.db2.gz ATRCTDAPDQRVRY-ONGXEEELSA-N 0 3 235.331 2.609 20 0 BFADHN CC(C)n1ncnc1CNC[C@H](C)C(C)(C)C ZINC000162820523 633039084 /nfs/dbraw/zinc/03/90/84/633039084.db2.gz PTCUQCIEDLRSSF-NSHDSACASA-N 0 3 238.379 2.631 20 0 BFADHN Cc1nonc1[C@H](C)N[C@H](C)C1CCCC1 ZINC000925064864 635884900 /nfs/dbraw/zinc/88/49/00/635884900.db2.gz IOVVTJKGPRIIQC-BDAKNGLRSA-N 0 3 223.320 2.607 20 0 BFADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1ccnnc1 ZINC000924591041 633115564 /nfs/dbraw/zinc/11/55/64/633115564.db2.gz UMONCUPUBONHHY-IAQYHMDHSA-N 0 3 239.322 2.815 20 0 BFADHN CCCC[C@@H](CC)Cn1cc([C@@H](C)N)nn1 ZINC000167121829 633125737 /nfs/dbraw/zinc/12/57/37/633125737.db2.gz KQTNMAATLUXHKX-GHMZBOCLSA-N 0 3 224.352 2.514 20 0 BFADHN Cc1nc2ccccc2nc1CN1C[C@H](C)[C@@H]1C ZINC000293507362 633164828 /nfs/dbraw/zinc/16/48/28/633164828.db2.gz UXRYIKNVYBOVGT-JQWIXIFHSA-N 0 3 241.338 2.778 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@@H]1C=CCC1 ZINC000926834796 633183215 /nfs/dbraw/zinc/18/32/15/633183215.db2.gz ACWWOUYHYAEFAF-NEPJUHHUSA-N 0 3 232.327 2.916 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1C/C=C/c1cccc(F)c1 ZINC000895034929 636017764 /nfs/dbraw/zinc/01/77/64/636017764.db2.gz ZHBQAJHTOFILEK-MOEUOMFESA-N 0 3 249.329 2.684 20 0 BFADHN C/C(=C/c1ccccc1)CN1CCCOCC1 ZINC000335463125 636126709 /nfs/dbraw/zinc/12/67/09/636126709.db2.gz XMJZLZPHUHQPBD-OWBHPGMISA-N 0 3 231.339 2.812 20 0 BFADHN COc1cc([C@@H](C)NCC2(C(C)C)CC2)on1 ZINC000925510420 633849639 /nfs/dbraw/zinc/84/96/39/633849639.db2.gz WHBGSYNPKGSSPX-SNVBAGLBSA-N 0 3 238.331 2.770 20 0 BFADHN c1csc([C@H]2CCN(Cc3ccoc3)C2)n1 ZINC000895581624 636163600 /nfs/dbraw/zinc/16/36/00/636163600.db2.gz CEYXRJMXPOMFRU-NSHDSACASA-N 0 3 234.324 2.726 20 0 BFADHN CC[C@@H]1C[C@H]1CN1CCC(=O)CC12CCC2 ZINC000930741577 634080671 /nfs/dbraw/zinc/08/06/71/634080671.db2.gz RNUANMDKZRVGDK-NEPJUHHUSA-N 0 3 221.344 2.620 20 0 BFADHN CCC[C@H](N)C(=O)NCCCCC1CCCC1 ZINC000236850767 634088904 /nfs/dbraw/zinc/08/89/04/634088904.db2.gz ABGDFEVAHUBTNL-ZDUSSCGKSA-N 0 3 240.391 2.591 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccc(F)nc2)C1 ZINC000189302431 634178450 /nfs/dbraw/zinc/17/84/50/634178450.db2.gz AIKGZAYQWWABPI-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN CC(C)(C)C1=CCN(c2ccnc(CO)c2)CC1 ZINC000162609119 634226731 /nfs/dbraw/zinc/22/67/31/634226731.db2.gz KOJGDBGFUVBOSS-UHFFFAOYSA-N 0 3 246.354 2.757 20 0 BFADHN COC(OC)[C@H](C)NCc1ccc(C2CC2)cc1 ZINC000094519974 635368710 /nfs/dbraw/zinc/36/87/10/635368710.db2.gz FQCUEZZXTWEOTH-NSHDSACASA-N 0 3 249.354 2.661 20 0 BFADHN Cc1ncoc1CNCCCc1ccccc1 ZINC000894144786 635717582 /nfs/dbraw/zinc/71/75/82/635717582.db2.gz BFKRJLQHRFOJQL-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN CCC(CC)CN[C@@H](C)c1nn(CC)nc1C ZINC000924991950 635829353 /nfs/dbraw/zinc/82/93/53/635829353.db2.gz GFCMPEIGIGZLAM-JTQLQIEISA-N 0 3 238.379 2.693 20 0 BFADHN CCCc1ccc(CN2CC(COC)C2)cc1 ZINC000895970352 636185569 /nfs/dbraw/zinc/18/55/69/636185569.db2.gz DQUMMGQVJMAALK-UHFFFAOYSA-N 0 3 233.355 2.717 20 0 BFADHN C[C@H](O)[C@H](C)NCc1ccc(-c2ccccc2)o1 ZINC000342189328 636328010 /nfs/dbraw/zinc/32/80/10/636328010.db2.gz INGKYMMVIGNLSP-RYUDHWBXSA-N 0 3 245.322 2.806 20 0 BFADHN Cc1ccoc1CN[C@H](C)C[C@@H](O)c1ccco1 ZINC000305709776 636330945 /nfs/dbraw/zinc/33/09/45/636330945.db2.gz FMTAONDYNVFFBB-VXGBXAGGSA-N 0 3 249.310 2.783 20 0 BFADHN Cc1cccc(NC(=O)[C@H]2CCCN2C(C)C)c1 ZINC000929125358 636815510 /nfs/dbraw/zinc/81/55/10/636815510.db2.gz QKZKLKWLYYQNPR-CQSZACIVSA-N 0 3 246.354 2.806 20 0 BFADHN C[C@@H](CCC(C)(C)C)NC(=O)[C@@H](N)C(C)(C)C ZINC000237494760 636944985 /nfs/dbraw/zinc/94/49/85/636944985.db2.gz DFBLXRUUQTWGPR-WDEREUQCSA-N 0 3 242.407 2.691 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCS[C@H](C)C2)no1 ZINC000927029194 636999136 /nfs/dbraw/zinc/99/91/36/636999136.db2.gz OTTIXXXIJJMMPB-VWYCJHECSA-N 0 3 240.372 2.918 20 0 BFADHN Cc1ccc(NCCN[C@H](C)c2ccco2)nc1 ZINC000927071960 637016395 /nfs/dbraw/zinc/01/63/95/637016395.db2.gz IWTPZXYRSUALTH-GFCCVEGCSA-N 0 3 245.326 2.746 20 0 BFADHN Cn1nc(CN[C@@H]2CC[C@H]2C2CC2)cc1C1CC1 ZINC000927106617 637034406 /nfs/dbraw/zinc/03/44/06/637034406.db2.gz BJULQHOPDUDETO-UONOGXRCSA-N 0 3 245.370 2.576 20 0 BFADHN COc1cc([C@H](C)NCC2CCCC2)on1 ZINC000924778488 637193198 /nfs/dbraw/zinc/19/31/98/637193198.db2.gz OUKNOSVIMZLYKR-VIFPVBQESA-N 0 3 224.304 2.524 20 0 BFADHN CN[C@H](C)c1cc(-c2ccccc2F)no1 ZINC000901400695 637252771 /nfs/dbraw/zinc/25/27/71/637252771.db2.gz WFNUIHRBSFNIOM-MRVPVSSYSA-N 0 3 220.247 2.761 20 0 BFADHN CN[C@@H](C)c1cc(-c2cccc(F)c2)no1 ZINC000901400445 637253232 /nfs/dbraw/zinc/25/32/32/637253232.db2.gz VKVKFGNQBVJJFK-QMMMGPOBSA-N 0 3 220.247 2.761 20 0 BFADHN CCn1cnc(CNC[C@]23C[C@H]2CCCC3)c1 ZINC000902652149 637321457 /nfs/dbraw/zinc/32/14/57/637321457.db2.gz PHAIFVHJPFRKLV-OCCSQVGLSA-N 0 3 233.359 2.573 20 0 BFADHN CCn1cnc(CNC[C@@]23C[C@@H]2CCCC3)c1 ZINC000902652047 637321833 /nfs/dbraw/zinc/32/18/33/637321833.db2.gz PHAIFVHJPFRKLV-GXTWGEPZSA-N 0 3 233.359 2.573 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H]1COc2ccc(C)cc21 ZINC000903123894 637345079 /nfs/dbraw/zinc/34/50/79/637345079.db2.gz CLNGQFBQAHSNEJ-UMVBOHGHSA-N 0 3 247.338 2.586 20 0 BFADHN CCC1(c2noc([C@@]3(C)CCCN3)n2)CCCC1 ZINC000904700320 637455574 /nfs/dbraw/zinc/45/55/74/637455574.db2.gz LYBAUIOCXAIFGG-CYBMUJFWSA-N 0 3 249.358 2.890 20 0 BFADHN Cc1cc(-c2ccc(F)c3c2CCNC3)ccn1 ZINC000904782221 637468988 /nfs/dbraw/zinc/46/89/88/637468988.db2.gz ADAJIIFOBFNWNT-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN Cc1nn(C)c(CN[C@H]2CC[C@H](C)C2)c1Cl ZINC000128957231 637511005 /nfs/dbraw/zinc/51/10/05/637511005.db2.gz UECSWEGKUIAKMF-WPRPVWTQSA-N 0 3 241.766 2.660 20 0 BFADHN CN(C)Cc1ccc(-c2ccsn2)s1 ZINC000904864312 637513399 /nfs/dbraw/zinc/51/33/99/637513399.db2.gz IGUPJSFOSWTCKY-UHFFFAOYSA-N 0 3 224.354 2.933 20 0 BFADHN C[C@@H]1CN([C@H]2C[C@@H]2c2cccc(F)c2)CCCO1 ZINC000906592604 638215317 /nfs/dbraw/zinc/21/53/17/638215317.db2.gz RTTXOKVXSCXNOM-DFBGVHRSSA-N 0 3 249.329 2.792 20 0 BFADHN CCO[C@H]1CCN([C@H]2C[C@@H]2c2cccc(F)c2)C1 ZINC000906595998 638221277 /nfs/dbraw/zinc/22/12/77/638221277.db2.gz LBGPGBBCUIBJCS-ZNMIVQPWSA-N 0 3 249.329 2.792 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1ccnc(F)c1 ZINC000859193751 711458447 /nfs/dbraw/zinc/45/84/47/711458447.db2.gz CZJYDKGMDNLYQN-SNVBAGLBSA-N 0 3 242.363 2.794 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](C)c1ncccn1 ZINC000930229090 639642974 /nfs/dbraw/zinc/64/29/74/639642974.db2.gz YOZFAVGSUHZWKO-GARJFASQSA-N 0 3 207.321 2.562 20 0 BFADHN CC1CC(CN2CCc3ncsc3C2)C1 ZINC000930250173 639659312 /nfs/dbraw/zinc/65/93/12/639659312.db2.gz CILRDBSTIWOBDW-UHFFFAOYSA-N 0 3 222.357 2.547 20 0 BFADHN COC/C(C)=C\CN1CCC(F)(F)[C@@H](C)C1 ZINC000930511664 639790457 /nfs/dbraw/zinc/79/04/57/639790457.db2.gz GRASNQUHPFLTSR-FGUAACIASA-N 0 3 233.302 2.556 20 0 BFADHN COC/C(C)=C\CN1CCC(F)(F)[C@H](C)C1 ZINC000930511667 639791263 /nfs/dbraw/zinc/79/12/63/639791263.db2.gz GRASNQUHPFLTSR-NEOSZVFXSA-N 0 3 233.302 2.556 20 0 BFADHN CCc1nocc1CN(C)C[C@@H]1C[C@H]1CC ZINC000930581801 639813746 /nfs/dbraw/zinc/81/37/46/639813746.db2.gz ZMVQPYCRCYMZLZ-MNOVXSKESA-N 0 3 222.332 2.715 20 0 BFADHN Cc1nnsc1[C@H](C)N[C@H]1CCC1(C)C ZINC000930631560 639832260 /nfs/dbraw/zinc/83/22/60/639832260.db2.gz RCHBQWFGJAISKP-CBAPKCEASA-N 0 3 225.361 2.686 20 0 BFADHN C[C@@H](N[C@H](C)C1CCCC1)c1ncccn1 ZINC000930656931 639848119 /nfs/dbraw/zinc/84/81/19/639848119.db2.gz CUPRMWVQTGAHIR-GHMZBOCLSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H](N[C@@H](C)C1CCCC1)c1ncccn1 ZINC000930665410 639852647 /nfs/dbraw/zinc/85/26/47/639852647.db2.gz CUPRMWVQTGAHIR-QWRGUYRKSA-N 0 3 219.332 2.706 20 0 BFADHN CCCCN(C)C(=O)[C@H](N)C1CCCCCC1 ZINC000911922410 639881332 /nfs/dbraw/zinc/88/13/32/639881332.db2.gz CKONFWXXOUWIOQ-CYBMUJFWSA-N 0 3 240.391 2.543 20 0 BFADHN C[C@H](c1ncccn1)N1C[C@@H](C)[C@H](C)[C@@H]1C ZINC000930741993 639890767 /nfs/dbraw/zinc/89/07/67/639890767.db2.gz ACXXPPSZHHTXAR-NOOOWODRSA-N 0 3 219.332 2.514 20 0 BFADHN CCC[C@H](CC)NC(=O)[C@H]1CCCN1C(C)C ZINC000930980189 640011142 /nfs/dbraw/zinc/01/11/42/640011142.db2.gz UMVRXIDDLROVLZ-QWHCGFSZSA-N 0 3 240.391 2.554 20 0 BFADHN CCC[C@H](CC)NC(=O)[C@@H]1CCCN1C(C)C ZINC000930980190 640011240 /nfs/dbraw/zinc/01/12/40/640011240.db2.gz UMVRXIDDLROVLZ-STQMWFEESA-N 0 3 240.391 2.554 20 0 BFADHN C[C@H](C1CC1)N1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000931081330 640060540 /nfs/dbraw/zinc/06/05/40/640060540.db2.gz ZEMZSLHJMJBKPK-KBVBSXBZSA-N 0 3 233.302 2.529 20 0 BFADHN CCCC(C)(C)NC(=O)[C@@H]1CCCN1C(C)C ZINC000931224060 640104817 /nfs/dbraw/zinc/10/48/17/640104817.db2.gz HRSFDIQULFLMAR-LBPRGKRZSA-N 0 3 240.391 2.554 20 0 BFADHN CC/C=C/CCN1Cc2c[nH]nc2[C@H](C)C1 ZINC000931461637 640218660 /nfs/dbraw/zinc/21/86/60/640218660.db2.gz WPBHZHOAQKZXFH-SGUJLRQBSA-N 0 3 219.332 2.685 20 0 BFADHN CC/C=C\CCN1Cc2c[nH]nc2[C@@H](C)C1 ZINC000931461638 640221185 /nfs/dbraw/zinc/22/11/85/640221185.db2.gz WPBHZHOAQKZXFH-WYGGZMRJSA-N 0 3 219.332 2.685 20 0 BFADHN CCC[C@@H](C)N1Cc2c[nH]nc2[C@@H](C)C1 ZINC000931461830 640221208 /nfs/dbraw/zinc/22/12/08/640221208.db2.gz ZOKRFVXDWYDRCF-VHSXEESVSA-N 0 3 207.321 2.517 20 0 BFADHN C[C@@H]1CN(CCCC2CCC2)Cc2c[nH]nc21 ZINC000931464261 640223843 /nfs/dbraw/zinc/22/38/43/640223843.db2.gz ZDGRJXJIUSDBEV-LLVKDONJSA-N 0 3 233.359 2.909 20 0 BFADHN CO[C@@H]1CCC[C@@H]1N[C@@H]1CSc2ccccc21 ZINC000156162485 640246803 /nfs/dbraw/zinc/24/68/03/640246803.db2.gz CUCBJHIWPMCPER-YNEHKIRRSA-N 0 3 249.379 2.991 20 0 BFADHN CCc1nc(CCNCc2ccoc2)cs1 ZINC000163826489 640420236 /nfs/dbraw/zinc/42/02/36/640420236.db2.gz PYLGBPLEBNCMDU-UHFFFAOYSA-N 0 3 236.340 2.631 20 0 BFADHN Cc1nnc([C@@H](C)N2CC[C@@H](C)C[C@@H](C)C2)o1 ZINC000932124469 640431205 /nfs/dbraw/zinc/43/12/05/640431205.db2.gz GYBDOVMJOUKSLT-GMTAPVOTSA-N 0 3 237.347 2.807 20 0 BFADHN C[C@@H](c1ncccn1)N1CC[C@@H](C)C[C@@H](C)C1 ZINC000932126984 640433897 /nfs/dbraw/zinc/43/38/97/640433897.db2.gz PNZKYGFRLBXBPI-UPJWGTAASA-N 0 3 233.359 2.906 20 0 BFADHN C[C@@H]1CCN([C@H]2CCc3ccc(F)cc32)CCO1 ZINC000932345322 640488918 /nfs/dbraw/zinc/48/89/18/640488918.db2.gz FVSNUKZSHLOSJH-ABAIWWIYSA-N 0 3 249.329 2.924 20 0 BFADHN FCCCCCN[C@H](c1ncccn1)C1CC1 ZINC000932355712 640495307 /nfs/dbraw/zinc/49/53/07/640495307.db2.gz YAJIPWSIHRELKB-LBPRGKRZSA-N 0 3 237.322 2.657 20 0 BFADHN CCC(CC)CN[C@@H](c1ncccn1)C1CC1 ZINC000932352793 640497447 /nfs/dbraw/zinc/49/74/47/640497447.db2.gz FCFVSLLNEVTTGU-CYBMUJFWSA-N 0 3 233.359 2.954 20 0 BFADHN COC/C(C)=C\CN[C@H](c1ccccn1)C1CC1 ZINC000932387111 640515258 /nfs/dbraw/zinc/51/52/58/640515258.db2.gz WVOFKYBENBJZBX-PINLSGDHSA-N 0 3 246.354 2.715 20 0 BFADHN CCC(CC)CN1CCn2cccc2C1 ZINC000932526816 640567138 /nfs/dbraw/zinc/56/71/38/640567138.db2.gz PZEOMZPPWXXZBN-UHFFFAOYSA-N 0 3 206.333 2.740 20 0 BFADHN CSCC1CCN(Cc2ccncc2)CC1 ZINC000932591561 640580889 /nfs/dbraw/zinc/58/08/89/640580889.db2.gz DCBSKEKWYADOBE-UHFFFAOYSA-N 0 3 236.384 2.657 20 0 BFADHN CC(C)CCOCCN[C@H]1CCc2cccnc21 ZINC000226818120 640794199 /nfs/dbraw/zinc/79/41/99/640794199.db2.gz KURXLERUJAZVPE-AWEZNQCLSA-N 0 3 248.370 2.721 20 0 BFADHN OC1(CN2Cc3ccccc3C2)CCCCC1 ZINC000087458275 640893320 /nfs/dbraw/zinc/89/33/20/640893320.db2.gz BYPQWTNISOXSAT-UHFFFAOYSA-N 0 3 231.339 2.697 20 0 BFADHN CSCC[C@@H](C)NCc1nc(C)c(C)s1 ZINC000228913399 640904844 /nfs/dbraw/zinc/90/48/44/640904844.db2.gz JLQGFJLEFRFOCA-MRVPVSSYSA-N 0 3 244.429 2.991 20 0 BFADHN CCC1(CNc2cc(C)nc(N)n2)CCCC1 ZINC000230188207 641034889 /nfs/dbraw/zinc/03/48/89/641034889.db2.gz QGKJTINAVOJYLA-UHFFFAOYSA-N 0 3 234.347 2.750 20 0 BFADHN CO[C@@H]1CC[C@H]1N(C)Cc1ccc(C)c(F)c1 ZINC000934105509 641068826 /nfs/dbraw/zinc/06/88/26/641068826.db2.gz FPJGHBPDDPOQMM-ZIAGYGMSSA-N 0 3 237.318 2.743 20 0 BFADHN CO[C@H]1CC[C@@H]1N(C)Cc1ccc(C)c(F)c1 ZINC000934105504 641069287 /nfs/dbraw/zinc/06/92/87/641069287.db2.gz FPJGHBPDDPOQMM-KBPBESRZSA-N 0 3 237.318 2.743 20 0 BFADHN CS[C@@H]1CC[C@H](NCc2nccs2)C1 ZINC000230698099 641084098 /nfs/dbraw/zinc/08/40/98/641084098.db2.gz GXKASSOCQFIPSH-DTWKUNHWSA-N 0 3 228.386 2.517 20 0 BFADHN CCCCC1(NCc2snnc2C)CC1 ZINC000934202280 641101740 /nfs/dbraw/zinc/10/17/40/641101740.db2.gz HJCDOACFSDITTG-UHFFFAOYSA-N 0 3 225.361 2.659 20 0 BFADHN CCCCC1(NCc2cccc(OC)n2)CC1 ZINC000934207860 641103750 /nfs/dbraw/zinc/10/37/50/641103750.db2.gz ZFRUVTUFPJEAIV-UHFFFAOYSA-N 0 3 234.343 2.903 20 0 BFADHN Cc1ccc(CN2CCCSC[C@@H]2C)cn1 ZINC000934298233 641119796 /nfs/dbraw/zinc/11/97/96/641119796.db2.gz XYHGWQKXEMSBFL-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN C[C@@H]1CSCCCN1C/C=C\Cl ZINC000934302654 641123107 /nfs/dbraw/zinc/12/31/07/641123107.db2.gz XDDZLTQDZJQZOY-FIFYQCSRSA-N 0 3 205.754 2.566 20 0 BFADHN C[C@]1(C2CC2)COCCN1CC1=CCCC1 ZINC000934476662 641168194 /nfs/dbraw/zinc/16/81/94/641168194.db2.gz YBDVEZHBYGACKQ-CQSZACIVSA-N 0 3 221.344 2.598 20 0 BFADHN CCC[C@H]1CC(=O)N(CN(CC)CC(C)C)C1 ZINC000173308297 641168445 /nfs/dbraw/zinc/16/84/45/641168445.db2.gz RLXNVCMGZRYTDI-ZDUSSCGKSA-N 0 3 240.391 2.570 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCc1coc(C2CC2)n1 ZINC000934617474 641213502 /nfs/dbraw/zinc/21/35/02/641213502.db2.gz JJVGPSBMNPVRDB-ZWNOBZJWSA-N 0 3 232.327 2.996 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCc1c(F)cncc1F ZINC000934617877 641214399 /nfs/dbraw/zinc/21/43/99/641214399.db2.gz OTHPTMNAVUMCAR-NOZJJQNGSA-N 0 3 238.281 2.804 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H]2CC=CC[C@H]2C)s1 ZINC000934621306 641217783 /nfs/dbraw/zinc/21/77/83/641217783.db2.gz ZKORZFDXUXXCEJ-WCABBAIRSA-N 0 3 237.372 2.852 20 0 BFADHN Cl/C=C/CN[C@H](c1ccncc1)C1CC1 ZINC000934689588 641247039 /nfs/dbraw/zinc/24/70/39/641247039.db2.gz PJJQJZYZWXQUBS-KSWOAECYSA-N 0 3 222.719 2.875 20 0 BFADHN c1cncc(CN[C@@H](c2ccncc2)C2CC2)c1 ZINC000934686216 641247205 /nfs/dbraw/zinc/24/72/05/641247205.db2.gz GBAQBTVGCBEDRJ-OAHLLOKOSA-N 0 3 239.322 2.718 20 0 BFADHN C[C@H]1CCC[N@@H+]1Cc1ccc([O-])c(F)c1 ZINC000232449709 641274697 /nfs/dbraw/zinc/27/46/97/641274697.db2.gz SHFGJFMEQGAGDF-VIFPVBQESA-N 0 3 209.264 2.516 20 0 BFADHN C[C@H]1CCC[N@H+]1Cc1ccc([O-])c(F)c1 ZINC000232449709 641274698 /nfs/dbraw/zinc/27/46/98/641274698.db2.gz SHFGJFMEQGAGDF-VIFPVBQESA-N 0 3 209.264 2.516 20 0 BFADHN CCCCCC[C@H](C)NCc1ncnn1CC ZINC000088590400 641370893 /nfs/dbraw/zinc/37/08/93/641370893.db2.gz XJTYZSCHXAIFJY-LBPRGKRZSA-N 0 3 238.379 2.747 20 0 BFADHN COc1cc([C@@H](C)N[C@H]2CC[C@H](C)CC2)on1 ZINC000925382924 641400777 /nfs/dbraw/zinc/40/07/77/641400777.db2.gz OWTPNGPZZNAVPZ-GMTAPVOTSA-N 0 3 238.331 2.913 20 0 BFADHN C[C@H]1[C@@H](c2ccccc2)CCN1CCF ZINC000759347360 641422276 /nfs/dbraw/zinc/42/22/76/641422276.db2.gz TVNYQMCOTVTTLS-AAEUAGOBSA-N 0 3 207.292 2.834 20 0 BFADHN CC(C)n1cc([C@@H](C)NC[C@H]2CC2(C)C)nn1 ZINC000925394245 641424899 /nfs/dbraw/zinc/42/48/99/641424899.db2.gz VNJOFJQVEPGNRZ-GHMZBOCLSA-N 0 3 236.363 2.556 20 0 BFADHN CCCCCCC(=O)N(CCN(C)C)C(C)C ZINC000151921645 641481308 /nfs/dbraw/zinc/48/13/08/641481308.db2.gz KJFTZRVEWWULKI-UHFFFAOYSA-N 0 3 242.407 2.755 20 0 BFADHN CN(CC1CCCCC1)c1ccnc(CO)c1 ZINC000042494279 641554489 /nfs/dbraw/zinc/55/44/89/641554489.db2.gz JOONQSIGQSZNCH-UHFFFAOYSA-N 0 3 234.343 2.590 20 0 BFADHN CC1(CNCc2nc3c(s2)CCC3)CCC1 ZINC000093079834 641583189 /nfs/dbraw/zinc/58/31/89/641583189.db2.gz FCAPQPFGAYCTHS-UHFFFAOYSA-N 0 3 236.384 2.912 20 0 BFADHN CCCCCCN(CC)C[C@@H](O)C(F)(F)F ZINC000189503715 641810410 /nfs/dbraw/zinc/81/04/10/641810410.db2.gz LIFGTLBDFMJLJQ-SNVBAGLBSA-N 0 3 241.297 2.812 20 0 BFADHN Cc1ccsc1CNC/C=C\Cl ZINC000307951640 642352151 /nfs/dbraw/zinc/35/21/51/642352151.db2.gz USYZQLNKWHAGAY-RQOWECAXSA-N 0 3 201.722 2.899 20 0 BFADHN COCC1(C)CCN(Cc2ccoc2)CC1 ZINC000779606142 642385648 /nfs/dbraw/zinc/38/56/48/642385648.db2.gz MOXSDWHLBUGJQS-UHFFFAOYSA-N 0 3 223.316 2.528 20 0 BFADHN CN(C)CCSc1nc2ccc(F)cc2o1 ZINC000919830368 642395653 /nfs/dbraw/zinc/39/56/53/642395653.db2.gz DQZAZOWNABAAMZ-UHFFFAOYSA-N 0 3 240.303 2.621 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCc1ccns1 ZINC000930117992 642439336 /nfs/dbraw/zinc/43/93/36/642439336.db2.gz ADMYCGFXEZDCRC-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCc1ccns1 ZINC000930117991 642439743 /nfs/dbraw/zinc/43/97/43/642439743.db2.gz ADMYCGFXEZDCRC-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN CSCC[C@@H](C)N(C)C/C=C\Cl ZINC000255307750 642470114 /nfs/dbraw/zinc/47/01/14/642470114.db2.gz CORXVUGTJIDRHA-XTULLQBASA-N 0 3 207.770 2.812 20 0 BFADHN CC(C)[C@H]1CCCN1Cn1cccnc1=S ZINC000920485176 642508891 /nfs/dbraw/zinc/50/88/91/642508891.db2.gz QZKBUIZZEYLVLG-LLVKDONJSA-N 0 3 237.372 2.690 20 0 BFADHN C[C@@H]([NH2+][C@@H]1CCSC1)c1cc([O-])cc(F)c1 ZINC000925480643 642520162 /nfs/dbraw/zinc/52/01/62/642520162.db2.gz MVCRICDCPGTRJV-LDYMZIIASA-N 0 3 241.331 2.687 20 0 BFADHN CC[C@@H](C)CN(CC)Cn1cc(Cl)cn1 ZINC000174947269 642640592 /nfs/dbraw/zinc/64/05/92/642640592.db2.gz CKHFHWMYDBNCRL-SNVBAGLBSA-N 0 3 229.755 2.862 20 0 BFADHN Cc1c(Cl)ccc(CNCCCO)c1Cl ZINC000921473016 642630092 /nfs/dbraw/zinc/63/00/92/642630092.db2.gz AODCVUAGOPWFSG-UHFFFAOYSA-N 0 3 248.153 2.774 20 0 BFADHN CC[C@H](C)NCc1c(Cl)n[nH]c1C1CC1 ZINC000921474184 642632956 /nfs/dbraw/zinc/63/29/56/642632956.db2.gz PFHRWTROGZTMSO-ZETCQYMHSA-N 0 3 227.739 2.829 20 0 BFADHN Cc1ccc(CN[C@@H](C)C[C@H](O)c2ccco2)o1 ZINC000305745776 642686401 /nfs/dbraw/zinc/68/64/01/642686401.db2.gz PHZYAUVYYVRTFW-GWCFXTLKSA-N 0 3 249.310 2.783 20 0 BFADHN C[C@H]1Cc2ccccc2CN1CCC(=O)C1CC1 ZINC000767406845 642913610 /nfs/dbraw/zinc/91/36/10/642913610.db2.gz JENMXNJDGZHNBX-LBPRGKRZSA-N 0 3 243.350 2.802 20 0 BFADHN CC(C)(O)CCNC1(c2ccccc2F)CC1 ZINC000271856916 642927278 /nfs/dbraw/zinc/92/72/78/642927278.db2.gz YPIKCTHEHZDZEF-UHFFFAOYSA-N 0 3 237.318 2.565 20 0 BFADHN Cc1nnsc1[C@H](C)N1CCC[C@H](C)C1 ZINC000929212828 642997355 /nfs/dbraw/zinc/99/73/55/642997355.db2.gz YODPJCKMVCXUSZ-WPRPVWTQSA-N 0 3 225.361 2.639 20 0 BFADHN CCC(CC)(CN[C@H](C)c1ncc[nH]1)SC ZINC000285982881 643089292 /nfs/dbraw/zinc/08/92/92/643089292.db2.gz HTFACBLLGPERFX-SNVBAGLBSA-N 0 3 241.404 2.982 20 0 BFADHN c1ccc(-c2cnc([C@@H]3CCCN3)nc2)cc1 ZINC000071396764 643140148 /nfs/dbraw/zinc/14/01/48/643140148.db2.gz FURHXUDZRIQWQL-ZDUSSCGKSA-N 0 3 225.295 2.568 20 0 BFADHN C[C@H]1CCN([C@H]2CCc3ccc(F)cc32)CCO1 ZINC000932345365 643154914 /nfs/dbraw/zinc/15/49/14/643154914.db2.gz FVSNUKZSHLOSJH-NHYWBVRUSA-N 0 3 249.329 2.924 20 0 BFADHN CC(C)CCN[C@@H](c1ncccn1)C1CC1 ZINC000932361204 643172402 /nfs/dbraw/zinc/17/24/02/643172402.db2.gz TYKDNXGTMPSHFD-GFCCVEGCSA-N 0 3 219.332 2.563 20 0 BFADHN Cc1cc(F)ccc1CNC[C@H](O)c1ccco1 ZINC000080189077 643283303 /nfs/dbraw/zinc/28/33/03/643283303.db2.gz YAJIORBLEMEQNG-ZDUSSCGKSA-N 0 3 249.285 2.550 20 0 BFADHN CC[C@@H]([NH2+]Cc1ccccc1[O-])C1CCOCC1 ZINC000072744341 643295079 /nfs/dbraw/zinc/29/50/79/643295079.db2.gz BMQVGOAHALZKMX-CQSZACIVSA-N 0 3 249.354 2.687 20 0 BFADHN CCO[C@@H]1C[C@H](N(C)Cc2ccoc2)C1(C)C ZINC000093605351 643338847 /nfs/dbraw/zinc/33/88/47/643338847.db2.gz DCRVAJBVNSTQGY-QWHCGFSZSA-N 0 3 237.343 2.915 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1c(Cl)n[nH]c1C1CC1 ZINC000921528597 643587168 /nfs/dbraw/zinc/58/71/68/643587168.db2.gz GQSJMPDALJJVNO-APPZFPTMSA-N 0 3 239.750 2.686 20 0 BFADHN C[C@H](NCc1c(Cl)nc(Cl)n1C)C1CC1 ZINC000083998597 643638227 /nfs/dbraw/zinc/63/82/27/643638227.db2.gz DWLRTDOBMIGXRV-LURJTMIESA-N 0 3 248.157 2.615 20 0 BFADHN Cc1nn(C)c(CNC2(C)CCCC2)c1Cl ZINC000084270547 643655155 /nfs/dbraw/zinc/65/51/55/643655155.db2.gz IRHLTJCTBUIPKM-UHFFFAOYSA-N 0 3 241.766 2.804 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H]2CCC=CO2)o1 ZINC000050268224 643671555 /nfs/dbraw/zinc/67/15/55/643671555.db2.gz RHWACYUWKIFVEH-VXGBXAGGSA-N 0 3 221.300 2.931 20 0 BFADHN CCOc1ccc([C@@H](C)NCc2cnc[nH]2)cc1 ZINC000054764828 643675563 /nfs/dbraw/zinc/67/55/63/643675563.db2.gz XQWUHTFHIKDYBI-LLVKDONJSA-N 0 3 245.326 2.659 20 0 BFADHN CCc1onc(C)c1CN1CCCCC1 ZINC000929236079 643759422 /nfs/dbraw/zinc/75/94/22/643759422.db2.gz ATRMDJPTDNKFCB-UHFFFAOYSA-N 0 3 208.305 2.531 20 0 BFADHN COCc1ccc(CNCc2cccnc2)cc1 ZINC000073651172 643772162 /nfs/dbraw/zinc/77/21/62/643772162.db2.gz RYSMSXHDXMXZOO-UHFFFAOYSA-N 0 3 242.322 2.518 20 0 BFADHN Cc1cnc(Cl)c(CNC2CC=CC2)c1 ZINC000921841257 643806856 /nfs/dbraw/zinc/80/68/56/643806856.db2.gz UNKLQSLSGKKUFA-UHFFFAOYSA-N 0 3 222.719 2.852 20 0 BFADHN Cn1cc(CN[C@@H]2CC23CC3)c(C2CCCC2)n1 ZINC000922198215 643901601 /nfs/dbraw/zinc/90/16/01/643901601.db2.gz POXVCQPRXQFJEL-CYBMUJFWSA-N 0 3 245.370 2.720 20 0 BFADHN CC(C)c1ccccc1CNCC1OCCCO1 ZINC000922280122 643947451 /nfs/dbraw/zinc/94/74/51/643947451.db2.gz UWTGHIBUNIBCGA-UHFFFAOYSA-N 0 3 249.354 2.663 20 0 BFADHN c1nc(CNC[C@@]23C[C@@H]2CCCC3)cn1C1CC1 ZINC000922427832 643992291 /nfs/dbraw/zinc/99/22/91/643992291.db2.gz HQPRYBUODPHHAE-SWLSCSKDSA-N 0 3 245.370 2.888 20 0 BFADHN c1c[nH]c(CNCCC2(c3ccccc3)CC2)n1 ZINC000922451494 643997102 /nfs/dbraw/zinc/99/71/02/643997102.db2.gz YKDCLUPPVUYDAC-UHFFFAOYSA-N 0 3 241.338 2.621 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NCc1nc2c(s1)CCC2 ZINC000922509815 644008401 /nfs/dbraw/zinc/00/84/01/644008401.db2.gz IRGLBELYBNBIRY-MWGHHZFTSA-N 0 3 248.395 2.766 20 0 BFADHN Cc1ccoc1CN[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000922512433 644009555 /nfs/dbraw/zinc/00/95/55/644009555.db2.gz VEEUKBDIGZHVLH-WISYIIOYSA-N 0 3 205.301 2.722 20 0 BFADHN CC(C)(/C=C/Cl)NCc1cnc2ccccn12 ZINC000922648192 644052319 /nfs/dbraw/zinc/05/23/19/644052319.db2.gz FJKOWACJGYJROH-VOTSOKGWSA-N 0 3 249.745 2.955 20 0 BFADHN C[C@@H]1COC[C@@H]1NCc1cc2cc(F)ccc2o1 ZINC000922642197 644056701 /nfs/dbraw/zinc/05/67/01/644056701.db2.gz SXFQERWJIZRCSV-RNCFNFMXSA-N 0 3 249.285 2.696 20 0 BFADHN C[C@@H]1Cc2cc(CNCc3cc[nH]c3)ccc2O1 ZINC000922702089 644073957 /nfs/dbraw/zinc/07/39/57/644073957.db2.gz GCXSZBIQUQLEHZ-LLVKDONJSA-N 0 3 242.322 2.628 20 0 BFADHN C1=CCC(CCNCc2cnc(C3CC3)o2)C1 ZINC000922735612 644077490 /nfs/dbraw/zinc/07/74/90/644077490.db2.gz RQJKLESMJHFTTF-UHFFFAOYSA-N 0 3 232.327 2.998 20 0 BFADHN c1cc(CNC[C@H]2CCCC3(CCC3)O2)co1 ZINC000922810617 644098807 /nfs/dbraw/zinc/09/88/07/644098807.db2.gz GTXKNYSQAOWVBM-CYBMUJFWSA-N 0 3 235.327 2.861 20 0 BFADHN CCC[C@@H](O)CCNCc1cc(C)ccc1F ZINC000922818849 644109087 /nfs/dbraw/zinc/10/90/87/644109087.db2.gz DZNAPLGLUZWYDN-CYBMUJFWSA-N 0 3 239.334 2.775 20 0 BFADHN Cc1cnc(CN[C@H]2CCS[C@@H](C)C2)s1 ZINC000922838716 644118227 /nfs/dbraw/zinc/11/82/27/644118227.db2.gz NXVSUAUDVDLCHC-WPRPVWTQSA-N 0 3 242.413 2.825 20 0 BFADHN CC[C@H]1C[C@H](N[C@@H]2CCCc3cccnc32)CO1 ZINC000922874649 644122308 /nfs/dbraw/zinc/12/23/08/644122308.db2.gz AKJZLLUMAYFJTK-MELADBBJSA-N 0 3 246.354 2.616 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCc3cccnc32)[C@H](C)O1 ZINC000922874962 644123117 /nfs/dbraw/zinc/12/31/17/644123117.db2.gz FFNFKAGIOWCGOR-ZMJPVWNMSA-N 0 3 246.354 2.615 20 0 BFADHN CC[C@H]1C[C@@H](N[C@H]2CCCc3cccnc32)CO1 ZINC000922884357 644126653 /nfs/dbraw/zinc/12/66/53/644126653.db2.gz AKJZLLUMAYFJTK-RDBSUJKOSA-N 0 3 246.354 2.616 20 0 BFADHN CC[C@H]1CCC[C@H](Nc2cc(C)nc(N)n2)C1 ZINC000086485543 644140341 /nfs/dbraw/zinc/14/03/41/644140341.db2.gz UWCZHPHQUWDPAO-QWRGUYRKSA-N 0 3 234.347 2.748 20 0 BFADHN CC[C@@H]1CCC[C@H](Nc2cc(C)nc(N)n2)C1 ZINC000086485542 644140943 /nfs/dbraw/zinc/14/09/43/644140943.db2.gz UWCZHPHQUWDPAO-MNOVXSKESA-N 0 3 234.347 2.748 20 0 BFADHN Cc1cc(NCCC2=CCCCC2)nc(N)n1 ZINC000073860045 644141048 /nfs/dbraw/zinc/14/10/48/644141048.db2.gz PENWVKYBKLRTHE-UHFFFAOYSA-N 0 3 232.331 2.670 20 0 BFADHN C[C@H]1CC[C@H](NCc2cc(C3CC3)n(C)n2)C1 ZINC000922957359 644201256 /nfs/dbraw/zinc/20/12/56/644201256.db2.gz UAUKDOWUXLGICU-JQWIXIFHSA-N 0 3 233.359 2.576 20 0 BFADHN C(NC[C@]12C[C@H]1CCC2)c1nc2c(s1)CCC2 ZINC000922964517 644204410 /nfs/dbraw/zinc/20/44/10/644204410.db2.gz ORAKYSSKDLGLOR-YGRLFVJLSA-N 0 3 248.395 2.912 20 0 BFADHN C[C@H]1CC([NH2+]Cc2cncc([O-])c2)C[C@H](C)C1 ZINC000922975166 644206723 /nfs/dbraw/zinc/20/67/23/644206723.db2.gz AFBMWRZFYAZPRL-GHMZBOCLSA-N 0 3 234.343 2.702 20 0 BFADHN CCC1CCC([NH2+]Cc2cncc([O-])c2)CC1 ZINC000922977755 644212426 /nfs/dbraw/zinc/21/24/26/644212426.db2.gz ZMVJFIUUPJPBQC-UHFFFAOYSA-N 0 3 234.343 2.846 20 0 BFADHN Oc1cccc(CNC2([C@H]3CCCCO3)CC2)c1 ZINC000923027254 644237033 /nfs/dbraw/zinc/23/70/33/644237033.db2.gz JCILGGMKZCOGOT-CQSZACIVSA-N 0 3 247.338 2.584 20 0 BFADHN FC(F)(F)C1CCN(C[C@@H]2CCC=CO2)CC1 ZINC000193671856 644270551 /nfs/dbraw/zinc/27/05/51/644270551.db2.gz XBUDAWQUSYGAKF-NSHDSACASA-N 0 3 249.276 2.953 20 0 BFADHN CCCCC[C@H](C)NC(=O)CN(CC)C(C)C ZINC000194087627 644299838 /nfs/dbraw/zinc/29/98/38/644299838.db2.gz NZJFRNHPZARLOS-ZDUSSCGKSA-N 0 3 242.407 2.802 20 0 BFADHN Cc1cc(NCC[C@H]2CCC[C@@H](C)C2)nc(N)n1 ZINC000083629999 644405986 /nfs/dbraw/zinc/40/59/86/644405986.db2.gz NOTDWXGLDLVLBE-ZYHUDNBSSA-N 0 3 248.374 2.996 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1Nc1cc(C)nc(N)n1 ZINC000091015663 644611041 /nfs/dbraw/zinc/61/10/41/644611041.db2.gz RDAHQFBUGDDODT-MNOVXSKESA-N 0 3 234.347 2.748 20 0 BFADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccoc2)C1(C)C ZINC000093605353 644696803 /nfs/dbraw/zinc/69/68/03/644696803.db2.gz DCRVAJBVNSTQGY-CHWSQXEVSA-N 0 3 237.343 2.915 20 0 BFADHN Fc1ccc(-c2cnc([C@@H]3CCCN3)nc2)cc1 ZINC000094576930 644720926 /nfs/dbraw/zinc/72/09/26/644720926.db2.gz NPDHRNMPSPPRCK-ZDUSSCGKSA-N 0 3 243.285 2.707 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccccc1)c1ccoc1 ZINC000094867806 644732372 /nfs/dbraw/zinc/73/23/72/644732372.db2.gz AFWBIUFUUJUUOS-SMDDNHRTSA-N 0 3 231.295 2.664 20 0 BFADHN CO[C@@H]1CCC[C@@H]1N[C@H]1CSc2ccccc21 ZINC000250446041 644758418 /nfs/dbraw/zinc/75/84/18/644758418.db2.gz CUCBJHIWPMCPER-RWMBFGLXSA-N 0 3 249.379 2.991 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](O)CC(C)C)oc1C ZINC000800474855 644834501 /nfs/dbraw/zinc/83/45/01/644834501.db2.gz PCSYVBAHSLFVEM-YPMHNXCESA-N 0 3 239.359 2.954 20 0 BFADHN CCCN(CCC)CN1C[C@](C)(CC)CC1=O ZINC000808136833 644874068 /nfs/dbraw/zinc/87/40/68/644874068.db2.gz JMLRFJNOPFZJSB-CQSZACIVSA-N 0 3 240.391 2.715 20 0 BFADHN CCN(CN1CC(C)(C)CC1=O)[C@H](C)C(C)C ZINC000808143731 644875630 /nfs/dbraw/zinc/87/56/30/644875630.db2.gz CEUBZPGDIKGBTQ-GFCCVEGCSA-N 0 3 240.391 2.569 20 0 BFADHN S=c1[nH]ccn1CN1CCCCCCCC1 ZINC000840132880 644877453 /nfs/dbraw/zinc/87/74/53/644877453.db2.gz GNCJMYJOHQYJEF-UHFFFAOYSA-N 0 3 239.388 2.786 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@H]1NCc1ccon1 ZINC000252740185 644896743 /nfs/dbraw/zinc/89/67/43/644896743.db2.gz MAVWFLXSXYLYRF-NQBHXWOUSA-N 0 3 222.332 2.979 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@](C)(CO)C2CC2)o1 ZINC000304834241 644935048 /nfs/dbraw/zinc/93/50/48/644935048.db2.gz MKURDZWECQKBOX-WDBKCZKBSA-N 0 3 249.354 2.654 20 0 BFADHN Cc1nonc1[C@H](C)NCC1CCCCCC1 ZINC000926520943 645251377 /nfs/dbraw/zinc/25/13/77/645251377.db2.gz PCMIMBSONSTTDZ-JTQLQIEISA-N 0 3 237.347 2.999 20 0 BFADHN CCc1nc(CN[C@@H]2CC3CCC2CC3)co1 ZINC000926536871 645262982 /nfs/dbraw/zinc/26/29/82/645262982.db2.gz QETTWVRVEDGIHB-GCZXYKMCSA-N 0 3 234.343 2.905 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@@H](C1CC1)C1CCC1 ZINC000926557633 645278859 /nfs/dbraw/zinc/27/88/59/645278859.db2.gz CYZGNOKJOFFTPH-AMIZOPFISA-N 0 3 235.331 2.607 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H](C1CC1)C1CCC1 ZINC000926557635 645280120 /nfs/dbraw/zinc/28/01/20/645280120.db2.gz CYZGNOKJOFFTPH-ISVAXAHUSA-N 0 3 235.331 2.607 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)nn1 ZINC000926575715 645294374 /nfs/dbraw/zinc/29/43/74/645294374.db2.gz IGHAMEDYXLFEDO-NDBYEHHHSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@@H](N[C@H]1CC1(C)C)c1cn2c(n1)CCCC2 ZINC000926580811 645300266 /nfs/dbraw/zinc/30/02/66/645300266.db2.gz YNCUOUBMCKAEHX-PWSUYJOCSA-N 0 3 233.359 2.669 20 0 BFADHN CC[C@H](C)CCN[C@@H](C)c1cc(OC)no1 ZINC000926685325 645351772 /nfs/dbraw/zinc/35/17/72/645351772.db2.gz MQEOTNAGJQPZAB-UWVGGRQHSA-N 0 3 226.320 2.770 20 0 BFADHN CC(C)(C)[C@@H]1CCC[C@@H]1NCc1ncccn1 ZINC000926709758 645373345 /nfs/dbraw/zinc/37/33/45/645373345.db2.gz KVJNZJRDUVRQCN-NEPJUHHUSA-N 0 3 233.359 2.781 20 0 BFADHN Fc1cc(CNC2(C3CCC3)CC2)c(F)cn1 ZINC000846055153 645393558 /nfs/dbraw/zinc/39/35/58/645393558.db2.gz JLKSTMUMGJJHPF-UHFFFAOYSA-N 0 3 238.281 2.782 20 0 BFADHN CC(C)CC[C@@H](CO)N[C@H](C)c1ccns1 ZINC000926752626 645403159 /nfs/dbraw/zinc/40/31/59/645403159.db2.gz HGMOPLBCHDSWCS-MNOVXSKESA-N 0 3 242.388 2.591 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@H]2CCCC23CC3)nn1 ZINC000926776501 645421477 /nfs/dbraw/zinc/42/14/77/645421477.db2.gz CKHNOOSXWAVYCI-AAEUAGOBSA-N 0 3 248.374 2.842 20 0 BFADHN COc1cc([C@@H](C)N[C@@H]2CCCC23CC3)on1 ZINC000926776740 645421519 /nfs/dbraw/zinc/42/15/19/645421519.db2.gz FOAZCCSLTLVMRL-MWLCHTKSSA-N 0 3 236.315 2.667 20 0 BFADHN C[C@H]1COC[C@H]1NCc1ccc(C(C)(C)C)o1 ZINC000926804304 645440135 /nfs/dbraw/zinc/44/01/35/645440135.db2.gz XFZZWGZEZPCWFS-CMPLNLGQSA-N 0 3 237.343 2.702 20 0 BFADHN CCC[C@@H]1C[C@H]1N[C@@H](C)c1cc(OC)ccn1 ZINC000925486438 645452957 /nfs/dbraw/zinc/45/29/57/645452957.db2.gz SHDFUUFIVNGMDN-MISXGVKJSA-N 0 3 234.343 2.929 20 0 BFADHN CCn1nc(C)c([C@@H](C)NC2(C3CC3)CCC2)n1 ZINC000926878830 645470980 /nfs/dbraw/zinc/47/09/80/645470980.db2.gz UBLBVYZDDSDMSB-SNVBAGLBSA-N 0 3 248.374 2.590 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1cc(C2CC2)n(C)n1 ZINC000927107824 645551896 /nfs/dbraw/zinc/55/18/96/645551896.db2.gz LSQWVSGXIFPLGW-MFKMUULPSA-N 0 3 233.359 2.576 20 0 BFADHN Cc1nonc1[C@H](C)NCC1CCC=CCC1 ZINC000927122461 645555525 /nfs/dbraw/zinc/55/55/25/645555525.db2.gz QPIUOJWRXNKPNG-JTQLQIEISA-N 0 3 235.331 2.775 20 0 BFADHN C[C@@H](NC1([C@@H]2CCCCO2)CC1)c1ccccn1 ZINC000927158885 645578461 /nfs/dbraw/zinc/57/84/61/645578461.db2.gz CSMBNAVIEAIMKS-OCCSQVGLSA-N 0 3 246.354 2.834 20 0 BFADHN C[C@@H]([NH2+]CC1(C)CC1)c1cc([O-])cc(F)c1 ZINC000925491999 645668691 /nfs/dbraw/zinc/66/86/91/645668691.db2.gz FBZZIEIGAJMNEJ-SECBINFHSA-N 0 3 223.291 2.982 20 0 BFADHN Fc1ccc(CN(CCOC2CC2)C2CC2)cc1 ZINC000929095936 645939138 /nfs/dbraw/zinc/93/91/38/645939138.db2.gz ZRFDFBHSXXEAJB-UHFFFAOYSA-N 0 3 249.329 2.969 20 0 BFADHN CCCCN(CC)Cc1cnc(CC)o1 ZINC000929143665 645970094 /nfs/dbraw/zinc/97/00/94/645970094.db2.gz UVTUUALWFMRUKR-UHFFFAOYSA-N 0 3 210.321 2.859 20 0 BFADHN c1csc(CN(CCOC2CC2)C2CC2)c1 ZINC000929168335 645985243 /nfs/dbraw/zinc/98/52/43/645985243.db2.gz BAGAPYKENPLKIO-UHFFFAOYSA-N 0 3 237.368 2.892 20 0 BFADHN CCc1onc(C)c1CN1CCCC[C@H]1C ZINC000929217530 646016691 /nfs/dbraw/zinc/01/66/91/646016691.db2.gz KZAHDADEABKZTI-SNVBAGLBSA-N 0 3 222.332 2.920 20 0 BFADHN Cc1nnsc1[C@H](C)N1CCCCCC1 ZINC000929233135 646024407 /nfs/dbraw/zinc/02/44/07/646024407.db2.gz DZAWTFRPXHRZQI-JTQLQIEISA-N 0 3 225.361 2.784 20 0 BFADHN FC(F)(F)[C@@H]1CC[C@@H](CN2C3CCC2CC3)O1 ZINC000929247896 646031268 /nfs/dbraw/zinc/03/12/68/646031268.db2.gz DSRNLIUGRMWGAE-TVUZUIDESA-N 0 3 249.276 2.723 20 0 BFADHN C[C@H](c1ccc(F)nc1)N1C2CCC1CC2 ZINC000929248228 646032676 /nfs/dbraw/zinc/03/26/76/646032676.db2.gz PSJRFFREPGOFFI-OIKLOGQESA-N 0 3 220.291 2.909 20 0 BFADHN CC(C)CCC[C@@H](C)N[C@@H](C)c1cnn(C)n1 ZINC000924529277 646050201 /nfs/dbraw/zinc/05/02/01/646050201.db2.gz OENMAESWGLBRHG-NEPJUHHUSA-N 0 3 238.379 2.681 20 0 BFADHN CCC(CC)N[C@H](C)c1cn(C(C)C)nn1 ZINC000924534116 646052429 /nfs/dbraw/zinc/05/24/29/646052429.db2.gz IYEATEUEHGRQSM-SNVBAGLBSA-N 0 3 224.352 2.698 20 0 BFADHN Cc1cn(C)nc1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000929274435 646055390 /nfs/dbraw/zinc/05/53/90/646055390.db2.gz WGQDMOJUESWNJG-WCQYABFASA-N 0 3 235.375 2.739 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NCCC(C)C ZINC000924538171 646056512 /nfs/dbraw/zinc/05/65/12/646056512.db2.gz GGTCNJIFXIVDOY-LLVKDONJSA-N 0 3 222.332 2.996 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCCc1ccco1 ZINC000924552284 646065384 /nfs/dbraw/zinc/06/53/84/646065384.db2.gz BXYMKGABDRCWJA-VIFPVBQESA-N 0 3 234.299 2.778 20 0 BFADHN CC(C)CCCN[C@@H](C)c1cn(C(C)C)nn1 ZINC000924604462 646072564 /nfs/dbraw/zinc/07/25/64/646072564.db2.gz SWGLUGYFSHWUFX-LBPRGKRZSA-N 0 3 238.379 2.946 20 0 BFADHN CCSc1ccccc1CN(C)CCOC ZINC000929320097 646077468 /nfs/dbraw/zinc/07/74/68/646077468.db2.gz UMKYCYFXFWFGFI-UHFFFAOYSA-N 0 3 239.384 2.877 20 0 BFADHN C[C@@H]1CN(CCCc2cccnc2)CC(C)(C)O1 ZINC000929348112 646091142 /nfs/dbraw/zinc/09/11/42/646091142.db2.gz NXMIMPGQMMQOBK-CYBMUJFWSA-N 0 3 248.370 2.514 20 0 BFADHN CCN(Cc1nn(C)cc1C)[C@@H](C)C(C)C ZINC000929362228 646096749 /nfs/dbraw/zinc/09/67/49/646096749.db2.gz PVPPKJNIOOIEFY-LBPRGKRZSA-N 0 3 223.364 2.595 20 0 BFADHN c1csc(CN[C@@H]2C[C@H]3C=C[C@@H]2CC3)n1 ZINC000924728550 646098062 /nfs/dbraw/zinc/09/80/62/646098062.db2.gz JGTWLWAHXUDODY-HBNTYKKESA-N 0 3 220.341 2.587 20 0 BFADHN C[C@@H](c1ccco1)N(C)CCc1ccns1 ZINC000929371228 646101855 /nfs/dbraw/zinc/10/18/55/646101855.db2.gz VHAWXIICTPMCKK-JTQLQIEISA-N 0 3 236.340 2.972 20 0 BFADHN CC(C)n1cc([C@@H](C)NCC2CCCC2)nn1 ZINC000924777789 646105158 /nfs/dbraw/zinc/10/51/58/646105158.db2.gz KDLVJBDFBLXBLU-LLVKDONJSA-N 0 3 236.363 2.700 20 0 BFADHN CC[C@H](N[C@H](C)c1cn(C(C)C)nn1)C(C)C ZINC000924799737 646110608 /nfs/dbraw/zinc/11/06/08/646110608.db2.gz NXTMEXCYVRENEG-NEPJUHHUSA-N 0 3 238.379 2.944 20 0 BFADHN CC(C)n1cc([C@@H](C)NC(C2CC2)C2CC2)nn1 ZINC000924801510 646111211 /nfs/dbraw/zinc/11/12/11/646111211.db2.gz FPVPVTGREXQYJF-SNVBAGLBSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@H]([NH2+]CC1CCC1)c1cc([O-])cc(F)c1 ZINC000924804012 646113362 /nfs/dbraw/zinc/11/33/62/646113362.db2.gz XZVGQDDDYKFPGY-VIFPVBQESA-N 0 3 223.291 2.982 20 0 BFADHN CCC(CC)CN[C@@H](C)c1cc(OC)no1 ZINC000924992921 646151983 /nfs/dbraw/zinc/15/19/83/646151983.db2.gz JFDOAFSVRBFJCE-VIFPVBQESA-N 0 3 226.320 2.770 20 0 BFADHN C[C@@H](NC[C@@H](O)CC(C)(C)C)c1ccns1 ZINC000925087488 646180914 /nfs/dbraw/zinc/18/09/14/646180914.db2.gz DNACMGGSPYNJHW-ZJUUUORDSA-N 0 3 242.388 2.591 20 0 BFADHN CSC[C@H](C)N[C@H](C)c1c(C)noc1C ZINC000925097680 646183827 /nfs/dbraw/zinc/18/38/27/646183827.db2.gz SNEBUBZXAIIUNT-JGVFFNPUSA-N 0 3 228.361 2.694 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CC[C@@H]2CCC[C@@H]2C1 ZINC000925150844 646194344 /nfs/dbraw/zinc/19/43/44/646194344.db2.gz GBKRMESBTPLQAM-FTYKPCCVSA-N 0 3 249.358 2.997 20 0 BFADHN Cc1nonc1[C@H](C)N[C@@H]1CC[C@H]2CCC[C@@H]2C1 ZINC000925150846 646195760 /nfs/dbraw/zinc/19/57/60/646195760.db2.gz GBKRMESBTPLQAM-WKSBVSIWSA-N 0 3 249.358 2.997 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1CO[C@H](C)[C@H]1C ZINC000925163328 646196657 /nfs/dbraw/zinc/19/66/57/646196657.db2.gz CGNXAQSHRMZLKN-JTNHKYCSSA-N 0 3 237.318 2.647 20 0 BFADHN CC[C@@H](C)CN(C)[C@@H](C)c1snnc1C ZINC000929618804 646202765 /nfs/dbraw/zinc/20/27/65/646202765.db2.gz JGGHNJPRUIPHPZ-SCZZXKLOSA-N 0 3 227.377 2.885 20 0 BFADHN C[C@@H](NC1CC=CC1)c1cn2c(n1)CCCC2 ZINC000925272357 646228182 /nfs/dbraw/zinc/22/81/82/646228182.db2.gz YFGMHTMTZHYSSW-LLVKDONJSA-N 0 3 231.343 2.589 20 0 BFADHN CCC[C@@H](CC)N[C@@H](C)c1nonc1C ZINC000925354966 646250589 /nfs/dbraw/zinc/25/05/89/646250589.db2.gz ZDBVBCVKBUMGER-WCBMZHEXSA-N 0 3 211.309 2.607 20 0 BFADHN CCc1ncc(CN2CCC[C@@H](C)[C@H]2C)o1 ZINC000929704204 646257948 /nfs/dbraw/zinc/25/79/48/646257948.db2.gz PDCOXSWIAURIID-GHMZBOCLSA-N 0 3 222.332 2.857 20 0 BFADHN Cc1cc(CN2CCC[C@H]3CCC[C@H]32)c(C)nn1 ZINC000929714202 646267272 /nfs/dbraw/zinc/26/72/72/646267272.db2.gz QUXLQAPFRNDUIG-UKRRQHHQSA-N 0 3 245.370 2.858 20 0 BFADHN C[C@H](c1ccc(F)nc1)N1C[C@@H]2[C@H](C1)C2(C)C ZINC000929715570 646269558 /nfs/dbraw/zinc/26/95/58/646269558.db2.gz XLPJQYTVVGZGRZ-JLLWLGSASA-N 0 3 234.318 2.870 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NCCC1CC1 ZINC000925479821 646291196 /nfs/dbraw/zinc/29/11/96/646291196.db2.gz KKDPFPLCUJMUDN-LLVKDONJSA-N 0 3 220.316 2.750 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NCC1(C)CC1 ZINC000925496201 646301819 /nfs/dbraw/zinc/30/18/19/646301819.db2.gz YMBWJAOGYJWQKY-SNVBAGLBSA-N 0 3 220.316 2.750 20 0 BFADHN COc1cc([C@@H](C)NCC2(C)CCC2)on1 ZINC000925498309 646302416 /nfs/dbraw/zinc/30/24/16/646302416.db2.gz OCIQDCPKOLTVTO-SECBINFHSA-N 0 3 224.304 2.524 20 0 BFADHN C[C@@H]1C[C@H](NCC2(C)CCCCC2)c2ncnn21 ZINC000925522871 646315573 /nfs/dbraw/zinc/31/55/73/646315573.db2.gz IXHVXPIVFMRSTH-NEPJUHHUSA-N 0 3 248.374 2.844 20 0 BFADHN Cc1cccc(C)c1CN[C@H](C)c1ccnnc1 ZINC000925546888 646326065 /nfs/dbraw/zinc/32/60/65/646326065.db2.gz RAHPYOQYPCBQEB-CYBMUJFWSA-N 0 3 241.338 2.944 20 0 BFADHN C[C@@H]([NH2+]CCCF)c1cc([O-])cc(F)c1 ZINC000925557423 646332783 /nfs/dbraw/zinc/33/27/83/646332783.db2.gz PPMWPZFBTRAWEU-MRVPVSSYSA-N 0 3 215.243 2.542 20 0 BFADHN CC(C)[C@@H]1CCN([C@H](C)c2ncccn2)C1 ZINC000929820298 646336689 /nfs/dbraw/zinc/33/66/89/646336689.db2.gz HKARTTVWVPUSCV-VXGBXAGGSA-N 0 3 219.332 2.516 20 0 BFADHN C[C@H](N[C@@H](CO)CC(C)(C)C)c1ccns1 ZINC000925587791 646348325 /nfs/dbraw/zinc/34/83/25/646348325.db2.gz JWBKEJSRLSHTTD-VHSXEESVSA-N 0 3 242.388 2.591 20 0 BFADHN CCn1nc(C)c([C@@H](C)N[C@@H](C)CC(C)C)n1 ZINC000925602504 646355078 /nfs/dbraw/zinc/35/50/78/646355078.db2.gz UQOWIBDOLDVKSS-WDEREUQCSA-N 0 3 238.379 2.692 20 0 BFADHN Cc1cc(CNC2CC3(C2)CCCC3)ncn1 ZINC000925631045 646376450 /nfs/dbraw/zinc/37/64/50/646376450.db2.gz XCUMWPWTYVBJTE-UHFFFAOYSA-N 0 3 231.343 2.597 20 0 BFADHN Cc1nonc1[C@@H](C)NCC12CCC(CC1)C2 ZINC000925632187 646376662 /nfs/dbraw/zinc/37/66/62/646376662.db2.gz OTSFYZJVMQCWRD-CBZQXFMTSA-N 0 3 235.331 2.609 20 0 BFADHN Cc1cncc(CN[C@@H](C)C2(Cl)CC2)c1 ZINC000925644059 646384918 /nfs/dbraw/zinc/38/49/18/646384918.db2.gz IDLKFLMLMGMIQB-JTQLQIEISA-N 0 3 224.735 2.640 20 0 BFADHN C[C@@H](NCCCc1cccs1)c1cn(C)cn1 ZINC000925677031 646404584 /nfs/dbraw/zinc/40/45/84/646404584.db2.gz VJGJZBYFBKJLDZ-LLVKDONJSA-N 0 3 249.383 2.765 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1cc(N)ccn1 ZINC000925772988 646472902 /nfs/dbraw/zinc/47/29/02/646472902.db2.gz YSBQKLSEDGPDPC-GFCCVEGCSA-N 0 3 241.338 2.823 20 0 BFADHN CC[C@H](CSC)N(C)Cc1[nH]c(C)nc1C ZINC000930179476 646479594 /nfs/dbraw/zinc/47/95/94/646479594.db2.gz LTBYAPJNWLVOCN-LLVKDONJSA-N 0 3 241.404 2.600 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1[nH]c(C)nc1C ZINC000930179479 646480213 /nfs/dbraw/zinc/48/02/13/646480213.db2.gz LTBYAPJNWLVOCN-NSHDSACASA-N 0 3 241.404 2.600 20 0 BFADHN Cc1ccc([C@H](C)NCc2ccc(N)nc2)cc1 ZINC000930187245 646483926 /nfs/dbraw/zinc/48/39/26/646483926.db2.gz AVFQJYMUWCVJQR-LBPRGKRZSA-N 0 3 241.338 2.823 20 0 BFADHN C[C@H](N[C@@H]1CCC(F)(F)C1)c1ccns1 ZINC000925928347 646544034 /nfs/dbraw/zinc/54/40/34/646544034.db2.gz BOIGEKANYKUWBV-JGVFFNPUSA-N 0 3 232.299 2.982 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2CC[C@@H](C)C2)on1 ZINC000926232967 646601724 /nfs/dbraw/zinc/60/17/24/646601724.db2.gz QNWOJMZAXAZPDG-KXUCPTDWSA-N 0 3 224.304 2.522 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@H]2CC[C@@H](C)C2)nn1 ZINC000926233880 646602456 /nfs/dbraw/zinc/60/24/56/646602456.db2.gz XUKLHCHRBWPVFT-WOPDTQHZSA-N 0 3 236.363 2.698 20 0 BFADHN C[C@H]1C[C@H](NCC2C(C)(C)C2(C)C)c2ncnn21 ZINC000926344459 646622633 /nfs/dbraw/zinc/62/26/33/646622633.db2.gz XNJBMXIUTWSFTC-UWVGGRQHSA-N 0 3 248.374 2.556 20 0 BFADHN C[C@H]1C[C@@H](NCC2C(C)(C)C2(C)C)c2ncnn21 ZINC000926344461 646622652 /nfs/dbraw/zinc/62/26/52/646622652.db2.gz XNJBMXIUTWSFTC-VHSXEESVSA-N 0 3 248.374 2.556 20 0 BFADHN C[C@@H](NCCF)c1cc2ccccc2[nH]1 ZINC000926348833 646623710 /nfs/dbraw/zinc/62/37/10/646623710.db2.gz QGHMNTSLNKMFBV-SECBINFHSA-N 0 3 206.264 2.788 20 0 BFADHN CC[C@@H](C[NH2+][C@H](C)c1cc([O-])cc(F)c1)OC ZINC000926455060 646645385 /nfs/dbraw/zinc/64/53/85/646645385.db2.gz GPLWFBNVVJTVHJ-RNCFNFMXSA-N 0 3 241.306 2.607 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@H]1C[C@H](C)n2ncnc21 ZINC000926510126 646667905 /nfs/dbraw/zinc/66/79/05/646667905.db2.gz RQVGHWLHESXDEM-LOWDOPEQSA-N 0 3 248.374 2.700 20 0 BFADHN CCn1ccnc1CNC1CC2(C1)CCCC2 ZINC000926512848 646668534 /nfs/dbraw/zinc/66/85/34/646668534.db2.gz PWXIVSMFOURTFH-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN Cc1ccc(CNCCSC(F)(F)F)o1 ZINC000136061094 711683287 /nfs/dbraw/zinc/68/32/87/711683287.db2.gz JDEWKSPEIANQCS-UHFFFAOYSA-N 0 3 239.262 2.931 20 0 BFADHN CCCCCC[C@H](C)NC(=O)[C@H](N)C1CCC1 ZINC000399078114 711743474 /nfs/dbraw/zinc/74/34/74/711743474.db2.gz OUFYCJAGEWCLSE-WCQYABFASA-N 0 3 240.391 2.589 20 0 BFADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1NCC=C(Cl)Cl ZINC000701024641 712012220 /nfs/dbraw/zinc/01/22/20/712012220.db2.gz HGQFRIQRBRGGKJ-JIOCBJNQSA-N 0 3 238.158 2.707 20 0 BFADHN CC[C@@H](CSC)NCc1ccc(Cl)cn1 ZINC000703906291 712094045 /nfs/dbraw/zinc/09/40/45/712094045.db2.gz PVVUPTDCMBSSLA-JTQLQIEISA-N 0 3 244.791 2.966 20 0 BFADHN CC(C)n1ccnc1CNC[C@@]1(C)CC1(C)C ZINC000706432434 712146063 /nfs/dbraw/zinc/14/60/63/712146063.db2.gz XRKRPORXKZHNCJ-CQSZACIVSA-N 0 3 235.375 2.990 20 0 BFADHN CCN(Cc1cnc(Cl)cn1)C1CCC1 ZINC000706762467 712154493 /nfs/dbraw/zinc/15/44/93/712154493.db2.gz WUMWABMFUJLWGC-UHFFFAOYSA-N 0 3 225.723 2.504 20 0 BFADHN CC1(C)CO[C@H](CNCc2ccc(Cl)o2)C1 ZINC000708420960 712193854 /nfs/dbraw/zinc/19/38/54/712193854.db2.gz FUPVEZUINMBLAM-JTQLQIEISA-N 0 3 243.734 2.838 20 0 BFADHN CC(C)C[C@@H](C)NCc1nccn1C1CC1 ZINC000711820772 712333337 /nfs/dbraw/zinc/33/33/37/712333337.db2.gz NDQKMVOHWMHCDC-LLVKDONJSA-N 0 3 221.348 2.742 20 0 BFADHN CCC[C@H](C)NCc1ccsc1C(=O)OC ZINC000378765254 712361008 /nfs/dbraw/zinc/36/10/08/712361008.db2.gz VJCUGVOUFYHLRG-VIFPVBQESA-N 0 3 241.356 2.813 20 0 BFADHN CC(C)CCCCN[C@@H]1CCn2ccnc21 ZINC000379010314 712368567 /nfs/dbraw/zinc/36/85/67/712368567.db2.gz LGPMGSFFINUUIG-GFCCVEGCSA-N 0 3 221.348 2.744 20 0 BFADHN CCC1(NCc2nc(C)c(C)s2)CC1 ZINC000379733587 712393061 /nfs/dbraw/zinc/39/30/61/712393061.db2.gz AQFXOAVIOVYBFT-UHFFFAOYSA-N 0 3 210.346 2.792 20 0 BFADHN c1csc(CN[C@@H]2C[C@@H]3CCCC[C@H]23)n1 ZINC000712361749 712426804 /nfs/dbraw/zinc/42/68/04/712426804.db2.gz WZYGONUXWLJAKE-GARJFASQSA-N 0 3 222.357 2.811 20 0 BFADHN Cn1ncc(CN[C@@]2(C)CC=CCC2)c1Cl ZINC000712486673 712431365 /nfs/dbraw/zinc/43/13/65/712431365.db2.gz HQEBJMHKDHZPPY-LBPRGKRZSA-N 0 3 239.750 2.662 20 0 BFADHN C=C/C=C/CCN[C@@H]1C[C@H](C)n2ccnc21 ZINC000713495961 712462906 /nfs/dbraw/zinc/46/29/06/712462906.db2.gz MBMHVJKQMVNUAI-RUULKOMLSA-N 0 3 217.316 2.611 20 0 BFADHN C=C/C=C\CCN1CCC(O)(C(F)(F)F)CC1 ZINC000713638702 712467817 /nfs/dbraw/zinc/46/78/17/712467817.db2.gz AWXLBGDAHQTZJH-ARJAWSKDSA-N 0 3 249.276 2.508 20 0 BFADHN CC1(C)CC[C@H](CNCc2ccoc2)OC1 ZINC000713608283 712466855 /nfs/dbraw/zinc/46/68/55/712466855.db2.gz WRPCOJFKUIIATH-GFCCVEGCSA-N 0 3 223.316 2.574 20 0 BFADHN CC1(C)CCC[C@H](CNCc2ccoc2)O1 ZINC000714372752 712484427 /nfs/dbraw/zinc/48/44/27/712484427.db2.gz PEVXHLOJBRBWPQ-GFCCVEGCSA-N 0 3 223.316 2.717 20 0 BFADHN CCC[C@H](CC)N[C@@H](C)c1cn(CCC)nn1 ZINC000715935448 712543935 /nfs/dbraw/zinc/54/39/35/712543935.db2.gz OPPSSKRGZSRDLO-RYUDHWBXSA-N 0 3 238.379 2.917 20 0 BFADHN CCCn1cc([C@@H](C)NCC[C@H](C)CC)nn1 ZINC000715947044 712545089 /nfs/dbraw/zinc/54/50/89/712545089.db2.gz UMSISKJXRDXSPA-VXGBXAGGSA-N 0 3 238.379 2.775 20 0 BFADHN CC[C@@H](C[C@@H](C)O)NCc1cc(F)ccc1F ZINC000716847635 712578353 /nfs/dbraw/zinc/57/83/53/712578353.db2.gz BBHQNVVBBBZGCW-SKDRFNHKSA-N 0 3 243.297 2.604 20 0 BFADHN CC[C@@H](C[C@H](C)O)NCc1cc(F)ccc1F ZINC000716847633 712578429 /nfs/dbraw/zinc/57/84/29/712578429.db2.gz BBHQNVVBBBZGCW-CABZTGNLSA-N 0 3 243.297 2.604 20 0 BFADHN c1nc(CNCC[C@@H]2CC[C@@H]3C[C@@H]32)cs1 ZINC000717043841 712624877 /nfs/dbraw/zinc/62/48/77/712624877.db2.gz BUSIBPLOLCMNQS-HOSYDEDBSA-N 0 3 222.357 2.669 20 0 BFADHN Cc1nc2c(s1)[C@@H](NC1CSC1)CCC2 ZINC000379956925 712636447 /nfs/dbraw/zinc/63/64/47/712636447.db2.gz VRPTWIFBVKLQNQ-JTQLQIEISA-N 0 3 240.397 2.534 20 0 BFADHN Cc1nnsc1[C@@H](C)N1CC[C@@H](C2CC2)C1 ZINC000930140600 712641985 /nfs/dbraw/zinc/64/19/85/712641985.db2.gz WORIIAUNAANHCP-MWLCHTKSSA-N 0 3 237.372 2.639 20 0 BFADHN CCc1ccc(CNC[C@@H]2CC(C)(C)CO2)o1 ZINC000717318313 712646673 /nfs/dbraw/zinc/64/66/73/712646673.db2.gz NQKINIYFSMAENT-ZDUSSCGKSA-N 0 3 237.343 2.747 20 0 BFADHN C[C@H](NC[C@H]1CC(C)(C)CO1)c1ccoc1 ZINC000717393871 712653952 /nfs/dbraw/zinc/65/39/52/712653952.db2.gz JNAHXZQTMPQTAU-CMPLNLGQSA-N 0 3 223.316 2.745 20 0 BFADHN CO[C@@H](CN[C@H](C)c1c(C)noc1C)C(C)C ZINC000380105080 712686523 /nfs/dbraw/zinc/68/65/23/712686523.db2.gz JMYXWOKKROFDIW-SKDRFNHKSA-N 0 3 240.347 2.613 20 0 BFADHN Cc1coc(CN[C@@H]2CS[C@H](C)C2)c1 ZINC000718121516 712745456 /nfs/dbraw/zinc/74/54/56/712745456.db2.gz AAKHTBIHNUDFGH-ZJUUUORDSA-N 0 3 211.330 2.572 20 0 BFADHN CC[C@H](CSC)NCc1cc(C)co1 ZINC000718143917 712748477 /nfs/dbraw/zinc/74/84/77/712748477.db2.gz IKPHPNVFBQCSNU-SNVBAGLBSA-N 0 3 213.346 2.819 20 0 BFADHN Cc1conc1CN[C@@H](C)C1CCCC1 ZINC000720345932 712832270 /nfs/dbraw/zinc/83/22/70/712832270.db2.gz UTFONIUDWLDYKQ-JTQLQIEISA-N 0 3 208.305 2.651 20 0 BFADHN Cc1nc(CNCC2(C)CC(F)(F)C2)[nH]c1C ZINC000720924648 712879015 /nfs/dbraw/zinc/87/90/15/712879015.db2.gz YMCHGWHQCAMCLG-UHFFFAOYSA-N 0 3 243.301 2.552 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1c(C)cccc1F ZINC000381318146 710622346 /nfs/dbraw/zinc/62/23/46/710622346.db2.gz NURVBDZZPFMKIK-GHMZBOCLSA-N 0 3 225.307 2.647 20 0 BFADHN CCc1noc(C)c1[C@@H](C)N[C@H]1CCSC1 ZINC000381459874 710639529 /nfs/dbraw/zinc/63/95/29/710639529.db2.gz KRIBTXLCTFNGLE-SCZZXKLOSA-N 0 3 240.372 2.702 20 0 BFADHN CCO[C@@H]1CCC[C@@H](NCc2cscn2)C1 ZINC000721208016 712898701 /nfs/dbraw/zinc/89/87/01/712898701.db2.gz POYNVYXWAZLBSZ-ZYHUDNBSSA-N 0 3 240.372 2.580 20 0 BFADHN Cc1nnc(CN[C@@H]2CC[C@H](C)[C@H](C)C2)s1 ZINC000381508835 710648598 /nfs/dbraw/zinc/64/85/98/710648598.db2.gz QKXRMOFDEFRLTE-IQJOONFLSA-N 0 3 239.388 2.761 20 0 BFADHN C[C@@H](N[C@@H](C)C[C@H](C)O)c1cccnc1Cl ZINC000393796948 710747340 /nfs/dbraw/zinc/74/73/40/710747340.db2.gz KFMHRDSDIYVKJW-LPEHRKFASA-N 0 3 242.750 2.545 20 0 BFADHN CCCc1nc(C)c(CN[C@H]2CC[C@@H]2C)o1 ZINC000393810442 710762610 /nfs/dbraw/zinc/76/26/10/710762610.db2.gz UIYXRGIBJPBBOG-ONGXEEELSA-N 0 3 222.332 2.824 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1cnn(C)c1Cl ZINC000382152258 710801317 /nfs/dbraw/zinc/80/13/17/710801317.db2.gz PZMNMCWFGVMDHA-UWVGGRQHSA-N 0 3 243.782 2.988 20 0 BFADHN CO[C@@H]1CCC[C@@H]1NCc1c(C)cccc1F ZINC000903103451 710891173 /nfs/dbraw/zinc/89/11/73/710891173.db2.gz IAYSWFKFHOTGLX-UONOGXRCSA-N 0 3 237.318 2.791 20 0 BFADHN CC/C=C\CN[C@@H]1CCc2cccnc21 ZINC000384743038 710952985 /nfs/dbraw/zinc/95/29/85/710952985.db2.gz MGJPOYYVNJVQKF-VSQXVHSFSA-N 0 3 202.301 2.625 20 0 BFADHN CC/C=C\CNC[C@H](O)c1c(F)cccc1F ZINC000385091070 711005641 /nfs/dbraw/zinc/00/56/41/711005641.db2.gz YBNYZNYKVWTQPR-MVZIDQBPSA-N 0 3 241.281 2.554 20 0 BFADHN CC/C=C/CNC[C@@H](O)c1c(F)cccc1F ZINC000385091068 711006306 /nfs/dbraw/zinc/00/63/06/711006306.db2.gz YBNYZNYKVWTQPR-AAOUONPWSA-N 0 3 241.281 2.554 20 0 BFADHN CCN(CCC1CC1)Cc1cnc(Cl)cn1 ZINC000385828332 711017223 /nfs/dbraw/zinc/01/72/23/711017223.db2.gz TVLFDUVXWNJRLY-UHFFFAOYSA-N 0 3 239.750 2.752 20 0 BFADHN CCCC[C@H](CC)[NH2+][C@@H](C)c1nnc(C)[n-]1 ZINC000392383148 711144572 /nfs/dbraw/zinc/14/45/72/711144572.db2.gz NMIPNRLTKZXSPX-ONGXEEELSA-N 0 3 224.352 2.733 20 0 BFADHN COC[C@H](N[C@H]1CC[C@@H]1C)c1ccc(C)o1 ZINC000390669656 711130650 /nfs/dbraw/zinc/13/06/50/711130650.db2.gz VCDXQVYAMCYLLG-DLOVCJGASA-N 0 3 223.316 2.664 20 0 BFADHN COCC1(N[C@H](C)c2ccnc(Cl)c2)CC1 ZINC000390675084 711131794 /nfs/dbraw/zinc/13/17/94/711131794.db2.gz XBMSIMVKCRPDED-SECBINFHSA-N 0 3 240.734 2.565 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C[C@H]2CCC[C@H](C)C2)[n-]1 ZINC000392386299 711144526 /nfs/dbraw/zinc/14/45/26/711144526.db2.gz QDCJCMBWXDBCAF-NHCYSSNCSA-N 0 3 236.363 2.590 20 0 BFADHN CC1(C2([NH2+]Cc3ccc([O-])c(F)c3)CC2)CC1 ZINC000398223041 711405578 /nfs/dbraw/zinc/40/55/78/711405578.db2.gz QPRSJSFFXPFQBY-UHFFFAOYSA-N 0 3 235.302 2.954 20 0 BFADHN Cc1ncc(CNCC[C@@H]2CC2(Cl)Cl)o1 ZINC000399129758 711422148 /nfs/dbraw/zinc/42/21/48/711422148.db2.gz OEWWDSOKLMBWOG-MRVPVSSYSA-N 0 3 249.141 2.657 20 0 BFADHN C[C@@H](NCc1cc(C#N)cs1)c1ccc[nH]1 ZINC000381288054 710615567 /nfs/dbraw/zinc/61/55/67/710615567.db2.gz AVCZEJRSNSIRQP-SECBINFHSA-N 0 3 231.324 2.799 20 0 BFADHN C[C@H](NCc1cc(C#N)cs1)c1ccc[nH]1 ZINC000381288060 710616356 /nfs/dbraw/zinc/61/63/56/710616356.db2.gz AVCZEJRSNSIRQP-VIFPVBQESA-N 0 3 231.324 2.799 20 0 BFADHN CCOC[C@@H](C)NCc1cc(C)cc(Cl)n1 ZINC000381292282 710617456 /nfs/dbraw/zinc/61/74/56/710617456.db2.gz DQWHNUDVVJTAAW-SNVBAGLBSA-N 0 3 242.750 2.558 20 0 BFADHN C[C@@H](N[C@H](C)c1ccncc1)c1ccc[nH]1 ZINC000381336759 710624049 /nfs/dbraw/zinc/62/40/49/710624049.db2.gz VZNAYKXBMMEQQI-GHMZBOCLSA-N 0 3 215.300 2.822 20 0 BFADHN CC[C@]1(NCc2cc(C)oc2C)CCOC1 ZINC000381398730 710632141 /nfs/dbraw/zinc/63/21/41/710632141.db2.gz AURDIWXJWOTJNV-ZDUSSCGKSA-N 0 3 223.316 2.555 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2C[C@H](C)C[C@H](C)C2)[n-]1 ZINC000392380859 711144821 /nfs/dbraw/zinc/14/48/21/711144821.db2.gz LMNBUARREMHFQO-MIZYBKAJSA-N 0 3 236.363 2.588 20 0 BFADHN CCCC[C@@H](CC)[NH2+][C@H](C)c1nnc(C)[n-]1 ZINC000392383147 711144826 /nfs/dbraw/zinc/14/48/26/711144826.db2.gz NMIPNRLTKZXSPX-MWLCHTKSSA-N 0 3 224.352 2.733 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCC[C@H](C)CC2)[n-]1 ZINC000392390653 711146509 /nfs/dbraw/zinc/14/65/09/711146509.db2.gz UMRWDXDSHKGARF-NHCYSSNCSA-N 0 3 236.363 2.733 20 0 BFADHN CC[C@H](C[C@@H](C)CC)[NH2+][C@@H](C)c1nnc(C)[n-]1 ZINC000392440759 711146519 /nfs/dbraw/zinc/14/65/19/711146519.db2.gz AAFHXJVCLPBIIH-JBLDHEPKSA-N 0 3 238.379 2.979 20 0 BFADHN CCCCC[C@H](C)N[C@@H](C)c1nnc(C)[nH]1 ZINC000392483782 711149377 /nfs/dbraw/zinc/14/93/77/711149377.db2.gz VWPPQLINVQREOM-UWVGGRQHSA-N 0 3 224.352 2.733 20 0 BFADHN CCCCCNCc1c(Cl)c(C)nn1C ZINC000084270334 711486802 /nfs/dbraw/zinc/48/68/02/711486802.db2.gz NSWAICSTQWFSDY-UHFFFAOYSA-N 0 3 229.755 2.662 20 0 BFADHN FC(F)(F)[C@H]1CC[C@@H](CN2CCCCC2)O1 ZINC000721448348 711497411 /nfs/dbraw/zinc/49/74/11/711497411.db2.gz PKGRIFCPYXNOLL-VHSXEESVSA-N 0 3 237.265 2.582 20 0 BFADHN CCOCC(C)(C)NCc1cc(C)cc(C)n1 ZINC000723641177 711529244 /nfs/dbraw/zinc/52/92/44/711529244.db2.gz XOCSEQPYDDJZTA-UHFFFAOYSA-N 0 3 236.359 2.603 20 0 BFADHN Cc1cc(C)nc(CN[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)c1 ZINC000723659896 711532238 /nfs/dbraw/zinc/53/22/38/711532238.db2.gz OCCGUEKZPGSHEB-CXTNEJHOSA-N 0 3 248.370 2.600 20 0 BFADHN CC(C)n1ccnc1CNCCC1CC=CC1 ZINC000724183088 711566535 /nfs/dbraw/zinc/56/65/35/711566535.db2.gz DEFDHONAIZJQIK-UHFFFAOYSA-N 0 3 233.359 2.910 20 0 BFADHN Cc1csc(CN[C@H](C)Cc2cccnc2)n1 ZINC000934229240 711576911 /nfs/dbraw/zinc/57/69/11/711576911.db2.gz HOUAHULYCARXSM-SNVBAGLBSA-N 0 3 247.367 2.567 20 0 BFADHN CCC1CCN(Cc2[nH]c(C)nc2C)CC1 ZINC000724775647 711612998 /nfs/dbraw/zinc/61/29/98/711612998.db2.gz BPHVJQAGPPQPMN-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN CC(C)CC[C@H](C)NCc1cnn(C)c1Cl ZINC000382300640 711656356 /nfs/dbraw/zinc/65/63/56/711656356.db2.gz NHPUDEZWXJHMSD-JTQLQIEISA-N 0 3 243.782 2.988 20 0 BFADHN Cc1ncoc1CNCCc1ccc(F)cc1C ZINC000894351396 711769170 /nfs/dbraw/zinc/76/91/70/711769170.db2.gz AMIBCUYUGFYEAS-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@@H](C)C(C)(C)C ZINC000925076533 711771915 /nfs/dbraw/zinc/77/19/15/711771915.db2.gz OWKYTWFMEDXNGT-BDAKNGLRSA-N 0 3 225.336 2.711 20 0 BFADHN CCCCC[C@@H](C)NCc1csc(N)n1 ZINC000721321471 711799882 /nfs/dbraw/zinc/79/98/82/711799882.db2.gz ZJTCDUYJAUUXMT-SECBINFHSA-N 0 3 227.377 2.784 20 0 BFADHN COc1cncc(CN[C@@H](C)CC(F)(F)F)c1 ZINC000700015622 711982422 /nfs/dbraw/zinc/98/24/22/711982422.db2.gz COIGEYRVYMGIGB-QMMMGPOBSA-N 0 3 248.248 2.521 20 0 BFADHN COc1cncc(CNCc2ccccc2C)c1 ZINC000700052710 711985076 /nfs/dbraw/zinc/98/50/76/711985076.db2.gz REVXPSLIDMREAD-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN COc1cc(F)cc(CN[C@H]2C=CCC2)c1 ZINC000700505043 711995387 /nfs/dbraw/zinc/99/53/87/711995387.db2.gz KZMJKELUFKFZHL-LBPRGKRZSA-N 0 3 221.275 2.643 20 0 BFADHN COc1ccc(F)cc1CN[C@H]1C=CCC1 ZINC000700566408 711996588 /nfs/dbraw/zinc/99/65/88/711996588.db2.gz BEAJPNIBIIIVCR-LBPRGKRZSA-N 0 3 221.275 2.643 20 0 BFADHN Cc1ncc(CNC[C@@]2(C)CC2(C)C)o1 ZINC000700833785 712007796 /nfs/dbraw/zinc/00/77/96/712007796.db2.gz BGOIPAADEVLWEB-GFCCVEGCSA-N 0 3 208.305 2.509 20 0 BFADHN C[C@H](NC[C@]1(C)CC1(C)C)c1nccn1C ZINC000701987289 712035302 /nfs/dbraw/zinc/03/53/02/712035302.db2.gz QIUOFYRHBXEOIZ-GWCFXTLKSA-N 0 3 221.348 2.507 20 0 BFADHN CCC[C@@H](CNCc1ccc(Cl)cn1)OC ZINC000703921935 712093771 /nfs/dbraw/zinc/09/37/71/712093771.db2.gz ZPFIDYMRINFFEO-LBPRGKRZSA-N 0 3 242.750 2.640 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N1CCCCCCC1 ZINC000704497874 712111458 /nfs/dbraw/zinc/11/14/58/712111458.db2.gz YCVKHVGCOKGSPQ-ZDUSSCGKSA-N 0 3 240.391 2.543 20 0 BFADHN Cn1nc(CN[C@@H]2CCCC2(C)C)cc1Cl ZINC000706169633 712141462 /nfs/dbraw/zinc/14/14/62/712141462.db2.gz VYEXJXRNNLCDEV-SNVBAGLBSA-N 0 3 241.766 2.742 20 0 BFADHN Cc1nn(C)c(CN[C@H](C)C2(C)CC2)c1Cl ZINC000706902643 712159681 /nfs/dbraw/zinc/15/96/81/712159681.db2.gz AORFXWWTESAUNE-SECBINFHSA-N 0 3 241.766 2.660 20 0 BFADHN COc1cncc(CNc2cc(C)cc(C)n2)c1 ZINC000707892489 712172989 /nfs/dbraw/zinc/17/29/89/712172989.db2.gz VTYLEYNWHYXHAN-UHFFFAOYSA-N 0 3 243.310 2.714 20 0 BFADHN CCc1nnc(CNc2cc(C)cc(C)n2)s1 ZINC000707942545 712173937 /nfs/dbraw/zinc/17/39/37/712173937.db2.gz VGFPUYRWNSOOFW-UHFFFAOYSA-N 0 3 248.355 2.724 20 0 BFADHN C[C@H](NC[C@H]1CC(C)(C)CO1)c1ccco1 ZINC000708327191 712187493 /nfs/dbraw/zinc/18/74/93/712187493.db2.gz UNLDJRZXJFYRRZ-WDEREUQCSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1cc(N2CCC[C@H](C(C)(C)C)C2)nc(N)n1 ZINC000708468493 712195874 /nfs/dbraw/zinc/19/58/74/712195874.db2.gz VQGKMRMLBBVVGF-NSHDSACASA-N 0 3 248.374 2.630 20 0 BFADHN C[C@H](N[C@@H]1CCn2ccnc21)[C@]12C[C@H]1CCCC2 ZINC000708872781 712202206 /nfs/dbraw/zinc/20/22/06/712202206.db2.gz ZNTJHSZTKDFCAM-JLNYLFASSA-N 0 3 245.370 2.886 20 0 BFADHN CCn1ccnc1CN[C@H](C)[C@]12C[C@H]1CCCC2 ZINC000709186552 712217772 /nfs/dbraw/zinc/21/77/72/712217772.db2.gz KKKLJZBLJJQAKU-NFAWXSAZSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@H](NC[C@@H]1CCCC1(F)F)c1cn(C)cn1 ZINC000709916818 712233003 /nfs/dbraw/zinc/23/30/03/712233003.db2.gz BSJWBSNQZINAKC-UWVGGRQHSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@@H](N[C@H]1CCCC(F)(F)C1)c1cn(C)cn1 ZINC000709921789 712233342 /nfs/dbraw/zinc/23/33/42/712233342.db2.gz ULPQCZWERYGEDY-ZJUUUORDSA-N 0 3 243.301 2.649 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@H](C)c1cn(C)cn1 ZINC000709922704 712233691 /nfs/dbraw/zinc/23/36/91/712233691.db2.gz XEDKCLBZIDRSOU-GHMZBOCLSA-N 0 3 249.383 2.763 20 0 BFADHN C[C@@H](NCCCC1CCC1)c1cn(C)cn1 ZINC000709922941 712233839 /nfs/dbraw/zinc/23/38/39/712233839.db2.gz YEKJPIZWTKAVPO-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN C[C@H](NCCCc1ccccc1)c1cn(C)cn1 ZINC000709976134 712236548 /nfs/dbraw/zinc/23/65/48/712236548.db2.gz MHTWNJZXSLXEAY-ZDUSSCGKSA-N 0 3 243.354 2.704 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@H]2CCCC3(CC3)C2)n1 ZINC000709975910 712236579 /nfs/dbraw/zinc/23/65/79/712236579.db2.gz DCKMSBYGDVEECO-GFCCVEGCSA-N 0 3 246.354 2.908 20 0 BFADHN CCCC1(CN[C@H](C)c2cn(C)cn2)CC1 ZINC000710009549 712240181 /nfs/dbraw/zinc/24/01/81/712240181.db2.gz CYAORPADOCJXQS-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN COC1(OC)CC[C@H]1NCc1c(C)cccc1C ZINC000710992097 712273221 /nfs/dbraw/zinc/27/32/21/712273221.db2.gz AXVVLAVTTSNVGR-CQSZACIVSA-N 0 3 249.354 2.545 20 0 BFADHN CC[C@H]1C[C@@H](N[C@H](C)c2ccccc2OC)CO1 ZINC000711143889 712285317 /nfs/dbraw/zinc/28/53/17/712285317.db2.gz FBVVHUIITWEHEH-UPJWGTAASA-N 0 3 249.354 2.913 20 0 BFADHN CCc1nc(CNC[C@@H]2C=CCC2)cs1 ZINC000711678980 712316562 /nfs/dbraw/zinc/31/65/62/712316562.db2.gz JTLUQVUUQBTUPP-SNVBAGLBSA-N 0 3 222.357 2.761 20 0 BFADHN Cc1cnc(CNC[C@@H]2C=CCC2)s1 ZINC000711718188 712319183 /nfs/dbraw/zinc/31/91/83/712319183.db2.gz FRZMVINBTBENJO-SNVBAGLBSA-N 0 3 208.330 2.507 20 0 BFADHN COc1ccccc1[C@H](C)NCCOC1CC1 ZINC000711691940 712318183 /nfs/dbraw/zinc/31/81/83/712318183.db2.gz VJEVEDURUZSBLQ-NSHDSACASA-N 0 3 235.327 2.525 20 0 BFADHN CCc1cnc(CNC[C@H]2C=CCC2)s1 ZINC000711730393 712320230 /nfs/dbraw/zinc/32/02/30/712320230.db2.gz JMPSQHPRBUOLGP-JTQLQIEISA-N 0 3 222.357 2.761 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2nccn2C2CC2)C1 ZINC000711745128 712326067 /nfs/dbraw/zinc/32/60/67/712326067.db2.gz GCCIWWADJJCWIC-VXGBXAGGSA-N 0 3 233.359 2.886 20 0 BFADHN CC(C)CCCNCc1nccn1C1CC1 ZINC000711822624 712333418 /nfs/dbraw/zinc/33/34/18/712333418.db2.gz YXABLWKOWHICQT-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN CC[C@H](C)NCc1c(Cl)nc(Cl)n1C ZINC000379651768 712391292 /nfs/dbraw/zinc/39/12/92/712391292.db2.gz FUWXPAXLVLOTIQ-LURJTMIESA-N 0 3 236.146 2.615 20 0 BFADHN FCCCCNCc1cccnc1Cl ZINC000379664038 712392113 /nfs/dbraw/zinc/39/21/13/712392113.db2.gz UKMQNJIFMLDCFW-UHFFFAOYSA-N 0 3 216.687 2.574 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H]2CCCC[C@H]12)c1nccn1C ZINC000712359326 712402954 /nfs/dbraw/zinc/40/29/54/712402954.db2.gz GGCYILNFRFZFAS-MROQNXINSA-N 0 3 233.359 2.649 20 0 BFADHN CCn1ccnc1CN[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC000712360156 712403182 /nfs/dbraw/zinc/40/31/82/712403182.db2.gz LEZVHWOOHUSOQK-RWMBFGLXSA-N 0 3 233.359 2.571 20 0 BFADHN c1nc(CN[C@@H]2C[C@@H]3CCCC[C@H]23)cs1 ZINC000712361218 712407566 /nfs/dbraw/zinc/40/75/66/712407566.db2.gz SDNUFDCGOUAEMG-ZMLRMANQSA-N 0 3 222.357 2.811 20 0 BFADHN CCCOC(=O)[C@H](C)N1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000789449989 712420767 /nfs/dbraw/zinc/42/07/67/712420767.db2.gz ATEXEDPLRZCRQR-NDBYEHHHSA-N 0 3 241.375 2.695 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H]3CCCC[C@H]23)on1 ZINC000712377895 712428790 /nfs/dbraw/zinc/42/87/90/712428790.db2.gz NQGJTEHPDNXGEE-WCFLWFBJSA-N 0 3 220.316 2.651 20 0 BFADHN CCN1CC[C@@H]1CNc1nccc2sccc21 ZINC000712596551 712435839 /nfs/dbraw/zinc/43/58/39/712435839.db2.gz VERKHNOQNAATLH-SNVBAGLBSA-N 0 3 247.367 2.802 20 0 BFADHN CCN1CC[C@@H]1CNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000712526941 712441237 /nfs/dbraw/zinc/44/12/37/712441237.db2.gz FZSMLEFJJMGRQF-SCRDCRAPSA-N 0 3 248.370 2.587 20 0 BFADHN C=C/C=C/CCOc1ccc2c(c1)OCCNC2 ZINC000713652969 712468376 /nfs/dbraw/zinc/46/83/76/712468376.db2.gz MNSVYOHARFWYCT-ONEGZZNKSA-N 0 3 245.322 2.680 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCC(C)(C)O2)nc1 ZINC000714373023 712484573 /nfs/dbraw/zinc/48/45/73/712484573.db2.gz RIAFRAFLVAWZTQ-CQSZACIVSA-N 0 3 248.370 2.827 20 0 BFADHN CC1(C)CCC[C@@H](CNCc2ccccn2)O1 ZINC000714383261 712487123 /nfs/dbraw/zinc/48/71/23/712487123.db2.gz CAEASHPSNPCMSR-ZDUSSCGKSA-N 0 3 234.343 2.519 20 0 BFADHN COC[C@H]1C[C@@H](NCc2ccsc2Cl)C1 ZINC000714458820 712490612 /nfs/dbraw/zinc/49/06/12/712490612.db2.gz KPARBCUCMUWZBM-WAAGHKOSSA-N 0 3 245.775 2.916 20 0 BFADHN CCC[C@@H](C)N[C@@H](C)c1cn(CCC)nn1 ZINC000715853159 712536295 /nfs/dbraw/zinc/53/62/95/712536295.db2.gz RUKLDVXYCYHRIW-MNOVXSKESA-N 0 3 224.352 2.527 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H]2CC[C@H]3C[C@H]3C2)nn1 ZINC000715885528 712539638 /nfs/dbraw/zinc/53/96/38/712539638.db2.gz SKXQLQDOBZMDLK-ZDEQEGDKSA-N 0 3 248.374 2.527 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H]2CC[C@@H]3C[C@@H]3C2)nn1 ZINC000715885522 712539895 /nfs/dbraw/zinc/53/98/95/712539895.db2.gz SKXQLQDOBZMDLK-UMSGYPCISA-N 0 3 248.374 2.527 20 0 BFADHN C[C@H](NCCNc1ccccc1)c1ccns1 ZINC000715888975 712540359 /nfs/dbraw/zinc/54/03/59/712540359.db2.gz BQIATWFECKVJMF-NSHDSACASA-N 0 3 247.367 2.906 20 0 BFADHN CCCC[C@H](CCC)N[C@H](C)c1cn(C)nn1 ZINC000715901373 712541364 /nfs/dbraw/zinc/54/13/64/712541364.db2.gz JVBHCLFBTXENIR-NEPJUHHUSA-N 0 3 238.379 2.825 20 0 BFADHN CCCn1cc([C@H](C)N[C@@H]2CC[C@H](C)C2)nn1 ZINC000715919358 712542292 /nfs/dbraw/zinc/54/22/92/712542292.db2.gz FRZPGZAQVRPDIX-SDDRHHMPSA-N 0 3 236.363 2.527 20 0 BFADHN CCCCCCN[C@H](C)c1cn(CCC)nn1 ZINC000715938708 712544282 /nfs/dbraw/zinc/54/42/82/712544282.db2.gz XYCLKNLDOPFBJV-GFCCVEGCSA-N 0 3 238.379 2.919 20 0 BFADHN COC(C)(C)[C@H](C)N[C@@H](C)c1ccns1 ZINC000716000326 712551888 /nfs/dbraw/zinc/55/18/88/712551888.db2.gz SEAOVIHHBGDBQG-IUCAKERBSA-N 0 3 228.361 2.607 20 0 BFADHN c1cc(CNC[C@@H]2CCC3(CCC3)CO2)co1 ZINC000716294904 712553826 /nfs/dbraw/zinc/55/38/26/712553826.db2.gz TYDMFOZJGUCWNF-ZDUSSCGKSA-N 0 3 235.327 2.719 20 0 BFADHN c1cc(CNC[C@H]2CCC3(CCC3)CO2)co1 ZINC000716294902 712553909 /nfs/dbraw/zinc/55/39/09/712553909.db2.gz TYDMFOZJGUCWNF-CYBMUJFWSA-N 0 3 235.327 2.719 20 0 BFADHN C[C@@H](NCCCCC1CC1)c1nccn1C ZINC000716313350 712554116 /nfs/dbraw/zinc/55/41/16/712554116.db2.gz ALNVYKCNCVDNDP-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN C[C@@H](NCCCCC1CC1)c1cn(C)cn1 ZINC000716373228 712557269 /nfs/dbraw/zinc/55/72/69/712557269.db2.gz ZQIASNFGNCSWLS-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1n[nH]c(CNCc2c(C)cccc2C)c1C ZINC000716439111 712560395 /nfs/dbraw/zinc/56/03/95/712560395.db2.gz GHYQDNGXFNLCMR-UHFFFAOYSA-N 0 3 243.354 2.933 20 0 BFADHN CCCc1ccc(NC(=O)[C@@H](N)C(C)C)cc1 ZINC000037287485 712563809 /nfs/dbraw/zinc/56/38/09/712563809.db2.gz OCHGDLHVMWXKFP-ZDUSSCGKSA-N 0 3 234.343 2.561 20 0 BFADHN Cc1csc(CNC[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)n1 ZINC000716563012 712563838 /nfs/dbraw/zinc/56/38/38/712563838.db2.gz LCOMFVANAXELQJ-VGDYDELISA-N 0 3 236.384 2.833 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)cs1 ZINC000716565048 712563861 /nfs/dbraw/zinc/56/38/61/712563861.db2.gz OMBXHXWHSDCQBC-UKWYHXRUSA-N 0 3 236.384 2.833 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNCc1cscn1 ZINC000716530194 712567121 /nfs/dbraw/zinc/56/71/21/712567121.db2.gz JBIUWXKJGCQRRK-QCZKYFFMSA-N 0 3 222.357 2.525 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNCc1nccs1 ZINC000716534486 712567174 /nfs/dbraw/zinc/56/71/74/712567174.db2.gz WNXHIOCHZGVAHM-ZNSHCXBVSA-N 0 3 222.357 2.525 20 0 BFADHN Oc1cccc(CNC[C@H]2CC2(Cl)Cl)c1 ZINC000379924986 712628195 /nfs/dbraw/zinc/62/81/95/712628195.db2.gz KOHGYLBYZILGMD-SECBINFHSA-N 0 3 246.137 2.676 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CC[C@@H]2CC[C@@H]3C[C@@H]32)n1 ZINC000717132112 712634406 /nfs/dbraw/zinc/63/44/06/712634406.db2.gz BUZZLAPNXCGPIJ-YNEHKIRRSA-N 0 3 246.354 2.621 20 0 BFADHN Fc1cccc(CNC[C@H]2CCC=CO2)c1F ZINC000379974704 712643240 /nfs/dbraw/zinc/64/32/40/712643240.db2.gz FHSKHQFBISFLAG-LLVKDONJSA-N 0 3 239.265 2.747 20 0 BFADHN COC(CN[C@H](C)c1cc(C)sc1C)OC ZINC000037473077 712644041 /nfs/dbraw/zinc/64/40/41/712644041.db2.gz SSNMZMWBVKUSHX-SECBINFHSA-N 0 3 243.372 2.634 20 0 BFADHN COCc1ccccc1CNCc1ccncc1 ZINC000037500123 712655983 /nfs/dbraw/zinc/65/59/83/712655983.db2.gz ZWRXEXRNDBIEPS-UHFFFAOYSA-N 0 3 242.322 2.518 20 0 BFADHN Cc1cccc(CNC[C@H]2CCC=CO2)c1F ZINC000380020303 712671737 /nfs/dbraw/zinc/67/17/37/712671737.db2.gz OZDJVSIHHVQMKX-CYBMUJFWSA-N 0 3 235.302 2.916 20 0 BFADHN Cc1cnc(CNC2(C3CC3)CCC2)s1 ZINC000717829319 712682148 /nfs/dbraw/zinc/68/21/48/712682148.db2.gz LDALHXRTJACNAS-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN CO[C@@H](CNCc1cc(C)co1)C1CCCC1 ZINC000718058460 712740342 /nfs/dbraw/zinc/74/03/42/712740342.db2.gz NKNCDOZCHUGULB-AWEZNQCLSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1coc(CN[C@@H](C)Cc2ccccn2)c1 ZINC000718070244 712740561 /nfs/dbraw/zinc/74/05/61/712740561.db2.gz LCJBYWXNWFZMFR-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN Cc1coc(CN[C@H](C)Cc2ccccn2)c1 ZINC000718070243 712741076 /nfs/dbraw/zinc/74/10/76/712741076.db2.gz LCJBYWXNWFZMFR-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN Cc1coc(CNC[C@H]2Cc3ccccc3O2)c1 ZINC000718072907 712741253 /nfs/dbraw/zinc/74/12/53/712741253.db2.gz OESMQAUOCGBCAH-CQSZACIVSA-N 0 3 243.306 2.681 20 0 BFADHN CO[C@H]1C[C@@H](NCc2cc(C)co2)C12CCC2 ZINC000718110506 712743487 /nfs/dbraw/zinc/74/34/87/712743487.db2.gz TWKHABCSTJXTAL-OLZOCXBDSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1coc(CNC[C@@H]2CSCCS2)c1 ZINC000718128832 712746552 /nfs/dbraw/zinc/74/65/52/712746552.db2.gz AOVQSAWGAMGUTB-LLVKDONJSA-N 0 3 243.397 2.526 20 0 BFADHN Cc1coc(CNC(C)(C)COC(C)C)c1 ZINC000718129551 712746642 /nfs/dbraw/zinc/74/66/42/712746642.db2.gz DSIQBNLJYOBFAS-UHFFFAOYSA-N 0 3 225.332 2.881 20 0 BFADHN Cc1coc(CNC[C@@H]2CCCCS2)c1 ZINC000718132808 712746899 /nfs/dbraw/zinc/74/68/99/712746899.db2.gz RAVVBESDLYJZIU-LBPRGKRZSA-N 0 3 225.357 2.963 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC3(CC3)C2)no1 ZINC000720355618 712833734 /nfs/dbraw/zinc/83/37/34/712833734.db2.gz KJQQIEQSZLPOHU-LLVKDONJSA-N 0 3 220.316 2.795 20 0 BFADHN CC[C@](C)(NCc1cc(C)on1)C1CC1 ZINC000720368032 712836006 /nfs/dbraw/zinc/83/60/06/712836006.db2.gz BPGVLWVMMZYTGT-LBPRGKRZSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@H](C)c2ccccc2)c(C)nn1 ZINC000720569871 712852128 /nfs/dbraw/zinc/85/21/28/712852128.db2.gz NJCSZQGWFUTBRK-GFCCVEGCSA-N 0 3 241.338 2.944 20 0 BFADHN Cc1cnccc1CNC1(C)CC(F)(F)C1 ZINC000720927079 712879593 /nfs/dbraw/zinc/87/95/93/712879593.db2.gz DZPRUYHRTRPSLB-UHFFFAOYSA-N 0 3 226.270 2.667 20 0 BFADHN C(CC1CCCC1)CN(C1CC1)C1COC1 ZINC001208091723 956940410 /nfs/dbraw/zinc/94/04/10/956940410.db2.gz VJGUNNCIJWYBTR-UHFFFAOYSA-N 0 3 223.360 2.820 20 0 BFADHN CN1CCC(=Nc2ncc(F)cc2Cl)CC1 ZINC001208795131 957169817 /nfs/dbraw/zinc/16/98/17/957169817.db2.gz WXWFORGQPALVOG-UHFFFAOYSA-N 0 3 241.697 2.505 20 0 BFADHN CC(C)[C@@H](Oc1ccnc(N)c1)C(F)(F)F ZINC001226657455 975006355 /nfs/dbraw/zinc/00/63/55/975006355.db2.gz QAAIXUKGNHKFSP-SECBINFHSA-N 0 3 234.221 2.630 20 0 BFADHN CCC[C@H]1CCCN1Cc1nc(C)c(C)[nH]1 ZINC000709069248 960272374 /nfs/dbraw/zinc/27/23/74/960272374.db2.gz PNHUKZXKXCRFEC-LBPRGKRZSA-N 0 3 221.348 2.791 20 0 BFADHN c1cc(CN2C3CCCC2CCC3)c[nH]1 ZINC001140708028 960524236 /nfs/dbraw/zinc/52/42/36/960524236.db2.gz NOEGEVAUWQENKQ-UHFFFAOYSA-N 0 3 204.317 2.922 20 0 BFADHN CCCC1CCN(Cc2cc(C)[nH]n2)CC1 ZINC001203076073 960682021 /nfs/dbraw/zinc/68/20/21/960682021.db2.gz VHIXQJFCNWHATE-UHFFFAOYSA-N 0 3 221.348 2.730 20 0 BFADHN Cc1noc([C@@H](NC2CCCCC2)C(C)C)n1 ZINC000168070154 961835459 /nfs/dbraw/zinc/83/54/59/961835459.db2.gz SOVZMNZPHIHCME-LBPRGKRZSA-N 0 3 237.347 2.997 20 0 BFADHN Cc1cccc(CN[C@H]2C=CCC2)c1F ZINC000698197844 965097601 /nfs/dbraw/zinc/09/76/01/965097601.db2.gz SHRHEYDZIIKPIK-LBPRGKRZSA-N 0 3 205.276 2.942 20 0 BFADHN C[C@@H]1CCCN(Cc2cnsc2)[C@@H]1C ZINC001232226302 965315457 /nfs/dbraw/zinc/31/54/57/965315457.db2.gz DKVHTSZJQLZBSL-NXEZZACHSA-N 0 3 210.346 2.764 20 0 BFADHN CCCCCC[C@@H](C)C(=O)N(C)CCN(C)C ZINC000176374047 965642440 /nfs/dbraw/zinc/64/24/40/965642440.db2.gz FLHUVEHFYJAMDL-CYBMUJFWSA-N 0 3 242.407 2.613 20 0 BFADHN CC[C@H]1COC[C@@H]1NCc1ccccc1Cl ZINC000699558339 965972354 /nfs/dbraw/zinc/97/23/54/965972354.db2.gz JIODOSUQYXFFGE-GWCFXTLKSA-N 0 3 239.746 2.855 20 0 BFADHN COc1ccc(CN2CC(C)C2)c(C)c1C ZINC001203712054 966746741 /nfs/dbraw/zinc/74/67/41/966746741.db2.gz FFPDWTWEYQQHHW-UHFFFAOYSA-N 0 3 219.328 2.764 20 0 BFADHN FC[C@H]1[C@@H]2CN(Cc3ccc(Cl)cc3)C[C@H]12 ZINC001203922949 966822740 /nfs/dbraw/zinc/82/27/40/966822740.db2.gz HWXUZJOJYWCQIX-XYYAHUGASA-N 0 3 239.721 2.987 20 0 BFADHN C[C@H]1CCN(Cc2ccc[nH]2)CCC1(F)F ZINC001204007013 966845845 /nfs/dbraw/zinc/84/58/45/966845845.db2.gz NVDWESJZCTYCOB-JTQLQIEISA-N 0 3 228.286 2.882 20 0 BFADHN c1cc(CN2CC[C@H]3CCCO[C@@H]3C2)cs1 ZINC001204034677 966862387 /nfs/dbraw/zinc/86/23/87/966862387.db2.gz KXJRNFHRQYFHPQ-CHWSQXEVSA-N 0 3 237.368 2.749 20 0 BFADHN CC(C)=CCC[C@@H](C)N[C@H](C)c1nnc(C)[nH]1 ZINC000821826779 968495323 /nfs/dbraw/zinc/49/53/23/968495323.db2.gz DPLPGEJBIGZXMT-GHMZBOCLSA-N 0 3 236.363 2.899 20 0 BFADHN Cc1ncsc1CN1CCC[C@H](F)CC1 ZINC001206863343 968507757 /nfs/dbraw/zinc/50/77/57/968507757.db2.gz CCJRFZDORZSHMN-JTQLQIEISA-N 0 3 228.336 2.776 20 0 BFADHN c1cc2c(o1)CCN(CC1CCSCC1)C2 ZINC001207755569 968874037 /nfs/dbraw/zinc/87/40/37/968874037.db2.gz WAZJWDOLSAKSPL-UHFFFAOYSA-N 0 3 237.368 2.781 20 0 BFADHN CS[C@@H](C)CCN(C)Cc1ccccn1 ZINC001208136709 969042068 /nfs/dbraw/zinc/04/20/68/969042068.db2.gz CESCGMCYKUEHHH-NSHDSACASA-N 0 3 224.373 2.655 20 0 BFADHN CS[C@H](C)CCN1CC[C@@](C)(F)[C@@H](F)C1 ZINC001208140272 969054006 /nfs/dbraw/zinc/05/40/06/969054006.db2.gz ZJJMODPSNDYFBP-OUAUKWLOSA-N 0 3 237.359 2.900 20 0 BFADHN CC/C=C\CCCN1CCOC2(CC2)C1 ZINC001208137889 969050485 /nfs/dbraw/zinc/05/04/85/969050485.db2.gz KGWJGIWGIHYMSQ-ARJAWSKDSA-N 0 3 209.333 2.598 20 0 BFADHN CS[C@@H](C)CCN1CCCC[C@H]1C(C)=O ZINC001208156042 969066191 /nfs/dbraw/zinc/06/61/91/969066191.db2.gz VHLGOZUCDZWXNS-JQWIXIFHSA-N 0 3 229.389 2.572 20 0 BFADHN C[C@@H](CCN1CC2(COC2)C1)CC(C)(C)C ZINC001208657342 969185276 /nfs/dbraw/zinc/18/52/76/969185276.db2.gz JLDQBGHIKGQKPX-LBPRGKRZSA-N 0 3 225.376 2.781 20 0 BFADHN CCCCC[C@@H](O)CN1CC[C@@H](C)[C@H](F)C1 ZINC001253525270 969202644 /nfs/dbraw/zinc/20/26/44/969202644.db2.gz LQODVESOLNDIRX-JHJVBQTASA-N 0 3 231.355 2.608 20 0 BFADHN CCCCC[C@H](O)CNCc1ccoc1C ZINC001253553922 969226390 /nfs/dbraw/zinc/22/63/90/969226390.db2.gz NGTBMZMJDDRGCB-ZDUSSCGKSA-N 0 3 225.332 2.619 20 0 BFADHN CC(C)CCCN1CCC(=O)C(C)(C)C1 ZINC001208735753 969226741 /nfs/dbraw/zinc/22/67/41/969226741.db2.gz OYNKKUMIWMMOLU-UHFFFAOYSA-N 0 3 211.349 2.724 20 0 BFADHN CCO[C@@H]1CCCN(C[C@H](C)C(F)(F)F)C1 ZINC001208894495 969283087 /nfs/dbraw/zinc/28/30/87/969283087.db2.gz UQYBCICMZHFFQD-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN COC(=O)[C@H]1CCCN1CC1(C)CCCCC1 ZINC001208896641 969287163 /nfs/dbraw/zinc/28/71/63/969287163.db2.gz NSHPTHKUJJVFGZ-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1ccc(CN[C@@H]2CCSC2)c(F)c1F ZINC001255270910 970021896 /nfs/dbraw/zinc/02/18/96/970021896.db2.gz NLNOQHQCTQSGKX-SNVBAGLBSA-N 0 3 243.322 2.868 20 0 BFADHN Cc1cccc([C@H](C)N[C@H]2CCSC2)n1 ZINC001255271004 970022253 /nfs/dbraw/zinc/02/22/53/970022253.db2.gz RGTMZJSGAUARNM-QWRGUYRKSA-N 0 3 222.357 2.546 20 0 BFADHN Fc1ccc2c(c1)CN([C@@H]1CCSC1)CC2 ZINC001255291737 970054718 /nfs/dbraw/zinc/05/47/18/970054718.db2.gz YPDLDTHEWKLCSJ-CYBMUJFWSA-N 0 3 237.343 2.689 20 0 BFADHN CC(C)CCC[C@@H](C)N1CC[C@@](F)(CO)C1 ZINC001170077272 970178737 /nfs/dbraw/zinc/17/87/37/970178737.db2.gz HXVWLUARQGGQEF-OLZOCXBDSA-N 0 3 231.355 2.608 20 0 BFADHN C[C@H](CC(F)(F)F)N1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001255651499 970432128 /nfs/dbraw/zinc/43/21/28/970432128.db2.gz LGTVVVMCNTUDKU-XGEHTFHBSA-N 0 3 225.229 2.617 20 0 BFADHN C[C@@H](CC(F)(F)F)N1C[C@H]2[C@H](CC2(F)F)C1 ZINC001255653967 970433484 /nfs/dbraw/zinc/43/34/84/970433484.db2.gz XESYBKQWNIJKDO-RNJXMRFFSA-N 0 3 243.219 2.914 20 0 BFADHN Cc1cc(Cl)cc(C)c1NCC1CN(C)C1 ZINC001170253685 970662830 /nfs/dbraw/zinc/66/28/30/970662830.db2.gz APFNBXASDNVCDM-UHFFFAOYSA-N 0 3 238.762 2.930 20 0 BFADHN CCCc1cc(CN)nn1[C@@H](C)CSCC ZINC001173298139 975187246 /nfs/dbraw/zinc/18/72/46/975187246.db2.gz KLQDCDLMBAMWQO-JTQLQIEISA-N 0 3 241.404 2.608 20 0 BFADHN COc1ccc(CN2CC=CCC2)c(C)c1 ZINC001333754756 976065848 /nfs/dbraw/zinc/06/58/48/976065848.db2.gz RGWHNDRRFKEBKO-UHFFFAOYSA-N 0 3 217.312 2.766 20 0 BFADHN CCC1(CNCc2ccnc(OC)c2F)CC1 ZINC001334974233 976807648 /nfs/dbraw/zinc/80/76/48/976807648.db2.gz WWWTTYFJETYSTD-UHFFFAOYSA-N 0 3 238.306 2.509 20 0 BFADHN CCCN(Cc1cnsc1)CC1CC1 ZINC001232224674 977952778 /nfs/dbraw/zinc/95/27/78/977952778.db2.gz OTQXCUAPOWGTAG-UHFFFAOYSA-N 0 3 210.346 2.765 20 0 BFADHN CCN(C)Cc1ccnc(C(F)(F)F)c1 ZINC001232234922 977968752 /nfs/dbraw/zinc/96/87/52/977968752.db2.gz HLWGOGVQRLFICS-UHFFFAOYSA-N 0 3 218.222 2.552 20 0 BFADHN Cc1cc(CN2CCC(CC(C)C)CC2)n[nH]1 ZINC001337065115 978150805 /nfs/dbraw/zinc/15/08/05/978150805.db2.gz MHFIMJYNWQJQRX-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN CCCn1cnc(CN(C)C2CCCC2)c1 ZINC001232799201 978289853 /nfs/dbraw/zinc/28/98/53/978289853.db2.gz ZMRRTYCRQLBJKC-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CCN(Cc1ccc(OC(F)F)nc1)C1CC1 ZINC001232953842 978357951 /nfs/dbraw/zinc/35/79/51/978357951.db2.gz YMIFPCIZYPDYQO-UHFFFAOYSA-N 0 3 242.269 2.667 20 0 BFADHN Cc1ncc(F)cc1CN(C)C1CC(C)C1 ZINC001232986503 978382074 /nfs/dbraw/zinc/38/20/74/978382074.db2.gz QPQJMJQCMMZTHZ-UHFFFAOYSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1cc(CN2CC3CCC2CC3)sn1 ZINC001233312447 978538987 /nfs/dbraw/zinc/53/89/87/978538987.db2.gz SSXZKGBSAGSOGW-UHFFFAOYSA-N 0 3 222.357 2.826 20 0 BFADHN CC(C)N(CCCO)Cc1ccc(Cl)o1 ZINC001233316630 978543350 /nfs/dbraw/zinc/54/33/50/978543350.db2.gz RZEPVHFQZIREDA-UHFFFAOYSA-N 0 3 231.723 2.526 20 0 BFADHN CCCCCCC(=O)NC[C@H]1CCCCN1C ZINC001339265962 978829239 /nfs/dbraw/zinc/82/92/39/978829239.db2.gz SEHMVJVMUBONTM-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN C/C=C(\NC=[NH2+])c1cc([O-])cc(Cl)c1 ZINC001235901223 979194250 /nfs/dbraw/zinc/19/42/50/979194250.db2.gz UCSNGFMQOYCFLW-SGAXSIHGSA-N 0 3 210.664 2.603 20 0 BFADHN CN[C@H]1CCN1CCC(C)(C)c1ccccc1 ZINC001236168295 979419535 /nfs/dbraw/zinc/41/95/35/979419535.db2.gz WAVAVAJWCBQCED-CQSZACIVSA-N 0 3 232.371 2.606 20 0 BFADHN COC1(C)CC[NH+](Cc2occc2[S-])CC1 ZINC001237181031 979833581 /nfs/dbraw/zinc/83/35/81/979833581.db2.gz LRKGMIZJIPJURH-UHFFFAOYSA-N 0 3 241.356 2.569 20 0 BFADHN COc1ccoc1CN1C[C@H](C)C[C@@H](C)C1 ZINC001237874870 980104065 /nfs/dbraw/zinc/10/40/65/980104065.db2.gz OUVSWZTYTWZDHB-GHMZBOCLSA-N 0 3 223.316 2.766 20 0 BFADHN Cc1c(F)ccc(F)c1CCN1CCCC1 ZINC001250167853 980138483 /nfs/dbraw/zinc/13/84/83/980138483.db2.gz JIRLMEIVUWMZGJ-UHFFFAOYSA-N 0 3 225.282 2.912 20 0 BFADHN CC(C)CCC[C@@H](C)n1cncc1CN ZINC000085197709 981682144 /nfs/dbraw/zinc/68/21/44/981682144.db2.gz YFMPIWJJLYDUNU-LLVKDONJSA-N 0 3 209.337 2.729 20 0 BFADHN COC(C)(C)Cc1nc2ccc(F)c(C)c2[nH]1 ZINC001250613288 982010190 /nfs/dbraw/zinc/01/01/90/982010190.db2.gz JPXMHUVBZDJHTF-UHFFFAOYSA-N 0 3 236.290 2.978 20 0 BFADHN CC(C)c1ccc(C2=CCN(C)CC2)nc1 ZINC001241310599 982455818 /nfs/dbraw/zinc/45/58/18/982455818.db2.gz IIVCNBHVRIGBAM-UHFFFAOYSA-N 0 3 216.328 2.924 20 0 BFADHN COc1cc(C2=CCN(C)CC2)cc(F)c1F ZINC001241320127 982479726 /nfs/dbraw/zinc/47/97/26/982479726.db2.gz UCFPCACIWGOSEX-UHFFFAOYSA-N 0 3 239.265 2.692 20 0 BFADHN CCCCOCCN[C@H](C)c1ncccc1C ZINC001198640738 982813603 /nfs/dbraw/zinc/81/36/03/982813603.db2.gz GVRASBAHRRQMBB-CYBMUJFWSA-N 0 3 236.359 2.857 20 0 BFADHN CCCC[C@@H](CC)CN1C[C@@H](OC)[C@@H](F)C1 ZINC001201563132 983330622 /nfs/dbraw/zinc/33/06/22/983330622.db2.gz KYORAFOBWJAYJI-FRRDWIJNSA-N 0 3 231.355 2.872 20 0 BFADHN Clc1cnc2c(c1)CN(CC1CC1)CC2 ZINC001201951617 983522162 /nfs/dbraw/zinc/52/21/62/983522162.db2.gz QHPCNZUZGISJPI-UHFFFAOYSA-N 0 3 222.719 2.503 20 0 BFADHN CC[C@@H](CC(C)C)n1ncc2c1CCNC2 ZINC001172399024 974758002 /nfs/dbraw/zinc/75/80/02/974758002.db2.gz WWCLTMBLVLHDNO-LBPRGKRZSA-N 0 3 221.348 2.526 20 0 BFADHN CC(C)COCC[C@H](C)N[C@@H](C)c1ccno1 ZINC001172469841 974767309 /nfs/dbraw/zinc/76/73/09/974767309.db2.gz VFZBJGPFMUZVDT-RYUDHWBXSA-N 0 3 240.347 2.776 20 0 BFADHN CC(C)COCC[C@@H](C)N1CCOC(C)(C)C1 ZINC001172469405 974767492 /nfs/dbraw/zinc/76/74/92/974767492.db2.gz SGYUOPASCAXCJG-CYBMUJFWSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)OCC[C@@H](C)N(C)Cc1cncs1 ZINC001172455065 974819785 /nfs/dbraw/zinc/81/97/85/974819785.db2.gz PRPBTSUFNWVTQL-LLVKDONJSA-N 0 3 242.388 2.779 20 0 BFADHN CC(C)CC[C@H](C)N1CCOCC12CC2 ZINC001256373115 970792268 /nfs/dbraw/zinc/79/22/68/970792268.db2.gz PFRRPQAMMPXSIA-LBPRGKRZSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1nc2ccc(N[C@H]3CCOC[C@@H]3C)cc2[nH]1 ZINC000305893638 970805442 /nfs/dbraw/zinc/80/54/42/970805442.db2.gz ZAWDVMCGTMCYTQ-CABZTGNLSA-N 0 3 245.326 2.708 20 0 BFADHN Cc1cnccc1Nc1ccnc(OC(C)C)c1 ZINC001215765299 970834965 /nfs/dbraw/zinc/83/49/65/970834965.db2.gz SPHRYIWSFWUNIS-UHFFFAOYSA-N 0 3 243.310 2.738 20 0 BFADHN CSc1ccc(Nc2nccn2C)c(C)n1 ZINC001215905514 970872520 /nfs/dbraw/zinc/87/25/20/970872520.db2.gz LYUYFIPIZYAOQF-UHFFFAOYSA-N 0 3 234.328 2.589 20 0 BFADHN CCc1cc(Nc2ccncc2C)ccc1N ZINC001216034995 970905139 /nfs/dbraw/zinc/90/51/39/970905139.db2.gz XJHUDCBVYJLVRA-UHFFFAOYSA-N 0 3 227.311 2.700 20 0 BFADHN C[C@@H]1C[C@@H]1c1nnc(C2CCN(C3CC3)CC2)o1 ZINC001256700838 970931491 /nfs/dbraw/zinc/93/14/91/970931491.db2.gz PQIVVROSXUCJFT-SKDRFNHKSA-N 0 3 247.342 2.535 20 0 BFADHN FCC1(N[C@@H]2CCO[C@H](c3ccccc3)C2)CC1 ZINC001256783837 970989061 /nfs/dbraw/zinc/98/90/61/970989061.db2.gz DQJWSYOKUJHCOL-KGLIPLIRSA-N 0 3 249.329 2.998 20 0 BFADHN CCC1CCC(N2CCC(=O)[C@H](F)CC2)CC1 ZINC001256870424 971005810 /nfs/dbraw/zinc/00/58/10/971005810.db2.gz AUSXHDJBIFMELF-WXRRBKDZSA-N 0 3 241.350 2.958 20 0 BFADHN CCC1CCC(N2CC3(C2)CCCO3)CC1 ZINC001256870964 971005003 /nfs/dbraw/zinc/00/50/03/971005003.db2.gz DDVBUDYWSARPCO-UHFFFAOYSA-N 0 3 223.360 2.820 20 0 BFADHN Cc1ncc(Nc2cnc(Cl)cc2C)n1C ZINC001216279367 971016761 /nfs/dbraw/zinc/01/67/61/971016761.db2.gz LLZPXBUYPDOTAQ-UHFFFAOYSA-N 0 3 236.706 2.829 20 0 BFADHN COc1ncc(C2=CNCCC2)c2ccccc21 ZINC001243951677 971046094 /nfs/dbraw/zinc/04/60/94/971046094.db2.gz CAEUUSVQLIWXCW-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN COc1ccc(C)cc1C1=CNCCC1 ZINC001243953113 971052506 /nfs/dbraw/zinc/05/25/06/971052506.db2.gz USBKBXHSEYQCQJ-UHFFFAOYSA-N 0 3 203.285 2.728 20 0 BFADHN Nc1ccnc(-c2ccc3c(c2)CCCC3=O)c1 ZINC001243985978 971071231 /nfs/dbraw/zinc/07/12/31/971071231.db2.gz NTPGJSGGCMFTFZ-UHFFFAOYSA-N 0 3 238.290 2.850 20 0 BFADHN Cn1ccnc1Nc1cc(Cl)ccc1O ZINC001216396530 971073993 /nfs/dbraw/zinc/07/39/93/971073993.db2.gz KRCKWBDIIZMNGW-UHFFFAOYSA-N 0 3 223.663 2.523 20 0 BFADHN CC(=O)c1cccc(Nc2cnc(C)n2C)c1F ZINC001216498930 971096663 /nfs/dbraw/zinc/09/66/63/971096663.db2.gz AYXZBORQZJTRGK-UHFFFAOYSA-N 0 3 247.273 2.814 20 0 BFADHN CC1CCN(Cc2ccc(O)cc2F)CC1 ZINC000404058102 971119136 /nfs/dbraw/zinc/11/91/36/971119136.db2.gz LLZMITUEMGHZEO-UHFFFAOYSA-N 0 3 223.291 2.763 20 0 BFADHN C[C@H]1CC[C@@H]([NH2+]Cc2ccc([O-])cc2F)C1 ZINC000404153752 971138387 /nfs/dbraw/zinc/13/83/87/971138387.db2.gz UISAUGQIVHALRC-GXSJLCMTSA-N 0 3 223.291 2.810 20 0 BFADHN CCC[C@H](CC)N1CCCc2ccc(CN)nc21 ZINC001257246936 971140806 /nfs/dbraw/zinc/14/08/06/971140806.db2.gz GVVDHDOUDBWSAS-AWEZNQCLSA-N 0 3 247.386 2.872 20 0 BFADHN CCC[C@@H](CC)N1CCN2CC(F)(F)C[C@H]2C1 ZINC001257266633 971167290 /nfs/dbraw/zinc/16/72/90/971167290.db2.gz PVKDCJFZHOBWOV-NEPJUHHUSA-N 0 3 246.345 2.590 20 0 BFADHN CCCCCC[C@@H](C)NCc1cn(CC)nn1 ZINC000404462329 971167863 /nfs/dbraw/zinc/16/78/63/971167863.db2.gz RYVQXZKRMPVILR-GFCCVEGCSA-N 0 3 238.379 2.747 20 0 BFADHN CCC(CC)NCc1nccn1CC(F)(F)F ZINC001257291947 971178341 /nfs/dbraw/zinc/17/83/41/971178341.db2.gz PSBBETDMNVXVRL-UHFFFAOYSA-N 0 3 249.280 2.724 20 0 BFADHN CCC(CC)N1CCc2cnc(OC)cc2C1 ZINC001257301640 971193006 /nfs/dbraw/zinc/19/30/06/971193006.db2.gz LABRVGSUKVOOFF-UHFFFAOYSA-N 0 3 234.343 2.637 20 0 BFADHN CCC(CC)N1CCc2cnc(C3CC3)nc2C1 ZINC001257301942 971193387 /nfs/dbraw/zinc/19/33/87/971193387.db2.gz ORCRJCCDTBQASR-UHFFFAOYSA-N 0 3 245.370 2.901 20 0 BFADHN CC(C)[C@H](OC[C@@H]1CCCN1C)C(F)(F)F ZINC001224817946 974000506 /nfs/dbraw/zinc/00/05/06/974000506.db2.gz DRAYNMIHMSYCQV-UWVGGRQHSA-N 0 3 239.281 2.684 20 0 BFADHN CCC[C@H](C)NCc1ccn(CC(F)(F)F)n1 ZINC001257313759 971210273 /nfs/dbraw/zinc/21/02/73/971210273.db2.gz PFFYGAPLVVDAFT-VIFPVBQESA-N 0 3 249.280 2.724 20 0 BFADHN Cc1cccc2c1[C@@H](NC1CCCC1)C(=O)N2 ZINC001257342337 971250757 /nfs/dbraw/zinc/25/07/57/971250757.db2.gz XQKAHUKMJMURND-CYBMUJFWSA-N 0 3 230.311 2.520 20 0 BFADHN CCCC(CCC)N1CCN(C(C)C)C(=O)C1 ZINC001257341147 971251309 /nfs/dbraw/zinc/25/13/09/971251309.db2.gz VTKCSGGKGUUPEY-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1ccccc1C1(NC2CCCC2)COC1 ZINC001257345818 971258874 /nfs/dbraw/zinc/25/88/74/971258874.db2.gz QOLJTPUYENLQEN-UHFFFAOYSA-N 0 3 231.339 2.753 20 0 BFADHN c1nc(C2CC2)nc2c1CCN(C1CCCC1)C2 ZINC001257354645 971267528 /nfs/dbraw/zinc/26/75/28/971267528.db2.gz LOVDHSNXLYDQSW-UHFFFAOYSA-N 0 3 243.354 2.655 20 0 BFADHN CCCCCC[C@H](C)N[C@@H]1COC[C@@H]1F ZINC001257359898 971274935 /nfs/dbraw/zinc/27/49/35/971274935.db2.gz ZBOJSQZLYZZIBL-SDDRHHMPSA-N 0 3 217.328 2.672 20 0 BFADHN CCCC[C@H](CC)n1nc(CN)cc1C ZINC001257410619 971299229 /nfs/dbraw/zinc/29/92/29/971299229.db2.gz VRJOZWOGBSRKEG-LBPRGKRZSA-N 0 3 209.337 2.792 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CO[C@@H](C)[C@H]1C ZINC000405762682 971304935 /nfs/dbraw/zinc/30/49/35/971304935.db2.gz ONIBDLFIHXUWGL-MBNYWOFBSA-N 0 3 249.354 2.598 20 0 BFADHN CCOc1ccccc1CN[C@@H]1CO[C@H](C)[C@H]1C ZINC000405762685 971305780 /nfs/dbraw/zinc/30/57/80/971305780.db2.gz ONIBDLFIHXUWGL-YRGRVCCFSA-N 0 3 249.354 2.598 20 0 BFADHN CCCC[C@@H](CC)NCC(=O)c1cccnc1 ZINC001257415947 971311291 /nfs/dbraw/zinc/31/12/91/971311291.db2.gz ZPZUSNYXIGREDL-CYBMUJFWSA-N 0 3 234.343 2.823 20 0 BFADHN CCCC[C@@H](CC)N(CC)[C@@H](C)C(=O)OC ZINC001257426526 971328922 /nfs/dbraw/zinc/32/89/22/971328922.db2.gz OOEUPYQZSFIGSR-NWDGAFQWSA-N 0 3 229.364 2.839 20 0 BFADHN CC[C@@H](C)NCc1c(F)c(F)ccc1OC ZINC001257430197 971336075 /nfs/dbraw/zinc/33/60/75/971336075.db2.gz IEPFQIHEFBOFSZ-MRVPVSSYSA-N 0 3 229.270 2.862 20 0 BFADHN CC[C@H](C)NCc1cn(Cc2ccccc2)cn1 ZINC001257435666 971346676 /nfs/dbraw/zinc/34/66/76/971346676.db2.gz KASMTQJZLTVMNO-ZDUSSCGKSA-N 0 3 243.354 2.820 20 0 BFADHN Cc1nc(CNC2CCCCC2)c(C)o1 ZINC001257448874 971368108 /nfs/dbraw/zinc/36/81/08/971368108.db2.gz KIOFAYIWFZKOLF-UHFFFAOYSA-N 0 3 208.305 2.714 20 0 BFADHN c1cnc2c(c1)CN(C1CCCCC1)CC2 ZINC001257460303 971384836 /nfs/dbraw/zinc/38/48/36/971384836.db2.gz YMODOVGEHCQQGZ-UHFFFAOYSA-N 0 3 216.328 2.772 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N1C[C@@H](F)C[C@H]1CO ZINC001257477903 971400005 /nfs/dbraw/zinc/40/00/05/971400005.db2.gz PKLTYLHUGSCDRG-RFGFWPKPSA-N 0 3 245.382 2.996 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N[C@H]1COC[C@@H]1F ZINC001257478831 971404701 /nfs/dbraw/zinc/40/47/01/971404701.db2.gz XNZWFLFDGCAHTC-CYDGBPFRSA-N 0 3 231.355 2.918 20 0 BFADHN CCCCC[C@H](C)Nc1ccc(CN)nc1 ZINC001257502983 971423203 /nfs/dbraw/zinc/42/32/03/971423203.db2.gz HYLVAVWNAXFKQC-NSHDSACASA-N 0 3 221.348 2.921 20 0 BFADHN CC1(C)N[C@H](COC2CCC(C)(C)CC2)CO1 ZINC001217831751 971427737 /nfs/dbraw/zinc/42/77/37/971427737.db2.gz JIBLCEOZRYKCEA-LLVKDONJSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@@H]1CCCC[C@H]1OC[C@H]1COC(C)(C)N1 ZINC001217836821 971431016 /nfs/dbraw/zinc/43/10/16/971431016.db2.gz INWTZYARUFHNJG-FRRDWIJNSA-N 0 3 241.375 2.696 20 0 BFADHN CCCCC[C@@H](C)N(C)Cc1ccncn1 ZINC001257518817 971443120 /nfs/dbraw/zinc/44/31/20/971443120.db2.gz USOMVVZNGZWHRD-GFCCVEGCSA-N 0 3 221.348 2.877 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](OC[C@H]2COC(C)(C)N2)C1 ZINC001217858814 971450745 /nfs/dbraw/zinc/45/07/45/971450745.db2.gz WVPAZHYPIZURAQ-MROQNXINSA-N 0 3 241.375 2.552 20 0 BFADHN CC[C@@H](OC[C@H]1COC(C)(C)N1)C(C)(C)C ZINC001217865286 971458563 /nfs/dbraw/zinc/45/85/63/971458563.db2.gz KBHOOZXNJPTXPW-WDEREUQCSA-N 0 3 229.364 2.552 20 0 BFADHN CC[C@@H](OC[C@@H]1COC(C)(C)N1)C(C)(C)C ZINC001217865282 971459442 /nfs/dbraw/zinc/45/94/42/971459442.db2.gz KBHOOZXNJPTXPW-GHMZBOCLSA-N 0 3 229.364 2.552 20 0 BFADHN C[C@@H]1CC[C@H](OC[C@H]2COC(C)(C)N2)C[C@H]1C ZINC001217872506 971466335 /nfs/dbraw/zinc/46/63/35/971466335.db2.gz XUPIGERQGFIMIH-NDBYEHHHSA-N 0 3 241.375 2.552 20 0 BFADHN Cc1cnc2c(c1)CN([C@H]1CCCSC1)CC2 ZINC001257680538 971484563 /nfs/dbraw/zinc/48/45/63/971484563.db2.gz BEGQOKVSSHLRPW-ZDUSSCGKSA-N 0 3 248.395 2.644 20 0 BFADHN Cc1cc2c(cn1)CN([C@H]1CCCSC1)C2 ZINC001257681073 971487126 /nfs/dbraw/zinc/48/71/26/971487126.db2.gz NKCRYRRKHSRACV-ZDUSSCGKSA-N 0 3 234.368 2.601 20 0 BFADHN C[C@@H]1CCC[C@@H](C)C1OC[C@H]1COC(C)(C)N1 ZINC001217893774 971491937 /nfs/dbraw/zinc/49/19/37/971491937.db2.gz SUUKZFQFYCWTAE-UTUOFQBUSA-N 0 3 241.375 2.552 20 0 BFADHN CCCCC[C@H](CCC)OC[C@H]1CNCCO1 ZINC001217894983 971497147 /nfs/dbraw/zinc/49/71/47/971497147.db2.gz HVUYTSCCTVGZQS-UONOGXRCSA-N 0 3 243.391 2.740 20 0 BFADHN CCCC/C=C/[C@H](C)OC[C@H]1COC(C)(C)N1 ZINC001217896113 971497381 /nfs/dbraw/zinc/49/73/81/971497381.db2.gz OQFPNXBEQXVIAZ-TYDXBBDOSA-N 0 3 241.375 2.862 20 0 BFADHN CC(C)=CCO[C@H]1CNCc2ccccc21 ZINC001217937952 971539824 /nfs/dbraw/zinc/53/98/24/971539824.db2.gz LFVPHMPIANAUIG-AWEZNQCLSA-N 0 3 217.312 2.814 20 0 BFADHN Cc1cccc(Cl)c1O[C@@H]1CCNC[C@H]1F ZINC001218064441 971594738 /nfs/dbraw/zinc/59/47/38/971594738.db2.gz LCQQFHVWDPWRSU-GHMZBOCLSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1cc(O[C@H]2CCNC[C@@H]2F)ccc1Cl ZINC001218067068 971594852 /nfs/dbraw/zinc/59/48/52/971594852.db2.gz QLQIOJFHDGFSLA-RYUDHWBXSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1cc(C)c(O[C@H]2CCNC[C@H]2F)c(C)c1 ZINC001218064783 971595917 /nfs/dbraw/zinc/59/59/17/971595917.db2.gz AXOLMRIDJWVEEB-OLZOCXBDSA-N 0 3 237.318 2.691 20 0 BFADHN Cc1ccc(O[C@@H]2CCNC[C@@H]2F)c2c1CCC2 ZINC001218064653 971593383 /nfs/dbraw/zinc/59/33/83/971593383.db2.gz AHXOUJJHLGKZAG-DZGCQCFKSA-N 0 3 249.329 2.563 20 0 BFADHN CCc1cccc(C)c1O[C@@H]1CCNC[C@H]1F ZINC001218067363 971593625 /nfs/dbraw/zinc/59/36/25/971593625.db2.gz AKMJSFMAKBZJJO-CHWSQXEVSA-N 0 3 237.318 2.636 20 0 BFADHN CC1(C)[C@@H]2C[C@@H](NCc3cscn3)C[C@@H]21 ZINC001170709784 971606154 /nfs/dbraw/zinc/60/61/54/971606154.db2.gz CSDVUEKBHLIMAN-UIKWRGBMSA-N 0 3 222.357 2.667 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@@H]3[C@H](C2)C3(C)C)c(C)o1 ZINC001170713486 971623875 /nfs/dbraw/zinc/62/38/75/971623875.db2.gz OQIAYVMSOONUII-CNDDSTCGSA-N 0 3 234.343 2.816 20 0 BFADHN C[C@H](N)c1nccn1[C@@H]1C[C@@H]2[C@H](C1)C2(C)C ZINC001170713513 971625268 /nfs/dbraw/zinc/62/52/68/971625268.db2.gz OZQRCJFMYILEOK-XWLWVQCSSA-N 0 3 219.332 2.510 20 0 BFADHN CC1(C)[C@@H]2C[C@H](NCc3ncccc3F)C[C@@H]21 ZINC001170714134 971625453 /nfs/dbraw/zinc/62/54/53/971625453.db2.gz ZQGNBWAZBYATSL-JGPRNRPPSA-N 0 3 234.318 2.745 20 0 BFADHN Cc1cc(CN[C@H]2C[C@@H]3[C@H](C2)C3(C)C)on1 ZINC001170715963 971635236 /nfs/dbraw/zinc/63/52/36/971635236.db2.gz NKLPLBBGCMTHCC-YHPBZONMSA-N 0 3 220.316 2.507 20 0 BFADHN CC1(C)[C@@H]2C[C@H](NCC(=O)c3ccccc3)C[C@@H]21 ZINC001170716814 971639673 /nfs/dbraw/zinc/63/96/73/971639673.db2.gz XTRDTDBABVRFPF-BTTYYORXSA-N 0 3 243.350 2.894 20 0 BFADHN C[C@H](N)c1ccn([C@H]2C[C@@H]3[C@H](C2)C3(C)C)n1 ZINC001170725217 971658745 /nfs/dbraw/zinc/65/87/45/971658745.db2.gz WANQUJYXFKPOEW-MMWGEVLESA-N 0 3 219.332 2.510 20 0 BFADHN CC(C)n1cnc(CN[C@H]2C[C@@H]3[C@H](C2)C3(C)C)c1 ZINC001170741627 971695437 /nfs/dbraw/zinc/69/54/37/971695437.db2.gz YWROOUAEPIKDPZ-UBSAEIQESA-N 0 3 247.386 2.988 20 0 BFADHN COc1cc(-c2nccc3[nH]ccc32)ccc1N ZINC001245498608 971835087 /nfs/dbraw/zinc/83/50/87/971835087.db2.gz IDAJGBGCFJWIAM-UHFFFAOYSA-N 0 3 239.278 2.821 20 0 BFADHN Cc1cc(CNC(C)(C)c2cccs2)n[nH]1 ZINC000309844443 971858097 /nfs/dbraw/zinc/85/80/97/971858097.db2.gz FVOKLYSGKLGWOW-UHFFFAOYSA-N 0 3 235.356 2.805 20 0 BFADHN CC(C)OC1CN([C@@H]2CC[C@@H](C)[C@@H](C)C2)C1 ZINC001172376676 974578229 /nfs/dbraw/zinc/57/82/29/974578229.db2.gz FNAVGNYHPXIGJV-FRRDWIJNSA-N 0 3 225.376 2.920 20 0 BFADHN Cc1ccc2ccccc2c1NC(=O)C1(N)CC1 ZINC001218811372 971942267 /nfs/dbraw/zinc/94/22/67/971942267.db2.gz MWGWCZKCUXNNGX-UHFFFAOYSA-N 0 3 240.306 2.578 20 0 BFADHN Cc1nc2c(n1[C@@H]1CC[C@H](C)[C@@H](C)C1)CNCC2 ZINC000310983758 971990152 /nfs/dbraw/zinc/99/01/52/971990152.db2.gz KCKMPNDWMVWXED-GMXVVIOVSA-N 0 3 247.386 2.834 20 0 BFADHN c1c[nH]c(CN2CCC[C@@H]3CCC[C@@H]32)c1 ZINC000190601521 972035985 /nfs/dbraw/zinc/03/59/85/972035985.db2.gz AYNNCUJUDJRKSO-AAEUAGOBSA-N 0 3 204.317 2.779 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1CCOC[C@@H]1CC ZINC001172355712 974623574 /nfs/dbraw/zinc/62/35/74/974623574.db2.gz VTEZNRAJDXLRNT-IHRRRGAJSA-N 0 3 243.391 2.691 20 0 BFADHN CCOC1CN([C@@H]2CC[C@H](C)[C@@H](C)C2)C1 ZINC001172368683 974648261 /nfs/dbraw/zinc/64/82/61/974648261.db2.gz XEVNCBCPOLWTHF-SDDRHHMPSA-N 0 3 211.349 2.532 20 0 BFADHN CCN1CC[C@@H](Oc2ccc(Cl)cc2F)C1 ZINC001225713081 974654080 /nfs/dbraw/zinc/65/40/80/974654080.db2.gz MQRYVOXBTSXJPZ-SNVBAGLBSA-N 0 3 243.709 2.952 20 0 BFADHN CC[C@H]1CCC[N@H+](Cc2ccc(O)cc2[O-])C1 ZINC000091919245 956870904 /nfs/dbraw/zinc/87/09/04/956870904.db2.gz MJDIFSKVOCDXMY-NSHDSACASA-N 0 3 235.327 2.720 20 0 BFADHN CC[C@H]1CCC[N@@H+](Cc2ccc(O)cc2[O-])C1 ZINC000091919245 956870905 /nfs/dbraw/zinc/87/09/05/956870905.db2.gz MJDIFSKVOCDXMY-NSHDSACASA-N 0 3 235.327 2.720 20 0 BFADHN CC[C@H]1CC[C@H](NCc2ccn(CC)n2)C1 ZINC000414214033 972234760 /nfs/dbraw/zinc/23/47/60/972234760.db2.gz VLUCUBPFXDMALI-RYUDHWBXSA-N 0 3 221.348 2.571 20 0 BFADHN Cc1ccc([C@@H](C)CCN2CC[C@@]23CCOC3)o1 ZINC001208166088 957051701 /nfs/dbraw/zinc/05/17/01/957051701.db2.gz VIOJHGACRADNEZ-WFASDCNBSA-N 0 3 249.354 2.946 20 0 BFADHN CC(C)CCCN1CCC(=O)[C@H](C)CC1 ZINC001208734527 957152461 /nfs/dbraw/zinc/15/24/61/957152461.db2.gz JOWULJVEZKVZSY-GFCCVEGCSA-N 0 3 211.349 2.724 20 0 BFADHN CC(C)CCCN1CCc2cccnc2C1 ZINC001208736078 957154752 /nfs/dbraw/zinc/15/47/52/957154752.db2.gz BFLPMORXRLBNLU-UHFFFAOYSA-N 0 3 218.344 2.876 20 0 BFADHN OCc1cc(N2CCC(C3CCC3)CC2)ccn1 ZINC000692967583 957166287 /nfs/dbraw/zinc/16/62/87/957166287.db2.gz CXAVQKQTCBYRNY-UHFFFAOYSA-N 0 3 246.354 2.590 20 0 BFADHN CN1CC=C(Nc2ncc(F)cc2Cl)CC1 ZINC001208795131 957169812 /nfs/dbraw/zinc/16/98/12/957169812.db2.gz WXWFORGQPALVOG-UHFFFAOYSA-N 0 3 241.697 2.505 20 0 BFADHN CN(CCCc1ccccc1)Cc1cccnn1 ZINC001139973531 957193256 /nfs/dbraw/zinc/19/32/56/957193256.db2.gz XFGXHDVKRKUTEU-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN Cc1ccn2cc(CN(C)C[C@@H]3C[C@H]3C)nc2c1 ZINC001209426412 957446727 /nfs/dbraw/zinc/44/67/27/957446727.db2.gz KRDFGPUGVBQIKS-OLZOCXBDSA-N 0 3 243.354 2.731 20 0 BFADHN CCCN(CC)[C@H](C(=O)OC(C)(C)C)C1CC1 ZINC001167237726 957570498 /nfs/dbraw/zinc/57/04/98/957570498.db2.gz QINWRTWOCXKDCN-LBPRGKRZSA-N 0 3 241.375 2.839 20 0 BFADHN CC(F)(F)CCNCc1cccc2c1OCC2 ZINC000693128334 957690439 /nfs/dbraw/zinc/69/04/39/957690439.db2.gz UKUZRCZCSJXFOG-UHFFFAOYSA-N 0 3 241.281 2.756 20 0 BFADHN c1ncc(CN(Cc2ccccc2)C2CCC2)[nH]1 ZINC001136873799 972285053 /nfs/dbraw/zinc/28/50/53/972285053.db2.gz ZCXTWFGKZRLBLM-UHFFFAOYSA-N 0 3 241.338 2.964 20 0 BFADHN CCOc1ccccc1CNCCOC1CCC1 ZINC000690703851 957769781 /nfs/dbraw/zinc/76/97/81/957769781.db2.gz ZPJNTKHNAVMQDH-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN CC1(C)SC[C@@H]1NCc1cccc2c1OCC2 ZINC000693164817 957771915 /nfs/dbraw/zinc/77/19/15/957771915.db2.gz SUZABVKRGAJTRH-LBPRGKRZSA-N 0 3 249.379 2.605 20 0 BFADHN CC(=O)[C@@H]1CCN(Cc2cccc(F)c2C)C1 ZINC001204514117 957808656 /nfs/dbraw/zinc/80/86/56/957808656.db2.gz ROQGBAWVLYUWFN-CYBMUJFWSA-N 0 3 235.302 2.545 20 0 BFADHN Cc1cccn2c(CN(C)C3CC(C)C3)cnc12 ZINC001136958191 972306133 /nfs/dbraw/zinc/30/61/33/972306133.db2.gz DGDPIPWENNUUGH-UHFFFAOYSA-N 0 3 243.354 2.873 20 0 BFADHN C[C@@H]1CCN1Cc1ccc(OCC(F)F)cc1 ZINC001136935451 972301630 /nfs/dbraw/zinc/30/16/30/972301630.db2.gz DZNJEBIYUJNNIF-SNVBAGLBSA-N 0 3 241.281 2.925 20 0 BFADHN CC1CC(N(C)Cc2cncc(Cl)c2)C1 ZINC001136990007 972312731 /nfs/dbraw/zinc/31/27/31/972312731.db2.gz ZLHFLOXCAOIGIX-UHFFFAOYSA-N 0 3 224.735 2.965 20 0 BFADHN C=C(Nc1ccncc1C)c1ccccc1 ZINC001210981742 957967764 /nfs/dbraw/zinc/96/77/64/957967764.db2.gz QEOZCEWKRCYWRO-UHFFFAOYSA-N 0 3 210.280 2.895 20 0 BFADHN COc1ncc(CN2CCC3(CCC3)C2)cc1C ZINC001137006040 972320408 /nfs/dbraw/zinc/32/04/08/972320408.db2.gz AEPIXQRFBRBRAX-UHFFFAOYSA-N 0 3 246.354 2.775 20 0 BFADHN c1csc(CN2CC3CCC(CC3)C2)n1 ZINC001204548581 958183017 /nfs/dbraw/zinc/18/30/17/958183017.db2.gz RKEDATXGQYXBHT-UHFFFAOYSA-N 0 3 222.357 2.765 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCOC2)cc(C)c1F ZINC001143381512 958184563 /nfs/dbraw/zinc/18/45/63/958184563.db2.gz FGNBADGCXAKUFV-CYBMUJFWSA-N 0 3 237.318 2.663 20 0 BFADHN CNc1cc(F)cc(Nc2cnc(C)n2C)c1 ZINC001216186738 958677273 /nfs/dbraw/zinc/67/72/73/958677273.db2.gz LVJLJMCAEMACOZ-UHFFFAOYSA-N 0 3 234.278 2.653 20 0 BFADHN CCC[C@@]1(C)CCCN(CCN(C#N)CC)C1 ZINC001167509831 958814249 /nfs/dbraw/zinc/81/42/49/958814249.db2.gz QVUHQCGYIGGBFN-AWEZNQCLSA-N 0 3 237.391 2.692 20 0 BFADHN C[C@H](NC[C@]12C[C@H]1CCCC2)c1nccn1C ZINC000693612109 958989312 /nfs/dbraw/zinc/98/93/12/958989312.db2.gz BGHZYBJANXWAJZ-SCRDCRAPSA-N 0 3 233.359 2.651 20 0 BFADHN C[C@H](NC[C@@]12C[C@@H]1CCCC2)c1nccn1C ZINC000693612116 958991937 /nfs/dbraw/zinc/99/19/37/958991937.db2.gz BGHZYBJANXWAJZ-SGMGOOAPSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1nc(CN2CC[C@H]3CCCC[C@@H]3C2)c[nH]1 ZINC000724775895 959441834 /nfs/dbraw/zinc/44/18/34/959441834.db2.gz MMKGONNYUYIAJQ-CHWSQXEVSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1nc(CN2CC[C@@H](c3ccccc3)C2)c[nH]1 ZINC000724781008 959453220 /nfs/dbraw/zinc/45/32/20/959453220.db2.gz ZXHTYFCJPOFMHO-CQSZACIVSA-N 0 3 241.338 2.708 20 0 BFADHN CCc1cc(Nc2ccc(O)cc2OC)ccn1 ZINC001213094558 959551109 /nfs/dbraw/zinc/55/11/09/959551109.db2.gz HDIJBHQHEKAIPU-UHFFFAOYSA-N 0 3 244.294 2.524 20 0 BFADHN C[C@H]1C[C@H](OC[C@H]2COC(C)(C)N2)C[C@@H](C)C1 ZINC001217860821 959676331 /nfs/dbraw/zinc/67/63/31/959676331.db2.gz PMDQMMDYAWGWFD-QNWHQSFQSA-N 0 3 241.375 2.552 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@@H]2CCO[C@H]2C1 ZINC001140779661 959852184 /nfs/dbraw/zinc/85/21/84/959852184.db2.gz VKRNZBSVWZANSB-DOMZBBRYSA-N 0 3 249.329 2.745 20 0 BFADHN CCCc1ccccc1O[C@@H]1CCNC[C@@H]1F ZINC001218064812 959852647 /nfs/dbraw/zinc/85/26/47/959852647.db2.gz BBWHNCKIUBCGPV-GXTWGEPZSA-N 0 3 237.318 2.718 20 0 BFADHN CCCc1ccccc1O[C@H]1CCNC[C@H]1F ZINC001218064815 959852774 /nfs/dbraw/zinc/85/27/74/959852774.db2.gz BBWHNCKIUBCGPV-OCCSQVGLSA-N 0 3 237.318 2.718 20 0 BFADHN Cn1cncc1NCc1nccc2ccccc21 ZINC001167702935 959954360 /nfs/dbraw/zinc/95/43/60/959954360.db2.gz AOUUWLUHPPGXOO-UHFFFAOYSA-N 0 3 238.294 2.580 20 0 BFADHN Cc1c[nH]c(CN2CC[C@@]3(CC3(F)F)C2)c1C ZINC001140862564 960001817 /nfs/dbraw/zinc/00/18/17/960001817.db2.gz FPYYTQZMPGRIFX-GFCCVEGCSA-N 0 3 240.297 2.863 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2CCO[C@@H](C)C2)c1 ZINC000309779972 960119273 /nfs/dbraw/zinc/11/92/73/960119273.db2.gz MRSASWLYGKDFKK-NWDGAFQWSA-N 0 3 220.316 2.678 20 0 BFADHN Cc1ccc(CN[C@H](C)C2(Cl)CC2)cn1 ZINC000695649101 960321591 /nfs/dbraw/zinc/32/15/91/960321591.db2.gz PIAMMQCERJACHJ-SNVBAGLBSA-N 0 3 224.735 2.640 20 0 BFADHN C[C@@H](N[C@H](C)C1(Cl)CC1)c1cccnc1 ZINC000695649170 960324844 /nfs/dbraw/zinc/32/48/44/960324844.db2.gz QBUAGMDYZGTJTR-NXEZZACHSA-N 0 3 224.735 2.892 20 0 BFADHN c1nc(CN[C@@H]2CCCC3(CC3)C2)cs1 ZINC000695757620 960427811 /nfs/dbraw/zinc/42/78/11/960427811.db2.gz BGSMGSKTKZQIPL-SNVBAGLBSA-N 0 3 222.357 2.956 20 0 BFADHN Cc1cc(F)ccc1CN1CC[C@@]2(CCCO2)C1 ZINC001140779422 960565605 /nfs/dbraw/zinc/56/56/05/960565605.db2.gz KUAANZNUQLMDNF-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1c[nH]c(CN2CCC[C@H]3C[C@H]32)c1C ZINC001140864330 960646979 /nfs/dbraw/zinc/64/69/79/960646979.db2.gz NTLGXFFYDMSLHD-WCQYABFASA-N 0 3 204.317 2.616 20 0 BFADHN Nc1cccc(F)c1CN1CCC[C@@H](F)CC1 ZINC001140873400 960653796 /nfs/dbraw/zinc/65/37/96/960653796.db2.gz XOSFDMQSILJMIF-SNVBAGLBSA-N 0 3 240.297 2.732 20 0 BFADHN c1ncc(CN2CCC3(CCCC3)CC2)o1 ZINC001140928352 960698347 /nfs/dbraw/zinc/69/83/47/960698347.db2.gz LYCKMFGZEVGTHM-UHFFFAOYSA-N 0 3 220.316 2.831 20 0 BFADHN Cc1cc(CN(C)CCc2cccc(F)c2)n[nH]1 ZINC001203081279 960736692 /nfs/dbraw/zinc/73/66/92/960736692.db2.gz MRMKEFXVTVICOE-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN CCCC(=O)[C@H](CCC)OC1CCN(C)CC1 ZINC001222504385 972529739 /nfs/dbraw/zinc/52/97/39/972529739.db2.gz RZPANLYXOAIYPV-AWEZNQCLSA-N 0 3 241.375 2.635 20 0 BFADHN Cc1cc(CN2CC[C@H]3CCCC[C@@H]3C2)[nH]n1 ZINC001203081404 960761437 /nfs/dbraw/zinc/76/14/37/960761437.db2.gz RSLXSCGQTFNVQZ-CHWSQXEVSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1ncoc1CN1CCC[C@@](C)(F)CC1 ZINC001141051744 960847469 /nfs/dbraw/zinc/84/74/69/960847469.db2.gz OOMOQZJARRIPBW-GFCCVEGCSA-N 0 3 226.295 2.697 20 0 BFADHN CCCCc1nc(CN(C)CCCC)c[nH]1 ZINC001141066352 960851717 /nfs/dbraw/zinc/85/17/17/960851717.db2.gz CSTVJXUQGVPCQQ-UHFFFAOYSA-N 0 3 223.364 2.984 20 0 BFADHN CCCCc1nc(CN2CC[C@H](C)C2)c[nH]1 ZINC001141067938 960863067 /nfs/dbraw/zinc/86/30/67/960863067.db2.gz SJKMGGHCLSPJHM-NSHDSACASA-N 0 3 221.348 2.594 20 0 BFADHN CCCCc1ncc(CN(C)CCSC)[nH]1 ZINC001141073956 960863999 /nfs/dbraw/zinc/86/39/99/960863999.db2.gz QORKOTVSZNPORH-UHFFFAOYSA-N 0 3 241.404 2.547 20 0 BFADHN Cc1ncc(CN2CCC23CCCCC3)cn1 ZINC001203106261 960985503 /nfs/dbraw/zinc/98/55/03/960985503.db2.gz KIPOIGGCZGTKHQ-UHFFFAOYSA-N 0 3 231.343 2.694 20 0 BFADHN C[C@@H](NCC1SCCS1)c1cncs1 ZINC000692889283 961180929 /nfs/dbraw/zinc/18/09/29/961180929.db2.gz VUHNBGWJQLZTCA-SSDOTTSWSA-N 0 3 246.426 2.600 20 0 BFADHN CC1CCN(Cc2[nH]cnc2C(C)(C)C)CC1 ZINC001142209477 961344440 /nfs/dbraw/zinc/34/44/40/961344440.db2.gz ORNPIQJMAIAFML-UHFFFAOYSA-N 0 3 235.375 2.939 20 0 BFADHN CC(C)(C)c1nc[nH]c1CN1CCCC12CC2 ZINC001142209422 961347219 /nfs/dbraw/zinc/34/72/19/961347219.db2.gz NBGYUXZMAMCLMJ-UHFFFAOYSA-N 0 3 233.359 2.836 20 0 BFADHN CC1CC(N(C)Cc2[nH]cnc2C(C)(C)C)C1 ZINC001142213412 961354954 /nfs/dbraw/zinc/35/49/54/961354954.db2.gz YSGKOXRVALJYGD-UHFFFAOYSA-N 0 3 235.375 2.938 20 0 BFADHN N=CNc1cc(F)c(OC(F)F)c(F)c1 ZINC001167869246 961368768 /nfs/dbraw/zinc/36/87/68/961368768.db2.gz UIAKRCXFKSMXHJ-UHFFFAOYSA-N 0 3 222.141 2.585 20 0 BFADHN c1cc2c(cc1CN1CCCCC1)OCCCO2 ZINC001142251044 961375880 /nfs/dbraw/zinc/37/58/80/961375880.db2.gz MEOKWOGRKPZJTI-UHFFFAOYSA-N 0 3 247.338 2.834 20 0 BFADHN CCCc1ccc(CN(CC)C2CN(C)C2)cc1 ZINC001142367196 961424194 /nfs/dbraw/zinc/42/41/94/961424194.db2.gz HHTBPVZLODDNHK-UHFFFAOYSA-N 0 3 246.398 2.775 20 0 BFADHN C[N@@H+](Cc1ccc(O)cc1[O-])CC1CCCC1 ZINC000092667180 961636142 /nfs/dbraw/zinc/63/61/42/961636142.db2.gz HKXGBZIUCIAVGM-UHFFFAOYSA-N 0 3 235.327 2.720 20 0 BFADHN C[N@H+](Cc1ccc(O)cc1[O-])CC1CCCC1 ZINC000092667180 961636151 /nfs/dbraw/zinc/63/61/51/961636151.db2.gz HKXGBZIUCIAVGM-UHFFFAOYSA-N 0 3 235.327 2.720 20 0 BFADHN CC[C@H](C)C[C@H](C)Nc1cccc(N)n1 ZINC000087726459 961731494 /nfs/dbraw/zinc/73/14/94/961731494.db2.gz MFDIJCKACJFHGY-UWVGGRQHSA-N 0 3 207.321 2.900 20 0 BFADHN CC(C)N(C)Cc1cc(-c2ccccc2)nn1C ZINC001143004387 961859732 /nfs/dbraw/zinc/85/97/32/961859732.db2.gz KMQFMVYQQWGUIU-UHFFFAOYSA-N 0 3 243.354 2.927 20 0 BFADHN FCC1CCN(Cc2ccc3nccn3c2)CC1 ZINC001143029096 961870855 /nfs/dbraw/zinc/87/08/55/961870855.db2.gz QDGICVKPNVGRAO-UHFFFAOYSA-N 0 3 247.317 2.516 20 0 BFADHN F[C@@H]1CCCCN(Cc2cccc3n[nH]cc32)C1 ZINC001143087418 961875656 /nfs/dbraw/zinc/87/56/56/961875656.db2.gz LCPGFFONOHXSTD-GFCCVEGCSA-N 0 3 247.317 2.887 20 0 BFADHN CCC[C@H](N)c1cn(-c2cccc(C)c2F)nn1 ZINC000302294554 962223312 /nfs/dbraw/zinc/22/33/12/962223312.db2.gz LGASUGBSEHLEQU-JTQLQIEISA-N 0 3 248.305 2.515 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C[C@H]2C)cn1 ZINC000131856370 962318611 /nfs/dbraw/zinc/31/86/11/962318611.db2.gz RWVRULPIMZHUOH-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ncccc1OC ZINC001206650438 962639958 /nfs/dbraw/zinc/63/99/58/962639958.db2.gz KTWVZOIAQVXAAF-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN [O-]c1ccc(C[NH+]2CCC3(CCCC3)CC2)nc1 ZINC000133987919 962718183 /nfs/dbraw/zinc/71/81/83/962718183.db2.gz MFAWZKOJPTYIDR-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN Oc1ccc(CN2CCC3(CCCC3)CC2)nc1 ZINC000133987919 962718185 /nfs/dbraw/zinc/71/81/85/962718185.db2.gz MFAWZKOJPTYIDR-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN Nc1ccc(F)cc1CN1CCC[C@@H](CF)C1 ZINC000711505153 962721225 /nfs/dbraw/zinc/72/12/25/962721225.db2.gz NISNPOBSBAJGBU-JTQLQIEISA-N 0 3 240.297 2.589 20 0 BFADHN CO[C@@H]1CCCN(Cc2cc(C)cc(F)c2)C1 ZINC001143580269 963148169 /nfs/dbraw/zinc/14/81/69/963148169.db2.gz CEOWZQDYVKOGDM-CQSZACIVSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cccnc1N(C(=N)N)c1ccc(F)cc1 ZINC001167990385 963174643 /nfs/dbraw/zinc/17/46/43/963174643.db2.gz SBROCALGMLCOBF-UHFFFAOYSA-N 0 3 244.273 2.561 20 0 BFADHN Cc1cc(F)cc(CN2CCCC3(COC3)C2)c1 ZINC001143585046 963207345 /nfs/dbraw/zinc/20/73/45/963207345.db2.gz DEPAOHXIQCOGGT-UHFFFAOYSA-N 0 3 249.329 2.747 20 0 BFADHN Nc1cc(O[C@@H]2CCOc3ccccc32)ccn1 ZINC001226654575 963278431 /nfs/dbraw/zinc/27/84/31/963278431.db2.gz AGABGPVXRIZQNZ-CYBMUJFWSA-N 0 3 242.278 2.566 20 0 BFADHN C[C@H]1CN(c2cc(CN(C)C)ccn2)C2(CC2)C1 ZINC001156425086 963346037 /nfs/dbraw/zinc/34/60/37/963346037.db2.gz KTUDEUOFWVEJSS-GFCCVEGCSA-N 0 3 245.370 2.522 20 0 BFADHN C[C@@H]1CCC[C@H](C)[N@H+]1Cc1ccc(O)cc1[O-] ZINC000093102851 963369758 /nfs/dbraw/zinc/36/97/58/963369758.db2.gz KTAQOQNOFZCCOB-PHIMTYICSA-N 0 3 235.327 2.861 20 0 BFADHN C[C@@H]1CCC[C@H](C)[N@@H+]1Cc1ccc(O)cc1[O-] ZINC000093102851 963369773 /nfs/dbraw/zinc/36/97/73/963369773.db2.gz KTAQOQNOFZCCOB-PHIMTYICSA-N 0 3 235.327 2.861 20 0 BFADHN O[C@H]1CCCCN(Cc2ccccc2Cl)C1 ZINC001203377902 963374829 /nfs/dbraw/zinc/37/48/29/963374829.db2.gz XWDYYROPFLCXHI-LBPRGKRZSA-N 0 3 239.746 2.687 20 0 BFADHN Cc1cc(F)cc(CN(C)[C@@H]2CCCOC2)c1 ZINC001143592914 963424804 /nfs/dbraw/zinc/42/48/04/963424804.db2.gz XVIJPLOVOGHDSP-CQSZACIVSA-N 0 3 237.318 2.745 20 0 BFADHN CCN1CCC[C@@H](Oc2c(C)cccc2O)C1 ZINC001227139490 963464226 /nfs/dbraw/zinc/46/42/26/963464226.db2.gz KVWFQVOHCSZURC-GFCCVEGCSA-N 0 3 235.327 2.564 20 0 BFADHN COc1cc(F)cc(CNCC=C(C)C)c1 ZINC000192340505 963482281 /nfs/dbraw/zinc/48/22/81/963482281.db2.gz LYBWRVXBAJBRLR-UHFFFAOYSA-N 0 3 223.291 2.890 20 0 BFADHN Cc1cnc(NCc2ccc(N)nc2C)c(C)c1 ZINC001156981315 963506469 /nfs/dbraw/zinc/50/64/69/963506469.db2.gz ZIIFUFSNGHEEIG-UHFFFAOYSA-N 0 3 242.326 2.596 20 0 BFADHN C[C@@H](N)c1ccn(-c2cc3ccsc3cn2)n1 ZINC001158350065 963852806 /nfs/dbraw/zinc/85/28/06/963852806.db2.gz HZIHQNUVBYLABZ-MRVPVSSYSA-N 0 3 244.323 2.502 20 0 BFADHN C[C@H](Cc1ccc(Cl)cc1)n1cnc(CN)c1 ZINC001168148628 963867494 /nfs/dbraw/zinc/86/74/94/963867494.db2.gz FWLFJMLXCGHUSP-SNVBAGLBSA-N 0 3 249.745 2.799 20 0 BFADHN Cc1cc(C)nc(NCCc2cncs2)c1 ZINC001158875314 963952468 /nfs/dbraw/zinc/95/24/68/963952468.db2.gz GCDQAKVNVGRBCM-UHFFFAOYSA-N 0 3 233.340 2.810 20 0 BFADHN CN(c1cccc(N)n1)[C@@H]1CCc2ccccc21 ZINC001158922275 963956757 /nfs/dbraw/zinc/95/67/57/963956757.db2.gz RXISPCLGGSTKON-CYBMUJFWSA-N 0 3 239.322 2.788 20 0 BFADHN CC1CCC(N2CCC(=O)[C@H](F)CC2)CC1 ZINC001168210413 963987155 /nfs/dbraw/zinc/98/71/55/963987155.db2.gz NZKGPEPBPHRVHV-HTAVTVPLSA-N 0 3 227.323 2.568 20 0 BFADHN c1c(-c2ccccc2)noc1NC1=CNCCC1 ZINC001159124127 964004274 /nfs/dbraw/zinc/00/42/74/964004274.db2.gz QZOLZEFDPOTOCS-UHFFFAOYSA-N 0 3 241.294 2.978 20 0 BFADHN Cc1nc2sccc2c(NC2=CNCCC2)n1 ZINC001159123847 964004562 /nfs/dbraw/zinc/00/45/62/964004562.db2.gz NCULCGTWKIOKMF-UHFFFAOYSA-N 0 3 246.339 2.636 20 0 BFADHN c1c(N=C2CCCCC2)onc1[C@@H]1CCCN1 ZINC001159304918 964057382 /nfs/dbraw/zinc/05/73/82/964057382.db2.gz DGHMDGLEJBHICU-NSHDSACASA-N 0 3 233.315 2.969 20 0 BFADHN Fc1ccc(Cl)c(F)c1N=C1CCCNC1 ZINC001159684964 964149728 /nfs/dbraw/zinc/14/97/28/964149728.db2.gz NSTPFJULDPTANG-UHFFFAOYSA-N 0 3 244.672 2.907 20 0 BFADHN COc1cccc(C(C)=O)c1NC1=CNCCC1 ZINC001159737217 964172325 /nfs/dbraw/zinc/17/23/25/964172325.db2.gz YHZLWFIGTLIOEM-UHFFFAOYSA-N 0 3 246.310 2.535 20 0 BFADHN CC(C)C1CC[NH+](Cc2ccc(O)cc2[O-])CC1 ZINC000093670012 964178960 /nfs/dbraw/zinc/17/89/60/964178960.db2.gz IBJSQMZTPGIJAJ-UHFFFAOYSA-N 0 3 249.354 2.966 20 0 BFADHN CCCN(CCOC)Cc1ccc(CC)o1 ZINC001204389445 964338643 /nfs/dbraw/zinc/33/86/43/964338643.db2.gz OXUWUGQEEBFQOJ-UHFFFAOYSA-N 0 3 225.332 2.700 20 0 BFADHN COc1ccc2c(c1)C[C@H](N1CCC[C@@H]1CF)C2 ZINC001168290916 964389510 /nfs/dbraw/zinc/38/95/10/964389510.db2.gz CUFDFHZHOXKTNC-ZIAGYGMSSA-N 0 3 249.329 2.596 20 0 BFADHN CC1CC(N(C)Cc2cnc3ccccn23)C1 ZINC001203144783 964466653 /nfs/dbraw/zinc/46/66/53/964466653.db2.gz SEUGOGMCPMKHDC-UHFFFAOYSA-N 0 3 229.327 2.565 20 0 BFADHN c1ccc(-c2cnccc2NC2CSC2)cc1 ZINC001168363858 964678521 /nfs/dbraw/zinc/67/85/21/964678521.db2.gz FGGRCXIBOXSERK-UHFFFAOYSA-N 0 3 242.347 2.698 20 0 BFADHN Cc1cc2cc(Nc3cnc(C)n3C)cnc2[nH]1 ZINC001204910884 964800263 /nfs/dbraw/zinc/80/02/63/964800263.db2.gz FJOKKCDSOWTNBV-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN Clc1cnc(CNC[C@@H]2C[C@H]3C[C@H]3C2)s1 ZINC000697971970 964811603 /nfs/dbraw/zinc/81/16/03/964811603.db2.gz GEFQACDWJCGWAX-AYMMMOKOSA-N 0 3 242.775 2.932 20 0 BFADHN CCc1coc(CNc2cncc3cc[nH]c32)n1 ZINC001162138689 964854730 /nfs/dbraw/zinc/85/47/30/964854730.db2.gz ZHFWTMHPJDMHBX-UHFFFAOYSA-N 0 3 242.282 2.725 20 0 BFADHN C[C@@]1(O)CC[C@@H](Nc2c[nH]cc3ccnc2-3)CC1 ZINC001162359599 964941789 /nfs/dbraw/zinc/94/17/89/964941789.db2.gz BCDFEEQHBCYYCR-YEORSEQZSA-N 0 3 245.326 2.668 20 0 BFADHN C[C@@]1(O)CC[C@@H](Nc2cncc3cc[nH]c32)CC1 ZINC001162359599 964941791 /nfs/dbraw/zinc/94/17/91/964941791.db2.gz BCDFEEQHBCYYCR-YEORSEQZSA-N 0 3 245.326 2.668 20 0 BFADHN C[C@H]1CCCC[C@@H]1CNc1ccnc(CN)c1 ZINC001162527412 965026553 /nfs/dbraw/zinc/02/65/53/965026553.db2.gz XGMIVVJRWQXVBL-NWDGAFQWSA-N 0 3 233.359 2.779 20 0 BFADHN CCCCc1cccc(-n2nccc2CN)c1 ZINC001162597548 965070480 /nfs/dbraw/zinc/07/04/80/965070480.db2.gz JNCMXZXFPXZSJU-UHFFFAOYSA-N 0 3 229.327 2.674 20 0 BFADHN C1=C[C@@H](NCc2cccc3c2OCCCO3)CC1 ZINC000698241209 965124481 /nfs/dbraw/zinc/12/44/81/965124481.db2.gz OZGMXQKLFXQZBC-CYBMUJFWSA-N 0 3 245.322 2.656 20 0 BFADHN Cc1cnccc1CNc1c[nH]cc2ccnc1-2 ZINC001162721659 965145435 /nfs/dbraw/zinc/14/54/35/965145435.db2.gz ATNCVSSQOJALOL-UHFFFAOYSA-N 0 3 238.294 2.878 20 0 BFADHN Cc1cnccc1CNc1cncc2cc[nH]c21 ZINC001162721659 965145443 /nfs/dbraw/zinc/14/54/43/965145443.db2.gz ATNCVSSQOJALOL-UHFFFAOYSA-N 0 3 238.294 2.878 20 0 BFADHN Cc1nnc(CN[C@@H](C2CC2)C(C)(C)C)s1 ZINC000698300273 965192421 /nfs/dbraw/zinc/19/24/21/965192421.db2.gz QWYNQBJJOBUYKO-NSHDSACASA-N 0 3 239.388 2.761 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H](O)CC(C)C)o1 ZINC000167131025 965220881 /nfs/dbraw/zinc/22/08/81/965220881.db2.gz WQZBQBDXKGRBLN-NWDGAFQWSA-N 0 3 225.332 2.646 20 0 BFADHN O[C@@H]1CCCN(Cc2ccc3ccsc3c2)C1 ZINC001232610152 965385890 /nfs/dbraw/zinc/38/58/90/965385890.db2.gz JKCRULJHRPNOFC-CYBMUJFWSA-N 0 3 247.363 2.858 20 0 BFADHN CC(C)c1cccc(N[C@H]2CN3CCC2CC3)n1 ZINC001163387580 965387091 /nfs/dbraw/zinc/38/70/91/965387091.db2.gz AKKDTIJSJXSLCQ-AWEZNQCLSA-N 0 3 245.370 2.711 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1c1cc(CN(C)C)ccn1 ZINC001163549747 965443579 /nfs/dbraw/zinc/44/35/79/965443579.db2.gz GIMMBYCSTAAVMB-BETUJISGSA-N 0 3 247.386 2.911 20 0 BFADHN CCCCC[C@@H](C)CC(=O)N(C)CCN(C)C ZINC000176386172 965652111 /nfs/dbraw/zinc/65/21/11/965652111.db2.gz PXKXUZGGAVCZRL-CYBMUJFWSA-N 0 3 242.407 2.613 20 0 BFADHN Cc1ccccc1OCCCNc1cncn1C ZINC001163975586 965670343 /nfs/dbraw/zinc/67/03/43/965670343.db2.gz GDMSDEJTTRLMHY-UHFFFAOYSA-N 0 3 245.326 2.610 20 0 BFADHN CCCc1ccc(-n2nc(CN)cc2C)cc1 ZINC001163984887 965680591 /nfs/dbraw/zinc/68/05/91/965680591.db2.gz DOCUGKZOCNBMJJ-UHFFFAOYSA-N 0 3 229.327 2.592 20 0 BFADHN C[C@H]1CCN(c2cccc(N)n2)CCC1(F)F ZINC001164110003 965733937 /nfs/dbraw/zinc/73/39/37/965733937.db2.gz FIMUKTCFEQZDSI-VIFPVBQESA-N 0 3 241.285 2.535 20 0 BFADHN C[C@H](Cc1cccc(F)c1)NCc1cnco1 ZINC001168455706 965759094 /nfs/dbraw/zinc/75/90/94/965759094.db2.gz IMPXKJDMUJHUGI-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN C[C@H](COc1ccccc1)NCc1ccoc1 ZINC000699327802 965844175 /nfs/dbraw/zinc/84/41/75/965844175.db2.gz VKYUXWSLHOMCJK-GFCCVEGCSA-N 0 3 231.295 2.837 20 0 BFADHN Cc1c2[nH]cnc2ccc1NCCn1cccc1 ZINC001164452407 965887949 /nfs/dbraw/zinc/88/79/49/965887949.db2.gz KQNRBGMFQJNORR-UHFFFAOYSA-N 0 3 240.310 2.785 20 0 BFADHN CCc1cc(CN(C)C2CCCC2)on1 ZINC001203225119 965905445 /nfs/dbraw/zinc/90/54/45/965905445.db2.gz LPHAKKXNRJLNNJ-UHFFFAOYSA-N 0 3 208.305 2.611 20 0 BFADHN CC[C@@H]1COC[C@H]1NCc1cc(C)ccc1OC ZINC000699529720 965940373 /nfs/dbraw/zinc/94/03/73/965940373.db2.gz UTDHSRJCFLHUSM-TZMCWYRMSA-N 0 3 249.354 2.518 20 0 BFADHN Cc1ccc(N2CCN(C(C)(C)C)CC2)nc1C ZINC001165091512 965958768 /nfs/dbraw/zinc/95/87/68/965958768.db2.gz SJHUFFMNXZOULM-UHFFFAOYSA-N 0 3 247.386 2.619 20 0 BFADHN Cc1cc(C)nc(N2CCN(C(C)C)[C@H](C)C2)c1 ZINC001165198995 965978864 /nfs/dbraw/zinc/97/88/64/965978864.db2.gz NFJRYBPAYCDVOU-CQSZACIVSA-N 0 3 247.386 2.617 20 0 BFADHN COc1cc(Cl)cc(-c2ccc(CN)nc2)c1 ZINC001205135637 965983756 /nfs/dbraw/zinc/98/37/56/965983756.db2.gz OJOCJUQUMYIFJV-UHFFFAOYSA-N 0 3 248.713 2.869 20 0 BFADHN CCc1cc(CN2C[C@H](C)C[C@@H](C)C2)on1 ZINC001203227399 966068335 /nfs/dbraw/zinc/06/83/35/966068335.db2.gz YUSDTHKFMKQZPY-GHMZBOCLSA-N 0 3 222.332 2.715 20 0 BFADHN COCC(C)(C)Nc1ccc2nc[nH]c2c1C ZINC001166002369 966229917 /nfs/dbraw/zinc/22/99/17/966229917.db2.gz LKBYXJZPDVXDEZ-UHFFFAOYSA-N 0 3 233.315 2.708 20 0 BFADHN C[C@H](OCCN1CCCCC1)c1nccs1 ZINC001206716813 966376159 /nfs/dbraw/zinc/37/61/59/966376159.db2.gz BSBGSSAOAJBLGP-NSHDSACASA-N 0 3 240.372 2.707 20 0 BFADHN c1cc2c(cccc2CN2CC[C@@]23CCOC3)o1 ZINC001236740051 966527205 /nfs/dbraw/zinc/52/72/05/966527205.db2.gz SHUGJPMPWPNQLS-HNNXBMFYSA-N 0 3 243.306 2.798 20 0 BFADHN Cc1cncc(CN2C3CCCC2CCC3)n1 ZINC001203177253 966546525 /nfs/dbraw/zinc/54/65/25/966546525.db2.gz ODROEJDDXDSGGP-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN CCc1cc(CN2CCC(C)(C)CC2)on1 ZINC001203224943 966563844 /nfs/dbraw/zinc/56/38/44/966563844.db2.gz IIMNHPVYPVIGSH-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN CCc1cc(CN2CCC[C@@H]2CC)on1 ZINC001203224902 966564747 /nfs/dbraw/zinc/56/47/47/966564747.db2.gz GNNIONRMSWXRMN-NSHDSACASA-N 0 3 208.305 2.611 20 0 BFADHN c1ccc(-c2cccc(CN3CCNC3)c2)cc1 ZINC001236850858 966579903 /nfs/dbraw/zinc/57/99/03/966579903.db2.gz PPTMFENAZFGXTI-UHFFFAOYSA-N 0 3 238.334 2.716 20 0 BFADHN FC1(F)C[C@H]2CN(Cc3ccco3)C[C@H]2C1 ZINC001203272952 966592057 /nfs/dbraw/zinc/59/20/57/966592057.db2.gz QHTVPCUBEABJOH-AOOOYVTPSA-N 0 3 227.254 2.757 20 0 BFADHN Cc1ccc(CN2CC[C@]3(C2)CCCCO3)o1 ZINC001203290577 966604046 /nfs/dbraw/zinc/60/40/46/966604046.db2.gz LNERCYWBCZLPKX-AWEZNQCLSA-N 0 3 235.327 2.733 20 0 BFADHN Fc1ccccc1CN1C[C@H]2[C@H](CC2(F)F)C1 ZINC001203346729 966607323 /nfs/dbraw/zinc/60/73/23/966607323.db2.gz VGIJDZDXXMLCTN-MNOVXSKESA-N 0 3 241.256 2.913 20 0 BFADHN C[C@H]1C[C@H]2CN(Cc3ccccc3F)CC[C@H]2O1 ZINC001203347721 966609388 /nfs/dbraw/zinc/60/93/88/966609388.db2.gz GOYQTQVCJJDAGR-CORIIIEPSA-N 0 3 249.329 2.825 20 0 BFADHN COc1ccccc1CN1CC[C@H](OC(C)C)C1 ZINC001203437520 966647606 /nfs/dbraw/zinc/64/76/06/966647606.db2.gz DQIWLSMVNGSHPU-AWEZNQCLSA-N 0 3 249.354 2.695 20 0 BFADHN COc1cccc(CN2CCCC23CC3)c1OC ZINC001203439581 966650079 /nfs/dbraw/zinc/65/00/79/966650079.db2.gz LSRGOHDCVQJDOQ-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN COc1ccc(CN2CC3CC(C3)C2)c(OC)c1 ZINC001203484938 966662113 /nfs/dbraw/zinc/66/21/13/966662113.db2.gz YIJUHJQTQKEXQN-UHFFFAOYSA-N 0 3 247.338 2.546 20 0 BFADHN COc1ccc(CN2CCC[C@@H]3C[C@@H]32)c(OC)c1 ZINC001203484237 966662632 /nfs/dbraw/zinc/66/26/32/966662632.db2.gz IRNLRCPOXDJQNN-RISCZKNCSA-N 0 3 247.338 2.688 20 0 BFADHN Cc1cn(C)nc1CNCCC[C@H]1C=CCC1 ZINC001203553790 966683592 /nfs/dbraw/zinc/68/35/92/966683592.db2.gz QHOIOLZVRGGAPE-ZDUSSCGKSA-N 0 3 233.359 2.565 20 0 BFADHN Cc1ccc(C)c(CN2CC3(CSC3)C2)c1 ZINC001203752080 966751000 /nfs/dbraw/zinc/75/10/00/966751000.db2.gz QOVYYHCUMXEUQI-UHFFFAOYSA-N 0 3 233.380 2.852 20 0 BFADHN COC[C@@H]1CCN1Cc1ccc(OC)c(C)c1C ZINC001203727789 966753170 /nfs/dbraw/zinc/75/31/70/966753170.db2.gz YZQHSEKJXMXSND-AWEZNQCLSA-N 0 3 249.354 2.533 20 0 BFADHN Cc1cc(C)c(CN2CC3(CC(O)C3)C2)c(C)c1 ZINC001203744435 966764409 /nfs/dbraw/zinc/76/44/09/966764409.db2.gz CUAGMAGJONAYHL-UHFFFAOYSA-N 0 3 245.366 2.569 20 0 BFADHN Fc1cccc(CN2CCC3(CCCO3)CC2)c1 ZINC001203787211 966777960 /nfs/dbraw/zinc/77/79/60/966777960.db2.gz VKTBDVKJZQWNCS-UHFFFAOYSA-N 0 3 249.329 2.971 20 0 BFADHN COc1ccc(CN2CCCC23CC3)cc1F ZINC001203790441 966778566 /nfs/dbraw/zinc/77/85/66/966778566.db2.gz IZHLVDBXOXHAOD-UHFFFAOYSA-N 0 3 235.302 2.963 20 0 BFADHN COc1cc(CN2CCCC23CC3)cc(OC)c1 ZINC001203854735 966799812 /nfs/dbraw/zinc/79/98/12/966799812.db2.gz PDAOVGRPCNHWJG-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN COc1cccc(-c2ccc(CN)nc2)c1C ZINC001238984003 966809049 /nfs/dbraw/zinc/80/90/49/966809049.db2.gz QWFVRKRIFIAZES-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN COc1ccc(CN2CC[C@@H]2C)cc1C ZINC001203894419 966811926 /nfs/dbraw/zinc/81/19/26/966811926.db2.gz GXIUMRJTVDQVNY-NSHDSACASA-N 0 3 205.301 2.598 20 0 BFADHN Cc1cccc(CN2CC(N3CCCCC3)C2)c1 ZINC001203894110 966813686 /nfs/dbraw/zinc/81/36/86/966813686.db2.gz DNIVTTPGUFRSCR-UHFFFAOYSA-N 0 3 244.382 2.665 20 0 BFADHN COc1ccc(CN2CC[C@H](OC(C)C)C2)cc1 ZINC001203958200 966828015 /nfs/dbraw/zinc/82/80/15/966828015.db2.gz SARLRKZKJAQSKR-HNNXBMFYSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1ccsc1CN1CC2(CSC2)C1 ZINC001204024832 966858715 /nfs/dbraw/zinc/85/87/15/966858715.db2.gz PSUJJJYSORACEU-UHFFFAOYSA-N 0 3 225.382 2.605 20 0 BFADHN CN(C)C1CN(Cc2cccc3ccccc32)C1 ZINC001203979856 966837495 /nfs/dbraw/zinc/83/74/95/966837495.db2.gz GHCXQSFHAXZLAC-UHFFFAOYSA-N 0 3 240.350 2.586 20 0 BFADHN C[C@@H]1CCN(Cc2ccc[nH]2)CCC1(F)F ZINC001204007014 966845574 /nfs/dbraw/zinc/84/55/74/966845574.db2.gz NVDWESJZCTYCOB-SNVBAGLBSA-N 0 3 228.286 2.882 20 0 BFADHN Cc1nc2ccc(N[C@@H](CO)C(C)(C)C)cc2[nH]1 ZINC001168553728 966873690 /nfs/dbraw/zinc/87/36/90/966873690.db2.gz BWFPFGJFIDQQEB-ZDUSSCGKSA-N 0 3 247.342 2.690 20 0 BFADHN C[C@H]1CCN(Cc2cccnc2)CCC1(F)F ZINC001204072681 966878281 /nfs/dbraw/zinc/87/82/81/966878281.db2.gz RMCUQYKOAKKQNR-NSHDSACASA-N 0 3 240.297 2.949 20 0 BFADHN FC1(F)C[C@H]2CN(Cc3cccnc3)C[C@H]2C1 ZINC001204072418 966879098 /nfs/dbraw/zinc/87/90/98/966879098.db2.gz CYPROZFQGLRREG-TXEJJXNPSA-N 0 3 238.281 2.559 20 0 BFADHN COc1cc(CN2CCCCC23CC3)ccc1O ZINC001204118785 966892742 /nfs/dbraw/zinc/89/27/42/966892742.db2.gz ZNMWZGWHULBUBJ-UHFFFAOYSA-N 0 3 247.338 2.919 20 0 BFADHN CCOc1cc(CN2CCC[C@H]3C[C@H]32)ccc1O ZINC001204136143 966898320 /nfs/dbraw/zinc/89/83/20/966898320.db2.gz LEMWENHKGXOMNP-QWHCGFSZSA-N 0 3 247.338 2.775 20 0 BFADHN FC(F)(F)c1ccc(CN2CCC2)cc1 ZINC001204160450 966908446 /nfs/dbraw/zinc/90/84/46/966908446.db2.gz XOFFDKMZIWZADS-UHFFFAOYSA-N 0 3 215.218 2.911 20 0 BFADHN Fc1cc(C2=CCOC2)ccc1CN1CCCC1 ZINC001239494898 966924646 /nfs/dbraw/zinc/92/46/46/966924646.db2.gz NAKZHMKBTOZRNG-UHFFFAOYSA-N 0 3 247.313 2.835 20 0 BFADHN CCCOC1CCN(Cc2ccoc2)CC1 ZINC001204243479 966942577 /nfs/dbraw/zinc/94/25/77/966942577.db2.gz VBWKTYDJLNWUOD-UHFFFAOYSA-N 0 3 223.316 2.671 20 0 BFADHN CCCN(CC)Cc1c(OC)cccc1OC ZINC001204247137 966947028 /nfs/dbraw/zinc/94/70/28/966947028.db2.gz GBOHAMWYVBJELQ-UHFFFAOYSA-N 0 3 237.343 2.936 20 0 BFADHN COc1nc(CN(C)CCC2CC2)ccc1C ZINC001204288607 966963389 /nfs/dbraw/zinc/96/33/89/966963389.db2.gz VBIZDAFDENXLPM-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN Cn1cc(CN2CCSCC2)c2ccccc21 ZINC001204317313 966967964 /nfs/dbraw/zinc/96/79/64/966967964.db2.gz RVTOPYYARBERJD-UHFFFAOYSA-N 0 3 246.379 2.727 20 0 BFADHN Fc1ccccc1-c1ccc2c(n1)CNCC2 ZINC001239551886 966988709 /nfs/dbraw/zinc/98/87/09/966988709.db2.gz BGQWCLVMWRYMGT-UHFFFAOYSA-N 0 3 228.270 2.533 20 0 BFADHN C[C@H]1CCN1Cc1ccc(OC(F)F)cc1 ZINC001204419275 966997035 /nfs/dbraw/zinc/99/70/35/966997035.db2.gz WCQDSUXKZWCQPN-VIFPVBQESA-N 0 3 227.254 2.882 20 0 BFADHN C[C@@H]1CCN1Cc1ccc2ccccc2n1 ZINC001204499198 967006893 /nfs/dbraw/zinc/00/68/93/967006893.db2.gz CIKAPKQLAZSVEU-LLVKDONJSA-N 0 3 212.296 2.829 20 0 BFADHN Cc1c(F)cccc1CN1CCC[C@@]2(CCO2)C1 ZINC001204511848 967020113 /nfs/dbraw/zinc/02/01/13/967020113.db2.gz ZZLYYZVLENQILO-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1cc(CN2C[C@H]3[C@H](CC3(F)F)C2)oc1C ZINC001204536019 967035318 /nfs/dbraw/zinc/03/53/18/967035318.db2.gz CREVLMDGIWVMKB-PWSUYJOCSA-N 0 3 241.281 2.983 20 0 BFADHN CCO[C@@H]1CCN(Cc2cc(C)c(C)o2)C1 ZINC001204539908 967042056 /nfs/dbraw/zinc/04/20/56/967042056.db2.gz SRLPQLODRGMVNM-GFCCVEGCSA-N 0 3 223.316 2.507 20 0 BFADHN CCn1ccc(CN2CCC[C@H]2C2CCC2)n1 ZINC001204601725 967095177 /nfs/dbraw/zinc/09/51/77/967095177.db2.gz KPLVESLWGJUUMM-AWEZNQCLSA-N 0 3 233.359 2.668 20 0 BFADHN CN(C)c1cc(F)cc(CN2CCC[C@@H]3C[C@@H]32)c1 ZINC001249957424 967199217 /nfs/dbraw/zinc/19/92/17/967199217.db2.gz AHUKKURVVSUFRT-DOMZBBRYSA-N 0 3 248.345 2.876 20 0 BFADHN CCCCc1cccc(CN2CCN(C)CC2)c1 ZINC001249978554 967221630 /nfs/dbraw/zinc/22/16/30/967221630.db2.gz SAEZEHRXUBCAKB-UHFFFAOYSA-N 0 3 246.398 2.777 20 0 BFADHN COc1ccc(CN2C[C@H]3CC[C@@H]2C3)c(F)c1 ZINC001204933566 967237720 /nfs/dbraw/zinc/23/77/20/967237720.db2.gz BYQGYOQTXCMERE-CMPLNLGQSA-N 0 3 235.302 2.819 20 0 BFADHN CN1CC=C(CCC(=O)c2ccccc2)CC1 ZINC001250024861 967280560 /nfs/dbraw/zinc/28/05/60/967280560.db2.gz XZVWIWIAJNIGLG-UHFFFAOYSA-N 0 3 229.323 2.911 20 0 BFADHN CCOc1cc(OC)ccc1CN1CCCC1 ZINC001250050099 967309659 /nfs/dbraw/zinc/30/96/59/967309659.db2.gz XPMOUATWTMLPFP-UHFFFAOYSA-N 0 3 235.327 2.690 20 0 BFADHN Clc1cccc2c1C[C@H](NCc1cnco1)C2 ZINC001168684806 967327990 /nfs/dbraw/zinc/32/79/90/967327990.db2.gz LVKMLWUEYIWLIS-SNVBAGLBSA-N 0 3 248.713 2.585 20 0 BFADHN Clc1cccc2c1C[C@H](NCc1cnoc1)C2 ZINC001168688141 967354259 /nfs/dbraw/zinc/35/42/59/967354259.db2.gz BTARVXRNWRCNAN-LLVKDONJSA-N 0 3 248.713 2.585 20 0 BFADHN COc1cc(Cl)cc(-c2cccc(CN)n2)c1 ZINC001205117447 967365170 /nfs/dbraw/zinc/36/51/70/967365170.db2.gz TYLVBPIKWVUHLW-UHFFFAOYSA-N 0 3 248.713 2.869 20 0 BFADHN Cc1cc(CN2CC[C@]3(CCCO3)C2)ccc1F ZINC001205162066 967405583 /nfs/dbraw/zinc/40/55/83/967405583.db2.gz NEQXRENRCNCDDY-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1ccc(CN2C[C@H]3OC[C@@H](C)[C@H]3C2)cc1F ZINC001205244128 967438236 /nfs/dbraw/zinc/43/82/36/967438236.db2.gz URBHVXZGMHHRDG-UXIGCNINSA-N 0 3 249.329 2.601 20 0 BFADHN Oc1cccc(Cl)c1CCN1CCCC1 ZINC001250165827 967463727 /nfs/dbraw/zinc/46/37/27/967463727.db2.gz ACYPQWXXFQABTB-UHFFFAOYSA-N 0 3 225.719 2.684 20 0 BFADHN CCOc1cc(C)ccc1CN(C)CC ZINC001250170657 967469052 /nfs/dbraw/zinc/46/90/52/967469052.db2.gz COHZPAXMNXGAAS-UHFFFAOYSA-N 0 3 207.317 2.845 20 0 BFADHN c1cc2c(cccc2CN2CC3(CSC3)C2)[nH]1 ZINC001205305740 967506936 /nfs/dbraw/zinc/50/69/36/967506936.db2.gz QCUGZAIFFGLHRY-UHFFFAOYSA-N 0 3 244.363 2.717 20 0 BFADHN CC(=O)[C@@H]1CCCN1Cc1cccc2[nH]ccc21 ZINC001205312572 967514632 /nfs/dbraw/zinc/51/46/32/967514632.db2.gz WMKUAMNIXGZAOK-HNNXBMFYSA-N 0 3 242.322 2.721 20 0 BFADHN CC(=O)c1ccccc1CCN1CCCCC1 ZINC001250240857 967551978 /nfs/dbraw/zinc/55/19/78/967551978.db2.gz WILABDQMJBXHBW-UHFFFAOYSA-N 0 3 231.339 2.918 20 0 BFADHN C[C@@H]1CCN1[C@@H]1Cc2cccc(Cl)c2C1 ZINC001168717550 967620073 /nfs/dbraw/zinc/62/00/73/967620073.db2.gz AJKUUDUJANOQPS-MWLCHTKSSA-N 0 3 221.731 2.901 20 0 BFADHN CC[C@H]1CCN(Cc2ccc(F)nc2)[C@@H]1C ZINC001205471260 967642665 /nfs/dbraw/zinc/64/26/65/967642665.db2.gz KKUWJWWYAJUMME-PWSUYJOCSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@H]3OCC[C@@H]3C2)c1 ZINC001205655144 967747868 /nfs/dbraw/zinc/74/78/68/967747868.db2.gz KKOWRHJUXNBCLQ-IUODEOHRSA-N 0 3 249.329 2.745 20 0 BFADHN c1nocc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001205703820 967791061 /nfs/dbraw/zinc/79/10/61/967791061.db2.gz LHQMFLIUUKYPOX-OLZOCXBDSA-N 0 3 220.316 2.687 20 0 BFADHN C[C@@]1(C[NH2+]Cc2ccc([O-])c(F)c2)CC1(F)F ZINC000701726688 967816991 /nfs/dbraw/zinc/81/69/91/967816991.db2.gz XBXPOFFJUJJXDI-NSHDSACASA-N 0 3 245.244 2.666 20 0 BFADHN CCc1cc(Nc2cnn(C(C)(C)C)c2)ccn1 ZINC001212569739 967983378 /nfs/dbraw/zinc/98/33/78/967983378.db2.gz UOAKTDQTUSBSPU-UHFFFAOYSA-N 0 3 244.342 2.761 20 0 BFADHN O=C1Cc2cc(-c3cncc4cc[nH]c43)ccc2N1 ZINC001206216101 968145448 /nfs/dbraw/zinc/14/54/48/968145448.db2.gz VJBUKPXOAUGCQW-UHFFFAOYSA-N 0 3 249.273 2.725 20 0 BFADHN Cc1cc(CN)nn1-c1c(C)c(C)cc(C)c1C ZINC001163985338 968152391 /nfs/dbraw/zinc/15/23/91/968152391.db2.gz MSHDQPJSPHCERX-UHFFFAOYSA-N 0 3 243.354 2.873 20 0 BFADHN COc1cccnc1CN[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001206300145 968206950 /nfs/dbraw/zinc/20/69/50/968206950.db2.gz KGEVFKAUVTWUNY-RWMBFGLXSA-N 0 3 246.354 2.759 20 0 BFADHN COc1cnccc1CN1[C@H](C)CCC[C@@H]1C ZINC001206438303 968306500 /nfs/dbraw/zinc/30/65/00/968306500.db2.gz PNXXHENHDRIAHV-TXEJJXNPSA-N 0 3 234.343 2.853 20 0 BFADHN COc1cnccc1CN1CCC12CCCCC2 ZINC001206447326 968311077 /nfs/dbraw/zinc/31/10/77/968311077.db2.gz NZDJZXXQJSSKFT-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN COc1cccc(CN[C@H]2C=CCC2)c1OC ZINC000698330148 968332471 /nfs/dbraw/zinc/33/24/71/968332471.db2.gz VAPNIOLQINJBSJ-LBPRGKRZSA-N 0 3 233.311 2.512 20 0 BFADHN CC[C@@H](O)CN[C@H](C)c1cccc(F)c1Cl ZINC001251817325 968351920 /nfs/dbraw/zinc/35/19/20/968351920.db2.gz DGTSIIRYQTYYCV-RKDXNWHRSA-N 0 3 245.725 2.901 20 0 BFADHN CN(C)c1ncccc1CN1C[C@H]2CCCC[C@H]21 ZINC001206559827 968383146 /nfs/dbraw/zinc/38/31/46/968383146.db2.gz IQEIXEGUHBZJPU-TZMCWYRMSA-N 0 3 245.370 2.522 20 0 BFADHN COc1cccnc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001206618608 968420979 /nfs/dbraw/zinc/42/09/79/968420979.db2.gz APJGAJRJZRBXGR-OLZOCXBDSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cccnc1CN1CCC[C@@H](C)[C@@H]1C ZINC001206644238 968429284 /nfs/dbraw/zinc/42/92/84/968429284.db2.gz KQRULNZRIDMWRO-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1ccnc(CN2CCC(CF)CC2)c1 ZINC001206855512 968504530 /nfs/dbraw/zinc/50/45/30/968504530.db2.gz XFVMRNHXLJZTOJ-UHFFFAOYSA-N 0 3 222.307 2.572 20 0 BFADHN C[C@H]1CN(Cc2cncc(F)c2)C2(CC2)C1 ZINC001207025264 968557393 /nfs/dbraw/zinc/55/73/93/968557393.db2.gz XSXBXLSVYAESJP-SNVBAGLBSA-N 0 3 220.291 2.595 20 0 BFADHN Fc1ccc(CN2CC[C@@H]3CCC[C@@H]3C2)nc1 ZINC001207038900 968569909 /nfs/dbraw/zinc/56/99/09/968569909.db2.gz UBKHDBDYUKVYRA-NWDGAFQWSA-N 0 3 234.318 2.843 20 0 BFADHN Cc1ncc(CN2CC[C@](C)(CF)C2)s1 ZINC001207058112 968584506 /nfs/dbraw/zinc/58/45/06/968584506.db2.gz BESGBQXSGXVZCJ-LLVKDONJSA-N 0 3 228.336 2.633 20 0 BFADHN CC(C)[C@@H]1CCCN1Cc1ncc2ccccn21 ZINC001207055463 968587762 /nfs/dbraw/zinc/58/77/62/968587762.db2.gz XZHALCNJUAFTLH-AWEZNQCLSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@]1(F)CCCN(Cc2cccc(=O)[nH]2)CC1 ZINC001207064862 968596807 /nfs/dbraw/zinc/59/68/07/968596807.db2.gz FYGLQXJKICSKAR-ZDUSSCGKSA-N 0 3 238.306 2.501 20 0 BFADHN CCc1nccc(CN2CC[C@@H]3CCC[C@H]3C2)n1 ZINC001207083725 968617205 /nfs/dbraw/zinc/61/72/05/968617205.db2.gz FJAUQVKGHKSOLR-STQMWFEESA-N 0 3 245.370 2.661 20 0 BFADHN CCc1nccc(CN2CC[C@@H](C)C[C@H]2C)n1 ZINC001207088299 968617859 /nfs/dbraw/zinc/61/78/59/968617859.db2.gz LZEQJTWFTLEKOA-VXGBXAGGSA-N 0 3 233.359 2.659 20 0 BFADHN CCc1nccc(CN2CCC[C@@H](C)CC2)n1 ZINC001207085226 968620048 /nfs/dbraw/zinc/62/00/48/968620048.db2.gz WGAUKUVTSHVRFO-GFCCVEGCSA-N 0 3 233.359 2.661 20 0 BFADHN CCc1nccc(CN2C[C@@H](C)C[C@H](C)C2)n1 ZINC001207088955 968620858 /nfs/dbraw/zinc/62/08/58/968620858.db2.gz ZCHUJJJPYQGJNC-RYUDHWBXSA-N 0 3 233.359 2.517 20 0 BFADHN Cc1noc(C)c1CN1CCC[C@H](F)CC1 ZINC001207133129 968629422 /nfs/dbraw/zinc/62/94/22/968629422.db2.gz IZRBHCYSRCYEIK-NSHDSACASA-N 0 3 226.295 2.615 20 0 BFADHN CC(C)n1nccc1CN1C[C@H](C)CC12CC2 ZINC001207176299 968683356 /nfs/dbraw/zinc/68/33/56/968683356.db2.gz QTMFQVQWEQEQJL-GFCCVEGCSA-N 0 3 233.359 2.838 20 0 BFADHN C(CN1C[C@H]2CC[C@@H]1CO2)C1CCCCC1 ZINC001207259782 968701423 /nfs/dbraw/zinc/70/14/23/968701423.db2.gz KHYQMSLMJSSDRU-ZIAGYGMSSA-N 0 3 223.360 2.820 20 0 BFADHN CCSC[C@H](C)NCc1cccnc1Cl ZINC000164964128 968797721 /nfs/dbraw/zinc/79/77/21/968797721.db2.gz ARGYHHMTYJMELL-VIFPVBQESA-N 0 3 244.791 2.966 20 0 BFADHN Fc1ccccc1CCN1CCC[C@@]2(CCO2)C1 ZINC001207505324 968809497 /nfs/dbraw/zinc/80/94/97/968809497.db2.gz YQXGCTQEJHXKGB-OAHLLOKOSA-N 0 3 249.329 2.623 20 0 BFADHN COc1ccc(CCCN2CC[C@@H](F)C2)cc1 ZINC001207578103 968824301 /nfs/dbraw/zinc/82/43/01/968824301.db2.gz UHRHTRMGTIONCE-CYBMUJFWSA-N 0 3 237.318 2.672 20 0 BFADHN C1=C[C@@H]2C[C@H]1C[C@@H]2CN1CCc2ncccc2C1 ZINC001207626383 968840136 /nfs/dbraw/zinc/84/01/36/968840136.db2.gz BZJYOECDCCPNFV-GZBFAFLISA-N 0 3 240.350 2.652 20 0 BFADHN c1cc2c(cn1)CN(CC1CCSCC1)CC2 ZINC001207751873 968875353 /nfs/dbraw/zinc/87/53/53/968875353.db2.gz PUEGFZTVTWZMHY-UHFFFAOYSA-N 0 3 248.395 2.583 20 0 BFADHN F[C@@H]1CCN(CC2(c3ccccc3)CC2)C1 ZINC001207833800 968897269 /nfs/dbraw/zinc/89/72/69/968897269.db2.gz OIXNXVJFIMHEGK-CYBMUJFWSA-N 0 3 219.303 2.762 20 0 BFADHN Cc1cc(CN(C)CCc2ccccn2)oc1C ZINC000165511823 968923168 /nfs/dbraw/zinc/92/31/68/968923168.db2.gz OOEFZNMEWNIIOC-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN Cc1ccc(CCCN2CC3(C2)CCOCC3)o1 ZINC001207947804 968942186 /nfs/dbraw/zinc/94/21/86/968942186.db2.gz KIFBFBUMDQWMHT-UHFFFAOYSA-N 0 3 249.354 2.633 20 0 BFADHN c1ccc(C2CN(C[C@@H]3CCCCO3)C2)cc1 ZINC001207959104 968956144 /nfs/dbraw/zinc/95/61/44/968956144.db2.gz IQYNFIBXNBRPEY-HNNXBMFYSA-N 0 3 231.339 2.655 20 0 BFADHN NCc1ccc(-c2ccc(Cl)c(F)c2)cn1 ZINC001241232054 968959382 /nfs/dbraw/zinc/95/93/82/968959382.db2.gz UYOLZTMQGUEJJI-UHFFFAOYSA-N 0 3 236.677 3.000 20 0 BFADHN CCOc1cccc(-c2ccc(CN)nc2)c1F ZINC001241264656 968964001 /nfs/dbraw/zinc/96/40/01/968964001.db2.gz RQSFOFKRKBNDMP-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN C[C@H]1c2cccn2CCN1CC1(C)CCOCC1 ZINC001208075985 968975436 /nfs/dbraw/zinc/97/54/36/968975436.db2.gz AWOLDWNSKDIYLO-ZDUSSCGKSA-N 0 3 248.370 2.681 20 0 BFADHN Cc1noc2cc(C3=CCN(C)CC3)ccc12 ZINC001241330089 968982620 /nfs/dbraw/zinc/98/26/20/968982620.db2.gz IZMKBQNPKOUDOC-UHFFFAOYSA-N 0 3 228.295 2.855 20 0 BFADHN Cn1ncc2c1CCN(CCCC1CCCC1)C2 ZINC001208094663 968997744 /nfs/dbraw/zinc/99/77/44/968997744.db2.gz OQFHMHGXXPTEJY-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN CSCCN(C)CCc1c(F)cccc1F ZINC001208117826 969009946 /nfs/dbraw/zinc/00/99/46/969009946.db2.gz HDAWOCSKXXBTEK-UHFFFAOYSA-N 0 3 245.338 2.802 20 0 BFADHN Cc1cc(-c2cc(N)ccn2)cc2c[nH]nc21 ZINC001241512186 969021070 /nfs/dbraw/zinc/02/10/70/969021070.db2.gz DMEJRNYWULQXCA-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN CS[C@H](C)CCN(C)Cc1ccncc1 ZINC001208138123 969049230 /nfs/dbraw/zinc/04/92/30/969049230.db2.gz IOJTVWURRLVIAL-LLVKDONJSA-N 0 3 224.373 2.655 20 0 BFADHN Cc1c2nc[nH]c2ccc1C1=CCCN(C)C1 ZINC001241570212 969065328 /nfs/dbraw/zinc/06/53/28/969065328.db2.gz XJCYBPZSJGJVGW-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CS[C@H](C)CCN(C)Cc1cccnc1C ZINC001208151301 969075290 /nfs/dbraw/zinc/07/52/90/969075290.db2.gz UOVHLNFILZQCEH-LLVKDONJSA-N 0 3 238.400 2.963 20 0 BFADHN Cc1ccc([C@H](C)CCN2C[C@H]3C[C@@H](C2)O3)o1 ZINC001208155149 969078669 /nfs/dbraw/zinc/07/86/69/969078669.db2.gz JQOJKVUUIXORII-RTXFEEFZSA-N 0 3 235.327 2.555 20 0 BFADHN CC1=C[C@H](C)[C@@H](CN2CCC(=O)[C@H](C)C2)CC1 ZINC001208159346 969085326 /nfs/dbraw/zinc/08/53/26/969085326.db2.gz FOGYHXCWVOIZBN-BFHYXJOUSA-N 0 3 235.371 2.890 20 0 BFADHN COC[C@H]1CCN1CC[C@@H](C)c1ccc(C)o1 ZINC001208165050 969092442 /nfs/dbraw/zinc/09/24/42/969092442.db2.gz QXATWDBQICYTEJ-DGCLKSJQSA-N 0 3 237.343 2.802 20 0 BFADHN CC1=C[C@@H](C)[C@H](CN2CC3(C2)CCCO3)CC1 ZINC001208162912 969093326 /nfs/dbraw/zinc/09/33/26/969093326.db2.gz SQKGFJRKNVLCFV-KGLIPLIRSA-N 0 3 235.371 2.844 20 0 BFADHN CC/C=C\CCCCCN(C)CC(=O)NCC ZINC001208189631 969118798 /nfs/dbraw/zinc/11/87/98/969118798.db2.gz WSASTORZPXUKPM-SREVYHEPSA-N 0 3 240.391 2.581 20 0 BFADHN COC(=O)C1CN(CC[C@H](C)CC(C)(C)C)C1 ZINC001208653341 969181009 /nfs/dbraw/zinc/18/10/09/969181009.db2.gz HXECHYMGKYIFTK-NSHDSACASA-N 0 3 241.375 2.554 20 0 BFADHN C[C@@H](CCN1C[C@@H](F)C[C@H]1CO)CC(C)(C)C ZINC001208656379 969185922 /nfs/dbraw/zinc/18/59/22/969185922.db2.gz VTCYHTPBIKASOQ-AVGNSLFASA-N 0 3 245.382 2.854 20 0 BFADHN CN1CC=C(N=c2[nH]c3ccc(F)cc3o2)CC1 ZINC001208719992 969202064 /nfs/dbraw/zinc/20/20/64/969202064.db2.gz XGORKYKMLVMHJV-UHFFFAOYSA-N 0 3 247.273 2.598 20 0 BFADHN CC/C=C\CCN1CC(N2CCCCC2)C1 ZINC001208730439 969219651 /nfs/dbraw/zinc/21/96/51/969219651.db2.gz UBORMCWWUXFHSL-ARJAWSKDSA-N 0 3 222.376 2.513 20 0 BFADHN CCCCC[C@H](O)CN[C@@H](C)c1ccoc1 ZINC001253555678 969231448 /nfs/dbraw/zinc/23/14/48/969231448.db2.gz ALGCOXGGSZATSP-AAEUAGOBSA-N 0 3 225.332 2.871 20 0 BFADHN Cc1ccc(NC2=CCN(C)CC2)c(Cl)n1 ZINC001208741810 969232233 /nfs/dbraw/zinc/23/22/33/969232233.db2.gz OGRYRADCPXGTPW-UHFFFAOYSA-N 0 3 237.734 2.675 20 0 BFADHN CN1CC=C(Nc2cnc3c(c2)CCCC3)CC1 ZINC001208794087 969241890 /nfs/dbraw/zinc/24/18/90/969241890.db2.gz NKTVTBVKCGNFST-UHFFFAOYSA-N 0 3 243.354 2.592 20 0 BFADHN CN1CC=C(Nc2cc(F)cc(F)c2)CC1 ZINC001208838870 969249010 /nfs/dbraw/zinc/24/90/10/969249010.db2.gz ULDKBCBOQIMODO-UHFFFAOYSA-N 0 3 224.254 2.596 20 0 BFADHN COc1ccc(NC2=CCN(C)CC2)c(C)c1 ZINC001208837913 969253138 /nfs/dbraw/zinc/25/31/38/969253138.db2.gz KUQJGRFFRGUPKH-UHFFFAOYSA-N 0 3 232.327 2.635 20 0 BFADHN FC(F)(F)[C@H]1CCN(C[C@H]2CCC=CO2)C1 ZINC001208880788 969273265 /nfs/dbraw/zinc/27/32/65/969273265.db2.gz RAOBGZLUIDFUBA-VHSXEESVSA-N 0 3 235.249 2.563 20 0 BFADHN Cc1ccsc1CN1C[C@@H](C)[C@@H](F)C1 ZINC001204019155 969287197 /nfs/dbraw/zinc/28/71/97/969287197.db2.gz OFURJIUXOGFZAH-ZJUUUORDSA-N 0 3 213.321 2.846 20 0 BFADHN CCC(=O)C(C)(C)CN(CC)Cc1ccccn1 ZINC001208919863 969306585 /nfs/dbraw/zinc/30/65/85/969306585.db2.gz GGKRELIKIDUHOC-UHFFFAOYSA-N 0 3 248.370 2.909 20 0 BFADHN C[C@@H]1CCCN1CCc1cc(F)cc(F)c1 ZINC001209128771 969354859 /nfs/dbraw/zinc/35/48/59/969354859.db2.gz QKILTZRECWNWJR-SNVBAGLBSA-N 0 3 225.282 2.992 20 0 BFADHN CCc1cncc(Nc2ccnc(CC)c2)c1 ZINC001209191294 969381514 /nfs/dbraw/zinc/38/15/14/969381514.db2.gz VXCOIXHIYSDSBA-UHFFFAOYSA-N 0 3 227.311 2.767 20 0 BFADHN COCCN1CCCC[C@@H]1c1ccccc1F ZINC001209211528 969403015 /nfs/dbraw/zinc/40/30/15/969403015.db2.gz STOYRTVWMODZQI-CQSZACIVSA-N 0 3 237.318 2.999 20 0 BFADHN CC(C)C[C@@H](Cc1ccccc1)N(C)C1COC1 ZINC001169081213 969403944 /nfs/dbraw/zinc/40/39/44/969403944.db2.gz WBEWJIKMBLKROF-HNNXBMFYSA-N 0 3 247.382 2.974 20 0 BFADHN C[C@H]1CCN(CCc2cc(F)c(F)cc2F)C1 ZINC001209251678 969416731 /nfs/dbraw/zinc/41/67/31/969416731.db2.gz YXMNKOUPHWTECZ-VIFPVBQESA-N 0 3 243.272 2.988 20 0 BFADHN COC(C)(C)CCN1CC[C@](C)(F)[C@@H](F)C1 ZINC001209403094 969473186 /nfs/dbraw/zinc/47/31/86/969473186.db2.gz WPWHUULWQLGHRE-JQWIXIFHSA-N 0 3 235.318 2.574 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCCC(F)(F)[C@@H](F)C1 ZINC001209417392 969480886 /nfs/dbraw/zinc/48/08/86/969480886.db2.gz NTPHYGDGRNQVKH-BBBLOLIVSA-N 0 3 221.266 2.712 20 0 BFADHN CO/C=C/c1ccc(-n2ccc([C@H](C)N)n2)cc1 ZINC001169235256 969487548 /nfs/dbraw/zinc/48/75/48/969487548.db2.gz UOQNCVPVOABICW-UQSGXBNBSA-N 0 3 243.310 2.509 20 0 BFADHN Cc1ccc2[nH]ccc2c1-n1ccc([C@@H](C)N)n1 ZINC001169231220 969501701 /nfs/dbraw/zinc/50/17/01/969501701.db2.gz GDMQQJYSASKIHB-SNVBAGLBSA-N 0 3 240.310 2.682 20 0 BFADHN CN(C)Cc1ccc(-c2nnc(C3CC3)o2)cc1 ZINC001254114757 969506832 /nfs/dbraw/zinc/50/68/32/969506832.db2.gz IAYLYYMXYKQBIS-UHFFFAOYSA-N 0 3 243.310 2.676 20 0 BFADHN Cc1c[nH]c2c1cccc2-n1ccc([C@@H](C)N)n1 ZINC001169234193 969514504 /nfs/dbraw/zinc/51/45/04/969514504.db2.gz USFODVCEFHZBFT-SNVBAGLBSA-N 0 3 240.310 2.682 20 0 BFADHN C[C@@H](N)c1ccn(-c2ccc(C(C)(C)C)nc2)n1 ZINC001169234706 969518060 /nfs/dbraw/zinc/51/80/60/969518060.db2.gz KGOINEPBLLGMAQ-SNVBAGLBSA-N 0 3 244.342 2.585 20 0 BFADHN C[C@H]1CCCCN1Cc1cc2c(cn1)OCCC2 ZINC001209501079 969528761 /nfs/dbraw/zinc/52/87/61/969528761.db2.gz ZQGAWHZJYPEUJO-LBPRGKRZSA-N 0 3 246.354 2.781 20 0 BFADHN CN(Cc1cc2c(cn1)OCCC2)CC(C)(C)C ZINC001209502699 969529437 /nfs/dbraw/zinc/52/94/37/969529437.db2.gz LVCSFZAVLNGLGF-UHFFFAOYSA-N 0 3 248.370 2.885 20 0 BFADHN C[C@@H]1CN(C2CCC(F)(F)CC2)[C@H](C)CO1 ZINC001254224774 969545612 /nfs/dbraw/zinc/54/56/12/969545612.db2.gz ADTAUTAEGMKLCL-NXEZZACHSA-N 0 3 233.302 2.674 20 0 BFADHN Cc1ccc(CN2CCC3(CCOCC3)CC2)[nH]1 ZINC001209573807 969556406 /nfs/dbraw/zinc/55/64/06/969556406.db2.gz ABEADEXOURABEV-UHFFFAOYSA-N 0 3 248.370 2.716 20 0 BFADHN Cc1ccc(CN2CC3CCC2CC3)[nH]1 ZINC001209574305 969558020 /nfs/dbraw/zinc/55/80/20/969558020.db2.gz MBOWSBLJWYGPRQ-UHFFFAOYSA-N 0 3 204.317 2.698 20 0 BFADHN CC1CC(N(C)Cc2nn(C)c3ccccc23)C1 ZINC001209577970 969560977 /nfs/dbraw/zinc/56/09/77/969560977.db2.gz AFYFHHKWAMDCNE-UHFFFAOYSA-N 0 3 243.354 2.804 20 0 BFADHN Fc1cccc(C2(N[C@H]3CCCOC3)CC2)c1 ZINC001254368640 969581758 /nfs/dbraw/zinc/58/17/58/969581758.db2.gz STEYWRPXBJOEND-ZDUSSCGKSA-N 0 3 235.302 2.583 20 0 BFADHN COc1ccccc1[C@@H](C)N(C)[C@H]1CCCOC1 ZINC001254386416 969601973 /nfs/dbraw/zinc/60/19/73/969601973.db2.gz QMEDADDFKZHMJJ-OLZOCXBDSA-N 0 3 249.354 2.867 20 0 BFADHN CN(Cc1n[nH]c2ccc(Cl)cc21)C1CC1 ZINC001209750591 969603702 /nfs/dbraw/zinc/60/37/02/969603702.db2.gz JMZFVKUTHPWUNJ-UHFFFAOYSA-N 0 3 235.718 2.811 20 0 BFADHN CN(Cc1[nH]nc2ccc(Cl)cc21)C1CC1 ZINC001209750591 969603705 /nfs/dbraw/zinc/60/37/05/969603705.db2.gz JMZFVKUTHPWUNJ-UHFFFAOYSA-N 0 3 235.718 2.811 20 0 BFADHN FC1CC2(C1)CCCN(Cc1cnccn1)CC2 ZINC001209786591 969623808 /nfs/dbraw/zinc/62/38/08/969623808.db2.gz DPALSUZEQVEWBD-UHFFFAOYSA-N 0 3 249.333 2.581 20 0 BFADHN C[C@H](Nc1c[nH]cc2ccnc1-2)c1cnccn1 ZINC001169534858 969727359 /nfs/dbraw/zinc/72/73/59/969727359.db2.gz WBMFXDJWYGUOCN-VIFPVBQESA-N 0 3 239.282 2.526 20 0 BFADHN C[C@H](Nc1cncc2cc[nH]c21)c1cnccn1 ZINC001169534858 969727367 /nfs/dbraw/zinc/72/73/67/969727367.db2.gz WBMFXDJWYGUOCN-VIFPVBQESA-N 0 3 239.282 2.526 20 0 BFADHN C[C@H](CN1CCOCC12CC2)CC(C)(C)C ZINC001169723840 969835184 /nfs/dbraw/zinc/83/51/84/969835184.db2.gz ILKATKCIGDJARB-LBPRGKRZSA-N 0 3 225.376 2.924 20 0 BFADHN CO[C@@H](C)CNc1ccc2cnc(C)cc2c1 ZINC001169777895 969843278 /nfs/dbraw/zinc/84/32/78/969843278.db2.gz IBCCIXQWWOYRLT-NSHDSACASA-N 0 3 230.311 2.990 20 0 BFADHN CC(=O)[C@H]1CCCCN1C1Cc2ccccc2C1 ZINC001255027437 969854023 /nfs/dbraw/zinc/85/40/23/969854023.db2.gz UZCHZXZJGVKPDX-MRXNPFEDSA-N 0 3 243.350 2.597 20 0 BFADHN NCc1cccc(N[C@@H]2C[C@H]2c2ccccc2)n1 ZINC001169816725 969865192 /nfs/dbraw/zinc/86/51/92/969865192.db2.gz ZXUIZUYBNAWCRE-UONOGXRCSA-N 0 3 239.322 2.508 20 0 BFADHN C[C@@]1(F)CCN(C2CCSCC2)C[C@@H]1F ZINC001255087733 969916773 /nfs/dbraw/zinc/91/67/73/969916773.db2.gz HOQPZRNQWVRANX-WDEREUQCSA-N 0 3 235.343 2.654 20 0 BFADHN Cn1ccnc1Nc1cccc(OC2CC2)c1 ZINC001210859502 970057718 /nfs/dbraw/zinc/05/77/18/970057718.db2.gz VMJBUXHOSRWRDB-UHFFFAOYSA-N 0 3 229.283 2.705 20 0 BFADHN CCCC[C@@H](C)NCc1nnc2c(C)cccn12 ZINC001255309401 970076999 /nfs/dbraw/zinc/07/69/99/970076999.db2.gz GMCCOQIEOWIOHZ-GFCCVEGCSA-N 0 3 246.358 2.706 20 0 BFADHN CCCC[C@H](C)NCc1cnc(Cl)cn1 ZINC001255319390 970085996 /nfs/dbraw/zinc/08/59/96/970085996.db2.gz JGJJYGZCJRWBLV-VIFPVBQESA-N 0 3 227.739 2.798 20 0 BFADHN CCCC[C@@H](C)N(CC(=O)OC)C1CCCC1 ZINC001255332047 970112526 /nfs/dbraw/zinc/11/25/26/970112526.db2.gz HITVQKQCRFANNC-GFCCVEGCSA-N 0 3 241.375 2.983 20 0 BFADHN CCc1cc(Nc2ccc(OC)nc2C)ccn1 ZINC001211041912 970130469 /nfs/dbraw/zinc/13/04/69/970130469.db2.gz SORFWYTWAIRFOG-UHFFFAOYSA-N 0 3 243.310 2.522 20 0 BFADHN CC(C)CCC[C@H](C)N1CCOC[C@@H]1C ZINC001170077296 970178612 /nfs/dbraw/zinc/17/86/12/970178612.db2.gz IGHWZGIPWJKPMX-STQMWFEESA-N 0 3 213.365 2.922 20 0 BFADHN COC[C@@H]1CN([C@H](C)CCCC(C)C)CCO1 ZINC001170088557 970222774 /nfs/dbraw/zinc/22/27/74/970222774.db2.gz VJSGYIWBOGQCOW-KGLIPLIRSA-N 0 3 243.391 2.548 20 0 BFADHN C[C@@H]1CC[C@@H](NCC(=O)c2ccccc2)C1 ZINC001170097773 970265445 /nfs/dbraw/zinc/26/54/45/970265445.db2.gz CJTLSOGVKBQTSB-DGCLKSJQSA-N 0 3 217.312 2.648 20 0 BFADHN Cn1cncc1-c1cccc(C2=NCCC2)c1 ZINC001243070784 970268602 /nfs/dbraw/zinc/26/86/02/970268602.db2.gz YGQUWQRYNDRSDT-UHFFFAOYSA-N 0 3 225.295 2.670 20 0 BFADHN C[C@@H]1CC[C@H](NCC(=O)c2ccccc2)C1 ZINC001170097775 970269187 /nfs/dbraw/zinc/26/91/87/970269187.db2.gz CJTLSOGVKBQTSB-YPMHNXCESA-N 0 3 217.312 2.648 20 0 BFADHN C[C@H]1CC[C@H](NCC(=O)c2ccccc2)C1 ZINC001170097772 970270172 /nfs/dbraw/zinc/27/01/72/970270172.db2.gz CJTLSOGVKBQTSB-AAEUAGOBSA-N 0 3 217.312 2.648 20 0 BFADHN Cc1cccc2c1[C@H](N[C@H]1CC[C@@H](C)C1)C(=O)N2 ZINC001170098393 970276159 /nfs/dbraw/zinc/27/61/59/970276159.db2.gz QOMHRUMFEXNOIY-PUYPPJJSSA-N 0 3 244.338 2.766 20 0 BFADHN Cc1cccc2c1[C@H](N[C@@H]1CC[C@H](C)C1)C(=O)N2 ZINC001170098394 970277113 /nfs/dbraw/zinc/27/71/13/970277113.db2.gz QOMHRUMFEXNOIY-PXWWUCIGSA-N 0 3 244.338 2.766 20 0 BFADHN COc1ccccc1C(=O)CN[C@H]1CC[C@@H](C)C1 ZINC001170099703 970284287 /nfs/dbraw/zinc/28/42/87/970284287.db2.gz DPVZCTWKFTXIHR-NEPJUHHUSA-N 0 3 247.338 2.656 20 0 BFADHN COc1ccccc1C(=O)CN[C@H]1CC[C@H](C)C1 ZINC001170099714 970285241 /nfs/dbraw/zinc/28/52/41/970285241.db2.gz DPVZCTWKFTXIHR-RYUDHWBXSA-N 0 3 247.338 2.656 20 0 BFADHN C[C@@H]1CC[C@@H](NCC(=O)c2ccccc2F)C1 ZINC001170102281 970299984 /nfs/dbraw/zinc/29/99/84/970299984.db2.gz ADMHFNJBSUYJMV-GHMZBOCLSA-N 0 3 235.302 2.787 20 0 BFADHN Cc1ccc(C(=O)CN[C@H]2CC[C@@H](C)C2)cc1 ZINC001170109949 970319309 /nfs/dbraw/zinc/31/93/09/970319309.db2.gz TUMQIYHKBPJXPZ-OCCSQVGLSA-N 0 3 231.339 2.956 20 0 BFADHN CC(=O)[C@@H]1CCCN(Cc2ccc(C)s2)C1 ZINC001204033633 970327505 /nfs/dbraw/zinc/32/75/05/970327505.db2.gz MQXTWQDLNYQFND-GFCCVEGCSA-N 0 3 237.368 2.858 20 0 BFADHN CN(Cc1cncs1)[C@@H]1CCOC(C)(C)C1 ZINC001255554208 970329394 /nfs/dbraw/zinc/32/93/94/970329394.db2.gz RWQZSTJKGHKOAZ-SNVBAGLBSA-N 0 3 240.372 2.533 20 0 BFADHN Cc1ccc(CN2CCN(C)C(C)(C)C2)s1 ZINC001204033705 970336242 /nfs/dbraw/zinc/33/62/42/970336242.db2.gz QNRYGZMZTDTYDY-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN Cc1ccccc1C1(N[C@H]2CC[C@@H](C)C2)COC1 ZINC001170117715 970338669 /nfs/dbraw/zinc/33/86/69/970338669.db2.gz NGLPZCGQAUJVRY-OCCSQVGLSA-N 0 3 245.366 2.999 20 0 BFADHN COC[C@H](C)NC(C)(C)c1ccccc1OC ZINC001255562649 970349523 /nfs/dbraw/zinc/34/95/23/970349523.db2.gz MJLHQAVMIGCZAX-NSHDSACASA-N 0 3 237.343 2.555 20 0 BFADHN CC[C@@H](N[C@@H](C)COC)c1ccc(C)o1 ZINC001255562544 970351191 /nfs/dbraw/zinc/35/11/91/970351191.db2.gz YVYCKXLFRWLDBV-GXSJLCMTSA-N 0 3 211.305 2.664 20 0 BFADHN C[C@H]1CC[C@@H](N2CCN(C)c3ncccc3C2)C1 ZINC001170144858 970429190 /nfs/dbraw/zinc/42/91/90/970429190.db2.gz PRSNZKQCGPDTLT-GXTWGEPZSA-N 0 3 245.370 2.522 20 0 BFADHN Cc1ncc(Nc2ccc(Cl)cc2O)n1C ZINC001214407242 970500121 /nfs/dbraw/zinc/50/01/21/970500121.db2.gz IPJSEVSCRWNRGD-UHFFFAOYSA-N 0 3 237.690 2.831 20 0 BFADHN Cc1oncc1CN[C@@H](C)Cc1ccccc1F ZINC001255830289 970506864 /nfs/dbraw/zinc/50/68/64/970506864.db2.gz SQKFBGCHEKBIGP-JTQLQIEISA-N 0 3 248.301 2.843 20 0 BFADHN Cc1cc(CN)nn1[C@@H](C)Cc1ccccc1F ZINC001255831056 970507481 /nfs/dbraw/zinc/50/74/81/970507481.db2.gz YFJOZCFBFXNJLM-JTQLQIEISA-N 0 3 247.317 2.593 20 0 BFADHN C[C@@H]1CC[C@H](N2CCC[C@@H]2c2ncccn2)C1 ZINC001170163012 970511040 /nfs/dbraw/zinc/51/10/40/970511040.db2.gz WRIMGZVRLSWVHG-FRRDWIJNSA-N 0 3 231.343 2.802 20 0 BFADHN Nc1ccc(Cl)cc1CN1CCC2(CC2)C1 ZINC000400181378 970555062 /nfs/dbraw/zinc/55/50/62/970555062.db2.gz VTGRUTXPGGBNPO-UHFFFAOYSA-N 0 3 236.746 2.908 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)N(C)c1cccc(C)c1 ZINC000400755153 970622446 /nfs/dbraw/zinc/62/24/46/970622446.db2.gz FNCIDUVIGNXGCE-BXUZGUMPSA-N 0 3 248.370 2.721 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)Nc1cccc(C)c1 ZINC000400761705 970622486 /nfs/dbraw/zinc/62/24/86/970622486.db2.gz INWDUWZNYRCHMX-MFKMUULPSA-N 0 3 234.343 2.697 20 0 BFADHN CCc1ccccc1NC(=O)[C@@H](N)C[C@H](C)CC ZINC000400784375 970627542 /nfs/dbraw/zinc/62/75/42/970627542.db2.gz QVJOTAVNPGZCLR-YPMHNXCESA-N 0 3 248.370 2.951 20 0 BFADHN CC[C@H](C)C[C@@H](N)C(=O)N[C@@H](C)c1ccccc1 ZINC000400785585 970629465 /nfs/dbraw/zinc/62/94/65/970629465.db2.gz RDEGDOANGDFRIH-SGMGOOAPSA-N 0 3 248.370 2.627 20 0 BFADHN COc1cc(NCC2CN(C)C2)cc(C(C)C)c1 ZINC001170259288 970644012 /nfs/dbraw/zinc/64/40/12/970644012.db2.gz TYZNWMWJTRQVAZ-UHFFFAOYSA-N 0 3 248.370 2.792 20 0 BFADHN CC(C)(NCc1nccc(N)n1)C1CCCCC1 ZINC001256091002 970652594 /nfs/dbraw/zinc/65/25/94/970652594.db2.gz IOPSAQXQRLIAIG-UHFFFAOYSA-N 0 3 248.374 2.507 20 0 BFADHN CN(C)c1ccnc(-c2ccc(F)c(N)c2)c1 ZINC001243452728 970751461 /nfs/dbraw/zinc/75/14/61/970751461.db2.gz YCSAUHJAUPYWQC-UHFFFAOYSA-N 0 3 231.274 2.536 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CSCc3ccccc32)CO1 ZINC000402097058 970760285 /nfs/dbraw/zinc/76/02/85/970760285.db2.gz XLQORIBYFUDVAW-QKCSRTOESA-N 0 3 249.379 2.742 20 0 BFADHN CC1CCN(Cc2n[nH]c3ccc(F)cc32)CC1 ZINC001144186792 972674036 /nfs/dbraw/zinc/67/40/36/972674036.db2.gz IKIBMDRRSKDKHP-UHFFFAOYSA-N 0 3 247.317 2.934 20 0 BFADHN C[C@@H]1CCC[N@H+](Cc2ccc([O-])cn2)C[C@@H]1C ZINC001144253383 972713291 /nfs/dbraw/zinc/71/32/91/972713291.db2.gz VOEDJHAKJMLICF-NEPJUHHUSA-N 0 3 234.343 2.655 20 0 BFADHN C[C@@H]1CCC[N@@H+](Cc2ccc([O-])cn2)C[C@@H]1C ZINC001144253383 972713299 /nfs/dbraw/zinc/71/32/99/972713299.db2.gz VOEDJHAKJMLICF-NEPJUHHUSA-N 0 3 234.343 2.655 20 0 BFADHN FC1(F)[C@@H]2CC[C@@H](NCc3nccs3)C[C@@H]21 ZINC001327829235 972748761 /nfs/dbraw/zinc/74/87/61/972748761.db2.gz DBBPHVMQQNNHJS-HLTSFMKQSA-N 0 3 244.310 2.667 20 0 BFADHN CCN(Cc1cccn1C1CC1)C[C@@H](C)OC ZINC001327953018 972829308 /nfs/dbraw/zinc/82/93/08/972829308.db2.gz SGPQTLXZCIKJHN-GFCCVEGCSA-N 0 3 236.359 2.680 20 0 BFADHN CC(C)N1CCC[C@H](OC(=O)c2ccccc2)C1 ZINC001223148987 972855550 /nfs/dbraw/zinc/85/55/50/972855550.db2.gz LRUFREOBCQOWHD-AWEZNQCLSA-N 0 3 247.338 2.716 20 0 BFADHN CCCN(CCC)Cc1ncn2ccccc12 ZINC001144611572 972881293 /nfs/dbraw/zinc/88/12/93/972881293.db2.gz RCGSBXQGNSFFSV-UHFFFAOYSA-N 0 3 231.343 2.956 20 0 BFADHN CC1CCN(Cc2ncn3ccccc23)CC1 ZINC001144611259 972884415 /nfs/dbraw/zinc/88/44/15/972884415.db2.gz LXFZFYQIGOZMED-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN CC[C@H]1CCCN(Cc2ncn3ccccc23)C1 ZINC001144624293 972885051 /nfs/dbraw/zinc/88/50/51/972885051.db2.gz CTQATMWNQHLVJL-ZDUSSCGKSA-N 0 3 243.354 2.956 20 0 BFADHN C[C@@H]1CCCN(Cc2ncn3ccccc23)[C@@H]1C ZINC001144622860 972893800 /nfs/dbraw/zinc/89/38/00/972893800.db2.gz ORRKKCIVXMTTTC-CHWSQXEVSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1ncn2ccccc12 ZINC001144622862 972895708 /nfs/dbraw/zinc/89/57/08/972895708.db2.gz ORRKKCIVXMTTTC-QWHCGFSZSA-N 0 3 243.354 2.955 20 0 BFADHN CN(Cc1ccc2scnc2c1)[C@H]1CCOC1 ZINC001144738959 972976865 /nfs/dbraw/zinc/97/68/65/972976865.db2.gz MUOSKWHOTCRGDZ-NSHDSACASA-N 0 3 248.351 2.517 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N1C[C@@H](N)[C@@H](F)C1 ZINC001246820622 973116668 /nfs/dbraw/zinc/11/66/68/973116668.db2.gz PASVONVDTPLVSS-MROQNXINSA-N 0 3 230.371 2.572 20 0 BFADHN c1ccc2nc(CN[C@@H]3C=CCCC3)cnc2c1 ZINC001329155629 973522635 /nfs/dbraw/zinc/52/26/35/973522635.db2.gz AXBNWKPEKGFUDN-GFCCVEGCSA-N 0 3 239.322 2.828 20 0 BFADHN c1ccc(C2CCC(N3CCNC3)CC2)cc1 ZINC001246989802 973776971 /nfs/dbraw/zinc/77/69/71/973776971.db2.gz HOMOSRSJBIILOQ-UHFFFAOYSA-N 0 3 230.355 2.576 20 0 BFADHN COc1cccc(CNC/C=C/Cl)c1 ZINC000234892885 973778026 /nfs/dbraw/zinc/77/80/26/973778026.db2.gz CWZJCQBQPHHWJQ-ZZXKWVIFSA-N 0 3 211.692 2.537 20 0 BFADHN C[C@@H](OC[C@@H]1CCCCN1C)c1nccs1 ZINC001224706712 973874173 /nfs/dbraw/zinc/87/41/73/973874173.db2.gz LRNKITRILOWAMX-MNOVXSKESA-N 0 3 240.372 2.705 20 0 BFADHN C[C@H](OC[C@H]1CCCCN1C)c1nccs1 ZINC001224706714 973875361 /nfs/dbraw/zinc/87/53/61/973875361.db2.gz LRNKITRILOWAMX-WDEREUQCSA-N 0 3 240.372 2.705 20 0 BFADHN Cc1oncc1CN1C[C@@H]2CCCC[C@@]21C ZINC001329933614 974040009 /nfs/dbraw/zinc/04/00/09/974040009.db2.gz FGWRLYYMJWXBQY-STQMWFEESA-N 0 3 220.316 2.748 20 0 BFADHN COc1cc([C@H](C)NC/C=C/C2CC2)ccn1 ZINC001329941775 974046071 /nfs/dbraw/zinc/04/60/71/974046071.db2.gz TVWHCZOKNYAMQO-UFFNRZRYSA-N 0 3 232.327 2.707 20 0 BFADHN COC(=O)c1cc(CN[C@@H]2C=CCCC2)c(C)o1 ZINC001329983898 974065876 /nfs/dbraw/zinc/06/58/76/974065876.db2.gz PEOIKVRFDDUKRY-GFCCVEGCSA-N 0 3 249.310 2.573 20 0 BFADHN C[C@@H]1CCN1[C@@H]1CCc2cc(F)c(F)cc2C1 ZINC001171475694 974082679 /nfs/dbraw/zinc/08/26/79/974082679.db2.gz FRUARSMYCRBYPL-BXKDBHETSA-N 0 3 237.293 2.916 20 0 BFADHN CC1CN([C@H]2CCc3cc(F)c(F)cc3C2)C1 ZINC001171476158 974085282 /nfs/dbraw/zinc/08/52/82/974085282.db2.gz IWXNLQWVHKYBSX-LBPRGKRZSA-N 0 3 237.293 2.774 20 0 BFADHN Cc1cccc2c1CC[C@@H](N(C)CCF)C2 ZINC001171578627 974108813 /nfs/dbraw/zinc/10/88/13/974108813.db2.gz LRNLFMFASGVYGU-CYBMUJFWSA-N 0 3 221.319 2.754 20 0 BFADHN Cc1ccc(CC[C@@H](C)N(C)C2COC2)cc1 ZINC001171680012 974136725 /nfs/dbraw/zinc/13/67/25/974136725.db2.gz OGBYSYAOWJHKPM-CYBMUJFWSA-N 0 3 233.355 2.647 20 0 BFADHN Cc1ccc(CN[C@@H]2Cc3cccc(F)c3C2)o1 ZINC001171769072 974152225 /nfs/dbraw/zinc/15/22/25/974152225.db2.gz MOIQAZWNBHOBMN-GFCCVEGCSA-N 0 3 245.297 2.984 20 0 BFADHN CN(C)Cc1cc2c(cccc2Cl)[nH]1 ZINC000257904133 974170575 /nfs/dbraw/zinc/17/05/75/974170575.db2.gz BGKGYHIELOJAOE-UHFFFAOYSA-N 0 3 208.692 2.883 20 0 BFADHN CCOc1ccccc1O[C@@H]1CCCN(C)C1 ZINC001225212581 974238959 /nfs/dbraw/zinc/23/89/59/974238959.db2.gz KRMOVSQXGCJEHG-GFCCVEGCSA-N 0 3 235.327 2.558 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1c[nH]nc1C(C)(C)C ZINC001330422888 974260614 /nfs/dbraw/zinc/26/06/14/974260614.db2.gz GNTFXPCXYVGHQE-VHSXEESVSA-N 0 3 221.348 2.547 20 0 BFADHN CCN(CC)Cc1cc(Br)ccn1 ZINC000258262199 974355300 /nfs/dbraw/zinc/35/53/00/974355300.db2.gz NGRAIRVZBWBMTL-UHFFFAOYSA-N 0 3 243.148 2.686 20 0 BFADHN CS[C@H]1CC[C@H](N(C)Cc2ccns2)C1 ZINC001330659273 974392011 /nfs/dbraw/zinc/39/20/11/974392011.db2.gz XPUDUHBVIAQBSS-UWVGGRQHSA-N 0 3 242.413 2.859 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2COC(C)(C)C2)nc1 ZINC001172275429 974489653 /nfs/dbraw/zinc/48/96/53/974489653.db2.gz QPFFGCXKIJIHLZ-NEPJUHHUSA-N 0 3 234.343 2.608 20 0 BFADHN CN1CCC(Oc2cnc3sccc3c2)CC1 ZINC001225445278 974493308 /nfs/dbraw/zinc/49/33/08/974493308.db2.gz HKWBTOZHCLSUEY-UHFFFAOYSA-N 0 3 248.351 2.769 20 0 BFADHN C[C@@H]1CC[C@H](N2CCc3nn(C)cc3C2)C[C@@H]1C ZINC001172373285 974569291 /nfs/dbraw/zinc/56/92/91/974569291.db2.gz LCZVPQKNOWMLSG-DYEKYZERSA-N 0 3 247.386 2.603 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)N1CCC[C@H]1C(C)=O ZINC001172362169 974631753 /nfs/dbraw/zinc/63/17/53/974631753.db2.gz QFCSFMSIUGVDQZ-SCRDCRAPSA-N 0 3 241.375 2.634 20 0 BFADHN CC(=O)c1ccc(CN2CC[C@H](CF)C2)cc1 ZINC001331179500 974634050 /nfs/dbraw/zinc/63/40/50/974634050.db2.gz KTWMDHFFUCIQCG-CYBMUJFWSA-N 0 3 235.302 2.681 20 0 BFADHN C[C@@H]1CC[C@H](NC2(c3cnccn3)CC2)C[C@H]1C ZINC001172369390 974651391 /nfs/dbraw/zinc/65/13/91/974651391.db2.gz LPTZTLGJJRHGPD-UPJWGTAASA-N 0 3 245.370 2.880 20 0 BFADHN CN1CCC(Oc2cccc(Cl)c2F)CC1 ZINC001225722387 974660710 /nfs/dbraw/zinc/66/07/10/974660710.db2.gz LLJBHJCOGKTJCL-UHFFFAOYSA-N 0 3 243.709 2.952 20 0 BFADHN CCCOC1CN([C@H](CC)CC(C)C)C1 ZINC001172408476 974669053 /nfs/dbraw/zinc/66/90/53/974669053.db2.gz UVGJFDBFKSDGCG-GFCCVEGCSA-N 0 3 213.365 2.922 20 0 BFADHN CC(C)OCC[C@@H](C)NC1(c2ccccn2)CC1 ZINC001172446429 974745218 /nfs/dbraw/zinc/74/52/18/974745218.db2.gz AZZORXUUZBSNAY-CYBMUJFWSA-N 0 3 248.370 2.864 20 0 BFADHN CC(C)OCC[C@H](C)NC1(c2ccccn2)CC1 ZINC001172446432 974747017 /nfs/dbraw/zinc/74/70/17/974747017.db2.gz AZZORXUUZBSNAY-ZDUSSCGKSA-N 0 3 248.370 2.864 20 0 BFADHN C[C@H]1COCCCN1C/C=C/c1cccc(F)c1 ZINC001331554683 974762178 /nfs/dbraw/zinc/76/21/78/974762178.db2.gz WZACKJKQSBQMLR-YIALFULDSA-N 0 3 249.329 2.950 20 0 BFADHN CC[C@@H](CC(C)C)N1CCc2nn(C)cc2C1 ZINC001172399818 974762851 /nfs/dbraw/zinc/76/28/51/974762851.db2.gz DKRNHFKPYGZTOR-ZDUSSCGKSA-N 0 3 235.375 2.603 20 0 BFADHN CC(C)OCC[C@H](C)N[C@H](C)c1nccs1 ZINC001172436734 974793517 /nfs/dbraw/zinc/79/35/17/974793517.db2.gz HZJAVOIXOHKSOY-WDEREUQCSA-N 0 3 242.388 2.997 20 0 BFADHN C[C@@H]1CCC[C@@H](c2noc([C@@H]3NC[C@@H]4C[C@@H]43)n2)C1 ZINC001331761862 974816440 /nfs/dbraw/zinc/81/64/40/974816440.db2.gz IVPMRZLKMOJRPD-LDMBFOFVSA-N 0 3 247.342 2.644 20 0 BFADHN Cc1cc(CN[C@@H](C)CCOCC(C)C)on1 ZINC001172457165 974822254 /nfs/dbraw/zinc/82/22/54/974822254.db2.gz LYJMUDQBUUXCNO-NSHDSACASA-N 0 3 240.347 2.524 20 0 BFADHN CSc1cccc(O[C@H]2CN3CCC2CC3)c1 ZINC001226238391 974833086 /nfs/dbraw/zinc/83/30/86/974833086.db2.gz PBCUYNSCPTZCNH-AWEZNQCLSA-N 0 3 249.379 2.882 20 0 BFADHN Clc1ccc2c(c1)C[C@@H](N1CC=CC1)C2 ZINC001172720158 974904963 /nfs/dbraw/zinc/90/49/63/974904963.db2.gz WMBYNCSKOYZQQP-ZDUSSCGKSA-N 0 3 219.715 2.679 20 0 BFADHN FCC1(N[C@@H]2Cc3ccc(Cl)cc3C2)CC1 ZINC001172708089 974925125 /nfs/dbraw/zinc/92/51/25/974925125.db2.gz RPIXUOUNYMMNSD-GFCCVEGCSA-N 0 3 239.721 2.899 20 0 BFADHN C[C@@H]1CCC[C@@H](Oc2ccnc(N)c2)[C@@H]1C ZINC001226652238 974999645 /nfs/dbraw/zinc/99/96/45/974999645.db2.gz DUCXZCSTVBOALT-CKYFFXLPSA-N 0 3 220.316 2.867 20 0 BFADHN CC[C@@H]1CC[C@@H](N2CCC(=O)C[C@H]2C)C1 ZINC001173271947 975146350 /nfs/dbraw/zinc/14/63/50/975146350.db2.gz GUCIMVJWIZDLHE-IJLUTSLNSA-N 0 3 209.333 2.619 20 0 BFADHN CCCN(CC(=O)OCC)[C@H]1CC[C@H](CC)C1 ZINC001173293010 975169728 /nfs/dbraw/zinc/16/97/28/975169728.db2.gz JGHWWANMPNLTQE-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN CCSC[C@H](C)NC1(c2cccnc2)CC1 ZINC001173297769 975189636 /nfs/dbraw/zinc/18/96/36/975189636.db2.gz WHVHAFYXEBLVAJ-NSHDSACASA-N 0 3 236.384 2.802 20 0 BFADHN CCSC[C@H](C)NCc1cnccc1Cl ZINC001173284166 975242494 /nfs/dbraw/zinc/24/24/94/975242494.db2.gz DBMCFESTALDLPH-VIFPVBQESA-N 0 3 244.791 2.966 20 0 BFADHN Cc1cc2c(ccnc2O[C@H]2CCCN(C)C2)o1 ZINC001227085989 975257212 /nfs/dbraw/zinc/25/72/12/975257212.db2.gz BKSPFUAVPNRRCO-NSHDSACASA-N 0 3 246.310 2.609 20 0 BFADHN CC[C@H]1CC[C@@H](N(C)[C@H](C(=O)OC)C(C)C)C1 ZINC001173292047 975266855 /nfs/dbraw/zinc/26/68/55/975266855.db2.gz DETPISKHASNIER-XQQFMLRXSA-N 0 3 241.375 2.695 20 0 BFADHN CCCCC(=O)[C@H](C)N[C@@H](C)CSCC ZINC001173292264 975268658 /nfs/dbraw/zinc/26/86/58/975268658.db2.gz WFWIUIIRIPGVGB-QWRGUYRKSA-N 0 3 231.405 2.865 20 0 BFADHN C/C=C/c1ccc(O[C@H]2CCN(C)C2)c(OC)c1 ZINC001227409179 975385110 /nfs/dbraw/zinc/38/51/10/975385110.db2.gz AUCHNXPCFWLQMP-IHVVCDCBSA-N 0 3 247.338 2.811 20 0 BFADHN CN1CC[C@@H](Oc2ccc(O)cc2C(C)(C)C)C1 ZINC001227446478 975422051 /nfs/dbraw/zinc/42/20/51/975422051.db2.gz UCVUWTFCGYVSJA-GFCCVEGCSA-N 0 3 249.354 2.773 20 0 BFADHN CN1CCC[C@@H](Oc2cc(F)cc(Cl)c2)C1 ZINC001227854594 975741766 /nfs/dbraw/zinc/74/17/66/975741766.db2.gz CSWAMOWEZNLUFD-LLVKDONJSA-N 0 3 243.709 2.952 20 0 BFADHN Cc1cn(C)nc1CN(C)[C@H]1CCCC[C@@H]1C ZINC001333430727 975772359 /nfs/dbraw/zinc/77/23/59/975772359.db2.gz XHNZDJDWJLHZFW-FZMZJTMJSA-N 0 3 235.375 2.739 20 0 BFADHN F[C@H]1CCC[C@H](NCc2cc(C3CC3)no2)C1 ZINC001330638081 975788170 /nfs/dbraw/zinc/78/81/70/975788170.db2.gz LHEUOHZGBHGDKL-QWRGUYRKSA-N 0 3 238.306 2.922 20 0 BFADHN COc1ccc(Nc2cnc(C)n2C)cc1F ZINC001173863134 975808106 /nfs/dbraw/zinc/80/81/06/975808106.db2.gz AEXWECKRUZIROA-UHFFFAOYSA-N 0 3 235.262 2.620 20 0 BFADHN Cc1ncc(Nc2cc(F)cc(F)c2)n1C ZINC001173914275 975897432 /nfs/dbraw/zinc/89/74/32/975897432.db2.gz MVCIRCZPGIDCNV-UHFFFAOYSA-N 0 3 223.226 2.750 20 0 BFADHN Cc1cn(-c2ccncc2)c2cc(N)ccc12 ZINC001173884161 975972588 /nfs/dbraw/zinc/97/25/88/975972588.db2.gz KKRBLFNEPOENLA-UHFFFAOYSA-N 0 3 223.279 2.916 20 0 BFADHN COc1cc2c(ccn2-c2ccncc2)c(N)c1 ZINC001173884497 975974247 /nfs/dbraw/zinc/97/42/47/975974247.db2.gz QVBZAWKHFYQDHE-UHFFFAOYSA-N 0 3 239.278 2.616 20 0 BFADHN Cc1ncc(Nc2ccccc2CN(C)C)cn1 ZINC001173928810 976037139 /nfs/dbraw/zinc/03/71/39/976037139.db2.gz FGGVFCUFYIBFFX-UHFFFAOYSA-N 0 3 242.326 2.590 20 0 BFADHN Cc1cnc(Nc2ccccc2CN(C)C)o1 ZINC001173927629 976039862 /nfs/dbraw/zinc/03/98/62/976039862.db2.gz LCXVNYMHIWZEPI-UHFFFAOYSA-N 0 3 231.299 2.788 20 0 BFADHN CCN1CC[C@@H](Oc2ccc(C)cc2F)C1 ZINC001228380875 976173053 /nfs/dbraw/zinc/17/30/53/976173053.db2.gz KEIZNXKHLBVDQU-LLVKDONJSA-N 0 3 223.291 2.607 20 0 BFADHN C[C@H](c1ncccn1)N1CCCC[C@H](C)C1 ZINC001334094868 976298083 /nfs/dbraw/zinc/29/80/83/976298083.db2.gz YKYIPSZWXRELER-NWDGAFQWSA-N 0 3 219.332 2.660 20 0 BFADHN COCc1ccccc1Nc1cnc(C)n1C ZINC001174104442 976302713 /nfs/dbraw/zinc/30/27/13/976302713.db2.gz NERQZLBWJASJCP-UHFFFAOYSA-N 0 3 231.299 2.619 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@@H]1CC[C@@H]1C ZINC001334232609 976376348 /nfs/dbraw/zinc/37/63/48/976376348.db2.gz KKKGJUZKLFYHQQ-OIBJUYFYSA-N 0 3 227.739 2.514 20 0 BFADHN c1cc2ccc(O[C@H]3CN4CCC3CC4)cc2o1 ZINC001228724676 976457289 /nfs/dbraw/zinc/45/72/89/976457289.db2.gz NLABDFCDPITGJP-HNNXBMFYSA-N 0 3 243.306 2.906 20 0 BFADHN CC(C)(C)c1cc(Nc2cn[nH]c2)ccn1 ZINC001174293154 976467324 /nfs/dbraw/zinc/46/73/24/976467324.db2.gz FOOOJQGTCHTZGO-UHFFFAOYSA-N 0 3 216.288 2.846 20 0 BFADHN CCN1CCC[C@@H](Oc2ncccc2C2CC2)C1 ZINC001229014664 976651588 /nfs/dbraw/zinc/65/15/88/976651588.db2.gz LDVQTUBHKYXYSU-CYBMUJFWSA-N 0 3 246.354 2.822 20 0 BFADHN Cc1sccc1Nc1ccncc1C ZINC001174458118 976736694 /nfs/dbraw/zinc/73/66/94/976736694.db2.gz DJBFJKZJIUCDMQ-UHFFFAOYSA-N 0 3 204.298 2.925 20 0 BFADHN Cc1cnccc1Nc1ncccc1Cl ZINC001174535939 976814256 /nfs/dbraw/zinc/81/42/56/976814256.db2.gz DBSXHJHQVQZABL-UHFFFAOYSA-N 0 3 219.675 2.604 20 0 BFADHN Cc1cnccc1Nc1cccnc1C1CC1 ZINC001174536446 976815992 /nfs/dbraw/zinc/81/59/92/976815992.db2.gz MQTWWKIVKNYYFF-UHFFFAOYSA-N 0 3 225.295 2.828 20 0 BFADHN CCc1ccc(C)nc1Nc1ccncc1C ZINC001174536308 976816081 /nfs/dbraw/zinc/81/60/81/976816081.db2.gz UCRBUEALDAYANT-UHFFFAOYSA-N 0 3 227.311 2.821 20 0 BFADHN Cc1cc(Cl)nc(Nc2ccncc2C)c1 ZINC001174536177 976817889 /nfs/dbraw/zinc/81/78/89/976817889.db2.gz JJUTWADPFKDLJE-UHFFFAOYSA-N 0 3 233.702 2.912 20 0 BFADHN Cc1cnccc1Nc1ncc(F)cc1Cl ZINC001174536608 976818603 /nfs/dbraw/zinc/81/86/03/976818603.db2.gz PZWKDRNUHUOTLN-UHFFFAOYSA-N 0 3 237.665 2.743 20 0 BFADHN Cc1cnccc1Nc1ccc2ocnc2c1 ZINC001174537476 976821090 /nfs/dbraw/zinc/82/10/90/976821090.db2.gz GSOBSDCABBVTJH-UHFFFAOYSA-N 0 3 225.251 2.697 20 0 BFADHN CCc1nccc(C)c1Nc1ccncc1C ZINC001174537491 976821979 /nfs/dbraw/zinc/82/19/79/976821979.db2.gz HPPXXKPRRZOTGX-UHFFFAOYSA-N 0 3 227.311 2.821 20 0 BFADHN CCc1ccc(N)c(Nc2ccncc2C)c1 ZINC001174538129 976825824 /nfs/dbraw/zinc/82/58/24/976825824.db2.gz BJTGIFXDXNVTSR-UHFFFAOYSA-N 0 3 227.311 2.700 20 0 BFADHN CC(=O)c1ccc(F)c(Nc2ccncc2C)c1 ZINC001174539714 976827864 /nfs/dbraw/zinc/82/78/64/976827864.db2.gz NJJXTWKDRFUGKF-UHFFFAOYSA-N 0 3 244.269 2.897 20 0 BFADHN CNc1cccc(C)c1Nc1ccncc1C ZINC001174538995 976828677 /nfs/dbraw/zinc/82/86/77/976828677.db2.gz XQQBNEMYMHGPAT-UHFFFAOYSA-N 0 3 227.311 2.906 20 0 BFADHN Cc1c2cc(N)ccc2nn1-c1ccncc1C ZINC001174539352 976828997 /nfs/dbraw/zinc/82/89/97/976828997.db2.gz IOTDUQRNCXEFKZ-UHFFFAOYSA-N 0 3 238.294 2.620 20 0 BFADHN COc1cc(Nc2ccncc2C)ccc1C ZINC001174539093 976829508 /nfs/dbraw/zinc/82/95/08/976829508.db2.gz CCQUKSPUYDGNCV-UHFFFAOYSA-N 0 3 228.295 2.873 20 0 BFADHN Cc1cnccc1Nc1ccc2c(c1)[C@@H](O)CC2 ZINC001174538963 976829794 /nfs/dbraw/zinc/82/97/94/976829794.db2.gz WSMUNRCPJAIYLD-HNNXBMFYSA-N 0 3 240.306 2.535 20 0 BFADHN Cc1cnccc1Nc1cc(Cl)ccc1N ZINC001174539973 976832752 /nfs/dbraw/zinc/83/27/52/976832752.db2.gz RDEZIEXBGCXCNL-UHFFFAOYSA-N 0 3 233.702 2.791 20 0 BFADHN C[C@@H]1CCN([C@@H]2CCc3c2cccc3F)CCO1 ZINC001335119089 976857635 /nfs/dbraw/zinc/85/76/35/976857635.db2.gz XCOZRWSLDPVXQT-IAQYHMDHSA-N 0 3 249.329 2.924 20 0 BFADHN Cc1cc(Nc2nc3c(s2)CCC3)ccn1 ZINC001174650820 976936400 /nfs/dbraw/zinc/93/64/00/976936400.db2.gz BIHRONOYANYNLD-UHFFFAOYSA-N 0 3 231.324 2.501 20 0 BFADHN COc1ccc(Cl)c(Nc2ccnc(C)c2)n1 ZINC001174653482 976938690 /nfs/dbraw/zinc/93/86/90/976938690.db2.gz QEDSMMOQWMZMGX-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN Cc1cc(Nc2ncccc2C2CC2)ccn1 ZINC001174654447 976941663 /nfs/dbraw/zinc/94/16/63/976941663.db2.gz AGHKRHZCZUIHGJ-UHFFFAOYSA-N 0 3 225.295 2.828 20 0 BFADHN CN(C)Cc1ccccc1O[C@@H]1C[C@H]2C[C@H]2C1 ZINC001229699467 976946388 /nfs/dbraw/zinc/94/63/88/976946388.db2.gz NZHFLZBKBGMZNN-BTTYYORXSA-N 0 3 231.339 2.926 20 0 BFADHN CN(C)Cc1ccccc1O[C@@H]1C=CCC1 ZINC001229702590 976955000 /nfs/dbraw/zinc/95/50/00/976955000.db2.gz VNRFXZOCIDGRJY-CYBMUJFWSA-N 0 3 217.312 2.846 20 0 BFADHN CO[C@H](C)CCOc1ccccc1CN(C)C ZINC001229700346 976957421 /nfs/dbraw/zinc/95/74/21/976957421.db2.gz ZEVVDDRLZASYKI-GFCCVEGCSA-N 0 3 237.343 2.552 20 0 BFADHN Cc1cc(Nc2ccnc(C3CC3)c2)n(C)n1 ZINC001174820589 977075174 /nfs/dbraw/zinc/07/51/74/977075174.db2.gz NCHRLQZTSMFVOA-UHFFFAOYSA-N 0 3 228.299 2.745 20 0 BFADHN F[C@@H]1CCC[C@@H](NCc2nccn2C2CC2)C1 ZINC001335539609 977127123 /nfs/dbraw/zinc/12/71/23/977127123.db2.gz GBERQGXYVRMFBZ-GHMZBOCLSA-N 0 3 237.322 2.588 20 0 BFADHN CC(=O)c1ccc(Nc2cnc(C)n2C)cc1F ZINC001175232906 977336189 /nfs/dbraw/zinc/33/61/89/977336189.db2.gz SUCCMINMDQZSGM-UHFFFAOYSA-N 0 3 247.273 2.814 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](Oc2nncc3n[nH]cc32)C1 ZINC001230896214 977365078 /nfs/dbraw/zinc/36/50/78/977365078.db2.gz OBNLUQXUJZYMOW-PTRXPTGYSA-N 0 3 246.314 2.556 20 0 BFADHN CC[C@@H](Oc1nncc2n[nH]cc21)C(C)(C)C ZINC001230899908 977369487 /nfs/dbraw/zinc/36/94/87/977369487.db2.gz CDAGKJDFOBRSMI-SNVBAGLBSA-N 0 3 234.303 2.556 20 0 BFADHN CC(C)=CCC[C@H](C)Oc1nncc2n[nH]cc21 ZINC001230900279 977370252 /nfs/dbraw/zinc/37/02/52/977370252.db2.gz GDPYNDWOMJIMPS-JTQLQIEISA-N 0 3 246.314 2.867 20 0 BFADHN CC[C@@H](C)CC[C@@H](C)Oc1nncc2n[nH]cc21 ZINC001230902115 977371517 /nfs/dbraw/zinc/37/15/17/977371517.db2.gz YSLWCPWASIRKOE-NXEZZACHSA-N 0 3 248.330 2.947 20 0 BFADHN CN1CCC=C(Nc2cccc3ncccc32)C1 ZINC001175577469 977589229 /nfs/dbraw/zinc/58/92/29/977589229.db2.gz UPJMCMHMYOJLLA-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN Cc1nc2cc(NC3=CCCN(C)C3)ccc2o1 ZINC001175580157 977596861 /nfs/dbraw/zinc/59/68/61/977596861.db2.gz VNEOHVRHVFNXEE-UHFFFAOYSA-N 0 3 243.310 2.768 20 0 BFADHN Cc1noc2ccc(NC3=CCCN(C)C3)cc12 ZINC001175581087 977603065 /nfs/dbraw/zinc/60/30/65/977603065.db2.gz YRRVPCKZRDBFLQ-UHFFFAOYSA-N 0 3 243.310 2.768 20 0 BFADHN C[C@H]1C[C@@H](CF)N(Cc2c[nH]c3cccnc23)C1 ZINC001231486580 977606772 /nfs/dbraw/zinc/60/67/72/977606772.db2.gz XEFTXRXWULRCQL-JQWIXIFHSA-N 0 3 247.317 2.743 20 0 BFADHN CSc1ccc(Nc2cnc(C)n2C)cn1 ZINC001175653301 977624893 /nfs/dbraw/zinc/62/48/93/977624893.db2.gz PYXIFGFVWZXYMZ-UHFFFAOYSA-N 0 3 234.328 2.589 20 0 BFADHN COc1cc(F)ccc1CN1CC[C@H](C)C1 ZINC001231599835 977647192 /nfs/dbraw/zinc/64/71/92/977647192.db2.gz CBIXWGDAHQJBCT-JTQLQIEISA-N 0 3 223.291 2.676 20 0 BFADHN COc1cc(F)ccc1CN(C)CC1CC1 ZINC001231602648 977649979 /nfs/dbraw/zinc/64/99/79/977649979.db2.gz RIVXYYRMNKIAQZ-UHFFFAOYSA-N 0 3 223.291 2.676 20 0 BFADHN CCc1ccc(CN(CCOC)C(C)C)nc1 ZINC001231640982 977661221 /nfs/dbraw/zinc/66/12/21/977661221.db2.gz PRHQFNYZWCEXQH-UHFFFAOYSA-N 0 3 236.359 2.501 20 0 BFADHN c1cc2cc(CN3CC4(C3)CCCC4)ncc2[nH]1 ZINC001231664303 977675140 /nfs/dbraw/zinc/67/51/40/977675140.db2.gz XISHGXGGCYZEIY-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN c1cc2cc(CN3CCC[C@H]4C[C@H]43)ncc2[nH]1 ZINC001231673658 977686844 /nfs/dbraw/zinc/68/68/44/977686844.db2.gz SLILJDZTCLDVDB-SMDDNHRTSA-N 0 3 227.311 2.547 20 0 BFADHN Cc1cscc1Nc1ccncc1C ZINC001175766027 977732395 /nfs/dbraw/zinc/73/23/95/977732395.db2.gz PQDBWPQSAQWPMH-UHFFFAOYSA-N 0 3 204.298 2.925 20 0 BFADHN CC(C)Oc1ncccc1CN1CC[C@H](C)C1 ZINC001231756359 977733783 /nfs/dbraw/zinc/73/37/83/977733783.db2.gz CKXNHHVGTKEIMM-LBPRGKRZSA-N 0 3 234.343 2.711 20 0 BFADHN CCOc1ncccc1CN1CC[C@@H](CC)C1 ZINC001231767559 977745302 /nfs/dbraw/zinc/74/53/02/977745302.db2.gz WTKFEQLWKGLEQZ-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CCCOc1ncccc1CN1CC[C@@H](C)C1 ZINC001231859065 977772018 /nfs/dbraw/zinc/77/20/18/977772018.db2.gz UCGCWMGKYITQRF-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CC(C)c1ccccc1CN1CC(N(C)C)C1 ZINC001231874395 977778410 /nfs/dbraw/zinc/77/84/10/977778410.db2.gz PGPLRUJFRORCMD-UHFFFAOYSA-N 0 3 232.371 2.556 20 0 BFADHN Cc1cc(F)ncc1CN1CCC[C@H]2C[C@H]21 ZINC001231985904 977822376 /nfs/dbraw/zinc/82/23/76/977822376.db2.gz VSTWAUIZYZSYNS-CMPLNLGQSA-N 0 3 220.291 2.513 20 0 BFADHN Cc1cc(CN2CC[C@H](OC(C)C)C2)cc(C)n1 ZINC001232003371 977834701 /nfs/dbraw/zinc/83/47/01/977834701.db2.gz NVCJJXOQSCPVDM-HNNXBMFYSA-N 0 3 248.370 2.698 20 0 BFADHN CN1CCc2ccc(Nc3cscn3)cc2C1 ZINC001175945972 977850906 /nfs/dbraw/zinc/85/09/06/977850906.db2.gz LLHXYECVTVJCMH-UHFFFAOYSA-N 0 3 245.351 2.875 20 0 BFADHN [O-]c1cncc(C[N@@H+]2CCC[C@H]3CCCC[C@H]32)c1 ZINC001232042158 977866131 /nfs/dbraw/zinc/86/61/31/977866131.db2.gz OJXALYHQRCEONG-UKRRQHHQSA-N 0 3 246.354 2.942 20 0 BFADHN [O-]c1cncc(C[N@H+]2CCC[C@H]3CCCC[C@H]32)c1 ZINC001232042158 977866138 /nfs/dbraw/zinc/86/61/38/977866138.db2.gz OJXALYHQRCEONG-UKRRQHHQSA-N 0 3 246.354 2.942 20 0 BFADHN CCc1cc(Nc2cccc3c2OCC3)ccn1 ZINC001176075801 977871509 /nfs/dbraw/zinc/87/15/09/977871509.db2.gz YGDPSXDQQUPSRN-UHFFFAOYSA-N 0 3 240.306 2.744 20 0 BFADHN Fc1ccc2ncc(Nc3ncc[nH]3)cc2c1 ZINC001176081871 977875908 /nfs/dbraw/zinc/87/59/08/977875908.db2.gz IAOKIZJKQVINPR-UHFFFAOYSA-N 0 3 228.230 2.841 20 0 BFADHN COc1cccc2cc(Nc3ncc[nH]3)cnc21 ZINC001176082063 977880112 /nfs/dbraw/zinc/88/01/12/977880112.db2.gz QXHRSLRHVUTTFV-UHFFFAOYSA-N 0 3 240.266 2.710 20 0 BFADHN [O-]c1cccc(Nc2[nH]cc[nH+]2)c1Cl ZINC001176084959 977887341 /nfs/dbraw/zinc/88/73/41/977887341.db2.gz YQJVYOCOSNRALO-UHFFFAOYSA-N 0 3 209.636 2.512 20 0 BFADHN c1cc(CN2CC[C@@H]3CCC[C@H]3C2)sn1 ZINC001232188789 977907505 /nfs/dbraw/zinc/90/75/05/977907505.db2.gz LPYSQTXUSCOJJX-QWRGUYRKSA-N 0 3 222.357 2.765 20 0 BFADHN CC(C)N(CCCCCO)Cc1cnsc1 ZINC001232230193 977963215 /nfs/dbraw/zinc/96/32/15/977963215.db2.gz FNVMGOZPISLTTB-UHFFFAOYSA-N 0 3 242.388 2.516 20 0 BFADHN CCOc1ncc(CN2C[C@H]3CC[C@@H]2C3)cc1C ZINC001232306921 978001375 /nfs/dbraw/zinc/00/13/75/978001375.db2.gz LSRSSVBPPKBKPA-GXTWGEPZSA-N 0 3 246.354 2.773 20 0 BFADHN Cc1nc(N)ccc1CN1CCC[C@H]2CCC[C@@H]21 ZINC001232341200 978021639 /nfs/dbraw/zinc/02/16/39/978021639.db2.gz OHMGIQWJVYEVDN-OCCSQVGLSA-N 0 3 245.370 2.737 20 0 BFADHN Cc1cc(CN(CCC(C)C)C2CC2)[nH]n1 ZINC001336942577 978072338 /nfs/dbraw/zinc/07/23/38/978072338.db2.gz DXLIBQMHGRHBRT-UHFFFAOYSA-N 0 3 221.348 2.729 20 0 BFADHN Cc1cc(CN(CCC(C)C)C2CC2)n[nH]1 ZINC001336942577 978072347 /nfs/dbraw/zinc/07/23/47/978072347.db2.gz DXLIBQMHGRHBRT-UHFFFAOYSA-N 0 3 221.348 2.729 20 0 BFADHN Cc1nc(CN(C)CCc2cccc(F)c2)c[nH]1 ZINC001232466483 978119155 /nfs/dbraw/zinc/11/91/55/978119155.db2.gz YVQAMUUVPZKZEC-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN Fc1ccnc(CN2CC[C@H]3CCC[C@@H]3C2)c1 ZINC001232492527 978143882 /nfs/dbraw/zinc/14/38/82/978143882.db2.gz FMXRCAMUGKKRDO-VXGBXAGGSA-N 0 3 234.318 2.843 20 0 BFADHN FCc1cccc(CN2CCC3(CC3)CC2)n1 ZINC001232533166 978151883 /nfs/dbraw/zinc/15/18/83/978151883.db2.gz KAEJJNNQGHOZNN-UHFFFAOYSA-N 0 3 234.318 2.927 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1cc(F)ccn1 ZINC001232496518 978152447 /nfs/dbraw/zinc/15/24/47/978152447.db2.gz VDXPPDFOWFLVRO-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN Fc1ccnc(CN2CCC[C@H]3CCC[C@@H]32)c1 ZINC001232496528 978153506 /nfs/dbraw/zinc/15/35/06/978153506.db2.gz VPMBLGRJOXFFFG-RISCZKNCSA-N 0 3 234.318 2.985 20 0 BFADHN C[C@H]1CCCN(Cc2cc(F)ccn2)[C@H]1C ZINC001232496517 978153716 /nfs/dbraw/zinc/15/37/16/978153716.db2.gz VDXPPDFOWFLVRO-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1cc(CN(C)CC2CCC2)sn1 ZINC001176771409 978182287 /nfs/dbraw/zinc/18/22/87/978182287.db2.gz LOMOUVRJPZWZDW-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN CCCCN(C)Cc1cccc(CF)n1 ZINC001232534808 978160669 /nfs/dbraw/zinc/16/06/69/978160669.db2.gz FTRSCBSNZJVZES-UHFFFAOYSA-N 0 3 210.296 2.783 20 0 BFADHN CN(CCC1CC1)Cc1cccc(CF)n1 ZINC001232539236 978167328 /nfs/dbraw/zinc/16/73/28/978167328.db2.gz KORPDAVPTHGLAL-UHFFFAOYSA-N 0 3 222.307 2.783 20 0 BFADHN C[C@H]1CCN(Cc2cc3ccoc3cn2)C1 ZINC001232549845 978178693 /nfs/dbraw/zinc/17/86/93/978178693.db2.gz NOISCUMGOXVJKD-JTQLQIEISA-N 0 3 216.284 2.670 20 0 BFADHN CCOc1ccnc(CN2CCCC[C@@H]2C)c1 ZINC001232579279 978182998 /nfs/dbraw/zinc/18/29/98/978182998.db2.gz MALVPLHGMGIDEI-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN CCOc1ccnc(CN(C)CC(C)C)c1 ZINC001232580137 978183327 /nfs/dbraw/zinc/18/33/27/978183327.db2.gz UKQGFXLZCZUGBF-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN OC1CCN(Cc2ccc3ccsc3c2)CC1 ZINC001232610040 978190841 /nfs/dbraw/zinc/19/08/41/978190841.db2.gz FIEIGOAQIKIEGM-UHFFFAOYSA-N 0 3 247.363 2.858 20 0 BFADHN CNc1ccccc1CN(C)C[C@@H]1CCCCO1 ZINC001232672112 978224111 /nfs/dbraw/zinc/22/41/11/978224111.db2.gz YONGPUATHSKENE-AWEZNQCLSA-N 0 3 248.370 2.729 20 0 BFADHN Cc1cccnc1[C@H](C)NC[C@H]1CC[C@H](C)O1 ZINC001176898037 978256865 /nfs/dbraw/zinc/25/68/65/978256865.db2.gz OVLIHKKLXQXGAP-RWMBFGLXSA-N 0 3 234.343 2.608 20 0 BFADHN CCCn1cnc(CN2CCC[C@@H](C)[C@H]2C)c1 ZINC001232798878 978284858 /nfs/dbraw/zinc/28/48/58/978284858.db2.gz ODYOPVJPIKIBDA-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1cc(F)ncc1CNCc1ccccc1 ZINC001177087304 978296340 /nfs/dbraw/zinc/29/63/40/978296340.db2.gz YGBBMDJRPROZTM-UHFFFAOYSA-N 0 3 230.286 2.819 20 0 BFADHN COc1ncc(CN2CC(C)C2)c2ccccc21 ZINC001232946567 978352395 /nfs/dbraw/zinc/35/23/95/978352395.db2.gz CPRZTXWXDIOWJX-UHFFFAOYSA-N 0 3 242.322 2.695 20 0 BFADHN Cc1ncc(F)cc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC001232989937 978386684 /nfs/dbraw/zinc/38/66/84/978386684.db2.gz LNQYOYGDAGSLHU-BETUJISGSA-N 0 3 234.318 2.617 20 0 BFADHN Cc1ncc(F)cc1CN1CCCC[C@@H]1C ZINC001232987224 978389937 /nfs/dbraw/zinc/38/99/37/978389937.db2.gz RBXGQRKLRANBMS-JTQLQIEISA-N 0 3 222.307 2.904 20 0 BFADHN Cc1ncc(F)cc1CN1C[C@@H](C)CC12CC2 ZINC001232993724 978395650 /nfs/dbraw/zinc/39/56/50/978395650.db2.gz RIHHAGSWQGQPQF-JTQLQIEISA-N 0 3 234.318 2.904 20 0 BFADHN CC[C@@H]1CCC[N@@H+]1Cc1cccc([O-])c1F ZINC001233053030 978446094 /nfs/dbraw/zinc/44/60/94/978446094.db2.gz HGTLMYFVAQLHOC-LLVKDONJSA-N 0 3 223.291 2.906 20 0 BFADHN CC[C@@H]1CCC[N@H+]1Cc1cccc([O-])c1F ZINC001233053030 978446103 /nfs/dbraw/zinc/44/61/03/978446103.db2.gz HGTLMYFVAQLHOC-LLVKDONJSA-N 0 3 223.291 2.906 20 0 BFADHN C[C@H]1CN(Cc2cccc(O)c2F)C2(CC2)C1 ZINC001233054233 978447089 /nfs/dbraw/zinc/44/70/89/978447089.db2.gz GNNWQBQJVKGMDO-SNVBAGLBSA-N 0 3 235.302 2.906 20 0 BFADHN Cc1cc(CN2CCC[C@H](CF)C2)cnc1C ZINC001233161993 978480495 /nfs/dbraw/zinc/48/04/95/978480495.db2.gz MYDCLDSDJRAQAR-CYBMUJFWSA-N 0 3 236.334 2.880 20 0 BFADHN Cc1coc(CN[C@H]2CCOC23CCCC3)c1 ZINC001337791336 978497025 /nfs/dbraw/zinc/49/70/25/978497025.db2.gz LHCSSJVOOFZFPF-ZDUSSCGKSA-N 0 3 235.327 2.779 20 0 BFADHN COc1cc(Br)cc(CN(C)C)c1 ZINC001233214091 978497739 /nfs/dbraw/zinc/49/77/39/978497739.db2.gz VGWKOJJOXFHBRG-UHFFFAOYSA-N 0 3 244.132 2.519 20 0 BFADHN Cc1coc(CN[C@@H]2CCOC23CCCC3)c1 ZINC001337791334 978499411 /nfs/dbraw/zinc/49/94/11/978499411.db2.gz LHCSSJVOOFZFPF-CYBMUJFWSA-N 0 3 235.327 2.779 20 0 BFADHN Cc1ccc(Cl)cc1CN1CC[C@](C)(O)C1 ZINC001233248183 978509423 /nfs/dbraw/zinc/50/94/23/978509423.db2.gz AYUXCISJUGDDSC-ZDUSSCGKSA-N 0 3 239.746 2.605 20 0 BFADHN c1nn2ccccc2c1CN1CC2CCC1CC2 ZINC001233237178 978510104 /nfs/dbraw/zinc/51/01/04/978510104.db2.gz MACMMIACHYMLCR-UHFFFAOYSA-N 0 3 241.338 2.709 20 0 BFADHN COCCN(C)Cc1cc(Cl)ccc1C ZINC001233248077 978510904 /nfs/dbraw/zinc/51/09/04/978510904.db2.gz XFAJPNSVRZRKFF-UHFFFAOYSA-N 0 3 227.735 2.727 20 0 BFADHN COCOc1cccc(CN2CCC[C@H]3C[C@H]32)c1 ZINC001233283090 978529367 /nfs/dbraw/zinc/52/93/67/978529367.db2.gz QFPMMSXRJPMOPE-DZGCQCFKSA-N 0 3 247.338 2.654 20 0 BFADHN Cc1cc(CN2CCCC23CC3)sn1 ZINC001233309324 978536037 /nfs/dbraw/zinc/53/60/37/978536037.db2.gz DEKZOGKMDAAKGG-UHFFFAOYSA-N 0 3 208.330 2.580 20 0 BFADHN Cc1cc(CN2C[C@@H](C)CC23CC3)sn1 ZINC001233313177 978539907 /nfs/dbraw/zinc/53/99/07/978539907.db2.gz IATXZEZAPYJLBZ-VIFPVBQESA-N 0 3 222.357 2.826 20 0 BFADHN Cc1cnsc1CN1CCC2(CC2)CC1 ZINC001233318710 978551525 /nfs/dbraw/zinc/55/15/25/978551525.db2.gz NWSRACKMEYWWIP-UHFFFAOYSA-N 0 3 222.357 2.828 20 0 BFADHN CC(C)N(C)Cc1cnc2cccc(Cl)n12 ZINC001233355738 978571078 /nfs/dbraw/zinc/57/10/78/978571078.db2.gz KSSLJFGCEHKLHA-UHFFFAOYSA-N 0 3 237.734 2.828 20 0 BFADHN CC[C@H]1CCCN1Cc1cc(F)c(F)cc1N ZINC001233381221 978594317 /nfs/dbraw/zinc/59/43/17/978594317.db2.gz UYPLYIXRKYGVSC-JTQLQIEISA-N 0 3 240.297 2.921 20 0 BFADHN CN(Cc1cncc(Cl)n1)C1CCCC1 ZINC001233449407 978601283 /nfs/dbraw/zinc/60/12/83/978601283.db2.gz CLKVEWNKIMPNHA-UHFFFAOYSA-N 0 3 225.723 2.504 20 0 BFADHN CN1CC[C@H](Oc2ccccc2OCC2CC2)C1 ZINC001233507887 978615051 /nfs/dbraw/zinc/61/50/51/978615051.db2.gz LDXLFRWCAYHMNY-ZDUSSCGKSA-N 0 3 247.338 2.558 20 0 BFADHN CC1(C)C[C@@H]1[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001178932248 978643724 /nfs/dbraw/zinc/64/37/24/978643724.db2.gz WWJKNBJYIOKKHF-NSHDSACASA-N 0 3 225.719 2.934 20 0 BFADHN Cc1c(F)ccc(O[C@H]2CCN(C)C2)c1C ZINC001233862153 978714957 /nfs/dbraw/zinc/71/49/57/978714957.db2.gz BRAKHXOZDOLYQP-NSHDSACASA-N 0 3 223.291 2.525 20 0 BFADHN CC[NH+](CC)C[C@H](C)Oc1cccc([O-])c1F ZINC001233868376 978718112 /nfs/dbraw/zinc/71/81/12/978718112.db2.gz RFTWEOTZMFQOIL-JTQLQIEISA-N 0 3 241.306 2.640 20 0 BFADHN O=C1CCC[C@@H]2CN(Cc3ccccc3F)C[C@H]12 ZINC001203360176 978728485 /nfs/dbraw/zinc/72/84/85/978728485.db2.gz UJACRHCDUYTKPD-YPMHNXCESA-N 0 3 247.313 2.627 20 0 BFADHN Cc1cc(CNC2(c3ccccc3)CCC2)n[nH]1 ZINC001338751115 978729704 /nfs/dbraw/zinc/72/97/04/978729704.db2.gz KWLVVWLALVTUOW-UHFFFAOYSA-N 0 3 241.338 2.887 20 0 BFADHN Cc1ncc(O[C@@H]2CCCN(C)C2)cc1Cl ZINC001233937036 978735331 /nfs/dbraw/zinc/73/53/31/978735331.db2.gz VVJBVWFTBFPVHT-SNVBAGLBSA-N 0 3 240.734 2.516 20 0 BFADHN CC[C@H](C)Oc1cccc2c1CCN(C)C2 ZINC001234420359 978832681 /nfs/dbraw/zinc/83/26/81/978832681.db2.gz QJWNVQMWGSSISS-NSHDSACASA-N 0 3 219.328 2.852 20 0 BFADHN CN1CCC[C@@H](Oc2cccc3ncsc32)C1 ZINC001234478798 978846721 /nfs/dbraw/zinc/84/67/21/978846721.db2.gz UYUIIQZVIPEYCI-SNVBAGLBSA-N 0 3 248.351 2.769 20 0 BFADHN CCCn1cncc1CN(CC)CC1CC1 ZINC001180291886 978858905 /nfs/dbraw/zinc/85/89/05/978858905.db2.gz HEIKMMCMDMTHDH-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CC[C@@H]1CC[C@@H](NCc2cc(C)on2)C1 ZINC000070643737 978861510 /nfs/dbraw/zinc/86/15/10/978861510.db2.gz OOMLGIXQJXCKEG-GHMZBOCLSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H]3CCC[C@H]3C2)[nH]1 ZINC001234608861 978872523 /nfs/dbraw/zinc/87/25/23/978872523.db2.gz MCNMPJKMHQFJNW-OLZOCXBDSA-N 0 3 233.359 2.649 20 0 BFADHN CCc1cccc(OC)c1OC1CCN(C)CC1 ZINC001234640117 978882798 /nfs/dbraw/zinc/88/27/98/978882798.db2.gz NXPSIEWWNVPBEX-UHFFFAOYSA-N 0 3 249.354 2.731 20 0 BFADHN C[C@@H]1CCCN(Cc2c(N)cccc2F)C1 ZINC000070961454 978945310 /nfs/dbraw/zinc/94/53/10/978945310.db2.gz JODCJMHWSFIHGX-SNVBAGLBSA-N 0 3 222.307 2.640 20 0 BFADHN CCN(Cc1c(N)cccc1F)C(C)C ZINC000070961579 978947364 /nfs/dbraw/zinc/94/73/64/978947364.db2.gz DCTJLWQHVZSGRL-UHFFFAOYSA-N 0 3 210.296 2.638 20 0 BFADHN C[C@H]1CCCCN1Cc1c(N)cccc1F ZINC000070961448 978947494 /nfs/dbraw/zinc/94/74/94/978947494.db2.gz SDEYRMNTGIZLPO-JTQLQIEISA-N 0 3 222.307 2.782 20 0 BFADHN C1=CCN(Cc2cccc(N3CCCC3)c2)C1 ZINC001235089757 978973685 /nfs/dbraw/zinc/97/36/85/978973685.db2.gz QQFHIGTXSOVLMF-UHFFFAOYSA-N 0 3 228.339 2.659 20 0 BFADHN Fc1cccc2cc(CN3C[C@@H]4C[C@@H]4C3)[nH]c21 ZINC001235242557 979004888 /nfs/dbraw/zinc/00/48/88/979004888.db2.gz ZQCMFXFFVXFUPI-PHIMTYICSA-N 0 3 230.286 2.759 20 0 BFADHN CCc1cccc(CN2CC[C@@](C)(CF)C2)n1 ZINC001235253962 979010863 /nfs/dbraw/zinc/01/08/63/979010863.db2.gz LJPXVZZUOIQWQQ-AWEZNQCLSA-N 0 3 236.334 2.826 20 0 BFADHN CCc1cccc(CN2C[C@@H]3C[C@H]2C[C@H]3F)n1 ZINC001235257372 979011667 /nfs/dbraw/zinc/01/16/67/979011667.db2.gz JEGMRAYMOOGJAE-LEWSCRJBSA-N 0 3 234.318 2.576 20 0 BFADHN CCc1cccc(CN2CCC[C@H](F)CC2)n1 ZINC001235255376 979012510 /nfs/dbraw/zinc/01/25/10/979012510.db2.gz RWEINAZURYAORR-LBPRGKRZSA-N 0 3 236.334 2.968 20 0 BFADHN CCc1cccc(CN2CC[C@H](OC)C[C@@H]2C)n1 ZINC001235272896 979017956 /nfs/dbraw/zinc/01/79/56/979017956.db2.gz XDWSFWWOAJDFJT-WFASDCNBSA-N 0 3 248.370 2.643 20 0 BFADHN Cc1ncn(C)c1CN1CCC[C@H]2CCCC[C@H]21 ZINC001235546634 979083635 /nfs/dbraw/zinc/08/36/35/979083635.db2.gz AIPOUQZTECDEBQ-ZIAGYGMSSA-N 0 3 247.386 2.883 20 0 BFADHN CC[C@H]1CCC[C@@H](CN2CC(C)(OC)C2)C1 ZINC001181544666 979147580 /nfs/dbraw/zinc/14/75/80/979147580.db2.gz VGUIASYHBPIUGR-QWHCGFSZSA-N 0 3 225.376 2.924 20 0 BFADHN CC(C)C[C@H](N)C(=O)Nc1ccc(C(C)C)nc1 ZINC001341127406 979245116 /nfs/dbraw/zinc/24/51/16/979245116.db2.gz QSIZNZIRQAQEIL-LBPRGKRZSA-N 0 3 249.358 2.517 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1ncccn1 ZINC001341360557 979351332 /nfs/dbraw/zinc/35/13/32/979351332.db2.gz PYQFJRGOWNYEOR-IJLUTSLNSA-N 0 3 219.332 2.706 20 0 BFADHN c1cc2nsnc2c(CN2CC[C@H]2C2CC2)c1 ZINC001181912957 979371686 /nfs/dbraw/zinc/37/16/86/979371686.db2.gz KNQXMKCABNBYIP-LBPRGKRZSA-N 0 3 245.351 2.676 20 0 BFADHN CC/C=C\CCCCCN1CC[C@@H]1NC ZINC001236164717 979412715 /nfs/dbraw/zinc/41/27/15/979412715.db2.gz BRGGJVTYFIPZNE-DSYXLKISSA-N 0 3 210.365 2.764 20 0 BFADHN CN[C@H]1CCN1CC1(c2ccccc2)CCCC1 ZINC001236165322 979418619 /nfs/dbraw/zinc/41/86/19/979418619.db2.gz CRGIQWZTDAUKIQ-OAHLLOKOSA-N 0 3 244.382 2.750 20 0 BFADHN CN[C@@H]1CCN1CCCc1cccc(Cl)c1 ZINC001236168114 979421426 /nfs/dbraw/zinc/42/14/26/979421426.db2.gz SYJANIMUPUBDJM-ZDUSSCGKSA-N 0 3 238.762 2.524 20 0 BFADHN CN[C@H]1CCN1CC[C@@H]1CC=C(C)C1(C)C ZINC001236165392 979422023 /nfs/dbraw/zinc/42/20/23/979422023.db2.gz DIQHRPCDMAQSIY-QWHCGFSZSA-N 0 3 222.376 2.620 20 0 BFADHN CN(C)Cc1ccnc(C2=CCSCC2)c1 ZINC001236361367 979552623 /nfs/dbraw/zinc/55/26/23/979552623.db2.gz IPGILMBDCZAPAT-UHFFFAOYSA-N 0 3 234.368 2.664 20 0 BFADHN CCN(Cc1cc(C)nc(C)c1)[C@@H]1CCCOC1 ZINC001182724858 979620946 /nfs/dbraw/zinc/62/09/46/979620946.db2.gz CPYIBSCBAZLNLD-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1cc(Cl)c(CN2CCNC2)c(Cl)c1 ZINC001236851218 979756604 /nfs/dbraw/zinc/75/66/04/979756604.db2.gz RYSOYWZDMZKNKP-UHFFFAOYSA-N 0 3 245.153 2.665 20 0 BFADHN Cc1ccc(-c2ccc(CN3CCNC3)cc2)o1 ZINC001236850397 979761521 /nfs/dbraw/zinc/76/15/21/979761521.db2.gz LLEAAHMIYMHMRA-UHFFFAOYSA-N 0 3 242.322 2.618 20 0 BFADHN Fc1ccc(CN2CCCOCC2)c2occc21 ZINC001236891916 979782993 /nfs/dbraw/zinc/78/29/93/979782993.db2.gz XIBYVSJPDNXREZ-UHFFFAOYSA-N 0 3 249.285 2.794 20 0 BFADHN FC1CC[NH+](Cc2occc2[S-])CC1 ZINC001237179720 979826914 /nfs/dbraw/zinc/82/69/14/979826914.db2.gz FIJQTKQYPQLPEK-UHFFFAOYSA-N 0 3 215.293 2.502 20 0 BFADHN CC[C@@H]1CC[N@H+](Cc2occc2[S-])C1 ZINC001237187459 979837283 /nfs/dbraw/zinc/83/72/83/979837283.db2.gz PAAIFZDLEWQXJD-SECBINFHSA-N 0 3 211.330 2.800 20 0 BFADHN CC[C@@H]1CC[N@@H+](Cc2occc2[S-])C1 ZINC001237187459 979837284 /nfs/dbraw/zinc/83/72/84/979837284.db2.gz PAAIFZDLEWQXJD-SECBINFHSA-N 0 3 211.330 2.800 20 0 BFADHN Fc1ccccc1CN1CCNC2=CCCC[C@H]21 ZINC001237221454 979851244 /nfs/dbraw/zinc/85/12/44/979851244.db2.gz WUURHEKVKXLNTE-OAHLLOKOSA-N 0 3 246.329 2.667 20 0 BFADHN CC1CC([N@H+](C)Cc2cc([O-])cc(F)c2)C1 ZINC001237277916 979861046 /nfs/dbraw/zinc/86/10/46/979861046.db2.gz QWFJDORFHITFLS-UHFFFAOYSA-N 0 3 223.291 2.762 20 0 BFADHN CC1CC([N@@H+](C)Cc2cc([O-])cc(F)c2)C1 ZINC001237277916 979861049 /nfs/dbraw/zinc/86/10/49/979861049.db2.gz QWFJDORFHITFLS-UHFFFAOYSA-N 0 3 223.291 2.762 20 0 BFADHN CCN(CC)Cc1ccncc1C(F)(F)F ZINC001237289514 979862069 /nfs/dbraw/zinc/86/20/69/979862069.db2.gz UNXOCGZPDZOSLI-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN CCc1cccc(C)c1CN1CCCC12COC2 ZINC001237328092 979869843 /nfs/dbraw/zinc/86/98/43/979869843.db2.gz VETQZQPUPQVZLH-UHFFFAOYSA-N 0 3 245.366 2.922 20 0 BFADHN CC[C@H](C)N(C)Cc1n[nH]c2cc(OC)ccc21 ZINC001237385769 979882323 /nfs/dbraw/zinc/88/23/23/979882323.db2.gz CJQAZOFFLBJJPW-JTQLQIEISA-N 0 3 247.342 2.802 20 0 BFADHN CCCN(Cc1cc2ccccn2n1)CC1CC1 ZINC001237444140 979895278 /nfs/dbraw/zinc/89/52/78/979895278.db2.gz XPBZRJAQLQZXEC-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN CC(C)c1cccc(CN2C[C@@H]3C[C@H]2CN3C)c1 ZINC001237460164 979901762 /nfs/dbraw/zinc/90/17/62/979901762.db2.gz JYTSBXNCMFQVDB-HOTGVXAUSA-N 0 3 244.382 2.698 20 0 BFADHN CC(C)c1cccc(CN2CCN3CC[C@H]3C2)c1 ZINC001237458433 979903404 /nfs/dbraw/zinc/90/34/04/979903404.db2.gz ATBXSKCBUJMQLP-INIZCTEOSA-N 0 3 244.382 2.700 20 0 BFADHN CC(C)c1cccc(CN2CC(C)(N(C)C)C2)c1 ZINC001237463958 979906447 /nfs/dbraw/zinc/90/64/47/979906447.db2.gz ZISDVTALFJRHSC-UHFFFAOYSA-N 0 3 246.398 2.946 20 0 BFADHN FC[C@H]1CCCN(Cc2ccn3nccc3c2)C1 ZINC001237538716 979932768 /nfs/dbraw/zinc/93/27/68/979932768.db2.gz HVQOJHPZCTTXOT-CYBMUJFWSA-N 0 3 247.317 2.516 20 0 BFADHN COc1ccc(C)c(CN2CC3(CCC3)C2)c1 ZINC001237706698 980028124 /nfs/dbraw/zinc/02/81/24/980028124.db2.gz DXVGXSKGHPCRCO-UHFFFAOYSA-N 0 3 231.339 2.990 20 0 BFADHN CCc1ncc(CN2CCCC23CC3)s1 ZINC001237821592 980069360 /nfs/dbraw/zinc/06/93/60/980069360.db2.gz QGBMJMHDGZUTEM-UHFFFAOYSA-N 0 3 222.357 2.834 20 0 BFADHN COc1ccoc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001237870094 980104321 /nfs/dbraw/zinc/10/43/21/980104321.db2.gz UZGJVYVIURMGEQ-NEPJUHHUSA-N 0 3 235.327 2.910 20 0 BFADHN CC(C)Oc1ccc(F)c(CCN(C)C)c1F ZINC001250159567 980114615 /nfs/dbraw/zinc/11/46/15/980114615.db2.gz FRTKDINQPJVBQA-UHFFFAOYSA-N 0 3 243.297 2.856 20 0 BFADHN CCN(C)Cc1ccccc1Oc1cnccn1 ZINC001238012425 980123152 /nfs/dbraw/zinc/12/31/52/980123152.db2.gz WNXJJVGJRMKWCI-UHFFFAOYSA-N 0 3 243.310 2.721 20 0 BFADHN CCN(C)Cc1cccc(N2CCCCC2)n1 ZINC001237997723 980126262 /nfs/dbraw/zinc/12/62/62/980126262.db2.gz HCSANYUOBLPHDZ-UHFFFAOYSA-N 0 3 233.359 2.524 20 0 BFADHN Cc1ncncc1CN1CCC2(CCCC2)CC1 ZINC001238167505 980197231 /nfs/dbraw/zinc/19/72/31/980197231.db2.gz LVSIJRQUBJWVLX-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN F[C@@H]1C[C@@H]2C[C@H]1CN2Cc1cncc(C2CC2)c1 ZINC001238184007 980215499 /nfs/dbraw/zinc/21/54/99/980215499.db2.gz HDAPZPSXGTVXFR-SOUVJXGZSA-N 0 3 246.329 2.891 20 0 BFADHN F[C@H]1C[C@@H]2C[C@H]1CN2Cc1cncc(C2CC2)c1 ZINC001238192626 980220520 /nfs/dbraw/zinc/22/05/20/980220520.db2.gz HDAPZPSXGTVXFR-KKUMJFAQSA-N 0 3 246.329 2.891 20 0 BFADHN Cc1cc(CN2CCCC2)cnc1OC(C)C ZINC001238373235 980242784 /nfs/dbraw/zinc/24/27/84/980242784.db2.gz BZNSWTXBZLCJMP-UHFFFAOYSA-N 0 3 234.343 2.773 20 0 BFADHN CC(C)Oc1cncc(CN2CCC[C@@H]2C)c1 ZINC001238464182 980271674 /nfs/dbraw/zinc/27/16/74/980271674.db2.gz LKPGGRQSVXNLPZ-LBPRGKRZSA-N 0 3 234.343 2.853 20 0 BFADHN CC(C)Oc1cncc(CN2CC[C@H](C)C2)c1 ZINC001238463235 980272775 /nfs/dbraw/zinc/27/27/75/980272775.db2.gz UPLUWNAYONGXOE-LBPRGKRZSA-N 0 3 234.343 2.711 20 0 BFADHN OC[C@@H]1CCCN1Cc1ccc(F)c(C2CC2)c1 ZINC001238500018 980282042 /nfs/dbraw/zinc/28/20/42/980282042.db2.gz YVPJZBDXZXBAJV-ZDUSSCGKSA-N 0 3 249.329 2.660 20 0 BFADHN CCOC1CC(N(C)Cc2cccn2C2CC2)C1 ZINC001344070406 980335583 /nfs/dbraw/zinc/33/55/83/980335583.db2.gz RKDKAUBUWCXHFR-UHFFFAOYSA-N 0 3 248.370 2.822 20 0 BFADHN CC(C)n1ccc(-c2cccc(CN)n2)c1 ZINC001239065850 980433705 /nfs/dbraw/zinc/43/37/05/980433705.db2.gz ALVXVKUGHOVYHF-UHFFFAOYSA-N 0 3 215.300 2.590 20 0 BFADHN Cc1cccc(CN(C)Cc2cc[nH]c2)c1 ZINC000271050997 980540696 /nfs/dbraw/zinc/54/06/96/980540696.db2.gz PPBGABYPWPNOJJ-UHFFFAOYSA-N 0 3 214.312 2.955 20 0 BFADHN CN(C)/C=C/c1ccncc1-c1cccnc1 ZINC001239571645 980759767 /nfs/dbraw/zinc/75/97/67/980759767.db2.gz OXEFAACATJPOSD-RMKNXTFCSA-N 0 3 225.295 2.676 20 0 BFADHN c1ncn2cc(Nc3cnc4ccccn34)ccc12 ZINC001250327166 980769334 /nfs/dbraw/zinc/76/93/34/980769334.db2.gz KFQSOQLMZYBBPI-UHFFFAOYSA-N 0 3 249.277 2.726 20 0 BFADHN CCCCCN(C)Cc1[nH]c(CC)nc1C ZINC001203234702 980796716 /nfs/dbraw/zinc/79/67/16/980796716.db2.gz OSJSYTPYSMWCAL-UHFFFAOYSA-N 0 3 223.364 2.903 20 0 BFADHN CC(C)CC(=O)CCN1CCC(C(F)F)CC1 ZINC000828015743 980808306 /nfs/dbraw/zinc/80/83/06/980808306.db2.gz LIVOEFVTKRPRRC-UHFFFAOYSA-N 0 3 247.329 2.969 20 0 BFADHN c1cc2cc(-c3ccc4c(n3)CNCC4)ccc2[nH]1 ZINC001239692820 980852925 /nfs/dbraw/zinc/85/29/25/980852925.db2.gz CCTLTWQRAXEMGS-UHFFFAOYSA-N 0 3 249.317 2.876 20 0 BFADHN CCc1ccccc1-c1ccc(CN)nc1 ZINC001239744148 980890548 /nfs/dbraw/zinc/89/05/48/980890548.db2.gz YZEGUZBIPTZCBE-UHFFFAOYSA-N 0 3 212.296 2.770 20 0 BFADHN Cc1cnc(C)c(-c2nccc(N)c2C)c1 ZINC001239893463 981023390 /nfs/dbraw/zinc/02/33/90/981023390.db2.gz YEMGNGFVNMRGNH-UHFFFAOYSA-N 0 3 213.284 2.651 20 0 BFADHN CC(C)Oc1cccc(-c2cccc(CN)n2)c1 ZINC001239967653 981070497 /nfs/dbraw/zinc/07/04/97/981070497.db2.gz XGIUZEZKJXBLJN-UHFFFAOYSA-N 0 3 242.322 2.995 20 0 BFADHN Cc1ccc(CN2CCC3(CCCO3)CC2)o1 ZINC001203293972 981160234 /nfs/dbraw/zinc/16/02/34/981160234.db2.gz NGCBUIZSUVWHHY-UHFFFAOYSA-N 0 3 235.327 2.733 20 0 BFADHN Cc1ccc(CNCc2cccn2C2CC2)cn1 ZINC001347781143 981171957 /nfs/dbraw/zinc/17/19/57/981171957.db2.gz BVAJATMARVOGGO-UHFFFAOYSA-N 0 3 241.338 2.816 20 0 BFADHN Cc1cccc(C(C)(C)NCC2=NOCC2)c1 ZINC001192681827 981180223 /nfs/dbraw/zinc/18/02/23/981180223.db2.gz RHBZRSOPOPPCFI-UHFFFAOYSA-N 0 3 232.327 2.596 20 0 BFADHN Cc1nnc(CN[C@H](C)C2CCCCCC2)[nH]1 ZINC001193259919 981276933 /nfs/dbraw/zinc/27/69/33/981276933.db2.gz AEWGQLQJFSRBGS-SNVBAGLBSA-N 0 3 236.363 2.562 20 0 BFADHN Cc1nc(C)c(CNC2CC3(CC3(F)F)C2)o1 ZINC001348385881 981349051 /nfs/dbraw/zinc/34/90/51/981349051.db2.gz BFIYPJMTMXPBEN-UHFFFAOYSA-N 0 3 242.269 2.569 20 0 BFADHN Cc1cc(-c2cccc(CN)n2)c(C)s1 ZINC001240427709 981377109 /nfs/dbraw/zinc/37/71/09/981377109.db2.gz TUMROJLAXYAZBQ-UHFFFAOYSA-N 0 3 218.325 2.886 20 0 BFADHN Nc1ccnc(-c2cccc(N3CCCC3)c2)c1 ZINC001240532744 981468519 /nfs/dbraw/zinc/46/85/19/981468519.db2.gz BHRMMLYGZYDTET-UHFFFAOYSA-N 0 3 239.322 2.931 20 0 BFADHN CC[C@H](C)[C@@H](CN(C)CCC(F)(F)F)OC ZINC001349595986 981591044 /nfs/dbraw/zinc/59/10/44/981591044.db2.gz DRUBDORKUQZLOL-VHSXEESVSA-N 0 3 241.297 2.932 20 0 BFADHN F[C@@H]1CCCC[C@@H]1NCc1cccc2c[nH]nc21 ZINC001349624690 981599326 /nfs/dbraw/zinc/59/93/26/981599326.db2.gz JQDSGHGDDFVJKH-OLZOCXBDSA-N 0 3 247.317 2.933 20 0 BFADHN Fc1ccc(C2CN(CCC[C@H]3CCO3)C2)cc1 ZINC001349626763 981600171 /nfs/dbraw/zinc/60/01/71/981600171.db2.gz UQEOUCYQOBIAFJ-HNNXBMFYSA-N 0 3 249.329 2.794 20 0 BFADHN CC[C@H](C)[C@H](C)N1C[C@H](C)[S@](=O)[C@@H](CC)C1 ZINC001194241383 981614583 /nfs/dbraw/zinc/61/45/83/981614583.db2.gz RBYHAFKQDLAVES-YTORKDELSA-N 0 3 245.432 2.652 20 0 BFADHN Cc1cc(-c2cncc3cc[nH]c32)ccn1 ZINC001240717073 981731041 /nfs/dbraw/zinc/73/10/41/981731041.db2.gz QOYSAKAPSWOAFK-UHFFFAOYSA-N 0 3 209.252 2.933 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN(C1CC1)C1COC1 ZINC001194598553 981837728 /nfs/dbraw/zinc/83/77/28/981837728.db2.gz CJLWRRWKUSTMFI-NWDGAFQWSA-N 0 3 223.360 2.676 20 0 BFADHN NCc1cccc(-c2ccc3cc[nH]c3c2)n1 ZINC001240795457 981838543 /nfs/dbraw/zinc/83/85/43/981838543.db2.gz UDDBLDKQYYMMMX-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN CCC[C@H](CC)NC(=O)CN(C)CC(C)(C)C ZINC001584139405 981855604 /nfs/dbraw/zinc/85/56/04/981855604.db2.gz VRERGCSMKUVLNM-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN C=Cc1ccc([C@@H]2CCCCN2C)cn1 ZINC001240829685 981868652 /nfs/dbraw/zinc/86/86/52/981868652.db2.gz QMKRCJNBJUBXLG-ZDUSSCGKSA-N 0 3 202.301 2.881 20 0 BFADHN C[C@@H]1CN(Cc2cccn2C2CC2)[C@H]1C ZINC001350328825 981927014 /nfs/dbraw/zinc/92/70/14/981927014.db2.gz YEEDYZZIUIZGQS-MNOVXSKESA-N 0 3 204.317 2.663 20 0 BFADHN C[C@H]1CN(Cc2cccn2C2CC2)[C@H]1C ZINC001350328826 981929229 /nfs/dbraw/zinc/92/92/29/981929229.db2.gz YEEDYZZIUIZGQS-QWRGUYRKSA-N 0 3 204.317 2.663 20 0 BFADHN NCc1cccc(-c2cccc3ncccc32)n1 ZINC001240935079 982006539 /nfs/dbraw/zinc/00/65/39/982006539.db2.gz RYJHYYKSVSGQAO-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN NCc1ccc(-c2cccc3ncccc32)cn1 ZINC001240938042 982010073 /nfs/dbraw/zinc/01/00/73/982010073.db2.gz NYHYDBMAQPNSCB-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN CCOc1cc(F)cc(-c2ccnc(CN)c2)c1 ZINC001241025863 982122390 /nfs/dbraw/zinc/12/23/90/982122390.db2.gz XOZUXOPPSXABLX-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN Cc1ccc(-c2ccc3[nH]c(N)nc3c2)c(O)c1 ZINC001241043405 982143934 /nfs/dbraw/zinc/14/39/34/982143934.db2.gz MABYHFRIIRPVGU-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN Cc1ccc(-c2ccc3nc(N)[nH]c3c2)c(O)c1 ZINC001241043405 982143942 /nfs/dbraw/zinc/14/39/42/982143942.db2.gz MABYHFRIIRPVGU-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN Cc1ccc(-c2cncc(CN(C)C)c2)c(O)c1 ZINC001241044501 982147675 /nfs/dbraw/zinc/14/76/75/982147675.db2.gz BZTYQXIATGBGHY-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN CCOc1cc(-c2ccnc(CN)c2)ccc1F ZINC001241068948 982187460 /nfs/dbraw/zinc/18/74/60/982187460.db2.gz NYGYQKPFQGNQEY-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN CCOc1ccc(-c2cccc(CN)n2)cc1F ZINC001241085232 982201269 /nfs/dbraw/zinc/20/12/69/982201269.db2.gz AXKPPLANACTYPM-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN NCc1ccc(-c2cc(F)cc(F)c2F)cn1 ZINC001241094846 982205816 /nfs/dbraw/zinc/20/58/16/982205816.db2.gz KAOQGZHZGFICGN-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN CCOc1ccc(F)cc1-c1cccc(CN)n1 ZINC001241101009 982209226 /nfs/dbraw/zinc/20/92/26/982209226.db2.gz MLKGLWCJYLUMHS-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN Cc1cccc(CCN(C)Cc2cnoc2)c1 ZINC001205703563 982264598 /nfs/dbraw/zinc/26/45/98/982264598.db2.gz FUUHSEOXAYAHPR-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN CCOc1ccc(C)cc1-c1cnccc1N ZINC001241146283 982278788 /nfs/dbraw/zinc/27/87/88/982278788.db2.gz MHWMJRAOZARYST-UHFFFAOYSA-N 0 3 228.295 2.868 20 0 BFADHN NCc1cccc(-c2c(F)cc(F)cc2F)n1 ZINC001241168633 982309257 /nfs/dbraw/zinc/30/92/57/982309257.db2.gz PGSJYKUMWSQANB-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN Cc1ccc(CN2C[C@H]3CCCC[C@H]32)nc1 ZINC001195834207 982362486 /nfs/dbraw/zinc/36/24/86/982362486.db2.gz MNVNFNIGBDMLRO-TZMCWYRMSA-N 0 3 216.328 2.764 20 0 BFADHN CN(CC1=NOCC1)C(C)(C)c1ccccc1 ZINC001195843310 982367576 /nfs/dbraw/zinc/36/75/76/982367576.db2.gz LZPDSKYLKKLMNZ-UHFFFAOYSA-N 0 3 232.327 2.630 20 0 BFADHN CCOc1cccc(F)c1-c1ccc(CN)nc1 ZINC001241252341 982391593 /nfs/dbraw/zinc/39/15/93/982391593.db2.gz UJVZRLZJNPIRKP-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN CC(=O)c1ccc(-c2nccc(N)c2C)cc1F ZINC001241256716 982394130 /nfs/dbraw/zinc/39/41/30/982394130.db2.gz GGLUTRUXPAHMHH-UHFFFAOYSA-N 0 3 244.269 2.981 20 0 BFADHN Cn1nc2c(c1CN1CCCC(C)(C)C1)CCC2 ZINC001351948064 982415392 /nfs/dbraw/zinc/41/53/92/982415392.db2.gz INLQDGFFSSNBIF-UHFFFAOYSA-N 0 3 247.386 2.531 20 0 BFADHN [O-]c1ccc(C[NH2+]C23CCC(CC2)C3)cc1F ZINC001352017532 982438527 /nfs/dbraw/zinc/43/85/27/982438527.db2.gz VRTZQHMHHZPQLR-UHFFFAOYSA-N 0 3 235.302 2.954 20 0 BFADHN Cc1cc2c(ncnc2C2=CCN(C)CC2)s1 ZINC001241307306 982441663 /nfs/dbraw/zinc/44/16/63/982441663.db2.gz CPBBOENXUYGMBH-UHFFFAOYSA-N 0 3 245.351 2.719 20 0 BFADHN CSc1cnc(C2=CCN(C)CC2)c(C)c1 ZINC001241312739 982460640 /nfs/dbraw/zinc/46/06/40/982460640.db2.gz LAFPRPDLDDUOOO-UHFFFAOYSA-N 0 3 234.368 2.831 20 0 BFADHN CSc1ccnc(C2=CCN(C)CC2)c1 ZINC001241312971 982464081 /nfs/dbraw/zinc/46/40/81/982464081.db2.gz QNKLYFJZOWTLQW-UHFFFAOYSA-N 0 3 220.341 2.522 20 0 BFADHN COC(=O)c1ccc(C2=CCN(C)CC2)cc1C ZINC001241317235 982474621 /nfs/dbraw/zinc/47/46/21/982474621.db2.gz LFNCAOJZZJUFDF-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN C[C@@H]1Cc2cc(C3=CCN(C)CC3)ccc2O1 ZINC001241319472 982476235 /nfs/dbraw/zinc/47/62/35/982476235.db2.gz KJIXOXDJBKMRBI-LLVKDONJSA-N 0 3 229.323 2.729 20 0 BFADHN CCOc1cc(F)ccc1-c1ccc(CN)nc1 ZINC001241319152 982477094 /nfs/dbraw/zinc/47/70/94/982477094.db2.gz AQLXETCGCMNTSJ-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN COc1cccc(C2=CCN(C)CC2)c1C ZINC001241321808 982480033 /nfs/dbraw/zinc/48/00/33/982480033.db2.gz DYPWLRVGNRXGEX-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN CN1CC=C(c2ccc3ncoc3c2)CC1 ZINC001241322856 982482831 /nfs/dbraw/zinc/48/28/31/982482831.db2.gz UHDIQTKVUJAIGO-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN CN1CC=C(c2ccc3cnoc3c2)CC1 ZINC001241323091 982485426 /nfs/dbraw/zinc/48/54/26/982485426.db2.gz YPJSMJBWYWQUDT-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN Cc1ccc(O)c(-c2nc3ccc(F)cc3[nH]2)n1 ZINC001251034883 982485945 /nfs/dbraw/zinc/48/59/45/982485945.db2.gz MTESBCMVCVGOSI-UHFFFAOYSA-N 0 3 243.241 2.778 20 0 BFADHN NCc1cccc(-c2cc(Cl)ccc2F)n1 ZINC001241328661 982488177 /nfs/dbraw/zinc/48/81/77/982488177.db2.gz BRWIHWRTGXZBHH-UHFFFAOYSA-N 0 3 236.677 3.000 20 0 BFADHN CN1CC=C(c2ccc3c(c2)OCCCO3)CC1 ZINC001241327115 982488987 /nfs/dbraw/zinc/48/89/87/982488987.db2.gz GPSJHNUXYQKQJV-UHFFFAOYSA-N 0 3 245.322 2.567 20 0 BFADHN CCOC(=N)c1ccc(C2=CCN(C)CC2)cc1 ZINC001241330249 982490852 /nfs/dbraw/zinc/49/08/52/982490852.db2.gz MKEYJARGFRKTBL-UHFFFAOYSA-N 0 3 244.338 2.767 20 0 BFADHN CN1CC=C(c2ccc(OC(C)(C)C)cn2)CC1 ZINC001241330466 982494041 /nfs/dbraw/zinc/49/40/41/982494041.db2.gz SEPUVRPPMHSNFH-UHFFFAOYSA-N 0 3 246.354 2.978 20 0 BFADHN CCOCc1ccc(C2=CCN(C)CC2)cc1 ZINC001241330258 982494085 /nfs/dbraw/zinc/49/40/85/982494085.db2.gz MVLIKKCPAISCMT-UHFFFAOYSA-N 0 3 231.339 2.942 20 0 BFADHN CCc1cncc(-c2ccc3[nH]c(N)nc3c2)c1 ZINC001241375131 982536992 /nfs/dbraw/zinc/53/69/92/982536992.db2.gz MJCMNQRKGPLEKR-UHFFFAOYSA-N 0 3 238.294 2.770 20 0 BFADHN CCc1cncc(-c2ccc3nc(N)[nH]c3c2)c1 ZINC001241375131 982536996 /nfs/dbraw/zinc/53/69/96/982536996.db2.gz MJCMNQRKGPLEKR-UHFFFAOYSA-N 0 3 238.294 2.770 20 0 BFADHN CCc1cncc(-c2cncc(CN(C)C)c2)c1 ZINC001241380072 982540357 /nfs/dbraw/zinc/54/03/57/982540357.db2.gz GRRHPKDUYMZIFA-UHFFFAOYSA-N 0 3 241.338 2.768 20 0 BFADHN Cc1c(N)ccnc1-c1cccc2c1cnn2C ZINC001241449943 982606140 /nfs/dbraw/zinc/60/61/40/982606140.db2.gz SCPHZGIWSSWARW-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN CCC(CC)CN(CC)C(C)(C)C(=O)OC ZINC001198043027 982653765 /nfs/dbraw/zinc/65/37/65/982653765.db2.gz TWTMPKBAPVEORX-UHFFFAOYSA-N 0 3 229.364 2.696 20 0 BFADHN Cc1cc(C2=CCCN(C)C2)nc2cc[nH]c21 ZINC001241565523 982805417 /nfs/dbraw/zinc/80/54/17/982805417.db2.gz LUZVVTISKWWKEM-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CN1CCC=C(c2ccc3c(n2)CCCC3)C1 ZINC001241566233 982810126 /nfs/dbraw/zinc/81/01/26/982810126.db2.gz VYRYUJFESPQNLN-UHFFFAOYSA-N 0 3 228.339 2.679 20 0 BFADHN C[C@@H]1Cc2cc(C3=CCCN(C)C3)ccc2O1 ZINC001241568178 982813478 /nfs/dbraw/zinc/81/34/78/982813478.db2.gz CRFGAALYXKDLTF-LLVKDONJSA-N 0 3 229.323 2.729 20 0 BFADHN CCOC(=N)c1ccc(C2=CCCN(C)C2)cc1 ZINC001241570396 982818458 /nfs/dbraw/zinc/81/84/58/982818458.db2.gz IPAGFUQZGCOWQG-UHFFFAOYSA-N 0 3 244.338 2.767 20 0 BFADHN CN1CCC=C(c2ccc3ocnc3c2)C1 ZINC001241570188 982819578 /nfs/dbraw/zinc/81/95/78/982819578.db2.gz WJZHRGHECRNKDB-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN CN1CCC=C(c2cnc3occc3c2)C1 ZINC001241570187 982820313 /nfs/dbraw/zinc/82/03/13/982820313.db2.gz WJTWMRAKCVRLGQ-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN Cc1ncc2ccc(C3=CCCN(C)C3)cc2n1 ZINC001241571067 982824547 /nfs/dbraw/zinc/82/45/47/982824547.db2.gz QEVVXQDKVNFDIM-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN COc1cccc(C2=CCCN(C)C2)c1C ZINC001241570730 982824676 /nfs/dbraw/zinc/82/46/76/982824676.db2.gz PCLLMZRXRLTQQJ-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN Cc1n[nH]c2ccc(-c3cc(N)ccn3)cc12 ZINC001241665528 982903932 /nfs/dbraw/zinc/90/39/32/982903932.db2.gz FAGFQXYLIHEWHL-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN NCc1ccc(-c2ccc3ccncc3c2)cn1 ZINC001241676482 982927693 /nfs/dbraw/zinc/92/76/93/982927693.db2.gz WBHKOMCPFNBEEN-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN c1nc2ccc(-c3cc4[nH]cnc4cn3)cc2[nH]1 ZINC001241685269 982932151 /nfs/dbraw/zinc/93/21/51/982932151.db2.gz GYPBFAFWPANQGJ-UHFFFAOYSA-N 0 3 235.250 2.501 20 0 BFADHN COc1ccc(-c2cscn2)cc1CN(C)C ZINC001241891945 983108715 /nfs/dbraw/zinc/10/87/15/983108715.db2.gz QAROTRUCHABBDS-UHFFFAOYSA-N 0 3 248.351 2.880 20 0 BFADHN C=Cc1ccccc1-c1cc(CNC)ncn1 ZINC001242001594 983194872 /nfs/dbraw/zinc/19/48/72/983194872.db2.gz XSPKSSASPFUDRI-UHFFFAOYSA-N 0 3 225.295 2.506 20 0 BFADHN CO[C@@H](C)c1cccc(-c2ccnc(CN)c2)c1 ZINC001242034439 983248072 /nfs/dbraw/zinc/24/80/72/983248072.db2.gz KDTUDILBBWPPMD-NSHDSACASA-N 0 3 242.322 2.915 20 0 BFADHN CC(C)=CCC[C@H](C)CN1CC[C@@H](O)[C@@H](F)C1 ZINC001201488026 983256736 /nfs/dbraw/zinc/25/67/36/983256736.db2.gz WTBHIZSNIZFSPB-MELADBBJSA-N 0 3 243.366 2.774 20 0 BFADHN O=c1ccc(-c2ccc(CN3CCC3)cc2)c[nH]1 ZINC001242064994 983286044 /nfs/dbraw/zinc/28/60/44/983286044.db2.gz DJNUVNBPRXOYIU-UHFFFAOYSA-N 0 3 240.306 2.660 20 0 BFADHN CCC[C@H](C)CN(C)Cc1cnc(C)nc1 ZINC001201552519 983305997 /nfs/dbraw/zinc/30/59/97/983305997.db2.gz DSSHCJRKBVTRBP-NSHDSACASA-N 0 3 221.348 2.653 20 0 BFADHN COC[C@H](NCC1CC(C)C1)c1ccc(C)o1 ZINC001354594772 983327036 /nfs/dbraw/zinc/32/70/36/983327036.db2.gz NNFCEYZNCUWMQG-GDKBPFBDSA-N 0 3 237.343 2.911 20 0 BFADHN CC[C@@]1(C)CN(CCCc2cccnc2)CCO1 ZINC001354685217 983344176 /nfs/dbraw/zinc/34/41/76/983344176.db2.gz DQWNODKPGOMWAA-HNNXBMFYSA-N 0 3 248.370 2.515 20 0 BFADHN CC[C@]1(C)CN(CCCc2cccnc2)CCO1 ZINC001354685218 983344790 /nfs/dbraw/zinc/34/47/90/983344790.db2.gz DQWNODKPGOMWAA-OAHLLOKOSA-N 0 3 248.370 2.515 20 0 BFADHN CCN1CCc2ccc(OC(F)(F)F)cc2C1 ZINC001201587281 983348280 /nfs/dbraw/zinc/34/82/80/983348280.db2.gz ACTNEBVJTUCXAR-UHFFFAOYSA-N 0 3 245.244 2.963 20 0 BFADHN CC(C)[C@@H]1COCCN1CCc1ccccc1 ZINC001201604400 983373356 /nfs/dbraw/zinc/37/33/56/983373356.db2.gz VRRSGMIFZHTGCI-HNNXBMFYSA-N 0 3 233.355 2.586 20 0 BFADHN CNCc1cc(-c2cccc(Cl)c2)ncn1 ZINC001242144236 983377189 /nfs/dbraw/zinc/37/71/89/983377189.db2.gz NAOFXBINUVXDBD-UHFFFAOYSA-N 0 3 233.702 2.516 20 0 BFADHN Cc1cnc(-c2ccccc2N(C)C)cc1N ZINC001242178458 983395837 /nfs/dbraw/zinc/39/58/37/983395837.db2.gz JFJWXDSBFQDTKK-UHFFFAOYSA-N 0 3 227.311 2.705 20 0 BFADHN C[C@H]1CN(CCCc2ccccc2)CCCC1=O ZINC001201653154 983403632 /nfs/dbraw/zinc/40/36/32/983403632.db2.gz WKXHNVPQUFJINE-AWEZNQCLSA-N 0 3 245.366 2.920 20 0 BFADHN [NH3+]Cc1ccc(-c2ccc(F)cc2[O-])cc1 ZINC001242216724 983416410 /nfs/dbraw/zinc/41/64/10/983416410.db2.gz DPJXJBGPVHVQBV-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN CCCCCN1CC[C@](C)(F)[C@@H](F)C1 ZINC001201725912 983433152 /nfs/dbraw/zinc/43/31/52/983433152.db2.gz VYPXCQUSXACEQP-QWRGUYRKSA-N 0 3 205.292 2.949 20 0 BFADHN CCCCCCN1CCN(c2cccnc2)CC1 ZINC001201743154 983441912 /nfs/dbraw/zinc/44/19/12/983441912.db2.gz LMJKVDZYISFLPE-UHFFFAOYSA-N 0 3 247.386 2.784 20 0 BFADHN Cc1cccc(-c2ccc3c(n2)CNCC3)c1F ZINC001242236654 983445344 /nfs/dbraw/zinc/44/53/44/983445344.db2.gz HMJUNLAWYNBAPM-UHFFFAOYSA-N 0 3 242.297 2.842 20 0 BFADHN Cc1cc(-c2cccc(CN)n2)ccc1N(C)C ZINC001242240617 983453313 /nfs/dbraw/zinc/45/33/13/983453313.db2.gz JYUIOIGZRYMISY-UHFFFAOYSA-N 0 3 241.338 2.582 20 0 BFADHN C1=CCN(Cc2ccc3c(c2)CCCO3)CC1 ZINC001355280326 983458906 /nfs/dbraw/zinc/45/89/06/983458906.db2.gz RBKSBMKBKKVAFA-UHFFFAOYSA-N 0 3 229.323 2.774 20 0 BFADHN NCc1cccc(-c2cccc3cc[nH]c32)n1 ZINC001242358755 983643044 /nfs/dbraw/zinc/64/30/44/983643044.db2.gz ADLDMTRWCKLGSA-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN FC(F)(F)CCCN1CCC[C@]2(CCO2)C1 ZINC001202424524 983650020 /nfs/dbraw/zinc/65/00/20/983650020.db2.gz IVZRIMRHIOOIRH-JTQLQIEISA-N 0 3 237.265 2.584 20 0 BFADHN NCc1cc(-c2cccc3cc[nH]c32)ccn1 ZINC001242363296 983652978 /nfs/dbraw/zinc/65/29/78/983652978.db2.gz GZVXXROQUGEQRS-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN FC(F)(F)CCCN1CCc2ccncc2C1 ZINC001202425022 983656512 /nfs/dbraw/zinc/65/65/12/983656512.db2.gz SRAFPSXFRNGJKX-UHFFFAOYSA-N 0 3 244.260 2.782 20 0 BFADHN CC(C)n1cc(-c2cncc(CN(C)C)c2)cn1 ZINC001242438058 983744168 /nfs/dbraw/zinc/74/41/68/983744168.db2.gz KWBMHXPRHQKHNI-UHFFFAOYSA-N 0 3 244.342 2.588 20 0 BFADHN CC(C)n1cc(CN2C[C@@H](C)CC23CC3)cn1 ZINC001137081409 983921326 /nfs/dbraw/zinc/92/13/26/983921326.db2.gz GTRSJPYWSRGSEY-LBPRGKRZSA-N 0 3 233.359 2.838 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2C[C@@H]2C2CCCC2)n1 ZINC001560621968 983938856 /nfs/dbraw/zinc/93/88/56/983938856.db2.gz CAHCOHSEWABTFI-CHWSQXEVSA-N 0 3 233.359 2.634 20 0 BFADHN Clc1ccc(CN2CCC[C@@H]3C[C@@H]32)cn1 ZINC001137169636 984022471 /nfs/dbraw/zinc/02/24/71/984022471.db2.gz KWXFNIVCASSGSR-MNOVXSKESA-N 0 3 222.719 2.719 20 0 BFADHN NCc1cccc(-c2ccccc2OC2CC2)n1 ZINC001242791742 984162131 /nfs/dbraw/zinc/16/21/31/984162131.db2.gz NMOQANAXTUSAEA-UHFFFAOYSA-N 0 3 240.306 2.749 20 0 BFADHN CN(CCc1ccccc1F)Cc1ccc[nH]1 ZINC001204011376 984174283 /nfs/dbraw/zinc/17/42/83/984174283.db2.gz OTWGDNDUMPWQGS-UHFFFAOYSA-N 0 3 232.302 2.828 20 0 BFADHN NCc1cccc(-c2cccc(OC3CC3)c2)n1 ZINC001242803574 984175928 /nfs/dbraw/zinc/17/59/28/984175928.db2.gz SPHPNXUETRYJPW-UHFFFAOYSA-N 0 3 240.306 2.749 20 0 BFADHN C[C@@H]1CCC(C)(C)C[C@@H]1NCc1ncccn1 ZINC000717441345 984197225 /nfs/dbraw/zinc/19/72/25/984197225.db2.gz ZKRSRALZESZDNA-NEPJUHHUSA-N 0 3 233.359 2.781 20 0 BFADHN C=C(c1ccccc1)c1ccc2c(n1)CNCC2 ZINC001242890944 984228299 /nfs/dbraw/zinc/22/82/99/984228299.db2.gz VZHIAXDRPHVGAR-UHFFFAOYSA-N 0 3 236.318 2.789 20 0 BFADHN CCOc1ccc(F)c(-c2ccnc(CN)c2)c1 ZINC001242934933 984305123 /nfs/dbraw/zinc/30/51/23/984305123.db2.gz HGZJRJVVMJNFDN-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN C[C@H](NC/C(Cl)=C\Cl)[C@@H]1CCCOC1 ZINC000389302292 984327507 /nfs/dbraw/zinc/32/75/07/984327507.db2.gz MMXAAMNWYIITBW-MSQCDERJSA-N 0 3 238.158 2.710 20 0 BFADHN C[C@H](NC/C(Cl)=C/Cl)[C@@H]1CCCOC1 ZINC000389302288 984327781 /nfs/dbraw/zinc/32/77/81/984327781.db2.gz MMXAAMNWYIITBW-BWGNNSGVSA-N 0 3 238.158 2.710 20 0 BFADHN C[C@H](NC/C(Cl)=C/Cl)[C@H]1CCCOC1 ZINC000389302290 984328931 /nfs/dbraw/zinc/32/89/31/984328931.db2.gz MMXAAMNWYIITBW-KWXWZAANSA-N 0 3 238.158 2.710 20 0 BFADHN Cc1c(CO)cccc1-c1nccc2c1CCN2 ZINC001243014103 984369828 /nfs/dbraw/zinc/36/98/28/984369828.db2.gz LUKGJDXHXCWYAM-UHFFFAOYSA-N 0 3 240.306 2.517 20 0 BFADHN [O-]c1cc(-c2ccc3c(c2)C[NH2+]CC3)ccc1F ZINC001243048494 984393657 /nfs/dbraw/zinc/39/36/57/984393657.db2.gz GWURUBMTGXEENN-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN [O-]c1cc(-c2cccc3c2C[NH2+]CC3)ccc1F ZINC001243050980 984407179 /nfs/dbraw/zinc/40/71/79/984407179.db2.gz JPSSOYIRALYUDB-UHFFFAOYSA-N 0 3 243.281 2.844 20 0 BFADHN Cc1occc1-c1cccc(CN(C)C)n1 ZINC001243065838 984473765 /nfs/dbraw/zinc/47/37/65/984473765.db2.gz JJFDEJRUWPPSMK-UHFFFAOYSA-N 0 3 216.284 2.712 20 0 BFADHN NCc1cccc(-c2cc3ccccc3[nH]2)n1 ZINC001243104571 984543806 /nfs/dbraw/zinc/54/38/06/984543806.db2.gz QSLXCRBWLVIICM-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN C[C@@H](Cc1ccncc1)N1CCCC[C@@H](F)C1 ZINC001256735694 984803693 /nfs/dbraw/zinc/80/36/93/984803693.db2.gz JAFSDCWCWHIYMF-GXTWGEPZSA-N 0 3 236.334 2.837 20 0 BFADHN CCC[C@@H](CC)N1CCN(C)c2ncccc2C1 ZINC001257262941 984862413 /nfs/dbraw/zinc/86/24/13/984862413.db2.gz OINWIMWTDMSBRA-CQSZACIVSA-N 0 3 247.386 2.912 20 0 BFADHN CN(C)Cc1ccnc(-c2ccncc2Cl)c1 ZINC001243384434 984873038 /nfs/dbraw/zinc/87/30/38/984873038.db2.gz KVLUSSGCASPCPH-UHFFFAOYSA-N 0 3 247.729 2.859 20 0 BFADHN CCC(CC)N1CCc2nc(C3CC3)ncc2C1 ZINC001257302994 984875242 /nfs/dbraw/zinc/87/52/42/984875242.db2.gz ITSVQQKVZKNLOG-UHFFFAOYSA-N 0 3 245.370 2.901 20 0 BFADHN CN(C)Cc1cncc(-c2ccncc2Cl)c1 ZINC001243388243 984879278 /nfs/dbraw/zinc/87/92/78/984879278.db2.gz MIASOKXLJCDBPD-UHFFFAOYSA-N 0 3 247.729 2.859 20 0 BFADHN CCC[C@H](C)NCc1cn(CC2CCC2)cn1 ZINC001257312601 984886756 /nfs/dbraw/zinc/88/67/56/984886756.db2.gz DRKSOCHCPCEZLD-LBPRGKRZSA-N 0 3 235.375 2.961 20 0 BFADHN CCCC(CCC)N1CCc2cnn(C)c2C1 ZINC001257340541 984908177 /nfs/dbraw/zinc/90/81/77/984908177.db2.gz JMXWMCRDGWSSHC-UHFFFAOYSA-N 0 3 235.375 2.747 20 0 BFADHN CCCCCC[C@@H](C)N1CCCN(C)C(=O)C1 ZINC001257368724 984936192 /nfs/dbraw/zinc/93/61/92/984936192.db2.gz ODHJLWPJCZTOSS-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN COC/C(C)=C\CN(C)[C@H](C)c1ccncc1 ZINC000930119962 984948793 /nfs/dbraw/zinc/94/87/93/984948793.db2.gz SZCDJTIHICMUGD-FFXRNRBCSA-N 0 3 234.343 2.667 20 0 BFADHN CCCC[C@H](CC)N1CC[C@](F)(C(=O)OC)C1 ZINC001257419414 984978835 /nfs/dbraw/zinc/97/88/35/984978835.db2.gz WLNPVAJYDQICKL-WCQYABFASA-N 0 3 245.338 2.542 20 0 BFADHN CC[C@H](C)n1nc(-c2ccccc2)cc1CN ZINC001257428845 985000206 /nfs/dbraw/zinc/00/02/06/985000206.db2.gz DDTHTIODTSWFCU-NSHDSACASA-N 0 3 229.327 2.980 20 0 BFADHN Cc1cnc(CN(C)[C@H](C)C(C)(C)C)cn1 ZINC000686011536 985037637 /nfs/dbraw/zinc/03/76/37/985037637.db2.gz LTVCUKNZFMNBRP-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN CCOc1cc(-c2cc(N)ccn2)ccc1OC ZINC001243538683 985071372 /nfs/dbraw/zinc/07/13/72/985071372.db2.gz MYRNWDHIJXEDMY-UHFFFAOYSA-N 0 3 244.294 2.738 20 0 BFADHN CCC(C)(C)CCNCc1ncc(Cl)n1C ZINC000686303747 985098437 /nfs/dbraw/zinc/09/84/37/985098437.db2.gz WKQGSEABLDGBKR-UHFFFAOYSA-N 0 3 243.782 2.989 20 0 BFADHN Cc1ccc(CNC[C@@H](O)CC(C)C)c(F)c1 ZINC000686492654 985123445 /nfs/dbraw/zinc/12/34/45/985123445.db2.gz QQAACZNZQDSEJI-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN CCCCC[C@@H](C)N1CCC[C@H]1C(=O)OCC ZINC001257514134 985143800 /nfs/dbraw/zinc/14/38/00/985143800.db2.gz BDPAOBZIFOEBAB-OLZOCXBDSA-N 0 3 241.375 2.983 20 0 BFADHN Cc1c(N)ccnc1-c1cccnc1C1CC1 ZINC001243684855 985204290 /nfs/dbraw/zinc/20/42/90/985204290.db2.gz JVBAMHBFVWXKMG-UHFFFAOYSA-N 0 3 225.295 2.912 20 0 BFADHN Oc1cc(O)cc(CN2CC[C@@H]3CCC[C@H]3C2)c1 ZINC001138325923 985214356 /nfs/dbraw/zinc/21/43/56/985214356.db2.gz YZXHFRTXUMBMDX-STQMWFEESA-N 0 3 247.338 2.720 20 0 BFADHN Cc1cc(CO)cc(-c2cc(N(C)C)ccn2)c1 ZINC001243700440 985232822 /nfs/dbraw/zinc/23/28/22/985232822.db2.gz ZLIJOBJIXCAPOA-UHFFFAOYSA-N 0 3 242.322 2.615 20 0 BFADHN Cc1cc(F)ncc1-c1cncc(CN(C)C)c1 ZINC001243761460 985300628 /nfs/dbraw/zinc/30/06/28/985300628.db2.gz FTYFXQAULRFPFQ-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN CC(C)C[C@H](C)C[C@@H](C)N1CC[C@@H](O)[C@H](F)C1 ZINC001258063769 985366160 /nfs/dbraw/zinc/36/61/60/985366160.db2.gz NXZGAHQXROLRQL-REWJHTLYSA-N 0 3 245.382 2.852 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)N1CC[C@@H](O)[C@@H](F)C1 ZINC001258063779 985372579 /nfs/dbraw/zinc/37/25/79/985372579.db2.gz NXZGAHQXROLRQL-ZOBORPQBSA-N 0 3 245.382 2.852 20 0 BFADHN CO[C@@H]1CN([C@@H](C)CCC=C(C)C)C[C@@H]1F ZINC001258086461 985388895 /nfs/dbraw/zinc/38/88/95/985388895.db2.gz TVLURYKCYIPKLL-RWMBFGLXSA-N 0 3 229.339 2.790 20 0 BFADHN CCO[C@@H]1CCN(Cc2cc(C)ccc2OC)C1 ZINC001138487490 985390562 /nfs/dbraw/zinc/39/05/62/985390562.db2.gz WREYIJAXMZEPEK-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CO[C@@H]1CC=C(c2cc(N(C)C)ccn2)CC1 ZINC001243810723 985401069 /nfs/dbraw/zinc/40/10/69/985401069.db2.gz YQDDVFBWQMIHNU-CYBMUJFWSA-N 0 3 232.327 2.730 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CCc2ncncc2C1 ZINC001258100003 985417568 /nfs/dbraw/zinc/41/75/68/985417568.db2.gz UPSPKHBALXPZNP-CYBMUJFWSA-N 0 3 245.370 2.970 20 0 BFADHN Cn1ccc(CNCCCCC(C)(C)C)n1 ZINC000163877319 985450911 /nfs/dbraw/zinc/45/09/11/985450911.db2.gz JYRDCHMJSYDZHH-UHFFFAOYSA-N 0 3 223.364 2.726 20 0 BFADHN CCN(C#N)CCN(C)CCC1CCCCC1 ZINC001459406645 985496274 /nfs/dbraw/zinc/49/62/74/985496274.db2.gz OWDGWWKHBHMDNZ-UHFFFAOYSA-N 0 3 237.391 2.692 20 0 BFADHN NCc1ccc(-c2cc(C3CC3)cnc2F)cn1 ZINC001243860757 985505819 /nfs/dbraw/zinc/50/58/19/985505819.db2.gz LBLPTIKXEUISCJ-UHFFFAOYSA-N 0 3 243.285 2.619 20 0 BFADHN CSCC[C@@H](C)NCC(=O)c1ccccc1 ZINC001258202020 985612167 /nfs/dbraw/zinc/61/21/67/985612167.db2.gz GTTMXNFWMSFDAH-LLVKDONJSA-N 0 3 237.368 2.601 20 0 BFADHN COC(=O)c1cccc(C2=CNCCC2)c1C ZINC001243948764 985629143 /nfs/dbraw/zinc/62/91/43/985629143.db2.gz AWSGJHTXAJXROB-UHFFFAOYSA-N 0 3 231.295 2.506 20 0 BFADHN CCOc1ncc(C2=CNCCC2)cc1C ZINC001243949317 985633510 /nfs/dbraw/zinc/63/35/10/985633510.db2.gz ATNWOZCMJQTWHJ-UHFFFAOYSA-N 0 3 218.300 2.513 20 0 BFADHN Cc1cccc([C@H](C)NCC2=NOCC2)c1C ZINC001459859499 985639344 /nfs/dbraw/zinc/63/93/44/985639344.db2.gz BLGYYGXITHUHDR-LBPRGKRZSA-N 0 3 232.327 2.730 20 0 BFADHN COC(=O)c1c(C)cc(C2=CNCCC2)cc1C ZINC001243949733 985642683 /nfs/dbraw/zinc/64/26/83/985642683.db2.gz HYZURBVQJGPJEC-UHFFFAOYSA-N 0 3 245.322 2.814 20 0 BFADHN Fc1cc(C2=CNCCC2)c(C2CC2)cn1 ZINC001243949953 985645660 /nfs/dbraw/zinc/64/56/60/985645660.db2.gz PVDNZFYSOQJRGG-UHFFFAOYSA-N 0 3 218.275 2.822 20 0 BFADHN c1cc(C2=CNCCC2)cc(C2CC2)n1 ZINC001243950954 985651914 /nfs/dbraw/zinc/65/19/14/985651914.db2.gz AWYUIDHQPPPISM-UHFFFAOYSA-N 0 3 200.285 2.683 20 0 BFADHN c1cc(OC2CCC2)ncc1C1=CNCCC1 ZINC001243952061 985653467 /nfs/dbraw/zinc/65/34/67/985653467.db2.gz OFXFXCHESFULJW-UHFFFAOYSA-N 0 3 230.311 2.737 20 0 BFADHN COc1cnc2cc(C3=CNCCC3)ccc2c1 ZINC001243951410 985655068 /nfs/dbraw/zinc/65/50/68/985655068.db2.gz GMPMOWZVKSYJAS-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN Cc1[nH]c2ncc(C3=CNCCC3)cc2c1C ZINC001243951498 985656805 /nfs/dbraw/zinc/65/68/05/985656805.db2.gz XJFZAAAHYDLUNE-UHFFFAOYSA-N 0 3 227.311 2.904 20 0 BFADHN c1cc(C2=CNCCC2)cc(OCC2CCC2)n1 ZINC001243952871 985664079 /nfs/dbraw/zinc/66/40/79/985664079.db2.gz XHRDEJRMCQPWAN-UHFFFAOYSA-N 0 3 244.338 2.985 20 0 BFADHN Cn1cc2c(n1)CCN(C1CCCCCCC1)C2 ZINC001258240881 985698826 /nfs/dbraw/zinc/69/88/26/985698826.db2.gz UGOAPEGPOZJXRI-UHFFFAOYSA-N 0 3 247.386 2.891 20 0 BFADHN CO[C@@H]1CN([C@@H](C)CC(C)(C)SC)C[C@@H]1F ZINC001258333798 985811290 /nfs/dbraw/zinc/81/12/90/985811290.db2.gz BCMAJDXZZUKRDW-GARJFASQSA-N 0 3 249.395 2.575 20 0 BFADHN COc1c(C)cc(C)cc1-c1cccc(CN)n1 ZINC001244102900 985813945 /nfs/dbraw/zinc/81/39/45/985813945.db2.gz DFFSBPUTDBIRLA-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN FC(F)(F)OCCCN1C[C@H]2CCCC[C@H]21 ZINC001460350669 985830761 /nfs/dbraw/zinc/83/07/61/985830761.db2.gz XDGSVQLIVRIKNA-NXEZZACHSA-N 0 3 237.265 2.787 20 0 BFADHN Cc1ncc(C2CC2)cc1-c1ccc(CN)nc1 ZINC001244143675 985841158 /nfs/dbraw/zinc/84/11/58/985841158.db2.gz UBADYTCVOBASCW-UHFFFAOYSA-N 0 3 239.322 2.788 20 0 BFADHN Cc1cc(C)c(-c2cc(N)c(C)cn2)cn1 ZINC001244146079 985847552 /nfs/dbraw/zinc/84/75/52/985847552.db2.gz FNCNZAHLVCBVQA-UHFFFAOYSA-N 0 3 213.284 2.651 20 0 BFADHN COC(=O)CC[C@@H](C)N1CCCC[C@](C)(F)C1 ZINC001258366611 985848538 /nfs/dbraw/zinc/84/85/38/985848538.db2.gz SWAUETBBRQZYEC-YPMHNXCESA-N 0 3 245.338 2.542 20 0 BFADHN CCCCC[C@H](CC)N1CCC12COC2 ZINC001258447314 985888804 /nfs/dbraw/zinc/88/88/04/985888804.db2.gz YXBYCURHTAEMEL-LBPRGKRZSA-N 0 3 211.349 2.820 20 0 BFADHN CCC[C@H]1CCCN1Cc1n[nH]c(C(C)C)n1 ZINC001460902415 985934117 /nfs/dbraw/zinc/93/41/17/985934117.db2.gz SJADLOHOGXTLTH-NSHDSACASA-N 0 3 236.363 2.693 20 0 BFADHN CC(C)c1cc(-c2cccc(CN)n2)ccc1N ZINC001244306736 985943254 /nfs/dbraw/zinc/94/32/54/985943254.db2.gz ATFOOGSHCDJNHB-UHFFFAOYSA-N 0 3 241.338 2.913 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ncccc1C)C1CCC1 ZINC001460935653 985949918 /nfs/dbraw/zinc/94/99/18/985949918.db2.gz KZNBDWPZDZZGJR-OCCSQVGLSA-N 0 3 248.370 2.856 20 0 BFADHN CCC(CC)NCc1cn(-c2ccccc2)nn1 ZINC001461003829 985993951 /nfs/dbraw/zinc/99/39/51/985993951.db2.gz UULIYZFSJRIEMV-UHFFFAOYSA-N 0 3 244.342 2.546 20 0 BFADHN CCn1cnc(Cl)c1CNC[C@H]1CC1(C)C ZINC001461186016 986023268 /nfs/dbraw/zinc/02/32/68/986023268.db2.gz NQAAXYHZZIMIJB-SECBINFHSA-N 0 3 241.766 2.692 20 0 BFADHN Cc1cc(CNCC=Cc2cccc(F)c2)[nH]n1 ZINC001461231125 986024327 /nfs/dbraw/zinc/02/43/27/986024327.db2.gz LRJOHAYOKPJTTO-HYXAFXHYSA-N 0 3 245.301 2.660 20 0 BFADHN Cc1cc(CNCC=Cc2cccc(F)c2)n[nH]1 ZINC001461231125 986024338 /nfs/dbraw/zinc/02/43/38/986024338.db2.gz LRJOHAYOKPJTTO-HYXAFXHYSA-N 0 3 245.301 2.660 20 0 BFADHN CCN(CC)c1ccc(-n2cnc(C)c2N)cc1 ZINC001212969119 986085915 /nfs/dbraw/zinc/08/59/15/986085915.db2.gz NSFYGCDIOIWXAF-UHFFFAOYSA-N 0 3 244.342 2.609 20 0 BFADHN CCCn1cncc1CN1CCC(CC)CC1 ZINC001461856155 986206579 /nfs/dbraw/zinc/20/65/79/986206579.db2.gz YTYRITZVVGAOCS-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CC[C@H](C[C@@H](C)CC)N1CCC(=O)CC1 ZINC001258789107 986216318 /nfs/dbraw/zinc/21/63/18/986216318.db2.gz VWGRSSMSGWWITO-NWDGAFQWSA-N 0 3 211.349 2.866 20 0 BFADHN Cc1cc(-c2[nH+]ccc(N)c2C)cc([O-])c1F ZINC001244507777 986219931 /nfs/dbraw/zinc/21/99/31/986219931.db2.gz YYILDNNLJDCCBW-UHFFFAOYSA-N 0 3 232.258 2.792 20 0 BFADHN Cc1cc(-c2ccc([C@H](C)[NH3+])nc2)cc([O-])c1F ZINC001244525351 986227760 /nfs/dbraw/zinc/22/77/60/986227760.db2.gz LPNKOYSPLMVZHZ-VIFPVBQESA-N 0 3 246.285 2.921 20 0 BFADHN Cc1cc(-c2ccccc2C[NH3+])cc([O-])c1F ZINC001244520785 986228169 /nfs/dbraw/zinc/22/81/69/986228169.db2.gz MGPSTNIOVSHTJQ-UHFFFAOYSA-N 0 3 231.270 2.965 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)n1cnc2c1CNCC2 ZINC001258793063 986230837 /nfs/dbraw/zinc/23/08/37/986230837.db2.gz ZYWJXLBJUZEYRN-VXGBXAGGSA-N 0 3 235.375 2.916 20 0 BFADHN Cc1cc(Cl)c(N)c(-c2cccc(CN)n2)c1 ZINC001244557098 986243766 /nfs/dbraw/zinc/24/37/66/986243766.db2.gz RVDXOAMOGMFQAW-UHFFFAOYSA-N 0 3 247.729 2.751 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cn(C2CC2)cn1 ZINC001461959366 986245634 /nfs/dbraw/zinc/24/56/34/986245634.db2.gz MZPSHKQYLLARJJ-YPMHNXCESA-N 0 3 233.359 2.838 20 0 BFADHN Cc1cc(C)c(N)c(-c2nccc3c2CCN3)c1 ZINC001244591003 986274578 /nfs/dbraw/zinc/27/45/78/986274578.db2.gz MSUCEPBMMJQPIO-UHFFFAOYSA-N 0 3 239.322 2.916 20 0 BFADHN Cc1ccc(CCN(C(C)C)C2COC2)cc1C ZINC001462272115 986333240 /nfs/dbraw/zinc/33/32/40/986333240.db2.gz NIMKOCFDLFQJLJ-UHFFFAOYSA-N 0 3 247.382 2.955 20 0 BFADHN CN(C)c1cc(F)cc(-c2cc(N)ccn2)c1 ZINC001244797920 986345412 /nfs/dbraw/zinc/34/54/12/986345412.db2.gz NTPPNSHKCYQLIS-UHFFFAOYSA-N 0 3 231.274 2.536 20 0 BFADHN CC(=O)[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001139064147 986348110 /nfs/dbraw/zinc/34/81/10/986348110.db2.gz QWXXRCMAHIUQLU-ZDUSSCGKSA-N 0 3 243.306 2.844 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2cn(C3CC3)cn2)[C@H]1C ZINC001462352546 986357889 /nfs/dbraw/zinc/35/78/89/986357889.db2.gz AOLUTYLCHPUXDF-UTUOFQBUSA-N 0 3 233.359 2.694 20 0 BFADHN C[C@@H]1C[C@@H]([NH2+]Cc2ccc([O-])c(F)c2)CS1 ZINC000397808905 986471371 /nfs/dbraw/zinc/47/13/71/986471371.db2.gz FYEARLQBYWDBLE-PSASIEDQSA-N 0 3 241.331 2.515 20 0 BFADHN Cc1c(O)cccc1-c1cc(CN(C)C)ccn1 ZINC001244957204 986518688 /nfs/dbraw/zinc/51/86/88/986518688.db2.gz SQWKNPVBLHPAGG-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN Cc1c(F)ccc(F)c1-c1ccnc(CN)c1 ZINC001244982860 986577097 /nfs/dbraw/zinc/57/70/97/986577097.db2.gz LMDSVDUQQIUGDS-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN Cc1ccc(CN[C@H]2CCCOC2)c(F)c1F ZINC001254364033 986579560 /nfs/dbraw/zinc/57/95/60/986579560.db2.gz MNPFWSGUUDLZEY-NSHDSACASA-N 0 3 241.281 2.542 20 0 BFADHN CNCc1cc(-c2ccc(Cl)cc2C)ncn1 ZINC001245086138 986656914 /nfs/dbraw/zinc/65/69/14/986656914.db2.gz XUAVJZXFGDBJCT-UHFFFAOYSA-N 0 3 247.729 2.825 20 0 BFADHN Cc1c(CN(C)CCC2CC2)nc2ccccn12 ZINC001137274401 986710262 /nfs/dbraw/zinc/71/02/62/986710262.db2.gz SRVVFMHJYSCZJT-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CC[C@@H](O)CN[C@H](C)c1cccc(Cl)c1F ZINC000381724136 986737214 /nfs/dbraw/zinc/73/72/14/986737214.db2.gz MIRIZEDJWCVXQW-RKDXNWHRSA-N 0 3 245.725 2.901 20 0 BFADHN Cc1cc(C)c(CN2CCC(C)(F)CC2)[nH]1 ZINC001137322885 986777044 /nfs/dbraw/zinc/77/70/44/986777044.db2.gz UAAGECFWALBYBD-UHFFFAOYSA-N 0 3 224.323 2.956 20 0 BFADHN Cc1c(N)ccnc1-c1cn(C)c2cnccc12 ZINC001245487023 986867466 /nfs/dbraw/zinc/86/74/66/986867466.db2.gz MPRCZPHFCSLCNF-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN FC1CC2(C1)CCCN(Cc1cncnc1)CC2 ZINC001137669162 986889839 /nfs/dbraw/zinc/88/98/39/986889839.db2.gz DGVBGWJLFMHSJR-UHFFFAOYSA-N 0 3 249.333 2.581 20 0 BFADHN CCCC[C@H](C)N[C@H]1c2ccccc2CNC1=O ZINC001255315014 986910682 /nfs/dbraw/zinc/91/06/82/986910682.db2.gz ZVXBBNRMBYTUQZ-FZMZJTMJSA-N 0 3 246.354 2.526 20 0 BFADHN CCCC[C@@H](C)N[C@H]1c2ccccc2CNC1=O ZINC001255315015 986911878 /nfs/dbraw/zinc/91/18/78/986911878.db2.gz ZVXBBNRMBYTUQZ-RISCZKNCSA-N 0 3 246.354 2.526 20 0 BFADHN Cc1ccc2[nH]c(-c3cnccc3N)cc2c1 ZINC001245571993 986967513 /nfs/dbraw/zinc/96/75/13/986967513.db2.gz OOEDSBGVFQKCJS-UHFFFAOYSA-N 0 3 223.279 2.951 20 0 BFADHN Cc1ccc2[nH]c(-c3cccc(CN)n3)cc2c1 ZINC001245571653 986967639 /nfs/dbraw/zinc/96/76/39/986967639.db2.gz WLGDQGIOIOPETH-UHFFFAOYSA-N 0 3 237.306 2.997 20 0 BFADHN Nc1ccnc(-c2ccc(-c3ncco3)cc2)c1 ZINC001245618733 987007444 /nfs/dbraw/zinc/00/74/44/987007444.db2.gz SQBFTLSJNSAVBW-UHFFFAOYSA-N 0 3 237.262 2.986 20 0 BFADHN COC[C@@H](C)N[C@@H](CC(C)C)c1ccccn1 ZINC001255563646 987015122 /nfs/dbraw/zinc/01/51/22/987015122.db2.gz PNKHXYNOKQBRHE-OCCSQVGLSA-N 0 3 236.359 2.793 20 0 BFADHN COC[C@H](C)N[C@H](C)c1cccc(F)c1OC ZINC001255566972 987019303 /nfs/dbraw/zinc/01/93/03/987019303.db2.gz QSRRNAQKLLQPAJ-VHSXEESVSA-N 0 3 241.306 2.520 20 0 BFADHN [NH3+]Cc1cccc(-c2c([O-])cccc2F)c1 ZINC001245653029 987027503 /nfs/dbraw/zinc/02/75/03/987027503.db2.gz IKGWQFGQGQOCFN-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN [NH3+]Cc1ccccc1-c1c([O-])cccc1F ZINC001245655704 987034565 /nfs/dbraw/zinc/03/45/65/987034565.db2.gz KNVOHNLKFLJWOB-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN C[C@H](CC(F)(F)F)N1CCC(F)CC1 ZINC001255646237 987041773 /nfs/dbraw/zinc/04/17/73/987041773.db2.gz MQGWNUBGTDJZAG-SSDOTTSWSA-N 0 3 213.218 2.761 20 0 BFADHN C[C@@H](CC(F)(F)F)N1CCC[C@@]2(CCO2)C1 ZINC001255654547 987042863 /nfs/dbraw/zinc/04/28/63/987042863.db2.gz FHRPAIORKAKYKR-VHSXEESVSA-N 0 3 237.265 2.582 20 0 BFADHN COc1cc(C)c(-c2cccc(CN)n2)c(C)c1 ZINC001245677305 987054512 /nfs/dbraw/zinc/05/45/12/987054512.db2.gz IEKBLXAOCPATSM-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN Cc1cc(-c2nccc(N)c2C)c(C)cc1CO ZINC001245697460 987066539 /nfs/dbraw/zinc/06/65/39/987066539.db2.gz OPCNCKBTIQUVID-UHFFFAOYSA-N 0 3 242.322 2.748 20 0 BFADHN CCc1cc(-c2cccc(CN)n2)ccc1OC ZINC001245787488 987083841 /nfs/dbraw/zinc/08/38/41/987083841.db2.gz BKODLESQIKHNPE-UHFFFAOYSA-N 0 3 242.322 2.778 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cnc(C)n2C)s1 ZINC000689709056 987093955 /nfs/dbraw/zinc/09/39/55/987093955.db2.gz CQNZOVJHZULTCM-SNVBAGLBSA-N 0 3 249.383 2.949 20 0 BFADHN c1ncn2cc(-c3cccn4cncc34)ccc12 ZINC001245896919 987119432 /nfs/dbraw/zinc/11/94/32/987119432.db2.gz JMUGUQNVPUXNMU-UHFFFAOYSA-N 0 3 234.262 2.649 20 0 BFADHN CC(C)CC[C@H](C)n1ccc([C@@H](C)N)n1 ZINC001256355892 987174402 /nfs/dbraw/zinc/17/44/02/987174402.db2.gz DVAYJGPMDPFCAG-WDEREUQCSA-N 0 3 209.337 2.900 20 0 BFADHN CC(C)CC[C@@H](C)N1CC[C@@](C)(O)[C@@H](F)C1 ZINC001256366929 987182470 /nfs/dbraw/zinc/18/24/70/987182470.db2.gz SYSAUAHUIBHEEE-FRRDWIJNSA-N 0 3 231.355 2.606 20 0 BFADHN Cn1cnc(CNCCCC2CCCCC2)c1 ZINC000694052723 987322022 /nfs/dbraw/zinc/32/20/22/987322022.db2.gz FNDLUSNTBSQKIN-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN CC[C@H]1c2ccccc2CCN1CC1=NOCC1 ZINC001473169109 987351871 /nfs/dbraw/zinc/35/18/71/987351871.db2.gz UUZJMPMKKBMXPD-HNNXBMFYSA-N 0 3 244.338 2.772 20 0 BFADHN CC[C@@H]1CCN(Cc2cc(OC)ns2)[C@@H]1C ZINC001473401971 987421566 /nfs/dbraw/zinc/42/15/66/987421566.db2.gz GMQHRBFYLCBVAH-NXEZZACHSA-N 0 3 240.372 2.772 20 0 BFADHN Cc1cnc(CN2CC[C@H]3CCCC[C@H]3C2)cn1 ZINC001115983950 987425037 /nfs/dbraw/zinc/42/50/37/987425037.db2.gz FSBYMFYKMAIDBC-KGLIPLIRSA-N 0 3 245.370 2.797 20 0 BFADHN CC[C@H]1CCN(Cc2ccncc2F)[C@@H]1C ZINC001473402609 987425500 /nfs/dbraw/zinc/42/55/00/987425500.db2.gz LOHOABRXTWTPIE-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN Cc1ccccc1C(C)(C)NCC1=NOCC1 ZINC001473497003 987456063 /nfs/dbraw/zinc/45/60/63/987456063.db2.gz AIJPZTUDGROVKU-UHFFFAOYSA-N 0 3 232.327 2.596 20 0 BFADHN Cc1cnc2ccccc2c1NCc1cccnc1 ZINC001116177933 987464093 /nfs/dbraw/zinc/46/40/93/987464093.db2.gz ZAWYOUUILIIWDT-UHFFFAOYSA-N 0 3 249.317 2.972 20 0 BFADHN COc1cc(CN2CCC[C@@H]2C)ccc1F ZINC001116442759 987576408 /nfs/dbraw/zinc/57/64/08/987576408.db2.gz OTPKPEBJXMUMFH-JTQLQIEISA-N 0 3 223.291 2.819 20 0 BFADHN CC(C)c1ccc2c(c1)CCN([C@@H]1CCOC1)C2 ZINC001473904473 987613763 /nfs/dbraw/zinc/61/37/63/987613763.db2.gz YRYAFGVXNRAGNO-MRXNPFEDSA-N 0 3 245.366 2.957 20 0 BFADHN CCn1ccc(CNCC2(C)CCCCC2)n1 ZINC001474166058 987653160 /nfs/dbraw/zinc/65/31/60/987653160.db2.gz QHIXECPCTKVVTA-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN COc1nc(CN2CCC3(CCC3)C2)ccc1C ZINC001474483705 987733924 /nfs/dbraw/zinc/73/39/24/987733924.db2.gz IUNLQWRUQDOMMC-UHFFFAOYSA-N 0 3 246.354 2.775 20 0 BFADHN CC(C)Cn1nccc1CN[C@@H]1C=CCCC1 ZINC001118201977 988099639 /nfs/dbraw/zinc/09/96/39/988099639.db2.gz NCGSDVZFJPQBCA-CYBMUJFWSA-N 0 3 233.359 2.737 20 0 BFADHN CCN(Cc1cccn1C1CC1)[C@@H]1CCCOC1 ZINC001118247832 988147371 /nfs/dbraw/zinc/14/73/71/988147371.db2.gz MOVUVZYRWVFXER-OAHLLOKOSA-N 0 3 248.370 2.824 20 0 BFADHN c1cc(CN2CC[C@H]3CCCC[C@@H]3C2)on1 ZINC001322738956 988372247 /nfs/dbraw/zinc/37/22/47/988372247.db2.gz OPFILTMOKDIBQL-VXGBXAGGSA-N 0 3 220.316 2.687 20 0 BFADHN CS[C@@H]1CC[C@@H](N(C)Cc2cncs2)C1 ZINC001118495307 988382859 /nfs/dbraw/zinc/38/28/59/988382859.db2.gz VELQQAMIQBUXPM-NXEZZACHSA-N 0 3 242.413 2.859 20 0 BFADHN COc1cc2c(c(CNC3(C)CC3)c1)O[C@H](C)C2 ZINC001322985529 988423413 /nfs/dbraw/zinc/42/34/13/988423413.db2.gz DINJPIUTLVNQTJ-SNVBAGLBSA-N 0 3 247.338 2.661 20 0 BFADHN CN(C)c1ncccc1CN1C[C@@H]2CCCC[C@@H]21 ZINC001118577084 988462910 /nfs/dbraw/zinc/46/29/10/988462910.db2.gz IQEIXEGUHBZJPU-JSGCOSHPSA-N 0 3 245.370 2.522 20 0 BFADHN CCO[C@@H](CN(C)CCC(F)(F)F)C1CC1 ZINC001118816369 988602305 /nfs/dbraw/zinc/60/23/05/988602305.db2.gz SJDMDDBJVGRUEP-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN CCCCC[C@H](CCC)N1CC[C@@H]1NC ZINC001246894908 988666388 /nfs/dbraw/zinc/66/63/88/988666388.db2.gz CUPJTQFDVOXYGS-QWHCGFSZSA-N 0 3 212.381 2.987 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N1CC[C@@H]1NC ZINC001246896516 988669881 /nfs/dbraw/zinc/66/98/81/988669881.db2.gz GMXQDSYUYZVMBV-RWMBFGLXSA-N 0 3 212.381 2.843 20 0 BFADHN CN[C@@H]1CCN1[C@@H](C)C[C@@H](C)CC(C)C ZINC001246900370 988673461 /nfs/dbraw/zinc/67/34/61/988673461.db2.gz RIUWGWRFSDRWGG-AVGNSLFASA-N 0 3 212.381 2.698 20 0 BFADHN CCCC[C@@H](CC(C)C)N1CC[C@@H]1NC ZINC001246901920 988675475 /nfs/dbraw/zinc/67/54/75/988675475.db2.gz FPAQLZMSLUGMLU-QWHCGFSZSA-N 0 3 212.381 2.843 20 0 BFADHN CN[C@@H]1CCN1[C@H](Cc1ccccc1)CC(C)C ZINC001246901239 988675804 /nfs/dbraw/zinc/67/58/04/988675804.db2.gz WMRWHOIAFSVJCG-HOTGVXAUSA-N 0 3 246.398 2.895 20 0 BFADHN CC1=C(C)CN(Cc2cccnc2N(C)C)CC1 ZINC001324391318 988826426 /nfs/dbraw/zinc/82/64/26/988826426.db2.gz SUEJOOMSGHMDSW-UHFFFAOYSA-N 0 3 245.370 2.690 20 0 BFADHN c1ccc([C@H]2CCC[C@@H](N3CCNC3)C2)cc1 ZINC001246998126 988831570 /nfs/dbraw/zinc/83/15/70/988831570.db2.gz XEZJWODRIPKLLS-LSDHHAIUSA-N 0 3 230.355 2.576 20 0 BFADHN CC[C@@H]1CN(Cc2cccn2C2CC2)CCCO1 ZINC001324428933 988840677 /nfs/dbraw/zinc/84/06/77/988840677.db2.gz MRWGPNUGONARPJ-OAHLLOKOSA-N 0 3 248.370 2.824 20 0 BFADHN CCCSc1ccc2nc(CN)[nH]c2c1 ZINC001247019938 988843006 /nfs/dbraw/zinc/84/30/06/988843006.db2.gz LPFRIGPYXYKTKR-UHFFFAOYSA-N 0 3 221.329 2.524 20 0 BFADHN COc1ccc2nc(CNC3(C)CC3)sc2c1 ZINC001324469007 988847790 /nfs/dbraw/zinc/84/77/90/988847790.db2.gz ZBMHHNLBWMIPEZ-UHFFFAOYSA-N 0 3 248.351 2.947 20 0 BFADHN Cc1ccc2c(c1)nc([C@@H](C)N)n2CC1CC1 ZINC001247045249 988848888 /nfs/dbraw/zinc/84/88/88/988848888.db2.gz BFUOPWFPJUXKTK-SNVBAGLBSA-N 0 3 229.327 2.774 20 0 BFADHN C=Cn1cc(CN2CC[C@@H]3CCCC[C@H]3C2)cn1 ZINC001324761032 988973898 /nfs/dbraw/zinc/97/38/98/988973898.db2.gz YBALMKPCPOTPRN-GJZGRUSLSA-N 0 3 245.370 2.996 20 0 BFADHN OC[C@H]1CCCN1Cc1c2ccoc2ccc1F ZINC001248528439 989114951 /nfs/dbraw/zinc/11/49/51/989114951.db2.gz NWPQLGBVWUCVDN-SNVBAGLBSA-N 0 3 249.285 2.529 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C(C)(C)C2)[nH]n1 ZINC001325435201 989187194 /nfs/dbraw/zinc/18/71/94/989187194.db2.gz PWWPAALFWVJFLA-JTQLQIEISA-N 0 3 221.348 2.586 20 0 BFADHN CN(C)Cc1[nH]nc2cc(C(F)(F)F)ccc21 ZINC001248843835 989195069 /nfs/dbraw/zinc/19/50/69/989195069.db2.gz BZSSERJNHCYXOC-UHFFFAOYSA-N 0 3 243.232 2.643 20 0 BFADHN Cc1cc(C)nc(CN2C[C@H]3CCCC[C@@H]3C2)n1 ZINC001248939246 989228073 /nfs/dbraw/zinc/22/80/73/989228073.db2.gz CMLPGODOHBURIG-ZIAGYGMSSA-N 0 3 245.370 2.715 20 0 BFADHN C[C@@H](F)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC001248935707 989228414 /nfs/dbraw/zinc/22/84/14/989228414.db2.gz DTNYIYFQNJNEMT-SECBINFHSA-N 0 3 249.289 2.829 20 0 BFADHN Cc1cc(C)nc(CN2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC001248939245 989228966 /nfs/dbraw/zinc/22/89/66/989228966.db2.gz CMLPGODOHBURIG-OKILXGFUSA-N 0 3 245.370 2.715 20 0 BFADHN CC[C@@H](C)c1nn(C)cc1CN1CC2CC(C2)C1 ZINC001249410302 989274241 /nfs/dbraw/zinc/27/42/41/989274241.db2.gz SRQGIQZBCNOZGT-PNESKVBLSA-N 0 3 247.386 2.775 20 0 BFADHN CCN(Cc1nc2ccc(OC)cc2[nH]1)C1CC1 ZINC001249410691 989275365 /nfs/dbraw/zinc/27/53/65/989275365.db2.gz CAFFVKLXTWCHTL-UHFFFAOYSA-N 0 3 245.326 2.556 20 0 BFADHN COc1ccc2[nH]c(CN(C)C(C)(C)C)nc2c1 ZINC001249414183 989277700 /nfs/dbraw/zinc/27/77/00/989277700.db2.gz VQADASLVCBJXRR-UHFFFAOYSA-N 0 3 247.342 2.802 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1[C@@H]1CC[C@@H](CC)C1 ZINC000344700612 989291533 /nfs/dbraw/zinc/29/15/33/989291533.db2.gz HDOBIUXYWXCALZ-UPJWGTAASA-N 0 3 239.359 2.593 20 0 BFADHN Fc1cc2cc[nH]c2c(CN2CC=CC2)c1 ZINC001249521971 989294981 /nfs/dbraw/zinc/29/49/81/989294981.db2.gz JQZOKMJKCYHRDN-UHFFFAOYSA-N 0 3 216.259 2.679 20 0 BFADHN CCN(Cc1cc2n(n1)CCCC2)C(C)(C)C ZINC001326448466 989563699 /nfs/dbraw/zinc/56/36/99/989563699.db2.gz SYKHEKWTTRDSRY-UHFFFAOYSA-N 0 3 235.375 2.840 20 0 BFADHN COCCC1(C)CN(CCCC(F)(F)F)C1 ZINC001120057856 989582138 /nfs/dbraw/zinc/58/21/38/989582138.db2.gz OYOCEZJEMVBJAK-UHFFFAOYSA-N 0 3 239.281 2.687 20 0 BFADHN Cc1cccc2c1ccnc2NC[C@H]1CCN1C ZINC001120063919 989585379 /nfs/dbraw/zinc/58/53/79/989585379.db2.gz MCTDYWIMWJMBBB-GFCCVEGCSA-N 0 3 241.338 2.659 20 0 BFADHN CC(C)CC(=O)CCN(C)CCOC(C)(C)C ZINC000828015257 989604406 /nfs/dbraw/zinc/60/44/06/989604406.db2.gz CJEUKXWDFXRXNQ-UHFFFAOYSA-N 0 3 243.391 2.739 20 0 BFADHN C[C@H](CC(=O)Nc1cccc2c1CNC2)C1CC1 ZINC001522511222 989860466 /nfs/dbraw/zinc/86/04/66/989860466.db2.gz MJCBXDLSDPCUPY-SNVBAGLBSA-N 0 3 244.338 2.665 20 0 BFADHN Cc1cnc(N[C@H]2CCN(C3CCC3)C2)c(F)c1 ZINC001161176160 990825437 /nfs/dbraw/zinc/82/54/37/990825437.db2.gz QXUWQBANFQZGNZ-NSHDSACASA-N 0 3 249.333 2.568 20 0 BFADHN Cc1cccc(CN2CC[C@]3(CCCO3)C2)c1F ZINC001137673652 990839083 /nfs/dbraw/zinc/83/90/83/990839083.db2.gz LWFQADXRHZVVSY-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN CCOc1cccc(CN2CC[C@@H](OCC)C2)c1 ZINC001138102581 990881588 /nfs/dbraw/zinc/88/15/88/990881588.db2.gz SIKJIVDPMYCIHA-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN CCOc1cccc(CN2CC([C@@H](C)OC)C2)c1 ZINC001138102700 990882590 /nfs/dbraw/zinc/88/25/90/990882590.db2.gz YYIDNEFGGNQHMF-GFCCVEGCSA-N 0 3 249.354 2.552 20 0 BFADHN c1cc(N2CCCCC2)oc1CN1C[C@@H]2C[C@@H]2C1 ZINC001138172031 990896719 /nfs/dbraw/zinc/89/67/19/990896719.db2.gz JANCBUMMTUNSHP-BETUJISGSA-N 0 3 246.354 2.722 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cc(O)cc(O)c1 ZINC001138331182 990920482 /nfs/dbraw/zinc/92/04/82/990920482.db2.gz PYMOIVBOAJIWMA-GFCCVEGCSA-N 0 3 235.327 2.862 20 0 BFADHN CC(C)C[C@@H](C)C[C@@H](C)N(C)C1COC1 ZINC001258068457 990966120 /nfs/dbraw/zinc/96/61/20/990966120.db2.gz FJGJOKMVWHWKPT-VXGBXAGGSA-N 0 3 213.365 2.778 20 0 BFADHN COc1c(C)cc(CN2CC[C@H]2C)cc1C ZINC001138426150 990942979 /nfs/dbraw/zinc/94/29/79/990942979.db2.gz ZTHWPWXDQYVPEJ-GFCCVEGCSA-N 0 3 219.328 2.906 20 0 BFADHN COC(=O)C1CN([C@H](C)C[C@@H](C)CC(C)C)C1 ZINC001258067831 990962803 /nfs/dbraw/zinc/96/28/03/990962803.db2.gz ZZAKEQNBFIVWGE-NWDGAFQWSA-N 0 3 241.375 2.552 20 0 BFADHN C[C@@H](CO)NCc1ccc(-c2ccccc2F)o1 ZINC001308326054 990968113 /nfs/dbraw/zinc/96/81/13/990968113.db2.gz ZOESHJYWGVPJQK-JTQLQIEISA-N 0 3 249.285 2.556 20 0 BFADHN CCCCN(C)Cc1cnn(CCC)c1C ZINC001138635264 990983831 /nfs/dbraw/zinc/98/38/31/990983831.db2.gz BYHBMGWZTBBYFE-UHFFFAOYSA-N 0 3 223.364 2.833 20 0 BFADHN Cc1[nH]nc(CNc2ccc3[nH]cnc3c2)c1C ZINC001308398869 990985093 /nfs/dbraw/zinc/98/50/93/990985093.db2.gz NIFXYMAILDBFKX-UHFFFAOYSA-N 0 3 241.298 2.515 20 0 BFADHN CCCn1ncc(CN(CC)C2CC2)c1C ZINC001138637175 990992587 /nfs/dbraw/zinc/99/25/87/990992587.db2.gz NDWPAVNTVQFFJS-UHFFFAOYSA-N 0 3 221.348 2.586 20 0 BFADHN CNc1ncccc1CN1CCCCC12CC2 ZINC001138696801 991013435 /nfs/dbraw/zinc/01/34/35/991013435.db2.gz ZOGLNOKCOXDPMS-UHFFFAOYSA-N 0 3 231.343 2.642 20 0 BFADHN CCOC1CN([C@H]2C[C@H](C)C[C@H](C)C2)C1 ZINC001258164184 991053102 /nfs/dbraw/zinc/05/31/02/991053102.db2.gz RMQAMBQSEFEJJA-GDNZZTSVSA-N 0 3 211.349 2.532 20 0 BFADHN CCOC1CN(C2C[C@@H](C)C[C@H](C)C2)C1 ZINC001258164186 991054224 /nfs/dbraw/zinc/05/42/24/991054224.db2.gz RMQAMBQSEFEJJA-QWRGUYRKSA-N 0 3 211.349 2.532 20 0 BFADHN Fc1ncccc1CN1CC2CCC(CC2)C1 ZINC001138794136 991059002 /nfs/dbraw/zinc/05/90/02/991059002.db2.gz QRKMJQGSUKWESF-UHFFFAOYSA-N 0 3 234.318 2.843 20 0 BFADHN CN(Cc1cccc2ccnn21)CC(C)(C)C ZINC001138806749 991062382 /nfs/dbraw/zinc/06/23/82/991062382.db2.gz PTAIFKFPUWCFAO-UHFFFAOYSA-N 0 3 231.343 2.812 20 0 BFADHN FC[C@@H]1CCCN(Cc2cccc3ccnn32)C1 ZINC001138806706 991062492 /nfs/dbraw/zinc/06/24/92/991062492.db2.gz OJENWSCUIOGFIW-LBPRGKRZSA-N 0 3 247.317 2.516 20 0 BFADHN C[C@H]1CC(N2Cc3cnn(C)c3C2)C[C@H](C)C1 ZINC001258169132 991065251 /nfs/dbraw/zinc/06/52/51/991065251.db2.gz URCXAUHHGVCVPX-GHMZBOCLSA-N 0 3 233.359 2.560 20 0 BFADHN CCN([C@@H](C)C(=O)OC)C1C[C@H](C)C[C@@H](C)C1 ZINC001258171355 991070276 /nfs/dbraw/zinc/07/02/76/991070276.db2.gz WLZLKWJMPPQXKI-UTUOFQBUSA-N 0 3 241.375 2.695 20 0 BFADHN C[C@@H]1C[C@@H](O)CCN1Cc1ccc2occc2c1 ZINC001138824157 991073946 /nfs/dbraw/zinc/07/39/46/991073946.db2.gz ANNZGPFTIDVBIY-RISCZKNCSA-N 0 3 245.322 2.778 20 0 BFADHN CO[C@H](C)C1CN(Cc2ccc3occc3c2)C1 ZINC001138826422 991073981 /nfs/dbraw/zinc/07/39/81/991073981.db2.gz XOJPSYZZGGXRGJ-LLVKDONJSA-N 0 3 245.322 2.900 20 0 BFADHN C[C@@H]1C[C@H](O)CCN1Cc1ccc2occc2c1 ZINC001138824156 991076648 /nfs/dbraw/zinc/07/66/48/991076648.db2.gz ANNZGPFTIDVBIY-BXUZGUMPSA-N 0 3 245.322 2.778 20 0 BFADHN C[C@@H](Cc1ccco1)NCOc1ccccc1 ZINC001258179763 991080439 /nfs/dbraw/zinc/08/04/39/991080439.db2.gz UOFVSICEOCRSPR-LBPRGKRZSA-N 0 3 231.295 2.837 20 0 BFADHN C[C@H](Cc1ccco1)N1CCc2ncsc2C1 ZINC001258194106 991105079 /nfs/dbraw/zinc/10/50/79/991105079.db2.gz BLVPWMHKLCRRTF-SNVBAGLBSA-N 0 3 248.351 2.725 20 0 BFADHN C[C@H](Cc1ccco1)N1CCc2ncccc2C1 ZINC001258193328 991106555 /nfs/dbraw/zinc/10/65/55/991106555.db2.gz WNYKSCYKADZXDZ-GFCCVEGCSA-N 0 3 242.322 2.664 20 0 BFADHN C[C@H]1CC[C@H](CNCc2ncccc2F)C1 ZINC001308731562 991113275 /nfs/dbraw/zinc/11/32/75/991113275.db2.gz BHBALBLHHGKJAO-QWRGUYRKSA-N 0 3 222.307 2.747 20 0 BFADHN CCCn1cc(CN(C)C2CC(C)C2)cn1 ZINC001139037007 991126467 /nfs/dbraw/zinc/12/64/67/991126467.db2.gz GUZNJLRJHLMQCL-UHFFFAOYSA-N 0 3 221.348 2.523 20 0 BFADHN CSCC[C@H](C)NCc1cc2ccccn2n1 ZINC001258211723 991127540 /nfs/dbraw/zinc/12/75/40/991127540.db2.gz MDTNECZYRSGZIR-NSHDSACASA-N 0 3 249.383 2.566 20 0 BFADHN CC[C@H]1CCC[C@@H](CN2CCOC[C@@H]2C)C1 ZINC001308774140 991127544 /nfs/dbraw/zinc/12/75/44/991127544.db2.gz GBHCRPQATMICOC-MELADBBJSA-N 0 3 225.376 2.924 20 0 BFADHN CO[C@H](C)CNCc1cc(C2CC2)ccc1F ZINC001308786164 991130849 /nfs/dbraw/zinc/13/08/49/991130849.db2.gz JKLUCLTUMRAVJY-SNVBAGLBSA-N 0 3 237.318 2.828 20 0 BFADHN CCn1ccc2ccc(CN3CCC3)cc21 ZINC001139119299 991152045 /nfs/dbraw/zinc/15/20/45/991152045.db2.gz KHPMELBWQXSKRO-UHFFFAOYSA-N 0 3 214.312 2.867 20 0 BFADHN COc1cc(O)ccc1CN1CCC12CCCC2 ZINC001139137771 991154859 /nfs/dbraw/zinc/15/48/59/991154859.db2.gz GDHCTEKBXFZXLD-UHFFFAOYSA-N 0 3 247.338 2.919 20 0 BFADHN COc1cc(O)ccc1CN1CCCC[C@@H]1C ZINC001139138168 991164700 /nfs/dbraw/zinc/16/47/00/991164700.db2.gz PTZPXFXVSOPHFQ-NSHDSACASA-N 0 3 235.327 2.775 20 0 BFADHN C1N(C2CCCCCCC2)CC12CCCO2 ZINC001258236666 991182480 /nfs/dbraw/zinc/18/24/80/991182480.db2.gz CJBLYNOYXOMUIF-UHFFFAOYSA-N 0 3 223.360 2.964 20 0 BFADHN c1cnc2cc(CN3CCSCC3)ccc2c1 ZINC001139166222 991182590 /nfs/dbraw/zinc/18/25/90/991182590.db2.gz LNBCETGXQWWUAZ-UHFFFAOYSA-N 0 3 244.363 2.784 20 0 BFADHN COC(=O)[C@@H](C)N(C)C1CCCCCCC1 ZINC001258239873 991185377 /nfs/dbraw/zinc/18/53/77/991185377.db2.gz FGMGTVBSFXYTFR-LLVKDONJSA-N 0 3 227.348 2.593 20 0 BFADHN C[C@@H](CNCc1ncccc1F)c1ccccn1 ZINC001308860127 991186516 /nfs/dbraw/zinc/18/65/16/991186516.db2.gz SIBBZZGURVRHBU-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN C1[C@@H]2[C@H]1OCCN2C1CCCCCCC1 ZINC001258243356 991187734 /nfs/dbraw/zinc/18/77/34/991187734.db2.gz HSULYQAXAKZZKH-OLZOCXBDSA-N 0 3 209.333 2.572 20 0 BFADHN CC1(C)CCC[C@H](Nc2ccc(CN)nc2)C1 ZINC001258280433 991207709 /nfs/dbraw/zinc/20/77/09/991207709.db2.gz UXECZWLHWOAPDI-NSHDSACASA-N 0 3 233.359 2.921 20 0 BFADHN CC1(C)CCC[C@H](n2ncc3c2CCNC3)C1 ZINC001258285146 991222396 /nfs/dbraw/zinc/22/23/96/991222396.db2.gz KMKZKBABZSEXAO-LBPRGKRZSA-N 0 3 233.359 2.670 20 0 BFADHN Fc1cccnc1CNCC[C@@H]1CC[C@@H]2C[C@@H]21 ZINC001308944443 991226955 /nfs/dbraw/zinc/22/69/55/991226955.db2.gz WYYWNJSFUUGGCT-QJPTWQEYSA-N 0 3 234.318 2.747 20 0 BFADHN C[C@H]1CCN1Cc1cnc(-c2ccccc2)[nH]1 ZINC001139553080 991263464 /nfs/dbraw/zinc/26/34/64/991263464.db2.gz IHKSGADQKIACMG-NSHDSACASA-N 0 3 227.311 2.671 20 0 BFADHN CC(C)CN(C)Cc1cn2c(cccc2F)n1 ZINC001139567014 991271060 /nfs/dbraw/zinc/27/10/60/991271060.db2.gz XPXJLLTXTPOSLX-UHFFFAOYSA-N 0 3 235.306 2.561 20 0 BFADHN CCCN(CC)Cc1cn2c(cccc2F)n1 ZINC001139565697 991273600 /nfs/dbraw/zinc/27/36/00/991273600.db2.gz HEMQZSAFJSJOGN-UHFFFAOYSA-N 0 3 235.306 2.705 20 0 BFADHN CC[C@H](C)[C@H](C)N1CC[S@](=O)C(C)(C)CC1 ZINC001559838172 991275985 /nfs/dbraw/zinc/27/59/85/991275985.db2.gz FNTCLBHPQVULEH-MKBNYLNASA-N 0 3 245.432 2.654 20 0 BFADHN CCOc1ccc(C)cc1CN1CC[C@@H](OC)C1 ZINC001139599289 991279776 /nfs/dbraw/zinc/27/97/76/991279776.db2.gz BBMGSGAAEGTQQB-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN COc1ccncc1CN(C)C[C@H]1CC1(C)C ZINC001139610284 991293847 /nfs/dbraw/zinc/29/38/47/991293847.db2.gz NNKNZYYWRNNTEW-GFCCVEGCSA-N 0 3 234.343 2.568 20 0 BFADHN C[C@H]1CN(CC2CCC3(CC3)CC2)CCO1 ZINC001559873883 991299047 /nfs/dbraw/zinc/29/90/47/991299047.db2.gz AVWVGCHQLAKGCM-LBPRGKRZSA-N 0 3 223.360 2.678 20 0 BFADHN CCOc1ccncc1CN1C[C@H](C)C[C@H](C)C1 ZINC001139629803 991301406 /nfs/dbraw/zinc/30/14/06/991301406.db2.gz VNSCEOKXLQYNIO-BETUJISGSA-N 0 3 248.370 2.958 20 0 BFADHN CCOc1ccncc1CN1C[C@@H](C)CC12CC2 ZINC001139632396 991301736 /nfs/dbraw/zinc/30/17/36/991301736.db2.gz QDOHMKPAIHPQBZ-LBPRGKRZSA-N 0 3 246.354 2.855 20 0 BFADHN CCOc1ccncc1CN(C)C[C@@H]1CC1(C)C ZINC001139629089 991303276 /nfs/dbraw/zinc/30/32/76/991303276.db2.gz LJMUELIAPVRJEK-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN C(C1=NOCC1)N1CCC2(CCCCC2)CC1 ZINC001559981553 991348947 /nfs/dbraw/zinc/34/89/47/991348947.db2.gz SBWHBICPBQTLBC-UHFFFAOYSA-N 0 3 236.359 2.809 20 0 BFADHN CCN(Cc1cnc2cccnc2c1)C1CC1 ZINC001139741138 991350195 /nfs/dbraw/zinc/35/01/95/991350195.db2.gz QEBBVVLLXFNINP-UHFFFAOYSA-N 0 3 227.311 2.614 20 0 BFADHN c1cnc2cc(CN3CCCC34CC4)cnc2c1 ZINC001139741445 991353548 /nfs/dbraw/zinc/35/35/48/991353548.db2.gz UJJAWJHBNDNIBK-UHFFFAOYSA-N 0 3 239.322 2.758 20 0 BFADHN CCCCC[C@@H](CC)N1CC(=O)N(C)C[C@@H]1C ZINC001258442376 991381224 /nfs/dbraw/zinc/38/12/24/991381224.db2.gz DRTZDZNPXKSJFD-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN COCc1ccc(CN2CC3CC(C3)C2)s1 ZINC001139830441 991384502 /nfs/dbraw/zinc/38/45/02/991384502.db2.gz AXGCKJNNAYNAPS-UHFFFAOYSA-N 0 3 237.368 2.736 20 0 BFADHN COCc1ccc(CN2CCC[C@@H]3C[C@@H]32)s1 ZINC001139830451 991385264 /nfs/dbraw/zinc/38/52/64/991385264.db2.gz AYGYXIRFFZNDFN-MFKMUULPSA-N 0 3 237.368 2.879 20 0 BFADHN C[C@H]1CCN(Cc2ccnc(F)c2)[C@@H](C)C1 ZINC001139833852 991390011 /nfs/dbraw/zinc/39/00/11/991390011.db2.gz SCAUETPYBKPYMQ-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CCCN(Cc2ccnc(F)c2)[C@H]1C ZINC001139834062 991390918 /nfs/dbraw/zinc/39/09/18/991390918.db2.gz YRDPICYNOTYKND-MNOVXSKESA-N 0 3 222.307 2.841 20 0 BFADHN Cc1cccc2c1[C@H](N[C@H](C)CC1CC1)C(=O)N2 ZINC001258447581 991391355 /nfs/dbraw/zinc/39/13/55/991391355.db2.gz UJUWIGVLLXSUGE-YGRLFVJLSA-N 0 3 244.338 2.766 20 0 BFADHN C[C@H](CC1CC1)NCC(=O)c1ccccc1 ZINC001258448332 991394852 /nfs/dbraw/zinc/39/48/52/991394852.db2.gz INEBGMGAVLYNOK-LLVKDONJSA-N 0 3 217.312 2.648 20 0 BFADHN C[C@H]1CN(Cc2ccnc(F)c2)C2(CC2)C1 ZINC001139835958 991394956 /nfs/dbraw/zinc/39/49/56/991394956.db2.gz VWNGPRDDJKZGHQ-SNVBAGLBSA-N 0 3 220.291 2.595 20 0 BFADHN Cc1ccc(C2(N[C@H](C)CC3CC3)COC2)cc1 ZINC001258450063 991397917 /nfs/dbraw/zinc/39/79/17/991397917.db2.gz FLGJKACEBVTSPL-CYBMUJFWSA-N 0 3 245.366 2.999 20 0 BFADHN C[C@H](CC1CC1)NCC(=O)c1cccc(F)c1 ZINC001258452857 991403092 /nfs/dbraw/zinc/40/30/92/991403092.db2.gz BOGIXUFITOORJQ-SNVBAGLBSA-N 0 3 235.302 2.787 20 0 BFADHN C[C@H](CC1CC1)NCc1cc2ccccn2n1 ZINC001258456555 991409600 /nfs/dbraw/zinc/40/96/00/991409600.db2.gz NTASZNBOMUTDQH-LLVKDONJSA-N 0 3 229.327 2.613 20 0 BFADHN C[C@@H](CC1CC1)N[C@H]1CCc2ccccc2C1=O ZINC001258457217 991410648 /nfs/dbraw/zinc/41/06/48/991410648.db2.gz UGBDVWWZTZXLGF-NHYWBVRUSA-N 0 3 243.350 2.962 20 0 BFADHN CCN(Cc1ccccc1C1CC1)C1CN(C)C1 ZINC001139883231 991410797 /nfs/dbraw/zinc/41/07/97/991410797.db2.gz IWLUEFUDJVALNR-UHFFFAOYSA-N 0 3 244.382 2.700 20 0 BFADHN C[C@@H](CC1CC1)N1CCc2cnccc2C1 ZINC001258458129 991415266 /nfs/dbraw/zinc/41/52/66/991415266.db2.gz PUISBYUHHGLITM-NSHDSACASA-N 0 3 216.328 2.628 20 0 BFADHN CCOC(=O)CC[C@@H](C)N1CCCC[C@@H](F)C1 ZINC001258490520 991464493 /nfs/dbraw/zinc/46/44/93/991464493.db2.gz YFVHXOJGLLSCLF-VXGBXAGGSA-N 0 3 245.338 2.542 20 0 BFADHN CN(Cc1cc2ccccc2cn1)C1CC1 ZINC001139994696 991473136 /nfs/dbraw/zinc/47/31/36/991473136.db2.gz CEEWVYAJVRWPOJ-UHFFFAOYSA-N 0 3 212.296 2.829 20 0 BFADHN CCCC1CCC(n2ccc(CN)n2)CC1 ZINC001258528015 991474955 /nfs/dbraw/zinc/47/49/55/991474955.db2.gz BWRKWTABPHLLIV-UHFFFAOYSA-N 0 3 221.348 2.873 20 0 BFADHN CCCC1CCC(N2CC[C@@H](O)[C@@H](F)C2)CC1 ZINC001258529985 991477503 /nfs/dbraw/zinc/47/75/03/991477503.db2.gz AGLPNFGKDYTOFG-CLRIEMFWSA-N 0 3 243.366 2.750 20 0 BFADHN COc1nsc(CN[C@@H]2CC[C@H]2C)c1Cl ZINC001560466202 991480119 /nfs/dbraw/zinc/48/01/19/991480119.db2.gz YWAKJCMLFLRESU-RNFRBKRXSA-N 0 3 246.763 2.693 20 0 BFADHN CN(C)c1ccc(F)cc1CNC/C=C/C1CC1 ZINC001560481689 991483579 /nfs/dbraw/zinc/48/35/79/991483579.db2.gz RLXWHIICUMXQEI-ONEGZZNKSA-N 0 3 248.345 2.948 20 0 BFADHN Cc1nc(CN[C@H]2CCC(C)(C)C2)c(C)o1 ZINC001258592447 991488696 /nfs/dbraw/zinc/48/86/96/991488696.db2.gz YNGFJCFUXMZNBF-NSHDSACASA-N 0 3 222.332 2.960 20 0 BFADHN Cc1nccn1-c1ccccc1CN1CC=CC1 ZINC001140023368 991489191 /nfs/dbraw/zinc/48/91/91/991489191.db2.gz MFRAVVDPGNAMOK-UHFFFAOYSA-N 0 3 239.322 2.553 20 0 BFADHN CC[C@H]1CCCN1Cc1ccnc(SC)n1 ZINC001140038146 991494732 /nfs/dbraw/zinc/49/47/32/991494732.db2.gz PQOPIXOHORVZKZ-NSHDSACASA-N 0 3 237.372 2.573 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccnc(SC)n1 ZINC001140037057 991494992 /nfs/dbraw/zinc/49/49/92/991494992.db2.gz PQOPIXOHORVZKZ-LLVKDONJSA-N 0 3 237.372 2.573 20 0 BFADHN Oc1ccc(F)c(CN2C[C@@H]3CCC[C@H]3C2)c1 ZINC001140050982 991502269 /nfs/dbraw/zinc/50/22/69/991502269.db2.gz RDGOGSRDQIEWEO-QWRGUYRKSA-N 0 3 235.302 2.763 20 0 BFADHN CCc1ccccc1CNC[C@@H]1CC(C)=NO1 ZINC001560574988 991503537 /nfs/dbraw/zinc/50/35/37/991503537.db2.gz VBBAAYYLTLHJSW-AWEZNQCLSA-N 0 3 232.327 2.503 20 0 BFADHN CCN(C)Cc1cccc(Cl)c1OC ZINC001140056170 991509420 /nfs/dbraw/zinc/50/94/20/991509420.db2.gz KJOYWQIJMATDKH-UHFFFAOYSA-N 0 3 213.708 2.800 20 0 BFADHN CC(C)[C@@H]1C[C@H]1NCc1cn2cccc(F)c2n1 ZINC001560624837 991523080 /nfs/dbraw/zinc/52/30/80/991523080.db2.gz BWYSCYLRHUERQI-WCQYABFASA-N 0 3 247.317 2.608 20 0 BFADHN Cc1ncc(CNCCCc2ccsc2)o1 ZINC001560623027 991525174 /nfs/dbraw/zinc/52/51/74/991525174.db2.gz XPGFJUTVXPRWGB-UHFFFAOYSA-N 0 3 236.340 2.767 20 0 BFADHN Fc1cccc(CN2CC[C@H]3CCC[C@@H]3C2)n1 ZINC001140131739 991533320 /nfs/dbraw/zinc/53/33/20/991533320.db2.gz QTPMBJIDBNYPCA-VXGBXAGGSA-N 0 3 234.318 2.843 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1cccnc1)c1nccs1 ZINC001258611496 991534695 /nfs/dbraw/zinc/53/46/95/991534695.db2.gz JXCSGNDBYJFJPO-WDEREUQCSA-N 0 3 247.367 2.820 20 0 BFADHN CCCCC(=O)[C@H](C)N[C@H](C)Cc1cccnc1 ZINC001258614596 991539881 /nfs/dbraw/zinc/53/98/81/991539881.db2.gz REEPERBUETUUEI-OLZOCXBDSA-N 0 3 248.370 2.750 20 0 BFADHN C[C@H](Cc1cccnc1)N1CCCC[C@@H](F)C1 ZINC001258622643 991556219 /nfs/dbraw/zinc/55/62/19/991556219.db2.gz QAZYXUGPHMYWIY-TZMCWYRMSA-N 0 3 236.334 2.837 20 0 BFADHN C[C@@H](Cc1cccnc1)N1CCC[C@](C)(F)C1 ZINC001258622476 991557290 /nfs/dbraw/zinc/55/72/90/991557290.db2.gz NKUVFMVHKBVWSE-JSGCOSHPSA-N 0 3 236.334 2.837 20 0 BFADHN COc1nc(CN2CCCC[C@@H]2C)ccc1C ZINC001560721104 991573944 /nfs/dbraw/zinc/57/39/44/991573944.db2.gz WHVSWOIAMQKGIG-LBPRGKRZSA-N 0 3 234.343 2.773 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cn(C2CC2)cn1 ZINC001560776206 991596703 /nfs/dbraw/zinc/59/67/03/991596703.db2.gz DMXSHEVOICAFBY-RISCZKNCSA-N 0 3 233.359 2.838 20 0 BFADHN CCCCC[C@H](CCC)N1CC(C)(O)C1 ZINC001258665961 991605129 /nfs/dbraw/zinc/60/51/29/991605129.db2.gz CHSBFYCVBVGURZ-LBPRGKRZSA-N 0 3 213.365 2.802 20 0 BFADHN CCCCC[C@@H](CCC)N1CC(=O)N[C@H](C)C1 ZINC001258668558 991611009 /nfs/dbraw/zinc/61/10/09/991611009.db2.gz WMBRYZVZGNMSKG-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN CCCCC[C@H](CCC)N1CC(NC(C)=O)C1 ZINC001258671235 991613166 /nfs/dbraw/zinc/61/31/66/991613166.db2.gz LZSKJQYNGJQALM-AWEZNQCLSA-N 0 3 240.391 2.556 20 0 BFADHN CCCCC[C@H](CCC)N1CCNC(=O)[C@H]1C ZINC001258672457 991613542 /nfs/dbraw/zinc/61/35/42/991613542.db2.gz YGEXGKIKSHTCQW-OLZOCXBDSA-N 0 3 240.391 2.556 20 0 BFADHN c1cc(CN2CCC3(C2)CCCCC3)ncn1 ZINC001140350886 991627719 /nfs/dbraw/zinc/62/77/19/991627719.db2.gz QLYSWAZDVIIIEI-UHFFFAOYSA-N 0 3 231.343 2.633 20 0 BFADHN CC1(CN2CCn3cccc3C2)CCCC1 ZINC001474702427 991629768 /nfs/dbraw/zinc/62/97/68/991629768.db2.gz QTCRINHFRKKNAR-UHFFFAOYSA-N 0 3 218.344 2.884 20 0 BFADHN C[C@H]1C[C@@H](CF)N(Cc2ccc3n[nH]cc3c2)C1 ZINC001140385374 991631373 /nfs/dbraw/zinc/63/13/73/991631373.db2.gz ZBJBYWQSMRXBJC-GWCFXTLKSA-N 0 3 247.317 2.743 20 0 BFADHN C[C@H](c1cccnc1)N1CCN(C(C)(C)C)CC1 ZINC001318467822 991660601 /nfs/dbraw/zinc/66/06/01/991660601.db2.gz PXIGISNHFFJDHE-CYBMUJFWSA-N 0 3 247.386 2.559 20 0 BFADHN CCCC[C@@H](CCC)N1CC(OCCOC)C1 ZINC001258764238 991716538 /nfs/dbraw/zinc/71/65/38/991716538.db2.gz MOXBFTDSTKLUKX-CYBMUJFWSA-N 0 3 243.391 2.693 20 0 BFADHN Cc1cc(CNC(C)(C)c2cccc(F)c2)n[nH]1 ZINC001318984815 991755334 /nfs/dbraw/zinc/75/53/34/991755334.db2.gz XCASQYANYNXIFQ-UHFFFAOYSA-N 0 3 247.317 2.882 20 0 BFADHN CC[C@H](C)C[C@H](CC)N1CCc2nn(C)cc2C1 ZINC001258794322 991779744 /nfs/dbraw/zinc/77/97/44/991779744.db2.gz KOTCPRHULGANDQ-JSGCOSHPSA-N 0 3 249.402 2.993 20 0 BFADHN CC(C)C1CCC(N2CC[C@@H](O)[C@H](F)C2)CC1 ZINC001258803110 991804428 /nfs/dbraw/zinc/80/44/28/991804428.db2.gz NKZVJOWMIUCCEZ-NWINJMCUSA-N 0 3 243.366 2.606 20 0 BFADHN CC(C)C1CCC(N2CCOC[C@@H]2C)CC1 ZINC001258803079 991804681 /nfs/dbraw/zinc/80/46/81/991804681.db2.gz MCXJIQCHCOEXRL-HSBZDZAISA-N 0 3 225.376 2.922 20 0 BFADHN CC(C)C1CCC(N2CC[C@@H](O)[C@@H](F)C2)CC1 ZINC001258803109 991804724 /nfs/dbraw/zinc/80/47/24/991804724.db2.gz NKZVJOWMIUCCEZ-CLRIEMFWSA-N 0 3 243.366 2.606 20 0 BFADHN C[C@@H](N)c1nccn1[C@H]1CCC[C@@H](C)C1 ZINC001258807147 991807371 /nfs/dbraw/zinc/80/73/71/991807371.db2.gz FGXXWXJIVJWPHA-MXWKQRLJSA-N 0 3 207.321 2.654 20 0 BFADHN C[C@H](N)c1nccn1[C@@H]1CCC[C@@H](C)C1 ZINC001258807148 991808005 /nfs/dbraw/zinc/80/80/05/991808005.db2.gz FGXXWXJIVJWPHA-OUAUKWLOSA-N 0 3 207.321 2.654 20 0 BFADHN CC(C)OC1CN([C@H]2CCC[C@@H](C)C2)C1 ZINC001258828344 991855571 /nfs/dbraw/zinc/85/55/71/991855571.db2.gz LGZKCPCDSCYOQA-NEPJUHHUSA-N 0 3 211.349 2.674 20 0 BFADHN CCN(CC(=O)OC)C(CC(C)C)CC(C)C ZINC001258837559 991870704 /nfs/dbraw/zinc/87/07/04/991870704.db2.gz WUVDYBKXSBZQRD-UHFFFAOYSA-N 0 3 243.391 2.942 20 0 BFADHN COc1ccccc1C[C@@H](C)NC1(CF)CC1 ZINC001258877639 991885144 /nfs/dbraw/zinc/88/51/44/991885144.db2.gz VZJCDFYWNXLYLL-LLVKDONJSA-N 0 3 237.318 2.718 20 0 BFADHN Cc1cnc2ccccc2c1N(C)C1CC(O)C1 ZINC001320150335 992113235 /nfs/dbraw/zinc/11/32/35/992113235.db2.gz QLPODHTYXJKBPF-UHFFFAOYSA-N 0 3 242.322 2.503 20 0 BFADHN CCCCCCN(C)CC(=O)NC1(CC)CC1 ZINC001261267482 992239516 /nfs/dbraw/zinc/23/95/16/992239516.db2.gz PHOVHHJQIQEAAK-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN Fc1ccc([C@H]2CCN2CC[C@@H]2CCOC2)cc1 ZINC001261640305 992435840 /nfs/dbraw/zinc/43/58/40/992435840.db2.gz CAFGSKKRYPYWMH-IUODEOHRSA-N 0 3 249.329 2.999 20 0 BFADHN COc1cc(CNC2CC(F)(F)C2)ccc1F ZINC001321104285 992459016 /nfs/dbraw/zinc/45/90/16/992459016.db2.gz DXRVOLFZLIIEEF-UHFFFAOYSA-N 0 3 245.244 2.722 20 0 BFADHN CCCC1CCN(Cc2cnc3n2CCC3)CC1 ZINC001261675478 992459540 /nfs/dbraw/zinc/45/95/40/992459540.db2.gz DDENYYOFXKCNFD-UHFFFAOYSA-N 0 3 247.386 2.841 20 0 BFADHN COC1(CN[C@H]2c3ccccc3O[C@@H]2C)CCC1 ZINC001262010601 992596846 /nfs/dbraw/zinc/59/68/46/992596846.db2.gz KERGPVJHLWDFCN-BXUZGUMPSA-N 0 3 247.338 2.667 20 0 BFADHN FC(F)(F)[C@@H]1CCC[C@H](CN2CC[C@@H]3C[C@@H]32)O1 ZINC001262236184 992817440 /nfs/dbraw/zinc/81/74/40/992817440.db2.gz YGVLBIVNHNAMPC-ZNSHCXBVSA-N 0 3 249.276 2.581 20 0 BFADHN CO[C@@H]1CN(Cc2cc(C)cc(C)n2)CC[C@@H]1C ZINC001262270926 992830672 /nfs/dbraw/zinc/83/06/72/992830672.db2.gz KHTJZEBYYRMASY-SWLSCSKDSA-N 0 3 248.370 2.555 20 0 BFADHN CC(C)c1nnc(CNC[C@H](C)C2CC2)s1 ZINC001262359293 992857575 /nfs/dbraw/zinc/85/75/75/992857575.db2.gz TVEHHRXJKMQKGO-VIFPVBQESA-N 0 3 239.388 2.797 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N1[C@@H](C)CCC1(C)C ZINC001263180709 993152374 /nfs/dbraw/zinc/15/23/74/993152374.db2.gz SJPARFYNCZVEIE-SRVKXCTJSA-N 0 3 240.391 2.539 20 0 BFADHN COC(=O)c1sccc1CNC1CCCC1 ZINC000378711478 993198650 /nfs/dbraw/zinc/19/86/50/993198650.db2.gz LLHDBIJGZLIZAJ-UHFFFAOYSA-N 0 3 239.340 2.567 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1ncccc1C)OC ZINC000381286371 993383405 /nfs/dbraw/zinc/38/34/05/993383405.db2.gz MPQASUURQUSQRQ-SMDDNHRTSA-N 0 3 236.359 2.541 20 0 BFADHN CC(C)n1cncc1CNC/C=C/C1CC1 ZINC000382082511 993440378 /nfs/dbraw/zinc/44/03/78/993440378.db2.gz IWCWZOFEILWYOU-ONEGZZNKSA-N 0 3 219.332 2.520 20 0 BFADHN CC1(C)CCC(n2cnc3c2CNCC3)CC1 ZINC000383943558 993531697 /nfs/dbraw/zinc/53/16/97/993531697.db2.gz VNTSWPDXJVEIKS-UHFFFAOYSA-N 0 3 233.359 2.670 20 0 BFADHN CC(C)(C)c1ncc(CNC/C=C\C2CC2)cn1 ZINC000386190523 993682107 /nfs/dbraw/zinc/68/21/07/993682107.db2.gz JXIKAFHNZCOVJG-PLNGDYQASA-N 0 3 245.370 2.830 20 0 BFADHN CC[C@@H](C)[C@@H](C(=O)OC)N(C)C[C@@H](C)CC ZINC001307472883 995371886 /nfs/dbraw/zinc/37/18/86/995371886.db2.gz NXUCKZCMQSDORQ-TUAOUCFPSA-N 0 3 229.364 2.552 20 0 BFADHN CC(C)C[C@H](C)N1CCN(C)c2ncccc2C1 ZINC001308026356 995523948 /nfs/dbraw/zinc/52/39/48/995523948.db2.gz FZBSRBDTQLKCIZ-ZDUSSCGKSA-N 0 3 247.386 2.768 20 0 BFADHN Cc1cc(C)cc([C@H](C)NCc2cnn(C)c2)c1 ZINC000107320148 177917037 /nfs/dbraw/zinc/91/70/37/177917037.db2.gz FHYCMCFLPHWLTB-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN CCC(C)(CC)NCc1cn(C(C)C)nn1 ZINC000336672377 168311649 /nfs/dbraw/zinc/31/16/49/168311649.db2.gz SKFSGSRTILBYCF-UHFFFAOYSA-N 0 3 224.352 2.527 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1nccn1C(F)F ZINC000268373058 398137578 /nfs/dbraw/zinc/13/75/78/398137578.db2.gz WLDNDAZZZIVTEQ-ZJUUUORDSA-N 0 3 243.301 2.947 20 0 BFADHN Fc1ccc2c(c1)[C@@H](NC[C@@H]1CCCCO1)CC2 ZINC000267053297 538914437 /nfs/dbraw/zinc/91/44/37/538914437.db2.gz FPRVESACHYXVFF-ZFWWWQNUSA-N 0 3 249.329 2.972 20 0 BFADHN CCC(CC)N(Cc1ccc(OC)nn1)C1CC1 ZINC000548912495 398139183 /nfs/dbraw/zinc/13/91/83/398139183.db2.gz XFNRBICYGDRRGS-UHFFFAOYSA-N 0 3 249.358 2.638 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@H](CC(C)C)C2)n1 ZINC000093338461 343977037 /nfs/dbraw/zinc/97/70/37/343977037.db2.gz BYAVCWRFVIWPIP-CYBMUJFWSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@H](CC(C)C)C2)n1 ZINC000093338461 343977038 /nfs/dbraw/zinc/97/70/38/343977038.db2.gz BYAVCWRFVIWPIP-CYBMUJFWSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1cc(C)nc(N[C@@H](C)COC(C)C)c1 ZINC000336729875 177945414 /nfs/dbraw/zinc/94/54/14/177945414.db2.gz DJVBEBIAIQCZRV-LBPRGKRZSA-N 0 3 222.332 2.924 20 0 BFADHN Fc1ccc2c(c1)[C@H](NCCOCC1CC1)CC2 ZINC000267033908 538913981 /nfs/dbraw/zinc/91/39/81/538913981.db2.gz BJGWDQWAZLTMPS-OAHLLOKOSA-N 0 3 249.329 2.829 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCCC1)c1ccncn1 ZINC000395802631 262792513 /nfs/dbraw/zinc/79/25/13/262792513.db2.gz ARZQOICJLSMUKC-WDEREUQCSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@@H](O)CCN1CCc2cc(F)ccc2[C@@H]1C ZINC000649319441 398142579 /nfs/dbraw/zinc/14/25/79/398142579.db2.gz BRGHTJJIGNZSAJ-MNOVXSKESA-N 0 3 237.318 2.516 20 0 BFADHN CCC(CC)(CCO)NCc1occc1C ZINC000282978780 168321579 /nfs/dbraw/zinc/32/15/79/168321579.db2.gz LWYBOVVSUVHJNM-UHFFFAOYSA-N 0 3 225.332 2.619 20 0 BFADHN Cc1cc(CCN[C@H](C)c2ccsc2)on1 ZINC000309447272 177966335 /nfs/dbraw/zinc/96/63/35/177966335.db2.gz LQBIFLMXJSRAOQ-SNVBAGLBSA-N 0 3 236.340 2.938 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@@H](C)CF ZINC000379409028 398145172 /nfs/dbraw/zinc/14/51/72/398145172.db2.gz CAGCFWADWQNSSH-BDAKNGLRSA-N 0 3 201.310 2.627 20 0 BFADHN Cc1ccc(CN2CC(C(C)(C)O)C2)c(C)c1 ZINC000297533564 398146321 /nfs/dbraw/zinc/14/63/21/398146321.db2.gz ZMHOJAZSQWGCCC-UHFFFAOYSA-N 0 3 233.355 2.506 20 0 BFADHN CCC(CC)(CO)CNCc1ccc(Cl)o1 ZINC000125999542 168328528 /nfs/dbraw/zinc/32/85/28/168328528.db2.gz VWRFWEKATZUGHN-UHFFFAOYSA-N 0 3 245.750 2.821 20 0 BFADHN CC1CCC(CN2CCC(=O)[C@@H](C)C2)CC1 ZINC000063145684 349499546 /nfs/dbraw/zinc/49/95/46/349499546.db2.gz RQBXVUUJMFCXPT-CPCZMJQVSA-N 0 3 223.360 2.724 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C3(CCC3)C2)ncn1 ZINC000293190370 178000237 /nfs/dbraw/zinc/00/02/37/178000237.db2.gz OHHQMZRYALZNHL-LBPRGKRZSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C[C@H]2C)on1 ZINC000265873391 178000909 /nfs/dbraw/zinc/00/09/09/178000909.db2.gz WHFVIDSMWUBERT-GXSJLCMTSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(CN2CC[C@@H](c3ccco3)C2)on1 ZINC000374008430 178001069 /nfs/dbraw/zinc/00/10/69/178001069.db2.gz QBISHTRBUSWQRI-LLVKDONJSA-N 0 3 232.283 2.566 20 0 BFADHN CCc1nn(C)cc1CNCC1=CCCCC1 ZINC000379556613 398154495 /nfs/dbraw/zinc/15/44/95/398154495.db2.gz ACKJCQRMGFSFGC-UHFFFAOYSA-N 0 3 233.359 2.573 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCCO2)cc(C)c1O ZINC000042814677 178022775 /nfs/dbraw/zinc/02/27/75/178022775.db2.gz AMFNZVCPGZVNIS-AWEZNQCLSA-N 0 3 249.354 2.668 20 0 BFADHN Cc1cc(CNCCOC(C)C)ccc1F ZINC000132735180 178020421 /nfs/dbraw/zinc/02/04/21/178020421.db2.gz FFJXLYZNDBGIIO-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN Cc1cc(CNC[C@]2(C)CCCO2)cs1 ZINC000336675137 178024589 /nfs/dbraw/zinc/02/45/89/178024589.db2.gz HHUBMDZZNMHYAV-LBPRGKRZSA-N 0 3 225.357 2.715 20 0 BFADHN Cc1cc(CNCc2c(C)cccc2C)n(C)n1 ZINC000283793242 178024791 /nfs/dbraw/zinc/02/47/91/178024791.db2.gz ALZIWHCAKANDDT-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN C[C@H](CNCC1(F)CC1)N(C)c1ccccc1 ZINC000527513532 398169873 /nfs/dbraw/zinc/16/98/73/398169873.db2.gz AOJNUHIYHQOXGJ-GFCCVEGCSA-N 0 3 236.334 2.603 20 0 BFADHN Cc1cc(CN[C@@H](C)C2CCCC2)on1 ZINC000054081387 178029601 /nfs/dbraw/zinc/02/96/01/178029601.db2.gz ZPPNYCUCEONMBV-JTQLQIEISA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@@H](C)Cn2ccnc2)ccc1F ZINC000050545499 178029674 /nfs/dbraw/zinc/02/96/74/178029674.db2.gz LAOVGKYHISKMFJ-LBPRGKRZSA-N 0 3 247.317 2.509 20 0 BFADHN Cc1cc(CN[C@@H](CO)CC(C)C)cs1 ZINC000296367703 178031622 /nfs/dbraw/zinc/03/16/22/178031622.db2.gz JTMBQFWDHAAIII-GFCCVEGCSA-N 0 3 227.373 2.553 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](C)[C@H]2C)ncn1 ZINC000272628316 178032870 /nfs/dbraw/zinc/03/28/70/178032870.db2.gz AHJHDWADWOJMBQ-ZKYQVNSYSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](C)C2)no1 ZINC000044692154 178033261 /nfs/dbraw/zinc/03/32/61/178033261.db2.gz LSCKOOOYNWTDDX-GXSJLCMTSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC[C@@H]2C)nc(C)n1 ZINC000336329998 178034188 /nfs/dbraw/zinc/03/41/88/178034188.db2.gz FZBMDQRPGAWMAH-IINYFYTJSA-N 0 3 233.359 2.762 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H]2C(C)(C)C)on1 ZINC000336739353 178035029 /nfs/dbraw/zinc/03/50/29/178035029.db2.gz PAMQSBAVTQHLEM-NWDGAFQWSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cccc(CN[C@]23C[C@H]2COC3(C)C)c1F ZINC000527764911 398173061 /nfs/dbraw/zinc/17/30/61/398173061.db2.gz OJMMFPAIDYXSAD-SWLSCSKDSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1cc(CN[C@H](C)[C@@H]2CC2(C)C)on1 ZINC000309122630 178036812 /nfs/dbraw/zinc/03/68/12/178036812.db2.gz OLGHGCBSNCQBDQ-KOLCDFICSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCC2(C)C)nn1C ZINC000334234091 136215770 /nfs/dbraw/zinc/21/57/70/136215770.db2.gz PHWQDWZTQWTXAQ-JQWIXIFHSA-N 0 3 221.348 2.568 20 0 BFADHN Cc1cc(CN[C@H]2CC3CCC2CC3)no1 ZINC000309818045 178039111 /nfs/dbraw/zinc/03/91/11/178039111.db2.gz CNQXSYBZCAYSPD-XIVSLSHWSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CCCC[C@@H]2C)nc(C)n1 ZINC000336329997 178040032 /nfs/dbraw/zinc/04/00/32/178040032.db2.gz FZBMDQRPGAWMAH-HZMBPMFUSA-N 0 3 233.359 2.762 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@H]2F)cc(C)c1O ZINC000354648574 178040252 /nfs/dbraw/zinc/04/02/52/178040252.db2.gz DWEHJTPNLROTHR-OLZOCXBDSA-N 0 3 237.318 2.989 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@@H]2F)cc(C)c1O ZINC000354648576 178040804 /nfs/dbraw/zinc/04/08/04/178040804.db2.gz DWEHJTPNLROTHR-STQMWFEESA-N 0 3 237.318 2.989 20 0 BFADHN Cc1cc(CN[C@H]2CCc3ccccc32)n(C)n1 ZINC000089182753 178041254 /nfs/dbraw/zinc/04/12/54/178041254.db2.gz DYDNGGDVMBCUKW-HNNXBMFYSA-N 0 3 241.338 2.506 20 0 BFADHN COC(C)(C)CCN(C)Cc1ccc(C)cn1 ZINC000649904288 398177545 /nfs/dbraw/zinc/17/75/45/398177545.db2.gz RHUSRYRKIOQVPL-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN COc1ncc(CN(C)CC2CC2)cc1Cl ZINC000533099612 398179412 /nfs/dbraw/zinc/17/94/12/398179412.db2.gz RYVWJCHTHFJDTQ-UHFFFAOYSA-N 0 3 240.734 2.585 20 0 BFADHN Cc1cc(C[C@H](C)N[C@@H](C)c2ccc(C)o2)[nH]n1 ZINC000090528902 178058137 /nfs/dbraw/zinc/05/81/37/178058137.db2.gz BKBVWMGQWCPIKD-CABZTGNLSA-N 0 3 247.342 2.901 20 0 BFADHN Cc1cc(C[C@H](C)N[C@@H](C)c2ccc(C)o2)n[nH]1 ZINC000090528902 178058139 /nfs/dbraw/zinc/05/81/39/178058139.db2.gz BKBVWMGQWCPIKD-CABZTGNLSA-N 0 3 247.342 2.901 20 0 BFADHN C[C@@H]1CC[C@H](O)CN1C/C=C/c1ccc(F)cc1 ZINC000421375959 192323154 /nfs/dbraw/zinc/32/31/54/192323154.db2.gz POMBDUOIBCHFDG-RDYIKARRSA-N 0 3 249.329 2.684 20 0 BFADHN Cc1csc(CNC[C@@H]2CCC(F)(F)C2)n1 ZINC000300907305 398163481 /nfs/dbraw/zinc/16/34/81/398163481.db2.gz XGCLFTWOZCDXOQ-SECBINFHSA-N 0 3 246.326 2.977 20 0 BFADHN CC1(C)OCC[C@@H]1N[C@H]1CCCc2cccnc21 ZINC000385469537 398165494 /nfs/dbraw/zinc/16/54/94/398165494.db2.gz BWPFCBKQABOJMU-STQMWFEESA-N 0 3 246.354 2.616 20 0 BFADHN CC1(C)OCC[C@H]1N[C@H]1CCCc2cccnc21 ZINC000385469534 398165924 /nfs/dbraw/zinc/16/59/24/398165924.db2.gz BWPFCBKQABOJMU-QWHCGFSZSA-N 0 3 246.354 2.616 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1c(C)noc1C)OC ZINC000386195132 398199370 /nfs/dbraw/zinc/19/93/70/398199370.db2.gz ZXWRMISIQOBWMS-CABZTGNLSA-N 0 3 240.347 2.757 20 0 BFADHN Cc1cc(F)cc2c1CN(C(C)C)CC2 ZINC000337413881 178080388 /nfs/dbraw/zinc/08/03/88/178080388.db2.gz QMPGERLJRXKUQO-UHFFFAOYSA-N 0 3 207.292 2.901 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@H]1O)c1ccccc1Cl ZINC000378737167 346818946 /nfs/dbraw/zinc/81/89/46/346818946.db2.gz NJCPVTBKZLWDLG-GGZOMVNGSA-N 0 3 225.719 2.514 20 0 BFADHN COc1c(C)cnc(CN2CCC[C@H]2C)c1C ZINC000072875266 398189434 /nfs/dbraw/zinc/18/94/34/398189434.db2.gz ZICQCHJPRMUVIA-LLVKDONJSA-N 0 3 234.343 2.691 20 0 BFADHN Cc1cc(F)ccc1CN1CCCO[C@@H](C)C1 ZINC000179398499 178085910 /nfs/dbraw/zinc/08/59/10/178085910.db2.gz ONZOLBJWFUITTQ-LBPRGKRZSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cc(F)ccc1CN[C@H](C)Cn1cccn1 ZINC000050576342 178087672 /nfs/dbraw/zinc/08/76/72/178087672.db2.gz YLOKYHIQDPFISK-GFCCVEGCSA-N 0 3 247.317 2.509 20 0 BFADHN CN(CCC1=CCCCC1)Cc1cn[nH]c1 ZINC000649385739 398190754 /nfs/dbraw/zinc/19/07/54/398190754.db2.gz YKZOFKMZXRNVKI-UHFFFAOYSA-N 0 3 219.332 2.732 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cn(C(C)C)nn1 ZINC000342167702 365537386 /nfs/dbraw/zinc/53/73/86/365537386.db2.gz OWCBBPCFABSDDB-YPMHNXCESA-N 0 3 236.363 2.527 20 0 BFADHN CC[C@H](C)[C@@H]1CCCCN1Cc1cncnc1 ZINC000271189335 538923532 /nfs/dbraw/zinc/92/35/32/538923532.db2.gz LAZRGBVCFWKHBB-JSGCOSHPSA-N 0 3 233.359 2.877 20 0 BFADHN CC/C=C\CCN1C[C@@H](CC)O[C@@H](C)C1 ZINC000342204278 365538734 /nfs/dbraw/zinc/53/87/34/365538734.db2.gz LZYVWZGPXVRMNR-ASOISWSRSA-N 0 3 211.349 2.842 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2cc(C)ccn2)C1(C)C ZINC000649970679 398200291 /nfs/dbraw/zinc/20/02/91/398200291.db2.gz DHAFXOUAPIILJX-HIFRSBDPSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1cncc(CN2CC[C@]3(CC3(F)F)C2)c1 ZINC000649908749 398185197 /nfs/dbraw/zinc/18/51/97/398185197.db2.gz VPJPDWUHSKUUKI-LBPRGKRZSA-N 0 3 238.281 2.621 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cccc(F)c1N ZINC000380577636 398201405 /nfs/dbraw/zinc/20/14/05/398201405.db2.gz ACAKRSMEFMPJDQ-VHSXEESVSA-N 0 3 222.307 2.638 20 0 BFADHN COCC[C@@H](C)N1CCc2cc(F)ccc2C1 ZINC000271082973 538923843 /nfs/dbraw/zinc/92/38/43/538923843.db2.gz AFXXTLRCJRPRPH-LLVKDONJSA-N 0 3 237.318 2.609 20 0 BFADHN Cc1noc(C)c1CCN1CCC[C@@H](C)C1 ZINC000164710763 398203527 /nfs/dbraw/zinc/20/35/27/398203527.db2.gz OSIQTADKKUISOK-SNVBAGLBSA-N 0 3 222.332 2.566 20 0 BFADHN Cn1cc([C@@H](NCC2CC2)c2ccccc2)cn1 ZINC000272943525 538926542 /nfs/dbraw/zinc/92/65/42/538926542.db2.gz NWZUSCNCOMMAMT-HNNXBMFYSA-N 0 3 241.338 2.509 20 0 BFADHN Cn1cc([C@H](NCC2CC2)c2ccccc2)cn1 ZINC000272943527 538926269 /nfs/dbraw/zinc/92/62/69/538926269.db2.gz NWZUSCNCOMMAMT-OAHLLOKOSA-N 0 3 241.338 2.509 20 0 BFADHN Cc1cccc(CN2CCOC[C@H]2C2CCC2)c1 ZINC000576343116 365570494 /nfs/dbraw/zinc/57/04/94/365570494.db2.gz JVJHWOHOFUNNIK-INIZCTEOSA-N 0 3 245.366 2.996 20 0 BFADHN Cc1cc(NC(=O)C(C)C(F)(F)F)ccc1O ZINC000334870494 178146912 /nfs/dbraw/zinc/14/69/12/178146912.db2.gz CTJGMKFDSNKRJB-ZETCQYMHSA-N 0 3 247.216 2.838 20 0 BFADHN Fc1ccc(F)c(CN[C@@H]2CCCSC2)c1 ZINC000075183198 398217816 /nfs/dbraw/zinc/21/78/16/398217816.db2.gz ICZBYMDECVRECB-LLVKDONJSA-N 0 3 243.322 2.950 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCC[C@@H]3C[C@@H]32)c2nccn21 ZINC000414173536 398218897 /nfs/dbraw/zinc/21/88/97/398218897.db2.gz GLHFEOHTSKQWHI-UJPOAAIJSA-N 0 3 231.343 2.667 20 0 BFADHN C[C@@H](CSc1ccc2n[nH]cc2c1)N(C)C ZINC000413013012 398210926 /nfs/dbraw/zinc/21/09/26/398210926.db2.gz ADAOFTCZVWFCAK-VIFPVBQESA-N 0 3 235.356 2.605 20 0 BFADHN CN(C)CCOc1ccc(-c2ccco2)cc1 ZINC000649423972 398225651 /nfs/dbraw/zinc/22/56/51/398225651.db2.gz CZWCVJYEOFTFKD-UHFFFAOYSA-N 0 3 231.295 2.887 20 0 BFADHN CN(C)CCOc1cccc(-c2ccco2)c1 ZINC000649424050 398225756 /nfs/dbraw/zinc/22/57/56/398225756.db2.gz HEPFSHIPLQRTIN-UHFFFAOYSA-N 0 3 231.295 2.887 20 0 BFADHN c1cc(CNCCc2cscn2)cs1 ZINC000082626210 136221851 /nfs/dbraw/zinc/22/18/51/136221851.db2.gz BLXHXJUEIPGYGZ-UHFFFAOYSA-N 0 3 224.354 2.537 20 0 BFADHN CCC(CC)CN(CC)C(=O)[C@@H](N)[C@@H](C)CC ZINC000036199867 168348349 /nfs/dbraw/zinc/34/83/49/168348349.db2.gz AMIHRENEHNFUSK-AAEUAGOBSA-N 0 3 242.407 2.645 20 0 BFADHN CCC(CC)CN(CC)Cc1ccno1 ZINC000265092683 168350245 /nfs/dbraw/zinc/35/02/45/168350245.db2.gz AUIBMNVZZVQAGO-UHFFFAOYSA-N 0 3 210.321 2.933 20 0 BFADHN CCC(CC)CN(CC)C(=O)[C@@H](CC)N(C)C ZINC000124803616 168348791 /nfs/dbraw/zinc/34/87/91/168348791.db2.gz CJJSHRJULJRJQM-CYBMUJFWSA-N 0 3 242.407 2.611 20 0 BFADHN CC1=C[C@H](C)CN(CCOc2ccccc2)C1 ZINC000430280578 398226025 /nfs/dbraw/zinc/22/60/25/398226025.db2.gz QCVQPZWVKCHVCM-ZDUSSCGKSA-N 0 3 231.339 2.963 20 0 BFADHN CC(C)CC(C)(C)CNC(=O)[C@@H](N)C(C)(C)C ZINC000162895159 398223909 /nfs/dbraw/zinc/22/39/09/398223909.db2.gz NOWFOGGDAXLCOS-LLVKDONJSA-N 0 3 242.407 2.548 20 0 BFADHN Cc1nn(C(C)C)cc1CN[C@@H]1CC[C@H](F)C1 ZINC000342315742 136222734 /nfs/dbraw/zinc/22/27/34/136222734.db2.gz ZQDPRAPQCKZTSW-QWHCGFSZSA-N 0 3 239.338 2.753 20 0 BFADHN C[C@@H]1C[C@H](O)CN(Cc2cccc(C3CC3)c2)C1 ZINC000421382737 192326060 /nfs/dbraw/zinc/32/60/60/192326060.db2.gz MVGKVPANLIGOGO-WBMJQRKESA-N 0 3 245.366 2.767 20 0 BFADHN CCn1cncc1CN1CC[C@H](C2CCCC2)C1 ZINC000421386642 192327333 /nfs/dbraw/zinc/32/73/33/192327333.db2.gz HWNLZQFQJKKQEQ-AWEZNQCLSA-N 0 3 247.386 2.915 20 0 BFADHN C/C=C/CNCc1ccccc1OC(F)F ZINC000394978216 398227139 /nfs/dbraw/zinc/22/71/39/398227139.db2.gz UUJUPCKVYHPMLN-NSCUHMNNSA-N 0 3 227.254 2.954 20 0 BFADHN CCC(CC)CN1CCO[C@H](C2CC2)C1 ZINC000362516148 168353199 /nfs/dbraw/zinc/35/31/99/168353199.db2.gz MTSOSFDWZXRPTQ-ZDUSSCGKSA-N 0 3 211.349 2.533 20 0 BFADHN COC1(CNCc2cc(C)nc(C)c2)CCCC1 ZINC000421390883 192328379 /nfs/dbraw/zinc/32/83/79/192328379.db2.gz VOXTTZSUHPKCTH-UHFFFAOYSA-N 0 3 248.370 2.747 20 0 BFADHN Cc1cnc(CN2CC[C@H](C3CCCC3)C2)n1C ZINC000421394858 192328974 /nfs/dbraw/zinc/32/89/74/192328974.db2.gz UGSOZLMIYCPYOL-AWEZNQCLSA-N 0 3 247.386 2.741 20 0 BFADHN c1ccc([C@@H]2C[C@@H]2CN2CC[C@@]23CCOC3)cc1 ZINC000421402279 192330808 /nfs/dbraw/zinc/33/08/08/192330808.db2.gz MIKZICAFNCONDP-PMPSAXMXSA-N 0 3 243.350 2.655 20 0 BFADHN C[C@H](CN1CC[C@]12CCOC2)c1ccc(F)cc1 ZINC000421404752 192331309 /nfs/dbraw/zinc/33/13/09/192331309.db2.gz QEBCJQYGNDHEMI-IUODEOHRSA-N 0 3 249.329 2.794 20 0 BFADHN Cc1cc([C@@H](C)NCC2(C)CCCC2)nn1C ZINC000337394498 178223127 /nfs/dbraw/zinc/22/31/27/178223127.db2.gz BMGNEEXKWYQMBI-GFCCVEGCSA-N 0 3 235.375 2.959 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@@H]2CCOC2)oc1C ZINC000337492535 178224246 /nfs/dbraw/zinc/22/42/46/178224246.db2.gz XBVSEVVGLWKELQ-DGCLKSJQSA-N 0 3 237.343 2.974 20 0 BFADHN Cc1cc([C@@H](C)NCCOCC2CC2)c(C)o1 ZINC000183787657 178224349 /nfs/dbraw/zinc/22/43/49/178224349.db2.gz XTQXLJPYVANJJV-LLVKDONJSA-N 0 3 237.343 2.974 20 0 BFADHN Cc1cc([C@@H](C)NCCC2CCCC2)nn1C ZINC000337392399 178224643 /nfs/dbraw/zinc/22/46/43/178224643.db2.gz BAGOGQYWBBLABJ-GFCCVEGCSA-N 0 3 235.375 2.959 20 0 BFADHN CCC(CC)CNC(=O)C[C@@H](N)c1ccccc1 ZINC000083379025 168354917 /nfs/dbraw/zinc/35/49/17/168354917.db2.gz KFQAOXLPMDZBSP-CQSZACIVSA-N 0 3 248.370 2.629 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@H]2CCOC2)oc1C ZINC000337492537 178225355 /nfs/dbraw/zinc/22/53/55/178225355.db2.gz XBVSEVVGLWKELQ-YPMHNXCESA-N 0 3 237.343 2.974 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](CO)C2CC2)oc1C ZINC000353494467 178226193 /nfs/dbraw/zinc/22/61/93/178226193.db2.gz YLJYTGOXODSWIP-ZWNOBZJWSA-N 0 3 237.343 2.566 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2CC=CCC2)nn1C ZINC000281953443 178226534 /nfs/dbraw/zinc/22/65/34/178226534.db2.gz ARHKFGDXQNQOLF-OLZOCXBDSA-N 0 3 233.359 2.735 20 0 BFADHN Cc1cc([C@@H](C)NCCc2cn[nH]c2)c(C)o1 ZINC000289638732 178227902 /nfs/dbraw/zinc/22/79/02/178227902.db2.gz FNWAQOODBPYHRB-SNVBAGLBSA-N 0 3 233.315 2.513 20 0 BFADHN Cc1cc([C@@H](C)NC[C@](C)(O)C2CC2)c(C)o1 ZINC000336717205 178228588 /nfs/dbraw/zinc/22/85/88/178228588.db2.gz QIGPOSSBHGZPPT-YGRLFVJLSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)CCCO)oc1C ZINC000281997780 178230554 /nfs/dbraw/zinc/23/05/54/178230554.db2.gz CUUVRNIERBHKMC-WDEREUQCSA-N 0 3 225.332 2.708 20 0 BFADHN OC1(CCCNCc2ccsc2)CCC1 ZINC000421369292 192334798 /nfs/dbraw/zinc/33/47/98/192334798.db2.gz HBJQOGNUPRAYSG-UHFFFAOYSA-N 0 3 225.357 2.533 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCCOC2)c(C)o1 ZINC000071011899 178233357 /nfs/dbraw/zinc/23/33/57/178233357.db2.gz GQKZWSJFPGNKLR-PWSUYJOCSA-N 0 3 223.316 2.726 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCCC[C@@H]2C)nn1C ZINC000337401167 178253135 /nfs/dbraw/zinc/25/31/35/178253135.db2.gz PTOPDYJCVOPKOD-WCFLWFBJSA-N 0 3 235.375 2.958 20 0 BFADHN CCn1nccc1CN(C)CC1CCCCC1 ZINC000179936699 259305399 /nfs/dbraw/zinc/30/53/99/259305399.db2.gz MFNTYNSDFIOUDG-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1cc([C@@H]2CCCN2CCC2CC2)on1 ZINC000336666953 178241477 /nfs/dbraw/zinc/24/14/77/178241477.db2.gz MEFFXNLZWLYIIJ-LBPRGKRZSA-N 0 3 220.316 2.920 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCC[C@H](C)C2)nn1C ZINC000337490322 178253530 /nfs/dbraw/zinc/25/35/30/178253530.db2.gz VQVJDHDJMKYNIH-WCFLWFBJSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@H](C)NC(C2CC2)C2CC2)no1 ZINC000336750389 178245247 /nfs/dbraw/zinc/24/52/47/178245247.db2.gz UUMVGWVCNGHQBJ-VIFPVBQESA-N 0 3 220.316 2.822 20 0 BFADHN Cc1cc([C@H](C)NCC2CCCCC2)nn1C ZINC000337468377 178246372 /nfs/dbraw/zinc/24/63/72/178246372.db2.gz MPSZSNKPQUTQGW-LBPRGKRZSA-N 0 3 235.375 2.959 20 0 BFADHN Cc1cc([C@H](C)NCCCn2ccnc2)c(C)o1 ZINC000020506066 178246475 /nfs/dbraw/zinc/24/64/75/178246475.db2.gz XQUZLIJDZAAIIR-LBPRGKRZSA-N 0 3 247.342 2.834 20 0 BFADHN Cc1cc([C@H](C)NCCC(C)(C)O)oc1C ZINC000282939411 178246810 /nfs/dbraw/zinc/24/68/10/178246810.db2.gz YTJGYQDVMDBYLO-JTQLQIEISA-N 0 3 225.332 2.708 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2nccnc2C)o1 ZINC000525858357 398233682 /nfs/dbraw/zinc/23/36/82/398233682.db2.gz LKFYYGHIZLPLHT-LLVKDONJSA-N 0 3 245.326 2.580 20 0 BFADHN Cc1cc([C@H](C)NCC2=CCCOC2)c(C)o1 ZINC000126860008 178246910 /nfs/dbraw/zinc/24/69/10/178246910.db2.gz BWKWFPHTTIYWAP-NSHDSACASA-N 0 3 235.327 2.894 20 0 BFADHN Cc1cc([C@H](C)NCC[C@@H](C)CCO)oc1C ZINC000297355572 178248729 /nfs/dbraw/zinc/24/87/29/178248729.db2.gz PKQVRDXNPXOSCA-PWSUYJOCSA-N 0 3 239.359 2.956 20 0 BFADHN Cc1cc([C@H](C)NCCn2ccnc2C)c(C)o1 ZINC000044730232 178249338 /nfs/dbraw/zinc/24/93/38/178249338.db2.gz QLJIGJBKTLVUSI-NSHDSACASA-N 0 3 247.342 2.752 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CC[C@H](C)C2)nn1C ZINC000337481072 178250113 /nfs/dbraw/zinc/25/01/13/178250113.db2.gz QQHCKDINOPUTBR-DRZSPHRISA-N 0 3 235.375 2.815 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCC[C@@H]2C)no1 ZINC000282876748 178253952 /nfs/dbraw/zinc/25/39/52/178253952.db2.gz VLLULVBVKQFWEQ-INTQDDNPSA-N 0 3 208.305 2.822 20 0 BFADHN Cc1cc([C@H](C)NC[C@H]2CCCO2)cs1 ZINC000294338162 178250216 /nfs/dbraw/zinc/25/02/16/178250216.db2.gz YHFHBXNLFXDMHG-CMPLNLGQSA-N 0 3 225.357 2.886 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)CCC2CC2)nn1C ZINC000337458868 178252376 /nfs/dbraw/zinc/25/23/76/178252376.db2.gz JVGIUWHWYGGYLW-JQWIXIFHSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCCC[C@H]2O)oc1C ZINC000282817393 178254224 /nfs/dbraw/zinc/25/42/24/178254224.db2.gz RNWUKXCVDKGCAW-CYZMBNFOSA-N 0 3 237.343 2.851 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)Cn2ccnc2)cs1 ZINC000294300488 178254484 /nfs/dbraw/zinc/25/44/84/178254484.db2.gz UYLUXUHDKKNLQQ-JQWIXIFHSA-N 0 3 249.383 2.992 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@H](O)C2(C)C)c(C)o1 ZINC000129348401 178254827 /nfs/dbraw/zinc/25/48/27/178254827.db2.gz HNFRKZGJBCTDBF-BIMULSAOSA-N 0 3 237.343 2.706 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2C[C@@H](O)C2)c(C)s1 ZINC000295902868 178256608 /nfs/dbraw/zinc/25/66/08/178256608.db2.gz IOYUNJTXSNXEJR-INTQDDNPSA-N 0 3 225.357 2.539 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCN(C3CC3)C2)c(C)o1 ZINC000044685599 178257527 /nfs/dbraw/zinc/25/75/27/178257527.db2.gz DZCZYPFPWHXESS-AAEUAGOBSA-N 0 3 248.370 2.784 20 0 BFADHN C[C@H](CC(F)(F)F)NCc1cccnc1 ZINC000151102997 398238507 /nfs/dbraw/zinc/23/85/07/398238507.db2.gz JEDCHADXQCJCHC-MRVPVSSYSA-N 0 3 218.222 2.512 20 0 BFADHN C[C@@H](CCO)CNCc1ccsc1Cl ZINC000336700617 398240027 /nfs/dbraw/zinc/24/00/27/398240027.db2.gz XFFLKSAIUVACRS-QMMMGPOBSA-N 0 3 233.764 2.510 20 0 BFADHN COCc1ccc(CNC2C(C)(C)C2(C)C)o1 ZINC000349192765 398245409 /nfs/dbraw/zinc/24/54/09/398245409.db2.gz PIEKMKCWCLZNCW-UHFFFAOYSA-N 0 3 237.343 2.950 20 0 BFADHN Cc1ccnc([C@H]2CCN(CCCF)C2)c1 ZINC000576527371 365618786 /nfs/dbraw/zinc/61/87/86/365618786.db2.gz YSTPTEXJROWOED-LBPRGKRZSA-N 0 3 222.307 2.539 20 0 BFADHN C[C@@H](NCCc1ccccc1Cl)c1ncc[nH]1 ZINC000285967187 538942404 /nfs/dbraw/zinc/94/24/04/538942404.db2.gz GYOMFNSDZPTQJP-SNVBAGLBSA-N 0 3 249.745 2.956 20 0 BFADHN C[C@@H]1C[C@@H](c2ccccc2)N(Cc2c[nH]cn2)C1 ZINC000285888168 538942481 /nfs/dbraw/zinc/94/24/81/538942481.db2.gz ZATRKNTUHYRPCX-DOMZBBRYSA-N 0 3 241.338 2.993 20 0 BFADHN C[C@@H]1C[C@@H](c2ccccc2)N(Cc2cnc[nH]2)C1 ZINC000285888168 538942483 /nfs/dbraw/zinc/94/24/83/538942483.db2.gz ZATRKNTUHYRPCX-DOMZBBRYSA-N 0 3 241.338 2.993 20 0 BFADHN C[C@@H]1C[C@H](c2ccccc2)N(Cc2c[nH]cn2)C1 ZINC000285888170 538942607 /nfs/dbraw/zinc/94/26/07/538942607.db2.gz ZATRKNTUHYRPCX-IUODEOHRSA-N 0 3 241.338 2.993 20 0 BFADHN C[C@@H]1C[C@H](c2ccccc2)N(Cc2cnc[nH]2)C1 ZINC000285888170 538942608 /nfs/dbraw/zinc/94/26/08/538942608.db2.gz ZATRKNTUHYRPCX-IUODEOHRSA-N 0 3 241.338 2.993 20 0 BFADHN C[C@@H](NC1(Cc2ccccc2)CC1)c1ncc[nH]1 ZINC000286176994 538942905 /nfs/dbraw/zinc/94/29/05/538942905.db2.gz QEKQZQUZQXZLAN-GFCCVEGCSA-N 0 3 241.338 2.836 20 0 BFADHN CN(Cc1cnn(-c2ccccc2)c1)CC1CC1 ZINC000076747726 398246130 /nfs/dbraw/zinc/24/61/30/398246130.db2.gz YQTCNOHJADXOII-UHFFFAOYSA-N 0 3 241.338 2.714 20 0 BFADHN Cc1cc2cc([C@H](C)NCCO)oc2cc1C ZINC000268720000 178295879 /nfs/dbraw/zinc/29/58/79/178295879.db2.gz HXIAUFFUCXHBHU-NSHDSACASA-N 0 3 233.311 2.693 20 0 BFADHN Cc1ccc(-c2ccc3c(n2)OCCNC3)cc1 ZINC000085221735 178325041 /nfs/dbraw/zinc/32/50/41/178325041.db2.gz BALOSFFMPSUFNC-UHFFFAOYSA-N 0 3 240.306 2.539 20 0 BFADHN CC[C@@H]1CCN(Cc2c(C)nc3ccccn32)C1 ZINC000162009880 349536528 /nfs/dbraw/zinc/53/65/28/349536528.db2.gz PRFFAHBYLYBOCH-CYBMUJFWSA-N 0 3 243.354 2.875 20 0 BFADHN C[C@@H](NCCCNc1ccccc1)c1ncc[nH]1 ZINC000286267464 538943923 /nfs/dbraw/zinc/94/39/23/538943923.db2.gz VFUFVOBYXWLPSJ-GFCCVEGCSA-N 0 3 244.342 2.563 20 0 BFADHN CCOC[C@@H]1CCCCN1CCCC(=O)CC ZINC000313449054 398251597 /nfs/dbraw/zinc/25/15/97/398251597.db2.gz XDJGVOWKHXNIQH-ZDUSSCGKSA-N 0 3 241.375 2.637 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2CCCC2)cc1 ZINC000010003104 178316897 /nfs/dbraw/zinc/31/68/97/178316897.db2.gz HVOHQBKZDJFDFN-UHFFFAOYSA-N 0 3 241.338 2.981 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC2(F)F)on1 ZINC000381547264 398254227 /nfs/dbraw/zinc/25/42/27/398254227.db2.gz TVVYSPUUGSKKJA-SECBINFHSA-N 0 3 230.258 2.508 20 0 BFADHN C[C@H](NC[C@@H]1CCCC(F)(F)C1)c1ncc[nH]1 ZINC000287282017 538944291 /nfs/dbraw/zinc/94/42/91/538944291.db2.gz CWZUQYXPZNZOAC-VHSXEESVSA-N 0 3 243.301 2.886 20 0 BFADHN CC(C)c1cc(CN[C@@H](C)C(C)C)on1 ZINC000167734859 538944312 /nfs/dbraw/zinc/94/43/12/538944312.db2.gz LFJZLESJJRERLZ-JTQLQIEISA-N 0 3 210.321 2.932 20 0 BFADHN CCn1cncc1CN(C)[C@H]1CCC[C@H](C)C1 ZINC000417697684 398253101 /nfs/dbraw/zinc/25/31/01/398253101.db2.gz CZEOYHMIWCBBSO-STQMWFEESA-N 0 3 235.375 2.914 20 0 BFADHN CCc1cnc(CN2C[C@H](C)[C@@H](C)[C@H]2C)o1 ZINC000576732830 365671517 /nfs/dbraw/zinc/67/15/17/365671517.db2.gz QJPAHIDWCIYEBM-HBNTYKKESA-N 0 3 222.332 2.713 20 0 BFADHN FCCCCNCc1ccc(F)cc1F ZINC000379915044 365671928 /nfs/dbraw/zinc/67/19/28/365671928.db2.gz OVUYINNABVUOPJ-UHFFFAOYSA-N 0 3 217.234 2.804 20 0 BFADHN CSCCN(C)CCc1cccc(F)c1F ZINC000343529596 136231253 /nfs/dbraw/zinc/23/12/53/136231253.db2.gz BXESQQPRICFZMU-UHFFFAOYSA-N 0 3 245.338 2.802 20 0 BFADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1C[C@@H]1C ZINC000177307784 538951880 /nfs/dbraw/zinc/95/18/80/538951880.db2.gz IMLLHWHAGFBNOU-WCQYABFASA-N 0 3 246.354 2.651 20 0 BFADHN Cc1ccc(F)c(CNC[C@]2(C)CCOC2)c1 ZINC000344383128 365686472 /nfs/dbraw/zinc/68/64/72/365686472.db2.gz OBMJAWAVKJZQNK-AWEZNQCLSA-N 0 3 237.318 2.650 20 0 BFADHN CCc1nn(C)cc1CN([C@@H](C)C1CC1)C1CC1 ZINC000179731230 538956153 /nfs/dbraw/zinc/95/61/53/538956153.db2.gz QSGCHHFXGIRTEC-NSHDSACASA-N 0 3 247.386 2.745 20 0 BFADHN CCOc1c(Cl)cccc1CNC1CC1 ZINC000165250589 361837041 /nfs/dbraw/zinc/83/70/41/361837041.db2.gz KXNDKOJMPFUYBF-UHFFFAOYSA-N 0 3 225.719 2.991 20 0 BFADHN CCc1cc(N(C)CC(C)(C)OC)ccn1 ZINC000583912627 342680849 /nfs/dbraw/zinc/68/08/49/342680849.db2.gz KDLSJWJWXQYTEC-UHFFFAOYSA-N 0 3 222.332 2.505 20 0 BFADHN C[C@H](CCc1ccco1)NCc1ccncc1F ZINC000449059238 398274698 /nfs/dbraw/zinc/27/46/98/398274698.db2.gz PCSOSLSSXABIAR-LLVKDONJSA-N 0 3 248.301 2.925 20 0 BFADHN CS[C@H]1CCC[C@@H](NCc2cnc(C)o2)C1 ZINC000600131059 342684707 /nfs/dbraw/zinc/68/47/07/342684707.db2.gz CBWAPIRULLDXGN-PWSUYJOCSA-N 0 3 240.372 2.747 20 0 BFADHN CCC(CC)CNCc1ccncc1F ZINC000449078139 398274902 /nfs/dbraw/zinc/27/49/02/398274902.db2.gz ZYSWOXLQNNHKBG-UHFFFAOYSA-N 0 3 210.296 2.747 20 0 BFADHN CCSC1(CNCc2oc(C)nc2C)CC1 ZINC000600174683 342695856 /nfs/dbraw/zinc/69/58/56/342695856.db2.gz MKGNPFOVQQPMSY-UHFFFAOYSA-N 0 3 240.372 2.667 20 0 BFADHN CSCC1(CCNCc2cnc(C)o2)CC1 ZINC000600179547 342696832 /nfs/dbraw/zinc/69/68/32/342696832.db2.gz AYANWFVSURLKSX-UHFFFAOYSA-N 0 3 240.372 2.606 20 0 BFADHN CCC[C@H](CC)NCc1ccncc1F ZINC000449133655 398278530 /nfs/dbraw/zinc/27/85/30/398278530.db2.gz ZHJCDPYAHSGWHY-NSHDSACASA-N 0 3 210.296 2.889 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@H]1CCOC1)CCCO2 ZINC000182386723 538963412 /nfs/dbraw/zinc/96/34/12/538963412.db2.gz ZYYSECQCVKPODP-GXTWGEPZSA-N 0 3 247.338 2.587 20 0 BFADHN CCSCCN1CC(Cc2ccco2)C1 ZINC000600196624 342698462 /nfs/dbraw/zinc/69/84/62/342698462.db2.gz BMEKFAGLECFUFC-UHFFFAOYSA-N 0 3 225.357 2.507 20 0 BFADHN COC[C@@H](C)N[C@H]1CSCc2ccccc21 ZINC000037196384 538961986 /nfs/dbraw/zinc/96/19/86/538961986.db2.gz BQEANQJSSKAKEF-MFKMUULPSA-N 0 3 237.368 2.599 20 0 BFADHN CCn1ccc(CN(C)CC2CCC(=O)CC2)c1 ZINC000649479934 398277549 /nfs/dbraw/zinc/27/75/49/398277549.db2.gz HVTUFZNYCVDULI-UHFFFAOYSA-N 0 3 248.370 2.699 20 0 BFADHN C[C@H](N[C@H]1CCS[C@H]1C)c1ccc(F)cn1 ZINC000381870909 398281183 /nfs/dbraw/zinc/28/11/83/398281183.db2.gz YTHOFZGIKHTRBS-AUTRQRHGSA-N 0 3 240.347 2.765 20 0 BFADHN CCCC1(CNCc2ccncc2F)CC1 ZINC000449181789 398281611 /nfs/dbraw/zinc/28/16/11/398281611.db2.gz BDNVQRLXRJFITK-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN Cc1cc(C)cc(CNCc2ccncc2)c1 ZINC000037978576 538964717 /nfs/dbraw/zinc/96/47/17/538964717.db2.gz LAEFVHMNJCXUEW-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN C[C@@H](NC[C@@H]1CCCOC1)c1ccccc1F ZINC000038044037 538964873 /nfs/dbraw/zinc/96/48/73/538964873.db2.gz BMHFWSWLPQGIEF-NEPJUHHUSA-N 0 3 237.318 2.903 20 0 BFADHN CC[C@@H](N)C(=O)N(C)[C@@H](C)c1cc(C)ccc1C ZINC000583935531 342728607 /nfs/dbraw/zinc/72/86/07/342728607.db2.gz YOYXOWCPPBVCEY-GXTWGEPZSA-N 0 3 248.370 2.560 20 0 BFADHN CCc1nn(C)cc1CN(C)C1CCCCC1 ZINC000183454847 538967209 /nfs/dbraw/zinc/96/72/09/538967209.db2.gz CLTUUKUYZQLNRO-UHFFFAOYSA-N 0 3 235.375 2.747 20 0 BFADHN Cn1ccc(CNC[C@@H]2C[C@H]2C2CCCCC2)n1 ZINC000583947818 342730085 /nfs/dbraw/zinc/73/00/85/342730085.db2.gz FOSOEXARBHIXSS-ZFWWWQNUSA-N 0 3 247.386 2.726 20 0 BFADHN COc1ccccc1[C@H](C)NCC1(CO)CCC1 ZINC000184520628 538969019 /nfs/dbraw/zinc/96/90/19/538969019.db2.gz MFVIMYIUTUFPJH-LBPRGKRZSA-N 0 3 249.354 2.508 20 0 BFADHN CCC(CC)NC(=O)c1ccc(CN(C)C)cc1 ZINC000073047118 168385842 /nfs/dbraw/zinc/38/58/42/168385842.db2.gz XRJSVARXXLKNMO-UHFFFAOYSA-N 0 3 248.370 2.667 20 0 BFADHN CCc1ccc([C@@H](C)NCC2(CO)CCC2)o1 ZINC000184521930 538969220 /nfs/dbraw/zinc/96/92/20/538969220.db2.gz SWHGPZVSXLXTKW-LLVKDONJSA-N 0 3 237.343 2.655 20 0 BFADHN CCN(Cc1cc2n(n1)CCCC2)C1CCCC1 ZINC000649488659 398283807 /nfs/dbraw/zinc/28/38/07/398283807.db2.gz UZTPTJQLGKYPDK-UHFFFAOYSA-N 0 3 247.386 2.984 20 0 BFADHN COc1ccccc1CN(C)C(C)(C)C ZINC000145602409 342761249 /nfs/dbraw/zinc/76/12/49/342761249.db2.gz XZKMQVZJWBAOAJ-UHFFFAOYSA-N 0 3 207.317 2.926 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](CO)C(C)C)o1 ZINC000562064383 323011236 /nfs/dbraw/zinc/01/12/36/323011236.db2.gz LBGRVMNJESLSSV-VXGBXAGGSA-N 0 3 239.359 2.757 20 0 BFADHN CCC(CC)NCc1c(C)nn(C)c1Cl ZINC000135047917 168388911 /nfs/dbraw/zinc/38/89/11/168388911.db2.gz ZYYMDKOUMAAELO-UHFFFAOYSA-N 0 3 229.755 2.660 20 0 BFADHN CCCN(Cc1cc(C)no1)CC1CC1 ZINC000045003978 342770630 /nfs/dbraw/zinc/77/06/30/342770630.db2.gz SXMYUZVLWWTZEK-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN CCC(CC)NCC(=O)Nc1cccc(F)c1 ZINC000020037309 168387681 /nfs/dbraw/zinc/38/76/81/168387681.db2.gz VLKQJLPVPVIHJO-UHFFFAOYSA-N 0 3 238.306 2.542 20 0 BFADHN CCC(C)(C)CCN[C@H](C(N)=O)c1ccccc1 ZINC000634576724 342781910 /nfs/dbraw/zinc/78/19/10/342781910.db2.gz YTXPYMYFPMIMPX-ZDUSSCGKSA-N 0 3 248.370 2.629 20 0 BFADHN CCOCCCN(Cc1ccc(C)o1)C1CC1 ZINC000607727009 342782542 /nfs/dbraw/zinc/78/25/42/342782542.db2.gz VADDTRPZUQJFOS-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN C[C@@H]1CCC[C@@H](N(C)Cc2cc3n(n2)CCC3)C1 ZINC000649487469 398284792 /nfs/dbraw/zinc/28/47/92/398284792.db2.gz DNJIOQMCTOFYIB-TZMCWYRMSA-N 0 3 247.386 2.840 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1nc(C)c(C)o1 ZINC000075021916 342791955 /nfs/dbraw/zinc/79/19/55/342791955.db2.gz KZFKWQALVVQFSE-JOYOIKCWSA-N 0 3 222.332 2.912 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@H]1NCc1cc[nH]n1 ZINC000309711789 342794292 /nfs/dbraw/zinc/79/42/92/342794292.db2.gz QWIUWAIUYMKWJQ-DMDPSCGWSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@H](C1CC1)N(Cc1cc2n(n1)CCC2)C1CC1 ZINC000649487884 398286007 /nfs/dbraw/zinc/28/60/07/398286007.db2.gz TZHDTKSYASRASK-LLVKDONJSA-N 0 3 245.370 2.592 20 0 BFADHN CCC(CC)N[C@@H](C)c1cc(C)n(C)n1 ZINC000282162730 168391974 /nfs/dbraw/zinc/39/19/74/168391974.db2.gz LTTQHDQMSXMLOA-JTQLQIEISA-N 0 3 209.337 2.568 20 0 BFADHN CCC(CC)N[C@@H](c1nccn1C)C1CC1 ZINC000336736903 168391841 /nfs/dbraw/zinc/39/18/41/168391841.db2.gz NDQCLLBLPPMUCY-GFCCVEGCSA-N 0 3 221.348 2.649 20 0 BFADHN CCC(CC)[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000372908259 168392801 /nfs/dbraw/zinc/39/28/01/168392801.db2.gz SOHJKLCCZXSIFE-CYBMUJFWSA-N 0 3 244.342 2.672 20 0 BFADHN CCC(CC)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000372908259 168392803 /nfs/dbraw/zinc/39/28/03/168392803.db2.gz SOHJKLCCZXSIFE-CYBMUJFWSA-N 0 3 244.342 2.672 20 0 BFADHN Fc1ccc(CCNCc2nccs2)cc1 ZINC000022864152 342815811 /nfs/dbraw/zinc/81/58/11/342815811.db2.gz LXQOAFJKRTWBCM-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN c1cc(CNC2CCC(=C3CCC3)CC2)n[nH]1 ZINC000630054706 361919820 /nfs/dbraw/zinc/91/98/20/361919820.db2.gz FRPQBJYYXCWIKT-UHFFFAOYSA-N 0 3 231.343 2.922 20 0 BFADHN C[C@@H](N[C@@H]1CCCCC[C@H]1O)c1ccoc1 ZINC000378672176 538973570 /nfs/dbraw/zinc/97/35/70/538973570.db2.gz LBPCJQMWYBXRCX-RAIGVLPGSA-N 0 3 223.316 2.624 20 0 BFADHN O[C@H]1CCCC[C@@H]1NCc1cscc1Cl ZINC000378788982 538974132 /nfs/dbraw/zinc/97/41/32/538974132.db2.gz MODHWHRPBPEQHL-QWRGUYRKSA-N 0 3 245.775 2.795 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@@H]1NCc1cocn1 ZINC000380296063 538988399 /nfs/dbraw/zinc/98/83/99/538988399.db2.gz CSGOWMVNVIEITC-KOLCDFICSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN(C)CC2CC(O)C2)o1 ZINC000191157902 538991295 /nfs/dbraw/zinc/99/12/95/538991295.db2.gz CKNPCUIEFNYVLL-BBCYWQGDSA-N 0 3 249.354 2.606 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000191638276 538992894 /nfs/dbraw/zinc/99/28/94/538992894.db2.gz JZYMYZAKVQDEPY-CIUDSAMLSA-N 0 3 228.361 2.526 20 0 BFADHN CC[C@H]1CCCCCN1Cc1ncccn1 ZINC000192036329 538993732 /nfs/dbraw/zinc/99/37/32/538993732.db2.gz QNGKQMNDDPBLSB-LBPRGKRZSA-N 0 3 219.332 2.631 20 0 BFADHN CC[C@H]1CC[C@@H]1N[C@@H](C)c1ccccn1 ZINC000379995647 538983319 /nfs/dbraw/zinc/98/33/19/538983319.db2.gz JCPGHCWGUIQPJY-GVXVVHGQSA-N 0 3 204.317 2.921 20 0 BFADHN CCC[C@H]1CCCN(Cc2cncn2CC)C1 ZINC000417817897 398293872 /nfs/dbraw/zinc/29/38/72/398293872.db2.gz VPCZXPGUCSZIJX-ZDUSSCGKSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1cccnc1[C@@H](NC1CSC1)C(C)C ZINC000381454395 539001048 /nfs/dbraw/zinc/00/10/48/539001048.db2.gz HTNYSGOEHRBPRO-LBPRGKRZSA-N 0 3 236.384 2.792 20 0 BFADHN Clc1cccc(CCNCc2ccno2)c1 ZINC000381478032 539001560 /nfs/dbraw/zinc/00/15/60/539001560.db2.gz AZWNRCOWIJSLRP-UHFFFAOYSA-N 0 3 236.702 2.660 20 0 BFADHN Cc1cnc(CNC(C(C)C)C(C)C)nc1 ZINC000381470105 539001911 /nfs/dbraw/zinc/00/19/11/539001911.db2.gz MGOLXXGVJFBTEH-UHFFFAOYSA-N 0 3 221.348 2.555 20 0 BFADHN c1nc(CN[C@@H]2CCC[C@@H](C3CC3)C2)co1 ZINC000381519586 539003467 /nfs/dbraw/zinc/00/34/67/539003467.db2.gz UBEOUZSFSAJHSS-VXGBXAGGSA-N 0 3 220.316 2.733 20 0 BFADHN C=Cn1cc(CN(CCC(C)C)C2CC2)cn1 ZINC000193681421 539007464 /nfs/dbraw/zinc/00/74/64/539007464.db2.gz BOFJPUJMSUJLBR-UHFFFAOYSA-N 0 3 233.359 2.994 20 0 BFADHN Fc1ccccc1CNC1CC(C(F)F)C1 ZINC000381802582 539007572 /nfs/dbraw/zinc/00/75/72/539007572.db2.gz DRXYJQSDNWUACK-UHFFFAOYSA-N 0 3 229.245 2.959 20 0 BFADHN Cc1cnn(C)c1CN[C@H]1CC12CCCCC2 ZINC000394804352 342838674 /nfs/dbraw/zinc/83/86/74/342838674.db2.gz VDNNVMBPBLJCJB-ZDUSSCGKSA-N 0 3 233.359 2.541 20 0 BFADHN C[C@H]1C[C@H](Nc2ncc(Cl)s2)CCN1C ZINC000382442427 539009532 /nfs/dbraw/zinc/00/95/32/539009532.db2.gz WHDXQCZGBDAFTB-JGVFFNPUSA-N 0 3 245.779 2.691 20 0 BFADHN c1cc(CN2CCC[C@H]3CCCC[C@@H]32)on1 ZINC000071089401 361945246 /nfs/dbraw/zinc/94/52/46/361945246.db2.gz DOCWMYJXFLOIAJ-YPMHNXCESA-N 0 3 220.316 2.829 20 0 BFADHN Cc1cc(CNC[C@H](C)C(C)(C)C)ncn1 ZINC000381234481 538996726 /nfs/dbraw/zinc/99/67/26/538996726.db2.gz LPHXNIQQZFQTGR-JTQLQIEISA-N 0 3 221.348 2.557 20 0 BFADHN Cc1csc([C@@H](C)N[C@@H](C)CCCCO)n1 ZINC000381235024 538996901 /nfs/dbraw/zinc/99/69/01/538996901.db2.gz LTBLBHMRCPEELS-GXSJLCMTSA-N 0 3 242.388 2.653 20 0 BFADHN CO[C@H]1CCC[C@H](NCc2occc2C)C1 ZINC000381401043 538999718 /nfs/dbraw/zinc/99/97/18/538999718.db2.gz QCCKSEOIUGDPST-RYUDHWBXSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@H]1NCc1cocn1 ZINC000381428217 539000055 /nfs/dbraw/zinc/00/00/55/539000055.db2.gz ZPTNYCCJMWUHTR-NQBHXWOUSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCSC2)c(C)n1 ZINC000390456447 539022156 /nfs/dbraw/zinc/02/21/56/539022156.db2.gz DARTVNMWALIDRE-VXGBXAGGSA-N 0 3 236.384 2.855 20 0 BFADHN Cc1cc(CN2CCC[C@H](CO)[C@@H]2C)cs1 ZINC000335633186 136241451 /nfs/dbraw/zinc/24/14/51/136241451.db2.gz KTIHUEWSJPXYOG-WCQYABFASA-N 0 3 239.384 2.649 20 0 BFADHN CC[C@H]1CCC[C@@H](CNCc2cocn2)C1 ZINC000390797825 539027530 /nfs/dbraw/zinc/02/75/30/539027530.db2.gz UPAUKOPVMXFNPP-NWDGAFQWSA-N 0 3 222.332 2.981 20 0 BFADHN C[C@@H](O)CCCNCc1cscc1Cl ZINC000389206289 539015409 /nfs/dbraw/zinc/01/54/09/539015409.db2.gz GTBUUIOFMWQRPM-MRVPVSSYSA-N 0 3 233.764 2.652 20 0 BFADHN C[C@H](O)CCCNCc1cscc1Cl ZINC000389206290 539015725 /nfs/dbraw/zinc/01/57/25/539015725.db2.gz GTBUUIOFMWQRPM-QMMMGPOBSA-N 0 3 233.764 2.652 20 0 BFADHN COC(C)(C)CNCc1cscc1Cl ZINC000389368219 539016033 /nfs/dbraw/zinc/01/60/33/539016033.db2.gz WGDKDUBRUORXCJ-UHFFFAOYSA-N 0 3 233.764 2.916 20 0 BFADHN CO[C@H](C)CCNCc1cscc1Cl ZINC000389374507 539016100 /nfs/dbraw/zinc/01/61/00/539016100.db2.gz ZKOOYWCMFHIBOO-MRVPVSSYSA-N 0 3 233.764 2.916 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CC[C@@H]1C(C)C ZINC000449565068 398301374 /nfs/dbraw/zinc/30/13/74/398301374.db2.gz GFMQTGGEKPHWPB-XQQFMLRXSA-N 0 3 235.375 2.988 20 0 BFADHN CCC[C@@H](C)CCCN(C)CC(=O)NC(C)C ZINC000625064374 342847342 /nfs/dbraw/zinc/84/73/42/342847342.db2.gz CVHAORJSTZXRKM-CYBMUJFWSA-N 0 3 242.407 2.659 20 0 BFADHN CCO[C@H](CN(C)Cc1ccoc1)C1CC1 ZINC000625099993 342855627 /nfs/dbraw/zinc/85/56/27/342855627.db2.gz QBFBMRJSIVCZMQ-CYBMUJFWSA-N 0 3 223.316 2.527 20 0 BFADHN CCN(CC(=O)NCC(C)(C)C)[C@@H](C)C(C)C ZINC000625109234 342861041 /nfs/dbraw/zinc/86/10/41/342861041.db2.gz VSZHFWILNKNOSS-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN CCN1CCC[C@H]1CNc1nc(Cl)cs1 ZINC000164975627 342861328 /nfs/dbraw/zinc/86/13/28/342861328.db2.gz HZYLEMCQOPGKEP-QMMMGPOBSA-N 0 3 245.779 2.693 20 0 BFADHN c1c(CN2CCC[C@H]2C2CCC2)nn2c1CCC2 ZINC000649508343 398303697 /nfs/dbraw/zinc/30/36/97/398303697.db2.gz QOVKYYPNAZFPDF-HNNXBMFYSA-N 0 3 245.370 2.594 20 0 BFADHN CC[C@@H](CSC)N[C@@H](C)c1c[nH]nc1C ZINC000134598507 342872839 /nfs/dbraw/zinc/87/28/39/342872839.db2.gz LWKWGGSEHRVZCV-WPRPVWTQSA-N 0 3 227.377 2.510 20 0 BFADHN CCCN(C)C[C@H](OC)c1ccc(F)cc1 ZINC000625119624 342877202 /nfs/dbraw/zinc/87/72/02/342877202.db2.gz ZBOGUIRYABFIFW-ZDUSSCGKSA-N 0 3 225.307 2.855 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1cccc(C(F)(F)F)n1 ZINC000449403221 398310490 /nfs/dbraw/zinc/31/04/90/398310490.db2.gz VJGCPICOSVQKLP-DTWKUNHWSA-N 0 3 244.260 2.846 20 0 BFADHN CCC[C@H](C)CCCN1CCc2c(ncn2C)C1 ZINC000625149814 342895429 /nfs/dbraw/zinc/89/54/29/342895429.db2.gz LVLBGQLHXSTDPZ-ZDUSSCGKSA-N 0 3 249.402 2.995 20 0 BFADHN CCC(=O)CCCN1C[C@H](C)S[C@@H](C)C1 ZINC000232866506 342886700 /nfs/dbraw/zinc/88/67/00/342886700.db2.gz HTEJWZJDXGPIAJ-QWRGUYRKSA-N 0 3 229.389 2.572 20 0 BFADHN Cc1ccc(CN[C@H]2CSC[C@H]2C)c(F)c1 ZINC000631135551 342908596 /nfs/dbraw/zinc/90/85/96/342908596.db2.gz WLLLLDQXUDCJEQ-MFKMUULPSA-N 0 3 239.359 2.975 20 0 BFADHN Cc1ccc(CN[C@H]2CSC[C@@H]2C)c(F)c1 ZINC000631135547 342908895 /nfs/dbraw/zinc/90/88/95/342908895.db2.gz WLLLLDQXUDCJEQ-GWCFXTLKSA-N 0 3 239.359 2.975 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CO[C@H](C)C2)cc1 ZINC000401819979 259328026 /nfs/dbraw/zinc/32/80/26/259328026.db2.gz JLQYLSCCWXMQAA-MBNYWOFBSA-N 0 3 219.328 2.823 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1Cc1cccc(C)c1 ZINC000505603831 529413346 /nfs/dbraw/zinc/41/33/46/529413346.db2.gz AQJRSGAPVYLYAX-DZGCQCFKSA-N 0 3 233.355 2.994 20 0 BFADHN CCC[C@H](O)CNCc1ccc(C)cc1Cl ZINC000233359524 342901079 /nfs/dbraw/zinc/90/10/79/342901079.db2.gz OITGJELZBOWODW-LBPRGKRZSA-N 0 3 241.762 2.899 20 0 BFADHN C[C@H](N[C@H]1CCSC1)c1cccc(O)c1 ZINC000037150995 342923053 /nfs/dbraw/zinc/92/30/53/342923053.db2.gz YYQKETQUIXZXRK-ONGXEEELSA-N 0 3 223.341 2.548 20 0 BFADHN Cc1nc(CN[C@H](C)CC(C)C)cs1 ZINC000037260463 342925893 /nfs/dbraw/zinc/92/58/93/342925893.db2.gz MAGBPKYEZVIFKF-SECBINFHSA-N 0 3 212.362 2.976 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCSc3ccccc32)CO1 ZINC000401922807 259328934 /nfs/dbraw/zinc/32/89/34/259328934.db2.gz OXRZGDHQJSBCBW-MDZLAQPJSA-N 0 3 249.379 2.991 20 0 BFADHN C[C@@H]1C[C@@H](C)[C@@H](C)N(Cc2ccon2)C1 ZINC000351626224 136248750 /nfs/dbraw/zinc/24/87/50/136248750.db2.gz BVNRRMMQZPRWES-GMTAPVOTSA-N 0 3 208.305 2.541 20 0 BFADHN Fc1cccc(CNCCc2cccnc2)c1F ZINC000037503227 398330087 /nfs/dbraw/zinc/33/00/87/398330087.db2.gz KGRFCPRCGPTXOV-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN CCC[C@H](C)CN1CC[S@@](=O)C(C)(C)CC1 ZINC000449570334 398338084 /nfs/dbraw/zinc/33/80/84/398338084.db2.gz HCBQYVDKXIWRSP-BLLLJJGKSA-N 0 3 245.432 2.656 20 0 BFADHN C[C@@H](N[C@H](C)C1CC1)c1cc2n(n1)CCCC2 ZINC000647280879 398338963 /nfs/dbraw/zinc/33/89/63/398338963.db2.gz JWLQUCVGDCPQLK-GHMZBOCLSA-N 0 3 233.359 2.669 20 0 BFADHN C[C@@H](F)CCN1CCc2ccc(F)cc2C1 ZINC000351728727 136250155 /nfs/dbraw/zinc/25/01/55/136250155.db2.gz HCXDTAIVAYHEBC-SNVBAGLBSA-N 0 3 225.282 2.932 20 0 BFADHN Cc1nnc(CN[C@@H]2CCCC[C@@H]2C)n1C1CC1 ZINC000162334896 349663686 /nfs/dbraw/zinc/66/36/86/349663686.db2.gz RLWVTKYAIUUETO-GXFFZTMASA-N 0 3 248.374 2.590 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cc[nH]c(=O)c2)cc1 ZINC000392655771 398334400 /nfs/dbraw/zinc/33/44/00/398334400.db2.gz XLYVQVCNKFUYHR-GFCCVEGCSA-N 0 3 242.322 2.946 20 0 BFADHN C[C@H](NCc1cc[nH]c(=O)c1)c1cccs1 ZINC000392775253 398335178 /nfs/dbraw/zinc/33/51/78/398335178.db2.gz YVCPYJPKGWCCEL-VIFPVBQESA-N 0 3 234.324 2.700 20 0 BFADHN CO[C@H](C)CNCc1ccc(C)cc1Cl ZINC000234775050 342942510 /nfs/dbraw/zinc/94/25/10/342942510.db2.gz HQYUNWBEDOUAQM-SNVBAGLBSA-N 0 3 227.735 2.773 20 0 BFADHN FCCCCN1CC=C(C(F)(F)F)CC1 ZINC000351750971 136250700 /nfs/dbraw/zinc/25/07/00/136250700.db2.gz DIGBAFVMLIEESZ-UHFFFAOYSA-N 0 3 225.229 2.931 20 0 BFADHN CCc1ccc([C@@H](CO)NCC[C@@H](C)F)cc1 ZINC000351750869 136250732 /nfs/dbraw/zinc/25/07/32/136250732.db2.gz BZVVIKCJAHIORE-BXUZGUMPSA-N 0 3 239.334 2.620 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1ccc(F)c(F)c1 ZINC000135463644 398342116 /nfs/dbraw/zinc/34/21/16/398342116.db2.gz GIODLVZTWPOWAW-MWLCHTKSSA-N 0 3 243.297 2.776 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](CC)OC)o1 ZINC000289581273 398344088 /nfs/dbraw/zinc/34/40/88/398344088.db2.gz ALZQUHNWBGSUTL-PWSUYJOCSA-N 0 3 225.332 2.918 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](CC)OC)o1 ZINC000289581269 398344125 /nfs/dbraw/zinc/34/41/25/398344125.db2.gz ALZQUHNWBGSUTL-JQWIXIFHSA-N 0 3 225.332 2.918 20 0 BFADHN CCN(CCC(C)(C)C)C[C@H](O)C(F)(F)F ZINC000189525099 346895816 /nfs/dbraw/zinc/89/58/16/346895816.db2.gz BRTDUWKXZNETCI-VIFPVBQESA-N 0 3 241.297 2.668 20 0 BFADHN CCN(CCC(C)(C)C)C[C@@H](O)C(F)(F)F ZINC000189525118 346896245 /nfs/dbraw/zinc/89/62/45/346896245.db2.gz BRTDUWKXZNETCI-SECBINFHSA-N 0 3 241.297 2.668 20 0 BFADHN CCc1cc(N2C[C@@H](C)S[C@@H](C)C2)ccn1 ZINC000566896117 323023700 /nfs/dbraw/zinc/02/37/00/323023700.db2.gz SZZXSBXLCSLZKY-PHIMTYICSA-N 0 3 236.384 2.974 20 0 BFADHN CN1CCC(Nc2cccc(Cl)c2)CC1 ZINC000019772246 342956622 /nfs/dbraw/zinc/95/66/22/342956622.db2.gz IBSAYCNVYJWYGT-UHFFFAOYSA-N 0 3 224.735 2.846 20 0 BFADHN Cc1ccc(NC2CCN(C)CC2)cc1C ZINC000019772187 342956720 /nfs/dbraw/zinc/95/67/20/342956720.db2.gz ZDHLXSGZYURFFF-UHFFFAOYSA-N 0 3 218.344 2.810 20 0 BFADHN CCOc1ccc(NC2CCN(C)CC2)cc1 ZINC000019772337 342956996 /nfs/dbraw/zinc/95/69/96/342956996.db2.gz AQTXLPPJBZQKIA-UHFFFAOYSA-N 0 3 234.343 2.591 20 0 BFADHN COC1CC(N(C)Cc2cccc(Cl)c2)C1 ZINC000352201613 536717092 /nfs/dbraw/zinc/71/70/92/536717092.db2.gz GMRYGPVVLBVXHP-UHFFFAOYSA-N 0 3 239.746 2.949 20 0 BFADHN CSCC1(CCNCc2ccns2)CC1 ZINC000602711205 349703940 /nfs/dbraw/zinc/70/39/40/349703940.db2.gz POTGKNPOUUYWLF-UHFFFAOYSA-N 0 3 242.413 2.766 20 0 BFADHN COC1CC(N(C)Cc2ccc(F)c(C)c2)C1 ZINC000348701156 536718230 /nfs/dbraw/zinc/71/82/30/536718230.db2.gz CEBQOAYOTVIHPL-UHFFFAOYSA-N 0 3 237.318 2.743 20 0 BFADHN CCc1cc(N2CCC(CCCO)CC2)ccn1 ZINC000400953891 529529900 /nfs/dbraw/zinc/52/99/00/529529900.db2.gz XQLYGCGACYFUFA-UHFFFAOYSA-N 0 3 248.370 2.633 20 0 BFADHN CN1CCC(Nc2cccc3cccnc32)CC1 ZINC000019903627 342962314 /nfs/dbraw/zinc/96/23/14/342962314.db2.gz KSXFPPFNWSGDQY-UHFFFAOYSA-N 0 3 241.338 2.741 20 0 BFADHN FC1(F)Oc2ccc(CN3CCCC3)cc2O1 ZINC000351855648 136258283 /nfs/dbraw/zinc/25/82/83/136258283.db2.gz ITTAMMFHNXLBGL-UHFFFAOYSA-N 0 3 241.237 2.604 20 0 BFADHN CC(C)(CO)NCc1ccc(C(F)(F)F)cc1 ZINC000020196316 342976278 /nfs/dbraw/zinc/97/62/78/342976278.db2.gz ZMOOCLCMDFMMHQ-UHFFFAOYSA-N 0 3 247.260 2.566 20 0 BFADHN CCOc1ccc(CN[C@H](C)[C@@H]2CCCO2)cc1 ZINC000020205441 342976679 /nfs/dbraw/zinc/97/66/79/342976679.db2.gz MIVFJKQZERTLAJ-DOMZBBRYSA-N 0 3 249.354 2.742 20 0 BFADHN CCN(CC)CCN[C@@H](C)c1ccccc1F ZINC000019919377 342963267 /nfs/dbraw/zinc/96/32/67/342963267.db2.gz JHYDFRZOOCREFE-LBPRGKRZSA-N 0 3 238.350 2.818 20 0 BFADHN CCN(Cc1cnc(C)nc1)[C@H](C)C(C)C ZINC000351851479 136257770 /nfs/dbraw/zinc/25/77/70/136257770.db2.gz AOVGLLNWEHACMB-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1nc(CCN2CC[C@H](C(F)F)C2)cs1 ZINC000678083567 488193954 /nfs/dbraw/zinc/19/39/54/488193954.db2.gz NDLFKGKODOTCBZ-VIFPVBQESA-N 0 3 246.326 2.581 20 0 BFADHN CCc1noc(C)c1CN(C)CCC1CC1 ZINC000135271037 323028047 /nfs/dbraw/zinc/02/80/47/323028047.db2.gz CYOSWGWXCXNLGS-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN CSC1CCN(Cc2c(C)noc2C)CC1 ZINC000602728742 349716451 /nfs/dbraw/zinc/71/64/51/349716451.db2.gz MUIDARBKBFAMRI-UHFFFAOYSA-N 0 3 240.372 2.619 20 0 BFADHN CCc1ccc([C@@H](C)NCCN(C#N)CC)cc1 ZINC000602800046 349741185 /nfs/dbraw/zinc/74/11/85/349741185.db2.gz ITSBAPRMMBNMPP-CYBMUJFWSA-N 0 3 245.370 2.703 20 0 BFADHN CCC[C@@H](NCCN(C#N)CC)c1ccccc1 ZINC000602803399 349742624 /nfs/dbraw/zinc/74/26/24/349742624.db2.gz YOIHASIJXLZRER-OAHLLOKOSA-N 0 3 245.370 2.920 20 0 BFADHN Cc1nn(-c2ccncc2C)c(C)c1C ZINC000634606633 343001925 /nfs/dbraw/zinc/00/19/25/343001925.db2.gz XJBYLSSOUHYYNR-UHFFFAOYSA-N 0 3 201.273 2.501 20 0 BFADHN CCn1ccnc1CN1CC(C)(C)[C@@H]2CCC[C@@H]21 ZINC000335902418 136259576 /nfs/dbraw/zinc/25/95/76/136259576.db2.gz OHUCFDBWBGAUHX-OLZOCXBDSA-N 0 3 247.386 2.914 20 0 BFADHN CO[C@@H]1CCC[C@H]1NCc1ccc(Cl)cc1 ZINC000049948934 343019380 /nfs/dbraw/zinc/01/93/80/343019380.db2.gz VRECYXIQTZZYGY-CHWSQXEVSA-N 0 3 239.746 2.997 20 0 BFADHN CCCC[C@@H](C)[C@H](C)NCc1cc[nH]n1 ZINC000308947945 259340750 /nfs/dbraw/zinc/34/07/50/259340750.db2.gz OHMLDLVQQVBKDA-MNOVXSKESA-N 0 3 209.337 2.714 20 0 BFADHN C[C@@H](F)CCN1CCN(c2cccs2)CC1 ZINC000351872623 136260655 /nfs/dbraw/zinc/26/06/55/136260655.db2.gz FGRAYIZBFKNUSS-LLVKDONJSA-N 0 3 242.363 2.618 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@H](C)c1ccnn1C ZINC000235487205 343039662 /nfs/dbraw/zinc/03/96/62/343039662.db2.gz QEQSKSVUVSYIGO-GHMZBOCLSA-N 0 3 249.383 2.763 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCCO2)C[C@H](C)C1(F)F ZINC000625648549 344025118 /nfs/dbraw/zinc/02/51/18/344025118.db2.gz QZHXGOQQTIUUQC-TUAOUCFPSA-N 0 3 247.329 2.779 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCCO2)C[C@@H](C)C1(F)F ZINC000625648548 344025193 /nfs/dbraw/zinc/02/51/93/344025193.db2.gz QZHXGOQQTIUUQC-IJLUTSLNSA-N 0 3 247.329 2.779 20 0 BFADHN Cc1ccc(CNC[C@H]2CCCO2)c(Cl)c1 ZINC000235880293 259345035 /nfs/dbraw/zinc/34/50/35/259345035.db2.gz NCSLCUDNPZJSHO-GFCCVEGCSA-N 0 3 239.746 2.917 20 0 BFADHN C[C@@H]1CC[C@H](CN2C[C@@H](C)C(F)(F)[C@H](C)C2)O1 ZINC000625655709 344025790 /nfs/dbraw/zinc/02/57/90/344025790.db2.gz WOFINQRNZDLOIP-DDHJBXDOSA-N 0 3 247.329 2.777 20 0 BFADHN CC[C@H](O)CN[C@@H](C)c1cccc(Cl)c1 ZINC000038092377 343060571 /nfs/dbraw/zinc/06/05/71/343060571.db2.gz LVYJZEIMGICWBX-CABZTGNLSA-N 0 3 227.735 2.762 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1cccc(Cl)c1 ZINC000379917476 365696526 /nfs/dbraw/zinc/69/65/26/365696526.db2.gz DOQGLTLGRULUDL-NWDGAFQWSA-N 0 3 225.719 2.607 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1cccc(Cl)c1 ZINC000379917481 365697783 /nfs/dbraw/zinc/69/77/83/365697783.db2.gz DOQGLTLGRULUDL-VXGBXAGGSA-N 0 3 225.719 2.607 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1c(C)cccc1C ZINC000309838486 343063961 /nfs/dbraw/zinc/06/39/61/343063961.db2.gz BWIWTYZGBSTLOS-KGLIPLIRSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1ccc(CN[C@H]2CCCOC2)c(Cl)c1 ZINC000235860114 343064850 /nfs/dbraw/zinc/06/48/50/343064850.db2.gz HVKFROLGBCNVKC-LBPRGKRZSA-N 0 3 239.746 2.917 20 0 BFADHN CC(C)n1ccc(CN[C@@H](C)Cc2ccoc2)n1 ZINC000580034299 343068133 /nfs/dbraw/zinc/06/81/33/343068133.db2.gz XNECWFXNFHPWLL-LBPRGKRZSA-N 0 3 247.342 2.778 20 0 BFADHN Cc1csc(CNCc2cccnc2)c1 ZINC000344613458 365702162 /nfs/dbraw/zinc/70/21/62/365702162.db2.gz PEOIFAXRFSCVEM-UHFFFAOYSA-N 0 3 218.325 2.741 20 0 BFADHN CC(C)(C)[C@@H](N)c1cn([C@@H]2C=CCCC2)nn1 ZINC000640945916 362087968 /nfs/dbraw/zinc/08/79/68/362087968.db2.gz RHGPTNHNJPOEHG-PWSUYJOCSA-N 0 3 234.347 2.605 20 0 BFADHN C[C@@H](c1cnccn1)N1CCC2(CCCC2)C1 ZINC000184587962 136270339 /nfs/dbraw/zinc/27/03/39/136270339.db2.gz AIZOLPWPFIEYJI-LBPRGKRZSA-N 0 3 231.343 2.804 20 0 BFADHN CC[C@H](C)N(C)Cc1n[nH]c2ccccc21 ZINC000678140585 488204826 /nfs/dbraw/zinc/20/48/26/488204826.db2.gz JHAMYPOXIDLLPN-JTQLQIEISA-N 0 3 217.316 2.793 20 0 BFADHN CC[C@H](C)N(C)Cc1[nH]nc2ccccc21 ZINC000678140585 488204831 /nfs/dbraw/zinc/20/48/31/488204831.db2.gz JHAMYPOXIDLLPN-JTQLQIEISA-N 0 3 217.316 2.793 20 0 BFADHN CCCn1cc(CNCc2cc(C)cs2)cn1 ZINC000344604577 365712728 /nfs/dbraw/zinc/71/27/28/365712728.db2.gz AQBUAEILFDVORD-UHFFFAOYSA-N 0 3 249.383 2.953 20 0 BFADHN CC[C@H](C)NCc1cc(OC)cc2c1O[C@@H](C)C2 ZINC000237001136 136272053 /nfs/dbraw/zinc/27/20/53/136272053.db2.gz YBSKNUAYCWSHQC-QWRGUYRKSA-N 0 3 249.354 2.907 20 0 BFADHN Cc1ccc(CNCC2=CCCOC2)c(F)c1 ZINC000631137180 343142120 /nfs/dbraw/zinc/14/21/20/343142120.db2.gz WJAUDRVYNWHRMG-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H](C)O[C@@H]2C)cs1 ZINC000394988048 362116729 /nfs/dbraw/zinc/11/67/29/362116729.db2.gz BKECQKGDKACDJQ-HLUHBDAQSA-N 0 3 225.357 2.712 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H](C)O[C@H]2C)cs1 ZINC000394988053 362116767 /nfs/dbraw/zinc/11/67/67/362116767.db2.gz BKECQKGDKACDJQ-UBHAPETDSA-N 0 3 225.357 2.712 20 0 BFADHN CCC[C@@H](C)CCCN1CCc2n[nH]cc2C1 ZINC000625269372 343167887 /nfs/dbraw/zinc/16/78/87/343167887.db2.gz GAQXAJNVCKIZNK-GFCCVEGCSA-N 0 3 235.375 2.984 20 0 BFADHN C[C@H](c1cccnc1)N1CC[C@H](C(F)F)C1 ZINC000677730172 488206203 /nfs/dbraw/zinc/20/62/03/488206203.db2.gz AHQGAEPQDRQHDU-KOLCDFICSA-N 0 3 226.270 2.730 20 0 BFADHN CCC(CC)CNCc1ccn(C(C)C)n1 ZINC000159275167 343201816 /nfs/dbraw/zinc/20/18/16/343201816.db2.gz CIAMQXIHXRWRRJ-UHFFFAOYSA-N 0 3 223.364 2.990 20 0 BFADHN CC(C)C(NCc1cn(C(C)C)nn1)C(C)C ZINC000673604151 488207506 /nfs/dbraw/zinc/20/75/06/488207506.db2.gz ABCICODPXYFWAY-UHFFFAOYSA-N 0 3 238.379 2.629 20 0 BFADHN COCC(C)(C)NCc1ccc(F)cc1C ZINC000135057007 343192290 /nfs/dbraw/zinc/19/22/90/343192290.db2.gz FLIQRNDYFVCZPX-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN C[C@H](NC[C@@H]1CC(C)(C)CO1)c1ccccn1 ZINC000634686812 343235997 /nfs/dbraw/zinc/23/59/97/343235997.db2.gz SEMRBZGIILNMPD-RYUDHWBXSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1cccc(CN[C@@H]2C[C@H](C)O[C@@H]2C)c1F ZINC000384506760 343242488 /nfs/dbraw/zinc/24/24/88/343242488.db2.gz RMJHHZAYPVQCNQ-DMDPSCGWSA-N 0 3 237.318 2.790 20 0 BFADHN CCN(Cc1ccc(Cl)nc1)CC1CC1 ZINC000068946942 343252954 /nfs/dbraw/zinc/25/29/54/343252954.db2.gz ZLFOBVGAKGVQLZ-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN CCC(C)(C)CCn1cc([C@](C)(N)CC)nn1 ZINC000641049330 362160636 /nfs/dbraw/zinc/16/06/36/362160636.db2.gz JICTZYJCXQTGDJ-CYBMUJFWSA-N 0 3 238.379 2.688 20 0 BFADHN COC(=O)CCN[C@H](C)c1ccccc1Cl ZINC000036803930 343324414 /nfs/dbraw/zinc/32/44/14/343324414.db2.gz CCXIXYGQQIHHAJ-SECBINFHSA-N 0 3 241.718 2.554 20 0 BFADHN CCC[C@H](N)C(=O)Nc1cccc(Cl)c1C ZINC000036872694 343325834 /nfs/dbraw/zinc/32/58/34/343325834.db2.gz YSHLKOYYFRODMA-JTQLQIEISA-N 0 3 240.734 2.714 20 0 BFADHN CC(C)CNCc1noc2ccccc12 ZINC000569825427 323042023 /nfs/dbraw/zinc/04/20/23/323042023.db2.gz PAEUANFCOSMUOC-UHFFFAOYSA-N 0 3 204.273 2.573 20 0 BFADHN C[C@@H](N)C(=O)N[C@@H](CC(C)(C)C)c1ccccc1 ZINC000037004474 343330028 /nfs/dbraw/zinc/33/00/28/343330028.db2.gz BLHHQPZANORNJV-YPMHNXCESA-N 0 3 248.370 2.627 20 0 BFADHN Fc1cc(CN[C@H]2CC23CC3)cc(F)c1F ZINC000335285485 136292899 /nfs/dbraw/zinc/29/28/99/136292899.db2.gz XSSSQCLNTDMHSR-JTQLQIEISA-N 0 3 227.229 2.746 20 0 BFADHN CC(C)C[C@H](C)N[C@@H](C)c1nccn1C ZINC000070007584 343296578 /nfs/dbraw/zinc/29/65/78/343296578.db2.gz QADKJJXBRGRPHS-QWRGUYRKSA-N 0 3 209.337 2.505 20 0 BFADHN COc1ccsc1CN1CC(C2CCC2)C1 ZINC000348713308 136293846 /nfs/dbraw/zinc/29/38/46/136293846.db2.gz WFUOQPPISNEFFB-UHFFFAOYSA-N 0 3 237.368 2.989 20 0 BFADHN C[C@@H](CO)N[C@@H](C)c1ccccc1C(F)(F)F ZINC000035603341 343299700 /nfs/dbraw/zinc/29/97/00/343299700.db2.gz SPLQHMXMDRQQPZ-IUCAKERBSA-N 0 3 247.260 2.737 20 0 BFADHN CCc1cccc(F)c1CN(CC)C[C@@H](C)O ZINC000348716401 136294257 /nfs/dbraw/zinc/29/42/57/136294257.db2.gz SAVHJGWBJNLKCK-LLVKDONJSA-N 0 3 239.334 2.591 20 0 BFADHN CC(C)C[C@@H](C)N[C@@H](c1nncn1C)C(C)C ZINC000348714328 136294435 /nfs/dbraw/zinc/29/44/35/136294435.db2.gz YJWPFILPJQRSSU-VXGBXAGGSA-N 0 3 238.379 2.536 20 0 BFADHN CCCCC(=O)NC[C@@H](c1ccccc1)N(C)C ZINC000036078123 343308803 /nfs/dbraw/zinc/30/88/03/343308803.db2.gz VJGODOFGAAQPGQ-AWEZNQCLSA-N 0 3 248.370 2.596 20 0 BFADHN CCCCCCNC(=O)C[C@H](N)c1ccccc1 ZINC000036204216 343312130 /nfs/dbraw/zinc/31/21/30/343312130.db2.gz QEUGJASKLMMEOT-AWEZNQCLSA-N 0 3 248.370 2.773 20 0 BFADHN CC(C)n1ccc(CN[C@@H]2CCC[C@H]3C[C@H]32)n1 ZINC000584065357 343312692 /nfs/dbraw/zinc/31/26/92/343312692.db2.gz STTHRJLXZQQDPK-IACUBPJLSA-N 0 3 233.359 2.742 20 0 BFADHN CC(C)CN(C)Cc1cccc(Cl)n1 ZINC000075674079 343363841 /nfs/dbraw/zinc/36/38/41/343363841.db2.gz FAFPMNFZXUGYIE-UHFFFAOYSA-N 0 3 212.724 2.823 20 0 BFADHN CC/C=C/CCn1cc([C@H](N)C(C)(C)C)nn1 ZINC000641065995 362174426 /nfs/dbraw/zinc/17/44/26/362174426.db2.gz QYWNAAGOGKXYRQ-SYTKJHMZSA-N 0 3 236.363 2.680 20 0 BFADHN COc1c(C)ccc(NC2CCN(C)CC2)c1C ZINC000037125709 343335474 /nfs/dbraw/zinc/33/54/74/343335474.db2.gz CMDABPJCAOTJLN-UHFFFAOYSA-N 0 3 248.370 2.818 20 0 BFADHN CCCc1csc(CNCC2CC2)n1 ZINC000070627157 343336391 /nfs/dbraw/zinc/33/63/91/343336391.db2.gz CQAHTMZVJOWQDL-UHFFFAOYSA-N 0 3 210.346 2.595 20 0 BFADHN CC[C@@H](N)C(=O)N1CCCC[C@H]1CCC(C)C ZINC000122587803 343377479 /nfs/dbraw/zinc/37/74/79/343377479.db2.gz QFNNMFYYDZYZLX-QWHCGFSZSA-N 0 3 240.391 2.541 20 0 BFADHN C[C@H](Cn1ccnc1)N[C@H](C)c1cccc(F)c1 ZINC000037158342 343337332 /nfs/dbraw/zinc/33/73/32/343337332.db2.gz XMHFUNZDCNVFBI-VXGBXAGGSA-N 0 3 247.317 2.762 20 0 BFADHN C[C@H](Cn1cccn1)N[C@H]1CCc2ccccc21 ZINC000037155562 343337373 /nfs/dbraw/zinc/33/73/73/343337373.db2.gz BSVNQBMYBMIEKU-DOMZBBRYSA-N 0 3 241.338 2.549 20 0 BFADHN Cc1c2ccccc2oc1[C@H](C)NCCCO ZINC000037236404 343340519 /nfs/dbraw/zinc/34/05/19/343340519.db2.gz WGYBLDBERAQLLC-NSHDSACASA-N 0 3 233.311 2.774 20 0 BFADHN CCc1nc(CN[C@@H]2CCC[C@H]2C)cs1 ZINC000070830282 343341268 /nfs/dbraw/zinc/34/12/68/343341268.db2.gz ATYLUYASIBWHJR-MWLCHTKSSA-N 0 3 224.373 2.984 20 0 BFADHN C[C@H](O)CN[C@@H](C)c1ccc(F)cc1Cl ZINC000037257868 343341615 /nfs/dbraw/zinc/34/16/15/343341615.db2.gz KXUCXTQEFUBHLN-YUMQZZPRSA-N 0 3 231.698 2.511 20 0 BFADHN C[C@H](CO)N[C@@H](C)c1ccc(F)cc1Cl ZINC000037258606 343341781 /nfs/dbraw/zinc/34/17/81/343341781.db2.gz NVFHLYBLZNONGO-SFYZADRCSA-N 0 3 231.698 2.511 20 0 BFADHN Cc1ccc(CN2CC[C@H](COC(F)F)C2)o1 ZINC000348869893 136302621 /nfs/dbraw/zinc/30/26/21/136302621.db2.gz QBGYRWAKSDPQKI-JTQLQIEISA-N 0 3 245.269 2.649 20 0 BFADHN Cc1sccc1CN1CCCO[C@@H](CF)C1 ZINC000335638780 136302976 /nfs/dbraw/zinc/30/29/76/136302976.db2.gz LEGDIEORAGCNRI-LBPRGKRZSA-N 0 3 243.347 2.617 20 0 BFADHN COC(CN[C@@H](C)c1ccc(Cl)cc1)OC ZINC000037473011 343346271 /nfs/dbraw/zinc/34/62/71/343346271.db2.gz UJSWIHAWVXFIGP-VIFPVBQESA-N 0 3 243.734 2.610 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1ccccc1Cl ZINC000037481245 343346989 /nfs/dbraw/zinc/34/69/89/343346989.db2.gz VKUBEQRKMYPYCG-LBPRGKRZSA-N 0 3 240.734 2.796 20 0 BFADHN Cc1ccccc1[C@@H](CO)NCCC(C)(F)F ZINC000580036067 343348402 /nfs/dbraw/zinc/34/84/02/343348402.db2.gz ODGSRZQHWBCQMZ-GFCCVEGCSA-N 0 3 243.297 2.663 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1ccc(C)c(C)c1 ZINC000037621344 343349735 /nfs/dbraw/zinc/34/97/35/343349735.db2.gz VGDHEQKMGPONLP-AWEZNQCLSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1cc2ccccc2[nH]c1=O ZINC000335678818 136319449 /nfs/dbraw/zinc/31/94/49/136319449.db2.gz FEZBXNTXXGNTMN-QWRGUYRKSA-N 0 3 242.322 2.923 20 0 BFADHN CC[C@](C)(N)c1cn([C@@H]2C=CCCCCC2)nn1 ZINC000641075502 362184171 /nfs/dbraw/zinc/18/41/71/362184171.db2.gz JGSOBJAUCJXMPM-OCCSQVGLSA-N 0 3 248.374 2.923 20 0 BFADHN Cc1cccc(C)c1CN[C@@H]1CO[C@H](C)C1 ZINC000335662073 136315618 /nfs/dbraw/zinc/31/56/18/136315618.db2.gz DXSZFJCMVQAGCL-OLZOCXBDSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1ccnc(CN2CC[C@@H]3CCCC[C@@H]32)n1 ZINC000335661889 136315729 /nfs/dbraw/zinc/31/57/29/136315729.db2.gz ZVAYCJSXOPMDCD-STQMWFEESA-N 0 3 231.343 2.550 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc(Cl)cs2)CO1 ZINC000335664649 136316627 /nfs/dbraw/zinc/31/66/27/136316627.db2.gz VZCBMCAEXSWEMD-VXNVDRBHSA-N 0 3 231.748 2.669 20 0 BFADHN CC(C)[C@H](N)c1cn([C@@H]2C=CCCCCC2)nn1 ZINC000641076157 362185155 /nfs/dbraw/zinc/18/51/55/362185155.db2.gz VRKMKQDMIRKLIE-OCCSQVGLSA-N 0 3 248.374 2.995 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)N[C@H](C)c1ccc(C)cc1 ZINC000040490590 343405228 /nfs/dbraw/zinc/40/52/28/343405228.db2.gz OLJFGWIDIULQMV-BZPMIXESSA-N 0 3 248.370 2.546 20 0 BFADHN CC(C)CC(=O)Nc1cccc(CN(C)C)c1 ZINC000047452695 343405821 /nfs/dbraw/zinc/40/58/21/343405821.db2.gz KNOXSQKKNAYLJI-UHFFFAOYSA-N 0 3 234.343 2.733 20 0 BFADHN CCCCOCCN[C@@H](C)c1cccc(O)c1 ZINC000040506407 343406087 /nfs/dbraw/zinc/40/60/87/343406087.db2.gz ULYUGFCMRMCNAK-LBPRGKRZSA-N 0 3 237.343 2.860 20 0 BFADHN CCCC[C@@H](N)C(=O)NC[C@H](CC)CCCC ZINC000040806497 343409399 /nfs/dbraw/zinc/40/93/99/343409399.db2.gz KAZRVSUUUHIYRL-CHWSQXEVSA-N 0 3 242.407 2.837 20 0 BFADHN CCC[C@H](C)CCCN1CC[C@](F)(CO)C1 ZINC000625400388 343410587 /nfs/dbraw/zinc/41/05/87/343410587.db2.gz IDXPMEOZUIOOMN-QWHCGFSZSA-N 0 3 231.355 2.609 20 0 BFADHN CC[C@@H](N)c1cn([C@@H](C)c2ccccc2C)nn1 ZINC000641080213 362195036 /nfs/dbraw/zinc/19/50/36/362195036.db2.gz UGYXRHJPJMDPAP-WCQYABFASA-N 0 3 244.342 2.606 20 0 BFADHN CCCc1cccc(CN2CC[C@@H](C)[C@H](O)C2)c1 ZINC000349417944 136345265 /nfs/dbraw/zinc/34/52/65/136345265.db2.gz NDZXDCWDYWULJQ-CZUORRHYSA-N 0 3 247.382 2.842 20 0 BFADHN c1cc(CN2CCC3(CCO3)CC2)cs1 ZINC000367092140 136345314 /nfs/dbraw/zinc/34/53/14/136345314.db2.gz NEXOYGHOSGUZLT-UHFFFAOYSA-N 0 3 223.341 2.503 20 0 BFADHN Fc1cccc2c1CCN(C[C@@H]1CCCOC1)C2 ZINC000335724563 136346656 /nfs/dbraw/zinc/34/66/56/136346656.db2.gz IMHAFBZJYHLLBA-LBPRGKRZSA-N 0 3 249.329 2.610 20 0 BFADHN Cc1nc(CNC[C@H]2CC[C@H](C)C2)cs1 ZINC000230413566 343424880 /nfs/dbraw/zinc/42/48/80/343424880.db2.gz ODZSQNFENKDNBN-ONGXEEELSA-N 0 3 224.373 2.977 20 0 BFADHN CCC[C@@H](N)c1cn(CC23CCC(CC2)C3)nn1 ZINC000641086878 362208716 /nfs/dbraw/zinc/20/87/16/362208716.db2.gz UWRROTLDOKKYHX-MBHSVXNSSA-N 0 3 248.374 2.658 20 0 BFADHN Cc1ccc(CCCN2CCC[C@H]2CF)cn1 ZINC000354523853 178529676 /nfs/dbraw/zinc/52/96/76/178529676.db2.gz VXDGXQDHRPXKCW-AWEZNQCLSA-N 0 3 236.334 2.757 20 0 BFADHN Cc1ccc([C@H](NC[C@H](C)CO)C2CCC2)o1 ZINC000349450495 136352031 /nfs/dbraw/zinc/35/20/31/136352031.db2.gz LELXYKWFNPKKMR-IINYFYTJSA-N 0 3 237.343 2.647 20 0 BFADHN CC(=O)Nc1ccccc1CN1[C@H](C)C[C@H]1C ZINC000335681219 136328993 /nfs/dbraw/zinc/32/89/93/136328993.db2.gz ODMAXQXLSXYPRD-GHMZBOCLSA-N 0 3 232.327 2.628 20 0 BFADHN C[C@H]1[C@H](CO)CCN1Cc1cc(Cl)cs1 ZINC000335834472 136353049 /nfs/dbraw/zinc/35/30/49/136353049.db2.gz XWVBGRLJAHDKCD-IUCAKERBSA-N 0 3 245.775 2.604 20 0 BFADHN C[C@H]1CCCC[C@H]1N(C)Cc1ccno1 ZINC000335707922 136336452 /nfs/dbraw/zinc/33/64/52/136336452.db2.gz FTCSIBKMSGZELM-CMPLNLGQSA-N 0 3 208.305 2.685 20 0 BFADHN Cc1n[nH]c(C)c1CN[C@H]1CCCC(F)(F)C1 ZINC000335713503 136338150 /nfs/dbraw/zinc/33/81/50/136338150.db2.gz GIFOOISUTQEPPP-JTQLQIEISA-N 0 3 243.301 2.694 20 0 BFADHN CN(Cc1ccno1)[C@H]1CCC(C)(C)C1 ZINC000335715418 136339063 /nfs/dbraw/zinc/33/90/63/136339063.db2.gz SOTCKDGMWXLRHQ-JTQLQIEISA-N 0 3 208.305 2.685 20 0 BFADHN C[C@@H]1[C@H](CO)CCN1Cc1cc(Cl)cs1 ZINC000335834470 136353122 /nfs/dbraw/zinc/35/31/22/136353122.db2.gz XWVBGRLJAHDKCD-BDAKNGLRSA-N 0 3 245.775 2.604 20 0 BFADHN CC(C)N(CCO)Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000350049468 136353415 /nfs/dbraw/zinc/35/34/15/136353415.db2.gz BXYQMTVIGANKPF-WCQYABFASA-N 0 3 237.343 2.606 20 0 BFADHN CCc1nc(C)c(CN2[C@@H](C)CC[C@@H]2C)o1 ZINC000335854539 136355730 /nfs/dbraw/zinc/35/57/30/136355730.db2.gz IWVCZKJUZKNREC-UWVGGRQHSA-N 0 3 222.332 2.918 20 0 BFADHN CN(CC(=O)Nc1ccccc1)CC1CCCC1 ZINC000047705661 343449651 /nfs/dbraw/zinc/44/96/51/343449651.db2.gz ZWONFAOYBYQTSC-UHFFFAOYSA-N 0 3 246.354 2.747 20 0 BFADHN CC1(CN2CCC[C@@H]2c2ncc[nH]2)CCC1 ZINC000335885999 136358271 /nfs/dbraw/zinc/35/82/71/136358271.db2.gz YTGBGKZAGGDPMQ-LLVKDONJSA-N 0 3 219.332 2.737 20 0 BFADHN CC[C@H](C)CN1CCC[C@H]1c1ncc[nH]1 ZINC000351063225 136367172 /nfs/dbraw/zinc/36/71/72/136367172.db2.gz LJQQZPPTOKOWPU-QWRGUYRKSA-N 0 3 207.321 2.593 20 0 BFADHN CC[C@H](C)CN1CCC[C@@H]1c1ncc[nH]1 ZINC000351063226 136367189 /nfs/dbraw/zinc/36/71/89/136367189.db2.gz LJQQZPPTOKOWPU-WDEREUQCSA-N 0 3 207.321 2.593 20 0 BFADHN C[C@@H]1CN(CC2CCCCC2)[C@@H](C)CO1 ZINC000247968504 136373461 /nfs/dbraw/zinc/37/34/61/136373461.db2.gz RKIOLTJRVKNTLH-NWDGAFQWSA-N 0 3 211.349 2.676 20 0 BFADHN CC[C@@H](C)[C@H](C)NCC(=O)Nc1ccc(C)cc1 ZINC000042556226 343443297 /nfs/dbraw/zinc/44/32/97/343443297.db2.gz APBGURAMEMWSIE-OLZOCXBDSA-N 0 3 248.370 2.958 20 0 BFADHN c1c(CNC[C@H]2CC=CCC2)nc2ccccn12 ZINC000044290023 343497239 /nfs/dbraw/zinc/49/72/39/343497239.db2.gz DTNSTXPKNKAOKS-ZDUSSCGKSA-N 0 3 241.338 2.780 20 0 BFADHN C[C@H]1CC[C@H](CN2CCC(C(F)F)CC2)O1 ZINC000335856834 344033747 /nfs/dbraw/zinc/03/37/47/344033747.db2.gz KFFVJWMHJPHKMZ-GXSJLCMTSA-N 0 3 233.302 2.531 20 0 BFADHN Cc1c(F)cccc1NC1CCN(C)CC1 ZINC000043319386 343467676 /nfs/dbraw/zinc/46/76/76/343467676.db2.gz NPYMSOKKPZOZDF-UHFFFAOYSA-N 0 3 222.307 2.640 20 0 BFADHN COC[C@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC000045656200 343531745 /nfs/dbraw/zinc/53/17/45/343531745.db2.gz GFBNSCRGEWHVII-NXEZZACHSA-N 0 3 243.297 2.898 20 0 BFADHN COc1ncccc1CN(C)[C@@H](C)C(C)(C)C ZINC000577006827 365755667 /nfs/dbraw/zinc/75/56/67/365755667.db2.gz DMIPYWXGVMAZNM-NSHDSACASA-N 0 3 236.359 2.957 20 0 BFADHN CN(CCNCc1ccco1)c1ccccc1 ZINC000048326738 343578255 /nfs/dbraw/zinc/57/82/55/343578255.db2.gz AQCGVTBYTNHSMT-UHFFFAOYSA-N 0 3 230.311 2.506 20 0 BFADHN COc1ccsc1[C@@H](C)NCCSC ZINC000379580992 343577274 /nfs/dbraw/zinc/57/72/74/343577274.db2.gz ADKIISOQSZNCOG-MRVPVSSYSA-N 0 3 231.386 2.770 20 0 BFADHN Cc1ccc(CN(C)CCCOC(C)C)nc1 ZINC000353699344 178546047 /nfs/dbraw/zinc/54/60/47/178546047.db2.gz RPQGYJHMOSDSFY-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN Cc1cccc(CCNCC2(F)CC2)c1 ZINC000379960160 365766134 /nfs/dbraw/zinc/76/61/34/365766134.db2.gz WQUPRLJMCWZJBW-UHFFFAOYSA-N 0 3 207.292 2.629 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1cc(C)ccn1 ZINC000616765445 343561881 /nfs/dbraw/zinc/56/18/81/343561881.db2.gz NTNQYMJLBQHYNZ-GFCCVEGCSA-N 0 3 238.400 2.963 20 0 BFADHN CCCc1ccc(CN[C@@H](C)COC)cc1 ZINC000083351623 343585652 /nfs/dbraw/zinc/58/56/52/343585652.db2.gz AZPRLCLLJCYYGV-LBPRGKRZSA-N 0 3 221.344 2.764 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1ccc(Cl)o1 ZINC000379663910 343591493 /nfs/dbraw/zinc/59/14/93/343591493.db2.gz UDRMAGVJHSBCSN-RKDXNWHRSA-N 0 3 231.748 2.917 20 0 BFADHN Fc1cccc(C2CC(NCc3ncc[nH]3)C2)c1 ZINC000070978710 343580625 /nfs/dbraw/zinc/58/06/25/343580625.db2.gz WTVOQYHQZOEIEM-UHFFFAOYSA-N 0 3 245.301 2.585 20 0 BFADHN CC[C@@H](N)C(=O)N(CC)[C@@H](CC)c1ccccc1 ZINC000580565553 365783246 /nfs/dbraw/zinc/78/32/46/365783246.db2.gz YBKAPKKYZAZQPO-KGLIPLIRSA-N 0 3 248.370 2.724 20 0 BFADHN Cc1nccn1CCN[C@@H](C)c1cccc(F)c1 ZINC000049529908 343601242 /nfs/dbraw/zinc/60/12/42/343601242.db2.gz NXCKADCBXSJDCA-NSHDSACASA-N 0 3 247.317 2.681 20 0 BFADHN Cn1cccc1CNCCSc1ccccc1 ZINC000049537843 343601364 /nfs/dbraw/zinc/60/13/64/343601364.db2.gz UJBIRRAFCKAJGA-UHFFFAOYSA-N 0 3 246.379 2.907 20 0 BFADHN COCCC[C@@H](C)NCc1ccc(C)c(C)n1 ZINC000313842070 259366175 /nfs/dbraw/zinc/36/61/75/259366175.db2.gz QKHDJACIVZDEHU-GFCCVEGCSA-N 0 3 236.359 2.603 20 0 BFADHN CC[C@@H](CCO)CNCc1ccc(C)cc1F ZINC000631086957 343610447 /nfs/dbraw/zinc/61/04/47/343610447.db2.gz HYJZSYVTGOXBOR-LBPRGKRZSA-N 0 3 239.334 2.632 20 0 BFADHN CC[C@@H](CNCc1ccc(C)cc1F)OC ZINC000631139758 343646490 /nfs/dbraw/zinc/64/64/90/343646490.db2.gz IOQCJXXOVLDXHH-LBPRGKRZSA-N 0 3 225.307 2.649 20 0 BFADHN CC[C@H]1CN(C)CCN1Cc1cc(C)cc(C)c1 ZINC000556954586 343628041 /nfs/dbraw/zinc/62/80/41/343628041.db2.gz VGAYUQSHOVCQER-INIZCTEOSA-N 0 3 246.398 2.829 20 0 BFADHN c1ccc(CCCN2CCSCC2)cc1 ZINC000047994042 343690905 /nfs/dbraw/zinc/69/09/05/343690905.db2.gz GNINBUWYUZONOO-UHFFFAOYSA-N 0 3 221.369 2.668 20 0 BFADHN C[C@H](NC[C@H]1CCCC12CC2)c1ccn(C)n1 ZINC000624316412 343691947 /nfs/dbraw/zinc/69/19/47/343691947.db2.gz PDJNVWJRONPAFQ-NWDGAFQWSA-N 0 3 233.359 2.651 20 0 BFADHN CCc1cc(CN[C@@H]2CCC2(C)C)on1 ZINC000309064986 346958187 /nfs/dbraw/zinc/95/81/87/346958187.db2.gz YUGZSKJBKZHVPO-LLVKDONJSA-N 0 3 208.305 2.515 20 0 BFADHN COC(=O)C12CCC(CC1)N2CCC(C)(C)C ZINC000602984840 349837298 /nfs/dbraw/zinc/83/72/98/349837298.db2.gz AEQPPZOWXITEAK-UHFFFAOYSA-N 0 3 239.359 2.593 20 0 BFADHN COCCN(Cc1cc(C)cc(C)c1)C1CC1 ZINC000048024093 343702043 /nfs/dbraw/zinc/70/20/43/343702043.db2.gz RQHSLYQJAAUEIN-UHFFFAOYSA-N 0 3 233.355 2.914 20 0 BFADHN COCCN(Cc1ccc(Cl)cc1)C1CC1 ZINC000048024076 343702130 /nfs/dbraw/zinc/70/21/30/343702130.db2.gz JVCIEQHWBWSYEM-UHFFFAOYSA-N 0 3 239.746 2.951 20 0 BFADHN CC(C)CN[C@@H]1CCCc2scnc21 ZINC000623849096 343686256 /nfs/dbraw/zinc/68/62/56/343686256.db2.gz VVFKWKKUUHTUEI-SECBINFHSA-N 0 3 210.346 2.766 20 0 BFADHN CC(F)(F)CCN1CC[C@H](c2cccnc2)C1 ZINC000570329729 323056509 /nfs/dbraw/zinc/05/65/09/323056509.db2.gz SLGLCNMQEADHAM-LBPRGKRZSA-N 0 3 240.297 2.916 20 0 BFADHN CC(C)(CCN1CCc2nc[nH]c2C1)C1CC1 ZINC000625434061 343735164 /nfs/dbraw/zinc/73/51/64/343735164.db2.gz BSKOEZRIKRJGHQ-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN c1nc2c([nH]1)CN(CCC1=CCCCC1)CC2 ZINC000625434616 343735883 /nfs/dbraw/zinc/73/58/83/343735883.db2.gz CROWSIHGHUNXCY-UHFFFAOYSA-N 0 3 231.343 2.658 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCc3nc[nH]c3C2)C1 ZINC000625434989 343735892 /nfs/dbraw/zinc/73/58/92/343735892.db2.gz CINWOROCYGLPJN-VXGBXAGGSA-N 0 3 233.359 2.594 20 0 BFADHN CN(C/C=C\c1ccncc1)[C@@H]1CCSC1 ZINC000495063281 529820959 /nfs/dbraw/zinc/82/09/59/529820959.db2.gz GIBAOHRUWCZCEG-XQJDBVBESA-N 0 3 234.368 2.532 20 0 BFADHN c1nc2c([nH]1)CN(CCC1CCCCC1)CC2 ZINC000625435203 343736707 /nfs/dbraw/zinc/73/67/07/343736707.db2.gz CIKPOJSNTCFHJS-UHFFFAOYSA-N 0 3 233.359 2.738 20 0 BFADHN FC1(F)CC(CNCc2cnc(C3CC3)o2)C1 ZINC000628290456 346960726 /nfs/dbraw/zinc/96/07/26/346960726.db2.gz FCEDYZKFEXUSAC-UHFFFAOYSA-N 0 3 242.269 2.687 20 0 BFADHN CC/C=C\CCN1CCC(C(=O)OCC)CC1 ZINC000342272944 343727602 /nfs/dbraw/zinc/72/76/02/343727602.db2.gz MIPAHQHBYGLNMB-WAYWQWQTSA-N 0 3 239.359 2.618 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCC=C(c2ccccn2)C1 ZINC000625447863 343772228 /nfs/dbraw/zinc/77/22/28/343772228.db2.gz KUKYDRQBIFNRKU-OCCSQVGLSA-N 0 3 228.339 2.827 20 0 BFADHN CCOc1cncc(CN2CC[C@@H](C(C)C)C2)c1 ZINC000621719353 343775557 /nfs/dbraw/zinc/77/55/57/343775557.db2.gz KGJDFXXQSQAORO-CQSZACIVSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H](O)CC(C)(C)CN[C@@H](C)c1ccccn1 ZINC000090085718 343749777 /nfs/dbraw/zinc/74/97/77/343749777.db2.gz IXNQWDLIWFBQEF-NEPJUHHUSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1ccc(CN2CC[C@@H](O)C(C)(C)C2)cc1 ZINC000258955386 178579256 /nfs/dbraw/zinc/57/92/56/178579256.db2.gz ZJBOQCFXEYRBJH-CQSZACIVSA-N 0 3 233.355 2.588 20 0 BFADHN COc1ccsc1CNC1(C2CC2)CC1 ZINC000309089078 346963817 /nfs/dbraw/zinc/96/38/17/346963817.db2.gz BNNNMYJZSKOWIX-UHFFFAOYSA-N 0 3 223.341 2.789 20 0 BFADHN CN(CCn1cccn1)Cc1ccc(Cl)cc1 ZINC000064031117 343755746 /nfs/dbraw/zinc/75/57/46/343755746.db2.gz VWILXTLZZIEZMR-UHFFFAOYSA-N 0 3 249.745 2.669 20 0 BFADHN c1nc2cc(CNCc3ccccc3)ccc2[nH]1 ZINC000061668505 343759973 /nfs/dbraw/zinc/75/99/73/343759973.db2.gz KTKOSWZGWYDAPH-UHFFFAOYSA-N 0 3 237.306 2.853 20 0 BFADHN c1nc2ccc(CNCc3ccccc3)cc2[nH]1 ZINC000061668505 343759975 /nfs/dbraw/zinc/75/99/75/343759975.db2.gz KTKOSWZGWYDAPH-UHFFFAOYSA-N 0 3 237.306 2.853 20 0 BFADHN CC1(CNCc2cn3ccsc3n2)CCC1 ZINC000232902323 537810841 /nfs/dbraw/zinc/81/08/41/537810841.db2.gz TWTKMLUWEYTZIK-UHFFFAOYSA-N 0 3 235.356 2.676 20 0 BFADHN CCOc1cncc(CN2CC[C@H](C)[C@H](C)C2)c1 ZINC000621716561 343766336 /nfs/dbraw/zinc/76/63/36/343766336.db2.gz FLQGWXFNKUTJMU-QWHCGFSZSA-N 0 3 248.370 2.958 20 0 BFADHN CCO[C@@H](CN(C)Cc1occc1C)C1CC1 ZINC000625445932 343767185 /nfs/dbraw/zinc/76/71/85/343767185.db2.gz SKSYFPHGSXJTMV-AWEZNQCLSA-N 0 3 237.343 2.835 20 0 BFADHN CN(C)CCSCc1ccc2c(c1)CCC2 ZINC000179168478 346974709 /nfs/dbraw/zinc/97/47/09/346974709.db2.gz PFCNFOAJEPWUMY-UHFFFAOYSA-N 0 3 235.396 2.970 20 0 BFADHN Cc1ccc(CN2CCCOC[C@H]2C)s1 ZINC000678273088 488233862 /nfs/dbraw/zinc/23/38/62/488233862.db2.gz JJXOMIZPEKQXGH-SNVBAGLBSA-N 0 3 225.357 2.667 20 0 BFADHN Cc1oncc1CN1[C@H](C)CCC[C@H]1C ZINC000128592460 349870965 /nfs/dbraw/zinc/87/09/65/349870965.db2.gz RDWUQVXRPXACMU-NXEZZACHSA-N 0 3 208.305 2.746 20 0 BFADHN CC(C)CC1(CN[C@@H](C)c2ncc[nH]2)CC1 ZINC000336662750 537818769 /nfs/dbraw/zinc/81/87/69/537818769.db2.gz KPPZEFPIWKBCSC-NSHDSACASA-N 0 3 221.348 2.887 20 0 BFADHN CC(C)C[C@H]1CCCN(Cc2cn[nH]c2)C1 ZINC000678281239 488236488 /nfs/dbraw/zinc/23/64/88/488236488.db2.gz NNMNLZMGTGJYGN-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1N[C@H](CO)c1ccsc1 ZINC000336675221 537823194 /nfs/dbraw/zinc/82/31/94/537823194.db2.gz PITGKTGDJPYYPI-YNEHKIRRSA-N 0 3 239.384 2.806 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1N[C@@H](CO)c1ccsc1 ZINC000336675218 537823225 /nfs/dbraw/zinc/82/32/25/537823225.db2.gz PITGKTGDJPYYPI-XQQFMLRXSA-N 0 3 239.384 2.806 20 0 BFADHN C[C@H](CNCc1nccs1)C(C)(C)C ZINC000096610086 343829042 /nfs/dbraw/zinc/82/90/42/343829042.db2.gz ASRJYYJINBFSQF-SECBINFHSA-N 0 3 212.362 2.915 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CC[C@H]1C(C)C ZINC000336691498 537824726 /nfs/dbraw/zinc/82/47/26/537824726.db2.gz WWMWYGMPQPKEOC-AAEUAGOBSA-N 0 3 222.332 2.816 20 0 BFADHN CC1CCC(N(C)Cc2ccno2)CC1 ZINC000066695830 343878450 /nfs/dbraw/zinc/87/84/50/343878450.db2.gz BYIYOCWQKDOLRT-UHFFFAOYSA-N 0 3 208.305 2.685 20 0 BFADHN Cc1ccnc(CN[C@@H]2CC(C)(C)OC2(C)C)c1 ZINC000328156688 537827054 /nfs/dbraw/zinc/82/70/54/537827054.db2.gz GXXNLGNAPOQTIB-CYBMUJFWSA-N 0 3 248.370 2.826 20 0 BFADHN CCC[C@@H](NCc1cncc(OC)n1)C1CCC1 ZINC000625467609 343872578 /nfs/dbraw/zinc/87/25/78/343872578.db2.gz HIYJOKGYARFEBA-CYBMUJFWSA-N 0 3 249.358 2.544 20 0 BFADHN C/C=C/CNCc1ccc(OC)c(OCC)c1 ZINC000394937348 343886317 /nfs/dbraw/zinc/88/63/17/343886317.db2.gz CBALFKWKCDQDHW-GQCTYLIASA-N 0 3 235.327 2.760 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1ccc(C)o1 ZINC000393690389 343911847 /nfs/dbraw/zinc/91/18/47/343911847.db2.gz PULQMVRMCVFNPG-GHMZBOCLSA-N 0 3 211.330 2.572 20 0 BFADHN OCc1ccc(F)c(CN2CCC23CCCC3)c1 ZINC000625510693 343913604 /nfs/dbraw/zinc/91/36/04/343913604.db2.gz UEHJYNYPMMITPC-UHFFFAOYSA-N 0 3 249.329 2.837 20 0 BFADHN C[C@@H](CCN[C@@H](C)c1ccsc1)[S@](C)=O ZINC000090518012 343933283 /nfs/dbraw/zinc/93/32/83/343933283.db2.gz SITAKAGSTIOBFO-AMJWSMQMSA-N 0 3 245.413 2.556 20 0 BFADHN C[C@H](CCN[C@@H](C)c1cccs1)[S@](C)=O ZINC000090517099 343933342 /nfs/dbraw/zinc/93/33/42/343933342.db2.gz YLJSRDFCFWCCRT-HFBDOXOYSA-N 0 3 245.413 2.556 20 0 BFADHN COC(=O)c1ccccc1CN1CC[C@H](C)[C@H]1C ZINC000091859157 343952014 /nfs/dbraw/zinc/95/20/14/343952014.db2.gz QVFRVYNLYMQEEY-NWDGAFQWSA-N 0 3 247.338 2.704 20 0 BFADHN Cc1ncc(CN([C@@H](C)C(C)C)C2CC2)o1 ZINC000628118899 343969488 /nfs/dbraw/zinc/96/94/88/343969488.db2.gz PISPLCPKWPTPNO-JTQLQIEISA-N 0 3 222.332 2.992 20 0 BFADHN Cn1cncc1CN1CC(C)(C)CCC1(C)C ZINC000625722714 344055840 /nfs/dbraw/zinc/05/58/40/344055840.db2.gz AOHVHOPBWFPNPX-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN CN1CCCN(c2cc(C(C)(C)C)ccn2)CC1 ZINC000641253510 362332524 /nfs/dbraw/zinc/33/25/24/362332524.db2.gz HUGUDLHDVNSERE-UHFFFAOYSA-N 0 3 247.386 2.521 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCCC(F)(F)CC2)O1 ZINC000625770186 344065751 /nfs/dbraw/zinc/06/57/51/344065751.db2.gz HSSGHLLQFVQUSX-GHMZBOCLSA-N 0 3 233.302 2.675 20 0 BFADHN Cc1ncc(CN2CCCC2(C)C)s1 ZINC000077383093 344068991 /nfs/dbraw/zinc/06/89/91/344068991.db2.gz CWDDTSRHSLRODF-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN Cc1cc(CN2CC[C@H]3CC[C@@H](C2)S3)ccn1 ZINC000625777882 344072294 /nfs/dbraw/zinc/07/22/94/344072294.db2.gz KLODOTYVVMKFEG-KGLIPLIRSA-N 0 3 248.395 2.860 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N1CCC[C@H](O)C1 ZINC000078846809 344103361 /nfs/dbraw/zinc/10/33/61/344103361.db2.gz LGVBWOMLRSHCLR-KBPBESRZSA-N 0 3 237.318 2.734 20 0 BFADHN CC[C@@H](C)c1cccc(NC(=O)C(C)(C)N)c1 ZINC000625814676 344082264 /nfs/dbraw/zinc/08/22/64/344082264.db2.gz RZFDLHIZZPOPML-SNVBAGLBSA-N 0 3 234.343 2.876 20 0 BFADHN C/C=C\CN[C@@H]1CCCc2ccc(O)cc21 ZINC000384743746 344088164 /nfs/dbraw/zinc/08/81/64/344088164.db2.gz MJFADWAKRMQOHV-PYLYLYNFSA-N 0 3 217.312 2.935 20 0 BFADHN CCCn1nc(C)c(CN(CC)CC)c1C ZINC000156907532 323067907 /nfs/dbraw/zinc/06/79/07/323067907.db2.gz WTJAPLTYQOKWND-UHFFFAOYSA-N 0 3 223.364 2.752 20 0 BFADHN Fc1ccc(OCCN(C2CC2)C2CC2)cc1 ZINC000625837347 344090000 /nfs/dbraw/zinc/09/00/00/344090000.db2.gz MVULTPUMIUMFMW-UHFFFAOYSA-N 0 3 235.302 2.831 20 0 BFADHN CC(=O)Nc1cccc(CN(C2CC2)C2CC2)c1 ZINC000625837669 344090030 /nfs/dbraw/zinc/09/00/30/344090030.db2.gz ODXXDJSGQQLSHZ-UHFFFAOYSA-N 0 3 244.338 2.772 20 0 BFADHN c1cc2c(cc1CN(C1CC1)C1CC1)OCCO2 ZINC000625839791 344092520 /nfs/dbraw/zinc/09/25/20/344092520.db2.gz DDAAWNDVNWLTGX-UHFFFAOYSA-N 0 3 245.322 2.585 20 0 BFADHN CC(C)[C@H]1CCC[C@@H](NCc2cc[nH]n2)C1 ZINC000221389530 259372891 /nfs/dbraw/zinc/37/28/91/259372891.db2.gz CNQJCBCSEQXPGF-NWDGAFQWSA-N 0 3 221.348 2.714 20 0 BFADHN CC(C)n1cc(CNC2CC(C)(C)C2)cn1 ZINC000395144407 344100854 /nfs/dbraw/zinc/10/08/54/344100854.db2.gz BBCDENFSRMNWBL-UHFFFAOYSA-N 0 3 221.348 2.742 20 0 BFADHN CC(C)C[C@@H](C)CN1CCO[C@@H]2CCC[C@@H]21 ZINC000625853355 344125688 /nfs/dbraw/zinc/12/56/88/344125688.db2.gz DCRBULUZNMSVJZ-HZSPNIEDSA-N 0 3 225.376 2.922 20 0 BFADHN C/C=C/CN[C@H](CC)c1ccc(F)cn1 ZINC000384882518 344208670 /nfs/dbraw/zinc/20/86/70/344208670.db2.gz IVLGRYMNVFSBRO-JOAKQRRISA-N 0 3 208.280 2.838 20 0 BFADHN CN(Cc1cc2ccccc2[nH]1)C1CC1 ZINC000684020279 488255362 /nfs/dbraw/zinc/25/53/62/488255362.db2.gz JAEZWLVKGIICFE-UHFFFAOYSA-N 0 3 200.285 2.762 20 0 BFADHN CC[C@@H](O)CCNCc1cccc(Cl)c1 ZINC000131684534 344234226 /nfs/dbraw/zinc/23/42/26/344234226.db2.gz BGJNPEKDYHBAAI-GFCCVEGCSA-N 0 3 227.735 2.591 20 0 BFADHN CC1(C)CC[C@@H](NCc2nccs2)C1 ZINC000087771293 344236810 /nfs/dbraw/zinc/23/68/10/344236810.db2.gz KYPXTPUSXDMACB-SECBINFHSA-N 0 3 210.346 2.811 20 0 BFADHN CN(Cc1ccc2[nH]ccc2c1)C1CC1 ZINC000628135368 344195321 /nfs/dbraw/zinc/19/53/21/344195321.db2.gz UIYZSVMYQYKGEN-UHFFFAOYSA-N 0 3 200.285 2.762 20 0 BFADHN CC[C@H](C)CNCc1c(C)cc(C)nc1OC ZINC000678496055 488256901 /nfs/dbraw/zinc/25/69/01/488256901.db2.gz NFYDGUTZGLAHRA-JTQLQIEISA-N 0 3 236.359 2.843 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NCc1sccc1Cl ZINC000278612279 344267364 /nfs/dbraw/zinc/26/73/64/344267364.db2.gz CZLZVGVSERMKKJ-VHSXEESVSA-N 0 3 245.775 2.651 20 0 BFADHN CCOCCN(CC)Cc1ccc(C)c(F)c1 ZINC000092537965 344297924 /nfs/dbraw/zinc/29/79/24/344297924.db2.gz UMUZXYMPMOZMSC-UHFFFAOYSA-N 0 3 239.334 2.993 20 0 BFADHN COCc1ccc(CN2CC[C@@H](C)[C@H]2C)o1 ZINC000091859284 344285684 /nfs/dbraw/zinc/28/56/84/344285684.db2.gz HYUHCFDULXWCRP-GHMZBOCLSA-N 0 3 223.316 2.656 20 0 BFADHN C/C=C\CN[C@H]1CCc2cc(F)ccc21 ZINC000384984422 344286351 /nfs/dbraw/zinc/28/63/51/344286351.db2.gz ZMJLHMVYPUQUGU-ZRMMWKCHSA-N 0 3 205.276 2.979 20 0 BFADHN C/C=C/CNCc1cnc(C)n1-c1ccccc1 ZINC000385068951 344314043 /nfs/dbraw/zinc/31/40/43/344314043.db2.gz VOKOSASNZISOFS-ONEGZZNKSA-N 0 3 241.338 2.846 20 0 BFADHN COCc1ccc(CN2CCC3(CCC3)C2)o1 ZINC000093455780 344317407 /nfs/dbraw/zinc/31/74/07/344317407.db2.gz DGQQKALPKRLQEB-UHFFFAOYSA-N 0 3 235.327 2.802 20 0 BFADHN COC(C)(C)CN[C@H](C)c1ccsc1 ZINC000133393145 344317719 /nfs/dbraw/zinc/31/77/19/344317719.db2.gz OKEQMDVRLPRWGQ-SECBINFHSA-N 0 3 213.346 2.824 20 0 BFADHN C[C@@H](NCC1(O)CCC1)c1ccc(Cl)s1 ZINC000133418634 344318434 /nfs/dbraw/zinc/31/84/34/344318434.db2.gz JMOVINMOLCVPTJ-MRVPVSSYSA-N 0 3 245.775 2.967 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2ccc(C)o2)C1(C)C ZINC000084226272 344329974 /nfs/dbraw/zinc/32/99/74/344329974.db2.gz VEKYZLVYHPVZFC-CHWSQXEVSA-N 0 3 237.343 2.881 20 0 BFADHN C[C@@H](NC[C@H]1CC2CCC1CC2)c1ccncn1 ZINC000400093227 344301096 /nfs/dbraw/zinc/30/10/96/344301096.db2.gz ZINGUFINXHWOLO-BLYZHGLHSA-N 0 3 245.370 2.954 20 0 BFADHN CC(C)N(CCN1CCCCCC1)CC(F)F ZINC000672559279 488260373 /nfs/dbraw/zinc/26/03/73/488260373.db2.gz AZJMXIZTCQOFDN-UHFFFAOYSA-N 0 3 248.361 2.838 20 0 BFADHN CC(C)CN(CC(=O)OC(C)(C)C)CC(C)C ZINC000071809134 344432411 /nfs/dbraw/zinc/43/24/11/344432411.db2.gz PKLHOMPITYFLCB-UHFFFAOYSA-N 0 3 243.391 2.942 20 0 BFADHN FC(F)(F)CCN1CC[C@H](c2ccncc2)C1 ZINC000570823212 323071557 /nfs/dbraw/zinc/07/15/57/323071557.db2.gz ISOFPYZPPVSPRY-NSHDSACASA-N 0 3 244.260 2.823 20 0 BFADHN CC(C)[C@H]1CCCC[C@@H]1NCc1cnccn1 ZINC000214940700 344403553 /nfs/dbraw/zinc/40/35/53/344403553.db2.gz KTOFGEHICVQWDT-KGLIPLIRSA-N 0 3 233.359 2.781 20 0 BFADHN CCCC[C@@H]1CCC[C@@H]1NCc1nnc(C)[nH]1 ZINC000358042869 344403663 /nfs/dbraw/zinc/40/36/63/344403663.db2.gz GEHOLMNJSVMBGB-NEPJUHHUSA-N 0 3 236.363 2.562 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1csc(C)n1 ZINC000041010460 344411619 /nfs/dbraw/zinc/41/16/19/344411619.db2.gz ZCPNVFHEDXBWKO-RKDXNWHRSA-N 0 3 212.362 2.976 20 0 BFADHN C[C@H](N[C@@H]1CSC[C@@H]1C)c1ccoc1 ZINC000306786850 344439363 /nfs/dbraw/zinc/43/93/63/344439363.db2.gz LPRPXGPIJCVYSU-ATZCPNFKSA-N 0 3 211.330 2.682 20 0 BFADHN CC[C@H](NCc1ncc(Cl)n1C)C(C)C ZINC000042589104 344459785 /nfs/dbraw/zinc/45/97/85/344459785.db2.gz KTHJVZGLCKIJCN-VIFPVBQESA-N 0 3 229.755 2.598 20 0 BFADHN CC(C)CC1(CNCc2cnccn2)CCC1 ZINC000573687079 344487228 /nfs/dbraw/zinc/48/72/28/344487228.db2.gz QODBZEQNEWXHRT-UHFFFAOYSA-N 0 3 233.359 2.783 20 0 BFADHN CCn1ccnc1CNC[C@@H]1CCCC1(C)C ZINC000388473055 344489881 /nfs/dbraw/zinc/48/98/81/344489881.db2.gz MMBOSEQNKLWOCO-LBPRGKRZSA-N 0 3 235.375 2.819 20 0 BFADHN CSCCNCc1ccc(C)c(F)c1 ZINC000068890611 344512093 /nfs/dbraw/zinc/51/20/93/344512093.db2.gz DHLJDORKHQWEKF-UHFFFAOYSA-N 0 3 213.321 2.587 20 0 BFADHN C[C@@H](NCc1ccn(C)n1)[C@@H]1CC2CCC1CC2 ZINC000381534540 344517936 /nfs/dbraw/zinc/51/79/36/344517936.db2.gz QHGAHPSAJPRZDY-LPXQKFACSA-N 0 3 247.386 2.725 20 0 BFADHN CC[C@H](NCc1cncn1C)c1ccc(F)cc1 ZINC000263839107 344526179 /nfs/dbraw/zinc/52/61/79/344526179.db2.gz GBYODCQEMSBAKQ-AWEZNQCLSA-N 0 3 247.317 2.800 20 0 BFADHN CCSCC[C@@H](C)NCc1nccs1 ZINC000127485331 344549780 /nfs/dbraw/zinc/54/97/80/344549780.db2.gz BUPQIRHZGWRXRU-SECBINFHSA-N 0 3 230.402 2.764 20 0 BFADHN COc1cccc(CN[C@@H](C)CSC)c1F ZINC000127542942 344562115 /nfs/dbraw/zinc/56/21/15/344562115.db2.gz MVWRFRUXEBZCAD-VIFPVBQESA-N 0 3 243.347 2.675 20 0 BFADHN COC[C@H](C)CN[C@H](C)c1ccc(C)o1 ZINC000045655809 344575852 /nfs/dbraw/zinc/57/58/52/344575852.db2.gz LWUHTUKZYKJPJF-MWLCHTKSSA-N 0 3 211.305 2.521 20 0 BFADHN CCOCCCNCc1scnc1C1CC1 ZINC000336773902 537850843 /nfs/dbraw/zinc/85/08/43/537850843.db2.gz WKGSZHJWMZSXTE-UHFFFAOYSA-N 0 3 240.372 2.537 20 0 BFADHN CCc1nc(CN[C@H](C)C2CC2)cs1 ZINC000049591186 344655938 /nfs/dbraw/zinc/65/59/38/344655938.db2.gz HDQWLLFGSIFOKJ-MRVPVSSYSA-N 0 3 210.346 2.594 20 0 BFADHN CCC(C)(C)CCN1CCO[C@@H](CCF)C1 ZINC000626002392 344663312 /nfs/dbraw/zinc/66/33/12/344663312.db2.gz MFLYDTSZFBNDJK-LBPRGKRZSA-N 0 3 231.355 2.873 20 0 BFADHN CC[C@H](C)N1CCc2c(O)cccc2C1 ZINC000626028843 344681526 /nfs/dbraw/zinc/68/15/26/344681526.db2.gz ZYAPIIBWWOSFKN-JTQLQIEISA-N 0 3 205.301 2.549 20 0 BFADHN CC(C)(CCN1CCO[C@H](CCF)C1)C1CC1 ZINC000626005496 344668187 /nfs/dbraw/zinc/66/81/87/344668187.db2.gz DGPHTDGLLPSODQ-CYBMUJFWSA-N 0 3 243.366 2.873 20 0 BFADHN CCN(Cc1cn2cc(C)ccc2n1)CC1CC1 ZINC000112210659 344637306 /nfs/dbraw/zinc/63/73/06/344637306.db2.gz GPACTVRWPHCGDZ-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CO[C@@H](CN1CCC(F)(F)C[C@H]1C)C1CCC1 ZINC000625981522 344647553 /nfs/dbraw/zinc/64/75/53/344647553.db2.gz WAEGPQANCPSHNW-PWSUYJOCSA-N 0 3 247.329 2.921 20 0 BFADHN CO[C@@H](CN1CCC(F)(F)C[C@@H]1C)C1CCC1 ZINC000625981521 344647641 /nfs/dbraw/zinc/64/76/41/344647641.db2.gz WAEGPQANCPSHNW-JQWIXIFHSA-N 0 3 247.329 2.921 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1ncnn1C(C)C ZINC000348183970 344647915 /nfs/dbraw/zinc/64/79/15/344647915.db2.gz NPIBOVYCFJCYHD-NEPJUHHUSA-N 0 3 236.363 2.527 20 0 BFADHN CC(C)CC[C@H](N[C@H](C)CO)c1ccoc1 ZINC000278925212 344729660 /nfs/dbraw/zinc/72/96/60/344729660.db2.gz KTDVLCRVVDXBDC-YPMHNXCESA-N 0 3 225.332 2.727 20 0 BFADHN Fc1ccc(CN2CC[C@@H]3OCCC[C@@H]3C2)cc1 ZINC000153048291 344737432 /nfs/dbraw/zinc/73/74/32/344737432.db2.gz QPQUWALGRBFUMI-HIFRSBDPSA-N 0 3 249.329 2.827 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H](C)c1ncccc1CC ZINC000349425722 344690078 /nfs/dbraw/zinc/69/00/78/344690078.db2.gz VJFNVMURLXWHLS-UUSSSYRCSA-N 0 3 248.370 2.622 20 0 BFADHN FC1(CNCc2cccc3cc[nH]c32)CC1 ZINC000381823981 344699985 /nfs/dbraw/zinc/69/99/85/344699985.db2.gz LNICXLIIZWKAJJ-UHFFFAOYSA-N 0 3 218.275 2.760 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1CC(=O)Nc1ccccc1 ZINC000075021827 344761679 /nfs/dbraw/zinc/76/16/79/344761679.db2.gz OAVMZZZWZFNVML-OCCSQVGLSA-N 0 3 246.354 2.746 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cn2ccccc2n1 ZINC000075021793 344761917 /nfs/dbraw/zinc/76/19/17/344761917.db2.gz OKZSJRNLUADZRZ-OCCSQVGLSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cc(C)no1 ZINC000075021885 344761945 /nfs/dbraw/zinc/76/19/45/344761945.db2.gz BZVVVFNPXFYAFM-ONGXEEELSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@@H](NCCCC(C)(F)F)c1ccncn1 ZINC000390110994 259380491 /nfs/dbraw/zinc/38/04/91/259380491.db2.gz WYQJIVCBKFHWMT-SECBINFHSA-N 0 3 229.274 2.563 20 0 BFADHN CC[C@@H](O)CN(CC)Cc1ccc(Cl)s1 ZINC000075590901 344780620 /nfs/dbraw/zinc/78/06/20/344780620.db2.gz YMUWPXPGIUEJAM-SECBINFHSA-N 0 3 247.791 2.994 20 0 BFADHN CSCCN[C@H]1CCCc2ccc(O)cc21 ZINC000235054004 344753944 /nfs/dbraw/zinc/75/39/44/344753944.db2.gz PDHVQOXMZIIRKJ-ZDUSSCGKSA-N 0 3 237.368 2.722 20 0 BFADHN CCCN(C)C[C@@H](O)c1cccc(Cl)c1 ZINC000075674776 344784153 /nfs/dbraw/zinc/78/41/53/344784153.db2.gz JSECPOMRCVVYLI-GFCCVEGCSA-N 0 3 227.735 2.715 20 0 BFADHN CCOCCCN1CCC(C(F)(F)F)CC1 ZINC000075996502 344790192 /nfs/dbraw/zinc/79/01/92/344790192.db2.gz LXNIJUHBKCAZAN-UHFFFAOYSA-N 0 3 239.281 2.687 20 0 BFADHN Cc1ncc(CN(C)[C@H](C)C(C)(C)C)o1 ZINC000628140476 344805767 /nfs/dbraw/zinc/80/57/67/344805767.db2.gz MHPDZXGBMHKJQJ-SECBINFHSA-N 0 3 210.321 2.849 20 0 BFADHN Cc1nc(CN(CC2CC2)C(C)C)[nH]c1C ZINC000628142766 344808895 /nfs/dbraw/zinc/80/88/95/344808895.db2.gz OWVZNXKWJHSFHL-UHFFFAOYSA-N 0 3 221.348 2.647 20 0 BFADHN Cc1nc(CN(CC(C)C)C(C)C)[nH]c1C ZINC000628143830 344815629 /nfs/dbraw/zinc/81/56/29/344815629.db2.gz CRGMOYPWIVTRKJ-UHFFFAOYSA-N 0 3 223.364 2.893 20 0 BFADHN Cc1c[nH]c(CN(CC(C)C)C(C)C)n1 ZINC000628143908 344815826 /nfs/dbraw/zinc/81/58/26/344815826.db2.gz GLGWKBIDSVSJFR-UHFFFAOYSA-N 0 3 209.337 2.585 20 0 BFADHN OCC[C@@H](NCc1ccccc1)c1ccccc1 ZINC000077468293 344819667 /nfs/dbraw/zinc/81/96/67/344819667.db2.gz APGHVJNYLPMQFX-MRXNPFEDSA-N 0 3 241.334 2.900 20 0 BFADHN CC[C@H]1CCCN1Cc1cnc(C2CC2)o1 ZINC000628152945 344841657 /nfs/dbraw/zinc/84/16/57/344841657.db2.gz UGACCZJEOWWISA-NSHDSACASA-N 0 3 220.316 2.926 20 0 BFADHN C[C@@H]1CCCN(C[C@H](O)c2ccccc2F)C1 ZINC000078338430 344848591 /nfs/dbraw/zinc/84/85/91/344848591.db2.gz FNLFJZKDZAKUGQ-RISCZKNCSA-N 0 3 237.318 2.591 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@@H](C)c1cnccn1 ZINC000119580874 344854307 /nfs/dbraw/zinc/85/43/07/344854307.db2.gz SSDZWZCUJZKBRB-TUAOUCFPSA-N 0 3 219.332 2.706 20 0 BFADHN COC1(CN[C@H]2CCCc3cccnc32)CCC1 ZINC000234658081 537869242 /nfs/dbraw/zinc/86/92/42/537869242.db2.gz SXJIIYJMOHIKLB-ZDUSSCGKSA-N 0 3 246.354 2.618 20 0 BFADHN Cc1ccc(CNC2CC=CC2)c(F)c1 ZINC000631089340 344890100 /nfs/dbraw/zinc/89/01/00/344890100.db2.gz FPQPXWQINDHXKK-UHFFFAOYSA-N 0 3 205.276 2.942 20 0 BFADHN Cc1ccc(CN[C@H]2CCOC2(C)C)c(F)c1 ZINC000631146675 344898475 /nfs/dbraw/zinc/89/84/75/344898475.db2.gz GHSZDPCRSGYSBJ-ZDUSSCGKSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ncc(CN(C)CCc2cccs2)o1 ZINC000628184726 344933663 /nfs/dbraw/zinc/93/36/63/344933663.db2.gz WLXPQAKATMEOEO-UHFFFAOYSA-N 0 3 236.340 2.719 20 0 BFADHN Cc1ccc(CNCC[C@H](C)CCO)c(F)c1 ZINC000631148865 344935484 /nfs/dbraw/zinc/93/54/84/344935484.db2.gz WKUAMZNHFFNNSS-NSHDSACASA-N 0 3 239.334 2.632 20 0 BFADHN Cc1c[nH]c(CN2C[C@H](C)CCC[C@H]2C)n1 ZINC000628178818 344913344 /nfs/dbraw/zinc/91/33/44/344913344.db2.gz DIGTUQSKARFCLN-ZYHUDNBSSA-N 0 3 221.348 2.729 20 0 BFADHN C[C@H]1COCCCN1Cc1ccc2[nH]ccc2c1 ZINC000628180184 344918046 /nfs/dbraw/zinc/91/80/46/344918046.db2.gz FESAFUZKEDDGOP-LBPRGKRZSA-N 0 3 244.338 2.779 20 0 BFADHN CC[C@H]1CCCN(Cc2cnc(C)o2)CC1 ZINC000628180085 344918383 /nfs/dbraw/zinc/91/83/83/344918383.db2.gz AWJLQFSKMHKFEL-LBPRGKRZSA-N 0 3 222.332 2.995 20 0 BFADHN CN(Cc1ccc[nH]1)C[C@@]1(C)CCCS1 ZINC000628188083 344940634 /nfs/dbraw/zinc/94/06/34/344940634.db2.gz NGWKNKBCUNOIBT-GFCCVEGCSA-N 0 3 224.373 2.732 20 0 BFADHN C[C@@H]1CCN(Cc2cnc(C3CC3)o2)[C@H]1C ZINC000628189838 344942248 /nfs/dbraw/zinc/94/22/48/344942248.db2.gz ODSIGMFQYGCZDI-ZJUUUORDSA-N 0 3 220.316 2.782 20 0 BFADHN CN(Cc1ccncc1)C1CC(OC(C)(C)C)C1 ZINC000153833080 344984071 /nfs/dbraw/zinc/98/40/71/344984071.db2.gz PTYIFJOXCKDFGD-UHFFFAOYSA-N 0 3 248.370 2.860 20 0 BFADHN CCCC[C@H](COC)NCc1c(C)noc1C ZINC000153952840 345005969 /nfs/dbraw/zinc/00/59/69/345005969.db2.gz UZGFLRQZZACBAC-GFCCVEGCSA-N 0 3 240.347 2.586 20 0 BFADHN CCN1CCC[C@@H]1CN[C@@H]1CCCc2occc21 ZINC000123900473 345020885 /nfs/dbraw/zinc/02/08/85/345020885.db2.gz CDKQLBZSMAJSPO-TZMCWYRMSA-N 0 3 248.370 2.731 20 0 BFADHN C[C@@H](NCc1ccccc1Cl)C(C)(C)O ZINC000082431753 345055058 /nfs/dbraw/zinc/05/50/58/345055058.db2.gz RQNNQUJVNAEVFX-SECBINFHSA-N 0 3 227.735 2.589 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccnn2C)c2ccccc21 ZINC000080199619 345118596 /nfs/dbraw/zinc/11/85/96/345118596.db2.gz BRSSIWXSCRQMSU-ABAIWWIYSA-N 0 3 241.338 2.758 20 0 BFADHN CC[C@@H](C)C[N@H+](CC)Cc1nc(C)ccc1[O-] ZINC000156087585 345123258 /nfs/dbraw/zinc/12/32/58/345123258.db2.gz GJCJTTKOEVHRIV-LLVKDONJSA-N 0 3 236.359 2.964 20 0 BFADHN CC[C@@H](C)C[N@@H+](CC)Cc1nc(C)ccc1[O-] ZINC000156087585 345123259 /nfs/dbraw/zinc/12/32/59/345123259.db2.gz GJCJTTKOEVHRIV-LLVKDONJSA-N 0 3 236.359 2.964 20 0 BFADHN CCN(Cc1scnc1C)CC1CC1 ZINC000125671693 345089611 /nfs/dbraw/zinc/08/96/11/345089611.db2.gz USSHLYBKIAQVGX-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN c1ccc2c(c1)SC[C@@H]2NC[C@@H]1CCCOC1 ZINC000155833877 345108480 /nfs/dbraw/zinc/10/84/80/345108480.db2.gz XWMILOBQYXWOBU-AAEUAGOBSA-N 0 3 249.379 2.850 20 0 BFADHN Cn1cnnc1[C@H]1CCCN1CC1CCCCC1 ZINC000156600674 345189579 /nfs/dbraw/zinc/18/95/79/345189579.db2.gz KXAAIFIDZVYKKK-CYBMUJFWSA-N 0 3 248.374 2.532 20 0 BFADHN Cc1cc(CN(CCCCO)C2CC2)cs1 ZINC000449036969 201795123 /nfs/dbraw/zinc/79/51/23/201795123.db2.gz WMUHPRWQYCQFGO-UHFFFAOYSA-N 0 3 239.384 2.793 20 0 BFADHN Clc1ccc2c(c1)CC[C@H]2N[C@H]1CCOC1 ZINC000156156219 345133900 /nfs/dbraw/zinc/13/39/00/345133900.db2.gz NLXQSDFAANXRFM-WCQYABFASA-N 0 3 237.730 2.706 20 0 BFADHN CCOC[C@H](C)N[C@H]1CSc2ccccc21 ZINC000156251876 345142110 /nfs/dbraw/zinc/14/21/10/345142110.db2.gz MBKCIIPWJVGPQM-JQWIXIFHSA-N 0 3 237.368 2.848 20 0 BFADHN Cc1ccc([C@@H](C)NCCCn2cccn2)o1 ZINC000127147727 178839233 /nfs/dbraw/zinc/83/92/33/178839233.db2.gz PWEHSLSBEXKIRA-GFCCVEGCSA-N 0 3 233.315 2.525 20 0 BFADHN Cc1ncc(CN2CCCC3(CC3)CC2)cn1 ZINC000626114331 345316248 /nfs/dbraw/zinc/31/62/48/345316248.db2.gz CCGDHZUEJVQLRK-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H]2CCC=CO2)o1 ZINC000050268222 178841413 /nfs/dbraw/zinc/84/14/13/178841413.db2.gz RHWACYUWKIFVEH-NEPJUHHUSA-N 0 3 221.300 2.931 20 0 BFADHN Cc1cc(CN2CCCC3(CC3)CC2)on1 ZINC000626113414 345302962 /nfs/dbraw/zinc/30/29/62/345302962.db2.gz RKDSWQIISRQCMG-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN COc1ncccc1CN1CCCC2(CC2)CC1 ZINC000626114990 345326083 /nfs/dbraw/zinc/32/60/83/345326083.db2.gz BVYZVTMXNWRDPG-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN Cc1cc(CN2CCCC3(CC3)CC2)nc(C)n1 ZINC000626115335 345329888 /nfs/dbraw/zinc/32/98/88/345329888.db2.gz PESQZADJUKTOMJ-UHFFFAOYSA-N 0 3 245.370 2.860 20 0 BFADHN CCC[C@H](C)[C@H](CC)NCc1nncn1CC ZINC000158437225 345334544 /nfs/dbraw/zinc/33/45/44/345334544.db2.gz GXQIYRNALBTEDS-RYUDHWBXSA-N 0 3 238.379 2.602 20 0 BFADHN COc1cc(C)ccc1CNCCOCC1CC1 ZINC000449147013 201821539 /nfs/dbraw/zinc/82/15/39/201821539.db2.gz PAIMWRUNIOGCFA-UHFFFAOYSA-N 0 3 249.354 2.520 20 0 BFADHN Cc1ccc([C@@H](O)CNCc2ccc(F)cc2)o1 ZINC000265964260 178867021 /nfs/dbraw/zinc/86/70/21/178867021.db2.gz JPVYLFXYFUGZEJ-ZDUSSCGKSA-N 0 3 249.285 2.550 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2nc3c(s2)CCC3)C1 ZINC000678832392 488289532 /nfs/dbraw/zinc/28/95/32/488289532.db2.gz CJAWWXHIEVAVCX-NXEZZACHSA-N 0 3 236.384 2.862 20 0 BFADHN Fc1cccc2c1CC[C@H]2NCc1cc[nH]c1 ZINC000086252092 345536735 /nfs/dbraw/zinc/53/67/35/345536735.db2.gz IARKJZDUYWCZSA-CQSZACIVSA-N 0 3 230.286 2.931 20 0 BFADHN Fc1ccc2c(c1)[C@H](NCc1cc[nH]c1)CC2 ZINC000086253225 345536744 /nfs/dbraw/zinc/53/67/44/345536744.db2.gz WSEXTHMUTKNSMK-CQSZACIVSA-N 0 3 230.286 2.931 20 0 BFADHN CCCC[C@H](CC)CN1CCO[C@H](COC)C1 ZINC000183624572 534998759 /nfs/dbraw/zinc/99/87/59/534998759.db2.gz SAINQCLXQKPUBP-KBPBESRZSA-N 0 3 243.391 2.550 20 0 BFADHN C[C@@H](O)CC(C)(C)CN[C@H](C)c1ccccn1 ZINC000090085722 345569513 /nfs/dbraw/zinc/56/95/13/345569513.db2.gz IXNQWDLIWFBQEF-VXGBXAGGSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@@H](CN1CCC(=O)[C@@H](C)C1)C(C)(C)C ZINC000221514539 345619656 /nfs/dbraw/zinc/61/96/56/345619656.db2.gz NNEDWKFGUKXBRR-QWRGUYRKSA-N 0 3 211.349 2.580 20 0 BFADHN CCCn1nc(C)c(CN2CC[C@@H](C)C2)c1C ZINC000092471201 345622694 /nfs/dbraw/zinc/62/26/94/345622694.db2.gz AXWGVBHJOMCQJY-LLVKDONJSA-N 0 3 235.375 2.752 20 0 BFADHN C[C@@H]1CCN(Cc2cnc(C3CC3)s2)C1 ZINC000092471626 345623944 /nfs/dbraw/zinc/62/39/44/345623944.db2.gz HASYMONHIWOZTN-SECBINFHSA-N 0 3 222.357 2.862 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(CCO)CC2CC2)o1 ZINC000092506062 345626444 /nfs/dbraw/zinc/62/64/44/345626444.db2.gz UWLVNVCPVLGZPK-RISCZKNCSA-N 0 3 249.354 2.607 20 0 BFADHN C[C@H]1CCC[C@H](CN2CCc3n[nH]cc3C2)C1 ZINC000091077715 345582081 /nfs/dbraw/zinc/58/20/81/345582081.db2.gz WVMZXJPPAICQES-RYUDHWBXSA-N 0 3 233.359 2.594 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCc3n[nH]cc3C2)C1 ZINC000091077714 345582099 /nfs/dbraw/zinc/58/20/99/345582099.db2.gz WVMZXJPPAICQES-NEPJUHHUSA-N 0 3 233.359 2.594 20 0 BFADHN OCCN[C@@H](c1ccccc1F)C1CCCC1 ZINC000091265629 345590657 /nfs/dbraw/zinc/59/06/57/345590657.db2.gz WWQXPBLZVXLBPP-CQSZACIVSA-N 0 3 237.318 2.639 20 0 BFADHN C[C@H](NCCCC(C)(F)F)c1nccn1C ZINC000390260200 259384094 /nfs/dbraw/zinc/38/40/94/259384094.db2.gz YVEIAMCMOOENDF-VIFPVBQESA-N 0 3 231.290 2.506 20 0 BFADHN CCOCCN(C)CCO[C@@H]1CCCC[C@@H]1C ZINC000093314148 345661455 /nfs/dbraw/zinc/66/14/55/345661455.db2.gz XOMZNEFVVZUPFO-UONOGXRCSA-N 0 3 243.391 2.550 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cnc2cnccn12 ZINC000093844895 345666801 /nfs/dbraw/zinc/66/68/01/345666801.db2.gz PXBVORYTOLSALO-GFCCVEGCSA-N 0 3 246.358 2.597 20 0 BFADHN C[C@H]1CCC[C@@H](CN2CCOC[C@@H]2C)C1 ZINC000092793875 345643101 /nfs/dbraw/zinc/64/31/01/345643101.db2.gz VZCXZHPFXUPLIW-RWMBFGLXSA-N 0 3 211.349 2.533 20 0 BFADHN CO[C@@H](C)CCNCc1ccc(SC)o1 ZINC000641713012 362625193 /nfs/dbraw/zinc/62/51/93/362625193.db2.gz CWOGSAJZJPHXAI-VIFPVBQESA-N 0 3 229.345 2.516 20 0 BFADHN C[C@H]1CC[C@H](N(C)CC(=O)Nc2ccccc2)C1 ZINC000092904106 345647796 /nfs/dbraw/zinc/64/77/96/345647796.db2.gz CSWMBMZENOHCAG-JSGCOSHPSA-N 0 3 246.354 2.746 20 0 BFADHN Cc1ccc(CN2CCC([C@H](C)O)CC2)s1 ZINC000092969651 345650056 /nfs/dbraw/zinc/65/00/56/345650056.db2.gz IMPCAPYNSJMUML-NSHDSACASA-N 0 3 239.384 2.649 20 0 BFADHN COC1CCC(N(C)Cc2cncs2)CC1 ZINC000093414874 345670797 /nfs/dbraw/zinc/67/07/97/345670797.db2.gz UAHSSEBIMRIRGX-UHFFFAOYSA-N 0 3 240.372 2.533 20 0 BFADHN COc1ccc(CN2CCC2(C)C)cc1OC ZINC000093460387 345671771 /nfs/dbraw/zinc/67/17/71/345671771.db2.gz LFDVDFBOJFJWQW-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN Cc1ncsc1CN1CC[C@@H](C)[C@H](C)C1 ZINC000093480976 345672717 /nfs/dbraw/zinc/67/27/17/345672717.db2.gz YVSJEHGROSVJOM-NXEZZACHSA-N 0 3 224.373 2.929 20 0 BFADHN C[C@H](O)CCN(C)C/C=C/c1ccc(F)cc1 ZINC000093481627 345673152 /nfs/dbraw/zinc/67/31/52/345673152.db2.gz NURWOEJNOFMTKJ-PCAWENJQSA-N 0 3 237.318 2.542 20 0 BFADHN COC[C@H](NCc1ccc(SC)o1)C(C)C ZINC000641729089 362650886 /nfs/dbraw/zinc/65/08/86/362650886.db2.gz QVHWMBWEUNDDNF-NSHDSACASA-N 0 3 243.372 2.762 20 0 BFADHN Cc1nocc1CN1CC[C@@H](c2ccccc2)C1 ZINC000219530170 345746117 /nfs/dbraw/zinc/74/61/17/345746117.db2.gz QEKBHRHWSWYDPP-CQSZACIVSA-N 0 3 242.322 2.973 20 0 BFADHN CCOC[C@H](C)NCc1ccc(C)c(OC)c1 ZINC000161803334 345699247 /nfs/dbraw/zinc/69/92/47/345699247.db2.gz ZJFDCTUGJCFFJZ-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN CO[C@@H](CN(C)CCSC)c1ccccc1 ZINC000149571104 345716173 /nfs/dbraw/zinc/71/61/73/345716173.db2.gz LHIPMZVGXVPKMJ-ZDUSSCGKSA-N 0 3 239.384 2.669 20 0 BFADHN CO[C@H](CN(C)CCSC)c1ccccc1 ZINC000149571320 345716276 /nfs/dbraw/zinc/71/62/76/345716276.db2.gz LHIPMZVGXVPKMJ-CYBMUJFWSA-N 0 3 239.384 2.669 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCCC2(F)F)s1 ZINC000394732019 323096393 /nfs/dbraw/zinc/09/63/93/323096393.db2.gz NXZDHUBDWSXFPY-VIFPVBQESA-N 0 3 246.326 2.977 20 0 BFADHN Cc1ncc(CN[C@H]2C[C@H]2Cc2ccccc2)o1 ZINC000628217071 345928422 /nfs/dbraw/zinc/92/84/22/345928422.db2.gz KAHITPQYZWAPON-HIFRSBDPSA-N 0 3 242.322 2.704 20 0 BFADHN CCN1CCN(CCC2CCCCC2)CC1 ZINC000202105399 345903918 /nfs/dbraw/zinc/90/39/18/345903918.db2.gz XRYZTYPRBRDKFO-UHFFFAOYSA-N 0 3 224.392 2.594 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1cnc(C)o1 ZINC000628222281 345960424 /nfs/dbraw/zinc/96/04/24/345960424.db2.gz LLZDDOUVKBAHNF-UWVGGRQHSA-N 0 3 210.321 2.897 20 0 BFADHN CCCC[C@H](CC)CCN(CC(N)=O)C(C)C ZINC000437586536 537926385 /nfs/dbraw/zinc/92/63/85/537926385.db2.gz YOAXTCJSUWPCRM-ZDUSSCGKSA-N 0 3 242.407 2.789 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1ccncc1F ZINC000449300223 201863478 /nfs/dbraw/zinc/86/34/78/201863478.db2.gz DGZVEOGIQBIUEJ-MNOVXSKESA-N 0 3 222.307 2.747 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCc1cncn1C)CC2 ZINC000264405549 178952562 /nfs/dbraw/zinc/95/25/62/178952562.db2.gz NEHLEOCFNOQXNY-HNNXBMFYSA-N 0 3 241.338 2.506 20 0 BFADHN c1nc(C2CC2)oc1CN[C@H]1CC=CCC1 ZINC000628217788 345945050 /nfs/dbraw/zinc/94/50/50/345945050.db2.gz JGYWDZSNUPGOHC-NSHDSACASA-N 0 3 218.300 2.750 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CCC2(C)C)cn1 ZINC000381465246 345966679 /nfs/dbraw/zinc/96/66/79/345966679.db2.gz MJFIXJOZOBZEDR-CMPLNLGQSA-N 0 3 219.332 2.624 20 0 BFADHN CN1CC[C@H](CSCC(=O)c2ccccc2)C1 ZINC000601084843 346016074 /nfs/dbraw/zinc/01/60/74/346016074.db2.gz FUYNXPCJGJJWPW-LBPRGKRZSA-N 0 3 249.379 2.554 20 0 BFADHN Cc1ccc(CCNCc2ccc(C)o2)o1 ZINC000390420150 259387652 /nfs/dbraw/zinc/38/76/52/259387652.db2.gz JIESBGBQZLWYAG-UHFFFAOYSA-N 0 3 219.284 2.822 20 0 BFADHN Fc1ccccc1OCCN1C2CCC1CC2 ZINC000635900261 346106096 /nfs/dbraw/zinc/10/60/96/346106096.db2.gz DYILRJWMTVCIFM-UHFFFAOYSA-N 0 3 235.302 2.831 20 0 BFADHN COc1ccc(CN2C3CCC2CC3)cc1F ZINC000635900613 346106571 /nfs/dbraw/zinc/10/65/71/346106571.db2.gz OIWIFOZKEQZSGA-UHFFFAOYSA-N 0 3 235.302 2.961 20 0 BFADHN Cc1nc(C)c(CNCC2CCCCC2)o1 ZINC000311704882 346175112 /nfs/dbraw/zinc/17/51/12/346175112.db2.gz SXGJKPPKHMWBTB-UHFFFAOYSA-N 0 3 222.332 2.961 20 0 BFADHN CS[C@@H](C)CNCc1ccc(C(F)F)nc1 ZINC000601113347 346145095 /nfs/dbraw/zinc/14/50/95/346145095.db2.gz DQWBLVZMFIXVOI-QMMMGPOBSA-N 0 3 246.326 2.860 20 0 BFADHN c1nc(C2CC2)oc1CN1CC[C@H]2CCC[C@H]21 ZINC000628241903 346201797 /nfs/dbraw/zinc/20/17/97/346201797.db2.gz LFXFGJOCZSYLSU-ZWNOBZJWSA-N 0 3 232.327 2.926 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cnc(C)o1 ZINC000628240612 346203413 /nfs/dbraw/zinc/20/34/13/346203413.db2.gz LWULSVJLDMVZMP-CABZTGNLSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](C(C)C)C2)o1 ZINC000628243764 346207804 /nfs/dbraw/zinc/20/78/04/346207804.db2.gz GIEJVOVROYUDJV-GFCCVEGCSA-N 0 3 222.332 2.851 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N1CCN(C)CC1 ZINC000150990057 346212015 /nfs/dbraw/zinc/21/20/15/346212015.db2.gz DVSLMYYKGCWGSJ-AWEZNQCLSA-N 0 3 236.334 2.524 20 0 BFADHN CC(C)[C@H]1CN(C[C@@]2(C)CCCS2)CCO1 ZINC000628235116 346188676 /nfs/dbraw/zinc/18/86/76/346188676.db2.gz ZEYNNDHGPCGRER-CHWSQXEVSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)C3(CCC3)C2)o1 ZINC000628235302 346188932 /nfs/dbraw/zinc/18/89/32/346188932.db2.gz IAZAIFNTWAKRSV-NSHDSACASA-N 0 3 234.343 2.995 20 0 BFADHN Cc1ncc(CN2CC[C@]3(CC[C@@H](C)C3)C2)o1 ZINC000628236990 346191953 /nfs/dbraw/zinc/19/19/53/346191953.db2.gz XOLJTBPDPQILEU-RISCZKNCSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1c[nH]c(CN2CC[C@@H](C(C)(C)C)C2)n1 ZINC000628237730 346194084 /nfs/dbraw/zinc/19/40/84/346194084.db2.gz XCHLDGOJDDLAKM-LLVKDONJSA-N 0 3 221.348 2.586 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2ccno2)C1 ZINC000626160206 346241827 /nfs/dbraw/zinc/24/18/27/346241827.db2.gz CUAQJGXTZZJKME-ZZKXABKFSA-N 0 3 220.316 2.853 20 0 BFADHN Cc1ncc(CNCC2C(C)(C)C2(C)C)o1 ZINC000628257085 346256583 /nfs/dbraw/zinc/25/65/83/346256583.db2.gz ZBAQHUVHKSMMFQ-UHFFFAOYSA-N 0 3 222.332 2.755 20 0 BFADHN CC(C)CC[C@H](CO)N[C@H](C)c1cccnc1 ZINC000624109902 346262382 /nfs/dbraw/zinc/26/23/82/346262382.db2.gz JREXMDOIHZGVHB-TZMCWYRMSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@H](NCc1nccn1C)[C@@H]1CCCC[C@H]1C ZINC000449434829 201923350 /nfs/dbraw/zinc/92/33/50/201923350.db2.gz SVLZQWAKMUGYOI-FRRDWIJNSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1c[nH]c(CN(C)[C@@H]2CCCC[C@@H]2C)n1 ZINC000628265891 346265546 /nfs/dbraw/zinc/26/55/46/346265546.db2.gz VXCSDWQAZPMEGE-CMPLNLGQSA-N 0 3 221.348 2.729 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cnn(C)c2)C1 ZINC000626161492 346270670 /nfs/dbraw/zinc/27/06/70/346270670.db2.gz DMPMLCAMEUNYQF-DHCBQETCSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2cnn(C)c2)C1 ZINC000626161495 346270681 /nfs/dbraw/zinc/27/06/81/346270681.db2.gz DMPMLCAMEUNYQF-SCOBNMCVSA-N 0 3 233.359 2.598 20 0 BFADHN C/C=C\C[C@@H]1CCCN(Cc2cn(C)nc2C)C1 ZINC000626164412 346271095 /nfs/dbraw/zinc/27/10/95/346271095.db2.gz FKVWKXZLVKZJAD-ZRUQZJFASA-N 0 3 247.386 2.907 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cn[nH]c2)C1 ZINC000626163121 346274132 /nfs/dbraw/zinc/27/41/32/346274132.db2.gz FOHRVKYIWTWQKZ-QAVQXKDTSA-N 0 3 219.332 2.588 20 0 BFADHN CSc1ccc(CNC[C@H]2CCSC2)o1 ZINC000641749616 362670382 /nfs/dbraw/zinc/67/03/82/362670382.db2.gz GCIIBEYCEGSYOV-SECBINFHSA-N 0 3 243.397 2.844 20 0 BFADHN C/C=C\C[C@H]1CCCN(CCOCC(F)F)C1 ZINC000626163520 346275878 /nfs/dbraw/zinc/27/58/78/346275878.db2.gz LTNBOSUSFNKNFP-DLGQBQFBSA-N 0 3 247.329 2.946 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cc(C)ncn2)C1 ZINC000626164139 346277290 /nfs/dbraw/zinc/27/72/90/346277290.db2.gz XIGKUSRFNCWFBR-RDFMZFSFSA-N 0 3 245.370 2.963 20 0 BFADHN CC[C@](C)(CCO)NCc1ccc(SC)o1 ZINC000641737592 362664844 /nfs/dbraw/zinc/66/48/44/362664844.db2.gz YAESHBVXBUCDBL-GFCCVEGCSA-N 0 3 243.372 2.642 20 0 BFADHN CCOC(C)(C)CNCc1ccc(SC)o1 ZINC000641752192 362677375 /nfs/dbraw/zinc/67/73/75/362677375.db2.gz SKFZJSWMDIUABC-UHFFFAOYSA-N 0 3 243.372 2.906 20 0 BFADHN Cc1cccc(C)c1CN(C)[C@H]1CCOC1 ZINC000265665076 179034973 /nfs/dbraw/zinc/03/49/73/179034973.db2.gz UNSJFWJCGSIWBY-ZDUSSCGKSA-N 0 3 219.328 2.524 20 0 BFADHN Cc1cccc(C)c1CN1CCN(C)[C@@H](C)[C@H]1C ZINC000356998505 179035527 /nfs/dbraw/zinc/03/55/27/179035527.db2.gz JPRSXGQYLGSBIH-LSDHHAIUSA-N 0 3 246.398 2.828 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc(SC)o1 ZINC000641755814 362681062 /nfs/dbraw/zinc/68/10/62/362681062.db2.gz TUMDCDYHFQOMNK-BDAKNGLRSA-N 0 3 229.345 2.515 20 0 BFADHN CSc1ccc(CN[C@@H](C)CCCCO)o1 ZINC000641758719 362688908 /nfs/dbraw/zinc/68/89/08/362688908.db2.gz RWJFKOUKHCGGKF-JTQLQIEISA-N 0 3 243.372 2.642 20 0 BFADHN Cc1cccc(C)c1NC(=O)CN[C@@H](C)C(C)C ZINC000232714517 179040354 /nfs/dbraw/zinc/04/03/54/179040354.db2.gz BXZJVPWCLOAESJ-ZDUSSCGKSA-N 0 3 248.370 2.876 20 0 BFADHN CSc1ccc(CN[C@@H]2CCOC2(C)C)o1 ZINC000641758891 362690056 /nfs/dbraw/zinc/69/00/56/362690056.db2.gz VNZCDTHVBZBHMK-SNVBAGLBSA-N 0 3 241.356 2.659 20 0 BFADHN CSc1ccc(CN[C@@H]2CSC[C@H]2C)o1 ZINC000641755386 362683876 /nfs/dbraw/zinc/68/38/76/362683876.db2.gz XPSACCBDUCGQIV-PSASIEDQSA-N 0 3 243.397 2.843 20 0 BFADHN CSc1ccc(CN[C@H]2CSC[C@H]2C)o1 ZINC000641755387 362684134 /nfs/dbraw/zinc/68/41/34/362684134.db2.gz XPSACCBDUCGQIV-SCZZXKLOSA-N 0 3 243.397 2.843 20 0 BFADHN Cc1cccc(C2=CCN(CCCCO)CC2)c1 ZINC000271385688 179051272 /nfs/dbraw/zinc/05/12/72/179051272.db2.gz UPSRVTUOBZFFGS-UHFFFAOYSA-N 0 3 245.366 2.857 20 0 BFADHN CCn1ccc(CN[C@H]2CCC[C@H](C)CC2)n1 ZINC000641762132 362696716 /nfs/dbraw/zinc/69/67/16/362696716.db2.gz FNTFVZJKSSSXTQ-STQMWFEESA-N 0 3 235.375 2.961 20 0 BFADHN Cc1cccc(CCN[C@@H](C)c2ccccn2)n1 ZINC000280523586 179057940 /nfs/dbraw/zinc/05/79/40/179057940.db2.gz HXDFHAAMZJMSAQ-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN CC[C@@H](NCc1cccs1)c1cnn(C)c1 ZINC000156487268 346370375 /nfs/dbraw/zinc/37/03/75/346370375.db2.gz NZLOSTNPLFBBPD-GFCCVEGCSA-N 0 3 235.356 2.723 20 0 BFADHN Cc1nc2ccccc2nc1CN1CCC[C@@H]1C ZINC000174419432 537971794 /nfs/dbraw/zinc/97/17/94/537971794.db2.gz IXEMOYACCQOPMI-NSHDSACASA-N 0 3 241.338 2.923 20 0 BFADHN CCCCNCc1nc2ccccc2nc1C ZINC000589033777 346378250 /nfs/dbraw/zinc/37/82/50/346378250.db2.gz QOPZKICRXGNIIK-UHFFFAOYSA-N 0 3 229.327 2.828 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1ccn(C(C)C)n1 ZINC000381579521 346383015 /nfs/dbraw/zinc/38/30/15/346383015.db2.gz DXCCCIOSSGRJAY-YPMHNXCESA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cccc(CN(C)CC(C)(C)C)n1 ZINC000353748873 179063747 /nfs/dbraw/zinc/06/37/47/179063747.db2.gz SKZYUHXRSOSFJP-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN Cc1cccc(CN(C)Cc2ccnc(N)c2)c1 ZINC000052731651 179069701 /nfs/dbraw/zinc/06/97/01/179069701.db2.gz IAFQVUCAINHOQX-UHFFFAOYSA-N 0 3 241.338 2.604 20 0 BFADHN Cc1cccc(CN(C)C[C@@H]2CCCO2)c1 ZINC000052102160 179067519 /nfs/dbraw/zinc/06/75/19/179067519.db2.gz WIMNSEQQMZAABD-AWEZNQCLSA-N 0 3 219.328 2.606 20 0 BFADHN CO[C@H]1C[C@@H](CNCc2ccc(SC)o2)C1 ZINC000641773966 362718706 /nfs/dbraw/zinc/71/87/06/362718706.db2.gz MYLRBFUDATUTKW-JGZJWPJOSA-N 0 3 241.356 2.516 20 0 BFADHN Cc1cccc(CN2CCOCC[C@@H]2C)c1 ZINC000367663306 179078524 /nfs/dbraw/zinc/07/85/24/179078524.db2.gz PWTYNKGGZKYAHK-ZDUSSCGKSA-N 0 3 219.328 2.606 20 0 BFADHN Cc1cccc(CN2CCSCC[C@@H]2C)n1 ZINC000336625296 179079263 /nfs/dbraw/zinc/07/92/63/179079263.db2.gz UVFDJYGMQCIGGL-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1cccc(CN2C[C@H](C)N(C)[C@@H](C)C2)c1C ZINC000354454584 179085232 /nfs/dbraw/zinc/08/52/32/179085232.db2.gz WCKKDSPXXZYACU-KBPBESRZSA-N 0 3 246.398 2.828 20 0 BFADHN CC[C@](O)(CN1CCCC[C@@H]1C)C(F)(F)F ZINC000669379430 537979970 /nfs/dbraw/zinc/97/99/70/537979970.db2.gz BCUHIADQIPHNBO-UWVGGRQHSA-N 0 3 239.281 2.564 20 0 BFADHN Cc1cccc(CN2CC[C@H](n3cccn3)C2)c1 ZINC000291343399 179082323 /nfs/dbraw/zinc/08/23/23/179082323.db2.gz WEQIHPFHOIKCSS-HNNXBMFYSA-N 0 3 241.338 2.639 20 0 BFADHN CSCCN1CCC[C@@H](C(F)(F)F)C1 ZINC000170717081 346439557 /nfs/dbraw/zinc/43/95/57/346439557.db2.gz FESLSHSOXXLPIZ-MRVPVSSYSA-N 0 3 227.295 2.624 20 0 BFADHN Cc1cccc(CN2C[C@H](C)N(C)C[C@H]2C)c1C ZINC000361166433 179083910 /nfs/dbraw/zinc/08/39/10/179083910.db2.gz MXNLPPBLWZEOOB-UONOGXRCSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1cccc(CNCCCn2cccn2)c1F ZINC000296534813 179091528 /nfs/dbraw/zinc/09/15/28/179091528.db2.gz SVHGNNKPOWBHHT-UHFFFAOYSA-N 0 3 247.317 2.511 20 0 BFADHN COC(=O)c1cccc(CN2CCCC2(C)C)c1 ZINC000170872776 346465084 /nfs/dbraw/zinc/46/50/84/346465084.db2.gz WYTCHMCYMMCBCE-UHFFFAOYSA-N 0 3 247.338 2.848 20 0 BFADHN Cc1cccc(CNCCOCC(F)F)c1F ZINC000296664779 179092871 /nfs/dbraw/zinc/09/28/71/179092871.db2.gz FOFXVXQIWGPQOR-UHFFFAOYSA-N 0 3 247.260 2.505 20 0 BFADHN Cc1cccc(CNC[C@H]2CCCOC2)c1F ZINC000296552653 179093718 /nfs/dbraw/zinc/09/37/18/179093718.db2.gz USMLKZRIJQJXGA-GFCCVEGCSA-N 0 3 237.318 2.650 20 0 BFADHN Cc1cccc(CN[C@@H](C)Cc2ccco2)n1 ZINC000062772113 179095029 /nfs/dbraw/zinc/09/50/29/179095029.db2.gz SDHAEENLDKZSRM-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN Cc1cccc(CN[C@@H]2CCCC[C@@H]2O)c1F ZINC000295309010 179098066 /nfs/dbraw/zinc/09/80/66/179098066.db2.gz YHXFCHPJNXOUSV-OLZOCXBDSA-N 0 3 237.318 2.527 20 0 BFADHN Cc1cccc(CN[C@@H]2CCO[C@H](C)C2)c1F ZINC000295769989 179098165 /nfs/dbraw/zinc/09/81/65/179098165.db2.gz QTTLMCLTQNUGKC-DGCLKSJQSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1cc(CN2CC[C@@H](C(C)(C)C)C2)no1 ZINC000202381171 346502469 /nfs/dbraw/zinc/50/24/69/346502469.db2.gz FUVUVTCEYQKLDA-LLVKDONJSA-N 0 3 222.332 2.851 20 0 BFADHN CC[C@@H](CN1CCC[C@@H](C(F)(F)F)C1)OC ZINC000419207148 192104169 /nfs/dbraw/zinc/10/41/69/192104169.db2.gz STQFDJLDXNBZNE-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1cccc(CN[C@H]2CCO[C@@H](C)C2)c1F ZINC000295769986 179101028 /nfs/dbraw/zinc/10/10/28/179101028.db2.gz QTTLMCLTQNUGKC-AAEUAGOBSA-N 0 3 237.318 2.791 20 0 BFADHN CC[C@H](C)N1CCN(c2cccc(OC)c2)CC1 ZINC000148918429 346488992 /nfs/dbraw/zinc/48/89/92/346488992.db2.gz PTNTYXNZOULSCK-ZDUSSCGKSA-N 0 3 248.370 2.616 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1nc2ccccc2n1C ZINC000387812138 347096036 /nfs/dbraw/zinc/09/60/36/347096036.db2.gz KHSIKPFMNBIXSG-NEPJUHHUSA-N 0 3 243.354 2.709 20 0 BFADHN Cc1cccc(Cl)c1CN1CCC[C@@H]1CO ZINC000295527059 179108370 /nfs/dbraw/zinc/10/83/70/179108370.db2.gz MZSHNCCKDQLUNT-LLVKDONJSA-N 0 3 239.746 2.605 20 0 BFADHN Cc1cccc(C[C@@H](NCCF)C2CC2)c1 ZINC000273267580 179104929 /nfs/dbraw/zinc/10/49/29/179104929.db2.gz LTNMPOWRLUTFHD-CQSZACIVSA-N 0 3 221.319 2.875 20 0 BFADHN CCn1ccnc1[C@H](C)NCC1CC(C)(C)C1 ZINC000580696915 346560767 /nfs/dbraw/zinc/56/07/67/346560767.db2.gz UPILCRSNOUWHMW-NSHDSACASA-N 0 3 235.375 2.990 20 0 BFADHN CC(C)(C)C(=O)CSCCN1CCCCC1 ZINC000006823391 346525135 /nfs/dbraw/zinc/52/51/35/346525135.db2.gz LVDJHKRHKDSCAW-UHFFFAOYSA-N 0 3 243.416 2.821 20 0 BFADHN Cc1cc(Cl)cc(CN[C@H]2CO[C@@H](C)C2)c1 ZINC000402163382 349974037 /nfs/dbraw/zinc/97/40/37/349974037.db2.gz SZRLQJLDKGCOBW-GXFFZTMASA-N 0 3 239.746 2.915 20 0 BFADHN Fc1cccc(CCCN2CCSCC2)c1 ZINC000182659323 347102003 /nfs/dbraw/zinc/10/20/03/347102003.db2.gz WKVQOASNVVITNV-UHFFFAOYSA-N 0 3 239.359 2.807 20 0 BFADHN Cc1cccc(NC(=O)C23CCCN2CCC3)c1 ZINC000278508808 179124403 /nfs/dbraw/zinc/12/44/03/179124403.db2.gz DWTNDPJIJUVDSD-UHFFFAOYSA-N 0 3 244.338 2.562 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCSC2)c(C)n1 ZINC000187334976 346568012 /nfs/dbraw/zinc/56/80/12/346568012.db2.gz KIMXGDBIJROVMS-CYBMUJFWSA-N 0 3 236.384 2.636 20 0 BFADHN CC(C)C[C@@H](C)N(C)Cc1ccccn1 ZINC000165212782 346645586 /nfs/dbraw/zinc/64/55/86/346645586.db2.gz AYKXUCPSRDQKBP-GFCCVEGCSA-N 0 3 206.333 2.948 20 0 BFADHN CCn1ccc(CN(C)CC2(SC)CC2)c1 ZINC000589176021 346673786 /nfs/dbraw/zinc/67/37/86/346673786.db2.gz UDLWVMFOESEISC-UHFFFAOYSA-N 0 3 238.400 2.835 20 0 BFADHN Cc1cccc(NC(=O)[C@@H](C)N2CC[C@@H](C)C2)c1 ZINC000338256837 179137893 /nfs/dbraw/zinc/13/78/93/179137893.db2.gz BKISXJJWXTZMPV-CHWSQXEVSA-N 0 3 246.354 2.664 20 0 BFADHN CCc1nc([C@H](C)NC[C@@H](C)COC)cs1 ZINC000187973033 346661135 /nfs/dbraw/zinc/66/11/35/346661135.db2.gz TVSKSJRFZYZYPA-ZJUUUORDSA-N 0 3 242.388 2.639 20 0 BFADHN CCc1nc([C@@H](C)NC[C@@H](C)COC)cs1 ZINC000187973007 346661251 /nfs/dbraw/zinc/66/12/51/346661251.db2.gz TVSKSJRFZYZYPA-NXEZZACHSA-N 0 3 242.388 2.639 20 0 BFADHN CSC1(CN2CC[C@H](C)[C@@H](F)C2)CCC1 ZINC000589509619 346709971 /nfs/dbraw/zinc/70/99/71/346709971.db2.gz RXSHETMAHWDFKB-QWRGUYRKSA-N 0 3 231.380 2.952 20 0 BFADHN Cc1cccc(NC(=O)[C@@]2(C)CCCCN2)c1C ZINC000136128219 179139888 /nfs/dbraw/zinc/13/98/88/179139888.db2.gz IMIRXBGAUJQNBH-OAHLLOKOSA-N 0 3 246.354 2.774 20 0 BFADHN CSC1(CN2CC[C@H](C)[C@H](F)C2)CCC1 ZINC000589509621 346710126 /nfs/dbraw/zinc/71/01/26/346710126.db2.gz RXSHETMAHWDFKB-WDEREUQCSA-N 0 3 231.380 2.952 20 0 BFADHN Cc1cccc(NC(=O)[C@H](N)CC(C)C)c1C ZINC000019430075 179140387 /nfs/dbraw/zinc/14/03/87/179140387.db2.gz NRVDNHWJCDROAV-GFCCVEGCSA-N 0 3 234.343 2.615 20 0 BFADHN COc1ccc([C@H](C)NCc2cc(C)[nH]n2)cc1 ZINC000589647631 346723152 /nfs/dbraw/zinc/72/31/52/346723152.db2.gz RVVBUIWHEUKYLB-NSHDSACASA-N 0 3 245.326 2.578 20 0 BFADHN CSc1ccc(CN[C@@H]2CO[C@H](C)C2)cc1 ZINC000402188705 323134383 /nfs/dbraw/zinc/13/43/83/323134383.db2.gz DCPZETSMXZPNHS-PWSUYJOCSA-N 0 3 237.368 2.676 20 0 BFADHN COC1(CCN[C@H](C)c2ccoc2)CCC1 ZINC000310567297 323133432 /nfs/dbraw/zinc/13/34/32/323133432.db2.gz MMVKQXFSCGWMJZ-LLVKDONJSA-N 0 3 223.316 2.889 20 0 BFADHN CC(C)(CCNCc1ncc[nH]1)c1ccccc1 ZINC000589838973 346741088 /nfs/dbraw/zinc/74/10/88/346741088.db2.gz AIHHZIMECXAWQJ-UHFFFAOYSA-N 0 3 243.354 2.867 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H](C)c1cscn1 ZINC000387870302 347114446 /nfs/dbraw/zinc/11/44/46/347114446.db2.gz VMVHBPNMGPCPCA-AEJSXWLSSA-N 0 3 210.346 2.840 20 0 BFADHN CCc1nc(C)c(CN[C@@H](C)C2(C)CC2)o1 ZINC000309679850 347134624 /nfs/dbraw/zinc/13/46/24/347134624.db2.gz ZELKISWRECYWAX-JTQLQIEISA-N 0 3 222.332 2.824 20 0 BFADHN C[C@@H](C[S@@](C)=O)N(C)CCCC1CCCC1 ZINC000185101874 347190788 /nfs/dbraw/zinc/19/07/88/347190788.db2.gz NKWDRWBFZHKFHS-BLLLJJGKSA-N 0 3 245.432 2.656 20 0 BFADHN Cc1c(CN[C@H](C)c2cccc(C)c2)cnn1C ZINC000135104093 179182092 /nfs/dbraw/zinc/18/20/92/179182092.db2.gz IAXFUIIRSTUYHB-GFCCVEGCSA-N 0 3 243.354 2.888 20 0 BFADHN COc1cccc2c1CCC[C@H]2NCCF ZINC000309901938 347195968 /nfs/dbraw/zinc/19/59/68/347195968.db2.gz IDJDWTVLJAHLOU-GFCCVEGCSA-N 0 3 223.291 2.632 20 0 BFADHN Cc1cccc([C@@H](NC(=O)[C@@H](C)N)C(C)(C)C)c1 ZINC000119306890 179186769 /nfs/dbraw/zinc/18/67/69/179186769.db2.gz UEJJRFGYKKIEBT-DGCLKSJQSA-N 0 3 248.370 2.546 20 0 BFADHN Cc1ccc(CN[C@@H](C)CSCCF)o1 ZINC000601303406 347178707 /nfs/dbraw/zinc/17/87/07/347178707.db2.gz FNLUBFHLFRIWBI-VIFPVBQESA-N 0 3 231.336 2.769 20 0 BFADHN C[C@H](N[C@H]1COC(C)(C)C1)c1cccc(C#N)c1 ZINC000396511239 347182600 /nfs/dbraw/zinc/18/26/00/347182600.db2.gz DQVZIUPPKNCKAD-SMDDNHRTSA-N 0 3 244.338 2.776 20 0 BFADHN CSCCCN(C)CCc1cccc(C)n1 ZINC000563053769 347219333 /nfs/dbraw/zinc/21/93/33/347219333.db2.gz YHAWOQQPXRADPA-UHFFFAOYSA-N 0 3 238.400 2.617 20 0 BFADHN Cc1nsc(C)c1CN1CC[C@@H](C)[C@@H]1C ZINC000571294870 323140636 /nfs/dbraw/zinc/14/06/36/323140636.db2.gz HSCMDZPCFNMUSQ-SCZZXKLOSA-N 0 3 224.373 2.990 20 0 BFADHN CO[C@H](CC(C)C)CN1C[C@@H](C)OC(C)(C)C1 ZINC000419209682 192104799 /nfs/dbraw/zinc/10/47/99/192104799.db2.gz PQIWVNXVOUUHQE-CHWSQXEVSA-N 0 3 243.391 2.547 20 0 BFADHN C[C@@H](Cc1ccsc1)N[C@@H]1CCn2ccnc21 ZINC000379080852 347235348 /nfs/dbraw/zinc/23/53/48/347235348.db2.gz GPTVOJYTDNCUMZ-CMPLNLGQSA-N 0 3 247.367 2.610 20 0 BFADHN CC(C)[C@H](CN1CCOCC1)c1ccccc1 ZINC000353348226 187373143 /nfs/dbraw/zinc/37/31/43/187373143.db2.gz CSDWQZHVIIYZHW-HNNXBMFYSA-N 0 3 233.355 2.758 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCSC2)c(C)n1 ZINC000187334999 347253132 /nfs/dbraw/zinc/25/31/32/347253132.db2.gz KIMXGDBIJROVMS-ZDUSSCGKSA-N 0 3 236.384 2.636 20 0 BFADHN Cc1cccc([C@H](C)NC[C@H](O)C(F)F)c1C ZINC000361964616 179197058 /nfs/dbraw/zinc/19/70/58/179197058.db2.gz BESZGNYJQPKZQP-JQWIXIFHSA-N 0 3 243.297 2.580 20 0 BFADHN Cc1ncc(CNC[C@H]2CCCC2(C)C)o1 ZINC000628296313 347244294 /nfs/dbraw/zinc/24/42/94/347244294.db2.gz GMDSFHKPPLEKKB-LLVKDONJSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1cccc([C@H]2CCCN2CC2OCCO2)c1 ZINC000122217185 179204201 /nfs/dbraw/zinc/20/42/01/179204201.db2.gz YQAVHIHTHURVOF-CQSZACIVSA-N 0 3 247.338 2.505 20 0 BFADHN COc1ccccc1CN[C@H](C)[C@H](OC)C1CC1 ZINC000388279662 347288811 /nfs/dbraw/zinc/28/88/11/347288811.db2.gz LGPNGSAERSLTTN-ABAIWWIYSA-N 0 3 249.354 2.598 20 0 BFADHN Cc1ccc([C@@H](C)CN2CCOC[C@@H]2C)cc1 ZINC000628298000 347270375 /nfs/dbraw/zinc/27/03/75/347270375.db2.gz RORAZTZFLHKAIY-KBPBESRZSA-N 0 3 233.355 2.819 20 0 BFADHN CO[C@@H]([C@H](C)NCc1ccsc1)C1CC1 ZINC000388255466 347270867 /nfs/dbraw/zinc/27/08/67/347270867.db2.gz BFCUELJLVNCPNE-CABZTGNLSA-N 0 3 225.357 2.651 20 0 BFADHN Cc1ncc(CN2C[C@@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)o1 ZINC000628298618 347325913 /nfs/dbraw/zinc/32/59/13/347325913.db2.gz LUTZIVIIQYBPNQ-OSRDXIQISA-N 0 3 244.338 2.627 20 0 BFADHN CC[C@@H](NC[C@H](C)OC)c1ccccc1F ZINC000191204611 347344718 /nfs/dbraw/zinc/34/47/18/347344718.db2.gz LUFMJOGGRUYOEI-GXFFZTMASA-N 0 3 225.307 2.901 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1cncs1)C1CC1 ZINC000388302813 347302264 /nfs/dbraw/zinc/30/22/64/347302264.db2.gz OGSDAPRICGITHJ-SBMIAAHKSA-N 0 3 240.372 2.607 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cn(C(C)(C)C)nn1 ZINC000189951948 347316822 /nfs/dbraw/zinc/31/68/22/347316822.db2.gz UGFZATCKMXZEDM-GHMZBOCLSA-N 0 3 238.379 2.557 20 0 BFADHN CCN1CC[C@H](C(F)(F)F)C(C)(C)C1 ZINC000190174193 347322348 /nfs/dbraw/zinc/32/23/48/347322348.db2.gz VRBLFFLUQTXIJN-QMMMGPOBSA-N 0 3 209.255 2.917 20 0 BFADHN Cc1cc(CCN[C@@H](C)c2cc(C)ccn2)on1 ZINC000353974713 187374263 /nfs/dbraw/zinc/37/42/63/187374263.db2.gz INVAYLOFJOGCOC-LBPRGKRZSA-N 0 3 245.326 2.580 20 0 BFADHN Cc1cccc2nc(CN[C@@H]3CCC[C@H]3C)cn21 ZINC000268060578 179229869 /nfs/dbraw/zinc/22/98/69/179229869.db2.gz YKMWHBCYQWUYMY-BXUZGUMPSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1cccc2ncc(CN3C[C@H](C)[C@H](C)C3)n21 ZINC000353923881 179231671 /nfs/dbraw/zinc/23/16/71/179231671.db2.gz PLIIIGWKUMKXHL-TXEJJXNPSA-N 0 3 243.354 2.731 20 0 BFADHN Cc1cc(CNC[C@H](C)CC(F)(F)F)on1 ZINC000396911996 362839974 /nfs/dbraw/zinc/83/99/74/362839974.db2.gz PQWHLNBZPTXPJO-SSDOTTSWSA-N 0 3 236.237 2.661 20 0 BFADHN CSCCCN[C@H]1CCCc2cccnc21 ZINC000085789641 347445557 /nfs/dbraw/zinc/44/55/57/347445557.db2.gz HMALTNCWGQQJDB-LBPRGKRZSA-N 0 3 236.384 2.802 20 0 BFADHN CO[C@H]1C[C@@H](CNCc2cscc2Cl)C1 ZINC000623822848 347445849 /nfs/dbraw/zinc/44/58/49/347445849.db2.gz BFZHGZYXDHMECE-WAAGHKOSSA-N 0 3 245.775 2.916 20 0 BFADHN Cc1cccc(CCNCc2nccs2)c1 ZINC000082394856 347463978 /nfs/dbraw/zinc/46/39/78/347463978.db2.gz NEXBJXDHBCEUMX-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN CS[C@@H]1CCN([C@H](C)c2ccncc2)C1 ZINC000590749964 347504112 /nfs/dbraw/zinc/50/41/12/347504112.db2.gz OGJFODIOCARYRP-ZYHUDNBSSA-N 0 3 222.357 2.580 20 0 BFADHN Cc1ccccc1C(C)(C)CNCc1ncc[nH]1 ZINC000067695119 179254196 /nfs/dbraw/zinc/25/41/96/179254196.db2.gz BSOMKWNYFFRXOR-UHFFFAOYSA-N 0 3 243.354 2.786 20 0 BFADHN Cc1ccccc1C(C)(C)NCc1cncnc1 ZINC000292987355 179254802 /nfs/dbraw/zinc/25/48/02/179254802.db2.gz LTZIVISZXIIKKG-UHFFFAOYSA-N 0 3 241.338 2.810 20 0 BFADHN CC(C)[C@H](NC[C@@H](C)O)c1cc(F)ccc1F ZINC000358933780 187379363 /nfs/dbraw/zinc/37/93/63/187379363.db2.gz KCFGNJZUIDNDTG-RNCFNFMXSA-N 0 3 243.297 2.632 20 0 BFADHN CC[C@H](C)N(CC)C(=O)[C@H](CC)N(CC)CC ZINC000616980731 347562419 /nfs/dbraw/zinc/56/24/19/347562419.db2.gz LXTWNZWEFUBGPV-STQMWFEESA-N 0 3 242.407 2.754 20 0 BFADHN COCCCCCN1CCOc2ccccc2C1 ZINC000590818551 347568855 /nfs/dbraw/zinc/56/88/55/347568855.db2.gz TYEOIXGHTFHHAZ-UHFFFAOYSA-N 0 3 249.354 2.698 20 0 BFADHN CC(=O)c1ccc(CN2CCC[C@@H]2C)cc1 ZINC000197834372 347594159 /nfs/dbraw/zinc/59/41/59/347594159.db2.gz AXSNCCUWRAZWCA-NSHDSACASA-N 0 3 217.312 2.874 20 0 BFADHN CC1(CNCc2cnc(C3CC3)s2)CC1 ZINC000380123291 365903541 /nfs/dbraw/zinc/90/35/41/365903541.db2.gz RBNJEBXFYQLBGL-UHFFFAOYSA-N 0 3 222.357 2.910 20 0 BFADHN Cc1ccccc1CN(C)CCOCC(F)F ZINC000276372218 179269296 /nfs/dbraw/zinc/26/92/96/179269296.db2.gz OQEQWFXNBUCIAB-UHFFFAOYSA-N 0 3 243.297 2.709 20 0 BFADHN CC(C)=CCNCc1cc(-c2cccnc2)n[nH]1 ZINC000359679496 187380126 /nfs/dbraw/zinc/38/01/26/187380126.db2.gz YCBUIYIRDRXPLB-UHFFFAOYSA-N 0 3 242.326 2.528 20 0 BFADHN Cc1ccccc1CN1CCOCC2(CCC2)C1 ZINC000353630144 179273345 /nfs/dbraw/zinc/27/33/45/179273345.db2.gz IDRQOLGTVXWGFP-UHFFFAOYSA-N 0 3 245.366 2.998 20 0 BFADHN CC(C)N1CCCO[C@H](c2ccccc2)C1 ZINC000591813124 347699913 /nfs/dbraw/zinc/69/99/13/347699913.db2.gz GWMJWSUMIDTBHC-AWEZNQCLSA-N 0 3 219.328 2.858 20 0 BFADHN CSCCN[C@@H](C)c1ccncc1Cl ZINC000591531385 347668455 /nfs/dbraw/zinc/66/84/55/347668455.db2.gz OPFVZJRNTRKTDU-QMMMGPOBSA-N 0 3 230.764 2.749 20 0 BFADHN COCCN1CCCC[C@H]1c1ccccc1OC ZINC000592330852 347767827 /nfs/dbraw/zinc/76/78/27/347767827.db2.gz NBIMWGFLOVMUCY-AWEZNQCLSA-N 0 3 249.354 2.869 20 0 BFADHN CCCN(Cc1ccccc1F)C[C@H](O)CC ZINC000592391267 347771297 /nfs/dbraw/zinc/77/12/97/347771297.db2.gz GJVUKWLHHLWWPD-CYBMUJFWSA-N 0 3 239.334 2.809 20 0 BFADHN Cc1ccccc1CN[C@H]1C[C@@H]1C(F)F ZINC000348187261 179284013 /nfs/dbraw/zinc/28/40/13/179284013.db2.gz PPWXTRNDURPPTD-QWRGUYRKSA-N 0 3 211.255 2.738 20 0 BFADHN CCN(CC)Cc1ccc(C)cc1OC ZINC000199968144 347777599 /nfs/dbraw/zinc/77/75/99/347777599.db2.gz DSJSWTYKBPAETM-UHFFFAOYSA-N 0 3 207.317 2.845 20 0 BFADHN CCN(CCSC)[C@@H](C)c1ccncc1 ZINC000592200743 347751793 /nfs/dbraw/zinc/75/17/93/347751793.db2.gz PACHKJDGIOMAKQ-NSHDSACASA-N 0 3 224.373 2.828 20 0 BFADHN CCN(CCSC)Cc1scnc1C ZINC000592201244 347752965 /nfs/dbraw/zinc/75/29/65/347752965.db2.gz DOUXWPBOJXUDEI-UHFFFAOYSA-N 0 3 230.402 2.636 20 0 BFADHN CCN(CCSC)CCc1c(C)noc1C ZINC000592205012 347756544 /nfs/dbraw/zinc/75/65/44/347756544.db2.gz VYMCYSLMGRLRMK-UHFFFAOYSA-N 0 3 242.388 2.519 20 0 BFADHN CC(C)(C)CN(CCO)Cc1ccc(F)cc1 ZINC000592894893 347828628 /nfs/dbraw/zinc/82/86/28/347828628.db2.gz MYGBFDQKSWMHDX-UHFFFAOYSA-N 0 3 239.334 2.666 20 0 BFADHN Cc1ccccc1NC(=O)[C@@H](C(C)C)N(C)C ZINC000106407766 179302133 /nfs/dbraw/zinc/30/21/33/179302133.db2.gz ZFSZCFBAEZNSMX-CYBMUJFWSA-N 0 3 234.343 2.520 20 0 BFADHN Cc1ccccc1NC(=O)CN(C)[C@H](C)C(C)C ZINC000298438838 179299043 /nfs/dbraw/zinc/29/90/43/179299043.db2.gz QHYNFXLLKOVEDC-CYBMUJFWSA-N 0 3 248.370 2.910 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CC[C@H](O)C2(C)C)o1 ZINC000361081596 187381969 /nfs/dbraw/zinc/38/19/69/187381969.db2.gz MSQZKLPCGOXHOQ-QKCSRTOESA-N 0 3 249.354 2.748 20 0 BFADHN CC(C)SCCN(C)CCN1CCCCC1 ZINC000593113015 347861614 /nfs/dbraw/zinc/86/16/14/347861614.db2.gz VIJCLVCBKGDJLJ-UHFFFAOYSA-N 0 3 244.448 2.546 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CC[C@@H](O)C2(C)C)o1 ZINC000361081594 187382341 /nfs/dbraw/zinc/38/23/41/187382341.db2.gz MSQZKLPCGOXHOQ-MPKXVKKWSA-N 0 3 249.354 2.748 20 0 BFADHN CC[C@@H](CNC(C)(C)c1c(C)noc1C)OC ZINC000419269343 192110061 /nfs/dbraw/zinc/11/00/61/192110061.db2.gz BHUAWZHOPJBHOQ-NSHDSACASA-N 0 3 240.347 2.541 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1ccnc(N)c1 ZINC000191604972 179320621 /nfs/dbraw/zinc/32/06/21/179320621.db2.gz HWWQLFPBIAJUGU-GFCCVEGCSA-N 0 3 241.338 2.823 20 0 BFADHN Cc1ccccc1[C@@H](C)NCc1cnn(C)c1 ZINC000020126324 179321192 /nfs/dbraw/zinc/32/11/92/179321192.db2.gz ZBXQWRIIDDHVER-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1ccc(OC)cc1C ZINC000577362378 365933869 /nfs/dbraw/zinc/93/38/69/365933869.db2.gz VEWRRAGWZKMRSY-NILFDRSVSA-N 0 3 249.354 2.832 20 0 BFADHN Cc1ccoc1CN(C)CCc1ccncc1C ZINC000577367691 365935117 /nfs/dbraw/zinc/93/51/17/365935117.db2.gz DINKLDHWFPTQLV-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN CS[C@@H]1CCN(Cc2cc3cnccc3o2)C1 ZINC000593631799 347917994 /nfs/dbraw/zinc/91/79/94/347917994.db2.gz OIPPXAHLZIQGDA-GFCCVEGCSA-N 0 3 248.351 2.765 20 0 BFADHN CC[C@H](CN1Cc2ccccc2C2(CC2)C1)OC ZINC000419272818 192110652 /nfs/dbraw/zinc/11/06/52/192110652.db2.gz QBWAXJZQPPAGES-CQSZACIVSA-N 0 3 245.366 2.959 20 0 BFADHN CC[C@@H](N)C(=O)N[C@H](CC)c1cc(C)ccc1C ZINC000638695171 352536274 /nfs/dbraw/zinc/53/62/74/352536274.db2.gz NHWFVYFWBIPDRO-ZIAGYGMSSA-N 0 3 248.370 2.608 20 0 BFADHN CC[C@@H](N)C(=O)N[C@@H](CC)c1cc(C)ccc1C ZINC000638695169 352536551 /nfs/dbraw/zinc/53/65/51/352536551.db2.gz NHWFVYFWBIPDRO-KGLIPLIRSA-N 0 3 248.370 2.608 20 0 BFADHN Cc1ccccc1[C@H]1CCN(Cc2c[nH]cn2)C1 ZINC000280485158 179347840 /nfs/dbraw/zinc/34/78/40/179347840.db2.gz OHBWNGYABIBMOY-ZDUSSCGKSA-N 0 3 241.338 2.708 20 0 BFADHN Cc1ccccc1[C@H]1CCN(Cc2cnc[nH]2)C1 ZINC000280485158 179347841 /nfs/dbraw/zinc/34/78/41/179347841.db2.gz OHBWNGYABIBMOY-ZDUSSCGKSA-N 0 3 241.338 2.708 20 0 BFADHN Cc1ccccc1[C@H](C)N[C@H]1CO[C@H](C)C1 ZINC000401825286 348036572 /nfs/dbraw/zinc/03/65/72/348036572.db2.gz LLZSYHRCOOXYKJ-FRRDWIJNSA-N 0 3 219.328 2.823 20 0 BFADHN CC[C@@H](C)NCc1cnc2ccccc2n1 ZINC000037186517 348059384 /nfs/dbraw/zinc/05/93/84/348059384.db2.gz CRFIXHSTBWWJNV-SNVBAGLBSA-N 0 3 215.300 2.518 20 0 BFADHN CCC[C@@H](C)NCc1cnc2ccccc2n1 ZINC000037189473 348060283 /nfs/dbraw/zinc/06/02/83/348060283.db2.gz XZOMXMQGPVCWPO-LLVKDONJSA-N 0 3 229.327 2.908 20 0 BFADHN C[C@@H]1N(CCC2CCC2)CCOC1(C)C ZINC000660007000 490132540 /nfs/dbraw/zinc/13/25/40/490132540.db2.gz CUWJIHDPZDDCQC-NSHDSACASA-N 0 3 211.349 2.676 20 0 BFADHN Cc1cccn2cc(CN[C@H](C)CC3CC3)nc12 ZINC000090045394 179357412 /nfs/dbraw/zinc/35/74/12/179357412.db2.gz VMLGEZRABTYFMS-GFCCVEGCSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1nn(C)cc1CN1C[C@H](C(C)C)[C@@H]1C(C)C ZINC000449553748 201964237 /nfs/dbraw/zinc/96/42/37/201964237.db2.gz MRQQXEGZSOJNQC-CABCVRRESA-N 0 3 249.402 2.841 20 0 BFADHN CN(C)CCSC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000594890267 348123927 /nfs/dbraw/zinc/12/39/27/348123927.db2.gz AZZUOZCWMAALLT-UTUOFQBUSA-N 0 3 213.390 2.717 20 0 BFADHN CO[C@@H](CSCCN(C)C)C1CCCCC1 ZINC000594891059 348125311 /nfs/dbraw/zinc/12/53/11/348125311.db2.gz HUEGXFDVMCVXIF-ZDUSSCGKSA-N 0 3 245.432 2.877 20 0 BFADHN CSC1(CN2CC3(CC3(F)F)C2)CCC1 ZINC000594609223 348081081 /nfs/dbraw/zinc/08/10/81/348081081.db2.gz RXPFJFGSCLYLDY-UHFFFAOYSA-N 0 3 233.327 2.613 20 0 BFADHN CCOC(=O)c1ccc(CNC2(C)CC=CC2)o1 ZINC000594614935 348082919 /nfs/dbraw/zinc/08/29/19/348082919.db2.gz MVEHSKIBXZIPAZ-UHFFFAOYSA-N 0 3 249.310 2.655 20 0 BFADHN C[C@@H](CSCCF)N[C@@H]1CCCC[C@H]1F ZINC000595050798 348180893 /nfs/dbraw/zinc/18/08/93/348180893.db2.gz KWORZFAUDDPFTO-HBNTYKKESA-N 0 3 237.359 2.948 20 0 BFADHN Cc1cccnc1CN1CC2CCC1CC2 ZINC000371392627 179373809 /nfs/dbraw/zinc/37/38/09/179373809.db2.gz JZPRBCFGVCDRQU-UHFFFAOYSA-N 0 3 216.328 2.764 20 0 BFADHN CCc1nocc1CN(C)[C@@H](CC)CSC ZINC000595420725 348244336 /nfs/dbraw/zinc/24/43/36/348244336.db2.gz JBVPUZQLMHLGGM-NSHDSACASA-N 0 3 242.388 2.810 20 0 BFADHN CC[C@H](CN1Cc2ccccc2OC[C@H]1C)OC ZINC000419236466 192113337 /nfs/dbraw/zinc/11/33/37/192113337.db2.gz AXGGNBWJGYRDNJ-TZMCWYRMSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1cccnc1CNCC1(O)CCCCCC1 ZINC000071344118 179381783 /nfs/dbraw/zinc/38/17/83/179381783.db2.gz OXICCWLBMMMFGQ-UHFFFAOYSA-N 0 3 248.370 2.565 20 0 BFADHN CCc1cnccc1[C@@H](C)NC[C@H](CC)OC ZINC000419252081 192116011 /nfs/dbraw/zinc/11/60/11/192116011.db2.gz SBKXHMQTWXCLET-YPMHNXCESA-N 0 3 236.359 2.720 20 0 BFADHN Cc1cccnc1CN1CCC[C@H]1[C@H]1CCCO1 ZINC000284943992 179375817 /nfs/dbraw/zinc/37/58/17/179375817.db2.gz WSLMYBCUPCLTJR-LSDHHAIUSA-N 0 3 246.354 2.533 20 0 BFADHN Fc1cccc(CNCCc2ccco2)c1 ZINC000035121466 358453839 /nfs/dbraw/zinc/45/38/39/358453839.db2.gz ZLVFZWYMIADUOQ-UHFFFAOYSA-N 0 3 219.259 2.751 20 0 BFADHN CC[C@H](CNC(C)(C)c1ccc(C)nc1)OC ZINC000419247415 192115090 /nfs/dbraw/zinc/11/50/90/192115090.db2.gz PRPRXJRKSCBVSC-CYBMUJFWSA-N 0 3 236.359 2.640 20 0 BFADHN CC[C@@H](CN(C)C[C@H](C)c1nccs1)OC ZINC000419254020 192115494 /nfs/dbraw/zinc/11/54/94/192115494.db2.gz UTZOZDKFJKHFIE-QWRGUYRKSA-N 0 3 242.388 2.603 20 0 BFADHN CC(C)(C)CCNCc1ncccc1F ZINC000308893784 323181217 /nfs/dbraw/zinc/18/12/17/323181217.db2.gz YSGJKKLEXYCPFP-UHFFFAOYSA-N 0 3 210.296 2.747 20 0 BFADHN CCC[C@H](N[C@@H](C)C(=O)NCC)c1ccccc1 ZINC000035139295 358454407 /nfs/dbraw/zinc/45/44/07/358454407.db2.gz UBGLQQFMIUMSLY-JSGCOSHPSA-N 0 3 248.370 2.642 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cc(C)sc1C ZINC000308914417 323181421 /nfs/dbraw/zinc/18/14/21/323181421.db2.gz FMWICRPDVLCSNN-UWVGGRQHSA-N 0 3 227.373 2.878 20 0 BFADHN CC[C@H](NCc1nccc(C)n1)[C@@H]1CC1(C)C ZINC000419315493 192121235 /nfs/dbraw/zinc/12/12/35/192121235.db2.gz ONHWLODNOOBMDC-RYUDHWBXSA-N 0 3 233.359 2.699 20 0 BFADHN COC(=O)CN(CC1CCC1)C1CCCCC1 ZINC000595664162 348301390 /nfs/dbraw/zinc/30/13/90/348301390.db2.gz OUPFLUVYFKGUEP-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1cccnc1[C@H]1CCC[C@H](NCCF)C1 ZINC000281670213 179399516 /nfs/dbraw/zinc/39/95/16/179399516.db2.gz QTNKFAZEBXWFAO-STQMWFEESA-N 0 3 236.334 2.975 20 0 BFADHN Cc1csc(CN[C@@H](C)c2cn[nH]c2)c1 ZINC000344648991 187394092 /nfs/dbraw/zinc/39/40/92/187394092.db2.gz YLMQPJSRQJKGMI-VIFPVBQESA-N 0 3 221.329 2.630 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1cccc(C)n1 ZINC000309095120 349985226 /nfs/dbraw/zinc/98/52/26/349985226.db2.gz DNHSEIHULHKOFA-YPMHNXCESA-N 0 3 204.317 2.668 20 0 BFADHN C[C@H](NCCCC(C)(C)C)c1ncc[nH]1 ZINC000309099341 349986072 /nfs/dbraw/zinc/98/60/72/349986072.db2.gz DZSOQWNUXNCTIQ-JTQLQIEISA-N 0 3 209.337 2.887 20 0 BFADHN COc1ccncc1CN1C[C@H](C)[C@H](C)[C@H]1C ZINC000419335520 192124455 /nfs/dbraw/zinc/12/44/55/192124455.db2.gz HPIXVFIHPVFFBJ-SDDRHHMPSA-N 0 3 234.343 2.567 20 0 BFADHN CC1(c2ccccc2)CC(NCc2cn[nH]c2)C1 ZINC000603516105 349986936 /nfs/dbraw/zinc/98/69/36/349986936.db2.gz ILOSKRPRADAPEC-UHFFFAOYSA-N 0 3 241.338 2.620 20 0 BFADHN COc1ncccc1CN1C[C@H](C)[C@@H](C)[C@@H]1C ZINC000419351334 192125553 /nfs/dbraw/zinc/12/55/53/192125553.db2.gz IXPOBJTZNQRZOO-TUAOUCFPSA-N 0 3 234.343 2.567 20 0 BFADHN COC(=O)CCN[C@H](C)c1ccc(C2CC2)cc1 ZINC000419353510 192125693 /nfs/dbraw/zinc/12/56/93/192125693.db2.gz LHEATEMYDDZXJJ-LLVKDONJSA-N 0 3 247.338 2.778 20 0 BFADHN COc1ncccc1CN1C[C@H](C)[C@H](C)[C@H]1C ZINC000419351332 192125794 /nfs/dbraw/zinc/12/57/94/192125794.db2.gz IXPOBJTZNQRZOO-SDDRHHMPSA-N 0 3 234.343 2.567 20 0 BFADHN CCc1nnc([C@H](C)N2C[C@H](C)[C@@H](C)[C@H]2C)o1 ZINC000419380982 192132793 /nfs/dbraw/zinc/13/27/93/192132793.db2.gz YBCFZLJOWTWMGQ-ZDCRXTMVSA-N 0 3 237.347 2.669 20 0 BFADHN COc1ccnc(CN2C[C@H](C)[C@H](C)[C@@H]2C)c1 ZINC000419374706 192128611 /nfs/dbraw/zinc/12/86/11/192128611.db2.gz QMKLOMMGFCXFGQ-SRVKXCTJSA-N 0 3 234.343 2.567 20 0 BFADHN CC(C)(NCC1=CCCOC1)c1ccccc1 ZINC000267109224 187398400 /nfs/dbraw/zinc/39/84/00/187398400.db2.gz MXQBUUSJXWKYLI-UHFFFAOYSA-N 0 3 231.339 2.858 20 0 BFADHN Cc1ccnc([C@H](C)NC2CC(C)C2)c1 ZINC000286140159 179466396 /nfs/dbraw/zinc/46/63/96/179466396.db2.gz OJZFGRCEKGOGPC-CXQJBGSLSA-N 0 3 204.317 2.839 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H](C)[C@H]2CCCCO2)c1 ZINC000285486042 179467814 /nfs/dbraw/zinc/46/78/14/179467814.db2.gz AADOGIFCWBBFFR-KCQAQPDRSA-N 0 3 248.370 2.998 20 0 BFADHN Nc1cc(CN2CCC[C@@H]3CCCC[C@H]32)ccn1 ZINC000216335168 349994922 /nfs/dbraw/zinc/99/49/22/349994922.db2.gz CTUBTFOQMVKOIB-UONOGXRCSA-N 0 3 245.370 2.818 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H]1CCO[C@H]1C1CC1 ZINC000358372642 179500742 /nfs/dbraw/zinc/50/07/42/179500742.db2.gz WRWWHOZKCFHENU-CQDKDKBSSA-N 0 3 246.354 2.608 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)s1 ZINC000337648126 179607384 /nfs/dbraw/zinc/60/73/84/179607384.db2.gz JUDMAWSWZDENLS-OUAUKWLOSA-N 0 3 222.357 2.730 20 0 BFADHN COC(C)(C)CN(C)Cc1cc(F)cc(F)c1 ZINC000535851075 323193614 /nfs/dbraw/zinc/19/36/14/323193614.db2.gz DKTFVGHQHJDKPT-UHFFFAOYSA-N 0 3 243.297 2.822 20 0 BFADHN C[C@H](NCC1=CCOCC1)c1ccc(F)cc1 ZINC000397709146 348627909 /nfs/dbraw/zinc/62/79/09/348627909.db2.gz BKACTNFMRUXDPZ-NSHDSACASA-N 0 3 235.302 2.823 20 0 BFADHN CCc1ccc(CN[C@H]2CO[C@H](C)C2)cc1 ZINC000401924776 348738695 /nfs/dbraw/zinc/73/86/95/348738695.db2.gz LCWQWEIMLJEUMJ-BXUZGUMPSA-N 0 3 219.328 2.516 20 0 BFADHN CC[C@H](C)CCNCc1ccc(C(=O)OC)o1 ZINC000597185855 348683731 /nfs/dbraw/zinc/68/37/31/348683731.db2.gz YETLJNKNMJDTSO-JTQLQIEISA-N 0 3 239.315 2.592 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@@H]1CCc2ccc(O)cc21 ZINC000597214556 348689580 /nfs/dbraw/zinc/68/95/80/348689580.db2.gz WQLGPWQDIPZYFC-MGPQQGTHSA-N 0 3 249.379 2.863 20 0 BFADHN CCc1nc(CNCCC(C)C)cs1 ZINC000054918078 348785456 /nfs/dbraw/zinc/78/54/56/348785456.db2.gz OCSQXMYCMIKYST-UHFFFAOYSA-N 0 3 212.362 2.841 20 0 BFADHN CCCCCN(CCCCC)C(=O)[C@H](C)NC ZINC000054075216 348877093 /nfs/dbraw/zinc/87/70/93/348877093.db2.gz TVJJOOGTZGFWLV-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN CCC[C@@H]1CN(CC(CC)CC)CCO1 ZINC000536670733 323200367 /nfs/dbraw/zinc/20/03/67/323200367.db2.gz BZPPGCORPMFCQQ-CYBMUJFWSA-N 0 3 213.365 2.924 20 0 BFADHN CCC(CC)CN(C)Cc1cnn(CC)c1 ZINC000536670186 323200397 /nfs/dbraw/zinc/20/03/97/323200397.db2.gz LAPHFMBQXIMHKZ-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN CSC[C@@H]1CCCN1Cc1ccnc(C)c1 ZINC000598592425 348998824 /nfs/dbraw/zinc/99/88/24/348998824.db2.gz IGWNOFDLSFBSJJ-ZDUSSCGKSA-N 0 3 236.384 2.717 20 0 BFADHN C[C@@H](NCc1ccc(F)cc1)[C@@H]1CC1(F)F ZINC000666445029 490213222 /nfs/dbraw/zinc/21/32/22/490213222.db2.gz OBNAUCXLASRMSD-KCJUWKMLSA-N 0 3 229.245 2.959 20 0 BFADHN C[C@@H](CC(C)(C)C)NCc1c(Cl)cnn1C ZINC000394659492 349072118 /nfs/dbraw/zinc/07/21/18/349072118.db2.gz ZMHAPDHBWQEIDE-VIFPVBQESA-N 0 3 243.782 2.988 20 0 BFADHN Cc1cncc([C@@H](C)NC[C@@H]2CCCS2)c1 ZINC000184386756 349073885 /nfs/dbraw/zinc/07/38/85/349073885.db2.gz DBSYLJWMYRWTPF-YPMHNXCESA-N 0 3 236.384 2.936 20 0 BFADHN C[C@@H](CCc1ccccc1)NCc1cnccn1 ZINC000054765648 349079111 /nfs/dbraw/zinc/07/91/11/349079111.db2.gz MXSICRRJRIMJQA-ZDUSSCGKSA-N 0 3 241.338 2.588 20 0 BFADHN CC[C@H](C)N(CCO)Cc1cc2ccccc2[nH]1 ZINC000628301894 349088585 /nfs/dbraw/zinc/08/85/85/349088585.db2.gz JNCZUNKCTBXSPQ-LBPRGKRZSA-N 0 3 246.354 2.761 20 0 BFADHN CC[C@@H](C)N(CCO)Cc1cccc(C)c1F ZINC000628302211 349091685 /nfs/dbraw/zinc/09/16/85/349091685.db2.gz UXIFEMXYJKYWFZ-GFCCVEGCSA-N 0 3 239.334 2.727 20 0 BFADHN COc1ccccc1CN[C@H]1CCS[C@H]1C ZINC000220525081 490225994 /nfs/dbraw/zinc/22/59/94/490225994.db2.gz ZKQNXRUUGMPGNK-JQWIXIFHSA-N 0 3 237.368 2.679 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1ccc(F)c(F)c1 ZINC000220622593 490226665 /nfs/dbraw/zinc/22/66/65/490226665.db2.gz SSFZJDANZMRXPF-PELKAZGASA-N 0 3 243.322 2.948 20 0 BFADHN CC[C@@H](CNCc1cc(C)sc1C)OC ZINC000308917094 349067433 /nfs/dbraw/zinc/06/74/33/349067433.db2.gz NXXPXOWOTALQQF-LBPRGKRZSA-N 0 3 227.373 2.880 20 0 BFADHN COCC[C@H](C)N[C@@H](C)c1ccncc1Cl ZINC000421826832 192387080 /nfs/dbraw/zinc/38/70/80/192387080.db2.gz UVYYIONVUWADCI-UWVGGRQHSA-N 0 3 242.750 2.811 20 0 BFADHN Fc1cnccc1CNC[C@@H]1CCCC12CC2 ZINC000623825845 349126158 /nfs/dbraw/zinc/12/61/58/349126158.db2.gz MDBQCPJKQJXFBO-LBPRGKRZSA-N 0 3 234.318 2.891 20 0 BFADHN COC(=O)CCCCN(C)[C@H](C)c1ccco1 ZINC000599272687 349137185 /nfs/dbraw/zinc/13/71/85/349137185.db2.gz QRIYHJFDNIZTKA-LLVKDONJSA-N 0 3 239.315 2.616 20 0 BFADHN CCOCCCN[C@H](C)c1ccncc1Cl ZINC000421798731 192384404 /nfs/dbraw/zinc/38/44/04/192384404.db2.gz CEIOVQPGTDBMSQ-SNVBAGLBSA-N 0 3 242.750 2.812 20 0 BFADHN CO[C@H](C)CCN[C@H](C)c1ccncc1Cl ZINC000421825913 192386362 /nfs/dbraw/zinc/38/63/62/192386362.db2.gz PYZUPCVOOOCCBO-NXEZZACHSA-N 0 3 242.750 2.811 20 0 BFADHN CO[C@@H](C)CCN[C@H](C)c1ccncc1Cl ZINC000421825915 192386558 /nfs/dbraw/zinc/38/65/58/192386558.db2.gz PYZUPCVOOOCCBO-VHSXEESVSA-N 0 3 242.750 2.811 20 0 BFADHN Cc1ccc([C@H](C)NCCCC2(O)CCC2)o1 ZINC000421861051 192396618 /nfs/dbraw/zinc/39/66/18/192396618.db2.gz SZOTXQKINFVDHO-LBPRGKRZSA-N 0 3 237.343 2.934 20 0 BFADHN CC[C@@H](O)CN[C@H](C)c1nccc2ccccc21 ZINC000421868950 192397025 /nfs/dbraw/zinc/39/70/25/192397025.db2.gz DBXGWENCSQGPAU-DGCLKSJQSA-N 0 3 244.338 2.656 20 0 BFADHN CC[C@@H](O)CN[C@@H](c1ccc(C)o1)C1CCC1 ZINC000421869313 192397332 /nfs/dbraw/zinc/39/73/32/192397332.db2.gz DGYTYPSSNOOHRR-TZMCWYRMSA-N 0 3 237.343 2.790 20 0 BFADHN COc1ncc(CNC[C@H]2CCC[C@H]2C)s1 ZINC000309384989 490231225 /nfs/dbraw/zinc/23/12/25/490231225.db2.gz RJCRRWXODZFKIU-NXEZZACHSA-N 0 3 240.372 2.678 20 0 BFADHN CCC[C@H](C)CCCN(C)[C@H](C)C[S@@](C)=O ZINC000599362459 349160166 /nfs/dbraw/zinc/16/01/66/349160166.db2.gz NSSYRHLYFKLOMZ-WOSRLPQWSA-N 0 3 247.448 2.902 20 0 BFADHN C[C@H](CCCO)N[C@H](C)c1ccncc1Cl ZINC000421845460 192393343 /nfs/dbraw/zinc/39/33/43/192393343.db2.gz QIHYZJDLKCTTIE-NXEZZACHSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](CCCO)N[C@@H](C)c1ccncc1Cl ZINC000421845465 192393778 /nfs/dbraw/zinc/39/37/78/192393778.db2.gz QIHYZJDLKCTTIE-ZJUUUORDSA-N 0 3 242.750 2.547 20 0 BFADHN CC[C@H](C)CN[C@@H](C)c1cc2n(n1)CCC2 ZINC000421885989 192394605 /nfs/dbraw/zinc/39/46/05/192394605.db2.gz RTJMWMDIPGMWEQ-QWRGUYRKSA-N 0 3 221.348 2.526 20 0 BFADHN CSCCCN1CCC(F)(F)C[C@@H]1C ZINC000599621640 349229797 /nfs/dbraw/zinc/22/97/97/349229797.db2.gz CPQLJWIBDSOBMJ-VIFPVBQESA-N 0 3 223.332 2.859 20 0 BFADHN CCCN1CCC[C@@]1(C)C(=O)OC(C)(C)C ZINC000599493737 349204212 /nfs/dbraw/zinc/20/42/12/349204212.db2.gz BDZGLDOTCOMMJP-ZDUSSCGKSA-N 0 3 227.348 2.593 20 0 BFADHN C/C=C/C[C@@H]1CCCN([C@H](CC)C(=O)OC)C1 ZINC000599691524 349245650 /nfs/dbraw/zinc/24/56/50/349245650.db2.gz SGIUJQRVGWNANK-LOWFTVKWSA-N 0 3 239.359 2.616 20 0 BFADHN Cc1noc(C)c1CNC[C@H]1Cc2ccccc21 ZINC000037565781 349270015 /nfs/dbraw/zinc/27/00/15/349270015.db2.gz QJEPXUPXHJRONJ-CYBMUJFWSA-N 0 3 242.322 2.721 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CCC[C@H]3C[C@H]32)o1 ZINC000311787577 350012054 /nfs/dbraw/zinc/01/20/54/350012054.db2.gz JUMPANCRWPTIOC-QJPTWQEYSA-N 0 3 234.343 2.824 20 0 BFADHN COCC(C)(C)CN[C@@H](C)c1ccoc1 ZINC000309122006 350036763 /nfs/dbraw/zinc/03/67/63/350036763.db2.gz UPVFITJSSWJUAC-JTQLQIEISA-N 0 3 211.305 2.603 20 0 BFADHN Cc1cc(Cl)ccc1CN[C@H]1CO[C@@H](C)C1 ZINC000402203691 350043046 /nfs/dbraw/zinc/04/30/46/350043046.db2.gz HIKPBQUGTXWCOF-GXFFZTMASA-N 0 3 239.746 2.915 20 0 BFADHN CCc1nnc([C@H](C)N2C[C@@H]3CCCC[C@H]3C2)o1 ZINC000426771235 191006958 /nfs/dbraw/zinc/00/69/58/191006958.db2.gz ZZKDRUPBJZHIJD-SRVKXCTJSA-N 0 3 249.358 2.815 20 0 BFADHN CCc1nc(CN[C@H]2CC[C@H](C)C2)cs1 ZINC000122004028 350058498 /nfs/dbraw/zinc/05/84/98/350058498.db2.gz OCCAIILJVBSJGI-UWVGGRQHSA-N 0 3 224.373 2.984 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@@H](C)C2)c(Cl)c1 ZINC000402212182 350064326 /nfs/dbraw/zinc/06/43/26/350064326.db2.gz KGRSPRZQEDXPTR-CMPLNLGQSA-N 0 3 239.746 2.915 20 0 BFADHN Cc1ccc(CN[C@H]2CO[C@H](C)C2)cc1Cl ZINC000402239573 350082981 /nfs/dbraw/zinc/08/29/81/350082981.db2.gz XMHQBEHWSGEFBI-ZYHUDNBSSA-N 0 3 239.746 2.915 20 0 BFADHN CC[C@H](NC[C@@H](C)CO)c1ccc(F)cc1F ZINC000151745279 538050936 /nfs/dbraw/zinc/05/09/36/538050936.db2.gz HFUCBELIXSLCFQ-RNCFNFMXSA-N 0 3 243.297 2.634 20 0 BFADHN Cc1nc(N[C@@H]2CCN(C)[C@@H](C)C2)sc1C ZINC000228126376 180024323 /nfs/dbraw/zinc/02/43/23/180024323.db2.gz KTLPDVIAEWLDDG-GZMMTYOYSA-N 0 3 239.388 2.655 20 0 BFADHN Cc1nc(N[C@H]2CCCN(C)[C@H]2C)sc1C ZINC000336748647 180025395 /nfs/dbraw/zinc/02/53/95/180025395.db2.gz SXTRIMZAHVPHEP-ONGXEEELSA-N 0 3 239.388 2.655 20 0 BFADHN Cc1nc(N[C@H]2CCN(C)[C@@H](C)C2)sc1C ZINC000228126388 180025612 /nfs/dbraw/zinc/02/56/12/180025612.db2.gz KTLPDVIAEWLDDG-KWQFWETISA-N 0 3 239.388 2.655 20 0 BFADHN Cc1nc([C@@H](C)NCCC[C@@H](C)CO)cs1 ZINC000222543351 180035312 /nfs/dbraw/zinc/03/53/12/180035312.db2.gz RTVPLSQBTBSHFG-NXEZZACHSA-N 0 3 242.388 2.511 20 0 BFADHN CCOC[C@@H]1CCC[C@H]1NCc1occc1C ZINC000426333494 191019943 /nfs/dbraw/zinc/01/99/43/191019943.db2.gz HXLYAAVKTKKLAE-QWHCGFSZSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1nc([C@H](C)NC[C@H]2C[C@H]2C)cs1 ZINC000252691859 180049573 /nfs/dbraw/zinc/04/95/73/180049573.db2.gz ZFVPUPRARVMBMT-KHQFGBGNSA-N 0 3 210.346 2.758 20 0 BFADHN CCc1ccc(CCN2C[C@H](C)OC[C@@H]2C)cc1 ZINC000604385032 350121903 /nfs/dbraw/zinc/12/19/03/350121903.db2.gz KPVNAKMUOQACGX-KBPBESRZSA-N 0 3 247.382 2.901 20 0 BFADHN CC(C)C[C@H](C)CN(C)CC(=O)NC(C)(C)C ZINC000410313763 191027510 /nfs/dbraw/zinc/02/75/10/191027510.db2.gz XCLZSBDBEQRMGS-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN CC(C)C[C@@H](C)CN1CCN(CC2CC2)CC1 ZINC000410324172 191027970 /nfs/dbraw/zinc/02/79/70/191027970.db2.gz ASDBPCGFMULABW-CQSZACIVSA-N 0 3 238.419 2.696 20 0 BFADHN CCC1(CN[C@H](C)c2nccn2C)CCC1 ZINC000132236874 191028229 /nfs/dbraw/zinc/02/82/29/191028229.db2.gz JSNGIXAQGGJKJF-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN c1ccc(C[C@@H]2COCCN2CC2CCC2)cc1 ZINC000426824530 191047347 /nfs/dbraw/zinc/04/73/47/191047347.db2.gz MZLQGUOVNKAWSK-MRXNPFEDSA-N 0 3 245.366 2.730 20 0 BFADHN Cc1nc2ccccn2c1CN1[C@@H](C)C[C@@H]1C ZINC000336582530 180107554 /nfs/dbraw/zinc/10/75/54/180107554.db2.gz CVANCQFALGPHIC-QWRGUYRKSA-N 0 3 229.327 2.625 20 0 BFADHN COc1ncccc1CN[C@H](C)C(C)(C)C ZINC000133303873 191039535 /nfs/dbraw/zinc/03/95/35/191039535.db2.gz AEIMHLAYYBJXPC-SNVBAGLBSA-N 0 3 222.332 2.614 20 0 BFADHN COC(C)(C)CNCc1ccc(F)c(Cl)c1 ZINC000133676216 191042068 /nfs/dbraw/zinc/04/20/68/191042068.db2.gz ZIGCDHXKGDFIQH-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN Cc1nc(C(C)(C)NCCCF)sc1C ZINC000137762501 191042293 /nfs/dbraw/zinc/04/22/93/191042293.db2.gz ATCZANQGAIYICY-UHFFFAOYSA-N 0 3 230.352 2.944 20 0 BFADHN Cc1nc2ccccc2nc1CN(C)C1CCC1 ZINC000173920667 180103535 /nfs/dbraw/zinc/10/35/35/180103535.db2.gz ARAWTCHOIDDORF-UHFFFAOYSA-N 0 3 241.338 2.923 20 0 BFADHN CCC[C@@H](CC)N[C@H](C)c1ccncn1 ZINC000395911607 323262979 /nfs/dbraw/zinc/26/29/79/323262979.db2.gz PGATUHGWCQQGKB-GHMZBOCLSA-N 0 3 207.321 2.706 20 0 BFADHN Cc1ncc(CN(C)CCC2CC2)s1 ZINC000173545271 180137933 /nfs/dbraw/zinc/13/79/33/180137933.db2.gz MSJWECXRNCXHSH-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCC(C)(C)C2)cn1 ZINC000336491181 180139434 /nfs/dbraw/zinc/13/94/34/180139434.db2.gz WINFGWDKBLRWBH-CYBMUJFWSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ncc(CN(CCC(C)C)C2CC2)cn1 ZINC000339055283 180141597 /nfs/dbraw/zinc/14/15/97/180141597.db2.gz DLOFBQWWXDTTOB-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN CC(=O)Nc1cccc(CN2CCC(C)CC2)c1 ZINC000101543157 191064850 /nfs/dbraw/zinc/06/48/50/191064850.db2.gz OCTNUCTVGZPIQZ-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN COC[C@@H](NCc1cccc(F)c1)C(C)C ZINC000083397684 350195048 /nfs/dbraw/zinc/19/50/48/350195048.db2.gz SBLRSYVZKDRUSH-CYBMUJFWSA-N 0 3 225.307 2.586 20 0 BFADHN Cc1ncc(CN2CCC[C@H]2C2CC2)s1 ZINC000363385869 180144634 /nfs/dbraw/zinc/14/46/34/180144634.db2.gz GMOKSEUNSTVCSD-LBPRGKRZSA-N 0 3 222.357 2.826 20 0 BFADHN Cc1ncc(CN2C[C@@H](C)CCC[C@@H]2C)cn1 ZINC000336244016 180145888 /nfs/dbraw/zinc/14/58/88/180145888.db2.gz OGRKRNYHPCHZJL-RYUDHWBXSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)[C@@H]2C)s1 ZINC000177880560 180146501 /nfs/dbraw/zinc/14/65/01/180146501.db2.gz PQGYCAMKEIJQCD-IUCAKERBSA-N 0 3 210.346 2.682 20 0 BFADHN Cc1ncc(CN2CC[C@H](C)[C@H](C)C2)s1 ZINC000122724450 180146918 /nfs/dbraw/zinc/14/69/18/180146918.db2.gz KGJGEOJVEIIZPO-VHSXEESVSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1ncc(CN2[C@@H](C)CC[C@@H]2C)s1 ZINC000123216346 180147361 /nfs/dbraw/zinc/14/73/61/180147361.db2.gz SBDIASMNLURZJN-IUCAKERBSA-N 0 3 210.346 2.824 20 0 BFADHN C[C@]1(NCc2cn[nH]c2)CCCc2ccccc21 ZINC000604767440 350185696 /nfs/dbraw/zinc/18/56/96/350185696.db2.gz KQHTYTRRRPWVAB-HNNXBMFYSA-N 0 3 241.338 2.751 20 0 BFADHN Cc1nccc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)n1 ZINC000353154539 180185567 /nfs/dbraw/zinc/18/55/67/180185567.db2.gz MUAIVKMWFUXAAG-IJLUTSLNSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1nccc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)n1 ZINC000353154540 180186609 /nfs/dbraw/zinc/18/66/09/180186609.db2.gz MUAIVKMWFUXAAG-UTUOFQBUSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1ncccc1CN1CCC12CCC2 ZINC000334473699 180219146 /nfs/dbraw/zinc/21/91/46/180219146.db2.gz JUNYUGIXTNMLSO-UHFFFAOYSA-N 0 3 202.301 2.518 20 0 BFADHN Cc1cc(N[C@@H]2CO[C@H](C)C2)c2ccccc2n1 ZINC000402378512 350228002 /nfs/dbraw/zinc/22/80/02/350228002.db2.gz KUBYRMNYVKVWJD-NEPJUHHUSA-N 0 3 242.322 2.554 20 0 BFADHN Cc1ncccc1CN[C@@H]1CC12CCCC2 ZINC000309716052 180222692 /nfs/dbraw/zinc/22/26/92/180222692.db2.gz SYCJKIGZAZCRDZ-CYBMUJFWSA-N 0 3 216.328 2.812 20 0 BFADHN Cc1nc(NCCN2CCCCC2)sc1C ZINC000083532828 350240127 /nfs/dbraw/zinc/24/01/27/350240127.db2.gz ZBDGPRBSDNLCHY-UHFFFAOYSA-N 0 3 239.388 2.658 20 0 BFADHN Cc1nccn1CCN(C)[C@H](C)c1ccccc1 ZINC000270620975 180253089 /nfs/dbraw/zinc/25/30/89/180253089.db2.gz DHJIQSHPZKMYFD-CYBMUJFWSA-N 0 3 243.354 2.885 20 0 BFADHN Cc1nccn1C[C@H](C)CN[C@H](C)c1ccco1 ZINC000121489159 180269059 /nfs/dbraw/zinc/26/90/59/180269059.db2.gz VQSTXCNHXPMALB-VXGBXAGGSA-N 0 3 247.342 2.771 20 0 BFADHN CC(C)[C@H](N[C@H]1C[C@H](C)n2ccnc21)C1CC1 ZINC000324389424 350295664 /nfs/dbraw/zinc/29/56/64/350295664.db2.gz MVMNMDVDCRDUQQ-DRZSPHRISA-N 0 3 233.359 2.913 20 0 BFADHN C[C@](CO)(NCc1cccs1)c1ccccc1 ZINC000038123669 350307090 /nfs/dbraw/zinc/30/70/90/350307090.db2.gz YYQKVFWUBQTNQN-CQSZACIVSA-N 0 3 247.363 2.745 20 0 BFADHN C[C@](CO)(NCc1ccccc1)c1ccccc1 ZINC000038110844 350307344 /nfs/dbraw/zinc/30/73/44/350307344.db2.gz JFVPJSBOOGIMDK-MRXNPFEDSA-N 0 3 241.334 2.684 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@H]1CC=CCC1 ZINC000188206781 180281949 /nfs/dbraw/zinc/28/19/49/180281949.db2.gz YAFUXVQDXSJACW-NEPJUHHUSA-N 0 3 217.316 2.544 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CCC[C@H]1C ZINC000300332969 180283254 /nfs/dbraw/zinc/28/32/54/180283254.db2.gz DAEKSVVHTSAASF-USWWRNFRSA-N 0 3 219.332 2.624 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H]1CC=CCC1 ZINC000188206805 180283743 /nfs/dbraw/zinc/28/37/43/180283743.db2.gz YAFUXVQDXSJACW-RYUDHWBXSA-N 0 3 217.316 2.544 20 0 BFADHN Cc1csc(CNC2CCCCC2)n1 ZINC000037988543 350295156 /nfs/dbraw/zinc/29/51/56/350295156.db2.gz AHAQPICVXWUKRW-UHFFFAOYSA-N 0 3 210.346 2.874 20 0 BFADHN Cc1ncsc1CCN[C@H](C)c1ccccn1 ZINC000050284812 180311159 /nfs/dbraw/zinc/31/11/59/180311159.db2.gz DTWUSOSHWNHUFR-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1ncsc1CN(C)CC1CCC1 ZINC000173719794 180314445 /nfs/dbraw/zinc/31/44/45/180314445.db2.gz WRKSUOXTUSLOFD-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN CC(=O)Nc1ccc(CN(C)[C@H]2CC2(C)C)cc1 ZINC000426553477 191096817 /nfs/dbraw/zinc/09/68/17/191096817.db2.gz CSIBWMQMTLNPLB-AWEZNQCLSA-N 0 3 246.354 2.875 20 0 BFADHN CN(Cc1cnn2ccccc12)[C@@H]1CC1(C)C ZINC000426554941 191096895 /nfs/dbraw/zinc/09/68/95/191096895.db2.gz JFFHVXJPXCQXCX-CYBMUJFWSA-N 0 3 229.327 2.565 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@H]1CCn2ccnc21 ZINC000384524060 350369382 /nfs/dbraw/zinc/36/93/82/350369382.db2.gz KVBKPXHALHREMU-MNOVXSKESA-N 0 3 221.348 2.742 20 0 BFADHN Cc1nnc(CN[C@H]2CCC(C)(C)C2)s1 ZINC000309211719 323329587 /nfs/dbraw/zinc/32/95/87/323329587.db2.gz SWPNCAIPCDHMLA-VIFPVBQESA-N 0 3 225.361 2.515 20 0 BFADHN COc1cccc(CN(C)[C@H]2CC2(C)C)c1OC ZINC000426537025 191097240 /nfs/dbraw/zinc/09/72/40/191097240.db2.gz DKYQHOUFKBKIHX-ZDUSSCGKSA-N 0 3 249.354 2.934 20 0 BFADHN CC(C)[C@H](N[C@@H]1C[C@H](O)C1(C)C)c1cccnc1 ZINC000427889857 191099611 /nfs/dbraw/zinc/09/96/11/191099611.db2.gz ACFNZZQWJWEJAJ-RDBSUJKOSA-N 0 3 248.370 2.528 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1ccnc(C)c1)OC ZINC000623536818 362955103 /nfs/dbraw/zinc/95/51/03/362955103.db2.gz CPSFCWYMJAZBCD-RISCZKNCSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1ncsc1CN1C[C@H](C)C[C@H](C)C1 ZINC000158033241 180319109 /nfs/dbraw/zinc/31/91/09/180319109.db2.gz NQMZORTVNGUFGG-AOOOYVTPSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1ncsc1CNC[C@@H](C)C(F)(F)F ZINC000336759781 180320138 /nfs/dbraw/zinc/32/01/38/180320138.db2.gz LQYZHYIPWHXHOW-ZCFIWIBFSA-N 0 3 238.278 2.740 20 0 BFADHN Cc1ncsc1CNCCSC(C)C ZINC000290171096 180320921 /nfs/dbraw/zinc/32/09/21/180320921.db2.gz QXFNABQLHLVVSP-UHFFFAOYSA-N 0 3 230.402 2.683 20 0 BFADHN CC(C)[C@H](O)CN[C@H](C)c1ccc(F)cc1F ZINC000131275568 191100101 /nfs/dbraw/zinc/10/01/01/191100101.db2.gz ZIYHQSZCZLZXQD-NOZJJQNGSA-N 0 3 243.297 2.632 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccc(C)o2)CCO1 ZINC000069890921 350438308 /nfs/dbraw/zinc/43/83/08/350438308.db2.gz HICFAFCWYODSHF-VXGBXAGGSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@@H]1CCC[C@H]1C ZINC000162624602 180351230 /nfs/dbraw/zinc/35/12/30/180351230.db2.gz CWFYLNXMNXFEKF-LDYMZIIASA-N 0 3 241.766 2.660 20 0 BFADHN CCN(Cc1cnn(C)c1C)CC(C)(C)C ZINC000336644150 350423011 /nfs/dbraw/zinc/42/30/11/350423011.db2.gz BVROTJGYCYPUID-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN CCOC1CC(NCc2cccc(Cl)c2)C1 ZINC000162773138 350424065 /nfs/dbraw/zinc/42/40/65/350424065.db2.gz CFVRBHMQGQUANQ-UHFFFAOYSA-N 0 3 239.746 2.997 20 0 BFADHN CCN(Cc1cc(C)no1)CC1CCC1 ZINC000336691477 350447904 /nfs/dbraw/zinc/44/79/04/350447904.db2.gz MLQUMXJAIABJJA-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN CC(C)CN(C)Cc1cnn(C)c1C(F)(F)F ZINC000336707874 350460149 /nfs/dbraw/zinc/46/01/49/350460149.db2.gz OTLCTTYDSBSKEU-UHFFFAOYSA-N 0 3 249.280 2.527 20 0 BFADHN CCOC1CC(NCc2cccc(F)c2F)C1 ZINC000218988633 490550040 /nfs/dbraw/zinc/55/00/40/490550040.db2.gz SPNFXWCJYKWQMK-UHFFFAOYSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NC1CC(C)(C)C1 ZINC000335603036 180381843 /nfs/dbraw/zinc/38/18/43/180381843.db2.gz HGLXLNIXXWJHLW-VIFPVBQESA-N 0 3 221.348 2.568 20 0 BFADHN C[C@H](NCCC(F)(F)F)c1ccncc1 ZINC000059313329 350493016 /nfs/dbraw/zinc/49/30/16/350493016.db2.gz AXUWMTXVVDIQFR-QMMMGPOBSA-N 0 3 218.222 2.685 20 0 BFADHN CC(C)CC[C@@H](C)N[C@@H](C)c1nccn1C ZINC000070007377 350487927 /nfs/dbraw/zinc/48/79/27/350487927.db2.gz WUZWMTIZEAVOGQ-NEPJUHHUSA-N 0 3 223.364 2.895 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H](C)C1CCC1 ZINC000219204830 490559840 /nfs/dbraw/zinc/55/98/40/490559840.db2.gz TTYLJZQULORWOM-KOLCDFICSA-N 0 3 219.332 2.624 20 0 BFADHN O=C1C[C@H]2CC[C@@H](C1)N2C/C=C\c1ccccc1 ZINC000577467410 365961110 /nfs/dbraw/zinc/96/11/10/365961110.db2.gz FRIHSJQESKXXNU-UILWWFOHSA-N 0 3 241.334 2.896 20 0 BFADHN FC(F)(F)CCNCc1ccsc1 ZINC000063099038 350571331 /nfs/dbraw/zinc/57/13/31/350571331.db2.gz KLPXXNNWYQRHEQ-UHFFFAOYSA-N 0 3 209.236 2.790 20 0 BFADHN Cc1ccc(F)c(CNCCOC(C)C)c1 ZINC000134985575 191108905 /nfs/dbraw/zinc/10/89/05/191108905.db2.gz SILDRGXIFDQIAY-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN CCN(Cc1cnn(C)c1)C[C@H](C)CC(C)C ZINC000337126360 350558819 /nfs/dbraw/zinc/55/88/19/350558819.db2.gz FXAYQLRYTIBHSI-CYBMUJFWSA-N 0 3 237.391 2.924 20 0 BFADHN C[C@H](N[C@H]1CCS[C@@H]1C)c1ccoc1 ZINC000306596932 490642072 /nfs/dbraw/zinc/64/20/72/490642072.db2.gz NNMMTNPCHFFKIX-NGZCFLSTSA-N 0 3 211.330 2.824 20 0 BFADHN c1cnc2c(c1)CCC[C@H]2NC[C@H]1CCCS1 ZINC000149608602 538096135 /nfs/dbraw/zinc/09/61/35/538096135.db2.gz DVMSOCICNGMUAD-CHWSQXEVSA-N 0 3 248.395 2.944 20 0 BFADHN CC[C@H](F)CN1C[C@@H](C)S[C@H](C)C1 ZINC000307144433 490656760 /nfs/dbraw/zinc/65/67/60/490656760.db2.gz ADZFYELURMBWRL-BBBLOLIVSA-N 0 3 205.342 2.560 20 0 BFADHN CC/C=C\CNCc1cnc(C(C)(C)C)nc1 ZINC000384900276 350625304 /nfs/dbraw/zinc/62/53/04/350625304.db2.gz NOZCXDQNAHLXHT-SREVYHEPSA-N 0 3 233.359 2.830 20 0 BFADHN CCc1cc(CN[C@@H](C)[C@@H]2CC2(C)C)on1 ZINC000309451784 323410787 /nfs/dbraw/zinc/41/07/87/323410787.db2.gz LITZJSHQWIMOFS-CABZTGNLSA-N 0 3 222.332 2.761 20 0 BFADHN Cn1ccc(CNCC(C)(C)C(C)(C)C)n1 ZINC000309510513 350686104 /nfs/dbraw/zinc/68/61/04/350686104.db2.gz PDDRBBDLDZYFSK-UHFFFAOYSA-N 0 3 223.364 2.582 20 0 BFADHN CC[C@H](C)N(C)Cc1cn2cc(C)ccc2n1 ZINC000177276423 365990555 /nfs/dbraw/zinc/99/05/55/365990555.db2.gz XBLPMFMEAIWVDD-LBPRGKRZSA-N 0 3 231.343 2.873 20 0 BFADHN CC[C@@H](O)CCNCc1ccc(Cl)s1 ZINC000124349926 350689925 /nfs/dbraw/zinc/68/99/25/350689925.db2.gz BPLCQNJPQCQFDY-MRVPVSSYSA-N 0 3 233.764 2.652 20 0 BFADHN CN(Cc1nc2ccc(F)cc2o1)CC1CC1 ZINC000162955285 362975985 /nfs/dbraw/zinc/97/59/85/362975985.db2.gz HYNVFQXHEMZSEA-UHFFFAOYSA-N 0 3 234.274 2.809 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCC(C)(O)CC1 ZINC000177293471 365992379 /nfs/dbraw/zinc/99/23/79/365992379.db2.gz USIIVYUALITFGH-LLVKDONJSA-N 0 3 237.318 2.734 20 0 BFADHN CC(C)C[C@@H](C)NCc1cn2ccsc2n1 ZINC000132301286 187468604 /nfs/dbraw/zinc/46/86/04/187468604.db2.gz JRKXIYZBBCLWCK-SNVBAGLBSA-N 0 3 237.372 2.920 20 0 BFADHN Cc1ncc(CNCCCc2ccccc2)o1 ZINC000397982591 362981043 /nfs/dbraw/zinc/98/10/43/362981043.db2.gz MYNBTSBTDTYBNU-UHFFFAOYSA-N 0 3 230.311 2.705 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1ccc(F)c(F)c1 ZINC000564039863 323417096 /nfs/dbraw/zinc/41/70/96/323417096.db2.gz MYBAHIDNOOMICQ-AQUOVQTQSA-N 0 3 241.281 2.793 20 0 BFADHN COCCCN1CCc2sccc2[C@@H]1C ZINC000051425553 350741749 /nfs/dbraw/zinc/74/17/49/350741749.db2.gz COZMQYLIGDKSRT-JTQLQIEISA-N 0 3 225.357 2.704 20 0 BFADHN Cc1ncc(CN[C@@]2(C)CC=CCC2)o1 ZINC000628309498 350785919 /nfs/dbraw/zinc/78/59/19/350785919.db2.gz ZRLJFCYKJDRETA-LBPRGKRZSA-N 0 3 206.289 2.571 20 0 BFADHN Cc1ncc(CNC[C@H]2CCC[C@H]2C2CC2)o1 ZINC000628310225 350788151 /nfs/dbraw/zinc/78/81/51/350788151.db2.gz SDDLEIJQBSDIQU-OCCSQVGLSA-N 0 3 234.343 2.899 20 0 BFADHN C[C@H]1CCCN(Cc2cncs2)[C@H]1C ZINC000245569488 350823916 /nfs/dbraw/zinc/82/39/16/350823916.db2.gz WJIJLJLKIKQRHX-UWVGGRQHSA-N 0 3 210.346 2.764 20 0 BFADHN Cc1ncc(CN2CC(C)(C)C[C@H]2C)o1 ZINC000628312232 350812935 /nfs/dbraw/zinc/81/29/35/350812935.db2.gz UJEKJAQERHNWJP-SECBINFHSA-N 0 3 208.305 2.603 20 0 BFADHN CCOc1ccc([C@H](C)N[C@H](C)COC)cc1 ZINC000019904832 350840549 /nfs/dbraw/zinc/84/05/49/350840549.db2.gz CABZNHXUOCRVLU-NEPJUHHUSA-N 0 3 237.343 2.771 20 0 BFADHN CC[C@@H]1CN(C[C@H](C)c2ccccc2)CCO1 ZINC000247159363 350844898 /nfs/dbraw/zinc/84/48/98/350844898.db2.gz QLFDUFYRCDHGLA-DZGCQCFKSA-N 0 3 233.355 2.901 20 0 BFADHN CC(C)(O)CCNCc1ccsc1Cl ZINC000308682490 191125030 /nfs/dbraw/zinc/12/50/30/191125030.db2.gz YCFGXMPTBFRSHJ-UHFFFAOYSA-N 0 3 233.764 2.652 20 0 BFADHN CCN(CC)CCN[C@@H](C)c1ccc(C)o1 ZINC000019919417 350852581 /nfs/dbraw/zinc/85/25/81/350852581.db2.gz RLZDRDVFHXVQKC-LBPRGKRZSA-N 0 3 224.348 2.580 20 0 BFADHN Cc1cc(CN[C@H]2C[C@H](OC(C)(C)C)C2)ccn1 ZINC000623561460 362986303 /nfs/dbraw/zinc/98/63/03/362986303.db2.gz OCFDQJKLZLQLSN-HDJSIYSDSA-N 0 3 248.370 2.826 20 0 BFADHN C/C=C/CNC/C=C\c1ccccc1OC ZINC000623738922 350882160 /nfs/dbraw/zinc/88/21/60/350882160.db2.gz BMHTWJMBZVIZKC-YDCBUUCGSA-N 0 3 217.312 2.874 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccncc2Cl)CS1 ZINC000397809812 350977417 /nfs/dbraw/zinc/97/74/17/350977417.db2.gz GGYNMMUZMYJCST-WCBMZHEXSA-N 0 3 242.775 2.719 20 0 BFADHN c1n[nH]cc1CNCc1csc2ccccc12 ZINC000052329891 350985302 /nfs/dbraw/zinc/98/53/02/350985302.db2.gz JVVNVOKVAVWCPK-UHFFFAOYSA-N 0 3 243.335 2.914 20 0 BFADHN COC[C@H](C)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000035708379 358458588 /nfs/dbraw/zinc/45/85/88/358458588.db2.gz NRGIBOKMWUBSIA-JFGNBEQYSA-N 0 3 223.316 2.528 20 0 BFADHN Cc1nocc1CN[C@@H](C)CC1CCC1 ZINC000398027794 362988835 /nfs/dbraw/zinc/98/88/35/362988835.db2.gz ZLZJHZHRBRVHFE-VIFPVBQESA-N 0 3 208.305 2.651 20 0 BFADHN c1nc2n(c1CN[C@@H]1CC13CCCCC3)CCC2 ZINC000623568943 362996573 /nfs/dbraw/zinc/99/65/73/362996573.db2.gz PCHKGBWETRXONU-CYBMUJFWSA-N 0 3 245.370 2.642 20 0 BFADHN CC(C)c1ccc([C@H](C)N(C)CCO)cc1 ZINC000177693828 366020070 /nfs/dbraw/zinc/02/00/70/366020070.db2.gz KLNHZNIMOZVOKO-LBPRGKRZSA-N 0 3 221.344 2.795 20 0 BFADHN C/C=C\CNCc1cc2ccncc2s1 ZINC000623740073 351050315 /nfs/dbraw/zinc/05/03/15/351050315.db2.gz MDBKVIORPHJVLL-IHWYPQMZSA-N 0 3 218.325 2.962 20 0 BFADHN CCO[C@H]1CCCN(Cc2cccc(OC)c2)C1 ZINC000151071335 351050588 /nfs/dbraw/zinc/05/05/88/351050588.db2.gz XEBYRABGRSUDIP-HNNXBMFYSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@@H](NCc1ncccn1)C1CCCC1 ZINC000382777362 351051543 /nfs/dbraw/zinc/05/15/43/351051543.db2.gz DDNROLYZLHAGTP-GFCCVEGCSA-N 0 3 219.332 2.535 20 0 BFADHN CCc1cnc(CN[C@@H]2CSC[C@H]2C)s1 ZINC000336716038 351113306 /nfs/dbraw/zinc/11/33/06/351113306.db2.gz WXCFOYDUABOJSU-PSASIEDQSA-N 0 3 242.413 2.547 20 0 BFADHN C[C@@H](N[C@@H](C)[C@@H]1C[C@H]1C1CC1)c1nccn1C ZINC000623574808 363004860 /nfs/dbraw/zinc/00/48/60/363004860.db2.gz XQEBHFGIPAGDII-LFSVMHDDSA-N 0 3 233.359 2.505 20 0 BFADHN CCN1CCN([C@@H](C)c2ccc(F)cc2)CC1 ZINC000052804353 351071966 /nfs/dbraw/zinc/07/19/66/351071966.db2.gz ZYTUVTWPEMKGJE-LBPRGKRZSA-N 0 3 236.334 2.524 20 0 BFADHN FCCCCNCc1ccncc1Cl ZINC000388798519 363009921 /nfs/dbraw/zinc/00/99/21/363009921.db2.gz MGVOJNHRLUNXMB-UHFFFAOYSA-N 0 3 216.687 2.574 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccccn1 ZINC000264255172 351230665 /nfs/dbraw/zinc/23/06/65/351230665.db2.gz GJECCVAAPJOJLI-CYBMUJFWSA-N 0 3 204.317 2.846 20 0 BFADHN CC[C@@H](O)CN1CCc2sccc2[C@H]1CC ZINC000264442912 351238046 /nfs/dbraw/zinc/23/80/46/351238046.db2.gz MQPOLARUXZGVJZ-ZYHUDNBSSA-N 0 3 239.384 2.828 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000628322793 351211752 /nfs/dbraw/zinc/21/17/52/351211752.db2.gz LLROPSWMQMKYLV-KGYLQXTDSA-N 0 3 232.327 2.830 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCCCC2(F)F)o1 ZINC000398126352 363016054 /nfs/dbraw/zinc/01/60/54/363016054.db2.gz ZANKKQLAICDCIA-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCC[C@H]3C[C@H]32)o1 ZINC000628339227 351282758 /nfs/dbraw/zinc/28/27/58/351282758.db2.gz ITPVDCPCSLMBSI-GMXVVIOVSA-N 0 3 220.316 2.509 20 0 BFADHN CC[C@@](C)(NCc1cnc(C)o1)C1CC1 ZINC000628341042 351284463 /nfs/dbraw/zinc/28/44/63/351284463.db2.gz AWYIWOHAJBYYAH-GFCCVEGCSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@](C)(NCc1cnc(C)o1)C1CC1 ZINC000628341043 351284920 /nfs/dbraw/zinc/28/49/20/351284920.db2.gz AWYIWOHAJBYYAH-LBPRGKRZSA-N 0 3 208.305 2.651 20 0 BFADHN CC1CC(CNCc2cnc3ccccc3n2)C1 ZINC000628361754 351334275 /nfs/dbraw/zinc/33/42/75/351334275.db2.gz RQVWFHVVDGXXOV-UHFFFAOYSA-N 0 3 241.338 2.766 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1ccncc1Cl ZINC000379954012 351299539 /nfs/dbraw/zinc/29/95/39/351299539.db2.gz UTNLYEHDEWZDAB-KCJUWKMLSA-N 0 3 242.775 2.719 20 0 BFADHN CC1CC(CNCc2cnc(C3CC3)o2)C1 ZINC000628361728 351334725 /nfs/dbraw/zinc/33/47/25/351334725.db2.gz QUERPOPVQBXVHS-UHFFFAOYSA-N 0 3 220.316 2.688 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC2(C)C)nn1C ZINC000414453141 351310992 /nfs/dbraw/zinc/31/09/92/351310992.db2.gz CGYUSQDXWDCDGL-GFCCVEGCSA-N 0 3 235.375 2.644 20 0 BFADHN CCN1CCCC[C@H]1CNc1cccc(F)n1 ZINC000267508805 351312671 /nfs/dbraw/zinc/31/26/71/351312671.db2.gz IANYPCCYOIVIRG-NSHDSACASA-N 0 3 237.322 2.507 20 0 BFADHN Cc1ncc(CNC(C)(C2CC2)C2CC2)o1 ZINC000628351767 351314471 /nfs/dbraw/zinc/31/44/71/351314471.db2.gz OUHIDIGAFYQUQM-UHFFFAOYSA-N 0 3 220.316 2.651 20 0 BFADHN CCN1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000265651542 351273186 /nfs/dbraw/zinc/27/31/86/351273186.db2.gz ONLWJCQQARSKLW-LBPRGKRZSA-N 0 3 235.302 2.740 20 0 BFADHN ClC1(Cl)C[C@@H]1CNc1ccncc1 ZINC000306947090 351372856 /nfs/dbraw/zinc/37/28/56/351372856.db2.gz OIYLKTSEQGRIPT-SSDOTTSWSA-N 0 3 217.099 2.687 20 0 BFADHN CC(C)n1cc(CN[C@H](C)CC2CCC2)nn1 ZINC000631249612 351381226 /nfs/dbraw/zinc/38/12/26/351381226.db2.gz ARNMJVJUASFPHW-LLVKDONJSA-N 0 3 236.363 2.527 20 0 BFADHN C[C@H](N[C@@H]1CCc2c1cccc2O)[C@@H]1CCCO1 ZINC000036852584 358463241 /nfs/dbraw/zinc/46/32/41/358463241.db2.gz ZADRKVLVYQRCGX-ZBINZKHDSA-N 0 3 247.338 2.537 20 0 BFADHN CC1(C)CC[C@@H](NCc2ccns2)C1 ZINC000404414855 351431285 /nfs/dbraw/zinc/43/12/85/351431285.db2.gz MLMGFKPLRDBCIN-SECBINFHSA-N 0 3 210.346 2.811 20 0 BFADHN CCC[C@@H](CNCc1ccc(C)o1)OCC ZINC000623598306 363034716 /nfs/dbraw/zinc/03/47/16/363034716.db2.gz YONHNKJZMWRMOU-LBPRGKRZSA-N 0 3 225.332 2.883 20 0 BFADHN CCC[C@H](CNCc1scnc1C)OCC ZINC000623598750 363035342 /nfs/dbraw/zinc/03/53/42/363035342.db2.gz MHLGASAVCMDPKY-LLVKDONJSA-N 0 3 242.388 2.746 20 0 BFADHN CC[C@@H](NCc1ncc(C)n1C)C(C)(C)C ZINC000336725636 351442527 /nfs/dbraw/zinc/44/25/27/351442527.db2.gz FZJCXVKAUBQJFC-LLVKDONJSA-N 0 3 223.364 2.643 20 0 BFADHN CC(C)(C)[C@H](CCO)NCc1ccccc1F ZINC000183679450 187483310 /nfs/dbraw/zinc/48/33/10/187483310.db2.gz QZGCTDVGLXEJRF-ZDUSSCGKSA-N 0 3 239.334 2.712 20 0 BFADHN C[C@H](Cc1cccs1)NCc1ccns1 ZINC000404441794 351459382 /nfs/dbraw/zinc/45/93/82/351459382.db2.gz HSWSVGFTLNMOLV-SECBINFHSA-N 0 3 238.381 2.925 20 0 BFADHN CN(C[C@H]1CC1(Cl)Cl)[C@H]1CCSC1 ZINC000307013830 351418982 /nfs/dbraw/zinc/41/89/82/351418982.db2.gz MEGHJYRXIPMQMG-SFYZADRCSA-N 0 3 240.199 2.618 20 0 BFADHN NCc1cccc(NC(=O)C2CCC=CCC2)c1 ZINC000637800895 351637335 /nfs/dbraw/zinc/63/73/35/351637335.db2.gz IHCPCTSVGMEZJI-UHFFFAOYSA-N 0 3 244.338 2.830 20 0 BFADHN CCC[C@H](CN(C)Cc1cccc(O)c1)OC ZINC000637814042 351657903 /nfs/dbraw/zinc/65/79/03/351657903.db2.gz VSGPCXZRPJPGPK-CQSZACIVSA-N 0 3 237.343 2.639 20 0 BFADHN Cc1ccc(CN[C@@H](C)CC(C)C)nc1 ZINC000088134991 351624987 /nfs/dbraw/zinc/62/49/87/351624987.db2.gz HBDXNYKHSLTVFK-LBPRGKRZSA-N 0 3 206.333 2.914 20 0 BFADHN COc1ncc(CN2C[C@@H](C)C[C@H](C)C2)s1 ZINC000637833787 351684234 /nfs/dbraw/zinc/68/42/34/351684234.db2.gz WZTLJDNMAAJRHQ-UWVGGRQHSA-N 0 3 240.372 2.630 20 0 BFADHN C[C@H]1CCCCN1[C@H](c1nccn1C)C1CC1 ZINC000637836990 351690361 /nfs/dbraw/zinc/69/03/61/351690361.db2.gz WZAGLTACULMYHC-AAEUAGOBSA-N 0 3 233.359 2.746 20 0 BFADHN COC(C)(C)CN(C)Cc1csc(C)c1 ZINC000577696091 366038297 /nfs/dbraw/zinc/03/82/97/366038297.db2.gz SFVRZXYPSDOLJQ-UHFFFAOYSA-N 0 3 227.373 2.913 20 0 BFADHN CO[C@@](C)(CN1CCn2cccc2[C@H]1C)C1CC1 ZINC000637843749 351703074 /nfs/dbraw/zinc/70/30/74/351703074.db2.gz ZDESUESVRLMONA-DOMZBBRYSA-N 0 3 248.370 2.680 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC000637870947 351737454 /nfs/dbraw/zinc/73/74/54/351737454.db2.gz KVCUWYVPYJEQJA-FRRDWIJNSA-N 0 3 240.391 2.539 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CC1CCSCC1 ZINC000637852846 351712269 /nfs/dbraw/zinc/71/22/69/351712269.db2.gz PFCTVAGELUXKAK-WCQYABFASA-N 0 3 243.416 2.629 20 0 BFADHN C[C@@H](c1ccco1)N(C)CCC[C@H]1CCO1 ZINC000637902683 351774955 /nfs/dbraw/zinc/77/49/55/351774955.db2.gz MKYMBROJGWBFEK-RYUDHWBXSA-N 0 3 223.316 2.842 20 0 BFADHN CCn1cncc1CN[C@H]1CC12CCCCC2 ZINC000386966798 363091520 /nfs/dbraw/zinc/09/15/20/363091520.db2.gz FGBMQELWEYPXFC-ZDUSSCGKSA-N 0 3 233.359 2.715 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1ccnn1CC1CCC1 ZINC000637919231 351794012 /nfs/dbraw/zinc/79/40/12/351794012.db2.gz GCQJZPHZCGPWLT-GFCCVEGCSA-N 0 3 247.386 2.914 20 0 BFADHN COc1cncc(CN(C)[C@@H](C)C2CC2)c1C ZINC000637921378 351795554 /nfs/dbraw/zinc/79/55/54/351795554.db2.gz XJUCMEPFRPOQPU-NSHDSACASA-N 0 3 234.343 2.629 20 0 BFADHN CCN(C)Cc1cc2ccccc2n1C ZINC000637890634 351760827 /nfs/dbraw/zinc/76/08/27/351760827.db2.gz RIDQMXULFHOGJK-UHFFFAOYSA-N 0 3 202.301 2.630 20 0 BFADHN CCN(Cc1ccccn1)C[C@H]1CCSC1 ZINC000278488917 351766096 /nfs/dbraw/zinc/76/60/96/351766096.db2.gz SXRUFEIRHYMLCX-GFCCVEGCSA-N 0 3 236.384 2.657 20 0 BFADHN COc1cccc(OC)c1CN(C)CC(C)C ZINC000637894717 351766148 /nfs/dbraw/zinc/76/61/48/351766148.db2.gz XGYBVIBIBMPOGF-UHFFFAOYSA-N 0 3 237.343 2.792 20 0 BFADHN CCN(Cc1ccccn1)C[C@@H]1CCSC1 ZINC000278488919 351766258 /nfs/dbraw/zinc/76/62/58/351766258.db2.gz SXRUFEIRHYMLCX-LBPRGKRZSA-N 0 3 236.384 2.657 20 0 BFADHN CO[C@H](CNCc1sc(C)nc1C)C(C)C ZINC000072924620 351767191 /nfs/dbraw/zinc/76/71/91/351767191.db2.gz CPJULPLVYYDGDC-LLVKDONJSA-N 0 3 242.388 2.521 20 0 BFADHN CCN(CCOC)Cc1csc(C)c1C ZINC000637896772 351768571 /nfs/dbraw/zinc/76/85/71/351768571.db2.gz PMYPUZFFRVRXLH-UHFFFAOYSA-N 0 3 227.373 2.833 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)C(C)C)sn1 ZINC000637904509 351773263 /nfs/dbraw/zinc/77/32/63/351773263.db2.gz XDBUQDPPYNNCRW-JTQLQIEISA-N 0 3 212.362 2.928 20 0 BFADHN CC1(C)CN([C@@H]2CCc3ccc(F)cc32)C[C@@H]1O ZINC000279708079 351821447 /nfs/dbraw/zinc/82/14/47/351821447.db2.gz HRWQZRZXVJNZNW-KGLIPLIRSA-N 0 3 249.329 2.516 20 0 BFADHN CCCc1ccccc1NC(=O)[C@H](N)C(C)C ZINC000037018856 358467027 /nfs/dbraw/zinc/46/70/27/358467027.db2.gz MYPNLCSGELEXCH-CYBMUJFWSA-N 0 3 234.343 2.561 20 0 BFADHN COCCN(Cc1cc(C)ns1)CC(C)C ZINC000637932717 351812001 /nfs/dbraw/zinc/81/20/01/351812001.db2.gz SRVYYVMVSIMXBL-UHFFFAOYSA-N 0 3 242.388 2.556 20 0 BFADHN CC[C@@H](C)CN(C)[C@H](c1nccn1C)C1CC1 ZINC000637940482 351817286 /nfs/dbraw/zinc/81/72/86/351817286.db2.gz IOTHVODJNKWESW-YPMHNXCESA-N 0 3 235.375 2.849 20 0 BFADHN CC[C@H]1CCCN(Cc2ccns2)C1 ZINC000637943065 351818174 /nfs/dbraw/zinc/81/81/74/351818174.db2.gz BHBKLYWHAXMWJE-JTQLQIEISA-N 0 3 210.346 2.765 20 0 BFADHN C[C@H]1CCCN(Cc2ccns2)CC1 ZINC000637978966 351853956 /nfs/dbraw/zinc/85/39/56/351853956.db2.gz QQSLOFOKQCJHBJ-JTQLQIEISA-N 0 3 210.346 2.765 20 0 BFADHN C[C@H]1CCCN([C@@H](c2nccn2C)C2CC2)CC1 ZINC000637977045 351855137 /nfs/dbraw/zinc/85/51/37/351855137.db2.gz HQSYNYCTXBLDDG-GXTWGEPZSA-N 0 3 247.386 2.993 20 0 BFADHN CCCCN(C)CC(=O)NC1CCCCCC1 ZINC000058874884 351860729 /nfs/dbraw/zinc/86/07/29/351860729.db2.gz ZBDPEHQTYQUEMF-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN CC[C@H]1CCCN1Cc1cc(C)ns1 ZINC000637965727 351839780 /nfs/dbraw/zinc/83/97/80/351839780.db2.gz UPQJGTVAHUWUMZ-JTQLQIEISA-N 0 3 210.346 2.826 20 0 BFADHN COc1cccc(OC)c1CN1CC[C@H](C)C1 ZINC000637971122 351846419 /nfs/dbraw/zinc/84/64/19/351846419.db2.gz PZUNYYXOKNGXCR-NSHDSACASA-N 0 3 235.327 2.546 20 0 BFADHN CC[C@](C)(CN(C)Cc1ccoc1C)OC ZINC000637971968 351847259 /nfs/dbraw/zinc/84/72/59/351847259.db2.gz ZAIAFLAMJIYPEW-CYBMUJFWSA-N 0 3 225.332 2.835 20 0 BFADHN CC[C@@H]1CN(CC2CCSCC2)C[C@@H](C)O1 ZINC000637972199 351847397 /nfs/dbraw/zinc/84/73/97/351847397.db2.gz MOGVWDNKPDZVMX-DGCLKSJQSA-N 0 3 243.416 2.629 20 0 BFADHN CN(CC1CCCC1)[C@H](c1nccn1C)C1CC1 ZINC000637986431 351862467 /nfs/dbraw/zinc/86/24/67/351862467.db2.gz YCSTZESLEHFJDJ-AWEZNQCLSA-N 0 3 247.386 2.993 20 0 BFADHN C[C@@H]1CCCN([C@@H](c2nccn2C)C2CC2)[C@@H]1C ZINC000637993914 351868779 /nfs/dbraw/zinc/86/87/79/351868779.db2.gz PAVWHYGRMKZYPN-YRGRVCCFSA-N 0 3 247.386 2.992 20 0 BFADHN CC(C)CC[C@H](N)C(=O)N1CCCCC[C@H]1C ZINC000637996330 351871445 /nfs/dbraw/zinc/87/14/45/351871445.db2.gz IBCNCHAONHUTFU-OLZOCXBDSA-N 0 3 240.391 2.541 20 0 BFADHN COc1ncc(CN2CCCC2(C)C)s1 ZINC000637997446 351875694 /nfs/dbraw/zinc/87/56/94/351875694.db2.gz SEUWOTYPALMSDN-UHFFFAOYSA-N 0 3 226.345 2.526 20 0 BFADHN c1ccc2c(c1)CN(CCC[C@H]1CCO1)C2 ZINC000638037591 351917734 /nfs/dbraw/zinc/91/77/34/351917734.db2.gz QNRWLYQRJBCRKM-AWEZNQCLSA-N 0 3 217.312 2.571 20 0 BFADHN CCN(Cc1c(OC)cccc1OC)CC1CC1 ZINC000638074454 351947175 /nfs/dbraw/zinc/94/71/75/351947175.db2.gz BCAHLVAAKGQDTF-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN COC1(CNCc2ccccn2)CCC(C)CC1 ZINC000279904436 187516668 /nfs/dbraw/zinc/51/66/68/187516668.db2.gz WEOIYYKQSUDQBH-UHFFFAOYSA-N 0 3 248.370 2.767 20 0 BFADHN CCC[C@H](CN(CC)Cc1ccccn1)OC ZINC000638067244 351939342 /nfs/dbraw/zinc/93/93/42/351939342.db2.gz QMCBGLXRUBSJEV-CQSZACIVSA-N 0 3 236.359 2.719 20 0 BFADHN Cc1ccc(CN2CC[C@@]3(CCOC3)C2)c(F)c1 ZINC000638145867 352008028 /nfs/dbraw/zinc/00/80/28/352008028.db2.gz MAJUQRZAPGWLTE-OAHLLOKOSA-N 0 3 249.329 2.747 20 0 BFADHN COc1ccc2c(c1)OCC[C@@H]2NC(C)C ZINC000083815022 351952401 /nfs/dbraw/zinc/95/24/01/351952401.db2.gz UESLLYFFBKBOGQ-LBPRGKRZSA-N 0 3 221.300 2.517 20 0 BFADHN c1n[nH]cc1[C@@H]1CCCCN1CCC[C@@H]1CCO1 ZINC000638081882 351955857 /nfs/dbraw/zinc/95/58/57/351955857.db2.gz AKNKQLOGXFAFDI-KGLIPLIRSA-N 0 3 249.358 2.506 20 0 BFADHN CC[C@H](C)C[C@@H](C)N[C@@H](C)c1nccn1C ZINC000083863648 351961425 /nfs/dbraw/zinc/96/14/25/351961425.db2.gz YIQYCIVBLKXTGP-TUAOUCFPSA-N 0 3 223.364 2.895 20 0 BFADHN Cc1cc(CN2C[C@@H]3CCC[C@H]3C2)sn1 ZINC000638086141 351963042 /nfs/dbraw/zinc/96/30/42/351963042.db2.gz CKEFPHPCUIMYEV-QWRGUYRKSA-N 0 3 222.357 2.683 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(CCOCC(F)(F)F)C1 ZINC000079710290 323673878 /nfs/dbraw/zinc/67/38/78/323673878.db2.gz XHYBCYMYHKGUHB-NXEZZACHSA-N 0 3 239.281 2.543 20 0 BFADHN c1cc2c(s1)CN(CCC[C@H]1CCO1)CC2 ZINC000638087865 351964612 /nfs/dbraw/zinc/96/46/12/351964612.db2.gz HAUYUCKFVAPBOO-LBPRGKRZSA-N 0 3 237.368 2.675 20 0 BFADHN C[C@H]1CN(Cc2ccnn2CC2CCC2)C[C@H]1C ZINC000638092603 351969388 /nfs/dbraw/zinc/96/93/88/351969388.db2.gz CCIADFKMVYSARR-BETUJISGSA-N 0 3 247.386 2.771 20 0 BFADHN CCN([C@@H](c1nccn1C)C1CC1)C1CCC1 ZINC000638100787 351980463 /nfs/dbraw/zinc/98/04/63/351980463.db2.gz MMTBSKQMZGGDNJ-CYBMUJFWSA-N 0 3 233.359 2.746 20 0 BFADHN CCN([C@H](c1nccn1C)C1CC1)C1CCC1 ZINC000638100788 351980667 /nfs/dbraw/zinc/98/06/67/351980667.db2.gz MMTBSKQMZGGDNJ-ZDUSSCGKSA-N 0 3 233.359 2.746 20 0 BFADHN CCN1CCCC[C@@H]1CNc1ccc(F)cn1 ZINC000283703259 351981615 /nfs/dbraw/zinc/98/16/15/351981615.db2.gz CUHGGNFJFKKCDD-GFCCVEGCSA-N 0 3 237.322 2.507 20 0 BFADHN COc1cccc(C)c1CN1CCCOC[C@H]1C ZINC000638102278 351983778 /nfs/dbraw/zinc/98/37/78/351983778.db2.gz SFGVNPLEKGFWOB-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN COc1cncc(CN2C[C@@H](C)C[C@H]2C)c1C ZINC000638110646 351987537 /nfs/dbraw/zinc/98/75/37/351987537.db2.gz NZOSAPBCFYCWPV-WDEREUQCSA-N 0 3 234.343 2.629 20 0 BFADHN COc1cncc(CN2C[C@@H](C)C[C@@H]2C)c1C ZINC000638110645 351987619 /nfs/dbraw/zinc/98/76/19/351987619.db2.gz NZOSAPBCFYCWPV-QWRGUYRKSA-N 0 3 234.343 2.629 20 0 BFADHN CO[C@@](C)(CN(C)Cc1cccc(C)n1)C1CC1 ZINC000638112912 351988278 /nfs/dbraw/zinc/98/82/78/351988278.db2.gz WXUWVYTXEARKCZ-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2c3c(nn2C)CCCC3)C1 ZINC000638111567 351989614 /nfs/dbraw/zinc/98/96/14/351989614.db2.gz VFXUCQPWYNPRHA-NEPJUHHUSA-N 0 3 247.386 2.529 20 0 BFADHN CC[C@@](C)(CN(C)Cc1cccc(C)n1)OC ZINC000638113206 351990036 /nfs/dbraw/zinc/99/00/36/351990036.db2.gz ZLGHVBUAITWZIB-AWEZNQCLSA-N 0 3 236.359 2.637 20 0 BFADHN CC(C)CN(C)Cc1cnc(C(C)(C)C)nc1 ZINC000284510947 351998654 /nfs/dbraw/zinc/99/86/54/351998654.db2.gz YLZPAXRJLICPHB-UHFFFAOYSA-N 0 3 235.375 2.862 20 0 BFADHN CC(C)CC[C@H](NC[C@@H](C)CO)c1ccoc1 ZINC000285506083 352046765 /nfs/dbraw/zinc/04/67/65/352046765.db2.gz AJPIWQWTBPQTFE-OCCSQVGLSA-N 0 3 239.359 2.975 20 0 BFADHN CN(CCC1CC1)Cc1ccnn1CC1CCC1 ZINC000638184564 352051788 /nfs/dbraw/zinc/05/17/88/352051788.db2.gz YQCGIZSIBDVIMT-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN COc1cncc(CN2CC[C@@H](C)[C@H]2C)c1C ZINC000638164124 352032063 /nfs/dbraw/zinc/03/20/63/352032063.db2.gz VYLYDNQKGOVMLA-ZYHUDNBSSA-N 0 3 234.343 2.629 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)[C@H]2C)sn1 ZINC000638164547 352032387 /nfs/dbraw/zinc/03/23/87/352032387.db2.gz ZOWCRQRUWHHXHP-PSASIEDQSA-N 0 3 210.346 2.682 20 0 BFADHN COc1ncc(CN2[C@H](C)CC[C@H]2C)s1 ZINC000638170099 352034244 /nfs/dbraw/zinc/03/42/44/352034244.db2.gz CXHRNEAXZSHAOM-RKDXNWHRSA-N 0 3 226.345 2.525 20 0 BFADHN CC[C@@H]1CCN(Cc2ccnn2CC2CCC2)C1 ZINC000638170549 352035559 /nfs/dbraw/zinc/03/55/59/352035559.db2.gz KPLNZRLXLONKQQ-CYBMUJFWSA-N 0 3 247.386 2.915 20 0 BFADHN COc1cncc(CN2CC[C@@H](C(C)C)C2)c1C ZINC000638168434 352036069 /nfs/dbraw/zinc/03/60/69/352036069.db2.gz FOEVCNUDRDVIHA-CYBMUJFWSA-N 0 3 248.370 2.877 20 0 BFADHN CC(C)[C@H]1CCN([C@@H](c2nccn2C)C2CC2)C1 ZINC000638168065 352036299 /nfs/dbraw/zinc/03/62/99/352036299.db2.gz BRKJXUBWVPTQOA-UONOGXRCSA-N 0 3 247.386 2.849 20 0 BFADHN C[C@@H]1CC[C@H](C)N1[C@@H](c1nccn1C)C1CC1 ZINC000638171425 352039027 /nfs/dbraw/zinc/03/90/27/352039027.db2.gz KNYPXGQKTUYPDN-NTZNESFSSA-N 0 3 233.359 2.744 20 0 BFADHN CC[C@@H]1CCN([C@@H](c2nccn2C)C2CC2)C1 ZINC000638172120 352040036 /nfs/dbraw/zinc/04/00/36/352040036.db2.gz UVLRLSRKXSOYFJ-DGCLKSJQSA-N 0 3 233.359 2.603 20 0 BFADHN CCN1CCN(C/C=C/c2ccccc2)[C@H](C)C1 ZINC000286675101 352099454 /nfs/dbraw/zinc/09/94/54/352099454.db2.gz VFXDLFYZARPZSE-JOZWUWCOSA-N 0 3 244.382 2.726 20 0 BFADHN CC(C)[C@@H]1CCN1[C@H](c1nccn1C)C1CC1 ZINC000638275209 352111560 /nfs/dbraw/zinc/11/15/60/352111560.db2.gz LAOPJMYVRCSUJC-STQMWFEESA-N 0 3 233.359 2.602 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cccc(F)c1N ZINC000638274004 352111738 /nfs/dbraw/zinc/11/17/38/352111738.db2.gz FUHAWZMRMJDVPX-LBPRGKRZSA-N 0 3 222.307 2.638 20 0 BFADHN Cc1ncc([C@@H](C)N2CC[C@H]2C(C)C)c(C)n1 ZINC000638274700 352112295 /nfs/dbraw/zinc/11/22/95/352112295.db2.gz IQUUEBLGERJGEC-RISCZKNCSA-N 0 3 233.359 2.885 20 0 BFADHN CC(C)[C@H]1CCN1Cc1ccc2nccnc2c1 ZINC000638276648 352113744 /nfs/dbraw/zinc/11/37/44/352113744.db2.gz UTBKCAGBZYFIJF-OAHLLOKOSA-N 0 3 241.338 2.860 20 0 BFADHN c1cc(CNCC2(c3ccccc3)CC2)sn1 ZINC000638342347 352159434 /nfs/dbraw/zinc/15/94/34/352159434.db2.gz MYYLFWHAQTYLNJ-UHFFFAOYSA-N 0 3 244.363 2.965 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1nc2ccccc2o1 ZINC000638362263 352174451 /nfs/dbraw/zinc/17/44/51/352174451.db2.gz DAUVUNFURIPJAO-NXEZZACHSA-N 0 3 216.284 2.573 20 0 BFADHN C[C@H](Cn1cccn1)N[C@@H](C)c1ccccc1F ZINC000037155474 358469000 /nfs/dbraw/zinc/46/90/00/358469000.db2.gz RLNVGLZDOMUVQI-NEPJUHHUSA-N 0 3 247.317 2.762 20 0 BFADHN Cn1nc(CNC(C)(C)C2CC2)c2ccccc21 ZINC000230674758 352222071 /nfs/dbraw/zinc/22/20/71/352222071.db2.gz KWBDOODSKZIRFB-UHFFFAOYSA-N 0 3 243.354 2.852 20 0 BFADHN Cc1nc([C@H](C)N(C)CC2CCCCC2)n[nH]1 ZINC000411132849 191161020 /nfs/dbraw/zinc/16/10/20/191161020.db2.gz ZAPACIQLCMSSTF-JTQLQIEISA-N 0 3 236.363 2.686 20 0 BFADHN CC(C)N(CCC1(O)CCC1)Cc1cccnc1 ZINC000411116380 191161153 /nfs/dbraw/zinc/16/11/53/191161153.db2.gz UFRKJXCRVUUBBQ-UHFFFAOYSA-N 0 3 248.370 2.597 20 0 BFADHN COc1cccc(C)c1CNC[C@@H]1CCCCO1 ZINC000638425293 352233195 /nfs/dbraw/zinc/23/31/95/352233195.db2.gz DZRAVOYQPIDGFJ-ZDUSSCGKSA-N 0 3 249.354 2.662 20 0 BFADHN CN(CCc1cncs1)Cc1ccccc1 ZINC000411150500 191164071 /nfs/dbraw/zinc/16/40/71/191164071.db2.gz WUOZZUGDXDIZAZ-UHFFFAOYSA-N 0 3 232.352 2.818 20 0 BFADHN COCCCCCNCc1nc2ccccc2o1 ZINC000638452452 352262741 /nfs/dbraw/zinc/26/27/41/352262741.db2.gz USTZMUYRQQQSSW-UHFFFAOYSA-N 0 3 248.326 2.734 20 0 BFADHN CC(=O)Nc1cccc(CN[C@]23C[C@H]2CCC3)c1 ZINC000630072262 363155066 /nfs/dbraw/zinc/15/50/66/363155066.db2.gz BTOVFTGYGOXDGV-UKRRQHHQSA-N 0 3 244.338 2.677 20 0 BFADHN CC[C@@](C)(NCc1cc(C)no1)C1CC1 ZINC000630074137 363157694 /nfs/dbraw/zinc/15/76/94/363157694.db2.gz MJCFODYGPHEPHU-GFCCVEGCSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@H](CO)N[C@@H](CC)c1ccccc1OC ZINC000037238358 358470396 /nfs/dbraw/zinc/47/03/96/358470396.db2.gz ARRFVYPSHNRGEJ-YPMHNXCESA-N 0 3 237.343 2.507 20 0 BFADHN CC[C@H](NC[C@@H]1CCCO1)c1ccccc1OC ZINC000037215131 358470571 /nfs/dbraw/zinc/47/05/71/358470571.db2.gz HSZAAVBXBDSPSB-JSGCOSHPSA-N 0 3 249.354 2.915 20 0 BFADHN CCN(Cc1cccc(Cl)c1)[C@H](C)CO ZINC000292038537 352299471 /nfs/dbraw/zinc/29/94/71/352299471.db2.gz AHHYGTIHNPBFQJ-SNVBAGLBSA-N 0 3 227.735 2.543 20 0 BFADHN Cn1ccc(CN[C@@H]2CCCc3occc32)c1 ZINC000091007385 180704014 /nfs/dbraw/zinc/70/40/14/180704014.db2.gz BEELQLVEANLINU-CYBMUJFWSA-N 0 3 230.311 2.785 20 0 BFADHN CC1(C)CN(CC2CC2)[C@H]1c1ccncc1 ZINC000293515929 352353636 /nfs/dbraw/zinc/35/36/36/352353636.db2.gz ANFZLLGWUIROID-ZDUSSCGKSA-N 0 3 216.328 2.875 20 0 BFADHN C[C@@H]1CN([C@@H]2C[C@H]2c2cccc(F)c2)CCCO1 ZINC000411220231 191172886 /nfs/dbraw/zinc/17/28/86/191172886.db2.gz RTTXOKVXSCXNOM-BYCMXARLSA-N 0 3 249.329 2.792 20 0 BFADHN C[C@@H]1CCCN(C/C=C\c2ccccc2)[C@@H]1CO ZINC000411399208 191181863 /nfs/dbraw/zinc/18/18/63/191181863.db2.gz QPMFSENKFNHVGT-WNTKCETOSA-N 0 3 245.366 2.793 20 0 BFADHN CC(C)C(C)(C)N[C@@H](c1nccn1C)C1CC1 ZINC000638525549 352359513 /nfs/dbraw/zinc/35/95/13/352359513.db2.gz RTDLEZHQGFUUKW-GFCCVEGCSA-N 0 3 235.375 2.895 20 0 BFADHN OCCCCNC1(c2ccc(Cl)cc2)CC1 ZINC000411461739 191187693 /nfs/dbraw/zinc/18/76/93/191187693.db2.gz PAGQBYYNJYAAHJ-UHFFFAOYSA-N 0 3 239.746 2.691 20 0 BFADHN Fc1ccc(F)c(CNCCC[C@@H]2CCO2)c1 ZINC000638542415 352372950 /nfs/dbraw/zinc/37/29/50/352372950.db2.gz WBNOWASQCRJAHU-GFCCVEGCSA-N 0 3 241.281 2.624 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@]3(CC[C@H](C)C3)C2)n[nH]1 ZINC000411512861 191190845 /nfs/dbraw/zinc/19/08/45/191190845.db2.gz SRDXZLFKDXLMNL-MJVIPROJSA-N 0 3 248.374 2.686 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1nccnc1OC ZINC000294871407 352386246 /nfs/dbraw/zinc/38/62/46/352386246.db2.gz WRWAJEFPKZQTPG-NEPJUHHUSA-N 0 3 249.358 2.544 20 0 BFADHN Cc1nnc(CN[C@@H]2CC[C@H](C)C[C@H]2C)s1 ZINC000252812335 538103114 /nfs/dbraw/zinc/10/31/14/538103114.db2.gz PWSCRAKJUTYLIM-IQJOONFLSA-N 0 3 239.388 2.761 20 0 BFADHN C[C@@H]1COCCN([C@@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411400195 191182659 /nfs/dbraw/zinc/18/26/59/191182659.db2.gz IXLPGDVUYOQCCI-TUKIKUTGSA-N 0 3 249.329 2.650 20 0 BFADHN CCN(Cc1ccc(C(C)=O)cc1)C[C@@H](C)OC ZINC000295202386 352401393 /nfs/dbraw/zinc/40/13/93/352401393.db2.gz NMTQBIIHYLFZBN-GFCCVEGCSA-N 0 3 249.354 2.746 20 0 BFADHN CC[C@@H](NCc1sccc1C)[C@@H](O)C(F)F ZINC000295143095 352398952 /nfs/dbraw/zinc/39/89/52/352398952.db2.gz ZXENLSRVCFSTQY-PSASIEDQSA-N 0 3 249.326 2.551 20 0 BFADHN CC(C)N(CC(=O)N1CCCC[C@H]1C)C(C)C ZINC000411430816 191184694 /nfs/dbraw/zinc/18/46/94/191184694.db2.gz OWGDIVPQPJPJEU-CYBMUJFWSA-N 0 3 240.391 2.506 20 0 BFADHN CC[C@@H](C)[C@@H](CN[C@@H](C)c1cccnc1)OC ZINC000638590422 352420740 /nfs/dbraw/zinc/42/07/40/352420740.db2.gz WKRLVUXDQAXWMR-MBNYWOFBSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@H](O)C1)c1ccc(Cl)s1 ZINC000295837309 352425426 /nfs/dbraw/zinc/42/54/26/352425426.db2.gz DCRMLLSXSLAWDI-HRDYMLBCSA-N 0 3 245.775 2.966 20 0 BFADHN CCc1ccc(CN[C@@H]2CCCC[C@@H]2OC)nc1 ZINC000411553925 191194673 /nfs/dbraw/zinc/19/46/73/191194673.db2.gz DUJPAHHRVUJXDF-CABCVRRESA-N 0 3 248.370 2.691 20 0 BFADHN O[C@@H]1CCCN([C@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000411766121 191208581 /nfs/dbraw/zinc/20/85/81/191208581.db2.gz BEKKOXVFQULPPU-ILXRZTDVSA-N 0 3 249.329 2.528 20 0 BFADHN Cc1ccoc1CN(C)CCc1cncs1 ZINC000411756319 191210843 /nfs/dbraw/zinc/21/08/43/191210843.db2.gz IQUZNAQOSVATDN-UHFFFAOYSA-N 0 3 236.340 2.719 20 0 BFADHN Cn1ccnc1[C@@H](NC(C)(C)C1CC1)C1CC1 ZINC000638627742 352469423 /nfs/dbraw/zinc/46/94/23/352469423.db2.gz MBKYHSAAZRICLQ-LBPRGKRZSA-N 0 3 233.359 2.649 20 0 BFADHN c1cc(CN[C@H]2C[C@@H]2Cc2ccccc2)sn1 ZINC000638634239 352477045 /nfs/dbraw/zinc/47/70/45/352477045.db2.gz KDPCZIGUKCTTEQ-JSGCOSHPSA-N 0 3 244.363 2.864 20 0 BFADHN c1ccc2c(c1)SC[C@@H]2NCCC[C@@H]1CCO1 ZINC000638655535 352496797 /nfs/dbraw/zinc/49/67/97/352496797.db2.gz IQBGKUPJPRLDTJ-YPMHNXCESA-N 0 3 249.379 2.992 20 0 BFADHN CC(C)[C@@H](C)CNCc1cc(C2CC2)no1 ZINC000638658227 352499032 /nfs/dbraw/zinc/49/90/32/352499032.db2.gz UXWRTLIYCNBORT-JTQLQIEISA-N 0 3 222.332 2.934 20 0 BFADHN CC1(C)C[C@@H]1NCc1c(Cl)nc2ccccn21 ZINC000299149354 352521267 /nfs/dbraw/zinc/52/12/67/352521267.db2.gz DQSYJHWRNZCDHL-JTQLQIEISA-N 0 3 249.745 2.876 20 0 BFADHN CCC[C@H](C)N1CCO[C@H](C2CCC2)C1 ZINC000411844471 191215656 /nfs/dbraw/zinc/21/56/56/191215656.db2.gz JOPPAEQBXNGFLQ-AAEUAGOBSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)CN(C)C[C@H](O)c1c(F)cccc1F ZINC000299347240 352528692 /nfs/dbraw/zinc/52/86/92/352528692.db2.gz PWXUMDDJNPOUMY-LBPRGKRZSA-N 0 3 243.297 2.586 20 0 BFADHN c1c(CN[C@H]2CCCSC2)onc1C1CC1 ZINC000638733231 352613506 /nfs/dbraw/zinc/61/35/06/352613506.db2.gz AUALHTSXQXEAHU-JTQLQIEISA-N 0 3 238.356 2.537 20 0 BFADHN CC1(C)C[C@H]1NCc1cnc2ccccc2n1 ZINC000045117337 352564862 /nfs/dbraw/zinc/56/48/62/352564862.db2.gz QCWGVWRQLFJGRJ-CYBMUJFWSA-N 0 3 227.311 2.518 20 0 BFADHN CC1(CNCc2cc(C3CC3)no2)CCC1 ZINC000638721092 352572192 /nfs/dbraw/zinc/57/21/92/352572192.db2.gz NEOSCEYQORBPRU-UHFFFAOYSA-N 0 3 220.316 2.832 20 0 BFADHN C1=C[C@H](N2CCO[C@H](C3CCC3)C2)CCC1 ZINC000411872248 191225481 /nfs/dbraw/zinc/22/54/81/191225481.db2.gz JJABOLAIHYDBJH-KBPBESRZSA-N 0 3 221.344 2.596 20 0 BFADHN CC[C@H](C)CN1CCO[C@H](C2CCC2)C1 ZINC000411878196 191226154 /nfs/dbraw/zinc/22/61/54/191226154.db2.gz SFEJTAKWMAOHOF-AAEUAGOBSA-N 0 3 211.349 2.533 20 0 BFADHN CC[C@H](F)CN1C[C@@H](O)C[C@@H]1c1ccccc1 ZINC000411918165 191227580 /nfs/dbraw/zinc/22/75/80/191227580.db2.gz CURGCCDIUYROJB-MELADBBJSA-N 0 3 237.318 2.542 20 0 BFADHN CC1(C)C[C@@H]1NCc1cccc2c1OCCCO2 ZINC000044370364 187779117 /nfs/dbraw/zinc/77/91/17/187779117.db2.gz KCAOPKLKJCEQIM-ZDUSSCGKSA-N 0 3 247.338 2.736 20 0 BFADHN CC(C)C[C@@H](C)N1CCO[C@@H](C2CCC2)C1 ZINC000411858598 191222932 /nfs/dbraw/zinc/22/29/32/191222932.db2.gz YACLBRKCMRCTIG-TZMCWYRMSA-N 0 3 225.376 2.922 20 0 BFADHN COC1([C@@H](C)NCc2cccc(F)c2)CCC1 ZINC000412018941 191236950 /nfs/dbraw/zinc/23/69/50/191236950.db2.gz GSUNGTDMLQDLAA-LLVKDONJSA-N 0 3 237.318 2.873 20 0 BFADHN CCN(CC[C@H]1CCOC1)Cc1occc1C ZINC000412029885 191240981 /nfs/dbraw/zinc/24/09/81/191240981.db2.gz VOMSUSIQOBYETK-ZDUSSCGKSA-N 0 3 237.343 2.837 20 0 BFADHN CCN(Cc1occc1C)C[C@H]1CCCCO1 ZINC000412048711 191243870 /nfs/dbraw/zinc/24/38/70/191243870.db2.gz XHIDJGSQTIKZEV-CYBMUJFWSA-N 0 3 237.343 2.979 20 0 BFADHN c1c(CNCC2(C3CC3)CC2)onc1C1CC1 ZINC000638777822 352725222 /nfs/dbraw/zinc/72/52/22/352725222.db2.gz HVCOOGGVKALYEL-UHFFFAOYSA-N 0 3 232.327 2.832 20 0 BFADHN CC(C)=CCN1CCC[C@H](c2ccnn2C)C1 ZINC000334182222 352770540 /nfs/dbraw/zinc/77/05/40/352770540.db2.gz DEQIKJJPFQUCGI-ZDUSSCGKSA-N 0 3 233.359 2.566 20 0 BFADHN CC(C)(NCCOCC(F)F)c1ccccc1 ZINC000276325803 187850397 /nfs/dbraw/zinc/85/03/97/187850397.db2.gz GBUBLRRBUPMAMK-UHFFFAOYSA-N 0 3 243.297 2.793 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1C[C@H](O)C[C@H](C)C1 ZINC000412043982 191249836 /nfs/dbraw/zinc/24/98/36/191249836.db2.gz OJFYPHNXUPLYAR-MISXGVKJSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1c(CN2CC[C@]3(CC[C@@H](C)C3)C2)cnn1C ZINC000334189615 352779497 /nfs/dbraw/zinc/77/94/97/352779497.db2.gz IYOPYLFGJSQYKN-DOMZBBRYSA-N 0 3 247.386 2.741 20 0 BFADHN CC(C)(CNCc1ccco1)c1ccccn1 ZINC000294688400 187861538 /nfs/dbraw/zinc/86/15/38/187861538.db2.gz BQKNEYYLNFCACQ-UHFFFAOYSA-N 0 3 230.311 2.742 20 0 BFADHN COc1cc(CN2CCC[C@H]3CCC[C@H]32)ccn1 ZINC000342858499 352877953 /nfs/dbraw/zinc/87/79/53/352877953.db2.gz PLLRFIAPFMAAGW-ZIAGYGMSSA-N 0 3 246.354 2.855 20 0 BFADHN C1=C[C@H](N2CCC3(CCOCC3)CC2)CCC1 ZINC000334255943 352866446 /nfs/dbraw/zinc/86/64/46/352866446.db2.gz LXKOATQOYICQSE-AWEZNQCLSA-N 0 3 235.371 2.988 20 0 BFADHN c1c[nH]c([C@H]2CCCN2CC2CCCC2)n1 ZINC000334249850 352842557 /nfs/dbraw/zinc/84/25/57/352842557.db2.gz XLKLOULKPMFBMJ-GFCCVEGCSA-N 0 3 219.332 2.737 20 0 BFADHN Cc1cccn2c(CN3CC[C@H]3C(C)C)cnc12 ZINC000342858403 352877170 /nfs/dbraw/zinc/87/71/70/352877170.db2.gz YVWRRSXQFFIUIT-AWEZNQCLSA-N 0 3 243.354 2.873 20 0 BFADHN Fc1ccccc1[C@H]1CCN(C[C@@H]2CCCO2)C1 ZINC000334272221 352909272 /nfs/dbraw/zinc/90/92/72/352909272.db2.gz IHUVUKZAYVZJAM-STQMWFEESA-N 0 3 249.329 2.794 20 0 BFADHN COc1ccc(CN2CC[C@H]2C(C)C)c(OC)c1 ZINC000342859460 352878740 /nfs/dbraw/zinc/87/87/40/352878740.db2.gz GAVUMWNWWIWDJT-AWEZNQCLSA-N 0 3 249.354 2.934 20 0 BFADHN COc1ccc(CN2CC[C@@H]2C(C)C)cc1 ZINC000342859551 352878764 /nfs/dbraw/zinc/87/87/64/352878764.db2.gz GPXCOEMYOVGZJS-CQSZACIVSA-N 0 3 219.328 2.926 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cn(C)nc1C(C)(C)C ZINC000342863190 352880389 /nfs/dbraw/zinc/88/03/89/352880389.db2.gz WBNOSTOGFUNXOU-ZDUSSCGKSA-N 0 3 249.402 2.948 20 0 BFADHN CC[C@@H](NC[C@H]1CC1(C)C)c1nccn1C ZINC000336747958 352933015 /nfs/dbraw/zinc/93/30/15/352933015.db2.gz XPFJEHBVIPSRFL-GHMZBOCLSA-N 0 3 221.348 2.507 20 0 BFADHN COc1cc(CN2C[C@@H](C)CC[C@H]2C)ccn1 ZINC000342863926 352881453 /nfs/dbraw/zinc/88/14/53/352881453.db2.gz KOKBENSRVLALKQ-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN CCC[C@H](CN[C@H](c1cccnc1)C1CC1)OC ZINC000638813093 352993296 /nfs/dbraw/zinc/99/32/96/352993296.db2.gz CFCKPIZRKZGYHJ-CABCVRRESA-N 0 3 248.370 2.937 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000334315381 352946972 /nfs/dbraw/zinc/94/69/72/352946972.db2.gz QROYVUQOIZCNCM-IUODEOHRSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cnc3ccccn23)C1 ZINC000334318571 352948688 /nfs/dbraw/zinc/94/86/88/352948688.db2.gz SIXCFYNKPWPCAS-VXGBXAGGSA-N 0 3 229.327 2.565 20 0 BFADHN Clc1ccc(CNC[C@@H]2C[C@H]3C[C@H]3C2)nc1 ZINC000638836243 353021752 /nfs/dbraw/zinc/02/17/52/353021752.db2.gz DLPIUVHTZDBFSF-JGPRNRPPSA-N 0 3 236.746 2.871 20 0 BFADHN Cn1ncc2c1CCC[C@H]2N[C@@H]1CC12CCCC2 ZINC000335890107 180826255 /nfs/dbraw/zinc/82/62/55/180826255.db2.gz KTLNBZOBBRGJMB-TZMCWYRMSA-N 0 3 245.370 2.720 20 0 BFADHN C[C@@H]1CCN(CC2CCSCC2)C[C@@H]1F ZINC000638909879 353066860 /nfs/dbraw/zinc/06/68/60/353066860.db2.gz KBOCUACSGTVVHM-PWSUYJOCSA-N 0 3 231.380 2.810 20 0 BFADHN CCC1(c2noc([C@H]3CCN(C)C3)n2)CCCC1 ZINC000334396903 353070223 /nfs/dbraw/zinc/07/02/23/353070223.db2.gz CCQHFAIXYAURBR-NSHDSACASA-N 0 3 249.358 2.711 20 0 BFADHN CN(Cc1ccc(F)c(Cl)c1)C(C)(C)CO ZINC000092507916 538107172 /nfs/dbraw/zinc/10/71/72/538107172.db2.gz OAQUGOWNUAVDKM-UHFFFAOYSA-N 0 3 245.725 2.682 20 0 BFADHN CCc1nc(C)c(CN[C@@H](C)C2CCC2)o1 ZINC000336751195 353051258 /nfs/dbraw/zinc/05/12/58/353051258.db2.gz CBGPHMOIKUMKNC-VIFPVBQESA-N 0 3 222.332 2.824 20 0 BFADHN Cc1nccc(CN2CC[C@H](C(C)(C)C)C2)n1 ZINC000334471777 353115541 /nfs/dbraw/zinc/11/55/41/353115541.db2.gz HEYQAEIYHJHRNJ-LBPRGKRZSA-N 0 3 233.359 2.653 20 0 BFADHN CCc1ccc(CNc2nccn2C)s1 ZINC000225457923 363204662 /nfs/dbraw/zinc/20/46/62/363204662.db2.gz ADMYUVOSIWTUAV-UHFFFAOYSA-N 0 3 221.329 2.656 20 0 BFADHN C(C1CCCCCC1)N1C[C@H]2CC[C@@H](C1)O2 ZINC000353673428 188062001 /nfs/dbraw/zinc/06/20/01/188062001.db2.gz PUSNVCAFZJGOPA-OKILXGFUSA-N 0 3 223.360 2.820 20 0 BFADHN CC(C)C[C@@H]1CCCCN1Cc1ccn(C)n1 ZINC000353746396 188062396 /nfs/dbraw/zinc/06/23/96/188062396.db2.gz KKQIYJRENKSKCV-AWEZNQCLSA-N 0 3 235.375 2.821 20 0 BFADHN CC(C)=CCN(CCO)[C@H]1CCc2ccccc21 ZINC000114466675 188065623 /nfs/dbraw/zinc/06/56/23/188065623.db2.gz JLXISXHTGNVHGJ-INIZCTEOSA-N 0 3 245.366 2.934 20 0 BFADHN CC(C)(O)CCN[C@@H]1CCCOc2ccccc21 ZINC000360031237 188069205 /nfs/dbraw/zinc/06/92/05/188069205.db2.gz ZYDOLEDSWVCREW-CYBMUJFWSA-N 0 3 249.354 2.651 20 0 BFADHN Cc1nn(C(C)C)cc1CNCCc1ccco1 ZINC000360972464 188070383 /nfs/dbraw/zinc/07/03/83/188070383.db2.gz AHPARIUELHUPTK-UHFFFAOYSA-N 0 3 247.342 2.698 20 0 BFADHN C[C@H]1CN(C)CCN1CCCC1CCCC1 ZINC000361620203 188072058 /nfs/dbraw/zinc/07/20/58/188072058.db2.gz PJCVWHQWIXWXKW-ZDUSSCGKSA-N 0 3 224.392 2.593 20 0 BFADHN CC(C)COCCN1C[C@H]2CCCC[C@H]21 ZINC000368011783 188073245 /nfs/dbraw/zinc/07/32/45/188073245.db2.gz JMXYTKVTMKINLE-CHWSQXEVSA-N 0 3 211.349 2.533 20 0 BFADHN COc1ccc(CN2CCC3(CCC3)CC2)nc1 ZINC000370737013 188074599 /nfs/dbraw/zinc/07/45/99/188074599.db2.gz FZYWZRZYXSCGRI-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H](C)c1ccc(C)o1 ZINC000186514673 188081346 /nfs/dbraw/zinc/08/13/46/188081346.db2.gz KLRRIUJTLSIVGM-RUULKOMLSA-N 0 3 223.316 2.566 20 0 BFADHN Cn1cc(CN[C@H]2CCC2(C)C)c(C(C)(C)C)n1 ZINC000336791270 188083808 /nfs/dbraw/zinc/08/38/08/188083808.db2.gz MYLIVHWDYFUWPN-LBPRGKRZSA-N 0 3 249.402 2.996 20 0 BFADHN CC(C)[C@H](C)CN[C@@H]1C[C@H](C)n2ccnc21 ZINC000345346205 188085335 /nfs/dbraw/zinc/08/53/35/188085335.db2.gz CTPNILGOFLPFQN-GRYCIOLGSA-N 0 3 221.348 2.771 20 0 BFADHN Cc1nc([C@@H](C)N[C@@H](C)CCCCO)cs1 ZINC000272424028 188090618 /nfs/dbraw/zinc/09/06/18/188090618.db2.gz MEIUHWBNAOGUJN-VHSXEESVSA-N 0 3 242.388 2.653 20 0 BFADHN COc1cccc(C)c1CNCC1(OC)CCC1 ZINC000638960591 353171991 /nfs/dbraw/zinc/17/19/91/353171991.db2.gz XSDWQUIYUZITQV-UHFFFAOYSA-N 0 3 249.354 2.662 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCCC(C)(C)O)o1 ZINC000274718944 188092624 /nfs/dbraw/zinc/09/26/24/188092624.db2.gz DEAKFUFHRDWOKR-CMPLNLGQSA-N 0 3 237.343 2.654 20 0 BFADHN CC(C)=CCNCc1cnc(C(C)(C)C)nc1 ZINC000284366706 188095786 /nfs/dbraw/zinc/09/57/86/188095786.db2.gz NLIWAYUQQSGPRP-UHFFFAOYSA-N 0 3 233.359 2.830 20 0 BFADHN C[C@H](CCC(F)(F)F)NCc1cscn1 ZINC000308822237 188104898 /nfs/dbraw/zinc/10/48/98/188104898.db2.gz CMHDBZBYTLXATQ-SSDOTTSWSA-N 0 3 238.278 2.964 20 0 BFADHN CC(C)N(C)CCN[C@@H](C)c1ccccc1F ZINC000054110888 188086766 /nfs/dbraw/zinc/08/67/66/188086766.db2.gz IHQYXXUSUTUPNK-LBPRGKRZSA-N 0 3 238.350 2.817 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1ccsc1C ZINC000638978802 353186074 /nfs/dbraw/zinc/18/60/74/353186074.db2.gz PJXIUPBHNVLBHU-LLVKDONJSA-N 0 3 226.345 2.513 20 0 BFADHN CC(C)(O)CNCc1cc(Cl)ccc1Cl ZINC000083698522 188122505 /nfs/dbraw/zinc/12/25/05/188122505.db2.gz KDUTWUGRMZJNKO-UHFFFAOYSA-N 0 3 248.153 2.854 20 0 BFADHN CCOCCC1(CN[C@H](C)c2ccccn2)CC1 ZINC000090722752 188144403 /nfs/dbraw/zinc/14/44/03/188144403.db2.gz SFKJKHOEZLMMAS-CYBMUJFWSA-N 0 3 248.370 2.939 20 0 BFADHN Cc1cccc([C@@H](C)NCCn2cccn2)c1C ZINC000089514773 188144477 /nfs/dbraw/zinc/14/44/77/188144477.db2.gz SBRUQTSTXCCSJF-CQSZACIVSA-N 0 3 243.354 2.851 20 0 BFADHN OCC[C@H]1CCCCN1Cc1ccc(F)cc1 ZINC000049801093 188125639 /nfs/dbraw/zinc/12/56/39/188125639.db2.gz PIALQCODKUZOGT-CQSZACIVSA-N 0 3 237.318 2.563 20 0 BFADHN CC(=O)Nc1cccc([C@H](C)N[C@H]2C[C@H]2C)c1 ZINC000052272683 188126486 /nfs/dbraw/zinc/12/64/86/188126486.db2.gz XFNQCDABKJQSLV-BFVZDQMLSA-N 0 3 232.327 2.704 20 0 BFADHN c1csc(CNC[C@@H]2CC=CCC2)n1 ZINC000040444006 188129095 /nfs/dbraw/zinc/12/90/95/188129095.db2.gz UDORJBYVERQVDY-SNVBAGLBSA-N 0 3 208.330 2.589 20 0 BFADHN Cc1cc(C)c(CNCc2cncn2C)cc1C ZINC000289867544 538110577 /nfs/dbraw/zinc/11/05/77/538110577.db2.gz OVSQUFXUWJLXJU-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN COc1ccc(CN(C)[C@H](C)C2CC2)c(OC)c1 ZINC000158017251 188158248 /nfs/dbraw/zinc/15/82/48/188158248.db2.gz JNZBYTWPMBWCGO-LLVKDONJSA-N 0 3 249.354 2.934 20 0 BFADHN CC[C@H](NC[C@@H](O)C(C)C)c1ccccc1F ZINC000166069678 188161190 /nfs/dbraw/zinc/16/11/90/188161190.db2.gz XOMOQWVLUUQAKQ-UONOGXRCSA-N 0 3 239.334 2.883 20 0 BFADHN CC(C)=CCCNCc1ccc(C)cn1 ZINC000308825961 188165571 /nfs/dbraw/zinc/16/55/71/188165571.db2.gz AGFFDOOLAVVZMO-UHFFFAOYSA-N 0 3 204.317 2.836 20 0 BFADHN CC(C)N(CC(=O)OC(C)(C)C)C1CCCC1 ZINC000108365195 188168669 /nfs/dbraw/zinc/16/86/69/188168669.db2.gz JCONCILNTYMMGT-UHFFFAOYSA-N 0 3 241.375 2.981 20 0 BFADHN CC(C)C[C@@H]1OCCC[C@H]1NCc1ccccn1 ZINC000185195084 188170507 /nfs/dbraw/zinc/17/05/07/188170507.db2.gz FXEJMDBRBROIQF-CABCVRRESA-N 0 3 248.370 2.765 20 0 BFADHN CC(C)C[C@H](CO)N(C)Cc1ccc(F)cc1 ZINC000185314724 188170607 /nfs/dbraw/zinc/17/06/07/188170607.db2.gz QGNLQYHXRHANST-CQSZACIVSA-N 0 3 239.334 2.665 20 0 BFADHN CC(C)=CCN[C@H](CO)c1sccc1C ZINC000336755948 188171304 /nfs/dbraw/zinc/17/13/04/188171304.db2.gz JCHDYFISHRUMSZ-LLVKDONJSA-N 0 3 225.357 2.646 20 0 BFADHN CC(C)N(C)C[C@@H]1OCCc2ccccc21 ZINC000263772676 188193442 /nfs/dbraw/zinc/19/34/42/188193442.db2.gz WVIKCOVEFPYYAH-AWEZNQCLSA-N 0 3 219.328 2.641 20 0 BFADHN CC(C)c1ccccc1NC(=O)/C=C/CN(C)C ZINC000264636202 188193968 /nfs/dbraw/zinc/19/39/68/188193968.db2.gz PGIKMGPCFKTDIP-JXMROGBWSA-N 0 3 246.354 2.866 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C[C@H]2C)nc1 ZINC000271994438 188199203 /nfs/dbraw/zinc/19/92/03/188199203.db2.gz QMJJVXGOKOAGJY-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN CC1(C)CC[C@H](NCc2nccn2C(F)F)C1 ZINC000162718435 188181208 /nfs/dbraw/zinc/18/12/08/188181208.db2.gz GDOVIJGIZIMDOQ-VIFPVBQESA-N 0 3 243.301 2.947 20 0 BFADHN CC(C)C[C@H](C)CN1CC[S@@](=O)CC[C@@H]1C ZINC000337200807 188185285 /nfs/dbraw/zinc/18/52/85/188185285.db2.gz FHYOXWWUBBBXTA-XEZPLFJOSA-N 0 3 245.432 2.512 20 0 BFADHN CC(C)C[C@H](C)CN1CCOCC1(C)C ZINC000337146794 188185487 /nfs/dbraw/zinc/18/54/87/188185487.db2.gz YOTUMNOFKNHTNH-LBPRGKRZSA-N 0 3 213.365 2.779 20 0 BFADHN C(C1CCCCCC1)N1CCOCC12CC2 ZINC000338597531 188189370 /nfs/dbraw/zinc/18/93/70/188189370.db2.gz LYAXAAOSEYHQSD-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CC(C)(NCc1ccnc(N)c1)c1ccccc1 ZINC000298038389 188215096 /nfs/dbraw/zinc/21/50/96/188215096.db2.gz GMFDGIATVYNPEX-UHFFFAOYSA-N 0 3 241.338 2.689 20 0 BFADHN C[C@@H](NC[C@H]1CCCCN1C1CC1)c1ccco1 ZINC000278586641 188204080 /nfs/dbraw/zinc/20/40/80/188204080.db2.gz YMJXXFCDUOJQDA-TZMCWYRMSA-N 0 3 248.370 2.947 20 0 BFADHN CC[C@@H]1CN(Cc2ccccc2OC)CCCO1 ZINC000281197406 188205755 /nfs/dbraw/zinc/20/57/55/188205755.db2.gz IWFYOBSDHHKFOG-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@@H]1CN(Cc2cccc(F)c2)CCCO1 ZINC000281304392 188206045 /nfs/dbraw/zinc/20/60/45/188206045.db2.gz PYGZRAQQMGEBJM-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN CCCCO[C@H]1C[C@@H](NCCF)C1(C)C ZINC000336765740 353262127 /nfs/dbraw/zinc/26/21/27/353262127.db2.gz RDGLYEYJDQFRNB-MNOVXSKESA-N 0 3 217.328 2.529 20 0 BFADHN Cc1ccc2c(c1)CN(CCCCF)CCO2 ZINC000285619191 188208418 /nfs/dbraw/zinc/20/84/18/188208418.db2.gz QOMWIGRAZOOBJH-UHFFFAOYSA-N 0 3 237.318 2.939 20 0 BFADHN CC1(C)CN(CCCCO)[C@@H]1c1cccs1 ZINC000287098896 188209457 /nfs/dbraw/zinc/20/94/57/188209457.db2.gz XQPKAANADFUDQY-GFCCVEGCSA-N 0 3 239.384 2.904 20 0 BFADHN CC(C)=CCN1CCC(F)(F)[C@H](C)C1 ZINC000336373643 188229564 /nfs/dbraw/zinc/22/95/64/188229564.db2.gz VBXQZLVFGCPQCR-SNVBAGLBSA-N 0 3 203.276 2.930 20 0 BFADHN CC1(C)OCC[C@@H]1NCc1ccccc1Cl ZINC000293598596 188224545 /nfs/dbraw/zinc/22/45/45/188224545.db2.gz FWEHKEDCDSDIPE-LBPRGKRZSA-N 0 3 239.746 2.997 20 0 BFADHN CC(C)(C)[C@@H]1CN(CC2CC(F)(F)C2)CCO1 ZINC000336274801 188228512 /nfs/dbraw/zinc/22/85/12/188228512.db2.gz NHAYFWUSVBTYLS-NSHDSACASA-N 0 3 247.329 2.779 20 0 BFADHN CN(Cc1ccns1)C[C@@H]1CC=CCC1 ZINC000639038066 353310536 /nfs/dbraw/zinc/31/05/36/353310536.db2.gz KTLZCSOSHMEAAP-LLVKDONJSA-N 0 3 222.357 2.931 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN(C)CC2(O)CCC2)o1 ZINC000343273406 353301380 /nfs/dbraw/zinc/30/13/80/353301380.db2.gz IOYQGPFNHKZHEU-AAEUAGOBSA-N 0 3 249.354 2.750 20 0 BFADHN Cc1nc(CCN2CC[C@H](C)[C@@H](C)C2)cs1 ZINC000348127715 366087615 /nfs/dbraw/zinc/08/76/15/366087615.db2.gz NDLJTXCKPOWHSE-QWRGUYRKSA-N 0 3 238.400 2.972 20 0 BFADHN Cc1[nH]nc2ncc(CN3[C@@H](C)CC[C@@H]3C)cc12 ZINC000334501209 353334544 /nfs/dbraw/zinc/33/45/44/353334544.db2.gz VBGNWVPSOZWMIR-UWVGGRQHSA-N 0 3 244.342 2.639 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1ccns1 ZINC000639046352 353336996 /nfs/dbraw/zinc/33/69/96/353336996.db2.gz CINBJIUHJKZPIK-ZETCQYMHSA-N 0 3 238.278 2.964 20 0 BFADHN COCCCCCN[C@@H](C)c1ccoc1 ZINC000122790854 324058592 /nfs/dbraw/zinc/05/85/92/324058592.db2.gz OTHRDSZAJSBLBW-NSHDSACASA-N 0 3 211.305 2.747 20 0 BFADHN CO[C@@H](CC(C)C)CN(C)Cc1ccncc1 ZINC000639040600 353317796 /nfs/dbraw/zinc/31/77/96/353317796.db2.gz KZQYUHRDGDBCPV-AWEZNQCLSA-N 0 3 236.359 2.575 20 0 BFADHN CO[C@H](CN(C)Cc1ccncc1)C1CCCC1 ZINC000639040861 353318493 /nfs/dbraw/zinc/31/84/93/353318493.db2.gz NYQBFBOXJSNZMU-OAHLLOKOSA-N 0 3 248.370 2.719 20 0 BFADHN CCC[C@@H](CN(C)[C@@H](C)c1cccnc1)OC ZINC000639041610 353327442 /nfs/dbraw/zinc/32/74/42/353327442.db2.gz XOHOOGAGCJASRH-JSGCOSHPSA-N 0 3 236.359 2.890 20 0 BFADHN c1cc(CNCCC[C@H]2CCCCO2)sn1 ZINC000639047343 353339089 /nfs/dbraw/zinc/33/90/89/353339089.db2.gz KZEISJYTAPOXIB-LLVKDONJSA-N 0 3 240.372 2.582 20 0 BFADHN C[C@H](CF)N[C@@H](C)Cc1cccs1 ZINC000308264008 538115949 /nfs/dbraw/zinc/11/59/49/538115949.db2.gz OVOSNQVDFXPOEO-DTWKUNHWSA-N 0 3 201.310 2.627 20 0 BFADHN Fc1cc(CNC2CCC2)cc(F)c1F ZINC000062708804 180947141 /nfs/dbraw/zinc/94/71/41/180947141.db2.gz NNQPONKSDBRUMR-UHFFFAOYSA-N 0 3 215.218 2.746 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1ccns1 ZINC000639067466 353381475 /nfs/dbraw/zinc/38/14/75/353381475.db2.gz VRIHVRSKKFXIFP-MWLCHTKSSA-N 0 3 210.346 2.764 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2ccccn2)C1(C)C ZINC000085379977 538116583 /nfs/dbraw/zinc/11/65/83/538116583.db2.gz WRODRGPJNJCPGP-YUTCNCBUSA-N 0 3 248.370 2.936 20 0 BFADHN CCC[C@H]1CCCC[C@@H]1NCc1ccn(C)n1 ZINC000516500946 324117383 /nfs/dbraw/zinc/11/73/83/324117383.db2.gz BBFWCHGPVGXGKQ-JSGCOSHPSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1n[nH]cc1CN1CC(C2CCCCC2)C1 ZINC000334510089 353431084 /nfs/dbraw/zinc/43/10/84/353431084.db2.gz AVWNRTFIQAACFG-UHFFFAOYSA-N 0 3 233.359 2.730 20 0 BFADHN Fc1cccc2c1OCC[C@@H]2NCC1CC1 ZINC000191122381 353431312 /nfs/dbraw/zinc/43/13/12/353431312.db2.gz YOVOBFMZQOFBSC-LBPRGKRZSA-N 0 3 221.275 2.649 20 0 BFADHN COc1cncc(CN2CC[C@H]3CCC[C@H]32)c1C ZINC000639094189 353471576 /nfs/dbraw/zinc/47/15/76/353471576.db2.gz PLLBFMBDTVLHAT-TZMCWYRMSA-N 0 3 246.354 2.773 20 0 BFADHN CC[C@H](C)NC(=O)CN(C(C)C)C1CCCC1 ZINC000170986078 353508023 /nfs/dbraw/zinc/50/80/23/353508023.db2.gz ZLXPBVWVVGBKIR-LBPRGKRZSA-N 0 3 240.391 2.554 20 0 BFADHN C[C@@H](NC1CC(C)(F)C1)c1cccnc1 ZINC000334518947 353652948 /nfs/dbraw/zinc/65/29/48/353652948.db2.gz GQCGDRHVEIUSCA-OIKLOGQESA-N 0 3 208.280 2.623 20 0 BFADHN C[C@H]1C[C@@H](NC2CC3(CCC3)C2)c2nccn21 ZINC000334517738 353633588 /nfs/dbraw/zinc/63/35/88/353633588.db2.gz CEZKGUVGZPIZST-CMPLNLGQSA-N 0 3 231.343 2.811 20 0 BFADHN COCCN(C)Cc1ccc2ccccc2c1 ZINC000053400219 363243362 /nfs/dbraw/zinc/24/33/62/363243362.db2.gz IHVFBHRIWKEIAI-UHFFFAOYSA-N 0 3 229.323 2.918 20 0 BFADHN CC(C)N1CC[C@H](N(C)Cc2cccs2)C1 ZINC000342676890 535242925 /nfs/dbraw/zinc/24/29/25/535242925.db2.gz DFTNKMZGXULBQS-LBPRGKRZSA-N 0 3 238.400 2.663 20 0 BFADHN Fc1cccc([C@H]2C[C@H](NCc3cc[nH]n3)C2)c1 ZINC000280539451 181004120 /nfs/dbraw/zinc/00/41/20/181004120.db2.gz CUMORGLUMILRIL-YEORSEQZSA-N 0 3 245.301 2.585 20 0 BFADHN Fc1cccc2c1CC[C@@H]2NC[C@H]1CCCCO1 ZINC000147640878 181004881 /nfs/dbraw/zinc/00/48/81/181004881.db2.gz JXVAHIBZSMDNJY-ABAIWWIYSA-N 0 3 249.329 2.972 20 0 BFADHN Fc1cccc2c1CC[C@@H]2NC[C@@H]1CCCCO1 ZINC000147640630 181005058 /nfs/dbraw/zinc/00/50/58/181005058.db2.gz JXVAHIBZSMDNJY-NHYWBVRUSA-N 0 3 249.329 2.972 20 0 BFADHN Fc1cccc2c1CC[C@H]2NCC1=CCCOC1 ZINC000126841120 181005068 /nfs/dbraw/zinc/00/50/68/181005068.db2.gz RRHFYKJHEVQUEE-OAHLLOKOSA-N 0 3 247.313 2.749 20 0 BFADHN CC(C)C[C@H](CCO)CN[C@@H](C)c1ccoc1 ZINC000178198233 366105096 /nfs/dbraw/zinc/10/50/96/366105096.db2.gz BQWMQQVGCFWWID-STQMWFEESA-N 0 3 239.359 2.975 20 0 BFADHN Cc1ncc([C@H](C)N2[C@H](C)C[C@H]2C)c(C)n1 ZINC000639119375 353755709 /nfs/dbraw/zinc/75/57/09/353755709.db2.gz AMSZUYHPZJAHLV-KKZNHRDASA-N 0 3 219.332 2.637 20 0 BFADHN CO[C@@H](CN(C)C1CCC1)c1ccc(F)cc1 ZINC000528394137 324219486 /nfs/dbraw/zinc/21/94/86/324219486.db2.gz XXYLBDKOZFEVDH-AWEZNQCLSA-N 0 3 237.318 2.998 20 0 BFADHN Fc1cncc(CN2CCC[C@H](C3CC3)C2)c1 ZINC000289575840 181018953 /nfs/dbraw/zinc/01/89/53/181018953.db2.gz YSFJOQSLFHTFJE-ZDUSSCGKSA-N 0 3 234.318 2.843 20 0 BFADHN Fc1cncc(CN2CCCCCCC2)c1 ZINC000274384528 181019798 /nfs/dbraw/zinc/01/97/98/181019798.db2.gz TXTALOTWPDLAAP-UHFFFAOYSA-N 0 3 222.307 2.987 20 0 BFADHN Fc1cnccc1CN1CCC[C@@H](C2CC2)C1 ZINC000336222803 181020461 /nfs/dbraw/zinc/02/04/61/181020461.db2.gz LZIQITWKZPPMDZ-GFCCVEGCSA-N 0 3 234.318 2.843 20 0 BFADHN C[C@H](O)CN1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000334520414 353884475 /nfs/dbraw/zinc/88/44/75/353884475.db2.gz YRGYVAGUQHPSNO-DZGCQCFKSA-N 0 3 245.366 2.985 20 0 BFADHN Cc1cncc([C@H](C)NC2CC(C)(F)C2)c1 ZINC000334520990 353885292 /nfs/dbraw/zinc/88/52/92/353885292.db2.gz XZYXSRBKIXRLJD-PKSQDBQZSA-N 0 3 222.307 2.931 20 0 BFADHN C[C@H](N[C@@H]1CCC12CCCC2)c1ccncn1 ZINC000334521971 353888737 /nfs/dbraw/zinc/88/87/37/353888737.db2.gz ICGPJZRIOGIUOH-WCQYABFASA-N 0 3 231.343 2.850 20 0 BFADHN CC1=C[C@@H](C)CN([C@H](c2nccn2C)C2CC2)C1 ZINC000639131632 353848751 /nfs/dbraw/zinc/84/87/51/353848751.db2.gz WPMGRWXHMJMUMD-RISCZKNCSA-N 0 3 245.370 2.769 20 0 BFADHN CC(C)(CNCc1ccns1)C(F)(F)F ZINC000639140715 353848787 /nfs/dbraw/zinc/84/87/87/353848787.db2.gz GDLWMLLQGFEFHP-UHFFFAOYSA-N 0 3 238.278 2.821 20 0 BFADHN CN1CCN(CCC2CCCCCC2)CC1 ZINC000334532417 353911160 /nfs/dbraw/zinc/91/11/60/353911160.db2.gz ZWFPIJRJNDKUNP-UHFFFAOYSA-N 0 3 224.392 2.594 20 0 BFADHN Cc1ccc(CCCN2CCN(C)C[C@H]2C)cc1 ZINC000343503035 353915470 /nfs/dbraw/zinc/91/54/70/353915470.db2.gz ABUKUOPVOXOVQQ-OAHLLOKOSA-N 0 3 246.398 2.564 20 0 BFADHN CC[C@H](NC[C@@H]1CCC[C@@H]1C)c1ccn(C)n1 ZINC000343510988 353923856 /nfs/dbraw/zinc/92/38/56/353923856.db2.gz LNAHICKZCWIXSY-AVGNSLFASA-N 0 3 235.375 2.897 20 0 BFADHN Cc1cnc([C@@H](C)N2CCCCCC2)cn1 ZINC000334537958 353924935 /nfs/dbraw/zinc/92/49/35/353924935.db2.gz DKWGCLZLQPUSQR-GFCCVEGCSA-N 0 3 219.332 2.722 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2C=C[C@@H](CO)C2)c2ccccc21 ZINC000343608467 353932355 /nfs/dbraw/zinc/93/23/55/353932355.db2.gz LKBWLRXMGONOND-FOCJUVANSA-N 0 3 243.350 2.762 20 0 BFADHN CCC1(CC)[C@@H](NCc2ccoc2)C[C@@H]1OC ZINC000178457235 366126262 /nfs/dbraw/zinc/12/62/62/366126262.db2.gz FWDNJHNPBPYWDL-STQMWFEESA-N 0 3 237.343 2.963 20 0 BFADHN COc1cncc(CN2CC[C@H](C)C[C@@H](C)C2)c1 ZINC000343709511 353968895 /nfs/dbraw/zinc/96/88/95/353968895.db2.gz KYQCIJPQDMFVFJ-QWHCGFSZSA-N 0 3 248.370 2.958 20 0 BFADHN CCc1nc(C)c(CN2C[C@H](C)C[C@H]2C)o1 ZINC000334540324 353974094 /nfs/dbraw/zinc/97/40/94/353974094.db2.gz RJGQPNWSZVNNGA-NXEZZACHSA-N 0 3 222.332 2.776 20 0 BFADHN FC(F)(F)C1(CNCc2ccns2)CC1 ZINC000639164749 353975806 /nfs/dbraw/zinc/97/58/06/353975806.db2.gz SETDNQGPLNYRJM-UHFFFAOYSA-N 0 3 236.262 2.575 20 0 BFADHN Cc1ncccc1N(C)C(=O)C(C)C(F)(F)F ZINC000334546909 353984821 /nfs/dbraw/zinc/98/48/21/353984821.db2.gz SCXDIQNTMWUXEI-ZETCQYMHSA-N 0 3 246.232 2.551 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@@H](C)C1CC1 ZINC000086109736 491057485 /nfs/dbraw/zinc/05/74/85/491057485.db2.gz NWRXDTDWHRXKTE-JGVFFNPUSA-N 0 3 208.305 2.741 20 0 BFADHN Cc1nc([C@@H](C)N2CCCC3(CCCC3)C2)n[nH]1 ZINC000334553121 353995400 /nfs/dbraw/zinc/99/54/00/353995400.db2.gz DIVIHNXCLRPSSZ-LLVKDONJSA-N 0 3 248.374 2.830 20 0 BFADHN COc1c(O)cccc1CNC[C@@H]1CCC[C@H]1C ZINC000343644819 353951920 /nfs/dbraw/zinc/95/19/20/353951920.db2.gz XCIGSSDWTULSEP-NEPJUHHUSA-N 0 3 249.354 2.927 20 0 BFADHN CCN(Cc1ccncc1)C[C@](C)(OC)C1CC1 ZINC000639167808 354040533 /nfs/dbraw/zinc/04/05/33/354040533.db2.gz JLPGGBSMJCWGQE-HNNXBMFYSA-N 0 3 248.370 2.719 20 0 BFADHN COc1cncc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)c1 ZINC000343919867 354042081 /nfs/dbraw/zinc/04/20/81/354042081.db2.gz IEKLJJFXSDADQI-JHJVBQTASA-N 0 3 248.370 2.957 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cncc(OC)c1 ZINC000343803807 354008365 /nfs/dbraw/zinc/00/83/65/354008365.db2.gz CGELAOOZOJMYKV-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN COC[C@@H](NC1CC(C)C1)c1ccc(F)cc1 ZINC000343853449 354022485 /nfs/dbraw/zinc/02/24/85/354022485.db2.gz ICGCSMROYBUOSQ-UZANAETPSA-N 0 3 237.318 2.901 20 0 BFADHN CC[C@]1(C)CCCN([C@H](C)c2n[nH]c(C)n2)C1 ZINC000334564036 354082229 /nfs/dbraw/zinc/08/22/29/354082229.db2.gz QQVTYXKHURUCNO-ZWNOBZJWSA-N 0 3 236.363 2.686 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@H](C)C3(CCC3)C2)n[nH]1 ZINC000334565761 354086372 /nfs/dbraw/zinc/08/63/72/354086372.db2.gz RPOGTUCDPVTLLH-QWRGUYRKSA-N 0 3 248.374 2.686 20 0 BFADHN Cc1nc([C@@H](C)N2CC[C@H](C)C3(CCC3)C2)n[nH]1 ZINC000334565762 354086570 /nfs/dbraw/zinc/08/65/70/354086570.db2.gz RPOGTUCDPVTLLH-WDEREUQCSA-N 0 3 248.374 2.686 20 0 BFADHN c1cncc([C@@H]2CCCN2CCC[C@@H]2CCO2)c1 ZINC000639167069 354045940 /nfs/dbraw/zinc/04/59/40/354045940.db2.gz ANNWJDUPTQPVPB-CABCVRRESA-N 0 3 246.354 2.788 20 0 BFADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2ccoc2C)C1(C)C ZINC000344062487 354128986 /nfs/dbraw/zinc/12/89/86/354128986.db2.gz OCALPYZKJCGYLJ-ZWKOPEQDSA-N 0 3 237.343 2.737 20 0 BFADHN COc1cc(C)nc(CN2CC[C@H](C3CC3)C2)c1 ZINC000344075791 354133584 /nfs/dbraw/zinc/13/35/84/354133584.db2.gz ZSOPEJHPJFZBIP-ZDUSSCGKSA-N 0 3 246.354 2.631 20 0 BFADHN CCOC(=O)[C@@H](CC)N1C[C@@H](C)CC(C)(C)C1 ZINC000344033322 354096735 /nfs/dbraw/zinc/09/67/35/354096735.db2.gz FQUJCLWTZMCFCZ-NWDGAFQWSA-N 0 3 241.375 2.696 20 0 BFADHN CO[C@@H]1[C@H](C)[C@H](NCc2cccc(C)n2)C1(C)C ZINC000344041662 354107033 /nfs/dbraw/zinc/10/70/33/354107033.db2.gz CTCJXNMRUDDUFF-KWCYVHTRSA-N 0 3 248.370 2.539 20 0 BFADHN Cc1nn(C)cc1CN1CC2(CCC2)[C@@H]1C(C)C ZINC000334560071 354111678 /nfs/dbraw/zinc/11/16/78/354111678.db2.gz RGGKGUWMQQNKBX-AWEZNQCLSA-N 0 3 247.386 2.739 20 0 BFADHN CCC(CC)N(CC)Cc1ncc(C)o1 ZINC000344008204 354113730 /nfs/dbraw/zinc/11/37/30/354113730.db2.gz AFTUSIQGCQYWGN-UHFFFAOYSA-N 0 3 210.321 2.994 20 0 BFADHN C[C@H]1CCCCN(Cc2cnc(C3CC3)nc2)C1 ZINC000334561441 354115570 /nfs/dbraw/zinc/11/55/70/354115570.db2.gz KYFBAPIEJGRCOL-LBPRGKRZSA-N 0 3 245.370 2.976 20 0 BFADHN CCC[C@H](N[C@@H]1CCCOC1)c1ccccn1 ZINC000344099849 354119752 /nfs/dbraw/zinc/11/97/52/354119752.db2.gz TTXNWDARTGNABE-OCCSQVGLSA-N 0 3 234.343 2.691 20 0 BFADHN CCc1cc(N2CCC[C@@H]2[C@@H]2CCCO2)ccn1 ZINC000334577752 354121109 /nfs/dbraw/zinc/12/11/09/354121109.db2.gz HCMKWMWFYAPLJO-CABCVRRESA-N 0 3 246.354 2.792 20 0 BFADHN Fc1ccc2c(c1)[C@H](NC[C@@H]1CCCO1)CCC2 ZINC000344088152 354137717 /nfs/dbraw/zinc/13/77/17/354137717.db2.gz NPQNSMJEJJAJOV-DZGCQCFKSA-N 0 3 249.329 2.972 20 0 BFADHN COc1cc(CN2C[C@@H](C)CC(C)(C)C2)ccn1 ZINC000344096078 354138726 /nfs/dbraw/zinc/13/87/26/354138726.db2.gz WTBOPYPRALZFIP-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1ccnc([C@@H](C)NCCC[C@H]2CCOC2)c1 ZINC000449687331 202002376 /nfs/dbraw/zinc/00/23/76/202002376.db2.gz BUXGUUHNNDRUPW-KGLIPLIRSA-N 0 3 248.370 2.857 20 0 BFADHN CCC[C@H](C)NCc1cnc(C2CC2)o1 ZINC000449706653 202002724 /nfs/dbraw/zinc/00/27/24/202002724.db2.gz OAHUPHNBMMFEQC-VIFPVBQESA-N 0 3 208.305 2.830 20 0 BFADHN CCC[C@H](NCC1=CCCOC1)c1ccccn1 ZINC000344182713 354177385 /nfs/dbraw/zinc/17/73/85/354177385.db2.gz VCHAOOYLKXPIRD-AWEZNQCLSA-N 0 3 246.354 2.859 20 0 BFADHN CCC1(CNCc2cncc(F)c2)CC1 ZINC000230941475 363331656 /nfs/dbraw/zinc/33/16/56/363331656.db2.gz YBTIUFBXXPZHAG-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN CC[C@@H]1CC[C@@H]1NCc1cnc(C2CC2)o1 ZINC000449708149 202006026 /nfs/dbraw/zinc/00/60/26/202006026.db2.gz UEQHEBJDQVNELJ-SKDRFNHKSA-N 0 3 220.316 2.830 20 0 BFADHN CC(C)OCCN1CCC(OC(C)(C)C)CC1 ZINC000162635718 202007240 /nfs/dbraw/zinc/00/72/40/202007240.db2.gz FSKIQDKXXIUOGB-UHFFFAOYSA-N 0 3 243.391 2.691 20 0 BFADHN COC1CC(N[C@H]2CCCOc3ccccc32)C1 ZINC000334588934 354217102 /nfs/dbraw/zinc/21/71/02/354217102.db2.gz OFUBUMJGLMPXGX-YIZWMMSDSA-N 0 3 247.338 2.667 20 0 BFADHN CCCCOCCN1CCCc2occc2C1 ZINC000344242262 354187936 /nfs/dbraw/zinc/18/79/36/354187936.db2.gz YBIZFJLQVRHLOB-UHFFFAOYSA-N 0 3 237.343 2.845 20 0 BFADHN C[C@H]1COCCN(Cc2ccc3occc3c2)C1 ZINC000334585273 354208747 /nfs/dbraw/zinc/20/87/47/354208747.db2.gz CNPBBHURWDHSMV-GFCCVEGCSA-N 0 3 245.322 2.901 20 0 BFADHN CCOc1cccc(CNC[C@H]2CC2(C)C)n1 ZINC000344410496 354252060 /nfs/dbraw/zinc/25/20/60/354252060.db2.gz PUEWHVJKFOGMCO-LLVKDONJSA-N 0 3 234.343 2.616 20 0 BFADHN Nc1c(F)cccc1CNCCC1(F)CCC1 ZINC000639179987 354260251 /nfs/dbraw/zinc/26/02/51/354260251.db2.gz DQRXLENZVMZIRB-UHFFFAOYSA-N 0 3 240.297 2.780 20 0 BFADHN Cc1ccccc1[C@@H](C)N1C[C@H]2CC[C@@H](C1)O2 ZINC000344320033 354223507 /nfs/dbraw/zinc/22/35/07/354223507.db2.gz GCQQTEUGDBGILP-MCIONIFRSA-N 0 3 231.339 2.919 20 0 BFADHN Cc1ncc(CN2CC(C(C)(C)C)C2)s1 ZINC000334595843 354229550 /nfs/dbraw/zinc/22/95/50/354229550.db2.gz OCRXMFHSAXTTMI-UHFFFAOYSA-N 0 3 224.373 2.929 20 0 BFADHN CCn1cncc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000334598072 354232786 /nfs/dbraw/zinc/23/27/86/354232786.db2.gz UPDOALJTTYBOBQ-GXTWGEPZSA-N 0 3 233.359 2.668 20 0 BFADHN NC1(c2nc(CC3CC3)cs2)CCCC1 ZINC000334599421 354235304 /nfs/dbraw/zinc/23/53/04/354235304.db2.gz SSXNCVSILWDAKH-UHFFFAOYSA-N 0 3 222.357 2.824 20 0 BFADHN Cc1occc1CN1CCC2(CCOCC2)CC1 ZINC000334599989 354236013 /nfs/dbraw/zinc/23/60/13/354236013.db2.gz UTSSSNZQDFCQNQ-UHFFFAOYSA-N 0 3 249.354 2.981 20 0 BFADHN C[C@@H]1C[C@H](C)CN(CCSc2ncco2)C1 ZINC000577964059 366148137 /nfs/dbraw/zinc/14/81/37/366148137.db2.gz XHNFPUDDLVEOCS-PHIMTYICSA-N 0 3 240.372 2.745 20 0 BFADHN CCn1cncc1CN1C[C@@H](C)CC[C@@H]1C ZINC000334611528 354295138 /nfs/dbraw/zinc/29/51/38/354295138.db2.gz SWUDSHJVMMRHEV-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cnc(OC)s1 ZINC000639179297 354271277 /nfs/dbraw/zinc/27/12/77/354271277.db2.gz DKHQZBXSSHHFPD-VHSXEESVSA-N 0 3 240.372 2.915 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1ccns1 ZINC000639179700 354273331 /nfs/dbraw/zinc/27/33/31/354273331.db2.gz HKVOBZDJFGZTOE-VHSXEESVSA-N 0 3 210.346 2.906 20 0 BFADHN CCn1cncc1CN1CCC[C@H](C)[C@H]1C ZINC000334600197 354277823 /nfs/dbraw/zinc/27/78/23/354277823.db2.gz CIQYXRZMJKLLDM-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN CCO[C@@H]1C[C@@H](N[C@H](C)CF)C12CCCC2 ZINC000639183927 354355464 /nfs/dbraw/zinc/35/54/64/354355464.db2.gz XCXNUJNRMNOEKS-IJLUTSLNSA-N 0 3 229.339 2.672 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCCC[C@H]2C)nn1 ZINC000334610141 354318301 /nfs/dbraw/zinc/31/83/01/354318301.db2.gz SEJNLBGLMTWHTA-BXUZGUMPSA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@@H](C[C@H](C)CO)NCc1ccccc1F ZINC000344592993 354322254 /nfs/dbraw/zinc/32/22/54/354322254.db2.gz PEAJRTLZGWCNJM-AAEUAGOBSA-N 0 3 239.334 2.712 20 0 BFADHN CO[C@@H](CN[C@@H](C)CF)C1CCCCC1 ZINC000639182739 354338752 /nfs/dbraw/zinc/33/87/52/354338752.db2.gz KQZHNNKKSPJERG-JQWIXIFHSA-N 0 3 217.328 2.529 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1[C@H](C)CCC(C)C ZINC000344711448 354353640 /nfs/dbraw/zinc/35/36/40/354353640.db2.gz VITVEGXNMMTRCW-OLZOCXBDSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H](NC[C@@H](O)Cc1ccccc1)c1ccoc1 ZINC000178791795 366165166 /nfs/dbraw/zinc/16/51/66/366165166.db2.gz KQGPVAYCGYBKKR-DOMZBBRYSA-N 0 3 245.322 2.534 20 0 BFADHN c1cc(CN2CCC[C@@H](C3CC3)C2)sn1 ZINC000639185002 354379448 /nfs/dbraw/zinc/37/94/48/354379448.db2.gz CGDDZMUEVSVCKI-LLVKDONJSA-N 0 3 222.357 2.765 20 0 BFADHN COC[C@H](N[C@H]1c2ccccc2O[C@H]1C)C1CC1 ZINC000639185956 354381203 /nfs/dbraw/zinc/38/12/03/354381203.db2.gz GOHFXGNQKWTLMB-VZJVUDMVSA-N 0 3 247.338 2.523 20 0 BFADHN COc1cccnc1CN[C@@H]1CCCC[C@@H]1C ZINC000449749037 202019816 /nfs/dbraw/zinc/01/98/16/202019816.db2.gz OINMWQFVLOZALN-NWDGAFQWSA-N 0 3 234.343 2.759 20 0 BFADHN COc1cccnc1CN[C@@H]1CCC[C@H](C)C1 ZINC000449751419 202019899 /nfs/dbraw/zinc/01/98/99/202019899.db2.gz YHOLHGRHIQHQSS-NWDGAFQWSA-N 0 3 234.343 2.759 20 0 BFADHN Cn1cc([C@H](NCc2cc[nH]c2)C(C)(C)C)cn1 ZINC000344788943 354370524 /nfs/dbraw/zinc/37/05/24/354370524.db2.gz LMSSPFSMBKGRJF-ZDUSSCGKSA-N 0 3 246.358 2.625 20 0 BFADHN Cc1cccnc1N(C)C(=O)C(C)C(F)(F)F ZINC000334629274 354371784 /nfs/dbraw/zinc/37/17/84/354371784.db2.gz YYEKZXWWNNHSBL-MRVPVSSYSA-N 0 3 246.232 2.551 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2CCc3nc[nH]c3C2)o1 ZINC000334685299 354431618 /nfs/dbraw/zinc/43/16/18/354431618.db2.gz LMYYQXAGCFPUST-GHMZBOCLSA-N 0 3 245.326 2.519 20 0 BFADHN CC1=CCCN(Cc2cccnc2C)C1 ZINC000334685257 354431824 /nfs/dbraw/zinc/43/18/24/354431824.db2.gz VDPVDWOAYDIPOR-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN Cn1ncc2c1CCCN(CCC(C)(C)C)C2 ZINC000334648080 354438838 /nfs/dbraw/zinc/43/88/38/354438838.db2.gz UFXMOFBNGHKABP-UHFFFAOYSA-N 0 3 235.375 2.605 20 0 BFADHN Cc1cccc(CN2CCCO[C@H](CF)C2)c1 ZINC000334648352 354439322 /nfs/dbraw/zinc/43/93/22/354439322.db2.gz WNAYRTIZJZGKDC-CQSZACIVSA-N 0 3 237.318 2.555 20 0 BFADHN C[C@H]1CN(CCc2cccnc2)CCC1(F)F ZINC000334669781 354410695 /nfs/dbraw/zinc/41/06/95/354410695.db2.gz WMSNHSCDIQWUKM-NSHDSACASA-N 0 3 240.297 2.601 20 0 BFADHN C/C=C\C[C@H](CO)N[C@@H](C)c1cccc(OC)c1 ZINC000349418145 366192172 /nfs/dbraw/zinc/19/21/72/366192172.db2.gz AMSLEROGWLAUME-UISIKIEVSA-N 0 3 249.354 2.673 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1cc2cccnc2o1 ZINC000334678439 354423537 /nfs/dbraw/zinc/42/35/37/354423537.db2.gz LJJHNISYUKDQFM-UWVGGRQHSA-N 0 3 216.284 2.811 20 0 BFADHN Cc1ccc(CN2CCSC[C@H]2C2CC2)cn1 ZINC000334678829 354424166 /nfs/dbraw/zinc/42/41/66/354424166.db2.gz HYWDAGLEDWNZPE-AWEZNQCLSA-N 0 3 248.395 2.717 20 0 BFADHN CO[C@@H]1CCN(c2cc(C)nc3ccccc32)C1 ZINC000334661699 354460644 /nfs/dbraw/zinc/46/06/44/354460644.db2.gz PTGPPKMYYWHZGI-GFCCVEGCSA-N 0 3 242.322 2.768 20 0 BFADHN Cc1noc(C)c1CN1CC(C)(C)[C@H]1C1CC1 ZINC000334664933 354466018 /nfs/dbraw/zinc/46/60/18/354466018.db2.gz MYEPKTQCHYMCGS-CYBMUJFWSA-N 0 3 234.343 2.912 20 0 BFADHN C[C@@H]1C[C@H](O)CN(Cc2cc3ccccc3o2)C1 ZINC000334746440 354470831 /nfs/dbraw/zinc/47/08/31/354470831.db2.gz PXJNXEYMUOVXDD-YPMHNXCESA-N 0 3 245.322 2.636 20 0 BFADHN CC(C)[C@@H]1N(Cc2cncnc2)CC12CCCC2 ZINC000334747446 354472148 /nfs/dbraw/zinc/47/21/48/354472148.db2.gz WXOWVMYBJUBUTJ-AWEZNQCLSA-N 0 3 245.370 2.877 20 0 BFADHN CC1(C2CC2)CCN(Cc2ccno2)CC1 ZINC000334749319 354473940 /nfs/dbraw/zinc/47/39/40/354473940.db2.gz BHQKZISHVRAOHY-UHFFFAOYSA-N 0 3 220.316 2.687 20 0 BFADHN Cc1nocc1CN1CCC[C@H](C2CC2)C1 ZINC000334733360 354533782 /nfs/dbraw/zinc/53/37/82/354533782.db2.gz KAKUTLFNANDEDP-LBPRGKRZSA-N 0 3 220.316 2.605 20 0 BFADHN C[C@@H]1C[C@H](O)CN(Cc2ccc3occc3c2)C1 ZINC000334733855 354533946 /nfs/dbraw/zinc/53/39/46/354533946.db2.gz ZNBNZOZFJYXWFS-RISCZKNCSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@@H]1CCCN1Cc1ccc2c(n1)CCC2 ZINC000334704333 354497862 /nfs/dbraw/zinc/49/78/62/354497862.db2.gz GBVIADKQXBUEMO-LLVKDONJSA-N 0 3 216.328 2.555 20 0 BFADHN CCc1noc(C)c1CN1CCCC2(CC2)C1 ZINC000334708992 354504398 /nfs/dbraw/zinc/50/43/98/354504398.db2.gz RIDSVBRUNDGKBU-UHFFFAOYSA-N 0 3 234.343 2.921 20 0 BFADHN Cc1cccc(CN(C)[C@@H]2C=CCCC2)n1 ZINC000334800449 354555565 /nfs/dbraw/zinc/55/55/65/354555565.db2.gz IVEHLJOVVVZSKJ-CQSZACIVSA-N 0 3 216.328 2.931 20 0 BFADHN C[C@@H](N[C@@H]1CC=CCC1)c1cc2n(n1)CCC2 ZINC000334810737 354568107 /nfs/dbraw/zinc/56/81/07/354568107.db2.gz MHGMIVSXSBYONV-VXGBXAGGSA-N 0 3 231.343 2.589 20 0 BFADHN CC(C)C1CC(N[C@H]2C[C@@H](C)n3ccnc32)C1 ZINC000334744118 354547707 /nfs/dbraw/zinc/54/77/07/354547707.db2.gz SRXSQUIPGYNYCB-XVSSEFHLSA-N 0 3 233.359 2.913 20 0 BFADHN C[C@@H]1CCC(C)(C)N1Cc1ccncc1F ZINC000334797192 354551678 /nfs/dbraw/zinc/55/16/78/354551678.db2.gz GBWPRYGNOCTNNI-SNVBAGLBSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@H]1CCC(C)(C)N1Cc1ccncc1F ZINC000334797191 354551753 /nfs/dbraw/zinc/55/17/53/354551753.db2.gz GBWPRYGNOCTNNI-JTQLQIEISA-N 0 3 222.307 2.984 20 0 BFADHN Cc1ccc2[nH]c(CN[C@H]3CCOC3)cc2c1C ZINC000345469162 354662497 /nfs/dbraw/zinc/66/24/97/354662497.db2.gz ZYKXLTRFFQYUOP-LBPRGKRZSA-N 0 3 244.338 2.663 20 0 BFADHN CC(C)n1cncc1CN1CC[C@@H](C)[C@@H]1C ZINC000335083400 354616513 /nfs/dbraw/zinc/61/65/13/354616513.db2.gz HZRBVJSAUAIVIY-NEPJUHHUSA-N 0 3 221.348 2.694 20 0 BFADHN CC(C)n1cncc1CN1CCCC[C@@H]1C ZINC000335107329 354692550 /nfs/dbraw/zinc/69/25/50/354692550.db2.gz DOLNMQXLZQQTDZ-LBPRGKRZSA-N 0 3 221.348 2.838 20 0 BFADHN CC(C)n1cncc1CN1C[C@@H](C)C[C@H](C)C1 ZINC000335107286 354692763 /nfs/dbraw/zinc/69/27/63/354692763.db2.gz CAEMRBHCRBJFPB-STQMWFEESA-N 0 3 235.375 2.942 20 0 BFADHN CC(C)[C@@H](C)NC(=O)c1ccccc1CN(C)C ZINC000345524023 354671883 /nfs/dbraw/zinc/67/18/83/354671883.db2.gz MIKWDGKPVOPSKT-GFCCVEGCSA-N 0 3 248.370 2.523 20 0 BFADHN CCC(CC)NC(=O)c1ccccc1CN(C)C ZINC000345503858 354682390 /nfs/dbraw/zinc/68/23/90/354682390.db2.gz BGWJNEYADHRSNU-UHFFFAOYSA-N 0 3 248.370 2.667 20 0 BFADHN Cc1oncc1CN1CC[C@@H](C)C[C@H]1C ZINC000335123135 354762483 /nfs/dbraw/zinc/76/24/83/354762483.db2.gz BAABUHGHGRVYBR-NXEZZACHSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1nc2ccccc2nc1CNCC[C@H](C)F ZINC000639209404 354738498 /nfs/dbraw/zinc/73/84/98/354738498.db2.gz CMMDBHWCMBMWBX-JTQLQIEISA-N 0 3 247.317 2.776 20 0 BFADHN CCC[C@@H](C)N(C)C(=O)[C@@H](CC)N(CC)CC ZINC000345983635 354832981 /nfs/dbraw/zinc/83/29/81/354832981.db2.gz XKBRVRNAIVODSW-CHWSQXEVSA-N 0 3 242.407 2.754 20 0 BFADHN CC[C@H](C(=O)OC)N1CCC[C@@H](CC(C)C)C1 ZINC000345953012 354819151 /nfs/dbraw/zinc/81/91/51/354819151.db2.gz MJXWGKJOUOETAN-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1csc([C@H](C)NC[C@@H](C)C[C@@H](C)O)n1 ZINC000623897078 354870115 /nfs/dbraw/zinc/87/01/15/354870115.db2.gz GFPJZCCSIHDGCD-GDPRMGEGSA-N 0 3 242.388 2.509 20 0 BFADHN CCc1cnc(CN2CC[C@H](C3CC3)C2)s1 ZINC000346216846 354873518 /nfs/dbraw/zinc/87/35/18/354873518.db2.gz LJRIQKPLAUUZRR-NSHDSACASA-N 0 3 236.384 2.937 20 0 BFADHN CO[C@@](C)(CN(C)Cc1occc1C)C1CC1 ZINC000639219228 354876395 /nfs/dbraw/zinc/87/63/95/354876395.db2.gz FCIUOJTZZSILTP-AWEZNQCLSA-N 0 3 237.343 2.835 20 0 BFADHN COc1cccnc1CN[C@@H](C)CC(C)C ZINC000449747643 202020545 /nfs/dbraw/zinc/02/05/45/202020545.db2.gz JUBWANXDPAZBFZ-NSHDSACASA-N 0 3 222.332 2.614 20 0 BFADHN COc1cccnc1CN[C@H]1CCCC1(C)C ZINC000449748791 202020596 /nfs/dbraw/zinc/02/05/96/202020596.db2.gz NISJYUUAXKAMQN-ZDUSSCGKSA-N 0 3 234.343 2.759 20 0 BFADHN CC(C)n1cncc1CN1CC[C@@H](C)[C@H](C)C1 ZINC000335145578 354855429 /nfs/dbraw/zinc/85/54/29/354855429.db2.gz CQQYRKPBDJAGEA-CHWSQXEVSA-N 0 3 235.375 2.942 20 0 BFADHN CC(C)n1cncc1CN1CCCC1(C)C ZINC000335146575 354858310 /nfs/dbraw/zinc/85/83/10/354858310.db2.gz KYMVWNCQKOEYEB-UHFFFAOYSA-N 0 3 221.348 2.838 20 0 BFADHN CC(C)(C)CCNCc1cccc2c1OCO2 ZINC000040437525 358491447 /nfs/dbraw/zinc/49/14/47/358491447.db2.gz JPOACXJSTFJRSP-UHFFFAOYSA-N 0 3 235.327 2.941 20 0 BFADHN CCS[C@H]1CCC[C@H]1NCc1cncs1 ZINC000233850000 363409671 /nfs/dbraw/zinc/40/96/71/363409671.db2.gz QZOYOWPGRDNPJX-MNOVXSKESA-N 0 3 242.413 2.907 20 0 BFADHN COc1cccnc1CN[C@@H]1CCCC12CCC2 ZINC000449748619 202021381 /nfs/dbraw/zinc/02/13/81/202021381.db2.gz MTGLLQZODWQAAM-CQSZACIVSA-N 0 3 246.354 2.903 20 0 BFADHN COc1cccnc1CN[C@H]1CCC[C@@H](C)C1 ZINC000449751418 202021070 /nfs/dbraw/zinc/02/10/70/202021070.db2.gz YHOLHGRHIQHQSS-NEPJUHHUSA-N 0 3 234.343 2.759 20 0 BFADHN Cc1ccc(CN(C)CCc2ccccc2O)o1 ZINC000346391206 354908914 /nfs/dbraw/zinc/90/89/14/354908914.db2.gz ZWUPCKIXGVBCLL-UHFFFAOYSA-N 0 3 245.322 2.968 20 0 BFADHN C[C@@H](N[C@@H]1CCCC1(C)C)c1ccn(C)n1 ZINC000335165834 354923351 /nfs/dbraw/zinc/92/33/51/354923351.db2.gz FUOALSSSDOGIGD-ZYHUDNBSSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1snnc1C ZINC000639222996 354923858 /nfs/dbraw/zinc/92/38/58/354923858.db2.gz QUSUVUNOGBRAJR-QWRGUYRKSA-N 0 3 239.388 2.762 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1snnc1C ZINC000639222994 354924174 /nfs/dbraw/zinc/92/41/74/354924174.db2.gz QUSUVUNOGBRAJR-GHMZBOCLSA-N 0 3 239.388 2.762 20 0 BFADHN Cc1cnccc1CN1CC2(C1)CCCC2 ZINC000335166819 354926067 /nfs/dbraw/zinc/92/60/67/354926067.db2.gz CPHPVOMSJWRIND-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN Cc1cnc(CN2CC[C@H](C)C(C)(C)C2)cn1 ZINC000335167771 354927216 /nfs/dbraw/zinc/92/72/16/354927216.db2.gz AGVCWJYKHAEBGQ-NSHDSACASA-N 0 3 233.359 2.653 20 0 BFADHN Cc1nocc1CN(C)C1CC(C)(C)C1 ZINC000335169475 354932039 /nfs/dbraw/zinc/93/20/39/354932039.db2.gz RGHAHCBFCIZDQO-UHFFFAOYSA-N 0 3 208.305 2.603 20 0 BFADHN O[C@@H]1CCN(Cc2ccco2)C[C@H]1C1CCCC1 ZINC000335172051 354937010 /nfs/dbraw/zinc/93/70/10/354937010.db2.gz IPEQIUSHNARVIJ-LSDHHAIUSA-N 0 3 249.354 2.653 20 0 BFADHN CCCCCC(=O)NC[C@@H]1CCCCN1CC ZINC000346461958 354952429 /nfs/dbraw/zinc/95/24/29/354952429.db2.gz MKVGFZAWNZEIGL-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN CCC1(CC)CCCN([C@H](C)C(=O)OC)CC1 ZINC000346494178 354959565 /nfs/dbraw/zinc/95/95/65/354959565.db2.gz RKEVLGVGDKBFCD-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN COc1cc(C)cc(CN[C@H](C)[C@@H]2CCCO2)c1 ZINC000346624170 354996849 /nfs/dbraw/zinc/99/68/49/354996849.db2.gz DORUMLGJLIRKMI-DOMZBBRYSA-N 0 3 249.354 2.661 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CC[C@@](O)(C2CC2)C1 ZINC000335209478 355046046 /nfs/dbraw/zinc/04/60/46/355046046.db2.gz IVYOJWHJWDZNBH-NHYWBVRUSA-N 0 3 249.329 2.734 20 0 BFADHN C[C@@H](c1cnccn1)N1CC(C)(C)C[C@@H]1C ZINC000335191285 355023846 /nfs/dbraw/zinc/02/38/46/355023846.db2.gz PMTAZGOFCKZSGS-QWRGUYRKSA-N 0 3 219.332 2.658 20 0 BFADHN CC1(C)CN(CCCF)CC2(CCCC2)O1 ZINC000335229860 355072658 /nfs/dbraw/zinc/07/26/58/355072658.db2.gz YMQWHBNUOPIDEA-UHFFFAOYSA-N 0 3 229.339 2.770 20 0 BFADHN Cc1cccc(CCN2CCN(C)[C@@H](C)[C@H]2C)c1 ZINC000335230511 355073208 /nfs/dbraw/zinc/07/32/08/355073208.db2.gz LQKILCCVMMVSQC-LSDHHAIUSA-N 0 3 246.398 2.562 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2COCC2(C)C)oc1C ZINC000335222793 355063424 /nfs/dbraw/zinc/06/34/24/355063424.db2.gz NXBHRTBHBZUDTB-GXFFZTMASA-N 0 3 237.343 2.972 20 0 BFADHN C[C@@H](CC(F)(F)F)NCc1cccc(O)c1 ZINC000154460080 191321135 /nfs/dbraw/zinc/32/11/35/191321135.db2.gz KJOWKTSGTDCHLY-QMMMGPOBSA-N 0 3 233.233 2.823 20 0 BFADHN COC[C@H](NC1(c2ccccc2)CC1)C1CC1 ZINC000346735931 355089445 /nfs/dbraw/zinc/08/94/45/355089445.db2.gz UKSIJMJWSXNWFA-AWEZNQCLSA-N 0 3 231.339 2.690 20 0 BFADHN CC[C@H](COC)NC1(c2ccc(OC)cc2)CC1 ZINC000346741650 355090997 /nfs/dbraw/zinc/09/09/97/355090997.db2.gz RKJNARPANKFZAO-CYBMUJFWSA-N 0 3 249.354 2.699 20 0 BFADHN CCc1ccc(CN2CCCC[C@H]2COC)o1 ZINC000449760228 202023802 /nfs/dbraw/zinc/02/38/02/202023802.db2.gz MYQJNPBLIRJDDX-LBPRGKRZSA-N 0 3 237.343 2.843 20 0 BFADHN CC(C)=CCN1C[C@H](O)C[C@H]1c1ccccc1 ZINC000335246787 355161304 /nfs/dbraw/zinc/16/13/04/355161304.db2.gz BPKKYPSTISFJRQ-CABCVRRESA-N 0 3 231.339 2.761 20 0 BFADHN CCc1ccc(CNCCc2nc(C)oc2C)o1 ZINC000346834139 355130413 /nfs/dbraw/zinc/13/04/13/355130413.db2.gz TVVBMSCEAGEDFY-UHFFFAOYSA-N 0 3 248.326 2.779 20 0 BFADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1ccco1 ZINC000166077336 355134187 /nfs/dbraw/zinc/13/41/87/355134187.db2.gz ZDUJOPJALODAOH-QWRGUYRKSA-N 0 3 223.316 2.888 20 0 BFADHN CCc1ccc([C@@H](C)NCC[C@H]2CCOC2)o1 ZINC000346880680 355142989 /nfs/dbraw/zinc/14/29/89/355142989.db2.gz WVPYGAUIFBZQMA-NEPJUHHUSA-N 0 3 237.343 2.919 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@@H](C)c1ccccn1 ZINC000346971936 355208381 /nfs/dbraw/zinc/20/83/81/355208381.db2.gz JJDCGLPRJCLXSO-NEPJUHHUSA-N 0 3 230.311 2.956 20 0 BFADHN C[C@@H](F)CCN1CCC[C@H]1c1cccnc1 ZINC000346975834 355210741 /nfs/dbraw/zinc/21/07/41/355210741.db2.gz JVSJEZNXJMUKGW-YPMHNXCESA-N 0 3 222.307 2.967 20 0 BFADHN Fc1ccc(C2(NCCOC3CCC3)CC2)cc1 ZINC000346966716 355173293 /nfs/dbraw/zinc/17/32/93/355173293.db2.gz JVGICYMOTXJGBI-UHFFFAOYSA-N 0 3 249.329 2.974 20 0 BFADHN CN(C)CCSCc1cc(Cl)ccn1 ZINC000087416666 355216465 /nfs/dbraw/zinc/21/64/65/355216465.db2.gz UPGXGFNLJKTFNI-UHFFFAOYSA-N 0 3 230.764 2.530 20 0 BFADHN COc1ccncc1CN1CC[C@H](C)C[C@H](C)C1 ZINC000346990192 355190429 /nfs/dbraw/zinc/19/04/29/355190429.db2.gz VHNQMEGCFHCUNK-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@H](C)N(C)Cc1c(C)cc(C)nc1OC ZINC000346998768 355195410 /nfs/dbraw/zinc/19/54/10/355195410.db2.gz ZODDMGPTFLGACI-LBPRGKRZSA-N 0 3 236.359 2.937 20 0 BFADHN Cc1cc(C)c(CN[C@H](C)[C@@H]2CC2(F)F)cn1 ZINC000639239277 355222786 /nfs/dbraw/zinc/22/27/86/355222786.db2.gz IDHMMJZRUHWASZ-PWSUYJOCSA-N 0 3 240.297 2.832 20 0 BFADHN CC[C@@H](CN(C)Cc1ccccc1)OC ZINC000412975940 191345979 /nfs/dbraw/zinc/34/59/79/191345979.db2.gz GWLQSGOTBSCZCT-ZDUSSCGKSA-N 0 3 207.317 2.543 20 0 BFADHN CC[C@@H](CN1CCC(C(F)(F)F)CC1)OC ZINC000412975084 191347443 /nfs/dbraw/zinc/34/74/43/191347443.db2.gz DPQHPYOMQBUOLO-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN CC[C@@H](CN(C)Cc1ccccc1C)OC ZINC000412978704 191348025 /nfs/dbraw/zinc/34/80/25/191348025.db2.gz QWWVNBVMHUHIKI-AWEZNQCLSA-N 0 3 221.344 2.852 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H]2CCc3c[nH]nc3C2)o1 ZINC000335291374 355265768 /nfs/dbraw/zinc/26/57/68/355265768.db2.gz GFDUYCXGWWFCHC-PWSUYJOCSA-N 0 3 245.326 2.519 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCc3c[nH]nc3C2)o1 ZINC000335291372 355266302 /nfs/dbraw/zinc/26/63/02/355266302.db2.gz GFDUYCXGWWFCHC-CMPLNLGQSA-N 0 3 245.326 2.519 20 0 BFADHN CCc1ccc(CN2CCC[C@@H](C)C2)cn1 ZINC000347681216 355266486 /nfs/dbraw/zinc/26/64/86/355266486.db2.gz WBFPYORXUWRICL-GFCCVEGCSA-N 0 3 218.344 2.876 20 0 BFADHN CCN1C[C@@H](C)N(Cc2ccccc2)[C@@H](C)C1 ZINC000347685068 355269641 /nfs/dbraw/zinc/26/96/41/355269641.db2.gz GGTAIKNEDITKOQ-OKILXGFUSA-N 0 3 232.371 2.601 20 0 BFADHN CCCCN[C@@H](CCC)c1nnc2ccccn21 ZINC000347595219 355250303 /nfs/dbraw/zinc/25/03/03/355250303.db2.gz AUXHYBCRHHLPSQ-LBPRGKRZSA-N 0 3 246.358 2.960 20 0 BFADHN CCc1ccc(CN(C)[C@H](C)CC)cn1 ZINC000347596958 355251081 /nfs/dbraw/zinc/25/10/81/355251081.db2.gz WIWGMVUXVSDPSR-LLVKDONJSA-N 0 3 206.333 2.874 20 0 BFADHN CCCCOCCN1CCC(F)(F)[C@H](C)C1 ZINC000352017802 134036534 /nfs/dbraw/zinc/03/65/34/134036534.db2.gz JWVWTLTWYGAEDY-LLVKDONJSA-N 0 3 235.318 2.780 20 0 BFADHN CC[C@@](C)(CN1CC(CC(F)(F)F)C1)OC ZINC000639252582 355288788 /nfs/dbraw/zinc/28/87/88/355288788.db2.gz LKGRXFQTJQGFFH-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN CC(C)C1(CN2CC(C(F)F)C2)CC1 ZINC000639252791 355289344 /nfs/dbraw/zinc/28/93/44/355289344.db2.gz ULQZJZLVWZDVOW-UHFFFAOYSA-N 0 3 203.276 2.620 20 0 BFADHN Cc1ccc(CCN2CC3(C2)CCOCC3)cc1 ZINC000639252646 355289592 /nfs/dbraw/zinc/28/95/92/355289592.db2.gz OAFPUYOLRXRFMS-UHFFFAOYSA-N 0 3 245.366 2.650 20 0 BFADHN OCc1ccc(CN2CC(C3CCCC3)C2)cc1 ZINC000639254489 355295512 /nfs/dbraw/zinc/29/55/12/355295512.db2.gz WPQBUKIQKXGDTO-UHFFFAOYSA-N 0 3 245.366 2.801 20 0 BFADHN CC(C)(C)C1CN(Cc2cccc(F)c2N)C1 ZINC000639256392 355301245 /nfs/dbraw/zinc/30/12/45/355301245.db2.gz YOCDOBVVCUHOOL-UHFFFAOYSA-N 0 3 236.334 2.886 20 0 BFADHN C[C@@H](N[C@@H](C)c1cncc(F)c1)[C@H]1CC1(F)F ZINC000639257830 355304265 /nfs/dbraw/zinc/30/42/65/355304265.db2.gz ZHSSSTCPSGFSHV-VAOFZXAKSA-N 0 3 244.260 2.915 20 0 BFADHN Cc1ccsc1CCN[C@H](C)c1ccn(C)n1 ZINC000414109329 191434420 /nfs/dbraw/zinc/43/44/20/191434420.db2.gz UWTGZIZATZYBBW-LLVKDONJSA-N 0 3 249.383 2.683 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H](C)[C@H]1C[C@@H]1C ZINC000414115212 191449248 /nfs/dbraw/zinc/44/92/48/191449248.db2.gz MQFWNIUYJPDTJA-XQQFMLRXSA-N 0 3 235.375 2.673 20 0 BFADHN CO[C@@H](CN[C@@H]1CCCC[C@H]1F)CC(C)C ZINC000639265787 355326089 /nfs/dbraw/zinc/32/60/89/355326089.db2.gz JNUMLGVMANFXPS-JHJVBQTASA-N 0 3 231.355 2.918 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H]1CC(C)C)c1cnn(C)c1 ZINC000414185102 191454953 /nfs/dbraw/zinc/45/49/53/191454953.db2.gz PBVGUMJXFIVEJH-KWCYVHTRSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@H](CCO)CN[C@H](C)c1nc(C)cs1 ZINC000623903908 355369626 /nfs/dbraw/zinc/36/96/26/355369626.db2.gz QYQVNRQCRCGMMI-GHMZBOCLSA-N 0 3 242.388 2.511 20 0 BFADHN CN(C)CCSCc1ccncc1Cl ZINC000349234415 355372096 /nfs/dbraw/zinc/37/20/96/355372096.db2.gz ORXDEXDTWWEJNP-UHFFFAOYSA-N 0 3 230.764 2.530 20 0 BFADHN CCn1ccc(CN[C@@H]2CC(C)(C)C[C@@H]2C)n1 ZINC000414213637 191471537 /nfs/dbraw/zinc/47/15/37/191471537.db2.gz UTZBZQLJMSLNMG-WCQYABFASA-N 0 3 235.375 2.817 20 0 BFADHN C[C@H]1C[C@H](N2CCc3n[nH]cc3C2)C[C@@H](C)C1 ZINC000623238378 355382669 /nfs/dbraw/zinc/38/26/69/355382669.db2.gz DOPJEXQYCLPVJS-PJXYFTJBSA-N 0 3 233.359 2.593 20 0 BFADHN Cc1csc([C@H](C)NC[C@H]2CCCS2)n1 ZINC000623904393 355384645 /nfs/dbraw/zinc/38/46/45/355384645.db2.gz BOHBWEQLAATYPD-VHSXEESVSA-N 0 3 242.413 2.998 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2ccns2)[C@H]1C ZINC000639270729 355341171 /nfs/dbraw/zinc/34/11/71/355341171.db2.gz KHKLXWWFMFKFAN-BBBLOLIVSA-N 0 3 210.346 2.619 20 0 BFADHN COc1ncc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)s1 ZINC000639272744 355344032 /nfs/dbraw/zinc/34/40/32/355344032.db2.gz SQBZYDOFHPKDMB-AEJSXWLSSA-N 0 3 240.372 2.628 20 0 BFADHN CO[C@H](CN[C@@H]1C[C@H]1c1ccco1)CC(C)C ZINC000639274752 355347951 /nfs/dbraw/zinc/34/79/51/355347951.db2.gz QNLMLVFYUJKUQD-YNEHKIRRSA-N 0 3 237.343 2.786 20 0 BFADHN CO[C@@H](C)CNCc1cc(Cl)cs1 ZINC000191209964 355348089 /nfs/dbraw/zinc/34/80/89/355348089.db2.gz GHKNTQBHPLGCGE-ZETCQYMHSA-N 0 3 219.737 2.526 20 0 BFADHN F[C@]1(c2ccccc2)C[C@H]1NCCC[C@@H]1CCO1 ZINC000639276302 355349066 /nfs/dbraw/zinc/34/90/66/355349066.db2.gz AYRMFVOHIOWGBF-KFWWJZLASA-N 0 3 249.329 2.782 20 0 BFADHN Cc1scc(CN2C[C@H](O)CC[C@H]2C)c1C ZINC000639277410 355355670 /nfs/dbraw/zinc/35/56/70/355355670.db2.gz WKOOSRYLUDSKKK-NOZJJQNGSA-N 0 3 239.384 2.710 20 0 BFADHN COc1cccc(C)c1CN[C@H]1CC12CC2 ZINC000639277854 355359242 /nfs/dbraw/zinc/35/92/42/355359242.db2.gz VXVYVVWKLMQSOQ-ZDUSSCGKSA-N 0 3 217.312 2.646 20 0 BFADHN CCc1ncc(CN(C)[C@H]2CC[C@H](C)C2)cn1 ZINC000414432956 191508210 /nfs/dbraw/zinc/50/82/10/191508210.db2.gz JUGKDGLTDICRFK-AAEUAGOBSA-N 0 3 233.359 2.659 20 0 BFADHN CCC1CCC(N(C)Cc2ccnn2C)CC1 ZINC000349707789 355416027 /nfs/dbraw/zinc/41/60/27/355416027.db2.gz QHMRJBFOVLCPLN-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN C[C@@H]1CCC[C@H](N2CCc3n[nH]cc3C2)[C@H]1C ZINC000623240413 355416672 /nfs/dbraw/zinc/41/66/72/355416672.db2.gz KQJLTJMXWDEYCQ-SUNKGSAMSA-N 0 3 233.359 2.593 20 0 BFADHN CC1(C)CCC[C@@H](N2CCc3n[nH]cc3C2)C1 ZINC000623240496 355417716 /nfs/dbraw/zinc/41/77/16/355417716.db2.gz MZEYDNAPVOFBEL-GFCCVEGCSA-N 0 3 233.359 2.737 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)N1CCc2n[nH]cc2C1 ZINC000623240892 355420447 /nfs/dbraw/zinc/42/04/47/355420447.db2.gz ZUTTZJVIKOXWDH-DGCLKSJQSA-N 0 3 235.375 2.983 20 0 BFADHN Cc1ccc([C@H](N[C@@H]2CCOC2)C2CCC2)o1 ZINC000349753526 355423234 /nfs/dbraw/zinc/42/32/34/355423234.db2.gz BXLBXYYWWALKNR-TZMCWYRMSA-N 0 3 235.327 2.808 20 0 BFADHN CO[C@@]1(C)CCCN(Cc2ccc(C)o2)CC1 ZINC000335782012 355429646 /nfs/dbraw/zinc/42/96/46/355429646.db2.gz DHJCJNLIMJWLGK-AWEZNQCLSA-N 0 3 237.343 2.979 20 0 BFADHN Cc1ncccc1CN1CC2(C1)CCCC2 ZINC000335786314 355436291 /nfs/dbraw/zinc/43/62/91/355436291.db2.gz LAXSPEYVBFWNHV-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1ccc(C)o1)C1CC1 ZINC000414496900 191523963 /nfs/dbraw/zinc/52/39/63/191523963.db2.gz DZWYZIZJXRDCQV-RISCZKNCSA-N 0 3 237.343 2.881 20 0 BFADHN Fc1cncc(CN2C[C@@H]3CCCC[C@H]3C2)c1 ZINC000335792501 355445480 /nfs/dbraw/zinc/44/54/80/355445480.db2.gz QLQPUXDCIROUNU-STQMWFEESA-N 0 3 234.318 2.843 20 0 BFADHN CC[C@H](NCc1ccccc1F)[C@H](O)C(C)C ZINC000414537739 191530617 /nfs/dbraw/zinc/53/06/17/191530617.db2.gz ZLRVBANEOAGZGA-UONOGXRCSA-N 0 3 239.334 2.711 20 0 BFADHN Cn1ccnc1CN[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000414539158 191531322 /nfs/dbraw/zinc/53/13/22/191531322.db2.gz RRWNNLGPOKOVGG-NWDGAFQWSA-N 0 3 235.375 2.725 20 0 BFADHN CCN(C)Cc1cnc(-c2ccncc2)s1 ZINC000349803329 355465866 /nfs/dbraw/zinc/46/58/66/355465866.db2.gz XWCRJRWKPPZQRZ-UHFFFAOYSA-N 0 3 233.340 2.657 20 0 BFADHN CCN(CCOC)Cc1ccc(F)c(Cl)c1 ZINC000349853022 355484418 /nfs/dbraw/zinc/48/44/18/355484418.db2.gz HAMODKOSXLKQKM-UHFFFAOYSA-N 0 3 245.725 2.947 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@@H](C)c1cc(C)c(C)o1 ZINC000282684096 191606654 /nfs/dbraw/zinc/60/66/54/191606654.db2.gz JWOPGLPSJBLIJR-ILBNWAPMSA-N 0 3 237.343 2.874 20 0 BFADHN CC1(C)CCC(N[C@H]2CNCCC2(F)F)CC1 ZINC000414995422 191644506 /nfs/dbraw/zinc/64/45/06/191644506.db2.gz FLZGVTBRCSHUBG-NSHDSACASA-N 0 3 246.345 2.542 20 0 BFADHN Cc1cncc(CN2C[C@@H](C)S[C@H](C)C2)c1 ZINC000335820717 355538415 /nfs/dbraw/zinc/53/84/15/355538415.db2.gz NOVQHKVUYJJAEF-VXGBXAGGSA-N 0 3 236.384 2.716 20 0 BFADHN C[C@H](CC1CCOCC1)CN1CC[C@](C)(F)C1 ZINC000349959679 355539341 /nfs/dbraw/zinc/53/93/41/355539341.db2.gz DJCOAZDCGYYWKG-OCCSQVGLSA-N 0 3 243.366 2.873 20 0 BFADHN Cc1cnc(CN2CCC[C@H]2CC(C)C)cn1 ZINC000349960463 355539969 /nfs/dbraw/zinc/53/99/69/355539969.db2.gz BPMOSSDRHWRTQA-AWEZNQCLSA-N 0 3 233.359 2.796 20 0 BFADHN c1cc(CNC2(C3CCC3)CC2)sn1 ZINC000639292169 355515821 /nfs/dbraw/zinc/51/58/21/355515821.db2.gz AFIDBFGMXNIYRO-UHFFFAOYSA-N 0 3 208.330 2.565 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC(F)(F)[C@@H](C)C2)O1 ZINC000352029248 134044800 /nfs/dbraw/zinc/04/48/00/134044800.db2.gz USBDKPRCZSSVNK-HBNTYKKESA-N 0 3 233.302 2.531 20 0 BFADHN Cn1ccnc1[C@H](NC1(C)CC=CC1)C1CC1 ZINC000639295495 355581322 /nfs/dbraw/zinc/58/13/22/355581322.db2.gz JQJSSYYCPIVQAJ-GFCCVEGCSA-N 0 3 231.343 2.570 20 0 BFADHN C[C@H](NCc1nccn1C)[C@H]1CCC[C@H](C)C1 ZINC000449764355 202025904 /nfs/dbraw/zinc/02/59/04/202025904.db2.gz AOYHFGANXODGTB-AVGNSLFASA-N 0 3 235.375 2.725 20 0 BFADHN CC[C@@H]1CN(CC2CCSCC2)[C@H](C)CO1 ZINC000639296012 355591368 /nfs/dbraw/zinc/59/13/68/355591368.db2.gz OGFXUKWNDQIDRZ-DGCLKSJQSA-N 0 3 243.416 2.629 20 0 BFADHN CC(C)C1(CN2CC3(CC3(F)F)C2)CC1 ZINC000639298454 355603838 /nfs/dbraw/zinc/60/38/38/355603838.db2.gz YJBFWVRPNGINGJ-UHFFFAOYSA-N 0 3 215.287 2.764 20 0 BFADHN C[C@H]1CCCCC[C@H]1N1CCc2n[nH]cc2C1 ZINC000623243522 355608966 /nfs/dbraw/zinc/60/89/66/355608966.db2.gz DQJZLSIFDKECFJ-SMDDNHRTSA-N 0 3 233.359 2.737 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](N2CCc3n[nH]cc3C2)C1 ZINC000623243531 355610691 /nfs/dbraw/zinc/61/06/91/355610691.db2.gz DXIRPJHSVGBXKK-UHIISALHSA-N 0 3 233.359 2.593 20 0 BFADHN CC[C@]1(C)CCN(Cc2c(C)noc2C)C1 ZINC000335837255 355565770 /nfs/dbraw/zinc/56/57/70/355565770.db2.gz BKOIIRONRXUTHU-CYBMUJFWSA-N 0 3 222.332 2.913 20 0 BFADHN c1[nH]nc2c1CN([C@H]1CCCC13CCCC3)CC2 ZINC000623242752 355580787 /nfs/dbraw/zinc/58/07/87/355580787.db2.gz HLTMDZHGQBWSDJ-AWEZNQCLSA-N 0 3 245.370 2.881 20 0 BFADHN CC[C@@H](C)N1CCC=C(c2ccccn2)C1 ZINC000583573464 355656654 /nfs/dbraw/zinc/65/66/54/355656654.db2.gz VVKNUDLRLSKEOJ-GFCCVEGCSA-N 0 3 216.328 2.969 20 0 BFADHN CCC[C@@H](C)N(C)C(=O)c1ccc2c(c1)CNC2 ZINC000166558399 355694487 /nfs/dbraw/zinc/69/44/87/355694487.db2.gz WRLPAUXBDACMBV-LLVKDONJSA-N 0 3 246.354 2.550 20 0 BFADHN Cc1nnsc1CNCCCC1CCC1 ZINC000639314351 355696028 /nfs/dbraw/zinc/69/60/28/355696028.db2.gz BWXZSTVGZRELBK-UHFFFAOYSA-N 0 3 225.361 2.516 20 0 BFADHN C[C@@H](NC[C@H]1CCCC1(C)C)c1ccncn1 ZINC000389120148 355696157 /nfs/dbraw/zinc/69/61/57/355696157.db2.gz OJKGMCYQWHTEKV-VXGBXAGGSA-N 0 3 233.359 2.954 20 0 BFADHN CCC[C@H](CN[C@H]1CCc2ccc(O)cc21)OC ZINC000639314959 355706237 /nfs/dbraw/zinc/70/62/37/355706237.db2.gz BFXWKCAIZIMGHY-HIFRSBDPSA-N 0 3 249.354 2.784 20 0 BFADHN COc1cc(CN[C@@H]2CC[C@@H](C)[C@@H](C)C2)on1 ZINC000639323380 355716546 /nfs/dbraw/zinc/71/65/46/355716546.db2.gz BIGORVKQXNNYMJ-OUAUKWLOSA-N 0 3 238.331 2.598 20 0 BFADHN COc1cc(CN[C@H]2CC(C)(C)C[C@H]2C)on1 ZINC000639323382 355716781 /nfs/dbraw/zinc/71/67/81/355716781.db2.gz BLKNBVWDWKMLBV-KOLCDFICSA-N 0 3 238.331 2.598 20 0 BFADHN FC(F)c1ccc(CN2CC[C@H]3C[C@H]3C2)cn1 ZINC000639324170 355718143 /nfs/dbraw/zinc/71/81/43/355718143.db2.gz DSWQXWFTTYAXNX-QWRGUYRKSA-N 0 3 238.281 2.861 20 0 BFADHN CC[C@@H](NCc1cc(OC)no1)C1CCCC1 ZINC000639324451 355719046 /nfs/dbraw/zinc/71/90/46/355719046.db2.gz KYSCMNNOXGBDBA-GFCCVEGCSA-N 0 3 238.331 2.742 20 0 BFADHN CCc1nocc1CN1CCC[C@@H]1CC ZINC000350653226 355737428 /nfs/dbraw/zinc/73/74/28/355737428.db2.gz DBGMAXDVFSVQPE-NSHDSACASA-N 0 3 208.305 2.611 20 0 BFADHN Cc1cc(CN2CC3(CCC3)C[C@@H]2C)on1 ZINC000336010898 134051384 /nfs/dbraw/zinc/05/13/84/134051384.db2.gz LGGCRRMZRIVIEF-NSHDSACASA-N 0 3 220.316 2.748 20 0 BFADHN CC(C)n1cncc1CN1CC[C@H](C2CC2)C1 ZINC000425332019 191813489 /nfs/dbraw/zinc/81/34/89/191813489.db2.gz DFWIITWNKMLSEO-ZDUSSCGKSA-N 0 3 233.359 2.696 20 0 BFADHN CN(C[C@H]1CC1(C)C)[C@@H](c1nccn1C)C1CC1 ZINC000639337168 355775105 /nfs/dbraw/zinc/77/51/05/355775105.db2.gz KNXGIUROHCDESC-CHWSQXEVSA-N 0 3 247.386 2.849 20 0 BFADHN COc1cccc(C)c1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000639325805 355725053 /nfs/dbraw/zinc/72/50/53/355725053.db2.gz UFGQNCUCMJOMCJ-JJLQDPRZSA-N 0 3 231.339 2.892 20 0 BFADHN COc1cc(CNC2C[C@@H](C)C[C@H](C)C2)on1 ZINC000639325378 355727607 /nfs/dbraw/zinc/72/76/07/355727607.db2.gz WRFVXILCLTZVQC-UWVGGRQHSA-N 0 3 238.331 2.598 20 0 BFADHN Cc1cc(CNC[C@@H]2C(C)(C)C2(F)F)sn1 ZINC000639326508 355731533 /nfs/dbraw/zinc/73/15/33/355731533.db2.gz YENSKEGPSSLXRT-SECBINFHSA-N 0 3 246.326 2.832 20 0 BFADHN CO[C@H](CNC1(c2ccc(F)cc2)CC1)C1CC1 ZINC000425410207 191845545 /nfs/dbraw/zinc/84/55/45/191845545.db2.gz AQIIPNSMFCGYRD-CQSZACIVSA-N 0 3 249.329 2.829 20 0 BFADHN CN(Cc1cccc(F)c1N)C[C@@H]1CC1(C)C ZINC000639337659 355776550 /nfs/dbraw/zinc/77/65/50/355776550.db2.gz NPJCMVQSBNTSDD-NSHDSACASA-N 0 3 236.334 2.886 20 0 BFADHN CC1CC(CNCc2nc3ccccc3o2)C1 ZINC000639339484 355780923 /nfs/dbraw/zinc/78/09/23/355780923.db2.gz COKAIHMLIYPOKO-UHFFFAOYSA-N 0 3 230.311 2.964 20 0 BFADHN CC[C@H]([C@H]1CC1(C)C)N1CCc2n[nH]cc2C1 ZINC000639344501 355781227 /nfs/dbraw/zinc/78/12/27/355781227.db2.gz VWRZMXXGPICMKM-DGCLKSJQSA-N 0 3 233.359 2.593 20 0 BFADHN CCC[C@@H](CN1CC(Cc2ccco2)C1)OC ZINC000639348589 355789724 /nfs/dbraw/zinc/78/97/24/355789724.db2.gz BZKVIFJJCYNSKO-AWEZNQCLSA-N 0 3 237.343 2.569 20 0 BFADHN Cc1nnsc1CNCC[C@H]1CC=CCC1 ZINC000639349965 355796196 /nfs/dbraw/zinc/79/61/96/355796196.db2.gz AHSNPNYDGBRXPC-NSHDSACASA-N 0 3 237.372 2.683 20 0 BFADHN CC[C@H](N[C@@H](C)c1cnccn1)[C@@H]1CC1(C)C ZINC000417400634 191827319 /nfs/dbraw/zinc/82/73/19/191827319.db2.gz KJTFLACPJFAZQU-SRVKXCTJSA-N 0 3 233.359 2.952 20 0 BFADHN C/C=C\CNCc1ccccc1OCCCCO ZINC000639353468 355802666 /nfs/dbraw/zinc/80/26/66/355802666.db2.gz UWJDCVHVFFRKSX-IHWYPQMZSA-N 0 3 249.354 2.504 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1cnc(C)cc1C ZINC000425368558 191830005 /nfs/dbraw/zinc/83/00/05/191830005.db2.gz KKFQLLLSUINUIO-CHWSQXEVSA-N 0 3 236.384 2.682 20 0 BFADHN CCC[C@@H](CN1CCc2c(O)cccc2C1)OC ZINC000639355143 355809387 /nfs/dbraw/zinc/80/93/87/355809387.db2.gz PSPSAGZEZFOLPN-ZDUSSCGKSA-N 0 3 249.354 2.565 20 0 BFADHN C[C@@H](c1cccc(F)c1)N(C)CC1(C)COC1 ZINC000608493620 355819260 /nfs/dbraw/zinc/81/92/60/355819260.db2.gz NCFAZENLXBEXSK-NSHDSACASA-N 0 3 237.318 2.855 20 0 BFADHN c1c(CN[C@@H]2CCCC23CC3)onc1C1CC1 ZINC000639360781 355819446 /nfs/dbraw/zinc/81/94/46/355819446.db2.gz OOMCHBTXDSNNFJ-CYBMUJFWSA-N 0 3 232.327 2.974 20 0 BFADHN Cc1ccc(CN(C)CC2(C)COC2)c(F)c1 ZINC000639365222 355837916 /nfs/dbraw/zinc/83/79/16/355837916.db2.gz IFIREMYDEMPABB-UHFFFAOYSA-N 0 3 237.318 2.602 20 0 BFADHN c1coc(CN[C@@H](C2CCC2)[C@@H]2CCCO2)c1 ZINC000631252527 355839917 /nfs/dbraw/zinc/83/99/17/355839917.db2.gz ACAVAGJMCTUYMU-KBPBESRZSA-N 0 3 235.327 2.717 20 0 BFADHN C[C@@H](CCc1ccc(F)cc1)NCc1ncc[nH]1 ZINC000639369652 355856354 /nfs/dbraw/zinc/85/63/54/355856354.db2.gz ATECTNVZSNJYJW-NSHDSACASA-N 0 3 247.317 2.660 20 0 BFADHN Cc1ccc(C)c(CN[C@@H]2CO[C@@H](C3CC3)C2)c1 ZINC000623752575 355867565 /nfs/dbraw/zinc/86/75/65/355867565.db2.gz IBNAWHUCPGKBMP-JKSUJKDBSA-N 0 3 245.366 2.961 20 0 BFADHN COCC1(C2CC2)CN(Cc2ccccc2C)C1 ZINC000639380461 355919187 /nfs/dbraw/zinc/91/91/87/355919187.db2.gz RUSXJDUBLXDSHK-UHFFFAOYSA-N 0 3 245.366 2.853 20 0 BFADHN CC[C@@H](CNC1(C)COC1)c1ccccc1 ZINC000639380814 355919620 /nfs/dbraw/zinc/91/96/20/355919620.db2.gz KQILXIJPYKZQKH-LBPRGKRZSA-N 0 3 219.328 2.559 20 0 BFADHN CN(Cc1cnc[nH]1)[C@@H]1CCCc2ccccc21 ZINC000582216243 355934716 /nfs/dbraw/zinc/93/47/16/355934716.db2.gz SOCFLUTWIUNJRV-OAHLLOKOSA-N 0 3 241.338 2.919 20 0 BFADHN FCCC1CCN(CC2(F)CC2)CC1 ZINC000639376761 355900292 /nfs/dbraw/zinc/90/02/92/355900292.db2.gz YETNKNBATJUQCM-UHFFFAOYSA-N 0 3 203.276 2.560 20 0 BFADHN Cc1ccc(CNC[C@@H]2C[C@H]3C[C@H]3C2)o1 ZINC000639387042 355994935 /nfs/dbraw/zinc/99/49/35/355994935.db2.gz OOZDLRLYVXWHGM-ZSBIGDGJSA-N 0 3 205.301 2.724 20 0 BFADHN C[C@H]1C[C@H]1CN1CCc2ccc(F)cc2C1 ZINC000351490723 356000896 /nfs/dbraw/zinc/00/08/96/356000896.db2.gz HKHPCTOGOFLNID-JQWIXIFHSA-N 0 3 219.303 2.840 20 0 BFADHN C[C@@H](NC1(C2CC2)CC1)c1cn2ccccc2n1 ZINC000583641171 355947027 /nfs/dbraw/zinc/94/70/27/355947027.db2.gz GUQLYLFTHKIPBS-LLVKDONJSA-N 0 3 241.338 2.928 20 0 BFADHN CC[C@@]1(O)CCCN(C/C=C/c2ccccc2)C1 ZINC000639384691 355967489 /nfs/dbraw/zinc/96/74/89/355967489.db2.gz IWBHZMOWQHXLHE-VGZDNEPHSA-N 0 3 245.366 2.937 20 0 BFADHN CCCC[C@@H](CC)CNCc1cocn1 ZINC000192279863 355968303 /nfs/dbraw/zinc/96/83/03/355968303.db2.gz OLMUSLJUXVSXLH-LLVKDONJSA-N 0 3 210.321 2.981 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCCC[C@@H]1c1ncc[nH]1 ZINC000351265928 355969506 /nfs/dbraw/zinc/96/95/06/355969506.db2.gz DGGULRYCXJVYGL-IJLUTSLNSA-N 0 3 219.332 2.593 20 0 BFADHN CC[C@@H](C)[C@@H](CN[C@@H]1C[C@H]1C(F)(F)F)OC ZINC000639390964 356034839 /nfs/dbraw/zinc/03/48/39/356034839.db2.gz RJVLPHRZJLTHHG-ZYUZMQFOSA-N 0 3 239.281 2.588 20 0 BFADHN CCc1nocc1CN(C)[C@H](C)CCSC ZINC000351640624 356040411 /nfs/dbraw/zinc/04/04/11/356040411.db2.gz IUPCQGRJXDKJQJ-SNVBAGLBSA-N 0 3 242.388 2.810 20 0 BFADHN c1n[nH]cc1CN1CCC[C@@H](C2CCC2)CC1 ZINC000639392216 356051024 /nfs/dbraw/zinc/05/10/24/356051024.db2.gz SXPQLDGPFIVUFC-CQSZACIVSA-N 0 3 233.359 2.812 20 0 BFADHN CC1(F)CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC000639389164 356011368 /nfs/dbraw/zinc/01/13/68/356011368.db2.gz RXDWXORIESKFFS-UHFFFAOYSA-N 0 3 249.329 2.946 20 0 BFADHN CC1(F)CCN(Cc2[nH]nc3ccccc32)CC1 ZINC000639389192 356011923 /nfs/dbraw/zinc/01/19/23/356011923.db2.gz SRDRRWDSPHPSEW-UHFFFAOYSA-N 0 3 247.317 2.887 20 0 BFADHN CC1(F)CCN(Cc2ccc(O)cc2)CC1 ZINC000639389452 356015124 /nfs/dbraw/zinc/01/51/24/356015124.db2.gz WVCVFOOPMZYYTC-UHFFFAOYSA-N 0 3 223.291 2.716 20 0 BFADHN Cc1cc(CN[C@]23C[C@H]2CCCC3)sn1 ZINC000639395887 356106778 /nfs/dbraw/zinc/10/67/78/356106778.db2.gz OQQQGEPNRXKOOZ-ZYHUDNBSSA-N 0 3 222.357 2.874 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1CC[C@@H](C)[C@H](C)C1 ZINC000351722164 356071275 /nfs/dbraw/zinc/07/12/75/356071275.db2.gz VKJAXXHMAZUNMF-UPJWGTAASA-N 0 3 241.375 2.552 20 0 BFADHN Cc1ccc(CCCN2CC(C)(C)C2)cn1 ZINC000639393728 356072787 /nfs/dbraw/zinc/07/27/87/356072787.db2.gz SUBYQRDGDCRLCI-UHFFFAOYSA-N 0 3 218.344 2.664 20 0 BFADHN Cc1nc(C)c(CN[C@]23C[C@H]2CCCC3)o1 ZINC000639394408 356073021 /nfs/dbraw/zinc/07/30/21/356073021.db2.gz JJMBKQNRFDWBGQ-DGCLKSJQSA-N 0 3 220.316 2.714 20 0 BFADHN Cc1ncc(CN(CC(C)C)C(C)C)cn1 ZINC000351842861 356096110 /nfs/dbraw/zinc/09/61/10/356096110.db2.gz AIABSWOBAZUBAR-UHFFFAOYSA-N 0 3 221.348 2.651 20 0 BFADHN CC[C@@H](CN1CCOC[C@@H]1C)c1ccccc1 ZINC000351871873 356104398 /nfs/dbraw/zinc/10/43/98/356104398.db2.gz ARVSSWYFKHKLHL-KBPBESRZSA-N 0 3 233.355 2.901 20 0 BFADHN CCc1noc(C)c1CN1C[C@H](C)C[C@@H]1C ZINC000335917287 356160877 /nfs/dbraw/zinc/16/08/77/356160877.db2.gz XEFFTSYGWJAPJE-ZJUUUORDSA-N 0 3 222.332 2.776 20 0 BFADHN C[C@@H](CN(C)Cc1cnn2c1CCC2)C(C)(C)C ZINC000639399787 356131543 /nfs/dbraw/zinc/13/15/43/356131543.db2.gz UKTNTNCXPUHXKR-LBPRGKRZSA-N 0 3 249.402 2.943 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@](O)(C2CC2)C1 ZINC000336096786 134080342 /nfs/dbraw/zinc/08/03/42/134080342.db2.gz QMCHRUBQCBUXJU-IAQYHMDHSA-N 0 3 249.329 2.734 20 0 BFADHN Cc1nccc(CN(C)C[C@@H](C)C(C)(C)C)n1 ZINC000639400138 356136446 /nfs/dbraw/zinc/13/64/46/356136446.db2.gz ZOWFXDZVMKZLEN-LLVKDONJSA-N 0 3 235.375 2.899 20 0 BFADHN Cc1c[nH]nc1CN(C)C[C@H](C)C(C)(C)C ZINC000639400000 356138277 /nfs/dbraw/zinc/13/82/77/356138277.db2.gz VMXMXVXHLCEVLF-NSHDSACASA-N 0 3 223.364 2.832 20 0 BFADHN CCc1nnc([C@@H](C)N[C@H]2CCC23CCCC3)[nH]1 ZINC000329917442 356143915 /nfs/dbraw/zinc/14/39/15/356143915.db2.gz FJADHYFCBCMRMK-MNOVXSKESA-N 0 3 248.374 2.741 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@H]2CCC23CCCC3)[n-]1 ZINC000329917442 356143918 /nfs/dbraw/zinc/14/39/18/356143918.db2.gz FJADHYFCBCMRMK-MNOVXSKESA-N 0 3 248.374 2.741 20 0 BFADHN COc1ccccc1CN(C)C[C@H]1CCO[C@@H]1C ZINC000639440109 356217681 /nfs/dbraw/zinc/21/76/81/356217681.db2.gz AEOBFGNDNPVENT-CHWSQXEVSA-N 0 3 249.354 2.552 20 0 BFADHN C[C@H](NCc1ccn(C)n1)[C@H]1CCC[C@@H](C)C1 ZINC000449765436 202027362 /nfs/dbraw/zinc/02/73/62/202027362.db2.gz IFYKMPRQMWSEOM-AGIUHOORSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@H](NCc1ccn(C)n1)[C@@H]1CCC[C@H](C)C1 ZINC000449765439 202027532 /nfs/dbraw/zinc/02/75/32/202027532.db2.gz IFYKMPRQMWSEOM-RWMBFGLXSA-N 0 3 235.375 2.725 20 0 BFADHN CC1(C)CCC[C@@H]([NH2+]Cc2nnc(C3CC3)[n-]2)C1 ZINC000331547445 356201596 /nfs/dbraw/zinc/20/15/96/356201596.db2.gz RHEQUDAJAOBSES-LLVKDONJSA-N 0 3 248.374 2.741 20 0 BFADHN CC1(C)CCC[C@@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC000331547445 356201600 /nfs/dbraw/zinc/20/16/00/356201600.db2.gz RHEQUDAJAOBSES-LLVKDONJSA-N 0 3 248.374 2.741 20 0 BFADHN Cc1cc(CN2CC[C@@]3(CC[C@@H](C)C3)C2)ncn1 ZINC000336110416 134086433 /nfs/dbraw/zinc/08/64/33/134086433.db2.gz QYPHHSRJJNKFNO-IUODEOHRSA-N 0 3 245.370 2.797 20 0 BFADHN CCN1CCN(CC2=CCCCC2)[C@H](C)C1 ZINC000336255420 356324814 /nfs/dbraw/zinc/32/48/14/356324814.db2.gz OAEUYIJTFKVPMW-CYBMUJFWSA-N 0 3 222.376 2.513 20 0 BFADHN CC(=O)CN1CCC[C@H]1Cc1ccc(C)cc1 ZINC000639488388 356305186 /nfs/dbraw/zinc/30/51/86/356305186.db2.gz HUYINORJMUFREA-HNNXBMFYSA-N 0 3 231.339 2.591 20 0 BFADHN CC(=O)CN1CCC[C@@H]1Cc1ccccc1C ZINC000639492087 356313239 /nfs/dbraw/zinc/31/32/39/356313239.db2.gz WQGWVOFRGWTTMP-OAHLLOKOSA-N 0 3 231.339 2.591 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1C[C@H]1CC(C)(C)CO1 ZINC000639492940 356314107 /nfs/dbraw/zinc/31/41/07/356314107.db2.gz MUJNAMLVMSJPNU-GRYCIOLGSA-N 0 3 243.416 2.627 20 0 BFADHN CC[C@H]1CN(C[C@H]2CC(C)(C)CO2)CCS1 ZINC000639502644 356332476 /nfs/dbraw/zinc/33/24/76/356332476.db2.gz COIPDVZSJYSHCP-NEPJUHHUSA-N 0 3 243.416 2.629 20 0 BFADHN CC(=O)CN1CC[C@@H](Cc2ccccc2)[C@@H]1C ZINC000639509009 356338129 /nfs/dbraw/zinc/33/81/29/356338129.db2.gz WJHYCQWKJBKTLJ-ZFWWWQNUSA-N 0 3 231.339 2.529 20 0 BFADHN CC(=O)CN1CC[C@@H](Cc2ccccc2)[C@H]1C ZINC000639509004 356338587 /nfs/dbraw/zinc/33/85/87/356338587.db2.gz WJHYCQWKJBKTLJ-HIFRSBDPSA-N 0 3 231.339 2.529 20 0 BFADHN CC1(C)CO[C@H](CN2CCSC(C)(C)C2)C1 ZINC000639525872 356373317 /nfs/dbraw/zinc/37/33/17/356373317.db2.gz HJGJZPUZLNHWSL-NSHDSACASA-N 0 3 243.416 2.629 20 0 BFADHN CC(=O)CN[C@@H](c1ccccc1)C1CCC1 ZINC000639530005 356379455 /nfs/dbraw/zinc/37/94/55/356379455.db2.gz OHFKYJSOMGZRPE-AWEZNQCLSA-N 0 3 217.312 2.706 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1ccno1 ZINC000336495491 356379939 /nfs/dbraw/zinc/37/99/39/356379939.db2.gz NTIPVRPLVDQHFI-LLVKDONJSA-N 0 3 208.305 2.829 20 0 BFADHN CCN1CCN(C/C=C\c2ccccc2)[C@@H](C)C1 ZINC000352668832 356400500 /nfs/dbraw/zinc/40/05/00/356400500.db2.gz VFXDLFYZARPZSE-XMELGKRDSA-N 0 3 244.382 2.726 20 0 BFADHN CCN(Cc1ccc(F)c(Cl)c1)C[C@@H](C)O ZINC000352194378 134097968 /nfs/dbraw/zinc/09/79/68/134097968.db2.gz JGNHQLAFWMGSTA-SECBINFHSA-N 0 3 245.725 2.682 20 0 BFADHN Cc1cccc(C)c1CN(C)[C@H]1CCCOC1 ZINC000352193990 134098421 /nfs/dbraw/zinc/09/84/21/134098421.db2.gz GXWOTJOZRKFIPO-AWEZNQCLSA-N 0 3 233.355 2.914 20 0 BFADHN C[C@@H]1OCC[C@H]1CN1CC=C(C(F)(F)F)CC1 ZINC000639547880 356411455 /nfs/dbraw/zinc/41/14/55/356411455.db2.gz NHIGRZPJRWNUGN-UWVGGRQHSA-N 0 3 249.276 2.606 20 0 BFADHN CCC[C@@H](NC[C@H]1C[C@@H](O)C1)c1cc(C)ccn1 ZINC000631649895 356419200 /nfs/dbraw/zinc/41/92/00/356419200.db2.gz BOTADOPEEJRRIE-HZSPNIEDSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1cc(CN[C@@H]2CO[C@H](C3CC3)C2)cs1 ZINC000623755088 356452785 /nfs/dbraw/zinc/45/27/85/356452785.db2.gz ZDDKXAPZKSAWFO-STQMWFEESA-N 0 3 237.368 2.714 20 0 BFADHN C[C@H]1OCC[C@H]1CN1CCc2cc(F)ccc2C1 ZINC000639557989 356463302 /nfs/dbraw/zinc/46/33/02/356463302.db2.gz VWAOIKSSAWSBAM-YPMHNXCESA-N 0 3 249.329 2.609 20 0 BFADHN Fc1ccc(CCNCc2ccccn2)cc1F ZINC000190282285 356437920 /nfs/dbraw/zinc/43/79/20/356437920.db2.gz BPRHBKPKDDAINO-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN C[C@@H](c1cccnc1)N(C)C[C@@H]1CC(C)(C)CO1 ZINC000639551826 356440738 /nfs/dbraw/zinc/44/07/38/356440738.db2.gz SGGQMKMDEDQCDI-JSGCOSHPSA-N 0 3 248.370 2.890 20 0 BFADHN CC[C@@H](C)[C@@H](N)C(=O)Nc1cccc(C)c1C ZINC000019479256 356483582 /nfs/dbraw/zinc/48/35/82/356483582.db2.gz QVLFBFLNOWKHCO-NOZJJQNGSA-N 0 3 234.343 2.615 20 0 BFADHN CC[C@]1(C)CCCN(Cc2ccnc(C)n2)C1 ZINC000336164341 134112252 /nfs/dbraw/zinc/11/22/52/134112252.db2.gz OSVDBUWUVGKMFS-CQSZACIVSA-N 0 3 233.359 2.797 20 0 BFADHN CC(=O)CN[C@@H]1CCCC[C@@H]1Cc1ccccc1 ZINC000639589962 356512279 /nfs/dbraw/zinc/51/22/79/356512279.db2.gz RNRLRSFBGVDOKG-HZPDHXFCSA-N 0 3 245.366 2.967 20 0 BFADHN CC(=O)CN[C@@H](Cc1ccc(F)cc1)C(C)C ZINC000639569714 356494449 /nfs/dbraw/zinc/49/44/49/356494449.db2.gz JCFDHVAWUXKOMQ-AWEZNQCLSA-N 0 3 237.318 2.571 20 0 BFADHN CC[C@@H](NCC(C)=O)C(C)(C)c1ccccc1 ZINC000639568616 356494462 /nfs/dbraw/zinc/49/44/62/356494462.db2.gz BYTSOUGOLYVSSS-CQSZACIVSA-N 0 3 233.355 2.921 20 0 BFADHN Cc1cc(C)c(CN2CC=CCC2)c(C)n1 ZINC000639571752 356496462 /nfs/dbraw/zinc/49/64/62/356496462.db2.gz LHNRYLQMRYSUST-UHFFFAOYSA-N 0 3 216.328 2.769 20 0 BFADHN CC[C@H](NC[C@H]1CCO[C@H]1C)c1nccs1 ZINC000639579747 356500859 /nfs/dbraw/zinc/50/08/59/356500859.db2.gz KHCZQJNJSSXOMC-AXFHLTTASA-N 0 3 240.372 2.609 20 0 BFADHN CC(=O)CNC1(Cc2ccccc2)CCCC1 ZINC000639582389 356502945 /nfs/dbraw/zinc/50/29/45/356502945.db2.gz AEUQPKYOSGRONZ-UHFFFAOYSA-N 0 3 231.339 2.721 20 0 BFADHN CC(=O)CN1CCC[C@@]1(C)Cc1ccccc1 ZINC000639586546 356504910 /nfs/dbraw/zinc/50/49/10/356504910.db2.gz HSZVHGNGHVXPFO-HNNXBMFYSA-N 0 3 231.339 2.673 20 0 BFADHN Cc1cnc([C@H](C)NC[C@@H]2CCO[C@@H]2C)s1 ZINC000639584200 356507288 /nfs/dbraw/zinc/50/72/88/356507288.db2.gz KXEXBQNJFMYCDF-AXFHLTTASA-N 0 3 240.372 2.527 20 0 BFADHN CC[C@@H]1CN(CCCCF)C[C@@H](CC)O1 ZINC000420949715 192276794 /nfs/dbraw/zinc/27/67/94/192276794.db2.gz FRUVQUPONJDROM-VXGBXAGGSA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@@H]1CN(CCCSC)C[C@H](CC)O1 ZINC000420955752 192279494 /nfs/dbraw/zinc/27/94/94/192279494.db2.gz MCFPRJQIIFFRAN-TXEJJXNPSA-N 0 3 231.405 2.629 20 0 BFADHN CC[C@]1(NCc2c(C)cc(C)nc2C)CCOC1 ZINC000639611061 356529467 /nfs/dbraw/zinc/52/94/67/356529467.db2.gz PWGIFRAYLOEXHE-HNNXBMFYSA-N 0 3 248.370 2.666 20 0 BFADHN CCOCCN1CCC[C@@H](CC(F)(F)F)C1 ZINC000420947344 192275408 /nfs/dbraw/zinc/27/54/08/192275408.db2.gz MSJWFGHIBWUECB-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN Cc1cc([C@@H](C)NCC(C)(C)CO)c(C)o1 ZINC000088170623 491095027 /nfs/dbraw/zinc/09/50/27/491095027.db2.gz ZODXXKSHANOJBP-SNVBAGLBSA-N 0 3 225.332 2.566 20 0 BFADHN CC(=O)CN1C[C@@H](c2ccc(C)cc2)C[C@H]1C ZINC000639617561 356534452 /nfs/dbraw/zinc/53/44/52/356534452.db2.gz XMXBMGBMNXTNCR-DOMZBBRYSA-N 0 3 231.339 2.762 20 0 BFADHN C[C@H](NC1C[C@@H](C)O[C@H](C)C1)c1ccoc1 ZINC000179564138 366272027 /nfs/dbraw/zinc/27/20/27/366272027.db2.gz VAVHKALLMYWHPA-MXWKQRLJSA-N 0 3 223.316 2.886 20 0 BFADHN C[C@@H](c1ccco1)N(C[C@H]1C[C@@H](O)C1)C1CC1 ZINC000420939491 192289396 /nfs/dbraw/zinc/28/93/96/192289396.db2.gz QJTPGTZCISFEHR-GMXVVIOVSA-N 0 3 235.327 2.576 20 0 BFADHN CC[C@H]1CN(CC2CC(F)(F)C2)C[C@H](C)O1 ZINC000336195474 134125656 /nfs/dbraw/zinc/12/56/56/134125656.db2.gz QWIQYMZILPOEAK-ONGXEEELSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@H](NCCN1CCCC1(C)C)c1ccccn1 ZINC000449784225 202034051 /nfs/dbraw/zinc/03/40/51/202034051.db2.gz WCMLKDJVUWOQCM-ZDUSSCGKSA-N 0 3 247.386 2.607 20 0 BFADHN Cc1cc(C)cc(CN2CC[C@]23CCOC3)c1 ZINC000421011158 192300111 /nfs/dbraw/zinc/30/01/11/192300111.db2.gz DCIZYCYXPHNZNK-OAHLLOKOSA-N 0 3 231.339 2.668 20 0 BFADHN COC[C@H]1CCCN(Cc2ccc(C)cn2)CC1 ZINC000449782112 202036409 /nfs/dbraw/zinc/03/64/09/202036409.db2.gz YQZIXHMZODLXON-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1cc(C)c(CN[C@]2(C)CCO[C@H]2C)c(C)n1 ZINC000639636553 356550599 /nfs/dbraw/zinc/55/05/99/356550599.db2.gz XJODKRUMVCETRW-DZGCQCFKSA-N 0 3 248.370 2.664 20 0 BFADHN c1ccc([C@@H]2C[C@H]2CN2CC[C@@]23CCOC3)cc1 ZINC000421019479 192303362 /nfs/dbraw/zinc/30/33/62/192303362.db2.gz MIKZICAFNCONDP-JYJNAYRXSA-N 0 3 243.350 2.655 20 0 BFADHN C[C@@H]1[C@H](C)N(C)CCN1CC1=CCCCC1 ZINC000336198884 134128011 /nfs/dbraw/zinc/12/80/11/134128011.db2.gz VSCPTSUFKGUGLO-QWHCGFSZSA-N 0 3 222.376 2.511 20 0 BFADHN C[C@H]1CN(C[C@H]2CC(C)(C)CO2)CCC1(F)F ZINC000639645000 356558312 /nfs/dbraw/zinc/55/83/12/356558312.db2.gz CJNMLQNELKXZDQ-WDEREUQCSA-N 0 3 247.329 2.779 20 0 BFADHN CC(C)(C)CCCN1CC[C@@]12CCOC2 ZINC000421030082 192308640 /nfs/dbraw/zinc/30/86/40/192308640.db2.gz YAXLEWVGVRCNJC-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN CC(=O)CN(C)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000639646149 356559741 /nfs/dbraw/zinc/55/97/41/356559741.db2.gz OEVKEUAPIVJPGB-AOOOYVTPSA-N 0 3 237.265 2.628 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1cccc2c1CCOC2 ZINC000639654680 356560175 /nfs/dbraw/zinc/56/01/75/356560175.db2.gz FGCCWXONRKJBOU-NWDGAFQWSA-N 0 3 231.339 2.600 20 0 BFADHN CCc1cc(N2CCCO[C@H](CC)C2)ccn1 ZINC000336201253 134130377 /nfs/dbraw/zinc/13/03/77/134130377.db2.gz BTIXXTDXHFBQLV-CQSZACIVSA-N 0 3 234.343 2.649 20 0 BFADHN CC(=O)CN1[C@H](C)C[C@H](c2ccccc2)[C@@H]1C ZINC000639659302 356567532 /nfs/dbraw/zinc/56/75/32/356567532.db2.gz JVTBDCPVPYKMQG-ZLDLUXBVSA-N 0 3 231.339 2.842 20 0 BFADHN C[C@H]1CSC[C@H]1NCc1cc2cccnc2o1 ZINC000336204907 134131687 /nfs/dbraw/zinc/13/16/87/134131687.db2.gz YADXDPZTIXPGHP-JOYOIKCWSA-N 0 3 248.351 2.669 20 0 BFADHN C[C@H]1CC[C@H](N(C)Cc2ccncc2F)C1 ZINC000336208451 134133340 /nfs/dbraw/zinc/13/33/40/134133340.db2.gz LNNIVHONDSDSQP-JQWIXIFHSA-N 0 3 222.307 2.841 20 0 BFADHN CC(=O)CN(C)[C@H]1CCC[C@H]1C(C)(C)C ZINC000639674980 356591339 /nfs/dbraw/zinc/59/13/39/356591339.db2.gz YEDNLNGJTAJQDG-NEPJUHHUSA-N 0 3 211.349 2.722 20 0 BFADHN Cc1cccc2ncc(CN3CC[C@@H]3C3CC3)n21 ZINC000336216087 134136571 /nfs/dbraw/zinc/13/65/71/134136571.db2.gz APZUUICJODJDJS-CQSZACIVSA-N 0 3 241.338 2.627 20 0 BFADHN CC(=O)CN(C)[C@@H]1CCC[C@H]1c1ccccc1 ZINC000639670366 356586934 /nfs/dbraw/zinc/58/69/34/356586934.db2.gz HTGWVWZGYDGDBP-LSDHHAIUSA-N 0 3 231.339 2.844 20 0 BFADHN Fc1cc(F)cc(CN[C@H]2CCCSC2)c1 ZINC000162837225 202078201 /nfs/dbraw/zinc/07/82/01/202078201.db2.gz HNPXBJDTVIRYRS-LBPRGKRZSA-N 0 3 243.322 2.950 20 0 BFADHN CC(=O)CN1CC[C@@H](c2ccccc2)C1(C)C ZINC000639684431 356600687 /nfs/dbraw/zinc/60/06/87/356600687.db2.gz GQXSLRRRORQDLB-AWEZNQCLSA-N 0 3 231.339 2.844 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](C)C(C)(C)O)o1 ZINC000381621574 538468592 /nfs/dbraw/zinc/46/85/92/538468592.db2.gz AGBZRVOXVQEQAJ-VHSXEESVSA-N 0 3 225.332 2.652 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC(F)(F)C2)o1 ZINC000379865120 538461324 /nfs/dbraw/zinc/46/13/24/538461324.db2.gz NTVSIWDEJBQLQV-SECBINFHSA-N 0 3 215.243 2.865 20 0 BFADHN CCC[C@H](O)CN(C)Cc1ccc(Cl)cc1 ZINC000450240011 202104541 /nfs/dbraw/zinc/10/45/41/202104541.db2.gz GAWOTYXJUBZIFJ-ZDUSSCGKSA-N 0 3 241.762 2.933 20 0 BFADHN CCCN(CCO)Cc1c(C)cccc1Cl ZINC000450262725 202113533 /nfs/dbraw/zinc/11/35/33/202113533.db2.gz OBZIDJGGCMZGKP-UHFFFAOYSA-N 0 3 241.762 2.853 20 0 BFADHN C1=C(CCN2CCSCC2)CCCC1 ZINC000450267708 202113840 /nfs/dbraw/zinc/11/38/40/202113840.db2.gz XRPQSPNYPLHYEK-UHFFFAOYSA-N 0 3 211.374 2.926 20 0 BFADHN CCCc1ccc(CN[C@H]2C[C@@H](O)C2(C)C)cc1 ZINC000582234512 356637930 /nfs/dbraw/zinc/63/79/30/356637930.db2.gz BQGXCUBRUCAWES-LSDHHAIUSA-N 0 3 247.382 2.888 20 0 BFADHN CCc1cc(N(C)Cc2ccoc2)ccn1 ZINC000450269342 202114785 /nfs/dbraw/zinc/11/47/85/202114785.db2.gz LVZUDOFEEUTBFH-UHFFFAOYSA-N 0 3 216.284 2.873 20 0 BFADHN CN(CC1=CCCCC1)C[C@@H]1CCCCO1 ZINC000450282609 202118231 /nfs/dbraw/zinc/11/82/31/202118231.db2.gz GZIALXMSSZELDO-AWEZNQCLSA-N 0 3 223.360 2.988 20 0 BFADHN C1=C(CN2CCO[C@H]3CCC[C@@H]32)CCCC1 ZINC000450282557 202119433 /nfs/dbraw/zinc/11/94/33/202119433.db2.gz HRMZINMOZUAIKN-KBPBESRZSA-N 0 3 221.344 2.740 20 0 BFADHN Cc1nn(C)cc1CN[C@@H]1CC12CCCCC2 ZINC000387187083 363536615 /nfs/dbraw/zinc/53/66/15/363536615.db2.gz VYMRTDOJLMPISE-CYBMUJFWSA-N 0 3 233.359 2.541 20 0 BFADHN C[C@@H]1CN(CC2=CCCCC2)CC(C)(C)O1 ZINC000450288954 202120157 /nfs/dbraw/zinc/12/01/57/202120157.db2.gz NSVQWNUYOBRZLE-GFCCVEGCSA-N 0 3 223.360 2.986 20 0 BFADHN CC1(C)COCCN1CCC1=CCCCC1 ZINC000450286972 202121111 /nfs/dbraw/zinc/12/11/11/202121111.db2.gz NACQFPILOQNXCG-UHFFFAOYSA-N 0 3 223.360 2.988 20 0 BFADHN CCc1cc(N2C[C@@H](C)O[C@@H](CC)C2)ccn1 ZINC000450335933 202132843 /nfs/dbraw/zinc/13/28/43/202132843.db2.gz NBVJJEBXEOHULW-RISCZKNCSA-N 0 3 234.343 2.648 20 0 BFADHN COC1CCN([C@H](C)c2ccc(F)cc2)CC1 ZINC000053907027 363558046 /nfs/dbraw/zinc/55/80/46/363558046.db2.gz RHSQWYJRNPJCLV-LLVKDONJSA-N 0 3 237.318 2.998 20 0 BFADHN CC1CC(N[C@@H]2CCCc3scnc32)C1 ZINC000623917855 356655592 /nfs/dbraw/zinc/65/55/92/356655592.db2.gz AALUMLNSUPMOJH-UDNWOFFPSA-N 0 3 222.357 2.909 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)O[C@@H]1C)c1cccc(C#N)c1 ZINC000384563468 356664775 /nfs/dbraw/zinc/66/47/75/356664775.db2.gz ICPHWYLJVVSQPW-ZAZJYDDPSA-N 0 3 244.338 2.775 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](C)O[C@H]1C)c1cccc(C#N)c1 ZINC000384563467 356665045 /nfs/dbraw/zinc/66/50/45/356665045.db2.gz ICPHWYLJVVSQPW-YXMPFFBPSA-N 0 3 244.338 2.775 20 0 BFADHN CC(C)c1ccccc1CN(C)C[C@@H](C)O ZINC000675075539 538510011 /nfs/dbraw/zinc/51/00/11/538510011.db2.gz ROMQHPHXEXKKFC-GFCCVEGCSA-N 0 3 221.344 2.623 20 0 BFADHN CCc1cc(N2CCSC[C@@H]2C)ccn1 ZINC000450348175 202138337 /nfs/dbraw/zinc/13/83/37/202138337.db2.gz REELOBPQCKXDMZ-JTQLQIEISA-N 0 3 222.357 2.586 20 0 BFADHN CC(C)c1nc2n(n1)CCC[C@H]2N[C@H](C)C1CC1 ZINC000168018273 134155239 /nfs/dbraw/zinc/15/52/39/134155239.db2.gz ANOGIDGFJHVWST-ZYHUDNBSSA-N 0 3 248.374 2.625 20 0 BFADHN CCc1cc(N2CCS[C@@H](CC)C2)ccn1 ZINC000450363984 202144980 /nfs/dbraw/zinc/14/49/80/202144980.db2.gz WVOKUBRSBAHZOK-ZDUSSCGKSA-N 0 3 236.384 2.976 20 0 BFADHN CC[C@@H]1CN(CC2=CCCCC2)C[C@@H](C)O1 ZINC000450386818 202148231 /nfs/dbraw/zinc/14/82/31/202148231.db2.gz FSAZUSCWGUDWBI-TZMCWYRMSA-N 0 3 223.360 2.986 20 0 BFADHN C[C@@H]1Cc2ccccc2CN1CC1=CCCOC1 ZINC000179910908 366333286 /nfs/dbraw/zinc/33/32/86/366333286.db2.gz RTAQCLLZAHTATI-CYBMUJFWSA-N 0 3 243.350 2.780 20 0 BFADHN CC(C)n1cc(CN2C[C@@H]3[C@H](C2)C3(C)C)cn1 ZINC000179923511 366335086 /nfs/dbraw/zinc/33/50/86/366335086.db2.gz HVJPEFJUDXCHKZ-BETUJISGSA-N 0 3 233.359 2.552 20 0 BFADHN CCc1cc(N2CC[C@](C)(F)C2)ccn1 ZINC000450421556 202159862 /nfs/dbraw/zinc/15/98/62/202159862.db2.gz STRAZCMUABNSHI-LBPRGKRZSA-N 0 3 208.280 2.582 20 0 BFADHN CC(C)C[C@H]1COCCN1CC1CC(F)(F)C1 ZINC000450399223 202153822 /nfs/dbraw/zinc/15/38/22/202153822.db2.gz CFJPCRIOSIZZOL-LBPRGKRZSA-N 0 3 247.329 2.779 20 0 BFADHN CC[C@H]1COCCN1C[C@H]1CCCCC1(F)F ZINC000450407440 202156034 /nfs/dbraw/zinc/15/60/34/202156034.db2.gz HUVFAZBHNOTXLM-NEPJUHHUSA-N 0 3 247.329 2.923 20 0 BFADHN CC[C@H]1COCCN1CCC1=CCCCC1 ZINC000450414220 202157268 /nfs/dbraw/zinc/15/72/68/202157268.db2.gz WZIDQFVASIDMLG-AWEZNQCLSA-N 0 3 223.360 2.988 20 0 BFADHN CCN(Cc1cn2ccccc2n1)C1CCC1 ZINC000353873826 356723573 /nfs/dbraw/zinc/72/35/73/356723573.db2.gz MMCDPBXJTMOSPE-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN CC/C=C/CCN1CCC[C@H]1c1cn[nH]c1 ZINC000639778151 356725129 /nfs/dbraw/zinc/72/51/29/356725129.db2.gz PTBULZFTDJLEIC-OOPCZODUSA-N 0 3 219.332 2.903 20 0 BFADHN C[C@@H](CC(C)(C)O)NCc1ccc(F)cc1F ZINC000384724313 356730991 /nfs/dbraw/zinc/73/09/91/356730991.db2.gz HYHKNFJYSASEGN-VIFPVBQESA-N 0 3 243.297 2.604 20 0 BFADHN CCN(Cc1cnc2cccc(C)n12)CC1CC1 ZINC000353557103 356714074 /nfs/dbraw/zinc/71/40/74/356714074.db2.gz TUFWNKLKSGMPRK-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN CC(=O)c1ccc(CN2C[C@H](C)[C@@H](C)C2)cc1 ZINC000450456430 202169564 /nfs/dbraw/zinc/16/95/64/202169564.db2.gz PWLRBNXYOBNSGP-RYUDHWBXSA-N 0 3 231.339 2.977 20 0 BFADHN CC(C)CCN1CCC=C(c2cnn(C)c2)C1 ZINC000639794786 356751131 /nfs/dbraw/zinc/75/11/31/356751131.db2.gz UDFSLQYMNSKJQT-UHFFFAOYSA-N 0 3 233.359 2.555 20 0 BFADHN Cc1ccc(CN[C@@H](C)CC(F)(F)F)cn1 ZINC000166626262 538620331 /nfs/dbraw/zinc/62/03/31/538620331.db2.gz ZGRBNZOAJZZSGO-VIFPVBQESA-N 0 3 232.249 2.821 20 0 BFADHN C[C@@H]1OCC[C@H]1CN1CC[C@H]1c1ccc(F)cc1 ZINC000639799578 356761056 /nfs/dbraw/zinc/76/10/56/356761056.db2.gz FBLQLUWJSIRNHH-WHOFXGATSA-N 0 3 249.329 2.998 20 0 BFADHN CCC(F)(F)C(C)(C)CNCc1ccnn1C ZINC000623355838 356788222 /nfs/dbraw/zinc/78/82/22/356788222.db2.gz YEHFWWNTVYADPU-UHFFFAOYSA-N 0 3 245.317 2.581 20 0 BFADHN C[C@@H]1OCCN(C[C@@H]2CCCCC2(F)F)[C@H]1C ZINC000450495027 202184352 /nfs/dbraw/zinc/18/43/52/202184352.db2.gz QYXWHZNQTQMMFI-SRVKXCTJSA-N 0 3 247.329 2.921 20 0 BFADHN CCc1cc(N2C[C@@H](C)O[C@H](C3CC3)C2)ccn1 ZINC000450492376 202184367 /nfs/dbraw/zinc/18/43/67/202184367.db2.gz SVXHEXYOQVTMHK-ABAIWWIYSA-N 0 3 246.354 2.648 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cnn(CC)c1 ZINC000356518174 356798298 /nfs/dbraw/zinc/79/82/98/356798298.db2.gz GSIFKFKZCCSXBV-GFCCVEGCSA-N 0 3 223.364 2.914 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cn(C)nc1C ZINC000356533402 356798849 /nfs/dbraw/zinc/79/88/49/356798849.db2.gz VNYHFKTYBXCEGF-LLVKDONJSA-N 0 3 223.364 2.739 20 0 BFADHN CCc1cc(N2CCc3ncsc3C2)ccn1 ZINC000450472641 202177457 /nfs/dbraw/zinc/17/74/57/202177457.db2.gz MERXSKVDSSAPHG-UHFFFAOYSA-N 0 3 245.351 2.663 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccc(SC)s1 ZINC000356047408 356786727 /nfs/dbraw/zinc/78/67/27/356786727.db2.gz BIDILYINFZEUJA-SECBINFHSA-N 0 3 245.413 2.937 20 0 BFADHN CCN(Cc1n[nH]cc1C)C1CCCCC1 ZINC000356061824 356787387 /nfs/dbraw/zinc/78/73/87/356787387.db2.gz SVWSOXJDOKJJDE-UHFFFAOYSA-N 0 3 221.348 2.873 20 0 BFADHN CCc1cnccc1[C@@H](C)NCc1cc[nH]c1 ZINC000358502462 356870070 /nfs/dbraw/zinc/87/00/70/356870070.db2.gz BVMJSIXNSKAEOA-LLVKDONJSA-N 0 3 229.327 2.823 20 0 BFADHN Cc1noc(C)c1CCN1CCC2(CCC2)C1 ZINC000166396234 538729489 /nfs/dbraw/zinc/72/94/89/538729489.db2.gz BMJITMLEGPXFOC-UHFFFAOYSA-N 0 3 234.343 2.710 20 0 BFADHN CCC(F)(F)C(C)(C)CNCc1cnn(C)c1 ZINC000623372881 356882259 /nfs/dbraw/zinc/88/22/59/356882259.db2.gz KFSFPPDTNQIOMG-UHFFFAOYSA-N 0 3 245.317 2.581 20 0 BFADHN CC[C@H](NCc1ccc(Cl)o1)[C@H]1CCOC1 ZINC000390516578 356884880 /nfs/dbraw/zinc/88/48/80/356884880.db2.gz DCSKOGCKZIIFTO-ONGXEEELSA-N 0 3 243.734 2.838 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@H](CC)COC ZINC000359308031 356887925 /nfs/dbraw/zinc/88/79/25/356887925.db2.gz WAMIHCCIZNYXGF-WCQYABFASA-N 0 3 236.359 2.720 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1Cc1cnns1 ZINC000450497346 202187503 /nfs/dbraw/zinc/18/75/03/202187503.db2.gz FMWHZJSQFZEVFW-ZYHUDNBSSA-N 0 3 239.388 2.939 20 0 BFADHN CCc1cnccc1[C@@H](C)N[C@@H](CC)COC ZINC000359308032 356888184 /nfs/dbraw/zinc/88/81/84/356888184.db2.gz WAMIHCCIZNYXGF-YPMHNXCESA-N 0 3 236.359 2.720 20 0 BFADHN CC1(C)[C@@H](O)CCN1C/C=C/c1ccc(F)cc1 ZINC000361062047 356915963 /nfs/dbraw/zinc/91/59/63/356915963.db2.gz SCPDOSCQTBPJBO-XGACYXMMSA-N 0 3 249.329 2.684 20 0 BFADHN CCc1cnccc1[C@H](C)NCCOC(C)C ZINC000361122726 356917047 /nfs/dbraw/zinc/91/70/47/356917047.db2.gz ZBBXCLIEKUTWTK-LBPRGKRZSA-N 0 3 236.359 2.720 20 0 BFADHN CC[C@@H]1CCCN1Cc1cn(C)nc1C(F)F ZINC000361176781 356918687 /nfs/dbraw/zinc/91/86/87/356918687.db2.gz FYEBBTZIBCSAQA-SNVBAGLBSA-N 0 3 243.301 2.732 20 0 BFADHN Cc1ccc(CC[C@H](C)N[C@@H](C)c2ncc[nH]2)o1 ZINC000353529136 538748953 /nfs/dbraw/zinc/74/89/53/538748953.db2.gz ILYXTLRANBAAMW-JQWIXIFHSA-N 0 3 247.342 2.983 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1NCc1cn2ccsc2n1 ZINC000353391886 538740686 /nfs/dbraw/zinc/74/06/86/538740686.db2.gz FHXCZNZPXPUURT-NWDGAFQWSA-N 0 3 249.383 2.920 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1N[C@H](CCO)c1ccco1 ZINC000353690059 538764032 /nfs/dbraw/zinc/76/40/32/538764032.db2.gz REUHCOIBMXZDTI-YNEHKIRRSA-N 0 3 237.343 2.727 20 0 BFADHN CC(=O)CN1CCCC[C@@H]1CC1CCC1 ZINC000639865986 356976913 /nfs/dbraw/zinc/97/69/13/356976913.db2.gz XKFZBQVDUSRWOC-CYBMUJFWSA-N 0 3 209.333 2.620 20 0 BFADHN COc1cccc(CNCCCSC)c1F ZINC000160465158 356985890 /nfs/dbraw/zinc/98/58/90/356985890.db2.gz PMVLKDVLNZWKJV-UHFFFAOYSA-N 0 3 243.347 2.677 20 0 BFADHN CCC(F)(F)C(C)(C)CNCc1nccn1C ZINC000623399949 357036970 /nfs/dbraw/zinc/03/69/70/357036970.db2.gz FNCHMZIGLSOBEO-UHFFFAOYSA-N 0 3 245.317 2.581 20 0 BFADHN CN(Cc1cccnc1)C[C@H]1CCC(F)(F)C1 ZINC000450609859 202212056 /nfs/dbraw/zinc/21/20/56/202212056.db2.gz FNNNIJGSGPJDJZ-NSHDSACASA-N 0 3 240.297 2.949 20 0 BFADHN c1cnc([C@H]2CCCN2CCC2CCC2)nc1 ZINC000639872827 357046411 /nfs/dbraw/zinc/04/64/11/357046411.db2.gz RPLVPJLKNWXOAS-CYBMUJFWSA-N 0 3 231.343 2.804 20 0 BFADHN CN(CCC(C)(C)C)Cc1ccnc(C#N)c1 ZINC000399795017 357051714 /nfs/dbraw/zinc/05/17/14/357051714.db2.gz WCCQCQFZIKJDNX-UHFFFAOYSA-N 0 3 231.343 2.821 20 0 BFADHN CCC1(NCc2cnccn2)CCCCC1 ZINC000389643675 357008034 /nfs/dbraw/zinc/00/80/34/357008034.db2.gz NVQLVHQDARHZET-UHFFFAOYSA-N 0 3 219.332 2.679 20 0 BFADHN CCc1cc(CN[C@H]2CCC(C)(C)C2)on1 ZINC000390657884 357109934 /nfs/dbraw/zinc/10/99/34/357109934.db2.gz RIPFOHAHBZAICV-NSHDSACASA-N 0 3 222.332 2.905 20 0 BFADHN CN(C)Cc1cccc(NCC2(F)CC2)c1 ZINC000390597652 357111609 /nfs/dbraw/zinc/11/16/09/357111609.db2.gz AQSBWRQQFVBZLU-UHFFFAOYSA-N 0 3 222.307 2.662 20 0 BFADHN CC/C=C/CNCc1ccc2c(n1)CCC2 ZINC000582346211 357088065 /nfs/dbraw/zinc/08/80/65/357088065.db2.gz MKFNCMJRWKXLJX-ONEGZZNKSA-N 0 3 216.328 2.626 20 0 BFADHN CC1=CC[C@@H](N[C@@H](CO)c2ccsc2)CC1 ZINC000390460209 357094516 /nfs/dbraw/zinc/09/45/16/357094516.db2.gz FJSWIWUUMPQQDU-OLZOCXBDSA-N 0 3 237.368 2.870 20 0 BFADHN C[C@H]1CCCC[C@@H]1OCCNCC1(F)CC1 ZINC000390530324 357101309 /nfs/dbraw/zinc/10/13/09/357101309.db2.gz IGXUSXJQYJEBTC-RYUDHWBXSA-N 0 3 229.339 2.673 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N(C)C[C@@H](C)CC ZINC000543857952 325118469 /nfs/dbraw/zinc/11/84/69/325118469.db2.gz HHNIAOVOYUPBPD-STQMWFEESA-N 0 3 243.391 2.942 20 0 BFADHN Fc1ccc(F)c(CNC2(C3CC3)CC2)c1 ZINC000390814357 357117251 /nfs/dbraw/zinc/11/72/51/357117251.db2.gz GQRVRXFYLSHJEQ-UHFFFAOYSA-N 0 3 223.266 2.997 20 0 BFADHN CC(C)COCCN1CCC(OC(C)C)CC1 ZINC000582372233 357117506 /nfs/dbraw/zinc/11/75/06/357117506.db2.gz SNOKXKKRRBQHSC-UHFFFAOYSA-N 0 3 243.391 2.548 20 0 BFADHN Fc1ccccc1CCCNCC1(F)CC1 ZINC000390814539 357117533 /nfs/dbraw/zinc/11/75/33/357117533.db2.gz HAUBUUXWTFLZBM-UHFFFAOYSA-N 0 3 225.282 2.850 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCC2CC2)o1 ZINC000019884728 357119100 /nfs/dbraw/zinc/11/91/00/357119100.db2.gz GGKQENVXTNMJBG-BXKDBHETSA-N 0 3 205.301 2.903 20 0 BFADHN CCC(CC)(CC)CNCc1cnccn1 ZINC000391195310 357125949 /nfs/dbraw/zinc/12/59/49/357125949.db2.gz XXPVRDLXEYJGCH-UHFFFAOYSA-N 0 3 221.348 2.783 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2ccn(C)n2)[C@H]1C ZINC000582395406 357128140 /nfs/dbraw/zinc/12/81/40/357128140.db2.gz VPXUTVOQCOYEQN-FRRDWIJNSA-N 0 3 235.375 2.582 20 0 BFADHN Cc1ccc([C@H](NCC2(O)CCC2)C2CCC2)o1 ZINC000582447803 357193136 /nfs/dbraw/zinc/19/31/36/357193136.db2.gz DHGJJIHGUHJMRA-CQSZACIVSA-N 0 3 249.354 2.934 20 0 BFADHN C[C@H](NCC(C)(C)F)c1cccc(CO)c1 ZINC000631660324 357193809 /nfs/dbraw/zinc/19/38/09/357193809.db2.gz VIDUTEBTQAQABK-JTQLQIEISA-N 0 3 225.307 2.578 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@@H](C3CC3)C2)c(F)c1 ZINC000631183324 357239847 /nfs/dbraw/zinc/23/98/47/357239847.db2.gz OOKNGUQLGNSAIQ-DZGCQCFKSA-N 0 3 249.329 2.791 20 0 BFADHN CCOc1cccc(CN2C[C@@H]3C[C@@H]3C2)c1 ZINC000628407282 357202793 /nfs/dbraw/zinc/20/27/93/357202793.db2.gz CRKYQEBIISVHOQ-BETUJISGSA-N 0 3 217.312 2.537 20 0 BFADHN C/C=C\CNCc1ccc(C(F)F)nc1 ZINC000631183271 357240352 /nfs/dbraw/zinc/24/03/52/357240352.db2.gz MBVSCHCQSBYUSR-IHWYPQMZSA-N 0 3 212.243 2.685 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2C[C@@H]3C[C@@H]3C2)o1 ZINC000628407792 357205415 /nfs/dbraw/zinc/20/54/15/357205415.db2.gz MWNWPRSBZBRZLT-HNCHTBHHSA-N 0 3 217.312 2.855 20 0 BFADHN FC(F)Oc1ccc(CN2C[C@@H]3C[C@@H]3C2)cc1 ZINC000628407943 357205893 /nfs/dbraw/zinc/20/58/93/357205893.db2.gz QJNLSEXQUMFGJK-PHIMTYICSA-N 0 3 239.265 2.740 20 0 BFADHN CC[C@@H]1CCCCN1C(=O)[C@H](C)N(CC)CC ZINC000123106934 357212081 /nfs/dbraw/zinc/21/20/81/357212081.db2.gz DFYXZOYZPQXKRN-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN CC(=O)CN1CC[C@@H](c2ccc(F)cc2)C1(C)C ZINC000639891914 357214955 /nfs/dbraw/zinc/21/49/55/357214955.db2.gz OAGDFARDRXYKPJ-AWEZNQCLSA-N 0 3 249.329 2.983 20 0 BFADHN Cc1ccc(F)cc1CN1C[C@@H]2C[C@@H]2C1 ZINC000628410246 357216494 /nfs/dbraw/zinc/21/64/94/357216494.db2.gz IEUTYHCEANJMSG-TXEJJXNPSA-N 0 3 205.276 2.586 20 0 BFADHN c1cc2cc(CN3C[C@@H]4C[C@@H]4C3)ccc2o1 ZINC000628410690 357217770 /nfs/dbraw/zinc/21/77/70/357217770.db2.gz PXEOASOVBHPUDJ-BETUJISGSA-N 0 3 213.280 2.885 20 0 BFADHN CC[C@H](N[C@@H]1CCCC12CC2)c1ccn(C)n1 ZINC000631662333 357221834 /nfs/dbraw/zinc/22/18/34/357221834.db2.gz FTUVASDHUZGDNI-WCQYABFASA-N 0 3 233.359 2.794 20 0 BFADHN Cc1scc(CNCc2ccc[nH]c2=O)c1C ZINC000631182759 357234853 /nfs/dbraw/zinc/23/48/53/357234853.db2.gz CGYPDLAXHYLVJY-UHFFFAOYSA-N 0 3 248.351 2.755 20 0 BFADHN CC1(C)CN(Cc2cccc(F)c2)CCCO1 ZINC000377710777 357237518 /nfs/dbraw/zinc/23/75/18/357237518.db2.gz YKXDPONMWRWGHJ-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN C[C@@H]1CN(Cc2ccn(C)c2)C[C@@H](C)C1(F)F ZINC000628391368 357187042 /nfs/dbraw/zinc/18/70/42/357187042.db2.gz YADQMCRUKNDQNY-GHMZBOCLSA-N 0 3 242.313 2.748 20 0 BFADHN CC[C@H](C)N[C@H](C)c1cn2ccccc2n1 ZINC000393744797 357295208 /nfs/dbraw/zinc/29/52/08/357295208.db2.gz IJONHPBBLWRAOL-WDEREUQCSA-N 0 3 217.316 2.783 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@@H](C)c1ncc[nH]1 ZINC000393801594 357300469 /nfs/dbraw/zinc/30/04/69/357300469.db2.gz SRZUXMURLJTZDV-ZJUUUORDSA-N 0 3 235.356 2.753 20 0 BFADHN C[C@@H](NCc1cnc2ccccc2n1)C1CCC1 ZINC000137732298 357254228 /nfs/dbraw/zinc/25/42/28/357254228.db2.gz QTYUQRVZZXZUAB-LLVKDONJSA-N 0 3 241.338 2.908 20 0 BFADHN CCC[C@@H](CCO)N[C@@H](C)c1ccc(C)o1 ZINC000631665643 357254917 /nfs/dbraw/zinc/25/49/17/357254917.db2.gz AAHMTPNFWBEEES-RYUDHWBXSA-N 0 3 225.332 2.790 20 0 BFADHN C[C@H]1CCC[C@H](CN2CC3(C2)CCCO3)C1 ZINC000628427641 357256867 /nfs/dbraw/zinc/25/68/67/357256867.db2.gz OTVXINSEKMCMEO-STQMWFEESA-N 0 3 223.360 2.678 20 0 BFADHN C[C@H](NCCCF)c1ccncc1Cl ZINC000582719260 357370627 /nfs/dbraw/zinc/37/06/27/357370627.db2.gz ODJLQXASDYQOKI-QMMMGPOBSA-N 0 3 216.687 2.745 20 0 BFADHN Cc1cnc(CNCC(C2CC2)C2CC2)s1 ZINC000394744675 357373350 /nfs/dbraw/zinc/37/33/50/357373350.db2.gz KWBNMWRYJRQOIZ-UHFFFAOYSA-N 0 3 236.384 2.977 20 0 BFADHN CCn1c2ccccc2nc1CN[C@H]1CC[C@H]1C ZINC000393976092 357313281 /nfs/dbraw/zinc/31/32/81/357313281.db2.gz BJNVWYUFGLNGJB-NEPJUHHUSA-N 0 3 243.354 2.944 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccc(N(C)C)nc1 ZINC000157467787 538826736 /nfs/dbraw/zinc/82/67/36/538826736.db2.gz SGVXEQNFSBAPPM-AWEZNQCLSA-N 0 3 247.386 2.912 20 0 BFADHN CCC[C@H](C)[C@H]1CCCN1Cc1cnccn1 ZINC000582471712 357314497 /nfs/dbraw/zinc/31/44/97/357314497.db2.gz JGMMVYYSYBNLTP-GXTWGEPZSA-N 0 3 233.359 2.877 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1nc(C)c(C)[nH]1 ZINC000582737153 357377819 /nfs/dbraw/zinc/37/78/19/357377819.db2.gz SOLZGRUATCHPRL-BDAKNGLRSA-N 0 3 209.337 2.551 20 0 BFADHN FC1(CNCc2cc3ccccc3[nH]2)CC1 ZINC000379422739 357384105 /nfs/dbraw/zinc/38/41/05/357384105.db2.gz BBPMILJKSVUAJE-UHFFFAOYSA-N 0 3 218.275 2.760 20 0 BFADHN CC(C)(C)C[C@H]1CCCN1Cc1cnccn1 ZINC000582770784 357385602 /nfs/dbraw/zinc/38/56/02/357385602.db2.gz FSANEXDJCUDTAS-CYBMUJFWSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1nccnc1[C@@H](C)NC1CC(C)(C)C1 ZINC000395174888 357415832 /nfs/dbraw/zinc/41/58/32/357415832.db2.gz JLJSSDXFJPXKKQ-SNVBAGLBSA-N 0 3 219.332 2.624 20 0 BFADHN C[C@H](NCC(C1CC1)C1CC1)c1ccncn1 ZINC000395808030 357456925 /nfs/dbraw/zinc/45/69/25/357456925.db2.gz DDKMYQVWPMGODW-JTQLQIEISA-N 0 3 231.343 2.563 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2ccoc2)CCO1 ZINC000583123824 357491105 /nfs/dbraw/zinc/49/11/05/357491105.db2.gz OLDHKUUYSVLSBI-WCFLWFBJSA-N 0 3 223.316 2.888 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@@H]2CC[C@@H](C)C2)n1 ZINC000230413756 357522397 /nfs/dbraw/zinc/52/23/97/357522397.db2.gz MNDJXWSVPTUOMF-ZYHUDNBSSA-N 0 3 234.343 2.621 20 0 BFADHN COc1cccc(CNC[C@@H]2CC[C@H](C)C2)n1 ZINC000230414033 357522544 /nfs/dbraw/zinc/52/25/44/357522544.db2.gz ZPCDQLOAMBZZBE-NWDGAFQWSA-N 0 3 234.343 2.616 20 0 BFADHN COc1cc(C)nc(CNC[C@@H]2CC[C@@H](C)C2)c1 ZINC000230419558 357524182 /nfs/dbraw/zinc/52/41/82/357524182.db2.gz OUJFBIGDLXVXPL-DGCLKSJQSA-N 0 3 248.370 2.924 20 0 BFADHN Cc1noc(C)c1CNC[C@H]1CC[C@@H](C)C1 ZINC000230408270 357539637 /nfs/dbraw/zinc/53/96/37/357539637.db2.gz LAMZFCKGFIOXPD-SKDRFNHKSA-N 0 3 222.332 2.817 20 0 BFADHN Cc1nc([C@@H](C)NCC[C@H]2CCOC2)cs1 ZINC000230409195 357540445 /nfs/dbraw/zinc/54/04/45/357540445.db2.gz ADCSJBYDSXCREW-KOLCDFICSA-N 0 3 240.372 2.529 20 0 BFADHN C[C@@H](NC[C@H]1CC[C@@H](C)C1)c1nccn1C ZINC000230412105 357540744 /nfs/dbraw/zinc/54/07/44/357540744.db2.gz JSXJBIMHEAIUKM-UTUOFQBUSA-N 0 3 221.348 2.507 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2cn3ccccc3n2)C1 ZINC000230411920 357541281 /nfs/dbraw/zinc/54/12/81/357541281.db2.gz LLZVVQFGOWBDEG-QWHCGFSZSA-N 0 3 243.354 2.860 20 0 BFADHN CCC(C)(C)CNCc1cccc2c1OCO2 ZINC000230645789 357543665 /nfs/dbraw/zinc/54/36/65/357543665.db2.gz CUGNUFVCHKJQOW-UHFFFAOYSA-N 0 3 235.327 2.941 20 0 BFADHN COc1cc(C)nc(CNC[C@H]2CCC[C@H]2C)c1 ZINC000230675850 357548908 /nfs/dbraw/zinc/54/89/08/357548908.db2.gz POSAMRRDHDIYLX-DGCLKSJQSA-N 0 3 248.370 2.924 20 0 BFADHN COC1CC(N[C@H](C)c2ccc(F)cc2F)C1 ZINC000230676111 357549180 /nfs/dbraw/zinc/54/91/80/357549180.db2.gz ILQLQRYJVLXUBR-MFAVDMRSSA-N 0 3 241.281 2.793 20 0 BFADHN COC1CC(NCc2ccccc2OC(C)C)C1 ZINC000230690348 357550511 /nfs/dbraw/zinc/55/05/11/357550511.db2.gz XUYXIRPTWJIMIM-UHFFFAOYSA-N 0 3 249.354 2.741 20 0 BFADHN Fc1ccc(CCNCc2cncc(F)c2)cc1 ZINC000230937812 357579752 /nfs/dbraw/zinc/57/97/52/357579752.db2.gz ARDNSRFPNFMITD-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN CCCCOCCCNCc1cncc(F)c1 ZINC000230937828 357580300 /nfs/dbraw/zinc/58/03/00/357580300.db2.gz WEFLSKIELYLVMW-UHFFFAOYSA-N 0 3 240.322 2.517 20 0 BFADHN CCSCC[C@H](C)NCc1cncc(F)c1 ZINC000230941370 357583674 /nfs/dbraw/zinc/58/36/74/357583674.db2.gz OTQZZTQIANTWQS-JTQLQIEISA-N 0 3 242.363 2.842 20 0 BFADHN Fc1cncc(CNCCC2=CCCC2)c1 ZINC000230941977 357583737 /nfs/dbraw/zinc/58/37/37/357583737.db2.gz OHGYCGSRJPKSRE-UHFFFAOYSA-N 0 3 220.291 2.811 20 0 BFADHN CSCCCCCNCc1cncc(F)c1 ZINC000230942521 357584526 /nfs/dbraw/zinc/58/45/26/357584526.db2.gz YEGORHZXSCJTNF-UHFFFAOYSA-N 0 3 242.363 2.844 20 0 BFADHN Fc1cncc(CNC[C@H]2CCCCS2)c1 ZINC000230942348 357585246 /nfs/dbraw/zinc/58/52/46/357585246.db2.gz AHSFEPSQDVLHLZ-GFCCVEGCSA-N 0 3 240.347 2.596 20 0 BFADHN CCCN(CCN(C)C)C(=O)C(C)(CC)CC ZINC000158821067 538868130 /nfs/dbraw/zinc/86/81/30/538868130.db2.gz PGNHYGYKQYMPBJ-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1nc(C)sc1C ZINC000158874636 538869307 /nfs/dbraw/zinc/86/93/07/538869307.db2.gz HFZWINVTQPKNPI-KCJUWKMLSA-N 0 3 242.388 2.572 20 0 BFADHN CC(C)(C)[C@H](CCO)NCc1ccc(Cl)o1 ZINC000231073562 357596773 /nfs/dbraw/zinc/59/67/73/357596773.db2.gz QZWAQZZXPVKVHN-JTQLQIEISA-N 0 3 245.750 2.820 20 0 BFADHN Cc1nn(C)c(C)c1CNC1CC(C(C)C)C1 ZINC000232537904 357670276 /nfs/dbraw/zinc/67/02/76/357670276.db2.gz IFJXIQZFZYJWOZ-UHFFFAOYSA-N 0 3 235.375 2.561 20 0 BFADHN CC(C)C[C@H](C)NCc1cn(C(C)(C)C)nn1 ZINC000189942419 357676801 /nfs/dbraw/zinc/67/68/01/357676801.db2.gz JTJIZHLFZNCHTO-NSHDSACASA-N 0 3 238.379 2.557 20 0 BFADHN Cc1cccc2c1OCC[C@H]2N[C@@H]1CCCOC1 ZINC000189377501 357635148 /nfs/dbraw/zinc/63/51/48/357635148.db2.gz AQSGUJUKMVMREA-TZMCWYRMSA-N 0 3 247.338 2.587 20 0 BFADHN Cc1cccc2c1OCC[C@@H]2N[C@H]1CCO[C@@H]1C ZINC000189464511 357637088 /nfs/dbraw/zinc/63/70/88/357637088.db2.gz NJINAVXXTJKIMX-XBFCOCLRSA-N 0 3 247.338 2.586 20 0 BFADHN CCCN(C)CC(=O)N(CC)C1CCCCC1 ZINC000063761707 357717655 /nfs/dbraw/zinc/71/76/55/357717655.db2.gz KSXPFHMXASBEBH-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN COCCC(C)(C)NCc1ccc(F)cc1F ZINC000397855193 357737998 /nfs/dbraw/zinc/73/79/98/357737998.db2.gz AVKKSQKKJYUIEY-UHFFFAOYSA-N 0 3 243.297 2.870 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2CO[C@@H](C)C2)o1 ZINC000583263374 357739875 /nfs/dbraw/zinc/73/98/75/357739875.db2.gz SEXGEYPPWQRTNW-DCAQKATOSA-N 0 3 223.316 2.670 20 0 BFADHN CC(C)OC(=O)CCN(C)Cc1ccsc1 ZINC000610367575 357803090 /nfs/dbraw/zinc/80/30/90/357803090.db2.gz CXNFQNLYTHOYLA-UHFFFAOYSA-N 0 3 241.356 2.522 20 0 BFADHN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1ncccn1 ZINC000192042872 357814868 /nfs/dbraw/zinc/81/48/68/357814868.db2.gz ZERSCFZLBHMYNM-AGIUHOORSA-N 0 3 231.343 2.630 20 0 BFADHN CC[C@@H](C(=O)OC(C)(C)C)N1CCC[C@@H]1C ZINC000192050087 357814960 /nfs/dbraw/zinc/81/49/60/357814960.db2.gz IKHWXFLGKITHQR-QWRGUYRKSA-N 0 3 227.348 2.591 20 0 BFADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1ncccn1 ZINC000192111817 357823217 /nfs/dbraw/zinc/82/32/17/357823217.db2.gz JILAFZAWYPYYEL-QWHCGFSZSA-N 0 3 233.359 2.877 20 0 BFADHN CCC[C@@H](C)CN([C@@H](C)C(=O)OC)C1CCC1 ZINC000191650295 357781198 /nfs/dbraw/zinc/78/11/98/357781198.db2.gz YPQXBVQJEJFBQS-NEPJUHHUSA-N 0 3 241.375 2.839 20 0 BFADHN CC1(C)CN(C[C@H]2CCOC2)[C@@H]1c1ccco1 ZINC000639927427 357862318 /nfs/dbraw/zinc/86/23/18/357862318.db2.gz RHMGPHVDBBQVDE-DGCLKSJQSA-N 0 3 235.327 2.699 20 0 BFADHN CCN(Cc1ncccn1)[C@@H]1CCCC[C@@H]1C ZINC000192214618 357835032 /nfs/dbraw/zinc/83/50/32/357835032.db2.gz OBUKVGVPFKUHGZ-QWHCGFSZSA-N 0 3 233.359 2.877 20 0 BFADHN CC1(C)CN(CC2(O)CCC2)[C@@H]1c1ccco1 ZINC000639926269 357843425 /nfs/dbraw/zinc/84/34/25/357843425.db2.gz KEEFJRHKUMWOCE-GFCCVEGCSA-N 0 3 235.327 2.578 20 0 BFADHN CC1(C)CN(CC2(O)CCC2)[C@H]1c1ccco1 ZINC000639926270 357843663 /nfs/dbraw/zinc/84/36/63/357843663.db2.gz KEEFJRHKUMWOCE-LBPRGKRZSA-N 0 3 235.327 2.578 20 0 BFADHN CCc1cnc(CNC2CCSCC2)s1 ZINC000164907178 357846135 /nfs/dbraw/zinc/84/61/35/357846135.db2.gz KRVZTLJRJQJFCQ-UHFFFAOYSA-N 0 3 242.413 2.691 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2cccc(F)c2F)O1 ZINC000398130139 357847296 /nfs/dbraw/zinc/84/72/96/357847296.db2.gz KINZKCLAFQRIBU-ONGXEEELSA-N 0 3 241.281 2.622 20 0 BFADHN CC(C)(O)CCN1CC(C)(C)[C@@H]1c1ccco1 ZINC000639928016 357866106 /nfs/dbraw/zinc/86/61/06/357866106.db2.gz VGVCVFFCXGFJOZ-LBPRGKRZSA-N 0 3 237.343 2.824 20 0 BFADHN C[C@H](CN(C)C)N[C@H](C)c1cccc(F)c1F ZINC000235448554 357870076 /nfs/dbraw/zinc/87/00/76/357870076.db2.gz SIUKERXLXKTBIL-NXEZZACHSA-N 0 3 242.313 2.566 20 0 BFADHN CN1CCC[C@@H]1CN1CC(C)(C)[C@H]1c1ccco1 ZINC000639928838 357873525 /nfs/dbraw/zinc/87/35/25/357873525.db2.gz IRSWUOIATWKLDR-TZMCWYRMSA-N 0 3 248.370 2.757 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@@H]1C1CC1)c1cccc(O)c1 ZINC000235528878 357875997 /nfs/dbraw/zinc/87/59/97/357875997.db2.gz RTRBUVCCABRDMP-COLVAYQJSA-N 0 3 247.338 2.610 20 0 BFADHN C=Cn1cc(CN(C)[C@@H]2CC[C@H](C)C2)cn1 ZINC000583302197 357888110 /nfs/dbraw/zinc/88/81/10/357888110.db2.gz GOSBEIASCZPONJ-WCQYABFASA-N 0 3 219.332 2.604 20 0 BFADHN CC1(C)CN([C@@H]2CCC[C@H]2O)[C@H]1c1ccco1 ZINC000639930032 357890345 /nfs/dbraw/zinc/89/03/45/357890345.db2.gz RYRLZDHHYLXREA-WZRBSPASSA-N 0 3 235.327 2.576 20 0 BFADHN CCOc1cc(CN2C3CCC2CC3)ccc1O ZINC000398911870 357890702 /nfs/dbraw/zinc/89/07/02/357890702.db2.gz OLUSPNSJDYSOID-UHFFFAOYSA-N 0 3 247.338 2.918 20 0 BFADHN CC(C)[C@H](O)CN1CC(C)(C)[C@H]1c1ccco1 ZINC000639930429 357908884 /nfs/dbraw/zinc/90/88/84/357908884.db2.gz WWZXOYMTQFAITK-DGCLKSJQSA-N 0 3 237.343 2.679 20 0 BFADHN Cc1cc(CN2CC[C@@H](O)C[C@H]2C)c(C)s1 ZINC000639938740 357944440 /nfs/dbraw/zinc/94/44/40/357944440.db2.gz KKQUGWDRJHWIQM-NOZJJQNGSA-N 0 3 239.384 2.710 20 0 BFADHN CCC[C@H](CCO)N[C@H]1CCCc2occc21 ZINC000631668157 357998565 /nfs/dbraw/zinc/99/85/65/357998565.db2.gz JZGPGPGWAIFAMJ-YPMHNXCESA-N 0 3 237.343 2.798 20 0 BFADHN Cc1nnsc1CN1CC[C@H](C)C[C@H](C)C1 ZINC000584472960 357990318 /nfs/dbraw/zinc/99/03/18/357990318.db2.gz LNTSIRHYXCETBV-UWVGGRQHSA-N 0 3 239.388 2.715 20 0 BFADHN CC(C)CCC[C@H](C)N(C)[C@H](C)C[S@](C)=O ZINC000251883707 535384607 /nfs/dbraw/zinc/38/46/07/535384607.db2.gz VQJVONQSUSHNDZ-ZENOOKHLSA-N 0 3 247.448 2.900 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@H]1CCCC[C@H]1C ZINC000639972208 358031091 /nfs/dbraw/zinc/03/10/91/358031091.db2.gz OOWGHWQIEQYEEC-DGCLKSJQSA-N 0 3 235.375 2.976 20 0 BFADHN COCCCN[C@H](C)c1ccc(C)cc1OC ZINC000037232454 358060588 /nfs/dbraw/zinc/06/05/88/358060588.db2.gz YNIOTXBKDFVXGU-GFCCVEGCSA-N 0 3 237.343 2.691 20 0 BFADHN C[C@@H](N[C@H](C)C1CCC1)c1nccs1 ZINC000133356846 491120197 /nfs/dbraw/zinc/12/01/97/491120197.db2.gz VVKAJVVCYQTNGP-RKDXNWHRSA-N 0 3 210.346 2.982 20 0 BFADHN CC(C)[C@H]1CN(CCOC(C)(C)C)CCS1 ZINC000076066382 325328211 /nfs/dbraw/zinc/32/82/11/325328211.db2.gz JCCSTMHBERKTLE-GFCCVEGCSA-N 0 3 245.432 2.875 20 0 BFADHN CCC(CC)C(=O)Nc1cccc2c1CCNC2 ZINC000037372669 358078516 /nfs/dbraw/zinc/07/85/16/358078516.db2.gz IBFQEUFMAUXJIU-UHFFFAOYSA-N 0 3 246.354 2.707 20 0 BFADHN Cc1nc(C)c(CNC[C@]2(C)C[C@H]3C[C@H]3C2)o1 ZINC000628460549 358088498 /nfs/dbraw/zinc/08/84/98/358088498.db2.gz ZGPQGRYISBFRJF-DABQJJPHSA-N 0 3 234.343 2.817 20 0 BFADHN C[C@@H](CN1CCO[C@H](CCF)C1)C(C)(C)C ZINC000628458794 358094070 /nfs/dbraw/zinc/09/40/70/358094070.db2.gz FNMHWKWYWBQPHS-NWDGAFQWSA-N 0 3 231.355 2.729 20 0 BFADHN COc1ccccc1[C@H](CO)NC1CCCCC1 ZINC000038111351 358114972 /nfs/dbraw/zinc/11/49/72/358114972.db2.gz NSIRYSPFGKCGOS-AWEZNQCLSA-N 0 3 249.354 2.651 20 0 BFADHN CC1(F)CCN(CCc2cncs2)CC1 ZINC000640071244 358157221 /nfs/dbraw/zinc/15/72/21/358157221.db2.gz GZOKXBKWUXTWHO-UHFFFAOYSA-N 0 3 228.336 2.510 20 0 BFADHN CC1(F)CCN(CCC(F)(F)F)CC1 ZINC000640074565 358172645 /nfs/dbraw/zinc/17/26/45/358172645.db2.gz TZYZCTUQDARKTI-UHFFFAOYSA-N 0 3 213.218 2.763 20 0 BFADHN c1nc(CN2CCC[C@@H](C3CCC3)CC2)c[nH]1 ZINC000640106721 358189797 /nfs/dbraw/zinc/18/97/97/358189797.db2.gz KWUDTWNVYVHJFS-CYBMUJFWSA-N 0 3 233.359 2.812 20 0 BFADHN CC[C@H](N[C@H](C)c1ccoc1)[C@@H]1CCCO1 ZINC000222436637 358174283 /nfs/dbraw/zinc/17/42/83/358174283.db2.gz QIOCKHUNKOOJQY-WXHSDQCUSA-N 0 3 223.316 2.888 20 0 BFADHN c1ncc(CN2CCC[C@@H](C3CCC3)CC2)[nH]1 ZINC000640106721 358189801 /nfs/dbraw/zinc/18/98/01/358189801.db2.gz KWUDTWNVYVHJFS-CYBMUJFWSA-N 0 3 233.359 2.812 20 0 BFADHN CCN(CC(=O)NCCC(C)(C)C)CC(C)C ZINC000172170734 134262410 /nfs/dbraw/zinc/26/24/10/134262410.db2.gz QEDDAGGJMBZRKL-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN Cc1ccccc1CCNCc1nccs1 ZINC000082393937 491126195 /nfs/dbraw/zinc/12/61/95/491126195.db2.gz FSXRXLUWNKKDRF-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN Cc1ncc([C@H](C)NC[C@@H]2CCCS2)s1 ZINC000090419271 491126672 /nfs/dbraw/zinc/12/66/72/491126672.db2.gz FXUODCAMEMVSDQ-WPRPVWTQSA-N 0 3 242.413 2.998 20 0 BFADHN Cc1ncc([C@@H](C)NC2CC=CC2)s1 ZINC000090419414 491126690 /nfs/dbraw/zinc/12/66/90/491126690.db2.gz NFZCZYKKSOGRIC-MRVPVSSYSA-N 0 3 208.330 2.821 20 0 BFADHN CC(=O)c1ccc(C)cc1O[C@H](C)CN(C)C ZINC000584603942 358262482 /nfs/dbraw/zinc/26/24/82/358262482.db2.gz VTZREHPSGAODLW-LLVKDONJSA-N 0 3 235.327 2.527 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1nccc(OC)n1 ZINC000450758721 202259686 /nfs/dbraw/zinc/25/96/86/202259686.db2.gz OHLXCWLSBOIIBL-NEPJUHHUSA-N 0 3 249.358 2.544 20 0 BFADHN C(N1CCO[C@H](C2CC2)C1)C12CCC(CC1)C2 ZINC000450768544 202261441 /nfs/dbraw/zinc/26/14/41/202261441.db2.gz WRGYZXTVXYFLKF-BLZCZZARSA-N 0 3 235.371 2.678 20 0 BFADHN C/C(=C\c1ccccc1)CN1CC[C@@](C)(O)C1 ZINC000180193056 366392638 /nfs/dbraw/zinc/39/26/38/366392638.db2.gz DRYVXYAIATVCJW-NRMKIYEFSA-N 0 3 231.339 2.547 20 0 BFADHN C[C@H](Cc1ccsc1)NCc1ccccn1 ZINC000042371756 358347558 /nfs/dbraw/zinc/34/75/58/358347558.db2.gz ZVAGUXAQXSLKJW-LLVKDONJSA-N 0 3 232.352 2.864 20 0 BFADHN CC[C@@H](C)N1CCN(c2cccc(C)c2)CC1 ZINC000226139513 358335027 /nfs/dbraw/zinc/33/50/27/358335027.db2.gz JBWXWWKCJQJGSF-CQSZACIVSA-N 0 3 232.371 2.916 20 0 BFADHN C/C=C/CN[C@@H](C)c1sc(C)nc1C ZINC000230635123 491130449 /nfs/dbraw/zinc/13/04/49/491130449.db2.gz RHEUFKOAFXBKMU-GJIOHYHPSA-N 0 3 210.346 2.987 20 0 BFADHN COc1ccc(CN[C@@H](C)CSC)c(F)c1 ZINC000228601143 358381454 /nfs/dbraw/zinc/38/14/54/358381454.db2.gz ZCSGUMLVXNELCM-VIFPVBQESA-N 0 3 243.347 2.675 20 0 BFADHN CC(C)CC[C@H](NCc1nccn1C)C1CC1 ZINC000310253379 358443531 /nfs/dbraw/zinc/44/35/31/358443531.db2.gz YXYVXYHKZQJWQD-ZDUSSCGKSA-N 0 3 235.375 2.725 20 0 BFADHN CCCCCN1CCc2ncccc2C1 ZINC000033872383 358450299 /nfs/dbraw/zinc/45/02/99/358450299.db2.gz UFSLDTDRRBRQGM-UHFFFAOYSA-N 0 3 204.317 2.630 20 0 BFADHN CCN(CCSC)Cc1ccc(O)cc1 ZINC000610989327 358423886 /nfs/dbraw/zinc/42/38/86/358423886.db2.gz OVVZMEHKPPMILG-UHFFFAOYSA-N 0 3 225.357 2.577 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccnn2CC)CC1 ZINC000128838461 358581033 /nfs/dbraw/zinc/58/10/33/358581033.db2.gz UTINZUBUVGXDCJ-CYBMUJFWSA-N 0 3 235.375 2.915 20 0 BFADHN C[C@@H](N[C@H]1CC1(C)C)c1ccc2c(c1)OCO2 ZINC000045116012 358559636 /nfs/dbraw/zinc/55/96/36/358559636.db2.gz FBWUENLVSDOHPF-RNCFNFMXSA-N 0 3 233.311 2.864 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@H]1CC[C@@H](C)O1 ZINC000127658230 358565238 /nfs/dbraw/zinc/56/52/38/358565238.db2.gz HLZVEYXLYGJEEB-FRRDWIJNSA-N 0 3 249.354 2.913 20 0 BFADHN COC(=O)c1coc(CNC[C@@H]2CC=CCC2)c1 ZINC000130762316 358642426 /nfs/dbraw/zinc/64/24/26/358642426.db2.gz RBGNYTBFVQFDIR-LLVKDONJSA-N 0 3 249.310 2.512 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN(C)C[C@@H]2CCOC2)o1 ZINC000047704475 358600791 /nfs/dbraw/zinc/60/07/91/358600791.db2.gz DUESSRCQAAZGBX-OBJOEFQTSA-N 0 3 249.354 2.871 20 0 BFADHN CC(C)CC[C@@H](C)NC(=O)[C@H](C(C)C)N(C)C ZINC000129936262 358602254 /nfs/dbraw/zinc/60/22/54/358602254.db2.gz PJUAYYGRKJIVSY-OLZOCXBDSA-N 0 3 242.407 2.514 20 0 BFADHN CC[C@H](O)CCCN[C@@H](C)c1ccc(C)o1 ZINC000130154876 358610627 /nfs/dbraw/zinc/61/06/27/358610627.db2.gz FIQCRKFGAFQDHM-RYUDHWBXSA-N 0 3 225.332 2.790 20 0 BFADHN CC[C@H](C)CN(C)Cc1ccccc1C(=O)OC ZINC000130141380 358610996 /nfs/dbraw/zinc/61/09/96/358610996.db2.gz UHGAKLVKJTZOOY-LBPRGKRZSA-N 0 3 249.354 2.951 20 0 BFADHN CCN1CCC[C@H]1c1ccc(OC)c(OC)c1 ZINC000130830987 358649227 /nfs/dbraw/zinc/64/92/27/358649227.db2.gz IPKWTZIPQDZTCL-LBPRGKRZSA-N 0 3 235.327 2.861 20 0 BFADHN CCCN1CCC[C@H]1c1ccc(COC)o1 ZINC000130909790 358653213 /nfs/dbraw/zinc/65/32/13/358653213.db2.gz QJJYNSRREOLKQE-LBPRGKRZSA-N 0 3 223.316 2.973 20 0 BFADHN CCOC[C@H](C)NCc1coc2ccccc12 ZINC000130974411 358655426 /nfs/dbraw/zinc/65/54/26/358655426.db2.gz FHBDADVDOUOWNJ-NSHDSACASA-N 0 3 233.311 2.947 20 0 BFADHN CN(CCO)Cc1ccc(-c2ccccc2)cc1 ZINC000049633790 358696637 /nfs/dbraw/zinc/69/66/37/358696637.db2.gz UWTZVYNVLMASDS-UHFFFAOYSA-N 0 3 241.334 2.778 20 0 BFADHN CCOC[C@@H](C)NCc1ccc(Cl)cc1F ZINC000133117227 358746071 /nfs/dbraw/zinc/74/60/71/358746071.db2.gz HJWQODSLHKLOTI-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN COC(C)(C)CN[C@@H](C)c1sc(C)nc1C ZINC000133385658 358752338 /nfs/dbraw/zinc/75/23/38/358752338.db2.gz ZQFVNKKCVBPXAZ-QMMMGPOBSA-N 0 3 242.388 2.836 20 0 BFADHN CC[C@H](C)CNCC(=O)Nc1c(C)cccc1C ZINC000133515275 358758235 /nfs/dbraw/zinc/75/82/35/358758235.db2.gz VIWXEXSOVWRVTI-NSHDSACASA-N 0 3 248.370 2.878 20 0 BFADHN COc1cc(C)nc(CN[C@@H](C)CC(C)C)c1 ZINC000214115804 358759348 /nfs/dbraw/zinc/75/93/48/358759348.db2.gz KQQBZNJRLBQBEG-NSHDSACASA-N 0 3 236.359 2.923 20 0 BFADHN COc1cc(C)nc(CN[C@H](C)CC(C)C)c1 ZINC000214115864 358759675 /nfs/dbraw/zinc/75/96/75/358759675.db2.gz KQQBZNJRLBQBEG-LLVKDONJSA-N 0 3 236.359 2.923 20 0 BFADHN CC(C)c1ccc(CN(C)C[C@@H](C)O)cc1 ZINC000051821776 358764033 /nfs/dbraw/zinc/76/40/33/358764033.db2.gz NHUPNFYGFWQBKI-GFCCVEGCSA-N 0 3 221.344 2.623 20 0 BFADHN CC[C@@H](NCCC(C)(C)C)c1nccn1C ZINC000132127398 358708652 /nfs/dbraw/zinc/70/86/52/358708652.db2.gz APJHSUPIKHUGCK-LLVKDONJSA-N 0 3 223.364 2.897 20 0 BFADHN CC[C@@H](NCC1CCCC1)c1nccn1C ZINC000132123399 358708739 /nfs/dbraw/zinc/70/87/39/358708739.db2.gz ANBLLMNMOUROIM-GFCCVEGCSA-N 0 3 221.348 2.651 20 0 BFADHN COCC[C@H](C)N[C@H](C)c1nc(C)sc1C ZINC000081707736 491136172 /nfs/dbraw/zinc/13/61/72/491136172.db2.gz JQOWYJYSOXNXHT-DTWKUNHWSA-N 0 3 242.388 2.836 20 0 BFADHN C[C@H](NC1CC1)c1cccc(N2CCCC2=O)c1 ZINC000132724415 358734611 /nfs/dbraw/zinc/73/46/11/358734611.db2.gz HVZLWNJFVBRPOJ-NSHDSACASA-N 0 3 244.338 2.626 20 0 BFADHN CCCCN1CCc2ccc(F)cc2C1 ZINC000133904414 358772523 /nfs/dbraw/zinc/77/25/23/358772523.db2.gz FZIQHZXVAUFALQ-UHFFFAOYSA-N 0 3 207.292 2.984 20 0 BFADHN Cc1cc(CN(C)C(C2CC2)C2CC2)no1 ZINC000050989236 358740106 /nfs/dbraw/zinc/74/01/06/358740106.db2.gz QGHCOCHQFZJGMW-UHFFFAOYSA-N 0 3 220.316 2.603 20 0 BFADHN COCCN[C@@H]1CCCc2c(Cl)cccc21 ZINC000134905931 358810588 /nfs/dbraw/zinc/81/05/88/358810588.db2.gz MGLAZDKPAJFOGQ-CYBMUJFWSA-N 0 3 239.746 2.953 20 0 BFADHN CCCCN(CCCC)CC(=O)N(C)C(C)C ZINC000052870437 358798459 /nfs/dbraw/zinc/79/84/59/358798459.db2.gz ZBJZOEARAQPNNR-UHFFFAOYSA-N 0 3 242.407 2.755 20 0 BFADHN C[C@@H](c1noc([C@H]2CCN(C)[C@@H](C)C2)n1)C1CC1 ZINC000351288317 366489175 /nfs/dbraw/zinc/48/91/75/366489175.db2.gz PJOJZCJNFMHYPI-UMNHJUIQSA-N 0 3 249.358 2.781 20 0 BFADHN C[C@H](c1noc([C@H]2CCN(C)[C@@H](C)C2)n1)C1CC1 ZINC000351288316 366489233 /nfs/dbraw/zinc/48/92/33/366489233.db2.gz PJOJZCJNFMHYPI-NHCYSSNCSA-N 0 3 249.358 2.781 20 0 BFADHN CC1(C)C[C@H](NC2(c3ccccc3)CC2)CO1 ZINC000578248561 366470852 /nfs/dbraw/zinc/47/08/52/366470852.db2.gz KVVCHJIYNCPBIA-ZDUSSCGKSA-N 0 3 231.339 2.833 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)[C@@H](C)C1(C)CC1 ZINC000177222541 134296214 /nfs/dbraw/zinc/29/62/14/134296214.db2.gz AGFDVTXIYJKVKU-LBPRGKRZSA-N 0 3 235.375 2.657 20 0 BFADHN COc1ncccc1CN[C@H](C)C1CCCC1 ZINC000054080432 358851068 /nfs/dbraw/zinc/85/10/68/358851068.db2.gz BCYJTGZLYBTTDB-LLVKDONJSA-N 0 3 234.343 2.759 20 0 BFADHN C[C@@]1(C2CCCCC2)CCN1Cc1cn[nH]c1 ZINC000640218249 358871663 /nfs/dbraw/zinc/87/16/63/358871663.db2.gz DSMLRCYCCNLCBB-AWEZNQCLSA-N 0 3 233.359 2.954 20 0 BFADHN c1cnn(CCN[C@H]2CCCc3sccc32)c1 ZINC000061328878 358933007 /nfs/dbraw/zinc/93/30/07/358933007.db2.gz ZECWYJCRUBNEKD-LBPRGKRZSA-N 0 3 247.367 2.612 20 0 BFADHN COC[C@H](NCc1cccc(C)n1)C1CCCC1 ZINC000527099063 358937228 /nfs/dbraw/zinc/93/72/28/358937228.db2.gz YQCYIVUFKSGSAC-HNNXBMFYSA-N 0 3 248.370 2.685 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CCC2(CC2)CC1 ZINC000611177587 358937623 /nfs/dbraw/zinc/93/76/23/358937623.db2.gz NRCBKNQIHPQEJE-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1nn(C)c(C)c1CCN[C@@H](C)c1ccco1 ZINC000177747430 134299685 /nfs/dbraw/zinc/29/96/85/134299685.db2.gz AOIANRDOSMHKAN-NSHDSACASA-N 0 3 247.342 2.523 20 0 BFADHN Cc1ccsc1[C@@H](C)NCCn1cccn1 ZINC000069636841 359030745 /nfs/dbraw/zinc/03/07/45/359030745.db2.gz WCBNOJGCAXMFPH-LLVKDONJSA-N 0 3 235.356 2.604 20 0 BFADHN CCOCCN1CCC[C@@H]1c1ccccc1OC ZINC000069610777 359031141 /nfs/dbraw/zinc/03/11/41/359031141.db2.gz XVPSYRNCLWRXFN-CQSZACIVSA-N 0 3 249.354 2.869 20 0 BFADHN C[C@@H](N[C@H](C)C1CCCC1)c1cnccn1 ZINC000070026001 359038477 /nfs/dbraw/zinc/03/84/77/359038477.db2.gz RXPZTMSMZYGBPW-GHMZBOCLSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H](N[C@@H](C)C1CCCC1)c1cnccn1 ZINC000070025992 359038812 /nfs/dbraw/zinc/03/88/12/359038812.db2.gz RXPZTMSMZYGBPW-QWRGUYRKSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H](CC1CC1)NCc1nc2ccccc2n1C ZINC000070100798 359040935 /nfs/dbraw/zinc/04/09/35/359040935.db2.gz XUXBCRXMHKIFRJ-LLVKDONJSA-N 0 3 243.354 2.852 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2ccccn2)CCO1 ZINC000070345806 359050589 /nfs/dbraw/zinc/05/05/89/359050589.db2.gz YGHWCJHGGDJODN-YNEHKIRRSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H]2C(C)C)on1 ZINC000308848474 491138902 /nfs/dbraw/zinc/13/89/02/491138902.db2.gz NBWPFUURLVPCSC-VXGBXAGGSA-N 0 3 208.305 2.507 20 0 BFADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1nccn1C ZINC000072776523 359089738 /nfs/dbraw/zinc/08/97/38/359089738.db2.gz BWNRBOJKDANMBM-QWHCGFSZSA-N 0 3 235.375 2.821 20 0 BFADHN c1oc2ccccc2c1CNC[C@@H]1CCCO1 ZINC000070392048 359052410 /nfs/dbraw/zinc/05/24/10/359052410.db2.gz PVUOGENIJRKHRC-LBPRGKRZSA-N 0 3 231.295 2.701 20 0 BFADHN C[C@H](NCCCc1ccccc1)c1nccn1C ZINC000070443520 359054204 /nfs/dbraw/zinc/05/42/04/359054204.db2.gz HQXOKEZQBOJYMI-ZDUSSCGKSA-N 0 3 243.354 2.704 20 0 BFADHN C[C@H]1CCC[C@H](CCNCc2ncc[nH]2)C1 ZINC000070532082 359058374 /nfs/dbraw/zinc/05/83/74/359058374.db2.gz CEKOMFDQDYVAII-NWDGAFQWSA-N 0 3 221.348 2.716 20 0 BFADHN CCn1ccnc1CN1C[C@@H](C)CCC[C@H]1C ZINC000246974727 359179072 /nfs/dbraw/zinc/17/90/72/359179072.db2.gz SBDVSXFZCPWSHW-QWHCGFSZSA-N 0 3 235.375 2.914 20 0 BFADHN CC(C)C[C@@H]1COCCN1[C@@H]1C=CCCC1 ZINC000246999103 359182722 /nfs/dbraw/zinc/18/27/22/359182722.db2.gz OWQPIZYSJBQNRL-ZIAGYGMSSA-N 0 3 223.360 2.842 20 0 BFADHN CC(C)C[C@H]1COCCN1[C@@H]1C=CCCC1 ZINC000246999093 359183274 /nfs/dbraw/zinc/18/32/74/359183274.db2.gz OWQPIZYSJBQNRL-KGLIPLIRSA-N 0 3 223.360 2.842 20 0 BFADHN COc1cccc(CN2CCC[C@H](C)[C@@H]2C)n1 ZINC000246570271 359148146 /nfs/dbraw/zinc/14/81/46/359148146.db2.gz DXJAAQNSLKXTAP-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1cc(CN2C[C@H](C)CCC[C@H]2C)no1 ZINC000246717944 359158758 /nfs/dbraw/zinc/15/87/58/359158758.db2.gz LMWGNTVEVPNUFY-GHMZBOCLSA-N 0 3 222.332 2.994 20 0 BFADHN Fc1ccc(CN2CC[C@H]3OCCC[C@H]3C2)cc1 ZINC000246774814 359163877 /nfs/dbraw/zinc/16/38/77/359163877.db2.gz QPQUWALGRBFUMI-DZGCQCFKSA-N 0 3 249.329 2.827 20 0 BFADHN C[C@H](N[C@H]1CCc2ccc(F)cc21)[C@H]1CCCO1 ZINC000246839948 359169231 /nfs/dbraw/zinc/16/92/31/359169231.db2.gz CCJKTPAXNROQQC-NZVBXONLSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H]1CCCN(Cc2cnc3ccccn23)[C@H]1C ZINC000246912509 359174937 /nfs/dbraw/zinc/17/49/37/359174937.db2.gz BNYCGSUMHIYYRX-OLZOCXBDSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1C[C@H]2CCCC[C@@H]2N1Cc1nccn1C ZINC000075837540 359227122 /nfs/dbraw/zinc/22/71/22/359227122.db2.gz HBJMFKYZZPHODK-UPJWGTAASA-N 0 3 233.359 2.573 20 0 BFADHN CC[C@@H]1CN(CCc2ccccc2)C[C@@H](C)O1 ZINC000247515229 359230730 /nfs/dbraw/zinc/23/07/30/359230730.db2.gz KDSGNZCGYRINCS-UKRRQHHQSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@](C)(O)CNCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000247659044 359239366 /nfs/dbraw/zinc/23/93/66/359239366.db2.gz ZHWJINMYYTZPEX-QKCSRTOESA-N 0 3 237.343 2.654 20 0 BFADHN CCCCN(C)CC(=O)N1[C@H](C)CCC[C@H]1C ZINC000248143887 359255359 /nfs/dbraw/zinc/25/53/59/359255359.db2.gz VAFWTRBBSDWZKF-CHWSQXEVSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@H](N[C@@H]1[C@H]2CCO[C@@H]2C1(C)C)c1ccoc1 ZINC000248134559 359255744 /nfs/dbraw/zinc/25/57/44/359255744.db2.gz OWXQWHDSNKEXKY-SQNXGDPESA-N 0 3 235.327 2.744 20 0 BFADHN C[C@H](CN1C[C@@H](C)OC[C@@H]1C)c1ccccc1 ZINC000247218797 359201762 /nfs/dbraw/zinc/20/17/62/359201762.db2.gz VKHGLTVYAOSSNJ-HZSPNIEDSA-N 0 3 233.355 2.899 20 0 BFADHN CCn1nccc1CN1CC[C@@H](C)C[C@@H](C)C1 ZINC000247245734 359203629 /nfs/dbraw/zinc/20/36/29/359203629.db2.gz WZEIEEJBUCIHIW-CHWSQXEVSA-N 0 3 235.375 2.771 20 0 BFADHN CC[C@H](C)C[C@@H](C)N[C@H](C)c1nccn1C ZINC000247109220 359214374 /nfs/dbraw/zinc/21/43/74/359214374.db2.gz YIQYCIVBLKXTGP-QJPTWQEYSA-N 0 3 223.364 2.895 20 0 BFADHN CCS[C@@H]1CCCCN(Cc2cn[nH]c2)C1 ZINC000611271556 359285248 /nfs/dbraw/zinc/28/52/48/359285248.db2.gz VMYDFJUMPBCVNI-GFCCVEGCSA-N 0 3 239.388 2.517 20 0 BFADHN CCS[C@H]1CC[C@@H](N(C)Cc2cn[nH]c2)C1 ZINC000611276258 359288547 /nfs/dbraw/zinc/28/85/47/359288547.db2.gz FTFUKWZLGNBJQA-NEPJUHHUSA-N 0 3 239.388 2.516 20 0 BFADHN CC1(C)CC[C@@H]1NCc1cccc2c1OCCO2 ZINC000284312592 359298213 /nfs/dbraw/zinc/29/82/13/359298213.db2.gz LKFDHNAJNPNBNE-ZDUSSCGKSA-N 0 3 247.338 2.736 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCC[C@@H](CO)C1 ZINC000248330239 359263897 /nfs/dbraw/zinc/26/38/97/359263897.db2.gz DLFGOQYPNVVOEM-VXGBXAGGSA-N 0 3 237.318 2.591 20 0 BFADHN CC[C@@H](C)CN(CC)CC(=O)N[C@H](C)C(C)C ZINC000248336256 359265614 /nfs/dbraw/zinc/26/56/14/359265614.db2.gz GXWKRWMNXFHOMU-CHWSQXEVSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3c2OCCO3)[C@H]1C ZINC000248338100 359265860 /nfs/dbraw/zinc/26/58/60/359265860.db2.gz HRYPPPAVXHOMFU-NEPJUHHUSA-N 0 3 247.338 2.688 20 0 BFADHN Cc1cccc2nc(CN3CC[C@@H](C)[C@H]3C)cn21 ZINC000248343851 359267262 /nfs/dbraw/zinc/26/72/62/359267262.db2.gz KVCVAHRDNPHKJZ-DGCLKSJQSA-N 0 3 243.354 2.873 20 0 BFADHN COC(=O)c1cccc(CN2CC[C@@H](C)[C@@H]2C)c1 ZINC000248342754 359267518 /nfs/dbraw/zinc/26/75/18/359267518.db2.gz JTRRWSOJXMOIDL-NEPJUHHUSA-N 0 3 247.338 2.704 20 0 BFADHN C[C@@H](c1cccc(Cl)c1)N1CC[C@](C)(O)C1 ZINC000248347515 359268575 /nfs/dbraw/zinc/26/85/75/359268575.db2.gz NMLGCDWSZYEGGO-GWCFXTLKSA-N 0 3 239.746 2.858 20 0 BFADHN COc1ccc(OC)c(CN2CC[C@H](C)[C@@H]2C)c1 ZINC000248359160 359270415 /nfs/dbraw/zinc/27/04/15/359270415.db2.gz RPUGYFOPIXBXMV-RYUDHWBXSA-N 0 3 249.354 2.934 20 0 BFADHN COC[C@H](C)N1C[C@H](C)[C@@H]1c1ccccc1 ZINC000248366914 359271685 /nfs/dbraw/zinc/27/16/85/359271685.db2.gz SREQIPBGTLRMFS-SGMGOOAPSA-N 0 3 219.328 2.714 20 0 BFADHN CC[C@@H](NCc1cc(C)ccc1F)C(C)(C)O ZINC000292889459 359321329 /nfs/dbraw/zinc/32/13/29/359321329.db2.gz CVNZTRSQWHPDPW-CYBMUJFWSA-N 0 3 239.334 2.773 20 0 BFADHN CCCCCN1CCCCC[C@H]1C(=O)OC ZINC000611579300 359398346 /nfs/dbraw/zinc/39/83/46/359398346.db2.gz ZPTMWZLGQKVNRP-LBPRGKRZSA-N 0 3 227.348 2.594 20 0 BFADHN Cc1noc(C)c1CNCC1=CCCCC1 ZINC000379588852 359556694 /nfs/dbraw/zinc/55/66/94/359556694.db2.gz PTMMVHJHFJKJEP-UHFFFAOYSA-N 0 3 220.316 2.881 20 0 BFADHN C[C@@H](NC1(C2CC2)CC1)c1nccs1 ZINC000379653043 359574291 /nfs/dbraw/zinc/57/42/91/359574291.db2.gz HOWLQMHLNIBGBF-MRVPVSSYSA-N 0 3 208.330 2.736 20 0 BFADHN Cc1csc([C@H](C)NCC[C@H](C)CCO)n1 ZINC000623990584 359667494 /nfs/dbraw/zinc/66/74/94/359667494.db2.gz GXPPBJGKIIXHGB-ONGXEEELSA-N 0 3 242.388 2.511 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CCCC23CC3)o1 ZINC000628494466 359673962 /nfs/dbraw/zinc/67/39/62/359673962.db2.gz PJOVFQCVKUCWCE-LBPRGKRZSA-N 0 3 234.343 2.961 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2ccc(CO)o2)C1 ZINC000628494948 359692052 /nfs/dbraw/zinc/69/20/52/359692052.db2.gz DPPJLADBIKAUHS-IBUXWKBASA-N 0 3 249.354 2.950 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2c[nH]nc2C)C1 ZINC000628495174 359696962 /nfs/dbraw/zinc/69/69/62/359696962.db2.gz KFBDPPLIVIMFOK-ITDFMYJTSA-N 0 3 233.359 2.896 20 0 BFADHN CCN(Cc1cc[nH]n1)[C@H]1CCCC[C@H]1C ZINC000450811839 202273751 /nfs/dbraw/zinc/27/37/51/202273751.db2.gz QJHWIUFJADOKQG-YPMHNXCESA-N 0 3 221.348 2.810 20 0 BFADHN CN(C)CCSCC12CCC(CC1)C2 ZINC000450858718 202282400 /nfs/dbraw/zinc/28/24/00/202282400.db2.gz AHYKBHFOGUOSDA-UHFFFAOYSA-N 0 3 213.390 2.862 20 0 BFADHN Cc1nnsc1CN1CC[C@H](CC(C)C)C1 ZINC000578445560 366570480 /nfs/dbraw/zinc/57/04/80/366570480.db2.gz IQMXTZLUGLMLOF-LLVKDONJSA-N 0 3 239.388 2.715 20 0 BFADHN CC(C)[C@H]1C[C@@H](N[C@@H]2CCC[C@@H]2F)CS1 ZINC000578492363 366579299 /nfs/dbraw/zinc/57/92/99/366579299.db2.gz KBKCCZLAHYSBJM-WRWGMCAJSA-N 0 3 231.380 2.997 20 0 BFADHN CC1(C)CC[C@@H](CN2CCC[C@@](C)(F)C2)O1 ZINC000451113393 202354553 /nfs/dbraw/zinc/35/45/53/202354553.db2.gz JRZAZYUFTQFROU-WCQYABFASA-N 0 3 229.339 2.768 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000451153614 202365996 /nfs/dbraw/zinc/36/59/96/202365996.db2.gz HWOLVWGNODZLJA-NWJSVONSSA-N 0 3 248.370 2.861 20 0 BFADHN Cc1oncc1CN(C)CCc1ccccc1C ZINC000451167230 202366903 /nfs/dbraw/zinc/36/69/03/202366903.db2.gz QXXSSZCLXGAKCB-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN C[C@]1(F)CCCN(C[C@H](O)CC2CCCC2)C1 ZINC000451116366 202355709 /nfs/dbraw/zinc/35/57/09/202355709.db2.gz RPZVAXPULKCLQZ-KGLIPLIRSA-N 0 3 243.366 2.752 20 0 BFADHN Cc1ccc(CCN(C(C)C)C2COC2)cc1 ZINC000451118520 202356344 /nfs/dbraw/zinc/35/63/44/202356344.db2.gz AGYVACMGZXMQHW-UHFFFAOYSA-N 0 3 233.355 2.647 20 0 BFADHN CC(C)CC[C@@H](O)CN1CCC[C@@](C)(F)C1 ZINC000451118370 202358080 /nfs/dbraw/zinc/35/80/80/202358080.db2.gz XQSISLCDFCXEFZ-CHWSQXEVSA-N 0 3 231.355 2.608 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1ccc(F)cc1F ZINC000087013065 359893292 /nfs/dbraw/zinc/89/32/92/359893292.db2.gz SMXMQPKXUALFKF-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1nc(CNC2(C3CCC3)CCC2)[nH]c1C ZINC000578643825 366618139 /nfs/dbraw/zinc/61/81/39/366618139.db2.gz DKPHYVXCTVIVMG-UHFFFAOYSA-N 0 3 233.359 2.839 20 0 BFADHN Cc1nnc(CN2CCC[C@]2(C)CC(C)C)o1 ZINC000451291426 202397545 /nfs/dbraw/zinc/39/75/45/202397545.db2.gz OQIGRBJVMJORRQ-CYBMUJFWSA-N 0 3 237.347 2.779 20 0 BFADHN CC[C@@H]1CN(C)CCN1Cc1ccc(C)c(C)c1 ZINC000578562249 366600667 /nfs/dbraw/zinc/60/06/67/366600667.db2.gz WEAJTJBQOXROOU-MRXNPFEDSA-N 0 3 246.398 2.829 20 0 BFADHN CCN(Cc1ccccn1)CC1(C)CC1 ZINC000578582853 366601884 /nfs/dbraw/zinc/60/18/84/366601884.db2.gz PMBYSQFYAQJLBX-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CCC1(NCc2nnc(C)n2C2CC2)CCCC1 ZINC000451270732 202392262 /nfs/dbraw/zinc/39/22/62/202392262.db2.gz CQWCEKFDRYXXMH-UHFFFAOYSA-N 0 3 248.374 2.734 20 0 BFADHN COCc1ccc(CN[C@@H]2CCC[C@@H](F)C2)o1 ZINC000578768891 366645110 /nfs/dbraw/zinc/64/51/10/366645110.db2.gz ZPTOGJCVDOHCIN-GHMZBOCLSA-N 0 3 241.306 2.796 20 0 BFADHN CC(C)n1ncnc1CN[C@@H]1CCCC[C@@H]1C ZINC000088588699 359968522 /nfs/dbraw/zinc/96/85/22/359968522.db2.gz RLPVUCMTDYAPER-NWDGAFQWSA-N 0 3 236.363 2.527 20 0 BFADHN CCn1ncnc1CN[C@H](C)CCCC(C)C ZINC000088590298 359969127 /nfs/dbraw/zinc/96/91/27/359969127.db2.gz XIAWDCDDNIAPSE-GFCCVEGCSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1cc(CN[C@@H]2CCC23CCCC3)nn1C ZINC000451461814 202428171 /nfs/dbraw/zinc/42/81/71/202428171.db2.gz UTPXWHIFZKQGRU-CYBMUJFWSA-N 0 3 233.359 2.541 20 0 BFADHN Cc1cccc(CNCCOCC2CCC2)c1 ZINC000578946334 366677800 /nfs/dbraw/zinc/67/78/00/366677800.db2.gz DJCRFDVTWKMHMZ-UHFFFAOYSA-N 0 3 233.355 2.901 20 0 BFADHN CC[C@@H](COC)N[C@@H](CC)c1c(C)noc1C ZINC000090886975 360092734 /nfs/dbraw/zinc/09/27/34/360092734.db2.gz PNKUSKLMFOCZJY-RYUDHWBXSA-N 0 3 240.347 2.757 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)C1CC1)CO2 ZINC000090452433 360073137 /nfs/dbraw/zinc/07/31/37/360073137.db2.gz QSSRKOTUHOICTF-GXFFZTMASA-N 0 3 217.312 2.817 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1)c1ccc2ccccc2n1 ZINC000090774116 360086516 /nfs/dbraw/zinc/08/65/16/360086516.db2.gz VSAHASIQNXZATN-DGCLKSJQSA-N 0 3 242.322 2.674 20 0 BFADHN CN(CCC1CC1)Cc1c[nH]nc1C(C)(C)C ZINC000092067183 360149923 /nfs/dbraw/zinc/14/99/23/360149923.db2.gz KNTYOXHPSXMVLA-UHFFFAOYSA-N 0 3 235.375 2.939 20 0 BFADHN c1csc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)n1 ZINC000403787898 360108534 /nfs/dbraw/zinc/10/85/34/360108534.db2.gz ZTADPDMBTRAOBD-MXWKQRLJSA-N 0 3 222.357 2.669 20 0 BFADHN C[C@@H]1CCCCN1C[C@H]1COc2ccccc2O1 ZINC000612867218 360130750 /nfs/dbraw/zinc/13/07/50/360130750.db2.gz IHFIZEQQBAVYST-OLZOCXBDSA-N 0 3 247.338 2.701 20 0 BFADHN CN(Cc1ccc(Cl)o1)C[C@H]1CCC[C@@H]1O ZINC000091898588 360135110 /nfs/dbraw/zinc/13/51/10/360135110.db2.gz PENKRYGDEIALJS-KOLCDFICSA-N 0 3 243.734 2.526 20 0 BFADHN CC[C@H]1CCN(Cc2cnc3c(C)cccn23)C1 ZINC000091929912 360137954 /nfs/dbraw/zinc/13/79/54/360137954.db2.gz QPPIRJBZRCBNGL-ZDUSSCGKSA-N 0 3 243.354 2.875 20 0 BFADHN C[C@@H]1CCC[C@@H](CN2CCO[C@H](C)C2)C1 ZINC000092792468 360198176 /nfs/dbraw/zinc/19/81/76/360198176.db2.gz ZRTYYVDYPGJJCM-JHJVBQTASA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H]1CCC[C@@H](CN(C)Cc2cnn(C)c2)C1 ZINC000092792676 360199093 /nfs/dbraw/zinc/19/90/93/360199093.db2.gz NWOPSZHWNCSMTC-CHWSQXEVSA-N 0 3 235.375 2.678 20 0 BFADHN COc1ccc(CNC2C(C)(C)C2(C)C)cc1O ZINC000092815653 360201516 /nfs/dbraw/zinc/20/15/16/360201516.db2.gz OYHXYORYDQCRIC-UHFFFAOYSA-N 0 3 249.354 2.925 20 0 BFADHN CC(C)n1cncc1CNC1C(C)(C)C1(C)C ZINC000092815763 360201529 /nfs/dbraw/zinc/20/15/29/360201529.db2.gz DBNGJFCLBXKLGQ-UHFFFAOYSA-N 0 3 235.375 2.988 20 0 BFADHN CCc1nn(C)cc1CN[C@@H](C)c1cccs1 ZINC000092354933 360164314 /nfs/dbraw/zinc/16/43/14/360164314.db2.gz HQIUKGADPMICCK-JTQLQIEISA-N 0 3 249.383 2.895 20 0 BFADHN CC(C)[C@H](CO)N[C@H](C)c1cc(F)ccc1F ZINC000092393976 360168672 /nfs/dbraw/zinc/16/86/72/360168672.db2.gz IOVKSXGNWATUGP-RNCFNFMXSA-N 0 3 243.297 2.632 20 0 BFADHN CSCCN(C)Cc1ccc(C)c(F)c1 ZINC000093509656 360252574 /nfs/dbraw/zinc/25/25/74/360252574.db2.gz RCMLAFHYCXPKCN-UHFFFAOYSA-N 0 3 227.348 2.929 20 0 BFADHN CSCCN(C)Cc1ccc(F)c(C)c1 ZINC000093509504 360254242 /nfs/dbraw/zinc/25/42/42/360254242.db2.gz NYUYGCWHSWBLES-UHFFFAOYSA-N 0 3 227.348 2.929 20 0 BFADHN Cc1ccc(C[C@H](C)N(C)Cc2c[nH]cn2)cc1 ZINC000093535045 360255963 /nfs/dbraw/zinc/25/59/63/360255963.db2.gz MGRYIURCWJVQLM-ZDUSSCGKSA-N 0 3 243.354 2.781 20 0 BFADHN Cc1ccc(C[C@H](C)N(C)Cc2cnc[nH]2)cc1 ZINC000093535045 360255968 /nfs/dbraw/zinc/25/59/68/360255968.db2.gz MGRYIURCWJVQLM-ZDUSSCGKSA-N 0 3 243.354 2.781 20 0 BFADHN CC[C@H](C)[C@@H](C)N(Cc1c[nH]cn1)C1CC1 ZINC000093132160 360219400 /nfs/dbraw/zinc/21/94/00/360219400.db2.gz QIDJDSMGIJANLM-WDEREUQCSA-N 0 3 221.348 2.809 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CCC(C)(C)C1 ZINC000093339908 360234777 /nfs/dbraw/zinc/23/47/77/360234777.db2.gz KUIMRULIQGGGOH-UHFFFAOYSA-N 0 3 235.375 2.939 20 0 BFADHN CCOC(=O)C(C)(C)N(C)C[C@H]1CC=CCC1 ZINC000093359411 360235379 /nfs/dbraw/zinc/23/53/79/360235379.db2.gz DNOJCPGJWCBJDZ-LBPRGKRZSA-N 0 3 239.359 2.616 20 0 BFADHN OCCCCN(Cc1ccc(Cl)o1)C1CC1 ZINC000093382972 360236963 /nfs/dbraw/zinc/23/69/63/360236963.db2.gz XIFZNYKWOJLKJY-UHFFFAOYSA-N 0 3 243.734 2.670 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2c[nH]cn2)CC1 ZINC000093440656 360242814 /nfs/dbraw/zinc/24/28/14/360242814.db2.gz PRSXCRDAZGQFEW-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2cnc[nH]2)CC1 ZINC000093440656 360242818 /nfs/dbraw/zinc/24/28/18/360242818.db2.gz PRSXCRDAZGQFEW-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN c1nc(CN2CCC[C@@]3(CC=CCC3)C2)c[nH]1 ZINC000093439476 360243448 /nfs/dbraw/zinc/24/34/48/360243448.db2.gz NLSSUCVDBPQRII-AWEZNQCLSA-N 0 3 231.343 2.732 20 0 BFADHN c1ncc(CN2CCC[C@@]3(CC=CCC3)C2)[nH]1 ZINC000093439476 360243453 /nfs/dbraw/zinc/24/34/53/360243453.db2.gz NLSSUCVDBPQRII-AWEZNQCLSA-N 0 3 231.343 2.732 20 0 BFADHN CSCC[C@H](C)N(C)Cc1cccnc1C ZINC000093558937 360257607 /nfs/dbraw/zinc/25/76/07/360257607.db2.gz SVBAWGDATANUGK-NSHDSACASA-N 0 3 238.400 2.963 20 0 BFADHN CCn1cncc1CNCCc1ccccc1C ZINC000094182963 360299060 /nfs/dbraw/zinc/29/90/60/360299060.db2.gz JWAYDLHNDSLNDK-UHFFFAOYSA-N 0 3 243.354 2.544 20 0 BFADHN CCO[C@H]1C[C@@H](N(C)Cc2ccco2)C1(C)C ZINC000093605122 360262552 /nfs/dbraw/zinc/26/25/52/360262552.db2.gz GZOHNMFQJMBDLO-OLZOCXBDSA-N 0 3 237.343 2.915 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C(C)C)C2CCC2)n1 ZINC000093608275 360264223 /nfs/dbraw/zinc/26/42/23/360264223.db2.gz RTUOHYRKFNSXGP-UHFFFAOYSA-N 0 3 234.343 2.859 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C(C)C)C2CCC2)n1 ZINC000093608275 360264227 /nfs/dbraw/zinc/26/42/27/360264227.db2.gz RTUOHYRKFNSXGP-UHFFFAOYSA-N 0 3 234.343 2.859 20 0 BFADHN CCC[C@H]1[C@@H](C)CCCN1Cc1cc[nH]n1 ZINC000093740894 360274793 /nfs/dbraw/zinc/27/47/93/360274793.db2.gz IZCJQYBSNVSGPM-AAEUAGOBSA-N 0 3 221.348 2.810 20 0 BFADHN CN(Cc1ccc(Cl)o1)[C@H](CO)C(C)(C)C ZINC000093821701 360280859 /nfs/dbraw/zinc/28/08/59/360280859.db2.gz LLBHJBKAZFEREA-SNVBAGLBSA-N 0 3 245.750 2.772 20 0 BFADHN CCS[C@@H]1CC[C@H](N(C)Cc2c[nH]cn2)C1 ZINC000093868723 360284946 /nfs/dbraw/zinc/28/49/46/360284946.db2.gz BFMZBRKAOPMYNQ-NWDGAFQWSA-N 0 3 239.388 2.516 20 0 BFADHN CCS[C@@H]1CC[C@H](N(C)Cc2cnc[nH]2)C1 ZINC000093868723 360284951 /nfs/dbraw/zinc/28/49/51/360284951.db2.gz BFMZBRKAOPMYNQ-NWDGAFQWSA-N 0 3 239.388 2.516 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@]1(C)CCCO1 ZINC000094797005 360317496 /nfs/dbraw/zinc/31/74/96/360317496.db2.gz FQOQTLAXWHZJTE-WFASDCNBSA-N 0 3 249.354 2.915 20 0 BFADHN C[C@]1(CNCc2cc(F)ccc2F)CCCO1 ZINC000094798154 360318315 /nfs/dbraw/zinc/31/83/15/360318315.db2.gz OZVYLVRDLFXVRG-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN C[C@H](NC[C@]1(C)CCCO1)c1cccc(O)c1 ZINC000094797432 360318433 /nfs/dbraw/zinc/31/84/33/360318433.db2.gz XYHJXQAYWMVOCZ-FZMZJTMJSA-N 0 3 235.327 2.612 20 0 BFADHN COc1ccc(C)cc1CNC[C@@]1(C)CCCO1 ZINC000094797523 360318647 /nfs/dbraw/zinc/31/86/47/360318647.db2.gz PTSHZYXFGUAZGL-OAHLLOKOSA-N 0 3 249.354 2.662 20 0 BFADHN COc1ccc(C)cc1CNC[C@]1(C)CCCO1 ZINC000094797522 360318699 /nfs/dbraw/zinc/31/86/99/360318699.db2.gz PTSHZYXFGUAZGL-HNNXBMFYSA-N 0 3 249.354 2.662 20 0 BFADHN CCCC[C@@H](N)C(=O)N1CCC(CC)(CC)C1 ZINC000094802364 360319660 /nfs/dbraw/zinc/31/96/60/360319660.db2.gz TVQNEIYGVUZHME-GFCCVEGCSA-N 0 3 240.391 2.543 20 0 BFADHN CCOC(=O)[C@H](CC)N1CCC(CC)(CC)C1 ZINC000094805585 360320277 /nfs/dbraw/zinc/32/02/77/360320277.db2.gz SIAKSAJFFLKYEC-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CCOC(=O)[C@@H](CC)N1CCC(CC)(CC)C1 ZINC000094805586 360321159 /nfs/dbraw/zinc/32/11/59/360321159.db2.gz SIAKSAJFFLKYEC-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H](NCC1(C)COC1)c1cc(F)cc(F)c1 ZINC000094827873 360321804 /nfs/dbraw/zinc/32/18/04/360321804.db2.gz HVPPCOWUZHIEGD-SECBINFHSA-N 0 3 241.281 2.652 20 0 BFADHN CCC[C@@H](N)C(=O)N(CC(C)C)C1CCCC1 ZINC000094847532 360323036 /nfs/dbraw/zinc/32/30/36/360323036.db2.gz CPJIWRLFYNAXLA-CYBMUJFWSA-N 0 3 240.391 2.541 20 0 BFADHN C[C@@H](NC[C@@H](C)CCO)c1ccc(F)cc1F ZINC000097439378 360371700 /nfs/dbraw/zinc/37/17/00/360371700.db2.gz VHEQUMJUIHXSME-VHSXEESVSA-N 0 3 243.297 2.634 20 0 BFADHN Cc1cccc(C)c1CN[C@H](C)Cn1cccn1 ZINC000096811175 360355640 /nfs/dbraw/zinc/35/56/40/360355640.db2.gz RJIZISMMCMFLKB-CQSZACIVSA-N 0 3 243.354 2.678 20 0 BFADHN C(NC1(C2CC2)CC1)c1nc2c(s1)CCC2 ZINC000309414166 491148833 /nfs/dbraw/zinc/14/88/33/491148833.db2.gz XAVVGJLRLVMFIW-UHFFFAOYSA-N 0 3 234.368 2.664 20 0 BFADHN COc1cc(CN(C)[C@@H]2CC2(C)C)cc(OC)c1 ZINC000426581792 360526238 /nfs/dbraw/zinc/52/62/38/360526238.db2.gz WFHNJCBDCYCQBH-CQSZACIVSA-N 0 3 249.354 2.934 20 0 BFADHN Fc1cnccc1CN[C@H]1CCCC12CC2 ZINC000631190802 360544807 /nfs/dbraw/zinc/54/48/07/360544807.db2.gz CBLGRRZQUYRLEV-LBPRGKRZSA-N 0 3 220.291 2.643 20 0 BFADHN CCc1nocc1CN[C@@H]1CCCC12CC2 ZINC000631192987 360589931 /nfs/dbraw/zinc/58/99/31/360589931.db2.gz MFBTVAZQHVWHGH-GFCCVEGCSA-N 0 3 220.316 2.659 20 0 BFADHN CC[C@@H](NC[C@H](C)C1CC1)c1ccn(C)n1 ZINC000309533751 491149837 /nfs/dbraw/zinc/14/98/37/491149837.db2.gz DQQYHNYWNLMPIR-CMPLNLGQSA-N 0 3 221.348 2.507 20 0 BFADHN CCN(C[C@@H]1COc2ccccc2O1)C1CCC1 ZINC000172432244 360637531 /nfs/dbraw/zinc/63/75/31/360637531.db2.gz REAOLGBUNYFUGJ-CYBMUJFWSA-N 0 3 247.338 2.701 20 0 BFADHN C[C@@H](NCCOCC1CCC1)c1cncs1 ZINC000309515543 491150703 /nfs/dbraw/zinc/15/07/03/491150703.db2.gz YOULWJUQRYQNLJ-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN Cc1ccc(CN2CC3(CC3)C2)cc1C ZINC000449370771 200667969 /nfs/dbraw/zinc/66/79/69/200667969.db2.gz MJYNOKFVDSEDBJ-UHFFFAOYSA-N 0 3 201.313 2.899 20 0 BFADHN CC1(C)C[C@@H](NCc2cccc(O)c2)C(C)(C)O1 ZINC000154447952 360799887 /nfs/dbraw/zinc/79/98/87/360799887.db2.gz BLIXANAWXOHRLH-CYBMUJFWSA-N 0 3 249.354 2.828 20 0 BFADHN Cc1n[nH]cc1[C@H](C)N[C@H]1CC12CCCC2 ZINC000309574536 491151960 /nfs/dbraw/zinc/15/19/60/491151960.db2.gz ONBJGDAHQOGHTB-CABZTGNLSA-N 0 3 219.332 2.701 20 0 BFADHN C[C@H](N[C@@H]1CCS[C@H]1C)c1cncc(F)c1 ZINC000309606153 491152237 /nfs/dbraw/zinc/15/22/37/491152237.db2.gz YRZLFXVKFSWXOP-HOTUBEGUSA-N 0 3 240.347 2.765 20 0 BFADHN c1nc(CNC[C@H]2CCC[C@H]2C2CC2)co1 ZINC000414481947 200753693 /nfs/dbraw/zinc/75/36/93/200753693.db2.gz LHGITJSFGGYESA-YPMHNXCESA-N 0 3 220.316 2.591 20 0 BFADHN Cc1ccc(CN[C@H]2CCO[C@H](C)C2)c(F)c1 ZINC000631105047 360980934 /nfs/dbraw/zinc/98/09/34/360980934.db2.gz JBUGKAMGDQRVCM-YPMHNXCESA-N 0 3 237.318 2.791 20 0 BFADHN CCC(C)(C)CCNCc1ccc(CO)o1 ZINC000631207875 361024488 /nfs/dbraw/zinc/02/44/88/361024488.db2.gz WXZZUVSXSVVACN-UHFFFAOYSA-N 0 3 225.332 2.688 20 0 BFADHN CC1(C)[C@H](NCc2ccoc2)[C@@H]2CCCO[C@@H]21 ZINC000135201194 200845435 /nfs/dbraw/zinc/84/54/35/200845435.db2.gz ZJGYHEOHYXOIQB-XQQFMLRXSA-N 0 3 235.327 2.573 20 0 BFADHN Cc1scc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)c1C ZINC000631168041 361120569 /nfs/dbraw/zinc/12/05/69/361120569.db2.gz YFFYUKQHRPTOEY-UPJWGTAASA-N 0 3 237.368 2.774 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1CC12CC2 ZINC000424315830 200907079 /nfs/dbraw/zinc/90/70/79/200907079.db2.gz DWVNBICOEGDTLA-ZWNOBZJWSA-N 0 3 217.312 2.898 20 0 BFADHN Cc1c([C@H](C)N[C@H]2CC23CC3)cnn1C(C)C ZINC000424329414 200914485 /nfs/dbraw/zinc/91/44/85/200914485.db2.gz RTMMQGHENPJPLM-GWCFXTLKSA-N 0 3 233.359 2.976 20 0 BFADHN CC1(NCc2ccc(Cl)o2)CCOCC1 ZINC000159322996 361230916 /nfs/dbraw/zinc/23/09/16/361230916.db2.gz UESQOCZDUSMNSU-UHFFFAOYSA-N 0 3 229.707 2.592 20 0 BFADHN C1=C(CN2CCC[C@H]3CCC[C@H]32)COCC1 ZINC000092485792 200943804 /nfs/dbraw/zinc/94/38/04/200943804.db2.gz WKEAPPUPYNAUPM-ZIAGYGMSSA-N 0 3 221.344 2.598 20 0 BFADHN CC(C)(C)c1cccc(CN2C[C@H]3C[C@@]3(O)C2)c1 ZINC000640484779 361276687 /nfs/dbraw/zinc/27/66/87/361276687.db2.gz FQTPVABDHXXCMV-GDBMZVCRSA-N 0 3 245.366 2.551 20 0 BFADHN Cn1ccnc1[C@@H](N[C@H]1CCC[C@H]2C[C@H]21)C1CC1 ZINC000579145184 366725782 /nfs/dbraw/zinc/72/57/82/366725782.db2.gz UBUVKCDXOPTNSR-CRWXNKLISA-N 0 3 245.370 2.649 20 0 BFADHN Cn1ccnc1[C@H](N[C@H]1CCC[C@H]2C[C@H]21)C1CC1 ZINC000579145187 366726019 /nfs/dbraw/zinc/72/60/19/366726019.db2.gz UBUVKCDXOPTNSR-RFQIPJPRSA-N 0 3 245.370 2.649 20 0 BFADHN C[C@H](C[C@@H]1CCOC1)N[C@H](C)c1ccco1 ZINC000309763179 491156019 /nfs/dbraw/zinc/15/60/19/491156019.db2.gz MYLYYAYKOUYIEU-UTUOFQBUSA-N 0 3 223.316 2.745 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1CCC[C@H]2C[C@H]21)C1CC1 ZINC000579145186 366726200 /nfs/dbraw/zinc/72/62/00/366726200.db2.gz UBUVKCDXOPTNSR-REWJHTLYSA-N 0 3 245.370 2.649 20 0 BFADHN Cc1cc(CN2CCC[C@H]2CC(C)C)ncn1 ZINC000428414408 201013568 /nfs/dbraw/zinc/01/35/68/201013568.db2.gz UURFPVBSJBDDTK-AWEZNQCLSA-N 0 3 233.359 2.796 20 0 BFADHN CCCn1ccc(CN(C)CC(C)(C)OC)c1 ZINC000579217646 366735891 /nfs/dbraw/zinc/73/58/91/366735891.db2.gz NCUJIJHANNMODB-UHFFFAOYSA-N 0 3 238.375 2.755 20 0 BFADHN CCN(CC(C)C)[C@@H](C)c1cnc(C)cn1 ZINC000428451587 201018402 /nfs/dbraw/zinc/01/84/02/201018402.db2.gz CSANNNXDAKPVMK-LBPRGKRZSA-N 0 3 221.348 2.824 20 0 BFADHN Cc1nocc1CNC[C@H]1CC2CCC1CC2 ZINC000579229647 366739513 /nfs/dbraw/zinc/73/95/13/366739513.db2.gz NGDAGRMFTDFBSZ-WXRRBKDZSA-N 0 3 234.343 2.899 20 0 BFADHN CCCCN(C)Cc1oc(CC)nc1C ZINC000428476253 201022117 /nfs/dbraw/zinc/02/21/17/201022117.db2.gz XHKSRDDQLDDCSL-UHFFFAOYSA-N 0 3 210.321 2.777 20 0 BFADHN CCCCN(C)[C@H](C)c1cnc(C)cn1 ZINC000428472899 201023431 /nfs/dbraw/zinc/02/34/31/201023431.db2.gz KDFLLXJVBADHJI-LLVKDONJSA-N 0 3 207.321 2.578 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1n[nH]c(C)n1 ZINC000428485406 201025308 /nfs/dbraw/zinc/02/53/08/201025308.db2.gz RUAMOLZYZYOTOA-NEPJUHHUSA-N 0 3 236.363 2.514 20 0 BFADHN CCn1nccc1CN[C@@H]1CCC12CCCC2 ZINC000428652613 201030336 /nfs/dbraw/zinc/03/03/36/201030336.db2.gz OSNFIWHHXIYWPM-CYBMUJFWSA-N 0 3 233.359 2.715 20 0 BFADHN CCC(CC)N(CC)Cc1n[nH]c(C(C)C)n1 ZINC000428940058 201049608 /nfs/dbraw/zinc/04/96/08/201049608.db2.gz OXLNNZRYUKQJCZ-UHFFFAOYSA-N 0 3 238.379 2.939 20 0 BFADHN CCC(CC)[N@H+](CC)Cc1nnc(C(C)C)[n-]1 ZINC000428940058 201049612 /nfs/dbraw/zinc/04/96/12/201049612.db2.gz OXLNNZRYUKQJCZ-UHFFFAOYSA-N 0 3 238.379 2.939 20 0 BFADHN CCC(CC)[N@@H+](CC)Cc1nnc(C(C)C)[n-]1 ZINC000428940058 201049613 /nfs/dbraw/zinc/04/96/13/201049613.db2.gz OXLNNZRYUKQJCZ-UHFFFAOYSA-N 0 3 238.379 2.939 20 0 BFADHN CC[C@H](F)CN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000428712085 201035422 /nfs/dbraw/zinc/03/54/22/201035422.db2.gz RZMIIXJTFNXXHM-AEJSXWLSSA-N 0 3 221.266 2.712 20 0 BFADHN C[C@@]12CCN(CCOC3CCC3)C[C@@H]1C2(F)F ZINC000428734348 201039972 /nfs/dbraw/zinc/03/99/72/201039972.db2.gz SMHUUJGFSCDASO-NWDGAFQWSA-N 0 3 245.313 2.533 20 0 BFADHN Cc1cnc(CN(C2CCC2)C2CCC2)cn1 ZINC000429117187 201068857 /nfs/dbraw/zinc/06/88/57/201068857.db2.gz TZWRRWOLXYMHRB-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN CC(C)CN1Cc2ccccc2O[C@H](C)C1 ZINC000429129511 201070832 /nfs/dbraw/zinc/07/08/32/201070832.db2.gz SKRNUFKRVSCMJT-GFCCVEGCSA-N 0 3 219.328 2.926 20 0 BFADHN Cc1ncccc1CN1CCSCC[C@@H]1C ZINC000191821484 361371578 /nfs/dbraw/zinc/37/15/78/361371578.db2.gz VLKLHVONGHZYAC-NSHDSACASA-N 0 3 236.384 2.717 20 0 BFADHN CC(C)c1nc(CN(C2CCC2)C2CCC2)n[nH]1 ZINC000429133367 201071964 /nfs/dbraw/zinc/07/19/64/201071964.db2.gz ODHTXZLUJUTZGT-UHFFFAOYSA-N 0 3 248.374 2.835 20 0 BFADHN CC(C)c1nc(CN(C)[C@H]2CC[C@@H](C)C2)n[nH]1 ZINC000428985034 201055719 /nfs/dbraw/zinc/05/57/19/201055719.db2.gz UENSOXTVSRBAKY-MNOVXSKESA-N 0 3 236.363 2.549 20 0 BFADHN CC(C)CCCN1CCN(C2CCC2)CC1 ZINC000429054735 201063418 /nfs/dbraw/zinc/06/34/18/201063418.db2.gz QRPSVDMUYVDDIC-UHFFFAOYSA-N 0 3 224.392 2.593 20 0 BFADHN CC(C)CCCN1CCOC(C)(C)[C@H]1C ZINC000429063908 201066320 /nfs/dbraw/zinc/06/63/20/201066320.db2.gz YMDDWPXMYUDLDY-GFCCVEGCSA-N 0 3 213.365 2.922 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc2c(c1)OCO2 ZINC000309811937 491157079 /nfs/dbraw/zinc/15/70/79/491157079.db2.gz UKMRXNCOSSBDHJ-UMBAGQNISA-N 0 3 219.284 2.642 20 0 BFADHN CO[C@H](CN[C@H]1CCCc2ncccc21)C(C)C ZINC000631585626 361387823 /nfs/dbraw/zinc/38/78/23/361387823.db2.gz NXEFEAOTVKNCNZ-LSDHHAIUSA-N 0 3 248.370 2.720 20 0 BFADHN Cc1ccoc1CN(C)CC[C@@H]1CCCCO1 ZINC000429394833 201105967 /nfs/dbraw/zinc/10/59/67/201105967.db2.gz RMLRQDZXZKEHCT-ZDUSSCGKSA-N 0 3 237.343 2.979 20 0 BFADHN Cc1ccoc1CN(C)CC[C@H]1CCCCO1 ZINC000429394831 201106818 /nfs/dbraw/zinc/10/68/18/201106818.db2.gz RMLRQDZXZKEHCT-CYBMUJFWSA-N 0 3 237.343 2.979 20 0 BFADHN COCc1cnc(CNCCCC2CC2)s1 ZINC000309856961 491157538 /nfs/dbraw/zinc/15/75/38/491157538.db2.gz KXBVQXZQQQNJPA-UHFFFAOYSA-N 0 3 240.372 2.569 20 0 BFADHN CC[C@@H](N[C@@H](CO)CC(F)F)c1ccccc1 ZINC000429470042 201110422 /nfs/dbraw/zinc/11/04/22/201110422.db2.gz RWLQONIEEJPANA-VXGBXAGGSA-N 0 3 243.297 2.743 20 0 BFADHN CC[C@@H]1CCN1Cc1cc(C)ccc1OC ZINC000429289652 201096818 /nfs/dbraw/zinc/09/68/18/201096818.db2.gz YEVKVFLMVJSOGT-CYBMUJFWSA-N 0 3 219.328 2.988 20 0 BFADHN CC(C)CCCN1C[C@H](C)O[C@@H](C)[C@@H]1C ZINC000429311454 201098583 /nfs/dbraw/zinc/09/85/83/201098583.db2.gz RCFOBOCVVXWHTN-AVGNSLFASA-N 0 3 213.365 2.920 20 0 BFADHN CC(C)CCCN1CCOC2(CCC2)C1 ZINC000429335087 201100472 /nfs/dbraw/zinc/10/04/72/201100472.db2.gz XNKACUPZWQMTKM-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN CC(C)CCCN1CCOC[C@@H]1C1CC1 ZINC000429328921 201102536 /nfs/dbraw/zinc/10/25/36/201102536.db2.gz CGTZWKIDZCBFTM-CYBMUJFWSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1ccoc1CN(C)C[C@@H]1CCO[C@H](C)C1 ZINC000429360595 201103137 /nfs/dbraw/zinc/10/31/37/201103137.db2.gz HKYXCGSWAOBYIN-CHWSQXEVSA-N 0 3 237.343 2.835 20 0 BFADHN COc1cccc(CN(C)[C@H]2CC[C@H](C)C2)n1 ZINC000429575267 201117499 /nfs/dbraw/zinc/11/74/99/201117499.db2.gz CLTZLWISBKQHMG-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@H]1CC[C@@H](N(C)Cc2cnc3ccccn23)C1 ZINC000429570783 201118308 /nfs/dbraw/zinc/11/83/08/201118308.db2.gz VRRIERGOZUNSCF-CHWSQXEVSA-N 0 3 243.354 2.955 20 0 BFADHN COc1cc(CN(C)[C@H]2CC[C@@H](C)C2)ccn1 ZINC000429582077 201118725 /nfs/dbraw/zinc/11/87/25/201118725.db2.gz ZCZMYQCUBIYKDU-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN COc1cc(CN(C)[C@@H]2CC[C@H](C)C2)ccn1 ZINC000429582076 201119729 /nfs/dbraw/zinc/11/97/29/201119729.db2.gz ZCZMYQCUBIYKDU-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccnc(CN(C)[C@H]2CC[C@@H](C)C2)c1 ZINC000429582741 201120943 /nfs/dbraw/zinc/12/09/43/201120943.db2.gz FNLZPBITYYNVTM-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN CC(C)CN1CCO[C@H](Cc2ccccc2)C1 ZINC000181529783 366821022 /nfs/dbraw/zinc/82/10/22/366821022.db2.gz QDSKFGVDSLRDPY-OAHLLOKOSA-N 0 3 233.355 2.586 20 0 BFADHN Cc1cnn(C)c1CN(C1CCC1)C1CCC1 ZINC000430116089 201144458 /nfs/dbraw/zinc/14/44/58/201144458.db2.gz AOKFSHBMGXRCKC-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN CC[C@H]1CCN1Cc1cccc(OCCOC)c1 ZINC000430205290 201153518 /nfs/dbraw/zinc/15/35/18/201153518.db2.gz MROJHDDXFYROAL-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@@H]1CCN1Cc1c[nH]nc1C(C)(C)C ZINC000430205711 201154657 /nfs/dbraw/zinc/15/46/57/201154657.db2.gz MXWJRJBZIQOBQH-LLVKDONJSA-N 0 3 221.348 2.692 20 0 BFADHN c1cc2c(cc1CN1CCCOCC1)CCCC2 ZINC000430243261 201156388 /nfs/dbraw/zinc/15/63/88/201156388.db2.gz ZBCOPXIXNHVIHA-UHFFFAOYSA-N 0 3 245.366 2.788 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc3c(c2)CCCC3)C1 ZINC000430245570 201156630 /nfs/dbraw/zinc/15/66/30/201156630.db2.gz BSUHHVYWKPAZBP-MRXNPFEDSA-N 0 3 245.366 2.786 20 0 BFADHN c1cc(-c2ccc(CN[C@@H]3CC=CCC3)o2)n[nH]1 ZINC000433335099 201180868 /nfs/dbraw/zinc/18/08/68/201180868.db2.gz OFDJKWVHVURRNK-LLVKDONJSA-N 0 3 243.310 2.868 20 0 BFADHN CC(C)(C)[C@@H]1CN(CC2(C)CCC2)CCO1 ZINC000433200983 201167563 /nfs/dbraw/zinc/16/75/63/201167563.db2.gz KEKYOQNCYIKIQN-LBPRGKRZSA-N 0 3 225.376 2.924 20 0 BFADHN CC1(C)CC(N[C@H](CO)c2ccc(F)cc2)C1 ZINC000433284593 201177611 /nfs/dbraw/zinc/17/76/11/201177611.db2.gz QURIYOIPGFEOOX-CYBMUJFWSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1ccc(CCCN2CCSCC2)cc1 ZINC000181556862 366826418 /nfs/dbraw/zinc/82/64/18/366826418.db2.gz GAAAFVGZWRVKRA-UHFFFAOYSA-N 0 3 235.396 2.976 20 0 BFADHN COCCN[C@@H]1CC(C)(C)Cc2occc21 ZINC000152110430 201189329 /nfs/dbraw/zinc/18/93/29/201189329.db2.gz DXJYNBAQDIEHMP-LLVKDONJSA-N 0 3 223.316 2.529 20 0 BFADHN CCCn1cc(CN[C@]23C[C@H]2CCCC3)cn1 ZINC000631225861 361592302 /nfs/dbraw/zinc/59/23/02/361592302.db2.gz KWTXVVIIQAONNE-ZIAGYGMSSA-N 0 3 233.359 2.715 20 0 BFADHN C[C@H]1CCCN(Cc2ccc(-c3cc[nH]n3)o2)C1 ZINC000434836693 201243882 /nfs/dbraw/zinc/24/38/82/201243882.db2.gz OTWUVRCCVILIBY-NSHDSACASA-N 0 3 245.326 2.902 20 0 BFADHN CCCN(CC)Cc1cnc2cccc(C)n12 ZINC000434991693 201247148 /nfs/dbraw/zinc/24/71/48/201247148.db2.gz OMCJOTLAXXBFOU-UHFFFAOYSA-N 0 3 231.343 2.875 20 0 BFADHN CC[C@@H](NCc1csc(C)n1)C1CC1 ZINC000083055846 491159574 /nfs/dbraw/zinc/15/95/74/491159574.db2.gz SDAZZKHBSRAYDC-LLVKDONJSA-N 0 3 210.346 2.730 20 0 BFADHN CC[C@@H](NC1CSC1)c1ccc(OC)cc1 ZINC000310035824 491159826 /nfs/dbraw/zinc/15/98/26/491159826.db2.gz UDHXDIUYFJLDOI-CYBMUJFWSA-N 0 3 237.368 2.851 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2cnc(C)s2)CO1 ZINC000631226477 361640224 /nfs/dbraw/zinc/64/02/24/361640224.db2.gz FUDNECRARXIVDA-DVVUODLYSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1oncc1-c1cc(C)c2c(c1)CCNC2 ZINC000629713595 361653523 /nfs/dbraw/zinc/65/35/23/361653523.db2.gz QXPZCYPCUMASCA-UHFFFAOYSA-N 0 3 228.295 2.604 20 0 BFADHN Cc1cc(C)c(/C=C/CNC/C=C\CO)cc1C ZINC000631172560 361660270 /nfs/dbraw/zinc/66/02/70/361660270.db2.gz KXYJSOGLZZXDGG-SCFJQAPRSA-N 0 3 245.366 2.763 20 0 BFADHN Cc1nc(-c2cc(CN(C)C)ccc2F)c[nH]1 ZINC000629737405 361674442 /nfs/dbraw/zinc/67/44/42/361674442.db2.gz RZTQSZSASTZNLJ-UHFFFAOYSA-N 0 3 233.290 2.586 20 0 BFADHN CC(C)CN(C)Cc1cn(C)nc1C(F)(F)F ZINC000154972057 201300722 /nfs/dbraw/zinc/30/07/22/201300722.db2.gz YWDWWHPHHGSYMB-UHFFFAOYSA-N 0 3 249.280 2.527 20 0 BFADHN Cc1nn(C)cc1-c1cc(CN(C)C)ccc1F ZINC000629736782 361676406 /nfs/dbraw/zinc/67/64/06/361676406.db2.gz KCYZKOHZPIPLDU-UHFFFAOYSA-N 0 3 247.317 2.596 20 0 BFADHN CCN(CCc1cccs1)Cc1cnn(C)c1 ZINC000155022911 201301771 /nfs/dbraw/zinc/30/17/71/201301771.db2.gz CTXHSWAZDWGCNB-UHFFFAOYSA-N 0 3 249.383 2.546 20 0 BFADHN CCn1nc(C)cc1-c1ccc2c(c1)CCNC2 ZINC000629742376 361678611 /nfs/dbraw/zinc/67/86/11/361678611.db2.gz BFJJRXQRSFAALE-UHFFFAOYSA-N 0 3 241.338 2.524 20 0 BFADHN CN[C@@H](CO)c1cccc(-c2ccc(C)s2)c1 ZINC000629757858 361686062 /nfs/dbraw/zinc/68/60/62/361686062.db2.gz JOSJAILOJOJIDS-ZDUSSCGKSA-N 0 3 247.363 2.976 20 0 BFADHN CC[C@H](NC[C@H](OC)C(C)C)c1ccncc1 ZINC000436472603 201329242 /nfs/dbraw/zinc/32/92/42/201329242.db2.gz ZYPMKYJRVYWUQE-KBPBESRZSA-N 0 3 236.359 2.793 20 0 BFADHN CC1=CCCN(Cc2ccc(F)cc2)C1 ZINC000436252108 201317151 /nfs/dbraw/zinc/31/71/51/201317151.db2.gz KUFMNXYRXQCLID-UHFFFAOYSA-N 0 3 205.276 2.978 20 0 BFADHN COc1cccc(OCCN2CCC=C(C)C2)c1 ZINC000436265368 201317541 /nfs/dbraw/zinc/31/75/41/201317541.db2.gz MDEPEHURJFAMJN-UHFFFAOYSA-N 0 3 247.338 2.726 20 0 BFADHN CC1=CCCN(Cc2c[nH]nc2C(C)(C)C)C1 ZINC000437348202 201352347 /nfs/dbraw/zinc/35/23/47/201352347.db2.gz VBMVDXBJCMGFRT-UHFFFAOYSA-N 0 3 233.359 2.859 20 0 BFADHN COCCN(CC1=CCCCC1)C1CC1 ZINC000450266035 201336088 /nfs/dbraw/zinc/33/60/88/201336088.db2.gz NEBZLHYSMVLHHL-UHFFFAOYSA-N 0 3 209.333 2.598 20 0 BFADHN CN(C)CCSc1c(F)cc(F)cc1F ZINC000614388252 363803890 /nfs/dbraw/zinc/80/38/90/363803890.db2.gz CQYCKVNTXQYEMU-UHFFFAOYSA-N 0 3 235.274 2.758 20 0 BFADHN CN(CC[C@@H]1CCOC1)Cc1ccccc1F ZINC000437576307 201358389 /nfs/dbraw/zinc/35/83/89/201358389.db2.gz FQUVGZOSILJZNQ-GFCCVEGCSA-N 0 3 237.318 2.684 20 0 BFADHN COc1cc(C)nc(CNCC2=CCCCC2)c1 ZINC000379766248 363892860 /nfs/dbraw/zinc/89/28/60/363892860.db2.gz JFDWCSBGYCJZHO-UHFFFAOYSA-N 0 3 246.354 2.989 20 0 BFADHN C[C@H](CNC(=O)C[C@@H](C)CC(C)(C)C)N(C)C ZINC000614639296 363912833 /nfs/dbraw/zinc/91/28/33/363912833.db2.gz WLXCDTINQLMVOB-VXGBXAGGSA-N 0 3 242.407 2.515 20 0 BFADHN CCCC[C@H](COC)NCc1cccnc1C ZINC000130670049 363953845 /nfs/dbraw/zinc/95/38/45/363953845.db2.gz GDPUIVDHRJRKOC-CQSZACIVSA-N 0 3 236.359 2.685 20 0 BFADHN CCc1ccc([C@@H](CO)NCC=C(C)C)cc1 ZINC000541984544 364057080 /nfs/dbraw/zinc/05/70/80/364057080.db2.gz NCMQMZZRGWACGH-OAHLLOKOSA-N 0 3 233.355 2.838 20 0 BFADHN Cn1nc(CN[C@@H]2CC=CCC2)c2ccccc21 ZINC000080122809 370728859 /nfs/dbraw/zinc/72/88/59/370728859.db2.gz XTACYDDVCDXHMG-GFCCVEGCSA-N 0 3 241.338 2.772 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@H]1C[C@@H](CO)C1 ZINC000631670344 364198149 /nfs/dbraw/zinc/19/81/49/364198149.db2.gz UJBYDLWHCAIQHX-RWMBFGLXSA-N 0 3 249.354 2.507 20 0 BFADHN C[C@@H](NCCCC(C)(C)C)c1nccn1C ZINC000132271735 364236355 /nfs/dbraw/zinc/23/63/55/364236355.db2.gz ZWRQYXBSEFCNLJ-LLVKDONJSA-N 0 3 223.364 2.897 20 0 BFADHN C[C@@H]1CC[C@H](n2cc([C@@H](N)C(C)(C)C)nn2)C1 ZINC000630604856 364322802 /nfs/dbraw/zinc/32/28/02/364322802.db2.gz VNJGPDKQELGING-JFGNBEQYSA-N 0 3 236.363 2.685 20 0 BFADHN CC1(C)C[C@H](NC2(c3ccc(F)cc3)CC2)CO1 ZINC000579496633 366834728 /nfs/dbraw/zinc/83/47/28/366834728.db2.gz MVJNALYOARZCPB-ZDUSSCGKSA-N 0 3 249.329 2.972 20 0 BFADHN NCC1(c2nc(C3CCCCC3)no2)CCCC1 ZINC000124981567 181364289 /nfs/dbraw/zinc/36/42/89/181364289.db2.gz FFAXGNGRFOZTTB-UHFFFAOYSA-N 0 3 249.358 2.888 20 0 BFADHN CC(C)[C@@H](O)C(C)(C)CN[C@@H](C)c1ccoc1 ZINC000181714561 366840945 /nfs/dbraw/zinc/84/09/45/366840945.db2.gz OTQVMZMBEXJTFX-WCQYABFASA-N 0 3 239.359 2.973 20 0 BFADHN COC[C@@H](C)NCc1ccc(Cl)c(C)c1 ZINC000134651559 364409762 /nfs/dbraw/zinc/40/97/62/364409762.db2.gz BZVFMWAZYAXBER-SNVBAGLBSA-N 0 3 227.735 2.773 20 0 BFADHN C[C@H](F)CCN1CCC=C(c2ccccn2)C1 ZINC000579489231 366829286 /nfs/dbraw/zinc/82/92/86/366829286.db2.gz MTVQIQWVIBVBRG-LBPRGKRZSA-N 0 3 234.318 2.919 20 0 BFADHN Cc1nnc(CN[C@@H](C)C2CCCC2)s1 ZINC000134722552 364413004 /nfs/dbraw/zinc/41/30/04/364413004.db2.gz RDTAWTQXENZCQB-QMMMGPOBSA-N 0 3 225.361 2.515 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1nnc(C)s1 ZINC000135008297 364422023 /nfs/dbraw/zinc/42/20/23/364422023.db2.gz LSEMAJWJIXXNPM-CIUDSAMLSA-N 0 3 227.377 2.932 20 0 BFADHN CCOc1ccc([C@H](C)N[C@@H]2C[C@@H]2OCC)cc1 ZINC000579552624 366865707 /nfs/dbraw/zinc/86/57/07/366865707.db2.gz PGSJXTDFFSVTSI-GLQYFDAESA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H](CC1CCC1)N[C@@H](C)c1cnccn1 ZINC000631738517 364535316 /nfs/dbraw/zinc/53/53/16/364535316.db2.gz OAQKGZSDKRHDJX-QWRGUYRKSA-N 0 3 219.332 2.706 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@@H](C)c1cnccn1 ZINC000453438940 202773537 /nfs/dbraw/zinc/77/35/37/202773537.db2.gz MGXFHSPMKPQWIM-FPMFFAJLSA-N 0 3 233.359 2.952 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC2(C)C)o1 ZINC000453394643 202760936 /nfs/dbraw/zinc/76/09/36/202760936.db2.gz SKENEXYBAWMSHM-SNVBAGLBSA-N 0 3 208.305 2.515 20 0 BFADHN CCC1(NCc2cc(OC)ns2)CCC1 ZINC000399041188 364749430 /nfs/dbraw/zinc/74/94/30/364749430.db2.gz COYOAQCUIWAGAK-UHFFFAOYSA-N 0 3 226.345 2.574 20 0 BFADHN F[C@H]1CC[C@H](Nc2ccnc3ccccc32)C1 ZINC000573355629 364807517 /nfs/dbraw/zinc/80/75/17/364807517.db2.gz BXIVIBYJZBIRNU-QWRGUYRKSA-N 0 3 230.286 2.959 20 0 BFADHN COc1cc(CNCCC(C)(C)C)sn1 ZINC000399054779 364758963 /nfs/dbraw/zinc/75/89/63/364758963.db2.gz JTCJUNBEDYJDAH-UHFFFAOYSA-N 0 3 228.361 2.678 20 0 BFADHN CCN(Cc1cccc(Cl)n1)C[C@@H](C)OC ZINC000291160877 364770090 /nfs/dbraw/zinc/77/00/90/364770090.db2.gz FUXFVUJSBXQUTQ-SNVBAGLBSA-N 0 3 242.750 2.592 20 0 BFADHN c1cnc(CN2CCC[C@@H]2C2CCCC2)nc1 ZINC000191956952 364775829 /nfs/dbraw/zinc/77/58/29/364775829.db2.gz CJAJGHQOHQQTFB-CYBMUJFWSA-N 0 3 231.343 2.631 20 0 BFADHN COc1cc(CNCCC=C(C)C)sn1 ZINC000399073507 364780155 /nfs/dbraw/zinc/78/01/55/364780155.db2.gz SUIOQCRRLINDRR-UHFFFAOYSA-N 0 3 226.345 2.598 20 0 BFADHN C[C@H](N[C@@H]1CCOC1(C)C)c1ccsc1 ZINC000296477781 364784689 /nfs/dbraw/zinc/78/46/89/364784689.db2.gz OCQXNNJGYLPLBI-GXSJLCMTSA-N 0 3 225.357 2.966 20 0 BFADHN F[C@@H]1CC[C@H](Nc2ccnc3ccccc32)C1 ZINC000573355628 364808777 /nfs/dbraw/zinc/80/87/77/364808777.db2.gz BXIVIBYJZBIRNU-MNOVXSKESA-N 0 3 230.286 2.959 20 0 BFADHN C[C@H](c1ccncc1)N(C)C[C@H]1CCCC[C@H]1O ZINC000328170212 364813125 /nfs/dbraw/zinc/81/31/25/364813125.db2.gz JUUQMIQOLHDVBZ-BPLDGKMQSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@@H](NC[C@H](O)CC(C)(C)C)c1ccoc1 ZINC000167858200 364816233 /nfs/dbraw/zinc/81/62/33/364816233.db2.gz AZKABVHJRUZPPS-ZYHUDNBSSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1nc(CNCCC2=CCCC2)[nH]c1C ZINC000573578781 364868782 /nfs/dbraw/zinc/86/87/82/364868782.db2.gz HKSJVBKHQZYADY-UHFFFAOYSA-N 0 3 219.332 2.617 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccc(N)n1)C1CCCCC1 ZINC000573673517 364889185 /nfs/dbraw/zinc/88/91/85/364889185.db2.gz TZYRNFTYUWSAAD-MNOVXSKESA-N 0 3 248.374 2.509 20 0 BFADHN CCn1cccc1CN1CC[C@@H](OC(C)C)C1 ZINC000573685232 364892525 /nfs/dbraw/zinc/89/25/25/364892525.db2.gz ZGQPJLDOJQYTLX-CQSZACIVSA-N 0 3 236.359 2.507 20 0 BFADHN COC(=O)c1cccc(CN2C3CCC2CC3)c1 ZINC000573707839 364898336 /nfs/dbraw/zinc/89/83/36/364898336.db2.gz MAGFSGXISIAZHY-UHFFFAOYSA-N 0 3 245.322 2.600 20 0 BFADHN CC(C)O[C@@H]1CCN(Cc2cccs2)C1 ZINC000573840073 364928361 /nfs/dbraw/zinc/92/83/61/364928361.db2.gz PRNYYUFRTWXULY-LLVKDONJSA-N 0 3 225.357 2.747 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2cscn2)CCO1 ZINC000573911762 364943069 /nfs/dbraw/zinc/94/30/69/364943069.db2.gz XQEBKPXNNORJRA-GMTAPVOTSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@@H](C)[C@@H](C)C2)[nH]c1C ZINC000573936040 364950588 /nfs/dbraw/zinc/95/05/88/364950588.db2.gz VUAJTOUGNLZQLO-GBIKHYSHSA-N 0 3 235.375 2.941 20 0 BFADHN C[C@@H]1C[C@@H](C)N1C[C@H]1CCC2(CCCC2)O1 ZINC000573937347 364952294 /nfs/dbraw/zinc/95/22/94/364952294.db2.gz UFQDIRGLJLRLBW-JHJVBQTASA-N 0 3 223.360 2.961 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@H](C)CC(F)(F)F ZINC000573981737 364961710 /nfs/dbraw/zinc/96/17/10/364961710.db2.gz VUAVJZCAKNSPOW-APPZFPTMSA-N 0 3 247.264 2.777 20 0 BFADHN Cc1nnsc1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000574089157 364985260 /nfs/dbraw/zinc/98/52/60/364985260.db2.gz KNPUEJOSQJMWBW-KOLCDFICSA-N 0 3 239.388 2.857 20 0 BFADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1ccc(Cl)cn1 ZINC000574157309 364991076 /nfs/dbraw/zinc/99/10/76/364991076.db2.gz WNXZAUPWMNANOF-WCABBAIRSA-N 0 3 240.734 2.563 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1ccc(Cl)cn1 ZINC000574157307 364991505 /nfs/dbraw/zinc/99/15/05/364991505.db2.gz WNXZAUPWMNANOF-FXPVBKGRSA-N 0 3 240.734 2.563 20 0 BFADHN Cc1nnsc1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000574089155 364984730 /nfs/dbraw/zinc/98/47/30/364984730.db2.gz KNPUEJOSQJMWBW-GXSJLCMTSA-N 0 3 239.388 2.857 20 0 BFADHN C[C@H](N[C@H]1CCCc2cccnc21)[C@H]1CCCO1 ZINC000252549847 541449938 /nfs/dbraw/zinc/44/99/38/541449938.db2.gz ODZDSFGHRYLTNI-FPMFFAJLSA-N 0 3 246.354 2.616 20 0 BFADHN CC1(CN2CC[C@H](c3nc(C4CC4)no3)C2)CC1 ZINC000574470981 365071328 /nfs/dbraw/zinc/07/13/28/365071328.db2.gz NSHALPYKMXVATK-NSHDSACASA-N 0 3 247.342 2.536 20 0 BFADHN C[C@H]1C[C@@H](NCC2CC(C)(C)C2)c2nccn21 ZINC000574402798 365054583 /nfs/dbraw/zinc/05/45/83/365054583.db2.gz LJLXQOLRKXOMGU-CMPLNLGQSA-N 0 3 233.359 2.915 20 0 BFADHN C[C@@H]1C[C@H](NCC2CC(C)(C)C2)c2nccn21 ZINC000574402806 365054765 /nfs/dbraw/zinc/05/47/65/365054765.db2.gz LJLXQOLRKXOMGU-PWSUYJOCSA-N 0 3 233.359 2.915 20 0 BFADHN C[C@@H](N[C@@H](C)c1cc2n(n1)CCC2)C(C)(C)C ZINC000574455790 365066863 /nfs/dbraw/zinc/06/68/63/365066863.db2.gz GJYDGHJWMHTPKP-WDEREUQCSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1ccc2[nH]c(CN(C)CCCO)cc2c1C ZINC000442969496 193007593 /nfs/dbraw/zinc/00/75/93/193007593.db2.gz SOEMICRWDHHBNL-UHFFFAOYSA-N 0 3 246.354 2.599 20 0 BFADHN CO[C@@H](C)CNCc1cc2c(cc(C)cc2C)[nH]1 ZINC000443002160 193010534 /nfs/dbraw/zinc/01/05/34/193010534.db2.gz OIQDBGLYMBBWOV-LBPRGKRZSA-N 0 3 246.354 2.909 20 0 BFADHN CO[C@@H]1CCN(C/C=C/c2ccncc2)[C@@H](C)C1 ZINC000443077199 193012973 /nfs/dbraw/zinc/01/29/73/193012973.db2.gz ZQHFAYMGUJAYSY-MXKYZYEVSA-N 0 3 246.354 2.594 20 0 BFADHN COC1CCN(CCCCC(F)(F)F)CC1 ZINC000443671496 193027329 /nfs/dbraw/zinc/02/73/29/193027329.db2.gz CBUUDKAXTWMGKS-UHFFFAOYSA-N 0 3 239.281 2.830 20 0 BFADHN CCOC(=O)[C@H](CC)N1CC[C@H](C)C[C@H](C)C1 ZINC000443641397 193027368 /nfs/dbraw/zinc/02/73/68/193027368.db2.gz PIHYGJNKMGDWFK-AVGNSLFASA-N 0 3 241.375 2.696 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CN1C[C@H]2CC[C@@H](C1)O2 ZINC000443639622 193027654 /nfs/dbraw/zinc/02/76/54/193027654.db2.gz GUGPTKXYAOFPFK-KBXIAJHMSA-N 0 3 235.371 2.842 20 0 BFADHN C[C@@H](O)[C@@H]1C[C@H](C)CCN1Cc1ccsc1 ZINC000443791497 193028658 /nfs/dbraw/zinc/02/86/58/193028658.db2.gz QOWZBPJDAZLPNU-WZRBSPASSA-N 0 3 239.384 2.729 20 0 BFADHN C[C@H](CCC(C)(C)C)NCc1ccno1 ZINC000379782586 365258730 /nfs/dbraw/zinc/25/87/30/365258730.db2.gz OJRNZWNKTYQCEL-SNVBAGLBSA-N 0 3 210.321 2.979 20 0 BFADHN C[C@@H](N[C@H](C)[C@H](C)O)c1ccc(F)cc1Cl ZINC000575522256 365329654 /nfs/dbraw/zinc/32/96/54/365329654.db2.gz CUGWLBGBQCMKOP-HLTSFMKQSA-N 0 3 245.725 2.899 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1ncccn1 ZINC000192194486 365282453 /nfs/dbraw/zinc/28/24/53/365282453.db2.gz LDAGHLLPNRIEPX-RYUDHWBXSA-N 0 3 233.359 2.781 20 0 BFADHN Cc1ncccc1CNCC1=CCCCC1 ZINC000379835535 365295330 /nfs/dbraw/zinc/29/53/30/365295330.db2.gz YYZLJQWJBNFRAA-UHFFFAOYSA-N 0 3 216.328 2.980 20 0 BFADHN CC[C@H](N)C(=O)Nc1ccccc1CCC(C)C ZINC000575401616 365310054 /nfs/dbraw/zinc/31/00/54/365310054.db2.gz AWHWJAMMFRRIEA-ZDUSSCGKSA-N 0 3 248.370 2.951 20 0 BFADHN CSCCN1Cc2ccccc2C[C@@H]1C ZINC000172995761 365393797 /nfs/dbraw/zinc/39/37/97/365393797.db2.gz AJRAMBVUVSZIAY-NSHDSACASA-N 0 3 221.369 2.796 20 0 BFADHN CCO[C@@H]1C[C@H]1NC1CC(c2cccc(F)c2)C1 ZINC000575826399 365376260 /nfs/dbraw/zinc/37/62/60/365376260.db2.gz XEBFHBRSZOBFHZ-UZVIJOLCSA-N 0 3 249.329 2.839 20 0 BFADHN CCc1cnc(CNCC[C@@H](C)F)s1 ZINC000339481573 365463648 /nfs/dbraw/zinc/46/36/48/365463648.db2.gz AGIAXCIBQRQJMD-MRVPVSSYSA-N 0 3 216.325 2.543 20 0 BFADHN Cc1cc2cc(CNCC(C)(C)O)oc2cc1C ZINC000174977703 365522774 /nfs/dbraw/zinc/52/27/74/365522774.db2.gz VFAJGYYUCKVATA-UHFFFAOYSA-N 0 3 247.338 2.910 20 0 BFADHN CCn1cc(CN(C)[C@@H](C)CC(C)C)cn1 ZINC000576129306 365496048 /nfs/dbraw/zinc/49/60/48/365496048.db2.gz KOIKLOYHVLROTM-LBPRGKRZSA-N 0 3 223.364 2.769 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2CC2CCCC2)n[nH]1 ZINC000653892422 541470031 /nfs/dbraw/zinc/47/00/31/541470031.db2.gz IASIERAJYCNHTI-CYBMUJFWSA-N 0 3 248.374 2.658 20 0 BFADHN CC(C)(C)O[C@H]1C[C@H](NCc2ccco2)C1 ZINC000655844283 541482681 /nfs/dbraw/zinc/48/26/81/541482681.db2.gz AZNAZPYFVDGQQR-UMSPYCQHSA-N 0 3 223.316 2.715 20 0 BFADHN CC[C@@H]1CCC[C@@H](CN2CCN(CC)CC2)C1 ZINC000182937176 366959130 /nfs/dbraw/zinc/95/91/30/366959130.db2.gz CIYSECSCUCXLSF-HUUCEWRRSA-N 0 3 238.419 2.840 20 0 BFADHN Cc1ncccc1CN(C)CCC(C)C ZINC000180047411 541507213 /nfs/dbraw/zinc/50/72/13/541507213.db2.gz YVXFXZRMVHBWCM-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN CCN(C)Cc1cn(C)nc1C1CCCCC1 ZINC000182977517 366963139 /nfs/dbraw/zinc/96/31/39/366963139.db2.gz RILAEPZLFCOZLD-UHFFFAOYSA-N 0 3 235.375 2.920 20 0 BFADHN CCCn1c(C)nnc1CN[C@H](C)[C@H](C)CC ZINC000183033863 366967939 /nfs/dbraw/zinc/96/79/39/366967939.db2.gz HNQBQGCTZLUYKX-GHMZBOCLSA-N 0 3 238.379 2.521 20 0 BFADHN CC(C)Cn1cc(CN(C)[C@@H](C)C2CC2)cn1 ZINC000183075044 366974293 /nfs/dbraw/zinc/97/42/93/366974293.db2.gz QUUYBLVXYMDEJE-LBPRGKRZSA-N 0 3 235.375 2.769 20 0 BFADHN CCCC1CCC(CN2CCN(C)CC2)CC1 ZINC000183368868 367018341 /nfs/dbraw/zinc/01/83/41/367018341.db2.gz VEBZABDQJNZZJV-UHFFFAOYSA-N 0 3 238.419 2.840 20 0 BFADHN CC(C)Cn1cc(CN2CC[C@@H](C)[C@H]2C)cn1 ZINC000183403381 367020352 /nfs/dbraw/zinc/02/03/52/367020352.db2.gz VJNGYNSSFJICGQ-CHWSQXEVSA-N 0 3 235.375 2.769 20 0 BFADHN CCC1(CN[C@@H](c2nccn2C)C2CC2)CC1 ZINC000183338126 367016367 /nfs/dbraw/zinc/01/63/67/367016367.db2.gz PMGKYXCAYFANBR-GFCCVEGCSA-N 0 3 233.359 2.651 20 0 BFADHN CC(C)C1(CN[C@@H](c2nccn2C)C2CC2)CC1 ZINC000183338828 367017301 /nfs/dbraw/zinc/01/73/01/367017301.db2.gz PHYARSWJHXRMSL-CYBMUJFWSA-N 0 3 247.386 2.897 20 0 BFADHN CCCC[C@@H](CC)CN1CCCC[C@@H]1C(N)=O ZINC000183592420 367031937 /nfs/dbraw/zinc/03/19/37/367031937.db2.gz ZSOZRBNPOBNBSO-CHWSQXEVSA-N 0 3 240.391 2.543 20 0 BFADHN CCCC[C@H](CC)CN1CCN(CC)CC1 ZINC000183589944 367032879 /nfs/dbraw/zinc/03/28/79/367032879.db2.gz WIBJKEBKXLOIPQ-AWEZNQCLSA-N 0 3 226.408 2.840 20 0 BFADHN C[C@H](C[S@](C)=O)N(C)CCCC1CCCC1 ZINC000185101857 367119388 /nfs/dbraw/zinc/11/93/88/367119388.db2.gz NKWDRWBFZHKFHS-WBMJQRKESA-N 0 3 245.432 2.656 20 0 BFADHN CCc1nc(CN(C)C2CCCCCC2)n[nH]1 ZINC000185151983 367121642 /nfs/dbraw/zinc/12/16/42/367121642.db2.gz VYWMUJGAYXWUSU-UHFFFAOYSA-N 0 3 236.363 2.522 20 0 BFADHN CSC1(CN[C@@H](C)c2ccoc2)CC1 ZINC000193832407 367218576 /nfs/dbraw/zinc/21/85/76/367218576.db2.gz MJPZHSAZANECQN-VIFPVBQESA-N 0 3 211.330 2.826 20 0 BFADHN Cc1nc(CNC2CCC(F)(F)CC2)[nH]c1C ZINC000581142203 367275961 /nfs/dbraw/zinc/27/59/61/367275961.db2.gz JBYKHOXYTKZPCL-UHFFFAOYSA-N 0 3 243.301 2.694 20 0 BFADHN CC(C)[C@H]1CCCC[C@H]1NCc1cocn1 ZINC000381155621 367356371 /nfs/dbraw/zinc/35/63/71/367356371.db2.gz PZNLVYZOBDTVQF-CHWSQXEVSA-N 0 3 222.332 2.979 20 0 BFADHN CCc1ccc(CN(C)[C@H](C)COC)cc1 ZINC000195006397 367332568 /nfs/dbraw/zinc/33/25/68/367332568.db2.gz WNMKIKFUQSXOBS-GFCCVEGCSA-N 0 3 221.344 2.716 20 0 BFADHN C[C@H](C[C@@H]1CCCO1)N[C@@H](C)c1cscn1 ZINC000381034266 367337936 /nfs/dbraw/zinc/33/79/36/367337936.db2.gz AZQMYWYJIWJNIS-VWYCJHECSA-N 0 3 240.372 2.751 20 0 BFADHN CCc1noc(CC)c1CNCC1(C)CC1 ZINC000381311452 367378474 /nfs/dbraw/zinc/37/84/74/367378474.db2.gz LQHKWCKRWBSVKL-UHFFFAOYSA-N 0 3 222.332 2.689 20 0 BFADHN Cc1cc(F)ccc1CCNCC1(F)CC1 ZINC000381373036 367390396 /nfs/dbraw/zinc/39/03/96/367390396.db2.gz IYCWHBNTDZVOST-UHFFFAOYSA-N 0 3 225.282 2.768 20 0 BFADHN Cc1cccc(CN[C@H]2CC[C@H]2C2CC2)n1 ZINC000381414491 367397526 /nfs/dbraw/zinc/39/75/26/367397526.db2.gz VQYTWGNWUFDZHF-KBPBESRZSA-N 0 3 216.328 2.668 20 0 BFADHN CC[C@@H](NC1(COC)CC1)c1ccccc1 ZINC000381596121 367434659 /nfs/dbraw/zinc/43/46/59/367434659.db2.gz LUTXPGCYKGWMKY-CYBMUJFWSA-N 0 3 219.328 2.906 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1ccoc1 ZINC000381770852 367456879 /nfs/dbraw/zinc/45/68/79/367456879.db2.gz WFDVMDSPLFGOJS-RAIGVLPGSA-N 0 3 223.316 2.888 20 0 BFADHN COCc1ccc(CNCC(C2CC2)C2CC2)o1 ZINC000382657055 367551012 /nfs/dbraw/zinc/55/10/12/367551012.db2.gz SCVPVAYOPVIRAY-UHFFFAOYSA-N 0 3 249.354 2.952 20 0 BFADHN C[C@H](NCc1cc[nH]n1)[C@@H]1CC2CCC1CC2 ZINC000382280488 367503140 /nfs/dbraw/zinc/50/31/40/367503140.db2.gz ZJJPWBVATDSPCG-BBCYWQGDSA-N 0 3 233.359 2.714 20 0 BFADHN C[C@H]1C[C@H](NCCCC2CC2)c2nccn21 ZINC000382290095 367503966 /nfs/dbraw/zinc/50/39/66/367503966.db2.gz QPHSPTRSTFAEHH-JQWIXIFHSA-N 0 3 219.332 2.669 20 0 BFADHN Cc1cnc([C@@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000382390549 367513532 /nfs/dbraw/zinc/51/35/32/367513532.db2.gz MCNFWCSUVPGLPB-WYUUTHIRSA-N 0 3 220.316 2.822 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1nccs1 ZINC000382388799 367513644 /nfs/dbraw/zinc/51/36/44/367513644.db2.gz LNAHDTFCXSRSTK-VLEAKVRGSA-N 0 3 222.357 2.982 20 0 BFADHN Cc1cccc(CNC2(CF)CCC2)c1 ZINC000565539719 325924929 /nfs/dbraw/zinc/92/49/29/325924929.db2.gz ZVQSSGIZEGTERP-UHFFFAOYSA-N 0 3 207.292 2.977 20 0 BFADHN Cc1cc(CN[C@H]2CO[C@@H](C)C2)ccc1Cl ZINC000581548052 367576292 /nfs/dbraw/zinc/57/62/92/367576292.db2.gz NYCMCPYRDBUYLL-CMPLNLGQSA-N 0 3 239.746 2.915 20 0 BFADHN CCCCN(CCCC)C(=O)[C@@H](C)NC1CC1 ZINC000383069551 367593464 /nfs/dbraw/zinc/59/34/64/367593464.db2.gz QLMFYHWLIPWLFS-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CC1(C)CCC(CNCc2cnccn2)CC1 ZINC000383448031 367622907 /nfs/dbraw/zinc/62/29/07/367622907.db2.gz GPSQKUGTCOEKRO-UHFFFAOYSA-N 0 3 233.359 2.783 20 0 BFADHN COC[C@H](NCc1cccc(C)c1F)C(C)C ZINC000384571979 367702176 /nfs/dbraw/zinc/70/21/76/367702176.db2.gz VCYNEDHXFBUBNR-ZDUSSCGKSA-N 0 3 239.334 2.895 20 0 BFADHN Cc1cccc(CNC[C@@](C)(O)C(C)C)c1F ZINC000384574964 367702333 /nfs/dbraw/zinc/70/23/33/367702333.db2.gz VTRHAIWTOVDXMZ-CQSZACIVSA-N 0 3 239.334 2.631 20 0 BFADHN CCn1ccnc1CN[C@H](C)CC(C)(C)C ZINC000384497533 367693824 /nfs/dbraw/zinc/69/38/24/367693824.db2.gz BHROKHZESDOKPB-LLVKDONJSA-N 0 3 223.364 2.817 20 0 BFADHN C[C@@H](CC(C)(C)C)N[C@@H](C)c1nccn1C ZINC000384514801 367695240 /nfs/dbraw/zinc/69/52/40/367695240.db2.gz IHDMGJHXXLWOBV-QWRGUYRKSA-N 0 3 223.364 2.895 20 0 BFADHN C[C@H](CC(C)(C)C)NCc1ccc(CO)o1 ZINC000384508733 367695473 /nfs/dbraw/zinc/69/54/73/367695473.db2.gz GHARLNAMWYJKLF-SNVBAGLBSA-N 0 3 225.332 2.686 20 0 BFADHN CC/C=C/CNCc1cncn1C(C)C ZINC000384732208 367723810 /nfs/dbraw/zinc/72/38/10/367723810.db2.gz JXNXKNWVHHYORF-AATRIKPKSA-N 0 3 207.321 2.520 20 0 BFADHN C[C@@H](NCCCC1(O)CCC1)c1ccoc1 ZINC000566082889 325991423 /nfs/dbraw/zinc/99/14/23/325991423.db2.gz IHDHXHMJDIKFGE-LLVKDONJSA-N 0 3 223.316 2.625 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H](C)O[C@@H]2C)cc1F ZINC000384885430 367750340 /nfs/dbraw/zinc/75/03/40/367750340.db2.gz JNNSQIZSYGLBGY-JTNHKYCSSA-N 0 3 237.318 2.790 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000384895459 367753017 /nfs/dbraw/zinc/75/30/17/367753017.db2.gz MBDKNQKDAYJUPZ-JTNHKYCSSA-N 0 3 237.318 2.790 20 0 BFADHN C[C@H](N[C@@H]1CCC1(C)C)C(=O)Nc1ccccc1 ZINC000384983432 367769528 /nfs/dbraw/zinc/76/95/28/367769528.db2.gz ZMPOTTHHONTUTO-WCQYABFASA-N 0 3 246.354 2.792 20 0 BFADHN CC(C)n1ncnc1CN[C@@H](C)CC(C)(C)C ZINC000385164840 367804170 /nfs/dbraw/zinc/80/41/70/367804170.db2.gz ODKARBLQSPUFMJ-NSHDSACASA-N 0 3 238.379 2.773 20 0 BFADHN CCc1nccn1Cc1c(C)cc(C)nc1C ZINC000631015193 370895386 /nfs/dbraw/zinc/89/53/86/370895386.db2.gz PRFGTIUKRZZOLO-UHFFFAOYSA-N 0 3 229.327 2.814 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC(C)(C)C[C@@H]1C ZINC000385300272 367818030 /nfs/dbraw/zinc/81/80/30/367818030.db2.gz DSVALDWPHNOLJF-NWDGAFQWSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccnc1CN[C@@H]1C[C@H](C)CC[C@@H]1C ZINC000385401982 367826850 /nfs/dbraw/zinc/82/68/50/367826850.db2.gz WRLBQHGPVNDTDZ-FRRDWIJNSA-N 0 3 235.375 2.817 20 0 BFADHN CC1(C)OCC[C@@H]1Nc1ccnc2ccccc21 ZINC000385480962 367835061 /nfs/dbraw/zinc/83/50/61/367835061.db2.gz FYRNIMJZDTUPRW-AWEZNQCLSA-N 0 3 242.322 2.636 20 0 BFADHN COc1cc(CNC[C@H]2CC[C@@H](C)C2)sn1 ZINC000399224595 367906719 /nfs/dbraw/zinc/90/67/19/367906719.db2.gz ZWVISYIEEUKTOX-ZJUUUORDSA-N 0 3 240.372 2.678 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)[C@H]1C)C(=O)Nc1ccccc1 ZINC000385952202 367889802 /nfs/dbraw/zinc/88/98/02/367889802.db2.gz MXIFKMCNYDZHKH-NRWUCQMLSA-N 0 3 246.354 2.648 20 0 BFADHN COc1cc(CN[C@H]2CCC(C)(C)C2)sn1 ZINC000399186667 367894682 /nfs/dbraw/zinc/89/46/82/367894682.db2.gz QXLIMDGKNHTIHN-VIFPVBQESA-N 0 3 240.372 2.820 20 0 BFADHN Cc1ccc(CN[C@H](CO)C2CCCCC2)o1 ZINC000386287866 367945800 /nfs/dbraw/zinc/94/58/00/367945800.db2.gz SGNNJYCURYCNHT-CQSZACIVSA-N 0 3 237.343 2.619 20 0 BFADHN C[C@H](N[C@H](CO)C1CCCCC1)c1ccoc1 ZINC000386325923 367951345 /nfs/dbraw/zinc/95/13/45/367951345.db2.gz ZFNGIXPHFFYVBN-SMDDNHRTSA-N 0 3 237.343 2.871 20 0 BFADHN Cc1nnsc1CN(CC(C)C)C(C)C ZINC000566300119 326030837 /nfs/dbraw/zinc/03/08/37/326030837.db2.gz DRVQHLOWGPKSDT-UHFFFAOYSA-N 0 3 227.377 2.713 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccccc1F)[C@@H](C)O ZINC000386736053 368014229 /nfs/dbraw/zinc/01/42/29/368014229.db2.gz WUMXNJIBWZPWRW-CWSCBRNRSA-N 0 3 225.307 2.636 20 0 BFADHN CC[C@H](NCc1ccc(Cl)c(F)c1)[C@@H](C)O ZINC000386739608 368016015 /nfs/dbraw/zinc/01/60/15/368016015.db2.gz DBCOXKYNIZRNOY-PELKAZGASA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc(F)ccc1F)[C@H](C)O ZINC000386820128 368025303 /nfs/dbraw/zinc/02/53/03/368025303.db2.gz FZNCINJRJVHGNX-RVBZMBCESA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@@H](NCc1cc2ccccc2o1)[C@H](C)O ZINC000386868776 368030195 /nfs/dbraw/zinc/03/01/95/368030195.db2.gz KLVBRKNMJHMMPJ-GXFFZTMASA-N 0 3 233.311 2.682 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc(F)cc(F)c1)[C@@H](C)O ZINC000386894191 368032596 /nfs/dbraw/zinc/03/25/96/368032596.db2.gz NWNLQFIZWQXUAT-RWEMILLDSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@H](CN(C)C(=O)[C@@H](N)C(C)(C)C)C(C)(C)C ZINC000399511693 368169903 /nfs/dbraw/zinc/16/99/03/368169903.db2.gz LQUPZRYPVSAIBO-GHMZBOCLSA-N 0 3 242.407 2.500 20 0 BFADHN C[C@H](Cc1ccccc1)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000034236899 368264557 /nfs/dbraw/zinc/26/45/57/368264557.db2.gz GTXJQSYVXLUOCW-KFWWJZLASA-N 0 3 247.382 2.974 20 0 BFADHN CCCCOCCN[C@H](c1cccnc1)C1CC1 ZINC000445818631 201653691 /nfs/dbraw/zinc/65/36/91/201653691.db2.gz LRXQECBBSKRGQD-HNNXBMFYSA-N 0 3 248.370 2.939 20 0 BFADHN CCCCC(=O)NC[C@H](C)N1CCCC[C@@H]1C ZINC000043084669 368392757 /nfs/dbraw/zinc/39/27/57/368392757.db2.gz ARPXCRNLICELMJ-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN CN(CCCO)Cc1ccccc1C(F)(F)F ZINC000043643941 368404127 /nfs/dbraw/zinc/40/41/27/368404127.db2.gz FNFQAZFOLHLARY-UHFFFAOYSA-N 0 3 247.260 2.520 20 0 BFADHN C[C@@H]1CCN(Cc2cccc(F)c2N)C[C@H]1C ZINC000253063330 368442458 /nfs/dbraw/zinc/44/24/58/368442458.db2.gz GOTHHAPNWSAKAV-GHMZBOCLSA-N 0 3 236.334 2.886 20 0 BFADHN CCOCCN(CC)Cc1cccs1 ZINC000057600979 368496573 /nfs/dbraw/zinc/49/65/73/368496573.db2.gz JEFMLTOWQNVJEW-UHFFFAOYSA-N 0 3 213.346 2.607 20 0 BFADHN CCOCCN1CCC[C@H]1c1ccsc1 ZINC000058581823 368515645 /nfs/dbraw/zinc/51/56/45/368515645.db2.gz OUBJCCSHOHWOPH-LBPRGKRZSA-N 0 3 225.357 2.922 20 0 BFADHN Cc1scc(CNc2nccn2C)c1C ZINC000399806323 368599380 /nfs/dbraw/zinc/59/93/80/368599380.db2.gz FKHVISZJSYPRPW-UHFFFAOYSA-N 0 3 221.329 2.711 20 0 BFADHN Cc1scc(CN[C@@H]2C[C@@H](O)C2(C)C)c1C ZINC000399811826 368604582 /nfs/dbraw/zinc/60/45/82/368604582.db2.gz MUDXAEMGIBROSO-VXGBXAGGSA-N 0 3 239.384 2.614 20 0 BFADHN C[C@@H]1CCCCN1Cc1cccc2c1OCCO2 ZINC000064431113 368606323 /nfs/dbraw/zinc/60/63/23/368606323.db2.gz RVVMUWHHTUVUDD-GFCCVEGCSA-N 0 3 247.338 2.832 20 0 BFADHN Cc1scc(CN[C@H]2CCO[C@@H]2C)c1C ZINC000399849465 368615908 /nfs/dbraw/zinc/61/59/08/368615908.db2.gz JVJRICFLUHJKTP-SKDRFNHKSA-N 0 3 225.357 2.632 20 0 BFADHN CC(C)(C)OCCN1CCC[C@@H]1c1ccc[nH]1 ZINC000065950721 368636122 /nfs/dbraw/zinc/63/61/22/368636122.db2.gz CLOFGUBRKFUPJS-CYBMUJFWSA-N 0 3 236.359 2.967 20 0 BFADHN COc1cc(C)ccc1[C@H](C)N[C@@H]1CCCOC1 ZINC000109545576 368639608 /nfs/dbraw/zinc/63/96/08/368639608.db2.gz JGZMGJKBKHXDEP-QWHCGFSZSA-N 0 3 249.354 2.833 20 0 BFADHN c1cnn(CCN2CCC[C@@H]2c2ccsc2)c1 ZINC000066421648 368648645 /nfs/dbraw/zinc/64/86/45/368648645.db2.gz AYSIYXLBONKEBH-CYBMUJFWSA-N 0 3 247.367 2.782 20 0 BFADHN CN(CCC(F)(F)F)[C@H]1C=CCCC1 ZINC000066496587 368651560 /nfs/dbraw/zinc/65/15/60/368651560.db2.gz YNWXLQJHNGDEMS-VIFPVBQESA-N 0 3 207.239 2.979 20 0 BFADHN CCCCCC(=O)N(C)[C@H]1CCN(C(C)C)C1 ZINC000457105502 203007865 /nfs/dbraw/zinc/00/78/65/203007865.db2.gz COELIXJIEABXKC-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1ncccc1NC(=O)C(C)C(F)(F)F ZINC000073348918 368803415 /nfs/dbraw/zinc/80/34/15/368803415.db2.gz LWMTXAQCCHJZDI-LURJTMIESA-N 0 3 232.205 2.527 20 0 BFADHN Cc1nsc(C)c1CN1CCCCC1 ZINC000567314942 326159547 /nfs/dbraw/zinc/15/95/47/326159547.db2.gz FILYXHYDPYOAHB-UHFFFAOYSA-N 0 3 210.346 2.746 20 0 BFADHN Cc1sccc1CN[C@@H](CO)CCC(C)C ZINC000623646501 368969087 /nfs/dbraw/zinc/96/90/87/368969087.db2.gz IIRHKTIULMPGKD-CYBMUJFWSA-N 0 3 241.400 2.943 20 0 BFADHN CC(C)CC[C@H](CO)NCc1ccc(F)cc1 ZINC000623648023 368972297 /nfs/dbraw/zinc/97/22/97/368972297.db2.gz XDZDOZGCJIGGHU-CQSZACIVSA-N 0 3 239.334 2.712 20 0 BFADHN CC(C)n1ccnc1CN[C@H](C)C(C)(C)C ZINC000114474970 368941265 /nfs/dbraw/zinc/94/12/65/368941265.db2.gz AKTXORUDTYMEBK-LLVKDONJSA-N 0 3 223.364 2.988 20 0 BFADHN CO[C@H]1C[C@H](N[C@H](C)c2ccccc2F)C1 ZINC000623808554 368941606 /nfs/dbraw/zinc/94/16/06/368941606.db2.gz XIMSVUAVPGXOJB-GMTAPVOTSA-N 0 3 223.291 2.654 20 0 BFADHN CCC[C@H](C)CN1CCO[C@@]2(CCSC2)C1 ZINC000567472661 326199752 /nfs/dbraw/zinc/19/97/52/326199752.db2.gz LTDPFDFLIIFPBX-STQMWFEESA-N 0 3 243.416 2.631 20 0 BFADHN CN(Cc1cnc(C2CC2)nc1)CC1CCC1 ZINC000459275444 203245540 /nfs/dbraw/zinc/24/55/40/203245540.db2.gz DIYDSQJAEVSNHD-UHFFFAOYSA-N 0 3 231.343 2.586 20 0 BFADHN CCCC[N@H+](CC)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459284961 203247066 /nfs/dbraw/zinc/24/70/66/203247066.db2.gz BPXFWEFRCZFFCC-UHFFFAOYSA-N 0 3 249.358 2.682 20 0 BFADHN CCCC[N@@H+](CC)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459284961 203247069 /nfs/dbraw/zinc/24/70/69/203247069.db2.gz BPXFWEFRCZFFCC-UHFFFAOYSA-N 0 3 249.358 2.682 20 0 BFADHN CC(C)CN(Cc1cnc(C2CC2)nc1)C1CC1 ZINC000459289317 203247748 /nfs/dbraw/zinc/24/77/48/203247748.db2.gz APKPZQSYCDZJFU-UHFFFAOYSA-N 0 3 245.370 2.974 20 0 BFADHN CC(C)N(Cc1cnc(C2CC2)nc1)C1CC1 ZINC000459300371 203251302 /nfs/dbraw/zinc/25/13/02/203251302.db2.gz JWZVGBAZYUEBNM-UHFFFAOYSA-N 0 3 231.343 2.727 20 0 BFADHN CCCCCN(Cc1cnnn1CC)C(C)C ZINC000459317933 203255268 /nfs/dbraw/zinc/25/52/68/203255268.db2.gz VGUUTPMNGJZUQA-UHFFFAOYSA-N 0 3 238.379 2.699 20 0 BFADHN CC(C)[C@H]1CCN(Cc2cnc(C3CC3)nc2)C1 ZINC000459341831 203260690 /nfs/dbraw/zinc/26/06/90/203260690.db2.gz FNJUMJLHHCCTEA-AWEZNQCLSA-N 0 3 245.370 2.832 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(C3CC3)cc2)C1 ZINC000459350148 203265325 /nfs/dbraw/zinc/26/53/25/203265325.db2.gz SEMFXAMYPAPRAK-HNNXBMFYSA-N 0 3 231.339 2.785 20 0 BFADHN COCCCNCc1c(F)cc(C)cc1Cl ZINC000459356168 203266411 /nfs/dbraw/zinc/26/64/11/203266411.db2.gz AQGUKPGVHZMMLU-UHFFFAOYSA-N 0 3 245.725 2.914 20 0 BFADHN CCCCCNCc1cnc(C2CC2)nc1 ZINC000459377959 203269849 /nfs/dbraw/zinc/26/98/49/203269849.db2.gz YKSQWCBQGLDNNW-UHFFFAOYSA-N 0 3 219.332 2.634 20 0 BFADHN OCC[C@H](NC1CC2(CCC2)C1)c1ccco1 ZINC000459537064 203297129 /nfs/dbraw/zinc/29/71/29/203297129.db2.gz RKBUGSDOKXEFAJ-LBPRGKRZSA-N 0 3 235.327 2.625 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CCOCC1CCCC1 ZINC000459484224 203290904 /nfs/dbraw/zinc/29/09/04/203290904.db2.gz GWZUFEPLBZGNDI-NWDGAFQWSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@H]1CCC(C)(C)N1CCn1cc(Cl)cn1 ZINC000459512713 203293029 /nfs/dbraw/zinc/29/30/29/203293029.db2.gz NPLIJDVCXMZYKX-JTQLQIEISA-N 0 3 241.766 2.799 20 0 BFADHN FCC1CCN(CCOCC2CCCC2)CC1 ZINC000459507597 203293049 /nfs/dbraw/zinc/29/30/49/203293049.db2.gz JOKXMWLDVRTKHC-UHFFFAOYSA-N 0 3 243.366 2.875 20 0 BFADHN Cc1ccncc1CN(C)C1CC(OC(C)C)C1 ZINC000459453469 203282606 /nfs/dbraw/zinc/28/26/06/203282606.db2.gz XIKCPZXLTSBTAD-UHFFFAOYSA-N 0 3 248.370 2.778 20 0 BFADHN CC(C)c1ccc(CN2CC(CCO)C2)cc1 ZINC000459585064 203306413 /nfs/dbraw/zinc/30/64/13/203306413.db2.gz BJOSNPTZCKZHNF-UHFFFAOYSA-N 0 3 233.355 2.624 20 0 BFADHN COCc1cc(CNC(C)(C)C(C)(C)C)no1 ZINC000459584635 203306995 /nfs/dbraw/zinc/30/69/95/203306995.db2.gz HTFNLPVWXIBSHW-UHFFFAOYSA-N 0 3 240.347 2.735 20 0 BFADHN Cc1cccc(CCN2CC3(C2)CCCOC3)c1 ZINC000459603615 203313452 /nfs/dbraw/zinc/31/34/52/203313452.db2.gz ICKAGXZXEXGDOK-UHFFFAOYSA-N 0 3 245.366 2.650 20 0 BFADHN CCc1nc(C)c(CNC2CC3(CCC3)C2)o1 ZINC000459555794 203301142 /nfs/dbraw/zinc/30/11/42/203301142.db2.gz IVKWLRDITLZRDJ-UHFFFAOYSA-N 0 3 234.343 2.968 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@@H]2CO[C@@H](C)C2)s1 ZINC000401900559 369374128 /nfs/dbraw/zinc/37/41/28/369374128.db2.gz OHBZHIMEKPMKTG-ARENWVFISA-N 0 3 240.372 2.588 20 0 BFADHN C[C@@H](CF)NCCc1ccc(Cl)cc1 ZINC000459567179 203304710 /nfs/dbraw/zinc/30/47/10/203304710.db2.gz JJASNAUZCCDZHU-VIFPVBQESA-N 0 3 215.699 2.830 20 0 BFADHN CCOc1cc(CN2CC[C@@H]2C2CC2)ccc1O ZINC000459568915 203304737 /nfs/dbraw/zinc/30/47/37/203304737.db2.gz CIQWELPQYCDARS-CYBMUJFWSA-N 0 3 247.338 2.775 20 0 BFADHN CC(C)(C)c1nnc(C[NH2+]C[C@H]2CC=CCC2)[n-]1 ZINC000459665952 203332129 /nfs/dbraw/zinc/33/21/29/203332129.db2.gz BARUUORFLZMYKN-NSHDSACASA-N 0 3 248.374 2.548 20 0 BFADHN CC(C)(C)c1nnc(CNC[C@H]2CC=CCC2)[nH]1 ZINC000459665952 203332132 /nfs/dbraw/zinc/33/21/32/203332132.db2.gz BARUUORFLZMYKN-NSHDSACASA-N 0 3 248.374 2.548 20 0 BFADHN COCC1(C)CN(Cc2ccc(C)cc2C)C1 ZINC000459622688 203318476 /nfs/dbraw/zinc/31/84/76/203318476.db2.gz QHSZRJVLQWQCEM-UHFFFAOYSA-N 0 3 233.355 2.772 20 0 BFADHN CC(C)O[C@H]1C[C@@H](NCc2ccsc2)C1 ZINC000459625662 203322537 /nfs/dbraw/zinc/32/25/37/203322537.db2.gz AWXXJIWXSAIKHZ-TXEJJXNPSA-N 0 3 225.357 2.794 20 0 BFADHN CC(C)CN1CC(C)(CC(F)(F)F)C1 ZINC000459636296 203325395 /nfs/dbraw/zinc/32/53/95/203325395.db2.gz KNDPSMZAPBLAPQ-UHFFFAOYSA-N 0 3 209.255 2.917 20 0 BFADHN CCS[C@H]1CCC[C@@H]1NCc1ccns1 ZINC000404461472 369428925 /nfs/dbraw/zinc/42/89/25/369428925.db2.gz KOWFTJLBYRTKHU-QWRGUYRKSA-N 0 3 242.413 2.907 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@H](OC(C)C)C2)o1 ZINC000459635949 203327304 /nfs/dbraw/zinc/32/73/04/203327304.db2.gz QRGSFGQBDWOINV-BJHJDKERSA-N 0 3 223.316 2.634 20 0 BFADHN COc1c(O)cccc1CN[C@@H]1C[C@H]1CC(C)C ZINC000459656068 203330730 /nfs/dbraw/zinc/33/07/30/203330730.db2.gz KMVMMQRSZMAZCE-CHWSQXEVSA-N 0 3 249.354 2.925 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1ccc(C)o1 ZINC000459671915 203333377 /nfs/dbraw/zinc/33/33/77/203333377.db2.gz HIISLOIBLSWMKV-ZDUSSCGKSA-N 0 3 237.343 2.979 20 0 BFADHN CCOC[C@@H]1CCCCN1Cc1ccc(C)nc1 ZINC000459672486 203333893 /nfs/dbraw/zinc/33/38/93/203333893.db2.gz ILZFPOAZOVOCES-HNNXBMFYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1ncc(CN[C@H]2CS[C@@H](C(C)C)C2)o1 ZINC000459667902 203333946 /nfs/dbraw/zinc/33/39/46/203333946.db2.gz ATWQPMMRGWONJD-ZYHUDNBSSA-N 0 3 240.372 2.603 20 0 BFADHN CC(C)C[C@@H](C)[NH2+]Cc1nnc(C(C)(C)C)[n-]1 ZINC000459678548 203335044 /nfs/dbraw/zinc/33/50/44/203335044.db2.gz ODWCQHMCYCOPOT-SNVBAGLBSA-N 0 3 238.379 2.626 20 0 BFADHN CC(C)C[C@@H](C)NCc1nnc(C(C)(C)C)[nH]1 ZINC000459678548 203335045 /nfs/dbraw/zinc/33/50/45/203335045.db2.gz ODWCQHMCYCOPOT-SNVBAGLBSA-N 0 3 238.379 2.626 20 0 BFADHN Cc1ccc(CNC2CC(OC(C)C)C2)nc1C ZINC000459683340 203336414 /nfs/dbraw/zinc/33/64/14/203336414.db2.gz ZSEMNFJLUJGCEW-UHFFFAOYSA-N 0 3 248.370 2.744 20 0 BFADHN CN(C)CCN(Cc1ccsc1)CC1CC1 ZINC000459742043 203350101 /nfs/dbraw/zinc/35/01/01/203350101.db2.gz KNGDPHVXPTYVEB-UHFFFAOYSA-N 0 3 238.400 2.522 20 0 BFADHN CCOC[C@H]1CCCCN1Cc1cccc(C)n1 ZINC000459726635 203341067 /nfs/dbraw/zinc/34/10/67/203341067.db2.gz YBNXBHOPJXVILA-OAHLLOKOSA-N 0 3 248.370 2.781 20 0 BFADHN CN(Cc1cnn2ccccc12)C1CC(C)(C)C1 ZINC000459721846 203342020 /nfs/dbraw/zinc/34/20/20/203342020.db2.gz BEFSWFRJAZFSEB-UHFFFAOYSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1cc(F)ccc1F ZINC000459735184 203346361 /nfs/dbraw/zinc/34/63/61/203346361.db2.gz DGRVUIZVHAJQIJ-OLZOCXBDSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1ncc(CN[C@H](C)CCC2CC2)o1 ZINC000459668613 203332841 /nfs/dbraw/zinc/33/28/41/203332841.db2.gz CFGNZVFBROMLNH-SECBINFHSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H](NC[C@@H]1CCCC[C@@H]1C)c1ccn(C)n1 ZINC000459750937 203354530 /nfs/dbraw/zinc/35/45/30/203354530.db2.gz LJVOBYVTULMAEC-AVGNSLFASA-N 0 3 235.375 2.897 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)[C@H](C)C1)c1ccn(C)n1 ZINC000459759942 203358577 /nfs/dbraw/zinc/35/85/77/203358577.db2.gz RSFLDAYDYTXEGU-FVCCEPFGSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H](NCCCCC(F)(F)F)c1ccn(C)n1 ZINC000459769940 203362129 /nfs/dbraw/zinc/36/21/29/203362129.db2.gz BBRNNOBMCXJESK-VIFPVBQESA-N 0 3 249.280 2.803 20 0 BFADHN CC(C)CCCN[C@@H](C)c1ccn(C)n1 ZINC000459772260 203362224 /nfs/dbraw/zinc/36/22/24/203362224.db2.gz ZNRHTRBYUUAQSG-NSHDSACASA-N 0 3 209.337 2.507 20 0 BFADHN CCOCCCNCc1ccc(C)cc1F ZINC000631030504 370961952 /nfs/dbraw/zinc/96/19/52/370961952.db2.gz SBEZOECFKXKDBI-UHFFFAOYSA-N 0 3 225.307 2.650 20 0 BFADHN Cc1cccc(CN2C[C@@H]3C[C@H]2CS3)c1C ZINC000459774077 203362834 /nfs/dbraw/zinc/36/28/34/203362834.db2.gz VLOVXEOABYIKCO-KBPBESRZSA-N 0 3 233.380 2.993 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H]1CCc2ccc(F)cc21 ZINC000459771961 203363227 /nfs/dbraw/zinc/36/32/27/203363227.db2.gz JGANVDPDDWFFKF-KFWWJZLASA-N 0 3 249.329 2.970 20 0 BFADHN C[C@H](NCCC(C)(C)C)c1ccn(C)n1 ZINC000459770511 203363730 /nfs/dbraw/zinc/36/37/30/203363730.db2.gz YPHPJBLFQURTKN-JTQLQIEISA-N 0 3 209.337 2.507 20 0 BFADHN C[C@@H](NC[C@@H]1CCC(F)(F)C1)c1ccn(C)n1 ZINC000459776260 203365540 /nfs/dbraw/zinc/36/55/40/203365540.db2.gz DFUGHKAXKRLHBC-NXEZZACHSA-N 0 3 243.301 2.506 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1ccc(F)c(C)c1 ZINC000459743267 203351296 /nfs/dbraw/zinc/35/12/96/203351296.db2.gz PRVAIDOYTKAPQW-KGLIPLIRSA-N 0 3 237.318 2.791 20 0 BFADHN COc1ccc(CN(C)C2CC(C)(C)C2)nc1 ZINC000459744388 203351469 /nfs/dbraw/zinc/35/14/69/203351469.db2.gz QYZLOAIDNJJCMN-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H](C)NCc1c(OC)cc(F)cc1OC ZINC000631031872 370968069 /nfs/dbraw/zinc/96/80/69/370968069.db2.gz MFXLLPVATVBGOV-SECBINFHSA-N 0 3 241.306 2.731 20 0 BFADHN CC[C@H](C)NCc1c(OC)cc(F)cc1OC ZINC000631031873 370968277 /nfs/dbraw/zinc/96/82/77/370968277.db2.gz MFXLLPVATVBGOV-VIFPVBQESA-N 0 3 241.306 2.731 20 0 BFADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)C1)c1ccn(C)n1 ZINC000459747186 203353404 /nfs/dbraw/zinc/35/34/04/203353404.db2.gz JBDJOTCUURPGCM-JHJVBQTASA-N 0 3 235.375 2.897 20 0 BFADHN FC(F)c1ccc(CN2CCCC2)cn1 ZINC000631035787 370987132 /nfs/dbraw/zinc/98/71/32/370987132.db2.gz ACFZALPJFXBUBT-UHFFFAOYSA-N 0 3 212.243 2.615 20 0 BFADHN CCc1ccccc1CN1C[C@@H]2C[C@H]1CS2 ZINC000459798081 203371990 /nfs/dbraw/zinc/37/19/90/203371990.db2.gz UCNQRTLOTLYILJ-KBPBESRZSA-N 0 3 233.380 2.939 20 0 BFADHN OC[C@H]1CC[C@@H](NCc2ccc(Cl)o2)CC1 ZINC000165906044 203386152 /nfs/dbraw/zinc/38/61/52/203386152.db2.gz POLZFNBYXNLJGV-MGCOHNPYSA-N 0 3 243.734 2.574 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CNCc1ccno1 ZINC000567899706 326279852 /nfs/dbraw/zinc/27/98/52/326279852.db2.gz PPOIFRAAZZEMTN-AAEUAGOBSA-N 0 3 220.316 2.757 20 0 BFADHN CCN(Cc1ccc(OC)cn1)CC1CCC1 ZINC000338355250 169908534 /nfs/dbraw/zinc/90/85/34/169908534.db2.gz HXUSVGQUAJHMBG-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCCN(CCC)Cc1cnn(CC)c1 ZINC000158046903 326304502 /nfs/dbraw/zinc/30/45/02/326304502.db2.gz DNIPIZMSHSYSMD-UHFFFAOYSA-N 0 3 209.337 2.525 20 0 BFADHN CN(Cc1cccc2c1OCO2)C(C)(C)C ZINC000568090069 326307180 /nfs/dbraw/zinc/30/71/80/326307180.db2.gz FPZYZTQPXNAHKR-UHFFFAOYSA-N 0 3 221.300 2.646 20 0 BFADHN Cc1ncccc1CN[C@H]1CC[C@H](C)SC1 ZINC000623702994 369842850 /nfs/dbraw/zinc/84/28/50/369842850.db2.gz VVVANBBAMILYDG-GWCFXTLKSA-N 0 3 236.384 2.764 20 0 BFADHN Cc1ncccc1CN[C@H]1CC[C@@H](C)SC1 ZINC000623702996 369842911 /nfs/dbraw/zinc/84/29/11/369842911.db2.gz VVVANBBAMILYDG-MFKMUULPSA-N 0 3 236.384 2.764 20 0 BFADHN CCCN(C)CC(=O)N1[C@H](CC)CCC[C@@H]1C ZINC000620575349 369932818 /nfs/dbraw/zinc/93/28/18/369932818.db2.gz QVICOXDQTNLARO-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN C[C@H](NC1CC(C)(C)C1)c1cncs1 ZINC000307010471 369981180 /nfs/dbraw/zinc/98/11/80/369981180.db2.gz NYSNEBJBEVJGLD-QMMMGPOBSA-N 0 3 210.346 2.982 20 0 BFADHN CCOC[C@H](NCc1ccnc(C)c1)C(C)C ZINC000621293601 370220209 /nfs/dbraw/zinc/22/02/09/370220209.db2.gz AFNKABYOHNMOLQ-AWEZNQCLSA-N 0 3 236.359 2.541 20 0 BFADHN CCCc1ccc(CN[C@@H](C)COC)s1 ZINC000621324884 370254428 /nfs/dbraw/zinc/25/44/28/370254428.db2.gz DJGFGGTYPOKYGO-JTQLQIEISA-N 0 3 227.373 2.825 20 0 BFADHN Cc1cc(CN2CCS[C@@H](C)[C@@H]2C)ccn1 ZINC000621258520 370191453 /nfs/dbraw/zinc/19/14/53/370191453.db2.gz OMWOWVQDIPKWQC-RYUDHWBXSA-N 0 3 236.384 2.716 20 0 BFADHN CCCCC(=O)N1CCCN(C(C)(C)C)CC1 ZINC000621259573 370191570 /nfs/dbraw/zinc/19/15/70/370191570.db2.gz KWHYTKOUKVMQTO-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@H]1CN(Cc2ccnc(C)c2)CCS1 ZINC000621260990 370193303 /nfs/dbraw/zinc/19/33/03/370193303.db2.gz ZPLMYNNJBJWOHZ-ZDUSSCGKSA-N 0 3 236.384 2.717 20 0 BFADHN CC[C@@H](C)N(C)Cc1cncc(Cl)c1 ZINC000621265464 370198052 /nfs/dbraw/zinc/19/80/52/370198052.db2.gz BWYDZQKLCRHWKG-SECBINFHSA-N 0 3 212.724 2.965 20 0 BFADHN CC(C)n1ccnc1CNCC[C@H]1CC1(F)F ZINC000621376300 370317686 /nfs/dbraw/zinc/31/76/86/370317686.db2.gz XXCIPILPVCSXER-JTQLQIEISA-N 0 3 243.301 2.599 20 0 BFADHN CCc1cnc(CNCC[C@@H]2CC2(F)F)s1 ZINC000621376852 370319003 /nfs/dbraw/zinc/31/90/03/370319003.db2.gz LBJWFRMWZAQYJC-MRVPVSSYSA-N 0 3 246.326 2.840 20 0 BFADHN CCCN(C(=O)CN1[C@H](C)CC[C@H]1C)C(C)C ZINC000568227753 326329389 /nfs/dbraw/zinc/32/93/89/326329389.db2.gz BLRFMIVIFLUFIE-CHWSQXEVSA-N 0 3 240.391 2.506 20 0 BFADHN Cc1ccoc1CNCC[C@@H]1CC1(F)F ZINC000621377905 370320374 /nfs/dbraw/zinc/32/03/74/370320374.db2.gz JECMDQJRNQTMES-SECBINFHSA-N 0 3 215.243 2.723 20 0 BFADHN CCCc1ccc(CNCc2cnn(C)c2)s1 ZINC000621330256 370263712 /nfs/dbraw/zinc/26/37/12/370263712.db2.gz DZWJDHSJFCHYBB-UHFFFAOYSA-N 0 3 249.383 2.724 20 0 BFADHN CCCc1ccc(CN[C@@]2(C)CCOC2)s1 ZINC000621342615 370276544 /nfs/dbraw/zinc/27/65/44/370276544.db2.gz CNXKYAIRRGQVPL-ZDUSSCGKSA-N 0 3 239.384 2.969 20 0 BFADHN CCOc1cncc(CNCCC=C(C)C)c1 ZINC000621347839 370282444 /nfs/dbraw/zinc/28/24/44/370282444.db2.gz NIBVLGLLJDRVID-UHFFFAOYSA-N 0 3 234.343 2.926 20 0 BFADHN Cc1nccnc1CN[C@]1(C)CCCC[C@H]1C ZINC000621360395 370293859 /nfs/dbraw/zinc/29/38/59/370293859.db2.gz VMAIQQJWNKFXLW-BXUZGUMPSA-N 0 3 233.359 2.843 20 0 BFADHN CCOc1cncc(CNCCC(C)(C)F)c1 ZINC000621371091 370306900 /nfs/dbraw/zinc/30/69/00/370306900.db2.gz YWFKVWRQDIPHKC-UHFFFAOYSA-N 0 3 240.322 2.708 20 0 BFADHN Cc1cc(CN2CC[C@H](C)[C@@H](CO)C2)cs1 ZINC000621373121 370311980 /nfs/dbraw/zinc/31/19/80/370311980.db2.gz CFPYMKCALIQTHB-GXFFZTMASA-N 0 3 239.384 2.507 20 0 BFADHN COC(=O)c1ccc(CN(C)CCC(C)C)o1 ZINC000076794460 370354505 /nfs/dbraw/zinc/35/45/05/370354505.db2.gz JDQWRHGDSSIQNZ-UHFFFAOYSA-N 0 3 239.315 2.544 20 0 BFADHN Cc1ccc(NC(=O)CN[C@@H]2CCC2(C)C)cc1 ZINC000310914953 370371857 /nfs/dbraw/zinc/37/18/57/370371857.db2.gz IICIURHDAYLCRV-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN CCOc1cccc(CNCC2CC(C)C2)n1 ZINC000621384627 370326641 /nfs/dbraw/zinc/32/66/41/370326641.db2.gz NBWZPJXMVZPTRE-UHFFFAOYSA-N 0 3 234.343 2.616 20 0 BFADHN COc1ccc(OC)c(CNCC2CC(C)C2)c1 ZINC000621383263 370327030 /nfs/dbraw/zinc/32/70/30/370327030.db2.gz YNBYOTZXQJYCRW-UHFFFAOYSA-N 0 3 249.354 2.840 20 0 BFADHN CC(C)CC(CC(C)C)NCc1ccn(C)n1 ZINC000112716993 370347954 /nfs/dbraw/zinc/34/79/54/370347954.db2.gz GYAHSNKELRNRPO-UHFFFAOYSA-N 0 3 237.391 2.971 20 0 BFADHN CCCN(CC)Cc1cncc(OCC)c1 ZINC000621708835 370453525 /nfs/dbraw/zinc/45/35/25/370453525.db2.gz BTFYZJVFPFYPTJ-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CCOc1cncc(CN(C)C[C@H](C)CC)c1 ZINC000621712733 370459369 /nfs/dbraw/zinc/45/93/69/370459369.db2.gz MXWYJRFWJBZITA-GFCCVEGCSA-N 0 3 236.359 2.958 20 0 BFADHN CCOc1cncc(CN(C)C[C@@H](C)CC)c1 ZINC000621712734 370459520 /nfs/dbraw/zinc/45/95/20/370459520.db2.gz MXWYJRFWJBZITA-LBPRGKRZSA-N 0 3 236.359 2.958 20 0 BFADHN CCCc1ccc(CN(C)CCCO)s1 ZINC000621725979 370478358 /nfs/dbraw/zinc/47/83/58/370478358.db2.gz GCYREKLAMJELBO-UHFFFAOYSA-N 0 3 227.373 2.515 20 0 BFADHN CCC1(CC)CCN(Cc2nccnc2C)C1 ZINC000621715947 370465070 /nfs/dbraw/zinc/46/50/70/370465070.db2.gz LNZOTJIMKHJRPX-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CCOc1cncc(CN2C[C@H](C)[C@H](C)C2)c1 ZINC000621717186 370465842 /nfs/dbraw/zinc/46/58/42/370465842.db2.gz YOUYYQKGBPTASP-TXEJJXNPSA-N 0 3 234.343 2.568 20 0 BFADHN Cc1nccnc1CN1CCC[C@H](C(C)C)C1 ZINC000621723433 370475531 /nfs/dbraw/zinc/47/55/31/370475531.db2.gz HFLLVZZUHUCJMX-ZDUSSCGKSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1nccnc1CN(C)[C@@H]1CCCC[C@@H]1C ZINC000621726388 370479041 /nfs/dbraw/zinc/47/90/41/370479041.db2.gz UVTULMGAMSUBNU-SMDDNHRTSA-N 0 3 233.359 2.796 20 0 BFADHN COc1nc(C)cc(C)c1CN(C)C[C@@H]1C[C@@H]1C ZINC000621742983 370503083 /nfs/dbraw/zinc/50/30/83/370503083.db2.gz CMENMFWWONPSTK-GWCFXTLKSA-N 0 3 248.370 2.795 20 0 BFADHN COc1nc(C)cc(C)c1CN(C)C[C@H]1C[C@@H]1C ZINC000621742984 370503182 /nfs/dbraw/zinc/50/31/82/370503182.db2.gz CMENMFWWONPSTK-GXFFZTMASA-N 0 3 248.370 2.795 20 0 BFADHN CC[C@H](NCC[C@@H]1CC1(F)F)c1nccn1C ZINC000621768367 370510573 /nfs/dbraw/zinc/51/05/73/370510573.db2.gz NVWHAYFJIIVOLX-ZJUUUORDSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@@H](NCC1CC(C)C1)c1ccc(F)cn1 ZINC000621771814 370514513 /nfs/dbraw/zinc/51/45/13/370514513.db2.gz NHUMQRKCIWBCMP-HSOILSAZSA-N 0 3 222.307 2.917 20 0 BFADHN CC[C@@H](NCC1CC(C)C1)c1nccn1C ZINC000621771878 370515075 /nfs/dbraw/zinc/51/50/75/370515075.db2.gz PRDJQGCPAJJNJS-HTAVTVPLSA-N 0 3 221.348 2.507 20 0 BFADHN CCCc1ccc(CN(C)CCN(C)C)s1 ZINC000621727960 370480443 /nfs/dbraw/zinc/48/04/43/370480443.db2.gz XKQAXVWUEIHZRJ-UHFFFAOYSA-N 0 3 240.416 2.694 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1nccnc1C ZINC000621728365 370480555 /nfs/dbraw/zinc/48/05/55/370480555.db2.gz NBIXACAASCGAJB-CMPLNLGQSA-N 0 3 219.332 2.548 20 0 BFADHN CCCc1ccc(CN2CC[C@H]2COC)s1 ZINC000621728858 370482455 /nfs/dbraw/zinc/48/24/55/370482455.db2.gz AGJJJZGGJNWNBG-NSHDSACASA-N 0 3 239.384 2.921 20 0 BFADHN C[C@@H](NCC[C@H]1CC1(F)F)c1ccccn1 ZINC000621734826 370489577 /nfs/dbraw/zinc/48/95/77/370489577.db2.gz XHSCKURSGOFHFQ-ZJUUUORDSA-N 0 3 226.270 2.778 20 0 BFADHN CC1(c2ccccc2)CN(CC[C@@H]2CCOC2)C1 ZINC000621734514 370491585 /nfs/dbraw/zinc/49/15/85/370491585.db2.gz OQJINYIZMHXVKD-CQSZACIVSA-N 0 3 245.366 2.687 20 0 BFADHN Cc1ccoc1CN1CC(CC2CC2)C1 ZINC000621740369 370499292 /nfs/dbraw/zinc/49/92/92/370499292.db2.gz MMSSXWZKKPRQQC-UHFFFAOYSA-N 0 3 205.301 2.820 20 0 BFADHN C[C@H](CC1CC1)NCc1nn(C)c2ccccc12 ZINC000078571248 370576310 /nfs/dbraw/zinc/57/63/10/370576310.db2.gz GNZZYHRDZXRKGE-LLVKDONJSA-N 0 3 243.354 2.852 20 0 BFADHN CN1CCC(Nc2cc3ccccc3cn2)CC1 ZINC000631042595 371007025 /nfs/dbraw/zinc/00/70/25/371007025.db2.gz QZSURLSPTSKUMN-UHFFFAOYSA-N 0 3 241.338 2.741 20 0 BFADHN CSCCNCc1ccc(OC(F)F)cc1 ZINC000070184011 371013247 /nfs/dbraw/zinc/01/32/47/371013247.db2.gz XRBWUWUZJXIYRJ-UHFFFAOYSA-N 0 3 247.310 2.741 20 0 BFADHN COc1ccsc1CN(C)C(C)(C)C ZINC000568747750 326374643 /nfs/dbraw/zinc/37/46/43/326374643.db2.gz MBRDHCZNUOEPQR-UHFFFAOYSA-N 0 3 213.346 2.987 20 0 BFADHN C[C@@H]1CCC[C@@H](CCNCc2cncnc2)C1 ZINC000070537568 371044795 /nfs/dbraw/zinc/04/47/95/371044795.db2.gz CNKYMZWKWJFFBB-OLZOCXBDSA-N 0 3 233.359 2.783 20 0 BFADHN Cc1nc(CN[C@@H](C)CC(C)(C)C)[nH]c1C ZINC000568783044 326377587 /nfs/dbraw/zinc/37/75/87/326377587.db2.gz LHGGXAZQHYDIEA-VIFPVBQESA-N 0 3 223.364 2.941 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@H](C3CC3)C2)cc1C ZINC000623753149 371081476 /nfs/dbraw/zinc/08/14/76/371081476.db2.gz SNYLXASZIYYPMY-HOTGVXAUSA-N 0 3 245.366 2.961 20 0 BFADHN Cc1sccc1CN[C@H]1CO[C@@H](C2CC2)C1 ZINC000623754255 371082549 /nfs/dbraw/zinc/08/25/49/371082549.db2.gz LSKQXZSCMRXVHL-CHWSQXEVSA-N 0 3 237.368 2.714 20 0 BFADHN CO[C@@H](C)CCN[C@@H]1CCCc2scnc21 ZINC000623883515 371140436 /nfs/dbraw/zinc/14/04/36/371140436.db2.gz CNJDMXIZATYLDJ-VHSXEESVSA-N 0 3 240.372 2.535 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc(F)c(Cl)c2)C1 ZINC000623808543 371103773 /nfs/dbraw/zinc/10/37/73/371103773.db2.gz WYJAWCUOVRTWFK-MGCOHNPYSA-N 0 3 243.709 2.746 20 0 BFADHN CO[C@H]1C[C@H](NCc2cccc(Cl)c2F)C1 ZINC000623808206 371104187 /nfs/dbraw/zinc/10/41/87/371104187.db2.gz HQIAAWSNJJXIKS-MGCOHNPYSA-N 0 3 243.709 2.746 20 0 BFADHN c1nc(CNC[C@H]2CCCC23CC3)cs1 ZINC000623824675 371113027 /nfs/dbraw/zinc/11/30/27/371113027.db2.gz MKLSCHXPVAOLAE-SNVBAGLBSA-N 0 3 222.357 2.813 20 0 BFADHN Cc1csc([C@H](C)N[C@H](CCO)C(C)C)n1 ZINC000623899288 371146973 /nfs/dbraw/zinc/14/69/73/371146973.db2.gz DNPWYHDBMANTMR-WDEREUQCSA-N 0 3 242.388 2.509 20 0 BFADHN C1=CCC(N[C@@H]2CCCc3scnc32)C1 ZINC000623906200 371149493 /nfs/dbraw/zinc/14/94/93/371149493.db2.gz RLAMLSXKZIXBIZ-SNVBAGLBSA-N 0 3 220.341 2.829 20 0 BFADHN Cc1nc(CN[C@@H]2CCCC[C@H]2C)[nH]c1C ZINC000569140123 326392901 /nfs/dbraw/zinc/39/29/01/326392901.db2.gz CXUATWROANVPPA-BXKDBHETSA-N 0 3 221.348 2.695 20 0 BFADHN FCCCCN[C@H]1CCCc2scnc21 ZINC000623986914 371219602 /nfs/dbraw/zinc/21/96/02/371219602.db2.gz KOMXQQZAJBTRAT-VIFPVBQESA-N 0 3 228.336 2.860 20 0 BFADHN C[C@H](F)CCN[C@H]1CCCc2scnc21 ZINC000623989685 371223099 /nfs/dbraw/zinc/22/30/99/371223099.db2.gz DSISZHLOHBEARS-IUCAKERBSA-N 0 3 228.336 2.858 20 0 BFADHN c1nc2c(s1)CCC[C@H]2N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000624004807 371241611 /nfs/dbraw/zinc/24/16/11/371241611.db2.gz UJZIXSMFYSBZLF-LMLFDSFASA-N 0 3 234.368 2.909 20 0 BFADHN CC[C@H](NC/C=C\CO)c1cc(C)ccc1C ZINC000624012159 371248239 /nfs/dbraw/zinc/24/82/39/371248239.db2.gz SPKMGYWPRUHFPB-YVACAVLKSA-N 0 3 233.355 2.893 20 0 BFADHN Cc1csc([C@@H](C)NCCc2ccoc2)n1 ZINC000624012750 371249022 /nfs/dbraw/zinc/24/90/22/371249022.db2.gz HNDFLGHYMFFXGP-SNVBAGLBSA-N 0 3 236.340 2.938 20 0 BFADHN Cc1cc(CNCCOCCC(C)C)ccn1 ZINC000391229922 371279648 /nfs/dbraw/zinc/27/96/48/371279648.db2.gz PFCCVLWIWBJVPN-UHFFFAOYSA-N 0 3 236.359 2.542 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1cncs1)OCC ZINC000624049510 371270578 /nfs/dbraw/zinc/27/05/78/371270578.db2.gz JLDJFDZIRCYDLE-QWRGUYRKSA-N 0 3 242.388 2.999 20 0 BFADHN CCC[C@@H](C)NCc1c(C(F)(F)F)cnn1C ZINC000624051508 371277472 /nfs/dbraw/zinc/27/74/72/371277472.db2.gz GDERPBSHNAQEFG-MRVPVSSYSA-N 0 3 249.280 2.717 20 0 BFADHN c1ccc([C@@H](N[C@H]2CCCOC2)C2CCC2)nc1 ZINC000624104449 371309842 /nfs/dbraw/zinc/30/98/42/371309842.db2.gz SGENAZCXIBEWOS-ZFWWWQNUSA-N 0 3 246.354 2.691 20 0 BFADHN CC[C@@H](C)[C@H](O)CNCc1ccc(C)cc1F ZINC000631070966 371312002 /nfs/dbraw/zinc/31/20/02/371312002.db2.gz IQFPQDFSKUWIEX-BXUZGUMPSA-N 0 3 239.334 2.631 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCC[C@H]2O)c(F)c1 ZINC000631071550 371324833 /nfs/dbraw/zinc/32/48/33/371324833.db2.gz PNUUPKHLHZGGJF-ZIAGYGMSSA-N 0 3 237.318 2.527 20 0 BFADHN C/C=C\CN[C@H](C)c1ccc(OCCOC)cc1 ZINC000624197812 371386105 /nfs/dbraw/zinc/38/61/05/371386105.db2.gz XMKWSAARHHJWIG-DSYXLKISSA-N 0 3 249.354 2.939 20 0 BFADHN C/C=C/CN[C@H](C)[C@H](OC)c1ccccc1 ZINC000624197937 371386291 /nfs/dbraw/zinc/38/62/91/371386291.db2.gz AGFHBMUCUKRBTA-JUGZCIIYSA-N 0 3 219.328 2.928 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc(NC(C)=O)cc1 ZINC000624198255 371387274 /nfs/dbraw/zinc/38/72/74/371387274.db2.gz JQBIFRKVLLVFJS-SGUJLRQBSA-N 0 3 232.327 2.872 20 0 BFADHN C/C=C/CN[C@@H](c1cncc(F)c1)C1CC1 ZINC000624198167 371387713 /nfs/dbraw/zinc/38/77/13/371387713.db2.gz HALAAAHPTIEKTK-YWVDXFKGSA-N 0 3 220.291 2.838 20 0 BFADHN C/C=C/CN[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000624199501 371390093 /nfs/dbraw/zinc/39/00/93/371390093.db2.gz QZTBZXSJXYHGQY-CDAZIORVSA-N 0 3 232.283 2.760 20 0 BFADHN C[C@@H](NC[C@]1(C)C[C@H]2C[C@H]2C1)c1nccn1C ZINC000624199939 371391360 /nfs/dbraw/zinc/39/13/60/371391360.db2.gz BAMWGRXKGJAVNT-NRWUCQMLSA-N 0 3 233.359 2.507 20 0 BFADHN c1n[nH]cc1CNCCCSc1ccccc1 ZINC000624212060 371398613 /nfs/dbraw/zinc/39/86/13/371398613.db2.gz PCHCPUIDWMKGLH-UHFFFAOYSA-N 0 3 247.367 2.682 20 0 BFADHN CC[C@@H](COC)NCc1ccc(C(F)F)nc1 ZINC000631077612 371414086 /nfs/dbraw/zinc/41/40/86/371414086.db2.gz WYLQHGFKPNXOCF-JTQLQIEISA-N 0 3 244.285 2.534 20 0 BFADHN C/C=C\CN[C@@H]1CCCc2nc(C)sc21 ZINC000624197711 371383679 /nfs/dbraw/zinc/38/36/79/371383679.db2.gz UPDYBOLJBUMWON-UMBAGQNISA-N 0 3 222.357 2.995 20 0 BFADHN C/C=C\CN[C@H]1CCCc2nc(C)sc21 ZINC000624197712 371383689 /nfs/dbraw/zinc/38/36/89/371383689.db2.gz UPDYBOLJBUMWON-XOULXFPDSA-N 0 3 222.357 2.995 20 0 BFADHN C/C=C\CN[C@H]1CCc2c1cccc2OC ZINC000624197414 371384106 /nfs/dbraw/zinc/38/41/06/371384106.db2.gz LEFIXZJPGDHTKT-SCOBNMCVSA-N 0 3 217.312 2.848 20 0 BFADHN O=c1[nH]cccc1CN[C@@H]1CCc2ccccc21 ZINC000624257729 371444181 /nfs/dbraw/zinc/44/41/81/371444181.db2.gz OCCDKWJVRDKQLK-CQSZACIVSA-N 0 3 240.306 2.564 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2ccsc2)C1 ZINC000624297154 371461882 /nfs/dbraw/zinc/46/18/82/371461882.db2.gz RAXMPZATEIURNU-LSJOCFKGSA-N 0 3 211.330 2.576 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2ccc(F)c(F)c2)C1 ZINC000624297028 371462205 /nfs/dbraw/zinc/46/22/05/371462205.db2.gz NKZJFUCWBJPETO-LSJOCFKGSA-N 0 3 241.281 2.793 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2cccc(F)c2)C1 ZINC000624297203 371462409 /nfs/dbraw/zinc/46/24/09/371462409.db2.gz SFPDMSKUKWCPEX-XDTLVQLUSA-N 0 3 223.291 2.654 20 0 BFADHN CO[C@H]1C[C@H](N[C@H]2CCCOc3ccccc32)C1 ZINC000624298233 371464480 /nfs/dbraw/zinc/46/44/80/371464480.db2.gz OFUBUMJGLMPXGX-OBJOEFQTSA-N 0 3 247.338 2.667 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H]2C[C@@H](OC)C2)o1 ZINC000624309422 371472138 /nfs/dbraw/zinc/47/21/38/371472138.db2.gz MFXMKTTZXSZKLN-GMXVVIOVSA-N 0 3 237.343 2.918 20 0 BFADHN C[C@H](NCCN(C)CC(F)F)c1ccsc1 ZINC000624322281 371481275 /nfs/dbraw/zinc/48/12/75/371481275.db2.gz KEOMPQMUNBPKHO-VIFPVBQESA-N 0 3 248.342 2.596 20 0 BFADHN CSC1(CNCc2cccc(F)c2F)CC1 ZINC000227948425 371962453 /nfs/dbraw/zinc/96/24/53/371962453.db2.gz XETYKEOSWHSGCF-UHFFFAOYSA-N 0 3 243.322 2.950 20 0 BFADHN Clc1cnccc1CNC[C@@H]1CCSC1 ZINC000228565429 372049271 /nfs/dbraw/zinc/04/92/71/372049271.db2.gz SBCCCZHJIKKAAZ-VIFPVBQESA-N 0 3 242.775 2.578 20 0 BFADHN CCO[C@H](CCNCc1cc(C)no1)C(C)C ZINC000631084168 372077255 /nfs/dbraw/zinc/07/72/55/372077255.db2.gz WOGYTFRIEJDSHK-CYBMUJFWSA-N 0 3 240.347 2.524 20 0 BFADHN CC[C@](C)(NCc1ncnn1C(C)C)C1CC1 ZINC000631175565 372218415 /nfs/dbraw/zinc/21/84/15/372218415.db2.gz PTHBZEJQCBYUHF-ZDUSSCGKSA-N 0 3 236.363 2.527 20 0 BFADHN Fc1cnccc1CN[C@@]12C[C@@H]1CCCC2 ZINC000631224523 372246764 /nfs/dbraw/zinc/24/67/64/372246764.db2.gz AAOFSAJTJDWALM-AAEUAGOBSA-N 0 3 220.291 2.643 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@H](C)O[C@@H](C)C2)o1 ZINC000631242996 372257475 /nfs/dbraw/zinc/25/74/75/372257475.db2.gz PNUOQEJFNISYQU-GDNZZTSVSA-N 0 3 223.316 2.634 20 0 BFADHN C[C@H](CC1CCC1)NCc1ccncc1F ZINC000631251095 372260562 /nfs/dbraw/zinc/26/05/62/372260562.db2.gz SREHMCUWDRTSGH-SNVBAGLBSA-N 0 3 222.307 2.889 20 0 BFADHN FC[C@@H](NCC1CC1)c1ccc(F)cc1 ZINC000631284765 372276346 /nfs/dbraw/zinc/27/63/46/372276346.db2.gz UDQVPEGMFNUNNX-GFCCVEGCSA-N 0 3 211.255 2.836 20 0 BFADHN CCC[C@@H](NC[C@H](O)CC)c1cc(C)ccn1 ZINC000631638694 372474767 /nfs/dbraw/zinc/47/47/67/372474767.db2.gz GWIHOZVKOAQTQS-CHWSQXEVSA-N 0 3 236.359 2.592 20 0 BFADHN CCC[C@H](N[C@H]1CO[C@H](C)C1)c1cc(C)ccn1 ZINC000631643077 372478172 /nfs/dbraw/zinc/47/81/72/372478172.db2.gz UCOFWNMDDFBKCC-MCIONIFRSA-N 0 3 248.370 2.998 20 0 BFADHN Cn1ccc(CN[C@H]2CCCc3ncccc32)c1 ZINC000631584802 372441553 /nfs/dbraw/zinc/44/15/53/372441553.db2.gz ISSBHTIMEJATDY-HNNXBMFYSA-N 0 3 241.338 2.587 20 0 BFADHN C/C=C\CN[C@H]1CCCc2ncccc21 ZINC000631651208 372482000 /nfs/dbraw/zinc/48/20/00/372482000.db2.gz SQHXZQPTCHRJBX-DLGQBQFBSA-N 0 3 202.301 2.625 20 0 BFADHN CC1(C)C[C@H]1N[C@H]1CCCc2ncccc21 ZINC000631604581 372455394 /nfs/dbraw/zinc/45/53/94/372455394.db2.gz KEMKOJWNBJTQCY-QWHCGFSZSA-N 0 3 216.328 2.847 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCSCC2)o1 ZINC000631729852 372535094 /nfs/dbraw/zinc/53/50/94/372535094.db2.gz JTBHMHOYFFDWMX-LLVKDONJSA-N 0 3 225.357 2.963 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)CC2CCC2)nn1C ZINC000631738384 372539255 /nfs/dbraw/zinc/53/92/55/372539255.db2.gz LHBPEKIOACDUEA-CMPLNLGQSA-N 0 3 235.375 2.958 20 0 BFADHN CC[C@H](N[C@@H]1CCCC12CC2)c1nccn1C ZINC000631666350 372492000 /nfs/dbraw/zinc/49/20/00/372492000.db2.gz XLNGLRDWCWTASE-NWDGAFQWSA-N 0 3 233.359 2.794 20 0 BFADHN CCC[C@H](CCO)N[C@H]1CCCc2cccnc21 ZINC000631668007 372493823 /nfs/dbraw/zinc/49/38/23/372493823.db2.gz IWAWUUMBYKRZTE-KGLIPLIRSA-N 0 3 248.370 2.600 20 0 BFADHN CC1(C)Cc2occc2[C@H](N[C@H]2C[C@@H](CO)C2)C1 ZINC000631670146 372496317 /nfs/dbraw/zinc/49/63/17/372496317.db2.gz QZSGRQDCQNMBBE-NTZNESFSSA-N 0 3 249.354 2.654 20 0 BFADHN CCC(C)(C)CCN[C@@H](C)c1nccn1C ZINC000631685198 372507849 /nfs/dbraw/zinc/50/78/49/372507849.db2.gz YJWPLOJZGLJFLZ-NSHDSACASA-N 0 3 223.364 2.897 20 0 BFADHN CCc1ccc([C@H](C)NCC[C@@H](O)C(F)F)o1 ZINC000631697704 372510519 /nfs/dbraw/zinc/51/05/19/372510519.db2.gz OPCJXPONHGJXMP-WCBMZHEXSA-N 0 3 247.285 2.509 20 0 BFADHN CC[C@H](C)CN(C)Cc1cc(C(=O)OC)co1 ZINC000171949540 372838734 /nfs/dbraw/zinc/83/87/34/372838734.db2.gz JMFQUWVIMOZBLY-JTQLQIEISA-N 0 3 239.315 2.544 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@@H]2C(C)C)o1 ZINC000449610527 205494922 /nfs/dbraw/zinc/49/49/22/205494922.db2.gz QYTOGMWOOMEQIH-VXGBXAGGSA-N 0 3 222.332 2.761 20 0 BFADHN CCCCNC(=O)CN[C@@H](CC)c1ccccc1 ZINC000051627659 168845697 /nfs/dbraw/zinc/84/56/97/168845697.db2.gz MLOKHYUMEXLTEY-AWEZNQCLSA-N 0 3 248.370 2.644 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H]1C[C@@H]1C1CCCCC1)CC2 ZINC000453155289 205799034 /nfs/dbraw/zinc/79/90/34/205799034.db2.gz TUBFUVCIGYVBHA-MGPQQGTHSA-N 0 3 245.370 2.886 20 0 BFADHN CC(C)CCC[C@@H](C)NC(=O)[C@H](N)CC(C)C ZINC000040858503 373842495 /nfs/dbraw/zinc/84/24/95/373842495.db2.gz VZSWECMKELKGKG-CHWSQXEVSA-N 0 3 242.407 2.691 20 0 BFADHN Cc1ccc2cc([C@@H](C)NC[C@H](C)O)oc2c1 ZINC000425956734 205837800 /nfs/dbraw/zinc/83/78/00/205837800.db2.gz FOIDSPGLWWUERR-WDEREUQCSA-N 0 3 233.311 2.773 20 0 BFADHN CC[C@@H](C)CNCc1cc(F)ccc1OC ZINC000189204004 205853478 /nfs/dbraw/zinc/85/34/78/205853478.db2.gz BKLVCRHKGMEPJD-SNVBAGLBSA-N 0 3 225.307 2.970 20 0 BFADHN Cc1nc(C)c(CNCCC2CCCC2)o1 ZINC000382037706 374180743 /nfs/dbraw/zinc/18/07/43/374180743.db2.gz GYVYFCYMMVBQCO-UHFFFAOYSA-N 0 3 222.332 2.961 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCC[C@H](C)C2)o1 ZINC000381905601 374162413 /nfs/dbraw/zinc/16/24/13/374162413.db2.gz WRSRSAXKPXQZRY-JOYOIKCWSA-N 0 3 222.332 2.960 20 0 BFADHN C[C@@H](NCc1nc(-c2ccccc2)c[nH]1)C1CC1 ZINC000194224345 206005214 /nfs/dbraw/zinc/00/52/14/206005214.db2.gz CTZOTTBSRAPHSB-LLVKDONJSA-N 0 3 241.338 2.965 20 0 BFADHN CC[C@]1(C)CCN(Cc2cnc(C3CC3)nc2)C1 ZINC000459344089 206009645 /nfs/dbraw/zinc/00/96/45/206009645.db2.gz RETUFQCJNQWKQZ-OAHLLOKOSA-N 0 3 245.370 2.976 20 0 BFADHN FC(F)n1ccc(CN[C@H]2CCC[C@@H]3C[C@@H]32)n1 ZINC000459783462 206016141 /nfs/dbraw/zinc/01/61/41/206016141.db2.gz ZVPQIZDJOAZBHD-MIMYLULJSA-N 0 3 241.285 2.556 20 0 BFADHN CC[C@H](C)CN[C@@H](CC)c1nccn1C ZINC000421879526 206027012 /nfs/dbraw/zinc/02/70/12/206027012.db2.gz NHGQKRAYWLWUPX-QWRGUYRKSA-N 0 3 209.337 2.507 20 0 BFADHN CC[C@@H](C)CN[C@H](CC)c1ccn(C)n1 ZINC000421879117 206027104 /nfs/dbraw/zinc/02/71/04/206027104.db2.gz MUKXOOXANHJLLI-GHMZBOCLSA-N 0 3 209.337 2.507 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@H](C)[C@@H]2C)cn1 ZINC000428246955 206059226 /nfs/dbraw/zinc/05/92/26/206059226.db2.gz MIVIWQWDTFDQDN-DLOVCJGASA-N 0 3 219.332 2.576 20 0 BFADHN CCOCCCN1CCC[C@@H]1c1ccc[nH]1 ZINC000159336402 206089699 /nfs/dbraw/zinc/08/96/99/206089699.db2.gz ZOGZISNCMPLFBG-CYBMUJFWSA-N 0 3 222.332 2.578 20 0 BFADHN CC(C)CN(C)Cc1cnc(C(C)C)nc1 ZINC000440460746 206077659 /nfs/dbraw/zinc/07/76/59/206077659.db2.gz LGLCFBZWXLWZGH-UHFFFAOYSA-N 0 3 221.348 2.688 20 0 BFADHN C=Cn1cc(CNC/C=C/c2ccccc2)cn1 ZINC000194309081 206089137 /nfs/dbraw/zinc/08/91/37/206089137.db2.gz OHYYRHYKFBBCPK-RMKNXTFCSA-N 0 3 239.322 2.787 20 0 BFADHN C(=C/c1ccncc1)\CN1CC[C@H]1C1CC1 ZINC000449425577 206097290 /nfs/dbraw/zinc/09/72/90/206097290.db2.gz HWLATKUTAWJWRB-YUKKFKLSSA-N 0 3 214.312 2.579 20 0 BFADHN C(=C\c1ccncc1)\CN1CC[C@H]1C1CC1 ZINC000449425574 206098401 /nfs/dbraw/zinc/09/84/01/206098401.db2.gz HWLATKUTAWJWRB-BOPNBLERSA-N 0 3 214.312 2.579 20 0 BFADHN C[C@@H]1CCN(CCn2cc(Cl)cn2)C[C@H]1C ZINC000459373792 206124680 /nfs/dbraw/zinc/12/46/80/206124680.db2.gz NGZYZNPEFAYLDQ-GHMZBOCLSA-N 0 3 241.766 2.514 20 0 BFADHN CCC(C)(C)[N@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459374173 206125098 /nfs/dbraw/zinc/12/50/98/206125098.db2.gz JCSLERHJKQPNPK-UHFFFAOYSA-N 0 3 249.358 2.680 20 0 BFADHN CCC(C)(C)[N@@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459374173 206125104 /nfs/dbraw/zinc/12/51/04/206125104.db2.gz JCSLERHJKQPNPK-UHFFFAOYSA-N 0 3 249.358 2.680 20 0 BFADHN OC[C@H](NC1CC2(CCC2)C1)c1ccsc1 ZINC000459544115 206133820 /nfs/dbraw/zinc/13/38/20/206133820.db2.gz ZPXDWDJXPGAYPY-LBPRGKRZSA-N 0 3 237.368 2.704 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1cc(C)on1 ZINC000459578233 206135942 /nfs/dbraw/zinc/13/59/42/206135942.db2.gz NANYFTWTKBYCHN-RYUDHWBXSA-N 0 3 222.332 2.899 20 0 BFADHN CCOCCCN[C@H](C)c1cc(C)c(C)o1 ZINC000336783061 170382202 /nfs/dbraw/zinc/38/22/02/170382202.db2.gz ZISXKAIVOLHLCU-LLVKDONJSA-N 0 3 225.332 2.974 20 0 BFADHN OC1CCN(Cc2ccc(C(F)F)cc2)CC1 ZINC000183923813 183028306 /nfs/dbraw/zinc/02/83/06/183028306.db2.gz AMWLFAJNFAEOPM-UHFFFAOYSA-N 0 3 241.281 2.581 20 0 BFADHN OC1CCN(Cc2ccc(C3CCC3)cc2)CC1 ZINC000278570277 183028520 /nfs/dbraw/zinc/02/85/20/183028520.db2.gz WPKGPQBKAXMTIB-UHFFFAOYSA-N 0 3 245.366 2.911 20 0 BFADHN OC1([C@@H]2CCCCN2Cc2ccccc2F)CC1 ZINC000335156711 183022763 /nfs/dbraw/zinc/02/27/63/183022763.db2.gz UAMZIPQVCAQCMR-AWEZNQCLSA-N 0 3 249.329 2.705 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1cc(C)ccc1C ZINC000037619231 168968707 /nfs/dbraw/zinc/96/87/07/168968707.db2.gz UKTPEBZLHXNBEG-GFCCVEGCSA-N 0 3 234.343 2.759 20 0 BFADHN OCC1(NCc2csc3ccccc23)CC1 ZINC000120398839 183038269 /nfs/dbraw/zinc/03/82/69/183038269.db2.gz YEZARAHQHQLUCU-UHFFFAOYSA-N 0 3 233.336 2.516 20 0 BFADHN OCCCN1CCC(=Cc2ccccc2F)CC1 ZINC000268332119 183054702 /nfs/dbraw/zinc/05/47/02/183054702.db2.gz NTJCRQUPVMKSPQ-UHFFFAOYSA-N 0 3 249.329 2.687 20 0 BFADHN OCCC[C@@H](NC/C=C/Cl)c1ccccc1 ZINC000179758505 183062548 /nfs/dbraw/zinc/06/25/48/183062548.db2.gz IAOPDACFJUTBNL-OMDQHUAHSA-N 0 3 239.746 2.842 20 0 BFADHN OCCN(Cc1cc(Cl)cs1)C1CCC1 ZINC000278582057 183068699 /nfs/dbraw/zinc/06/86/99/183068699.db2.gz HKMGELNMWRKSDM-UHFFFAOYSA-N 0 3 245.775 2.748 20 0 BFADHN OCCN1CCC(c2cccc(Cl)c2)CC1 ZINC000280280716 183072972 /nfs/dbraw/zinc/07/29/72/183072972.db2.gz DNIFXJWZHYLVJU-UHFFFAOYSA-N 0 3 239.746 2.512 20 0 BFADHN OCC[C@H](NCc1ccco1)C1CCCCC1 ZINC000129487077 183096674 /nfs/dbraw/zinc/09/66/74/183096674.db2.gz ACDMXFNDEOULRU-AWEZNQCLSA-N 0 3 237.343 2.701 20 0 BFADHN CCCC[C@H](C)NCc1ccc(C(=O)OC)o1 ZINC000050887670 168991150 /nfs/dbraw/zinc/99/11/50/168991150.db2.gz USQZDTBJSLFTTF-JTQLQIEISA-N 0 3 239.315 2.735 20 0 BFADHN OCC[C@H](NC[C@@H]1CC=CCC1)c1ccco1 ZINC000184506021 183096818 /nfs/dbraw/zinc/09/68/18/183096818.db2.gz NRXRRIKDFZXZOW-OLZOCXBDSA-N 0 3 235.327 2.649 20 0 BFADHN OCC[C@@H](NCc1ccco1)C1CCCCC1 ZINC000129487302 183091377 /nfs/dbraw/zinc/09/13/77/183091377.db2.gz ACDMXFNDEOULRU-CQSZACIVSA-N 0 3 237.343 2.701 20 0 BFADHN CCCC[C@H](COC)N[C@@H](C)c1cncs1 ZINC000134030764 169004246 /nfs/dbraw/zinc/00/42/46/169004246.db2.gz OYZJAOPORKQICL-WDEREUQCSA-N 0 3 242.388 2.999 20 0 BFADHN CCCC[C@H](N)C(=O)NC1CCC(CC)CC1 ZINC000042582009 169006523 /nfs/dbraw/zinc/00/65/23/169006523.db2.gz ADZNTEPDJGEDLD-BPCQOVAHSA-N 0 3 240.391 2.589 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@@H](C)CCCC(C)C ZINC000040858714 169007029 /nfs/dbraw/zinc/00/70/29/169007029.db2.gz RUHGKMSRZYQSCZ-STQMWFEESA-N 0 3 242.407 2.835 20 0 BFADHN CCCC[C@H](NCc1cc[nH]n1)C1CCC1 ZINC000309621991 169011965 /nfs/dbraw/zinc/01/19/65/169011965.db2.gz DRMUVPSDRUDSDE-ZDUSSCGKSA-N 0 3 221.348 2.858 20 0 BFADHN OC[C@H](CC1CCC1)N[C@H]1CCCc2occc21 ZINC000281176037 183136262 /nfs/dbraw/zinc/13/62/62/183136262.db2.gz QHQHRKKZZYZFOZ-JSGCOSHPSA-N 0 3 249.354 2.798 20 0 BFADHN CCCCc1ccc(NC(=O)[C@H](N)C(C)C)cc1 ZINC000037287239 169022070 /nfs/dbraw/zinc/02/20/70/169022070.db2.gz WWYGDHZATQEJNQ-CQSZACIVSA-N 0 3 248.370 2.951 20 0 BFADHN CCCCc1ccc(NC(=O)[C@@H](N)C(C)C)cc1 ZINC000037287240 169022294 /nfs/dbraw/zinc/02/22/94/169022294.db2.gz WWYGDHZATQEJNQ-AWEZNQCLSA-N 0 3 248.370 2.951 20 0 BFADHN OC[C@H](N[C@H]1C=CCCC1)c1ccc(F)cc1 ZINC000272973524 183141264 /nfs/dbraw/zinc/14/12/64/183141264.db2.gz COAQKVMIISEODG-KBPBESRZSA-N 0 3 235.302 2.557 20 0 BFADHN OC[C@@H]1CC[C@H](NCc2cc3ccccc3o2)C1 ZINC000295030245 183126327 /nfs/dbraw/zinc/12/63/27/183126327.db2.gz LOWMRAVWZNMQMF-YPMHNXCESA-N 0 3 245.322 2.683 20 0 BFADHN OC[C@H]1CCCN(Cc2ccc3occc3c2)C1 ZINC000288959962 183150396 /nfs/dbraw/zinc/15/03/96/183150396.db2.gz AXINHCUVJRIKNX-ZDUSSCGKSA-N 0 3 245.322 2.637 20 0 BFADHN O[C@@H](C[C@@H]1CCCN1CCCF)c1ccco1 ZINC000151851062 183183183 /nfs/dbraw/zinc/18/31/83/183183183.db2.gz ZUCPVHUJRJVLNM-RYUDHWBXSA-N 0 3 241.306 2.527 20 0 BFADHN O[C@@H](C[C@H]1CCCN1CCCF)c1ccco1 ZINC000151851232 183183518 /nfs/dbraw/zinc/18/35/18/183183518.db2.gz ZUCPVHUJRJVLNM-NEPJUHHUSA-N 0 3 241.306 2.527 20 0 BFADHN O[C@@H]1CCN(Cc2ccc(C3CCCC3)cc2)C1 ZINC000084933958 183198361 /nfs/dbraw/zinc/19/83/61/183198361.db2.gz KLOJLRPMLFNHOZ-MRXNPFEDSA-N 0 3 245.366 2.911 20 0 BFADHN CCCN(C)CCc1nc(-c2ccccc2)no1 ZINC000113701203 169077948 /nfs/dbraw/zinc/07/79/48/169077948.db2.gz LFNGYWHYBQQCCC-UHFFFAOYSA-N 0 3 245.326 2.621 20 0 BFADHN O[C@H]1CC[C@H](NCc2cc3ccccc3o2)CC1 ZINC000252706671 183202360 /nfs/dbraw/zinc/20/23/60/183202360.db2.gz UUPYIRYQHZGAHA-JOCQHMNTSA-N 0 3 245.322 2.826 20 0 BFADHN O[C@@H]1CC[C@@H](CNCc2cc3ccccc3o2)C1 ZINC000188803458 183202645 /nfs/dbraw/zinc/20/26/45/183202645.db2.gz OLDXDKKGNSTQPD-DGCLKSJQSA-N 0 3 245.322 2.683 20 0 BFADHN O[C@@H]1CCCC[C@@H]1NCc1sccc1Cl ZINC000278362673 183189596 /nfs/dbraw/zinc/18/95/96/183189596.db2.gz JAHMAXOBUBLYGQ-VHSXEESVSA-N 0 3 245.775 2.795 20 0 BFADHN O[C@@H]1CCCC[C@H]1NCc1ccccc1Cl ZINC000037490703 183190798 /nfs/dbraw/zinc/19/07/98/183190798.db2.gz OBOQRKKXOSYEHB-CHWSQXEVSA-N 0 3 239.746 2.733 20 0 BFADHN O[C@@H]1CCCN(CCCCC(F)(F)F)CC1 ZINC000339777880 183191568 /nfs/dbraw/zinc/19/15/68/183191568.db2.gz AUGDSGKSQNVJJS-SNVBAGLBSA-N 0 3 239.281 2.566 20 0 BFADHN O[C@H](CN1CC2CCC1CC2)c1ccc(F)cc1 ZINC000371394285 183220074 /nfs/dbraw/zinc/22/00/74/183220074.db2.gz YIGDCGOFZVVHJN-WDIPEOLASA-N 0 3 249.329 2.734 20 0 BFADHN CCCN(C)Cc1ccnn1-c1ccc(F)cc1 ZINC000279571170 169079979 /nfs/dbraw/zinc/07/99/79/169079979.db2.gz GAFZLJGBRLMYHX-UHFFFAOYSA-N 0 3 247.317 2.853 20 0 BFADHN O[C@H](C[C@@H]1CCCN1CCCF)c1ccco1 ZINC000151850988 183227652 /nfs/dbraw/zinc/22/76/52/183227652.db2.gz ZUCPVHUJRJVLNM-NWDGAFQWSA-N 0 3 241.306 2.527 20 0 BFADHN CCCN(CC(=O)N1CCCCCC1)C(C)C ZINC000353201440 169093366 /nfs/dbraw/zinc/09/33/66/169093366.db2.gz FWUNJLVMMCZSDS-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CCCN(CC(=O)NC)[C@@H](CC)c1ccccc1 ZINC000299442669 169095838 /nfs/dbraw/zinc/09/58/38/169095838.db2.gz SZBDYEKVJVVZCY-AWEZNQCLSA-N 0 3 248.370 2.596 20 0 BFADHN O[C@H]1CC[C@H](CNc2ccnc3ccccc32)C1 ZINC000191642365 183241920 /nfs/dbraw/zinc/24/19/20/183241920.db2.gz CTTFUBMCKGJFQJ-RYUDHWBXSA-N 0 3 242.322 2.808 20 0 BFADHN O[C@H]1CC[C@H](CNCc2sccc2Cl)C1 ZINC000193243406 183242201 /nfs/dbraw/zinc/24/22/01/183242201.db2.gz HRMLXWRSGGZOBS-IUCAKERBSA-N 0 3 245.775 2.652 20 0 BFADHN CCCN(CC)CC[S@](=O)c1ccccc1 ZINC000355432492 169122737 /nfs/dbraw/zinc/12/27/37/169122737.db2.gz DTPJUUDAYXCLCT-INIZCTEOSA-N 0 3 239.384 2.526 20 0 BFADHN O[C@H]1CCCN(Cc2ccc3occc3c2)CC1 ZINC000293894081 183235110 /nfs/dbraw/zinc/23/51/10/183235110.db2.gz DXKCBZZIIBQZHK-AWEZNQCLSA-N 0 3 245.322 2.780 20 0 BFADHN O[C@H]1CCCN([C@@H]2CCCc3ccc(F)cc32)C1 ZINC000130507383 183235854 /nfs/dbraw/zinc/23/58/54/183235854.db2.gz KAPKCSSSUJBBSL-DZGCQCFKSA-N 0 3 249.329 2.660 20 0 BFADHN O[C@H]1CCC[C@@H]1CNCc1sccc1Cl ZINC000308886838 183236985 /nfs/dbraw/zinc/23/69/85/183236985.db2.gz QAEFSVNGIQKORM-SCZZXKLOSA-N 0 3 245.775 2.652 20 0 BFADHN CCCN(CCC)Cc1cccc(OC)n1 ZINC000171859465 169149416 /nfs/dbraw/zinc/14/94/16/169149416.db2.gz PZDMUKXSCHZBLK-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CCCN(CCC)Cc1cnc([C@H](C)O)s1 ZINC000289174866 169150822 /nfs/dbraw/zinc/15/08/22/169150822.db2.gz SFXQWMGIDJATQM-JTQLQIEISA-N 0 3 242.388 2.818 20 0 BFADHN CCCN(CC)Cc1cccc(OC)c1OC ZINC000299428080 169130207 /nfs/dbraw/zinc/13/02/07/169130207.db2.gz UJMOEXXPOCDLEH-UHFFFAOYSA-N 0 3 237.343 2.936 20 0 BFADHN CCCN(CC)[C@@H](C)c1ccc(C(=O)OC)o1 ZINC000091780347 169131090 /nfs/dbraw/zinc/13/10/90/169131090.db2.gz DKHDGTPAENTGSL-JTQLQIEISA-N 0 3 239.315 2.859 20 0 BFADHN CCCN(CC)Cc1sccc1OC ZINC000269380999 169132045 /nfs/dbraw/zinc/13/20/45/169132045.db2.gz XRANKDPWEQBZML-UHFFFAOYSA-N 0 3 213.346 2.989 20 0 BFADHN Oc1ccc2c(c1)CN(CCCCCF)CC2 ZINC000341904021 183280104 /nfs/dbraw/zinc/28/01/04/183280104.db2.gz COHJWOQXLPLUOX-UHFFFAOYSA-N 0 3 237.318 2.890 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2CCCSC2)c1 ZINC000159625193 183259562 /nfs/dbraw/zinc/25/95/62/183259562.db2.gz LWZPZDBFQYKWTC-LLVKDONJSA-N 0 3 241.331 2.517 20 0 BFADHN CCCN(Cc1cc(C)on1)CC(C)C ZINC000299442658 169173385 /nfs/dbraw/zinc/17/33/85/169173385.db2.gz XGYIVEOMINOLAL-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN CCCN(Cc1cc(C)n(C)n1)CC(C)C ZINC000336643253 169173777 /nfs/dbraw/zinc/17/37/77/169173777.db2.gz AQAPDNGHMGENCC-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN CCCN(Cc1ccc(F)nc1C)C1CC1 ZINC000278520990 169174600 /nfs/dbraw/zinc/17/46/00/169174600.db2.gz YFHCKKLVOWRYOD-UHFFFAOYSA-N 0 3 222.307 2.904 20 0 BFADHN Oc1cccc(CN2CCC[C@H]2[C@@H]2CCCO2)c1 ZINC000284961413 183289959 /nfs/dbraw/zinc/28/99/59/183289959.db2.gz HZTBTNKBJSIRNT-GJZGRUSLSA-N 0 3 247.338 2.536 20 0 BFADHN Oc1cccc(CN[C@@H]2CC23CCCC3)c1 ZINC000336339987 183291087 /nfs/dbraw/zinc/29/10/87/183291087.db2.gz DZXUDDGMVHMQMF-CYBMUJFWSA-N 0 3 217.312 2.815 20 0 BFADHN Oc1cccc(CNCc2ccccc2)c1 ZINC000019899646 183291234 /nfs/dbraw/zinc/29/12/34/183291234.db2.gz VXAAUAOVBLREEE-UHFFFAOYSA-N 0 3 213.280 2.682 20 0 BFADHN Oc1cccc2c1CCC[C@@H]2N[C@@H]1CCCOC1 ZINC000191636172 183293712 /nfs/dbraw/zinc/29/37/12/183293712.db2.gz BOGIOEHQMOZKSP-RISCZKNCSA-N 0 3 247.338 2.538 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1CC[C@@H]1C1CCC1 ZINC000337993970 183319770 /nfs/dbraw/zinc/31/97/70/183319770.db2.gz FOKGLPJHDJMLAS-MCIONIFRSA-N 0 3 245.370 2.955 20 0 BFADHN CCCN1CCC(c2noc(C(C)C)n2)CC1 ZINC000366645056 169191646 /nfs/dbraw/zinc/19/16/46/169191646.db2.gz SMHQKVPEZTYUNR-UHFFFAOYSA-N 0 3 237.347 2.782 20 0 BFADHN CCCN1CCN(Cc2ccccc2)C(C)(C)C1 ZINC000303178941 169203744 /nfs/dbraw/zinc/20/37/44/169203744.db2.gz COYAIXZFUXAJMA-UHFFFAOYSA-N 0 3 246.398 2.993 20 0 BFADHN c1cc(CN(C2CCC2)C2CCC2)on1 ZINC000292430711 183338023 /nfs/dbraw/zinc/33/80/23/183338023.db2.gz NPUMETFDHAWWTO-UHFFFAOYSA-N 0 3 206.289 2.582 20 0 BFADHN c1cc(CN(CC2CCC2)C2CC2)ccn1 ZINC000093222007 183338072 /nfs/dbraw/zinc/33/80/72/183338072.db2.gz JSSHHBWUXXMFMM-UHFFFAOYSA-N 0 3 216.328 2.846 20 0 BFADHN c1cc2c(s1)CN(CCc1ccncc1)CC2 ZINC000132855911 183338083 /nfs/dbraw/zinc/33/80/83/183338083.db2.gz LUWJDOLYDREBEX-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN c1cc2nsnc2c(CN2CCCCC2)c1 ZINC000268849765 183340256 /nfs/dbraw/zinc/34/02/56/183340256.db2.gz BKEJUMVCBJUPOI-UHFFFAOYSA-N 0 3 233.340 2.677 20 0 BFADHN c1cc(CN2CCC[C@H]3CCCC[C@@H]32)n[nH]1 ZINC000336437054 183341808 /nfs/dbraw/zinc/34/18/08/183341808.db2.gz MIMPSDBFRDHFLN-YPMHNXCESA-N 0 3 219.332 2.564 20 0 BFADHN c1cc(CN2CCC[C@@H]3CCCC[C@H]32)n[nH]1 ZINC000336437053 183342512 /nfs/dbraw/zinc/34/25/12/183342512.db2.gz MIMPSDBFRDHFLN-WCQYABFASA-N 0 3 219.332 2.564 20 0 BFADHN c1cc2cccc(CNCCOCC3CC3)c2[nH]1 ZINC000224522147 183350288 /nfs/dbraw/zinc/35/02/88/183350288.db2.gz RLRGBBSYDUWSFY-UHFFFAOYSA-N 0 3 244.338 2.684 20 0 BFADHN c1cc2cccc(CN[C@@H]3CCCOC3)c2o1 ZINC000336222733 183351619 /nfs/dbraw/zinc/35/16/19/183351619.db2.gz GJXJYLIFYAJBIZ-CYBMUJFWSA-N 0 3 231.295 2.701 20 0 BFADHN c1cc(CN[C@H]2CCCC23CCCC3)on1 ZINC000328013276 183354042 /nfs/dbraw/zinc/35/40/42/183354042.db2.gz QNILBCAZVFSYBP-LBPRGKRZSA-N 0 3 220.316 2.877 20 0 BFADHN c1cc2c(s1)CN(C[C@@H]1CCCCO1)CC2 ZINC000132927338 183373111 /nfs/dbraw/zinc/37/31/11/183373111.db2.gz DXONJOZQIUVZOA-LBPRGKRZSA-N 0 3 237.368 2.675 20 0 BFADHN c1cc2c(s1)CCN(C[C@@H]1CCSC1)C2 ZINC000278394499 183373328 /nfs/dbraw/zinc/37/33/28/183373328.db2.gz FAHXPIJSHIIPAR-JTQLQIEISA-N 0 3 239.409 2.859 20 0 BFADHN c1cc2c(s1)CCC[C@@H]2N[C@H]1CCOC1 ZINC000070969448 183373386 /nfs/dbraw/zinc/37/33/86/183373386.db2.gz GNZCUDPTSCAOQO-ONGXEEELSA-N 0 3 223.341 2.504 20 0 BFADHN c1cc2cc(CN[C@@H]3CCCOC3)ccc2o1 ZINC000286603984 183374990 /nfs/dbraw/zinc/37/49/90/183374990.db2.gz RGQGVYHKYVIRSA-CYBMUJFWSA-N 0 3 231.295 2.701 20 0 BFADHN c1cc2ccc(CN[C@H]3CCCSC3)nc2[nH]1 ZINC000353216582 183375805 /nfs/dbraw/zinc/37/58/05/183375805.db2.gz GYWIXDMJIANPPD-LBPRGKRZSA-N 0 3 247.367 2.548 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1ccc(CC)cc1 ZINC000261900186 169284838 /nfs/dbraw/zinc/28/48/38/169284838.db2.gz WGCRZAXNKZHPIW-LLVKDONJSA-N 0 3 234.343 2.576 20 0 BFADHN CCCN[C@H](C)c1ccc(OC)cc1OC ZINC000019424209 169286901 /nfs/dbraw/zinc/28/69/01/169286901.db2.gz RUGXBSWDEFGBDG-SNVBAGLBSA-N 0 3 223.316 2.764 20 0 BFADHN c1cc2c(s1)CN(CCc1ccccn1)CC2 ZINC000132778236 183393080 /nfs/dbraw/zinc/39/30/80/183393080.db2.gz FFZWSJWWNUOYRF-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN CCCNCc1ccc(OC)cc1Cl ZINC000070187080 169266769 /nfs/dbraw/zinc/26/67/69/169266769.db2.gz LBEGQIIYEZVUJS-UHFFFAOYSA-N 0 3 213.708 2.848 20 0 BFADHN c1ccc(CN2CCC(C3CC3)CC2)nc1 ZINC000368341117 183397521 /nfs/dbraw/zinc/39/75/21/183397521.db2.gz WXEYITCFBGVTJC-UHFFFAOYSA-N 0 3 216.328 2.704 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1c(C)cccc1CC ZINC000295222319 169274613 /nfs/dbraw/zinc/27/46/13/169274613.db2.gz RCQAEXPAUTXCCF-LBPRGKRZSA-N 0 3 248.370 2.884 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1ccccc1Cl ZINC000261547119 169275053 /nfs/dbraw/zinc/27/50/53/169275053.db2.gz LWHUZZGMGQQGAA-VIFPVBQESA-N 0 3 240.734 2.667 20 0 BFADHN CCCO[C@@H]1CCCN(Cc2cccnc2)CC1 ZINC000108392889 169312347 /nfs/dbraw/zinc/31/23/47/169312347.db2.gz VPTJHHSVMGZZKE-OAHLLOKOSA-N 0 3 248.370 2.863 20 0 BFADHN c1ccc2c(c1)SCC[C@H]2NCCN1CCC1 ZINC000358345185 183454113 /nfs/dbraw/zinc/45/41/13/183454113.db2.gz YOQWUZVZIPLDBG-CYBMUJFWSA-N 0 3 248.395 2.519 20 0 BFADHN CCCOc1ccc(NC2CCN(C)CC2)cc1 ZINC000051785091 169320262 /nfs/dbraw/zinc/32/02/62/169320262.db2.gz VPYCGDFOUNDILF-UHFFFAOYSA-N 0 3 248.370 2.982 20 0 BFADHN CCCOc1cccc(CN[C@]2(C)CCOC2)c1 ZINC000120237693 169325061 /nfs/dbraw/zinc/32/50/61/169325061.db2.gz JOBWSRRLBNOFES-OAHLLOKOSA-N 0 3 249.354 2.744 20 0 BFADHN CCC[C@@H](C)N(C)Cc1ccc(F)cn1 ZINC000276358079 169364891 /nfs/dbraw/zinc/36/48/91/169364891.db2.gz LORVMDPWABKUGR-SNVBAGLBSA-N 0 3 210.296 2.841 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnc(N(C)C)s1 ZINC000271090259 169365124 /nfs/dbraw/zinc/36/51/24/169365124.db2.gz VTSXXRKFGWVONG-SNVBAGLBSA-N 0 3 241.404 2.830 20 0 BFADHN CCC[C@@H](C)N(C)Cc1c(C)nn(C)c1C ZINC000337209956 169365464 /nfs/dbraw/zinc/36/54/64/169365464.db2.gz BNRLRUGOXRCWAC-SNVBAGLBSA-N 0 3 223.364 2.657 20 0 BFADHN CCC[C@@H](C)N(C)Cc1conc1CC ZINC000293553410 169365470 /nfs/dbraw/zinc/36/54/70/169365470.db2.gz ZIYDRQPHLRLFTE-SNVBAGLBSA-N 0 3 210.321 2.857 20 0 BFADHN CCC[C@@H](C)N1CCN(Cc2ccccc2)CC1 ZINC000336713875 169366993 /nfs/dbraw/zinc/36/69/93/169366993.db2.gz NVYRBWXJYGWNCW-OAHLLOKOSA-N 0 3 246.398 2.993 20 0 BFADHN CCC[C@@H](C)C(=O)Nc1ccccc1CNC ZINC000036280405 169353472 /nfs/dbraw/zinc/35/34/72/169353472.db2.gz JMPZWYOOTUQCDY-LLVKDONJSA-N 0 3 234.343 2.781 20 0 BFADHN CCC[C@@H](C)CN[C@H](c1nccn1C)C1CC1 ZINC000353036342 169361203 /nfs/dbraw/zinc/36/12/03/169361203.db2.gz RBSYTSLNCQCIGM-YPMHNXCESA-N 0 3 235.375 2.897 20 0 BFADHN CCC[C@@H](N)C(=O)N(C)c1cccc(C(C)C)c1 ZINC000155734185 169387226 /nfs/dbraw/zinc/38/72/26/169387226.db2.gz DQNJTQXHBFNWNY-CQSZACIVSA-N 0 3 248.370 2.900 20 0 BFADHN CCC[C@@H](N)C(=O)N(CC1CC1)c1ccccc1 ZINC000119427262 169387272 /nfs/dbraw/zinc/38/72/72/169387272.db2.gz KWSWNQHMIKTFFZ-CQSZACIVSA-N 0 3 246.354 2.557 20 0 BFADHN CCC[C@@H](N)C(=O)N1CCC[C@@](C)(CCC)C1 ZINC000236906554 169387885 /nfs/dbraw/zinc/38/78/85/169387885.db2.gz YJBOHLMZPIQNMW-TZMCWYRMSA-N 0 3 240.391 2.543 20 0 BFADHN CCC[C@@H](C)[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000337355011 169371170 /nfs/dbraw/zinc/37/11/70/169371170.db2.gz BSTHPHWEKLBWHH-DGCLKSJQSA-N 0 3 244.342 2.672 20 0 BFADHN CCC[C@@H](C)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000337355011 169371171 /nfs/dbraw/zinc/37/11/71/169371171.db2.gz BSTHPHWEKLBWHH-DGCLKSJQSA-N 0 3 244.342 2.672 20 0 BFADHN CCC[C@@H](CC)NCc1cc(C(=O)OC)co1 ZINC000130775066 169379031 /nfs/dbraw/zinc/37/90/31/169379031.db2.gz WIRHSDJPCPXNLS-LLVKDONJSA-N 0 3 239.315 2.735 20 0 BFADHN CCC[C@@H](CC)NCc1csc(COC)n1 ZINC000164506355 169379414 /nfs/dbraw/zinc/37/94/14/169379414.db2.gz UBUBOILHAWPLDH-SNVBAGLBSA-N 0 3 242.388 2.958 20 0 BFADHN CCC[C@@H](CC)N[C@@H](C)c1cc(C)n(C)n1 ZINC000310037718 169379455 /nfs/dbraw/zinc/37/94/55/169379455.db2.gz VKNYHSUTGBCGJN-NWDGAFQWSA-N 0 3 223.364 2.958 20 0 BFADHN CCC[C@@H](CC)NCc1snnc1C ZINC000336694546 169379487 /nfs/dbraw/zinc/37/94/87/169379487.db2.gz RTMFYOYIYCDWRS-SECBINFHSA-N 0 3 213.350 2.515 20 0 BFADHN CCC[C@@H](CC)NCc1ncnn1C(C)(C)C ZINC000337386596 169379516 /nfs/dbraw/zinc/37/95/16/169379516.db2.gz DLXGRGOFXWARDK-LLVKDONJSA-N 0 3 238.379 2.701 20 0 BFADHN CCC[C@@H](N)C(=O)N1CCC[C@](C)(CCC)C1 ZINC000236908158 169388268 /nfs/dbraw/zinc/38/82/68/169388268.db2.gz YJBOHLMZPIQNMW-OCCSQVGLSA-N 0 3 240.391 2.543 20 0 BFADHN CCC[C@@H](CNCc1cc(F)cc(F)c1)OC ZINC000293711646 169384530 /nfs/dbraw/zinc/38/45/30/169384530.db2.gz PSYQAVVBSKZSPT-ZDUSSCGKSA-N 0 3 243.297 2.870 20 0 BFADHN CCC[C@@H](CNCc1cccc(OC)c1)OC ZINC000293582841 169384680 /nfs/dbraw/zinc/38/46/80/169384680.db2.gz FMIQZUGURGQXAT-AWEZNQCLSA-N 0 3 237.343 2.600 20 0 BFADHN CCC[C@@H](N)C(=O)N(C)[C@@H](C)c1ccccc1C ZINC000269073443 169386626 /nfs/dbraw/zinc/38/66/26/169386626.db2.gz BELPEKSOQBKFLH-GXTWGEPZSA-N 0 3 248.370 2.642 20 0 BFADHN CCC[C@@H](N)C(=O)NCCCC1CCCCC1 ZINC000236942062 169389539 /nfs/dbraw/zinc/38/95/39/169389539.db2.gz XYWVBJCKLTWCKM-CYBMUJFWSA-N 0 3 240.391 2.591 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1ccc2[nH]c(C)cc2c1 ZINC000236808093 169391468 /nfs/dbraw/zinc/39/14/68/169391468.db2.gz YHOWKXUGWSODHC-GFCCVEGCSA-N 0 3 245.326 2.542 20 0 BFADHN CCC[C@@H](N)C(=O)N[C@@H](C)c1ccc(C)cc1C ZINC000037765409 169390589 /nfs/dbraw/zinc/39/05/89/169390589.db2.gz LJCRVNDESYWPCB-GXTWGEPZSA-N 0 3 248.370 2.608 20 0 BFADHN C[C@@]1(NCc2ccc(F)c(F)c2)CCCOC1 ZINC000221369775 134323601 /nfs/dbraw/zinc/32/36/01/134323601.db2.gz KPPGSRILYSOTOX-CYBMUJFWSA-N 0 3 241.281 2.624 20 0 BFADHN CCC[C@@H](NC[C@H](CC)OC)c1ccccn1 ZINC000353557678 169401447 /nfs/dbraw/zinc/40/14/47/169401447.db2.gz HSVFOKVDLWZHCM-QWHCGFSZSA-N 0 3 236.359 2.937 20 0 BFADHN CCC[C@H](N)C(=O)N1CCC[C@@](C)(CCC)C1 ZINC000236804440 169500404 /nfs/dbraw/zinc/50/04/04/169500404.db2.gz YJBOHLMZPIQNMW-GXTWGEPZSA-N 0 3 240.391 2.543 20 0 BFADHN C[C@H](NC[C@H](O)C(F)F)c1ccc(Cl)cc1 ZINC000224472902 134347761 /nfs/dbraw/zinc/34/77/61/134347761.db2.gz RYCOBKHBTLDDEG-XVKPBYJWSA-N 0 3 249.688 2.617 20 0 BFADHN Cc1nc(CNC[C@H]2CCSC2)sc1C ZINC000226383787 134364642 /nfs/dbraw/zinc/36/46/42/134364642.db2.gz SEJXINNDNZFAPX-SNVBAGLBSA-N 0 3 242.413 2.603 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCC[C@H]2CCO)o1 ZINC000250119645 259639148 /nfs/dbraw/zinc/63/91/48/259639148.db2.gz VALFJVOAULMBTM-RWMBFGLXSA-N 0 3 237.343 2.790 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCSC2)o1 ZINC000035013404 383825281 /nfs/dbraw/zinc/82/52/81/383825281.db2.gz JUPDFXRURFIUNV-UWVGGRQHSA-N 0 3 211.330 2.744 20 0 BFADHN CC[C@](C)(CCO)NCc1cccc(C)c1F ZINC000295693305 383826371 /nfs/dbraw/zinc/82/63/71/383826371.db2.gz LZYQFSGHHASCAL-CQSZACIVSA-N 0 3 239.334 2.775 20 0 BFADHN CCc1ccc(CN[C@H]2C[C@H](OC)C2(C)C)nc1 ZINC000345115278 383836803 /nfs/dbraw/zinc/83/68/03/383836803.db2.gz IQPOFEMYVTZAKU-KBPBESRZSA-N 0 3 248.370 2.547 20 0 BFADHN Cc1noc(C)c1CN[C@H](C)CC1CC1 ZINC000069664168 383838443 /nfs/dbraw/zinc/83/84/43/383838443.db2.gz LKIOHIXTODEOJO-MRVPVSSYSA-N 0 3 208.305 2.570 20 0 BFADHN CC(C)(C)c1noc([C@@H]2C[C@H]3CCCC[C@@H]3N2)n1 ZINC000020551943 383879399 /nfs/dbraw/zinc/87/93/99/383879399.db2.gz UOSDORKRGSIGGG-VWYCJHECSA-N 0 3 249.358 2.960 20 0 BFADHN C[C@@H](NCC1=CCCC1)c1cccnc1 ZINC000401056172 383843870 /nfs/dbraw/zinc/84/38/70/383843870.db2.gz RVHDQQXIVLIUML-LLVKDONJSA-N 0 3 202.301 2.843 20 0 BFADHN COC[C@H](NCc1cccc(Cl)c1)C1CC1 ZINC000087480164 383845804 /nfs/dbraw/zinc/84/58/04/383845804.db2.gz XJUDBYBMSAAKTM-ZDUSSCGKSA-N 0 3 239.746 2.855 20 0 BFADHN COC[C@@H](NCc1cccc(Cl)c1)C1CC1 ZINC000087480166 383846133 /nfs/dbraw/zinc/84/61/33/383846133.db2.gz XJUDBYBMSAAKTM-CYBMUJFWSA-N 0 3 239.746 2.855 20 0 BFADHN Cc1csc(CNCCc2ccsc2)n1 ZINC000069768693 383846367 /nfs/dbraw/zinc/84/63/67/383846367.db2.gz ZANWKZBXPOXWGP-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN CC[C@H](CO)N[C@H](C)c1ccc(Cl)s1 ZINC000035286316 383848819 /nfs/dbraw/zinc/84/88/19/383848819.db2.gz UXMGTHVNTHRAJM-HTQZYQBOSA-N 0 3 233.764 2.823 20 0 BFADHN c1c[nH]c(CN[C@H]2CCC[C@H]2c2ccccc2)n1 ZINC000111412848 383860731 /nfs/dbraw/zinc/86/07/31/383860731.db2.gz ZVQDIDJFXARGQF-KBPBESRZSA-N 0 3 241.338 2.836 20 0 BFADHN CCN(CC)CC(=O)N[C@H](C)c1ccccc1C ZINC000066406219 383860875 /nfs/dbraw/zinc/86/08/75/383860875.db2.gz GEIUPEVTYMENIL-CYBMUJFWSA-N 0 3 248.370 2.514 20 0 BFADHN C[C@@H](O)CN[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000035601922 383862475 /nfs/dbraw/zinc/86/24/75/383862475.db2.gz QQPZPJAZZRBRSC-BDAKNGLRSA-N 0 3 247.260 2.737 20 0 BFADHN Cc1c[nH]nc1CN1CC(C)(C)[C@@H]2CCC[C@@H]21 ZINC000336426376 383866343 /nfs/dbraw/zinc/86/63/43/383866343.db2.gz ZXYHAEVLJJCQCV-YPMHNXCESA-N 0 3 233.359 2.729 20 0 BFADHN Cc1c[nH]nc1CN1CC(C)(C)[C@H]2CCC[C@@H]21 ZINC000336426373 383866479 /nfs/dbraw/zinc/86/64/79/383866479.db2.gz ZXYHAEVLJJCQCV-AAEUAGOBSA-N 0 3 233.359 2.729 20 0 BFADHN CC[C@](C)(O)CNCc1ccc(F)c(Cl)c1 ZINC000088041068 383873267 /nfs/dbraw/zinc/87/32/67/383873267.db2.gz CDMFVXQVRDJIIA-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@](C)(CO)NCc1ccc(F)c(Cl)c1 ZINC000088041055 383873728 /nfs/dbraw/zinc/87/37/28/383873728.db2.gz YLZRKTMGIBBEDW-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@H](CCO)CN[C@H](C)c1ccc(C)o1 ZINC000087362841 383829506 /nfs/dbraw/zinc/82/95/06/383829506.db2.gz RQQUMNDKHDHMON-NEPJUHHUSA-N 0 3 225.332 2.647 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1cccc(OC)c1 ZINC000560001242 383833935 /nfs/dbraw/zinc/83/39/35/383833935.db2.gz MHBPFFZADWNLQL-DDTOSNHZSA-N 0 3 235.327 2.523 20 0 BFADHN CCC[C@@H](N[C@@H](C)C(=O)N(C)C)c1ccccc1 ZINC000035139290 383834329 /nfs/dbraw/zinc/83/43/29/383834329.db2.gz VQOFXDBBIBWNND-GXTWGEPZSA-N 0 3 248.370 2.594 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1ncnn1C(C)C ZINC000348183971 383934418 /nfs/dbraw/zinc/93/44/18/383934418.db2.gz NPIBOVYCFJCYHD-NWDGAFQWSA-N 0 3 236.363 2.527 20 0 BFADHN CCCC1CCC(NCc2ccn(C)n2)CC1 ZINC000112342602 383919474 /nfs/dbraw/zinc/91/94/74/383919474.db2.gz BOYKBQJSDXCIBT-UHFFFAOYSA-N 0 3 235.375 2.869 20 0 BFADHN CCc1ccc([C@@H](C)NCc2ccnn2C)cc1 ZINC000036958546 383920837 /nfs/dbraw/zinc/92/08/37/383920837.db2.gz YKBIWBWYRVZBHV-GFCCVEGCSA-N 0 3 243.354 2.833 20 0 BFADHN C[C@H](N[C@H]1CCO[C@H]1C)c1cccc(F)c1F ZINC000090963761 383921092 /nfs/dbraw/zinc/92/10/92/383921092.db2.gz ABJLCFVJAGJCNT-AUTRQRHGSA-N 0 3 241.281 2.793 20 0 BFADHN C[C@@H](Cc1ccoc1)N[C@@H](CO)c1ccccc1 ZINC000449142519 383922474 /nfs/dbraw/zinc/92/24/74/383922474.db2.gz OFIZTLUUTDHFPH-WFASDCNBSA-N 0 3 245.322 2.534 20 0 BFADHN CC[C@@H](Cc1ccccc1)NCc1ccn(C)n1 ZINC000112466653 383924943 /nfs/dbraw/zinc/92/49/43/383924943.db2.gz BAFKGDXKKGYTLU-AWEZNQCLSA-N 0 3 243.354 2.531 20 0 BFADHN CC(C)[C@@H]1CCC[C@H](NCc2cnccn2)C1 ZINC000518482179 383935575 /nfs/dbraw/zinc/93/55/75/383935575.db2.gz BKOXBOOATWYBSM-OLZOCXBDSA-N 0 3 233.359 2.781 20 0 BFADHN COCC[C@@H](C)N1Cc2ccccc2O[C@@H](C)C1 ZINC000292347772 383888144 /nfs/dbraw/zinc/88/81/44/383888144.db2.gz HGSWSDKLOKIDDC-OLZOCXBDSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccc([C@@H](C)N[C@H]2C[C@@H]2C(F)F)cc1 ZINC000558257939 383888599 /nfs/dbraw/zinc/88/85/99/383888599.db2.gz MPHAIFWWPHWQRK-ZHAHWJHGSA-N 0 3 241.281 2.999 20 0 BFADHN C(N[C@@H]1CCC[C@@H]2C[C@@H]21)c1nc2c(s1)CCC2 ZINC000647252019 383895650 /nfs/dbraw/zinc/89/56/50/383895650.db2.gz RMICWJDTCQXXNK-OUAUKWLOSA-N 0 3 248.395 2.910 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC2CCOCC2)o1 ZINC000036319243 383896308 /nfs/dbraw/zinc/89/63/08/383896308.db2.gz QVOVQUCMLKTHRZ-ZWNOBZJWSA-N 0 3 235.327 2.672 20 0 BFADHN COc1ccc(C)cc1CN1C[C@@H](C)[C@H]1C ZINC000559350010 383898225 /nfs/dbraw/zinc/89/82/25/383898225.db2.gz YIMDAHRZZXTUPI-VXGBXAGGSA-N 0 3 219.328 2.844 20 0 BFADHN CC[C@@H]1CCCC[C@H]1CNCc1ccon1 ZINC000401744800 383900182 /nfs/dbraw/zinc/90/01/82/383900182.db2.gz LCLARPGVTBCYCT-NEPJUHHUSA-N 0 3 222.332 2.981 20 0 BFADHN CCN(CC(=O)Nc1ccccc1C)CC1CC1 ZINC000112210542 383902728 /nfs/dbraw/zinc/90/27/28/383902728.db2.gz BZTPCDHBBFGJBB-UHFFFAOYSA-N 0 3 246.354 2.665 20 0 BFADHN CCN(Cc1ccc(C(=O)OC)s1)C(C)C ZINC000163319511 383979693 /nfs/dbraw/zinc/97/96/93/383979693.db2.gz OYKSRCLYRSUKNP-UHFFFAOYSA-N 0 3 241.356 2.765 20 0 BFADHN C[C@H](NCCc1ccncc1)c1ccco1 ZINC000037491944 383982455 /nfs/dbraw/zinc/98/24/55/383982455.db2.gz VAXKGCDUPXBASF-NSHDSACASA-N 0 3 216.284 2.568 20 0 BFADHN C[C@@H](NC[C@H]1CCOC1)c1cc(F)ccc1F ZINC000037507808 383983446 /nfs/dbraw/zinc/98/34/46/383983446.db2.gz ZCTZVTUTWJWXGE-NXEZZACHSA-N 0 3 241.281 2.652 20 0 BFADHN C[C@@H](NC[C@H]1CCOC1)c1ccc(F)cc1F ZINC000037508062 383983639 /nfs/dbraw/zinc/98/36/39/383983639.db2.gz YHUCVMJDZZQRHF-NXEZZACHSA-N 0 3 241.281 2.652 20 0 BFADHN CC[C@@H](O)[C@@H](CC)NCc1ccc(Cl)o1 ZINC000313168653 383984777 /nfs/dbraw/zinc/98/47/77/383984777.db2.gz USCVDICFTXAFPP-NXEZZACHSA-N 0 3 231.723 2.572 20 0 BFADHN CN(CCCCCn1cccn1)Cc1ccco1 ZINC000068455909 383992614 /nfs/dbraw/zinc/99/26/14/383992614.db2.gz HUGINZBDZZBDIY-UHFFFAOYSA-N 0 3 247.342 2.778 20 0 BFADHN CO[C@H]1C[C@@H](N(C)C/C=C\c2ccc(F)cc2)C1 ZINC000558413015 383992691 /nfs/dbraw/zinc/99/26/91/383992691.db2.gz YICJHSJJZAHGAR-JQBDECOXSA-N 0 3 249.329 2.948 20 0 BFADHN Fc1cccc(CN[C@H]2C[C@@H]2C(F)F)c1 ZINC000348215210 383940989 /nfs/dbraw/zinc/94/09/89/383940989.db2.gz FBCDUZQJTNIVEO-UWVGGRQHSA-N 0 3 215.218 2.569 20 0 BFADHN CCO[C@H]1CCN(Cc2cccnc2C)C[C@@H]1C ZINC000449500929 383945915 /nfs/dbraw/zinc/94/59/15/383945915.db2.gz VKIIGQYSYZLOSN-WFASDCNBSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cnc(CN[C@@H]2C[C@@H](C)[C@H]2C)s1 ZINC000306383792 383947445 /nfs/dbraw/zinc/94/74/45/383947445.db2.gz VLVBRZJQMCZBPQ-SZEHBUNVSA-N 0 3 210.346 2.586 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](C)Cn2cccn2)cc1 ZINC000037155452 383948980 /nfs/dbraw/zinc/94/89/80/383948980.db2.gz FFEUUVXGOMPPCB-UONOGXRCSA-N 0 3 243.354 2.931 20 0 BFADHN CSCCCN[C@@H](C)c1nccs1 ZINC000070633975 383949122 /nfs/dbraw/zinc/94/91/22/383949122.db2.gz HEPLTVFZXBJVNA-QMMMGPOBSA-N 0 3 216.375 2.547 20 0 BFADHN CC[C@H](COC)NCc1ccc(Cl)s1 ZINC000070656849 383951756 /nfs/dbraw/zinc/95/17/56/383951756.db2.gz FENXRYBSOSIFHN-MRVPVSSYSA-N 0 3 233.764 2.916 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1scnc1C ZINC000070657907 383952199 /nfs/dbraw/zinc/95/21/99/383952199.db2.gz HVKHQASWCDQWPA-ZJUUUORDSA-N 0 3 228.361 2.527 20 0 BFADHN Clc1ccsc1CNC[C@@H]1CCOC1 ZINC000278438378 384000555 /nfs/dbraw/zinc/00/05/55/384000555.db2.gz UBRTZSICYCCHIO-QMMMGPOBSA-N 0 3 231.748 2.528 20 0 BFADHN COC[C@@H](C)NCc1ccc(OC(C)C)cc1 ZINC000037196126 383954976 /nfs/dbraw/zinc/95/49/76/383954976.db2.gz CFPNIKQMVKNZLO-GFCCVEGCSA-N 0 3 237.343 2.598 20 0 BFADHN C[C@@H]1C[C@H](NC[C@@H]2CC2(C)C)c2nccn21 ZINC000345361811 383964805 /nfs/dbraw/zinc/96/48/05/383964805.db2.gz USXZQLMKVDEAAY-VWYCJHECSA-N 0 3 219.332 2.525 20 0 BFADHN C[C@H](CCc1ccco1)N[C@@H]1CCn2ccnc21 ZINC000293862382 383967133 /nfs/dbraw/zinc/96/71/33/383967133.db2.gz DIOFEVSIIUVWQL-DGCLKSJQSA-N 0 3 245.326 2.532 20 0 BFADHN CC[C@H](N)C(=O)N(C)Cc1ccc(C(C)C)cc1 ZINC000037804892 384020302 /nfs/dbraw/zinc/02/03/02/384020302.db2.gz KYDMHRILANOJMA-AWEZNQCLSA-N 0 3 248.370 2.506 20 0 BFADHN Cc1ccc([C@H](C)NC(=O)[C@@H](N)CC(C)C)cc1 ZINC000037764952 384009601 /nfs/dbraw/zinc/00/96/01/384009601.db2.gz GUTGYOATVVZOEK-JSGCOSHPSA-N 0 3 248.370 2.546 20 0 BFADHN CCC1CC(N[C@H](CO)c2ccccc2OC)C1 ZINC000560246607 384014600 /nfs/dbraw/zinc/01/46/00/384014600.db2.gz QDNHTQFYZVZTES-ORHYLEIMSA-N 0 3 249.354 2.507 20 0 BFADHN CCCCNCc1ccn(-c2ccccc2)n1 ZINC000037927308 384044171 /nfs/dbraw/zinc/04/41/71/384044171.db2.gz GNKVJVRPRGBXFW-UHFFFAOYSA-N 0 3 229.327 2.762 20 0 BFADHN CCOc1cccc(CN[C@@H]2CC[C@@H]3C[C@@H]3C2)n1 ZINC000647256348 384045067 /nfs/dbraw/zinc/04/50/67/384045067.db2.gz OKJLZFVPTIVWJM-JHJVBQTASA-N 0 3 246.354 2.759 20 0 BFADHN CCc1nn(C)cc1CN1CC[C@@H](C)C[C@H]1C ZINC000644906106 384030039 /nfs/dbraw/zinc/03/00/39/384030039.db2.gz OOUCCXCAFGMKKK-VXGBXAGGSA-N 0 3 235.375 2.603 20 0 BFADHN CCC[C@@H](NCCCF)c1ccccn1 ZINC000090522097 384031195 /nfs/dbraw/zinc/03/11/95/384031195.db2.gz MEXRPFDHZFHKSM-LLVKDONJSA-N 0 3 210.296 2.872 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3ccc(F)cc32)[C@H](C)O1 ZINC000560278449 384031609 /nfs/dbraw/zinc/03/16/09/384031609.db2.gz ROLIIYGJLDBWLH-MMDVMMEASA-N 0 3 249.329 2.969 20 0 BFADHN CC[C@H](O)CN(C)[C@@H](C)c1cccs1 ZINC000049728097 384032433 /nfs/dbraw/zinc/03/24/33/384032433.db2.gz GUNFUKLLFDKXKC-UWVGGRQHSA-N 0 3 213.346 2.512 20 0 BFADHN Cc1ccc(F)c(CN[C@@H](CO)C(C)(C)C)c1 ZINC000278616442 384035493 /nfs/dbraw/zinc/03/54/93/384035493.db2.gz GXMDLVWECWYFAM-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCc3sccc32)CO1 ZINC000401807966 384038268 /nfs/dbraw/zinc/03/82/68/384038268.db2.gz ZMQXSMDCYPCBFU-SCVCMEIPSA-N 0 3 237.368 2.893 20 0 BFADHN Cc1csc(CNCCc2cccs2)n1 ZINC000049829403 384053113 /nfs/dbraw/zinc/05/31/13/384053113.db2.gz QBSISRCNSNAOER-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@@H](O)C1 ZINC000114486523 384039640 /nfs/dbraw/zinc/03/96/40/384039640.db2.gz ADTNONKWNQXKEP-CHWSQXEVSA-N 0 3 239.746 2.858 20 0 BFADHN COc1cc(C)ccc1CNC1CCC1 ZINC000075131126 384040657 /nfs/dbraw/zinc/04/06/57/384040657.db2.gz YVGDTGBSUNHACR-UHFFFAOYSA-N 0 3 205.301 2.646 20 0 BFADHN Clc1ncccc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000132030300 384042113 /nfs/dbraw/zinc/04/21/13/384042113.db2.gz QUSLAZCLHFZDDT-PHIMTYICSA-N 0 3 236.746 2.967 20 0 BFADHN CC[C@H](NC[C@@H](C)C(C)C)c1ccn(C)n1 ZINC000309474502 384092420 /nfs/dbraw/zinc/09/24/20/384092420.db2.gz RKNCSAWNGUTAFA-NEPJUHHUSA-N 0 3 223.364 2.753 20 0 BFADHN CC(C)CC[C@@H](C)NCc1ncc(Cl)n1C ZINC000070184461 384086054 /nfs/dbraw/zinc/08/60/54/384086054.db2.gz HYMRXDRSJADJLJ-SNVBAGLBSA-N 0 3 243.782 2.988 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@@H]1C)c1cccc(F)c1F ZINC000090963758 384086187 /nfs/dbraw/zinc/08/61/87/384086187.db2.gz ABJLCFVJAGJCNT-YGOYTEALSA-N 0 3 241.281 2.793 20 0 BFADHN CC[C@H]1CCN1Cc1nc2ccccc2nc1C ZINC000292485861 384087611 /nfs/dbraw/zinc/08/76/11/384087611.db2.gz SMFMCNXEGCUPCV-LBPRGKRZSA-N 0 3 241.338 2.923 20 0 BFADHN CO[C@H](CN[C@H]1CCCc2occc21)C(C)C ZINC000278636925 384056996 /nfs/dbraw/zinc/05/69/96/384056996.db2.gz HHDUTQQXXRNWQI-GXTWGEPZSA-N 0 3 237.343 2.918 20 0 BFADHN Nc1ccncc1-c1ccc(F)c(F)c1F ZINC000069841195 384065241 /nfs/dbraw/zinc/06/52/41/384065241.db2.gz YWPOLUKHNUTYSZ-UHFFFAOYSA-N 0 3 224.185 2.748 20 0 BFADHN CO[C@@H]1C[C@H](NCc2ccc(Cl)o2)C1(C)C ZINC000227828483 134389696 /nfs/dbraw/zinc/38/96/96/134389696.db2.gz NJKGUEWZBHTTIC-VHSXEESVSA-N 0 3 243.734 2.836 20 0 BFADHN CO[C@@H](CN[C@H]1CCc2ccc(F)cc21)C1CC1 ZINC000293864593 384074322 /nfs/dbraw/zinc/07/43/22/384074322.db2.gz ZFPQVXBYSYAIKV-GJZGRUSLSA-N 0 3 249.329 2.828 20 0 BFADHN C[C@H](NCC1(C2CC2)CC1)c1ccccn1 ZINC000090772824 384074489 /nfs/dbraw/zinc/07/44/89/384074489.db2.gz JEFDONZQXVCRGG-NSHDSACASA-N 0 3 216.328 2.922 20 0 BFADHN Cc1nc(C)c([C@H](C)NCc2ccn(C)c2)s1 ZINC000091008691 384092254 /nfs/dbraw/zinc/09/22/54/384092254.db2.gz RHWKKPMEKVSUJS-VIFPVBQESA-N 0 3 249.383 2.949 20 0 BFADHN FC(F)(F)C1CCN(CC2CC2)CC1 ZINC000336553186 384113677 /nfs/dbraw/zinc/11/36/77/384113677.db2.gz RTQGVUVJTKVYER-UHFFFAOYSA-N 0 3 207.239 2.671 20 0 BFADHN C[C@H](NC[C@@H]1CCCC[C@H]1C)c1cnccn1 ZINC000070479516 384114555 /nfs/dbraw/zinc/11/45/55/384114555.db2.gz PJBSYJQLDBNHIH-AGIUHOORSA-N 0 3 233.359 2.954 20 0 BFADHN CC[C@H](N)c1cn(CCC2CCCCC2)nn1 ZINC000302297108 384133608 /nfs/dbraw/zinc/13/36/08/384133608.db2.gz YWWDFXGZEHFGMJ-LBPRGKRZSA-N 0 3 236.363 2.658 20 0 BFADHN C[C@@H](NCC1(C)COC1)c1ccccc1F ZINC000070559426 384119213 /nfs/dbraw/zinc/11/92/13/384119213.db2.gz SRCLQNKFJRAPOD-SNVBAGLBSA-N 0 3 223.291 2.513 20 0 BFADHN CC[C@H](COC)N[C@@H]1CCCc2occc21 ZINC000070623792 384124973 /nfs/dbraw/zinc/12/49/73/384124973.db2.gz KAEDMEWPMAZSKN-ZYHUDNBSSA-N 0 3 223.316 2.672 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1ccc(C)o1 ZINC000132169828 384128841 /nfs/dbraw/zinc/12/88/41/384128841.db2.gz UQZXLIYCNFYSEQ-HBNTYKKESA-N 0 3 211.305 2.662 20 0 BFADHN CC[C@H](COC)NCc1ccc(F)c(Cl)c1 ZINC000070656781 384129529 /nfs/dbraw/zinc/12/95/29/384129529.db2.gz OLEUDBBVSKMFFY-SNVBAGLBSA-N 0 3 245.725 2.994 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1sc(C)nc1C ZINC000070656678 384129564 /nfs/dbraw/zinc/12/95/64/384129564.db2.gz CODSWDYIAOLMFS-ONGXEEELSA-N 0 3 242.388 2.836 20 0 BFADHN CC[C@H](COC)NCc1ccc(F)cc1Cl ZINC000070658460 384130224 /nfs/dbraw/zinc/13/02/24/384130224.db2.gz DGNAQXMXJPDSEK-LLVKDONJSA-N 0 3 245.725 2.994 20 0 BFADHN COC(=O)c1ccc([C@H](C)N2CCC[C@H]2C)o1 ZINC000091528046 384130824 /nfs/dbraw/zinc/13/08/24/384130824.db2.gz FETAXRAFGZCEAR-ZJUUUORDSA-N 0 3 237.299 2.612 20 0 BFADHN CC[C@@H](COC)N[C@H](C)c1ccccc1F ZINC000070656636 384131045 /nfs/dbraw/zinc/13/10/45/384131045.db2.gz WBPKPZSNQRCLAL-MNOVXSKESA-N 0 3 225.307 2.901 20 0 BFADHN CCOc1ccccc1CN[C@@H]1C[C@H](C)O[C@H]1C ZINC000296477355 384147800 /nfs/dbraw/zinc/14/78/00/384147800.db2.gz PWDZLDFPSMYPFA-SGMGOOAPSA-N 0 3 249.354 2.741 20 0 BFADHN CC[C@@H](C)CN(C)Cc1ccc(COC)o1 ZINC000091796030 384148465 /nfs/dbraw/zinc/14/84/65/384148465.db2.gz XHBRFEIGUJDIIY-LLVKDONJSA-N 0 3 225.332 2.904 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1cccc(F)c1 ZINC000071011680 384148616 /nfs/dbraw/zinc/14/86/16/384148616.db2.gz ZCZHAGVLNDKCAV-MFKMUULPSA-N 0 3 223.291 2.655 20 0 BFADHN Fc1ccc(F)c(CNC[C@H]2CCCS2)c1 ZINC000087473157 384149292 /nfs/dbraw/zinc/14/92/92/384149292.db2.gz LQZJHFPWXIIYNH-LLVKDONJSA-N 0 3 243.322 2.950 20 0 BFADHN CSCCCN1CCc2cc(F)ccc2C1 ZINC000091892055 384150395 /nfs/dbraw/zinc/15/03/95/384150395.db2.gz HXLHRMXYHQXHTK-UHFFFAOYSA-N 0 3 239.359 2.937 20 0 BFADHN CO[C@H](CC(C)C)CN(C)CCOC(C)(C)C ZINC000642693883 384150663 /nfs/dbraw/zinc/15/06/63/384150663.db2.gz OBFKUEKHKMNZRN-CYBMUJFWSA-N 0 3 245.407 2.794 20 0 BFADHN Cn1cccc1[C@H]1CCCN1C[C@@H]1CCCCO1 ZINC000071122639 384150752 /nfs/dbraw/zinc/15/07/52/384150752.db2.gz SBUDMNVIRKCHLM-DZGCQCFKSA-N 0 3 248.370 2.731 20 0 BFADHN CCc1cccc(NC(=O)[C@@H](N)C(C)(C)C)c1 ZINC000040458567 384152806 /nfs/dbraw/zinc/15/28/06/384152806.db2.gz SNDLRCOURXRDCI-GFCCVEGCSA-N 0 3 234.343 2.561 20 0 BFADHN CC[C@H]1CCN(Cc2cccc(OC)c2OC)C1 ZINC000091929809 384154105 /nfs/dbraw/zinc/15/41/05/384154105.db2.gz VSKOLZKLPUOVID-LBPRGKRZSA-N 0 3 249.354 2.936 20 0 BFADHN CC[C@H]1CCN(Cc2ccc(OC)c(OC)c2)C1 ZINC000091929954 384155050 /nfs/dbraw/zinc/15/50/50/384155050.db2.gz KSWSOHNSCCDAAY-LBPRGKRZSA-N 0 3 249.354 2.936 20 0 BFADHN C[C@H](CN1CCSCC1)c1ccccc1 ZINC000116877512 384157597 /nfs/dbraw/zinc/15/75/97/384157597.db2.gz APNKWFHGEPYRJH-GFCCVEGCSA-N 0 3 221.369 2.839 20 0 BFADHN Cc1nocc1CNCCC1=CCCCC1 ZINC000293958743 384141078 /nfs/dbraw/zinc/14/10/78/384141078.db2.gz CFZORFAYSVLFAC-UHFFFAOYSA-N 0 3 220.316 2.963 20 0 BFADHN Cc1noc(C)c1CNC1Cc2ccccc2C1 ZINC000092328970 384172792 /nfs/dbraw/zinc/17/27/92/384172792.db2.gz CERBVNHHPNJPPL-UHFFFAOYSA-N 0 3 242.322 2.548 20 0 BFADHN Cc1ccc(CNCc2cc[nH]c2)cc1 ZINC000080773276 384172928 /nfs/dbraw/zinc/17/29/28/384172928.db2.gz UPEMRTZHPRYWNI-UHFFFAOYSA-N 0 3 200.285 2.613 20 0 BFADHN C[C@H](NCCn1ccnc1)c1ccc(Cl)cc1 ZINC000041064027 384175533 /nfs/dbraw/zinc/17/55/33/384175533.db2.gz MLQKAMCYWXWFKC-NSHDSACASA-N 0 3 249.745 2.887 20 0 BFADHN Cc1ccc2ncc(CN3CCCCC3)n2c1 ZINC000092107519 384162937 /nfs/dbraw/zinc/16/29/37/384162937.db2.gz AVYWSERGEBRMLX-UHFFFAOYSA-N 0 3 229.327 2.629 20 0 BFADHN C[C@@H](NCCN1CCCCCC1)c1ccco1 ZINC000040767387 384163380 /nfs/dbraw/zinc/16/33/80/384163380.db2.gz FCLAHHQSOUJOEA-CYBMUJFWSA-N 0 3 236.359 2.806 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cnn(C)c2)s1 ZINC000041054631 384176148 /nfs/dbraw/zinc/17/61/48/384176148.db2.gz QXNVMEZLGMDZGT-SNVBAGLBSA-N 0 3 235.356 2.641 20 0 BFADHN C[C@@H](NCCn1ccnc1)c1cccc(Cl)c1 ZINC000041064026 384176418 /nfs/dbraw/zinc/17/64/18/384176418.db2.gz KYNGEPXDJAJIQR-LLVKDONJSA-N 0 3 249.745 2.887 20 0 BFADHN Cc1ccccc1CNCc1cc[nH]c1 ZINC000080773818 384176801 /nfs/dbraw/zinc/17/68/01/384176801.db2.gz XRRPGUOJLXKPFA-UHFFFAOYSA-N 0 3 200.285 2.613 20 0 BFADHN CCc1ccc(CN(CC)C[C@H]2CCCO2)o1 ZINC000092407360 384178640 /nfs/dbraw/zinc/17/86/40/384178640.db2.gz RJFQBWXUKYUTGE-CYBMUJFWSA-N 0 3 237.343 2.843 20 0 BFADHN CC(C)[C@@H](NCc1ncccc1F)C1CC1 ZINC000090722229 384180757 /nfs/dbraw/zinc/18/07/57/384180757.db2.gz VUKJNQKLTPUCPN-CYBMUJFWSA-N 0 3 222.307 2.745 20 0 BFADHN COC(=O)c1ccc(CN(C)CC2(C)CC2)cc1 ZINC000569544913 384202424 /nfs/dbraw/zinc/20/24/24/384202424.db2.gz ATPBOZLRUZLDOC-UHFFFAOYSA-N 0 3 247.338 2.705 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1ccsc1 ZINC000050338309 384193106 /nfs/dbraw/zinc/19/31/06/384193106.db2.gz ZMAJUAGMGLZIIQ-KOLCDFICSA-N 0 3 211.330 2.578 20 0 BFADHN C[C@H](NCC1C(C)(C)C1(C)C)c1cnccn1 ZINC000092804795 384195065 /nfs/dbraw/zinc/19/50/65/384195065.db2.gz ZFJGGKFGVAKZKD-JTQLQIEISA-N 0 3 233.359 2.809 20 0 BFADHN Cc1ccc2ncc(CN3CCC(C)(C)C3)n2c1 ZINC000093339982 384229962 /nfs/dbraw/zinc/22/99/62/384229962.db2.gz YFDLWRTWOJGPTD-UHFFFAOYSA-N 0 3 243.354 2.875 20 0 BFADHN COC(=O)[C@H]1CCCCN1C[C@@H](C)CC(C)C ZINC000304186009 384230299 /nfs/dbraw/zinc/23/02/99/384230299.db2.gz RYNBDFQUTQVYNX-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN CCN(Cc1ccncc1)CC1(COC)CCC1 ZINC000291261070 384230283 /nfs/dbraw/zinc/23/02/83/384230283.db2.gz WYZAFYFDQNBOJI-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN Cc1cnc(CNCC2CCCC2)s1 ZINC000133203407 384231438 /nfs/dbraw/zinc/23/14/38/384231438.db2.gz NAGHKYYAAYREKS-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2cccs2)C1 ZINC000093419035 384234566 /nfs/dbraw/zinc/23/45/66/384234566.db2.gz OQLOAIPRXVCLLF-GFCCVEGCSA-N 0 3 239.384 2.997 20 0 BFADHN Cc1ccc(CN2CCC3(CCC3)C2)o1 ZINC000093455831 384237745 /nfs/dbraw/zinc/23/77/45/384237745.db2.gz POOZJDVESXSXAA-UHFFFAOYSA-N 0 3 205.301 2.964 20 0 BFADHN Cn1cccc1CN[C@H]1CCc2ccc(F)cc21 ZINC000119135310 384239223 /nfs/dbraw/zinc/23/92/23/384239223.db2.gz VLZBKQWRAGYFBF-HNNXBMFYSA-N 0 3 244.313 2.941 20 0 BFADHN CN(Cc1ccc(F)c(Cl)c1)[C@@H]1CCOC1 ZINC000132065025 384214371 /nfs/dbraw/zinc/21/43/71/384214371.db2.gz RIRLYXZNJXWOTJ-SNVBAGLBSA-N 0 3 243.709 2.700 20 0 BFADHN Cc1ccc([C@@H](C)NCCOCC(C)C)o1 ZINC000132906243 384214373 /nfs/dbraw/zinc/21/43/73/384214373.db2.gz DHMHORPOQOCGMD-GFCCVEGCSA-N 0 3 225.332 2.911 20 0 BFADHN CC[C@H](CSC)NCc1ccc(COC)o1 ZINC000132048417 384214929 /nfs/dbraw/zinc/21/49/29/384214929.db2.gz GJIAEWLGHNTROE-SNVBAGLBSA-N 0 3 243.372 2.657 20 0 BFADHN CC(C)[C@H](C)NCc1cn2ccsc2n1 ZINC000132301065 384225752 /nfs/dbraw/zinc/22/57/52/384225752.db2.gz ACGFFANRSJONPX-VIFPVBQESA-N 0 3 223.345 2.530 20 0 BFADHN C[C@H](CC(C)(C)O)N[C@@H](C)c1cccc(O)c1 ZINC000296625940 384227401 /nfs/dbraw/zinc/22/74/01/384227401.db2.gz XDKSLUBXSYUUKB-MNOVXSKESA-N 0 3 237.343 2.592 20 0 BFADHN CC(C)CCN[C@H]1C[C@H](C)n2ccnc21 ZINC000294042806 384246029 /nfs/dbraw/zinc/24/60/29/384246029.db2.gz ITDGQZFXPILRQB-QWRGUYRKSA-N 0 3 207.321 2.525 20 0 BFADHN c1cnc(CN[C@@H]2CC[C@@H]3CCC[C@@H]3C2)cn1 ZINC000560604210 384248814 /nfs/dbraw/zinc/24/88/14/384248814.db2.gz BSWLMMDRLBEHOM-YNEHKIRRSA-N 0 3 231.343 2.535 20 0 BFADHN C[C@H]1C[C@@H](NCc2cc3ccccc3o2)CO1 ZINC000335435254 384277212 /nfs/dbraw/zinc/27/72/12/384277212.db2.gz JYBDJRGDDVBVLM-CMPLNLGQSA-N 0 3 231.295 2.700 20 0 BFADHN CC(C)[C@H](O)CNCc1cc(Cl)ccc1F ZINC000119246213 384250952 /nfs/dbraw/zinc/25/09/52/384250952.db2.gz BRJUDEWYPXBOHX-GFCCVEGCSA-N 0 3 245.725 2.586 20 0 BFADHN CCc1cc(CN[C@@H]2CC[C@@H]2C2CCC2)on1 ZINC000558699589 384251464 /nfs/dbraw/zinc/25/14/64/384251464.db2.gz SZIQPANYOCMCBU-ZIAGYGMSSA-N 0 3 234.343 2.905 20 0 BFADHN CC[C@H]1CCC[C@H](CN2CCO[C@@H](C)C2)C1 ZINC000560603390 384254673 /nfs/dbraw/zinc/25/46/73/384254673.db2.gz HOKKUCNJIPYMGZ-IHRRRGAJSA-N 0 3 225.376 2.924 20 0 BFADHN Cc1ccc(Cn2cc3c(n2)[C@@H](N)CCC3)cc1 ZINC000644167913 384256595 /nfs/dbraw/zinc/25/65/95/384256595.db2.gz OHVPEUKXJUPEEF-AWEZNQCLSA-N 0 3 241.338 2.576 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1c[nH]nc1C ZINC000093845017 384277712 /nfs/dbraw/zinc/27/77/12/384277712.db2.gz XPCQEDFJGPOTTC-SNVBAGLBSA-N 0 3 209.337 2.586 20 0 BFADHN C[C@@H](NCc1cn2ccsc2n1)C(C)(C)C ZINC000132895820 384259585 /nfs/dbraw/zinc/25/95/85/384259585.db2.gz XCGSPRYRUOTYPM-SECBINFHSA-N 0 3 237.372 2.920 20 0 BFADHN C[C@H]1[C@@H](c2ccccc2)CCN1Cc1c[nH]cn1 ZINC000093689552 384260148 /nfs/dbraw/zinc/26/01/48/384260148.db2.gz YZIBMKJEBGKMJW-WFASDCNBSA-N 0 3 241.338 2.788 20 0 BFADHN C[C@H](CN[C@@H]1C[C@@H](C)n2ccnc21)CC(F)F ZINC000647319847 384266248 /nfs/dbraw/zinc/26/62/48/384266248.db2.gz NASXUMAQUJEWRH-IVZWLZJFSA-N 0 3 243.301 2.770 20 0 BFADHN CSC[C@@H]1CCCN1Cc1ccco1 ZINC000093760602 384266418 /nfs/dbraw/zinc/26/64/18/384266418.db2.gz VWFRXTXNMWEHDI-JTQLQIEISA-N 0 3 211.330 2.607 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H](C)CC(F)F ZINC000647320144 384268993 /nfs/dbraw/zinc/26/89/93/384268993.db2.gz XWVFTENGVSRBKN-UWVGGRQHSA-N 0 3 245.317 2.845 20 0 BFADHN C[C@H](NCCc1cn[nH]c1)c1ccccc1Cl ZINC000289527305 384269179 /nfs/dbraw/zinc/26/91/79/384269179.db2.gz GIDZERWUPATFQI-JTQLQIEISA-N 0 3 249.745 2.956 20 0 BFADHN CC[C@H](COC)NCc1ccc(Cl)cc1F ZINC000133117816 384272435 /nfs/dbraw/zinc/27/24/35/384272435.db2.gz MNADIPWTXPTHHR-LLVKDONJSA-N 0 3 245.725 2.994 20 0 BFADHN CC[C@H](O)CCNCc1ccc(F)cc1Cl ZINC000133128764 384273909 /nfs/dbraw/zinc/27/39/09/384273909.db2.gz DTWXHEGWPDOSPZ-NSHDSACASA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@H](COC)NCc1ccc(Cl)cc1F ZINC000133117661 384275163 /nfs/dbraw/zinc/27/51/63/384275163.db2.gz MNADIPWTXPTHHR-NSHDSACASA-N 0 3 245.725 2.994 20 0 BFADHN Cc1ccccc1C1(NCC2=CCCOC2)CC1 ZINC000647224668 384275549 /nfs/dbraw/zinc/27/55/49/384275549.db2.gz SKICUUUWLVUZIL-UHFFFAOYSA-N 0 3 243.350 2.920 20 0 BFADHN Clc1ccc2nc(CNCCC3CC3)cn2c1 ZINC000097604872 384242365 /nfs/dbraw/zinc/24/23/65/384242365.db2.gz OARWTPTXQYODAC-UHFFFAOYSA-N 0 3 249.745 2.877 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1nc2ccccc2n1C ZINC000533432294 384307767 /nfs/dbraw/zinc/30/77/67/384307767.db2.gz YESNHNZXDGXOFP-NWDGAFQWSA-N 0 3 243.354 2.804 20 0 BFADHN C[C@@H](O)C[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC000159227774 384309800 /nfs/dbraw/zinc/30/98/00/384309800.db2.gz PDJZGZROYSRNSM-RISCZKNCSA-N 0 3 237.318 2.561 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@H](C)C[C@@H]2C)n1 ZINC000133729607 384310082 /nfs/dbraw/zinc/31/00/82/384310082.db2.gz HMQRSXLONVFXJM-JQWIXIFHSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@H](C)C[C@@H]2C)n1 ZINC000133729607 384310088 /nfs/dbraw/zinc/31/00/88/384310088.db2.gz HMQRSXLONVFXJM-JQWIXIFHSA-N 0 3 234.343 2.716 20 0 BFADHN CCN(CCc1nccs1)Cc1ccncc1 ZINC000159289418 384315870 /nfs/dbraw/zinc/31/58/70/384315870.db2.gz DHGIJXHWHODINZ-UHFFFAOYSA-N 0 3 247.367 2.603 20 0 BFADHN C[C@@H](CO)CNCc1cc(Cl)ccc1Cl ZINC000083698519 384322807 /nfs/dbraw/zinc/32/28/07/384322807.db2.gz ZPVNVSQYZNYYFC-MRVPVSSYSA-N 0 3 248.153 2.711 20 0 BFADHN c1csc(CN[C@H]2CC[C@@H]2C2CCC2)n1 ZINC000308825464 384317566 /nfs/dbraw/zinc/31/75/66/384317566.db2.gz DMNOIJHHXWXLRA-MNOVXSKESA-N 0 3 222.357 2.811 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccccn1)C(C)(C)C ZINC000159273935 384317696 /nfs/dbraw/zinc/31/76/96/384317696.db2.gz UZNBASKXWSGXQP-AAEUAGOBSA-N 0 3 236.359 2.793 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CC[C@@H]1C1CC1 ZINC000449424491 384320766 /nfs/dbraw/zinc/32/07/66/384320766.db2.gz DZKHTFFZOXBRCB-OAHLLOKOSA-N 0 3 247.386 2.529 20 0 BFADHN C(C1CCC1)N1CCOC2(CCCCC2)C1 ZINC000173846390 384279280 /nfs/dbraw/zinc/27/92/80/384279280.db2.gz CRZUFWYRAUQJRX-UHFFFAOYSA-N 0 3 223.360 2.822 20 0 BFADHN CCC[C@H](C)CN[C@@H](COC)c1ccco1 ZINC000133361193 384287729 /nfs/dbraw/zinc/28/77/29/384287729.db2.gz LJZIEGGTQAACDX-RYUDHWBXSA-N 0 3 225.332 2.993 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000282469407 384291251 /nfs/dbraw/zinc/29/12/51/384291251.db2.gz GJVJXPMUWQIQOY-UWVGGRQHSA-N 0 3 211.305 2.582 20 0 BFADHN COC[C@H](C)NCc1cc(F)cc(Cl)c1 ZINC000083358415 384292334 /nfs/dbraw/zinc/29/23/34/384292334.db2.gz CQPSEXOLNVDCJO-QMMMGPOBSA-N 0 3 231.698 2.604 20 0 BFADHN CCCCN(CC(N)=O)CC1CCCCCC1 ZINC000119704579 384295804 /nfs/dbraw/zinc/29/58/04/384295804.db2.gz KWLVNEXPRSBNNB-UHFFFAOYSA-N 0 3 240.391 2.544 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1ccccc1F ZINC000133557716 384297593 /nfs/dbraw/zinc/29/75/93/384297593.db2.gz JSRHCOZEQGEJNW-MNOVXSKESA-N 0 3 225.307 2.637 20 0 BFADHN CC(C)[C@@H](NC[C@H](C)O)c1cc(F)ccc1F ZINC000358933781 384299584 /nfs/dbraw/zinc/29/95/84/384299584.db2.gz KCFGNJZUIDNDTG-TVQRCGJNSA-N 0 3 243.297 2.632 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1sc(C)nc1C ZINC000133586703 384300446 /nfs/dbraw/zinc/30/04/46/384300446.db2.gz CTIUFYYVTCWPPJ-KWQFWETISA-N 0 3 242.388 2.572 20 0 BFADHN CCSCCN(C)[C@H](C)c1ccccn1 ZINC000119781122 384301769 /nfs/dbraw/zinc/30/17/69/384301769.db2.gz UYVVQDWRNIKDQZ-LLVKDONJSA-N 0 3 224.373 2.828 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1cccc(F)c1 ZINC000133606239 384302845 /nfs/dbraw/zinc/30/28/45/384302845.db2.gz OTIVXSUKIWXKLX-ZWNOBZJWSA-N 0 3 225.307 2.637 20 0 BFADHN CCc1ccc([C@@H](COC)NCC2CC2)o1 ZINC000174479639 384335411 /nfs/dbraw/zinc/33/54/11/384335411.db2.gz QVWFYISYJNIMSI-GFCCVEGCSA-N 0 3 223.316 2.529 20 0 BFADHN CC[C@H](COC)NC1(c2ccccc2)CC1 ZINC000134235764 384340747 /nfs/dbraw/zinc/34/07/47/384340747.db2.gz GMIULRRBOXAANO-CYBMUJFWSA-N 0 3 219.328 2.690 20 0 BFADHN CN(C[C@H](O)c1ccccc1Cl)C1CCC1 ZINC000075841613 384342164 /nfs/dbraw/zinc/34/21/64/384342164.db2.gz PRXQUJPZJGDSEL-ZDUSSCGKSA-N 0 3 239.746 2.858 20 0 BFADHN CSC(C)(C)C[NH2+]Cc1cc([O-])cc(F)c1 ZINC000159618965 384345382 /nfs/dbraw/zinc/34/53/82/384345382.db2.gz URJKSOFLPQWOPD-UHFFFAOYSA-N 0 3 243.347 2.763 20 0 BFADHN c1ccc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)nc1 ZINC000449535341 384346449 /nfs/dbraw/zinc/34/64/49/384346449.db2.gz HLQKZWWJJFGJRX-LSDHHAIUSA-N 0 3 228.339 2.702 20 0 BFADHN Cc1cc(C)nc(N[C@@H](C)[C@H]2CCOC2)c1 ZINC000134351663 384349513 /nfs/dbraw/zinc/34/95/13/384349513.db2.gz ZBXIHDOSFDRULZ-RYUDHWBXSA-N 0 3 220.316 2.535 20 0 BFADHN C[C@@H](N[C@@H]1CCO[C@@H]1C)c1ccc(F)cc1 ZINC000134398553 384351825 /nfs/dbraw/zinc/35/18/25/384351825.db2.gz HMPVCKDWUPMINN-GIPNMCIBSA-N 0 3 223.291 2.654 20 0 BFADHN CSc1ccc(CN[C@@H]2CCO[C@H]2C)cc1 ZINC000120465389 384354521 /nfs/dbraw/zinc/35/45/21/384354521.db2.gz VMVRRJAKWMYKOZ-GXFFZTMASA-N 0 3 237.368 2.676 20 0 BFADHN CSc1ccc(CN[C@H]2CCO[C@H]2C)cc1 ZINC000120465696 384356505 /nfs/dbraw/zinc/35/65/05/384356505.db2.gz VMVRRJAKWMYKOZ-GWCFXTLKSA-N 0 3 237.368 2.676 20 0 BFADHN Fc1cccc(CN[C@H]2CCSC2)c1F ZINC000230705352 384357760 /nfs/dbraw/zinc/35/77/60/384357760.db2.gz DXLQYPGENJDLBW-VIFPVBQESA-N 0 3 229.295 2.560 20 0 BFADHN Clc1cnccc1CNCC1CCC1 ZINC000084084738 384363943 /nfs/dbraw/zinc/36/39/43/384363943.db2.gz XSKVCOHZFGFAHA-UHFFFAOYSA-N 0 3 210.708 2.625 20 0 BFADHN C[C@@H]1CN(CCOC2CCC2)C[C@H](C)C1(F)F ZINC000625654094 384368113 /nfs/dbraw/zinc/36/81/13/384368113.db2.gz XFWLLMPBHAOLLK-PHIMTYICSA-N 0 3 247.329 2.779 20 0 BFADHN C[C@H]1CN(CCOC2CCC2)C[C@H](C)C1(F)F ZINC000625654095 384368270 /nfs/dbraw/zinc/36/82/70/384368270.db2.gz XFWLLMPBHAOLLK-QWRGUYRKSA-N 0 3 247.329 2.779 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@@H]1CCc2c1cccc2F ZINC000120650224 384370627 /nfs/dbraw/zinc/37/06/27/384370627.db2.gz UZBFBKXCLNLDRG-QCZZGDTMSA-N 0 3 235.302 2.580 20 0 BFADHN C[C@@]1(NCc2ccc3ncccc3c2)CCOC1 ZINC000120123514 384328798 /nfs/dbraw/zinc/32/87/98/384328798.db2.gz QHPMUPMKIXIAAC-OAHLLOKOSA-N 0 3 242.322 2.503 20 0 BFADHN C[C@@]1(NCc2cccc3cccnc32)CCOC1 ZINC000120114290 384329102 /nfs/dbraw/zinc/32/91/02/384329102.db2.gz YYPCREKSTIDYCK-OAHLLOKOSA-N 0 3 242.322 2.503 20 0 BFADHN C[C@@H](NC[C@H](C)C(F)(F)F)c1cncs1 ZINC000308829021 384329585 /nfs/dbraw/zinc/32/95/85/384329585.db2.gz FNPQGNLMPSEVEX-NKWVEPMBSA-N 0 3 238.278 2.992 20 0 BFADHN CC(C)CCOCCN[C@@H](C)c1cccnc1 ZINC000044387412 384330261 /nfs/dbraw/zinc/33/02/61/384330261.db2.gz ILAZGLFOGHSIDQ-ZDUSSCGKSA-N 0 3 236.359 2.795 20 0 BFADHN Cc1cc(C)cc(CN[C@]2(C)CCOC2)c1 ZINC000120170749 384333446 /nfs/dbraw/zinc/33/34/46/384333446.db2.gz DPFFTOLNMIJRCI-CQSZACIVSA-N 0 3 219.328 2.572 20 0 BFADHN CC(C)c1cnc(NC[C@@H](C)N(C)C)s1 ZINC000308830938 384333573 /nfs/dbraw/zinc/33/35/73/384333573.db2.gz GEFPCJOTDACBGK-SECBINFHSA-N 0 3 227.377 2.629 20 0 BFADHN Fc1cccc(F)c1CCN1CCCC1 ZINC000402003135 384391659 /nfs/dbraw/zinc/39/16/59/384391659.db2.gz GVSKGYAIIRMWSJ-UHFFFAOYSA-N 0 3 211.255 2.603 20 0 BFADHN CC[C@H](NCC1(C(F)F)CC1)c1ccn(C)n1 ZINC000358996313 384395684 /nfs/dbraw/zinc/39/56/84/384395684.db2.gz DLZUPCDZJLEPPV-VIFPVBQESA-N 0 3 243.301 2.506 20 0 BFADHN CCc1ncc(CN(C)[C@H](C)C(C)(C)C)cn1 ZINC000560888475 384396717 /nfs/dbraw/zinc/39/67/17/384396717.db2.gz VGMRSXMBDVWPQQ-LLVKDONJSA-N 0 3 235.375 2.905 20 0 BFADHN COCc1nc(CN[C@H](C)CC(C)C)cs1 ZINC000125808646 384426283 /nfs/dbraw/zinc/42/62/83/384426283.db2.gz GLANBXHKKRLTEM-SNVBAGLBSA-N 0 3 242.388 2.814 20 0 BFADHN C[C@H](NC1CCSCC1)c1ccccn1 ZINC000035120874 384400837 /nfs/dbraw/zinc/40/08/37/384400837.db2.gz YUBKIKFPKFOJFT-JTQLQIEISA-N 0 3 222.357 2.628 20 0 BFADHN CCc1ccc([C@H](C)NCCOC(C)C)o1 ZINC000134949274 384402141 /nfs/dbraw/zinc/40/21/41/384402141.db2.gz WNOMUOIEYVOSNY-NSHDSACASA-N 0 3 225.332 2.918 20 0 BFADHN CCOCCN[C@H](C)c1ccc(F)cc1 ZINC000035151188 384402313 /nfs/dbraw/zinc/40/23/13/384402313.db2.gz JBUAUOPOQXUNAC-SNVBAGLBSA-N 0 3 211.280 2.513 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1cccc(O)c1 ZINC000169084315 384406655 /nfs/dbraw/zinc/40/66/55/384406655.db2.gz AIYHASORPMBVET-ZJUUUORDSA-N 0 3 225.357 2.794 20 0 BFADHN Clc1cccc(C2(NCc3cnc[nH]3)CC2)c1 ZINC000077467807 384407190 /nfs/dbraw/zinc/40/71/90/384407190.db2.gz IGTKFSCFAUVTAO-UHFFFAOYSA-N 0 3 247.729 2.842 20 0 BFADHN CS[C@@H](C)CN[C@@H](C)c1cccc(O)c1 ZINC000169084212 384407339 /nfs/dbraw/zinc/40/73/39/384407339.db2.gz AIYHASORPMBVET-UWVGGRQHSA-N 0 3 225.357 2.794 20 0 BFADHN C[C@H](CCO)N[C@H](C)c1cc2ccccc2o1 ZINC000121312437 384409898 /nfs/dbraw/zinc/40/98/98/384409898.db2.gz HOGAEOBOSWYNDZ-GHMZBOCLSA-N 0 3 233.311 2.854 20 0 BFADHN C[C@H](N[C@H]1[C@@H]2CCO[C@@H]2C12CCC2)c1ccco1 ZINC000134791592 384409965 /nfs/dbraw/zinc/40/99/65/384409965.db2.gz LCUZRMXNMSDHLH-IMIFBBOLSA-N 0 3 247.338 2.888 20 0 BFADHN COCC1(N[C@H](C)c2ccc(OC)cc2C)CC1 ZINC000564426055 384416896 /nfs/dbraw/zinc/41/68/96/384416896.db2.gz RCWPOVXQGJOKJT-GFCCVEGCSA-N 0 3 249.354 2.833 20 0 BFADHN CCc1ccc(CN2CC(C3CC3)C2)o1 ZINC000643345875 384427183 /nfs/dbraw/zinc/42/71/83/384427183.db2.gz ROAFYWHWBDVAJN-UHFFFAOYSA-N 0 3 205.301 2.684 20 0 BFADHN Cc1nc(C)c(CNC[C@H]2CCC=CO2)s1 ZINC000275844124 384420154 /nfs/dbraw/zinc/42/01/54/384420154.db2.gz QLTSHGIAPQRPGH-LLVKDONJSA-N 0 3 238.356 2.542 20 0 BFADHN F[C@H]1CCC[C@H]1NC1Cc2ccccc2C1 ZINC000334269194 384420588 /nfs/dbraw/zinc/42/05/88/384420588.db2.gz QKSYQSFTSYPIMW-UONOGXRCSA-N 0 3 219.303 2.634 20 0 BFADHN c1cc(-c2ccc(CN3CC(C4CC4)C3)o2)n[nH]1 ZINC000643345673 384422112 /nfs/dbraw/zinc/42/21/12/384422112.db2.gz FIPFLJQTMBMIQV-UHFFFAOYSA-N 0 3 243.310 2.512 20 0 BFADHN CC(C)CCCN[C@@H](C)c1nnc2ccccn21 ZINC000275875966 384423205 /nfs/dbraw/zinc/42/32/05/384423205.db2.gz ZOSSPQIWIGDUFI-LBPRGKRZSA-N 0 3 246.358 2.816 20 0 BFADHN CCOc1ccc(CN2CC(C3CC3)C2)cc1 ZINC000643345191 384376558 /nfs/dbraw/zinc/37/65/58/384376558.db2.gz HKTUYMWQYVBZPZ-UHFFFAOYSA-N 0 3 231.339 2.927 20 0 BFADHN CSC(C)(C)CN[C@H](C)c1ccccn1 ZINC000159270851 384377363 /nfs/dbraw/zinc/37/73/63/384377363.db2.gz HIBAPJDHOVEJBZ-SNVBAGLBSA-N 0 3 224.373 2.874 20 0 BFADHN Cc1csc(CNCC[C@H]2CCCCO2)n1 ZINC000134670563 384379239 /nfs/dbraw/zinc/37/92/39/384379239.db2.gz CZXXQIDISQTWFG-LLVKDONJSA-N 0 3 240.372 2.500 20 0 BFADHN C[C@@H](NCC[C@H]1CCCCO1)c1nccs1 ZINC000134731965 384384592 /nfs/dbraw/zinc/38/45/92/384384592.db2.gz SIHIVOWOSSYDCW-GHMZBOCLSA-N 0 3 240.372 2.753 20 0 BFADHN CC1(C)CCCC[C@H]1NCc1ccno1 ZINC000229594768 384386075 /nfs/dbraw/zinc/38/60/75/384386075.db2.gz AKYUKLVREPHLAD-LLVKDONJSA-N 0 3 208.305 2.733 20 0 BFADHN COc1cccc(CN(C)[C@@H](C)C2CC2)c1OC ZINC000158070038 384389559 /nfs/dbraw/zinc/38/95/59/384389559.db2.gz UWKFEUIGCLTLAU-NSHDSACASA-N 0 3 249.354 2.934 20 0 BFADHN Cc1ccc2nc(CN(C)[C@H](C)C3CC3)cn2c1 ZINC000078723243 384457378 /nfs/dbraw/zinc/45/73/78/384457378.db2.gz UAXCXFPYILBFFJ-GFCCVEGCSA-N 0 3 243.354 2.873 20 0 BFADHN Cc1ccncc1CNCCOC1CCCCC1 ZINC000135461112 384444860 /nfs/dbraw/zinc/44/48/60/384444860.db2.gz RDUUZJQCCAHPPJ-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN C[C@@H](C1CC1)N(Cc1ccc(C#N)cn1)C1CC1 ZINC000568169033 384448751 /nfs/dbraw/zinc/44/87/51/384448751.db2.gz ZLQYYTLPJJVNMN-NSHDSACASA-N 0 3 241.338 2.716 20 0 BFADHN CCOCCN[C@H](C)c1cc(C)oc1C ZINC000036229229 384435593 /nfs/dbraw/zinc/43/55/93/384435593.db2.gz TWDPFYPIVGOAJL-SNVBAGLBSA-N 0 3 211.305 2.584 20 0 BFADHN C[C@@H](CNCc1ccncc1F)CC(F)F ZINC000647227097 384439122 /nfs/dbraw/zinc/43/91/22/384439122.db2.gz UFRDPJYKXZKMET-MRVPVSSYSA-N 0 3 232.249 2.602 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1cccnc1 ZINC000160883964 384443467 /nfs/dbraw/zinc/44/34/67/384443467.db2.gz RFQJHDQHVYPGPP-IACUBPJLSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H](O)CCNCc1cc(Cl)cc(Cl)c1 ZINC000078781544 384464360 /nfs/dbraw/zinc/46/43/60/384464360.db2.gz XFGRZBKLBKDYDW-MRVPVSSYSA-N 0 3 248.153 2.854 20 0 BFADHN CC(C)(C)OC(=O)[C@@H]1CCCN1CCC1CC1 ZINC000148943528 384472090 /nfs/dbraw/zinc/47/20/90/384472090.db2.gz YDOXBLNAAMEMOJ-LBPRGKRZSA-N 0 3 239.359 2.593 20 0 BFADHN COc1ccc(CN(C)C(C)C)cc1F ZINC000096954294 384485697 /nfs/dbraw/zinc/48/56/97/384485697.db2.gz PNRJVNSSBRSQBH-UHFFFAOYSA-N 0 3 211.280 2.675 20 0 BFADHN COC[C@@H](N[C@H](C)CC1CC1)c1ccco1 ZINC000122307040 384472700 /nfs/dbraw/zinc/47/27/00/384472700.db2.gz VBUDBHPBLYCPCR-ZYHUDNBSSA-N 0 3 223.316 2.745 20 0 BFADHN CC(C)C1CCC(NCc2ccn(C)n2)CC1 ZINC000148976570 384473269 /nfs/dbraw/zinc/47/32/69/384473269.db2.gz BKZRZOXIKOWPEI-UHFFFAOYSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccccc2F)CN1C1CC1 ZINC000122324725 384474940 /nfs/dbraw/zinc/47/49/40/384474940.db2.gz OEWXNBPECYEIAV-YPMHNXCESA-N 0 3 248.345 2.541 20 0 BFADHN CCN(CCc1ccccc1)Cc1cnccn1 ZINC000556773628 384477430 /nfs/dbraw/zinc/47/74/30/384477430.db2.gz CKQVDSMXMOMIBW-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN Cc1ccc(C[C@H](C)NCc2ccn(C)n2)s1 ZINC000096811295 384479998 /nfs/dbraw/zinc/47/99/98/384479998.db2.gz LDDKBNBQRJZUPP-JTQLQIEISA-N 0 3 249.383 2.511 20 0 BFADHN Cc1ccc(C)c(NC(=O)[C@]2(C)CCCCN2)c1 ZINC000136126257 384481705 /nfs/dbraw/zinc/48/17/05/384481705.db2.gz HHFABPGRYKNRMG-HNNXBMFYSA-N 0 3 246.354 2.774 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCC[C@@H]2CCC[C@H]21 ZINC000247689970 384483467 /nfs/dbraw/zinc/48/34/67/384483467.db2.gz VRSHAKCXEVZTKC-DZGCQCFKSA-N 0 3 247.386 2.801 20 0 BFADHN CCN(C/C=C\c1ccc(Cl)cc1)CCO ZINC000255358212 384495931 /nfs/dbraw/zinc/49/59/31/384495931.db2.gz AACJQUDMFCQPDT-ARJAWSKDSA-N 0 3 239.746 2.667 20 0 BFADHN C[C@@H]1[C@H](CO)CCN1Cc1ccccc1Cl ZINC000335812579 384500190 /nfs/dbraw/zinc/50/01/90/384500190.db2.gz RFYYLTWKTLIFFO-PWSUYJOCSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3ccccc3)CCC2)CO1 ZINC000647176938 384500814 /nfs/dbraw/zinc/50/08/14/384500814.db2.gz DTAYATZBBYPJJT-TZMCWYRMSA-N 0 3 231.339 2.833 20 0 BFADHN CCOc1ccccc1CN[C@@H](CC)COC ZINC000079737410 384511799 /nfs/dbraw/zinc/51/17/99/384511799.db2.gz GQUAONAYVCGUAN-ZDUSSCGKSA-N 0 3 237.343 2.600 20 0 BFADHN C[C@H]1C[C@@H](NC2(c3ccccc3)CCC2)CO1 ZINC000647176935 384501323 /nfs/dbraw/zinc/50/13/23/384501323.db2.gz DTAYATZBBYPJJT-GXTWGEPZSA-N 0 3 231.339 2.833 20 0 BFADHN CN(C)CCSc1ccc(Cl)cc1 ZINC000002013950 384507381 /nfs/dbraw/zinc/50/73/81/384507381.db2.gz MIFJAUGAPOMIAH-UHFFFAOYSA-N 0 3 215.749 2.994 20 0 BFADHN CC[C@H]1CC[C@@H](C)[N@@H+]1Cc1nc(C)ccc1[O-] ZINC000136819459 384510143 /nfs/dbraw/zinc/51/01/43/384510143.db2.gz UPOVNRBVEUZEHH-NEPJUHHUSA-N 0 3 234.343 2.859 20 0 BFADHN CC[C@H]1CC[C@@H](C)[N@H+]1Cc1nc(C)ccc1[O-] ZINC000136819459 384510148 /nfs/dbraw/zinc/51/01/48/384510148.db2.gz UPOVNRBVEUZEHH-NEPJUHHUSA-N 0 3 234.343 2.859 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cncn2C)[C@H]1C(C)C ZINC000449550844 384514130 /nfs/dbraw/zinc/51/41/30/384514130.db2.gz DZKVXZHWQQRWMP-KBPBESRZSA-N 0 3 235.375 2.533 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@]1(C)CCCO1 ZINC000356869889 384514804 /nfs/dbraw/zinc/51/48/04/384514804.db2.gz NZSDJFIVMCYXQS-DOMZBBRYSA-N 0 3 248.370 2.864 20 0 BFADHN Cc1cc(CN2CCC(C)(CO)CC2)cs1 ZINC000295461139 384516145 /nfs/dbraw/zinc/51/61/45/384516145.db2.gz QWYQJYQVKZZFPI-UHFFFAOYSA-N 0 3 239.384 2.651 20 0 BFADHN C[C@@H](N[C@@H](C)CCCO)c1cccnc1Cl ZINC000122887213 384518651 /nfs/dbraw/zinc/51/86/51/384518651.db2.gz WSGDUCIODDBHDN-VHSXEESVSA-N 0 3 242.750 2.547 20 0 BFADHN CN(Cc1cccs1)[C@H](CO)C(C)(C)C ZINC000093821874 384523644 /nfs/dbraw/zinc/52/36/44/384523644.db2.gz KLXLRCBJGNYVNN-LLVKDONJSA-N 0 3 227.373 2.587 20 0 BFADHN COC(CN[C@H](c1ccccc1)C1CCC1)OC ZINC000161786908 384527473 /nfs/dbraw/zinc/52/74/73/384527473.db2.gz GBEKNIGFFYTOAX-OAHLLOKOSA-N 0 3 249.354 2.736 20 0 BFADHN CN(Cc1cccc(Cl)n1)CC1CC1 ZINC000085696776 384542106 /nfs/dbraw/zinc/54/21/06/384542106.db2.gz NPGVHEIZCRTNJP-UHFFFAOYSA-N 0 3 210.708 2.577 20 0 BFADHN CCOCCN[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000161918224 384544288 /nfs/dbraw/zinc/54/42/88/384544288.db2.gz YUARSVKZHNJXMC-MRVPVSSYSA-N 0 3 247.260 2.791 20 0 BFADHN Cc1nc(C(C)C)sc1[C@@H](C)N[C@@H](C)CO ZINC000123304354 384547323 /nfs/dbraw/zinc/54/73/23/384547323.db2.gz MBDCVQJJLPDKFN-DTWKUNHWSA-N 0 3 242.388 2.606 20 0 BFADHN C[C@@H](CN(C)CCCF)c1nccs1 ZINC000183963716 384547310 /nfs/dbraw/zinc/54/73/10/384547310.db2.gz BLKAQMKUZUJQJO-VIFPVBQESA-N 0 3 216.325 2.538 20 0 BFADHN CSCCN(C)CCO[C@@H]1CCCC[C@H]1C ZINC000151162013 384547608 /nfs/dbraw/zinc/54/76/08/384547608.db2.gz LICZMNTVIIGJLT-CHWSQXEVSA-N 0 3 245.432 2.877 20 0 BFADHN C[C@H](CN(C)Cc1cccnc1)c1nccs1 ZINC000184001395 384548593 /nfs/dbraw/zinc/54/85/93/384548593.db2.gz QTPXGFSWTHYIGH-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN CSCCN(C)CCO[C@@H]1CCCC[C@@H]1C ZINC000151162111 384551408 /nfs/dbraw/zinc/55/14/08/384551408.db2.gz LICZMNTVIIGJLT-QWHCGFSZSA-N 0 3 245.432 2.877 20 0 BFADHN CC(C)C[C@@H](C)Cn1cc([C@@H](N)C(C)C)nn1 ZINC000305909379 384551743 /nfs/dbraw/zinc/55/17/43/384551743.db2.gz HKGGTCLOAGHJLH-YPMHNXCESA-N 0 3 238.379 2.616 20 0 BFADHN CC[C@H](NCCC=C(C)C)c1nccn1C ZINC000276881185 384554387 /nfs/dbraw/zinc/55/43/87/384554387.db2.gz KZZJLWZJPPXDGS-LBPRGKRZSA-N 0 3 221.348 2.817 20 0 BFADHN C[C@@H](NC[C@@H]1CCCO1)c1ccc(F)c(F)c1 ZINC000019963748 384563207 /nfs/dbraw/zinc/56/32/07/384563207.db2.gz SXBGRNIWNSDRFE-KOLCDFICSA-N 0 3 241.281 2.794 20 0 BFADHN FC(F)(F)[C@H]1CCC[C@@H](NCc2ncc[nH]2)C1 ZINC000049715409 384559904 /nfs/dbraw/zinc/55/99/04/384559904.db2.gz ZGTPOXOZAIDDIL-DTWKUNHWSA-N 0 3 247.264 2.620 20 0 BFADHN C[C@H](NC[C@H]1CCCO1)c1ccccc1F ZINC000019963573 384563396 /nfs/dbraw/zinc/56/33/96/384563396.db2.gz VCUVKGXINYZPRO-WDEREUQCSA-N 0 3 223.291 2.655 20 0 BFADHN Cc1nocc1CN1CCC[C@H](C)[C@H]1C ZINC000295508029 384561894 /nfs/dbraw/zinc/56/18/94/384561894.db2.gz YYAVTUIBRFDDJG-GXSJLCMTSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1nocc1CN1CCC[C@H](C)[C@@H]1C ZINC000295508044 384562440 /nfs/dbraw/zinc/56/24/40/384562440.db2.gz YYAVTUIBRFDDJG-ONGXEEELSA-N 0 3 208.305 2.603 20 0 BFADHN CC(C)[C@H](C)N[C@@H]1COc2ccc(F)cc21 ZINC000309718956 384562539 /nfs/dbraw/zinc/56/25/39/384562539.db2.gz ZXLRLCFYLHHXFY-JOYOIKCWSA-N 0 3 223.291 2.893 20 0 BFADHN Cc1ccc(C)c(NC2CCN(C)CC2)c1 ZINC000019772194 384538326 /nfs/dbraw/zinc/53/83/26/384538326.db2.gz QPZAKCZYCGHTIM-UHFFFAOYSA-N 0 3 218.344 2.810 20 0 BFADHN Cc1cc(CNCCCC(C)(C)C)on1 ZINC000300777873 384539847 /nfs/dbraw/zinc/53/98/47/384539847.db2.gz AVQOVRBOIHPGLN-UHFFFAOYSA-N 0 3 210.321 2.899 20 0 BFADHN Cc1nccn1CCN[C@@H](C)c1ccc(F)cc1 ZINC000049505653 384540226 /nfs/dbraw/zinc/54/02/26/384540226.db2.gz FPUNWYIMNFDLQG-NSHDSACASA-N 0 3 247.317 2.681 20 0 BFADHN COC[C@H](N[C@H]1CC[C@@H]1C(C)C)c1ccco1 ZINC000556870053 384569300 /nfs/dbraw/zinc/56/93/00/384569300.db2.gz BXEIHDINIRSIJG-AGIUHOORSA-N 0 3 237.343 2.991 20 0 BFADHN c1c2ccccc2oc1CNC[C@H]1CCCO1 ZINC000020092390 384573556 /nfs/dbraw/zinc/57/35/56/384573556.db2.gz OEYZZSDVYRKYNB-GFCCVEGCSA-N 0 3 231.295 2.701 20 0 BFADHN Cc1ccc(CN(C)CCc2nccs2)cn1 ZINC000154002792 384573738 /nfs/dbraw/zinc/57/37/38/384573738.db2.gz KDKHHJWUBJSCLM-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN CO[C@@H]1CCCN(Cc2cc(C)cc(C)c2)C1 ZINC000102794467 384575945 /nfs/dbraw/zinc/57/59/45/384575945.db2.gz AEBJGRMQWHNOOW-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN C[C@@H](NCCOc1ccccc1)c1ccccn1 ZINC000049855267 384576779 /nfs/dbraw/zinc/57/67/79/384576779.db2.gz JIYNAKYONIQNRR-CYBMUJFWSA-N 0 3 242.322 2.811 20 0 BFADHN CO[C@H]1CCCN(Cc2cc(C)cc(C)c2)C1 ZINC000102794466 384576693 /nfs/dbraw/zinc/57/66/93/384576693.db2.gz AEBJGRMQWHNOOW-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1nnsc1CNC[C@@H]1CCCC[C@H]1C ZINC000309751324 384579886 /nfs/dbraw/zinc/57/98/86/384579886.db2.gz KOOZFIKRGXAATA-KOLCDFICSA-N 0 3 239.388 2.762 20 0 BFADHN Cc1cc(C)cc(N(C)C(=O)[C@@H](N)C(C)(C)C)c1 ZINC000049988768 384583255 /nfs/dbraw/zinc/58/32/55/384583255.db2.gz XZALMEPCOFULDF-CYBMUJFWSA-N 0 3 248.370 2.640 20 0 BFADHN CC[C@H](C)C[C@@H](CO)N[C@@H](C)c1cncs1 ZINC000647337847 384585262 /nfs/dbraw/zinc/58/52/62/384585262.db2.gz VQUVRUWVHPUDHB-DCAQKATOSA-N 0 3 242.388 2.591 20 0 BFADHN CC[C@](C)(CO)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000080886601 384589712 /nfs/dbraw/zinc/58/97/12/384589712.db2.gz KHTDJVVBZGJZLK-ZKYQVNSYSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1nocc1CN1CCC[C@@H](C)[C@H]1C ZINC000295508039 384563699 /nfs/dbraw/zinc/56/36/99/384563699.db2.gz YYAVTUIBRFDDJG-MWLCHTKSSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1ccc(F)cc1F ZINC000019963753 384565154 /nfs/dbraw/zinc/56/51/54/384565154.db2.gz WFRHNEVVZPYHFI-ONGXEEELSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1ccc(F)cc1F ZINC000019963754 384566363 /nfs/dbraw/zinc/56/63/63/384566363.db2.gz WFRHNEVVZPYHFI-MWLCHTKSSA-N 0 3 241.281 2.794 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1CCc1cncs1 ZINC000334553669 384567268 /nfs/dbraw/zinc/56/72/68/384567268.db2.gz ARNCEAPVJWUVCY-ZJUUUORDSA-N 0 3 242.413 2.511 20 0 BFADHN C1=C[C@@H](N2CCO[C@@H](C3CC3)C2)CCCCC1 ZINC000559155795 384609992 /nfs/dbraw/zinc/60/99/92/384609992.db2.gz RNLNVMNEGNTQRN-HUUCEWRRSA-N 0 3 235.371 2.986 20 0 BFADHN c1cc2c(o1)CCC[C@H]2NC[C@@H]1CCC=CO1 ZINC000050267798 384612310 /nfs/dbraw/zinc/61/23/10/384612310.db2.gz KUHYFKPYUKAERJ-WCQYABFASA-N 0 3 233.311 2.939 20 0 BFADHN CC[C@H](CSC)N[C@@H](C)c1cn(C)nc1C ZINC000162386938 384612614 /nfs/dbraw/zinc/61/26/14/384612614.db2.gz ORHRVZHPOAMHON-GXSJLCMTSA-N 0 3 241.404 2.521 20 0 BFADHN CCc1ccc(CNCc2cccn2C)cc1 ZINC000172628500 384613129 /nfs/dbraw/zinc/61/31/29/384613129.db2.gz JHVFVESBFMMEMP-UHFFFAOYSA-N 0 3 228.339 2.877 20 0 BFADHN COCc1cccc(CNC[C@@H]2CCC=CO2)c1 ZINC000050269390 384614023 /nfs/dbraw/zinc/61/40/23/384614023.db2.gz URWFCOAXUURUIQ-HNNXBMFYSA-N 0 3 247.338 2.615 20 0 BFADHN CC[C@H](O)CN[C@H](C)c1ccc(F)cc1Cl ZINC000162397172 384614366 /nfs/dbraw/zinc/61/43/66/384614366.db2.gz QTTDUHLTYYBDAH-SCZZXKLOSA-N 0 3 245.725 2.901 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2cncnc2OC)C1 ZINC000647185681 384618209 /nfs/dbraw/zinc/61/82/09/384618209.db2.gz NLKPQDDTTASNMW-AAEUAGOBSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@@H](Cn1cccn1)N[C@@H](C)c1ccsc1 ZINC000050343331 384618512 /nfs/dbraw/zinc/61/85/12/384618512.db2.gz WFXBLDNKHKXFEX-QWRGUYRKSA-N 0 3 235.356 2.684 20 0 BFADHN C[C@H](NC[C@@H]1CCO[C@H](C)C1)c1ccco1 ZINC000271590752 384620716 /nfs/dbraw/zinc/62/07/16/384620716.db2.gz SIYVCHXDWCWCRM-GRYCIOLGSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1noc(C)c1CN1CC[C@@H]1C(C)C ZINC000334886147 384622604 /nfs/dbraw/zinc/62/26/04/384622604.db2.gz ZHEUZEJIWCDZDS-GFCCVEGCSA-N 0 3 208.305 2.522 20 0 BFADHN C[C@]1(O)CCCN(Cc2cccc(Cl)c2)C1 ZINC000124324590 384633554 /nfs/dbraw/zinc/63/35/54/384633554.db2.gz NPPJHTDAKLNFQT-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN C[C@H](NCC1CCC(F)CC1)c1ccn(C)n1 ZINC000647350479 384624775 /nfs/dbraw/zinc/62/47/75/384624775.db2.gz ZCJUPNONSLZXAQ-UNXYVOJBSA-N 0 3 239.338 2.599 20 0 BFADHN CS[C@H]1CCC[C@@H](NCc2cscn2)C1 ZINC000117394538 384628071 /nfs/dbraw/zinc/62/80/71/384628071.db2.gz WOSKULFHEZPIBL-KOLCDFICSA-N 0 3 242.413 2.907 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2snnc2C)C1 ZINC000309811646 384629252 /nfs/dbraw/zinc/62/92/52/384629252.db2.gz ZGIJJHHJNZEKFO-WDEREUQCSA-N 0 3 239.388 2.905 20 0 BFADHN C[C@@H](NCCCOCC1CC1)c1ccccn1 ZINC000020190599 384593485 /nfs/dbraw/zinc/59/34/85/384593485.db2.gz TWYJQMINOQBYDB-GFCCVEGCSA-N 0 3 234.343 2.549 20 0 BFADHN C[C@@H](NCc1ccc(F)c(F)c1)[C@H]1CCCO1 ZINC000020205615 384599347 /nfs/dbraw/zinc/59/93/47/384599347.db2.gz RCESYKMUADHXAT-NOZJJQNGSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H](NCc1ccc(Cl)cc1)[C@@H]1CCCO1 ZINC000020205261 384600832 /nfs/dbraw/zinc/60/08/32/384600832.db2.gz CVRUFQOACVXKHY-MFKMUULPSA-N 0 3 239.746 2.997 20 0 BFADHN CC(C)C[C@@H](CN[C@@H](C)c1ccccn1)N(C)C ZINC000020201343 384600897 /nfs/dbraw/zinc/60/08/97/384600897.db2.gz VEWSRHQVYXDIJN-KBPBESRZSA-N 0 3 249.402 2.709 20 0 BFADHN C[C@@]1(O)CCCN(Cc2ccccc2Cl)C1 ZINC000123999382 384601225 /nfs/dbraw/zinc/60/12/25/384601225.db2.gz QXMOMYIMSZQLEW-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN CCc1ccc(CNCC2=CCCOC2)s1 ZINC000124649856 384664243 /nfs/dbraw/zinc/66/42/43/384664243.db2.gz NZCJRVKTNXTTKZ-UHFFFAOYSA-N 0 3 237.368 2.747 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccncc1)C(C)(C)C ZINC000173210997 384664730 /nfs/dbraw/zinc/66/47/30/384664730.db2.gz ATSUGRHEWQKWFF-WCQYABFASA-N 0 3 236.359 2.793 20 0 BFADHN Fc1ccc(Cl)cc1CN[C@@H]1CCCOC1 ZINC000162695417 384668932 /nfs/dbraw/zinc/66/89/32/384668932.db2.gz QKJWFPFAFMVPRZ-LLVKDONJSA-N 0 3 243.709 2.748 20 0 BFADHN CN(Cc1ccccc1Cl)C[C@@H]1CCOC1 ZINC000047698495 384673282 /nfs/dbraw/zinc/67/32/82/384673282.db2.gz TUMFFTRAPYIAQZ-NSHDSACASA-N 0 3 239.746 2.808 20 0 BFADHN CSCc1cnc(CNCC(C)C)s1 ZINC000294221443 384674261 /nfs/dbraw/zinc/67/42/61/384674261.db2.gz SJLACTJAHTWZCQ-UHFFFAOYSA-N 0 3 230.402 2.752 20 0 BFADHN Cc1n[nH]cc1CN[C@H](C)Cc1ccsc1 ZINC000042371712 384637347 /nfs/dbraw/zinc/63/73/47/384637347.db2.gz XMKGJTOADVRWMZ-SECBINFHSA-N 0 3 235.356 2.500 20 0 BFADHN CC[C@H](N[C@@H]1CC[C@H]2C[C@H]2C1)c1ccn(C)n1 ZINC000647354878 384642233 /nfs/dbraw/zinc/64/22/33/384642233.db2.gz PZBYOIIIQHHIJI-RVMXOQNASA-N 0 3 233.359 2.649 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CCS[C@H]2C)s1 ZINC000647359523 384652453 /nfs/dbraw/zinc/65/24/53/384652453.db2.gz IGMGJYPBZVFYGU-OYNCUSHFSA-N 0 3 242.413 2.996 20 0 BFADHN COC(=O)c1occc1CN[C@]1(C)CC=CCC1 ZINC000398322370 384657576 /nfs/dbraw/zinc/65/75/76/384657576.db2.gz HNGZWFAQWHRANC-CQSZACIVSA-N 0 3 249.310 2.655 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1cnc(C)s1)OC ZINC000294115593 384723113 /nfs/dbraw/zinc/72/31/13/384723113.db2.gz DHBNGWSYCQNWOH-BXKDBHETSA-N 0 3 242.388 2.602 20 0 BFADHN C[C@H](CN(C)C)NCc1cc(Cl)ccc1F ZINC000162732621 384676659 /nfs/dbraw/zinc/67/66/59/384676659.db2.gz OBGALGVPTAJDCH-SECBINFHSA-N 0 3 244.741 2.519 20 0 BFADHN Cc1n[nH]cc1CNC(C)(C)c1cccs1 ZINC000309866283 384678810 /nfs/dbraw/zinc/67/88/10/384678810.db2.gz OSIIUCZZMRITAJ-UHFFFAOYSA-N 0 3 235.356 2.805 20 0 BFADHN C[C@@H](CN(C)C)NCc1cccc(Cl)c1F ZINC000162745956 384680991 /nfs/dbraw/zinc/68/09/91/384680991.db2.gz FWNNMKATSNAILA-VIFPVBQESA-N 0 3 244.741 2.519 20 0 BFADHN C[C@H](N[C@@H]1CCC(C)(C)C1)c1ccncn1 ZINC000335916880 384682908 /nfs/dbraw/zinc/68/29/08/384682908.db2.gz XFJUAIIRRYSXKK-WDEREUQCSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H](N[C@H]1CCC(C)(C)C1)c1ccncn1 ZINC000335916879 384683827 /nfs/dbraw/zinc/68/38/27/384683827.db2.gz XFJUAIIRRYSXKK-QWRGUYRKSA-N 0 3 219.332 2.706 20 0 BFADHN COCC[C@H](NCCC1CC1)c1ccco1 ZINC000309648935 384687545 /nfs/dbraw/zinc/68/75/45/384687545.db2.gz NITQLCKXVAHJQO-LBPRGKRZSA-N 0 3 223.316 2.747 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ccc(CC)o1 ZINC000309891810 384688294 /nfs/dbraw/zinc/68/82/94/384688294.db2.gz CXECCBGNVAMOMO-QWRGUYRKSA-N 0 3 225.332 2.918 20 0 BFADHN C[C@H](O)CN(C)Cc1cccc(C(F)(F)F)c1 ZINC000051821327 384689551 /nfs/dbraw/zinc/68/95/51/384689551.db2.gz QXTAARQGSNHVBQ-VIFPVBQESA-N 0 3 247.260 2.518 20 0 BFADHN Cc1noc(C)c1CNCCC1(F)CCC1 ZINC000309651425 384690593 /nfs/dbraw/zinc/69/05/93/384690593.db2.gz TUKISCCCQCEZSZ-UHFFFAOYSA-N 0 3 226.295 2.663 20 0 BFADHN CC(C)C[C@H]1OCCC[C@@H]1NCc1ccco1 ZINC000185190805 384691185 /nfs/dbraw/zinc/69/11/85/384691185.db2.gz MBBPOSYLVHVSKH-UONOGXRCSA-N 0 3 237.343 2.963 20 0 BFADHN Cc1scc(CNC2CSC2)c1C ZINC000306887998 384692435 /nfs/dbraw/zinc/69/24/35/384692435.db2.gz RINCWLVMAXICMV-UHFFFAOYSA-N 0 3 213.371 2.570 20 0 BFADHN C[C@H](N[C@H](CCO)C(C)(C)C)c1ccco1 ZINC000185191146 384692498 /nfs/dbraw/zinc/69/24/98/384692498.db2.gz IBUSMQUZFCFDNV-CMPLNLGQSA-N 0 3 225.332 2.727 20 0 BFADHN CC(C)C[C@@H]1OCCC[C@H]1NCc1ccncc1 ZINC000185195342 384692556 /nfs/dbraw/zinc/69/25/56/384692556.db2.gz KVIPTTVMEAKPSN-CABCVRRESA-N 0 3 248.370 2.765 20 0 BFADHN Cc1nc(C)c([C@@H](C)N[C@H]2CCCOC2)s1 ZINC000082589372 384692706 /nfs/dbraw/zinc/69/27/06/384692706.db2.gz BWAFYQWHRAZKQW-KOLCDFICSA-N 0 3 240.372 2.590 20 0 BFADHN CC(C)C[C@@H]1OCCC[C@@H]1NCc1ccccn1 ZINC000185195122 384692808 /nfs/dbraw/zinc/69/28/08/384692808.db2.gz FXEJMDBRBROIQF-GJZGRUSLSA-N 0 3 248.370 2.765 20 0 BFADHN CC[C@H](NCc1n[nH]c(C)n1)c1ccc(C)cc1 ZINC000358045884 384694100 /nfs/dbraw/zinc/69/41/00/384694100.db2.gz KLVPUVSZZPJTBH-ZDUSSCGKSA-N 0 3 244.342 2.662 20 0 BFADHN Cc1cc(C)cc(CN2CCN(C)[C@@H](C)[C@H]2C)c1 ZINC000356999335 384696870 /nfs/dbraw/zinc/69/68/70/384696870.db2.gz KDAQDIAUKQPGOE-LSDHHAIUSA-N 0 3 246.398 2.828 20 0 BFADHN Cn1c(Cl)cnc1CNCC1(C)CCCC1 ZINC000082625468 384697702 /nfs/dbraw/zinc/69/77/02/384697702.db2.gz NLSQNSQTVWMZOG-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN Cc1cn[nH]c1CN[C@@H](C)c1ccccc1 ZINC000289558840 384700960 /nfs/dbraw/zinc/70/09/60/384700960.db2.gz QVEDULLJIWXLBB-NSHDSACASA-N 0 3 215.300 2.569 20 0 BFADHN CCc1cc(NCC[C@H](C)O)c2ccccc2n1 ZINC000125177196 384701560 /nfs/dbraw/zinc/70/15/60/384701560.db2.gz SYTBEYGUCJKYRL-NSHDSACASA-N 0 3 244.338 2.980 20 0 BFADHN COC(=O)CCC(C)(C)NCc1ccsc1 ZINC000309663923 384701675 /nfs/dbraw/zinc/70/16/75/384701675.db2.gz SMBDWUFCURNQRZ-UHFFFAOYSA-N 0 3 241.356 2.570 20 0 BFADHN C[C@@H]1C[C@@H](NC2(c3cccc(F)c3)CCC2)CO1 ZINC000647232813 384702135 /nfs/dbraw/zinc/70/21/35/384702135.db2.gz FPHJZNUZIHNSSG-BXUZGUMPSA-N 0 3 249.329 2.972 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1cccc(O)c1)OC ZINC000294262045 384702374 /nfs/dbraw/zinc/70/23/74/384702374.db2.gz QZMZDPCROYCXIK-BXUZGUMPSA-N 0 3 237.343 2.543 20 0 BFADHN OC[C@H]1CCCN(C/C=C/c2ccccc2)CC1 ZINC000293171782 384703123 /nfs/dbraw/zinc/70/31/23/384703123.db2.gz PFGODOPRGJWVGJ-YCOJEUHLSA-N 0 3 245.366 2.794 20 0 BFADHN Fc1cc(F)cc(CCNCc2cccnc2)c1 ZINC000051634543 384703289 /nfs/dbraw/zinc/70/32/89/384703289.db2.gz HUJFLEZMUIPDEV-UHFFFAOYSA-N 0 3 248.276 2.692 20 0 BFADHN CCc1ncc(CN[C@@H](C)CC2CC2)o1 ZINC000449609135 384707457 /nfs/dbraw/zinc/70/74/57/384707457.db2.gz MFJAIVYLNQBHCW-VIFPVBQESA-N 0 3 208.305 2.515 20 0 BFADHN CSC1(CNCc2ccc(F)cn2)CCC1 ZINC000309913605 384708550 /nfs/dbraw/zinc/70/85/50/384708550.db2.gz ODUGMRFORGGSIJ-UHFFFAOYSA-N 0 3 240.347 2.596 20 0 BFADHN C[C@H](NCc1cc[nH]n1)C(C)(C)c1ccccc1 ZINC000102781401 384728217 /nfs/dbraw/zinc/72/82/17/384728217.db2.gz AQPAMODQRLNCPI-LBPRGKRZSA-N 0 3 243.354 2.866 20 0 BFADHN C[C@H](N[C@H]1CC12CC2)c1ccc2c(c1)OCO2 ZINC000335279025 384710891 /nfs/dbraw/zinc/71/08/91/384710891.db2.gz OJAWTUZWRBUVAV-ZANVPECISA-N 0 3 231.295 2.618 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1ccc(F)cc1F ZINC000309905739 384712029 /nfs/dbraw/zinc/71/20/29/384712029.db2.gz KDSXLFZDHUAGEH-NEPJUHHUSA-N 0 3 243.322 2.948 20 0 BFADHN CCC1CCN(Cc2cncs2)CC1 ZINC000125319060 384712238 /nfs/dbraw/zinc/71/22/38/384712238.db2.gz RYYUHANYMANLON-UHFFFAOYSA-N 0 3 210.346 2.765 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1nc2ccccc2n1C ZINC000335280515 384712272 /nfs/dbraw/zinc/71/22/72/384712272.db2.gz UKSVHHFBJYEHQS-MFKMUULPSA-N 0 3 241.338 2.776 20 0 BFADHN CC(C)[C@@H](CO)NCc1ccc(Cl)cc1F ZINC000162969628 384712384 /nfs/dbraw/zinc/71/23/84/384712384.db2.gz KJKZBDABYQNCDC-GFCCVEGCSA-N 0 3 245.725 2.586 20 0 BFADHN Cc1cc(C)nc(NCC[C@@H]2CCOC2)c1 ZINC000309920043 384714024 /nfs/dbraw/zinc/71/40/24/384714024.db2.gz RMJDDDJUJALFSP-GFCCVEGCSA-N 0 3 220.316 2.537 20 0 BFADHN Cc1c[nH]nc1CNCCC1CCCCC1 ZINC000309920735 384714706 /nfs/dbraw/zinc/71/47/06/384714706.db2.gz RVPSMDCLECSIGW-UHFFFAOYSA-N 0 3 221.348 2.778 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc3cnccc3c2)CO1 ZINC000402365146 384727702 /nfs/dbraw/zinc/72/77/02/384727702.db2.gz WTZVYHORMUABJB-XHDPSFHLSA-N 0 3 242.322 2.502 20 0 BFADHN C[C@@H](CO)[C@H](C)NCc1ccc(Cl)cc1F ZINC000162981122 384717274 /nfs/dbraw/zinc/71/72/74/384717274.db2.gz LKXDCARSCUHTNZ-IUCAKERBSA-N 0 3 245.725 2.586 20 0 BFADHN CC(C)=CCN1CCN(CC=C(C)C)[C@H](C)C1 ZINC000125462816 384717384 /nfs/dbraw/zinc/71/73/84/384717384.db2.gz WKXOPARIGBURLS-OAHLLOKOSA-N 0 3 236.403 2.925 20 0 BFADHN C[C@H](NC[C@](C)(O)c1ccccc1)c1ccco1 ZINC000052097735 384717569 /nfs/dbraw/zinc/71/75/69/384717569.db2.gz QEJGLJGSFDHWTR-WFASDCNBSA-N 0 3 245.322 2.838 20 0 BFADHN C[C@H]1C[C@H](NCc2cccc(Cl)c2)CO1 ZINC000335426044 384718920 /nfs/dbraw/zinc/71/89/20/384718920.db2.gz PQYWUYYNHJYQLR-CABZTGNLSA-N 0 3 225.719 2.607 20 0 BFADHN CCc1ncc(CN[C@H]2CCC[C@@H]2C)o1 ZINC000449611060 384719136 /nfs/dbraw/zinc/71/91/36/384719136.db2.gz UAYDMEMACZFFQO-ONGXEEELSA-N 0 3 208.305 2.515 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(F)c(Cl)c2)CO1 ZINC000335426284 384719408 /nfs/dbraw/zinc/71/94/08/384719408.db2.gz SFHYEYWFHYIKFD-PSASIEDQSA-N 0 3 243.709 2.746 20 0 BFADHN Cc1c[nH]nc1CN[C@H]1CCC12CCCC2 ZINC000309924385 384719575 /nfs/dbraw/zinc/71/95/75/384719575.db2.gz UOIMVXDZTWZOIR-LBPRGKRZSA-N 0 3 219.332 2.531 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@H]2CC)o1 ZINC000449611521 384719683 /nfs/dbraw/zinc/71/96/83/384719683.db2.gz WCFFZXOODVDXGN-KOLCDFICSA-N 0 3 208.305 2.515 20 0 BFADHN CC(C)C[C@H](C)CN1CCC(=O)[C@@H](C)C1 ZINC000300932626 384720710 /nfs/dbraw/zinc/72/07/10/384720710.db2.gz NYECFLSVJPSUCV-RYUDHWBXSA-N 0 3 211.349 2.580 20 0 BFADHN CCCc1ncc(CN[C@@H]2CCCSC2)o1 ZINC000449611970 384721448 /nfs/dbraw/zinc/72/14/48/384721448.db2.gz IXMAPEIJKLVRMU-SNVBAGLBSA-N 0 3 240.372 2.612 20 0 BFADHN Fc1ccc(F)c(CN[C@H]2CC23CC3)c1 ZINC000335285729 384722287 /nfs/dbraw/zinc/72/22/87/384722287.db2.gz ZCYBJOXSTDPYGD-NSHDSACASA-N 0 3 209.239 2.607 20 0 BFADHN CC[C@H](COC)NCc1cc(F)ccc1C ZINC000163246047 384775575 /nfs/dbraw/zinc/77/55/75/384775575.db2.gz MDASQVMMSSNDGL-CYBMUJFWSA-N 0 3 225.307 2.649 20 0 BFADHN CO[C@@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC000102794463 384729988 /nfs/dbraw/zinc/72/99/88/384729988.db2.gz LNZXWSGQLHRDKU-FUVBFXSKSA-N 0 3 231.339 2.811 20 0 BFADHN CCCC1(CNCc2cncnc2OC)CCC1 ZINC000647191905 384730790 /nfs/dbraw/zinc/73/07/90/384730790.db2.gz GQLAZUYKCMUFKJ-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN C[C@@H](O)CCN[C@@H](C)c1ccc(Cl)s1 ZINC000082994100 384733276 /nfs/dbraw/zinc/73/32/76/384733276.db2.gz RBRMLIRALPRZBT-SFYZADRCSA-N 0 3 233.764 2.823 20 0 BFADHN CC(C)[C@H]1C[C@H](N[C@H]2CCC[C@H]2F)CS1 ZINC000518147932 384737798 /nfs/dbraw/zinc/73/77/98/384737798.db2.gz KBKCCZLAHYSBJM-WHOHXGKFSA-N 0 3 231.380 2.997 20 0 BFADHN C[C@H]1C[C@@H](NCc2sccc2Cl)CO1 ZINC000335442850 384739206 /nfs/dbraw/zinc/73/92/06/384739206.db2.gz ZNUKUBIFAYVXIV-JGVFFNPUSA-N 0 3 231.748 2.669 20 0 BFADHN FC1(F)CCCC[C@H]1CNCc1cccnc1 ZINC000294294793 384739458 /nfs/dbraw/zinc/73/94/58/384739458.db2.gz ULDQWIUORYPGLI-LBPRGKRZSA-N 0 3 240.297 2.997 20 0 BFADHN Cc1c[nH]nc1CNCCCSCC(C)C ZINC000289563594 384740444 /nfs/dbraw/zinc/74/04/44/384740444.db2.gz REWOAMYRAOGPGB-UHFFFAOYSA-N 0 3 241.404 2.587 20 0 BFADHN Cc1ccc(CN[C@H]2CC(C)(C)OC2(C)C)nc1 ZINC000335966552 384742629 /nfs/dbraw/zinc/74/26/29/384742629.db2.gz BQSCEDIHJDGCCB-ZDUSSCGKSA-N 0 3 248.370 2.826 20 0 BFADHN C[C@@H](O)C[C@@H]1CCCCN1Cc1ccsc1 ZINC000163115015 384743868 /nfs/dbraw/zinc/74/38/68/384743868.db2.gz QYXVDMJVLVROFJ-YPMHNXCESA-N 0 3 239.384 2.874 20 0 BFADHN CCOC[C@@H](C)N[C@@H](C)c1ccc(Cl)cn1 ZINC000309968698 384747984 /nfs/dbraw/zinc/74/79/84/384747984.db2.gz ONEVTZTUWJSKSQ-ZJUUUORDSA-N 0 3 242.750 2.811 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCC2(C)COC2)o1 ZINC000083522964 384779589 /nfs/dbraw/zinc/77/95/89/384779589.db2.gz WLYMEUWXPGTFNM-PWSUYJOCSA-N 0 3 235.327 2.529 20 0 BFADHN Cc1noc(C)c1CCN[C@@H](C)c1ccncc1 ZINC000174066743 384750788 /nfs/dbraw/zinc/75/07/88/384750788.db2.gz OXOPHOXAXLARJU-JTQLQIEISA-N 0 3 245.326 2.580 20 0 BFADHN CS[C@@H](C)CNCc1cc(F)c(F)cc1F ZINC000125813682 384751782 /nfs/dbraw/zinc/75/17/82/384751782.db2.gz NRXUQUPUNIAEAH-ZETCQYMHSA-N 0 3 249.301 2.945 20 0 BFADHN C[C@H](NC[C@@H](O)C1CCCCC1)c1ccco1 ZINC000163148508 384753371 /nfs/dbraw/zinc/75/33/71/384753371.db2.gz DXTAGJMEOMKYQF-WCQYABFASA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H](NCC1CC(F)(F)C1)c1ccco1 ZINC000293751113 384756088 /nfs/dbraw/zinc/75/60/88/384756088.db2.gz RIVHCJDZTCLEOJ-MRVPVSSYSA-N 0 3 215.243 2.976 20 0 BFADHN CC(C)[C@@H]1CCC[C@H](NCc2ncc[nH]2)C1 ZINC000108879698 384757996 /nfs/dbraw/zinc/75/79/96/384757996.db2.gz APBMDJPQWIYGNG-NEPJUHHUSA-N 0 3 221.348 2.714 20 0 BFADHN COC(C)(C)C[C@@H](C)NCc1ccc(C)o1 ZINC000053036070 384759046 /nfs/dbraw/zinc/75/90/46/384759046.db2.gz FOFYKHDSVZRGHM-SNVBAGLBSA-N 0 3 225.332 2.881 20 0 BFADHN Cc1ccc(CNC[C@@](C)(O)c2ccccc2)o1 ZINC000053037317 384760553 /nfs/dbraw/zinc/76/05/53/384760553.db2.gz TXVUISPLTCTZFV-OAHLLOKOSA-N 0 3 245.322 2.585 20 0 BFADHN Cc1cccc(-c2n[nH]cc2CN2CCCC2)c1 ZINC000103341386 384763402 /nfs/dbraw/zinc/76/34/02/384763402.db2.gz WCRFILXUCKVUSX-UHFFFAOYSA-N 0 3 241.338 2.981 20 0 BFADHN Cc1ccc(O)c(CNCc2ccccc2C)n1 ZINC000103340916 384763548 /nfs/dbraw/zinc/76/35/48/384763548.db2.gz BTBZCQVTAHUIKZ-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN CC[C@](C)(CN[C@H](C)c1cccc(O)c1)OC ZINC000323043985 384765915 /nfs/dbraw/zinc/76/59/15/384765915.db2.gz PAHZHDYSLJNNNS-BXUZGUMPSA-N 0 3 237.343 2.858 20 0 BFADHN Cc1ccc(CNCC2=CCCOC2)cc1F ZINC000126311188 384769617 /nfs/dbraw/zinc/76/96/17/384769617.db2.gz YUTYWJVKWXXRNJ-UHFFFAOYSA-N 0 3 235.302 2.570 20 0 BFADHN FC1(F)CCCC[C@@H]1CNCc1ccncc1 ZINC000294340041 384769838 /nfs/dbraw/zinc/76/98/38/384769838.db2.gz YJDDVTLNKIKPOV-GFCCVEGCSA-N 0 3 240.297 2.997 20 0 BFADHN COC[C@H](NCc1ccccc1F)C(C)C ZINC000083397937 384770038 /nfs/dbraw/zinc/77/00/38/384770038.db2.gz LBHZGDCIPBOKHZ-ZDUSSCGKSA-N 0 3 225.307 2.586 20 0 BFADHN CCC[C@H](O)CN[C@@H](C)c1ccc(Cl)cn1 ZINC000309999489 384770868 /nfs/dbraw/zinc/77/08/68/384770868.db2.gz DHJTWIGGHHKWEA-ONGXEEELSA-N 0 3 242.750 2.547 20 0 BFADHN COC[C@@H](C)NCc1ccc(F)c(Cl)c1 ZINC000053197576 384771627 /nfs/dbraw/zinc/77/16/27/384771627.db2.gz BPSOBIBNNAOLDN-MRVPVSSYSA-N 0 3 231.698 2.604 20 0 BFADHN CCOc1cccc(CN2CC[C@H]3CCC[C@H]32)n1 ZINC000426460832 384823647 /nfs/dbraw/zinc/82/36/47/384823647.db2.gz OLHALBKVZBBGTB-TZMCWYRMSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1nc(CCN2CCC[C@H](C)C2)cs1 ZINC000163629598 384835363 /nfs/dbraw/zinc/83/53/63/384835363.db2.gz QKEGPXCMCBIANL-JTQLQIEISA-N 0 3 224.373 2.726 20 0 BFADHN CC1(C)CN(CCCCF)[C@H]1[C@H]1CCCO1 ZINC000368066786 384782202 /nfs/dbraw/zinc/78/22/02/384782202.db2.gz YVSRSTOAFYFYHS-NEPJUHHUSA-N 0 3 229.339 2.626 20 0 BFADHN CCN(C)Cc1c[nH]nc1-c1ccccc1F ZINC000126285194 384787806 /nfs/dbraw/zinc/78/78/06/384787806.db2.gz ROBXKQIKCSSXPX-UHFFFAOYSA-N 0 3 233.290 2.668 20 0 BFADHN CSCCCN1CC(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC000368069264 384789747 /nfs/dbraw/zinc/78/97/47/384789747.db2.gz FLTCLDUIICDUEE-RYUDHWBXSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC[C@H](C)[C@H]1C ZINC000309746848 384790665 /nfs/dbraw/zinc/79/06/65/384790665.db2.gz JIZODRXBSPUHIY-IQJOONFLSA-N 0 3 239.388 2.761 20 0 BFADHN CN(Cc1cccc(Cl)c1)CC(C)(C)O ZINC000042437316 384793386 /nfs/dbraw/zinc/79/33/86/384793386.db2.gz IINNKLDXZLQNLW-UHFFFAOYSA-N 0 3 227.735 2.543 20 0 BFADHN Cc1cscc1N[C@@H]1CCN(C)[C@@H](C)C1 ZINC000335612370 384794080 /nfs/dbraw/zinc/79/40/80/384794080.db2.gz CKVOPPQBOKVXNU-WDEREUQCSA-N 0 3 224.373 2.951 20 0 BFADHN CC[C@H](N[C@@H]1CO[C@@H](C)C1)c1c(C)noc1C ZINC000647196588 384798615 /nfs/dbraw/zinc/79/86/15/384798615.db2.gz GMZUNZXZRRBXAM-UWJYBYFXSA-N 0 3 238.331 2.510 20 0 BFADHN Clc1ccc(CN[C@@H]2C[C@@H]3OCCC[C@@H]23)o1 ZINC000336668972 384799190 /nfs/dbraw/zinc/79/91/90/384799190.db2.gz GMISTQSXELCTKT-AXFHLTTASA-N 0 3 241.718 2.590 20 0 BFADHN C[C@@H]1CC[C@H](CNC/C=C/c2ccccc2)O1 ZINC000398092066 384799219 /nfs/dbraw/zinc/79/92/19/384799219.db2.gz YLSSOLLRYCPAOJ-IEOKHJKGSA-N 0 3 231.339 2.857 20 0 BFADHN CCC1(CN[C@H](C)c2cc(C)n(C)n2)CC1 ZINC000310030614 384799647 /nfs/dbraw/zinc/79/96/47/384799647.db2.gz QYNIIJNXEYFRNM-LLVKDONJSA-N 0 3 221.348 2.569 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCC[C@H](C)C2)o1 ZINC000398100355 384802584 /nfs/dbraw/zinc/80/25/84/384802584.db2.gz MFUMIEHSPLNSRC-CMPLNLGQSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1c[nH]nc1CN[C@H]1CCCC(C)(C)C1 ZINC000335084895 384804459 /nfs/dbraw/zinc/80/44/59/384804459.db2.gz NEOLVKLWRXKRLN-NSHDSACASA-N 0 3 221.348 2.777 20 0 BFADHN C[C@@H](CCc1cccn1C)NCc1ccco1 ZINC000174567039 384809938 /nfs/dbraw/zinc/80/99/38/384809938.db2.gz IEDHXLQIQKZKNY-LBPRGKRZSA-N 0 3 232.327 2.729 20 0 BFADHN CCc1ccc([C@@H](C)NCCCn2cccn2)o1 ZINC000127167728 384871054 /nfs/dbraw/zinc/87/10/54/384871054.db2.gz LHHKZKQXYUUWNS-GFCCVEGCSA-N 0 3 247.342 2.779 20 0 BFADHN CCOc1ccccc1[C@@H](C)NC[C@@H](O)CC ZINC000163902514 384871777 /nfs/dbraw/zinc/87/17/77/384871777.db2.gz IUGJQKPTMQHWAG-NEPJUHHUSA-N 0 3 237.343 2.507 20 0 BFADHN COCc1cnc(CNCCC2(C)CC2)s1 ZINC000309846747 384871890 /nfs/dbraw/zinc/87/18/90/384871890.db2.gz GZCGHWXVPCOGEH-UHFFFAOYSA-N 0 3 240.372 2.569 20 0 BFADHN COc1cc(C)cc(CNCc2cccn2C)c1 ZINC000127197965 384872598 /nfs/dbraw/zinc/87/25/98/384872598.db2.gz MHXVWVZXUHCXQW-UHFFFAOYSA-N 0 3 244.338 2.632 20 0 BFADHN CCC1(NCc2ncc(Cl)n2C)CCCC1 ZINC000294475510 384876282 /nfs/dbraw/zinc/87/62/82/384876282.db2.gz WIRVGSFPEVLYSV-UHFFFAOYSA-N 0 3 241.766 2.886 20 0 BFADHN CCS[C@@H]1CCC[C@H]1NC[C@H](F)CC ZINC000308629811 384878119 /nfs/dbraw/zinc/87/81/19/384878119.db2.gz YMXNWWGCVMYIAV-GMTAPVOTSA-N 0 3 219.369 2.998 20 0 BFADHN C[C@@H](N[C@@H]1CC=CCC1)c1cc2n(n1)CCCC2 ZINC000647293535 384881802 /nfs/dbraw/zinc/88/18/02/384881802.db2.gz NDBCYKUBZHHKML-CHWSQXEVSA-N 0 3 245.370 2.979 20 0 BFADHN Cc1cc(CN(CC2CC2)CC2CC2)no1 ZINC000115564185 384885471 /nfs/dbraw/zinc/88/54/71/384885471.db2.gz OPZCINVERNVERA-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1ccc(Cl)nc1 ZINC000126884091 384838517 /nfs/dbraw/zinc/83/85/17/384838517.db2.gz DCSVACXEANZBDJ-ONGXEEELSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@@H]1C[C@@]1(NCCCO)c1ccc(Cl)cc1 ZINC000309799977 384838790 /nfs/dbraw/zinc/83/87/90/384838790.db2.gz QZBDHTOIUDBBBG-MFKMUULPSA-N 0 3 239.746 2.547 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@H]2CCc3cc(F)ccc32)O1 ZINC000398209567 384844252 /nfs/dbraw/zinc/84/42/52/384844252.db2.gz IWHQOBJOYPOPAN-YVLXSGLVSA-N 0 3 249.329 2.970 20 0 BFADHN CC[C@@H](C)[C@H](C)N[C@@H](CCO)c1ccco1 ZINC000186512835 384846417 /nfs/dbraw/zinc/84/64/17/384846417.db2.gz IJJCEQQYDGMUDE-WOPDTQHZSA-N 0 3 225.332 2.727 20 0 BFADHN CCc1ccccc1CNCc1cccn1C ZINC000084200799 384846408 /nfs/dbraw/zinc/84/64/08/384846408.db2.gz TXRJLNXMKVUODY-UHFFFAOYSA-N 0 3 228.339 2.877 20 0 BFADHN Cc1cccc(CN[C@H](C)C(C)(C)C)n1 ZINC000127302403 384849138 /nfs/dbraw/zinc/84/91/38/384849138.db2.gz SRHXSAOQSVCECV-LLVKDONJSA-N 0 3 206.333 2.914 20 0 BFADHN CC(C)[C@H](NCc1c[nH]cn1)c1ccccc1 ZINC000054764377 384850247 /nfs/dbraw/zinc/85/02/47/384850247.db2.gz DOWIGMNYTUFSCR-AWEZNQCLSA-N 0 3 229.327 2.897 20 0 BFADHN CC(C)[C@H](NCc1cnc[nH]1)c1ccccc1 ZINC000054764377 384850249 /nfs/dbraw/zinc/85/02/49/384850249.db2.gz DOWIGMNYTUFSCR-AWEZNQCLSA-N 0 3 229.327 2.897 20 0 BFADHN Cc1noc(C)c1CN(CC1CC1)CC1CC1 ZINC000115562863 384851632 /nfs/dbraw/zinc/85/16/32/384851632.db2.gz HYSYIFHJTIJHHB-UHFFFAOYSA-N 0 3 234.343 2.913 20 0 BFADHN C[C@H](NCc1ccccc1Cl)[C@@H]1CCOC1 ZINC000127022341 384851949 /nfs/dbraw/zinc/85/19/49/384851949.db2.gz UCGJYCIDVHHWBP-CMPLNLGQSA-N 0 3 239.746 2.855 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](F)C1)c1cncs1 ZINC000306294306 384857089 /nfs/dbraw/zinc/85/70/89/384857089.db2.gz VWMJWCAECZLXEO-XHNCKOQMSA-N 0 3 214.309 2.684 20 0 BFADHN Cc1csc(CNC2(C3(C)CC3)CC2)n1 ZINC000398225434 384858234 /nfs/dbraw/zinc/85/82/34/384858234.db2.gz SVPSJDOPJGTHTG-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CCCc3ccc(F)cc32)CO1 ZINC000647198491 384860291 /nfs/dbraw/zinc/86/02/91/384860291.db2.gz IFHOMFIHRALXKQ-WDBKCZKBSA-N 0 3 249.329 2.970 20 0 BFADHN CCC[C@@H](NCCOC(C)C)c1cccnc1 ZINC000186633536 384861952 /nfs/dbraw/zinc/86/19/52/384861952.db2.gz ZINZIHPQTYAYIQ-CQSZACIVSA-N 0 3 236.359 2.937 20 0 BFADHN Fc1ccc2c(c1)[C@H](NCC1=CCCOC1)CC2 ZINC000127135286 384868761 /nfs/dbraw/zinc/86/87/61/384868761.db2.gz VQFVMWLPKLDQHC-OAHLLOKOSA-N 0 3 247.313 2.749 20 0 BFADHN Fc1cccc2c1CC[C@@H]2NCC1=CCOCC1 ZINC000335230791 384869400 /nfs/dbraw/zinc/86/94/00/384869400.db2.gz APAVALWTHYSVRN-HNNXBMFYSA-N 0 3 247.313 2.749 20 0 BFADHN COC[C@H](C)NCc1cnc(C2CCC2)s1 ZINC000129977563 384952772 /nfs/dbraw/zinc/95/27/72/384952772.db2.gz UZXNYNHBIDTNJL-VIFPVBQESA-N 0 3 240.372 2.535 20 0 BFADHN COC(C)(C)C[C@H](C)N[C@H](C)c1cscn1 ZINC000127875501 384955807 /nfs/dbraw/zinc/95/58/07/384955807.db2.gz CLJFZICRRPQMIJ-VHSXEESVSA-N 0 3 242.388 2.997 20 0 BFADHN Cc1cccc(C)c1NC(=O)CNCC1(C)CC1 ZINC000573679999 384956142 /nfs/dbraw/zinc/95/61/42/384956142.db2.gz ADKUQAGYLWLZLR-UHFFFAOYSA-N 0 3 246.354 2.632 20 0 BFADHN Clc1cccnc1CN1CC[C@H](C2CC2)C1 ZINC000528434730 384893853 /nfs/dbraw/zinc/89/38/53/384893853.db2.gz XPFLBFVVOUDKAB-NSHDSACASA-N 0 3 236.746 2.967 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccsc1)C1CC1 ZINC000293737833 384902205 /nfs/dbraw/zinc/90/22/05/384902205.db2.gz OZMZSBOKURMREW-CABZTGNLSA-N 0 3 225.357 2.824 20 0 BFADHN CO[C@@H](CN[C@@H]1CCc2cc(F)ccc21)C1CC1 ZINC000293737891 384906008 /nfs/dbraw/zinc/90/60/08/384906008.db2.gz OZXXJGUJNKNMRQ-CABCVRRESA-N 0 3 249.329 2.828 20 0 BFADHN CCCC[C@@](C)(CO)NCc1ccsc1 ZINC000647239544 384908063 /nfs/dbraw/zinc/90/80/63/384908063.db2.gz DBRAIWMFSRUVKX-LBPRGKRZSA-N 0 3 227.373 2.779 20 0 BFADHN FC1(F)CC[C@H](CN2CCO[C@@H](C3CC3)C2)C1 ZINC000336268116 384908734 /nfs/dbraw/zinc/90/87/34/384908734.db2.gz VWIILJATXJVYCJ-CMPLNLGQSA-N 0 3 245.313 2.533 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@H]2CC23CCCC3)n1 ZINC000336116820 384909289 /nfs/dbraw/zinc/90/92/89/384909289.db2.gz VGNIWNYUUXFUTN-ZDUSSCGKSA-N 0 3 232.327 2.518 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CCCO1)c1ccsc1 ZINC000250565449 384910459 /nfs/dbraw/zinc/91/04/59/384910459.db2.gz TUSWFTQATANGNW-JBLDHEPKSA-N 0 3 225.357 2.966 20 0 BFADHN Cc1ccc(C)c(NC(=O)[C@H](C(C)C)N(C)C)c1 ZINC000106407701 384911348 /nfs/dbraw/zinc/91/13/48/384911348.db2.gz VHEVOAGYMCVSKB-AWEZNQCLSA-N 0 3 248.370 2.828 20 0 BFADHN Cc1ccc(C)c(NC(=O)[C@@H](C(C)C)N(C)C)c1 ZINC000106407698 384911989 /nfs/dbraw/zinc/91/19/89/384911989.db2.gz VHEVOAGYMCVSKB-CQSZACIVSA-N 0 3 248.370 2.828 20 0 BFADHN CC[C@H](O)CN1CCC[C@@H]1c1ccc(F)cc1 ZINC000106381548 384913141 /nfs/dbraw/zinc/91/31/41/384913141.db2.gz IOCKVJISGYUFBU-UONOGXRCSA-N 0 3 237.318 2.734 20 0 BFADHN COCCN[C@H]1CC2(CCC2)Oc2ccccc21 ZINC000152128659 384959011 /nfs/dbraw/zinc/95/90/11/384959011.db2.gz RQYDANVAASOMKA-ZDUSSCGKSA-N 0 3 247.338 2.669 20 0 BFADHN CC1(C)CC(NCc2cn3ccccc3n2)C1 ZINC000336131389 384914606 /nfs/dbraw/zinc/91/46/06/384914606.db2.gz LVAYEVWTEOWYEB-UHFFFAOYSA-N 0 3 229.327 2.613 20 0 BFADHN Cc1ccc(CN[C@@H](CO)CC(C)C)cc1F ZINC000106410209 384915152 /nfs/dbraw/zinc/91/51/52/384915152.db2.gz GLNGRHJMLCFVEZ-CYBMUJFWSA-N 0 3 239.334 2.631 20 0 BFADHN CC[C@@H](C)[C@@H](N)C(=O)NCCCCC(C)(C)C ZINC000236967182 384918295 /nfs/dbraw/zinc/91/82/95/384918295.db2.gz WWIVPUKHPHOQSJ-VXGBXAGGSA-N 0 3 242.407 2.692 20 0 BFADHN CC(C)CC[C@H](O)CNCc1ccc(Cl)o1 ZINC000644519827 384922247 /nfs/dbraw/zinc/92/22/47/384922247.db2.gz XDGCRLFOZGAFOW-JTQLQIEISA-N 0 3 245.750 2.820 20 0 BFADHN COc1ccccc1CNC[C@@H](C)SC ZINC000128125807 384931662 /nfs/dbraw/zinc/93/16/62/384931662.db2.gz PODKUCNSLSKRPM-SNVBAGLBSA-N 0 3 225.357 2.536 20 0 BFADHN c1cnc2c(c1)CCC[C@@H]2N[C@H]1CC12CC2 ZINC000643907935 384934284 /nfs/dbraw/zinc/93/42/84/384934284.db2.gz OWRGGWYBGCXIIR-RYUDHWBXSA-N 0 3 214.312 2.601 20 0 BFADHN C[C@@H](NCCn1cc(Cl)cn1)c1ccccc1 ZINC000310264746 384934457 /nfs/dbraw/zinc/93/44/57/384934457.db2.gz HBSOCGDXRRVONV-LLVKDONJSA-N 0 3 249.745 2.887 20 0 BFADHN CS[C@@H](C)CNCc1ccccc1F ZINC000128186295 384934942 /nfs/dbraw/zinc/93/49/42/384934942.db2.gz KSYHNWHTHLZREM-VIFPVBQESA-N 0 3 213.321 2.667 20 0 BFADHN CC(C)CCOCCN[C@@H](C)c1ccoc1 ZINC000087290110 384935688 /nfs/dbraw/zinc/93/56/88/384935688.db2.gz VTSSIOGUICRIHC-LBPRGKRZSA-N 0 3 225.332 2.993 20 0 BFADHN COC[C@H](N[C@@H]1C[C@@H](C)[C@H]1C)c1ccc(C)o1 ZINC000495089214 533096301 /nfs/dbraw/zinc/09/63/01/533096301.db2.gz XLWOKNOZAQRBGV-JHEVNIALSA-N 0 3 237.343 2.910 20 0 BFADHN Cc1cc(C)cc(CN(C)[C@H]2CCOC2)c1 ZINC000106880422 384938476 /nfs/dbraw/zinc/93/84/76/384938476.db2.gz NMQBCISYWXCJSM-AWEZNQCLSA-N 0 3 219.328 2.524 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1ccc(CC)o1 ZINC000309944706 384942194 /nfs/dbraw/zinc/94/21/94/384942194.db2.gz CXECCBGNVAMOMO-GHMZBOCLSA-N 0 3 225.332 2.918 20 0 BFADHN CC(C)n1cc(CN[C@H](C)C2CCCC2)nn1 ZINC000310294251 384942752 /nfs/dbraw/zinc/94/27/52/384942752.db2.gz LHIGRZKBCJZHJF-LLVKDONJSA-N 0 3 236.363 2.527 20 0 BFADHN CCCC1(CNCc2cn(C(C)C)nn2)CC1 ZINC000310294322 384945327 /nfs/dbraw/zinc/94/53/27/384945327.db2.gz LJCDFMNBJAGBMA-UHFFFAOYSA-N 0 3 236.363 2.529 20 0 BFADHN CN(Cc1ccc(Cl)c(F)c1)CC(C)(C)O ZINC000127768310 384945415 /nfs/dbraw/zinc/94/54/15/384945415.db2.gz BZUHMHRPFFKORK-UHFFFAOYSA-N 0 3 245.725 2.682 20 0 BFADHN C[C@@H](O)CCN(C)Cc1cccc(Cl)c1 ZINC000128412409 384946201 /nfs/dbraw/zinc/94/62/01/384946201.db2.gz RAMYKGICSRHUAG-SNVBAGLBSA-N 0 3 227.735 2.543 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1)c1csc(Cl)c1 ZINC000306458447 384968393 /nfs/dbraw/zinc/96/83/93/384968393.db2.gz ZDPKKIOFCPLVCT-VXNVDRBHSA-N 0 3 231.748 2.841 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1scnc1C)OC ZINC000294138025 384972957 /nfs/dbraw/zinc/97/29/57/384972957.db2.gz GCVWQTAFFZYMCO-KOLCDFICSA-N 0 3 242.388 2.602 20 0 BFADHN C[C@@H](N[C@@H]1COCC1(C)C)c1cccc(F)c1 ZINC000312973560 384973791 /nfs/dbraw/zinc/97/37/91/384973791.db2.gz BIGBONMYCDXGCU-ZWNOBZJWSA-N 0 3 237.318 2.901 20 0 BFADHN C[C@H](N[C@H]1COCC1(C)C)c1cccc(F)c1 ZINC000312973554 384974390 /nfs/dbraw/zinc/97/43/90/384974390.db2.gz BIGBONMYCDXGCU-GWCFXTLKSA-N 0 3 237.318 2.901 20 0 BFADHN Cc1nocc1CN[C@@H]1CC[C@H](C)C[C@H]1C ZINC000339259545 384980518 /nfs/dbraw/zinc/98/05/18/384980518.db2.gz XUPQNCIRVBBYOD-OPQQBVKSSA-N 0 3 222.332 2.897 20 0 BFADHN Fc1ccc(CN(C[C@@H]2CCCO2)C2CC2)cc1 ZINC000128016092 384980555 /nfs/dbraw/zinc/98/05/55/384980555.db2.gz YFQYGTNQLXWHLY-HNNXBMFYSA-N 0 3 249.329 2.969 20 0 BFADHN CCC[C@]1(NCc2cc(C)ns2)CCOC1 ZINC000404415349 384981014 /nfs/dbraw/zinc/98/10/14/384981014.db2.gz MWPXNEUDHNPKRP-LBPRGKRZSA-N 0 3 240.372 2.500 20 0 BFADHN CN(Cc1ccc(Cl)cc1)C[C@@H]1CCCO1 ZINC000187811966 384984849 /nfs/dbraw/zinc/98/48/49/384984849.db2.gz KLGPGTGAYYMDFJ-ZDUSSCGKSA-N 0 3 239.746 2.951 20 0 BFADHN CC(C)C[C@H](N[C@@H]1CCOC1)c1ccccn1 ZINC000643911067 384985577 /nfs/dbraw/zinc/98/55/77/384985577.db2.gz RHNVPTBIIXFYSL-OCCSQVGLSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@@H](NCc1cc[nH]n1)C1CCCCCC1 ZINC000088163222 384986345 /nfs/dbraw/zinc/98/63/45/384986345.db2.gz PJALOXAIKJFFIJ-LLVKDONJSA-N 0 3 221.348 2.858 20 0 BFADHN CN(C/C=C/c1ccc(F)cc1)C[C@H]1CCCO1 ZINC000128074538 384990186 /nfs/dbraw/zinc/99/01/86/384990186.db2.gz BNQPLLMTVWDOIN-TXTHVTMNSA-N 0 3 249.329 2.950 20 0 BFADHN CC(C)[C@@H](CO)NCc1ccc(F)cc1Cl ZINC000086123644 384991496 /nfs/dbraw/zinc/99/14/96/384991496.db2.gz ZJWOHKNTNCTDHG-GFCCVEGCSA-N 0 3 245.725 2.586 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CCCC[C@@H]2O)o1 ZINC000187880866 384992757 /nfs/dbraw/zinc/99/27/57/384992757.db2.gz XXFAXQRFNAHDHZ-DRZSPHRISA-N 0 3 237.343 2.796 20 0 BFADHN CCCCOCCCNCc1cc(C)ns1 ZINC000404430356 384994385 /nfs/dbraw/zinc/99/43/85/384994385.db2.gz HTGSASZZBODGBP-UHFFFAOYSA-N 0 3 242.388 2.748 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCc3c(O)cccc32)CO1 ZINC000647205474 384994330 /nfs/dbraw/zinc/99/43/30/384994330.db2.gz BTCDYSPUBFOOMV-GYSYKLTISA-N 0 3 247.338 2.537 20 0 BFADHN Cc1ccc(NC(=O)CN2C[C@@H](C)C[C@@H]2C)cc1 ZINC000530624009 384994764 /nfs/dbraw/zinc/99/47/64/384994764.db2.gz IAOQEALHPDBKER-STQMWFEESA-N 0 3 246.354 2.664 20 0 BFADHN CC[C@@H](O)CNCc1ccc(C)cc1SC ZINC000644588179 384996940 /nfs/dbraw/zinc/99/69/40/384996940.db2.gz SDRAFUZGHSHHSB-GFCCVEGCSA-N 0 3 239.384 2.577 20 0 BFADHN c1cc(CNCCc2ccsc2)sn1 ZINC000404452044 384997811 /nfs/dbraw/zinc/99/78/11/384997811.db2.gz GZPLUMCYOAQAQD-UHFFFAOYSA-N 0 3 224.354 2.537 20 0 BFADHN C[C@@H](N[C@@H](CO)Cc1ccccc1)c1ccoc1 ZINC000094869317 384999414 /nfs/dbraw/zinc/99/94/14/384999414.db2.gz ROFYQQJHFPKVOS-IUODEOHRSA-N 0 3 245.322 2.534 20 0 BFADHN C/C=C\C[C@@H](CO)NCc1ccc(Cl)cc1 ZINC000309985685 385001676 /nfs/dbraw/zinc/00/16/76/385001676.db2.gz YFYFPRWSDFUCGX-ZRMMWKCHSA-N 0 3 239.746 2.757 20 0 BFADHN COc1ccc(CN[C@H]2CC[C@@H]2C)c(OC)c1 ZINC000310360270 385007650 /nfs/dbraw/zinc/00/76/50/385007650.db2.gz JVURGMWXAPBUPI-GWCFXTLKSA-N 0 3 235.327 2.592 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H]2NC[C@H]1CCCO1 ZINC000128189599 385011769 /nfs/dbraw/zinc/01/17/69/385011769.db2.gz JIHLINBXSACBSJ-OCCSQVGLSA-N 0 3 235.302 2.582 20 0 BFADHN Cc1ccc(CNC[C@H]2CSCCS2)o1 ZINC000129895139 385012981 /nfs/dbraw/zinc/01/29/81/385012981.db2.gz ALONJZQFJBHIDY-NSHDSACASA-N 0 3 243.397 2.526 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]2CC(C)C)sn1 ZINC000404469753 385012917 /nfs/dbraw/zinc/01/29/17/385012917.db2.gz ZZIUONBPJOMRPF-ZYHUDNBSSA-N 0 3 224.373 2.976 20 0 BFADHN CSC(C)(C)CN[C@H](C)c1nccnc1C ZINC000188096552 385014698 /nfs/dbraw/zinc/01/46/98/385014698.db2.gz ZWOAQLULXPMEKD-SNVBAGLBSA-N 0 3 239.388 2.577 20 0 BFADHN Fc1cccc(CCNCc2ccns2)c1 ZINC000404471291 385015806 /nfs/dbraw/zinc/01/58/06/385015806.db2.gz YIBAGAZVCXSYOW-UHFFFAOYSA-N 0 3 236.315 2.615 20 0 BFADHN Cc1cc(CNCCCC(C)C)sn1 ZINC000404472400 385016638 /nfs/dbraw/zinc/01/66/38/385016638.db2.gz RVVNSOOKRROKPJ-UHFFFAOYSA-N 0 3 212.362 2.977 20 0 BFADHN CO[C@H](C)CN[C@H]1CCCOc2ccccc21 ZINC000188122280 385017400 /nfs/dbraw/zinc/01/74/00/385017400.db2.gz DWDMRTIWJKGCGO-YPMHNXCESA-N 0 3 235.327 2.525 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1ccc2ccccc2n1 ZINC000188126723 385017460 /nfs/dbraw/zinc/01/74/60/385017460.db2.gz JCYQXTMOUVVITH-NWDGAFQWSA-N 0 3 244.338 2.920 20 0 BFADHN Cc1cc(CNCCc2cccs2)sn1 ZINC000404496446 385019492 /nfs/dbraw/zinc/01/94/92/385019492.db2.gz RPVBSKXPAPJWJM-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN Cc1cc(CNCCOc2ccccc2)sn1 ZINC000404498180 385019974 /nfs/dbraw/zinc/01/99/74/385019974.db2.gz UFTQIOBQUZVFJH-UHFFFAOYSA-N 0 3 248.351 2.620 20 0 BFADHN Cc1ccc(CN[C@H]2CCC[C@H]2F)cc1 ZINC000339581914 385020689 /nfs/dbraw/zinc/02/06/89/385020689.db2.gz FBYYTSKSZBKIFU-OLZOCXBDSA-N 0 3 207.292 2.975 20 0 BFADHN COCCN[C@H]1CCCOc2c(C)cc(C)cc21 ZINC000152135495 384963798 /nfs/dbraw/zinc/96/37/98/384963798.db2.gz NKBBLGWGEKZCCI-AWEZNQCLSA-N 0 3 249.354 2.753 20 0 BFADHN Cc1ccc(CN2CCC23CCC3)c(C)n1 ZINC000335692782 385047720 /nfs/dbraw/zinc/04/77/20/385047720.db2.gz TXPSXHHBNQUHJW-UHFFFAOYSA-N 0 3 216.328 2.827 20 0 BFADHN CC[C@H]1CCC[C@@H]1NCc1nccs1 ZINC000130534388 385048315 /nfs/dbraw/zinc/04/83/15/385048315.db2.gz GUWUYOTVUUBRCI-UWVGGRQHSA-N 0 3 210.346 2.811 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1nccs1 ZINC000130534162 385049377 /nfs/dbraw/zinc/04/93/77/385049377.db2.gz GUWUYOTVUUBRCI-ZJUUUORDSA-N 0 3 210.346 2.811 20 0 BFADHN CC(C)(CCO)CNCc1ccccc1Cl ZINC000164968533 385056147 /nfs/dbraw/zinc/05/61/47/385056147.db2.gz JITYZUQWYYKCQM-UHFFFAOYSA-N 0 3 241.762 2.838 20 0 BFADHN CC[C@@H](C)C[C@@H](CO)NCc1csc(C)c1 ZINC000647244691 385058969 /nfs/dbraw/zinc/05/89/69/385058969.db2.gz FOOXFXQXENWBBB-MFKMUULPSA-N 0 3 241.400 2.943 20 0 BFADHN CC[C@H](N[C@H](C)C(=O)N(C)CC)c1ccccc1 ZINC000188492147 385059832 /nfs/dbraw/zinc/05/98/32/385059832.db2.gz VEJHXRMGQWWELS-OCCSQVGLSA-N 0 3 248.370 2.594 20 0 BFADHN C[C@@H](F)CCN[C@@H]1CCCc2occc21 ZINC000340457344 385060910 /nfs/dbraw/zinc/06/09/10/385060910.db2.gz BLOLLPLDDUFXSH-MWLCHTKSSA-N 0 3 211.280 2.995 20 0 BFADHN C[C@H](F)CCN[C@@H](C)c1ccc(F)cn1 ZINC000340463714 385064225 /nfs/dbraw/zinc/06/42/25/385064225.db2.gz YPKTWWUPLIDUNZ-IUCAKERBSA-N 0 3 214.259 2.619 20 0 BFADHN C[C@H]1CCC[C@H](CCNCc2ccn(C)n2)C1 ZINC000096610410 385068962 /nfs/dbraw/zinc/06/89/62/385068962.db2.gz DOYHWCUDEHVXEX-QWHCGFSZSA-N 0 3 235.375 2.726 20 0 BFADHN Cc1cnc([C@@H](C)N(C)CCC(C)C)cn1 ZINC000644658668 385068885 /nfs/dbraw/zinc/06/88/85/385068885.db2.gz JAQGRSAAORSKGH-GFCCVEGCSA-N 0 3 221.348 2.824 20 0 BFADHN C[C@@H](NC[C@@H](O)CC1CCCC1)c1cccnc1 ZINC000188631020 385070259 /nfs/dbraw/zinc/07/02/59/385070259.db2.gz VJULYVNHUVWEFQ-DOMZBBRYSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H](NCCOCC(F)F)c1cccs1 ZINC000130981630 385070396 /nfs/dbraw/zinc/07/03/96/385070396.db2.gz RFJATXQUOOIYBI-MRVPVSSYSA-N 0 3 235.299 2.680 20 0 BFADHN CC[C@@H](N[C@@H](CC)CO)c1ccc(F)cc1F ZINC000188667708 385074962 /nfs/dbraw/zinc/07/49/62/385074962.db2.gz AQKNUJCSQVIBGK-GXFFZTMASA-N 0 3 243.297 2.776 20 0 BFADHN CCc1ccc(CN[C@@H]2CCCSC2)o1 ZINC000131274775 385082689 /nfs/dbraw/zinc/08/26/89/385082689.db2.gz LVBCTBGWCWUOTC-SNVBAGLBSA-N 0 3 225.357 2.827 20 0 BFADHN CCc1nc(C)c(CN2CCC[C@H]2C2CC2)o1 ZINC000644666099 385082774 /nfs/dbraw/zinc/08/27/74/385082774.db2.gz DZTOFGWABVMYNP-LBPRGKRZSA-N 0 3 234.343 2.920 20 0 BFADHN C[C@H](NCCc1ccc(O)cc1)c1nccs1 ZINC000086510218 385024518 /nfs/dbraw/zinc/02/45/18/385024518.db2.gz XFVZQEKIVHBNOI-JTQLQIEISA-N 0 3 248.351 2.742 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@H]3CCC[C@H]32)no1 ZINC000336318198 385030996 /nfs/dbraw/zinc/03/09/96/385030996.db2.gz AOVJOIZNRXOWAH-QWHCGFSZSA-N 0 3 234.343 2.994 20 0 BFADHN CC[C@@H](C)C[C@@H](CO)NCc1ccc(F)cc1 ZINC000647243315 385033571 /nfs/dbraw/zinc/03/35/71/385033571.db2.gz HPVKHLDXFHZING-RISCZKNCSA-N 0 3 239.334 2.712 20 0 BFADHN CC1CCN(Cc2cccc(F)c2N)CC1 ZINC000156331542 385034503 /nfs/dbraw/zinc/03/45/03/385034503.db2.gz WEEGYSPMFZLLGC-UHFFFAOYSA-N 0 3 222.307 2.640 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cccc(F)c2N)C1 ZINC000156336392 385034658 /nfs/dbraw/zinc/03/46/58/385034658.db2.gz UXQGAPPJVSOKSM-PHIMTYICSA-N 0 3 236.334 2.886 20 0 BFADHN CCc1ccc(CN[C@@H](CO)C[C@@H](C)CC)o1 ZINC000647243134 385034909 /nfs/dbraw/zinc/03/49/09/385034909.db2.gz DQEANLGDKFHOTQ-NWDGAFQWSA-N 0 3 239.359 2.729 20 0 BFADHN CC[C@H]1CCCN1Cc1cccc(F)c1N ZINC000156347897 385037774 /nfs/dbraw/zinc/03/77/74/385037774.db2.gz ROTXDBVLWBHTPY-NSHDSACASA-N 0 3 222.307 2.782 20 0 BFADHN CC[C@H](C)C[C@H](CO)NCc1ccc(Cl)o1 ZINC000647243571 385039065 /nfs/dbraw/zinc/03/90/65/385039065.db2.gz LLNLQMYDVKEUDM-VHSXEESVSA-N 0 3 245.750 2.820 20 0 BFADHN CCCCC[C@H]1CCCN1CC(=O)N(C)CC ZINC000362950720 385044445 /nfs/dbraw/zinc/04/44/45/385044445.db2.gz HCDQNHMRJZQQFU-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CO[C@H]1CCCC[C@@H]1NCc1occc1C ZINC000164844653 385046745 /nfs/dbraw/zinc/04/67/45/385046745.db2.gz MGAGEIQDZKJCMR-RYUDHWBXSA-N 0 3 223.316 2.635 20 0 BFADHN c1nn(-c2ccccc2)cc1CN[C@@H]1CC12CC2 ZINC000424181841 385109707 /nfs/dbraw/zinc/10/97/07/385109707.db2.gz DSTQTKLNQQHDOJ-CQSZACIVSA-N 0 3 239.322 2.514 20 0 BFADHN c1cc(CN[C@@H]2CC23CC3)n(-c2ccccc2)n1 ZINC000424181084 385110204 /nfs/dbraw/zinc/11/02/04/385110204.db2.gz APPLLMZZRIFFPQ-CQSZACIVSA-N 0 3 239.322 2.514 20 0 BFADHN FC(F)Oc1ccc(CN[C@@H]2CC23CC3)cc1 ZINC000424183195 385111208 /nfs/dbraw/zinc/11/12/08/385111208.db2.gz ISUOOIDKWSOMTF-LLVKDONJSA-N 0 3 239.265 2.930 20 0 BFADHN COC[C@H](C)N[C@H]1CCCc2sccc21 ZINC000061324407 385113020 /nfs/dbraw/zinc/11/30/20/385113020.db2.gz XWILPJHNMRFRBB-ONGXEEELSA-N 0 3 225.357 2.750 20 0 BFADHN CCSCCNCc1cc(F)cc(F)c1 ZINC000308793643 385116547 /nfs/dbraw/zinc/11/65/47/385116547.db2.gz IEDOZQVVEVKIJK-UHFFFAOYSA-N 0 3 231.311 2.808 20 0 BFADHN CC(C)n1cc(CN[C@@H](C)CCC2CC2)nn1 ZINC000342184295 385120505 /nfs/dbraw/zinc/12/05/05/385120505.db2.gz JDDPRVYUUPGNFF-NSHDSACASA-N 0 3 236.363 2.527 20 0 BFADHN Cc1cc(CN2CCCOC[C@H]2C)cs1 ZINC000648375209 385122573 /nfs/dbraw/zinc/12/25/73/385122573.db2.gz RXQADMIKWDWTIY-SNVBAGLBSA-N 0 3 225.357 2.667 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000189101716 385122993 /nfs/dbraw/zinc/12/29/93/385122993.db2.gz LIWMCNIPQLCBLH-MEWQQHAOSA-N 0 3 237.343 2.916 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1n[nH]cc1C ZINC000289658930 385124569 /nfs/dbraw/zinc/12/45/69/385124569.db2.gz YVORNXUHCGJYJM-KOLCDFICSA-N 0 3 209.337 2.632 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]2C2CCC2)cn1 ZINC000424204272 385124817 /nfs/dbraw/zinc/12/48/17/385124817.db2.gz VGJODHZHZJSOEP-UONOGXRCSA-N 0 3 216.328 2.668 20 0 BFADHN COC[C@@H](C)NCc1ccc(C)cc1SC ZINC000151723649 385128183 /nfs/dbraw/zinc/12/81/83/385128183.db2.gz IUDYYQPZWZRTOX-LLVKDONJSA-N 0 3 239.384 2.841 20 0 BFADHN CC[C@H](C)CN1CCN(c2cccc(O)c2)CC1 ZINC000129338361 385140155 /nfs/dbraw/zinc/14/01/55/385140155.db2.gz RJEXUEJDYJQHRR-ZDUSSCGKSA-N 0 3 248.370 2.560 20 0 BFADHN COc1cc(C)c(CN2CCC(C)CC2)c(C)n1 ZINC000359233485 385088917 /nfs/dbraw/zinc/08/89/17/385088917.db2.gz XEPBNKDESGAXFW-UHFFFAOYSA-N 0 3 248.370 2.939 20 0 BFADHN CCc1nc(C)c(CN2CCC3(CC3)CC2)o1 ZINC000644679569 385094166 /nfs/dbraw/zinc/09/41/66/385094166.db2.gz YZBMYUKYVVBXOB-UHFFFAOYSA-N 0 3 234.343 2.921 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@H]2CC[C@H](O)C2)o1 ZINC000188824035 385094075 /nfs/dbraw/zinc/09/40/75/385094075.db2.gz PDPDPGSJRAADSX-NMKXLXIOSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNC[C@@H]2CC[C@H](O)C2)o1 ZINC000188824104 385094881 /nfs/dbraw/zinc/09/48/81/385094881.db2.gz PDPDPGSJRAADSX-KZVDOYCCSA-N 0 3 249.354 2.654 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1ccnc(OC)n1 ZINC000294659370 385097463 /nfs/dbraw/zinc/09/74/63/385097463.db2.gz COCAJCSBPJYPEE-WCQYABFASA-N 0 3 249.358 2.544 20 0 BFADHN c1c(CN[C@H]2CC23CC3)[nH]nc1-c1ccccc1 ZINC000424185912 385099810 /nfs/dbraw/zinc/09/98/10/385099810.db2.gz REYKRYSVGBGEPK-AWEZNQCLSA-N 0 3 239.322 2.719 20 0 BFADHN Cc1cnc(CNCCCCOC(C)C)s1 ZINC000294669571 385101361 /nfs/dbraw/zinc/10/13/61/385101361.db2.gz AKSMBKHLCCYXAV-UHFFFAOYSA-N 0 3 242.388 2.746 20 0 BFADHN CCOC[C@H](NCc1ccc(C)o1)C(C)C ZINC000188866010 385102724 /nfs/dbraw/zinc/10/27/24/385102724.db2.gz MFMZNLVTNHLBDE-ZDUSSCGKSA-N 0 3 225.332 2.739 20 0 BFADHN CC[C@@H](Cc1ccccc1)NCc1ncc[nH]1 ZINC000108879664 385103041 /nfs/dbraw/zinc/10/30/41/385103041.db2.gz FETXVFASGKHWMM-ZDUSSCGKSA-N 0 3 229.327 2.521 20 0 BFADHN CC[C@H](Cc1ccccc1)NCc1ncc[nH]1 ZINC000108879665 385103854 /nfs/dbraw/zinc/10/38/54/385103854.db2.gz FETXVFASGKHWMM-CYBMUJFWSA-N 0 3 229.327 2.521 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@H]2CCCC[C@@H]2O)o1 ZINC000189789852 385184996 /nfs/dbraw/zinc/18/49/96/385184996.db2.gz ZZTOBKKQTSOJCO-ZZVYKPCYSA-N 0 3 249.354 2.796 20 0 BFADHN Cc1ccc2c(c1)nc(CNCC(C)C)n2C ZINC000062742443 385185171 /nfs/dbraw/zinc/18/51/71/385185171.db2.gz UIMXNJHNGANUSX-UHFFFAOYSA-N 0 3 231.343 2.627 20 0 BFADHN C[C@H](NCCOCC(F)F)c1ccc(F)cc1 ZINC000189816512 385188262 /nfs/dbraw/zinc/18/82/62/385188262.db2.gz NIQXESWGKXXVEB-VIFPVBQESA-N 0 3 247.260 2.758 20 0 BFADHN CCC[C@H](C)CN(CC)C[C@H](O)C(F)(F)F ZINC000189877084 385190423 /nfs/dbraw/zinc/19/04/23/385190423.db2.gz ZLYRCDFWVCWOLH-UWVGGRQHSA-N 0 3 241.297 2.668 20 0 BFADHN COC[C@@H](NCC[C@H]1CCCO1)c1ccccc1 ZINC000189841103 385190627 /nfs/dbraw/zinc/19/06/27/385190627.db2.gz QVDDMJGSGPQUKW-HUUCEWRRSA-N 0 3 249.354 2.533 20 0 BFADHN C[C@H](N[C@@H]1CCCc2c[nH]nc21)[C@@H]1CC1(C)C ZINC000487304516 385191028 /nfs/dbraw/zinc/19/10/28/385191028.db2.gz UUFYFWKWFOFTGX-ZMLRMANQSA-N 0 3 233.359 2.811 20 0 BFADHN CCC[C@H](CNCc1cccc(F)c1F)OC ZINC000293760133 385191040 /nfs/dbraw/zinc/19/10/40/385191040.db2.gz UWVYCLIFYIIIRX-LLVKDONJSA-N 0 3 243.297 2.870 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2cncc(C)c2)C1 ZINC000189890515 385193334 /nfs/dbraw/zinc/19/33/34/385193334.db2.gz DMLZAPFDUBKDSH-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN CCCCN(CCOC)Cc1cncc(C)c1 ZINC000189884836 385195520 /nfs/dbraw/zinc/19/55/20/385195520.db2.gz VXZPCHFWLUWJMM-UHFFFAOYSA-N 0 3 236.359 2.639 20 0 BFADHN Cc1cncc(CN(CCO)C2CCCCC2)c1 ZINC000189967001 385197416 /nfs/dbraw/zinc/19/74/16/385197416.db2.gz GWSDVPVZHFHDES-UHFFFAOYSA-N 0 3 248.370 2.517 20 0 BFADHN Cc1cncc(CN(C)CCC2CCOCC2)c1 ZINC000189921962 385199674 /nfs/dbraw/zinc/19/96/74/385199674.db2.gz LRNHFCFNBJYBJH-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN C[C@@H](O)C1CCN(C/C=C\c2ccccc2)CC1 ZINC000310684002 385149775 /nfs/dbraw/zinc/14/97/75/385149775.db2.gz HIHVBUTYGIGRCQ-BMWLXYDHSA-N 0 3 245.366 2.793 20 0 BFADHN COc1nccc(CNCCC2CCCCC2)n1 ZINC000294731681 385155085 /nfs/dbraw/zinc/15/50/85/385155085.db2.gz IZEXWKUQDRXBGT-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN Cc1nc(CN2CC[C@@H]3CCC[C@H]32)cs1 ZINC000336359516 385156268 /nfs/dbraw/zinc/15/62/68/385156268.db2.gz IJCCGLWQBWCOAW-CMPLNLGQSA-N 0 3 222.357 2.826 20 0 BFADHN C[C@]1(c2ccccc2)CC[C@H](N2CCOCC2)C1 ZINC000189496534 385157286 /nfs/dbraw/zinc/15/72/86/385157286.db2.gz MKFUJYFWNOPUCF-HOTGVXAUSA-N 0 3 245.366 2.829 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1CC12CC2 ZINC000424315827 385158326 /nfs/dbraw/zinc/15/83/26/385158326.db2.gz DWVNBICOEGDTLA-GXFFZTMASA-N 0 3 217.312 2.898 20 0 BFADHN CN(Cc1cccc(O)c1)[C@@H]1C=CCCC1 ZINC000530905475 385162499 /nfs/dbraw/zinc/16/24/99/385162499.db2.gz VGXGEQSMCQISBW-CYBMUJFWSA-N 0 3 217.312 2.933 20 0 BFADHN C[C@@H](N[C@H]1CC12CC2)c1ccccc1N(C)C ZINC000424322515 385164120 /nfs/dbraw/zinc/16/41/20/385164120.db2.gz LANFOMXAYHEJLF-RISCZKNCSA-N 0 3 230.355 2.956 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@@H]2CC23CC3)cn1 ZINC000424326192 385167142 /nfs/dbraw/zinc/16/71/42/385167142.db2.gz OMTKMQPEGTWDID-ZYHUDNBSSA-N 0 3 219.332 2.667 20 0 BFADHN CCOCCNC(C)(C)c1ccccc1OC ZINC000152253098 385168806 /nfs/dbraw/zinc/16/88/06/385168806.db2.gz JATYANCAWYMKIM-UHFFFAOYSA-N 0 3 237.343 2.556 20 0 BFADHN CCOC[C@H]1CCN(Cc2cccc(OC)c2)C1 ZINC000177037873 385171581 /nfs/dbraw/zinc/17/15/81/385171581.db2.gz QDCRBRWFKBORFT-AWEZNQCLSA-N 0 3 249.354 2.554 20 0 BFADHN CCC[C@H](O)CNCc1ccc(Cl)cc1C ZINC000129653399 385172448 /nfs/dbraw/zinc/17/24/48/385172448.db2.gz VZVFUVAQXRGHLY-ZDUSSCGKSA-N 0 3 241.762 2.899 20 0 BFADHN CCC[C@@H](O)CNCc1ccc(Cl)cc1C ZINC000129653634 385172671 /nfs/dbraw/zinc/17/26/71/385172671.db2.gz VZVFUVAQXRGHLY-CYBMUJFWSA-N 0 3 241.762 2.899 20 0 BFADHN CCOc1ccccc1[C@@H](C)N[C@@H]1CCCOC1 ZINC000109543259 385174677 /nfs/dbraw/zinc/17/46/77/385174677.db2.gz BSEZCJJIVFYZDW-CHWSQXEVSA-N 0 3 249.354 2.915 20 0 BFADHN Cc1cnccc1CN[C@H]1C[C@@H](OC(C)(C)C)C1 ZINC000647248091 385177571 /nfs/dbraw/zinc/17/75/71/385177571.db2.gz ZOARZEVMHBMRMY-OKILXGFUSA-N 0 3 248.370 2.826 20 0 BFADHN Fc1ccc2c(c1)CN(CC[C@@H]1CCCO1)CC2 ZINC000189719269 385179613 /nfs/dbraw/zinc/17/96/13/385179613.db2.gz IRWCTIVKNBPHHM-HNNXBMFYSA-N 0 3 249.329 2.753 20 0 BFADHN CCSCC[C@@H](C)N[C@H](C)c1cnccn1 ZINC000152818083 385215506 /nfs/dbraw/zinc/21/55/06/385215506.db2.gz WMNSHQYBGINJAW-GHMZBOCLSA-N 0 3 239.388 2.659 20 0 BFADHN C[C@H](NCC1(C)CCC1)c1nccs1 ZINC000090219966 385218934 /nfs/dbraw/zinc/21/89/34/385218934.db2.gz NYZVRTNESSMLJO-VIFPVBQESA-N 0 3 210.346 2.984 20 0 BFADHN CC(C)=CC(=O)Nc1cc(CN(C)C)ccc1C ZINC000177330662 385223207 /nfs/dbraw/zinc/22/32/07/385223207.db2.gz ABWDBQJREULVMA-UHFFFAOYSA-N 0 3 246.354 2.961 20 0 BFADHN Nc1c(F)cccc1CN1CC[C@H](C2CC2)C1 ZINC000400041674 385224499 /nfs/dbraw/zinc/22/44/99/385224499.db2.gz PJXBZRJWHLEAJU-NSHDSACASA-N 0 3 234.318 2.640 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](CC)COC)o1 ZINC000152988340 385228865 /nfs/dbraw/zinc/22/88/65/385228865.db2.gz USBCTTHTFJFOGP-WDEREUQCSA-N 0 3 225.332 2.918 20 0 BFADHN C[C@@H](NCC(C)(C)C[C@H](C)O)c1cncs1 ZINC000130229339 385230680 /nfs/dbraw/zinc/23/06/80/385230680.db2.gz FQKPYQNNNBIFMT-VHSXEESVSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@@H](N[C@H](CCO)c1ccccc1F)C1CC1 ZINC000647249769 385230877 /nfs/dbraw/zinc/23/08/77/385230877.db2.gz NSTGNZOLWGEQCV-QMTHXVAHSA-N 0 3 237.318 2.637 20 0 BFADHN CCc1cc(N2CC[C@H](O)CC(C)(C)C2)ccn1 ZINC000307771292 385256989 /nfs/dbraw/zinc/25/69/89/385256989.db2.gz CVNATDVRYFASNG-AWEZNQCLSA-N 0 3 248.370 2.631 20 0 BFADHN CO[C@](C)(CN[C@@H](C)c1ccco1)C1CC1 ZINC000291139037 385232317 /nfs/dbraw/zinc/23/23/17/385232317.db2.gz HKYLYATVEKLYEA-GXFFZTMASA-N 0 3 223.316 2.745 20 0 BFADHN C[C@H](NCCC1(C)CC1)c1cscn1 ZINC000279925903 385232644 /nfs/dbraw/zinc/23/26/44/385232644.db2.gz AJWGHBXPWUPJOC-VIFPVBQESA-N 0 3 210.346 2.984 20 0 BFADHN CCc1ccc(CN[C@H]2COCC2(C)C)cc1 ZINC000313039999 385233724 /nfs/dbraw/zinc/23/37/24/385233724.db2.gz MZUVOJCHSGWFDM-AWEZNQCLSA-N 0 3 233.355 2.764 20 0 BFADHN CCc1nc(CN(CC)C2CCCCC2)n[nH]1 ZINC000157633187 385237417 /nfs/dbraw/zinc/23/74/17/385237417.db2.gz FUYPAYRWNOKMLI-UHFFFAOYSA-N 0 3 236.363 2.522 20 0 BFADHN CC[C@@H](NCC[C@H](C)O)c1ccccc1F ZINC000166348462 385237878 /nfs/dbraw/zinc/23/78/78/385237878.db2.gz MCNPZJKZSKAXPM-GXFFZTMASA-N 0 3 225.307 2.637 20 0 BFADHN CC[C@@H](NCC(C)(C)O)c1ccccc1F ZINC000166347996 385238287 /nfs/dbraw/zinc/23/82/87/385238287.db2.gz NDXIBTPOORDLJD-GFCCVEGCSA-N 0 3 225.307 2.637 20 0 BFADHN Cc1ccc([C@@H](C)N(C)CCn2cccn2)cc1 ZINC000064141902 385246303 /nfs/dbraw/zinc/24/63/03/385246303.db2.gz MVUNEIDDQIHLBE-CQSZACIVSA-N 0 3 243.354 2.885 20 0 BFADHN CCCCNCc1nccn1-c1ccccc1 ZINC000190671028 385253939 /nfs/dbraw/zinc/25/39/39/385253939.db2.gz KNHAKDFMNJVTQU-UHFFFAOYSA-N 0 3 229.327 2.762 20 0 BFADHN CC[C@@H](NCCn1ccnc1)c1cccc(F)c1 ZINC000166544290 385254061 /nfs/dbraw/zinc/25/40/61/385254061.db2.gz YBDRAPXBSBJHRK-CQSZACIVSA-N 0 3 247.317 2.763 20 0 BFADHN CC[C@@H](NCC1(C)OCCCO1)c1ccccc1 ZINC000190656305 385254518 /nfs/dbraw/zinc/25/45/18/385254518.db2.gz UPASURMBYFNISJ-CQSZACIVSA-N 0 3 249.354 2.880 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1ccccc1OC ZINC000131057257 385303744 /nfs/dbraw/zinc/30/37/44/385303744.db2.gz UPGFNEVMMXXAFT-NEPJUHHUSA-N 0 3 237.343 2.507 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1nccn1C ZINC000167249428 385304571 /nfs/dbraw/zinc/30/45/71/385304571.db2.gz IAGPTHYNHQKALM-LBPRGKRZSA-N 0 3 221.348 2.645 20 0 BFADHN Cc1noc(C)c1CN1CC[C@@H](C)[C@@H]1C ZINC000177913256 385305213 /nfs/dbraw/zinc/30/52/13/385305213.db2.gz QVKBJVQGNONKHN-SCZZXKLOSA-N 0 3 208.305 2.522 20 0 BFADHN CC[C@@H](CNCc1cccc(Cl)c1)OC ZINC000289732337 385307949 /nfs/dbraw/zinc/30/79/49/385307949.db2.gz GVYYXYODDKFCKH-LBPRGKRZSA-N 0 3 227.735 2.855 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1cc(F)cc(F)c1 ZINC000131083220 385308425 /nfs/dbraw/zinc/30/84/25/385308425.db2.gz FKNKWLTVGKPAEI-RNCFNFMXSA-N 0 3 243.297 2.776 20 0 BFADHN CCC1(NCc2cnn(-c3ccccc3)c2)CC1 ZINC000294909368 385308723 /nfs/dbraw/zinc/30/87/23/385308723.db2.gz XZLLTHJMOIGSOJ-UHFFFAOYSA-N 0 3 241.338 2.905 20 0 BFADHN Cc1ccc(F)cc1CN[C@H]1COCC1(C)C ZINC000313061436 385310105 /nfs/dbraw/zinc/31/01/05/385310105.db2.gz IKKQUZOFDLVIII-ZDUSSCGKSA-N 0 3 237.318 2.649 20 0 BFADHN CC(C)=CCNCc1c[nH]nc1-c1cccnc1 ZINC000191629451 385310631 /nfs/dbraw/zinc/31/06/31/385310631.db2.gz WEHLMFYTBBBCGJ-UHFFFAOYSA-N 0 3 242.326 2.528 20 0 BFADHN CC[C@H](COC)NCc1cccc(Cl)c1F ZINC000131138253 385311109 /nfs/dbraw/zinc/31/11/09/385311109.db2.gz DWKMJEHNXRJUEL-SNVBAGLBSA-N 0 3 245.725 2.994 20 0 BFADHN CSc1ccc([C@H](C)NC[C@@H](C)O)cc1F ZINC000131158173 385313122 /nfs/dbraw/zinc/31/31/22/385313122.db2.gz BBTVDRGNMJEZEL-BDAKNGLRSA-N 0 3 243.347 2.579 20 0 BFADHN CCN(C)Cc1cc2cc(Cl)cnc2o1 ZINC000648355908 385313768 /nfs/dbraw/zinc/31/37/68/385313768.db2.gz WSFSKQYDUWJPRB-UHFFFAOYSA-N 0 3 224.691 2.933 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@@H]1CCOC1 ZINC000131160873 385313705 /nfs/dbraw/zinc/31/37/05/385313705.db2.gz OZOOTZUKODAUSP-NWDGAFQWSA-N 0 3 235.327 2.525 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H]2CCCOC2)o1 ZINC000167418998 385314091 /nfs/dbraw/zinc/31/40/91/385314091.db2.gz MVUCMBVCGVNLLD-MNOVXSKESA-N 0 3 223.316 2.672 20 0 BFADHN C[C@](O)(CNCc1cccnc1)C1CCCCC1 ZINC000191673018 385315360 /nfs/dbraw/zinc/31/53/60/385315360.db2.gz JJBXTAZZKOBIJO-HNNXBMFYSA-N 0 3 248.370 2.503 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](C)COC)o1 ZINC000178674139 385316488 /nfs/dbraw/zinc/31/64/88/385316488.db2.gz ISWYLNDVGIBUCM-WDEREUQCSA-N 0 3 225.332 2.775 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](C)COC)o1 ZINC000178674149 385316729 /nfs/dbraw/zinc/31/67/29/385316729.db2.gz ISWYLNDVGIBUCM-QWRGUYRKSA-N 0 3 225.332 2.775 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1cccnc1Cl ZINC000167440341 385317051 /nfs/dbraw/zinc/31/70/51/385317051.db2.gz URTCHDMKTIWQKF-SKDRFNHKSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](O)CN[C@@H](C)c1ccc(-c2ccccc2)o1 ZINC000131218335 385318936 /nfs/dbraw/zinc/31/89/36/385318936.db2.gz JJMIXLNDYKETIL-RYUDHWBXSA-N 0 3 245.322 2.978 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CSC[C@@H]2C)o1 ZINC000308968226 385321575 /nfs/dbraw/zinc/32/15/75/385321575.db2.gz NXEYYFUVOLITRL-LSJOCFKGSA-N 0 3 225.357 2.990 20 0 BFADHN CC(C)[C@@H](O)CN[C@@H](C)c1cc(F)ccc1F ZINC000131266409 385321973 /nfs/dbraw/zinc/32/19/73/385321973.db2.gz KGYAMVKOQMTFBD-ZANVPECISA-N 0 3 243.297 2.632 20 0 BFADHN Cc1ccc(CN2CCS[C@H](C)CC2)o1 ZINC000190696800 385258292 /nfs/dbraw/zinc/25/82/92/385258292.db2.gz UDMXJHMLROTJAL-LLVKDONJSA-N 0 3 225.357 2.915 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000336401593 385258462 /nfs/dbraw/zinc/25/84/62/385258462.db2.gz VVKSXNLFBAVHCQ-RISCZKNCSA-N 0 3 233.359 2.730 20 0 BFADHN COC[C@H](Cc1ccccc1)NCc1ccoc1 ZINC000177580586 385263796 /nfs/dbraw/zinc/26/37/96/385263796.db2.gz MPFZRBRUDKZYBG-HNNXBMFYSA-N 0 3 245.322 2.627 20 0 BFADHN C[C@@H](NCC1(C)CCOCC1)c1nccs1 ZINC000130587169 385265062 /nfs/dbraw/zinc/26/50/62/385265062.db2.gz AWTLZNUVPBDRTF-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN FC(F)COCCNCc1cccc(Cl)c1 ZINC000190896759 385266230 /nfs/dbraw/zinc/26/62/30/385266230.db2.gz VVQHZSALZHBDBO-UHFFFAOYSA-N 0 3 249.688 2.711 20 0 BFADHN C[C@@]1(NCc2cccc(Cl)c2)CCOC1 ZINC000120175039 385270502 /nfs/dbraw/zinc/27/05/02/385270502.db2.gz JKLGSZXXPDQAHU-GFCCVEGCSA-N 0 3 225.719 2.609 20 0 BFADHN C[C@@H](O)CN(C)Cc1ccc(-c2ccccc2)o1 ZINC000130638277 385270809 /nfs/dbraw/zinc/27/08/09/385270809.db2.gz AHWNMZBJJFWFCP-GFCCVEGCSA-N 0 3 245.322 2.759 20 0 BFADHN CN(CCCCCO)Cc1ccc(F)c(F)c1 ZINC000227876635 385274312 /nfs/dbraw/zinc/27/43/12/385274312.db2.gz MXJBAQJGNMFCSA-UHFFFAOYSA-N 0 3 243.297 2.559 20 0 BFADHN C[C@H](O)CN(C)Cc1ccc(C(C)(C)C)s1 ZINC000130662569 385274554 /nfs/dbraw/zinc/27/45/54/385274554.db2.gz WNBFLPSRXSNIBV-JTQLQIEISA-N 0 3 241.400 2.858 20 0 BFADHN CC(C)c1ccc([C@@H](C)N[C@H](C)CC(N)=O)cc1 ZINC000130670424 385274725 /nfs/dbraw/zinc/27/47/25/385274725.db2.gz BMJABTBKAKBZAY-VXGBXAGGSA-N 0 3 248.370 2.725 20 0 BFADHN CCC1(NCc2ccc(COC)o2)CCC1 ZINC000166725713 385279507 /nfs/dbraw/zinc/27/95/07/385279507.db2.gz RSGQDFYCXBJYPB-UHFFFAOYSA-N 0 3 223.316 2.848 20 0 BFADHN FC(F)(F)c1ncc(CNCC2CC2)s1 ZINC000308907049 385282283 /nfs/dbraw/zinc/28/22/83/385282283.db2.gz DTTBUDSHIWVUQQ-UHFFFAOYSA-N 0 3 236.262 2.662 20 0 BFADHN Cc1ncc(CN[C@@H]2CCCC[C@H]2C)o1 ZINC000334727208 385283118 /nfs/dbraw/zinc/28/31/18/385283118.db2.gz XOJLNORHBSVTOP-BXKDBHETSA-N 0 3 208.305 2.651 20 0 BFADHN Cn1ncc(Cl)c1CNCCC(C)(C)C ZINC000308910135 385284475 /nfs/dbraw/zinc/28/44/75/385284475.db2.gz FYXFDUGKUIMFKL-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN FCCCN1CCC[C@@H]1c1ccncc1 ZINC000153886909 385287901 /nfs/dbraw/zinc/28/79/01/385287901.db2.gz RBTVPKUGBVNTDU-GFCCVEGCSA-N 0 3 208.280 2.578 20 0 BFADHN COCC1(CN2CCn3cccc3[C@@H]2C)CCC1 ZINC000291038949 385289407 /nfs/dbraw/zinc/28/94/07/385289407.db2.gz GFUDJKBUAGINCX-ZDUSSCGKSA-N 0 3 248.370 2.681 20 0 BFADHN COC(=O)CCCN1C[C@@H](C)[C@H]1c1ccccc1 ZINC000177831455 385289566 /nfs/dbraw/zinc/28/95/66/385289566.db2.gz IEHYUGKSHMSONE-DOMZBBRYSA-N 0 3 247.338 2.633 20 0 BFADHN COCC1(CN2CCn3cccc3[C@H]2C)CCC1 ZINC000291038947 385289721 /nfs/dbraw/zinc/28/97/21/385289721.db2.gz GFUDJKBUAGINCX-CYBMUJFWSA-N 0 3 248.370 2.681 20 0 BFADHN CO[C@@H](C)CNCc1ccccc1OC(C)C ZINC000191204965 385291891 /nfs/dbraw/zinc/29/18/91/385291891.db2.gz VBONZVLPTHIUKG-LBPRGKRZSA-N 0 3 237.343 2.598 20 0 BFADHN CC[C@@H](CN[C@@H]1CCCc2ccc(O)cc21)OC ZINC000291194516 385293602 /nfs/dbraw/zinc/29/36/02/385293602.db2.gz LPAUHXRTQAZYRD-DZGCQCFKSA-N 0 3 249.354 2.784 20 0 BFADHN CSC[C@H](CCO)N[C@@H](C)c1ccsc1 ZINC000168158816 385293564 /nfs/dbraw/zinc/29/35/64/385293564.db2.gz KOJOJDMSGRUWNK-ONGXEEELSA-N 0 3 245.413 2.513 20 0 BFADHN CCCC[C@@H](COC)NCc1c(C)noc1C ZINC000153952733 385294036 /nfs/dbraw/zinc/29/40/36/385294036.db2.gz UZGFLRQZZACBAC-LBPRGKRZSA-N 0 3 240.347 2.586 20 0 BFADHN C[C@H](CCC1CC1)N[C@H]1CCCc2c[nH]nc21 ZINC000364256007 385297823 /nfs/dbraw/zinc/29/78/23/385297823.db2.gz SRJXMLGUFQFWSN-MFKMUULPSA-N 0 3 233.359 2.955 20 0 BFADHN C[C@@H](NCC(C)(C)O)c1ccc2ccccc2n1 ZINC000178069324 385330678 /nfs/dbraw/zinc/33/06/78/385330678.db2.gz NBCLGIJQGRNPOS-LLVKDONJSA-N 0 3 244.338 2.656 20 0 BFADHN C[C@@H](NC[C@@H](O)CC(C)(C)C)c1ccco1 ZINC000167850382 385337978 /nfs/dbraw/zinc/33/79/78/385337978.db2.gz QRUOLSRURGMVTB-MNOVXSKESA-N 0 3 225.332 2.727 20 0 BFADHN C[C@H](NC[C@H](O)CC(C)(C)C)c1ccco1 ZINC000167850059 385338596 /nfs/dbraw/zinc/33/85/96/385338596.db2.gz QRUOLSRURGMVTB-WDEREUQCSA-N 0 3 225.332 2.727 20 0 BFADHN CC1(C)C[C@H](N[C@@H]2CSc3ccccc32)CO1 ZINC000335354042 385341588 /nfs/dbraw/zinc/34/15/88/385341588.db2.gz FEDNRTWPMFKNSS-CMPLNLGQSA-N 0 3 249.379 2.991 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@](C)(O)CC)o1 ZINC000154612329 385342706 /nfs/dbraw/zinc/34/27/06/385342706.db2.gz RGQKNTFVPWKKFX-ZWNOBZJWSA-N 0 3 225.332 2.654 20 0 BFADHN CO[C@@H](CN[C@H](C)c1cccc(F)c1)C1CC1 ZINC000294968983 385344616 /nfs/dbraw/zinc/34/46/16/385344616.db2.gz JTEOZFLMJGCBPE-YGRLFVJLSA-N 0 3 237.318 2.901 20 0 BFADHN CCCCCNC(=O)[C@@H](C)[C@H](N)c1ccccc1 ZINC000131621890 385348993 /nfs/dbraw/zinc/34/89/93/385348993.db2.gz XVUZMRPIPCHNEA-JSGCOSHPSA-N 0 3 248.370 2.629 20 0 BFADHN CCCCCNC(=O)[C@H](C)[C@H](N)c1ccccc1 ZINC000131622090 385349623 /nfs/dbraw/zinc/34/96/23/385349623.db2.gz XVUZMRPIPCHNEA-OCCSQVGLSA-N 0 3 248.370 2.629 20 0 BFADHN CCN(C(=O)[C@H](C)[C@H](N)c1ccccc1)C(C)C ZINC000131646741 385351873 /nfs/dbraw/zinc/35/18/73/385351873.db2.gz QDPPPLKGBGYIOT-OCCSQVGLSA-N 0 3 248.370 2.579 20 0 BFADHN CCN(Cc1cccc2c1OCO2)CC1CC1 ZINC000178314335 385353522 /nfs/dbraw/zinc/35/35/22/385353522.db2.gz SXPGHDAYRKCAIL-UHFFFAOYSA-N 0 3 233.311 2.647 20 0 BFADHN CC(C)[C@@H](CO)NCc1cc(F)cc(Cl)c1 ZINC000168264825 385357478 /nfs/dbraw/zinc/35/74/78/385357478.db2.gz TXBOTKKXZYDRGI-GFCCVEGCSA-N 0 3 245.725 2.586 20 0 BFADHN Cc1ccc([O-])c(C[NH+]2C[C@H](C)C[C@@H](C)C2)n1 ZINC000131808323 385362298 /nfs/dbraw/zinc/36/22/98/385362298.db2.gz OINBSWMYLWCMRU-GHMZBOCLSA-N 0 3 234.343 2.574 20 0 BFADHN C[C@@](O)(CNCc1ccc(Cl)s1)C1CC1 ZINC000309003531 385363617 /nfs/dbraw/zinc/36/36/17/385363617.db2.gz SOKPIFVZMFSMJE-LLVKDONJSA-N 0 3 245.775 2.652 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1ccc(F)cc1F ZINC000131842818 385364453 /nfs/dbraw/zinc/36/44/53/385364453.db2.gz NQRTZGCMLPWYPS-ONGXEEELSA-N 0 3 243.297 2.776 20 0 BFADHN CC(C)[C@H](O)CNCc1coc2ccccc12 ZINC000158212474 385366825 /nfs/dbraw/zinc/36/68/25/385366825.db2.gz UCQUTGFRSGYWAL-CYBMUJFWSA-N 0 3 233.311 2.539 20 0 BFADHN CC[C@@H](CNCc1ccc(Cl)cc1)OC ZINC000289411151 385367167 /nfs/dbraw/zinc/36/71/67/385367167.db2.gz AHNJLDSHWZPJQR-LBPRGKRZSA-N 0 3 227.735 2.855 20 0 BFADHN C[C@@H](N[C@@H]1CCC(C)(C)C1)c1ccon1 ZINC000309022997 385367511 /nfs/dbraw/zinc/36/75/11/385367511.db2.gz YKCQLLCZPOVLAO-NXEZZACHSA-N 0 3 208.305 2.904 20 0 BFADHN C[C@@H](NCC[C@H](C)F)c1cncc(F)c1 ZINC000309014040 385368456 /nfs/dbraw/zinc/36/84/56/385368456.db2.gz SWQNSXKTGHMKDN-DTWKUNHWSA-N 0 3 214.259 2.619 20 0 BFADHN CCc1nnc(C[NH2+]C(C)(C)CC(C)(C)C)[n-]1 ZINC000158247753 385369428 /nfs/dbraw/zinc/36/94/28/385369428.db2.gz QPVHMJMQLYWNTP-UHFFFAOYSA-N 0 3 238.379 2.672 20 0 BFADHN CCc1nnc(CNC(C)(C)CC(C)(C)C)[nH]1 ZINC000158247753 385369433 /nfs/dbraw/zinc/36/94/33/385369433.db2.gz QPVHMJMQLYWNTP-UHFFFAOYSA-N 0 3 238.379 2.672 20 0 BFADHN CCOc1cc(CN2CCCCC2)ccc1O ZINC000131961576 385369444 /nfs/dbraw/zinc/36/94/44/385369444.db2.gz CRVPFYSOAPTQDI-UHFFFAOYSA-N 0 3 235.327 2.777 20 0 BFADHN Cc1nnsc1CN[C@H]1CCC(C)(C)C1 ZINC000309015430 385370696 /nfs/dbraw/zinc/37/06/96/385370696.db2.gz ZEXYRBOHBJZDDM-VIFPVBQESA-N 0 3 225.361 2.515 20 0 BFADHN CC(C)c1cccc2c1CCN(C[C@H](C)O)C2 ZINC000192819894 385372128 /nfs/dbraw/zinc/37/21/28/385372128.db2.gz DFSDOJGHZNDGEF-LBPRGKRZSA-N 0 3 233.355 2.549 20 0 BFADHN CC(C)n1cc(CN(C)CC2CCC2)cn1 ZINC000179208172 385372892 /nfs/dbraw/zinc/37/28/92/385372892.db2.gz JROZMNYYAPZFAZ-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN CCOCCNC(C)(C)c1cccc(F)c1 ZINC000179566714 385376413 /nfs/dbraw/zinc/37/64/13/385376413.db2.gz WPNLGDQEDVIYPC-UHFFFAOYSA-N 0 3 225.307 2.687 20 0 BFADHN CCCCN(C)Cc1cn(C)nc1C(F)(F)F ZINC000155127252 385376361 /nfs/dbraw/zinc/37/63/61/385376361.db2.gz JGCQEKQKTDYCOE-UHFFFAOYSA-N 0 3 249.280 2.671 20 0 BFADHN C[C@@H](O)CN1CCC[C@@H]1c1ccccc1Cl ZINC000192970652 385378204 /nfs/dbraw/zinc/37/82/04/385378204.db2.gz RSIINOZTMXTIAI-ZWNOBZJWSA-N 0 3 239.746 2.858 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC2(C)C)nc1 ZINC000309029691 385379525 /nfs/dbraw/zinc/37/95/25/385379525.db2.gz APOLGSVYOODQAR-GFCCVEGCSA-N 0 3 204.317 2.668 20 0 BFADHN CC[C@H](O)CN[C@@H](C)c1cc2ccccc2o1 ZINC000168677615 385380321 /nfs/dbraw/zinc/38/03/21/385380321.db2.gz IBDUBOKZZRGJSV-JQWIXIFHSA-N 0 3 233.311 2.854 20 0 BFADHN C[C@H](CCO)N[C@H](C)c1ccc2ccccc2n1 ZINC000178497906 385382988 /nfs/dbraw/zinc/38/29/88/385382988.db2.gz DITWXHHTJAXCFF-VXGBXAGGSA-N 0 3 244.338 2.656 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCCF)[C@@H](C)C2 ZINC000280941785 385384779 /nfs/dbraw/zinc/38/47/79/385384779.db2.gz GIJOTPDXQGEGJI-GWCFXTLKSA-N 0 3 207.292 2.787 20 0 BFADHN CC(C)[C@@](C)(O)CNCc1cc(Cl)cs1 ZINC000193100361 385385297 /nfs/dbraw/zinc/38/52/97/385385297.db2.gz SHRYUNYZLCTKMG-NSHDSACASA-N 0 3 247.791 2.898 20 0 BFADHN CCc1ccc(CN[C@@H]2CSC[C@H]2C)o1 ZINC000309054078 385385942 /nfs/dbraw/zinc/38/59/42/385385942.db2.gz SQSCXAKCUIYCBM-BXKDBHETSA-N 0 3 225.357 2.683 20 0 BFADHN CSc1ccc(CNC[C@H](O)C(C)C)s1 ZINC000308971010 385326924 /nfs/dbraw/zinc/32/69/24/385326924.db2.gz ZKMKBIYVVLKEQB-JTQLQIEISA-N 0 3 245.413 2.577 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H]1C)c1ccncc1F ZINC000308972092 385328572 /nfs/dbraw/zinc/32/85/72/385328572.db2.gz FOBIYTQITOLUPP-AUTRQRHGSA-N 0 3 208.280 2.670 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1sc(C)nc1C ZINC000309080264 385400116 /nfs/dbraw/zinc/40/01/16/385400116.db2.gz OMTKLVVEUNWDDK-ZJUUUORDSA-N 0 3 242.413 2.744 20 0 BFADHN CC[C@@H](CNCc1ccc(SC)cc1)OC ZINC000289416559 385401715 /nfs/dbraw/zinc/40/17/15/385401715.db2.gz AUCVTSRRJWDNBI-LBPRGKRZSA-N 0 3 239.384 2.923 20 0 BFADHN CN(Cc1cccc(F)c1)C[C@@H]1CCC=CO1 ZINC000193609095 385404069 /nfs/dbraw/zinc/40/40/69/385404069.db2.gz VBCQABWVWWQUMH-AWEZNQCLSA-N 0 3 235.302 2.950 20 0 BFADHN C[C@@H](NCc1ccco1)[C@@H]1COc2ccccc21 ZINC000169086256 385404814 /nfs/dbraw/zinc/40/48/14/385404814.db2.gz FRJXSUQJOJLCJR-RISCZKNCSA-N 0 3 243.306 2.934 20 0 BFADHN C[C@H](NCc1ccsc1Cl)[C@H]1CCOC1 ZINC000309094112 385405465 /nfs/dbraw/zinc/40/54/65/385405465.db2.gz CDCBKRQNOOINEN-WPRPVWTQSA-N 0 3 245.775 2.916 20 0 BFADHN COc1cc(CN(C)C[C@@H]2CCCO2)ccc1C ZINC000193674876 385407180 /nfs/dbraw/zinc/40/71/80/385407180.db2.gz ADEPSTGNGLDUTK-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN C=Cn1cc(CN(C)CC2CCCCC2)cn1 ZINC000193666617 385407363 /nfs/dbraw/zinc/40/73/63/385407363.db2.gz JTTCHRZNHRJBPH-UHFFFAOYSA-N 0 3 233.359 2.996 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1ccncc1F ZINC000309085093 385410309 /nfs/dbraw/zinc/41/03/09/385410309.db2.gz WHXGMUNPBTWHNX-DTWKUNHWSA-N 0 3 228.336 2.623 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1ccncc1F ZINC000309085092 385410860 /nfs/dbraw/zinc/41/08/60/385410860.db2.gz WHXGMUNPBTWHNX-BDAKNGLRSA-N 0 3 228.336 2.623 20 0 BFADHN CN(CCc1ccc(F)cc1)C[C@@H]1CCC=CO1 ZINC000193784063 385411107 /nfs/dbraw/zinc/41/11/07/385411107.db2.gz SXPUFEZOUKDHHA-HNNXBMFYSA-N 0 3 249.329 2.993 20 0 BFADHN COc1cc(C)c([C@H](C)NCCF)cc1C ZINC000281208840 385411272 /nfs/dbraw/zinc/41/12/72/385411272.db2.gz YQLNTCBGNICLQU-NSHDSACASA-N 0 3 225.307 2.932 20 0 BFADHN C[C@H](NCc1cccs1)c1ccncc1 ZINC000020121062 385416449 /nfs/dbraw/zinc/41/64/49/385416449.db2.gz YSODUSXNVHDFIH-JTQLQIEISA-N 0 3 218.325 2.994 20 0 BFADHN c1cnc2c(c1)cccc2CNCc1ccncc1 ZINC000020140346 385419636 /nfs/dbraw/zinc/41/96/36/385419636.db2.gz VZXRIFAVNDCOFM-UHFFFAOYSA-N 0 3 249.317 2.920 20 0 BFADHN Cc1ccc(CN2CC[C@](C)(O)C2)cc1Cl ZINC000194049094 385422405 /nfs/dbraw/zinc/42/24/05/385422405.db2.gz GWMTWBVZOXFNCA-ZDUSSCGKSA-N 0 3 239.746 2.605 20 0 BFADHN CN(CCc1nccs1)[C@H]1C=CCCC1 ZINC000179079345 385440053 /nfs/dbraw/zinc/44/00/53/385440053.db2.gz IODYSOKEONJQSX-NSHDSACASA-N 0 3 222.357 2.726 20 0 BFADHN CC[C@@H]1CCCN1Cc1cccc(F)c1N ZINC000156347760 385446491 /nfs/dbraw/zinc/44/64/91/385446491.db2.gz ROTXDBVLWBHTPY-LLVKDONJSA-N 0 3 222.307 2.782 20 0 BFADHN CO[C@@H](C)CN[C@H](C)c1cccc(F)c1F ZINC000182444921 385448267 /nfs/dbraw/zinc/44/82/67/385448267.db2.gz HTRXVWBBGNTRBD-DTWKUNHWSA-N 0 3 229.270 2.650 20 0 BFADHN CO[C@H]1CCCN([C@@H](C)c2ccccc2F)C1 ZINC000170818303 385449367 /nfs/dbraw/zinc/44/93/67/385449367.db2.gz XSKLODRJFIOAEA-RYUDHWBXSA-N 0 3 237.318 2.998 20 0 BFADHN CC[C@H]1c2ccsc2CCN1CCCCO ZINC000170871355 385452861 /nfs/dbraw/zinc/45/28/61/385452861.db2.gz KGWAMJHIKPNKAI-LBPRGKRZSA-N 0 3 239.384 2.830 20 0 BFADHN C[C@H](NCCN1CCCC[C@@H]1C)c1ccncc1 ZINC000156456999 385453160 /nfs/dbraw/zinc/45/31/60/385453160.db2.gz ILPPXAOKYXILEI-KBPBESRZSA-N 0 3 247.386 2.607 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(Cl)cn2)[C@H]1C ZINC000309121305 385427881 /nfs/dbraw/zinc/42/78/81/385427881.db2.gz NYECNFYGFYSZRP-VDDIYKPWSA-N 0 3 224.735 2.869 20 0 BFADHN c1coc(CN2CCC[C@H](C[C@@H]3CCOC3)C2)c1 ZINC000367683329 385434720 /nfs/dbraw/zinc/43/47/20/385434720.db2.gz HXZYNUGZRFGBNK-KGLIPLIRSA-N 0 3 249.354 2.918 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cnn2ccccc12 ZINC000179412566 385465363 /nfs/dbraw/zinc/46/53/63/385465363.db2.gz GLQVUAJFAXUHNQ-NSHDSACASA-N 0 3 229.327 2.565 20 0 BFADHN C[C@H](N[C@H]1CCCOC1)c1ccccc1N(C)C ZINC000179410969 385465438 /nfs/dbraw/zinc/46/54/38/385465438.db2.gz COCOTUAAZHJFSI-STQMWFEESA-N 0 3 248.370 2.582 20 0 BFADHN CCOc1ccccc1[C@H](CC)NCCOC ZINC000179737121 385488044 /nfs/dbraw/zinc/48/80/44/385488044.db2.gz RARKXTQLXRMKHM-ZDUSSCGKSA-N 0 3 237.343 2.772 20 0 BFADHN CC[C@H](NCC1(C)OCCO1)c1ccc(C)cc1 ZINC000179573998 385474678 /nfs/dbraw/zinc/47/46/78/385474678.db2.gz KKCWQNFSKIITKU-AWEZNQCLSA-N 0 3 249.354 2.799 20 0 BFADHN CN(C)Cc1ccccc1NC(=O)/C=C/C1CC1 ZINC000492972687 385475910 /nfs/dbraw/zinc/47/59/10/385475910.db2.gz KWJFTKHTJFGXRZ-MDZDMXLPSA-N 0 3 244.338 2.653 20 0 BFADHN CCC[C@@H](N[C@@H]1CCOC1)c1ccsc1 ZINC000309208385 385481669 /nfs/dbraw/zinc/48/16/69/385481669.db2.gz SKTRLVRNMCRMGO-VXGBXAGGSA-N 0 3 225.357 2.968 20 0 BFADHN CN(Cc1cnccn1)CC1CCCCCC1 ZINC000179660519 385482111 /nfs/dbraw/zinc/48/21/11/385482111.db2.gz VCRJROUCYAFOFY-UHFFFAOYSA-N 0 3 233.359 2.879 20 0 BFADHN CC[C@@H](NCCF)c1cccc(OC)c1 ZINC000309218382 385486199 /nfs/dbraw/zinc/48/61/99/385486199.db2.gz UTEAAWMEKHJMFE-GFCCVEGCSA-N 0 3 211.280 2.705 20 0 BFADHN C[C@@H](NC[C@H](O)C1CCCCC1)c1ccncc1 ZINC000156590800 385461177 /nfs/dbraw/zinc/46/11/77/385461177.db2.gz XTBHTNDXFTZTCP-DOMZBBRYSA-N 0 3 248.370 2.673 20 0 BFADHN COC[C@@H](C)N(C)C/C=C/c1ccccc1OC ZINC000179370168 385463873 /nfs/dbraw/zinc/46/38/73/385463873.db2.gz NPHRXVYKWMEXGK-BUUCAEBMSA-N 0 3 249.354 2.675 20 0 BFADHN Cc1ccc(CN[C@H]2CCO[C@@H](C3CC3)C2)o1 ZINC000157157869 385490588 /nfs/dbraw/zinc/49/05/88/385490588.db2.gz AVJOJPRJIITVBE-GXTWGEPZSA-N 0 3 235.327 2.635 20 0 BFADHN C/C(=C\c1ccccc1)CN1CCC[C@@](C)(O)C1 ZINC000179844512 385493481 /nfs/dbraw/zinc/49/34/81/385493481.db2.gz NOPJBDFFPHNCLH-WSYSLRRZSA-N 0 3 245.366 2.937 20 0 BFADHN CC[C@@H](C)N(C)Cc1ncc(C)c(OC)c1C ZINC000171792321 385517739 /nfs/dbraw/zinc/51/77/39/385517739.db2.gz LUQDWVILQMYICI-LLVKDONJSA-N 0 3 236.359 2.937 20 0 BFADHN CCSCCCNCc1cccnc1Cl ZINC000282094224 385503632 /nfs/dbraw/zinc/50/36/32/385503632.db2.gz SACIJQKJKXVOSR-UHFFFAOYSA-N 0 3 244.791 2.968 20 0 BFADHN Cc1ccc([C@@H](C)N(C)Cc2cnc[nH]2)cc1 ZINC000180049069 385506392 /nfs/dbraw/zinc/50/63/92/385506392.db2.gz KITYVPZNKWCPHT-GFCCVEGCSA-N 0 3 229.327 2.911 20 0 BFADHN COC[C@H](N[C@@H]1C[C@H](C)O[C@@H]1C)c1ccccc1 ZINC000295261432 385508419 /nfs/dbraw/zinc/50/84/19/385508419.db2.gz BSBKWZRTMGOEPG-MXYBEHONSA-N 0 3 249.354 2.530 20 0 BFADHN COCC[C@H](C)N[C@H](C)c1cc(C)c(C)o1 ZINC000282140563 385510202 /nfs/dbraw/zinc/51/02/02/385510202.db2.gz KOGQYYJFJQDMMI-WDEREUQCSA-N 0 3 225.332 2.972 20 0 BFADHN C[C@]1(O)CCN(Cc2cc3ccccc3s2)C1 ZINC000180187251 385511050 /nfs/dbraw/zinc/51/10/50/385511050.db2.gz ZYFCZNFLFHWZSU-AWEZNQCLSA-N 0 3 247.363 2.858 20 0 BFADHN CC(C)CC[C@H](N)C(=O)Nc1ccccc1F ZINC000257713207 385489897 /nfs/dbraw/zinc/48/98/97/385489897.db2.gz SHFLXJSOZLYIDL-NSHDSACASA-N 0 3 238.306 2.528 20 0 BFADHN C[C@@H](N[C@H]1CCO[C@H](C2CC2)C1)c1ccccn1 ZINC000157848147 385529022 /nfs/dbraw/zinc/52/90/22/385529022.db2.gz YSNSCKWUMNSVLH-ZLDLUXBVSA-N 0 3 246.354 2.690 20 0 BFADHN CC(C)(NCC1=CCCOC1)c1cccs1 ZINC000309312085 385529213 /nfs/dbraw/zinc/52/92/13/385529213.db2.gz CEIQHDINEDHOEU-UHFFFAOYSA-N 0 3 237.368 2.920 20 0 BFADHN CC[C@@H](CNCc1ccc(Cl)c(F)c1)OC ZINC000309322347 385532872 /nfs/dbraw/zinc/53/28/72/385532872.db2.gz HIVHOWWNBNHLKD-JTQLQIEISA-N 0 3 245.725 2.994 20 0 BFADHN CCCCCN[C@@H](C)c1cc(C)n(C)n1 ZINC000282240209 385535780 /nfs/dbraw/zinc/53/57/80/385535780.db2.gz PXOIEQUWSRHQEV-NSHDSACASA-N 0 3 209.337 2.569 20 0 BFADHN CCC[C@@H](CNCc1ccncc1Cl)OC ZINC000378834061 385535923 /nfs/dbraw/zinc/53/59/23/385535923.db2.gz UGROZDWKDOIYTD-NSHDSACASA-N 0 3 242.750 2.640 20 0 BFADHN Cc1ccnc(CNC2(C(C)C)CC2)c1 ZINC000378862944 385542474 /nfs/dbraw/zinc/54/24/74/385542474.db2.gz UPMOPJNOYXAMAG-UHFFFAOYSA-N 0 3 204.317 2.668 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cccc2c1OCO2 ZINC000158044895 385545034 /nfs/dbraw/zinc/54/50/34/385545034.db2.gz BTFOUHARWYBKGA-JTQLQIEISA-N 0 3 233.311 2.646 20 0 BFADHN CCc1ccc([C@H](C)NC[C@H](C)C[C@@H](C)O)o1 ZINC000157758990 385520941 /nfs/dbraw/zinc/52/09/41/385520941.db2.gz FGZLQJZYCIPEIH-UTUOFQBUSA-N 0 3 239.359 2.900 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CC[C@H](C)C[C@H]2C)[n-]1 ZINC000392373808 385522429 /nfs/dbraw/zinc/52/24/29/385522429.db2.gz NPJGJMRLLGFTDM-MIZYBKAJSA-N 0 3 236.363 2.588 20 0 BFADHN CC(C)n1ccnc1CN[C@H]1CCC[C@H]1C ZINC000309315889 385526866 /nfs/dbraw/zinc/52/68/66/385526866.db2.gz CZNSVZVUYHSHNR-NEPJUHHUSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@H](NCCC1CCOCC1)c1ccco1 ZINC000309417048 385575094 /nfs/dbraw/zinc/57/50/94/385575094.db2.gz ASULJDLEUYXVGF-NSHDSACASA-N 0 3 223.316 2.747 20 0 BFADHN CCCCN(C)Cc1cnc2ccc(C)cn12 ZINC000158174542 385551031 /nfs/dbraw/zinc/55/10/31/385551031.db2.gz FRCQDRUKNMGRHU-UHFFFAOYSA-N 0 3 231.343 2.875 20 0 BFADHN CC(C)(C)OC(=O)CCN1CCC2(CC2)CC1 ZINC000591022103 385551076 /nfs/dbraw/zinc/55/10/76/385551076.db2.gz POEFQFHTQKBOQA-UHFFFAOYSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1csc([C@H](C)NCC[C@H]2CCOC2)n1 ZINC000309393777 385561152 /nfs/dbraw/zinc/56/11/52/385561152.db2.gz GGQXALZGOPNWGG-QWRGUYRKSA-N 0 3 240.372 2.529 20 0 BFADHN F[C@@H]1CCN(CC2CCC(F)(F)CC2)C1 ZINC000334646802 385593557 /nfs/dbraw/zinc/59/35/57/385593557.db2.gz NUABZUIRCJKCAF-SNVBAGLBSA-N 0 3 221.266 2.856 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](CO)CC(F)F)s1 ZINC000309462012 385594093 /nfs/dbraw/zinc/59/40/93/385594093.db2.gz PBGUFVHCJPMKQS-BDAKNGLRSA-N 0 3 249.326 2.723 20 0 BFADHN C[C@H](Cc1ccncc1)N[C@@H](C)c1ccco1 ZINC000337316532 385595428 /nfs/dbraw/zinc/59/54/28/385595428.db2.gz KQLGQDWHYSRGBQ-NEPJUHHUSA-N 0 3 230.311 2.956 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CCC[C@@H]2C)cn1 ZINC000309475050 385596978 /nfs/dbraw/zinc/59/69/78/385596978.db2.gz RTDLQNNZTLWLKG-DLOVCJGASA-N 0 3 219.332 2.624 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1ccccn1 ZINC000309472635 385601203 /nfs/dbraw/zinc/60/12/03/385601203.db2.gz RBNOHMJISQAZNA-VIFPVBQESA-N 0 3 232.249 2.902 20 0 BFADHN CC(C)COCCN[C@H](c1cccnc1)C1CC1 ZINC000337310439 385602072 /nfs/dbraw/zinc/60/20/72/385602072.db2.gz AWUBNXZQMLGFOX-HNNXBMFYSA-N 0 3 248.370 2.795 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1NCc1ccsc1 ZINC000063152483 385603148 /nfs/dbraw/zinc/60/31/48/385603148.db2.gz QZHGILIVDAZRGZ-NWDGAFQWSA-N 0 3 225.357 2.795 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CSC[C@H]2C)c1 ZINC000309420089 385578727 /nfs/dbraw/zinc/57/87/27/385578727.db2.gz JUBFSRIMMGJXBG-NTZNESFSSA-N 0 3 236.384 2.792 20 0 BFADHN CCN[C@@H](C)c1ccc2ccccc2n1 ZINC000063076329 385590321 /nfs/dbraw/zinc/59/03/21/385590321.db2.gz NBMFRBSGMBVSSQ-JTQLQIEISA-N 0 3 200.285 2.905 20 0 BFADHN c1cncc([C@@H](N[C@@H]2CCCOC2)C2CCC2)c1 ZINC000280820470 385606081 /nfs/dbraw/zinc/60/60/81/385606081.db2.gz JZRAGTOTBOMZCX-CABCVRRESA-N 0 3 246.354 2.691 20 0 BFADHN CCC[C@H](O)CN(C)[C@H](C)c1ccccc1F ZINC000251136269 385615635 /nfs/dbraw/zinc/61/56/35/385615635.db2.gz KLDGRQATTZVDTR-NEPJUHHUSA-N 0 3 239.334 2.980 20 0 BFADHN Cc1ccc(C)c(CN2CCN(C(C)C)CC2)c1 ZINC000337361029 385618798 /nfs/dbraw/zinc/61/87/98/385618798.db2.gz FSOMHFJUZXDNKC-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN COc1ccc([C@@H](C)[C@@H](C)NCCF)cc1 ZINC000273273279 385620397 /nfs/dbraw/zinc/62/03/97/385620397.db2.gz MQWQRDWJDRFEBD-WDEREUQCSA-N 0 3 225.307 2.746 20 0 BFADHN CC(C)[C@@H](O)CN(C)[C@H](C)c1ccccc1F ZINC000251329882 385621894 /nfs/dbraw/zinc/62/18/94/385621894.db2.gz OABOFIWRIJABLC-RISCZKNCSA-N 0 3 239.334 2.835 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H]1CSc2ccccc21 ZINC000309492561 385622296 /nfs/dbraw/zinc/62/22/96/385622296.db2.gz XODWSPORRUXSRD-UMNHJUIQSA-N 0 3 237.368 2.846 20 0 BFADHN C[C@@H](NCC1(C)COC1)c1cccc(F)c1F ZINC000181958641 385622315 /nfs/dbraw/zinc/62/23/15/385622315.db2.gz AKMBVUGBJOQTGS-SECBINFHSA-N 0 3 241.281 2.652 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCC(C)(C)C2)o1 ZINC000311721226 385623544 /nfs/dbraw/zinc/62/35/44/385623544.db2.gz YOAGGYDMYWNZKT-LLVKDONJSA-N 0 3 222.332 2.960 20 0 BFADHN C[C@H]1[C@H](Nc2ccc(Cl)cn2)CCCN1C ZINC000336679453 385624456 /nfs/dbraw/zinc/62/44/56/385624456.db2.gz AKBPYNIICUKGQR-GXSJLCMTSA-N 0 3 239.750 2.630 20 0 BFADHN COCC(C)(C)NCc1ccccc1Cl ZINC000066996156 385625272 /nfs/dbraw/zinc/62/52/72/385625272.db2.gz PZQSVMSIKZBIPB-UHFFFAOYSA-N 0 3 227.735 2.855 20 0 BFADHN CO[C@H](C)CN(C)[C@@H](C)c1ccc(F)cc1 ZINC000181999702 385627588 /nfs/dbraw/zinc/62/75/88/385627588.db2.gz LSHHULAZRYCJTA-MNOVXSKESA-N 0 3 225.307 2.853 20 0 BFADHN CO[C@@H](C)CN(Cc1cccs1)C1CC1 ZINC000182018694 385630608 /nfs/dbraw/zinc/63/06/08/385630608.db2.gz RBJWSZJISAAHBH-JTQLQIEISA-N 0 3 225.357 2.747 20 0 BFADHN Cc1ccncc1CN1CCS[C@H](C)[C@@H]1C ZINC000269742345 385630693 /nfs/dbraw/zinc/63/06/93/385630693.db2.gz ZWBMNKADLFJMFC-NWDGAFQWSA-N 0 3 236.384 2.716 20 0 BFADHN CC(C)(CNCc1ccco1)OCC1CC1 ZINC000414514374 385630984 /nfs/dbraw/zinc/63/09/84/385630984.db2.gz INARUGWWJSVLKN-UHFFFAOYSA-N 0 3 223.316 2.574 20 0 BFADHN CO[C@@H](C)CN(C)[C@@H](C)c1ccccc1F ZINC000182069199 385632337 /nfs/dbraw/zinc/63/23/37/385632337.db2.gz ZCFSJOZBWBMTHA-QWRGUYRKSA-N 0 3 225.307 2.853 20 0 BFADHN CC[C@H](CNCc1n[nH]cc1C)CC(F)(F)F ZINC000414513926 385634458 /nfs/dbraw/zinc/63/44/58/385634458.db2.gz HHNGKYUKZRQICJ-VIFPVBQESA-N 0 3 249.280 2.786 20 0 BFADHN C(=C\c1ccncc1)\CN[C@H]1C=CCCC1 ZINC000336650132 385607710 /nfs/dbraw/zinc/60/77/10/385607710.db2.gz DNFVSOLSLGERQN-WSNITJDQSA-N 0 3 214.312 2.793 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1cnc(C)nc1 ZINC000354642164 385608300 /nfs/dbraw/zinc/60/83/00/385608300.db2.gz PZJNKQVFMDOJED-SNVBAGLBSA-N 0 3 247.264 2.606 20 0 BFADHN Fc1ccc(CN[C@@H]2CC[C@H](F)C2)cc1F ZINC000309482987 385608495 /nfs/dbraw/zinc/60/84/95/385608495.db2.gz FFHXJFVCDOZQEQ-VHSXEESVSA-N 0 3 229.245 2.945 20 0 BFADHN CCc1ccc(CNC[C@@H]2C[C@H](C)O[C@@H]2C)o1 ZINC000414516193 385637269 /nfs/dbraw/zinc/63/72/69/385637269.db2.gz OPKBBELMELFIAL-TUAOUCFPSA-N 0 3 237.343 2.745 20 0 BFADHN Cc1ccc(CNCC(C)(C)OCC2CC2)o1 ZINC000414515166 385637713 /nfs/dbraw/zinc/63/77/13/385637713.db2.gz KWVHVZVPTRXOJD-UHFFFAOYSA-N 0 3 237.343 2.883 20 0 BFADHN C[C@@H](N[C@@H](CCO)C(C)(C)C)c1cncs1 ZINC000229575903 385637799 /nfs/dbraw/zinc/63/77/99/385637799.db2.gz MSFKTUCZTLBKDL-KOLCDFICSA-N 0 3 242.388 2.591 20 0 BFADHN Cc1occc1CN[C@H]1CS[C@@H](C)C1 ZINC000306899396 385639680 /nfs/dbraw/zinc/63/96/80/385639680.db2.gz DKLPROQYUVMDCL-GZMMTYOYSA-N 0 3 211.330 2.572 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NC[C@H]1CC=CCC1 ZINC000269848946 385639813 /nfs/dbraw/zinc/63/98/13/385639813.db2.gz XLNPPFKPZUNPMZ-WCQYABFASA-N 0 3 231.343 2.733 20 0 BFADHN C[C@H]1[C@@H](Nc2ccncc2Cl)CCCN1C ZINC000309506641 385641297 /nfs/dbraw/zinc/64/12/97/385641297.db2.gz WBOBZNYJZZIKTL-ONGXEEELSA-N 0 3 239.750 2.630 20 0 BFADHN C[C@@H]1[C@@H](Nc2ccncc2Cl)CCCN1C ZINC000309506639 385641452 /nfs/dbraw/zinc/64/14/52/385641452.db2.gz WBOBZNYJZZIKTL-KOLCDFICSA-N 0 3 239.750 2.630 20 0 BFADHN CC(C)=CCNCc1ccc2c(c1)OCCO2 ZINC000182188553 385642402 /nfs/dbraw/zinc/64/24/02/385642402.db2.gz BZOSZCBUGMGSLL-UHFFFAOYSA-N 0 3 233.311 2.514 20 0 BFADHN Cc1cncc([C@@H](C)N[C@H](CO)CC2CCC2)c1 ZINC000281201531 385643701 /nfs/dbraw/zinc/64/37/01/385643701.db2.gz SCKJFMXLMXLJSD-DOMZBBRYSA-N 0 3 248.370 2.592 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1ccccn1)OC ZINC000293584647 385665288 /nfs/dbraw/zinc/66/52/88/385665288.db2.gz FOWPFCRUENTEMT-NWDGAFQWSA-N 0 3 222.332 2.547 20 0 BFADHN Cc1ccoc1CNCC(C)(C)[C@@H](O)C(C)C ZINC000269893875 385645802 /nfs/dbraw/zinc/64/58/02/385645802.db2.gz CXWSCSNATMCCOJ-ZDUSSCGKSA-N 0 3 239.359 2.721 20 0 BFADHN CSCCCN(C)Cc1occc1C ZINC000281255385 385650182 /nfs/dbraw/zinc/65/01/82/385650182.db2.gz MUCDZJVKBWYRNW-UHFFFAOYSA-N 0 3 213.346 2.773 20 0 BFADHN C[C@@]1(F)CCCN(C[C@H](O)CC2CCCC2)C1 ZINC000451116368 385653435 /nfs/dbraw/zinc/65/34/35/385653435.db2.gz RPZVAXPULKCLQZ-ZIAGYGMSSA-N 0 3 243.366 2.752 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@@H]2CCO[C@H]2C)s1 ZINC000252689331 385657659 /nfs/dbraw/zinc/65/76/59/385657659.db2.gz QWEHHDZIFNUYAC-ATZCPNFKSA-N 0 3 240.372 2.588 20 0 BFADHN Cc1nn(C(C)C)cc1CNC1CC(F)(F)C1 ZINC000281335474 385659247 /nfs/dbraw/zinc/65/92/47/385659247.db2.gz LPTIWMSWENZSSC-UHFFFAOYSA-N 0 3 243.301 2.660 20 0 BFADHN C[C@@H](NCC12CCC(CC1)C2)c1ncc[nH]1 ZINC000309524256 385661039 /nfs/dbraw/zinc/66/10/39/385661039.db2.gz CKWDLXADRRVDBL-XSRFYTQQSA-N 0 3 219.332 2.641 20 0 BFADHN CSCCCN(C)Cc1sc(C)nc1C ZINC000281371272 385663152 /nfs/dbraw/zinc/66/31/52/385663152.db2.gz VQBXPGACSXBFJD-UHFFFAOYSA-N 0 3 244.429 2.945 20 0 BFADHN CC(C)C[C@H](C)CN[C@H](CCO)c1ccco1 ZINC000281480340 385675012 /nfs/dbraw/zinc/67/50/12/385675012.db2.gz WMHSQAUXQLGCQK-QWHCGFSZSA-N 0 3 239.359 2.975 20 0 BFADHN CN(Cc1ccncc1)C[C@@]1(C)CCCS1 ZINC000647912549 385675276 /nfs/dbraw/zinc/67/52/76/385675276.db2.gz NFVMPWSFYZGWCZ-CYBMUJFWSA-N 0 3 236.384 2.799 20 0 BFADHN COC[C@H](NCC[C@@H](C)F)c1ccccc1 ZINC000309547866 385681081 /nfs/dbraw/zinc/68/10/81/385681081.db2.gz HIIATSNUGINCEU-YPMHNXCESA-N 0 3 225.307 2.712 20 0 BFADHN CCc1ccc(CN[C@H](C)c2cn[nH]c2)cc1 ZINC000229883855 385685556 /nfs/dbraw/zinc/68/55/56/385685556.db2.gz UHVXLNZQVFGVNQ-LLVKDONJSA-N 0 3 229.327 2.823 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CCCSC2)o1 ZINC000311777664 385688134 /nfs/dbraw/zinc/68/81/34/385688134.db2.gz OHGXVVDTMBVODJ-NSHDSACASA-N 0 3 240.372 2.524 20 0 BFADHN COC(C)(C)[C@H](C)NCc1sc(C)nc1C ZINC000309550446 385688563 /nfs/dbraw/zinc/68/85/63/385688563.db2.gz JZPQHWNGOQDESM-VIFPVBQESA-N 0 3 242.388 2.663 20 0 BFADHN Cc1ccncc1CN(CCO)C1CCCCC1 ZINC000270254815 385688728 /nfs/dbraw/zinc/68/87/28/385688728.db2.gz JHVOYNWJUNANCE-UHFFFAOYSA-N 0 3 248.370 2.517 20 0 BFADHN C[C@H](N[C@@H]1CSC[C@@H]1C)c1cncs1 ZINC000307428673 385689324 /nfs/dbraw/zinc/68/93/24/385689324.db2.gz ALFZSHZSODNARC-XHNCKOQMSA-N 0 3 228.386 2.545 20 0 BFADHN C[C@@H]1CN([C@H]2CCc3ccccc32)C[C@H](C)N1C ZINC000645231311 385693396 /nfs/dbraw/zinc/69/33/96/385693396.db2.gz XGZSWHQGTPOWOJ-ZENOOKHLSA-N 0 3 244.382 2.698 20 0 BFADHN COc1cc(C)nc(CN2C[C@@H]3CCC[C@@H]3C2)c1 ZINC000270283424 385693822 /nfs/dbraw/zinc/69/38/22/385693822.db2.gz MNYVNZBLRSKBDK-BETUJISGSA-N 0 3 246.354 2.631 20 0 BFADHN C[C@@H](NC[C@@H]1CCCS1)c1ccncc1F ZINC000309557250 385693930 /nfs/dbraw/zinc/69/39/30/385693930.db2.gz KARIVMVYHBVNJH-ZJUUUORDSA-N 0 3 240.347 2.767 20 0 BFADHN CC[C@@H](NCc1ccccc1F)[C@@H](O)C(C)C ZINC000414537738 385701737 /nfs/dbraw/zinc/70/17/37/385701737.db2.gz ZLRVBANEOAGZGA-KGLIPLIRSA-N 0 3 239.334 2.711 20 0 BFADHN C[C@@H](N[C@H]1CSC1(C)C)c1cccc(O)c1 ZINC000283492414 385703465 /nfs/dbraw/zinc/70/34/65/385703465.db2.gz YECHNMMJHDJAMP-SKDRFNHKSA-N 0 3 237.368 2.937 20 0 BFADHN Cn1ccnc1CN[C@H]1CCC[C@H]1C(C)(C)C ZINC000414539157 385706266 /nfs/dbraw/zinc/70/62/66/385706266.db2.gz RRWNNLGPOKOVGG-NEPJUHHUSA-N 0 3 235.375 2.725 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1cccc(O)c1 ZINC000336688352 385708088 /nfs/dbraw/zinc/70/80/88/385708088.db2.gz JRGBRHLGWKTCLE-JIMOISOXSA-N 0 3 237.368 2.937 20 0 BFADHN CCc1ccc(CN2CC[C@@](C)(COC)C2)nc1 ZINC000451205364 385715643 /nfs/dbraw/zinc/71/56/43/385715643.db2.gz XVGYQWORVUVTNB-OAHLLOKOSA-N 0 3 248.370 2.502 20 0 BFADHN C[C@@H](CO)CCCNCc1ccccc1Cl ZINC000230161394 385715768 /nfs/dbraw/zinc/71/57/68/385715768.db2.gz BKYSDEIXHYBOGA-LLVKDONJSA-N 0 3 241.762 2.838 20 0 BFADHN C[C@@H]1C[C@@H]1NCc1ccccc1Br ZINC000052271815 385716635 /nfs/dbraw/zinc/71/66/35/385716635.db2.gz MRQNVQQWRARNAT-KCJUWKMLSA-N 0 3 240.144 2.947 20 0 BFADHN CO[C@H](C)CN[C@H](C)c1ccc(F)c(F)c1 ZINC000182419191 385668460 /nfs/dbraw/zinc/66/84/60/385668460.db2.gz YYPJAPZSAGQKLP-RKDXNWHRSA-N 0 3 229.270 2.650 20 0 BFADHN c1nc(CNC(C2CCC2)C2CCC2)co1 ZINC000336683784 385669804 /nfs/dbraw/zinc/66/98/04/385669804.db2.gz SPAAMHZNIAGFCM-UHFFFAOYSA-N 0 3 220.316 2.733 20 0 BFADHN C[C@H](NCc1ccc([C@H]2C[C@H]2C)o1)[C@H]1CCOC1 ZINC000253196621 385669862 /nfs/dbraw/zinc/66/98/62/385669862.db2.gz TVBPDOADAVNVNG-UHXUPSOCSA-N 0 3 249.354 2.918 20 0 BFADHN CCOc1ccc([C@H](C)NC[C@@H](C)OC)cc1 ZINC000182421887 385669954 /nfs/dbraw/zinc/66/99/54/385669954.db2.gz HXLWKQPYJVRYRZ-NEPJUHHUSA-N 0 3 237.343 2.771 20 0 BFADHN C[C@@H](N[C@@H]1CCC12CCOCC2)c1ccccn1 ZINC000368523674 385732269 /nfs/dbraw/zinc/73/22/69/385732269.db2.gz VQHSNLMFFLOOBG-TZMCWYRMSA-N 0 3 246.354 2.691 20 0 BFADHN c1nc(CN[C@@H]2CC[C@@H]3CCC[C@H]3C2)co1 ZINC000336692284 385733793 /nfs/dbraw/zinc/73/37/93/385733793.db2.gz XDSWICKPDIBISF-SDDRHHMPSA-N 0 3 220.316 2.733 20 0 BFADHN CCN(C[C@H]1CCCO1)[C@@H](C)c1ccccn1 ZINC000270727872 385735340 /nfs/dbraw/zinc/73/53/40/385735340.db2.gz MPIBUENVPNXAJP-QWHCGFSZSA-N 0 3 234.343 2.644 20 0 BFADHN CCN(C)Cc1ccc(-c2cnn(C)c2)s1 ZINC000282767529 385785958 /nfs/dbraw/zinc/78/59/58/385785958.db2.gz JDCNGQCUCKZXNN-UHFFFAOYSA-N 0 3 235.356 2.600 20 0 BFADHN C[C@H](C[C@H]1CCCO1)NCc1ccc(Cl)o1 ZINC000336736364 385739049 /nfs/dbraw/zinc/73/90/49/385739049.db2.gz ITWOEEGVGXSWER-NXEZZACHSA-N 0 3 243.734 2.980 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1ccccc1Cl ZINC000185825912 385741335 /nfs/dbraw/zinc/74/13/35/385741335.db2.gz QLYJFZQCKYPORG-JDGPPOGSSA-N 0 3 239.746 2.757 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1cccc(F)c1F ZINC000183263639 385746249 /nfs/dbraw/zinc/74/62/49/385746249.db2.gz ORPBLOSICLIEJB-VHSXEESVSA-N 0 3 243.297 2.776 20 0 BFADHN CC(C)C1(C)CCN(Cc2ccnn2C)CC1 ZINC000186192700 385752400 /nfs/dbraw/zinc/75/24/00/385752400.db2.gz XNYXRSJVCJZDBA-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN COC(=O)[C@@]1(C)CCCN1CCC1CCCC1 ZINC000186382866 385758939 /nfs/dbraw/zinc/75/89/39/385758939.db2.gz JQXOSVOTSYFTQV-CQSZACIVSA-N 0 3 239.359 2.594 20 0 BFADHN C[C@@H](NCC1(CCO)CCCC1)c1ccccn1 ZINC000270940411 385761734 /nfs/dbraw/zinc/76/17/34/385761734.db2.gz SEQGBGUEFFWXCY-CYBMUJFWSA-N 0 3 248.370 2.675 20 0 BFADHN CCCCN(CC)Cc1cccc2nccn21 ZINC000183473496 385763597 /nfs/dbraw/zinc/76/35/97/385763597.db2.gz XAUOCILHPRWOEP-UHFFFAOYSA-N 0 3 231.343 2.956 20 0 BFADHN COc1cc(CN[C@H](C)[C@H]2CCCO2)ccc1C ZINC000183493979 385765361 /nfs/dbraw/zinc/76/53/61/385765361.db2.gz RYSOAQMDYHVLTE-TZMCWYRMSA-N 0 3 249.354 2.661 20 0 BFADHN COC1(CNCc2ccoc2)CCCCC1 ZINC000309655365 385771997 /nfs/dbraw/zinc/77/19/97/385771997.db2.gz PKXNNQBXXKBPHG-UHFFFAOYSA-N 0 3 223.316 2.719 20 0 BFADHN CCN(CCOC)Cc1ccc(F)c(C)c1 ZINC000271032057 385772736 /nfs/dbraw/zinc/77/27/36/385772736.db2.gz DGXLPDVPJMMCIG-UHFFFAOYSA-N 0 3 225.307 2.602 20 0 BFADHN CC[C@H](F)CN1CCS[C@H](C)[C@H]1C ZINC000307708599 385787715 /nfs/dbraw/zinc/78/77/15/385787715.db2.gz JIXVLGZFDZVSDM-BBBLOLIVSA-N 0 3 205.342 2.560 20 0 BFADHN C[C@@H](N[C@H](C)c1ccccn1)c1cc[nH]c(=O)c1 ZINC000340448528 385777681 /nfs/dbraw/zinc/77/76/81/385777681.db2.gz MLRIMJKPDSFMBK-GHMZBOCLSA-N 0 3 243.310 2.594 20 0 BFADHN CCc1ccc(CN[C@@H](CCO)C(C)(C)C)o1 ZINC000231074906 385778406 /nfs/dbraw/zinc/77/84/06/385778406.db2.gz ANSGGPGWIHBGKI-ZDUSSCGKSA-N 0 3 239.359 2.729 20 0 BFADHN C[C@@H](NC[C@H](C)c1nccs1)c1cccnc1 ZINC000186738348 385787980 /nfs/dbraw/zinc/78/79/80/385787980.db2.gz XHLUEBDYBISGKE-WDEREUQCSA-N 0 3 247.367 2.992 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnn(CC)c1 ZINC000271062345 385783029 /nfs/dbraw/zinc/78/30/29/385783029.db2.gz HDSQFDJRZSWWSP-NSHDSACASA-N 0 3 209.337 2.523 20 0 BFADHN CO[C@@H](C)CNC1(c2ccc(Cl)cc2)CC1 ZINC000309590581 385720436 /nfs/dbraw/zinc/72/04/36/385720436.db2.gz UQBRBIPETFMKNE-JTQLQIEISA-N 0 3 239.746 2.954 20 0 BFADHN CO[C@H](C)CN(Cc1ccco1)C(C)C ZINC000271185419 385800834 /nfs/dbraw/zinc/80/08/34/385800834.db2.gz JJMUIIUEEPNXMS-LLVKDONJSA-N 0 3 211.305 2.525 20 0 BFADHN CSC[C@H]1CCCN(Cc2conc2C)C1 ZINC000294094528 385801810 /nfs/dbraw/zinc/80/18/10/385801810.db2.gz ZUYQOGXDMSXYKK-NSHDSACASA-N 0 3 240.372 2.558 20 0 BFADHN C[C@H]1[C@H](NCc2ccc(Cl)o2)CCCN1C ZINC000336740514 385802016 /nfs/dbraw/zinc/80/20/16/385802016.db2.gz MHFUIZNJBZDKET-GXSJLCMTSA-N 0 3 242.750 2.505 20 0 BFADHN C[C@@H]1[C@H](NCc2ccc(Cl)o2)CCCN1C ZINC000336740516 385803169 /nfs/dbraw/zinc/80/31/69/385803169.db2.gz MHFUIZNJBZDKET-MWLCHTKSSA-N 0 3 242.750 2.505 20 0 BFADHN COC[C@@H]1CCN(Cc2ccc([C@@H]3C[C@H]3C)o2)C1 ZINC000271199887 385804007 /nfs/dbraw/zinc/80/40/07/385804007.db2.gz TWLMKXRSQDTJQF-YRGRVCCFSA-N 0 3 249.354 2.871 20 0 BFADHN COC[C@H]1CCN(Cc2cc(C)ccc2F)C1 ZINC000271201194 385804181 /nfs/dbraw/zinc/80/41/81/385804181.db2.gz UHLNLBJOCNAMIM-LBPRGKRZSA-N 0 3 237.318 2.602 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CCCCO1)c1cncs1 ZINC000336737573 385804416 /nfs/dbraw/zinc/80/44/16/385804416.db2.gz JPIRYULLZOMCCW-DCAQKATOSA-N 0 3 240.372 2.751 20 0 BFADHN CCN(CCCO)Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000271208915 385806510 /nfs/dbraw/zinc/80/65/10/385806510.db2.gz SZIYSJFYUUNVHA-YPMHNXCESA-N 0 3 237.343 2.607 20 0 BFADHN CC(C)N(Cc1cccc(F)c1)[C@@H]1CCOC1 ZINC000271227922 385807800 /nfs/dbraw/zinc/80/78/00/385807800.db2.gz AFZLYNLUYQCAAX-CQSZACIVSA-N 0 3 237.318 2.825 20 0 BFADHN C[C@]1(c2ccccc2)CCCN([C@@H]2CCOC2)C1 ZINC000271245419 385808493 /nfs/dbraw/zinc/80/84/93/385808493.db2.gz CCWOZVOZDHZIQC-CVEARBPZSA-N 0 3 245.366 2.829 20 0 BFADHN Cc1ccc(CN2CCC3(C2)CCOCC3)o1 ZINC000271229701 385808658 /nfs/dbraw/zinc/80/86/58/385808658.db2.gz SZVVLXSMGCZDPG-UHFFFAOYSA-N 0 3 235.327 2.591 20 0 BFADHN C[C@@H]1C[C@H](NCc2cccc3c2OCO3)[C@@H]1C ZINC000336713938 385809442 /nfs/dbraw/zinc/80/94/42/385809442.db2.gz OOKMTWPNZXAAHX-FOGDFJRCSA-N 0 3 233.311 2.549 20 0 BFADHN CC[C@H](CNCc1ccc([C@H]2C[C@H]2C)o1)OC ZINC000336714656 385812646 /nfs/dbraw/zinc/81/26/46/385812646.db2.gz PFOORVJJHDFGHN-WZRBSPASSA-N 0 3 237.343 2.918 20 0 BFADHN CC[C@@H]1CN(Cc2ccc([C@@H]3C[C@@H]3C)o2)C[C@H]1O ZINC000271278051 385813617 /nfs/dbraw/zinc/81/36/17/385813617.db2.gz LJPLTDXDVABXAR-OIMNJJJWSA-N 0 3 249.354 2.606 20 0 BFADHN CCN(CCC[C@@H](C)O)Cc1cccs1 ZINC000336716089 385814117 /nfs/dbraw/zinc/81/41/17/385814117.db2.gz XCIRSVOBMVZKDT-LLVKDONJSA-N 0 3 227.373 2.731 20 0 BFADHN CC(C)COCCN1CCC[C@@H]1c1ccncc1 ZINC000283295205 385815801 /nfs/dbraw/zinc/81/58/01/385815801.db2.gz MWJIIWRAHNNPLL-OAHLLOKOSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@H]1OCCN(CC2CC(C)(C)C2)[C@H]1C ZINC000528405108 385817044 /nfs/dbraw/zinc/81/70/44/385817044.db2.gz PNTGNGQJZIWOII-WDEREUQCSA-N 0 3 211.349 2.532 20 0 BFADHN C[C@H](NCc1cccc(F)c1)[C@@]1(C)CCCO1 ZINC000340173084 385819547 /nfs/dbraw/zinc/81/95/47/385819547.db2.gz WPMXNZSNSAVCHN-SMDDNHRTSA-N 0 3 237.318 2.873 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@H]2CCCN2C)o1 ZINC000261589737 385823495 /nfs/dbraw/zinc/82/34/95/385823495.db2.gz WLGVYDYECMHXEL-YRGRVCCFSA-N 0 3 248.370 2.587 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)C[C@H]1CCCO1 ZINC000271392372 385823699 /nfs/dbraw/zinc/82/36/99/385823699.db2.gz MCSIDOJZAIVOJL-KGLIPLIRSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@H]1CN(C/C=C/c2ccc(F)cc2)C[C@H]1O ZINC000271390797 385824137 /nfs/dbraw/zinc/82/41/37/385824137.db2.gz TVJAPZNTLBGSOJ-MXKYZYEVSA-N 0 3 249.329 2.542 20 0 BFADHN CCCCNCc1ccc(OC)cc1F ZINC000083346638 385826613 /nfs/dbraw/zinc/82/66/13/385826613.db2.gz LIWHFBFHIIWVJV-UHFFFAOYSA-N 0 3 211.280 2.724 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H]1COc2c1ccc(C)c2C ZINC000271423259 385827433 /nfs/dbraw/zinc/82/74/33/385827433.db2.gz CDQOUYGNCMCXPW-OUCADQQQSA-N 0 3 249.354 2.750 20 0 BFADHN CSC1(CN(C)Cc2ccncc2)CCC1 ZINC000283723697 385830103 /nfs/dbraw/zinc/83/01/03/385830103.db2.gz BJWMJTIGBVDDIV-UHFFFAOYSA-N 0 3 236.384 2.799 20 0 BFADHN COC[C@H](NCC(C)C)c1ccc(C)o1 ZINC000121202104 385830098 /nfs/dbraw/zinc/83/00/98/385830098.db2.gz CPLFYVWAQFWJGY-NSHDSACASA-N 0 3 211.305 2.521 20 0 BFADHN Cc1ccc([C@@H](C)NCCCc2nc(C)no2)o1 ZINC000271462389 385831076 /nfs/dbraw/zinc/83/10/76/385831076.db2.gz KEVPVMXOQZEUSP-SNVBAGLBSA-N 0 3 249.314 2.563 20 0 BFADHN CCCCCN(CCO)Cc1ccc(F)cc1 ZINC000112411972 385835238 /nfs/dbraw/zinc/83/52/38/385835238.db2.gz YGFJDSHMRQJEPD-UHFFFAOYSA-N 0 3 239.334 2.810 20 0 BFADHN Cc1cnc(CN[C@@H](C)CC(C)C)s1 ZINC000122918004 385835986 /nfs/dbraw/zinc/83/59/86/385835986.db2.gz KMLKOISBBDIORY-VIFPVBQESA-N 0 3 212.362 2.976 20 0 BFADHN CSC1(CN(C)Cc2cccnc2)CCC1 ZINC000283887959 385838383 /nfs/dbraw/zinc/83/83/83/385838383.db2.gz FTIVHQMDXICKQI-UHFFFAOYSA-N 0 3 236.384 2.799 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1cccc(F)c1F ZINC000122950606 385840049 /nfs/dbraw/zinc/84/00/49/385840049.db2.gz WQIKJOWBCQSLLN-SCZZXKLOSA-N 0 3 211.255 2.710 20 0 BFADHN C[C@H](NC[C@@]1(C)CCCC[C@H]1O)c1cccnc1 ZINC000271615122 385841866 /nfs/dbraw/zinc/84/18/66/385841866.db2.gz WLRHPDLEQWGDTL-NWANDNLSSA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1cnccc1C ZINC000271619642 385842002 /nfs/dbraw/zinc/84/20/02/385842002.db2.gz WSTILSJYZYTOBS-ZDUSSCGKSA-N 0 3 238.400 2.963 20 0 BFADHN CC[C@H]1CN(CCC(C)(C)C)C[C@H](C)O1 ZINC000112698175 385844253 /nfs/dbraw/zinc/84/42/53/385844253.db2.gz HVOSPKDPSRLJCR-RYUDHWBXSA-N 0 3 213.365 2.922 20 0 BFADHN CCC1CC(N[C@H]2COc3ccccc32)C1 ZINC000336740998 385844402 /nfs/dbraw/zinc/84/44/02/385844402.db2.gz QPESNSUTJSPFPL-XIVSLSHWSA-N 0 3 217.312 2.898 20 0 BFADHN CC[C@@H](O)CNC(C)(C)c1ccc(F)c(F)c1 ZINC000271706480 385846843 /nfs/dbraw/zinc/84/68/43/385846843.db2.gz MRJHLQKEIGPOQL-SNVBAGLBSA-N 0 3 243.297 2.560 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC[C@@H]1C1CC1 ZINC000336718603 385847155 /nfs/dbraw/zinc/84/71/55/385847155.db2.gz XLBGMYPITBBCGY-GHMZBOCLSA-N 0 3 237.372 2.515 20 0 BFADHN CC[C@H](NCCN(C)C)c1ccc(F)cc1F ZINC000271802435 385851758 /nfs/dbraw/zinc/85/17/58/385851758.db2.gz PJARSNWWWXDWDE-ZDUSSCGKSA-N 0 3 242.313 2.567 20 0 BFADHN CC[C@@H](C)CN(C)Cc1c(C)n[nH]c1C ZINC000271109105 385788771 /nfs/dbraw/zinc/78/87/71/385788771.db2.gz YUAZUINKSSSYDE-SECBINFHSA-N 0 3 209.337 2.504 20 0 BFADHN CC[C@@H](C)c1nnc([C@H](C)[NH2+]CC(C)C)[n-]1 ZINC000309686895 385789028 /nfs/dbraw/zinc/78/90/28/385789028.db2.gz HOZRHUQZYGAHHU-ZJUUUORDSA-N 0 3 224.352 2.625 20 0 BFADHN CC[C@@H](C)c1nnc([C@H](C)NCC(C)C)[nH]1 ZINC000309686895 385789030 /nfs/dbraw/zinc/78/90/30/385789030.db2.gz HOZRHUQZYGAHHU-ZJUUUORDSA-N 0 3 224.352 2.625 20 0 BFADHN CC[C@H](N[C@H]1CC=CCC1)c1ccn(C)n1 ZINC000309689047 385792632 /nfs/dbraw/zinc/79/26/32/385792632.db2.gz INSWCYXCDVVOMV-RYUDHWBXSA-N 0 3 219.332 2.570 20 0 BFADHN CSCCCCCN[C@H](C)c1nccn1C ZINC000186771955 385793380 /nfs/dbraw/zinc/79/33/80/385793380.db2.gz UTKMYMXRJJDENO-LLVKDONJSA-N 0 3 241.404 2.604 20 0 BFADHN CSCCCCCN[C@@H](C)c1nccn1C ZINC000186771941 385794006 /nfs/dbraw/zinc/79/40/06/385794006.db2.gz UTKMYMXRJJDENO-NSHDSACASA-N 0 3 241.404 2.604 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]1C)c1ccc(F)cn1 ZINC000336706847 385794095 /nfs/dbraw/zinc/79/40/95/385794095.db2.gz ROMCQJFPVLCWCL-ATZCPNFKSA-N 0 3 208.280 2.670 20 0 BFADHN Cc1nnsc1CN[C@H]1CC[C@H]1C(C)(C)C ZINC000336707525 385795329 /nfs/dbraw/zinc/79/53/29/385795329.db2.gz QGFFOSHNWSLTLM-ZJUUUORDSA-N 0 3 239.388 2.761 20 0 BFADHN CSC[C@H]1CCCN1Cc1ccncc1C ZINC000294707859 385884938 /nfs/dbraw/zinc/88/49/38/385884938.db2.gz FJMVOOAICPFNOO-CYBMUJFWSA-N 0 3 236.384 2.717 20 0 BFADHN C[C@H](O)CN[C@@H]1C[C@H](C)Sc2sccc21 ZINC000122025765 385885482 /nfs/dbraw/zinc/88/54/82/385885482.db2.gz MGFZKEJZAJEXRK-OYNCUSHFSA-N 0 3 243.397 2.644 20 0 BFADHN C[C@H](NC[C@H]1CC[C@@H](C)O1)c1ccsc1 ZINC000148689694 385886254 /nfs/dbraw/zinc/88/62/54/385886254.db2.gz PDSZUAXFCUFSBU-JFGNBEQYSA-N 0 3 225.357 2.966 20 0 BFADHN CO[C@H]1CN(Cc2ccsc2C)CC[C@H]1C ZINC000294721248 385887225 /nfs/dbraw/zinc/88/72/25/385887225.db2.gz PLADESFGFYHZDD-MFKMUULPSA-N 0 3 239.384 2.913 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@H]2c2ccco2)cn1 ZINC000414593250 385887648 /nfs/dbraw/zinc/88/76/48/385887648.db2.gz QTETWZKMDAZDFI-ZIAGYGMSSA-N 0 3 242.322 2.883 20 0 BFADHN COc1c(O)cccc1CN1CCCC[C@H]1C ZINC000272280987 385890461 /nfs/dbraw/zinc/89/04/61/385890461.db2.gz MUFXGYOHVFFLNH-LLVKDONJSA-N 0 3 235.327 2.775 20 0 BFADHN CC(C)n1cc(CN[C@@H]2C[C@H]2c2ccco2)cn1 ZINC000414596890 385897161 /nfs/dbraw/zinc/89/71/61/385897161.db2.gz VKEQGDHYQMPKOU-CHWSQXEVSA-N 0 3 245.326 2.703 20 0 BFADHN C[C@@H](NC1(Cc2ccccc2)CC1)c1cn[nH]c1 ZINC000285087219 385897851 /nfs/dbraw/zinc/89/78/51/385897851.db2.gz JVWQPACHRMTINQ-GFCCVEGCSA-N 0 3 241.338 2.836 20 0 BFADHN CO[C@@H](CNCc1ccco1)Cc1ccccc1 ZINC000294816796 385899795 /nfs/dbraw/zinc/89/97/95/385899795.db2.gz OKSARSUBHOJCBV-OAHLLOKOSA-N 0 3 245.322 2.627 20 0 BFADHN CSCC[C@H](C)N(C)Cc1ccncc1C ZINC000294834260 385901914 /nfs/dbraw/zinc/90/19/14/385901914.db2.gz NOSOMBNXJNTSEN-LBPRGKRZSA-N 0 3 238.400 2.963 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCC[C@H]2C)s1 ZINC000394721951 385902503 /nfs/dbraw/zinc/90/25/03/385902503.db2.gz FYOJJCLQILTIIR-KOLCDFICSA-N 0 3 224.373 2.977 20 0 BFADHN FC(F)(F)c1cccc(CNCC2CC2)n1 ZINC000294886239 385909449 /nfs/dbraw/zinc/90/94/49/385909449.db2.gz WAZGDRUHTQWBHX-UHFFFAOYSA-N 0 3 230.233 2.600 20 0 BFADHN CC(C)(CNCc1cncs1)c1ccccn1 ZINC000294887951 385909843 /nfs/dbraw/zinc/90/98/43/385909843.db2.gz WGINNUZHMLTECC-UHFFFAOYSA-N 0 3 247.367 2.606 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CC[C@H]2CC)o1 ZINC000336746014 385914691 /nfs/dbraw/zinc/91/46/91/385914691.db2.gz VYUGOBBZDGXELW-MNOVXSKESA-N 0 3 222.332 2.824 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NCc1snnc1C ZINC000308941678 385915752 /nfs/dbraw/zinc/91/57/52/385915752.db2.gz VAPXQZGFFNQDDN-RKDXNWHRSA-N 0 3 227.377 2.761 20 0 BFADHN CCN(CCCO)[C@@H](C)c1nc2ccccc2o1 ZINC000272604801 385917481 /nfs/dbraw/zinc/91/74/81/385917481.db2.gz VSOGWWAJDVQREE-NSHDSACASA-N 0 3 248.326 2.593 20 0 BFADHN COc1ccc(CN2CCCC2)c(C)c1OC ZINC000342067683 385919288 /nfs/dbraw/zinc/91/92/88/385919288.db2.gz XDNGPQZUJXPVHT-UHFFFAOYSA-N 0 3 235.327 2.608 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc2occc2c1 ZINC000285390658 385920211 /nfs/dbraw/zinc/92/02/11/385920211.db2.gz AKIMJYNLHRBUNN-WDEREUQCSA-N 0 3 233.311 2.946 20 0 BFADHN CS[C@H]1CCN(Cc2ccc(C)o2)C1 ZINC000294945763 385922236 /nfs/dbraw/zinc/92/22/36/385922236.db2.gz COLDXRXOMLBKDL-NSHDSACASA-N 0 3 211.330 2.525 20 0 BFADHN CC(C)CCN(CC(N)=O)[C@@H](C)c1ccccc1 ZINC000342037909 385923166 /nfs/dbraw/zinc/92/31/66/385923166.db2.gz KCHUAYUSAABAGB-ZDUSSCGKSA-N 0 3 248.370 2.581 20 0 BFADHN CO[C@H](C)CN(C)Cc1cccc2cccnc21 ZINC000285473534 385930199 /nfs/dbraw/zinc/93/01/99/385930199.db2.gz BINZYTVPDRFEJP-GFCCVEGCSA-N 0 3 244.338 2.702 20 0 BFADHN Cc1coc(SCC[C@@H]2CCCCN2C)n1 ZINC000284268263 385859976 /nfs/dbraw/zinc/85/99/76/385859976.db2.gz PPCFPFLXDSBEOB-NSHDSACASA-N 0 3 240.372 2.950 20 0 BFADHN CC[C@@H](C)NCc1cnc(C(C)(C)C)nc1 ZINC000284357652 385864309 /nfs/dbraw/zinc/86/43/09/385864309.db2.gz MVYZJQQGCFPXIH-SNVBAGLBSA-N 0 3 221.348 2.662 20 0 BFADHN CCCCCN1CCOc2cc(O)ccc2C1 ZINC000285453552 385927762 /nfs/dbraw/zinc/92/77/62/385927762.db2.gz JGQTZXKWPKUITR-UHFFFAOYSA-N 0 3 235.327 2.777 20 0 BFADHN Cn1cnc2cc(CN3CCCCC3)ccc21 ZINC000272010158 385867565 /nfs/dbraw/zinc/86/75/65/385867565.db2.gz VTNXPABZXDZEOI-UHFFFAOYSA-N 0 3 229.327 2.559 20 0 BFADHN CO[C@@H]1CCN([C@@H]2CCCc3ccc(F)cc32)C1 ZINC000337986408 385867590 /nfs/dbraw/zinc/86/75/90/385867590.db2.gz RPKZBETYFVSBBR-UKRRQHHQSA-N 0 3 249.329 2.924 20 0 BFADHN Fc1ccc(CN[C@@H]2CC[C@H]2C2CCC2)nc1 ZINC000337987337 385868397 /nfs/dbraw/zinc/86/83/97/385868397.db2.gz KMSPLYJYNIRVIV-UONOGXRCSA-N 0 3 234.318 2.889 20 0 BFADHN COc1ncccc1CN[C@H]1CC[C@@H]1C1CCC1 ZINC000337988698 385869431 /nfs/dbraw/zinc/86/94/31/385869431.db2.gz NKUWULRQXUMDHD-KGLIPLIRSA-N 0 3 246.354 2.759 20 0 BFADHN CC[C@H]1CO[C@H](C)CN1CCSC(C)C ZINC000338254587 385927957 /nfs/dbraw/zinc/92/79/57/385927957.db2.gz XHPHDYBVEDQZTC-NEPJUHHUSA-N 0 3 231.405 2.627 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1ccc(OC)cn1 ZINC000272025330 385869957 /nfs/dbraw/zinc/86/99/57/385869957.db2.gz MCPUHKASPGCTGB-YPMHNXCESA-N 0 3 234.343 2.853 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CC[C@@H]1C1CCC1 ZINC000338001469 385873028 /nfs/dbraw/zinc/87/30/28/385873028.db2.gz XRGHALVTLWICCY-TZMCWYRMSA-N 0 3 234.343 2.960 20 0 BFADHN Clc1cnc(CNC[C@H]2CCSC2)s1 ZINC000228551680 385875263 /nfs/dbraw/zinc/87/52/63/385875263.db2.gz DFWUZBKJKKGUJZ-SSDOTTSWSA-N 0 3 248.804 2.639 20 0 BFADHN C[C@@H](CO)N[C@@H]1C[C@H](C)Sc2sccc21 ZINC000123315082 385878326 /nfs/dbraw/zinc/87/83/26/385878326.db2.gz JSLGWWDDNCUDDV-OYNCUSHFSA-N 0 3 243.397 2.644 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CCC2(CCC2)C1 ZINC000123389894 385881138 /nfs/dbraw/zinc/88/11/38/385881138.db2.gz CQCIXLZFTJOIOJ-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN CCc1ccc(CCN(C)C[C@@H]2CCCO2)cc1 ZINC000355057685 385881396 /nfs/dbraw/zinc/88/13/96/385881396.db2.gz FJHFOUOXTCUETG-INIZCTEOSA-N 0 3 247.382 2.902 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CCC[C@@H]2O)cs1 ZINC000294685520 385882220 /nfs/dbraw/zinc/88/22/20/385882220.db2.gz DMIJHKUTJHKZTC-STQMWFEESA-N 0 3 239.384 2.649 20 0 BFADHN C[C@H](CN(C)Cc1ccn(C)c1)c1nccs1 ZINC000273140780 385975592 /nfs/dbraw/zinc/97/55/92/385975592.db2.gz DVLCPQRLRKOYNV-LLVKDONJSA-N 0 3 249.383 2.717 20 0 BFADHN CC[C@H](CO)N(C)Cc1cc(F)cc(Cl)c1 ZINC000295152171 385977329 /nfs/dbraw/zinc/97/73/29/385977329.db2.gz RHEWRZQHBJWSPB-GFCCVEGCSA-N 0 3 245.725 2.682 20 0 BFADHN FC(F)(F)[C@H]1CCC[C@@H](NCc2cocn2)C1 ZINC000189328855 385977853 /nfs/dbraw/zinc/97/78/53/385977853.db2.gz VZAADDXSAVSAOJ-DTWKUNHWSA-N 0 3 248.248 2.885 20 0 BFADHN CC(=O)c1cccc(CN2C[C@H](C)[C@@H](C)C2)c1 ZINC000295141236 385979403 /nfs/dbraw/zinc/97/94/03/385979403.db2.gz ZOVVSPLZRHLYHB-RYUDHWBXSA-N 0 3 231.339 2.977 20 0 BFADHN CC[C@H](NCc1sccc1C)[C@@H](O)C(F)F ZINC000295143113 385979554 /nfs/dbraw/zinc/97/95/54/385979554.db2.gz ZXENLSRVCFSTQY-WCBMZHEXSA-N 0 3 249.326 2.551 20 0 BFADHN COc1ccc(F)cc1CN[C@H](C)[C@H]1C[C@H]1C ZINC000414131838 385979961 /nfs/dbraw/zinc/97/99/61/385979961.db2.gz LMCKYPGLOUBZHM-BREBYQMCSA-N 0 3 237.318 2.968 20 0 BFADHN CC[C@H](NC[C@@H]1CC1(C)C)c1nccn1C ZINC000336747967 385980751 /nfs/dbraw/zinc/98/07/51/385980751.db2.gz XPFJEHBVIPSRFL-QWRGUYRKSA-N 0 3 221.348 2.507 20 0 BFADHN C[C@H](NCc1ccno1)[C@@H](C)c1ccccc1 ZINC000273248304 385983010 /nfs/dbraw/zinc/98/30/10/385983010.db2.gz GSASPBQERXSOCX-NEPJUHHUSA-N 0 3 230.311 2.956 20 0 BFADHN CC(C)C1(N[C@@H](C)c2cc3n(n2)CCC3)CC1 ZINC000414134876 385985015 /nfs/dbraw/zinc/98/50/15/385985015.db2.gz HPQMTJZEPLLUHS-NSHDSACASA-N 0 3 233.359 2.669 20 0 BFADHN CC[C@]1(C)CN(CCSC(C)C)CCO1 ZINC000338376861 385985369 /nfs/dbraw/zinc/98/53/69/385985369.db2.gz QTQUMDACWGUVTJ-GFCCVEGCSA-N 0 3 231.405 2.629 20 0 BFADHN CC[C@@H](CO)N(C)C/C=C/c1ccc(F)cc1 ZINC000295188066 385987105 /nfs/dbraw/zinc/98/71/05/385987105.db2.gz WVKSMDYGEUSRTE-NNTXTVRGSA-N 0 3 237.318 2.542 20 0 BFADHN C[C@H](CCCCO)NCc1ccc(Cl)o1 ZINC000336748691 385988033 /nfs/dbraw/zinc/98/80/33/385988033.db2.gz DNEOWTBESGRFKO-SECBINFHSA-N 0 3 231.723 2.574 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)nc1 ZINC000338363122 385988347 /nfs/dbraw/zinc/98/83/47/385988347.db2.gz OORHRIMVVQTNPX-JHJVBQTASA-N 0 3 248.370 2.957 20 0 BFADHN C[C@H](CN[C@@H](C)c1ccccn1)C(F)(F)F ZINC000336727621 385990378 /nfs/dbraw/zinc/99/03/78/385990378.db2.gz CBMKAJWBXMUWNS-BDAKNGLRSA-N 0 3 232.249 2.931 20 0 BFADHN CCCCN(CC)C(=O)CN(CC)CC(C)C ZINC000338391674 385990652 /nfs/dbraw/zinc/99/06/52/385990652.db2.gz BFVNHFABOBSIGN-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN CC[C@H](NCc1cnccc1OC)[C@@H]1C[C@@H]1C ZINC000414143479 385991047 /nfs/dbraw/zinc/99/10/47/385991047.db2.gz NMFUVYUPZDQCPS-UHTWSYAYSA-N 0 3 234.343 2.614 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@H]1C)c1ccn(C)n1 ZINC000414046302 385930936 /nfs/dbraw/zinc/93/09/36/385930936.db2.gz AITJVNMPWWPJGK-WOPDTQHZSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@H](N[C@H](C)c1cc(C)ccn1)C(C)(C)O ZINC000294979801 385934497 /nfs/dbraw/zinc/93/44/97/385934497.db2.gz KOHRLSMUFKTORH-YPMHNXCESA-N 0 3 236.359 2.590 20 0 BFADHN c1ccc2c(c1)CCC[C@@H]2N1C[C@H]2CC[C@@H](C1)O2 ZINC000342086669 385935651 /nfs/dbraw/zinc/93/56/51/385935651.db2.gz DOYQOVBQDFDXIC-LZWOXQAQSA-N 0 3 243.350 2.927 20 0 BFADHN CCOc1ncccc1CN[C@H](C)[C@H]1C[C@@H]1C ZINC000414061590 385936436 /nfs/dbraw/zinc/93/64/36/385936436.db2.gz KMTWCPMGFJEUDD-LOWVWBTDSA-N 0 3 234.343 2.614 20 0 BFADHN CO[C@H](C)CN1CCC[C@H]1c1ccc(F)cc1 ZINC000272802994 385937908 /nfs/dbraw/zinc/93/79/08/385937908.db2.gz LMTUWYNCJZYABX-RISCZKNCSA-N 0 3 237.318 2.998 20 0 BFADHN COc1ncc(CNC2(C)CCCC2)s1 ZINC000309023044 385939544 /nfs/dbraw/zinc/93/95/44/385939544.db2.gz WAXAFMXVAASUGD-UHFFFAOYSA-N 0 3 226.345 2.574 20 0 BFADHN CO[C@H](C)CN1CCc2sccc2[C@H]1C ZINC000272841509 385941926 /nfs/dbraw/zinc/94/19/26/385941926.db2.gz TXBWTKKTGMKUCX-NXEZZACHSA-N 0 3 225.357 2.702 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1ccn(C)n1 ZINC000414066467 385942099 /nfs/dbraw/zinc/94/20/99/385942099.db2.gz IARMUIPUASEBGG-AXFHLTTASA-N 0 3 209.337 2.505 20 0 BFADHN CCC[C@H](O)CN[C@@H]1CCCc2ccc(O)cc21 ZINC000233362856 385942569 /nfs/dbraw/zinc/94/25/69/385942569.db2.gz AUBAEMLHKLMYBK-DZGCQCFKSA-N 0 3 249.354 2.520 20 0 BFADHN FC(F)[C@@H]1C[C@H]1NCc1cccc2cccnc21 ZINC000342196064 385944284 /nfs/dbraw/zinc/94/42/84/385944284.db2.gz AHHOJHBPLODOKA-VXGBXAGGSA-N 0 3 248.276 2.978 20 0 BFADHN COC[C@@H](C)N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000295016894 385944814 /nfs/dbraw/zinc/94/48/14/385944814.db2.gz KDHIIUUPBUVGMW-NQBHXWOUSA-N 0 3 235.327 2.523 20 0 BFADHN CC(C)[C@H](C)CN[C@@H](C)c1cc2n(n1)CCC2 ZINC000414067791 385945063 /nfs/dbraw/zinc/94/50/63/385945063.db2.gz AQHUPPREXKMJAF-NEPJUHHUSA-N 0 3 235.375 2.772 20 0 BFADHN CO[C@H](C)CN(C)[C@@H](C)c1cccc(F)c1 ZINC000272865232 385946713 /nfs/dbraw/zinc/94/67/13/385946713.db2.gz YLCROKTYKDPFBH-MNOVXSKESA-N 0 3 225.307 2.853 20 0 BFADHN C[C@@H]1C[C@H](NCc2cccc(F)c2F)CCO1 ZINC000219890688 385947973 /nfs/dbraw/zinc/94/79/73/385947973.db2.gz KWZPWRPUMPQFAR-MWLCHTKSSA-N 0 3 241.281 2.622 20 0 BFADHN CCC1(NCc2n[nH]cc2C)CCCC1 ZINC000295025800 385948295 /nfs/dbraw/zinc/94/82/95/385948295.db2.gz LEVAVSCNCWSFIA-UHFFFAOYSA-N 0 3 207.321 2.531 20 0 BFADHN CC(C)[C@H](O)CNCc1ccc(Cl)s1 ZINC000123936741 385948725 /nfs/dbraw/zinc/94/87/25/385948725.db2.gz MRZBAEUGNBCMTM-SECBINFHSA-N 0 3 233.764 2.508 20 0 BFADHN CCOCCN[C@H](C)c1ccc(OC)cc1C ZINC000414076722 385950099 /nfs/dbraw/zinc/95/00/99/385950099.db2.gz QJLNOSCZIRTVQO-GFCCVEGCSA-N 0 3 237.343 2.691 20 0 BFADHN CC(C)C1(CN[C@@H](C)c2cc3n(n2)CCC3)CC1 ZINC000414091017 385956869 /nfs/dbraw/zinc/95/68/69/385956869.db2.gz LBIHGVOEZJLVLF-LBPRGKRZSA-N 0 3 247.386 2.916 20 0 BFADHN COc1ccc(CN2CCCC(C)(C)C2)nc1 ZINC000338323809 385960150 /nfs/dbraw/zinc/96/01/50/385960150.db2.gz XIWVINSKKDHPAY-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@@H](C)c2ccco2)C1 ZINC000414147249 385994125 /nfs/dbraw/zinc/99/41/25/385994125.db2.gz QERNVKBELWMXOY-SDDRHHMPSA-N 0 3 223.316 2.886 20 0 BFADHN CCC(C)(C)CN[C@H](C)c1ccn(C)n1 ZINC000414146711 385995460 /nfs/dbraw/zinc/99/54/60/385995460.db2.gz VNKBUBZBRCUSJX-SNVBAGLBSA-N 0 3 209.337 2.507 20 0 BFADHN C[C@H](NC[C@H]1CCCC1(F)F)c1ccncn1 ZINC000390849300 385963437 /nfs/dbraw/zinc/96/34/37/385963437.db2.gz GZJQREAPOGXBSU-VHSXEESVSA-N 0 3 241.285 2.563 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)[C@H]1C[C@H]1C ZINC000414145403 385994241 /nfs/dbraw/zinc/99/42/41/385994241.db2.gz KGGQVDMVQQOXHG-WYUUTHIRSA-N 0 3 221.348 2.598 20 0 BFADHN COC[C@@]1(C)CCN(Cc2csc(C)c2)C1 ZINC000295098014 385965843 /nfs/dbraw/zinc/96/58/43/385965843.db2.gz KBEJAYDBXWOKJC-ZDUSSCGKSA-N 0 3 239.384 2.915 20 0 BFADHN CCN(Cc1ccc(C#N)cc1C)C[C@H](C)OC ZINC000383105723 385966174 /nfs/dbraw/zinc/96/61/74/385966174.db2.gz HPDGDEIISSYHKR-ZDUSSCGKSA-N 0 3 246.354 2.724 20 0 BFADHN CCc1ccc(CN2CC[C@H](SC)C2)o1 ZINC000295107839 385966621 /nfs/dbraw/zinc/96/66/21/385966621.db2.gz UNRWEAGUODARTL-LBPRGKRZSA-N 0 3 225.357 2.779 20 0 BFADHN CCN(Cc1ccc(C#N)cc1C)C[C@@H](C)OC ZINC000383105721 385968040 /nfs/dbraw/zinc/96/80/40/385968040.db2.gz HPDGDEIISSYHKR-CYBMUJFWSA-N 0 3 246.354 2.724 20 0 BFADHN CS[C@@H]1CCN(Cc2occc2C)C1 ZINC000295107986 385968326 /nfs/dbraw/zinc/96/83/26/385968326.db2.gz UQIVGSGFGFATFU-SNVBAGLBSA-N 0 3 211.330 2.525 20 0 BFADHN CC[C@@H](F)CN1CCC[C@@]2(CCCOC2)C1 ZINC000335013511 385968526 /nfs/dbraw/zinc/96/85/26/385968526.db2.gz YQXBFMITWVSEOW-CHWSQXEVSA-N 0 3 229.339 2.627 20 0 BFADHN COc1ccc(CN(C)[C@@H]2CC[C@H](C)C2)nc1 ZINC000338361004 385969425 /nfs/dbraw/zinc/96/94/25/385969425.db2.gz NPIYOAKUIVWBDE-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc(CN2CCC(CF)CC2)cc1 ZINC000295127380 385972146 /nfs/dbraw/zinc/97/21/46/385972146.db2.gz XLUJDRPPJJMDNA-UHFFFAOYSA-N 0 3 237.318 2.877 20 0 BFADHN CCOCCN[C@H](C)c1ccc(OC)c(C)c1 ZINC000273117918 385972640 /nfs/dbraw/zinc/97/26/40/385972640.db2.gz XOERVGXMZKYTHV-GFCCVEGCSA-N 0 3 237.343 2.691 20 0 BFADHN CC[C@H](NCc1ncccc1OC)[C@H]1C[C@H]1C ZINC000414148600 385997240 /nfs/dbraw/zinc/99/72/40/385997240.db2.gz NIPGRQLNPAKPEQ-WOPDTQHZSA-N 0 3 234.343 2.614 20 0 BFADHN CCOc1cc(CNCC=C(C)C)ccc1O ZINC000233792307 385999658 /nfs/dbraw/zinc/99/96/58/385999658.db2.gz QAPXAELTIVZVAT-UHFFFAOYSA-N 0 3 235.327 2.847 20 0 BFADHN CC[C@H](N[C@H](C)c1ccon1)[C@@H]1C[C@H]1C ZINC000414150465 385999730 /nfs/dbraw/zinc/99/97/30/385999730.db2.gz YFWOBVVWMMZMHD-DBIOUOCHSA-N 0 3 208.305 2.760 20 0 BFADHN CCCc1ncc(CN[C@H](C)[C@@H]2C[C@H]2C)o1 ZINC000414153935 386003256 /nfs/dbraw/zinc/00/32/56/386003256.db2.gz SQEGDDDCJUGQEI-CKYFFXLPSA-N 0 3 222.332 2.761 20 0 BFADHN COc1ncc(CN[C@@H]2CCC[C@H](C)C2)s1 ZINC000309308768 386004165 /nfs/dbraw/zinc/00/41/65/386004165.db2.gz DSSPCANYCUBQGV-VHSXEESVSA-N 0 3 240.372 2.820 20 0 BFADHN CO[C@@H]1CC[C@@H](NCc2ccccc2Cl)C1 ZINC000190945421 386004895 /nfs/dbraw/zinc/00/48/95/386004895.db2.gz BXRYPISSXSWGAF-VXGBXAGGSA-N 0 3 239.746 2.997 20 0 BFADHN CN(C/C=C/c1ccncc1)CC[C@H]1CCCO1 ZINC000426003025 386007676 /nfs/dbraw/zinc/00/76/76/386007676.db2.gz QXOAUYDNDIIGQY-TXTHVTMNSA-N 0 3 246.354 2.596 20 0 BFADHN CC[C@H](N[C@H]1CCn2ccnc21)[C@@H]1CC1(C)C ZINC000414119505 386011124 /nfs/dbraw/zinc/01/11/24/386011124.db2.gz DAUJYRLCCIOVSM-SRVKXCTJSA-N 0 3 233.359 2.742 20 0 BFADHN CCSCCCN[C@@H](C)c1nccn1CC ZINC000295333514 386013702 /nfs/dbraw/zinc/01/37/02/386013702.db2.gz XSQDZWJWOXFSOR-NSHDSACASA-N 0 3 241.404 2.697 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1cc(C)ccn1 ZINC000286258704 386013984 /nfs/dbraw/zinc/01/39/84/386013984.db2.gz USQQFDOJJOBOOC-VNHYZAJKSA-N 0 3 248.370 2.998 20 0 BFADHN Cc1ccnc([C@H](C)NCC2(C)CC2)c1 ZINC000336770343 386014506 /nfs/dbraw/zinc/01/45/06/386014506.db2.gz WONYTAHGWHMMAW-NSHDSACASA-N 0 3 204.317 2.841 20 0 BFADHN C[C@@]1(O)CCCN(Cc2ccc3c(c2)CCC3)C1 ZINC000161861113 386016751 /nfs/dbraw/zinc/01/67/51/386016751.db2.gz KRBMRNLJVPYODV-MRXNPFEDSA-N 0 3 245.366 2.522 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccn(C)n1)[C@@H]1CC1(C)C ZINC000414172091 386018414 /nfs/dbraw/zinc/01/84/14/386018414.db2.gz DYBBTLVBVQLUPH-SRVKXCTJSA-N 0 3 235.375 2.895 20 0 BFADHN CC(C)c1ncc(CN[C@@H](C)C[C@@H](C)O)s1 ZINC000336752660 386019045 /nfs/dbraw/zinc/01/90/45/386019045.db2.gz VYKYVKVXLDSDOH-VHSXEESVSA-N 0 3 242.388 2.516 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3ccccc3)CC2)[C@H](C)O1 ZINC000295366029 386019666 /nfs/dbraw/zinc/01/96/66/386019666.db2.gz JNWALDPZQAZZGI-DYEKYZERSA-N 0 3 231.339 2.831 20 0 BFADHN CCC[C@](C)(O)CN[C@@H](C)c1cc(C)ccn1 ZINC000286305867 386020706 /nfs/dbraw/zinc/02/07/06/386020706.db2.gz WYNBYZBWOUMTAS-JSGCOSHPSA-N 0 3 236.359 2.592 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H](C)O[C@@H]1C)c1ccncc1 ZINC000295364070 386020986 /nfs/dbraw/zinc/02/09/86/386020986.db2.gz JMPFCLPOFVDBNG-UZGDPCLZSA-N 0 3 234.343 2.688 20 0 BFADHN CC[C@@H](C)CN[C@@H](C)c1ccncc1OC ZINC000189565493 386025157 /nfs/dbraw/zinc/02/51/57/386025157.db2.gz OKAVGNOOVRVEQJ-MNOVXSKESA-N 0 3 222.332 2.787 20 0 BFADHN Cc1ncc(CN[C@@H](C2CC2)C2CCC2)o1 ZINC000414188725 386028798 /nfs/dbraw/zinc/02/87/98/386028798.db2.gz ROIPQSSNQIJUCH-CYBMUJFWSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2C[C@H]2CC(C)C)nn1C ZINC000414189419 386030135 /nfs/dbraw/zinc/03/01/35/386030135.db2.gz SCLQFOUBYLLCAC-YRGRVCCFSA-N 0 3 235.375 2.814 20 0 BFADHN CN(CCCCF)Cc1cc(Cl)cn1C ZINC000286376217 386032890 /nfs/dbraw/zinc/03/28/90/386032890.db2.gz KEDMFTBXEJGATM-UHFFFAOYSA-N 0 3 232.730 2.860 20 0 BFADHN NCc1ccccc1OC[C@@H]1CCC(F)(F)C1 ZINC000309899480 386033033 /nfs/dbraw/zinc/03/30/33/386033033.db2.gz KAZPPTDHMJFITH-SNVBAGLBSA-N 0 3 241.281 2.960 20 0 BFADHN C[C@H](NCCOCC(F)F)c1cccc(F)c1 ZINC000189582857 386033994 /nfs/dbraw/zinc/03/39/94/386033994.db2.gz JBLDTGNQSYQKPF-VIFPVBQESA-N 0 3 247.260 2.758 20 0 BFADHN C[C@H](NC1(C2CCC2)CCC1)c1ccn(C)n1 ZINC000414199674 386035621 /nfs/dbraw/zinc/03/56/21/386035621.db2.gz XOZOCFSKEKLSBZ-NSHDSACASA-N 0 3 233.359 2.794 20 0 BFADHN CCn1ccc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)n1 ZINC000414202123 386036762 /nfs/dbraw/zinc/03/67/62/386036762.db2.gz CUWOLKATIKQTBA-MBNYWOFBSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccc(CNC2CCC(C)CC2)n1 ZINC000414201580 386038324 /nfs/dbraw/zinc/03/83/24/386038324.db2.gz CIQZSBPKDAFFPS-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN CCc1ccc2nccc(N[C@H]3C[C@@H](O)C3)c2c1 ZINC000295475926 386039280 /nfs/dbraw/zinc/03/92/80/386039280.db2.gz YKZDRYOZQMLGRM-TXEJJXNPSA-N 0 3 242.322 2.732 20 0 BFADHN CCn1ccc(CN[C@H](C)CC(C)(C)C)n1 ZINC000414202537 386039505 /nfs/dbraw/zinc/03/95/05/386039505.db2.gz DAMUUCLDUHDARI-LLVKDONJSA-N 0 3 223.364 2.817 20 0 BFADHN Cc1ccc(CN(C)CCc2cnccn2)s1 ZINC000273817371 386039752 /nfs/dbraw/zinc/03/97/52/386039752.db2.gz ZTHQTUVIZCIMDA-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN CCCC[C@@H](CC)NCc1ccn(CC)n1 ZINC000414202634 386040469 /nfs/dbraw/zinc/04/04/69/386040469.db2.gz DEWCCEBZBBXMJU-GFCCVEGCSA-N 0 3 223.364 2.961 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]1C1CC1)c1cc2n(n1)CCC2 ZINC000414156972 386042646 /nfs/dbraw/zinc/04/26/46/386042646.db2.gz XBOKSOYLYNRYAY-JKOKRWQUSA-N 0 3 245.370 2.526 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H](C)C[C@@H]2CCCO2)c1 ZINC000353493949 386045400 /nfs/dbraw/zinc/04/54/00/386045400.db2.gz BBIKCISXJJSUML-RDBSUJKOSA-N 0 3 248.370 2.998 20 0 BFADHN COCc1ccc(CSCCN(C)C)cc1 ZINC000338555049 386049307 /nfs/dbraw/zinc/04/93/07/386049307.db2.gz RKIDJVCZMRSVHH-UHFFFAOYSA-N 0 3 239.384 2.628 20 0 BFADHN CC(=O)c1cccc(CN(C)CC2CC2)c1 ZINC000295550177 386050309 /nfs/dbraw/zinc/05/03/09/386050309.db2.gz PGZSHATZRQSTKD-UHFFFAOYSA-N 0 3 217.312 2.731 20 0 BFADHN Cc1ccc([C@H](C)NCCC[C@H](C)CO)o1 ZINC000222536605 386051783 /nfs/dbraw/zinc/05/17/83/386051783.db2.gz ZOGYUTICWKBNME-JQWIXIFHSA-N 0 3 225.332 2.647 20 0 BFADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1ccncc1F ZINC000414236927 386052417 /nfs/dbraw/zinc/05/24/17/386052417.db2.gz WFJQGPRCIBGGKO-UTLUCORTSA-N 0 3 240.347 2.765 20 0 BFADHN CCc1ncc(CN[C@@H](C)CCC(C)C)cn1 ZINC000414239908 386053554 /nfs/dbraw/zinc/05/35/54/386053554.db2.gz JFIZIPDBRVMGKI-LBPRGKRZSA-N 0 3 235.375 2.953 20 0 BFADHN CO[C@H](CN(Cc1cccnc1)C(C)C)C1CC1 ZINC000425417473 385995514 /nfs/dbraw/zinc/99/55/14/385995514.db2.gz HXTUVBGGWCTMAR-OAHLLOKOSA-N 0 3 248.370 2.717 20 0 BFADHN CO[C@H](CN1CCc2cc(F)ccc2C1)C1CC1 ZINC000425452282 386101585 /nfs/dbraw/zinc/10/15/85/386101585.db2.gz CVHKXIXMJVXLFA-OAHLLOKOSA-N 0 3 249.329 2.609 20 0 BFADHN Cc1cc(CNC2(C)CCCCC2)nn1C ZINC000414329479 386123603 /nfs/dbraw/zinc/12/36/03/386123603.db2.gz BFQBXWZZZRJAMZ-UHFFFAOYSA-N 0 3 221.348 2.541 20 0 BFADHN Cc1nocc1CN1CCCC(C)(C)CC1 ZINC000334455130 386106596 /nfs/dbraw/zinc/10/65/96/386106596.db2.gz VVQJMHRRPMNNJM-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1ccc(CNc2nccn2C)cc1Cl ZINC000414300056 386108602 /nfs/dbraw/zinc/10/86/02/386108602.db2.gz KQBKHLWIUADZCI-UHFFFAOYSA-N 0 3 235.718 2.994 20 0 BFADHN Cc1cnc(CNC[C@H]2CCC(F)(F)C2)s1 ZINC000295938311 386109368 /nfs/dbraw/zinc/10/93/68/386109368.db2.gz BAAZMNZJYDADSR-VIFPVBQESA-N 0 3 246.326 2.977 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cnccc1OC ZINC000287272743 386109615 /nfs/dbraw/zinc/10/96/15/386109615.db2.gz LKBHXIYDGLPYNW-CYBMUJFWSA-N 0 3 234.343 2.855 20 0 BFADHN Cc1nocc1CN1CC[C@]2(CC[C@H](C)C2)C1 ZINC000334460986 386123735 /nfs/dbraw/zinc/12/37/35/386123735.db2.gz OFSJYQVKHWJFHW-FZMZJTMJSA-N 0 3 234.343 2.995 20 0 BFADHN COc1ncncc1CN(C)C1CCCCCC1 ZINC000648000633 386110654 /nfs/dbraw/zinc/11/06/54/386110654.db2.gz WHMMFQCSGMSFLR-UHFFFAOYSA-N 0 3 249.358 2.640 20 0 BFADHN CC(C)C[C@H](N[C@H]1CCCOC1)c1ccccn1 ZINC000287317844 386112173 /nfs/dbraw/zinc/11/21/73/386112173.db2.gz LQPCNOBMYUYQRQ-ZFWWWQNUSA-N 0 3 248.370 2.937 20 0 BFADHN COCc1ccc(CN2CCCC3(CC3)C2)o1 ZINC000274515920 386112918 /nfs/dbraw/zinc/11/29/18/386112918.db2.gz WPVOUYBSJVFUCY-UHFFFAOYSA-N 0 3 235.327 2.802 20 0 BFADHN COC1([C@H](C)N[C@H](C)c2ccco2)CCC1 ZINC000414314159 386116027 /nfs/dbraw/zinc/11/60/27/386116027.db2.gz KYQFNYFULMTUDS-MNOVXSKESA-N 0 3 223.316 2.888 20 0 BFADHN C[C@H](N[C@H]1CCCc2cn[nH]c21)c1cccnc1 ZINC000334461462 386116403 /nfs/dbraw/zinc/11/64/03/386116403.db2.gz VZYDPPNKMIXOQW-GWCFXTLKSA-N 0 3 242.326 2.533 20 0 BFADHN Cc1cncc([C@@H](C)NCc2ccn(C)c2)c1 ZINC000274547026 386118707 /nfs/dbraw/zinc/11/87/07/386118707.db2.gz CSMJIKXPWKOTHK-GFCCVEGCSA-N 0 3 229.327 2.579 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H](C)c1cncc(C)c1 ZINC000414208027 386062375 /nfs/dbraw/zinc/06/23/75/386062375.db2.gz KVRGCRRNXTYEMJ-YUTCNCBUSA-N 0 3 234.343 2.608 20 0 BFADHN CC[C@H](NCc1ccn(CC)n1)C1CCCC1 ZINC000414207035 386062553 /nfs/dbraw/zinc/06/25/53/386062553.db2.gz GPJSTVRVQNTMSH-AWEZNQCLSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1ccc(CN[C@@H]2C[C@H](C)CC[C@@H]2C)n1 ZINC000414207392 386062817 /nfs/dbraw/zinc/06/28/17/386062817.db2.gz JVUKSPZVMRHMJW-MBNYWOFBSA-N 0 3 235.375 2.817 20 0 BFADHN C[C@H](NCCc1ccccc1Cl)c1cn[nH]c1 ZINC000274046190 386063273 /nfs/dbraw/zinc/06/32/73/386063273.db2.gz NRPAZDJYGRROKO-JTQLQIEISA-N 0 3 249.745 2.956 20 0 BFADHN CCn1ccc(CN[C@@H](C2CC2)C2CCC2)n1 ZINC000414210730 386065217 /nfs/dbraw/zinc/06/52/17/386065217.db2.gz MZEYCRSDWCQBPD-CQSZACIVSA-N 0 3 233.359 2.571 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2C[C@H](C)O[C@@H]2C)c1 ZINC000295651400 386066899 /nfs/dbraw/zinc/06/68/99/386066899.db2.gz ITOZNTZCIMMWJU-QJPTWQEYSA-N 0 3 220.316 2.676 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000295642026 386067084 /nfs/dbraw/zinc/06/70/84/386067084.db2.gz IDRWPAFLKCCIEV-YRGRVCCFSA-N 0 3 249.354 2.659 20 0 BFADHN COCC[C@H](C)CN[C@H](C)c1ccncc1F ZINC000414213577 386067162 /nfs/dbraw/zinc/06/71/62/386067162.db2.gz YCPYXYVMFWGJME-WDEREUQCSA-N 0 3 240.322 2.544 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H]1CCCc2occc21 ZINC000414213788 386067178 /nfs/dbraw/zinc/06/71/78/386067178.db2.gz RIQLFXRVLDKCRY-XQQFMLRXSA-N 0 3 235.327 2.814 20 0 BFADHN CCn1ccc(CN[C@H]2CC[C@H]2C2CCC2)n1 ZINC000414212764 386068615 /nfs/dbraw/zinc/06/86/15/386068615.db2.gz SNIWRHKULIIRAV-KBPBESRZSA-N 0 3 233.359 2.571 20 0 BFADHN Cc1ncc2c(n1)CCN(CCC(C)(C)C)C2 ZINC000334441829 386072740 /nfs/dbraw/zinc/07/27/40/386072740.db2.gz BZIFXPBWCUICSB-UHFFFAOYSA-N 0 3 233.359 2.579 20 0 BFADHN Cc1ccnc([C@H](C)NCCCCF)c1 ZINC000336772217 386076840 /nfs/dbraw/zinc/07/68/40/386076840.db2.gz XXKOZQSRMDQQIU-NSHDSACASA-N 0 3 210.296 2.790 20 0 BFADHN CN(Cc1cccc(C2CC2)c1)C[C@H](O)C1CC1 ZINC000643293526 386082949 /nfs/dbraw/zinc/08/29/49/386082949.db2.gz GBEVYYJXTUSCBJ-INIZCTEOSA-N 0 3 245.366 2.767 20 0 BFADHN Cc1cc(CN(C)C2CCC(F)(F)CC2)on1 ZINC000286942181 386087248 /nfs/dbraw/zinc/08/72/48/386087248.db2.gz MAAASZXADKUASM-UHFFFAOYSA-N 0 3 244.285 2.993 20 0 BFADHN CCc1ncc(CNCCC2CCCC2)cn1 ZINC000414275952 386090105 /nfs/dbraw/zinc/09/01/05/386090105.db2.gz XIACAKQBFCXEJE-UHFFFAOYSA-N 0 3 233.359 2.709 20 0 BFADHN Cc1cc(CN[C@@H](C)Cc2cccs2)nn1C ZINC000414276250 386091074 /nfs/dbraw/zinc/09/10/74/386091074.db2.gz XUTROSLALKTOIK-JTQLQIEISA-N 0 3 249.383 2.511 20 0 BFADHN CCC1(N[C@@H](C)c2cc(C)ccn2)CC1 ZINC000295839922 386092861 /nfs/dbraw/zinc/09/28/61/386092861.db2.gz AKYJJEVILKBBSN-NSHDSACASA-N 0 3 204.317 2.983 20 0 BFADHN CC1(C)CN(CCCO)[C@@H]1c1cccs1 ZINC000287107458 386095119 /nfs/dbraw/zinc/09/51/19/386095119.db2.gz YDOHMTASPMNSHH-LLVKDONJSA-N 0 3 225.357 2.513 20 0 BFADHN CC(C)(O)CN1CC(C)(C)[C@H]1c1cccs1 ZINC000287099266 386095329 /nfs/dbraw/zinc/09/53/29/386095329.db2.gz XRYVPHZWGQVFFX-LLVKDONJSA-N 0 3 239.384 2.902 20 0 BFADHN CO[C@H]1CCN([C@@H](C)c2ccccn2)CC1(C)C ZINC000295873502 386098534 /nfs/dbraw/zinc/09/85/34/386098534.db2.gz FTKBOYVAMIXMGL-JSGCOSHPSA-N 0 3 248.370 2.890 20 0 BFADHN CC[C@H]1CCCCN1Cc1cncc(F)c1 ZINC000274396968 386098702 /nfs/dbraw/zinc/09/87/02/386098702.db2.gz WXQOPQRKOJIXTE-ZDUSSCGKSA-N 0 3 222.307 2.985 20 0 BFADHN CC[C@@H]1CCCN1Cc1cn2ccccc2n1 ZINC000334458642 386099426 /nfs/dbraw/zinc/09/94/26/386099426.db2.gz RMPUNHCZDCYZTG-CYBMUJFWSA-N 0 3 229.327 2.709 20 0 BFADHN CO[C@@H](CN[C@@H](c1cccnc1)C1CC1)C1CC1 ZINC000425451736 386100367 /nfs/dbraw/zinc/10/03/67/386100367.db2.gz BXJKUYSVZSFAOR-LSDHHAIUSA-N 0 3 246.354 2.547 20 0 BFADHN Cc1ccnc(CN2CCC[C@H]2C2CCCC2)n1 ZINC000334470700 386144413 /nfs/dbraw/zinc/14/44/13/386144413.db2.gz FYOJJVNNRYUBIK-AWEZNQCLSA-N 0 3 245.370 2.940 20 0 BFADHN Fc1ccc(NC[C@@H]2CCCCN2C2CC2)nc1 ZINC000287747730 386145799 /nfs/dbraw/zinc/14/57/99/386145799.db2.gz MLULCUUNRZYJRY-ZDUSSCGKSA-N 0 3 249.333 2.650 20 0 BFADHN CC(C)n1nccc1CN1C[C@@H](C)C[C@@H]1C ZINC000334473452 386146064 /nfs/dbraw/zinc/14/60/64/386146064.db2.gz JFTNNQQYONVTBX-RYUDHWBXSA-N 0 3 221.348 2.694 20 0 BFADHN Cc1ccc(CN2CCC[C@H]2C(C)(C)C)nn1 ZINC000335157275 386151866 /nfs/dbraw/zinc/15/18/66/386151866.db2.gz AUYQFQSROYNBIO-ZDUSSCGKSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ccc(CN(C)CC2CCCCC2)nn1 ZINC000414368650 386153993 /nfs/dbraw/zinc/15/39/93/386153993.db2.gz HMQNCNWQRDPKLF-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CCC[C@H](O)CN(C)Cc1ccc(Cl)s1 ZINC000296263442 386156139 /nfs/dbraw/zinc/15/61/39/386156139.db2.gz GKEKXEQWZLZKKG-VIFPVBQESA-N 0 3 247.791 2.994 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1ccc(Cl)c(F)c1 ZINC000164605895 386156633 /nfs/dbraw/zinc/15/66/33/386156633.db2.gz LOEZKMCKSAJQJQ-IUCAKERBSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@H](NCc1ncccc1N(C)C)[C@H]1CC1(C)C ZINC000353183028 386158025 /nfs/dbraw/zinc/15/80/25/386158025.db2.gz GVSWVPUAMLKSJQ-NWDGAFQWSA-N 0 3 247.386 2.672 20 0 BFADHN C[C@H](CC1CCCC1)N[C@@H]1CNCCC1(F)F ZINC000414993972 386160601 /nfs/dbraw/zinc/16/06/01/386160601.db2.gz CUOJGNFNLDNFLF-ZYHUDNBSSA-N 0 3 246.345 2.542 20 0 BFADHN CCCCN(C)Cc1ncc(C)c(OC)c1C ZINC000263656505 386165261 /nfs/dbraw/zinc/16/52/61/386165261.db2.gz NQQQXFBABSUHRK-UHFFFAOYSA-N 0 3 236.359 2.939 20 0 BFADHN CCC1(C)CCN(Cc2ccno2)CC1 ZINC000263685622 386165776 /nfs/dbraw/zinc/16/57/76/386165776.db2.gz IYMRSGAIYPMHIR-UHFFFAOYSA-N 0 3 208.305 2.687 20 0 BFADHN CC/C=C/CN[C@H]1COc2ccc(OC)cc2C1 ZINC000296371694 386168325 /nfs/dbraw/zinc/16/83/25/386168325.db2.gz JFIVYDUTTNFHNV-MUBLQREKSA-N 0 3 247.338 2.555 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1ccc(OC)nc1 ZINC000274996147 386170094 /nfs/dbraw/zinc/17/00/94/386170094.db2.gz OWBAKYMOQGFYRQ-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN CC1(C)C[C@@H]1CNCc1cc(C(F)(F)F)n[nH]1 ZINC000440811358 386170844 /nfs/dbraw/zinc/17/08/44/386170844.db2.gz GVPQPVPUTWAHMI-SSDOTTSWSA-N 0 3 247.264 2.564 20 0 BFADHN CCc1ccc(NC(=O)[C@H](N)[C@@H](C)CC)cc1 ZINC000019483063 386170983 /nfs/dbraw/zinc/17/09/83/386170983.db2.gz GOPPMHUSQPDVAV-GXFFZTMASA-N 0 3 234.343 2.561 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1ccc(F)c(Cl)c1 ZINC000164615136 386172103 /nfs/dbraw/zinc/17/21/03/386172103.db2.gz DOQRONBTWZHUQG-IUCAKERBSA-N 0 3 245.725 2.728 20 0 BFADHN COC1(CCN(C)Cc2ccco2)CCC1 ZINC000338883789 386173384 /nfs/dbraw/zinc/17/33/84/386173384.db2.gz OYAQVVJTUKMFJW-UHFFFAOYSA-N 0 3 223.316 2.671 20 0 BFADHN CCCCN(C)Cc1ccc(CC)cn1 ZINC000338885467 386173805 /nfs/dbraw/zinc/17/38/05/386173805.db2.gz WNHRGWYRXXZNRH-UHFFFAOYSA-N 0 3 206.333 2.876 20 0 BFADHN CO[C@@H](C)CN1CCC(c2ccsc2)CC1 ZINC000275045599 386175499 /nfs/dbraw/zinc/17/54/99/386175499.db2.gz ZLNGYSQYDYBTGH-NSHDSACASA-N 0 3 239.384 2.962 20 0 BFADHN CCCN(Cc1cnc(CC)nc1)CC(C)C ZINC000414373717 386176559 /nfs/dbraw/zinc/17/65/59/386176559.db2.gz TXDDGZCAVATIDO-UHFFFAOYSA-N 0 3 235.375 2.907 20 0 BFADHN C[C@H](CN(C)C)NC(=O)[C@@H](C)CCC(C)(C)C ZINC000346229240 386176795 /nfs/dbraw/zinc/17/67/95/386176795.db2.gz RYNJEDAVQVKLRZ-NWDGAFQWSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1c[nH]nc1CN(C)C1CCCCCC1 ZINC000335329488 386178195 /nfs/dbraw/zinc/17/81/95/386178195.db2.gz OXDQPJOBXSSFEQ-UHFFFAOYSA-N 0 3 221.348 2.873 20 0 BFADHN C[C@@H](C1CC1)N(Cc1cncc(F)c1)C1CC1 ZINC000275107371 386180886 /nfs/dbraw/zinc/18/08/86/386180886.db2.gz FFJQMBWTQNAWMY-JTQLQIEISA-N 0 3 234.318 2.984 20 0 BFADHN Fc1cc(F)c(CN[C@@H]2CCSC2)cc1F ZINC000225290870 386182577 /nfs/dbraw/zinc/18/25/77/386182577.db2.gz WWEBMRIVCOMDCL-MRVPVSSYSA-N 0 3 247.285 2.699 20 0 BFADHN COC(=O)c1coc(CNCCCC(C)C)c1 ZINC000130720050 386182810 /nfs/dbraw/zinc/18/28/10/386182810.db2.gz GWVXSFFNTQSZHY-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN CC(=O)CCN(C)C[C@@H](C)c1ccccc1 ZINC000263877371 386185072 /nfs/dbraw/zinc/18/50/72/386185072.db2.gz JLOSGWZAOYLUBG-GFCCVEGCSA-N 0 3 219.328 2.701 20 0 BFADHN CCCCCN(Cc1ccn(C)n1)C(C)C ZINC000340277477 386188447 /nfs/dbraw/zinc/18/84/47/386188447.db2.gz IZKCPGKHPIWDIA-UHFFFAOYSA-N 0 3 223.364 2.821 20 0 BFADHN CC[C@@H](C(=O)N1CCCCCC1)N(CC)CC ZINC000345848356 386126596 /nfs/dbraw/zinc/12/65/96/386126596.db2.gz PARWUKNDAHHIIG-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H]1c1ccco1)c1cnn(C)c1 ZINC000414333772 386127161 /nfs/dbraw/zinc/12/71/61/386127161.db2.gz HTDKVMFPWLUNFJ-FRRDWIJNSA-N 0 3 245.326 2.610 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1F)c1cccc(CO)c1 ZINC000340524891 386127398 /nfs/dbraw/zinc/12/73/98/386127398.db2.gz UEGRMDXAMZOMER-ZLKJLUDKSA-N 0 3 237.318 2.720 20 0 BFADHN CC[C@H](NCc1ccc(C)nn1)C(C)(C)C ZINC000414332229 386128231 /nfs/dbraw/zinc/12/82/31/386128231.db2.gz LBPUSVOGGLBROC-LBPRGKRZSA-N 0 3 221.348 2.699 20 0 BFADHN CCCCCN[C@H](C)c1nccn1CC ZINC000296092297 386135120 /nfs/dbraw/zinc/13/51/20/386135120.db2.gz TZFZVHSAKHRUFX-LLVKDONJSA-N 0 3 209.337 2.744 20 0 BFADHN C[C@@H](CN(C)C)N[C@@H](C)c1cccc(F)c1F ZINC000235116374 386136776 /nfs/dbraw/zinc/13/67/76/386136776.db2.gz SIUKERXLXKTBIL-UWVGGRQHSA-N 0 3 242.313 2.566 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCC[C@@H]2CCO)c1 ZINC000287598095 386137685 /nfs/dbraw/zinc/13/76/85/386137685.db2.gz RWUREXOQTXINFO-BFHYXJOUSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC[C@@H]2C)nn1C ZINC000414303522 386137778 /nfs/dbraw/zinc/13/77/78/386137778.db2.gz LOLKMYIKOYTXTN-WCQYABFASA-N 0 3 235.375 2.644 20 0 BFADHN Cn1ccnc1CN1CCC[C@@H]1CC(C)(C)C ZINC000338780684 386139020 /nfs/dbraw/zinc/13/90/20/386139020.db2.gz DPJBFVCEQORMIT-GFCCVEGCSA-N 0 3 235.375 2.821 20 0 BFADHN COc1ccncc1CN(C)[C@@H](C)C1(C)CC1 ZINC000287645062 386139656 /nfs/dbraw/zinc/13/96/56/386139656.db2.gz GKQRXCWHCLLIAJ-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN Fc1ccc(F)c(CNC[C@H]2CCSC2)c1 ZINC000224424279 386139848 /nfs/dbraw/zinc/13/98/48/386139848.db2.gz YSSIYNLPJWABPR-SECBINFHSA-N 0 3 243.322 2.808 20 0 BFADHN CC[C@H](C[C@@H](C)CO)N[C@H](C)c1ccccn1 ZINC000346977113 386204065 /nfs/dbraw/zinc/20/40/65/386204065.db2.gz MKBBJIANKIAPPR-JHJVBQTASA-N 0 3 236.359 2.529 20 0 BFADHN COc1nc(C)cc(C)c1CN1CC[C@H](C)[C@H]1C ZINC000347051523 386205900 /nfs/dbraw/zinc/20/59/00/386205900.db2.gz KRDHKWPDCZKKTJ-GXFFZTMASA-N 0 3 248.370 2.937 20 0 BFADHN Cc1csc(CNCCCC(F)(F)F)n1 ZINC000097988877 386206855 /nfs/dbraw/zinc/20/68/55/386206855.db2.gz KUXXQMZKZNRIDO-UHFFFAOYSA-N 0 3 238.278 2.884 20 0 BFADHN Nc1c(F)cccc1CN[C@H]1CCC(F)(F)C1 ZINC000310576976 386207366 /nfs/dbraw/zinc/20/73/66/386207366.db2.gz PXJZXORAUQONNQ-VIFPVBQESA-N 0 3 244.260 2.685 20 0 BFADHN COc1nccnc1CN[C@@H]1CCCC(C)(C)C1 ZINC000296931388 386211691 /nfs/dbraw/zinc/21/16/91/386211691.db2.gz SHYAQQRXPKRFPC-LLVKDONJSA-N 0 3 249.358 2.544 20 0 BFADHN CCCc1ccc(CN2CCN(C)C[C@H]2C)cc1 ZINC000353584552 386212640 /nfs/dbraw/zinc/21/26/40/386212640.db2.gz ICLWEQBYERNTNJ-CQSZACIVSA-N 0 3 246.398 2.775 20 0 BFADHN CCN(Cc1cnccc1OC)CC1CCC1 ZINC000347072400 386215921 /nfs/dbraw/zinc/21/59/21/386215921.db2.gz DTPCXNZHLYKQPU-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCCN(C)C(=O)C[C@H](c1ccccc1)N(C)C ZINC000416142426 386220563 /nfs/dbraw/zinc/22/05/63/386220563.db2.gz GSRQUSVFSXKWEM-CQSZACIVSA-N 0 3 248.370 2.548 20 0 BFADHN C[C@H](NCc1nccs1)C1CCSCC1 ZINC000187036960 386220900 /nfs/dbraw/zinc/22/09/00/386220900.db2.gz SIXMTQKIOIIKAS-VIFPVBQESA-N 0 3 242.413 2.764 20 0 BFADHN CO[C@H]1CN(Cc2csc(C)c2)CC[C@H]1C ZINC000297029522 386221005 /nfs/dbraw/zinc/22/10/05/386221005.db2.gz XITWNPLPMTZOJM-MFKMUULPSA-N 0 3 239.384 2.913 20 0 BFADHN c1cc2c(o1)CCCN(CCc1cccnc1)C2 ZINC000288653799 386226003 /nfs/dbraw/zinc/22/60/03/386226003.db2.gz BIVGKNVUAMPNQH-UHFFFAOYSA-N 0 3 242.322 2.666 20 0 BFADHN CC(C)CCCN[C@H](CO)c1ccc(F)cc1 ZINC000275639776 386227456 /nfs/dbraw/zinc/22/74/56/386227456.db2.gz BGPJBFDLORVDCF-CQSZACIVSA-N 0 3 239.334 2.885 20 0 BFADHN CCC[C@]1(CO)CCN([C@H](C)c2cccnc2)C1 ZINC000288690675 386227597 /nfs/dbraw/zinc/22/75/97/386227597.db2.gz DCUPHRIHJMEJBI-HIFRSBDPSA-N 0 3 248.370 2.627 20 0 BFADHN CCc1ccc(CN(C)[C@H]2CCSC2)nc1 ZINC000339055670 386228271 /nfs/dbraw/zinc/22/82/71/386228271.db2.gz UDUIWRGLRJVZQX-ZDUSSCGKSA-N 0 3 236.384 2.581 20 0 BFADHN CCCN(Cc1ccoc1C)CC(C)(C)O ZINC000414382153 386228635 /nfs/dbraw/zinc/22/86/35/386228635.db2.gz NZMBSKJYCYPLJI-UHFFFAOYSA-N 0 3 225.332 2.571 20 0 BFADHN Cc1c[nH]nc1CN([C@@H](C)C(C)C)C1CC1 ZINC000340561001 386228682 /nfs/dbraw/zinc/22/86/82/386228682.db2.gz HWFCEQIETGBCCW-NSHDSACASA-N 0 3 221.348 2.727 20 0 BFADHN OCC[C@H](N[C@H](C1CC1)C1CCC1)c1ccco1 ZINC000453202732 386230069 /nfs/dbraw/zinc/23/00/69/386230069.db2.gz UGYYSCWLMCULKR-ZFWWWQNUSA-N 0 3 249.354 2.871 20 0 BFADHN CCC[C@]1(CO)CCN([C@@H](C)c2ccccn2)C1 ZINC000288784319 386231070 /nfs/dbraw/zinc/23/10/70/386231070.db2.gz KQBODSIMHKBVRA-ZFWWWQNUSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H](O)CN1CCC[C@@H]1c1cccc(F)c1 ZINC000264412206 386233492 /nfs/dbraw/zinc/23/34/92/386233492.db2.gz GMLBSEMVVIBYCB-UONOGXRCSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCN(C)Cc2ccccc21 ZINC000353587330 386238990 /nfs/dbraw/zinc/23/89/90/386238990.db2.gz HKOHRYVWRKHCTA-OCCSQVGLSA-N 0 3 230.355 2.594 20 0 BFADHN CC(C)=CCN1CCC[C@@H](c2nccn2C)C1 ZINC000365637566 386242794 /nfs/dbraw/zinc/24/27/94/386242794.db2.gz LBXXETVOJPUAOM-CYBMUJFWSA-N 0 3 233.359 2.566 20 0 BFADHN Cc1oc2ccc(NC(=O)C(C)(C)N)cc2c1C ZINC000297315414 386243492 /nfs/dbraw/zinc/24/34/92/386243492.db2.gz GGPGNNAAJJLXMN-UHFFFAOYSA-N 0 3 246.310 2.725 20 0 BFADHN CN(Cc1ccccc1Cl)[C@@H]1CCC[C@H]1O ZINC000226279628 386244594 /nfs/dbraw/zinc/24/45/94/386244594.db2.gz AZUUDAMYYJEXOW-CHWSQXEVSA-N 0 3 239.746 2.685 20 0 BFADHN CCC1CCC(N[C@@H]2CNCCC2(F)F)CC1 ZINC000415002837 386246084 /nfs/dbraw/zinc/24/60/84/386246084.db2.gz NYSNPNZNBSZQCY-HTAVTVPLSA-N 0 3 246.345 2.542 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN(CCO)C2CCC2)o1 ZINC000275883497 386248331 /nfs/dbraw/zinc/24/83/31/386248331.db2.gz BIBFSGCUEAIXJS-BXUZGUMPSA-N 0 3 249.354 2.750 20 0 BFADHN CN(C)CCc1ccccc1NC(=O)CC1CC1 ZINC000275887643 386250327 /nfs/dbraw/zinc/25/03/27/386250327.db2.gz RPUWXHCNFVOOPV-UHFFFAOYSA-N 0 3 246.354 2.529 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CCCSC2)s1 ZINC000125401435 386251446 /nfs/dbraw/zinc/25/14/46/386251446.db2.gz YZZJQPFMFYGCLD-VHSXEESVSA-N 0 3 242.413 2.998 20 0 BFADHN Cc1cnc([C@@H](C)N[C@H]2CCCSC2)s1 ZINC000125401671 386251923 /nfs/dbraw/zinc/25/19/23/386251923.db2.gz YZZJQPFMFYGCLD-ZJUUUORDSA-N 0 3 242.413 2.998 20 0 BFADHN CCOc1cc(CN2CC[C@@H]2CC)ccc1O ZINC000297402746 386252187 /nfs/dbraw/zinc/25/21/87/386252187.db2.gz KPGLSIUFFPVGJW-LBPRGKRZSA-N 0 3 235.327 2.775 20 0 BFADHN CCN(CCOC)c1ccnc2ccccc21 ZINC000264639414 386258351 /nfs/dbraw/zinc/25/83/51/386258351.db2.gz FTBLUSSTHJDJII-UHFFFAOYSA-N 0 3 230.311 2.708 20 0 BFADHN CCC[C@@H](O)CN(C)[C@@H](C)c1ccc(F)cc1 ZINC000296639711 386191753 /nfs/dbraw/zinc/19/17/53/386191753.db2.gz WJRUDBDTRRTNID-SMDDNHRTSA-N 0 3 239.334 2.980 20 0 BFADHN CSCCCCNCc1ccc(C)o1 ZINC000124933577 386193744 /nfs/dbraw/zinc/19/37/44/386193744.db2.gz VKFCNJHHKQWQSA-UHFFFAOYSA-N 0 3 213.346 2.821 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)C[C@H]2CC=CCC2)n1 ZINC000346338652 386195215 /nfs/dbraw/zinc/19/52/15/386195215.db2.gz RRTRDNQRSLDDPO-ZDUSSCGKSA-N 0 3 246.354 2.884 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)C[C@H]2CC=CCC2)n1 ZINC000346338652 386195219 /nfs/dbraw/zinc/19/52/19/386195219.db2.gz RRTRDNQRSLDDPO-ZDUSSCGKSA-N 0 3 246.354 2.884 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1NC[C@H]1CCSC1 ZINC000288417555 386196113 /nfs/dbraw/zinc/19/61/13/386196113.db2.gz AUSJKOAXGIDCAQ-MISXGVKJSA-N 0 3 249.379 2.851 20 0 BFADHN COC[C@]1(C)CCN([C@H](C)c2cccnc2)C1 ZINC000296756229 386200039 /nfs/dbraw/zinc/20/00/39/386200039.db2.gz PBCKXMJMZJHFFJ-TZMCWYRMSA-N 0 3 234.343 2.501 20 0 BFADHN C[C@@H](NCC(C)(C)O)c1cc(F)c(F)c(F)c1 ZINC000264879992 386282589 /nfs/dbraw/zinc/28/25/89/386282589.db2.gz QYHQEWMYZZENTQ-SSDOTTSWSA-N 0 3 247.260 2.525 20 0 BFADHN CC[C@@H](C)CN[C@@H]1COc2ccc(F)cc21 ZINC000336743085 386283851 /nfs/dbraw/zinc/28/38/51/386283851.db2.gz SUYRBJISJMYPAN-BXKDBHETSA-N 0 3 223.291 2.895 20 0 BFADHN Cc1occc1CN1CCS[C@@H](C)[C@H]1C ZINC000414397870 386286078 /nfs/dbraw/zinc/28/60/78/386286078.db2.gz SEGLBNLIVQMQNU-KOLCDFICSA-N 0 3 225.357 2.914 20 0 BFADHN Cc1ccc(CN(C)CCc2cccs2)nn1 ZINC000414397058 386289187 /nfs/dbraw/zinc/28/91/87/386289187.db2.gz OBWKDYGDBYQPKE-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN C[C@@H](O)CN1C[C@H](C)C[C@@H]1c1ccccc1F ZINC000297961060 386290795 /nfs/dbraw/zinc/29/07/95/386290795.db2.gz WLEGNYZXRGHZNK-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN CCc1cccc(CN[C@H](C)c2cn[nH]c2)c1 ZINC000276253247 386290796 /nfs/dbraw/zinc/29/07/96/386290796.db2.gz QBCSNTUGTOGYGF-LLVKDONJSA-N 0 3 229.327 2.823 20 0 BFADHN CC1(C)CN(Cc2cccc(N)c2)C(C)(C)CO1 ZINC000647885964 386291626 /nfs/dbraw/zinc/29/16/26/386291626.db2.gz VQOFAMZMVAKTIP-UHFFFAOYSA-N 0 3 248.370 2.658 20 0 BFADHN C[C@H](N(C)Cc1ccc(F)cn1)C1(C)CC1 ZINC000276268413 386291670 /nfs/dbraw/zinc/29/16/70/386291670.db2.gz RWXSMZCJQOSSBX-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1cncs1 ZINC000335264189 386294298 /nfs/dbraw/zinc/29/42/98/386294298.db2.gz XRCYDCYPFQKLTA-VIFPVBQESA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1ccc(F)cn1 ZINC000276282717 386295616 /nfs/dbraw/zinc/29/56/16/386295616.db2.gz UKFJZTNJRISEHF-LBPRGKRZSA-N 0 3 242.363 2.794 20 0 BFADHN C[C@@H](N)c1nc(CC[C@@H]2CCCCO2)cs1 ZINC000416404559 386295761 /nfs/dbraw/zinc/29/57/61/386295761.db2.gz WXNIRMOWILRHLW-KOLCDFICSA-N 0 3 240.372 2.665 20 0 BFADHN C[C@H]1C[C@H](C)N1CCc1nc2ccccc2n1C ZINC000335264832 386297076 /nfs/dbraw/zinc/29/70/76/386297076.db2.gz JCDLFDBZZWMLFU-RYUDHWBXSA-N 0 3 243.354 2.599 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CCc1nc2ccccc2n1C ZINC000335264834 386297614 /nfs/dbraw/zinc/29/76/14/386297614.db2.gz JCDLFDBZZWMLFU-VXGBXAGGSA-N 0 3 243.354 2.599 20 0 BFADHN Cc1ccc(CN2CC3(CCC3)C[C@H]2C)nn1 ZINC000335264890 386298025 /nfs/dbraw/zinc/29/80/25/386298025.db2.gz NPEKAKUQOAJPDK-GFCCVEGCSA-N 0 3 231.343 2.550 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1ccc(F)cn1 ZINC000276293706 386298883 /nfs/dbraw/zinc/29/88/83/386298883.db2.gz VYYYZXSGMJWIEH-MFKMUULPSA-N 0 3 222.307 2.841 20 0 BFADHN CCn1cncc1CNc1cc(C)cc(C)n1 ZINC000416424225 386301711 /nfs/dbraw/zinc/30/17/11/386301711.db2.gz BIVGYTCYFAETHO-UHFFFAOYSA-N 0 3 230.315 2.527 20 0 BFADHN CCN(CCc1cncn1C)Cc1cccs1 ZINC000289423791 386302959 /nfs/dbraw/zinc/30/29/59/386302959.db2.gz UWSXIZZEKDHMMU-UHFFFAOYSA-N 0 3 249.383 2.546 20 0 BFADHN C[C@@H]1C[C@H]1CN[C@@H]1COc2ccc(F)cc21 ZINC000336731062 386304741 /nfs/dbraw/zinc/30/47/41/386304741.db2.gz FBOIXENFXADCRW-VDDIYKPWSA-N 0 3 221.275 2.505 20 0 BFADHN CCOC[C@@H]1CCCN([C@@H](C)c2cccnc2)C1 ZINC000265104338 386306798 /nfs/dbraw/zinc/30/67/98/386306798.db2.gz YORJERFHFNSYJL-UONOGXRCSA-N 0 3 248.370 2.891 20 0 BFADHN Cc1c[nH]nc1CNCCCc1ccccc1F ZINC000289450262 386307680 /nfs/dbraw/zinc/30/76/80/386307680.db2.gz GVVRNYYTSOKFSY-UHFFFAOYSA-N 0 3 247.317 2.580 20 0 BFADHN CCc1cccc(CN[C@@H](C)Cn2cccn2)c1 ZINC000276377390 386309869 /nfs/dbraw/zinc/30/98/69/386309869.db2.gz PPDUATLWZJGFNF-ZDUSSCGKSA-N 0 3 243.354 2.624 20 0 BFADHN NC(=O)[C@@H]1CCCN1C[C@@H]1CCCC(F)(F)C1 ZINC000334482426 386311027 /nfs/dbraw/zinc/31/10/27/386311027.db2.gz WTQPUVDUPRTTOL-ZJUUUORDSA-N 0 3 246.301 2.812 20 0 BFADHN CN(CCc1ccccc1)Cc1ccc(F)cn1 ZINC000276386481 386313399 /nfs/dbraw/zinc/31/33/99/386313399.db2.gz RHMXREKZCWLWFE-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN CN(CCc1cccnc1)Cc1cccs1 ZINC000265176185 386313661 /nfs/dbraw/zinc/31/36/61/386313661.db2.gz ZMGHHACEDSAPGP-UHFFFAOYSA-N 0 3 232.352 2.818 20 0 BFADHN COC(C)(C)CCN(C)Cc1ccoc1C ZINC000336670745 386315731 /nfs/dbraw/zinc/31/57/31/386315731.db2.gz WNBHQBILXSMSRJ-UHFFFAOYSA-N 0 3 225.332 2.835 20 0 BFADHN CCCCC[C@@H](NC(=O)CNC)c1ccccc1 ZINC000236816303 386315899 /nfs/dbraw/zinc/31/58/99/386315899.db2.gz MNEXERZKBSKXIN-CQSZACIVSA-N 0 3 248.370 2.644 20 0 BFADHN Cc1oncc1CN1CCC(C2CC2)CC1 ZINC000366307184 386316046 /nfs/dbraw/zinc/31/60/46/386316046.db2.gz DIZXITNRWBWEGL-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN CCSCCN1CCC[C@H]1c1cc(C)no1 ZINC000336678251 386319010 /nfs/dbraw/zinc/31/90/10/386319010.db2.gz OEPSBZWMKLGUGE-NSHDSACASA-N 0 3 240.372 2.873 20 0 BFADHN C[C@@H](C1CC1)N(Cc1ccc(F)cn1)C1CC1 ZINC000276421646 386319641 /nfs/dbraw/zinc/31/96/41/386319641.db2.gz XEGXGOPOSIPBFB-JTQLQIEISA-N 0 3 234.318 2.984 20 0 BFADHN Cc1nocc1CN1CC[C@H](C(C)(C)C)C1 ZINC000334484241 386321210 /nfs/dbraw/zinc/32/12/10/386321210.db2.gz ZKMOBEJMJIWKIW-LBPRGKRZSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1cncc(CNc2ccnc3ccccc32)c1 ZINC000340574182 386261457 /nfs/dbraw/zinc/26/14/57/386261457.db2.gz VSAHBTOJFJGNIO-UHFFFAOYSA-N 0 3 249.317 2.972 20 0 BFADHN COc1ccncc1CN1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000347153387 386263572 /nfs/dbraw/zinc/26/35/72/386263572.db2.gz AKECVVSWCIMBLA-JHJVBQTASA-N 0 3 248.370 2.957 20 0 BFADHN CCC1(CC)CCN(Cc2ccc(C)nn2)C1 ZINC000414390765 386264483 /nfs/dbraw/zinc/26/44/83/386264483.db2.gz YNLVKTNLEKZDLT-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CCCCN(CC)Cc1cnc([C@@H](C)O)s1 ZINC000289180177 386268931 /nfs/dbraw/zinc/26/89/31/386268931.db2.gz SQSMETQLOBACBL-SNVBAGLBSA-N 0 3 242.388 2.818 20 0 BFADHN CO[C@H](C)CN(C)Cc1cc(F)cc(Cl)c1 ZINC000289205893 386272694 /nfs/dbraw/zinc/27/26/94/386272694.db2.gz BSUVDGWEVUENRL-SECBINFHSA-N 0 3 245.725 2.946 20 0 BFADHN C[C@@H](O)CCCN1Cc2ccccc2[C@@H]1C ZINC000336654780 386273208 /nfs/dbraw/zinc/27/32/08/386273208.db2.gz KKBCJYOYTYQFQU-NEPJUHHUSA-N 0 3 219.328 2.724 20 0 BFADHN CO[C@@H](C)CN(C)C/C=C/c1ccc(F)cc1 ZINC000289228666 386274238 /nfs/dbraw/zinc/27/42/38/386274238.db2.gz CVNVBRFJSCZDOM-ITKZLYELSA-N 0 3 237.318 2.806 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(C)[C@@H]2CCC[C@H]2O)o1 ZINC000289230690 386276060 /nfs/dbraw/zinc/27/60/60/386276060.db2.gz CZDDAANQRJVWBX-YXCITZCRSA-N 0 3 249.354 2.748 20 0 BFADHN CC[C@]1(O)CCN(Cc2ccc(Cl)cc2)C1 ZINC000347250046 386277614 /nfs/dbraw/zinc/27/76/14/386277614.db2.gz OWUVODLCYIVCCG-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN CC[C@H]1CCCN(Cc2ccc(F)cn2)C1 ZINC000276132506 386279022 /nfs/dbraw/zinc/27/90/22/386279022.db2.gz BIUDOLACOOVIJU-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN Cc1cnc(CN[C@H]2CC[C@H](C)C2)s1 ZINC000164837411 386341673 /nfs/dbraw/zinc/34/16/73/386341673.db2.gz LRZSKJHQZJSCTJ-WPRPVWTQSA-N 0 3 210.346 2.730 20 0 BFADHN CC(C)=CCC[C@H](C)N[C@H](C)c1ncnn1C ZINC000276525604 386342289 /nfs/dbraw/zinc/34/22/89/386342289.db2.gz CFEMSEYCGDOIKP-NWDGAFQWSA-N 0 3 236.363 2.601 20 0 BFADHN Cn1ccc(CN2CCC[C@@H]2c2ccncc2)c1 ZINC000289706950 386343706 /nfs/dbraw/zinc/34/37/06/386343706.db2.gz GKWAEYZRZMEMNF-OAHLLOKOSA-N 0 3 241.338 2.757 20 0 BFADHN CCOc1ccc(CN(C)CC2(C)COC2)cc1 ZINC000336685906 386344170 /nfs/dbraw/zinc/34/41/70/386344170.db2.gz ADDBKLVHZCDBDK-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C(C)C)C2)cn1 ZINC000414413051 386347209 /nfs/dbraw/zinc/34/72/09/386347209.db2.gz RLPWFFDRSCGKPT-CYBMUJFWSA-N 0 3 233.359 2.517 20 0 BFADHN COC(=O)c1ccc(CN(C)[C@H](C)C(C)C)o1 ZINC000298455838 386347737 /nfs/dbraw/zinc/34/77/37/386347737.db2.gz XAZDWFWAGJYHAE-SNVBAGLBSA-N 0 3 239.315 2.543 20 0 BFADHN CC[C@@H](C)N1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289718941 386348105 /nfs/dbraw/zinc/34/81/05/386348105.db2.gz CUBCKFOPRHKDPT-MWLCHTKSSA-N 0 3 227.739 2.582 20 0 BFADHN Cc1noc([C@H]2CCCN2C[C@H]2CC=CCC2)n1 ZINC000289749947 386350358 /nfs/dbraw/zinc/35/03/58/386350358.db2.gz JDMYCMJMQCXVJG-QWHCGFSZSA-N 0 3 247.342 2.871 20 0 BFADHN C[C@@H]1c2ccccc2CN1CC1(C)COC1 ZINC000336690490 386357572 /nfs/dbraw/zinc/35/75/72/386357572.db2.gz BAAQESSEFVRINT-LLVKDONJSA-N 0 3 217.312 2.600 20 0 BFADHN C[C@@H]1C[C@@H](C)N1Cc1ccc2c[nH]nc2c1 ZINC000335271744 386358086 /nfs/dbraw/zinc/35/80/86/386358086.db2.gz AKPKLLFCWIEDQL-NXEZZACHSA-N 0 3 215.300 2.546 20 0 BFADHN Cn1cccc1CNC1(c2ccccc2)CC1 ZINC000265659402 386358251 /nfs/dbraw/zinc/35/82/51/386358251.db2.gz QYMLSGRBYVQLBX-UHFFFAOYSA-N 0 3 226.323 2.804 20 0 BFADHN Cc1ccncc1CN1CCS[C@H](C)CC1 ZINC000276872897 386386815 /nfs/dbraw/zinc/38/68/15/386386815.db2.gz CWTNFTZNJZZTSL-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN COC(C)(C)CCN(C)Cc1ccco1 ZINC000336691470 386360576 /nfs/dbraw/zinc/36/05/76/386360576.db2.gz MLHOGCAOKCJDEC-UHFFFAOYSA-N 0 3 211.305 2.527 20 0 BFADHN Cc1occc1CN1CC[C@H](OCC(C)C)C1 ZINC000414417326 386361145 /nfs/dbraw/zinc/36/11/45/386361145.db2.gz XIIPTOHMEUXILF-AWEZNQCLSA-N 0 3 237.343 2.835 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1conc1C ZINC000339298027 386361328 /nfs/dbraw/zinc/36/13/28/386361328.db2.gz MENIRSBUHWAERS-CMPLNLGQSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1ccc2c(c1)ncn2C ZINC000335271819 386361505 /nfs/dbraw/zinc/36/15/05/386361505.db2.gz CSBQSKIMTSSOEW-QWRGUYRKSA-N 0 3 229.327 2.556 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCCC(C)(C)O)o1 ZINC000274718953 386361818 /nfs/dbraw/zinc/36/18/18/386361818.db2.gz DEAKFUFHRDWOKR-ZYHUDNBSSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H]1CCCC[C@H]1C ZINC000336743246 386369808 /nfs/dbraw/zinc/36/98/08/386369808.db2.gz OVUXUKLPQPLCHJ-PWSUYJOCSA-N 0 3 221.348 2.634 20 0 BFADHN CC(C)COCCN1CC(C)(C)OC(C)(C)C1 ZINC000336694810 386371417 /nfs/dbraw/zinc/37/14/17/386371417.db2.gz NYSXWYRAALCXLK-UHFFFAOYSA-N 0 3 243.391 2.548 20 0 BFADHN C[C@H](CO)CNCc1ccc(-c2ccccc2)o1 ZINC000237389437 386372227 /nfs/dbraw/zinc/37/22/27/386372227.db2.gz ZZMFWCWXFQBCAE-LBPRGKRZSA-N 0 3 245.322 2.665 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccc(C)c(F)c1 ZINC000276758500 386372468 /nfs/dbraw/zinc/37/24/68/386372468.db2.gz JDSMNCPFHROLII-LLVKDONJSA-N 0 3 225.307 2.601 20 0 BFADHN Cc1cccc(CN2CC[C@](C)(F)C2)c1 ZINC000347389520 386377246 /nfs/dbraw/zinc/37/72/46/386377246.db2.gz RZDCGJLNQGUMAQ-ZDUSSCGKSA-N 0 3 207.292 2.929 20 0 BFADHN Cc1ccccc1CN1CC[C@](C)(F)C1 ZINC000347396544 386385284 /nfs/dbraw/zinc/38/52/84/386385284.db2.gz XZPIPVBPFCOCOC-ZDUSSCGKSA-N 0 3 207.292 2.929 20 0 BFADHN COc1cccnc1CNC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000639240100 386385487 /nfs/dbraw/zinc/38/54/87/386385487.db2.gz KGDRLONCAZYHFB-UPJWGTAASA-N 0 3 246.354 2.616 20 0 BFADHN CC1CCC(CN2CCC[C@](F)(CO)C2)CC1 ZINC000347332414 386329490 /nfs/dbraw/zinc/32/94/90/386329490.db2.gz HORQRWNJECSOTH-JXQTWKCFSA-N 0 3 243.366 2.609 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccno2)CC1 ZINC000265384097 386331754 /nfs/dbraw/zinc/33/17/54/386331754.db2.gz ZWZNDGLWRVDVGO-LLVKDONJSA-N 0 3 208.305 2.687 20 0 BFADHN C[C@H](NCc1ncccc1N(C)C)C(C)(C)C ZINC000289659671 386337526 /nfs/dbraw/zinc/33/75/26/386337526.db2.gz GQOXPYJFPFNXEA-NSHDSACASA-N 0 3 235.375 2.672 20 0 BFADHN C[C@H]1COCCN([C@@H]2CCc3ccc(F)cc32)C1 ZINC000335276540 386339117 /nfs/dbraw/zinc/33/91/17/386339117.db2.gz FKRRFKBBUVMPTI-IAQYHMDHSA-N 0 3 249.329 2.781 20 0 BFADHN CCc1ncc(CN2CC[C@H](C)[C@@H]2CC)cn1 ZINC000414433423 386439687 /nfs/dbraw/zinc/43/96/87/386439687.db2.gz OKFVWUKFJGIOIR-AAEUAGOBSA-N 0 3 233.359 2.659 20 0 BFADHN Cc1ccc(CN(C)Cc2[nH]ncc2C)s1 ZINC000290455824 386440683 /nfs/dbraw/zinc/44/06/83/386440683.db2.gz OYSDKLRAKAPDBQ-UHFFFAOYSA-N 0 3 235.356 2.720 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C)[C@@H]2CC)cn1 ZINC000414433638 386441282 /nfs/dbraw/zinc/44/12/82/386441282.db2.gz OKFVWUKFJGIOIR-YPMHNXCESA-N 0 3 233.359 2.659 20 0 BFADHN CCc1ncc(CN2CC[C@H](C)C(C)(C)C2)cn1 ZINC000414434699 386444128 /nfs/dbraw/zinc/44/41/28/386444128.db2.gz QEKPXQFMPCCAOZ-LBPRGKRZSA-N 0 3 247.386 2.907 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CCCCN1C1CC1 ZINC000277525472 386444420 /nfs/dbraw/zinc/44/44/20/386444420.db2.gz UDGUITUFOTZATC-AWEZNQCLSA-N 0 3 248.370 2.695 20 0 BFADHN C[C@@H](F)CCNCc1c[nH]c(-c2ccccc2)n1 ZINC000339493664 386444664 /nfs/dbraw/zinc/44/46/64/386444664.db2.gz SEPHQUPNHRVPAN-LLVKDONJSA-N 0 3 247.317 2.914 20 0 BFADHN C[C@@H](F)CCNCc1cnc(-c2ccccc2)[nH]1 ZINC000339493664 386444673 /nfs/dbraw/zinc/44/46/73/386444673.db2.gz SEPHQUPNHRVPAN-LLVKDONJSA-N 0 3 247.317 2.914 20 0 BFADHN CC(C)C[C@H](CO)N(C)Cc1ccsc1 ZINC000290510325 386445114 /nfs/dbraw/zinc/44/51/14/386445114.db2.gz PLXXGQOTZPXCDG-GFCCVEGCSA-N 0 3 227.373 2.587 20 0 BFADHN C[C@@H](F)CCNCc1ccc(-c2cc[nH]n2)o1 ZINC000339491857 386445190 /nfs/dbraw/zinc/44/51/90/386445190.db2.gz OQJGDYKLPNISEZ-SECBINFHSA-N 0 3 237.278 2.507 20 0 BFADHN CC(C)C[C@@H](CO)N(C)Cc1ccsc1 ZINC000290510328 386446996 /nfs/dbraw/zinc/44/69/96/386446996.db2.gz PLXXGQOTZPXCDG-LBPRGKRZSA-N 0 3 227.373 2.587 20 0 BFADHN C[C@@H](F)CCNCc1cnn(-c2ccccc2)c1 ZINC000339484109 386448987 /nfs/dbraw/zinc/44/89/87/386448987.db2.gz KIOLKIYOXQGJSK-GFCCVEGCSA-N 0 3 247.317 2.710 20 0 BFADHN CCOC1CC(N(C)Cc2ccc(F)cc2)C1 ZINC000347406838 386388881 /nfs/dbraw/zinc/38/88/81/386388881.db2.gz DSPHEMRSQLPZTC-UHFFFAOYSA-N 0 3 237.318 2.825 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@H](C)[C@H]1CCCCO1 ZINC000347352518 386389975 /nfs/dbraw/zinc/38/99/75/386389975.db2.gz YOCJJXQZLLZETA-GZBFAFLISA-N 0 3 248.370 2.998 20 0 BFADHN c1ccc(C[C@@H]2CCN2C[C@H]2CCCCO2)cc1 ZINC000336704344 386392492 /nfs/dbraw/zinc/39/24/92/386392492.db2.gz IZRIELNWPRWQJB-JKSUJKDBSA-N 0 3 245.366 2.873 20 0 BFADHN Cc1noc(C)c1C(C)(C)NC[C@H]1C[C@@H]1C ZINC000336776251 386394464 /nfs/dbraw/zinc/39/44/64/386394464.db2.gz FGNPWILWGAOFQR-GZMMTYOYSA-N 0 3 222.332 2.772 20 0 BFADHN C[C@H](NCCC(C)(C)O)c1ccc(Cl)nc1 ZINC000274760832 386395957 /nfs/dbraw/zinc/39/59/57/386395957.db2.gz DPNDJQBDVFKZAV-VIFPVBQESA-N 0 3 242.750 2.547 20 0 BFADHN COC1CC(N[C@H](c2ncccc2C)C(C)C)C1 ZINC000347423977 386397016 /nfs/dbraw/zinc/39/70/16/386397016.db2.gz YWCHHUXCKYPXKR-RUXDESIVSA-N 0 3 248.370 2.854 20 0 BFADHN Cc1occc1CN[C@H]1CCO[C@H](C2CC2)C1 ZINC000414425869 386398517 /nfs/dbraw/zinc/39/85/17/386398517.db2.gz BJASDDXFMLBLSU-KBPBESRZSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1nocc1CNCC1CCC(F)(F)CC1 ZINC000339389245 386400001 /nfs/dbraw/zinc/40/00/01/386400001.db2.gz DZBKCHXMRFPKEJ-UHFFFAOYSA-N 0 3 244.285 2.898 20 0 BFADHN CC[C@@H](C)CN(CC)C(=O)C1(N)CCCCC1 ZINC000093254933 386400513 /nfs/dbraw/zinc/40/05/13/386400513.db2.gz ZISCBUMKFFLYNU-GFCCVEGCSA-N 0 3 240.391 2.543 20 0 BFADHN CC[C@@H](CN[C@H]1CCc2c1cccc2OC)OC ZINC000290160406 386400915 /nfs/dbraw/zinc/40/09/15/386400915.db2.gz LYAVSNYOLRHBHS-FZMZJTMJSA-N 0 3 249.354 2.697 20 0 BFADHN CC(C)C1(NCc2nc3ccccc3[nH]2)CC1 ZINC000339501587 386451365 /nfs/dbraw/zinc/45/13/65/386451365.db2.gz JGMQZRUPPVNVLR-UHFFFAOYSA-N 0 3 229.327 2.841 20 0 BFADHN Cc1ccc(CNCCN2CCCC[C@H]2C)o1 ZINC000237679703 386403795 /nfs/dbraw/zinc/40/37/95/386403795.db2.gz FOFZWMJXMOJLMZ-GFCCVEGCSA-N 0 3 236.359 2.552 20 0 BFADHN CC[C@H]1CCCN(Cc2cncc(OC)c2)C1 ZINC000290240413 386408668 /nfs/dbraw/zinc/40/86/68/386408668.db2.gz JEJXDIWCROQTJN-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@H](CN[C@@H](C)c1ccc2c(c1)COC2)OC ZINC000290243243 386409734 /nfs/dbraw/zinc/40/97/34/386409734.db2.gz STNRRGPWBHBKAS-XHDPSFHLSA-N 0 3 249.354 2.792 20 0 BFADHN Cc1ccoc1CNCCc1ccccc1O ZINC000266203542 386409907 /nfs/dbraw/zinc/40/99/07/386409907.db2.gz LYDQFFAKNVFDCP-UHFFFAOYSA-N 0 3 231.295 2.626 20 0 BFADHN Cc1ccoc1CN(C)CCN1CCCCC1 ZINC000336719699 386451638 /nfs/dbraw/zinc/45/16/38/386451638.db2.gz RSEOVRQIHPJWQX-UHFFFAOYSA-N 0 3 236.359 2.506 20 0 BFADHN CC(C)C(=O)CCN(C)C1Cc2ccccc2C1 ZINC000290271038 386412093 /nfs/dbraw/zinc/41/20/93/386412093.db2.gz LLCXBVHNOINVPX-UHFFFAOYSA-N 0 3 245.366 2.701 20 0 BFADHN C[C@@H]1CCN(Cc2cnccn2)[C@@H]2CCCC[C@@H]12 ZINC000519335926 386412546 /nfs/dbraw/zinc/41/25/46/386412546.db2.gz JBJSFTMMFPNNNR-VHDGCEQUSA-N 0 3 245.370 2.877 20 0 BFADHN CCCn1nc(C)c(CNCC[C@H](C)F)c1C ZINC000339491441 386451830 /nfs/dbraw/zinc/45/18/30/386451830.db2.gz IBKFCWUZAMNZHM-JTQLQIEISA-N 0 3 241.354 2.748 20 0 BFADHN Cc1ccc(CN(C)CCN2CCCC2)s1 ZINC000336709913 386421874 /nfs/dbraw/zinc/42/18/74/386421874.db2.gz XZLCIZAXQZLHIS-UHFFFAOYSA-N 0 3 238.400 2.584 20 0 BFADHN Cc1ccc(CN(C)CCN(C)C2CC2)s1 ZINC000290330329 386423049 /nfs/dbraw/zinc/42/30/49/386423049.db2.gz DQRQGMBPQUDPRQ-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN Cc1cc(CNC2CCC3(CC3)CC2)nn1C ZINC000414431305 386429090 /nfs/dbraw/zinc/42/90/90/386429090.db2.gz HMAZXJDEKGUOSG-UHFFFAOYSA-N 0 3 233.359 2.541 20 0 BFADHN c1ccc([C@H]2CCN2CCOCC2CC2)cc1 ZINC000336713714 386429136 /nfs/dbraw/zinc/42/91/36/386429136.db2.gz SUZUALGGXWZAJI-OAHLLOKOSA-N 0 3 231.339 2.860 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC(C)(C)C2)nn1C ZINC000414430838 386429947 /nfs/dbraw/zinc/42/99/47/386429947.db2.gz GLHAYOWFDMZXJP-GFCCVEGCSA-N 0 3 235.375 2.787 20 0 BFADHN CCN(CC[C@H](C)O)[C@H](C)c1cccc(O)c1 ZINC000336715016 386434278 /nfs/dbraw/zinc/43/42/78/386434278.db2.gz SDCJMQQKCQHLIU-NWDGAFQWSA-N 0 3 237.343 2.546 20 0 BFADHN CCN(CCc1ccccn1)Cc1ccncc1 ZINC000299271759 386434744 /nfs/dbraw/zinc/43/47/44/386434744.db2.gz KNSVFNNCLTTXMN-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN CCc1ncc(CN2C[C@H](C)CC(C)(C)C2)cn1 ZINC000414440202 386467303 /nfs/dbraw/zinc/46/73/03/386467303.db2.gz YEQCFFYAXUFAIO-GFCCVEGCSA-N 0 3 247.386 2.907 20 0 BFADHN CC(C)C1CCN(Cc2ccno2)CC1 ZINC000266771429 386468318 /nfs/dbraw/zinc/46/83/18/386468318.db2.gz WZWNMLVCTSGPED-UHFFFAOYSA-N 0 3 208.305 2.543 20 0 BFADHN CC(C)C[C@@H]1CCN(Cc2ccno2)C1 ZINC000266790423 386468531 /nfs/dbraw/zinc/46/85/31/386468531.db2.gz WVNSGRBGABAABH-NSHDSACASA-N 0 3 208.305 2.543 20 0 BFADHN Cc1ccc([C@H](O)CN[C@H](C)c2ccccc2)o1 ZINC000266813119 386470336 /nfs/dbraw/zinc/47/03/36/386470336.db2.gz WPSGMCFZULZLPZ-TZMCWYRMSA-N 0 3 245.322 2.972 20 0 BFADHN CC(C)[C@H](O)CNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000227215018 386470904 /nfs/dbraw/zinc/47/09/04/386470904.db2.gz QAOUTRKIOCXLAZ-CYZMBNFOSA-N 0 3 237.343 2.510 20 0 BFADHN COCc1ccc(CN2C[C@H](C)[C@@H]2C)cc1 ZINC000339576681 386471883 /nfs/dbraw/zinc/47/18/83/386471883.db2.gz LAUIOGPTCYVYFB-RYUDHWBXSA-N 0 3 219.328 2.673 20 0 BFADHN COCc1ccc(CN2C[C@@H](C)[C@@H]2C)cc1 ZINC000339576679 386472001 /nfs/dbraw/zinc/47/20/01/386472001.db2.gz LAUIOGPTCYVYFB-NEPJUHHUSA-N 0 3 219.328 2.673 20 0 BFADHN CCOc1ccc(CN(C)[C@H]2CCCOC2)cc1 ZINC000336736953 386472246 /nfs/dbraw/zinc/47/22/46/386472246.db2.gz ZDVXZTPGEMIJAS-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1ccc(F)c(CNCCOCC2CC2)c1 ZINC000227287456 386472283 /nfs/dbraw/zinc/47/22/83/386472283.db2.gz UBWVXGCQYIPTPO-UHFFFAOYSA-N 0 3 237.318 2.650 20 0 BFADHN Cc1ccc(F)c(CNC[C@H]2CCCCO2)c1 ZINC000227285517 386473579 /nfs/dbraw/zinc/47/35/79/386473579.db2.gz YDZMZLQBKJNEKK-CYBMUJFWSA-N 0 3 237.318 2.793 20 0 BFADHN CCOc1ccc(CN(C)[C@@H]2CCCOC2)cc1 ZINC000336736954 386474436 /nfs/dbraw/zinc/47/44/36/386474436.db2.gz ZDVXZTPGEMIJAS-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CCC[C@@H](NC[C@@H](O)CC)c1cc(C)ccn1 ZINC000631630378 386478895 /nfs/dbraw/zinc/47/88/95/386478895.db2.gz GWIHOZVKOAQTQS-QWHCGFSZSA-N 0 3 236.359 2.592 20 0 BFADHN Cc1cc(CN[C@@H](C)C2CCSCC2)on1 ZINC000187166343 386478941 /nfs/dbraw/zinc/47/89/41/386478941.db2.gz NXJGZGGVDPSMDP-JTQLQIEISA-N 0 3 240.372 2.604 20 0 BFADHN Cc1ccoc1CNC[C@H](c1ccco1)N(C)C ZINC000135126264 386485597 /nfs/dbraw/zinc/48/55/97/386485597.db2.gz FIOHGXJQTBYCHL-GFCCVEGCSA-N 0 3 248.326 2.574 20 0 BFADHN F[C@@H]1CCC[C@H]1NC/C=C/c1ccncc1 ZINC000339585854 386489236 /nfs/dbraw/zinc/48/92/36/386489236.db2.gz COXRPMFXNFFBRJ-XCUNLHGCSA-N 0 3 220.291 2.575 20 0 BFADHN C[C@@H](N[C@H]1C[C@@](C)(O)C1)c1cc2ccccc2o1 ZINC000417351517 386490697 /nfs/dbraw/zinc/49/06/97/386490697.db2.gz CXPLJXWEGMORKX-HCKVZZMMSA-N 0 3 245.322 2.997 20 0 BFADHN CCc1ccc([C@@H](C)NCC2(N(C)C)CC2)o1 ZINC000417351062 386490929 /nfs/dbraw/zinc/49/09/29/386490929.db2.gz BRYRLNAAEQYDTB-LLVKDONJSA-N 0 3 236.359 2.587 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2C[C@@](C)(O)C2)s1 ZINC000417351541 386491412 /nfs/dbraw/zinc/49/14/12/386491412.db2.gz CYRWSHHAMKVSFV-OUJBWJOFSA-N 0 3 239.384 2.875 20 0 BFADHN C[C@@H](N[C@H]1C[C@@](C)(O)C1)c1ccc(F)cc1F ZINC000417355557 386492474 /nfs/dbraw/zinc/49/24/74/386492474.db2.gz OCVWJUQIPLZZLU-JQEORGNBSA-N 0 3 241.281 2.529 20 0 BFADHN CCCn1cc(CN(C)C(C)(C)CC)cn1 ZINC000353529807 386492941 /nfs/dbraw/zinc/49/29/41/386492941.db2.gz RAUJMDJBPJALCC-UHFFFAOYSA-N 0 3 223.364 2.914 20 0 BFADHN CCc1cccc(CN2C[C@@H](C)N(C)[C@@H](C)C2)c1 ZINC000339620747 386493432 /nfs/dbraw/zinc/49/34/32/386493432.db2.gz FMOINRZFZSMWHI-OKILXGFUSA-N 0 3 246.398 2.773 20 0 BFADHN C[C@H](NCCOCC1CC1)c1cccc(F)c1 ZINC000227564069 386493760 /nfs/dbraw/zinc/49/37/60/386493760.db2.gz YEVHXJUMBJWEPX-NSHDSACASA-N 0 3 237.318 2.903 20 0 BFADHN CC[C@@H]1CCCCN1Cc1snnc1C ZINC000519580888 386494981 /nfs/dbraw/zinc/49/49/81/386494981.db2.gz ZPZNMDUGDWGBEP-SNVBAGLBSA-N 0 3 225.361 2.611 20 0 BFADHN CC[C@H](c1ccc(Cl)cc1)N(C)CCO ZINC000267127039 386496722 /nfs/dbraw/zinc/49/67/22/386496722.db2.gz CAFPOVGVWWIKRK-GFCCVEGCSA-N 0 3 227.735 2.715 20 0 BFADHN CCc1cccc(Cl)c1CNC[C@H](O)CC ZINC000336775838 386501075 /nfs/dbraw/zinc/50/10/75/386501075.db2.gz CVAYJSCEURIKID-LLVKDONJSA-N 0 3 241.762 2.763 20 0 BFADHN CCCCCN(CCO)Cc1cccs1 ZINC000267149295 386501106 /nfs/dbraw/zinc/50/11/06/386501106.db2.gz LVZUUFGXPLWNRV-UHFFFAOYSA-N 0 3 227.373 2.733 20 0 BFADHN Cc1cc(CN2CCC3(C2)CCCCC3)nn1C ZINC000336790867 386501219 /nfs/dbraw/zinc/50/12/19/386501219.db2.gz YMYWYNZWCUHGSS-UHFFFAOYSA-N 0 3 247.386 2.885 20 0 BFADHN C[C@@H](NC[C@@H]1CC12CC2)c1ccccn1 ZINC000417332211 386501334 /nfs/dbraw/zinc/50/13/34/386501334.db2.gz CUNJCCKEWIBFLX-MNOVXSKESA-N 0 3 202.301 2.532 20 0 BFADHN C[C@H](NCCF)[C@@H]1C[C@H]1c1cccs1 ZINC000417332128 386502183 /nfs/dbraw/zinc/50/21/83/386502183.db2.gz NJVCVQYDMGHRKU-LPEHRKFASA-N 0 3 213.321 2.799 20 0 BFADHN Cc1cc(C)cc(CN2C[C@@H](C)N(C)[C@@H](C)C2)c1 ZINC000339616445 386503755 /nfs/dbraw/zinc/50/37/55/386503755.db2.gz ACHZBUVAAPULSB-GASCZTMLSA-N 0 3 246.398 2.828 20 0 BFADHN CN(CCO)Cc1ccc(-c2cccs2)cc1 ZINC000278229263 386504698 /nfs/dbraw/zinc/50/46/98/386504698.db2.gz VCLSATBMCQOCRR-UHFFFAOYSA-N 0 3 247.363 2.839 20 0 BFADHN Cc1ccc(CN2CC[C@H](c3cccnc3)C2)o1 ZINC000335444042 386507493 /nfs/dbraw/zinc/50/74/93/386507493.db2.gz QEJUPIGDXRVAHU-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN CCC1(C(=O)Nc2cccc(CN(C)C)c2)CC1 ZINC000267201407 386509337 /nfs/dbraw/zinc/50/93/37/386509337.db2.gz OLEMQRVXCLGKCF-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN c1ccc(C[C@@H]2COCCN2CCC2CC2)cc1 ZINC000336783583 386509252 /nfs/dbraw/zinc/50/92/52/386509252.db2.gz BUSMJCOAMKWPAI-MRXNPFEDSA-N 0 3 245.366 2.730 20 0 BFADHN C=Cn1cc(CN(CCCC)C2CC2)cn1 ZINC000278321197 386509602 /nfs/dbraw/zinc/50/96/02/386509602.db2.gz XYTCZZDFYOKKAO-UHFFFAOYSA-N 0 3 219.332 2.748 20 0 BFADHN C[C@H](N[C@H]1C[C@@](C)(O)C1)c1ccc(Cl)cc1 ZINC000417359361 386513473 /nfs/dbraw/zinc/51/34/73/386513473.db2.gz VPUDWBGGBLBDOV-TVYUQYBPSA-N 0 3 239.746 2.904 20 0 BFADHN CCC1(CC)CN(C[C@H](O)Cc2ccccc2)C1 ZINC000336797239 386514224 /nfs/dbraw/zinc/51/42/24/386514224.db2.gz ZVHQTMVXARJTTG-OAHLLOKOSA-N 0 3 247.382 2.712 20 0 BFADHN CC[C@H]1CCN(Cc2ccc(F)nc2C)C1 ZINC000278393159 386519335 /nfs/dbraw/zinc/51/93/35/386519335.db2.gz DYRIABSPAGWPSA-NSHDSACASA-N 0 3 222.307 2.761 20 0 BFADHN Cc1ccn2c(CN(C)C(C)(C)C)cnc2c1 ZINC000335450011 386519985 /nfs/dbraw/zinc/51/99/85/386519985.db2.gz RQROKGDYOGQUEP-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN Cc1ccc2ncc(CN(C)C(C)(C)C)n2c1 ZINC000335450091 386520161 /nfs/dbraw/zinc/52/01/61/386520161.db2.gz UACBKMULUCRRLI-UHFFFAOYSA-N 0 3 231.343 2.873 20 0 BFADHN CO[C@](C)(CN[C@H](C)c1cnccc1C)C1CC1 ZINC000291192922 386522754 /nfs/dbraw/zinc/52/27/54/386522754.db2.gz LMEDKYDFHKDAKZ-IUODEOHRSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@H](O)CCCNCc1cc2ccccc2o1 ZINC000227955534 386525056 /nfs/dbraw/zinc/52/50/56/386525056.db2.gz SHMJWDYTJGWWIO-NSHDSACASA-N 0 3 233.311 2.683 20 0 BFADHN c1ccc2c(c1)CN(C[C@H]1CCSC1)C2 ZINC000278438219 386526400 /nfs/dbraw/zinc/52/64/00/386526400.db2.gz LCTUXCCRJGERCF-LLVKDONJSA-N 0 3 219.353 2.755 20 0 BFADHN COC1(CNCc2ccncc2)CCCCC1 ZINC000187448128 386526459 /nfs/dbraw/zinc/52/64/59/386526459.db2.gz OOLWTZVACDRXGD-UHFFFAOYSA-N 0 3 234.343 2.521 20 0 BFADHN C[C@H](O)CCCNCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000227961996 386526601 /nfs/dbraw/zinc/52/66/01/386526601.db2.gz QRWJWZRXBMKYRC-GMXVVIOVSA-N 0 3 237.343 2.654 20 0 BFADHN C[C@H](O)CCCNCc1ccc(F)c(Cl)c1 ZINC000227959020 386527613 /nfs/dbraw/zinc/52/76/13/386527613.db2.gz ABNIYPLQNQCWAX-VIFPVBQESA-N 0 3 245.725 2.730 20 0 BFADHN Cc1ccc([C@@H]2C[C@H](C)CCN2CCCO)o1 ZINC000266564603 386453490 /nfs/dbraw/zinc/45/34/90/386453490.db2.gz NYINMEVHRFLODI-YPMHNXCESA-N 0 3 237.343 2.743 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2C=CCCC2)ccn1 ZINC000347562989 386453724 /nfs/dbraw/zinc/45/37/24/386453724.db2.gz SKBNDJXDUJSFLQ-WCQYABFASA-N 0 3 232.327 2.850 20 0 BFADHN Cc1c(CNC(C)(C)c2cccs2)cnn1C ZINC000339504438 386453929 /nfs/dbraw/zinc/45/39/29/386453929.db2.gz GZRNPYAXVJIDTI-UHFFFAOYSA-N 0 3 249.383 2.815 20 0 BFADHN CCc1ccccc1CCN(C)[C@H]1CCOC1 ZINC000277655478 386456180 /nfs/dbraw/zinc/45/61/80/386456180.db2.gz IPBCWMKRJSQKLF-HNNXBMFYSA-N 0 3 233.355 2.512 20 0 BFADHN CCN(CCOC)[C@H](C)c1ccc(F)c(F)c1 ZINC000299446633 386456326 /nfs/dbraw/zinc/45/63/26/386456326.db2.gz YEBMGWMYTCRCRM-SNVBAGLBSA-N 0 3 243.297 2.994 20 0 BFADHN Cc1cnn(CCN(C)[C@@H](C)c2cccs2)c1 ZINC000336724046 386458954 /nfs/dbraw/zinc/45/89/54/386458954.db2.gz ZCBWBLWATKIDOQ-LBPRGKRZSA-N 0 3 249.383 2.946 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@H](C(C)(C)C)C1 ZINC000335286933 386459881 /nfs/dbraw/zinc/45/98/81/386459881.db2.gz LEOCGVXUAXQWAD-NSHDSACASA-N 0 3 221.348 2.586 20 0 BFADHN Cc1occc1CN1CC(C2CCC2)C1 ZINC000414438369 386460298 /nfs/dbraw/zinc/46/02/98/386460298.db2.gz IZNYACDPRQMOGO-UHFFFAOYSA-N 0 3 205.301 2.820 20 0 BFADHN CC[C@@H](N[C@@H]1COC(C)(C)C1)c1ccncc1 ZINC000417920765 386462110 /nfs/dbraw/zinc/46/21/10/386462110.db2.gz DRRLHEZFXVFJJR-QWHCGFSZSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CC[C@@H](C)[C@H](C)C1 ZINC000336778534 386462698 /nfs/dbraw/zinc/46/26/98/386462698.db2.gz LOIXZQZRGQGMBW-CKYFFXLPSA-N 0 3 221.348 2.632 20 0 BFADHN Cc1cc(CN(C)[C@@H](C)C2(C)CC2)on1 ZINC000267713370 386575611 /nfs/dbraw/zinc/57/56/11/386575611.db2.gz KWRUOQSUISYRNM-JTQLQIEISA-N 0 3 208.305 2.603 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2CC(C)C)n(C)n1 ZINC000417700120 386577338 /nfs/dbraw/zinc/57/73/38/386577338.db2.gz GJPHVZKYYLGWCG-CYBMUJFWSA-N 0 3 235.375 2.739 20 0 BFADHN CCc1ccc(CN2CCN3CCCC[C@H]3C2)o1 ZINC000245570108 386598462 /nfs/dbraw/zinc/59/84/62/386598462.db2.gz WSNFNHVZXTVDKX-ZDUSSCGKSA-N 0 3 248.370 2.512 20 0 BFADHN CCCCCN1CCC[C@H]1c1cc[nH]n1 ZINC000278780152 386584919 /nfs/dbraw/zinc/58/49/19/386584919.db2.gz ZGXJTZFOXJQDAB-LBPRGKRZSA-N 0 3 207.321 2.737 20 0 BFADHN Cc1noc(C)c1CN1CCC[C@@H](C)CC1 ZINC000063309038 386588526 /nfs/dbraw/zinc/58/85/26/386588526.db2.gz XDOBFUOWECUBDG-SNVBAGLBSA-N 0 3 222.332 2.913 20 0 BFADHN Cc1occc1CN(C)[C@H](CO)CC(C)(C)C ZINC000414459931 386589727 /nfs/dbraw/zinc/58/97/27/386589727.db2.gz CBZINRAYEGQCHH-ZDUSSCGKSA-N 0 3 239.359 2.817 20 0 BFADHN C[C@H]1CCN(Cc2ccno2)CC12CCC2 ZINC000335419090 386590069 /nfs/dbraw/zinc/59/00/69/386590069.db2.gz VVPCMFCIVOMLOL-NSHDSACASA-N 0 3 220.316 2.687 20 0 BFADHN CCN(C)[C@H](COC)c1ccc(Cl)cc1 ZINC000278836605 386593568 /nfs/dbraw/zinc/59/35/68/386593568.db2.gz DOWWWZKEZBODDH-GFCCVEGCSA-N 0 3 227.735 2.979 20 0 BFADHN CCc1cc(NC[C@@H](C)CO)c2ccccc2n1 ZINC000124010944 386593684 /nfs/dbraw/zinc/59/36/84/386593684.db2.gz YPTIZNNQJILHRC-LLVKDONJSA-N 0 3 244.338 2.838 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@H](C)c1cccc(F)c1F ZINC000300417766 386594935 /nfs/dbraw/zinc/59/49/35/386594935.db2.gz NKADWXFZZFCWHU-KXUCPTDWSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H](O)CCCN[C@H](C)c1ccc(F)c(F)c1 ZINC000228034722 386531245 /nfs/dbraw/zinc/53/12/45/386531245.db2.gz MYNXKTYTKIBVFM-NXEZZACHSA-N 0 3 243.297 2.776 20 0 BFADHN CSCCN1Cc2ccccc2O[C@H](C)C1 ZINC000366483645 386532435 /nfs/dbraw/zinc/53/24/35/386532435.db2.gz QOVCAQLZFCHCCL-LLVKDONJSA-N 0 3 237.368 2.633 20 0 BFADHN C[C@@H](NCCC[C@H](C)O)c1cccc(F)c1F ZINC000228026614 386532925 /nfs/dbraw/zinc/53/29/25/386532925.db2.gz DGTXPXPXEGIKTO-VHSXEESVSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@@H](O)CCCN[C@H](C)c1cccc(F)c1F ZINC000228026601 386533461 /nfs/dbraw/zinc/53/34/61/386533461.db2.gz DGTXPXPXEGIKTO-NXEZZACHSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@@H](O)CCCN[C@@H](C)c1cc(F)ccc1F ZINC000228036194 386533929 /nfs/dbraw/zinc/53/39/29/386533929.db2.gz GBTGLXBCUDRYLS-ZJUUUORDSA-N 0 3 243.297 2.776 20 0 BFADHN CN(Cc1nc2cccnc2s1)C(C)(C)C ZINC000335457853 386535729 /nfs/dbraw/zinc/53/57/29/386535729.db2.gz ZQOJFYBIBPFAGS-UHFFFAOYSA-N 0 3 235.356 2.922 20 0 BFADHN Cn1ccc(CN2CC3(CCC3)[C@@H]2C2CC2)c1 ZINC000291469355 386548224 /nfs/dbraw/zinc/54/82/24/386548224.db2.gz DPYQZLXKXUXCBV-AWEZNQCLSA-N 0 3 230.355 2.790 20 0 BFADHN Cc1cn[nH]c1CN1CCc2cccc(C)c2C1 ZINC000291464925 386549844 /nfs/dbraw/zinc/54/98/44/386549844.db2.gz DKHSXZICWBHYSD-UHFFFAOYSA-N 0 3 241.338 2.585 20 0 BFADHN Cc1ccc(NC(=O)C23CCCN2CCC3)cc1 ZINC000278592055 386552699 /nfs/dbraw/zinc/55/26/99/386552699.db2.gz PXLRZOGSZNEDPQ-UHFFFAOYSA-N 0 3 244.338 2.562 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@H]1C[C@@](C)(O)C1 ZINC000417361007 386560938 /nfs/dbraw/zinc/56/09/38/386560938.db2.gz YCIMXQPUVQNINJ-SLEUVZQESA-N 0 3 249.354 2.568 20 0 BFADHN CC(C)n1cncc1CN(C)CC(C)(C)C ZINC000425323628 386563288 /nfs/dbraw/zinc/56/32/88/386563288.db2.gz JCUUTTJULLCUIY-UHFFFAOYSA-N 0 3 223.364 2.942 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@H](C)c1cc(C)oc1C ZINC000278675698 386564650 /nfs/dbraw/zinc/56/46/50/386564650.db2.gz JNUWTTMJVYAGBQ-DRCTWCGVSA-N 0 3 239.359 2.954 20 0 BFADHN CCc1cccc(NC(=O)[C@]2(C)CCCCN2)c1 ZINC000136134788 386570469 /nfs/dbraw/zinc/57/04/69/386570469.db2.gz BRWYGWWDBSDSPI-HNNXBMFYSA-N 0 3 246.354 2.720 20 0 BFADHN COC[C@@H](C)NCc1ccc(C2CC2)cc1F ZINC000291709308 386572617 /nfs/dbraw/zinc/57/26/17/386572617.db2.gz LDXROFSROVJWIJ-SNVBAGLBSA-N 0 3 237.318 2.828 20 0 BFADHN CCCCCN[C@H](C)c1nccnc1C ZINC000187681721 386573398 /nfs/dbraw/zinc/57/33/98/386573398.db2.gz DXKJCNGZPDPDOB-SNVBAGLBSA-N 0 3 207.321 2.626 20 0 BFADHN CCc1ccc([C@H](C)NC[C@@H](O)C2CCC2)o1 ZINC000453397117 386574089 /nfs/dbraw/zinc/57/40/89/386574089.db2.gz ZCPDTICTCUPLHI-GXFFZTMASA-N 0 3 237.343 2.654 20 0 BFADHN CC(C)C[C@H](C)CN1C[C@H](C)O[C@@H](C)C1 ZINC000337135037 386621490 /nfs/dbraw/zinc/62/14/90/386621490.db2.gz NJFZUXHCKOFOAP-AVGNSLFASA-N 0 3 213.365 2.778 20 0 BFADHN Cc1occc1CN[C@H]1C[C@@H](OC(C)C)C1 ZINC000414465470 386621504 /nfs/dbraw/zinc/62/15/04/386621504.db2.gz RWNUOYJZFMNEFY-BETUJISGSA-N 0 3 223.316 2.634 20 0 BFADHN CC[C@H]1CCN1Cc1cccc(COC)c1 ZINC000292121892 386622248 /nfs/dbraw/zinc/62/22/48/386622248.db2.gz AMYFWVUDSJPSMG-AWEZNQCLSA-N 0 3 219.328 2.817 20 0 BFADHN CCC[C@@H]1CCCN(Cc2ccno2)C1 ZINC000268185152 386628645 /nfs/dbraw/zinc/62/86/45/386628645.db2.gz OLKCSSZHHHMUBP-LLVKDONJSA-N 0 3 208.305 2.687 20 0 BFADHN COc1ccc(CN(C2CCC2)C2CCC2)nc1 ZINC000292360054 386655768 /nfs/dbraw/zinc/65/57/68/386655768.db2.gz IDJGFTGKXCVXHX-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN CC(C)(C)CCCN1CCOC[C@H]1C1CC1 ZINC000337170399 386631946 /nfs/dbraw/zinc/63/19/46/386631946.db2.gz CSYLQCFBJXRUJA-ZDUSSCGKSA-N 0 3 225.376 2.924 20 0 BFADHN CC(C)(C)CCCN1CCOC[C@@H]1C1CC1 ZINC000337170398 386632649 /nfs/dbraw/zinc/63/26/49/386632649.db2.gz CSYLQCFBJXRUJA-CYBMUJFWSA-N 0 3 225.376 2.924 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@@H](C3CCCC3)C2)n[nH]1 ZINC000335503584 386634902 /nfs/dbraw/zinc/63/49/02/386634902.db2.gz OJEIGGOVEMVDDC-GXFFZTMASA-N 0 3 248.374 2.686 20 0 BFADHN Cc1cc(CN(C)C2CCCCC2)ncn1 ZINC000292218878 386636102 /nfs/dbraw/zinc/63/61/02/386636102.db2.gz QLQOYNHBAWIHQR-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN Cc1ccc2cc([C@H](C)NCCCO)oc2c1 ZINC000425920414 386636314 /nfs/dbraw/zinc/63/63/14/386636314.db2.gz OSRLAHNQCLPTDJ-NSHDSACASA-N 0 3 233.311 2.774 20 0 BFADHN C[C@H](O)CN1[C@H](C)c2ccc(F)cc2C[C@@H]1C ZINC000337176072 386637314 /nfs/dbraw/zinc/63/73/14/386637314.db2.gz IMKOKCJRWMSDBX-GARJFASQSA-N 0 3 237.318 2.514 20 0 BFADHN C[C@H](O)CN1[C@@H](C)Cc2cc(F)ccc2[C@@H]1C ZINC000337176071 386637439 /nfs/dbraw/zinc/63/74/39/386637439.db2.gz IMKOKCJRWMSDBX-DCAQKATOSA-N 0 3 237.318 2.514 20 0 BFADHN C[C@H](NCC1(C(F)F)CC1)c1ccncc1 ZINC000336758781 386641666 /nfs/dbraw/zinc/64/16/66/386641666.db2.gz ZTAKNUURPJLQCW-VIFPVBQESA-N 0 3 226.270 2.778 20 0 BFADHN CC(C)[C@H](O)CCNCc1ccsc1Cl ZINC000336758992 386656156 /nfs/dbraw/zinc/65/61/56/386656156.db2.gz LCOLOGQJAHGJDV-SNVBAGLBSA-N 0 3 247.791 2.898 20 0 BFADHN Cc1cc(CN2CCC(C)(O)CC2)c(C)s1 ZINC000268402359 386648016 /nfs/dbraw/zinc/64/80/16/386648016.db2.gz BDCKIDRJFXFTNB-UHFFFAOYSA-N 0 3 239.384 2.712 20 0 BFADHN CC[C@H]1CCCCN1Cc1cc(C)ncn1 ZINC000292296268 386648211 /nfs/dbraw/zinc/64/82/11/386648211.db2.gz ZGXGRXQEHDEAQX-ZDUSSCGKSA-N 0 3 219.332 2.550 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN1CCO[C@@H](CF)C1 ZINC000414471680 386648240 /nfs/dbraw/zinc/64/82/40/386648240.db2.gz QECAIHNKYIUFAJ-MELADBBJSA-N 0 3 241.350 2.649 20 0 BFADHN CCCCN(C)Cc1ccccc1C(=O)N(C)C ZINC000417747914 386648615 /nfs/dbraw/zinc/64/86/15/386648615.db2.gz RSAWMYZITDVRHV-UHFFFAOYSA-N 0 3 248.370 2.620 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CN1CCO[C@H](CF)C1 ZINC000414471681 386649511 /nfs/dbraw/zinc/64/95/11/386649511.db2.gz QECAIHNKYIUFAJ-MJBXVCDLSA-N 0 3 241.350 2.649 20 0 BFADHN CC(C)CO[C@@H]1CCN([C@H](C)c2cccnc2)C1 ZINC000268393165 386649726 /nfs/dbraw/zinc/64/97/26/386649726.db2.gz RGWDQEAKTVVLII-UKRRQHHQSA-N 0 3 248.370 2.890 20 0 BFADHN COCC(C)(C)CN(C)Cc1cccc(F)c1 ZINC000426078968 386650651 /nfs/dbraw/zinc/65/06/51/386650651.db2.gz ORSJNZXSWZDFRR-UHFFFAOYSA-N 0 3 239.334 2.930 20 0 BFADHN CO[C@@]1(C)CCCN([C@@H](C)c2ccccn2)C1 ZINC000279232939 386652474 /nfs/dbraw/zinc/65/24/74/386652474.db2.gz FAJMBJLHYYBERE-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN COc1ccccc1C1(NC[C@@H]2C[C@H]2C)CC1 ZINC000648056116 386653567 /nfs/dbraw/zinc/65/35/67/386653567.db2.gz VFZKMAONYOBVQL-NEPJUHHUSA-N 0 3 231.339 2.930 20 0 BFADHN Cc1ccc(CN2C[C@H](C(C)C)[C@H]2C(C)C)nn1 ZINC000414474198 386654662 /nfs/dbraw/zinc/65/46/62/386654662.db2.gz WNGCTIAIWOFCNJ-HUUCEWRRSA-N 0 3 247.386 2.897 20 0 BFADHN COCC[C@H](C)N[C@H]1COc2c1ccc(C)c2C ZINC000267974815 386603911 /nfs/dbraw/zinc/60/39/11/386603911.db2.gz CYMUWFGEKMBHNZ-FZMZJTMJSA-N 0 3 249.354 2.752 20 0 BFADHN CCC1(C)CN(C[C@H](O)c2ccc(C)cc2)C1 ZINC000291989477 386607462 /nfs/dbraw/zinc/60/74/62/386607462.db2.gz UNGOGEIZUSAESM-AWEZNQCLSA-N 0 3 233.355 2.760 20 0 BFADHN C[C@H](NCc1ccccc1)c1cccnc1 ZINC000019899505 386608052 /nfs/dbraw/zinc/60/80/52/386608052.db2.gz QMHOEFFTBMRYSN-LBPRGKRZSA-N 0 3 212.296 2.932 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@@H]1CCCC[C@H]1O)C2 ZINC000520234863 386609553 /nfs/dbraw/zinc/60/95/53/386609553.db2.gz HCLBVBJCPWBSAH-GOEBONIOSA-N 0 3 245.366 2.862 20 0 BFADHN Cc1occc1CN(CCO)[C@@H]1CC[C@@H](C)C1 ZINC000414463101 386610147 /nfs/dbraw/zinc/61/01/47/386610147.db2.gz ZYSCTUWTWJQFGU-BXUZGUMPSA-N 0 3 237.343 2.571 20 0 BFADHN FCCCCN1CCOC[C@H]1CC1CCC1 ZINC000339771942 386611004 /nfs/dbraw/zinc/61/10/04/386611004.db2.gz ODZCLQPTMPORIH-CYBMUJFWSA-N 0 3 229.339 2.627 20 0 BFADHN CO[C@H](CN(C)Cc1ccsc1)C1CC1 ZINC000644919062 386612639 /nfs/dbraw/zinc/61/26/39/386612639.db2.gz HJRWEYUASWIQAU-GFCCVEGCSA-N 0 3 225.357 2.605 20 0 BFADHN CC(C)n1nccc1CN(C)[C@@H](C)C1CC1 ZINC000337124899 386615171 /nfs/dbraw/zinc/61/51/71/386615171.db2.gz DIGQKQOBVJXHOR-NSHDSACASA-N 0 3 221.348 2.694 20 0 BFADHN C[C@H](F)CCN1CCOC[C@@H]1CC1CCC1 ZINC000339773476 386618711 /nfs/dbraw/zinc/61/87/11/386618711.db2.gz RYGXLMKDVFTLPG-AAEUAGOBSA-N 0 3 229.339 2.626 20 0 BFADHN CC(C)[C@H](O)CN1CC[C@H]1c1cccc(F)c1 ZINC000644995571 386659326 /nfs/dbraw/zinc/65/93/26/386659326.db2.gz PVUMJOAKMPMZNR-UONOGXRCSA-N 0 3 237.318 2.589 20 0 BFADHN CO[C@@H](C)CN1Cc2ccccc2[C@H]1C ZINC000335520486 386659751 /nfs/dbraw/zinc/65/97/51/386659751.db2.gz XAVZXSPVOFBJLE-WDEREUQCSA-N 0 3 205.301 2.598 20 0 BFADHN Cc1noc(C)c1CN1CCC(C)(C)CC1 ZINC000335521206 386660512 /nfs/dbraw/zinc/66/05/12/386660512.db2.gz GYOWHPLGVMQUOD-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN CC[C@@H]1CCN(Cc2cncn2C(C)C)C1 ZINC000425330188 386660996 /nfs/dbraw/zinc/66/09/96/386660996.db2.gz AXLNECOLWSPGKY-GFCCVEGCSA-N 0 3 221.348 2.696 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)s1 ZINC000391806115 386661987 /nfs/dbraw/zinc/66/19/87/386661987.db2.gz GMJHCODRRKHMOE-CDEVMZEPSA-N 0 3 237.372 2.686 20 0 BFADHN CC[C@H]1CCN(Cc2cncn2C(C)C)C1 ZINC000425330189 386662206 /nfs/dbraw/zinc/66/22/06/386662206.db2.gz AXLNECOLWSPGKY-LBPRGKRZSA-N 0 3 221.348 2.696 20 0 BFADHN CO[C@@]1(C)CCCN([C@@H](C)c2cccnc2)C1 ZINC000279313466 386664262 /nfs/dbraw/zinc/66/42/62/386664262.db2.gz NHZYFOWNQTVYKZ-JSGCOSHPSA-N 0 3 234.343 2.644 20 0 BFADHN CC(C)C[C@H](C)CN1CC[C@H](C)[S@](=O)CC1 ZINC000337226315 386664890 /nfs/dbraw/zinc/66/48/90/386664890.db2.gz FVCDDMQCHLLTDE-HEHGZKQESA-N 0 3 245.432 2.512 20 0 BFADHN CC(C)CCC[C@H](C)NC(=O)[C@@H](N)C(C)(C)C ZINC000040858783 386666673 /nfs/dbraw/zinc/66/66/73/386666673.db2.gz OCDXXTNMVKOYNW-NWDGAFQWSA-N 0 3 242.407 2.691 20 0 BFADHN CC[C@]1(C)CCN(Cc2cn3ccccc3n2)C1 ZINC000354108360 386666965 /nfs/dbraw/zinc/66/69/65/386666965.db2.gz VFOWNBXRTYHMRH-OAHLLOKOSA-N 0 3 243.354 2.956 20 0 BFADHN Cc1cc(CN(C)CCc2cccs2)ncn1 ZINC000292420205 386668467 /nfs/dbraw/zinc/66/84/67/386668467.db2.gz KZHXRIQHBFDHED-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN CCC1(C)CCN(Cc2cc(C)ncn2)CC1 ZINC000292438919 386670780 /nfs/dbraw/zinc/67/07/80/386670780.db2.gz NCJXXEUWMIQIPI-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CC(C)n1cc(CN[C@H]2CCC2(C)C)cn1 ZINC000336648011 386671954 /nfs/dbraw/zinc/67/19/54/386671954.db2.gz FZGRXEJCCAHZDN-LBPRGKRZSA-N 0 3 221.348 2.742 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C)C[C@H]2C)cn1 ZINC000335527921 386673819 /nfs/dbraw/zinc/67/38/19/386673819.db2.gz LXRWPVFKRCIWKL-VXGBXAGGSA-N 0 3 233.359 2.659 20 0 BFADHN COCC(C)(C)CN1CC(C)(CC(F)F)C1 ZINC000648059035 386676174 /nfs/dbraw/zinc/67/61/74/386676174.db2.gz RPFMNWLGCKVOQK-UHFFFAOYSA-N 0 3 235.318 2.636 20 0 BFADHN C[C@H]1C[C@H]1CN1CC(C)(CC(F)F)C1 ZINC000648059099 386676301 /nfs/dbraw/zinc/67/63/01/386676301.db2.gz WNXXTHFCTHRJDF-IUCAKERBSA-N 0 3 203.276 2.620 20 0 BFADHN CCn1cc(CN2CC[C@@H](C)C[C@H]2C)cn1 ZINC000335529804 386677351 /nfs/dbraw/zinc/67/73/51/386677351.db2.gz SOGZVTULZDOQSB-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN CCn1ccc(CNCC(C(C)C)C(C)C)n1 ZINC000414477863 386679815 /nfs/dbraw/zinc/67/98/15/386679815.db2.gz DLRCIILKAISSFN-UHFFFAOYSA-N 0 3 237.391 2.921 20 0 BFADHN Cc1cc(C)cc(CN2CCN(C)[C@H](C)[C@@H]2C)c1 ZINC000356999330 386680541 /nfs/dbraw/zinc/68/05/41/386680541.db2.gz KDAQDIAUKQPGOE-CABCVRRESA-N 0 3 246.398 2.828 20 0 BFADHN CCNCc1cc2cc(Cl)cnc2o1 ZINC000648400439 386681888 /nfs/dbraw/zinc/68/18/88/386681888.db2.gz ITTPMRUJCAPQFQ-UHFFFAOYSA-N 0 3 210.664 2.591 20 0 BFADHN CC[C@H](C)N(C)Cc1cncn1C(C)C ZINC000425327562 386658545 /nfs/dbraw/zinc/65/85/45/386658545.db2.gz PNSBKDHGALQJSZ-NSHDSACASA-N 0 3 209.337 2.694 20 0 BFADHN CC[C@H](CO)N[C@@H](CC)c1ccc(F)cc1F ZINC000268694361 386682064 /nfs/dbraw/zinc/68/20/64/386682064.db2.gz AQKNUJCSQVIBGK-MFKMUULPSA-N 0 3 243.297 2.776 20 0 BFADHN CCn1ccc(CNC2CC(C(C)(C)C)C2)n1 ZINC000414488284 386711166 /nfs/dbraw/zinc/71/11/66/386711166.db2.gz WIAQDWNCXYUFDC-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@H](CO)N[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000268728247 386687533 /nfs/dbraw/zinc/68/75/33/386687533.db2.gz SBALDYHJEYGJRN-IONNQARKSA-N 0 3 247.260 2.525 20 0 BFADHN Cc1cnc(CNC2(C)CCCC2)s1 ZINC000294854946 386688983 /nfs/dbraw/zinc/68/89/83/386688983.db2.gz VHLGUSOGCSLGOY-UHFFFAOYSA-N 0 3 210.346 2.874 20 0 BFADHN C[C@@H](C1CCC1)N(C)Cc1cn2ccccc2n1 ZINC000357018647 386689618 /nfs/dbraw/zinc/68/96/18/386689618.db2.gz APVIUCPKKYCQEU-LBPRGKRZSA-N 0 3 243.354 2.955 20 0 BFADHN c1nc(CNC[C@@H]2CCC[C@H]2C2CC2)co1 ZINC000414481943 386691298 /nfs/dbraw/zinc/69/12/98/386691298.db2.gz LHGITJSFGGYESA-AAEUAGOBSA-N 0 3 220.316 2.591 20 0 BFADHN Cc1nocc1CNC[C@H]1CCC[C@H]1C1CC1 ZINC000414485614 386694348 /nfs/dbraw/zinc/69/43/48/386694348.db2.gz PQBCNSDGUXVROF-OCCSQVGLSA-N 0 3 234.343 2.899 20 0 BFADHN CC[C@@H](NC[C@@H](C)CO)c1cc(F)ccc1F ZINC000268808732 386698821 /nfs/dbraw/zinc/69/88/21/386698821.db2.gz DESXVQDSYBTXQV-NOZJJQNGSA-N 0 3 243.297 2.634 20 0 BFADHN CCC[C@H](NCc1ncccn1)C1CCC1 ZINC000324953020 386704537 /nfs/dbraw/zinc/70/45/37/386704537.db2.gz BYLOGUCLLSUUEZ-LBPRGKRZSA-N 0 3 219.332 2.535 20 0 BFADHN CCN(CCCO)Cc1cccc(C(F)F)c1 ZINC000279595281 386706685 /nfs/dbraw/zinc/70/66/85/386706685.db2.gz DUTSZLODCSEQMQ-UHFFFAOYSA-N 0 3 243.297 2.829 20 0 BFADHN CO[C@@H](C)CN(C)CCc1ccccc1Cl ZINC000353218317 386708438 /nfs/dbraw/zinc/70/84/38/386708438.db2.gz JGTDGRMIUKVWAH-NSHDSACASA-N 0 3 241.762 2.849 20 0 BFADHN CCc1ccc(CN2CCC[C@H](OC)CC2)nc1 ZINC000645116079 386730990 /nfs/dbraw/zinc/73/09/90/386730990.db2.gz LOJCBPWVSIKHEX-HNNXBMFYSA-N 0 3 248.370 2.645 20 0 BFADHN CO[C@@H](C)CNCc1ccc(F)c(Cl)c1 ZINC000167064393 386737335 /nfs/dbraw/zinc/73/73/35/386737335.db2.gz LAYHVRMRGXZZRQ-QMMMGPOBSA-N 0 3 231.698 2.604 20 0 BFADHN Cc1c[nH]nc1CNCCCc1cccc(F)c1 ZINC000352853220 386737364 /nfs/dbraw/zinc/73/73/64/386737364.db2.gz LYGJELBYPYRYOK-UHFFFAOYSA-N 0 3 247.317 2.580 20 0 BFADHN CO[C@](C)([C@H](C)NCc1ccco1)C1CC1 ZINC000414496200 386738397 /nfs/dbraw/zinc/73/83/97/386738397.db2.gz DBQYOOGDRWKXCV-GXFFZTMASA-N 0 3 223.316 2.573 20 0 BFADHN Cn1cccc1CN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000292863918 386739044 /nfs/dbraw/zinc/73/90/44/386739044.db2.gz VPGGUQAMJWGFOP-NEPJUHHUSA-N 0 3 240.297 2.502 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@H](C)c1cccc(F)c1 ZINC000268893946 386717584 /nfs/dbraw/zinc/71/75/84/386717584.db2.gz ORJURIKKSNVQDP-MISXGVKJSA-N 0 3 239.334 2.883 20 0 BFADHN C[C@H](O)CCN[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000268917660 386719941 /nfs/dbraw/zinc/71/99/41/386719941.db2.gz VLOXOMHAZMTOPB-YUMQZZPRSA-N 0 3 247.260 2.525 20 0 BFADHN C[C@@H]1CCC[C@H](C)CN1Cc1cocn1 ZINC000191944302 386721109 /nfs/dbraw/zinc/72/11/09/386721109.db2.gz VTVQQXALUWPNKX-WDEREUQCSA-N 0 3 208.305 2.685 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@@H]2C2CC2)nn1C ZINC000414489490 386722516 /nfs/dbraw/zinc/72/25/16/386722516.db2.gz WGPSXIFLXZOSJD-DZGCQCFKSA-N 0 3 247.386 2.644 20 0 BFADHN CN(CCCF)C[C@@H]1OCCc2ccccc21 ZINC000268939581 386722695 /nfs/dbraw/zinc/72/26/95/386722695.db2.gz SRSSRGJFYIDPGI-AWEZNQCLSA-N 0 3 237.318 2.592 20 0 BFADHN C[C@@H]1C[C@@H]1CNc1ccc(CN(C)C)cc1F ZINC000414495049 386723038 /nfs/dbraw/zinc/72/30/38/386723038.db2.gz GMFJNTJYHKUCGH-ZYHUDNBSSA-N 0 3 236.334 2.955 20 0 BFADHN Cc1n[nH]cc1CN1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000334140554 386740232 /nfs/dbraw/zinc/74/02/32/386740232.db2.gz HEYSUYPFLULWDP-DOOHLRMFSA-N 0 3 245.370 2.729 20 0 BFADHN C[C@@H]1C[C@H]2CCCC[C@@H]2N1Cc1cocn1 ZINC000191942918 386725020 /nfs/dbraw/zinc/72/50/20/386725020.db2.gz PQZPTQLUADHYAK-WZRBSPASSA-N 0 3 220.316 2.828 20 0 BFADHN CC(C)Cn1nccc1CN1CCCCCC1 ZINC000647998856 386725719 /nfs/dbraw/zinc/72/57/19/386725719.db2.gz MSVXQVKKNGNNJX-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CC(=O)[C@H](C)SCC[C@@H]1CCCCN1C ZINC000340696481 386726611 /nfs/dbraw/zinc/72/66/11/386726611.db2.gz RQJKPBPNSBLDHZ-RYUDHWBXSA-N 0 3 229.389 2.572 20 0 BFADHN CCOC[C@H](C)N[C@@H]1CCOc2ccccc21 ZINC000044689766 386727015 /nfs/dbraw/zinc/72/70/15/386727015.db2.gz OLJWZUJJPUKMLL-WCQYABFASA-N 0 3 235.327 2.525 20 0 BFADHN CN(Cc1cc2ccccc2o1)[C@@H]1CCOC1 ZINC000268955238 386727191 /nfs/dbraw/zinc/72/71/91/386727191.db2.gz GPKXXOAMBYIRSO-GFCCVEGCSA-N 0 3 231.295 2.654 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1ccc2[nH]cnc2c1 ZINC000526989382 386727823 /nfs/dbraw/zinc/72/78/23/386727823.db2.gz TZMTVXMRJNNRRH-AOOOYVTPSA-N 0 3 215.300 2.546 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1ccc2nc[nH]c2c1 ZINC000526989382 386727826 /nfs/dbraw/zinc/72/78/26/386727826.db2.gz TZMTVXMRJNNRRH-AOOOYVTPSA-N 0 3 215.300 2.546 20 0 BFADHN CSC[C@@H]1CCCN(Cc2cncs2)C1 ZINC000292788451 386728515 /nfs/dbraw/zinc/72/85/15/386728515.db2.gz MEMWQPDZBGHDFB-SNVBAGLBSA-N 0 3 242.413 2.718 20 0 BFADHN Cc1oncc1CN1CC[C@@H](C)C[C@H](C)C1 ZINC000129381990 386728555 /nfs/dbraw/zinc/72/85/55/386728555.db2.gz KEQHRWAOAHMDGI-MNOVXSKESA-N 0 3 222.332 2.851 20 0 BFADHN CCN(Cc1cc(F)cc(Cl)c1)[C@H](C)CO ZINC000292796079 386728685 /nfs/dbraw/zinc/72/86/85/386728685.db2.gz MXTORIIWRDQOCI-SECBINFHSA-N 0 3 245.725 2.682 20 0 BFADHN CC[C@@H]1CCCN(Cc2cncn2CC)CC1 ZINC000417792063 386728782 /nfs/dbraw/zinc/72/87/82/386728782.db2.gz MJLSAAPXVSERKH-CYBMUJFWSA-N 0 3 235.375 2.915 20 0 BFADHN COC1(CCN2CC[C@H](C)[C@@H](F)C2)CCC1 ZINC000645112261 386730080 /nfs/dbraw/zinc/73/00/80/386730080.db2.gz CLVHQPCRURXFJQ-RYUDHWBXSA-N 0 3 229.339 2.626 20 0 BFADHN Cc1cnc(CN2CC[C@H](C(C)(C)C)C2)o1 ZINC000334146620 386746280 /nfs/dbraw/zinc/74/62/80/386746280.db2.gz QSEHXOBEIBBGSQ-NSHDSACASA-N 0 3 222.332 2.851 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C(C)(C)C)C2)o1 ZINC000334146619 386746498 /nfs/dbraw/zinc/74/64/98/386746498.db2.gz QSEHXOBEIBBGSQ-LLVKDONJSA-N 0 3 222.332 2.851 20 0 BFADHN CC(C)=CCCN[C@@H](C)c1cc(C)n(C)n1 ZINC000282890931 386769394 /nfs/dbraw/zinc/76/93/94/386769394.db2.gz WEYQDLCTDUCCPW-LBPRGKRZSA-N 0 3 221.348 2.735 20 0 BFADHN Fc1ccc2c(c1)[C@@H](N[C@H]1CCCOC1)CCC2 ZINC000279862099 386748226 /nfs/dbraw/zinc/74/82/26/386748226.db2.gz SNMZWFDRIXMJCF-ZFWWWQNUSA-N 0 3 249.329 2.972 20 0 BFADHN COC[C@@H](C)N1Cc2ccccc2[C@H]1C ZINC000334151677 386749866 /nfs/dbraw/zinc/74/98/66/386749866.db2.gz RQUSVXIENBTKAP-GHMZBOCLSA-N 0 3 205.301 2.598 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@H]3CCC[C@H]32)ncn1 ZINC000292926840 386752049 /nfs/dbraw/zinc/75/20/49/386752049.db2.gz CEVHSPKPEBKZIY-UONOGXRCSA-N 0 3 245.370 2.796 20 0 BFADHN CSC[C@H](C)NCc1ccccc1F ZINC000125002030 386752857 /nfs/dbraw/zinc/75/28/57/386752857.db2.gz ICWLSXHFDVQWLR-VIFPVBQESA-N 0 3 213.321 2.667 20 0 BFADHN C[C@H](NCc1cscn1)[C@@H]1CC1(C)C ZINC000336663906 386753047 /nfs/dbraw/zinc/75/30/47/386753047.db2.gz UJWMBYSETUDWMY-WPRPVWTQSA-N 0 3 210.346 2.667 20 0 BFADHN CCOC[C@H](C)Nc1cccc(CN(C)C)c1 ZINC000165138805 386753264 /nfs/dbraw/zinc/75/32/64/386753264.db2.gz XRNUPMBXTCIOCC-LBPRGKRZSA-N 0 3 236.359 2.585 20 0 BFADHN CO[C@@H](C)CN(Cc1ncccc1C)C(C)C ZINC000357302062 386756610 /nfs/dbraw/zinc/75/66/10/386756610.db2.gz DSJDLKKNMVIPBF-ZDUSSCGKSA-N 0 3 236.359 2.635 20 0 BFADHN CCOc1ccc(CN[C@@H]2COC(C)(C)C2)cc1 ZINC000396512733 386769986 /nfs/dbraw/zinc/76/99/86/386769986.db2.gz CBPPMZYFBMYRMO-ZDUSSCGKSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ccncc1CNC(C)(C)COC(C)C ZINC000396480541 386766170 /nfs/dbraw/zinc/76/61/70/386766170.db2.gz LBYCOAXXUBYTDT-UHFFFAOYSA-N 0 3 236.359 2.683 20 0 BFADHN COc1ccsc1CN[C@H]1CS[C@H](C)C1 ZINC000414501910 386767068 /nfs/dbraw/zinc/76/70/68/386767068.db2.gz STKKVICMQQFICD-RKDXNWHRSA-N 0 3 243.397 2.740 20 0 BFADHN CC1(C)C[C@@H](N[C@H]2CCc3c2cccc3F)CO1 ZINC000396509585 386769210 /nfs/dbraw/zinc/76/92/10/386769210.db2.gz AOXQMKWIRRBDTG-YGRLFVJLSA-N 0 3 249.329 2.970 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cc(C)ncn1 ZINC000293223073 386790081 /nfs/dbraw/zinc/79/00/81/386790081.db2.gz PYPKZWWCRQGWCK-CQSZACIVSA-N 0 3 233.359 2.940 20 0 BFADHN Cn1cc(CN2CCC[C@@]3(CC=CCC3)C2)cn1 ZINC000114493549 386791356 /nfs/dbraw/zinc/79/13/56/386791356.db2.gz KGDCAFMIPXXZEW-HNNXBMFYSA-N 0 3 245.370 2.742 20 0 BFADHN COCC(C)(C)NCc1cccc(C)c1F ZINC000394999959 386796071 /nfs/dbraw/zinc/79/60/71/386796071.db2.gz PFUUUQPVSAIXQE-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN c1ccc(CN2CCSC3(CCC3)CC2)nc1 ZINC000334636897 386772238 /nfs/dbraw/zinc/77/22/38/386772238.db2.gz MWAFGVJXJQJSJS-UHFFFAOYSA-N 0 3 248.395 2.943 20 0 BFADHN CCC[C@H](NCCOC)c1ccsc1 ZINC000191181785 386773086 /nfs/dbraw/zinc/77/30/86/386773086.db2.gz CLVMUXVKFMNIFT-NSHDSACASA-N 0 3 213.346 2.825 20 0 BFADHN Cc1ccc(CN[C@@H]2COC(C)(C)C2)c(C)c1 ZINC000396532791 386775261 /nfs/dbraw/zinc/77/52/61/386775261.db2.gz JWSFLDVOVYJDAO-AWEZNQCLSA-N 0 3 233.355 2.961 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@@H]1C(C)(C)C ZINC000335907180 386796945 /nfs/dbraw/zinc/79/69/45/386796945.db2.gz FZRLKXWMZJYFBP-GFCCVEGCSA-N 0 3 221.348 2.729 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@H](C)[C@@H]2C)sn1 ZINC000639273651 386776239 /nfs/dbraw/zinc/77/62/39/386776239.db2.gz XRQZCBJIWCHGTE-LSJOCFKGSA-N 0 3 224.373 2.928 20 0 BFADHN CC(C)[C@@H](NCc1ccco1)C1(CO)CC=CC1 ZINC000648070034 386776223 /nfs/dbraw/zinc/77/62/23/386776223.db2.gz WXPHAFAXMUBYNC-CQSZACIVSA-N 0 3 249.354 2.723 20 0 BFADHN CC1(C)C[C@H](NC/C=C\c2ccccc2)CO1 ZINC000396578728 386782641 /nfs/dbraw/zinc/78/26/41/386782641.db2.gz GVFZCMAKJILOIO-PIZKADEWSA-N 0 3 231.339 2.857 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cncc(F)c1 ZINC000280166089 386783839 /nfs/dbraw/zinc/78/38/39/386783839.db2.gz FPUCOJSPHWCRTH-GWCFXTLKSA-N 0 3 222.307 2.984 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cc(C)ncn1 ZINC000293148942 386783793 /nfs/dbraw/zinc/78/37/93/386783793.db2.gz MIUWOMUNJYJQCY-AAEUAGOBSA-N 0 3 219.332 2.548 20 0 BFADHN CC(C)CCCSC[C@H](O)CN(C)C1CC1 ZINC000357449452 386784023 /nfs/dbraw/zinc/78/40/23/386784023.db2.gz FXKFDKFCAHWEFB-CYBMUJFWSA-N 0 3 245.432 2.611 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1cccc(O)c1)C1CC1 ZINC000414506459 386785244 /nfs/dbraw/zinc/78/52/44/386785244.db2.gz WNBLGIXTAFVOID-NHYWBVRUSA-N 0 3 249.354 2.685 20 0 BFADHN CCc1ccc(CN2CCC[C@@](C)(OC)C2)o1 ZINC000280476171 386817915 /nfs/dbraw/zinc/81/79/15/386817915.db2.gz DASKHVJPFHZMMV-CQSZACIVSA-N 0 3 237.343 2.843 20 0 BFADHN CC(C)[C@H]1CCCN1CCOCC(F)(F)F ZINC000357654882 386819509 /nfs/dbraw/zinc/81/95/09/386819509.db2.gz HLXMMSDVFBHAKM-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN CC[C@@H](C)CN(C)Cc1ccc(C)cn1 ZINC000341018197 386821578 /nfs/dbraw/zinc/82/15/78/386821578.db2.gz TWLVRWZWRXWKGV-LLVKDONJSA-N 0 3 206.333 2.868 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1nccn1CC ZINC000357530264 386799938 /nfs/dbraw/zinc/79/99/38/386799938.db2.gz OSXDIKCQYLJFNO-NEPJUHHUSA-N 0 3 221.348 2.666 20 0 BFADHN CCCc1csc(CN[C@H](C)CC)n1 ZINC000336670195 386803877 /nfs/dbraw/zinc/80/38/77/386803877.db2.gz YKZIYBSRMBUZBJ-SECBINFHSA-N 0 3 212.362 2.984 20 0 BFADHN Cc1cnc(CNCC2(C)CCC2)s1 ZINC000336670122 386808161 /nfs/dbraw/zinc/80/81/61/386808161.db2.gz YJUVOHYYMQFERU-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN C/C(=C\c1ccccc1)CN1C[C@@H](O)C(C)(C)C1 ZINC000280377632 386808777 /nfs/dbraw/zinc/80/87/77/386808777.db2.gz FDLMVMAZEHWWLU-BMQCOBNYSA-N 0 3 245.366 2.793 20 0 BFADHN CC[C@@H](NCc1ccnc(C)n1)[C@H]1CC1(C)C ZINC000397671488 386808827 /nfs/dbraw/zinc/80/88/27/386808827.db2.gz QJOUDDIHACOKQH-CHWSQXEVSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1nocc1CNC1(Cc2ccccc2)CC1 ZINC000293378473 386810038 /nfs/dbraw/zinc/81/00/38/386810038.db2.gz VWIQHQPDMNPVLU-UHFFFAOYSA-N 0 3 242.322 2.848 20 0 BFADHN CC[C@H](NCc1cc(Cl)cs1)[C@@H](C)O ZINC000395334308 386812904 /nfs/dbraw/zinc/81/29/04/386812904.db2.gz CBKVQQDPCGQZFG-XCBNKYQSSA-N 0 3 233.764 2.651 20 0 BFADHN CO[C@@H]1CC[C@H](NCc2cc(F)ccc2F)C1 ZINC000190920686 386814367 /nfs/dbraw/zinc/81/43/67/386814367.db2.gz HGVWBOJCTNRXFN-NWDGAFQWSA-N 0 3 241.281 2.622 20 0 BFADHN Cc1ccncc1CN1CCSCC[C@@H]1C ZINC000280472187 386816039 /nfs/dbraw/zinc/81/60/39/386816039.db2.gz CSKADVQTORMXPO-LBPRGKRZSA-N 0 3 236.384 2.717 20 0 BFADHN Cc1sccc1CN(C)CCCn1ccnc1 ZINC000293523323 386829913 /nfs/dbraw/zinc/82/99/13/386829913.db2.gz BDHUNZBEWPAFNX-UHFFFAOYSA-N 0 3 249.383 2.775 20 0 BFADHN CC[C@H](CSC)N(C)Cc1conc1C ZINC000293512243 386830115 /nfs/dbraw/zinc/83/01/15/386830115.db2.gz AEPVUJMGOFWKRN-LLVKDONJSA-N 0 3 228.361 2.556 20 0 BFADHN C[C@H](O)CN1CCC[C@H]1c1ccc(Cl)cc1 ZINC000249595480 386839294 /nfs/dbraw/zinc/83/92/94/386839294.db2.gz PRWUPMXPEVVFSC-GWCFXTLKSA-N 0 3 239.746 2.858 20 0 BFADHN Cc1ccc([O-])c(C[NH+]2CCC(C(C)C)CC2)n1 ZINC000093670015 386842049 /nfs/dbraw/zinc/84/20/49/386842049.db2.gz WHFIUAFULISWTF-UHFFFAOYSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H]2C2CCCCC2)n(C)n1 ZINC000417959810 386842955 /nfs/dbraw/zinc/84/29/55/386842955.db2.gz DZBQUVKSIZIOLF-LSDHHAIUSA-N 0 3 247.386 2.787 20 0 BFADHN CC(C)Cn1cc(CN2C[C@H](C)C[C@@H]2C)cn1 ZINC000341141527 386844237 /nfs/dbraw/zinc/84/42/37/386844237.db2.gz RZIGZTYMJFRJEA-OLZOCXBDSA-N 0 3 235.375 2.769 20 0 BFADHN CC(C)Cn1nccc1CN1CCC[C@@H]1C ZINC000648007967 386845157 /nfs/dbraw/zinc/84/51/57/386845157.db2.gz KCEOIPLVMNTFKX-LBPRGKRZSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@H]1CCCN1Cc1cncn1C(C)C ZINC000425332747 386845265 /nfs/dbraw/zinc/84/52/65/386845265.db2.gz ZSSDLBVZRALMSU-LBPRGKRZSA-N 0 3 221.348 2.838 20 0 BFADHN CC(C)Cn1cc(CN2C[C@H](C)C[C@H]2C)cn1 ZINC000341141525 386845268 /nfs/dbraw/zinc/84/52/68/386845268.db2.gz RZIGZTYMJFRJEA-CHWSQXEVSA-N 0 3 235.375 2.769 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@]1(C)CCCO1 ZINC000357799286 386846681 /nfs/dbraw/zinc/84/66/81/386846681.db2.gz VETPNXQAOZIXEQ-JSGCOSHPSA-N 0 3 234.343 2.610 20 0 BFADHN COC[C@@H]1CCN1Cc1c(C)cccc1C ZINC000293631882 386851679 /nfs/dbraw/zinc/85/16/79/386851679.db2.gz RCRQDVLVHUFNTR-ZDUSSCGKSA-N 0 3 219.328 2.524 20 0 BFADHN CC1(C)CCC([NH2+]Cc2nnc(C3CC3)[n-]2)CC1 ZINC000331424617 386851809 /nfs/dbraw/zinc/85/18/09/386851809.db2.gz BLXSXPNBQHFFRE-UHFFFAOYSA-N 0 3 248.374 2.741 20 0 BFADHN CC1(C)CCC(NCc2nnc(C3CC3)[nH]2)CC1 ZINC000331424617 386851811 /nfs/dbraw/zinc/85/18/11/386851811.db2.gz BLXSXPNBQHFFRE-UHFFFAOYSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@H]1CCCN(Cc2cnc(C3CC3)nc2)CC1 ZINC000334788160 386852291 /nfs/dbraw/zinc/85/22/91/386852291.db2.gz OJRBZYABIDMMAO-LBPRGKRZSA-N 0 3 245.370 2.976 20 0 BFADHN CCc1noc(C)c1CN1CC[C@H](C)[C@H]1C ZINC000334789603 386854523 /nfs/dbraw/zinc/85/45/23/386854523.db2.gz ZRIWUMYDKHNCJO-VHSXEESVSA-N 0 3 222.332 2.776 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@H]1CCc2c1cccc2O ZINC000425344647 386855372 /nfs/dbraw/zinc/85/53/72/386855372.db2.gz JCZHYJKOIVTSFB-OUCADQQQSA-N 0 3 249.379 2.863 20 0 BFADHN CC(C)n1ccc(C[C@H](C)NCc2ccco2)n1 ZINC000341209178 386855370 /nfs/dbraw/zinc/85/53/70/386855370.db2.gz QBXBBRKYHKLLNA-LBPRGKRZSA-N 0 3 247.342 2.778 20 0 BFADHN CC[C@@]1(O)CCN([C@@H](C)c2ccccc2F)C1 ZINC000334790584 386856871 /nfs/dbraw/zinc/85/68/71/386856871.db2.gz FNCSJGJTXBQEGF-SMDDNHRTSA-N 0 3 237.318 2.734 20 0 BFADHN CCC[C@H](C)N(C)CC(=O)N1CCCC[C@H]1C ZINC000341222322 386857457 /nfs/dbraw/zinc/85/74/57/386857457.db2.gz BZSWHLLMVCGKFN-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1ccc(CN2CC3(C2)CCOCC3)s1 ZINC000334794622 386862047 /nfs/dbraw/zinc/86/20/47/386862047.db2.gz CECVNQFPFDVRQA-UHFFFAOYSA-N 0 3 237.368 2.669 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]3CCC[C@H]32)nn1 ZINC000334828203 386865587 /nfs/dbraw/zinc/86/55/87/386865587.db2.gz YNYROVVDHUGKKF-GXTWGEPZSA-N 0 3 231.343 2.550 20 0 BFADHN OC[C@@H]1C=C[C@@H](N[C@@H](c2ccccc2)C2CC2)C1 ZINC000341246468 386865850 /nfs/dbraw/zinc/86/58/50/386865850.db2.gz JLUKPAVSGAZQBA-WQVCFCJDSA-N 0 3 243.350 2.664 20 0 BFADHN C[C@H](NC1CCC(F)(F)CC1)c1ccn(C)n1 ZINC000334829404 386866313 /nfs/dbraw/zinc/86/63/13/386866313.db2.gz MWUAELXRHFAMJX-VIFPVBQESA-N 0 3 243.301 2.649 20 0 BFADHN Cc1ccc(CNCCC2(F)CCC2)o1 ZINC000336739126 386870991 /nfs/dbraw/zinc/87/09/91/386870991.db2.gz SCJNQYNYWFQWGT-UHFFFAOYSA-N 0 3 211.280 2.960 20 0 BFADHN CCC[C@H](N[C@H](C)C[C@H](C)O)c1ccccn1 ZINC000341271250 386873124 /nfs/dbraw/zinc/87/31/24/386873124.db2.gz GUSHKBHLVBFTQO-DYEKYZERSA-N 0 3 236.359 2.672 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@H](C)[C@@H](C)C2)n1C ZINC000341275861 386874482 /nfs/dbraw/zinc/87/44/82/386874482.db2.gz FODJFDITDOQHHJ-GMXVVIOVSA-N 0 3 235.375 2.643 20 0 BFADHN CCc1ccc(CNC[C@H]2CCC[C@@H]2OC)o1 ZINC000341276401 386875215 /nfs/dbraw/zinc/87/52/15/386875215.db2.gz ZUTYMDDYLGIWQH-RISCZKNCSA-N 0 3 237.343 2.747 20 0 BFADHN Cc1ccc(CN2CC[C@@]3(CC[C@@H](C)C3)C2)nn1 ZINC000334835728 386875981 /nfs/dbraw/zinc/87/59/81/386875981.db2.gz IFCORXPEUYJQQC-IUODEOHRSA-N 0 3 245.370 2.797 20 0 BFADHN CCCN(CC(=O)OCC)CC(CC)CC ZINC000148938365 386880233 /nfs/dbraw/zinc/88/02/33/386880233.db2.gz TYEFTEXXMHTWID-UHFFFAOYSA-N 0 3 229.364 2.698 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2ccoc2C)C1(C)C ZINC000358022398 386880998 /nfs/dbraw/zinc/88/09/98/386880998.db2.gz PDVVDVIEVXHUPV-JSGCOSHPSA-N 0 3 237.343 2.881 20 0 BFADHN CO[C@]1(C)C[C@@H](NCc2ccoc2C)C1(C)C ZINC000358022400 386881088 /nfs/dbraw/zinc/88/10/88/386881088.db2.gz PDVVDVIEVXHUPV-TZMCWYRMSA-N 0 3 237.343 2.881 20 0 BFADHN C[C@H]1OCC[C@H]1N[C@H]1CCc2c1cccc2F ZINC000293800808 386882355 /nfs/dbraw/zinc/88/23/55/386882355.db2.gz UZBFBKXCLNLDRG-FZQKWOKYSA-N 0 3 235.302 2.580 20 0 BFADHN CCn1cncc1CN1CCC[C@H](C(C)C)C1 ZINC000418008873 386882464 /nfs/dbraw/zinc/88/24/64/386882464.db2.gz DVQOFXIUYUZNRR-ZDUSSCGKSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1occc1CN1CCC[C@@H](c2ncc[nH]2)C1 ZINC000334840422 386883824 /nfs/dbraw/zinc/88/38/24/386883824.db2.gz GNVFCRWPUXQYCR-CYBMUJFWSA-N 0 3 245.326 2.691 20 0 BFADHN Cc1occc1CN1CCC[C@H](c2ncc[nH]2)C1 ZINC000334840427 386884440 /nfs/dbraw/zinc/88/44/40/386884440.db2.gz GNVFCRWPUXQYCR-ZDUSSCGKSA-N 0 3 245.326 2.691 20 0 BFADHN Cc1occc1CN1CCCC2(CCOCC2)C1 ZINC000334841069 386886302 /nfs/dbraw/zinc/88/63/02/386886302.db2.gz KCXAHLKTXUKZRH-UHFFFAOYSA-N 0 3 249.354 2.981 20 0 BFADHN Cc1cccc(CN[C@](C)(CO)C(C)C)c1F ZINC000398608859 386890251 /nfs/dbraw/zinc/89/02/51/386890251.db2.gz ZPSUCXDHJNUBBB-CQSZACIVSA-N 0 3 239.334 2.631 20 0 BFADHN C[C@@H]1CCN(Cc2cnn3ccccc23)[C@H](C)C1 ZINC000425361412 386915548 /nfs/dbraw/zinc/91/55/48/386915548.db2.gz XYDRZNODLJFHAW-CHWSQXEVSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@H](NCCC[C@H]1CCOC1)c1cncs1 ZINC000401943056 386916058 /nfs/dbraw/zinc/91/60/58/386916058.db2.gz UITIMPVEBJIMPW-QWRGUYRKSA-N 0 3 240.372 2.610 20 0 BFADHN C[C@H](NCc1ccn(C)n1)C1C(C)(C)C1(C)C ZINC000358233023 386917483 /nfs/dbraw/zinc/91/74/83/386917483.db2.gz COKGPGXHIGESPM-JTQLQIEISA-N 0 3 235.375 2.580 20 0 BFADHN CCc1ncc(CN2CCC[C@@H](C)[C@H]2C)cn1 ZINC000334847797 386896417 /nfs/dbraw/zinc/89/64/17/386896417.db2.gz KFRIHQAOKUCGLN-VXGBXAGGSA-N 0 3 233.359 2.659 20 0 BFADHN CCn1nncc1CNCCC1=CCCCCC1 ZINC000418011758 386898428 /nfs/dbraw/zinc/89/84/28/386898428.db2.gz RHYMADKVFPOVRJ-UHFFFAOYSA-N 0 3 248.374 2.668 20 0 BFADHN C[C@@H](CN1CC2(C1)CCOC2)c1ccc(F)cc1 ZINC000293920037 386902804 /nfs/dbraw/zinc/90/28/04/386902804.db2.gz GOGHXOZJHPDWAU-LBPRGKRZSA-N 0 3 249.329 2.652 20 0 BFADHN C[C@H](CN1CC2(C1)CCOC2)c1ccc(F)cc1 ZINC000293920033 386903941 /nfs/dbraw/zinc/90/39/41/386903941.db2.gz GOGHXOZJHPDWAU-GFCCVEGCSA-N 0 3 249.329 2.652 20 0 BFADHN CC(C)Cn1nccc1CN1C[C@H](C)[C@@H](C)C1 ZINC000648009419 386905823 /nfs/dbraw/zinc/90/58/23/386905823.db2.gz GVPNTVDRDMXJOS-STQMWFEESA-N 0 3 235.375 2.627 20 0 BFADHN Cc1ccc2nccc(N3CCC[C@@H]3CO)c2c1 ZINC000302174992 386910232 /nfs/dbraw/zinc/91/02/32/386910232.db2.gz WSEYDVWDKGKYDM-GFCCVEGCSA-N 0 3 242.322 2.504 20 0 BFADHN COc1cccc(CN2CC[C@@H](C)C[C@H]2C)n1 ZINC000425358345 386913427 /nfs/dbraw/zinc/91/34/27/386913427.db2.gz QICVEZVHRRXYGX-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN CCN(Cc1ccnn1CC(C)C)C1CCC1 ZINC000648009549 386926018 /nfs/dbraw/zinc/92/60/18/386926018.db2.gz LZIMHAMJNZIJEZ-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN CCc1ncc(CN2CCCC[C@@H](C)C2)cn1 ZINC000334868863 386929362 /nfs/dbraw/zinc/92/93/62/386929362.db2.gz SCRXGIFNJHRUGU-GFCCVEGCSA-N 0 3 233.359 2.661 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C)C(C)(C)C2)nn1 ZINC000334869375 386929392 /nfs/dbraw/zinc/92/93/92/386929392.db2.gz WLTYPQPQQADCKN-LLVKDONJSA-N 0 3 233.359 2.653 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000358302843 386930110 /nfs/dbraw/zinc/93/01/10/386930110.db2.gz SXNJIBDFCLWRPU-SFYZADRCSA-N 0 3 247.260 2.789 20 0 BFADHN Cc1cc(NC(=O)C(C)C(F)(F)F)ccc1O ZINC000334870493 386930544 /nfs/dbraw/zinc/93/05/44/386930544.db2.gz CTJGMKFDSNKRJB-SSDOTTSWSA-N 0 3 247.216 2.838 20 0 BFADHN Cc1occc1CN1CCC[C@]2(CCCOC2)C1 ZINC000334874365 386934340 /nfs/dbraw/zinc/93/43/40/386934340.db2.gz DUTWWRVKVRTVCX-HNNXBMFYSA-N 0 3 249.354 2.981 20 0 BFADHN CC[C@H](N[C@H](C)[C@@H](C)OC)c1c(C)noc1C ZINC000358360282 386940778 /nfs/dbraw/zinc/94/07/78/386940778.db2.gz BQEBNDIOCKLJJU-UISBYWKRSA-N 0 3 240.347 2.756 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](c1cccnc1)C1CC1 ZINC000358375928 386944953 /nfs/dbraw/zinc/94/49/53/386944953.db2.gz QVKAVDUEPBPLCS-MISXGVKJSA-N 0 3 234.343 2.546 20 0 BFADHN C[C@@H](N[C@H](CO)CC(F)(F)F)c1ccccc1 ZINC000358381985 386945060 /nfs/dbraw/zinc/94/50/60/386945060.db2.gz ZVENMODMCQBMNB-KOLCDFICSA-N 0 3 247.260 2.651 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@H](c1cccnc1)C1CC1 ZINC000358375925 386945086 /nfs/dbraw/zinc/94/50/86/386945086.db2.gz QVKAVDUEPBPLCS-GYSYKLTISA-N 0 3 234.343 2.546 20 0 BFADHN Fc1ccc(C2(NC[C@@H]3CCCCO3)CC2)cc1 ZINC000341707353 386952489 /nfs/dbraw/zinc/95/24/89/386952489.db2.gz VQRFRHDBOGIWBJ-AWEZNQCLSA-N 0 3 249.329 2.974 20 0 BFADHN C[C@H](N[C@@H]1CC2CCC1CC2)c1ccn(C)n1 ZINC000334823689 386953976 /nfs/dbraw/zinc/95/39/76/386953976.db2.gz KAABAZHQYGKODZ-DLOFLVKXSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1cccc(CN2CCS[C@H](C)CC2)n1 ZINC000334824572 386956341 /nfs/dbraw/zinc/95/63/41/386956341.db2.gz YYXFIQVFJYNXFW-GFCCVEGCSA-N 0 3 236.384 2.717 20 0 BFADHN C[C@@H](NC[C@@H](O)C1CCC1)c1ccsc1 ZINC000316298944 387007567 /nfs/dbraw/zinc/00/75/67/387007567.db2.gz CYOLKMLAQIPEML-BXKDBHETSA-N 0 3 225.357 2.560 20 0 BFADHN Cc1cncc([C@@H](C)N[C@@H]2CCOC2(C)C)c1 ZINC000334894773 386967178 /nfs/dbraw/zinc/96/71/78/386967178.db2.gz HCVBFECVTCUWJB-DGCLKSJQSA-N 0 3 234.343 2.608 20 0 BFADHN CCN(CCn1cc(C)cn1)Cc1ccccc1 ZINC000341835746 386971166 /nfs/dbraw/zinc/97/11/66/386971166.db2.gz PLJKWRUNOXUFRZ-UHFFFAOYSA-N 0 3 243.354 2.714 20 0 BFADHN CCC[C@H](C)CN[C@H](c1nncn1C)C(C)C ZINC000352952309 386973302 /nfs/dbraw/zinc/97/33/02/386973302.db2.gz QGMWIJMNASHOHI-RYUDHWBXSA-N 0 3 238.379 2.538 20 0 BFADHN CCN(C)CCNC(=O)CCCCC(C)(C)C ZINC000341809991 386974416 /nfs/dbraw/zinc/97/44/16/386974416.db2.gz JXBCIYCJBZIXOV-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN Cc1sccc1CN1C[C@@H](C)N(C)C[C@H]1C ZINC000352962198 386977195 /nfs/dbraw/zinc/97/71/95/386977195.db2.gz KSCCHPRDKIEGHV-GHMZBOCLSA-N 0 3 238.400 2.581 20 0 BFADHN CCN(CCC1CC1)Cc1conc1C ZINC000352959599 386977387 /nfs/dbraw/zinc/97/73/87/386977387.db2.gz YXMQMXINOXBIGF-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN Cc1sccc1CN1CCN(C)[C@H](C)[C@H]1C ZINC000352966068 386977436 /nfs/dbraw/zinc/97/74/36/386977436.db2.gz ZOYWVGCWVJVJIK-GHMZBOCLSA-N 0 3 238.400 2.581 20 0 BFADHN CN(Cc1cccc(C(F)F)c1)C1(CO)CC1 ZINC000353027086 386980123 /nfs/dbraw/zinc/98/01/23/386980123.db2.gz WMWQARVEAPHKNF-UHFFFAOYSA-N 0 3 241.281 2.581 20 0 BFADHN CN(Cc1ccc(C(C)(C)C)cc1)C1(CO)CC1 ZINC000353025830 386980185 /nfs/dbraw/zinc/98/01/85/386980185.db2.gz SVCVXODXJVDLAI-UHFFFAOYSA-N 0 3 247.382 2.941 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H](C)C2CCCC2)[n-]1 ZINC000331173535 386980857 /nfs/dbraw/zinc/98/08/57/386980857.db2.gz PGOWFUKOKPWBCD-JTQLQIEISA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H](C)C2CCCC2)[nH]1 ZINC000331173535 386980862 /nfs/dbraw/zinc/98/08/62/386980862.db2.gz PGOWFUKOKPWBCD-JTQLQIEISA-N 0 3 236.363 2.596 20 0 BFADHN CN(C[C@@H]1CCC2(CCCCC2)O1)C1CC1 ZINC000190937405 386983665 /nfs/dbraw/zinc/98/36/65/386983665.db2.gz MOUFGKHYRPVWGK-ZDUSSCGKSA-N 0 3 223.360 2.963 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCC[C@@H](C)C2)n(C)n1 ZINC000334911121 386983857 /nfs/dbraw/zinc/98/38/57/386983857.db2.gz DGMWWLPZBZVPSU-YPMHNXCESA-N 0 3 235.375 2.739 20 0 BFADHN COC(=O)C1(N(C)CCCCCF)CCCC1 ZINC000341907589 386988587 /nfs/dbraw/zinc/98/85/87/386988587.db2.gz XZFBQPZGUGTBLN-UHFFFAOYSA-N 0 3 245.338 2.544 20 0 BFADHN FC(F)OC[C@@H]1CCN(Cc2cccs2)C1 ZINC000353030724 386994076 /nfs/dbraw/zinc/99/40/76/386994076.db2.gz SVZOARVAJAJQHZ-SECBINFHSA-N 0 3 247.310 2.809 20 0 BFADHN Cc1cc(C)nc(N[C@H]2COC(C)(C)C2)c1 ZINC000334922323 386998495 /nfs/dbraw/zinc/99/84/95/386998495.db2.gz TXXPCYCGBWCGBE-LLVKDONJSA-N 0 3 220.316 2.678 20 0 BFADHN CO[C@@H](C)CN1CCC(CC(F)(F)F)CC1 ZINC000341976623 387005946 /nfs/dbraw/zinc/00/59/46/387005946.db2.gz LCGZORBUSXDGPD-VIFPVBQESA-N 0 3 239.281 2.686 20 0 BFADHN CO[C@H](CN(C)Cc1cccs1)C1CC1 ZINC000425379094 387031448 /nfs/dbraw/zinc/03/14/48/387031448.db2.gz AROOQXNMLMGJEN-GFCCVEGCSA-N 0 3 225.357 2.605 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CC[C@@](C)(CC)C1 ZINC000399494360 387032621 /nfs/dbraw/zinc/03/26/21/387032621.db2.gz VXYVTELBPYTWKZ-GXTWGEPZSA-N 0 3 241.375 2.840 20 0 BFADHN CS[C@@H]1CC[C@H]1NCc1ccc2cc[nH]c2n1 ZINC000425383973 387033268 /nfs/dbraw/zinc/03/32/68/387033268.db2.gz PWHSQLCBBCBMSA-VXGBXAGGSA-N 0 3 247.367 2.547 20 0 BFADHN CO[C@@H](CN(C)Cc1ccccc1)C1CC1 ZINC000425382216 387034645 /nfs/dbraw/zinc/03/46/45/387034645.db2.gz HJYRHWMOWVDRFE-AWEZNQCLSA-N 0 3 219.328 2.543 20 0 BFADHN CO[C@@H](CN1CCc2sccc2C1)C1CC1 ZINC000425384494 387036322 /nfs/dbraw/zinc/03/63/22/387036322.db2.gz NGYQHGDVOWYELJ-LBPRGKRZSA-N 0 3 237.368 2.531 20 0 BFADHN CC(C)[C@@H]1CC[C@@H](C)C[C@H]1NCc1ccn(C)n1 ZINC000096544069 387037831 /nfs/dbraw/zinc/03/78/31/387037831.db2.gz FOKKATBKOBRDRP-VHDGCEQUSA-N 0 3 249.402 2.971 20 0 BFADHN Cc1nc2ccccn2c1CN1CC[C@H]1C(C)C ZINC000334962599 387042874 /nfs/dbraw/zinc/04/28/74/387042874.db2.gz MODUTCYPDNRQDH-ZDUSSCGKSA-N 0 3 243.354 2.873 20 0 BFADHN C[C@H](NCC1(C(F)F)CC1)c1ccc(F)cn1 ZINC000359002832 387043626 /nfs/dbraw/zinc/04/36/26/387043626.db2.gz PWIUXFRIPMMLFL-QMMMGPOBSA-N 0 3 244.260 2.917 20 0 BFADHN C[C@@]1(O)C[C@H](N[C@@H]2CCCc3cc(F)ccc32)C1 ZINC000417407367 387044869 /nfs/dbraw/zinc/04/48/69/387044869.db2.gz SRAJXTLEBYMRIY-YUELXQCFSA-N 0 3 249.329 2.706 20 0 BFADHN C[C@@]1(O)C[C@H](N[C@H]2CCCc3cc(F)ccc32)C1 ZINC000417407366 387044888 /nfs/dbraw/zinc/04/48/88/387044888.db2.gz SRAJXTLEBYMRIY-AEGPPILISA-N 0 3 249.329 2.706 20 0 BFADHN CC[C@@H](NCC1CC(F)(F)C1)c1ccn(C)n1 ZINC000425962538 387050890 /nfs/dbraw/zinc/05/08/90/387050890.db2.gz YQHCUJAERUIDLY-SNVBAGLBSA-N 0 3 243.301 2.506 20 0 BFADHN CCS[C@@H]1CCC[C@H]1NCc1cnoc1C ZINC000336764205 387051791 /nfs/dbraw/zinc/05/17/91/387051791.db2.gz MYZQOKQFSGLTJH-VXGBXAGGSA-N 0 3 240.372 2.747 20 0 BFADHN CC(C)C(CN[C@@H](C)c1nccn1C)C(C)C ZINC000191700599 387052312 /nfs/dbraw/zinc/05/23/12/387052312.db2.gz XMJJRTUYGNVLIR-LBPRGKRZSA-N 0 3 237.391 2.999 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1nccn1CC ZINC000519309677 387054831 /nfs/dbraw/zinc/05/48/31/387054831.db2.gz MMXGBPFBQAMKFQ-STQMWFEESA-N 0 3 235.375 2.819 20 0 BFADHN CCC[C@H](C(=O)OCC)N(C)C[C@@H](C)CC ZINC000096867345 387055577 /nfs/dbraw/zinc/05/55/77/387055577.db2.gz PEAIHQZMZCBZKG-NWDGAFQWSA-N 0 3 229.364 2.696 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1ccccc1F ZINC000191708008 387056679 /nfs/dbraw/zinc/05/66/79/387056679.db2.gz SUNVTYATJJQHOJ-DCAQKATOSA-N 0 3 225.307 2.900 20 0 BFADHN Cc1occc1CNCCCCOC(C)C ZINC000321246254 387058364 /nfs/dbraw/zinc/05/83/64/387058364.db2.gz NRUPSYAWSMQOCU-UHFFFAOYSA-N 0 3 225.332 2.883 20 0 BFADHN F[C@H]1CCN(Cc2cccc3cc[nH]c32)C1 ZINC000336222965 387063436 /nfs/dbraw/zinc/06/34/36/387063436.db2.gz YNWKYRPDANELIY-LBPRGKRZSA-N 0 3 218.275 2.712 20 0 BFADHN CC(C)[C@@H]1C[C@H](CNCc2ccco2)CCO1 ZINC000352024974 387009170 /nfs/dbraw/zinc/00/91/70/387009170.db2.gz BBCFQOODXXWMQC-OCCSQVGLSA-N 0 3 237.343 2.820 20 0 BFADHN CC(C)C[C@H](N[C@@H]1CO[C@H](C)C1)c1ccccn1 ZINC000514603910 387009496 /nfs/dbraw/zinc/00/94/96/387009496.db2.gz ZGAWEXSVVHMRBZ-IPYPFGDCSA-N 0 3 248.370 2.936 20 0 BFADHN CC(C)C[C@H](N[C@H]1CO[C@H](C)C1)c1ccccn1 ZINC000514603912 387009849 /nfs/dbraw/zinc/00/98/49/387009849.db2.gz ZGAWEXSVVHMRBZ-NFAWXSAZSA-N 0 3 248.370 2.936 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CC[C@H]1SC ZINC000425372102 387011752 /nfs/dbraw/zinc/01/17/52/387011752.db2.gz RRILMPOYWBQABM-NWDGAFQWSA-N 0 3 240.372 2.529 20 0 BFADHN CC(C)=CCN1CCC[C@H](OCC2CC2)C1 ZINC000368793281 387011782 /nfs/dbraw/zinc/01/17/82/387011782.db2.gz USKNCZCXLXZDBF-AWEZNQCLSA-N 0 3 223.360 2.844 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1C[C@H](O)C2(CC2)C1 ZINC000368792105 387012934 /nfs/dbraw/zinc/01/29/34/387012934.db2.gz USUSNTRVTGYLNM-KGLIPLIRSA-N 0 3 249.329 2.734 20 0 BFADHN CC[C@@H](NCC1CCCC1)c1ccn(C)n1 ZINC000336746034 387013137 /nfs/dbraw/zinc/01/31/37/387013137.db2.gz WAKGPCXOEUBOIA-GFCCVEGCSA-N 0 3 221.348 2.651 20 0 BFADHN c1cncc([C@@H](NC[C@H]2CCCCO2)C2CC2)c1 ZINC000527324510 387021993 /nfs/dbraw/zinc/02/19/93/387021993.db2.gz NAQFVBPSZZCWSZ-CABCVRRESA-N 0 3 246.354 2.691 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2ccc(C)nn2)C1 ZINC000334882602 387026137 /nfs/dbraw/zinc/02/61/37/387026137.db2.gz QMBFIEQRDVBRGX-QWHCGFSZSA-N 0 3 233.359 2.796 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1CC[C@@](C)(CC)C1 ZINC000399491349 387027020 /nfs/dbraw/zinc/02/70/20/387027020.db2.gz UZAXFFCXOFWZQF-GXTWGEPZSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)n1nccc1CN1CC[C@H](C2CC2)C1 ZINC000369101328 387029032 /nfs/dbraw/zinc/02/90/32/387029032.db2.gz WFCAROZNUAXRJL-ZDUSSCGKSA-N 0 3 233.359 2.696 20 0 BFADHN C[C@@]1(O)C[C@H](NCc2cc3cc(F)ccc3o2)C1 ZINC000334951898 387029314 /nfs/dbraw/zinc/02/93/14/387029314.db2.gz AYMDVLAEFVMXGI-VDISTLRHSA-N 0 3 249.285 2.575 20 0 BFADHN CCC(CC)N(CC(=O)N[C@@H](C)CC)C1CC1 ZINC000519194764 387029410 /nfs/dbraw/zinc/02/94/10/387029410.db2.gz NIUUNFWLESBMAM-NSHDSACASA-N 0 3 240.391 2.554 20 0 BFADHN COc1cc(C)c(CN2CCCCC2)c(C)n1 ZINC000359222767 387068863 /nfs/dbraw/zinc/06/88/63/387068863.db2.gz IJTLHZRDHQZSDT-UHFFFAOYSA-N 0 3 234.343 2.693 20 0 BFADHN Cc1cc(CNC2CC3(C2)CCCCC3)n(C)n1 ZINC000334983205 387070244 /nfs/dbraw/zinc/07/02/44/387070244.db2.gz ZCASRSCSUOGPFT-UHFFFAOYSA-N 0 3 247.386 2.931 20 0 BFADHN COc1cc(C)c(CN2CCC[C@H](C)C2)c(C)n1 ZINC000359216956 387070790 /nfs/dbraw/zinc/07/07/90/387070790.db2.gz CGIYJEKLUDGPNQ-NSHDSACASA-N 0 3 248.370 2.939 20 0 BFADHN Cc1cc(CN2CC[C@@H](CC(C)(C)C)C2)n(C)n1 ZINC000418121315 387077135 /nfs/dbraw/zinc/07/71/35/387077135.db2.gz WNKMZNRRQADVBM-ZDUSSCGKSA-N 0 3 249.402 2.987 20 0 BFADHN CCC[C@H](C)N[C@H](C)c1ccncc1OC ZINC000188773172 387080670 /nfs/dbraw/zinc/08/06/70/387080670.db2.gz NGLFVQKQBLSVFW-WDEREUQCSA-N 0 3 222.332 2.929 20 0 BFADHN Cc1csc(CN2C[C@H](C)[C@H](C)[C@@H]2C)n1 ZINC000418121876 387082194 /nfs/dbraw/zinc/08/21/94/387082194.db2.gz DYOFFLANFYHTKN-LSJOCFKGSA-N 0 3 224.373 2.928 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@@H](C2CCCC2)C1 ZINC000334993086 387082263 /nfs/dbraw/zinc/08/22/63/387082263.db2.gz FLLZBIDXXLJCQM-CYBMUJFWSA-N 0 3 233.359 2.730 20 0 BFADHN CCCn1cc(CN2CCC(CC)CC2)cn1 ZINC000352383758 387130772 /nfs/dbraw/zinc/13/07/72/387130772.db2.gz NBBZDOMWXHBSAZ-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN COC[C@H](C)NCc1cccc(CSC)c1 ZINC000188721787 387086979 /nfs/dbraw/zinc/08/69/79/387086979.db2.gz NCRUONHQWYXLMB-NSHDSACASA-N 0 3 239.384 2.674 20 0 BFADHN CC(C)[C@](C)(O)CNCc1cc(Cl)cs1 ZINC000193100351 387087052 /nfs/dbraw/zinc/08/70/52/387087052.db2.gz SHRYUNYZLCTKMG-LLVKDONJSA-N 0 3 247.791 2.898 20 0 BFADHN CC(C)CC(CC(C)C)NCc1ncc[nH]1 ZINC000066593806 387087284 /nfs/dbraw/zinc/08/72/84/387087284.db2.gz NCBVODKFLXIECH-UHFFFAOYSA-N 0 3 223.364 2.960 20 0 BFADHN Cc1ccc(CN2CC[C@@H](C3CCCC3)C2)nn1 ZINC000334996094 387088704 /nfs/dbraw/zinc/08/87/04/387088704.db2.gz UAYDHBXWKNIINF-CQSZACIVSA-N 0 3 245.370 2.797 20 0 BFADHN CC(C)(O)[C@H]1CCCN1Cc1ccccc1F ZINC000119066232 387092042 /nfs/dbraw/zinc/09/20/42/387092042.db2.gz DHAFLESXPDTTGE-CYBMUJFWSA-N 0 3 237.318 2.561 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1NCc1cc(C)nn1C ZINC000418084876 387095035 /nfs/dbraw/zinc/09/50/35/387095035.db2.gz RZRSEJLPTLUKLP-UONOGXRCSA-N 0 3 235.375 2.643 20 0 BFADHN CCCCN(C)[C@H](C)C(=O)N(C)c1ccccc1 ZINC000067472281 387095961 /nfs/dbraw/zinc/09/59/61/387095961.db2.gz WWBQWFKCAFMHFY-CYBMUJFWSA-N 0 3 248.370 2.770 20 0 BFADHN CO[C@@H](CNCc1cnc(C)s1)CC(C)C ZINC000418089604 387096104 /nfs/dbraw/zinc/09/61/04/387096104.db2.gz BOECALVLTINPJH-LLVKDONJSA-N 0 3 242.388 2.602 20 0 BFADHN CC(C)CCN1CCCC[C@@H]1c1ccnn1C ZINC000370201582 387097953 /nfs/dbraw/zinc/09/79/53/387097953.db2.gz MKNIIPZYUYGNSL-CQSZACIVSA-N 0 3 235.375 2.993 20 0 BFADHN COCC1(C)CN(C[C@H]2C[C@H]2c2ccccc2)C1 ZINC000418090571 387098974 /nfs/dbraw/zinc/09/89/74/387098974.db2.gz NZMFRPAYXRZBMA-CABCVRRESA-N 0 3 245.366 2.758 20 0 BFADHN COc1cnccc1[C@@H](C)NC1CC(C)C1 ZINC000188788451 387100766 /nfs/dbraw/zinc/10/07/66/387100766.db2.gz OCQDDAKDYRKZJR-HSOILSAZSA-N 0 3 220.316 2.539 20 0 BFADHN CO[C@H](CNCc1scnc1C)CC(C)C ZINC000418092666 387100885 /nfs/dbraw/zinc/10/08/85/387100885.db2.gz FUMMIGODWLDZGF-NSHDSACASA-N 0 3 242.388 2.602 20 0 BFADHN Cc1nc(CCN2CCCC3(CC3)C2)cs1 ZINC000336301298 387131431 /nfs/dbraw/zinc/13/14/31/387131431.db2.gz GZJAOLKXDAXYLT-UHFFFAOYSA-N 0 3 236.384 2.870 20 0 BFADHN Clc1cnc(CNC[C@H]2CC23CC3)s1 ZINC000418095285 387101658 /nfs/dbraw/zinc/10/16/58/387101658.db2.gz LFGWQPNFENXTHH-SSDOTTSWSA-N 0 3 228.748 2.686 20 0 BFADHN CCN(Cc1cc(C)nn1C)C1CC(C)(C)C1 ZINC000418094901 387102361 /nfs/dbraw/zinc/10/23/61/387102361.db2.gz IKBZJMPHBQHSLZ-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cc(CN(C)C2(C)CCCC2)ncn1 ZINC000336279565 387111254 /nfs/dbraw/zinc/11/12/54/387111254.db2.gz JFFATIMGQIKRRJ-UHFFFAOYSA-N 0 3 219.332 2.550 20 0 BFADHN CCOC[C@@H](NCc1cccnc1C)C(C)C ZINC000188861738 387111890 /nfs/dbraw/zinc/11/18/90/387111890.db2.gz WGLONFNCRQVYQM-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN CCn1cncc1CN1CCCC[C@H](C)C1 ZINC000334949444 387112714 /nfs/dbraw/zinc/11/27/14/387112714.db2.gz RPFDCYFIWSQRKI-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN CC[C@H](C)C(=O)N(CCN(C)C)c1ccccc1 ZINC000069123784 387112860 /nfs/dbraw/zinc/11/28/60/387112860.db2.gz OGZYWTOPQZHJFM-ZDUSSCGKSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1cc(CCNCc2ccc(F)c(C)c2)on1 ZINC000353082828 387113505 /nfs/dbraw/zinc/11/35/05/387113505.db2.gz HFVDAGMKHDATAN-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN Cc1cc(CN2CCCC3(CCC3)C2)on1 ZINC000352365135 387113746 /nfs/dbraw/zinc/11/37/46/387113746.db2.gz NNBSTDOUVLMCMM-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN CCC1(C)CN(C[C@@H](O)CCc2ccccc2)C1 ZINC000359504576 387114157 /nfs/dbraw/zinc/11/41/57/387114157.db2.gz JIHOYUSZQJTPKU-HNNXBMFYSA-N 0 3 247.382 2.712 20 0 BFADHN CC[C@H](CCO)CNCc1cc(C)ccc1F ZINC000228779304 387114586 /nfs/dbraw/zinc/11/45/86/387114586.db2.gz RXKTXYXGHVDWTA-GFCCVEGCSA-N 0 3 239.334 2.632 20 0 BFADHN CC[C@H](COC)NCc1cc(Cl)ccc1F ZINC000119297309 387114785 /nfs/dbraw/zinc/11/47/85/387114785.db2.gz ZPEOMGBAQFCYHU-LLVKDONJSA-N 0 3 245.725 2.994 20 0 BFADHN CC[C@@H](COC)NCc1cc(Cl)ccc1F ZINC000119297202 387115146 /nfs/dbraw/zinc/11/51/46/387115146.db2.gz ZPEOMGBAQFCYHU-NSHDSACASA-N 0 3 245.725 2.994 20 0 BFADHN CC(C)n1cc(CN2CCCC3(CC3)C2)cn1 ZINC000186615622 387115901 /nfs/dbraw/zinc/11/59/01/387115901.db2.gz PCXMJZIBNZMCSK-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN CCC1(C)CCN([C@H](C)c2n[nH]c(C)n2)CC1 ZINC000336281734 387116650 /nfs/dbraw/zinc/11/66/50/387116650.db2.gz MRGMZHZZPTXFBY-SNVBAGLBSA-N 0 3 236.363 2.686 20 0 BFADHN C[C@@H]1c2cccn2CCN1CCC1CC1 ZINC000335026155 387118461 /nfs/dbraw/zinc/11/84/61/387118461.db2.gz SPBSOCRONPJHIW-LLVKDONJSA-N 0 3 204.317 2.665 20 0 BFADHN Cc1ccncc1CN1CC[C@H]1C(C)C ZINC000336282956 387118879 /nfs/dbraw/zinc/11/88/79/387118879.db2.gz QIUOOKYJUPHBOT-ZDUSSCGKSA-N 0 3 204.317 2.620 20 0 BFADHN Fc1cccc(CNCCOC2CCCC2)c1 ZINC000070168001 387120775 /nfs/dbraw/zinc/12/07/75/387120775.db2.gz JNHMPHUPLYHRSW-UHFFFAOYSA-N 0 3 237.318 2.875 20 0 BFADHN Cc1ccc([C@H](C)NCc2ccnn2C)cc1C ZINC000071138839 387121010 /nfs/dbraw/zinc/12/10/10/387121010.db2.gz PYSRJKWTAQFLPT-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN CN(Cc1coc2ccccc12)CC1(CO)CC1 ZINC000188951388 387122944 /nfs/dbraw/zinc/12/29/44/387122944.db2.gz GHMZYBOCJYBMHT-UHFFFAOYSA-N 0 3 245.322 2.637 20 0 BFADHN CCC1CCN(Cc2cnc3ccccn23)CC1 ZINC000352384288 387129786 /nfs/dbraw/zinc/12/97/86/387129786.db2.gz UOSFFMIPZDNALY-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN Cc1cc(CN2CCC[C@H](C(C)(C)C)C2)n(C)n1 ZINC000418051026 387067817 /nfs/dbraw/zinc/06/78/17/387067817.db2.gz XHFWMUUIYMTISR-ZDUSSCGKSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1c(CN2CCC(C)(C3CC3)CC2)cnn1C ZINC000334980598 387067949 /nfs/dbraw/zinc/06/79/49/387067949.db2.gz ATURMLARLRXKOW-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@@H](C)c1cc(F)cc(F)c1 ZINC000228090635 387068357 /nfs/dbraw/zinc/06/83/57/387068357.db2.gz FXZUVHRQDNCNPW-UTLUCORTSA-N 0 3 243.297 2.775 20 0 BFADHN CC(C)[C@H]1CN(C[C@H]2CC=CCC2)CCO1 ZINC000353149872 387144858 /nfs/dbraw/zinc/14/48/58/387144858.db2.gz HSLXOTDVZHGIKT-UONOGXRCSA-N 0 3 223.360 2.700 20 0 BFADHN C[C@@H](N[C@H]1CCCC(F)(F)C1)c1cnn(C)c1 ZINC000336320142 387147551 /nfs/dbraw/zinc/14/75/51/387147551.db2.gz AEUILDFOOXSAPC-KOLCDFICSA-N 0 3 243.301 2.649 20 0 BFADHN C[C@H](N[C@H]1CCCC(F)(F)C1)c1cnn(C)c1 ZINC000336320144 387147573 /nfs/dbraw/zinc/14/75/73/387147573.db2.gz AEUILDFOOXSAPC-ONGXEEELSA-N 0 3 243.301 2.649 20 0 BFADHN CC(C)=CCCNCc1cnn(CC(F)F)c1 ZINC000292790281 387148022 /nfs/dbraw/zinc/14/80/22/387148022.db2.gz UUELVPLJYOYQCI-UHFFFAOYSA-N 0 3 243.301 2.594 20 0 BFADHN Cc1ccc(C(C)(C)NCc2ccn(C)c2)cn1 ZINC000353175257 387151138 /nfs/dbraw/zinc/15/11/38/387151138.db2.gz WRSWLFNJCRRZCJ-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN c1nc2ccccn2c1CN1CC[C@H](C2CC2)C1 ZINC000336324099 387152349 /nfs/dbraw/zinc/15/23/49/387152349.db2.gz WXNVMRZBMPHACY-ZDUSSCGKSA-N 0 3 241.338 2.566 20 0 BFADHN COc1c(O)cccc1CN1C[C@H](C)[C@H](C)[C@H]1C ZINC000418134744 387155122 /nfs/dbraw/zinc/15/51/22/387155122.db2.gz PZYIVJUIFXLXIU-SDDRHHMPSA-N 0 3 249.354 2.877 20 0 BFADHN CO[C@H](C)CN(C)Cc1cc(C)cc(C)c1 ZINC000353231237 387162077 /nfs/dbraw/zinc/16/20/77/387162077.db2.gz NHONNPBJSBQIDV-CYBMUJFWSA-N 0 3 221.344 2.770 20 0 BFADHN CC[C@H](CN[C@H]1CCc2cc(F)ccc21)OC ZINC000290024369 387167578 /nfs/dbraw/zinc/16/75/78/387167578.db2.gz CMVGSJBEHOGFJC-OCCSQVGLSA-N 0 3 237.318 2.828 20 0 BFADHN CCOC[C@H]1CCN(Cc2ccc(C)nc2C)C1 ZINC000187115361 387168652 /nfs/dbraw/zinc/16/86/52/387168652.db2.gz LHOLAHIKDRFKIZ-AWEZNQCLSA-N 0 3 248.370 2.557 20 0 BFADHN Cc1ccc(CN2CCC(C)(C)C2)c(C)n1 ZINC000187098033 387171217 /nfs/dbraw/zinc/17/12/17/387171217.db2.gz HOUXIYCZVVNDGE-UHFFFAOYSA-N 0 3 218.344 2.930 20 0 BFADHN Cc1ccncc1CN1C[C@@H](C)S[C@@H](C)C1 ZINC000336340514 387171273 /nfs/dbraw/zinc/17/12/73/387171273.db2.gz JBDRRUUNLOGJIN-TXEJJXNPSA-N 0 3 236.384 2.716 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2cncs2)C[C@H]1C ZINC000418136467 387172795 /nfs/dbraw/zinc/17/27/95/387172795.db2.gz RWJWFDZPHVKMTE-OPRDCNLKSA-N 0 3 210.346 2.619 20 0 BFADHN CCCCN(Cc1cccc(OC)n1)C1CC1 ZINC000353294072 387173653 /nfs/dbraw/zinc/17/36/53/387173653.db2.gz HGMQUKCEXRWFKL-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN CCC[C@H](C)N(C)Cc1cccc(OC)n1 ZINC000353307028 387175675 /nfs/dbraw/zinc/17/56/75/387175675.db2.gz XHTXWSQBMCQRMO-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN Cc1nc(CN2CC[C@@H]3CCC[C@@H]32)oc1C ZINC000336346099 387175773 /nfs/dbraw/zinc/17/57/73/387175773.db2.gz XXJOQKQDRYLUOL-RYUDHWBXSA-N 0 3 220.316 2.666 20 0 BFADHN C[C@H]1CCCC[C@@H]1N(C)Cc1n[nH]c(C2CC2)n1 ZINC000120016796 387177061 /nfs/dbraw/zinc/17/70/61/387177061.db2.gz OCUVZFBMFGMDPR-JQWIXIFHSA-N 0 3 248.374 2.693 20 0 BFADHN C[C@H]1CCCC[C@@H]1[N@H+](C)Cc1nnc(C2CC2)[n-]1 ZINC000120016796 387177065 /nfs/dbraw/zinc/17/70/65/387177065.db2.gz OCUVZFBMFGMDPR-JQWIXIFHSA-N 0 3 248.374 2.693 20 0 BFADHN C[C@H]1CCCC[C@@H]1[N@@H+](C)Cc1nnc(C2CC2)[n-]1 ZINC000120016796 387177068 /nfs/dbraw/zinc/17/70/68/387177068.db2.gz OCUVZFBMFGMDPR-JQWIXIFHSA-N 0 3 248.374 2.693 20 0 BFADHN C[C@H]1CCCC[C@H]1N(C)Cc1n[nH]c(C2CC2)n1 ZINC000120017082 387177195 /nfs/dbraw/zinc/17/71/95/387177195.db2.gz OCUVZFBMFGMDPR-CMPLNLGQSA-N 0 3 248.374 2.693 20 0 BFADHN C[C@H]1CCCC[C@H]1[N@H+](C)Cc1nnc(C2CC2)[n-]1 ZINC000120017082 387177201 /nfs/dbraw/zinc/17/72/01/387177201.db2.gz OCUVZFBMFGMDPR-CMPLNLGQSA-N 0 3 248.374 2.693 20 0 BFADHN C[C@H]1CCCC[C@H]1[N@@H+](C)Cc1nnc(C2CC2)[n-]1 ZINC000120017082 387177205 /nfs/dbraw/zinc/17/72/05/387177205.db2.gz OCUVZFBMFGMDPR-CMPLNLGQSA-N 0 3 248.374 2.693 20 0 BFADHN Cc1cc(CN(CC2CCC2)C(C)C)no1 ZINC000193209130 387179013 /nfs/dbraw/zinc/17/90/13/387179013.db2.gz IIVLFTQDILSLMP-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN CCc1ccc(CN2CCC[C@](C)(O)C2)s1 ZINC000161291219 387180547 /nfs/dbraw/zinc/18/05/47/387180547.db2.gz VPRWXBGFBMTXPX-ZDUSSCGKSA-N 0 3 239.384 2.657 20 0 BFADHN c1coc(CN2CCC[C@@H]([C@@H]3CCOC3)C2)c1 ZINC000336352263 387180969 /nfs/dbraw/zinc/18/09/69/387180969.db2.gz BGZWAJPYUKARCW-CHWSQXEVSA-N 0 3 235.327 2.528 20 0 BFADHN C[C@@H](NCCC1(O)CCC1)c1ccsc1 ZINC000284382116 387182243 /nfs/dbraw/zinc/18/22/43/387182243.db2.gz ONDOMHHMQTWMTB-SNVBAGLBSA-N 0 3 225.357 2.704 20 0 BFADHN Cc1ccc(CN2C[C@H](C(N)=O)CC[C@H]2C)cn1 ZINC000336355825 387185044 /nfs/dbraw/zinc/18/50/44/387185044.db2.gz DOZDDEZVNOVPLX-DGCLKSJQSA-N 0 3 247.342 2.526 20 0 BFADHN Nc1cc(CN2CCC3(CCCC3)CC2)ccn1 ZINC000062956797 387187711 /nfs/dbraw/zinc/18/77/11/387187711.db2.gz CPGMIFCHGFGCPN-UHFFFAOYSA-N 0 3 245.370 2.820 20 0 BFADHN Cc1ccc(NC(=O)CN2[C@@H](C)CC[C@@H]2C)cc1 ZINC000120136069 387188695 /nfs/dbraw/zinc/18/86/95/387188695.db2.gz JLWKMRSEBJHZCD-STQMWFEESA-N 0 3 246.354 2.806 20 0 BFADHN CC[C@@H](C)N1CCN([C@H]2C=CCCC2)CC1 ZINC000336305644 387134061 /nfs/dbraw/zinc/13/40/61/387134061.db2.gz ZKOHOHJAUFYROD-KGLIPLIRSA-N 0 3 222.376 2.511 20 0 BFADHN Cc1cnc(CN2[C@@H](C)CCC2(C)C)cn1 ZINC000336304697 387134234 /nfs/dbraw/zinc/13/42/34/387134234.db2.gz UOVSTGLWGPMOTA-NSHDSACASA-N 0 3 219.332 2.548 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(C(F)F)cc2)C1 ZINC000359615833 387134479 /nfs/dbraw/zinc/13/44/79/387134479.db2.gz MNKKMFIHAAIHDG-GFCCVEGCSA-N 0 3 241.281 2.845 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)o1 ZINC000119488224 387135265 /nfs/dbraw/zinc/13/52/65/387135265.db2.gz MACDAXIOKIQIRA-JFGNBEQYSA-N 0 3 222.332 2.897 20 0 BFADHN CO[C@H](CNCc1cccc(O)c1)CC(C)C ZINC000418105564 387135301 /nfs/dbraw/zinc/13/53/01/387135301.db2.gz WGXYDCCAZFHEPU-AWEZNQCLSA-N 0 3 237.343 2.543 20 0 BFADHN CN(C)Cc1ccccc1C(=O)NCC(C)(C)C ZINC000075910123 387136541 /nfs/dbraw/zinc/13/65/41/387136541.db2.gz BCWYKEZGPHZYHE-UHFFFAOYSA-N 0 3 248.370 2.524 20 0 BFADHN c1csc(CN2CCC[C@@]23CCOC3)c1 ZINC000370738055 387137868 /nfs/dbraw/zinc/13/78/68/387137868.db2.gz HJNSMXKHSDEMLB-LBPRGKRZSA-N 0 3 223.341 2.503 20 0 BFADHN CO[C@@H](C)CN(Cc1ccccc1C)C1CC1 ZINC000359990762 387206518 /nfs/dbraw/zinc/20/65/18/387206518.db2.gz FKAOBFWUVMWTLJ-ZDUSSCGKSA-N 0 3 233.355 2.994 20 0 BFADHN c1ncc(CN2CCC[C@@H]2C2CC2)s1 ZINC000371418729 387206688 /nfs/dbraw/zinc/20/66/88/387206688.db2.gz FRSIPKZKPGXDOA-LLVKDONJSA-N 0 3 208.330 2.518 20 0 BFADHN CO[C@H](C)CN(Cc1ccccc1C)C1CC1 ZINC000359990755 387206982 /nfs/dbraw/zinc/20/69/82/387206982.db2.gz FKAOBFWUVMWTLJ-CYBMUJFWSA-N 0 3 233.355 2.994 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N(C)C1CC(O)C1 ZINC000353467861 387208107 /nfs/dbraw/zinc/20/81/07/387208107.db2.gz NEKNXZGLOJSAMM-RUXDESIVSA-N 0 3 237.318 2.732 20 0 BFADHN CN(Cc1csc2ccccc12)C1CC(O)C1 ZINC000353480549 387212878 /nfs/dbraw/zinc/21/28/78/387212878.db2.gz IQLVUIFGIXUOAU-UHFFFAOYSA-N 0 3 247.363 2.856 20 0 BFADHN CN(Cc1ccc(C(C)(C)C)cc1)C1CC(O)C1 ZINC000353484042 387213098 /nfs/dbraw/zinc/21/30/98/387213098.db2.gz PNYSMULOEYGQGR-UHFFFAOYSA-N 0 3 247.382 2.939 20 0 BFADHN CC(C)CCC[C@@H](C)N(C)[C@@H](C)C[S@](C)=O ZINC000187837695 387214656 /nfs/dbraw/zinc/21/46/56/387214656.db2.gz VQJVONQSUSHNDZ-WWGRRREGSA-N 0 3 247.448 2.900 20 0 BFADHN CC(C)(CCO)CNCc1sccc1Cl ZINC000193133405 387216663 /nfs/dbraw/zinc/21/66/63/387216663.db2.gz SGWNMSJDWXFBNQ-UHFFFAOYSA-N 0 3 247.791 2.900 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H](C)[C@H](C)C2)n1 ZINC000093480804 387219571 /nfs/dbraw/zinc/21/95/71/387219571.db2.gz HFAPVWHYWPSXIC-GHMZBOCLSA-N 0 3 234.343 2.574 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H](C)[C@H](C)C2)n1 ZINC000093480804 387219574 /nfs/dbraw/zinc/21/95/74/387219574.db2.gz HFAPVWHYWPSXIC-GHMZBOCLSA-N 0 3 234.343 2.574 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCCC[C@@H]2CO)c1 ZINC000353515282 387221654 /nfs/dbraw/zinc/22/16/54/387221654.db2.gz DJZNVQZSROEISU-BFHYXJOUSA-N 0 3 248.370 2.592 20 0 BFADHN C[C@H](CF)NCc1ccccc1-c1ccnn1C ZINC000353529111 387224328 /nfs/dbraw/zinc/22/43/28/387224328.db2.gz IGPDTFUHRYDSEX-LLVKDONJSA-N 0 3 247.317 2.535 20 0 BFADHN CC[C@H](CN[C@@H](c1cccnc1)C(C)C)OC ZINC000353557705 387230644 /nfs/dbraw/zinc/23/06/44/387230644.db2.gz IBJYSPFJIJRMDD-ZIAGYGMSSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H](CSC)NCc1ccoc1C ZINC000321483345 387230889 /nfs/dbraw/zinc/23/08/89/387230889.db2.gz FHLWKJMJAQVXHC-LLVKDONJSA-N 0 3 213.346 2.819 20 0 BFADHN Cc1c[nH]nc1CN[C@H](C)Cc1cccs1 ZINC000290051534 387232260 /nfs/dbraw/zinc/23/22/60/387232260.db2.gz XCNKYSROMCAVAM-SNVBAGLBSA-N 0 3 235.356 2.500 20 0 BFADHN COc1ccc(C)cc1CN[C@@]1(C)CCO[C@H]1C ZINC000230150829 387234130 /nfs/dbraw/zinc/23/41/30/387234130.db2.gz QQHDUSGHKONFHT-WFASDCNBSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1cc(C)c(CN2CCN(C)C[C@H]2C)c(C)c1 ZINC000353585500 387235066 /nfs/dbraw/zinc/23/50/66/387235066.db2.gz UANBTYIUENJNEY-OAHLLOKOSA-N 0 3 246.398 2.748 20 0 BFADHN CCOc1cccc(CN[C@]2(C)CCO[C@H]2C)c1 ZINC000230155743 387235686 /nfs/dbraw/zinc/23/56/86/387235686.db2.gz MSZYCUBUYAYMCE-SWLSCSKDSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H]1OCC[C@@]1(C)NCc1cccc(Cl)c1 ZINC000230154520 387236722 /nfs/dbraw/zinc/23/67/22/387236722.db2.gz FKCCWJFWUZKDPH-GXFFZTMASA-N 0 3 239.746 2.997 20 0 BFADHN C[C@H]1OCC[C@]1(C)NCc1ccc(F)cc1F ZINC000230151732 387236746 /nfs/dbraw/zinc/23/67/46/387236746.db2.gz JWZNSZYHJGHKMT-RNCFNFMXSA-N 0 3 241.281 2.622 20 0 BFADHN CCCCN(C)CCNC(=O)[C@@H](C)C(C)(C)C ZINC000360213815 387246320 /nfs/dbraw/zinc/24/63/20/387246320.db2.gz RHVKMPHCNOAHSM-GFCCVEGCSA-N 0 3 242.407 2.517 20 0 BFADHN CCc1cccc(F)c1CN1CC[C@H](OC)C1 ZINC000353645806 387247312 /nfs/dbraw/zinc/24/73/12/387247312.db2.gz CHCXFZQAPYNOJX-LBPRGKRZSA-N 0 3 237.318 2.609 20 0 BFADHN Fc1ccc(CN2CCC23CCC3)cc1 ZINC000353645530 387248448 /nfs/dbraw/zinc/24/84/48/387248448.db2.gz HCRZULCKQSTCIZ-UHFFFAOYSA-N 0 3 205.276 2.954 20 0 BFADHN CO[C@@H]1CC[C@@H](N[C@H](C)c2cc(C)ccn2)C1 ZINC000353666948 387253904 /nfs/dbraw/zinc/25/39/04/387253904.db2.gz AXKBPSVXEGTIDU-JHJVBQTASA-N 0 3 234.343 2.608 20 0 BFADHN Cc1nn(C(C)C)cc1CN1C[C@H](C)[C@H](C)C1 ZINC000336362351 387194994 /nfs/dbraw/zinc/19/49/94/387194994.db2.gz ADNCFGZGSFWXQN-TXEJJXNPSA-N 0 3 235.375 2.860 20 0 BFADHN C[C@@H](NCc1cccc2cc[nH]c21)c1cn[nH]c1 ZINC000229881536 387198837 /nfs/dbraw/zinc/19/88/37/387198837.db2.gz MEOLAWWLUKESAC-SNVBAGLBSA-N 0 3 240.310 2.742 20 0 BFADHN Cc1ccc(CN[C@H](C)c2cn[nH]c2)cc1C ZINC000229884761 387201830 /nfs/dbraw/zinc/20/18/30/387201830.db2.gz RQXLXIBCIRMWHD-GFCCVEGCSA-N 0 3 229.327 2.877 20 0 BFADHN CCCn1cc(CN2CCCC[C@H](C)C2)cn1 ZINC000187515703 387201993 /nfs/dbraw/zinc/20/19/93/387201993.db2.gz WQFDGYKXUSWDPQ-ZDUSSCGKSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1ccnc([C@H](C)NC2CCSCC2)c1 ZINC000286060126 387311277 /nfs/dbraw/zinc/31/12/77/387311277.db2.gz LIBRMJBMXQFVRK-NSHDSACASA-N 0 3 236.384 2.936 20 0 BFADHN COc1cc(CN2CC[C@H](C)C(C)(C)C2)ccn1 ZINC000354014630 387312133 /nfs/dbraw/zinc/31/21/33/387312133.db2.gz MXSZMNPJYQNIET-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@H]1CN(Cc2ccc(C)nc2C)CCCO1 ZINC000336485242 387313922 /nfs/dbraw/zinc/31/39/22/387313922.db2.gz CYQYSHOIBISRLB-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN CC(C)CCN1CCN(c2cccs2)CC1 ZINC000121276210 387319311 /nfs/dbraw/zinc/31/93/11/387319311.db2.gz WVPZJALGUUGZRE-UHFFFAOYSA-N 0 3 238.400 2.916 20 0 BFADHN Cc1ccc(CN2CCN(C)CC2(C)C)cc1C ZINC000353678042 387255146 /nfs/dbraw/zinc/25/51/46/387255146.db2.gz COTVDPXHSMSDBW-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1ccnc([C@@H](C)NCCOC(F)(F)F)c1 ZINC000353670368 387256428 /nfs/dbraw/zinc/25/64/28/387256428.db2.gz AFBCKBMGKQNKIZ-SECBINFHSA-N 0 3 248.248 2.577 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCCN(C)[C@H]2C)c1 ZINC000353685413 387259044 /nfs/dbraw/zinc/25/90/44/387259044.db2.gz VMJDCWDPQCSPQI-MELADBBJSA-N 0 3 247.386 2.523 20 0 BFADHN c1ccc([C@@H]2C[C@@H]2CN2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000353709879 387263871 /nfs/dbraw/zinc/26/38/71/387263871.db2.gz SOFIMUACWGTQNA-WCVJEAGWSA-N 0 3 243.350 2.653 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)nc1 ZINC000360339344 387266143 /nfs/dbraw/zinc/26/61/43/387266143.db2.gz TVHAPPUGVVEOOI-KGYLQXTDSA-N 0 3 233.359 2.699 20 0 BFADHN CO[C@@H](C)CN(Cc1occc1C)C(C)C ZINC000353777831 387272552 /nfs/dbraw/zinc/27/25/52/387272552.db2.gz YNTGWHOMYQHABC-LBPRGKRZSA-N 0 3 225.332 2.833 20 0 BFADHN Cn1ccnc1[C@H](N[C@H]1CCC12CCC2)C1CC1 ZINC000353811708 387279451 /nfs/dbraw/zinc/27/94/51/387279451.db2.gz FNLBBWJDKLAAAJ-QWHCGFSZSA-N 0 3 245.370 2.794 20 0 BFADHN C[C@@H](CC1CC1)N[C@H](c1nccn1C)C1CC1 ZINC000353822265 387280021 /nfs/dbraw/zinc/28/00/21/387280021.db2.gz UPWBMAXDYRXLHE-GWCFXTLKSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1cccc(-c2n[nH]cc2CN2CC(C)C2)c1 ZINC000336451140 387280782 /nfs/dbraw/zinc/28/07/82/387280782.db2.gz CSJBXBMLSKUHPX-UHFFFAOYSA-N 0 3 241.338 2.837 20 0 BFADHN CCOc1cccc(CN2CC[C@H](C)[C@@H](C)C2)n1 ZINC000353839639 387282869 /nfs/dbraw/zinc/28/28/69/387282869.db2.gz AKTURYLJDCEQBK-STQMWFEESA-N 0 3 248.370 2.958 20 0 BFADHN CCC[C@@H](NC[C@@H](CO)C1CC1)c1ccccn1 ZINC000353847499 387285171 /nfs/dbraw/zinc/28/51/71/387285171.db2.gz DTVPVJGYMNROQI-UONOGXRCSA-N 0 3 248.370 2.531 20 0 BFADHN Cn1ccnc1[C@@H](NC1CC(C)(C)C1)C1CC1 ZINC000353864444 387287447 /nfs/dbraw/zinc/28/74/47/387287447.db2.gz JDAPUTLIOSCIRC-LBPRGKRZSA-N 0 3 233.359 2.649 20 0 BFADHN CC[C@H]1CN(CCCc2ccncc2)C[C@@H](C)O1 ZINC000360454019 387289236 /nfs/dbraw/zinc/28/92/36/387289236.db2.gz HUPYHQGZJSFBFD-HIFRSBDPSA-N 0 3 248.370 2.514 20 0 BFADHN C[C@@H]1OCCN(C[C@@H]2C[C@H]2c2ccccc2)[C@H]1C ZINC000353922164 387300164 /nfs/dbraw/zinc/30/01/64/387300164.db2.gz KVIZEBXEGVGVRF-SDADXPQNSA-N 0 3 245.366 2.899 20 0 BFADHN CN(C)c1cccc(OCCN2CCCCC2)c1 ZINC000360497554 387300531 /nfs/dbraw/zinc/30/05/31/387300531.db2.gz ASHUZCYENCVOJB-UHFFFAOYSA-N 0 3 248.370 2.617 20 0 BFADHN CC(C)[C@H]1CCN1Cc1ccnn1C(C)C ZINC000353940121 387302369 /nfs/dbraw/zinc/30/23/69/387302369.db2.gz AQJGKHABURAEOA-CYBMUJFWSA-N 0 3 221.348 2.694 20 0 BFADHN Cc1ccc(F)cc1CN1CCOCC[C@H]1C ZINC000372464173 387303647 /nfs/dbraw/zinc/30/36/47/387303647.db2.gz PKBACROSBZZGIF-GFCCVEGCSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1c(CN2CCC[C@@H](CC(C)C)C2)cnn1C ZINC000353971691 387304047 /nfs/dbraw/zinc/30/40/47/387304047.db2.gz LPBCXADZGGMIDY-AWEZNQCLSA-N 0 3 249.402 2.987 20 0 BFADHN C[C@@H](c1ccccc1)[C@@H](O)CNCc1ccoc1 ZINC000514931989 387308744 /nfs/dbraw/zinc/30/87/44/387308744.db2.gz MLGJAEAHUFBVCG-WFASDCNBSA-N 0 3 245.322 2.534 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](C)Cc2cnccn2)o1 ZINC000360854836 387357482 /nfs/dbraw/zinc/35/74/82/387357482.db2.gz CVTBXOFMIACKQT-CMPLNLGQSA-N 0 3 245.326 2.660 20 0 BFADHN Cc1cc(CN2CC(C3CC3)C2)cs1 ZINC000648592238 387361666 /nfs/dbraw/zinc/36/16/66/387361666.db2.gz GVXUBKVGJBFJIE-UHFFFAOYSA-N 0 3 207.342 2.898 20 0 BFADHN COc1cc(C)ccc1CN1CC(C2CC2)C1 ZINC000648592256 387361708 /nfs/dbraw/zinc/36/17/08/387361708.db2.gz NTJDEVMCPIMRBX-UHFFFAOYSA-N 0 3 231.339 2.845 20 0 BFADHN C=Cn1cc(CN2CC3(CCC3)C[C@@H]2C)cn1 ZINC000336547158 387367953 /nfs/dbraw/zinc/36/79/53/387367953.db2.gz PNNGQESUKOGJJY-LBPRGKRZSA-N 0 3 231.343 2.748 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]3CCC[C@H]32)cn1 ZINC000336552036 387372301 /nfs/dbraw/zinc/37/23/01/387372301.db2.gz MVGOFUOMJRKYBK-GXTWGEPZSA-N 0 3 231.343 2.550 20 0 BFADHN CCOc1ccccc1CN(C)CCCF ZINC000121516934 387375007 /nfs/dbraw/zinc/37/50/07/387375007.db2.gz UOMBFTBOXWEXGV-UHFFFAOYSA-N 0 3 225.307 2.877 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1cc(C)ccn1 ZINC000286030980 387319813 /nfs/dbraw/zinc/31/98/13/387319813.db2.gz JFSMWEVHEHYAOK-MNOVXSKESA-N 0 3 224.373 2.792 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1cc(C)ccn1 ZINC000286030986 387319840 /nfs/dbraw/zinc/31/98/40/387319840.db2.gz JFSMWEVHEHYAOK-WDEREUQCSA-N 0 3 224.373 2.792 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H]1CCCc2cc(F)ccc21 ZINC000514991303 387320107 /nfs/dbraw/zinc/32/01/07/387320107.db2.gz IDEZEJYAKJOTKV-RRFJBIMHSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@@H](CCC(C)(C)C)N[C@H]1CNCCC1(F)F ZINC000423472385 387320341 /nfs/dbraw/zinc/32/03/41/387320341.db2.gz FUUXIHDYHIJVRT-QWRGUYRKSA-N 0 3 248.361 2.788 20 0 BFADHN C[C@H](NCCC1=CCCCC1)c1ccnn1C ZINC000192245885 387320921 /nfs/dbraw/zinc/32/09/21/387320921.db2.gz SVAUZUICYAKQOK-LBPRGKRZSA-N 0 3 233.359 2.961 20 0 BFADHN C[C@@H](CN(C1CC1)C1COC1)C(C)(C)C ZINC000648606628 387382623 /nfs/dbraw/zinc/38/26/23/387382623.db2.gz IZJOLJKCTIBSBN-JTQLQIEISA-N 0 3 211.349 2.532 20 0 BFADHN CCN(CCO)Cc1cc2c(cc(C)cc2C)[nH]1 ZINC000360668890 387332881 /nfs/dbraw/zinc/33/28/81/387332881.db2.gz MMFSQWYAMHNQGZ-UHFFFAOYSA-N 0 3 246.354 2.599 20 0 BFADHN COC[C@H](NCC1(C)CCC1)c1ccco1 ZINC000292963073 387337228 /nfs/dbraw/zinc/33/72/28/387337228.db2.gz PHUTWBKCTFOCOF-NSHDSACASA-N 0 3 223.316 2.747 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1ccc(OC)cc1)OC ZINC000290076115 387337328 /nfs/dbraw/zinc/33/73/28/387337328.db2.gz GLRLBTNTHNGPLY-AAEUAGOBSA-N 0 3 237.343 2.771 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCCCCCO)o1 ZINC000192908018 387339166 /nfs/dbraw/zinc/33/91/66/387339166.db2.gz AFVDIUDRBWMJMQ-DGCLKSJQSA-N 0 3 237.343 2.655 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCCCCCO)o1 ZINC000192907993 387339396 /nfs/dbraw/zinc/33/93/96/387339396.db2.gz AFVDIUDRBWMJMQ-YPMHNXCESA-N 0 3 237.343 2.655 20 0 BFADHN Cc1cc(CN2CCC[C@H]([C@@H](C)O)C2)cs1 ZINC000572351614 387339662 /nfs/dbraw/zinc/33/96/62/387339662.db2.gz YZJCOVIFFXXXDJ-YPMHNXCESA-N 0 3 239.384 2.649 20 0 BFADHN CO[C@H](CNCc1ccc(C)c(F)c1)C1CC1 ZINC000292984081 387344958 /nfs/dbraw/zinc/34/49/58/387344958.db2.gz LMVJSRNBMRCUAF-CQSZACIVSA-N 0 3 237.318 2.649 20 0 BFADHN c1cc(-c2ccc([C@@H]3CNCCO3)cc2)co1 ZINC000207766112 387349143 /nfs/dbraw/zinc/34/91/43/387349143.db2.gz FFUIFHFWKIETJX-AWEZNQCLSA-N 0 3 229.279 2.608 20 0 BFADHN c1cc(-c2ccc([C@H]3CNCCO3)cc2)co1 ZINC000207766158 387350802 /nfs/dbraw/zinc/35/08/02/387350802.db2.gz FFUIFHFWKIETJX-CQSZACIVSA-N 0 3 229.279 2.608 20 0 BFADHN FCCN[C@@H]1c2ccccc2CC12CCOCC2 ZINC000336526428 387351271 /nfs/dbraw/zinc/35/12/71/387351271.db2.gz ZCYRVVONKDTZPH-CQSZACIVSA-N 0 3 249.329 2.640 20 0 BFADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1CCCO ZINC000354836495 387436398 /nfs/dbraw/zinc/43/63/98/387436398.db2.gz QAZSJBBQZSDFPQ-GHMZBOCLSA-N 0 3 237.318 2.516 20 0 BFADHN C[C@@H]1[C@H](C)OCCN1CCCCOC(C)(C)C ZINC000354865018 387438575 /nfs/dbraw/zinc/43/85/75/387438575.db2.gz QOWHILMFXLQJPE-OLZOCXBDSA-N 0 3 243.391 2.691 20 0 BFADHN Cc1ccoc1CN1CCS[C@H](C)[C@H]1C ZINC000271178401 387439656 /nfs/dbraw/zinc/43/96/56/387439656.db2.gz KLINVSKUDKSORY-GHMZBOCLSA-N 0 3 225.357 2.914 20 0 BFADHN C[C@@H](CCCO)CNCc1cscc1Cl ZINC000648674331 387439787 /nfs/dbraw/zinc/43/97/87/387439787.db2.gz MNWIHJMCIRAKDS-VIFPVBQESA-N 0 3 247.791 2.900 20 0 BFADHN CCN(CCC[C@@H](C)O)Cc1cccc(F)c1 ZINC000354869562 387439978 /nfs/dbraw/zinc/43/99/78/387439978.db2.gz XLTUYLCHQQMRHS-GFCCVEGCSA-N 0 3 239.334 2.809 20 0 BFADHN C[C@]1(F)CCN([C@H]2C[C@H]2c2ccccc2)C1 ZINC000361352415 387443681 /nfs/dbraw/zinc/44/36/81/387443681.db2.gz DCUKAJPRRJIMTB-IHRRRGAJSA-N 0 3 219.303 2.976 20 0 BFADHN CCc1ccc(CN[C@H]2CCCC[C@H]2OC)cn1 ZINC000352704346 387446916 /nfs/dbraw/zinc/44/69/16/387446916.db2.gz JBJRHONLSPWACL-LSDHHAIUSA-N 0 3 248.370 2.691 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](C)c1ccncn1 ZINC000395807924 387453345 /nfs/dbraw/zinc/45/33/45/387453345.db2.gz DAHCEFXVLPMDLC-GARJFASQSA-N 0 3 207.321 2.562 20 0 BFADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1cnn(C)c1 ZINC000354989187 387459049 /nfs/dbraw/zinc/45/90/49/387459049.db2.gz WJJOCZHPUKXDKX-WCBMZHEXSA-N 0 3 249.280 2.802 20 0 BFADHN CCOC(=O)c1coc(CN[C@H]2CC=CCC2)c1 ZINC000354516330 387386715 /nfs/dbraw/zinc/38/67/15/387386715.db2.gz VGYNPZHVLCMBCC-LBPRGKRZSA-N 0 3 249.310 2.655 20 0 BFADHN Cc1cc2[nH]c(CN(C)C[C@H](C)O)cc2c(C)c1 ZINC000361009543 387388252 /nfs/dbraw/zinc/38/82/52/387388252.db2.gz LIOOZIDABOURGC-LBPRGKRZSA-N 0 3 246.354 2.597 20 0 BFADHN CCCn1cc(CN2CC[C@@H](CC3CC3)C2)cn1 ZINC000354532358 387390652 /nfs/dbraw/zinc/39/06/52/387390652.db2.gz ZIOYBBOGXRXIJP-AWEZNQCLSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1ccoc1CN(C(C)C)[C@@H]1CCOC1 ZINC000361052641 387394958 /nfs/dbraw/zinc/39/49/58/387394958.db2.gz OSDZGASQQMMZQH-GFCCVEGCSA-N 0 3 223.316 2.587 20 0 BFADHN Cc1cnc(CN2CCCC(C)(C)CC2)cn1 ZINC000336574423 387396991 /nfs/dbraw/zinc/39/69/91/387396991.db2.gz MTJCFFDIEWVCNV-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@H](O)C2(C)C)o1 ZINC000361081595 387399235 /nfs/dbraw/zinc/39/92/35/387399235.db2.gz MSQZKLPCGOXHOQ-OSMZGAPFSA-N 0 3 249.354 2.748 20 0 BFADHN Cc1ccc2nccc(N(C)C3CC(O)C3)c2c1 ZINC000354581614 387399357 /nfs/dbraw/zinc/39/93/57/387399357.db2.gz VNHFTXWFLARPDY-UHFFFAOYSA-N 0 3 242.322 2.503 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1ccccc1N(C)C ZINC000515591388 387400751 /nfs/dbraw/zinc/40/07/51/387400751.db2.gz JVNNKXYEJRCUDH-OSAQELSMSA-N 0 3 248.370 2.581 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1ccccc1N(C)C ZINC000515591387 387400861 /nfs/dbraw/zinc/40/08/61/387400861.db2.gz JVNNKXYEJRCUDH-NJZAAPMLSA-N 0 3 248.370 2.581 20 0 BFADHN CCSCC[C@@H](C)NCc1cncs1 ZINC000136257648 387401298 /nfs/dbraw/zinc/40/12/98/387401298.db2.gz RVZKXEKSXXCPMJ-SECBINFHSA-N 0 3 230.402 2.764 20 0 BFADHN c1csc(CN2CCOCC3(CCC3)C2)c1 ZINC000336578652 387401299 /nfs/dbraw/zinc/40/12/99/387401299.db2.gz VKEGEYQEHJSDLM-UHFFFAOYSA-N 0 3 237.368 2.751 20 0 BFADHN COC(=O)c1cccc(CN(C)[C@H]2CC2(C)C)c1 ZINC000361113979 387403401 /nfs/dbraw/zinc/40/34/01/387403401.db2.gz PPPQJPWUVNXJBS-ZDUSSCGKSA-N 0 3 247.338 2.704 20 0 BFADHN CCCn1cc(CN2CCC(C)=C(C)C2)cn1 ZINC000361130538 387404452 /nfs/dbraw/zinc/40/44/52/387404452.db2.gz ZSJRGHUOOAUGGO-UHFFFAOYSA-N 0 3 233.359 2.835 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@@H](C)[C@@H]2C)c(C)c1 ZINC000361152157 387405457 /nfs/dbraw/zinc/40/54/57/387405457.db2.gz HCYWBXFZDSXYIC-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)N(C)C[C@H]2C)c(C)c1 ZINC000361153196 387405868 /nfs/dbraw/zinc/40/58/68/387405868.db2.gz LKZHXPUIHMYGRO-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1ccc(CN2C[C@H](C)C[C@@H](C)C2)cn1 ZINC000121781250 387406197 /nfs/dbraw/zinc/40/61/97/387406197.db2.gz XWPSYVMYXXZUHC-VXGBXAGGSA-N 0 3 218.344 2.868 20 0 BFADHN CC[C@@H](CC(F)(F)F)NCc1cn(C)nc1C ZINC000354682761 387414900 /nfs/dbraw/zinc/41/49/00/387414900.db2.gz WLDUDKUGVBPHCN-JTQLQIEISA-N 0 3 249.280 2.549 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2CC(C)C)on1 ZINC000354674714 387415146 /nfs/dbraw/zinc/41/51/46/387415146.db2.gz GAZPCILLNHOXCC-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN COC[C@H](C)NCc1cc2cc(F)ccc2o1 ZINC000354699454 387417223 /nfs/dbraw/zinc/41/72/23/387417223.db2.gz QNMUHXYTWUVDMD-VIFPVBQESA-N 0 3 237.274 2.696 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@@H]1CCCC[C@@H]1O ZINC000516140980 387461193 /nfs/dbraw/zinc/46/11/93/387461193.db2.gz OSSNTROPMFLBBK-AGIUHOORSA-N 0 3 237.343 2.824 20 0 BFADHN CCCCC[C@H]1CCCN1Cc1cncn1C ZINC000355216260 387496308 /nfs/dbraw/zinc/49/63/08/387496308.db2.gz URXRQXHXVXLVBK-ZDUSSCGKSA-N 0 3 235.375 2.965 20 0 BFADHN CC(C)C1(CN[C@H](C)c2ccncn2)CC1 ZINC000395875524 387496744 /nfs/dbraw/zinc/49/67/44/387496744.db2.gz FHHHPUAPHZZNPS-LLVKDONJSA-N 0 3 219.332 2.563 20 0 BFADHN Cc1cn[nH]c1CNCC=Cc1ccccc1 ZINC000352806570 387499683 /nfs/dbraw/zinc/49/96/83/387499683.db2.gz ZHNYOAIKGCYQNN-YVMONPNESA-N 0 3 227.311 2.521 20 0 BFADHN COC1(CN(C)Cc2ccc[nH]2)CCCCC1 ZINC000361577769 387500141 /nfs/dbraw/zinc/50/01/41/387500141.db2.gz MJQKGJVAQRZMMZ-UHFFFAOYSA-N 0 3 236.359 2.796 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CC[C@H]2CCC[C@H]2C1 ZINC000352809684 387500406 /nfs/dbraw/zinc/50/04/06/387500406.db2.gz IJCRRAZPVJTRGW-FRRDWIJNSA-N 0 3 233.359 2.777 20 0 BFADHN COC1(CN(C)Cc2ccccn2)CCCCC1 ZINC000361585392 387500819 /nfs/dbraw/zinc/50/08/19/387500819.db2.gz HZVWIIMVGRAWEZ-UHFFFAOYSA-N 0 3 248.370 2.863 20 0 BFADHN c1ccc2c(c1)OC[C@H](CN1CCCCCC1)O2 ZINC000001455901 387507559 /nfs/dbraw/zinc/50/75/59/387507559.db2.gz CFWIHUFVMSAPNI-ZDUSSCGKSA-N 0 3 247.338 2.702 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2COC(C)(C)C2)o1 ZINC000396908584 387510886 /nfs/dbraw/zinc/51/08/86/387510886.db2.gz LJPXTHNOQXFNFN-MNOVXSKESA-N 0 3 223.316 2.806 20 0 BFADHN Cc1ccc(CN(C)CCC2(O)CCC2)cc1 ZINC000396904436 387511831 /nfs/dbraw/zinc/51/18/31/387511831.db2.gz DKWYUEZMTRZQBI-UHFFFAOYSA-N 0 3 233.355 2.732 20 0 BFADHN C[C@H](CNCc1cscn1)CC(F)(F)F ZINC000396903860 387512271 /nfs/dbraw/zinc/51/22/71/387512271.db2.gz FNMPHGOYTMZBAS-ZETCQYMHSA-N 0 3 238.278 2.821 20 0 BFADHN CCN(CC)C(=O)C[C@H](c1ccccc1)N(C)C ZINC000005807542 387517033 /nfs/dbraw/zinc/51/70/33/387517033.db2.gz ZVDBOOBNPMGMJL-CQSZACIVSA-N 0 3 248.370 2.548 20 0 BFADHN Clc1ncccc1CN[C@H]1CCCSC1 ZINC000165244519 387518730 /nfs/dbraw/zinc/51/87/30/387518730.db2.gz ALPDEUVOWHWDMV-JTQLQIEISA-N 0 3 242.775 2.720 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCO[C@H]2C2CC2)o1 ZINC000300413388 387519769 /nfs/dbraw/zinc/51/97/69/387519769.db2.gz BLNQDXRBUHIYED-JKOKRWQUSA-N 0 3 235.327 2.806 20 0 BFADHN C[C@@H](CC(C)(C)C)N[C@@H](C)c1ccncn1 ZINC000395965083 387520251 /nfs/dbraw/zinc/52/02/51/387520251.db2.gz KDMMYNRALNKSRH-QWRGUYRKSA-N 0 3 221.348 2.952 20 0 BFADHN CCC[C@@H](CNCc1ccc(C)c(C)n1)OC ZINC000313852479 387543940 /nfs/dbraw/zinc/54/39/40/387543940.db2.gz SRTVNCQTNUBUKG-AWEZNQCLSA-N 0 3 236.359 2.603 20 0 BFADHN Cc1ccc(CN[C@@H]2CCN(C3CCCC3)C2)o1 ZINC000106472726 387525689 /nfs/dbraw/zinc/52/56/89/387525689.db2.gz ZPEQYINFKODXLS-CYBMUJFWSA-N 0 3 248.370 2.695 20 0 BFADHN CCN(C(=O)C(C)C(F)(F)F)c1ccncc1 ZINC000361719963 387526825 /nfs/dbraw/zinc/52/68/25/387526825.db2.gz FZUIIZNBVVWOQT-MRVPVSSYSA-N 0 3 246.232 2.633 20 0 BFADHN FCCCN1CCC(F)(c2cccnc2)CC1 ZINC000375338502 387528533 /nfs/dbraw/zinc/52/85/33/387528533.db2.gz RKLKZCLJUGCWJE-UHFFFAOYSA-N 0 3 240.297 2.702 20 0 BFADHN C[C@H](N[C@H](C1CC1)C1CCC1)c1cnccn1 ZINC000324825258 387529600 /nfs/dbraw/zinc/52/96/00/387529600.db2.gz TYOOXJVGBAHVJG-HZMBPMFUSA-N 0 3 231.343 2.706 20 0 BFADHN c1ccc(CN2CCOC[C@H]2C2CCC2)cc1 ZINC000307493776 387530347 /nfs/dbraw/zinc/53/03/47/387530347.db2.gz HLMKFNFFVDKEIU-HNNXBMFYSA-N 0 3 231.339 2.688 20 0 BFADHN C[C@@H](NCCc1ccccc1F)c1ccncn1 ZINC000396058887 387530749 /nfs/dbraw/zinc/53/07/49/387530749.db2.gz XCOCCEKFTOAFGE-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN Cc1cnn(CCNCc2ccc(C)c(C)c2)c1 ZINC000109075501 387532216 /nfs/dbraw/zinc/53/22/16/387532216.db2.gz PUUVPBVVNFSKLP-UHFFFAOYSA-N 0 3 243.354 2.598 20 0 BFADHN CCn1cncc1CN[C@@H]1C[C@H]1C1CCCCC1 ZINC000417971404 387537820 /nfs/dbraw/zinc/53/78/20/387537820.db2.gz RSMIKNDDACBYKZ-LSDHHAIUSA-N 0 3 247.386 2.961 20 0 BFADHN CCC[C@@H](NCc1snnc1C)C1CCC1 ZINC000324847849 387538015 /nfs/dbraw/zinc/53/80/15/387538015.db2.gz DLBKOSFOBVBEDZ-LLVKDONJSA-N 0 3 239.388 2.905 20 0 BFADHN FC1(F)CC(NCc2ccsc2)C1 ZINC000277596359 387538251 /nfs/dbraw/zinc/53/82/51/387538251.db2.gz IGVSATKDQISJFU-UHFFFAOYSA-N 0 3 203.257 2.635 20 0 BFADHN CN(CCCCCn1cccn1)Cc1ccoc1 ZINC000355430981 387538552 /nfs/dbraw/zinc/53/85/52/387538552.db2.gz BFJSIMZHOUBNCA-UHFFFAOYSA-N 0 3 247.342 2.778 20 0 BFADHN CCOC[C@H]1CCC[C@H]1NCc1cccc(C)n1 ZINC000527223524 387540468 /nfs/dbraw/zinc/54/04/68/387540468.db2.gz ATLFMOHJDBKXAM-UKRRQHHQSA-N 0 3 248.370 2.685 20 0 BFADHN C[C@@H]([NH2+]Cc1ncccc1[O-])c1ccccc1 ZINC000516116578 387465760 /nfs/dbraw/zinc/46/57/60/387465760.db2.gz OHUSUUSRXJQKFP-LLVKDONJSA-N 0 3 228.295 2.638 20 0 BFADHN CCc1ccc(CNCc2ccc(O)cc2)cn1 ZINC000352766482 387468531 /nfs/dbraw/zinc/46/85/31/387468531.db2.gz XHFCMXWVGDRBOX-UHFFFAOYSA-N 0 3 242.322 2.639 20 0 BFADHN Cc1n[nH]c(C)c1CN(C)[C@@H](C)C1(C)CC1 ZINC000355077887 387474879 /nfs/dbraw/zinc/47/48/79/387474879.db2.gz GZCAWYFRYWDFKP-NSHDSACASA-N 0 3 221.348 2.647 20 0 BFADHN Cc1cn[nH]c1CNC1(c2cccc(F)c2)CC1 ZINC000352796876 387486806 /nfs/dbraw/zinc/48/68/06/387486806.db2.gz LAJRJLOXLKWDEM-UHFFFAOYSA-N 0 3 245.301 2.636 20 0 BFADHN Cc1ccc(CN2CCCC[C@H]2C[C@H](C)O)o1 ZINC000336695871 387580553 /nfs/dbraw/zinc/58/05/53/387580553.db2.gz IXIJYCYJFNSXPU-AAEUAGOBSA-N 0 3 237.343 2.713 20 0 BFADHN FC1(CN2CC[C@H](c3ccccc3)C2)CC1 ZINC000527510008 387587702 /nfs/dbraw/zinc/58/77/02/387587702.db2.gz VIINMVHTQMDGGV-ZDUSSCGKSA-N 0 3 219.303 2.978 20 0 BFADHN CCO[C@H]1C[C@@H](N(C)CC2(F)CC2)C1(C)C ZINC000527510695 387591009 /nfs/dbraw/zinc/59/10/09/387591009.db2.gz KFHQSRKZAZIUGK-MNOVXSKESA-N 0 3 229.339 2.624 20 0 BFADHN CCCc1nc(C)c(CN(C)C2CCC2)o1 ZINC000428218660 387591862 /nfs/dbraw/zinc/59/18/62/387591862.db2.gz NDXJZSKAIOCFSS-UHFFFAOYSA-N 0 3 222.332 2.920 20 0 BFADHN FC1(CNC[C@@H]2CCOc3ccccc32)CC1 ZINC000527518244 387593846 /nfs/dbraw/zinc/59/38/46/387593846.db2.gz ZOWHQBBHCWBJKR-NSHDSACASA-N 0 3 235.302 2.644 20 0 BFADHN CC(C)C[C@@H](C)N1CCOC2(CCC2)C1 ZINC000355917252 387595006 /nfs/dbraw/zinc/59/50/06/387595006.db2.gz SPQMWHAGXMKHFZ-GFCCVEGCSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1ccc(CCN2CCOC(C)(C)C2)cc1 ZINC000123908569 387598047 /nfs/dbraw/zinc/59/80/47/387598047.db2.gz BJAKGMSOVFVSCO-UHFFFAOYSA-N 0 3 233.355 2.648 20 0 BFADHN COc1cc(C)c(CN2[C@H](C)C[C@@H]2C)cc1OC ZINC000527529410 387598275 /nfs/dbraw/zinc/59/82/75/387598275.db2.gz KHDNFGPGRDRRKU-TXEJJXNPSA-N 0 3 249.354 2.995 20 0 BFADHN COc1ccc(CN2[C@H](C)C[C@H]2C)cc1OC ZINC000527529576 387598644 /nfs/dbraw/zinc/59/86/44/387598644.db2.gz DIQQBQUTDGMVFW-GHMZBOCLSA-N 0 3 235.327 2.687 20 0 BFADHN Cc1ccc([C@H](C)N(C)C(=O)CNC(C)C)cc1 ZINC000037808080 387603412 /nfs/dbraw/zinc/60/34/12/387603412.db2.gz CSAKOGPSDTXEFM-ZDUSSCGKSA-N 0 3 248.370 2.512 20 0 BFADHN C[C@]1(CN[C@@H]2CCCc3occc32)CCCO1 ZINC000124081936 387604855 /nfs/dbraw/zinc/60/48/55/387604855.db2.gz FGDNSJKIKHZQGJ-TZMCWYRMSA-N 0 3 235.327 2.816 20 0 BFADHN CO[C@@H]1CCCN(Cc2cccc(F)c2)CC1 ZINC000376071540 387604909 /nfs/dbraw/zinc/60/49/09/387604909.db2.gz XTKHTZLRXMWCJG-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN COCC1(C)CCN(Cc2ccnc(C)c2)CC1 ZINC000527538777 387606336 /nfs/dbraw/zinc/60/63/36/387606336.db2.gz MFZWMQGSAMFWJF-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@H]1CC(C)C ZINC000356053535 387609755 /nfs/dbraw/zinc/60/97/55/387609755.db2.gz AFMABCPPKAAXTK-LBPRGKRZSA-N 0 3 221.348 2.729 20 0 BFADHN CCc1cc(NC(=O)[C@H](N)CC(C)C)ccc1C ZINC000305504553 387612635 /nfs/dbraw/zinc/61/26/35/387612635.db2.gz VSYKFPHLNZAMIY-CQSZACIVSA-N 0 3 248.370 2.869 20 0 BFADHN COc1cc(CN2CCC[C@@H]2C)cc(OC)c1 ZINC000124333925 387613967 /nfs/dbraw/zinc/61/39/67/387613967.db2.gz AMPAISVTBUJQLR-NSHDSACASA-N 0 3 235.327 2.688 20 0 BFADHN CN(Cc1cc(-c2ccccc2)n[nH]1)CC1CC1 ZINC000122958509 387621896 /nfs/dbraw/zinc/62/18/96/387621896.db2.gz FMKVQDBNHXYGHE-UHFFFAOYSA-N 0 3 241.338 2.919 20 0 BFADHN Cc1cc(CN2CCC(C)(C)C2)ccn1 ZINC000527532276 387622292 /nfs/dbraw/zinc/62/22/92/387622292.db2.gz CQSCVXMSVDLGJC-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CC(C)CCC[C@H](C)NCc1nccn1C ZINC000042222311 387622392 /nfs/dbraw/zinc/62/23/92/387622392.db2.gz OJZIGAOMXUTWMA-LBPRGKRZSA-N 0 3 223.364 2.725 20 0 BFADHN CCC[C@H](C)CN1CCO[C@@H](C2CC2)C1 ZINC000362522626 387625386 /nfs/dbraw/zinc/62/53/86/387625386.db2.gz CAERIGOEONAUJX-WCQYABFASA-N 0 3 211.349 2.533 20 0 BFADHN CCCCCN1CCc2ncsc2C1 ZINC000361849545 387544998 /nfs/dbraw/zinc/54/49/98/387544998.db2.gz NFYUQSMFOFDJCK-UHFFFAOYSA-N 0 3 210.346 2.691 20 0 BFADHN Cc1nn(C)cc1CN1CC[C@@H](C)C(C)(C)C1 ZINC000355501608 387545088 /nfs/dbraw/zinc/54/50/88/387545088.db2.gz DMZPKPDZOLCTQB-LLVKDONJSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1n[nH]c(C)c1CN1CC[C@H](C)C(C)(C)C1 ZINC000355515883 387545724 /nfs/dbraw/zinc/54/57/24/387545724.db2.gz RAZMIXOWCXUFRJ-JTQLQIEISA-N 0 3 235.375 2.895 20 0 BFADHN Cc1cnc(CN[C@H](C)[C@H]2C[C@@H]2C)s1 ZINC000321924564 387548722 /nfs/dbraw/zinc/54/87/22/387548722.db2.gz RNSXLAWYYDHVIU-SFGNSQDASA-N 0 3 210.346 2.586 20 0 BFADHN CCCn1cc(CNCc2ccc(C)cc2)cn1 ZINC000116912226 387549601 /nfs/dbraw/zinc/54/96/01/387549601.db2.gz JOSOHXRBYJRDGS-UHFFFAOYSA-N 0 3 243.354 2.891 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1ncnn1CC ZINC000355599764 387554666 /nfs/dbraw/zinc/55/46/66/387554666.db2.gz ODSSTYMNGMIRJB-VXGBXAGGSA-N 0 3 238.379 2.602 20 0 BFADHN CCC[C@H](NCC(=O)NC(C)C)c1ccccc1 ZINC000035133755 387555536 /nfs/dbraw/zinc/55/55/36/387555536.db2.gz UPTIQKTULKZDDL-AWEZNQCLSA-N 0 3 248.370 2.642 20 0 BFADHN C[C@H](Cc1ccncc1)N[C@H](C)c1cncs1 ZINC000361970016 387556516 /nfs/dbraw/zinc/55/65/16/387556516.db2.gz FFOTYCXKJFPIJT-GHMZBOCLSA-N 0 3 247.367 2.820 20 0 BFADHN CC(C)(C)[C@@H]1CCCN(Cc2cc[nH]n2)C1 ZINC000355647628 387561819 /nfs/dbraw/zinc/56/18/19/387561819.db2.gz HZVXTMHBYMFLMX-LLVKDONJSA-N 0 3 221.348 2.668 20 0 BFADHN CCc1ccc([C@@H](C)NCC[C@H](O)C(C)C)o1 ZINC000305140641 387562800 /nfs/dbraw/zinc/56/28/00/387562800.db2.gz MGNSTGQDTFKHCY-YPMHNXCESA-N 0 3 239.359 2.900 20 0 BFADHN CC[C@@H](N)C(=O)N[C@@H](CC(C)C)c1ccccc1 ZINC000037508857 387565927 /nfs/dbraw/zinc/56/59/27/387565927.db2.gz AWXXDMHYRYBJHV-KGLIPLIRSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1ccc([C@H](C)NCCc2cccnc2)o1 ZINC000037717749 387566251 /nfs/dbraw/zinc/56/62/51/387566251.db2.gz PCQPTKBLJRPLBC-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN C[C@H](NC[C@H]1Cc2ccccc2O1)c1ccco1 ZINC000038009424 387569554 /nfs/dbraw/zinc/56/95/54/387569554.db2.gz NNWJIMGPIFYWHQ-WCQYABFASA-N 0 3 243.306 2.934 20 0 BFADHN c1c(CN2CC[C@@H]3CCC[C@@H]32)nc2ccccn12 ZINC000426463855 387572522 /nfs/dbraw/zinc/57/25/22/387572522.db2.gz VEUQKNCIVZDZHV-JSGCOSHPSA-N 0 3 241.338 2.709 20 0 BFADHN c1c(CN2CC[C@H]3CCC[C@@H]32)nc2ccccn12 ZINC000426463858 387572564 /nfs/dbraw/zinc/57/25/64/387572564.db2.gz VEUQKNCIVZDZHV-OCCSQVGLSA-N 0 3 241.338 2.709 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2ccco2)nc1 ZINC000399808092 387573480 /nfs/dbraw/zinc/57/34/80/387573480.db2.gz QDPNOOGVSVAICM-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN CC1(C)SC[C@H]1NCc1cccc(F)c1 ZINC000282684630 387576480 /nfs/dbraw/zinc/57/64/80/387576480.db2.gz KPJXMNFQXYELRB-LLVKDONJSA-N 0 3 225.332 2.809 20 0 BFADHN Cc1cnn(C)c1CN[C@H]1C[C@H]1C1CCCCC1 ZINC000375756840 387579288 /nfs/dbraw/zinc/57/92/88/387579288.db2.gz JVBIKZBAVSHABM-KBPBESRZSA-N 0 3 247.386 2.787 20 0 BFADHN COc1ccnc(CN2[C@@H](C)CCC2(C)C)c1 ZINC000452004456 387694266 /nfs/dbraw/zinc/69/42/66/387694266.db2.gz HSTJDLCLZYLVAF-NSHDSACASA-N 0 3 234.343 2.853 20 0 BFADHN C[C@]1(O)CCCN(CCCCC(F)(F)F)C1 ZINC000124805651 387633524 /nfs/dbraw/zinc/63/35/24/387633524.db2.gz KHHHDCNAUQLPNX-JTQLQIEISA-N 0 3 239.281 2.566 20 0 BFADHN CC[C@@H](NCCn1cccn1)c1ccc(F)cc1 ZINC000042538846 387633563 /nfs/dbraw/zinc/63/35/63/387633563.db2.gz CCIWTXLAOFFVPM-CQSZACIVSA-N 0 3 247.317 2.763 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccc(SC)s1 ZINC000356290508 387638623 /nfs/dbraw/zinc/63/86/23/387638623.db2.gz BPAYAQWWQHVUBW-VIFPVBQESA-N 0 3 245.413 2.937 20 0 BFADHN COc1cc(CN2[C@@H](C)CCC2(C)C)ccn1 ZINC000452005573 387694928 /nfs/dbraw/zinc/69/49/28/387694928.db2.gz KBMVRGZJKBHKIF-NSHDSACASA-N 0 3 234.343 2.853 20 0 BFADHN C/C(=C/c1ccccc1)CN1CCC(O)CC1 ZINC000305734246 387638974 /nfs/dbraw/zinc/63/89/74/387638974.db2.gz QFMLEVJCCBSVLK-QBFSEMIESA-N 0 3 231.339 2.547 20 0 BFADHN Cc1nc(CCN2CC3CCC2CC3)cs1 ZINC000367396176 387642382 /nfs/dbraw/zinc/64/23/82/387642382.db2.gz HIWHPMWOTUURLJ-UHFFFAOYSA-N 0 3 236.384 2.868 20 0 BFADHN CC(C)(C)C[C@H](CO)NCc1ccc(Cl)o1 ZINC000125116204 387642674 /nfs/dbraw/zinc/64/26/74/387642674.db2.gz CMFIHZCXHCPGMC-SECBINFHSA-N 0 3 245.750 2.820 20 0 BFADHN c1c[nH]c(CN[C@H]2CC[C@@H](c3ccccc3)C2)n1 ZINC000362640480 387644020 /nfs/dbraw/zinc/64/40/20/387644020.db2.gz OLSHXZJKZRQHSE-KGLIPLIRSA-N 0 3 241.338 2.836 20 0 BFADHN C[C@@H]1CCC(C)(C)N1CCOCC(F)(F)F ZINC000452004205 387695146 /nfs/dbraw/zinc/69/51/46/387695146.db2.gz HKVNPXPMWDEWRX-SECBINFHSA-N 0 3 239.281 2.828 20 0 BFADHN C[C@@H](NC[C@H]1CCC(F)(F)C1)c1nccn1C ZINC000430728016 387645290 /nfs/dbraw/zinc/64/52/90/387645290.db2.gz JCFMUYHCBFITKS-ZJUUUORDSA-N 0 3 243.301 2.506 20 0 BFADHN Cc1c[nH]nc1CN1CCC[C@@H](C(C)(C)C)C1 ZINC000356333551 387645778 /nfs/dbraw/zinc/64/57/78/387645778.db2.gz HFLARYDVBXRVOP-GFCCVEGCSA-N 0 3 235.375 2.976 20 0 BFADHN COc1ncccc1CN[C@@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000527637007 387646911 /nfs/dbraw/zinc/64/69/11/387646911.db2.gz UJWPONRPJNSOMS-BPNCWPANSA-N 0 3 246.354 2.614 20 0 BFADHN CC[C@H]1CCN(Cc2cccc3c2OCCO3)C1 ZINC000125274019 387648002 /nfs/dbraw/zinc/64/80/02/387648002.db2.gz JWVTYQPEUFIDSR-LBPRGKRZSA-N 0 3 247.338 2.690 20 0 BFADHN Cc1ccc(CCNCc2ccnc(C)c2)o1 ZINC000527641359 387650480 /nfs/dbraw/zinc/65/04/80/387650480.db2.gz UPOYBFSMGOGXGS-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1cnc([C@@H](C)N[C@H]2CCCOCC2)s1 ZINC000125408604 387652927 /nfs/dbraw/zinc/65/29/27/387652927.db2.gz OOUVIDOCEVTRLO-MNOVXSKESA-N 0 3 240.372 2.671 20 0 BFADHN CSc1ccccc1CN(C)CC[C@@H](C)O ZINC000305802032 387654611 /nfs/dbraw/zinc/65/46/11/387654611.db2.gz DSGLADBQUNYCLB-LLVKDONJSA-N 0 3 239.384 2.611 20 0 BFADHN CC[C@H]1CCCCN1C(=O)[C@@H](C)N(CC)CC ZINC000123106694 387655482 /nfs/dbraw/zinc/65/54/82/387655482.db2.gz DFYXZOYZPQXKRN-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H]1CCN(Cc2cccc(C(=O)OC)c2)C1 ZINC000125411638 387655651 /nfs/dbraw/zinc/65/56/51/387655651.db2.gz BSAGIYADCYFJPO-LBPRGKRZSA-N 0 3 247.338 2.705 20 0 BFADHN Cc1cc(CN[C@H](C)[C@@H]2C[C@H]2C2CC2)on1 ZINC000527653916 387661499 /nfs/dbraw/zinc/66/14/99/387661499.db2.gz NJOJYAVFPDCNSD-ICCXJUOJSA-N 0 3 220.316 2.507 20 0 BFADHN C[C@H](NCCOCC1CCC1)c1ccoc1 ZINC000309425877 387666808 /nfs/dbraw/zinc/66/68/08/387666808.db2.gz KHRLJBMBONPEJR-NSHDSACASA-N 0 3 223.316 2.747 20 0 BFADHN CCCCCNCc1cc(OC)cc(C)n1 ZINC000125953845 387668895 /nfs/dbraw/zinc/66/88/95/387668895.db2.gz GPSFJXKKFPMLNG-UHFFFAOYSA-N 0 3 222.332 2.678 20 0 BFADHN C[C@@H](CF)NCc1ccc2cc[nH]c2c1 ZINC000527711390 387670069 /nfs/dbraw/zinc/67/00/69/387670069.db2.gz ZSRSMIRABVPJKC-VIFPVBQESA-N 0 3 206.264 2.616 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)[C@@H]1C[C@H]1C1CC1 ZINC000527716030 387671850 /nfs/dbraw/zinc/67/18/50/387671850.db2.gz JGTHZYIDJLHJDL-NGKICAJHSA-N 0 3 245.370 2.913 20 0 BFADHN COc1ncccc1CN1CCC[C@H]1C(C)C ZINC000362927804 387673500 /nfs/dbraw/zinc/67/35/00/387673500.db2.gz LNRITZYJBFHKIW-ZDUSSCGKSA-N 0 3 234.343 2.711 20 0 BFADHN CN(Cc1ccc(F)cc1)C[C@H](O)C(C)(C)C ZINC000044180256 387674321 /nfs/dbraw/zinc/67/43/21/387674321.db2.gz ZTYAMHZKSVTRRQ-ZDUSSCGKSA-N 0 3 239.334 2.665 20 0 BFADHN CC(C)CCOCCNCc1ccc(F)cc1 ZINC000044387297 387678834 /nfs/dbraw/zinc/67/88/34/387678834.db2.gz ZKIKSQMFIKDYNL-UHFFFAOYSA-N 0 3 239.334 2.978 20 0 BFADHN CC(C)CCOCCNCc1cccc(F)c1 ZINC000044387355 387680955 /nfs/dbraw/zinc/68/09/55/387680955.db2.gz DFCJVDCODFXAAU-UHFFFAOYSA-N 0 3 239.334 2.978 20 0 BFADHN Cc1nc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)[nH]c1C ZINC000527732565 387683227 /nfs/dbraw/zinc/68/32/27/387683227.db2.gz AUXJWYCMPWPQBK-UPJWGTAASA-N 0 3 233.359 2.552 20 0 BFADHN CCSc1ccc([C@@H](C)N[C@H](C)CO)cc1 ZINC000126449062 387683262 /nfs/dbraw/zinc/68/32/62/387683262.db2.gz QAKOOQFMHCXGSZ-GHMZBOCLSA-N 0 3 239.384 2.830 20 0 BFADHN Cc1noc(C)c1CN1[C@H](C)CC[C@H]1C ZINC000123364258 387685814 /nfs/dbraw/zinc/68/58/14/387685814.db2.gz XJFUYOGLEVFLPV-RKDXNWHRSA-N 0 3 208.305 2.664 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2n[nH]c(C3CC3)n2)C1 ZINC000451951885 387687455 /nfs/dbraw/zinc/68/74/55/387687455.db2.gz FFNLHACKCMDSSP-WDEREUQCSA-N 0 3 248.374 2.693 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1ccc(OC)cc1 ZINC000044688838 387688707 /nfs/dbraw/zinc/68/87/07/387688707.db2.gz PIKKGBBHSLYGIO-RYUDHWBXSA-N 0 3 237.343 2.771 20 0 BFADHN CCOC[C@H](C)NCc1ccccc1OCC ZINC000044688214 387689156 /nfs/dbraw/zinc/68/91/56/387689156.db2.gz JRGDQGXZFVCDKI-LBPRGKRZSA-N 0 3 237.343 2.600 20 0 BFADHN c1coc(CNC[C@H]2CCN2C2CCCCC2)c1 ZINC000527752503 387691673 /nfs/dbraw/zinc/69/16/73/387691673.db2.gz NKKRNJYPSPRVIM-CQSZACIVSA-N 0 3 248.370 2.776 20 0 BFADHN CCOC[C@@H](C)NCc1cccc(C)c1C ZINC000044692088 387691866 /nfs/dbraw/zinc/69/18/66/387691866.db2.gz VOLNLCIVXWKJMY-GFCCVEGCSA-N 0 3 221.344 2.818 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1cc(C)oc1C ZINC000044690607 387692726 /nfs/dbraw/zinc/69/27/26/387692726.db2.gz ZSADHOMFVZOJTP-ONGXEEELSA-N 0 3 225.332 2.972 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1cc(C)oc1C ZINC000044690610 387692809 /nfs/dbraw/zinc/69/28/09/387692809.db2.gz ZSADHOMFVZOJTP-GXSJLCMTSA-N 0 3 225.332 2.972 20 0 BFADHN Cc1cc(F)c(CNCC2COC2)c(Cl)c1 ZINC000527820872 387743662 /nfs/dbraw/zinc/74/36/62/387743662.db2.gz TYDSVQCNZCPDGO-UHFFFAOYSA-N 0 3 243.709 2.524 20 0 BFADHN CC[C@@H](C)CN[C@@H](C)c1ncccc1F ZINC000527827613 387749184 /nfs/dbraw/zinc/74/91/84/387749184.db2.gz GUHQINPUOYPBKZ-ZJUUUORDSA-N 0 3 210.296 2.917 20 0 BFADHN COCCN[C@H]1CCc2cc(F)c(Cl)cc21 ZINC000527841232 387750650 /nfs/dbraw/zinc/75/06/50/387750650.db2.gz BDMQBNWRAFJUHI-LBPRGKRZSA-N 0 3 243.709 2.702 20 0 BFADHN Cc1cc(CN[C@]23C[C@H]2COC3(C)C)ccc1F ZINC000527761880 387698267 /nfs/dbraw/zinc/69/82/67/387698267.db2.gz CKSGZWZITIDYGQ-SWLSCSKDSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1cc(CN[C@@]23C[C@@H]2COC3(C)C)cs1 ZINC000527763008 387700348 /nfs/dbraw/zinc/70/03/48/387700348.db2.gz JWZLCCLKBTXYMS-YPMHNXCESA-N 0 3 237.368 2.714 20 0 BFADHN CCn1ccnc1CNC(CC)(C1CC1)C1CC1 ZINC000527764043 387700510 /nfs/dbraw/zinc/70/05/10/387700510.db2.gz NWHMJCNHEOSYMR-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN CCOc1cccc(CN2C[C@H]3CCC[C@@H]3C2)n1 ZINC000126918326 387700880 /nfs/dbraw/zinc/70/08/80/387700880.db2.gz HECZZYFVGHMHEE-CHWSQXEVSA-N 0 3 246.354 2.712 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccsc2)CC1 ZINC000377232998 387701690 /nfs/dbraw/zinc/70/16/90/387701690.db2.gz QLFFTTOIQIKBSN-GFCCVEGCSA-N 0 3 225.357 2.749 20 0 BFADHN Cc1ccc(CN[C@@]23C[C@@H]2COC3(C)C)s1 ZINC000527767620 387702556 /nfs/dbraw/zinc/70/25/56/387702556.db2.gz WROSCGHFFUZGHV-MFKMUULPSA-N 0 3 237.368 2.714 20 0 BFADHN Cc1cccc(CN[C@@H](C)c2cnccc2N)c1 ZINC000422801530 387703186 /nfs/dbraw/zinc/70/31/86/387703186.db2.gz FRXTWNOIVYSCST-LBPRGKRZSA-N 0 3 241.338 2.653 20 0 BFADHN Cc1ccc(CNCC2(O)CCCCCC2)nc1 ZINC000127035831 387704159 /nfs/dbraw/zinc/70/41/59/387704159.db2.gz BKIAHMSLPDRFOM-UHFFFAOYSA-N 0 3 248.370 2.565 20 0 BFADHN CCCn1cc(CN2CCC3(CCC3)C2)cn1 ZINC000127150077 387705767 /nfs/dbraw/zinc/70/57/67/387705767.db2.gz HKBLQWARNDYXBI-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN c1cc(-c2ccccc2)oc1CNCC1COC1 ZINC000527818326 387707478 /nfs/dbraw/zinc/70/74/78/387707478.db2.gz LRIINNMNDXHFHE-UHFFFAOYSA-N 0 3 243.306 2.683 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@H](C)[C@H]2C)s1 ZINC000418115848 387709492 /nfs/dbraw/zinc/70/94/92/387709492.db2.gz AIBNIIXPZAACQR-INTQDDNPSA-N 0 3 224.373 2.928 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1ccsc1C ZINC000527783531 387711117 /nfs/dbraw/zinc/71/11/17/387711117.db2.gz YOIKTZWLHBROKR-NEPJUHHUSA-N 0 3 225.357 2.714 20 0 BFADHN CC[C@H](c1ccc(F)cc1F)N(C)CCCO ZINC000440946033 387713382 /nfs/dbraw/zinc/71/33/82/387713382.db2.gz SKDOPOQTRCFPJM-CYBMUJFWSA-N 0 3 243.297 2.730 20 0 BFADHN CC[C@@H](c1ccc(F)cc1F)N(C)CCCO ZINC000440946034 387713702 /nfs/dbraw/zinc/71/37/02/387713702.db2.gz SKDOPOQTRCFPJM-ZDUSSCGKSA-N 0 3 243.297 2.730 20 0 BFADHN CC(C)N(C)C(=O)C[C@@H](c1ccccc1)N(C)C ZINC000431513180 387714203 /nfs/dbraw/zinc/71/42/03/387714203.db2.gz SJGRYWAPPCRXAO-AWEZNQCLSA-N 0 3 248.370 2.546 20 0 BFADHN Cc1cc(CNCC[C@H]2CC=CCC2)nn1C ZINC000527790627 387716422 /nfs/dbraw/zinc/71/64/22/387716422.db2.gz RSLPGFBNICSARC-ZDUSSCGKSA-N 0 3 233.359 2.565 20 0 BFADHN C[C@@H](NCc1cn2ccccc2n1)[C@@H]1CC12CC2 ZINC000527847347 387755440 /nfs/dbraw/zinc/75/54/40/387755440.db2.gz OHYICVVFQZTAPH-YPMHNXCESA-N 0 3 241.338 2.613 20 0 BFADHN COc1ccccc1CN[C@@H]1[C@H](C)O[C@H](C)[C@H]1C ZINC000527803317 387724357 /nfs/dbraw/zinc/72/43/57/387724357.db2.gz XPUCUERYYGUFIE-FJJYHAOUSA-N 0 3 249.354 2.597 20 0 BFADHN CC[C@@H](C)NC(=O)C[C@H](c1ccccc1)N(C)C ZINC000431654036 387726002 /nfs/dbraw/zinc/72/60/02/387726002.db2.gz FVTACPXFENSUSF-TZMCWYRMSA-N 0 3 248.370 2.594 20 0 BFADHN CCc1ccc(CN[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)o1 ZINC000527805007 387727664 /nfs/dbraw/zinc/72/76/64/387727664.db2.gz TYAFGZYLCOWZSQ-MIJXAVMKSA-N 0 3 237.343 2.744 20 0 BFADHN CCc1ccc(CN[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)o1 ZINC000527805011 387728841 /nfs/dbraw/zinc/72/88/41/387728841.db2.gz TYAFGZYLCOWZSQ-RMIALFOJSA-N 0 3 237.343 2.744 20 0 BFADHN CC[C@@H](CNCc1cnccn1)CC(F)(F)F ZINC000402705145 387729110 /nfs/dbraw/zinc/72/91/10/387729110.db2.gz SMPBRDCLVSVPFV-SECBINFHSA-N 0 3 247.264 2.545 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H]1CCCc2sccc21 ZINC000309433873 387729232 /nfs/dbraw/zinc/72/92/32/387729232.db2.gz GSUGGMXNTBLTFX-QJPTWQEYSA-N 0 3 237.368 2.893 20 0 BFADHN CCc1cccnc1[C@H](C)NC[C@@H]1CCCCO1 ZINC000356846096 387730097 /nfs/dbraw/zinc/73/00/97/387730097.db2.gz NJACUSWUOUOEAL-JSGCOSHPSA-N 0 3 248.370 2.864 20 0 BFADHN Cc1ccc(CNC[C@H]2CCN2C2CCCC2)o1 ZINC000527811528 387732239 /nfs/dbraw/zinc/73/22/39/387732239.db2.gz XRVKVCYJDSRHFJ-CQSZACIVSA-N 0 3 248.370 2.695 20 0 BFADHN COC[C@H]1CCCN(CCC(F)(F)F)CC1 ZINC000452096675 387734552 /nfs/dbraw/zinc/73/45/52/387734552.db2.gz YMCUIXSVDQQXCS-JTQLQIEISA-N 0 3 239.281 2.687 20 0 BFADHN CC(C)n1ccc(CN[C@H](C)[C@H]2CC23CC3)n1 ZINC000527868522 387739890 /nfs/dbraw/zinc/73/98/90/387739890.db2.gz QAMCGNOAGXYDAP-DGCLKSJQSA-N 0 3 233.359 2.742 20 0 BFADHN Cc1ccc(CN2CCOCC[C@@H]2C)cc1 ZINC000367661083 387740126 /nfs/dbraw/zinc/74/01/26/387740126.db2.gz KNPQOBJXVKFMNY-ZDUSSCGKSA-N 0 3 219.328 2.606 20 0 BFADHN Cc1cc(CN2CCOCC[C@@H]2C)c(C)s1 ZINC000367659619 387740156 /nfs/dbraw/zinc/74/01/56/387740156.db2.gz HYQFNWZAKDLRAH-JTQLQIEISA-N 0 3 239.384 2.976 20 0 BFADHN CC(C)n1ccc(CN2CCC[C@H]2C2CC2)n1 ZINC000377699521 387742037 /nfs/dbraw/zinc/74/20/37/387742037.db2.gz WGXQCAIPIAWFBK-AWEZNQCLSA-N 0 3 233.359 2.838 20 0 BFADHN CCC(C)(C)CCN1C[C@@H](C)OC[C@@H]1C ZINC000441252762 387741999 /nfs/dbraw/zinc/74/19/99/387741999.db2.gz GQHOIIHZAMMMNT-NWDGAFQWSA-N 0 3 213.365 2.922 20 0 BFADHN C[C@@H](NC[C@@H]1C[C@H]1C1CCC1)c1cnccn1 ZINC000424144443 387762367 /nfs/dbraw/zinc/76/23/67/387762367.db2.gz SFNGFHSKQRUHOJ-WXHSDQCUSA-N 0 3 231.343 2.563 20 0 BFADHN Cc1cc(C)cc(CN2CCN(C)[C@H](C)[C@H]2C)c1 ZINC000356999333 387762803 /nfs/dbraw/zinc/76/28/03/387762803.db2.gz KDAQDIAUKQPGOE-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN Clc1ccc(CN[C@@H]2CCCSC2)o1 ZINC000129249708 387765329 /nfs/dbraw/zinc/76/53/29/387765329.db2.gz WYROJRAFOGYHHX-MRVPVSSYSA-N 0 3 231.748 2.918 20 0 BFADHN C[C@H](N[C@H]1CCCc2c[nH]nc21)[C@H]1CC12CC2 ZINC000527874582 387765544 /nfs/dbraw/zinc/76/55/44/387765544.db2.gz WNILFZYFHJORFD-WCQGTBRESA-N 0 3 231.343 2.565 20 0 BFADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H](C)[C@@H]2CC23CC3)[n-]1 ZINC000527877475 387767141 /nfs/dbraw/zinc/76/71/41/387767141.db2.gz PURCIKZKRMZRPF-DCAQKATOSA-N 0 3 248.374 2.767 20 0 BFADHN CC(C)c1nnc([C@H](C)N[C@@H](C)[C@@H]2CC23CC3)[nH]1 ZINC000527877475 387767143 /nfs/dbraw/zinc/76/71/43/387767143.db2.gz PURCIKZKRMZRPF-DCAQKATOSA-N 0 3 248.374 2.767 20 0 BFADHN CC[C@@H](C)NC(=O)C(C)(C)[C@@H](N)c1ccccc1 ZINC000422910902 387768343 /nfs/dbraw/zinc/76/83/43/387768343.db2.gz RUDNGGMRDWGSCR-YPMHNXCESA-N 0 3 248.370 2.627 20 0 BFADHN CCCC1(CNCc2ccc(CO)o2)CCC1 ZINC000150798246 387770773 /nfs/dbraw/zinc/77/07/73/387770773.db2.gz BXKXCIOLRZCVCG-UHFFFAOYSA-N 0 3 237.343 2.832 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCC2(CCO)CC2)o1 ZINC000157321052 387784100 /nfs/dbraw/zinc/78/41/00/387784100.db2.gz UJNVPMZZHFYLAP-AAEUAGOBSA-N 0 3 249.354 2.655 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCC2(CCO)CC2)o1 ZINC000157321294 387784370 /nfs/dbraw/zinc/78/43/70/387784370.db2.gz UJNVPMZZHFYLAP-WCQYABFASA-N 0 3 249.354 2.655 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)NC(C)C)cc1 ZINC000049556771 387785150 /nfs/dbraw/zinc/78/51/50/387785150.db2.gz GWYDXBIOGKNPTH-QWHCGFSZSA-N 0 3 248.370 2.559 20 0 BFADHN Cc1ccc([C@H](C)NCC(=O)N(C)C(C)C)cc1 ZINC000049556880 387785877 /nfs/dbraw/zinc/78/58/77/387785877.db2.gz IPPVEUCWHASGHX-ZDUSSCGKSA-N 0 3 248.370 2.512 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CC[C@H]3C[C@H]3C2)nn1C ZINC000527920416 387789689 /nfs/dbraw/zinc/78/96/89/387789689.db2.gz ILXRYDZDHGHNOF-RFQIPJPRSA-N 0 3 247.386 2.815 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H]1CCc2cc(F)ccc21 ZINC000527973076 387812913 /nfs/dbraw/zinc/81/29/13/387812913.db2.gz DDNPVSNYVYFPCW-KFWWJZLASA-N 0 3 249.329 2.970 20 0 BFADHN C[C@H](NCC[C@@H]1CCCOC1)c1nccs1 ZINC000527928114 387793118 /nfs/dbraw/zinc/79/31/18/387793118.db2.gz MUJSBSNIEYNURG-QWRGUYRKSA-N 0 3 240.372 2.610 20 0 BFADHN C[C@@H](NCC[C@@H]1CCCOC1)c1nccs1 ZINC000527928113 387793280 /nfs/dbraw/zinc/79/32/80/387793280.db2.gz MUJSBSNIEYNURG-MNOVXSKESA-N 0 3 240.372 2.610 20 0 BFADHN Cc1ccc([C@H]2CCN(Cc3ccno3)C2)cc1 ZINC000378652483 387794015 /nfs/dbraw/zinc/79/40/15/387794015.db2.gz SZNFUHKGHPAEOX-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN C[C@H](NCC[C@@H]1CCCOC1)c1ccccn1 ZINC000527932336 387794696 /nfs/dbraw/zinc/79/46/96/387794696.db2.gz SFYCRQXBNSZFCW-STQMWFEESA-N 0 3 234.343 2.549 20 0 BFADHN C[C@H](NCC[C@@H]1CCCOC1)c1ccco1 ZINC000527933967 387796412 /nfs/dbraw/zinc/79/64/12/387796412.db2.gz ZCKDGUJAIRDYQM-RYUDHWBXSA-N 0 3 223.316 2.747 20 0 BFADHN COCCC1CCN([C@H](C)c2ccccn2)CC1 ZINC000527936683 387796880 /nfs/dbraw/zinc/79/68/80/387796880.db2.gz RHHPSWGYTZXIFO-CYBMUJFWSA-N 0 3 248.370 2.891 20 0 BFADHN CCC[C@@H](NCC12CC(C1)CO2)c1cccnc1 ZINC000527981088 387797739 /nfs/dbraw/zinc/79/77/39/387797739.db2.gz ODLDAKHQOCWIQM-HNFVBEJKSA-N 0 3 246.354 2.691 20 0 BFADHN Cc1cnccc1CCN1CC[C@@H](C(F)F)C1 ZINC000645842396 387798750 /nfs/dbraw/zinc/79/87/50/387798750.db2.gz NBZCFJOSTYTATQ-GFCCVEGCSA-N 0 3 240.297 2.520 20 0 BFADHN c1cn2c(n1)[C@@H](NCC[C@H]1CC=CCC1)CC2 ZINC000527981753 387799830 /nfs/dbraw/zinc/79/98/30/387799830.db2.gz RLTOLYCGBGMXML-STQMWFEESA-N 0 3 231.343 2.664 20 0 BFADHN CCOc1ccccc1CN(C)CC1CC1 ZINC000193941585 387813216 /nfs/dbraw/zinc/81/32/16/387813216.db2.gz YDCKATIWZQCLBC-UHFFFAOYSA-N 0 3 219.328 2.927 20 0 BFADHN COC[C@@H](NCc1cccnc1C)C1CCCC1 ZINC000527986303 387801913 /nfs/dbraw/zinc/80/19/13/387801913.db2.gz LBXQJXDWVUNBFE-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN COc1cccc(CN[C@H]2C=CCCC2)c1 ZINC000151139496 387802923 /nfs/dbraw/zinc/80/29/23/387802923.db2.gz PRKNJSVBVZSCDO-ZDUSSCGKSA-N 0 3 217.312 2.894 20 0 BFADHN COc1ccc(CN[C@H]2C=CCCC2)cc1 ZINC000151160182 387803785 /nfs/dbraw/zinc/80/37/85/387803785.db2.gz FPBPKTGYMBPNMH-ZDUSSCGKSA-N 0 3 217.312 2.894 20 0 BFADHN c1cc2c(c(CN[C@@H]3C=CCCC3)c1)OCO2 ZINC000151150927 387804903 /nfs/dbraw/zinc/80/49/03/387804903.db2.gz ICERNQHPHSFVHZ-GFCCVEGCSA-N 0 3 231.295 2.614 20 0 BFADHN Cc1cnccc1CNC[C@@H](C)C(F)(F)F ZINC000648527565 387806518 /nfs/dbraw/zinc/80/65/18/387806518.db2.gz LQIULRRWLUGHQD-SECBINFHSA-N 0 3 232.249 2.678 20 0 BFADHN CC(C)O[C@@]1(C)C[C@H]1N[C@H](C)c1cccnc1 ZINC000527999801 387807174 /nfs/dbraw/zinc/80/71/74/387807174.db2.gz QJBGJWKLRJNZFS-BNOWGMLFSA-N 0 3 234.343 2.688 20 0 BFADHN CSC1(CN[C@@H](C)c2ccco2)CC1 ZINC000193819916 387809208 /nfs/dbraw/zinc/80/92/08/387809208.db2.gz MZEMPNOHZHMHHP-VIFPVBQESA-N 0 3 211.330 2.826 20 0 BFADHN CN(Cc1ccc2c(n1)CCC2)[C@H]1CC1(C)C ZINC000564054938 387809601 /nfs/dbraw/zinc/80/96/01/387809601.db2.gz KTGZATDCQIJKMR-AWEZNQCLSA-N 0 3 230.355 2.801 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCO[C@@H](C)[C@@H]2C)C1 ZINC000356983384 387758718 /nfs/dbraw/zinc/75/87/18/387758718.db2.gz MNZQCMQNHNWDPI-RFGFWPKPSA-N 0 3 225.376 2.922 20 0 BFADHN CCCN(C)C(=O)C(C)(C)[C@H](N)c1ccccc1 ZINC000422971327 387820984 /nfs/dbraw/zinc/82/09/84/387820984.db2.gz YFCHPRBXARRQOG-CYBMUJFWSA-N 0 3 248.370 2.581 20 0 BFADHN CC[C@@]1(C)CN(CC2(C)CCC2)CCO1 ZINC000432793921 387823019 /nfs/dbraw/zinc/82/30/19/387823019.db2.gz SAMMQBIZEYBHHT-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN Cc1occc1CN(C)C[C@H]1CCCC[C@@H]1O ZINC000053924084 387823543 /nfs/dbraw/zinc/82/35/43/387823543.db2.gz RZURDQIYIFFMJY-KGLIPLIRSA-N 0 3 237.343 2.571 20 0 BFADHN CO[C@H](C)CN(Cc1cncc(C)c1)C(C)C ZINC000442686400 387824009 /nfs/dbraw/zinc/82/40/09/387824009.db2.gz WKLOJLWKAVMLIO-CYBMUJFWSA-N 0 3 236.359 2.635 20 0 BFADHN Cc1ccc(NC(=O)[C@@]2(C)CCCCN2)cc1C ZINC000136128375 387826548 /nfs/dbraw/zinc/82/65/48/387826548.db2.gz MVLMPALBPHEIPQ-OAHLLOKOSA-N 0 3 246.354 2.774 20 0 BFADHN C[C@H](c1cnn(C)c1)N(C)CC1(C)CCC1 ZINC000432856304 387830120 /nfs/dbraw/zinc/83/01/20/387830120.db2.gz WCIJZODSLJUBRP-LLVKDONJSA-N 0 3 221.348 2.603 20 0 BFADHN CC1(CN[C@H](CO)c2cccc(F)c2)CCC1 ZINC000432863244 387834081 /nfs/dbraw/zinc/83/40/81/387834081.db2.gz CWDHQIGNAFOQQE-CYBMUJFWSA-N 0 3 237.318 2.639 20 0 BFADHN CCN(Cc1cc(C(F)(F)F)n[nH]1)CC(C)C ZINC000442805728 387843056 /nfs/dbraw/zinc/84/30/56/387843056.db2.gz AVGDSKZUWPEMRC-UHFFFAOYSA-N 0 3 249.280 2.906 20 0 BFADHN CCc1cc(N2CCC(CCOC)CC2)ccn1 ZINC000528089872 387844827 /nfs/dbraw/zinc/84/48/27/387844827.db2.gz MOUQBNYGSSFVCN-UHFFFAOYSA-N 0 3 248.370 2.897 20 0 BFADHN CC[C@@H](C(=O)N[C@H](C)CCC=C(C)C)N(C)C ZINC000452538371 387851677 /nfs/dbraw/zinc/85/16/77/387851677.db2.gz CJGJJYFMBXIZQJ-OLZOCXBDSA-N 0 3 240.391 2.578 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1C[C@H]1C1CCC1 ZINC000424168246 387857338 /nfs/dbraw/zinc/85/73/38/387857338.db2.gz ICQVAHZHAJPBQP-STQMWFEESA-N 0 3 234.343 2.817 20 0 BFADHN Cc1nc(CN[C@@H](C)c2cc(C)cc(C)c2)n[nH]1 ZINC000293134799 387863731 /nfs/dbraw/zinc/86/37/31/387863731.db2.gz LNAHEYMLLGZTPC-NSHDSACASA-N 0 3 244.342 2.581 20 0 BFADHN Fc1cccnc1NC[C@@H]1CCN1C1CCCC1 ZINC000528206276 387868869 /nfs/dbraw/zinc/86/88/69/387868869.db2.gz CPLBKHXNSWIGBD-LBPRGKRZSA-N 0 3 249.333 2.650 20 0 BFADHN CC[C@H](NCc1nc(C)cs1)[C@H]1C[C@@H]1C ZINC000322048924 387871142 /nfs/dbraw/zinc/87/11/42/387871142.db2.gz PECVDSXZHKYUIE-LSJOCFKGSA-N 0 3 224.373 2.976 20 0 BFADHN C[C@@H](NCc1nnc(C(C)(C)C)[nH]1)C(C)(C)C ZINC000432775730 387813813 /nfs/dbraw/zinc/81/38/13/387813813.db2.gz WJPQAOLDFJWBRU-SECBINFHSA-N 0 3 238.379 2.626 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccc(C)nc1C ZINC000527975094 387813966 /nfs/dbraw/zinc/81/39/66/387813966.db2.gz RRARTYTUDCBCHK-CFVMTHIKSA-N 0 3 248.370 2.917 20 0 BFADHN CC(C)(CNCc1ccncc1)C(F)(F)F ZINC000309537934 387818364 /nfs/dbraw/zinc/81/83/64/387818364.db2.gz DDLGUJXSFBMRLV-UHFFFAOYSA-N 0 3 232.249 2.760 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)c1 ZINC000528008398 387819334 /nfs/dbraw/zinc/81/93/34/387819334.db2.gz TZYLKDCCKPQHGP-AIUMHDJVSA-N 0 3 248.370 2.853 20 0 BFADHN C[C@@H]1CN(CC2(C)CCC2)[C@@H](C)[C@H](C)O1 ZINC000432788979 387819559 /nfs/dbraw/zinc/81/95/59/387819559.db2.gz OGKWCRGUQSYOLI-WOPDTQHZSA-N 0 3 211.349 2.674 20 0 BFADHN CN(CCC[C@@H]1CCOC1)Cc1cccs1 ZINC000645772186 387895361 /nfs/dbraw/zinc/89/53/61/387895361.db2.gz GQZPZPSMMIYTKT-GFCCVEGCSA-N 0 3 239.384 2.997 20 0 BFADHN OCc1ccc(F)c(CN2C[C@H]3CCC[C@@H]3C2)c1 ZINC000528404122 387887686 /nfs/dbraw/zinc/88/76/86/387887686.db2.gz MMBVYYXJJJAHIU-CHWSQXEVSA-N 0 3 249.329 2.550 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CC1=CCCC1 ZINC000645776817 387899623 /nfs/dbraw/zinc/89/96/23/387899623.db2.gz SANFQJUCDBJJKU-AAEUAGOBSA-N 0 3 209.333 2.596 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cc(CO)ccc1F ZINC000528344407 387904576 /nfs/dbraw/zinc/90/45/76/387904576.db2.gz JEOKRCKXUJAWIW-JTQLQIEISA-N 0 3 237.318 2.548 20 0 BFADHN CO[C@@H](CN1CCCCC1)c1ccc(F)cc1 ZINC000528347125 387905783 /nfs/dbraw/zinc/90/57/83/387905783.db2.gz CCNQHHFTJYLQRE-AWEZNQCLSA-N 0 3 237.318 2.999 20 0 BFADHN CCN(Cc1ccn(C)n1)[C@H]1CCCC[C@H]1C ZINC000444679546 387908893 /nfs/dbraw/zinc/90/88/93/387908893.db2.gz VKKCUOWVUFVWED-OCCSQVGLSA-N 0 3 235.375 2.821 20 0 BFADHN CC(C)OCCN1CC[C@@H](CC(F)(F)F)C1 ZINC000444692610 387911546 /nfs/dbraw/zinc/91/15/46/387911546.db2.gz FPAFENGUDMZPRD-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN CCc1cc(NC(=O)[C@@H](N)CC(C)C)ccc1C ZINC000236961418 387914524 /nfs/dbraw/zinc/91/45/24/387914524.db2.gz VSYKFPHLNZAMIY-AWEZNQCLSA-N 0 3 248.370 2.869 20 0 BFADHN C[C@H](c1ccccn1)N1C[C@@H](C)S[C@@H](C)C1 ZINC000532970061 387915872 /nfs/dbraw/zinc/91/58/72/387915872.db2.gz TYFSRFXTCHQKBA-GRYCIOLGSA-N 0 3 236.384 2.968 20 0 BFADHN CC[C@](C)(O)CN(C)Cc1ccc(F)c(C)c1 ZINC000444734341 387916007 /nfs/dbraw/zinc/91/60/07/387916007.db2.gz LEFGHUJIKKBDGJ-AWEZNQCLSA-N 0 3 239.334 2.727 20 0 BFADHN CCC[C@]1(C(=O)OCC)CCCN1CC1CC1 ZINC000444776893 387929922 /nfs/dbraw/zinc/92/99/22/387929922.db2.gz DGJDMIQEJZMWIQ-CQSZACIVSA-N 0 3 239.359 2.594 20 0 BFADHN CCC[C@]1(C(=O)OCC)CCCN1CCCF ZINC000444776852 387930070 /nfs/dbraw/zinc/93/00/70/387930070.db2.gz CXQZGQDVCZBQGA-CYBMUJFWSA-N 0 3 245.338 2.544 20 0 BFADHN CCCN1CCC[C@@]1(CCC)C(=O)OCC ZINC000444785895 387933338 /nfs/dbraw/zinc/93/33/38/387933338.db2.gz ZVIZDIWJZSVVKS-ZDUSSCGKSA-N 0 3 227.348 2.594 20 0 BFADHN Cc1occc1CN[C@@H](C)[C@@H]1CCCCO1 ZINC000321037577 387934734 /nfs/dbraw/zinc/93/47/34/387934734.db2.gz YUEMCMYMUGKMPL-GWCFXTLKSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1CCn1cc(Cl)cn1 ZINC000459372909 387934893 /nfs/dbraw/zinc/93/48/93/387934893.db2.gz ZYBWKEGIQMKRGF-ZYHUDNBSSA-N 0 3 241.766 2.657 20 0 BFADHN CC(C)OC1CC(N(C)CCc2ccccn2)C1 ZINC000459380866 387935299 /nfs/dbraw/zinc/93/52/99/387935299.db2.gz BQKWUWQPSGSJRC-UHFFFAOYSA-N 0 3 248.370 2.512 20 0 BFADHN Cc1cc([C@H](C)NC[C@H](C)C(C)C)nn1C ZINC000393785355 387936349 /nfs/dbraw/zinc/93/63/49/387936349.db2.gz UAFFXJZMDYVQDS-JQWIXIFHSA-N 0 3 223.364 2.671 20 0 BFADHN Cc1cc(CN(C)CCC[C@@H](C)O)ccc1F ZINC000444743191 387920177 /nfs/dbraw/zinc/92/01/77/387920177.db2.gz IHZVICRZZKTPKQ-GFCCVEGCSA-N 0 3 239.334 2.727 20 0 BFADHN CCn1nccc1CN1CCC[C@@H](C(C)C)C1 ZINC000438323807 387921840 /nfs/dbraw/zinc/92/18/40/387921840.db2.gz DHXZPURJJLDPCX-CYBMUJFWSA-N 0 3 235.375 2.771 20 0 BFADHN CC1(C)CCC[C@](O)(CNCc2ccco2)C1 ZINC000438343363 387924772 /nfs/dbraw/zinc/92/47/72/387924772.db2.gz BQUPWUHJBNLLRD-CQSZACIVSA-N 0 3 237.343 2.701 20 0 BFADHN CC1(C)CCC[C@](O)(CNCc2ccncc2)C1 ZINC000438347182 387925323 /nfs/dbraw/zinc/92/53/23/387925323.db2.gz NIVWNXYPPGNCPV-OAHLLOKOSA-N 0 3 248.370 2.503 20 0 BFADHN CN(C/C=C\c1ccc(F)cc1)CC1(CO)CC1 ZINC000438344152 387926515 /nfs/dbraw/zinc/92/65/15/387926515.db2.gz WVRZIOZPAPEPCM-IHWYPQMZSA-N 0 3 249.329 2.543 20 0 BFADHN C[C@@H]1CN(Cc2ccccc2)[C@H](C)[C@H](C)O1 ZINC000438360980 387927646 /nfs/dbraw/zinc/92/76/46/387927646.db2.gz ACDJIZSLEWWNMA-UPJWGTAASA-N 0 3 219.328 2.684 20 0 BFADHN CCOc1ccccc1[C@H](C)NCC(C)(C)O ZINC000078515325 387928157 /nfs/dbraw/zinc/92/81/57/387928157.db2.gz KDCPCVFMGCSETJ-NSHDSACASA-N 0 3 237.343 2.507 20 0 BFADHN CC(C)CN[C@H]1C[C@]1(F)c1ccccc1 ZINC000424202799 387929096 /nfs/dbraw/zinc/92/90/96/387929096.db2.gz SZPONEGOCPYVNW-STQMWFEESA-N 0 3 207.292 2.869 20 0 BFADHN CCc1nc(C)c(CN[C@H](C)[C@@H]2C[C@H]2C)o1 ZINC000321144871 387953016 /nfs/dbraw/zinc/95/30/16/387953016.db2.gz VPUZPIPREFSAQU-FXPVBKGRSA-N 0 3 222.332 2.680 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2C[C@H]2C2CCC2)nn1C ZINC000424292593 387954410 /nfs/dbraw/zinc/95/44/10/387954410.db2.gz MZBSXAYGCCLICB-XBFCOCLRSA-N 0 3 247.386 2.815 20 0 BFADHN Cc1ccc(F)c(CNCCCCCCO)c1 ZINC000224946470 387941457 /nfs/dbraw/zinc/94/14/57/387941457.db2.gz VOWKXHORMXOORZ-UHFFFAOYSA-N 0 3 239.334 2.776 20 0 BFADHN COc1c(O)cccc1CN[C@H]1CC[C@H](C)C1 ZINC000438543511 387943395 /nfs/dbraw/zinc/94/33/95/387943395.db2.gz BJTGEWNSVZYDMY-JQWIXIFHSA-N 0 3 235.327 2.679 20 0 BFADHN CC[C@@H]1CN(CC2=CCCC2)C[C@H](C)O1 ZINC000645801964 387943624 /nfs/dbraw/zinc/94/36/24/387943624.db2.gz XMOYAPAHKARPFT-WCQYABFASA-N 0 3 209.333 2.596 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@@H](C)c1cnccc1N ZINC000423171123 387956348 /nfs/dbraw/zinc/95/63/48/387956348.db2.gz ZJSPZISPLHBEOS-TUKIKUTGSA-N 0 3 247.386 2.969 20 0 BFADHN CCCN(C)[C@@H](C)c1ccc([S@@](C)=O)cc1 ZINC000245476710 387950027 /nfs/dbraw/zinc/95/00/27/387950027.db2.gz TWJMLLNFIRSAFJ-MEDUHNTESA-N 0 3 239.384 2.827 20 0 BFADHN C[C@H](N[C@@H]1c2ccccc2C[C@@H]1C)c1cn[nH]c1 ZINC000438835457 387958721 /nfs/dbraw/zinc/95/87/21/387958721.db2.gz NHKQZSZRZYBWKU-PGUXBMHVSA-N 0 3 241.338 2.994 20 0 BFADHN CC[C@@H](N[C@@H]1CC[C@H]1O)c1cc(F)ccc1F ZINC000424310483 387964397 /nfs/dbraw/zinc/96/43/97/387964397.db2.gz CNPOANHRPYGVPF-JHJVBQTASA-N 0 3 241.281 2.529 20 0 BFADHN CC(C)c1ccc([C@H](C)N[C@@H]2CC[C@H]2O)cc1 ZINC000424313100 387966779 /nfs/dbraw/zinc/96/67/79/387966779.db2.gz IKTGTCRJGWEKPF-NILFDRSVSA-N 0 3 233.355 2.984 20 0 BFADHN CC[C@H](NCc1nccc(OC)n1)C1CCCC1 ZINC000424313906 387966791 /nfs/dbraw/zinc/96/67/91/387966791.db2.gz ZEZVIZYHRRUJFH-LBPRGKRZSA-N 0 3 249.358 2.544 20 0 BFADHN CCOc1ccc(CN[C@@H](CC)COC)cc1 ZINC000079735657 387957035 /nfs/dbraw/zinc/95/70/35/387957035.db2.gz HBMWLTJLWYTSDU-ZDUSSCGKSA-N 0 3 237.343 2.600 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1C1CCC1)c1cccnc1 ZINC000424326450 387973078 /nfs/dbraw/zinc/97/30/78/387973078.db2.gz LTIYGSCOKHIEMM-DDTOSNHZSA-N 0 3 216.328 2.921 20 0 BFADHN C[C@H](NC1CC1)c1cc(-c2ccccc2)nn1C ZINC000453052815 387973548 /nfs/dbraw/zinc/97/35/48/387973548.db2.gz DKIRBHJEDSYDDC-NSHDSACASA-N 0 3 241.338 2.900 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]1C1CCC1)c1ccccn1 ZINC000424330424 387974866 /nfs/dbraw/zinc/97/48/66/387974866.db2.gz QOBBNIJBHSPYKQ-VHRBIJSZSA-N 0 3 216.328 2.921 20 0 BFADHN c1cn2c(n1)[C@H](N[C@@H]1CC[C@@H]3CCC[C@H]3C1)CC2 ZINC000453116524 387987985 /nfs/dbraw/zinc/98/79/85/387987985.db2.gz JEVBJJAWXPRNCK-IGQOVBAYSA-N 0 3 245.370 2.886 20 0 BFADHN C[C@H](N[C@@H]1[C@H]2CCO[C@H]2C12CCC2)c1ccoc1 ZINC000245917996 387993729 /nfs/dbraw/zinc/99/37/29/387993729.db2.gz XDKXOGCBMNDZER-IGHBBLSQSA-N 0 3 247.338 2.888 20 0 BFADHN CCN[C@H](C)c1ncc(-c2ccccc2)n1C ZINC000453093371 387982812 /nfs/dbraw/zinc/98/28/12/387982812.db2.gz QWOXARKFYCAEQQ-LLVKDONJSA-N 0 3 229.327 2.758 20 0 BFADHN CCC[C@@H]1CN(C[C@@H]2CC=CCC2)CCO1 ZINC000245768230 387983114 /nfs/dbraw/zinc/98/31/14/387983114.db2.gz REFQWPLWJPWBFH-ZIAGYGMSSA-N 0 3 223.360 2.844 20 0 BFADHN CCN1CCCC[C@@H]1CN[C@H](C)c1ccccn1 ZINC000245846919 387985614 /nfs/dbraw/zinc/98/56/14/387985614.db2.gz CIEWOTAESZTYLU-ZIAGYGMSSA-N 0 3 247.386 2.607 20 0 BFADHN C1=C(CN2CCC[C@H]3COCC[C@H]32)CCC1 ZINC000645814168 387986023 /nfs/dbraw/zinc/98/60/23/387986023.db2.gz YIRQZRXICSBIOA-UONOGXRCSA-N 0 3 221.344 2.598 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@H](C)C(C)C ZINC000453107642 387985919 /nfs/dbraw/zinc/98/59/19/387985919.db2.gz HSRZFEXCCWUURW-NEPJUHHUSA-N 0 3 223.364 2.846 20 0 BFADHN C[C@@H](N[C@H]1C[C@@H](C)n2ccnc21)C1(C)CC1 ZINC000453163647 387999988 /nfs/dbraw/zinc/99/99/88/387999988.db2.gz OTDPBTJFRMNFNE-MXWKQRLJSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@@H]1CCN(Cc2cccnc2)C[C@H]1C ZINC000245974344 388000287 /nfs/dbraw/zinc/00/02/87/388000287.db2.gz WVLYRXDXHLAONT-VXGBXAGGSA-N 0 3 204.317 2.560 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H](C)C1(C)CC1 ZINC000453161961 388000559 /nfs/dbraw/zinc/00/05/59/388000559.db2.gz KLFABWCNZADPBV-GHMZBOCLSA-N 0 3 221.348 2.742 20 0 BFADHN CCCCN(C)C(=O)Nc1cc(C)cc(CN)c1 ZINC000424435478 388011085 /nfs/dbraw/zinc/01/10/85/388011085.db2.gz IOVYLDIBVXQFOP-UHFFFAOYSA-N 0 3 249.358 2.718 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](CC)c1ccccc1 ZINC000309657933 388001605 /nfs/dbraw/zinc/00/16/05/388001605.db2.gz QKNVJMDACHXZPC-MELADBBJSA-N 0 3 219.328 2.905 20 0 BFADHN COc1cccc([C@H](C)N2CCC[C@](C)(O)C2)c1 ZINC000246075623 388007385 /nfs/dbraw/zinc/00/73/85/388007385.db2.gz ZXMVEENJYHKQCF-WFASDCNBSA-N 0 3 249.354 2.603 20 0 BFADHN COCCCCN1CCS[C@H]2CCCC[C@H]21 ZINC000246087601 388007913 /nfs/dbraw/zinc/00/79/13/388007913.db2.gz GMRRNZIRQSRMEZ-OLZOCXBDSA-N 0 3 243.416 2.773 20 0 BFADHN C[C@H]1COC(C)(C)CN1CC1=CCCC1 ZINC000645890996 388010648 /nfs/dbraw/zinc/01/06/48/388010648.db2.gz LGAPPINJTNDQKI-NSHDSACASA-N 0 3 209.333 2.596 20 0 BFADHN COC[C@H](NC[C@H]1CCC=CO1)c1ccccc1 ZINC000194439410 388025005 /nfs/dbraw/zinc/02/50/05/388025005.db2.gz QCXDHKUUNCLSOU-CABCVRRESA-N 0 3 247.338 2.656 20 0 BFADHN CC[C@@H](NCCc1cc(C)no1)c1ccncc1 ZINC000453214413 388011366 /nfs/dbraw/zinc/01/13/66/388011366.db2.gz RCBCXSDWMWYVBG-CQSZACIVSA-N 0 3 245.326 2.661 20 0 BFADHN Cc1cc(CN)cc(NC(=O)N(C)CC(C)C)c1 ZINC000424432445 388011392 /nfs/dbraw/zinc/01/13/92/388011392.db2.gz HLAUYELNJVIHFQ-UHFFFAOYSA-N 0 3 249.358 2.573 20 0 BFADHN CC[C@@H](NC1CC(F)(F)C1)c1ccncc1 ZINC000453209521 388011800 /nfs/dbraw/zinc/01/18/00/388011800.db2.gz LOBGGFWRCRRQSM-LLVKDONJSA-N 0 3 226.270 2.920 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H]1CCC1(C)C ZINC000453212645 388011961 /nfs/dbraw/zinc/01/19/61/388011961.db2.gz CFRZBSUPIVDRQA-GHMZBOCLSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@H]1C[C@@H](NCCC2(F)CCC2)c2nccn21 ZINC000453212057 388012123 /nfs/dbraw/zinc/01/21/23/388012123.db2.gz BQIJAAFYIZZUSQ-WDEREUQCSA-N 0 3 237.322 2.761 20 0 BFADHN CC[C@H]1CN([C@H](C)c2ccccn2)CCS1 ZINC000246120312 388012713 /nfs/dbraw/zinc/01/27/13/388012713.db2.gz DBTUPRDXVOFTSA-NEPJUHHUSA-N 0 3 236.384 2.970 20 0 BFADHN C[C@@H]1C[C@@H](NCCC2(C)CC2)c2nccn21 ZINC000453225021 388016559 /nfs/dbraw/zinc/01/65/59/388016559.db2.gz SICDLBFXCPPTJH-GHMZBOCLSA-N 0 3 219.332 2.669 20 0 BFADHN c1coc(CN[C@@H]2[C@@H]3CCO[C@H]3C23CCCC3)c1 ZINC000246199456 388021211 /nfs/dbraw/zinc/02/12/11/388021211.db2.gz DSBKBTWDAOTHAP-BFHYXJOUSA-N 0 3 247.338 2.717 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@@H](C)c1nccn1C ZINC000453281111 388032539 /nfs/dbraw/zinc/03/25/39/388032539.db2.gz HFLVXCQEGAUIFE-YNEHKIRRSA-N 0 3 235.375 2.897 20 0 BFADHN C=Cn1cc(CN(C)C[C@@H]2CC=CCC2)cn1 ZINC000446754754 388036641 /nfs/dbraw/zinc/03/66/41/388036641.db2.gz QQILVJJCTIQSOP-CYBMUJFWSA-N 0 3 231.343 2.772 20 0 BFADHN CC[C@](C)(CN[C@@H](C)c1cnccc1C)OC ZINC000453297157 388038006 /nfs/dbraw/zinc/03/80/06/388038006.db2.gz HQUUWUCJWIMSCR-GXTWGEPZSA-N 0 3 236.359 2.856 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1cnccc1C)OC ZINC000453297160 388038233 /nfs/dbraw/zinc/03/82/33/388038233.db2.gz HQUUWUCJWIMSCR-JSGCOSHPSA-N 0 3 236.359 2.856 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H](C)c1ccncc1F ZINC000453310110 388042115 /nfs/dbraw/zinc/04/21/15/388042115.db2.gz QWOSVEYQCOGDSY-AXFHLTTASA-N 0 3 222.307 2.917 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1ccn(C(C)C)n1 ZINC000082866400 388043068 /nfs/dbraw/zinc/04/30/68/388043068.db2.gz YYPIDIGPIDCKJV-NWDGAFQWSA-N 0 3 223.364 2.988 20 0 BFADHN CC(C)(C)[C@@H]1CN(CC2=CCCC2)CCO1 ZINC000645895279 388043110 /nfs/dbraw/zinc/04/31/10/388043110.db2.gz BPMKQSLHXCNQJG-ZDUSSCGKSA-N 0 3 223.360 2.844 20 0 BFADHN CC[C@H]1CCC[C@H](CN2CCOC[C@H]2C)C1 ZINC000446909987 388043974 /nfs/dbraw/zinc/04/39/74/388043974.db2.gz GBHCRPQATMICOC-RDBSUJKOSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1COCCN1C[C@@H]1CCCC[C@@H]1C ZINC000446906217 388044098 /nfs/dbraw/zinc/04/40/98/388044098.db2.gz YAQKJACJCRWTKS-XQQFMLRXSA-N 0 3 211.349 2.533 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1ccncc1F)OC ZINC000453261006 388028770 /nfs/dbraw/zinc/02/87/70/388028770.db2.gz YKGUYZNDGPZOIR-MNOVXSKESA-N 0 3 240.322 2.686 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@@H]1COCC1(C)C ZINC000453343850 388053295 /nfs/dbraw/zinc/05/32/95/388053295.db2.gz DIZRFTZVULRZNW-WCQYABFASA-N 0 3 248.370 2.720 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@H](C)c1cncc(C)c1)C1CC1 ZINC000453356796 388058040 /nfs/dbraw/zinc/05/80/40/388058040.db2.gz IUUKIDSGGJRYQC-JMSVASOKSA-N 0 3 248.370 2.854 20 0 BFADHN CCCc1ncc(CN[C@@H](C)C2(C)CC2)o1 ZINC000453356977 388058323 /nfs/dbraw/zinc/05/83/23/388058323.db2.gz DMATYUQNZVHHSZ-JTQLQIEISA-N 0 3 222.332 2.905 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1)c1cccc(C2CC2)c1 ZINC000453369209 388061277 /nfs/dbraw/zinc/06/12/77/388061277.db2.gz QAIFMRHWXZSDAK-NZVBXONLSA-N 0 3 231.339 2.738 20 0 BFADHN COc1cccnc1CN[C@H]1CCC12CCC2 ZINC000453367852 388061314 /nfs/dbraw/zinc/06/13/14/388061314.db2.gz OZSDGNPNPIRLHI-ZDUSSCGKSA-N 0 3 232.327 2.513 20 0 BFADHN CCc1ccc([C@H](C)N[C@H](CC)[C@H](C)O)o1 ZINC000453366003 388061386 /nfs/dbraw/zinc/06/13/86/388061386.db2.gz WTDAHSDVVLFTBY-JBLDHEPKSA-N 0 3 225.332 2.652 20 0 BFADHN CCC[C@@H](NC[C@@H](O)C1CCC1)c1ccccn1 ZINC000453370181 388062771 /nfs/dbraw/zinc/06/27/71/388062771.db2.gz FRJCRVIGMMCWSB-UKRRQHHQSA-N 0 3 248.370 2.673 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2C[C@H]2C(C)C)no1 ZINC000453370752 388062836 /nfs/dbraw/zinc/06/28/36/388062836.db2.gz VXNXBRGNWCNSPA-SRVKXCTJSA-N 0 3 222.332 2.926 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H](O)C2CCC2)oc1C ZINC000453371483 388063032 /nfs/dbraw/zinc/06/30/32/388063032.db2.gz GJWXRTGIVUNCIG-MFKMUULPSA-N 0 3 237.343 2.708 20 0 BFADHN CCC1(NCc2cc(C(F)(F)F)n[nH]2)CCC1 ZINC000440629115 388063769 /nfs/dbraw/zinc/06/37/69/388063769.db2.gz MJCUDGLLLAPGAU-UHFFFAOYSA-N 0 3 247.264 2.851 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CCC[C@H]2O)oc1C ZINC000453378694 388064829 /nfs/dbraw/zinc/06/48/29/388064829.db2.gz JHEBTWWUEUXHLG-KGYLQXTDSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](N[C@H]2C[C@@H](O)C2)C2CC2)ccc1F ZINC000453380449 388066182 /nfs/dbraw/zinc/06/61/82/388066182.db2.gz XIWXOIMBVRHELS-VNHYZAJKSA-N 0 3 249.329 2.698 20 0 BFADHN CCC[C@H](NC[C@H](O)C1CCC1)c1cccnc1 ZINC000453387189 388067769 /nfs/dbraw/zinc/06/77/69/388067769.db2.gz PMGPAHXZRYUVLG-GJZGRUSLSA-N 0 3 248.370 2.673 20 0 BFADHN CCc1ncc(CN[C@@H](CC)C2CC2)o1 ZINC000453400556 388072005 /nfs/dbraw/zinc/07/20/05/388072005.db2.gz YIWRVENXFQEXNV-NSHDSACASA-N 0 3 208.305 2.515 20 0 BFADHN CCCc1ncc(CN[C@@H](C)CCCOC)o1 ZINC000453399336 388072167 /nfs/dbraw/zinc/07/21/67/388072167.db2.gz XLZWWMVJUSYYAW-NSHDSACASA-N 0 3 240.347 2.532 20 0 BFADHN CC[C@H](NC[C@H]1CC[C@@H](C)O1)c1ccncc1 ZINC000453398485 388072330 /nfs/dbraw/zinc/07/23/30/388072330.db2.gz ADNCDVSZKIIUSQ-BNOWGMLFSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@H](NCCC1CC(F)(F)C1)c1ccncn1 ZINC000453400856 388072790 /nfs/dbraw/zinc/07/27/90/388072790.db2.gz BHFHAJWOZOTSOK-VIFPVBQESA-N 0 3 241.285 2.563 20 0 BFADHN CCC[C@H](N[C@H](CC)C(=O)NC)c1ccccc1 ZINC000453406010 388074837 /nfs/dbraw/zinc/07/48/37/388074837.db2.gz OYQHPNMTRVHBMC-KGLIPLIRSA-N 0 3 248.370 2.642 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@H](C)c1ccncn1 ZINC000453405590 388075292 /nfs/dbraw/zinc/07/52/92/388075292.db2.gz BVOVDOGCCJTAEG-KWCYVHTRSA-N 0 3 233.359 2.952 20 0 BFADHN CO[C@@H](CNC1(c2ccccc2C)CC1)C1CC1 ZINC000645981111 388114884 /nfs/dbraw/zinc/11/48/84/388114884.db2.gz YKIJHDWASMJCCX-HNNXBMFYSA-N 0 3 245.366 2.999 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@@H](O)C(C)C)c(C)o1 ZINC000447564571 388078011 /nfs/dbraw/zinc/07/80/11/388078011.db2.gz XVRWHAFLXCMOBA-BXUZGUMPSA-N 0 3 239.359 2.954 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@@H]1CCC[C@H]1O ZINC000453416504 388078368 /nfs/dbraw/zinc/07/83/68/388078368.db2.gz URPBMBAOSCNPFY-MRVWCRGKSA-N 0 3 249.354 2.568 20 0 BFADHN CCN(Cc1cccs1)[C@H](C)COC ZINC000195006468 388081416 /nfs/dbraw/zinc/08/14/16/388081416.db2.gz UWPFXZOEMZQEHS-SNVBAGLBSA-N 0 3 213.346 2.605 20 0 BFADHN CC[C@]1(C(C)C)C[C@@H]1N[C@H](C)c1nccn1C ZINC000453449831 388082727 /nfs/dbraw/zinc/08/27/27/388082727.db2.gz QPOOATVCJYZEBK-MBNYWOFBSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@@H](C)c1ccc(=O)[nH]n1 ZINC000453469147 388083870 /nfs/dbraw/zinc/08/38/70/388083870.db2.gz ZUBUTPFVHSOBHD-ZKYQVNSYSA-N 0 3 249.358 2.658 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@H](C)c1ccc(=O)[nH]n1 ZINC000453469143 388083910 /nfs/dbraw/zinc/08/39/10/388083910.db2.gz ZUBUTPFVHSOBHD-MPKXVKKWSA-N 0 3 249.358 2.658 20 0 BFADHN CC(C)COCCN[C@@H](C)c1cncc(F)c1 ZINC000160709077 388085584 /nfs/dbraw/zinc/08/55/84/388085584.db2.gz DIKUXHONMMHYTD-NSHDSACASA-N 0 3 240.322 2.544 20 0 BFADHN Cc1c[nH]nc1CNCC1(C2CCC2)CCC1 ZINC000453580966 388086497 /nfs/dbraw/zinc/08/64/97/388086497.db2.gz GVOVDQJXYVUHKC-UHFFFAOYSA-N 0 3 233.359 2.778 20 0 BFADHN Fc1cncc(CNCCC2CC(F)(F)C2)c1 ZINC000453588612 388087798 /nfs/dbraw/zinc/08/77/98/388087798.db2.gz ZDBZKYFUUCJMTO-UHFFFAOYSA-N 0 3 244.260 2.746 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1c(C)nn(C)c1C ZINC000453603024 388090968 /nfs/dbraw/zinc/09/09/68/388090968.db2.gz AJVQKOFPMHPBBH-HUUCEWRRSA-N 0 3 249.402 2.951 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1c(C)n[nH]c1C ZINC000453604797 388091107 /nfs/dbraw/zinc/09/11/07/388091107.db2.gz CBKAHYILNJZAFC-KBPBESRZSA-N 0 3 235.375 2.941 20 0 BFADHN CCn1cc(CN[C@H]2C[C@]2(CC)C(C)C)cn1 ZINC000453609683 388092201 /nfs/dbraw/zinc/09/22/01/388092201.db2.gz JOOPPTAINQFAHR-UONOGXRCSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1cnc(CN[C@@]2(C)CCCC[C@H]2C)n1C ZINC000453619566 388093168 /nfs/dbraw/zinc/09/31/68/388093168.db2.gz IBCIKHHOEDLOJE-RISCZKNCSA-N 0 3 235.375 2.787 20 0 BFADHN COc1nccnc1CN[C@]1(C)CCCC[C@H]1C ZINC000453619586 388093204 /nfs/dbraw/zinc/09/32/04/388093204.db2.gz IEASDXPLNQJAMB-BXUZGUMPSA-N 0 3 249.358 2.544 20 0 BFADHN CC(C)(C)[C@@H]1CN([C@H]2C=CCCC2)CCO1 ZINC000447829816 388093856 /nfs/dbraw/zinc/09/38/56/388093856.db2.gz HHNAYBFUWAWFAD-STQMWFEESA-N 0 3 223.360 2.842 20 0 BFADHN CC(C)CCN1CCO[C@@H](C(C)(C)C)C1 ZINC000447825963 388095098 /nfs/dbraw/zinc/09/50/98/388095098.db2.gz GXDDYDMOQFRAFV-GFCCVEGCSA-N 0 3 213.365 2.779 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNC[C@H]2CCC[C@@H]2O)o1 ZINC000453634936 388095749 /nfs/dbraw/zinc/09/57/49/388095749.db2.gz TWKCVJLYDZDXDD-XCCSTKFXSA-N 0 3 249.354 2.654 20 0 BFADHN CC(C)(C)[C@H]1CN(CCc2ccccc2)CCO1 ZINC000447833640 388096810 /nfs/dbraw/zinc/09/68/10/388096810.db2.gz IXCSIHPWIMSNGI-OAHLLOKOSA-N 0 3 247.382 2.976 20 0 BFADHN CC[C@H](C)CN1CCO[C@@H](C(C)(C)C)C1 ZINC000447835034 388097211 /nfs/dbraw/zinc/09/72/11/388097211.db2.gz SLJFXOBNSRXARY-NWDGAFQWSA-N 0 3 213.365 2.779 20 0 BFADHN Cc1ccc([C@H](C)NCc2cn[nH]c2)cc1 ZINC000038005786 388099051 /nfs/dbraw/zinc/09/90/51/388099051.db2.gz XGTVSHXEZDNPJT-NSHDSACASA-N 0 3 215.300 2.569 20 0 BFADHN CC[C@H]1CN(CC)CCN1CC1=CCCC1 ZINC000645925692 388103885 /nfs/dbraw/zinc/10/38/85/388103885.db2.gz LEPJPRWEUSETQE-AWEZNQCLSA-N 0 3 222.376 2.513 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1cc[nH]n1 ZINC000086662521 388105902 /nfs/dbraw/zinc/10/59/02/388105902.db2.gz RETSSPITDXQINJ-CMPLNLGQSA-N 0 3 221.348 2.714 20 0 BFADHN CC(C)[C@@H](NCc1cn[nH]c1)c1ccccc1 ZINC000038006388 388108581 /nfs/dbraw/zinc/10/85/81/388108581.db2.gz NVDZOUFOSBPPKK-CQSZACIVSA-N 0 3 229.327 2.897 20 0 BFADHN CC1(C)CCCC[C@@H]1NCc1cnccn1 ZINC000086873928 388109081 /nfs/dbraw/zinc/10/90/81/388109081.db2.gz AOCVNHZPNACXIS-LBPRGKRZSA-N 0 3 219.332 2.535 20 0 BFADHN C[C@H](N[C@@H]1CCCC1(C)C)c1nccn1C ZINC000086873059 388109394 /nfs/dbraw/zinc/10/93/94/388109394.db2.gz VNGJENXSEHCIKO-WDEREUQCSA-N 0 3 221.348 2.649 20 0 BFADHN CC1(C)CCCC[C@H]1NCc1cnccn1 ZINC000086873931 388109525 /nfs/dbraw/zinc/10/95/25/388109525.db2.gz AOCVNHZPNACXIS-GFCCVEGCSA-N 0 3 219.332 2.535 20 0 BFADHN CCOCCNC1(c2ccccc2C)CC1 ZINC000645979517 388113860 /nfs/dbraw/zinc/11/38/60/388113860.db2.gz OWMWWDCJLJFLJB-UHFFFAOYSA-N 0 3 219.328 2.610 20 0 BFADHN C[C@H](CO)CN[C@@H](C)c1ccc(F)cc1Cl ZINC000083219383 388047675 /nfs/dbraw/zinc/04/76/75/388047675.db2.gz CNVXTCUQDVINPB-IUCAKERBSA-N 0 3 245.725 2.758 20 0 BFADHN CC[C@@H](F)CN(CCO)C1CCC(CC)CC1 ZINC000440520101 388050411 /nfs/dbraw/zinc/05/04/11/388050411.db2.gz VDZKAYAJNRCELA-ROKHWSDSSA-N 0 3 245.382 2.998 20 0 BFADHN CC[C@@H](C)NCc1c(F)cccc1-n1cccn1 ZINC000453336871 388050537 /nfs/dbraw/zinc/05/05/37/388050537.db2.gz BIEWKXWGCZDDGC-LLVKDONJSA-N 0 3 247.317 2.900 20 0 BFADHN COc1cc(C)ccc1CN[C@@]1(C)CCO[C@@H]1C ZINC000449238956 388160782 /nfs/dbraw/zinc/16/07/82/388160782.db2.gz HVWIPYOEUIWMIW-DOMZBBRYSA-N 0 3 249.354 2.661 20 0 BFADHN c1n[nH]cc1CN1CCC[C@@H](c2ccccc2)C1 ZINC000646213240 388165143 /nfs/dbraw/zinc/16/51/43/388165143.db2.gz PBRLHQONFCJXFB-OAHLLOKOSA-N 0 3 241.338 2.789 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@H](C)C2)s1 ZINC000172729041 388169649 /nfs/dbraw/zinc/16/96/49/388169649.db2.gz KFEQDXDLXDTSKO-SECBINFHSA-N 0 3 224.373 2.992 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)[C@@H]2C)cc1F ZINC000646252568 388171088 /nfs/dbraw/zinc/17/10/88/388171088.db2.gz SFTFPWNRWUTWJA-MNOVXSKESA-N 0 3 207.292 2.974 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1ccnn1C1CCCC1 ZINC000449318156 388171176 /nfs/dbraw/zinc/17/11/76/388171176.db2.gz FNDDUIZYVXKSMS-RISCZKNCSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cc(CCNCc2cccc(C)c2F)on1 ZINC000449318510 388171336 /nfs/dbraw/zinc/17/13/36/388171336.db2.gz GRZPZNIEKFECIN-UHFFFAOYSA-N 0 3 248.301 2.763 20 0 BFADHN COc1cc(C)ccc1CN(C)[C@H]1C[C@@H](OC)C1 ZINC000449315536 388171589 /nfs/dbraw/zinc/17/15/89/388171589.db2.gz IOHGEGMNAGAUFB-OKILXGFUSA-N 0 3 249.354 2.613 20 0 BFADHN COc1cc(C)ccc1CN(C)[C@H]1CCCOC1 ZINC000449314724 388171782 /nfs/dbraw/zinc/17/17/82/388171782.db2.gz DTTHCZCLBCUZAH-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CCN1CCN(CCc2cccc(C)c2)[C@@H](C)C1 ZINC000449321517 388172582 /nfs/dbraw/zinc/17/25/82/388172582.db2.gz MAGZVCADXZGVLP-HNNXBMFYSA-N 0 3 246.398 2.564 20 0 BFADHN CO[C@@H](C)CN(C)Cc1csc(C)c1 ZINC000449320069 388172697 /nfs/dbraw/zinc/17/26/97/388172697.db2.gz MDYWBIUAFWXKDF-VIFPVBQESA-N 0 3 213.346 2.523 20 0 BFADHN CCN(Cc1cc2cccnc2o1)C[C@H](C)OC ZINC000449336000 388175336 /nfs/dbraw/zinc/17/53/36/388175336.db2.gz LKQMMCHAFMMAKQ-NSHDSACASA-N 0 3 248.326 2.685 20 0 BFADHN CC[C@@H](CNCc1ccc(C)cc1OC)OC ZINC000449333883 388175394 /nfs/dbraw/zinc/17/53/94/388175394.db2.gz IAFBSRGCNHOVJN-ZDUSSCGKSA-N 0 3 237.343 2.518 20 0 BFADHN COc1ccncc1CN[C@@H]1CCCC12CCC2 ZINC000449340360 388176104 /nfs/dbraw/zinc/17/61/04/388176104.db2.gz OCRUXZWZENVZFU-CQSZACIVSA-N 0 3 246.354 2.903 20 0 BFADHN CCc1nnc(CN[C@@H](C)CCCC(C)C)[nH]1 ZINC000449348390 388178819 /nfs/dbraw/zinc/17/88/19/388178819.db2.gz UMYOMEIPWOWWKD-NSHDSACASA-N 0 3 238.379 2.672 20 0 BFADHN CCc1nnc(C[NH2+][C@@H](C)CCCC(C)C)[n-]1 ZINC000449348390 388178822 /nfs/dbraw/zinc/17/88/22/388178822.db2.gz UMYOMEIPWOWWKD-NSHDSACASA-N 0 3 238.379 2.672 20 0 BFADHN CCc1ccc(CNCC2(OC)CCCC2)cn1 ZINC000449349302 388178945 /nfs/dbraw/zinc/17/89/45/388178945.db2.gz WUDUCAZPULZNPK-UHFFFAOYSA-N 0 3 248.370 2.693 20 0 BFADHN CCCC[C@@H](C)[C@H](C)[NH2+]Cc1nnc(CC)[n-]1 ZINC000449348714 388179349 /nfs/dbraw/zinc/17/93/49/388179349.db2.gz NRGMQSXHUHUZBT-MNOVXSKESA-N 0 3 238.379 2.672 20 0 BFADHN CCCC[C@@H](C)[C@H](C)NCc1nnc(CC)[nH]1 ZINC000449348714 388179350 /nfs/dbraw/zinc/17/93/50/388179350.db2.gz NRGMQSXHUHUZBT-MNOVXSKESA-N 0 3 238.379 2.672 20 0 BFADHN Cc1ccc(CNCCCC2CCOCC2)o1 ZINC000449353656 388180071 /nfs/dbraw/zinc/18/00/71/388180071.db2.gz FEZFKQVVDATCOI-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN COc1cc2c(cc1F)CN(C[C@H]1C[C@@H]1C)CC2 ZINC000488457343 388180455 /nfs/dbraw/zinc/18/04/55/388180455.db2.gz JBJANGMVFOWEHP-CMPLNLGQSA-N 0 3 249.329 2.848 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1cccnc1OC ZINC000488449576 388180744 /nfs/dbraw/zinc/18/07/44/388180744.db2.gz OJSIEVULPXBZFZ-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN CC(F)(F)CCNCc1cc2cccnc2o1 ZINC000449355165 388181021 /nfs/dbraw/zinc/18/10/21/388181021.db2.gz WJAOBWAMMFZVGJ-UHFFFAOYSA-N 0 3 240.253 2.963 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2cccc(C)n2)C1(C)C ZINC000162485137 388183855 /nfs/dbraw/zinc/18/38/55/388183855.db2.gz DBKCVWNMGDMEID-ZFWWWQNUSA-N 0 3 248.370 2.683 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NC(CF)CF)C1 ZINC000449377959 388184036 /nfs/dbraw/zinc/18/40/36/388184036.db2.gz CCUNVZXDOQBNPZ-WCABBAIRSA-N 0 3 205.292 2.708 20 0 BFADHN COc1ccsc1CNC1CC(C)(F)C1 ZINC000449370465 388184204 /nfs/dbraw/zinc/18/42/04/388184204.db2.gz KDCLFUWQLGQFFV-UHFFFAOYSA-N 0 3 229.320 2.737 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCO[C@@H](C2CCCCC2)C1 ZINC000488521781 388184263 /nfs/dbraw/zinc/18/42/63/388184263.db2.gz UXIQRWKYLLPZMG-BPLDGKMQSA-N 0 3 237.387 2.924 20 0 BFADHN COCc1ccc(CNC2CC(C)(F)C2)cc1 ZINC000449369770 388184456 /nfs/dbraw/zinc/18/44/56/388184456.db2.gz FUXVJWMTUZEDIO-UHFFFAOYSA-N 0 3 237.318 2.813 20 0 BFADHN Cc1oncc1CN1CC[C@H](C)C[C@@H](C)C1 ZINC000249270440 388120552 /nfs/dbraw/zinc/12/05/52/388120552.db2.gz KEQHRWAOAHMDGI-WDEREUQCSA-N 0 3 222.332 2.851 20 0 BFADHN COCCCCNC1(c2ccccc2OC)CC1 ZINC000646000253 388122588 /nfs/dbraw/zinc/12/25/88/388122588.db2.gz DKGOZTHLKSAODW-UHFFFAOYSA-N 0 3 249.354 2.701 20 0 BFADHN C1=C[C@@H](N2CCN([C@@H]3C=CCCC3)CC2)CCC1 ZINC000171278257 388122840 /nfs/dbraw/zinc/12/28/40/388122840.db2.gz PGFAXUSHPQBERB-HZPDHXFCSA-N 0 3 246.398 2.821 20 0 BFADHN CCn1cc([C@H](C)NCC2=CCCC2)cn1 ZINC000645926198 388122935 /nfs/dbraw/zinc/12/29/35/388122935.db2.gz MFAMMHFWWXEQEP-NSHDSACASA-N 0 3 219.332 2.664 20 0 BFADHN CC(C)CNC(=O)[C@@H](C)N(C)CCC(C)(C)C ZINC000646027249 388127117 /nfs/dbraw/zinc/12/71/17/388127117.db2.gz ABKIOOZLNKEDNZ-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1cc(CN(C)CCC(C)(C)C)ncn1 ZINC000646028927 388128257 /nfs/dbraw/zinc/12/82/57/388128257.db2.gz MPOWHDBETNTBQL-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN COc1cc(C)ccc1CN1CCC(OC)CC1 ZINC000449011142 388128749 /nfs/dbraw/zinc/12/87/49/388128749.db2.gz YFFCWTUPPOOQQQ-UHFFFAOYSA-N 0 3 249.354 2.614 20 0 BFADHN CCSCCCN[C@@H](C)c1nccs1 ZINC000164018809 388130152 /nfs/dbraw/zinc/13/01/52/388130152.db2.gz RBQVTCNOBVHUHV-VIFPVBQESA-N 0 3 230.402 2.937 20 0 BFADHN COc1cc(F)cc(CN(C)CC2CC2)c1 ZINC000449014218 388130329 /nfs/dbraw/zinc/13/03/29/388130329.db2.gz HFBZXBYETFNJGK-UHFFFAOYSA-N 0 3 223.291 2.676 20 0 BFADHN COc1cc(F)cc(CN(C)CCSC)c1 ZINC000449031466 388133252 /nfs/dbraw/zinc/13/32/52/388133252.db2.gz VIJXHRJRCAMNJU-UHFFFAOYSA-N 0 3 243.347 2.629 20 0 BFADHN C[C@H]1CN(C)CCN1Cc1cccc2ccoc21 ZINC000449036232 388134001 /nfs/dbraw/zinc/13/40/01/388134001.db2.gz SBUCUBDAQFCEGN-LBPRGKRZSA-N 0 3 244.338 2.569 20 0 BFADHN CC[C@H](C)CN[C@H](CCO)c1ccccc1F ZINC000646068692 388136473 /nfs/dbraw/zinc/13/64/73/388136473.db2.gz ZDBIQCHQAHZBHZ-SMDDNHRTSA-N 0 3 239.334 2.885 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccoc2C)CCO1 ZINC000449087799 388140727 /nfs/dbraw/zinc/14/07/27/388140727.db2.gz WULKWNJYGRMQPM-CHWSQXEVSA-N 0 3 223.316 2.635 20 0 BFADHN CC1(C)C[C@H]1NCc1ccnn1C1CCCC1 ZINC000449095318 388141562 /nfs/dbraw/zinc/14/15/62/388141562.db2.gz XSPMBGYBJDBILT-CYBMUJFWSA-N 0 3 233.359 2.886 20 0 BFADHN COc1cc(C)ccc1CNC[C@@H]1CCCCO1 ZINC000449099356 388141966 /nfs/dbraw/zinc/14/19/66/388141966.db2.gz SUVDEERZOAHKPP-AWEZNQCLSA-N 0 3 249.354 2.662 20 0 BFADHN C[C@H](O)CN1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC000646117808 388145831 /nfs/dbraw/zinc/14/58/31/388145831.db2.gz AISHJWSDYRBQAL-ZFWWWQNUSA-N 0 3 243.350 2.761 20 0 BFADHN CC[C@@H](C)[C@H](O)CNCc1cccc(C)c1F ZINC000449144686 388148627 /nfs/dbraw/zinc/14/86/27/388148627.db2.gz JNMISUANZXQWQO-ZWNOBZJWSA-N 0 3 239.334 2.631 20 0 BFADHN Cn1cncc1CN1CCCC[C@H]1C1CCC1 ZINC000646139598 388152869 /nfs/dbraw/zinc/15/28/69/388152869.db2.gz ZLWOMXHOHIZRQH-AWEZNQCLSA-N 0 3 233.359 2.575 20 0 BFADHN FC(F)(F)CCNCc1cc2cccnc2o1 ZINC000449175910 388153541 /nfs/dbraw/zinc/15/35/41/388153541.db2.gz PCYMJEXWUAYTMP-UHFFFAOYSA-N 0 3 244.216 2.870 20 0 BFADHN CC1CC(NCc2ccnn2C2CCCC2)C1 ZINC000449180356 388154159 /nfs/dbraw/zinc/15/41/59/388154159.db2.gz ZVUXITUSAKMDJC-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN c1nc(CN2CCCC[C@@H]2C2CCC2)c[nH]1 ZINC000646139891 388154414 /nfs/dbraw/zinc/15/44/14/388154414.db2.gz GJWHNAHNVMPGEV-CYBMUJFWSA-N 0 3 219.332 2.564 20 0 BFADHN CCSCCNCc1ccc(OC)cc1F ZINC000336662560 388260826 /nfs/dbraw/zinc/26/08/26/388260826.db2.gz OOYNWUFCJLTKAV-UHFFFAOYSA-N 0 3 243.347 2.677 20 0 BFADHN CCCN(C[C@@H]1C[C@@H]1C)[C@H](CC)C(=O)OCC ZINC000488578940 388188911 /nfs/dbraw/zinc/18/89/11/388188911.db2.gz GFNFTUQCUWTDCU-RWMBFGLXSA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCc2cc(F)ccc2C1 ZINC000488585341 388189770 /nfs/dbraw/zinc/18/97/70/388189770.db2.gz TZFNASGSCXRZCR-ZWNOBZJWSA-N 0 3 219.303 2.840 20 0 BFADHN C[C@@H]1CCCC[C@H]1C[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000449404221 388195527 /nfs/dbraw/zinc/19/55/27/388195527.db2.gz AZEBTKPUGDDDFH-PWSUYJOCSA-N 0 3 248.374 2.598 20 0 BFADHN C[C@@H]1CCCC[C@H]1CNCc1nnc(C2CC2)[nH]1 ZINC000449404221 388195528 /nfs/dbraw/zinc/19/55/28/388195528.db2.gz AZEBTKPUGDDDFH-PWSUYJOCSA-N 0 3 248.374 2.598 20 0 BFADHN Fc1ccc(/C=C\CNCC2=CCOCC2)cc1 ZINC000449401664 388195746 /nfs/dbraw/zinc/19/57/46/388195746.db2.gz HZRWNLGJSIKIDP-UPHRSURJSA-N 0 3 247.313 2.775 20 0 BFADHN CCCC(CCC)[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000449404682 388196305 /nfs/dbraw/zinc/19/63/05/388196305.db2.gz DRRVIVYABGRJQF-UHFFFAOYSA-N 0 3 236.363 2.741 20 0 BFADHN CCCC(CCC)NCc1nnc(C2CC2)[nH]1 ZINC000449404682 388196307 /nfs/dbraw/zinc/19/63/07/388196307.db2.gz DRRVIVYABGRJQF-UHFFFAOYSA-N 0 3 236.363 2.741 20 0 BFADHN CCC1(NCc2cc3cccnc3o2)CC1 ZINC000449415338 388198754 /nfs/dbraw/zinc/19/87/54/388198754.db2.gz OTNCKVMUKLIZLX-UHFFFAOYSA-N 0 3 216.284 2.860 20 0 BFADHN CCOc1cccc(CNC2(CC)CC2)n1 ZINC000449416232 388198964 /nfs/dbraw/zinc/19/89/64/388198964.db2.gz SFBYECOZBPLZTC-UHFFFAOYSA-N 0 3 220.316 2.513 20 0 BFADHN COc1ncccc1CN(C)[C@@H]1CC[C@@H](C)C1 ZINC000488985402 388201079 /nfs/dbraw/zinc/20/10/79/388201079.db2.gz ULZHGYJWIGRNFQ-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@H](NCc1ccc(C)s1)[C@@H](O)C(F)F ZINC000449428741 388202956 /nfs/dbraw/zinc/20/29/56/388202956.db2.gz YPOZAYIVGHUTBQ-VHSXEESVSA-N 0 3 249.326 2.551 20 0 BFADHN Cc1ccsc1CNC1(CF)CCOCC1 ZINC000449444793 388207702 /nfs/dbraw/zinc/20/77/02/388207702.db2.gz DLDHXAJJEAMCOC-UHFFFAOYSA-N 0 3 243.347 2.665 20 0 BFADHN CCC[C@@H](NCc1ccn(C)n1)C(C)(C)C ZINC000449465426 388211875 /nfs/dbraw/zinc/21/18/75/388211875.db2.gz CZIMXQGGDFNLNF-GFCCVEGCSA-N 0 3 223.364 2.725 20 0 BFADHN CC/C=C/CNCc1ccc(-c2cc[nH]n2)o1 ZINC000449462769 388212105 /nfs/dbraw/zinc/21/21/05/388212105.db2.gz VTHXVECDGYHUTL-ONEGZZNKSA-N 0 3 231.299 2.726 20 0 BFADHN COc1ccc(OCCN(C)[C@H]2CC2(C)C)cc1 ZINC000489424838 388212418 /nfs/dbraw/zinc/21/24/18/388212418.db2.gz KTOOLHGLWZMYJD-AWEZNQCLSA-N 0 3 249.354 2.804 20 0 BFADHN c1coc(CNC[C@H]2CCOC3(CCC3)C2)c1 ZINC000449474052 388213759 /nfs/dbraw/zinc/21/37/59/388213759.db2.gz IZXYDYONFSDVBX-LBPRGKRZSA-N 0 3 235.327 2.719 20 0 BFADHN CN(CCOc1cccc(F)c1)[C@H]1CC1(C)C ZINC000489473701 388215721 /nfs/dbraw/zinc/21/57/21/388215721.db2.gz JBGGPIFSVSLQBB-ZDUSSCGKSA-N 0 3 237.318 2.935 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cn2cc(C)ccc2n1 ZINC000449483156 388215891 /nfs/dbraw/zinc/21/58/91/388215891.db2.gz GTQKYMXGCUQVLI-OLZOCXBDSA-N 0 3 243.354 2.778 20 0 BFADHN Cc1cnc(CNCC(C)(C)C2CCC2)n1C ZINC000449487368 388216708 /nfs/dbraw/zinc/21/67/08/388216708.db2.gz YJUZHZXSUXDNNP-UHFFFAOYSA-N 0 3 235.375 2.644 20 0 BFADHN CCO[C@H]1CCN(Cc2occc2C)C[C@H]1C ZINC000449496038 388218658 /nfs/dbraw/zinc/21/86/58/388218658.db2.gz PHSRTDCWPNRXJT-OLZOCXBDSA-N 0 3 237.343 2.835 20 0 BFADHN CN(C[C@H]1CCC(C)(C)O1)[C@H]1CC1(C)C ZINC000489511249 388219358 /nfs/dbraw/zinc/21/93/58/388219358.db2.gz ITKJKXALSXSBLA-MNOVXSKESA-N 0 3 211.349 2.674 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(C)cn2)C[C@H]1C ZINC000449506106 388220504 /nfs/dbraw/zinc/22/05/04/388220504.db2.gz ZXUYTWSJODFUSL-HIFRSBDPSA-N 0 3 248.370 2.637 20 0 BFADHN CC(C)(NCc1ccn(C(F)F)n1)C1CCC1 ZINC000449524193 388224128 /nfs/dbraw/zinc/22/41/28/388224128.db2.gz ZLRJOSOGCQBIAQ-UHFFFAOYSA-N 0 3 243.301 2.947 20 0 BFADHN Cc1ccc(CN2CCSC[C@H]2C2CC2)o1 ZINC000449527247 388225185 /nfs/dbraw/zinc/22/51/85/388225185.db2.gz HCADNIWMIDSTSD-ZDUSSCGKSA-N 0 3 237.368 2.915 20 0 BFADHN Cc1n[nH]c(C)c1CN1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000449540658 388226932 /nfs/dbraw/zinc/22/69/32/388226932.db2.gz NEAUIFBSBQGGSI-HUUCEWRRSA-N 0 3 245.370 2.647 20 0 BFADHN Cc1noc(C)c1CN1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000449540339 388227556 /nfs/dbraw/zinc/22/75/56/388227556.db2.gz MSQFHDPPGMEGOM-CABCVRRESA-N 0 3 246.354 2.912 20 0 BFADHN COc1ncccc1CN(C)C1(C)CCCC1 ZINC000489898255 388228271 /nfs/dbraw/zinc/22/82/71/388228271.db2.gz NZBPRUHAFPXAPN-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN C=Cn1cc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)cn1 ZINC000449545287 388228426 /nfs/dbraw/zinc/22/84/26/388228426.db2.gz YNKDEGJXADOQFD-CABCVRRESA-N 0 3 243.354 2.604 20 0 BFADHN Cc1cnc([C@H](C)NC[C@H]2C[C@H]2C)s1 ZINC000336662073 388259726 /nfs/dbraw/zinc/25/97/26/388259726.db2.gz SMIXLQLROHJDBA-FKTZTGRPSA-N 0 3 210.346 2.758 20 0 BFADHN Cc1cnn(C)c1CN1C[C@@H](C(C)C)[C@@H]1C(C)C ZINC000449551525 388230266 /nfs/dbraw/zinc/23/02/66/388230266.db2.gz GTMAKRPCCOIZKF-ZFWWWQNUSA-N 0 3 249.402 2.841 20 0 BFADHN Cc1ccoc1CN1CC[C@@H]2C[C@@H](O)CC[C@H]2C1 ZINC000449553638 388230489 /nfs/dbraw/zinc/23/04/89/388230489.db2.gz MHUYNKOLEDVRIE-RDBSUJKOSA-N 0 3 249.354 2.571 20 0 BFADHN Cc1ccoc1CN1CC[C@@H]2C[C@@H](O)CC[C@@H]2C1 ZINC000449553636 388230778 /nfs/dbraw/zinc/23/07/78/388230778.db2.gz MHUYNKOLEDVRIE-MCIONIFRSA-N 0 3 249.354 2.571 20 0 BFADHN Cc1ccoc1CNC[C@@H]1C[C@H]1C(C)C ZINC000449552456 388230870 /nfs/dbraw/zinc/23/08/70/388230870.db2.gz JPBDSRVGQHXTGF-RYUDHWBXSA-N 0 3 207.317 2.970 20 0 BFADHN Cc1cnn(C)c1CN1C[C@@H](C(C)C)[C@H]1C(C)C ZINC000449551522 388230990 /nfs/dbraw/zinc/23/09/90/388230990.db2.gz GTMAKRPCCOIZKF-DZGCQCFKSA-N 0 3 249.402 2.841 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC1CCCC1 ZINC000449562661 388232577 /nfs/dbraw/zinc/23/25/77/388232577.db2.gz MVOIHZIEWNQYNJ-LLVKDONJSA-N 0 3 221.348 2.744 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@@H]1C[C@H](C)[C@@H]1C ZINC000449567919 388234721 /nfs/dbraw/zinc/23/47/21/388234721.db2.gz ZKIAKJCHZIVILG-FIQHERPVSA-N 0 3 221.348 2.598 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000449568787 388235062 /nfs/dbraw/zinc/23/50/62/388235062.db2.gz DDZOWGKVQXIMJC-NTZNESFSSA-N 0 3 245.326 2.703 20 0 BFADHN CCCCCNC(=O)CN(C)C1(C)CCCC1 ZINC000490131776 388235435 /nfs/dbraw/zinc/23/54/35/388235435.db2.gz CDMBYSZPRLSJIP-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CC[C@@H]2C2CC2)c2nccn21 ZINC000449574499 388236706 /nfs/dbraw/zinc/23/67/06/388236706.db2.gz UXVCNJKULRXUDU-JHEVNIALSA-N 0 3 231.343 2.667 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1csc(COC)n1 ZINC000164448653 388238590 /nfs/dbraw/zinc/23/85/90/388238590.db2.gz YAQASZKAOSRYOZ-ZJUUUORDSA-N 0 3 242.388 2.814 20 0 BFADHN Cc1ccc(CN(C)[C@H](CO)CC(C)(C)C)o1 ZINC000449591641 388239043 /nfs/dbraw/zinc/23/90/43/388239043.db2.gz WZTBVCGZZVZHCX-LBPRGKRZSA-N 0 3 239.359 2.817 20 0 BFADHN c1nc(CNC2CCc3ccccc3CC2)co1 ZINC000449591592 388239064 /nfs/dbraw/zinc/23/90/64/388239064.db2.gz MXRLTSJBLLVZSA-UHFFFAOYSA-N 0 3 242.322 2.712 20 0 BFADHN CCCC[C@@H](C)NCc1cnc(CC)o1 ZINC000449607411 388241538 /nfs/dbraw/zinc/24/15/38/388241538.db2.gz ARKRGQLJPHTPHB-SNVBAGLBSA-N 0 3 210.321 2.905 20 0 BFADHN C[C@@H](O)CN1[C@H](C)Cc2cc(F)ccc2[C@H]1C ZINC000459370430 388242367 /nfs/dbraw/zinc/24/23/67/388242367.db2.gz IMKOKCJRWMSDBX-GMTAPVOTSA-N 0 3 237.318 2.514 20 0 BFADHN CCc1ncc(CN[C@H]2CCC[C@@H]2C2CC2)o1 ZINC000449610305 388242609 /nfs/dbraw/zinc/24/26/09/388242609.db2.gz QBQZVPCVBJFESR-OLZOCXBDSA-N 0 3 234.343 2.905 20 0 BFADHN CC[C@@H](C)N1CCN(CC2(C)CCC2)CC1 ZINC000449627861 388245065 /nfs/dbraw/zinc/24/50/65/388245065.db2.gz HOVCKFPDISJBHO-CYBMUJFWSA-N 0 3 224.392 2.593 20 0 BFADHN CCc1ccc(CN[C@H](C)[C@@H](OC)C2CC2)cn1 ZINC000449631299 388245890 /nfs/dbraw/zinc/24/58/90/388245890.db2.gz OVEQLEJUQOEVRB-IAQYHMDHSA-N 0 3 248.370 2.547 20 0 BFADHN CCc1ccc(CN[C@H](C)[C@H](OC)C2CC2)cn1 ZINC000449631298 388246334 /nfs/dbraw/zinc/24/63/34/388246334.db2.gz OVEQLEJUQOEVRB-ABAIWWIYSA-N 0 3 248.370 2.547 20 0 BFADHN CC1(C2(NCc3cnc(C4CC4)nc3)CC2)CC1 ZINC000459577423 388246421 /nfs/dbraw/zinc/24/64/21/388246421.db2.gz VSIVFUWDJWENAV-UHFFFAOYSA-N 0 3 243.354 2.776 20 0 BFADHN CCSCCNCc1ccc(OC)cc1 ZINC000164784736 388248200 /nfs/dbraw/zinc/24/82/00/388248200.db2.gz AYXQXMPQQKRPLV-UHFFFAOYSA-N 0 3 225.357 2.538 20 0 BFADHN CCO[C@@H]1CCN(Cc2cncc(C)c2)C[C@H]1C ZINC000451542309 388280889 /nfs/dbraw/zinc/28/08/89/388280889.db2.gz NIBQZQFMPGIBCP-UKRRQHHQSA-N 0 3 248.370 2.637 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC)[C@@H](C)C2)nc1 ZINC000451578732 388282589 /nfs/dbraw/zinc/28/25/89/388282589.db2.gz XLFNKDUBEFTZEV-SWLSCSKDSA-N 0 3 248.370 2.501 20 0 BFADHN CC[C@@H](F)CN1CCSC[C@@H]1C1CC1 ZINC000451659397 388288894 /nfs/dbraw/zinc/28/88/94/388288894.db2.gz ZITOONNXWZUDAU-GHMZBOCLSA-N 0 3 217.353 2.562 20 0 BFADHN O[C@@H]1CC[C@H]2CN(CC3=CCCCC3)CC[C@@H]2C1 ZINC000451694705 388290469 /nfs/dbraw/zinc/29/04/69/388290469.db2.gz CMFUKQNILVRRRH-OWCLPIDISA-N 0 3 249.398 2.970 20 0 BFADHN CN(CCC(F)(F)F)[C@@H](CO)CC(C)(C)C ZINC000451788913 388296641 /nfs/dbraw/zinc/29/66/41/388296641.db2.gz ZZRQNUDXJJUMKT-SECBINFHSA-N 0 3 241.297 2.668 20 0 BFADHN CSCCCN1CCOCC12CCCCC2 ZINC000451816195 388298564 /nfs/dbraw/zinc/29/85/64/388298564.db2.gz NZEZNXQDUUPGAJ-UHFFFAOYSA-N 0 3 243.416 2.775 20 0 BFADHN CC[C@H](F)CN(C)[C@H]1C[C@@H](OC)C12CCC2 ZINC000451848050 388300724 /nfs/dbraw/zinc/30/07/24/388300724.db2.gz HXLKLUJVEIFZNJ-SDDRHHMPSA-N 0 3 229.339 2.624 20 0 BFADHN CC/C=C/CCN1CCN([C@@H](C)CC)CC1 ZINC000451851203 388301411 /nfs/dbraw/zinc/30/14/11/388301411.db2.gz YXDYTRCGASOGBW-UZYOAWRESA-N 0 3 224.392 2.759 20 0 BFADHN Cc1cc(CN2C[C@H]3[C@@H]4C=C[C@@H](C4)[C@]3(C)C2)on1 ZINC000451373595 388266927 /nfs/dbraw/zinc/26/69/27/388266927.db2.gz RXWQPYIQRZYMAE-DHMWGJHJSA-N 0 3 244.338 2.627 20 0 BFADHN CCC[C@H](NCc1ccon1)C(C)(C)C ZINC000451443542 388273899 /nfs/dbraw/zinc/27/38/99/388273899.db2.gz ZIQMWKMIXYRORL-NSHDSACASA-N 0 3 210.321 2.979 20 0 BFADHN CCO[C@@H]1C[C@@H](NC[C@H](F)CC)C12CCC2 ZINC000451485650 388277617 /nfs/dbraw/zinc/27/76/17/388277617.db2.gz YUIDBWLOKWMEKQ-IJLUTSLNSA-N 0 3 229.339 2.672 20 0 BFADHN CC[C@H](F)CN[C@@H]1C[C@@](C)(OC)C1(C)C ZINC000451495649 388278198 /nfs/dbraw/zinc/27/81/98/388278198.db2.gz TUZTWSXMVKEFDK-HOSYDEDBSA-N 0 3 217.328 2.528 20 0 BFADHN FCCN[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000275628475 388345216 /nfs/dbraw/zinc/34/52/16/388345216.db2.gz PTLHWJRSNFDFHV-CHWSQXEVSA-N 0 3 207.292 2.882 20 0 BFADHN COC[C@@H](NCc1ccoc1)C1CCCC1 ZINC000275885911 388346241 /nfs/dbraw/zinc/34/62/41/388346241.db2.gz PYWSQTQYDHOPGE-CYBMUJFWSA-N 0 3 223.316 2.574 20 0 BFADHN CCS[C@H]1CCCC[C@@H]1NCc1ccno1 ZINC000276126775 388346792 /nfs/dbraw/zinc/34/67/92/388346792.db2.gz AHNIZATWQXLNAW-RYUDHWBXSA-N 0 3 240.372 2.829 20 0 BFADHN CCS[C@@H]1CCCC[C@@H]1NCc1ccno1 ZINC000276126772 388346840 /nfs/dbraw/zinc/34/68/40/388346840.db2.gz AHNIZATWQXLNAW-NWDGAFQWSA-N 0 3 240.372 2.829 20 0 BFADHN CCS[C@@H]1CCCC[C@H]1NCc1ccno1 ZINC000276138636 388347316 /nfs/dbraw/zinc/34/73/16/388347316.db2.gz AHNIZATWQXLNAW-VXGBXAGGSA-N 0 3 240.372 2.829 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1ccc(Cl)cn1 ZINC000276162591 388347416 /nfs/dbraw/zinc/34/74/16/388347416.db2.gz RBAUMESTVRHSMJ-IVZWLZJFSA-N 0 3 242.750 2.809 20 0 BFADHN CC(C)CCCN[C@H](CCO)c1ccco1 ZINC000276314126 388348619 /nfs/dbraw/zinc/34/86/19/388348619.db2.gz WAULBLBKAIKBJC-GFCCVEGCSA-N 0 3 225.332 2.729 20 0 BFADHN CC(C)=CCCNCc1cn2ccccc2n1 ZINC000276634668 388350447 /nfs/dbraw/zinc/35/04/47/388350447.db2.gz PEWNKQUNBYFKIE-UHFFFAOYSA-N 0 3 229.327 2.780 20 0 BFADHN C[C@H](NCCSC(C)(C)C)c1ccnn1C ZINC000278393769 388354787 /nfs/dbraw/zinc/35/47/87/388354787.db2.gz DXJFWFZUGWTYKG-JTQLQIEISA-N 0 3 241.404 2.602 20 0 BFADHN CC(C)N(Cc1ccccn1)C[C@@H]1CCCCO1 ZINC000524301530 388355535 /nfs/dbraw/zinc/35/55/35/388355535.db2.gz YEVHOEWXHUMWKR-HNNXBMFYSA-N 0 3 248.370 2.861 20 0 BFADHN CCC(CC)[C@@H](O)CNCc1ccsc1C ZINC000293652653 388356118 /nfs/dbraw/zinc/35/61/18/388356118.db2.gz SNFJTXLFJDTKEV-ZDUSSCGKSA-N 0 3 241.400 2.943 20 0 BFADHN CC(C)=CCNCc1ccc2c(n1)CCC2 ZINC000517015609 388358215 /nfs/dbraw/zinc/35/82/15/388358215.db2.gz BPNVLSZARQRGLC-UHFFFAOYSA-N 0 3 216.328 2.626 20 0 BFADHN Cc1nocc1CN[C@H](C)Cc1ccccc1F ZINC000293692666 388359744 /nfs/dbraw/zinc/35/97/44/388359744.db2.gz WKJJPRDHVBUJKU-SNVBAGLBSA-N 0 3 248.301 2.843 20 0 BFADHN C[C@@H](O)CN(C)[C@H](C)c1cccc(Cl)c1 ZINC000235251472 388366341 /nfs/dbraw/zinc/36/63/41/388366341.db2.gz UUOBLMSJTAKKEV-NXEZZACHSA-N 0 3 227.735 2.714 20 0 BFADHN CC1(C)COC[C@H]1NCc1cc2ccccc2[nH]1 ZINC000648795774 388366486 /nfs/dbraw/zinc/36/64/86/388366486.db2.gz ZYRGGACJWVKBIV-CQSZACIVSA-N 0 3 244.338 2.683 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCC[C@H](F)C2)nn1C ZINC000572721269 388369897 /nfs/dbraw/zinc/36/98/97/388369897.db2.gz YUARRMNLLICGCP-SDDRHHMPSA-N 0 3 239.338 2.660 20 0 BFADHN CC[C@@H](CO)N[C@H](C)c1ccc(Cl)c(F)c1 ZINC000163989366 388371712 /nfs/dbraw/zinc/37/17/12/388371712.db2.gz JQWYBVXEUMGGJP-SCZZXKLOSA-N 0 3 245.725 2.901 20 0 BFADHN Cc1cc(F)ccc1CN[C@@]1(C)CCO[C@@H]1C ZINC000235652795 388374031 /nfs/dbraw/zinc/37/40/31/388374031.db2.gz RGFSEGVKGBMSGM-RISCZKNCSA-N 0 3 237.318 2.791 20 0 BFADHN CCC[C@@H](C)NC(=O)[C@@H](C)N(C)CCC(C)C ZINC000193569071 388376943 /nfs/dbraw/zinc/37/69/43/388376943.db2.gz XENRAUQFTKPRSA-CHWSQXEVSA-N 0 3 242.407 2.658 20 0 BFADHN CCCCCNC(=O)[C@H](C)N(C)CCC(C)C ZINC000193568974 388377324 /nfs/dbraw/zinc/37/73/24/388377324.db2.gz SITUGXZPXMEYNB-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN CCc1nc(C)c(CN(C)[C@H](C)C2CC2)o1 ZINC000572830728 388379739 /nfs/dbraw/zinc/37/97/39/388379739.db2.gz DSJOBYXNMIQKFW-SNVBAGLBSA-N 0 3 222.332 2.776 20 0 BFADHN CC[C@@H](N)C(=O)N1CCCC(CC)(CC)CC1 ZINC000646554184 388381402 /nfs/dbraw/zinc/38/14/02/388381402.db2.gz WIDSYVFENDZKNH-GFCCVEGCSA-N 0 3 240.391 2.543 20 0 BFADHN O[C@@H](CNCc1ccsc1Cl)C1CCC1 ZINC000316140063 388406002 /nfs/dbraw/zinc/40/60/02/388406002.db2.gz IKTYRSSJGDKFGO-JTQLQIEISA-N 0 3 245.775 2.652 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@H]1CC[C@H](O)CC1 ZINC000507462582 388385141 /nfs/dbraw/zinc/38/51/41/388385141.db2.gz PHHSCDIQGHNQAN-MRVWCRGKSA-N 0 3 248.370 2.598 20 0 BFADHN NCc1cccc(NC(=O)C2CC3(CCC3)C2)c1 ZINC000646579235 388388864 /nfs/dbraw/zinc/38/88/64/388388864.db2.gz CTINWOFAEMEGBZ-UHFFFAOYSA-N 0 3 244.338 2.664 20 0 BFADHN CC[C@@H](C)[C@H](C)C(=O)Nc1cccc(CN)c1 ZINC000646579439 388388867 /nfs/dbraw/zinc/38/88/67/388388867.db2.gz GXOOBGJDUGLAFR-MNOVXSKESA-N 0 3 234.343 2.766 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cccc(F)c1)C1CC1 ZINC000294967664 388406259 /nfs/dbraw/zinc/40/62/59/388406259.db2.gz JTEOZFLMJGCBPE-HZMBPMFUSA-N 0 3 237.318 2.901 20 0 BFADHN CCC1(CNCc2conc2C)CCC1 ZINC000293719046 388390691 /nfs/dbraw/zinc/39/06/91/388390691.db2.gz YHKWTADMIDZZRB-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cc(C)oc1C)C1CC1 ZINC000293838422 388391564 /nfs/dbraw/zinc/39/15/64/388391564.db2.gz XOZJHZFRYZOYIO-IINYFYTJSA-N 0 3 237.343 2.972 20 0 BFADHN Cc1nocc1CN[C@@H]1CCC[C@H](C)C1 ZINC000294024881 388394178 /nfs/dbraw/zinc/39/41/78/388394178.db2.gz HQYGDZNQCDIMMW-JOYOIKCWSA-N 0 3 208.305 2.651 20 0 BFADHN FC1(F)CCC[C@H](CNCc2ccon2)C1 ZINC000300833550 388396898 /nfs/dbraw/zinc/39/68/98/388396898.db2.gz HQZGOYDWFSDDMS-VIFPVBQESA-N 0 3 230.258 2.590 20 0 BFADHN CC[C@H](N[C@H](C)C[S@@](C)=O)c1ccsc1 ZINC000294446604 388400167 /nfs/dbraw/zinc/40/01/67/388400167.db2.gz RMELTHPFDKFOGJ-BPYAMOTFSA-N 0 3 245.413 2.556 20 0 BFADHN Cc1cc(CN2CCC([C@H](C)O)CC2)cs1 ZINC000294665240 388402156 /nfs/dbraw/zinc/40/21/56/388402156.db2.gz CIOAPRHWJSXCOX-NSHDSACASA-N 0 3 239.384 2.649 20 0 BFADHN O[C@H](CNCc1cc2ccccc2o1)C1CCC1 ZINC000315796528 388402816 /nfs/dbraw/zinc/40/28/16/388402816.db2.gz VHEYLHIOPDUWHI-CQSZACIVSA-N 0 3 245.322 2.683 20 0 BFADHN C[C@H](NC[C@@H](O)C1CCC1)c1cccc(F)c1 ZINC000315750710 388403039 /nfs/dbraw/zinc/40/30/39/388403039.db2.gz NAYKVIUOSUVILJ-IINYFYTJSA-N 0 3 237.318 2.637 20 0 BFADHN C[C@@H](NC[C@H](O)C1CCC1)c1cccc(F)c1 ZINC000315750713 388403202 /nfs/dbraw/zinc/40/32/02/388403202.db2.gz NAYKVIUOSUVILJ-YGRLFVJLSA-N 0 3 237.318 2.637 20 0 BFADHN CN(CCCOc1ccccc1)CC1(F)CC1 ZINC000525977253 388440235 /nfs/dbraw/zinc/44/02/35/388440235.db2.gz RVFUODDWYCPHEQ-UHFFFAOYSA-N 0 3 237.318 2.889 20 0 BFADHN CCN1CCC(Oc2ccc(F)cc2F)CC1 ZINC000167333911 388440688 /nfs/dbraw/zinc/44/06/88/388440688.db2.gz IXMVDFRNSQIPQH-UHFFFAOYSA-N 0 3 241.281 2.828 20 0 BFADHN CC[C@@](C)(CN[C@H](C)c1cncs1)OC ZINC000322619726 388441233 /nfs/dbraw/zinc/44/12/33/388441233.db2.gz NEVYHAKKFKEKHZ-KOLCDFICSA-N 0 3 228.361 2.609 20 0 BFADHN CC[C@@](C)(CNCc1ccsc1)OC ZINC000322631356 388441585 /nfs/dbraw/zinc/44/15/85/388441585.db2.gz RDFVRVUGUGNZGR-NSHDSACASA-N 0 3 213.346 2.653 20 0 BFADHN CC[C@](C)(CNCc1ccccc1F)OC ZINC000322642347 388443010 /nfs/dbraw/zinc/44/30/10/388443010.db2.gz CDFQQDQLJRURFO-CYBMUJFWSA-N 0 3 225.307 2.730 20 0 BFADHN Cc1cc(CN)cc(NC(=O)C2(C)CCCC2)c1 ZINC000322689568 388444075 /nfs/dbraw/zinc/44/40/75/388444075.db2.gz IYZZVTGZBVDXNS-UHFFFAOYSA-N 0 3 246.354 2.973 20 0 BFADHN C[C@@H]([C@@H]1Cc2ccccc2O1)N(C)CC1(F)CC1 ZINC000525979596 388444094 /nfs/dbraw/zinc/44/40/94/388444094.db2.gz LXTVMDJUEKXQHK-FZMZJTMJSA-N 0 3 249.329 2.813 20 0 BFADHN CN(C)CC(C)(C)NCc1ccccc1Cl ZINC000308615963 388444997 /nfs/dbraw/zinc/44/49/97/388444997.db2.gz OKRQYTGZVRNFED-UHFFFAOYSA-N 0 3 240.778 2.770 20 0 BFADHN Cc1ccc(CCNCc2ccnn2C(C)C)o1 ZINC000525981520 388447156 /nfs/dbraw/zinc/44/71/56/388447156.db2.gz SNFAMYLNEOGRLQ-UHFFFAOYSA-N 0 3 247.342 2.698 20 0 BFADHN FC1(CNCCCc2nc3ccccc3[nH]2)CC1 ZINC000525987443 388452838 /nfs/dbraw/zinc/45/28/38/388452838.db2.gz CNFRQTBJTDBGBG-UHFFFAOYSA-N 0 3 247.317 2.587 20 0 BFADHN CC1(C)C[C@@H](N[C@@H]2CCOc3ccccc32)CO1 ZINC000335658154 388453057 /nfs/dbraw/zinc/45/30/57/388453057.db2.gz WVSAJWGAPTWBNI-DGCLKSJQSA-N 0 3 247.338 2.667 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1ccc2c(c1)CCO2 ZINC000525996751 388454296 /nfs/dbraw/zinc/45/42/96/388454296.db2.gz FTBGPOBUNUQXEC-PHIMTYICSA-N 0 3 217.312 2.604 20 0 BFADHN C[C@H](N[C@@H]1CC2CCC1CC2)c1ccncn1 ZINC000335916833 388454982 /nfs/dbraw/zinc/45/49/82/388454982.db2.gz KJSBMMXTLIUKQN-DLOFLVKXSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@@H](N[C@@H]1CCCC1(C)C)c1ccncn1 ZINC000335916813 388455531 /nfs/dbraw/zinc/45/55/31/388455531.db2.gz BMGIMHWHGPZCCK-ZYHUDNBSSA-N 0 3 219.332 2.706 20 0 BFADHN CC[C@H](CN[C@H](C)c1ccccc1F)OC ZINC000336657396 388459286 /nfs/dbraw/zinc/45/92/86/388459286.db2.gz IIQJSKKFKLPSAH-GHMZBOCLSA-N 0 3 225.307 2.901 20 0 BFADHN CC(C)(C)CC(C)(C)NCc1cnns1 ZINC000336658670 388459712 /nfs/dbraw/zinc/45/97/12/388459712.db2.gz BDXGLOPZZCVCMO-UHFFFAOYSA-N 0 3 227.377 2.843 20 0 BFADHN CCCCCNC(=O)CN1CCCC[C@H]1CC ZINC000061757017 388459828 /nfs/dbraw/zinc/45/98/28/388459828.db2.gz FHKZJZWJRIFLBX-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN O[C@]1(C(F)F)CCN([C@H]2C=CCCCCC2)C1 ZINC000515595945 388467213 /nfs/dbraw/zinc/46/72/13/388467213.db2.gz SXNUSYCWBFBXLD-WCQYABFASA-N 0 3 245.313 2.577 20 0 BFADHN CN(C)CC1(NCc2ccc(Cl)o2)CCC1 ZINC000336723846 388468484 /nfs/dbraw/zinc/46/84/84/388468484.db2.gz AXLWWNDGNREZBY-UHFFFAOYSA-N 0 3 242.750 2.507 20 0 BFADHN CCCCCNC(=O)CN(CC)C[C@H](C)CC ZINC000177063364 388474019 /nfs/dbraw/zinc/47/40/19/388474019.db2.gz OVSNGBQYPDDVPY-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CC(C)N(Cc1cn[nH]c1)C1CC(C)(C)C1 ZINC000648871181 388476983 /nfs/dbraw/zinc/47/69/83/388476983.db2.gz VFWKCSGGHOYVAQ-UHFFFAOYSA-N 0 3 221.348 2.809 20 0 BFADHN Cc1nc(CN[C@@H](C)C2CC2)sc1C ZINC000086690541 388407679 /nfs/dbraw/zinc/40/76/79/388407679.db2.gz MHSFIDAUXGZQHI-QMMMGPOBSA-N 0 3 210.346 2.648 20 0 BFADHN C[C@@H]1CN(CCOC(C)(C)C)C[C@H](C)S1 ZINC000524757832 388408210 /nfs/dbraw/zinc/40/82/10/388408210.db2.gz KLKKBSOGXHRRAV-PHIMTYICSA-N 0 3 231.405 2.627 20 0 BFADHN Cc1ccc(CNCCc2ncccc2C)o1 ZINC000295112107 388408676 /nfs/dbraw/zinc/40/86/76/388408676.db2.gz WGIOVBAUSDOPEV-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN C[C@@H](N(C)Cc1cc(F)cc(F)c1)C(C)(C)O ZINC000295231443 388409821 /nfs/dbraw/zinc/40/98/21/388409821.db2.gz WWUPMEPFAFGWQG-SECBINFHSA-N 0 3 243.297 2.556 20 0 BFADHN Cc1cnccc1CNCCSC(C)C ZINC000295920254 388411059 /nfs/dbraw/zinc/41/10/59/388411059.db2.gz DYWGXIXWLDVTCC-UHFFFAOYSA-N 0 3 224.373 2.621 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3c2cccc3F)[C@H](C)O1 ZINC000295395715 388411499 /nfs/dbraw/zinc/41/14/99/388411499.db2.gz LOQCZOYGPYLXSK-QPNXVFALSA-N 0 3 249.329 2.969 20 0 BFADHN CCC1(CNCc2ccnc(OC)n2)CCCC1 ZINC000295646565 388412687 /nfs/dbraw/zinc/41/26/87/388412687.db2.gz INXBADLTRRYYMD-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN CCCC1(CNCc2ccnc(OC)n2)CCC1 ZINC000295737210 388413057 /nfs/dbraw/zinc/41/30/57/388413057.db2.gz OQDCDQXDCZPRMB-UHFFFAOYSA-N 0 3 249.358 2.545 20 0 BFADHN Cc1cnc([C@H](C)N[C@@H]2CSC[C@@H]2C)s1 ZINC000295763515 388413557 /nfs/dbraw/zinc/41/35/57/388413557.db2.gz QJKMWILRXWRERF-UJNFCWOMSA-N 0 3 242.413 2.854 20 0 BFADHN CO[C@@H](CNCc1cccc(C)c1F)C1CC1 ZINC000296089041 388416709 /nfs/dbraw/zinc/41/67/09/388416709.db2.gz RCEDACLPHBNAPM-ZDUSSCGKSA-N 0 3 237.318 2.649 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](O)C2CCC2)cs1 ZINC000316847219 388422616 /nfs/dbraw/zinc/42/26/16/388422616.db2.gz XJCMXHPLYZCWHI-ZWNOBZJWSA-N 0 3 239.384 2.868 20 0 BFADHN COc1nccc(CN[C@H]2CCC[C@H](C)CC2)n1 ZINC000296654039 388424899 /nfs/dbraw/zinc/42/48/99/388424899.db2.gz DNGANIYYNQOQLX-RYUDHWBXSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@H](NCCC(C)(C)C1CC1)c1nccn1C ZINC000336888886 388430425 /nfs/dbraw/zinc/43/04/25/388430425.db2.gz KURUZSFEYVSOJV-NSHDSACASA-N 0 3 235.375 2.897 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1ccoc1 ZINC000166967833 388435007 /nfs/dbraw/zinc/43/50/07/388435007.db2.gz YPCZKEXVFVRCRG-SNVBAGLBSA-N 0 3 211.305 2.745 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1cncc(F)c1)OC ZINC000322500186 388435830 /nfs/dbraw/zinc/43/58/30/388435830.db2.gz FVHUEFOZHJZUGD-GWCFXTLKSA-N 0 3 240.322 2.686 20 0 BFADHN C[C@H]1C[C@H](C)N1CCOCCOc1ccccc1 ZINC000548424808 388537183 /nfs/dbraw/zinc/53/71/83/388537183.db2.gz WYWFSEKPZVJARL-KBPBESRZSA-N 0 3 249.354 2.565 20 0 BFADHN CCC[C@]1(CO)CCN(C/C=C(\C)Cl)C1 ZINC000528506274 388537447 /nfs/dbraw/zinc/53/74/47/388537447.db2.gz SRBMINSXHFJILJ-QNCMIEPLSA-N 0 3 231.767 2.614 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CCOCCOc1ccccc1 ZINC000548424810 388537535 /nfs/dbraw/zinc/53/75/35/388537535.db2.gz WYWFSEKPZVJARL-ZIAGYGMSSA-N 0 3 249.354 2.565 20 0 BFADHN C/C(Cl)=C\CN1CC[C@@H](O)CC(C)(C)C1 ZINC000528517822 388540797 /nfs/dbraw/zinc/54/07/97/388540797.db2.gz IOUXVPXHNUFJRJ-LLMHMKPQSA-N 0 3 231.767 2.612 20 0 BFADHN CC[C@@H](C)N1CCN(CC2CC(C)(C)C2)CC1 ZINC000528518830 388541145 /nfs/dbraw/zinc/54/11/45/388541145.db2.gz KODGMSKIHYDCIK-CYBMUJFWSA-N 0 3 238.419 2.839 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](CO)C2CCCC2)o1 ZINC000353480990 388541897 /nfs/dbraw/zinc/54/18/97/388541897.db2.gz JSIWDKOAYKQUHN-AAEUAGOBSA-N 0 3 237.343 2.790 20 0 BFADHN CO[C@@H]1C[C@@H](NC/C=C(/C)Cl)C1(C)C ZINC000528525262 388542881 /nfs/dbraw/zinc/54/28/81/388542881.db2.gz ZWIGHLATGMHOPH-MMIMJOASSA-N 0 3 217.740 2.532 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2c3cc(F)ccc3C[C@@H]2C)CO1 ZINC000517235381 388544282 /nfs/dbraw/zinc/54/42/82/388544282.db2.gz JSJUTOZNYZHJMU-FJHTZYQYSA-N 0 3 249.329 2.826 20 0 BFADHN CC(C)c1ncc(CN[C@@H]2CO[C@@H](C)C2)s1 ZINC000517235175 388545002 /nfs/dbraw/zinc/54/50/02/388545002.db2.gz FGGBTXVRQGVBIC-UWVGGRQHSA-N 0 3 240.372 2.534 20 0 BFADHN CC(C)O[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC000517365547 388548206 /nfs/dbraw/zinc/54/82/06/388548206.db2.gz GAXAQOLUUXPYIK-QWHCGFSZSA-N 0 3 234.343 2.642 20 0 BFADHN CO[C@H](C)CNCc1cc(C)cc(Cl)c1 ZINC000339364972 388482213 /nfs/dbraw/zinc/48/22/13/388482213.db2.gz JFJQVMWKYDOFAO-SNVBAGLBSA-N 0 3 227.735 2.773 20 0 BFADHN Cc1nocc1CNC[C@H]1CC[C@H](C)C1 ZINC000339385466 388482610 /nfs/dbraw/zinc/48/26/10/388482610.db2.gz PQGRQXOPBIUNCZ-ONGXEEELSA-N 0 3 208.305 2.509 20 0 BFADHN CC(C)n1cc(CNC2(C(C)C)CC2)cn1 ZINC000339507463 388483780 /nfs/dbraw/zinc/48/37/80/388483780.db2.gz QDQGPAPVYKZNHV-UHFFFAOYSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1ccc(CN2CCCC[C@@H]2C[C@H](C)O)cn1 ZINC000405653682 388485805 /nfs/dbraw/zinc/48/58/05/388485805.db2.gz RSGSXBJYAAWIQI-DZGCQCFKSA-N 0 3 248.370 2.515 20 0 BFADHN CC(C)(C)c1ncc(CN[C@@H]2C[C@H]3C[C@H]3C2)cn1 ZINC000397649992 388486655 /nfs/dbraw/zinc/48/66/55/388486655.db2.gz WFZFAUPGCNKZKE-CLLJXQQHSA-N 0 3 245.370 2.662 20 0 BFADHN CN(CCC1CC1)C[C@@H](O)c1ccccc1F ZINC000135276476 388489234 /nfs/dbraw/zinc/48/92/34/388489234.db2.gz FZWIGAPSOHGQES-CQSZACIVSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@H](c1ccc(F)cc1F)N(C)CC(C)(C)O ZINC000177724677 388489710 /nfs/dbraw/zinc/48/97/10/388489710.db2.gz NIWADSBPZGFNMK-SECBINFHSA-N 0 3 243.297 2.729 20 0 BFADHN CC[C@@H](NCc1nnc(C)s1)[C@H]1CC1(C)C ZINC000397705717 388492331 /nfs/dbraw/zinc/49/23/31/388492331.db2.gz RJVIFOPXVOZUNR-NXEZZACHSA-N 0 3 239.388 2.761 20 0 BFADHN C[C@@H](NCCc1cnccn1)c1ccccc1F ZINC000340943049 388493910 /nfs/dbraw/zinc/49/39/10/388493910.db2.gz STAUAIOGEDAHDY-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN C[C@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1ccccn1 ZINC000397732642 388495885 /nfs/dbraw/zinc/49/58/85/388495885.db2.gz QTQLYHMHNGRGFH-YFKTTZPYSA-N 0 3 202.301 2.531 20 0 BFADHN Cc1ccc([C@@H](C)NCCCn2ccnc2)o1 ZINC000034958077 388496538 /nfs/dbraw/zinc/49/65/38/388496538.db2.gz BVMYKYMLFISNOX-GFCCVEGCSA-N 0 3 233.315 2.525 20 0 BFADHN CCc1ncc(CN[C@@H]2CS[C@@H](C)C2)s1 ZINC000397794834 388499638 /nfs/dbraw/zinc/49/96/38/388499638.db2.gz JKPPBRBIYJQQHA-IUCAKERBSA-N 0 3 242.413 2.689 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCC2(N(C)C)CC2)o1 ZINC000397824303 388502678 /nfs/dbraw/zinc/50/26/78/388502678.db2.gz ANZHYRSCZVJYNX-DGCLKSJQSA-N 0 3 248.370 2.587 20 0 BFADHN Clc1ccc(CNCC2=CCOCC2)s1 ZINC000397823552 388502735 /nfs/dbraw/zinc/50/27/35/388502735.db2.gz OPXYDTPBNMLAJE-UHFFFAOYSA-N 0 3 243.759 2.838 20 0 BFADHN C[C@H](CCO)CCNCc1ccsc1Cl ZINC000397826513 388503605 /nfs/dbraw/zinc/50/36/05/388503605.db2.gz JEJNBXIPBFMDHH-VIFPVBQESA-N 0 3 247.791 2.900 20 0 BFADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1ccc(F)cn1 ZINC000397841035 388504416 /nfs/dbraw/zinc/50/44/16/388504416.db2.gz QNXKEVKRKNODBT-FXPVBKGRSA-N 0 3 240.347 2.765 20 0 BFADHN CC[C@H]1CCC[C@H](CNCc2ccn(C)n2)C1 ZINC000344339564 388505882 /nfs/dbraw/zinc/50/58/82/388505882.db2.gz YEXILJKQHOJPSI-STQMWFEESA-N 0 3 235.375 2.726 20 0 BFADHN Clc1ccc(CNCC2=CCOCC2)cc1 ZINC000397876004 388508066 /nfs/dbraw/zinc/50/80/66/388508066.db2.gz KVERLLGSJMODOH-UHFFFAOYSA-N 0 3 237.730 2.776 20 0 BFADHN C[C@@H](F)CCNCc1ccc(F)cc1F ZINC000378801268 388508235 /nfs/dbraw/zinc/50/82/35/388508235.db2.gz UUFFAQXXLYQRKV-MRVPVSSYSA-N 0 3 217.234 2.803 20 0 BFADHN CO[C@H](CNCc1ccc(C)c(F)c1)C(C)C ZINC000344726322 388508731 /nfs/dbraw/zinc/50/87/31/388508731.db2.gz HQKJOWOXJSIBNN-CQSZACIVSA-N 0 3 239.334 2.895 20 0 BFADHN COc1cc(F)cc(CN[C@H]2CC2(C)C)c1 ZINC000312273702 388509844 /nfs/dbraw/zinc/50/98/44/388509844.db2.gz MDBDJJRBXUNPMZ-LBPRGKRZSA-N 0 3 223.291 2.722 20 0 BFADHN COc1cc(F)cc(CNC[C@@H](C)SC)c1 ZINC000312277958 388510074 /nfs/dbraw/zinc/51/00/74/388510074.db2.gz RXZNSZCJEYWJSN-SECBINFHSA-N 0 3 243.347 2.675 20 0 BFADHN CC[C@H](NCc1cnc(C)o1)C1CCC1 ZINC000397900569 388510133 /nfs/dbraw/zinc/51/01/33/388510133.db2.gz WMGAIMAIMSXFQQ-LBPRGKRZSA-N 0 3 208.305 2.651 20 0 BFADHN COc1cc(F)cc(CNC[C@H](C)SC)c1 ZINC000312278898 388510256 /nfs/dbraw/zinc/51/02/56/388510256.db2.gz RXZNSZCJEYWJSN-VIFPVBQESA-N 0 3 243.347 2.675 20 0 BFADHN Cc1ncc(CN[C@@H](C)CC(C)(C)C)o1 ZINC000397902173 388510882 /nfs/dbraw/zinc/51/08/82/388510882.db2.gz XCOSFAVKKAEGRW-VIFPVBQESA-N 0 3 210.321 2.897 20 0 BFADHN Cc1ncc(CN[C@@H](C)Cc2ccc(F)cc2)o1 ZINC000397926584 388511802 /nfs/dbraw/zinc/51/18/02/388511802.db2.gz RNLFNJMAORGRDH-JTQLQIEISA-N 0 3 248.301 2.843 20 0 BFADHN Cc1ncc(CNC[C@@H](C)c2ccccc2)o1 ZINC000397924892 388512041 /nfs/dbraw/zinc/51/20/41/388512041.db2.gz BECRFLDFMNIEMU-LLVKDONJSA-N 0 3 230.311 2.876 20 0 BFADHN CC(C)CCCN[C@H]1C[C@H](C)n2ccnc21 ZINC000345345052 388512507 /nfs/dbraw/zinc/51/25/07/388512507.db2.gz BOXKHCRPQMAXKF-RYUDHWBXSA-N 0 3 221.348 2.915 20 0 BFADHN CC[C@@H](NCc1cnc(C)o1)[C@@H]1CC1(C)C ZINC000397970318 388513167 /nfs/dbraw/zinc/51/31/67/388513167.db2.gz BFZMCPFHOKVRFG-NWDGAFQWSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@@H]1C[C@H](NC[C@H]2CC2(C)C)c2nccn21 ZINC000345361109 388514175 /nfs/dbraw/zinc/51/41/75/388514175.db2.gz USXZQLMKVDEAAY-MXWKQRLJSA-N 0 3 219.332 2.525 20 0 BFADHN Cc1ccc(CNC[C@@H]2CC[C@H](C)O2)cc1F ZINC000398054748 388517295 /nfs/dbraw/zinc/51/72/95/388517295.db2.gz YALXZWOUNKXVAQ-AAEUAGOBSA-N 0 3 237.318 2.791 20 0 BFADHN CCOC[C@H]1CCCN(C/C=C(\C)Cl)C1 ZINC000528379454 388518426 /nfs/dbraw/zinc/51/84/26/388518426.db2.gz HBZPSDXOLUMKKU-BCMYLCSRSA-N 0 3 231.767 2.878 20 0 BFADHN CO[C@H](CN[C@@H]1CSc2ccccc21)C1CC1 ZINC000378843407 388520134 /nfs/dbraw/zinc/52/01/34/388520134.db2.gz ZFYDFVIELNDSLV-CHWSQXEVSA-N 0 3 249.379 2.848 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccsc1)c1ccn(C)n1 ZINC000516852497 388520699 /nfs/dbraw/zinc/52/06/99/388520699.db2.gz PNZDVEYFPXCFGK-WDEREUQCSA-N 0 3 249.383 2.763 20 0 BFADHN CCc1cc(CN[C@H](CC)[C@@H]2C[C@H]2C)on1 ZINC000516854408 388521568 /nfs/dbraw/zinc/52/15/68/388521568.db2.gz CMIMPTKSCGIDHH-OASPWFOLSA-N 0 3 222.332 2.761 20 0 BFADHN CCc1cc(CN[C@H](CC)[C@H]2C[C@@H]2C)on1 ZINC000516854409 388521585 /nfs/dbraw/zinc/52/15/85/388521585.db2.gz CMIMPTKSCGIDHH-TVYUQYBPSA-N 0 3 222.332 2.761 20 0 BFADHN CC1(C)CC(NCc2cccc3c2OCO3)C1 ZINC000347416202 388523057 /nfs/dbraw/zinc/52/30/57/388523057.db2.gz JWAAOOLGXJPPRS-UHFFFAOYSA-N 0 3 233.311 2.694 20 0 BFADHN CC(C)CCO[C@@H]1CCCC[C@H]1NCCF ZINC000348113266 388525856 /nfs/dbraw/zinc/52/58/56/388525856.db2.gz FJHXXQBGEJZPQG-CHWSQXEVSA-N 0 3 231.355 2.919 20 0 BFADHN C/C(Cl)=C/CN[C@]1(C)CCO[C@H]1C1CC1 ZINC000528442034 388526197 /nfs/dbraw/zinc/52/61/97/388526197.db2.gz PJTLLLKHNAWIAA-PCMHZXQHSA-N 0 3 229.751 2.676 20 0 BFADHN C/C(Cl)=C\CN(C)C[C@@H]1CCCC[C@H]1O ZINC000528437193 388526468 /nfs/dbraw/zinc/52/64/68/388526468.db2.gz FHAUNHFYQZDPDZ-GJKHTVIGSA-N 0 3 231.767 2.612 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@H]2C(F)F)cc1 ZINC000348193069 388527054 /nfs/dbraw/zinc/52/70/54/388527054.db2.gz ZQRJWGPNTZONNC-QWRGUYRKSA-N 0 3 211.255 2.738 20 0 BFADHN CC[C@H]1C[C@H](C)CN1CC(=O)c1cc(C)[nH]c1C ZINC000348194644 388527109 /nfs/dbraw/zinc/52/71/09/388527109.db2.gz WRGGVMWZBBZDCW-GWCFXTLKSA-N 0 3 248.370 2.935 20 0 BFADHN CC(C)[C@H](CO)CN[C@H]1CCCc2occc21 ZINC000348286748 388527899 /nfs/dbraw/zinc/52/78/99/388527899.db2.gz ZYYBDEAZGOVKBG-AAEUAGOBSA-N 0 3 237.343 2.511 20 0 BFADHN COC(C)(C)CNCc1cc(F)c(F)cc1F ZINC000136242957 388528195 /nfs/dbraw/zinc/52/81/95/388528195.db2.gz JOXDCHTZXBTWOI-UHFFFAOYSA-N 0 3 247.260 2.619 20 0 BFADHN COc1cc([C@H](C)NCCc2ccco2)ccn1 ZINC000564346528 388528251 /nfs/dbraw/zinc/52/82/51/388528251.db2.gz FNJGGQXOUJJVRW-NSHDSACASA-N 0 3 246.310 2.577 20 0 BFADHN COc1cccc([C@@H](C)N2CCC[C@H](OC)C2)c1 ZINC000079412498 388534123 /nfs/dbraw/zinc/53/41/23/388534123.db2.gz QXEQFOJRJRNYGK-DOMZBBRYSA-N 0 3 249.354 2.867 20 0 BFADHN COC[C@@H]1CCCCN1C/C=C(/C)Cl ZINC000528519941 388536450 /nfs/dbraw/zinc/53/64/50/388536450.db2.gz URDXPHDTQJKHMA-YAEJEKNGSA-N 0 3 217.740 2.630 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1nc(C)c(C)o1 ZINC000185627355 388589298 /nfs/dbraw/zinc/58/92/98/388589298.db2.gz FEZRNFMAKHCKKP-SKDRFNHKSA-N 0 3 222.332 2.912 20 0 BFADHN CCn1cc(CN2CCC[C@@H](C)[C@H]2C)cn1 ZINC000245560705 388589532 /nfs/dbraw/zinc/58/95/32/388589532.db2.gz NBXVCERBGYMTIE-VXGBXAGGSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1ccoc1 ZINC000245615482 388593751 /nfs/dbraw/zinc/59/37/51/388593751.db2.gz VAVHKALLMYWHPA-NOHGZBONSA-N 0 3 223.316 2.886 20 0 BFADHN Cc1ccc(O)cc1NC(=O)C(C)C(F)(F)F ZINC000529923217 388597642 /nfs/dbraw/zinc/59/76/42/388597642.db2.gz JSZCQWAGFYRDLF-ZETCQYMHSA-N 0 3 247.216 2.838 20 0 BFADHN Cc1oncc1CN1CCC[C@H](C)[C@@H]1C ZINC000189034290 388617701 /nfs/dbraw/zinc/61/77/01/388617701.db2.gz QGLDKUAEJFQMDN-UWVGGRQHSA-N 0 3 208.305 2.603 20 0 BFADHN CCCCNC(=O)[C@@H](C)N(CC)C[C@H](C)CC ZINC000245727660 388601683 /nfs/dbraw/zinc/60/16/83/388601683.db2.gz BTBMLECFSSNPBQ-CHWSQXEVSA-N 0 3 242.407 2.659 20 0 BFADHN CC[C@@H](C)CN(CC)[C@H](C)C(=O)NC(C)(C)C ZINC000245757137 388603730 /nfs/dbraw/zinc/60/37/30/388603730.db2.gz HTBVNNYXQSNTDP-VXGBXAGGSA-N 0 3 242.407 2.658 20 0 BFADHN CC[C@H](C)CN(CC)[C@H](C)C(=O)N(C)C(C)C ZINC000245914867 388610924 /nfs/dbraw/zinc/61/09/24/388610924.db2.gz VYNADIKSXPULDU-QWHCGFSZSA-N 0 3 242.407 2.610 20 0 BFADHN CO[C@H](C)CN[C@H](c1ccccn1)C1CCC1 ZINC000528657425 388555578 /nfs/dbraw/zinc/55/55/78/388555578.db2.gz JNPHMBOPRBJMNS-RISCZKNCSA-N 0 3 234.343 2.547 20 0 BFADHN FCCCN[C@@H](c1ccccn1)C1CCC1 ZINC000528661609 388556507 /nfs/dbraw/zinc/55/65/07/388556507.db2.gz VRDLRYBFSCABIB-CYBMUJFWSA-N 0 3 222.307 2.872 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1ccc2c(n1)CCC2 ZINC000520989360 388559919 /nfs/dbraw/zinc/55/99/19/388559919.db2.gz YDDTWMHXQBOIAJ-YPMHNXCESA-N 0 3 230.355 2.706 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1cccnc1C)OC ZINC000362975802 388562692 /nfs/dbraw/zinc/56/26/92/388562692.db2.gz HCLCBRHOMIZAHU-RISCZKNCSA-N 0 3 236.359 2.541 20 0 BFADHN CCc1ccc(CNC[C@H](OC)[C@H](C)CC)o1 ZINC000362977104 388562865 /nfs/dbraw/zinc/56/28/65/388562865.db2.gz ORUVRAWMCWUGKI-RISCZKNCSA-N 0 3 239.359 2.993 20 0 BFADHN CC(C)CCN(C)Cc1cccc(O)c1 ZINC000080924993 388564425 /nfs/dbraw/zinc/56/44/25/388564425.db2.gz JUMFSBFSEUEQLR-UHFFFAOYSA-N 0 3 207.317 2.870 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN(C)Cc1cnn(C)c1 ZINC000182959036 388568699 /nfs/dbraw/zinc/56/86/99/388568699.db2.gz DPPUPCFYFQBCHW-GXTWGEPZSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@H]1CCCC[C@H]1CN(C)Cc1cnn(C)c1 ZINC000182959080 388568896 /nfs/dbraw/zinc/56/88/96/388568896.db2.gz DPPUPCFYFQBCHW-JSGCOSHPSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1C[C@@H]1CCCCO1 ZINC000528764308 388569809 /nfs/dbraw/zinc/56/98/09/388569809.db2.gz RYGALQWFSZMPJO-MNOVXSKESA-N 0 3 233.302 2.675 20 0 BFADHN CC[C@@H](CN1CCC(F)(F)C[C@@H]1C)OC ZINC000528773347 388570936 /nfs/dbraw/zinc/57/09/36/388570936.db2.gz PRBMNZQCEUWEAZ-UWVGGRQHSA-N 0 3 221.291 2.531 20 0 BFADHN CO[C@@H](CN1[C@H](C)C[C@@H]1C)c1ccccc1 ZINC000549039977 388571580 /nfs/dbraw/zinc/57/15/80/388571580.db2.gz LNIVXZCPEXXIGK-SCRDCRAPSA-N 0 3 219.328 2.857 20 0 BFADHN CO[C@H](CN1[C@H](C)C[C@@H]1C)c1ccccc1 ZINC000549039975 388571797 /nfs/dbraw/zinc/57/17/97/388571797.db2.gz LNIVXZCPEXXIGK-MBNYWOFBSA-N 0 3 219.328 2.857 20 0 BFADHN CC/C=C/CCN1C[C@@H](CC)O[C@@H](C)C1 ZINC000184545977 388579954 /nfs/dbraw/zinc/57/99/54/388579954.db2.gz LZYVWZGPXVRMNR-VFZNBBLXSA-N 0 3 211.349 2.842 20 0 BFADHN CC[C@@H](N)C(=O)Nc1ccccc1C(C)(C)C ZINC000037016000 388580052 /nfs/dbraw/zinc/58/00/52/388580052.db2.gz ITNOHRMBQTUHEW-LLVKDONJSA-N 0 3 234.343 2.660 20 0 BFADHN C[C@H]1CCCC[C@@H]1CNCc1ccc(CO)o1 ZINC000081555780 388582068 /nfs/dbraw/zinc/58/20/68/388582068.db2.gz MQHRBHYHAPBFHV-NWDGAFQWSA-N 0 3 237.343 2.688 20 0 BFADHN CC[C@@H](C)CN1CC[S@@](=O)C(CC)(CC)C1 ZINC000185234575 388585936 /nfs/dbraw/zinc/58/59/36/388585936.db2.gz JTWIPZMWNNBYNG-MLGOLLRUSA-N 0 3 245.432 2.656 20 0 BFADHN CC[C@H](C)CN(C)[C@H](C)C(=O)NC(C)(C)CC ZINC000247492493 388665282 /nfs/dbraw/zinc/66/52/82/388665282.db2.gz GZGOFOBGNSQZML-NWDGAFQWSA-N 0 3 242.407 2.658 20 0 BFADHN CCN(Cc1ccccc1OC)C[C@H]1CCCO1 ZINC000063753409 388666081 /nfs/dbraw/zinc/66/60/81/388666081.db2.gz QLLRSUQOOLROAE-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CSCCCCNCc1c(C)noc1C ZINC000124945289 388667009 /nfs/dbraw/zinc/66/70/09/388667009.db2.gz PLSHZWVTIWUKHN-UHFFFAOYSA-N 0 3 228.361 2.524 20 0 BFADHN Cc1csc(CNCCCC2CC2)n1 ZINC000124965423 388667078 /nfs/dbraw/zinc/66/70/78/388667078.db2.gz PMYAGBXBTUXZDM-UHFFFAOYSA-N 0 3 210.346 2.731 20 0 BFADHN CCCCCNC(=O)[C@@H](C)N(C)C[C@H](C)CC ZINC000247585496 388667680 /nfs/dbraw/zinc/66/76/80/388667680.db2.gz MEYWVXVGBOBDDT-CHWSQXEVSA-N 0 3 242.407 2.659 20 0 BFADHN CC[C@H]1CN(C)CCN1C/C=C/c1ccccc1 ZINC000552131856 388671545 /nfs/dbraw/zinc/67/15/45/388671545.db2.gz CZAKWMSRGRLLOB-JCVNQNCUSA-N 0 3 244.382 2.726 20 0 BFADHN CC[C@H]1CN(C)CCN1C/C=C\c1ccccc1 ZINC000552131854 388672195 /nfs/dbraw/zinc/67/21/95/388672195.db2.gz CZAKWMSRGRLLOB-BJIFSAINSA-N 0 3 244.382 2.726 20 0 BFADHN COc1ccnc(CN(C(C)C)C2CCC2)c1 ZINC000125530979 388673099 /nfs/dbraw/zinc/67/30/99/388673099.db2.gz LFGONFIZBCYFSG-UHFFFAOYSA-N 0 3 234.343 2.853 20 0 BFADHN COc1ccc(CN(C2CC2)C2CCCC2)cn1 ZINC000085785261 388674374 /nfs/dbraw/zinc/67/43/74/388674374.db2.gz HOCRGOXQLPHJPI-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN C[C@@H]1CCN(C[C@H](O)c2ccccc2F)[C@H]1C ZINC000248368072 388676596 /nfs/dbraw/zinc/67/65/96/388676596.db2.gz UTXKWIWESGXTPH-SUNKGSAMSA-N 0 3 237.318 2.589 20 0 BFADHN CCCC[C@H](CC)CN(C)[C@H](C)C[S@](C)=O ZINC000248608855 388686558 /nfs/dbraw/zinc/68/65/58/388686558.db2.gz HBCMCPSWYIBFTP-WWGRRREGSA-N 0 3 247.448 2.902 20 0 BFADHN CCc1cccc(OCCN(C)C2CC2)c1 ZINC000192649600 388681092 /nfs/dbraw/zinc/68/10/92/388681092.db2.gz WZKONOFADDUGCC-UHFFFAOYSA-N 0 3 219.328 2.722 20 0 BFADHN CN(Cc1ccccc1OC(F)F)C1CC1 ZINC000192650212 388681665 /nfs/dbraw/zinc/68/16/65/388681665.db2.gz ROJJTMIBXLEPSQ-UHFFFAOYSA-N 0 3 227.254 2.882 20 0 BFADHN CO[C@@H]1CCCN([C@H](C)c2ccc(F)cc2)C1 ZINC000246175258 388621963 /nfs/dbraw/zinc/62/19/63/388621963.db2.gz YPBXZPRJFCPWLR-BXUZGUMPSA-N 0 3 237.318 2.998 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@H]2CCC[C@H]2F)C12CCC2 ZINC000551310046 388627060 /nfs/dbraw/zinc/62/70/60/388627060.db2.gz RPQWNJOBFCNPDB-XQHKEYJVSA-N 0 3 241.350 2.814 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H]2CCC[C@H]2F)C12CCC2 ZINC000551310045 388627065 /nfs/dbraw/zinc/62/70/65/388627065.db2.gz RPQWNJOBFCNPDB-VOAKCMCISA-N 0 3 241.350 2.814 20 0 BFADHN CCCN(CC(=O)NC(C)(C)CC)CC(C)C ZINC000521818701 388630476 /nfs/dbraw/zinc/63/04/76/388630476.db2.gz GUSGRADLMVPDHC-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN CCc1ccc(CNC[C@@H]2CCC(C)(C)O2)o1 ZINC000189721705 388642025 /nfs/dbraw/zinc/64/20/25/388642025.db2.gz QQCTZISZSUBYMW-ZDUSSCGKSA-N 0 3 237.343 2.889 20 0 BFADHN CCO[C@@H]1C[C@@H]1NCc1ccc(C(F)F)cc1 ZINC000530280937 388647368 /nfs/dbraw/zinc/64/73/68/388647368.db2.gz LFFZLVXOSFFBOM-NWDGAFQWSA-N 0 3 241.281 2.891 20 0 BFADHN CCN(Cc1cnn(-c2ccccc2)c1)C1CC1 ZINC000192830276 388685643 /nfs/dbraw/zinc/68/56/43/388685643.db2.gz MRSMBVGRSFNWSB-UHFFFAOYSA-N 0 3 241.338 2.857 20 0 BFADHN C[C@@H](NCCN1CCC[C@@H](C)C1)c1ccoc1 ZINC000247248304 388660707 /nfs/dbraw/zinc/66/07/07/388660707.db2.gz ZMFZASUDDRKAIB-CHWSQXEVSA-N 0 3 236.359 2.662 20 0 BFADHN CO[C@@H]1[C@H](C)[C@@H](N[C@H](C)c2ccoc2)C1(C)C ZINC000538408883 388685879 /nfs/dbraw/zinc/68/58/79/388685879.db2.gz WOZMGNODZJZQMY-FPQZTECRSA-N 0 3 237.343 2.990 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H]2CCSC2)o1 ZINC000224331983 388662949 /nfs/dbraw/zinc/66/29/49/388662949.db2.gz ZYNSMXYNFSEUBL-WDEREUQCSA-N 0 3 225.357 2.992 20 0 BFADHN C[C@H](c1cccc(F)c1)N(C)CC[C@H](C)O ZINC000247351838 388663335 /nfs/dbraw/zinc/66/33/35/388663335.db2.gz GRXRRIPOMVKJEU-WDEREUQCSA-N 0 3 225.307 2.589 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](C)[C@H]2C)no1 ZINC000226956831 388735266 /nfs/dbraw/zinc/73/52/66/388735266.db2.gz UPGJOKNJAPRZRI-UFGOTCBOSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@@H]1CN(C/C=C/c2ccc(F)cc2)CC[C@H]1O ZINC000249975286 388735818 /nfs/dbraw/zinc/73/58/18/388735818.db2.gz KVOHWERMEUJZLG-FKZRYSJHSA-N 0 3 249.329 2.542 20 0 BFADHN Cc1ncc(CN2CCCC[C@H]2C)s1 ZINC000128024633 388736552 /nfs/dbraw/zinc/73/65/52/388736552.db2.gz CVMRTMDMSZOXGT-SECBINFHSA-N 0 3 210.346 2.826 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@@H](C)C2)s1 ZINC000128022569 388737036 /nfs/dbraw/zinc/73/70/36/388737036.db2.gz NGIZECGFDHZRFH-NXEZZACHSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1ccc(C)c(CN2CCOC[C@H]2C2CC2)c1 ZINC000090009125 388744208 /nfs/dbraw/zinc/74/42/08/388744208.db2.gz KYNQUKNXCFNGME-INIZCTEOSA-N 0 3 245.366 2.914 20 0 BFADHN CCN(Cc1cnoc1C)[C@H](C)C(C)C ZINC000128375793 388752087 /nfs/dbraw/zinc/75/20/87/388752087.db2.gz VYDUUPJPSNHQFE-SNVBAGLBSA-N 0 3 210.321 2.849 20 0 BFADHN Cc1oncc1CN(C)CC1CCCC1 ZINC000128406560 388754863 /nfs/dbraw/zinc/75/48/63/388754863.db2.gz MDHKMCZUKCRPFG-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN C[C@@H](C[S@](C)=O)N(C)CC1CCC(C)CC1 ZINC000126145059 388688978 /nfs/dbraw/zinc/68/89/78/388688978.db2.gz UNJLBEJTFHNKAD-SPFUSLPCSA-N 0 3 245.432 2.512 20 0 BFADHN CCN(Cc1cc(C)no1)[C@H](C)C(C)C ZINC000065299461 388689945 /nfs/dbraw/zinc/68/99/45/388689945.db2.gz MGDCWBJLERIEMA-LLVKDONJSA-N 0 3 210.321 2.849 20 0 BFADHN CCc1ccc(CN2CC[C@H]2COC)s1 ZINC000552314078 388691910 /nfs/dbraw/zinc/69/19/10/388691910.db2.gz GAAKNARSUJVVGP-JTQLQIEISA-N 0 3 225.357 2.531 20 0 BFADHN CCN(C)CCOc1ccccc1Cl ZINC000193144747 388694560 /nfs/dbraw/zinc/69/45/60/388694560.db2.gz AHYNHZQCDGDRJS-UHFFFAOYSA-N 0 3 213.708 2.671 20 0 BFADHN CN(C)CCSCc1csc(C2CC2)n1 ZINC000126768916 388701847 /nfs/dbraw/zinc/70/18/47/388701847.db2.gz PYVLLYWLXAPODO-UHFFFAOYSA-N 0 3 242.413 2.815 20 0 BFADHN FCCCN[C@H]1CCCOc2ccccc21 ZINC000126966859 388709892 /nfs/dbraw/zinc/70/98/92/388709892.db2.gz UZXOMZZYCLBUDZ-LBPRGKRZSA-N 0 3 223.291 2.850 20 0 BFADHN Cc1oncc1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000249284474 388715093 /nfs/dbraw/zinc/71/50/93/388715093.db2.gz OUGDGRHWOGTFDH-MFKMUULPSA-N 0 3 222.332 2.994 20 0 BFADHN CCCOc1ccc(CN(C)[C@H]2CCOC2)cc1 ZINC000538739655 388715630 /nfs/dbraw/zinc/71/56/30/388715630.db2.gz NVTABBSLGNHOCZ-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CCCOc1ccc(CN(C)[C@@H]2CCOC2)cc1 ZINC000538739656 388715852 /nfs/dbraw/zinc/71/58/52/388715852.db2.gz NVTABBSLGNHOCZ-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN COc1cccc(CN2CCC2(C)C)c1 ZINC000538737688 388716155 /nfs/dbraw/zinc/71/61/55/388716155.db2.gz WZDOTUNEXGCDCB-UHFFFAOYSA-N 0 3 205.301 2.680 20 0 BFADHN C[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1cocn1 ZINC000249316368 388716723 /nfs/dbraw/zinc/71/67/23/388716723.db2.gz PQZPTQLUADHYAK-NTZNESFSSA-N 0 3 220.316 2.828 20 0 BFADHN C[C@H]1CCN(CC(=O)c2cccn2C)C[C@H](C)C1 ZINC000127172479 388716937 /nfs/dbraw/zinc/71/69/37/388716937.db2.gz ANLAYRBSVKHOPP-QWHCGFSZSA-N 0 3 248.370 2.576 20 0 BFADHN FC(F)OCCCN[C@@H]1CCCc2occc21 ZINC000194043728 388721388 /nfs/dbraw/zinc/72/13/88/388721388.db2.gz MGXLZMBVNVYNQJ-SNVBAGLBSA-N 0 3 245.269 2.876 20 0 BFADHN CCN(Cc1nccn1C)[C@H]1CCCC[C@H]1C ZINC000249429593 388721757 /nfs/dbraw/zinc/72/17/57/388721757.db2.gz YWQHCTGEQXJBTG-OLZOCXBDSA-N 0 3 235.375 2.821 20 0 BFADHN CCN(CC(=O)N1[C@H](C)CCC[C@@H]1C)C(C)C ZINC000194088157 388723250 /nfs/dbraw/zinc/72/32/50/388723250.db2.gz MOBOGSAUKBGGON-BETUJISGSA-N 0 3 240.391 2.506 20 0 BFADHN Cn1cccc1CN1CCC[C@@H]1c1ccc[nH]1 ZINC000127454749 388724306 /nfs/dbraw/zinc/72/43/06/388724306.db2.gz GOQQIQJBVLSWNM-CQSZACIVSA-N 0 3 229.327 2.690 20 0 BFADHN CC[C@H]1CCCCN1C[C@@H](O)CC(F)(F)F ZINC000249510894 388726161 /nfs/dbraw/zinc/72/61/61/388726161.db2.gz ZWHUKWNFLGFGRX-UWVGGRQHSA-N 0 3 239.281 2.564 20 0 BFADHN CCCN(C)Cc1cc(-c2ccccc2)n[nH]1 ZINC000127579518 388726953 /nfs/dbraw/zinc/72/69/53/388726953.db2.gz TWPYXDNNCUWIFA-UHFFFAOYSA-N 0 3 229.327 2.919 20 0 BFADHN CCCN(C)Cc1cnc(C2CC2)s1 ZINC000127571614 388727727 /nfs/dbraw/zinc/72/77/27/388727727.db2.gz RBRGSQYAGYKKMT-UHFFFAOYSA-N 0 3 210.346 2.862 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@@H](O)[C@H](C)C1 ZINC000249577621 388728932 /nfs/dbraw/zinc/72/89/32/388728932.db2.gz PXWHIOPISOLULV-JTNHKYCSSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1ccc(CN2CCC[C@H](C)C2)nc1 ZINC000127686465 388729855 /nfs/dbraw/zinc/72/98/55/388729855.db2.gz STZNADZBFRHEKJ-LBPRGKRZSA-N 0 3 204.317 2.622 20 0 BFADHN CCCCN(CCO)Cc1cc(C)sc1C ZINC000194382895 388730831 /nfs/dbraw/zinc/73/08/31/388730831.db2.gz QRZXUGLPJOMQBR-UHFFFAOYSA-N 0 3 241.400 2.959 20 0 BFADHN C[C@@H](CO)N[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000127896579 388732994 /nfs/dbraw/zinc/73/29/94/388732994.db2.gz IIPMNKRPYJTCBT-YUMQZZPRSA-N 0 3 231.698 2.511 20 0 BFADHN C[C@@H](N[C@@H](C)CO)c1ccc(Cl)c(F)c1 ZINC000127896803 388733148 /nfs/dbraw/zinc/73/31/48/388733148.db2.gz IIPMNKRPYJTCBT-JGVFFNPUSA-N 0 3 231.698 2.511 20 0 BFADHN CCc1cnc(CN2[C@H](C)CC[C@@H]2CC)o1 ZINC000540054997 388793356 /nfs/dbraw/zinc/79/33/56/388793356.db2.gz FMFQAXIDKVSDDD-MNOVXSKESA-N 0 3 222.332 3.000 20 0 BFADHN CCSCCN(CC)Cc1ccccn1 ZINC000229596591 388796469 /nfs/dbraw/zinc/79/64/69/388796469.db2.gz QOJMSOUAKCSODT-UHFFFAOYSA-N 0 3 224.373 2.657 20 0 BFADHN CCC[C@H]1CCCN(Cc2cnoc2C)C1 ZINC000128892807 388801971 /nfs/dbraw/zinc/80/19/71/388801971.db2.gz LDJZPLQXIQCPSI-LBPRGKRZSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2cn[nH]c2)s1 ZINC000229881813 388802996 /nfs/dbraw/zinc/80/29/96/388802996.db2.gz ZCEPWSACSUILFG-VIFPVBQESA-N 0 3 221.329 2.630 20 0 BFADHN COc1nc(C)cc(C)c1CN1C[C@@H](C)C[C@@H]1C ZINC000554236434 388804999 /nfs/dbraw/zinc/80/49/99/388804999.db2.gz QKTKABWPMGLSHM-GWCFXTLKSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@H](O)CNCc1cccc(Cl)c1Cl ZINC000229967226 388806613 /nfs/dbraw/zinc/80/66/13/388806613.db2.gz FGLWFJPUFLGXEF-VIFPVBQESA-N 0 3 248.153 2.854 20 0 BFADHN CC[C@@H](O)CNCc1ccc(Cl)cc1Cl ZINC000229968748 388808684 /nfs/dbraw/zinc/80/86/84/388808684.db2.gz PXCBTRIPCIDRNP-SNVBAGLBSA-N 0 3 248.153 2.854 20 0 BFADHN CC(C)[C@@H](O)C1(CN[C@H](C)c2ccoc2)CC1 ZINC000540605496 388811409 /nfs/dbraw/zinc/81/14/09/388811409.db2.gz CQNGAHWTMWOLFO-DGCLKSJQSA-N 0 3 237.343 2.727 20 0 BFADHN CCn1cc(CN2CCC[C@H](C)CC2)cn1 ZINC000092586156 388811788 /nfs/dbraw/zinc/81/17/88/388811788.db2.gz WBGOSIJXIYXUKZ-LBPRGKRZSA-N 0 3 221.348 2.525 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C1)c1cscn1 ZINC000128499497 388767024 /nfs/dbraw/zinc/76/70/24/388767024.db2.gz OMAMHGMKEADDKA-UTLUCORTSA-N 0 3 210.346 2.982 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(O)cc2)C[C@@H]1C ZINC000648741497 388773348 /nfs/dbraw/zinc/77/33/48/388773348.db2.gz ZJSUKZQTECKRJN-WFASDCNBSA-N 0 3 249.354 2.639 20 0 BFADHN CC[C@H](C)[C@H](O)CN[C@H](C)c1ccsc1 ZINC000252711021 388773468 /nfs/dbraw/zinc/77/34/68/388773468.db2.gz IHYSOEMPQSAJTQ-HOSYDEDBSA-N 0 3 227.373 2.806 20 0 BFADHN COc1ccc(OC)c(CN2C[C@@H](C)C[C@H]2C)c1 ZINC000539818707 388780125 /nfs/dbraw/zinc/78/01/25/388780125.db2.gz HNOPRJRQEABOGG-NWDGAFQWSA-N 0 3 249.354 2.934 20 0 BFADHN Cc1ccc2ncc(CN3C[C@@H](C)C[C@H]3C)n2c1 ZINC000539817301 388780451 /nfs/dbraw/zinc/78/04/51/388780451.db2.gz VPULJZVCQXRXBA-QWHCGFSZSA-N 0 3 243.354 2.873 20 0 BFADHN CCc1ccc(CN(C)CCn2cccn2)s1 ZINC000539827799 388780735 /nfs/dbraw/zinc/78/07/35/388780735.db2.gz CLIHXTPKBGDGSC-UHFFFAOYSA-N 0 3 249.383 2.639 20 0 BFADHN c1nc2cc(CN3C[C@H]4CCC[C@@H]43)ccc2[nH]1 ZINC000539828423 388781320 /nfs/dbraw/zinc/78/13/20/388781320.db2.gz HDHLGIPEYXQFRB-RISCZKNCSA-N 0 3 227.311 2.547 20 0 BFADHN c1nc2ccc(CN3C[C@H]4CCC[C@@H]43)cc2[nH]1 ZINC000539828423 388781324 /nfs/dbraw/zinc/78/13/24/388781324.db2.gz HDHLGIPEYXQFRB-RISCZKNCSA-N 0 3 227.311 2.547 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2CCCCC2)o1 ZINC000092106927 388786942 /nfs/dbraw/zinc/78/69/42/388786942.db2.gz GERRXPXQYFXZHY-UHFFFAOYSA-N 0 3 245.326 2.964 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cscc1C ZINC000229382836 388787777 /nfs/dbraw/zinc/78/77/77/388787777.db2.gz LSXIYROJFMZJBE-VHSXEESVSA-N 0 3 213.346 2.570 20 0 BFADHN CCn1cc(CN2CCC[C@H](C)[C@@H]2C)cn1 ZINC000092737198 388817597 /nfs/dbraw/zinc/81/75/97/388817597.db2.gz NBXVCERBGYMTIE-RYUDHWBXSA-N 0 3 221.348 2.523 20 0 BFADHN Cn1nccc1CN1CCC2(CCCC2)CC1 ZINC000093085391 388825576 /nfs/dbraw/zinc/82/55/76/388825576.db2.gz CQBBERHKXLKJEJ-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN c1cc(CN2CCC3(CCCC3)CC2)n[nH]1 ZINC000093085442 388826545 /nfs/dbraw/zinc/82/65/45/388826545.db2.gz MBMNWTZLVJQBEB-UHFFFAOYSA-N 0 3 219.332 2.566 20 0 BFADHN COc1cc(C)c(CN2C3CCC2CC3)c(C)n1 ZINC000540997564 388834699 /nfs/dbraw/zinc/83/46/99/388834699.db2.gz AOBFXRKUGIILRM-UHFFFAOYSA-N 0 3 246.354 2.834 20 0 BFADHN c1ncc(CN2C[C@H]3CCCC[C@@H]3C2)s1 ZINC000093441851 388838778 /nfs/dbraw/zinc/83/87/78/388838778.db2.gz OTLGEHCLBPMOQF-GHMZBOCLSA-N 0 3 222.357 2.765 20 0 BFADHN CC[C@H](C(=O)NC(C)(C)C(C)C)N(CC)CC ZINC000555210521 388841211 /nfs/dbraw/zinc/84/12/11/388841211.db2.gz HPUSIMJSYUJVSH-GFCCVEGCSA-N 0 3 242.407 2.658 20 0 BFADHN COC[C@H](NCCCF)c1cccc(Cl)c1 ZINC000288741786 388854742 /nfs/dbraw/zinc/85/47/42/388854742.db2.gz HJAWIXQWCSZSST-LBPRGKRZSA-N 0 3 245.725 2.977 20 0 BFADHN COc1cccc2c1CN(CC(C)C)CC2 ZINC000648770357 388857382 /nfs/dbraw/zinc/85/73/82/388857382.db2.gz XSPFLHWOMBMVDH-UHFFFAOYSA-N 0 3 219.328 2.709 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1cnccn1 ZINC000555986549 388865388 /nfs/dbraw/zinc/86/53/88/388865388.db2.gz NHKPBWWXNMXXDS-TZMCWYRMSA-N 0 3 233.359 2.877 20 0 BFADHN CC[C@H](NCC(C1CC1)C1CC1)c1ccn(C)n1 ZINC000541732130 388865817 /nfs/dbraw/zinc/86/58/17/388865817.db2.gz GNWDUENUEDHIDQ-AWEZNQCLSA-N 0 3 247.386 2.897 20 0 BFADHN CCN(CC)Cc1cncc(CN(CC)CC)c1 ZINC000556195477 388870414 /nfs/dbraw/zinc/87/04/14/388870414.db2.gz NULNGEOHUXFJFL-UHFFFAOYSA-N 0 3 249.402 2.765 20 0 BFADHN CCc1noc(C)c1CN[C@H]1CCC[C@H]2C[C@H]21 ZINC000556221961 388872084 /nfs/dbraw/zinc/87/20/84/388872084.db2.gz DSDRNKGJNCWGGU-WDMOLILDSA-N 0 3 234.343 2.824 20 0 BFADHN Cc1cccc(NC(=O)CN[C@H]2CCC2(C)C)c1 ZINC000310915568 388850065 /nfs/dbraw/zinc/85/00/65/388850065.db2.gz IKWBKFPESHPPQX-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1nccs1 ZINC000648767332 388850221 /nfs/dbraw/zinc/85/02/21/388850221.db2.gz NZXBUUKFFFICTK-UWVGGRQHSA-N 0 3 210.346 2.525 20 0 BFADHN Cc1ncc(CNC2CC(C(C)(C)C)C2)n1C ZINC000564739251 388887341 /nfs/dbraw/zinc/88/73/41/388887341.db2.gz FMDGCLCYYSJARZ-UHFFFAOYSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1c2ccccc2oc1CN[C@@H](C)[C@H](C)O ZINC000564857712 388895526 /nfs/dbraw/zinc/89/55/26/388895526.db2.gz XEOLCHOBUSYBOU-QWRGUYRKSA-N 0 3 233.311 2.600 20 0 BFADHN CC(C)SCCN1C[C@@H](C)OC2(CCC2)C1 ZINC000564930097 388898830 /nfs/dbraw/zinc/89/88/30/388898830.db2.gz SEVUAMIKYDTWTD-GFCCVEGCSA-N 0 3 243.416 2.771 20 0 BFADHN FCC1(NCc2ccc3[nH]cnc3c2)CCC1 ZINC000564961056 388902403 /nfs/dbraw/zinc/90/24/03/388902403.db2.gz LSQOOBKUQWEGEP-UHFFFAOYSA-N 0 3 233.290 2.545 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)no1 ZINC000070233859 388876994 /nfs/dbraw/zinc/87/69/94/388876994.db2.gz UPGJOKNJAPRZRI-SUZMYJTESA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)no1 ZINC000070233855 388877339 /nfs/dbraw/zinc/87/73/39/388877339.db2.gz UPGJOKNJAPRZRI-XHVZSJERSA-N 0 3 222.332 2.897 20 0 BFADHN FCC1(NCc2ccc3nc[nH]c3c2)CCC1 ZINC000564961056 388902407 /nfs/dbraw/zinc/90/24/07/388902407.db2.gz LSQOOBKUQWEGEP-UHFFFAOYSA-N 0 3 233.290 2.545 20 0 BFADHN Cc1cc(C)c(NC(=O)[C@H](C)NC2CC2)c(C)c1 ZINC000261676251 388878341 /nfs/dbraw/zinc/87/83/41/388878341.db2.gz CZCKGMUAMRENNS-LBPRGKRZSA-N 0 3 246.354 2.691 20 0 BFADHN CC[C@@H](F)CN1CC[C@H](c2ccncc2)C1 ZINC000565429535 388945038 /nfs/dbraw/zinc/94/50/38/388945038.db2.gz BBDFUVZNFUGKPV-QWHCGFSZSA-N 0 3 222.307 2.619 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)N(C)C1CCCCC1 ZINC000153191820 388950346 /nfs/dbraw/zinc/95/03/46/388950346.db2.gz OHBDDXDYCGKSKN-LBPRGKRZSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H]1CN(CC2(C)CC2)C[C@H](CC)O1 ZINC000565533509 388951260 /nfs/dbraw/zinc/95/12/60/388951260.db2.gz LARPVWBGLUTNSP-TXEJJXNPSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1ccoc1CNC[C@H](O)c1ccc(F)cc1 ZINC000266180752 388995292 /nfs/dbraw/zinc/99/52/92/388995292.db2.gz GEJGBANIZOSGQX-ZDUSSCGKSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1ccoc1CN[C@@H]1COc2ccccc2C1 ZINC000266188091 388995330 /nfs/dbraw/zinc/99/53/30/388995330.db2.gz HWUCSPFWWMUWPM-ZDUSSCGKSA-N 0 3 243.306 2.681 20 0 BFADHN CO[C@@H](CNCc1ccc(F)c(F)c1)C(C)C ZINC000266623553 388996612 /nfs/dbraw/zinc/99/66/12/388996612.db2.gz MEJUPMDYBOMYEA-ZDUSSCGKSA-N 0 3 243.297 2.725 20 0 BFADHN CCCC[C@H](CC)CCN(C)CCNC(C)=O ZINC000565833948 388971534 /nfs/dbraw/zinc/97/15/34/388971534.db2.gz NJJCGWKICLPTGJ-AWEZNQCLSA-N 0 3 242.407 2.661 20 0 BFADHN CO[C@@H](C)CCN[C@@H](C)c1ccc(C)o1 ZINC000268807674 389004552 /nfs/dbraw/zinc/00/45/52/389004552.db2.gz CFGVLKFJJLCYBF-ONGXEEELSA-N 0 3 211.305 2.664 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccco1)C(C)C ZINC000268935127 389006125 /nfs/dbraw/zinc/00/61/25/389006125.db2.gz MVZZBQZDSGVQCZ-ZYHUDNBSSA-N 0 3 211.305 2.601 20 0 BFADHN CCOc1ccc(CNCC2=CCCOC2)cc1 ZINC000269317802 389007927 /nfs/dbraw/zinc/00/79/27/389007927.db2.gz YACKCEYMDAZUIZ-UHFFFAOYSA-N 0 3 247.338 2.522 20 0 BFADHN CC[C@H]1CN(Cc2cccc(Cl)c2)C[C@H]1O ZINC000270487157 389012853 /nfs/dbraw/zinc/01/28/53/389012853.db2.gz AJFJAJSMNGAKQA-WCQYABFASA-N 0 3 239.746 2.543 20 0 BFADHN CCc1ccc(CNC[C@H]2CCO[C@H](C)C2)o1 ZINC000271260296 389018875 /nfs/dbraw/zinc/01/88/75/389018875.db2.gz AKCDALZXQWQIHL-NEPJUHHUSA-N 0 3 237.343 2.747 20 0 BFADHN CC(C)=CCN[C@H](CCO)c1ccccc1 ZINC000271628544 389023982 /nfs/dbraw/zinc/02/39/82/389023982.db2.gz BDSBMBOLJWITIR-CQSZACIVSA-N 0 3 219.328 2.666 20 0 BFADHN Cc1cncc([C@H](C)N[C@@H]2CC2(C)C)c1 ZINC000274423834 389047112 /nfs/dbraw/zinc/04/71/12/389047112.db2.gz UNVCOAZPYGVTJE-CMPLNLGQSA-N 0 3 204.317 2.839 20 0 BFADHN Cc1cncc([C@@H](C)N[C@@H]2CCCSC2)c1 ZINC000274602850 389047819 /nfs/dbraw/zinc/04/78/19/389047819.db2.gz LWVBGNJUWNCBJU-DGCLKSJQSA-N 0 3 236.384 2.936 20 0 BFADHN C[C@@H](CCCO)N[C@@H](C)c1ccc(Cl)cn1 ZINC000274923259 389049493 /nfs/dbraw/zinc/04/94/93/389049493.db2.gz POEZFBIERRYCJT-UWVGGRQHSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@H](N[C@@H]1CCSC1)c1ccc(Cl)cn1 ZINC000274819225 389049826 /nfs/dbraw/zinc/04/98/26/389049826.db2.gz BIDKVPMJTZXUBM-WCBMZHEXSA-N 0 3 242.775 2.891 20 0 BFADHN C[C@@H](N[C@H]1CCSC1)c1ccc(Cl)cn1 ZINC000274819219 389049878 /nfs/dbraw/zinc/04/98/78/389049878.db2.gz BIDKVPMJTZXUBM-SCZZXKLOSA-N 0 3 242.775 2.891 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1ccc(Cl)cc1F ZINC000275311638 389054859 /nfs/dbraw/zinc/05/48/59/389054859.db2.gz JMEPQLHFBZUWPW-IUCAKERBSA-N 0 3 245.725 2.992 20 0 BFADHN CSCCN1CC[C@@H](C(F)(F)F)[C@H]1C ZINC000348249960 136056731 /nfs/dbraw/zinc/05/67/31/136056731.db2.gz XTQIDUJWFRRPFJ-HTQZYQBOSA-N 0 3 227.295 2.622 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1CC[C@@H](C(C)C)C1 ZINC000509386843 533237229 /nfs/dbraw/zinc/23/72/29/533237229.db2.gz KSCQSSILSFUIBF-OLZOCXBDSA-N 0 3 241.375 2.552 20 0 BFADHN COc1ccc(CN(C)C[C@H]2C[C@H]2C)c(OC)c1 ZINC000488308494 533542295 /nfs/dbraw/zinc/54/22/95/533542295.db2.gz NARFCWXXPKIXIF-DGCLKSJQSA-N 0 3 249.354 2.792 20 0 BFADHN Cc1cc(CN2C[C@H](O)C[C@H]2C)ccc1Cl ZINC000471802382 533636068 /nfs/dbraw/zinc/63/60/68/533636068.db2.gz BPBBUGKISPIGDB-ZYHUDNBSSA-N 0 3 239.746 2.604 20 0 BFADHN CC[C@@H](C)CN1CCN(c2cnccc2C)CC1 ZINC000340595303 132222013 /nfs/dbraw/zinc/22/20/13/132222013.db2.gz KBMMFSAEVHNTQE-CYBMUJFWSA-N 0 3 247.386 2.558 20 0 BFADHN CC(C)C[C@H](C)N1CCN(c2ccccn2)CC1 ZINC000355722431 132270909 /nfs/dbraw/zinc/27/09/09/132270909.db2.gz BRKPFWSOCDMAIW-AWEZNQCLSA-N 0 3 247.386 2.638 20 0 BFADHN C[C@H](C[C@@H]1CCCO1)N[C@@H](C)c1ccccn1 ZINC000346976631 133799076 /nfs/dbraw/zinc/79/90/76/133799076.db2.gz GGIHSUQKWYZRSC-AGIUHOORSA-N 0 3 234.343 2.690 20 0 BFADHN COc1ccncc1CN1CC[C@@H](C(C)C)C1 ZINC000347055207 133800252 /nfs/dbraw/zinc/80/02/52/133800252.db2.gz MNVYIUQMBUQCCY-GFCCVEGCSA-N 0 3 234.343 2.568 20 0 BFADHN CC(C)n1nccc1CN1CCC(C)CC1 ZINC000335920336 134007049 /nfs/dbraw/zinc/00/70/49/134007049.db2.gz NALCXICLVKUZHB-UHFFFAOYSA-N 0 3 221.348 2.696 20 0 BFADHN Fc1ccc2c(c1)CCN(CCC1CC1)C2 ZINC000351926599 134007774 /nfs/dbraw/zinc/00/77/74/134007774.db2.gz KQSJGLDOUPXACH-UHFFFAOYSA-N 0 3 219.303 2.984 20 0 BFADHN Cc1ccc(CCN2C[C@H](C)N(C)C[C@H]2C)cc1 ZINC000335938719 134013586 /nfs/dbraw/zinc/01/35/86/134013586.db2.gz NVKPPQNRCWLAGW-LSDHHAIUSA-N 0 3 246.398 2.562 20 0 BFADHN Cc1ccccc1CN1C[C@@H](C)N(C)C[C@@H]1C ZINC000351937430 134014642 /nfs/dbraw/zinc/01/46/42/134014642.db2.gz UIUHTZNPAPQERW-KGLIPLIRSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1cccc(C)c1CN1C[C@H](C)N(C)C[C@@H]1C ZINC000351946189 134015403 /nfs/dbraw/zinc/01/54/03/134015403.db2.gz YSIVQTVEJZBDBQ-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1ccc(C)c(CN2C[C@@H](C)N(C)C[C@@H]2C)c1 ZINC000351945791 134015432 /nfs/dbraw/zinc/01/54/32/134015432.db2.gz UOCQGDBFHAMJFF-CABCVRRESA-N 0 3 246.398 2.828 20 0 BFADHN Cc1ccc(CN2C[C@H](C)N(C)C[C@@H]2C)cc1 ZINC000351943284 134015583 /nfs/dbraw/zinc/01/55/83/134015583.db2.gz FNZHSIUHQGMIAN-KBPBESRZSA-N 0 3 232.371 2.519 20 0 BFADHN Cc1ncc(CN2CC3(CCC3)C[C@H]2C)cn1 ZINC000335940116 134017002 /nfs/dbraw/zinc/01/70/02/134017002.db2.gz DIUAVYAXZGNUQN-LLVKDONJSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@]2(C)CCCOC2)c1 ZINC000335942997 134018143 /nfs/dbraw/zinc/01/81/43/134018143.db2.gz ARIIELBLOKYCCV-JSGCOSHPSA-N 0 3 234.343 2.610 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@@H](C3CC3)C2)o1 ZINC000335946201 134020165 /nfs/dbraw/zinc/02/01/65/134020165.db2.gz BLCURHKLPVYGLG-CMPLNLGQSA-N 0 3 220.316 2.776 20 0 BFADHN CC1(C)CN([C@@H]2C=CCCC2)[C@H]1[C@H]1CCCO1 ZINC000351977029 134025296 /nfs/dbraw/zinc/02/52/96/134025296.db2.gz VFPNHZYIUYXGFB-MCIONIFRSA-N 0 3 235.371 2.985 20 0 BFADHN CCSCCN1CCC(F)(F)[C@@H](C)C1 ZINC000351983032 134025867 /nfs/dbraw/zinc/02/58/67/134025867.db2.gz QNHHBCOUGOIPBE-VIFPVBQESA-N 0 3 223.332 2.717 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cn3ccccc3n2)C1 ZINC000335978038 134032166 /nfs/dbraw/zinc/03/21/66/134032166.db2.gz IITFDXLSBSFVCP-RYUDHWBXSA-N 0 3 229.327 2.565 20 0 BFADHN CC(C)N(C)CC(=O)N(C(C)C)C1CCCC1 ZINC000352040662 134033572 /nfs/dbraw/zinc/03/35/72/134033572.db2.gz REZUQHGKIYMWHN-UHFFFAOYSA-N 0 3 240.391 2.506 20 0 BFADHN CCCCOCCN1CCC(F)(F)[C@@H](C)C1 ZINC000352017803 134036561 /nfs/dbraw/zinc/03/65/61/134036561.db2.gz JWVWTLTWYGAEDY-NSHDSACASA-N 0 3 235.318 2.780 20 0 BFADHN Cc1cnn(C)c1CN1CCC[C@H]2CCCC[C@H]21 ZINC000335999800 134044511 /nfs/dbraw/zinc/04/45/11/134044511.db2.gz XOPFIWBYTGTEKM-ZIAGYGMSSA-N 0 3 247.386 2.883 20 0 BFADHN Cc1ncccc1CN(C)[C@H]1CC1(C)C ZINC000336006124 134048731 /nfs/dbraw/zinc/04/87/31/134048731.db2.gz DCVQOOMLIVFSLZ-LBPRGKRZSA-N 0 3 204.317 2.620 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)[C@@H]1CC[C@H](C)C1 ZINC000336021982 134055777 /nfs/dbraw/zinc/05/57/77/134055777.db2.gz OKXTXKGXNIZBEN-GXFFZTMASA-N 0 3 235.375 2.657 20 0 BFADHN Cc1ccsc1CN1CC[C@@H](n2cccn2)C1 ZINC000336032045 134058544 /nfs/dbraw/zinc/05/85/44/134058544.db2.gz RFCPQSHDRCNMTF-GFCCVEGCSA-N 0 3 247.367 2.700 20 0 BFADHN CO[C@H]1CCN([C@H](C)c2ccc(F)cc2)C1 ZINC000336089905 134077734 /nfs/dbraw/zinc/07/77/34/134077734.db2.gz FACSEORTUZLRQX-MFKMUULPSA-N 0 3 223.291 2.607 20 0 BFADHN Cc1cccnc1CN1CCC(C(F)F)CC1 ZINC000336114181 134087638 /nfs/dbraw/zinc/08/76/38/134087638.db2.gz MUGMSOJSJLQMRX-UHFFFAOYSA-N 0 3 240.297 2.867 20 0 BFADHN Cc1oncc1CN1CCSC(C)(C)CC1 ZINC000336156215 134106265 /nfs/dbraw/zinc/10/62/65/134106265.db2.gz KSJKFPXIQXPPTD-UHFFFAOYSA-N 0 3 240.372 2.701 20 0 BFADHN COc1ccc(C)cc1CN(C)C1CC(OC)C1 ZINC000352212729 134108621 /nfs/dbraw/zinc/10/86/21/134108621.db2.gz RLSAVFIAVMUJJY-UHFFFAOYSA-N 0 3 249.354 2.613 20 0 BFADHN NC(=O)[C@@H]1CCCCN1C[C@H]1CCC(F)(F)C1 ZINC000336196496 134126481 /nfs/dbraw/zinc/12/64/81/134126481.db2.gz OSSBQCSRWSWFSV-UWVGGRQHSA-N 0 3 246.301 2.812 20 0 BFADHN Cc1cccc(CN2CC[C@@]3(CCOC3)C2)c1F ZINC000336207912 134132660 /nfs/dbraw/zinc/13/26/60/134132660.db2.gz CPVYECANZJJJTN-OAHLLOKOSA-N 0 3 249.329 2.747 20 0 BFADHN C[C@@H]1CCCN1Cc1cc2cccnc2o1 ZINC000336214140 134135463 /nfs/dbraw/zinc/13/54/63/134135463.db2.gz ONHRSPPGEAVGBY-SNVBAGLBSA-N 0 3 216.284 2.812 20 0 BFADHN Cn1cnc2cc(CN3CC[C@H]3C3CC3)ccc21 ZINC000336216080 134137054 /nfs/dbraw/zinc/13/70/54/134137054.db2.gz ANGPVFSZZOTBNL-AWEZNQCLSA-N 0 3 241.338 2.558 20 0 BFADHN Fc1cc2c(c(F)c1F)[C@@H](NC1CC1)CC2 ZINC000336219179 134138241 /nfs/dbraw/zinc/13/82/41/134138241.db2.gz HFTMBGJIRTWZOP-VIFPVBQESA-N 0 3 227.229 2.843 20 0 BFADHN C[C@@H](N[C@@H](C)CN(C)C)c1ccc(F)cc1F ZINC000168529729 134225611 /nfs/dbraw/zinc/22/56/11/134225611.db2.gz DHKLQFDDSHZKAH-VHSXEESVSA-N 0 3 242.313 2.566 20 0 BFADHN C[C@H](CN(C)C)N[C@@H](C)c1ccc(F)cc1F ZINC000168529633 134225652 /nfs/dbraw/zinc/22/56/52/134225652.db2.gz DHKLQFDDSHZKAH-ZJUUUORDSA-N 0 3 242.313 2.566 20 0 BFADHN OCC1(CNCc2ccc(Cl)o2)CCCC1 ZINC000168592688 134227480 /nfs/dbraw/zinc/22/74/80/134227480.db2.gz NYOMKEAQRDYYCM-UHFFFAOYSA-N 0 3 243.734 2.575 20 0 BFADHN COC(=O)c1coc(CNCCC(C)(C)C)c1 ZINC000168904312 134248589 /nfs/dbraw/zinc/24/85/89/134248589.db2.gz GAGHPDDRMDAJGH-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN CC[C@H](C)N(C)CC(=O)N1CCCC[C@H]1CC ZINC000170915908 134255579 /nfs/dbraw/zinc/25/55/79/134255579.db2.gz KDBRXCOFFWFJMM-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1ccc(CN2CCSC[C@@H]2C)cc1 ZINC000172593939 134268442 /nfs/dbraw/zinc/26/84/42/134268442.db2.gz GIDVHVPUMROEFF-LBPRGKRZSA-N 0 3 221.369 2.932 20 0 BFADHN C[C@H]1Cc2ccccc2CN1C[C@@H]1CCCO1 ZINC000172909859 134270934 /nfs/dbraw/zinc/27/09/34/134270934.db2.gz SRODUYXXEUBXPK-WFASDCNBSA-N 0 3 231.339 2.612 20 0 BFADHN C[C@H](Cc1ccccc1F)N[C@@H](C)c1cn[nH]c1 ZINC000219206546 134276243 /nfs/dbraw/zinc/27/62/43/134276243.db2.gz OTHFFKHCDSXAMA-MNOVXSKESA-N 0 3 247.317 2.831 20 0 BFADHN COC[C@H](C)N1CC=C(c2ccc(O)cc2)CC1 ZINC000173842743 134278159 /nfs/dbraw/zinc/27/81/59/134278159.db2.gz IJEGLZXODXNDOC-LBPRGKRZSA-N 0 3 247.338 2.516 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H](C)[C@@H](C)C2)n1 ZINC000219816374 134292188 /nfs/dbraw/zinc/29/21/88/134292188.db2.gz HFAPVWHYWPSXIC-MNOVXSKESA-N 0 3 234.343 2.574 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H](C)[C@@H](C)C2)n1 ZINC000219816374 134292189 /nfs/dbraw/zinc/29/21/89/134292189.db2.gz HFAPVWHYWPSXIC-MNOVXSKESA-N 0 3 234.343 2.574 20 0 BFADHN C[C@@]1(NCc2ccc(Cl)cc2)CCCOC1 ZINC000221340288 134320240 /nfs/dbraw/zinc/32/02/40/134320240.db2.gz PJJCXHFOUDNCRG-CYBMUJFWSA-N 0 3 239.746 2.999 20 0 BFADHN C[C@H]1CCCN(Cc2cnn3ccccc23)CC1 ZINC000179653370 134322859 /nfs/dbraw/zinc/32/28/59/134322859.db2.gz NRAGPSKPRJTXNA-ZDUSSCGKSA-N 0 3 243.354 2.956 20 0 BFADHN c1nc(CN2CCCC3(CCCCC3)C2)c[nH]1 ZINC000179642975 134322970 /nfs/dbraw/zinc/32/29/70/134322970.db2.gz KFFRBCHCCCPPCJ-UHFFFAOYSA-N 0 3 233.359 2.956 20 0 BFADHN c1ncc(CN2CCCC3(CCCCC3)C2)[nH]1 ZINC000179642975 134322971 /nfs/dbraw/zinc/32/29/71/134322971.db2.gz KFFRBCHCCCPPCJ-UHFFFAOYSA-N 0 3 233.359 2.956 20 0 BFADHN C[C@H](NC[C@@H]1CC1(C)C)c1ccc(F)cn1 ZINC000222043420 134329229 /nfs/dbraw/zinc/32/92/29/134329229.db2.gz NIGZQLBFFRDZDA-UWVGGRQHSA-N 0 3 222.307 2.917 20 0 BFADHN CC(C)[C@H](NC[C@@H](O)C(F)F)c1ccccc1 ZINC000223143035 134337007 /nfs/dbraw/zinc/33/70/07/134337007.db2.gz CZHNLJSEPKFNTL-NEPJUHHUSA-N 0 3 243.297 2.599 20 0 BFADHN CCOCCN(C)Cc1cccc(OCC)c1 ZINC000181479979 134337045 /nfs/dbraw/zinc/33/70/45/134337045.db2.gz JXHIEGYNAKMUCK-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN c1ccc2c(c1)SC[C@@H]2N[C@@H]1CCSC1 ZINC000223733640 134339250 /nfs/dbraw/zinc/33/92/50/134339250.db2.gz QWOROPZHSPGZTJ-KOLCDFICSA-N 0 3 237.393 2.929 20 0 BFADHN Cc1ccc(CN(CCO)[C@H]2C=CCCC2)cc1 ZINC000181969891 134340276 /nfs/dbraw/zinc/34/02/76/134340276.db2.gz LALZDLUNPHUPLI-INIZCTEOSA-N 0 3 245.366 2.898 20 0 BFADHN CO[C@H](C)CN1CC=C(c2ccc(O)cc2)CC1 ZINC000182019826 134341714 /nfs/dbraw/zinc/34/17/14/134341714.db2.gz SVINNYPIQJVJCU-GFCCVEGCSA-N 0 3 247.338 2.516 20 0 BFADHN CCOC1CC(N[C@@H]2CSc3ccccc32)C1 ZINC000224661072 134349424 /nfs/dbraw/zinc/34/94/24/134349424.db2.gz DIAVWXGKTBGXQE-GCZXYKMCSA-N 0 3 249.379 2.991 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCC[C@H]2C)CCO1 ZINC000182971980 134349734 /nfs/dbraw/zinc/34/97/34/134349734.db2.gz KTYUSGSMKCYBHX-UPJWGTAASA-N 0 3 211.349 2.533 20 0 BFADHN CCc1ccc(CNCc2cncn2CC)s1 ZINC000225128590 134351305 /nfs/dbraw/zinc/35/13/05/134351305.db2.gz NWHPCUDCLSTDDY-UHFFFAOYSA-N 0 3 249.383 2.817 20 0 BFADHN COc1cc(C)nc(CNC[C@H]2CC2(C)C)c1 ZINC000225909570 134359946 /nfs/dbraw/zinc/35/99/46/134359946.db2.gz NTTUOJMQBBOXQY-LLVKDONJSA-N 0 3 234.343 2.534 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@H](C)CC[C@H]2C)on1 ZINC000227033995 134373646 /nfs/dbraw/zinc/37/36/46/134373646.db2.gz NSNMHNFLMNUCIX-GIPNMCIBSA-N 0 3 222.332 2.897 20 0 BFADHN CSCC[C@@H](CO)N[C@H](C)c1ccsc1 ZINC000130902575 134428285 /nfs/dbraw/zinc/42/82/85/134428285.db2.gz MCUUOPKQGJBFQQ-KOLCDFICSA-N 0 3 245.413 2.513 20 0 BFADHN CCCN(CCC)CC(=O)N(C(C)C)C(C)C ZINC000153041038 134522244 /nfs/dbraw/zinc/52/22/44/134522244.db2.gz SSSNEHJOQQZWQC-UHFFFAOYSA-N 0 3 242.407 2.754 20 0 BFADHN Cc1ccc([C@H](C)NCC[C@@H]2CCOC2)o1 ZINC000231708022 134544034 /nfs/dbraw/zinc/54/40/34/134544034.db2.gz NRQPYFBUUVHEGA-NWDGAFQWSA-N 0 3 223.316 2.665 20 0 BFADHN C[C@H](N[C@@H]1CCN(C2CC2)C1)c1ccsc1 ZINC000050344897 134658096 /nfs/dbraw/zinc/65/80/96/134658096.db2.gz UKNWUFGBAKRTFI-CMPLNLGQSA-N 0 3 236.384 2.635 20 0 BFADHN Oc1ccc(CNCc2ccsc2)cc1 ZINC000060942231 134795796 /nfs/dbraw/zinc/79/57/96/134795796.db2.gz LWXAPARFIJTGRD-UHFFFAOYSA-N 0 3 219.309 2.744 20 0 BFADHN Cc1cccnc1CN1CCS[C@@H](C)[C@H]1C ZINC000245540589 134857478 /nfs/dbraw/zinc/85/74/78/134857478.db2.gz PLRPIJDIKYRWTM-NEPJUHHUSA-N 0 3 236.384 2.716 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)no1 ZINC000246812893 134962859 /nfs/dbraw/zinc/96/28/59/134962859.db2.gz FKFIRAXWNBVKEI-GIPNMCIBSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ccc([C@H](C)N[C@H](C)[C@H]2CCOC2)o1 ZINC000248024794 135001182 /nfs/dbraw/zinc/00/11/82/135001182.db2.gz ORNLBVYRFVWQOC-WOPDTQHZSA-N 0 3 223.316 2.664 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1cc(C)oc1C ZINC000070656742 135006933 /nfs/dbraw/zinc/00/69/33/135006933.db2.gz RXJVEYSXYMRPDO-JQWIXIFHSA-N 0 3 225.332 2.972 20 0 BFADHN CC[C@@H](NC[C@H]1CCCOC1)c1nccs1 ZINC000070717381 135008974 /nfs/dbraw/zinc/00/89/74/135008974.db2.gz YNVFRNLJDWSUIM-GHMZBOCLSA-N 0 3 240.372 2.610 20 0 BFADHN Cc1csc([C@@H](C)NC[C@@H]2CCCOC2)n1 ZINC000070732716 135010283 /nfs/dbraw/zinc/01/02/83/135010283.db2.gz MYBLDPHGGIMXIX-MNOVXSKESA-N 0 3 240.372 2.529 20 0 BFADHN CO[C@@H](C)CN(C)[C@H](C)c1ccccc1F ZINC000248453596 135010358 /nfs/dbraw/zinc/01/03/58/135010358.db2.gz ZCFSJOZBWBMTHA-WDEREUQCSA-N 0 3 225.307 2.853 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@@H](C)C[C@@H](C)C1 ZINC000248613284 135017426 /nfs/dbraw/zinc/01/74/26/135017426.db2.gz JCCVVCLCKSBEAA-JHJVBQTASA-N 0 3 233.359 2.906 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN(C)C[C@H]2CCOC2)o1 ZINC000248747480 135020119 /nfs/dbraw/zinc/02/01/19/135020119.db2.gz DUESSRCQAAZGBX-BZPMIXESSA-N 0 3 249.354 2.871 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CC[C@H]1CCCO1 ZINC000248794755 135021517 /nfs/dbraw/zinc/02/15/17/135021517.db2.gz DYVBIPJJQOCTPK-QWHCGFSZSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@@H]1CCC[C@@H](N[C@H](CCO)c2ccco2)C1 ZINC000248998293 135029237 /nfs/dbraw/zinc/02/92/37/135029237.db2.gz MLZZYLKCQJLZSM-JHJVBQTASA-N 0 3 237.343 2.871 20 0 BFADHN COc1ccccc1CN1CC[C@H](C)[C@H](OC)C1 ZINC000249193349 135036259 /nfs/dbraw/zinc/03/62/59/135036259.db2.gz PSPBIEFGRDAPBI-SWLSCSKDSA-N 0 3 249.354 2.552 20 0 BFADHN CO[C@@H]1CN(Cc2ccc(F)cc2)CC[C@@H]1C ZINC000249575419 135041427 /nfs/dbraw/zinc/04/14/27/135041427.db2.gz ACCWRHROFJHGOA-SMDDNHRTSA-N 0 3 237.318 2.683 20 0 BFADHN COc1cccc([C@H](C)N(C)C[C@H]2CCCO2)c1 ZINC000249843011 135046218 /nfs/dbraw/zinc/04/62/18/135046218.db2.gz ZBBRTQRKURLNPF-SWLSCSKDSA-N 0 3 249.354 2.867 20 0 BFADHN C[C@H](c1cccc(F)c1)N(C)C[C@H]1CCCO1 ZINC000249839962 135046225 /nfs/dbraw/zinc/04/62/25/135046225.db2.gz VTYMXQIMFARQKC-BXUZGUMPSA-N 0 3 237.318 2.998 20 0 BFADHN COc1cccc(CN[C@H]2CC=CCC2)c1OC ZINC000076770700 135048680 /nfs/dbraw/zinc/04/86/80/135048680.db2.gz XIBAYVNJUOIVST-ZDUSSCGKSA-N 0 3 247.338 2.902 20 0 BFADHN c1cc(CN[C@@H]2CC[C@@H]3CCCC[C@H]3C2)n[nH]1 ZINC000250791064 135059052 /nfs/dbraw/zinc/05/90/52/135059052.db2.gz RAXGOHFDKSVRHB-RWMBFGLXSA-N 0 3 233.359 2.858 20 0 BFADHN Cc1ccc(CN[C@@H]2[C@@H]3CCCO[C@H]3C2(C)C)o1 ZINC000251236338 135066989 /nfs/dbraw/zinc/06/69/89/135066989.db2.gz OKQQVQNPIUOMTJ-BFHYXJOUSA-N 0 3 249.354 2.881 20 0 BFADHN CCCN(CCC)C(=O)CN1CCCC1(C)C ZINC000077483705 135067510 /nfs/dbraw/zinc/06/75/10/135067510.db2.gz NUPQFRRSQHAFGI-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CCOC[C@H](C)NCc1cc(Cl)cs1 ZINC000086332671 135081158 /nfs/dbraw/zinc/08/11/58/135081158.db2.gz KKSPDCYHDLLPCG-QMMMGPOBSA-N 0 3 233.764 2.916 20 0 BFADHN CCOC[C@@H](C)NCc1cc(Cl)cs1 ZINC000086332669 135081428 /nfs/dbraw/zinc/08/14/28/135081428.db2.gz KKSPDCYHDLLPCG-MRVPVSSYSA-N 0 3 233.764 2.916 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CCCC1(C)C ZINC000086865444 135099815 /nfs/dbraw/zinc/09/98/15/135099815.db2.gz WBZOPIGGUXPQAO-LBPRGKRZSA-N 0 3 222.332 2.960 20 0 BFADHN COC[C@H](C)NCc1ccc(C2CC2)cc1 ZINC000087011746 135105441 /nfs/dbraw/zinc/10/54/41/135105441.db2.gz LKYDYYDNHWBBCQ-NSHDSACASA-N 0 3 219.328 2.689 20 0 BFADHN C[C@@H](N[C@@H](C)C[C@H](C)O)c1ccc(F)c(F)c1 ZINC000252756104 135107048 /nfs/dbraw/zinc/10/70/48/135107048.db2.gz JMGHAEMOSPZHLJ-LPEHRKFASA-N 0 3 243.297 2.775 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000252749579 135107152 /nfs/dbraw/zinc/10/71/52/135107152.db2.gz FEASERDYIKBQDC-BLFANLJRSA-N 0 3 237.343 2.652 20 0 BFADHN C[C@H](NC[C@]1(C)CCCO1)c1ccsc1 ZINC000087234472 135116040 /nfs/dbraw/zinc/11/60/40/135116040.db2.gz FSGOAXLXZYBUQV-JQWIXIFHSA-N 0 3 225.357 2.968 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1ncc[nH]1 ZINC000252981366 135118610 /nfs/dbraw/zinc/11/86/10/135118610.db2.gz QTLSDZAIFCGWFQ-GMTAPVOTSA-N 0 3 207.321 2.639 20 0 BFADHN Cc1cscc1CNCc1cc[nH]c1 ZINC000087309279 135121953 /nfs/dbraw/zinc/12/19/53/135121953.db2.gz NHOAPMKLGACWKB-UHFFFAOYSA-N 0 3 206.314 2.674 20 0 BFADHN Cn1cccc1CNC/C=C\c1ccccc1 ZINC000255075909 135128425 /nfs/dbraw/zinc/12/84/25/135128425.db2.gz USJQAIKKSWCOLF-UITAMQMPSA-N 0 3 226.323 2.828 20 0 BFADHN C[C@@H](NC[C@H]1CCCS1)c1ccccn1 ZINC000087668141 135162576 /nfs/dbraw/zinc/16/25/76/135162576.db2.gz KBNOKTOTSAPXTI-GHMZBOCLSA-N 0 3 222.357 2.628 20 0 BFADHN CC1(C)CC[C@@H](NCc2ccccn2)C1 ZINC000087769461 135167505 /nfs/dbraw/zinc/16/75/05/135167505.db2.gz MEMRZBQCTRATPO-LLVKDONJSA-N 0 3 204.317 2.750 20 0 BFADHN FCCNCc1cccc2ccccc21 ZINC000087775082 135167916 /nfs/dbraw/zinc/16/79/16/135167916.db2.gz VYCRBKYLQIARCD-UHFFFAOYSA-N 0 3 203.260 2.899 20 0 BFADHN C[C@H](CNCc1ccc(Cl)o1)N(C)C1CC1 ZINC000163905079 135208374 /nfs/dbraw/zinc/20/83/74/135208374.db2.gz BFUUOYHYGVSHCF-SECBINFHSA-N 0 3 242.750 2.505 20 0 BFADHN Cc1cc(CNCC2CCC(C)CC2)no1 ZINC000084339394 135210108 /nfs/dbraw/zinc/21/01/08/135210108.db2.gz XLQUJWMLPAKPLD-UHFFFAOYSA-N 0 3 222.332 2.899 20 0 BFADHN CC[C@H](C)[C@@](C)(O)CNCc1ccc(Cl)o1 ZINC000164003134 135212159 /nfs/dbraw/zinc/21/21/59/135212159.db2.gz LTCQVRKUDWHHMQ-CABZTGNLSA-N 0 3 245.750 2.820 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H](O)[C@@H](C)CC)o1 ZINC000164006652 135212680 /nfs/dbraw/zinc/21/26/80/135212680.db2.gz KJLRBPWNFUVVQL-LOWVWBTDSA-N 0 3 239.359 2.900 20 0 BFADHN C[C@@H](CCC(C)(C)C)NCc1ccn(C)n1 ZINC000164179460 135213613 /nfs/dbraw/zinc/21/36/13/135213613.db2.gz KWBHNWISKSUFII-NSHDSACASA-N 0 3 223.364 2.725 20 0 BFADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1ncccn1 ZINC000215018707 135218396 /nfs/dbraw/zinc/21/83/96/135218396.db2.gz DYGKPXBJFZZTMI-STQMWFEESA-N 0 3 233.359 2.781 20 0 BFADHN CCc1cc(NC(=O)C2(N)CCCC2)ccc1C ZINC000261586847 135227685 /nfs/dbraw/zinc/22/76/85/135227685.db2.gz UQKNJKSACXLTOK-UHFFFAOYSA-N 0 3 246.354 2.767 20 0 BFADHN CCOc1cccc(CN[C@@H]2C[C@@H]2C(F)F)c1 ZINC000342227773 135253819 /nfs/dbraw/zinc/25/38/19/135253819.db2.gz LBISPRMAWIMZEU-NWDGAFQWSA-N 0 3 241.281 2.829 20 0 BFADHN Cc1c(CN2CC(C)(C)CC(C)(C)C2)cnn1C ZINC000342361818 135262839 /nfs/dbraw/zinc/26/28/39/135262839.db2.gz ZGSADLZRCNRDKO-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1NC[C@H]1CCCOC1 ZINC000342410673 135266083 /nfs/dbraw/zinc/26/60/83/135266083.db2.gz BHRBABPRMDJIFN-YWPYICTPSA-N 0 3 247.338 2.525 20 0 BFADHN CCC[C@@](C)(N)C(=O)NCC1(CCC)CCC1 ZINC000262110370 135279535 /nfs/dbraw/zinc/27/95/35/135279535.db2.gz GSLZLGLJVWCYAO-CYBMUJFWSA-N 0 3 240.391 2.591 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1ccn(C(C)C)n1 ZINC000342520885 135286263 /nfs/dbraw/zinc/28/62/63/135286263.db2.gz QNOSGGULKKIABU-LBPRGKRZSA-N 0 3 223.364 2.940 20 0 BFADHN CCCCN(CC)C(=O)[C@H]1CCCN1CCC ZINC000342550372 135286495 /nfs/dbraw/zinc/28/64/95/135286495.db2.gz XPWRPFCLLXDRNO-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CCC1CC(NCc2cc(OC)ccc2OC)C1 ZINC000342628300 135297357 /nfs/dbraw/zinc/29/73/57/135297357.db2.gz RBQVYXXRSKMUHO-UHFFFAOYSA-N 0 3 249.354 2.982 20 0 BFADHN CC/C=C\CCN[C@@H](CO)c1ccc(F)cc1 ZINC000342646135 135300565 /nfs/dbraw/zinc/30/05/65/135300565.db2.gz SVDXIJJXUOZFDL-NQHOJNORSA-N 0 3 237.318 2.805 20 0 BFADHN C[C@@H](N[C@@H](C)CCC(C)(C)C)c1ncnn1C ZINC000342597206 135301318 /nfs/dbraw/zinc/30/13/18/135301318.db2.gz CWPGHSWGEZULED-WDEREUQCSA-N 0 3 238.379 2.681 20 0 BFADHN C[C@H](CCc1ccccc1)CN1CCN(C)CC1 ZINC000342681117 135304777 /nfs/dbraw/zinc/30/47/77/135304777.db2.gz PFCNHHFZLUAAJA-OAHLLOKOSA-N 0 3 246.398 2.503 20 0 BFADHN CCC1CC(NCc2ccc(OC)c(O)c2)C1 ZINC000342701298 135307089 /nfs/dbraw/zinc/30/70/89/135307089.db2.gz RPXNYJDHVAXHOH-UHFFFAOYSA-N 0 3 235.327 2.679 20 0 BFADHN C[C@H](C[S@](C)=O)N(C)CCC1CCCCC1 ZINC000342665412 135308691 /nfs/dbraw/zinc/30/86/91/135308691.db2.gz SVOMMFUGEUVBGD-WBMJQRKESA-N 0 3 245.432 2.656 20 0 BFADHN CC(C)Cn1ccnc1CN[C@@H]1CCC1(C)C ZINC000342710704 135312916 /nfs/dbraw/zinc/31/29/16/135312916.db2.gz PBCTXYNILGFVMN-GFCCVEGCSA-N 0 3 235.375 2.817 20 0 BFADHN CCC1CC(N[C@@H](CO)c2ccc(F)cc2)C1 ZINC000342720580 135314274 /nfs/dbraw/zinc/31/42/74/135314274.db2.gz CLVMVDWLNIXIRU-DBRPNBKGSA-N 0 3 237.318 2.637 20 0 BFADHN CCC[C@@](C)(N)C(=O)N[C@H](C)CCC(C)(C)C ZINC000262714017 135314551 /nfs/dbraw/zinc/31/45/51/135314551.db2.gz SZSOYEBQPBDIFE-BXUZGUMPSA-N 0 3 242.407 2.835 20 0 BFADHN Cc1cnc(CN2CCC[C@@H]2C2CCCC2)n1C ZINC000342796332 135320120 /nfs/dbraw/zinc/32/01/20/135320120.db2.gz YAPGBNMNOZXLPG-CQSZACIVSA-N 0 3 247.386 2.883 20 0 BFADHN Cc1nocc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC000334167969 135324182 /nfs/dbraw/zinc/32/41/82/135324182.db2.gz AKKUMZWSVZGVIY-VXGBXAGGSA-N 0 3 220.316 2.605 20 0 BFADHN C[C@@H](O)CN1CC[C@H](c2cccc(Cl)c2)C1 ZINC000334168138 135324839 /nfs/dbraw/zinc/32/48/39/135324839.db2.gz AYKZGZTYNYYDSS-PWSUYJOCSA-N 0 3 239.746 2.510 20 0 BFADHN Cc1cc(C)nc(N[C@H](C)C2CCOCC2)c1 ZINC000334226630 135330168 /nfs/dbraw/zinc/33/01/68/135330168.db2.gz ZYOKMPKJJXIKPN-GFCCVEGCSA-N 0 3 234.343 2.925 20 0 BFADHN Cc1ccc(O)c(NC(=O)C(C)C(F)(F)F)c1 ZINC000334226893 135330311 /nfs/dbraw/zinc/33/03/11/135330311.db2.gz BCOHFDFFNPKSED-ZETCQYMHSA-N 0 3 247.216 2.838 20 0 BFADHN c1cc(CN2CCC[C@H]3CCCC[C@H]32)on1 ZINC000334277791 135333607 /nfs/dbraw/zinc/33/36/07/135333607.db2.gz DOCWMYJXFLOIAJ-DGCLKSJQSA-N 0 3 220.316 2.829 20 0 BFADHN Fc1ccc2c(c1)[C@@H](N1CCCOCC1)CC2 ZINC000334291146 135340765 /nfs/dbraw/zinc/34/07/65/135340765.db2.gz DAFNTOKRWKQGEP-AWEZNQCLSA-N 0 3 235.302 2.535 20 0 BFADHN CCCC[C@@H](N)C(=O)NC1CCC(C)(C)CC1 ZINC000079677733 135344152 /nfs/dbraw/zinc/34/41/52/135344152.db2.gz JEBWNSWXHYPICN-GFCCVEGCSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1c[nH]nc1CN(C)C1CCC(C)CC1 ZINC000334325665 135354078 /nfs/dbraw/zinc/35/40/78/135354078.db2.gz AZKNJKGXAUIVJR-UHFFFAOYSA-N 0 3 221.348 2.729 20 0 BFADHN c1c(CN2CC[C@H](C3CC3)C2)nc2ccccn12 ZINC000334359438 135371713 /nfs/dbraw/zinc/37/17/13/135371713.db2.gz WBUVENMCXJXMCK-ZDUSSCGKSA-N 0 3 241.338 2.566 20 0 BFADHN Fc1cccc(CN2CCC[C@H]3COCC[C@@H]32)c1 ZINC000334367309 135374368 /nfs/dbraw/zinc/37/43/68/135374368.db2.gz RADDNPJPXUNSRI-ZFWWWQNUSA-N 0 3 249.329 2.827 20 0 BFADHN Fc1cccc(CN2CCC[C@@H]3COCC[C@H]32)c1 ZINC000334367308 135374507 /nfs/dbraw/zinc/37/45/07/135374507.db2.gz RADDNPJPXUNSRI-UKRRQHHQSA-N 0 3 249.329 2.827 20 0 BFADHN COC[C@H](C)NCc1cccc2c1OC(C)(C)C2 ZINC000080506467 135377497 /nfs/dbraw/zinc/37/74/97/135377497.db2.gz ORDTUNVIMOLGAE-NSHDSACASA-N 0 3 249.354 2.525 20 0 BFADHN CC(C)N1Cc2ccc(O)cc2C2(CC2)C1 ZINC000334377695 135378350 /nfs/dbraw/zinc/37/83/50/135378350.db2.gz GKDAQCADPLRMMP-UHFFFAOYSA-N 0 3 217.312 2.648 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCC(C)(C)C2)nn1C ZINC000334400222 135389356 /nfs/dbraw/zinc/38/93/56/135389356.db2.gz MWYYWBGOJRAQBR-NEPJUHHUSA-N 0 3 235.375 2.958 20 0 BFADHN Cc1nocc1CN[C@H]1CC2CCC1CC2 ZINC000334340575 135399816 /nfs/dbraw/zinc/39/98/16/135399816.db2.gz HKPSXRJKBMUIKJ-XIVSLSHWSA-N 0 3 220.316 2.651 20 0 BFADHN COC[C@H](C)NCc1cc(C)c2ncccc2c1 ZINC000344329627 135762251 /nfs/dbraw/zinc/76/22/51/135762251.db2.gz MZKQOXUKPFRDCA-LBPRGKRZSA-N 0 3 244.338 2.668 20 0 BFADHN c1cn2c(n1)[C@H](NC1(C3CCC3)CCC1)CC2 ZINC000334836869 135819117 /nfs/dbraw/zinc/81/91/17/135819117.db2.gz SOXCSFCECFNHKG-GFCCVEGCSA-N 0 3 231.343 2.640 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)n(C)n1 ZINC000334934966 135845382 /nfs/dbraw/zinc/84/53/82/135845382.db2.gz AJVKVKIQTHWCQI-KBPBESRZSA-N 0 3 247.386 2.739 20 0 BFADHN C[C@H](N[C@@H]1COC(C)(C)C1)c1ccccc1 ZINC000334936260 135845431 /nfs/dbraw/zinc/84/54/31/135845431.db2.gz ZOTPRSJZIADNOD-AAEUAGOBSA-N 0 3 219.328 2.905 20 0 BFADHN CCC[C@@H](NCC[C@@H](C)OC)c1ccccn1 ZINC000346986994 135987208 /nfs/dbraw/zinc/98/72/08/135987208.db2.gz QSCWWPCGCSWKDE-CHWSQXEVSA-N 0 3 236.359 2.937 20 0 BFADHN Cc1ccc(CN[C@@H]2Cc3cccc(O)c3C2)o1 ZINC000335283018 136000966 /nfs/dbraw/zinc/00/09/66/136000966.db2.gz PHOTYOHRPAHGBX-GFCCVEGCSA-N 0 3 243.306 2.551 20 0 BFADHN COc1cc([C@H](C)NCc2ccccc2)ccn1 ZINC000347654880 136001830 /nfs/dbraw/zinc/00/18/30/136001830.db2.gz UINQEDXVWSQJQO-LBPRGKRZSA-N 0 3 242.322 2.941 20 0 BFADHN CCc1ccc(CN2CCC[C@@H](COC)C2)cn1 ZINC000347786970 136009592 /nfs/dbraw/zinc/00/95/92/136009592.db2.gz RENOBNPMUFHOET-CQSZACIVSA-N 0 3 248.370 2.502 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@H](CC(C)C)C1 ZINC000347784651 136009602 /nfs/dbraw/zinc/00/96/02/136009602.db2.gz PBVZFMGUXTUIMP-CYBMUJFWSA-N 0 3 235.375 2.597 20 0 BFADHN COc1ccc(CN2CC[C@H](C)C2)c(C)c1OC ZINC000347792299 136010118 /nfs/dbraw/zinc/01/01/18/136010118.db2.gz WLQFWBHCEPCQKK-NSHDSACASA-N 0 3 249.354 2.854 20 0 BFADHN C[C@H](CCC(C)(C)C)C(=O)NC[C@@H](C)N(C)C ZINC000347854074 136012954 /nfs/dbraw/zinc/01/29/54/136012954.db2.gz RBZHDUMPYJYVJE-VXGBXAGGSA-N 0 3 242.407 2.515 20 0 BFADHN [O-]c1cccnc1C[NH2+]C/C=C/c1ccccc1 ZINC000348015478 136021518 /nfs/dbraw/zinc/02/15/18/136021518.db2.gz XTLIMKITWPZQLS-XBXARRHUSA-N 0 3 240.306 2.590 20 0 BFADHN c1c(CN[C@@H]2CC[C@H]2C2CC2)nc2ccccn12 ZINC000348087498 136035343 /nfs/dbraw/zinc/03/53/43/136035343.db2.gz PFJMSFQWMBFTJZ-UONOGXRCSA-N 0 3 241.338 2.613 20 0 BFADHN c1c(CN[C@@H]2CC[C@@H]2C2CC2)nc2ccccn12 ZINC000348087499 136035365 /nfs/dbraw/zinc/03/53/65/136035365.db2.gz PFJMSFQWMBFTJZ-ZIAGYGMSSA-N 0 3 241.338 2.613 20 0 BFADHN c1cc2c(c(CN[C@@H]3CC[C@@H]3C3CC3)c1)OCO2 ZINC000348101621 136036218 /nfs/dbraw/zinc/03/62/18/136036218.db2.gz IJZDCQFMTUTLIM-CHWSQXEVSA-N 0 3 245.322 2.694 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1ccc(OC)cc1OC ZINC000348103071 136036435 /nfs/dbraw/zinc/03/64/35/136036435.db2.gz LPOCUNOPRGOTRF-FZMZJTMJSA-N 0 3 249.354 2.982 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1cn2ccc(C)cc2n1 ZINC000348115686 136037762 /nfs/dbraw/zinc/03/77/62/136037762.db2.gz UTORJFUFJWGKHY-TZMCWYRMSA-N 0 3 243.354 2.921 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1nnc(C)n1C1CC1 ZINC000348159222 136041389 /nfs/dbraw/zinc/04/13/89/136041389.db2.gz JLAQNDXNNUUHMC-DGCLKSJQSA-N 0 3 248.374 2.590 20 0 BFADHN Cc1ccsc1CN[C@@H]1C[C@H]1C(F)F ZINC000348176793 136042445 /nfs/dbraw/zinc/04/24/45/136042445.db2.gz DNVPQELABZLVEX-HTQZYQBOSA-N 0 3 217.284 2.800 20 0 BFADHN Cc1nn(C)c(C)c1CN1CCCC2(CCC2)C1 ZINC000348180770 136043245 /nfs/dbraw/zinc/04/32/45/136043245.db2.gz LQABZMQYNSVJAP-UHFFFAOYSA-N 0 3 247.386 2.803 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]2C(F)F)cc1F ZINC000348192149 136049351 /nfs/dbraw/zinc/04/93/51/136049351.db2.gz XFELYQQQABYSAH-MWLCHTKSSA-N 0 3 229.245 2.877 20 0 BFADHN c1nc(-c2ccccn2)sc1CN1CCCC1 ZINC000335323196 136050594 /nfs/dbraw/zinc/05/05/94/136050594.db2.gz OZYMSZYBPYFVGC-UHFFFAOYSA-N 0 3 245.351 2.801 20 0 BFADHN CC(C)OCCN1CC[C@@H](C(F)(F)F)[C@@H]1C ZINC000348248430 136056957 /nfs/dbraw/zinc/05/69/57/136056957.db2.gz VKEYSRAMSCBQHQ-VHSXEESVSA-N 0 3 239.281 2.684 20 0 BFADHN CCC[C@H]1CCCCN1Cc1c(C)cnn1C ZINC000348254668 136057486 /nfs/dbraw/zinc/05/74/86/136057486.db2.gz PRKRIXSKOZBPMV-ZDUSSCGKSA-N 0 3 235.375 2.883 20 0 BFADHN COc1ccc([C@H](C)N[C@H]2C[C@H]2C(F)F)cc1 ZINC000348275160 136059080 /nfs/dbraw/zinc/05/90/80/136059080.db2.gz MPHAIFWWPHWQRK-AXTRIDKLSA-N 0 3 241.281 2.999 20 0 BFADHN OC[C@]1(F)CCN(CCCC2CCCCC2)C1 ZINC000348285396 136060284 /nfs/dbraw/zinc/06/02/84/136060284.db2.gz OYGJINNWNQZYKX-AWEZNQCLSA-N 0 3 243.366 2.753 20 0 BFADHN CCCCCN1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000348228305 136060385 /nfs/dbraw/zinc/06/03/85/136060385.db2.gz MEAQZBXVSHAFJA-WCQYABFASA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCc1ccccn1 ZINC000348293301 136062496 /nfs/dbraw/zinc/06/24/96/136062496.db2.gz PDEQZOBKRCDWLN-ZDUSSCGKSA-N 0 3 241.338 2.712 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2C[C@@H]2C(F)F)c1 ZINC000348299103 136063344 /nfs/dbraw/zinc/06/33/44/136063344.db2.gz DNPMFQGNZOMQOD-KPXOXKRLSA-N 0 3 241.281 2.999 20 0 BFADHN COc1cc([C@H](C)N[C@H]2CC[C@@H]2C)c(F)cn1 ZINC000348301051 136063858 /nfs/dbraw/zinc/06/38/58/136063858.db2.gz KJPMOBKLORGLAX-AUTRQRHGSA-N 0 3 238.306 2.678 20 0 BFADHN Cc1nccc(CN2CCC[C@H]3CCCC[C@@H]32)n1 ZINC000335347032 136067143 /nfs/dbraw/zinc/06/71/43/136067143.db2.gz XJVHUNNEVASIJA-HIFRSBDPSA-N 0 3 245.370 2.940 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](C)c1ccncc1OC ZINC000348318469 136068712 /nfs/dbraw/zinc/06/87/12/136068712.db2.gz YFERGEQPFALDRX-NQBHXWOUSA-N 0 3 234.343 2.929 20 0 BFADHN CCCC[C@@H]1CCC[C@H]1NCc1nccn1C ZINC000348317820 136068798 /nfs/dbraw/zinc/06/87/98/136068798.db2.gz NNUVQVOIOSLLHS-CHWSQXEVSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1cnn(C)c1CN1CCC[C@@H](C(C)(C)C)C1 ZINC000348360626 136072441 /nfs/dbraw/zinc/07/24/41/136072441.db2.gz QKQPJGDDVZSOEI-CYBMUJFWSA-N 0 3 249.402 2.987 20 0 BFADHN CCN(Cc1cccc(C)c1)[C@@H]1CCOC1 ZINC000348363553 136072767 /nfs/dbraw/zinc/07/27/67/136072767.db2.gz RVNJHWCBKRUSQU-CQSZACIVSA-N 0 3 219.328 2.606 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](C)C(=O)Nc1ccccc1 ZINC000348391447 136076276 /nfs/dbraw/zinc/07/62/76/136076276.db2.gz LKJFDPVVXAMRET-OUCADQQQSA-N 0 3 246.354 2.792 20 0 BFADHN CC[C@H](NCC(C)(C)OC)c1ccc(F)cn1 ZINC000166128659 136077005 /nfs/dbraw/zinc/07/70/05/136077005.db2.gz VRSYZXAIQIPDLK-NSHDSACASA-N 0 3 240.322 2.686 20 0 BFADHN CCc1ccc(CN(C)CC[C@H]2CCCO2)cn1 ZINC000348417056 136077897 /nfs/dbraw/zinc/07/78/97/136077897.db2.gz XZNYPTONEFKXAT-OAHLLOKOSA-N 0 3 248.370 2.645 20 0 BFADHN COc1cc([C@@H](C)NCC2(C)CC2)c(F)cn1 ZINC000348423798 136078039 /nfs/dbraw/zinc/07/80/39/136078039.db2.gz VVXZFZGXCRYXMH-SECBINFHSA-N 0 3 238.306 2.680 20 0 BFADHN Cn1cnc2cc(CNC3CC(C)(F)C3)ccc21 ZINC000335373279 136084432 /nfs/dbraw/zinc/08/44/32/136084432.db2.gz GRQHKQJPKUGWEM-UHFFFAOYSA-N 0 3 247.317 2.554 20 0 BFADHN Cc1ncc(CN[C@H]2CCC[C@@H](F)C2)s1 ZINC000335373687 136084678 /nfs/dbraw/zinc/08/46/78/136084678.db2.gz UUMOUCMNFFKCLK-ZJUUUORDSA-N 0 3 228.336 2.822 20 0 BFADHN Cc1cccn2c(CNC3CC(C)(F)C3)cnc12 ZINC000335375540 136085635 /nfs/dbraw/zinc/08/56/35/136085635.db2.gz LXEBSFPJHWYQQT-UHFFFAOYSA-N 0 3 247.317 2.623 20 0 BFADHN Fc1cncc(CN[C@@H]2CCC[C@@H](F)C2)c1 ZINC000335384435 136088481 /nfs/dbraw/zinc/08/84/81/136088481.db2.gz FWCPDYVVKQIWEZ-ZYHUDNBSSA-N 0 3 226.270 2.591 20 0 BFADHN Fc1cncc(CN[C@@H]2CCC[C@H](F)C2)c1 ZINC000335384432 136088650 /nfs/dbraw/zinc/08/86/50/136088650.db2.gz FWCPDYVVKQIWEZ-CMPLNLGQSA-N 0 3 226.270 2.591 20 0 BFADHN Fc1cnccc1CN1CC[C@@H]2CCCC[C@@H]21 ZINC000335387940 136089705 /nfs/dbraw/zinc/08/97/05/136089705.db2.gz FJKSQJZANXSMQH-FZMZJTMJSA-N 0 3 234.318 2.985 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccc3occc3c2)CO1 ZINC000335392866 136091203 /nfs/dbraw/zinc/09/12/03/136091203.db2.gz NIAGPKLVQNQYDU-GXFFZTMASA-N 0 3 231.295 2.700 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc3cc(F)ccc3o2)CO1 ZINC000335393704 136091639 /nfs/dbraw/zinc/09/16/39/136091639.db2.gz ULDVMRCYWKDMLQ-SKDRFNHKSA-N 0 3 249.285 2.839 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCC(C)(C)C2)nn1 ZINC000335393707 136091933 /nfs/dbraw/zinc/09/19/33/136091933.db2.gz RTPVURICVYOQFO-CYBMUJFWSA-N 0 3 233.359 2.796 20 0 BFADHN FCCN[C@@H]1CCC[C@@H]1OCc1ccccc1 ZINC000348541547 136095365 /nfs/dbraw/zinc/09/53/65/136095365.db2.gz WTCVBIXRMCPEMP-KGLIPLIRSA-N 0 3 237.318 2.683 20 0 BFADHN c1ccc(CN2CCC[C@]3(CCSC3)C2)nc1 ZINC000335581647 136112778 /nfs/dbraw/zinc/11/27/78/136112778.db2.gz PLQVWXJCIBCKRR-AWEZNQCLSA-N 0 3 248.395 2.801 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCOC2)ccc1F ZINC000348705839 136116425 /nfs/dbraw/zinc/11/64/25/136116425.db2.gz GVFDHHNWXLUBHQ-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN CCN(Cc1ccc([C@@H]2C[C@@H]2C)o1)C[C@@H](C)O ZINC000348700008 136116466 /nfs/dbraw/zinc/11/64/66/136116466.db2.gz BFOBVZFDDKDMRI-DMDPSCGWSA-N 0 3 237.343 2.606 20 0 BFADHN CCN(Cc1ccc([C@@H]2C[C@H]2C)o1)C[C@@H](C)O ZINC000348700011 136116539 /nfs/dbraw/zinc/11/65/39/136116539.db2.gz BFOBVZFDDKDMRI-NQBHXWOUSA-N 0 3 237.343 2.606 20 0 BFADHN c1ccc(CN2CC(C3CCC3)C2)cc1 ZINC000348706916 136116674 /nfs/dbraw/zinc/11/66/74/136116674.db2.gz IEWHSBWMFMXBJO-UHFFFAOYSA-N 0 3 201.313 2.919 20 0 BFADHN COC[C@H](C)CN[C@H](C)c1c(F)cccc1F ZINC000045655996 322844320 /nfs/dbraw/zinc/84/43/20/322844320.db2.gz BYRFCXUZCIZGAO-NXEZZACHSA-N 0 3 243.297 2.898 20 0 BFADHN CC(C)=CCCNCc1cnc([C@H](C)O)s1 ZINC000289760513 397749354 /nfs/dbraw/zinc/74/93/54/397749354.db2.gz QHVRVKDZWDPUQS-JTQLQIEISA-N 0 3 240.372 2.642 20 0 BFADHN CC(=O)CCN(CCc1cccc(F)c1)C1CC1 ZINC000156082175 397754954 /nfs/dbraw/zinc/75/49/54/397754954.db2.gz AKKUORJPVDXMFB-UHFFFAOYSA-N 0 3 249.329 2.812 20 0 BFADHN C[C@H]1CCCN(Cc2cccc(F)c2N)C1 ZINC000156333081 397759143 /nfs/dbraw/zinc/75/91/43/397759143.db2.gz MBSKIKSXSYDXPA-JTQLQIEISA-N 0 3 222.307 2.640 20 0 BFADHN Cc1nocc1CN[C@H]1CCC(C)(C)C1 ZINC000336466346 397764613 /nfs/dbraw/zinc/76/46/13/397764613.db2.gz LVXGAPXYCFPWGX-NSHDSACASA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@@H](CSC)NCc1cnn2ccccc12 ZINC000163873790 397788343 /nfs/dbraw/zinc/78/83/43/397788343.db2.gz YNWJYPHNXBORGK-LBPRGKRZSA-N 0 3 249.383 2.566 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](O)C1(C)C)c1ccc(F)cc1 ZINC000164051455 397791057 /nfs/dbraw/zinc/79/10/57/397791057.db2.gz ZTECHTVIVKPRRH-ZWKOPEQDSA-N 0 3 237.318 2.636 20 0 BFADHN C[C@@H](NCCC(C)(C)C1CC1)c1cnccn1 ZINC000294868796 397742093 /nfs/dbraw/zinc/74/20/93/397742093.db2.gz CMIPMWIVDUZSRI-LLVKDONJSA-N 0 3 233.359 2.954 20 0 BFADHN CCN(CC(C)C)[C@H](C)C(=O)Nc1ccccc1 ZINC000153732933 397742231 /nfs/dbraw/zinc/74/22/31/397742231.db2.gz BOZFRGCKJORQDL-CYBMUJFWSA-N 0 3 248.370 2.992 20 0 BFADHN CC[C@@H](CCO)CNCc1ccsc1Cl ZINC000308913906 397843220 /nfs/dbraw/zinc/84/32/20/397843220.db2.gz FJTKYBOHWLMHTP-VIFPVBQESA-N 0 3 247.791 2.900 20 0 BFADHN Cc1cnc(C(C)(C)NC[C@@H]2C[C@@H]2C)s1 ZINC000309101815 397851146 /nfs/dbraw/zinc/85/11/46/397851146.db2.gz GEPJGYHRBQYRRE-WPRPVWTQSA-N 0 3 224.373 2.932 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cnc2ccccc2n1 ZINC000387789226 397860841 /nfs/dbraw/zinc/86/08/41/397860841.db2.gz FUNBVIAGXGGHJU-NEPJUHHUSA-N 0 3 241.338 2.766 20 0 BFADHN C[C@H]1CCC[C@H](C)N(Cc2cc3n(n2)CCC3)C1 ZINC000649539704 397931828 /nfs/dbraw/zinc/93/18/28/397931828.db2.gz DEOAYAMWOQWPTN-STQMWFEESA-N 0 3 247.386 2.840 20 0 BFADHN Cc1cncc(CCN2CCC(C(C)C)CC2)n1 ZINC000649541368 397934559 /nfs/dbraw/zinc/93/45/59/397934559.db2.gz YSXNLLVGMIPAHG-UHFFFAOYSA-N 0 3 247.386 2.696 20 0 BFADHN CC1(C)CN(CC2=CCCC2)[C@@H]1[C@H]1CCCO1 ZINC000645918030 397965970 /nfs/dbraw/zinc/96/59/70/397965970.db2.gz HCTKHTZPSXIGMI-ZIAGYGMSSA-N 0 3 235.371 2.986 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1cnccn1 ZINC000650132530 397938903 /nfs/dbraw/zinc/93/89/03/397938903.db2.gz UQPXGKOKMIMZKY-GRYCIOLGSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@@H](N[C@@H](C)COCC1CC1)c1ccncc1 ZINC000650134938 397939766 /nfs/dbraw/zinc/93/97/66/397939766.db2.gz HRJHFJGRSNLFEO-NWDGAFQWSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1ccc(CNC(C)(C)C(C)(C)C)nn1 ZINC000414450909 397946628 /nfs/dbraw/zinc/94/66/28/397946628.db2.gz HZFGYUKCFLJZQX-UHFFFAOYSA-N 0 3 221.348 2.699 20 0 BFADHN C[C@@H](c1ccncc1)N1CC[C@H](O)CC(C)(C)C1 ZINC000650162582 397948024 /nfs/dbraw/zinc/94/80/24/397948024.db2.gz BXNISSYKLYFMBA-JSGCOSHPSA-N 0 3 248.370 2.626 20 0 BFADHN Cc1cccc(CCN2CCS[C@@H](C)C2)c1 ZINC000449021067 397950527 /nfs/dbraw/zinc/95/05/27/397950527.db2.gz BNLMRZQQTTZMAE-ZDUSSCGKSA-N 0 3 235.396 2.975 20 0 BFADHN CN(Cc1ccnn1C1CCCC1)CC1CC1 ZINC000449020440 397950710 /nfs/dbraw/zinc/95/07/10/397950710.db2.gz ZFUPTZJFUNVOIR-UHFFFAOYSA-N 0 3 233.359 2.840 20 0 BFADHN CC(C)[C@@H]1CN(Cc2ccnn2C)[C@@H]1C(C)C ZINC000449554660 397955141 /nfs/dbraw/zinc/95/51/41/397955141.db2.gz OUKBDZRVOJNBAR-UONOGXRCSA-N 0 3 235.375 2.533 20 0 BFADHN COC1([C@@H](C)NCc2ccc(C)o2)CCC1 ZINC000414522349 397960242 /nfs/dbraw/zinc/96/02/42/397960242.db2.gz GSAOWHIZYBMRIW-LLVKDONJSA-N 0 3 223.316 2.635 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccccc1)c1ccnn1C ZINC000192244685 397892497 /nfs/dbraw/zinc/89/24/97/397892497.db2.gz XYTAFNDZSRYDTF-NWDGAFQWSA-N 0 3 229.327 2.832 20 0 BFADHN CCc1ccc(NC(=O)[C@@H](N)CC(C)C)cc1 ZINC000011961126 397902826 /nfs/dbraw/zinc/90/28/26/397902826.db2.gz QBILGYFPUIZHLJ-ZDUSSCGKSA-N 0 3 234.343 2.561 20 0 BFADHN CN(Cc1cccc2c1OCCO2)CC1CCC1 ZINC000111296417 397907586 /nfs/dbraw/zinc/90/75/86/397907586.db2.gz RSMZOPFYTFCFQX-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1cc(F)ccc1F ZINC000302313787 397911351 /nfs/dbraw/zinc/91/13/51/397911351.db2.gz BNBBWHKIIQDDBW-SECBINFHSA-N 0 3 243.297 2.868 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cnc(C)s1)C1CC1 ZINC000382160312 397916236 /nfs/dbraw/zinc/91/62/36/397916236.db2.gz SNLGHAQWYVMCBD-GZMMTYOYSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1cccc2c1CN(Cc1cccn1C)CC2 ZINC000433048595 397916855 /nfs/dbraw/zinc/91/68/55/397916855.db2.gz XMJHCFKLSXKYLG-UHFFFAOYSA-N 0 3 240.350 2.892 20 0 BFADHN Cc1ccoc1CN[C@H](CO)CCC(C)(C)C ZINC000355686626 397917678 /nfs/dbraw/zinc/91/76/78/397917678.db2.gz ZKLNRGAXFGVLJD-LBPRGKRZSA-N 0 3 239.359 2.865 20 0 BFADHN CCOCCN1CC[C@@H](c2cccc(F)c2)C1 ZINC000264304919 397919275 /nfs/dbraw/zinc/91/92/75/397919275.db2.gz WZMQHKJLYJBUEB-CYBMUJFWSA-N 0 3 237.318 2.652 20 0 BFADHN CC(F)(F)CCNCc1ccncc1Cl ZINC000382236689 397925443 /nfs/dbraw/zinc/92/54/43/397925443.db2.gz FMRMEHGEANEMKQ-UHFFFAOYSA-N 0 3 234.677 2.870 20 0 BFADHN CCN(CC(=O)Nc1cccc(C)c1)CC(C)C ZINC000120294062 397991008 /nfs/dbraw/zinc/99/10/08/397991008.db2.gz ZTONNGJVRYOUQB-UHFFFAOYSA-N 0 3 248.370 2.911 20 0 BFADHN Cc1ccc(CNCC[C@@H]2CCO[C@@H](C)C2)o1 ZINC000273094197 397991315 /nfs/dbraw/zinc/99/13/15/397991315.db2.gz TZGQRJHSBJIXLA-QWHCGFSZSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1ccc(CNCC[C@H]2CCO[C@@H](C)C2)o1 ZINC000273094198 397991435 /nfs/dbraw/zinc/99/14/35/397991435.db2.gz TZGQRJHSBJIXLA-STQMWFEESA-N 0 3 237.343 2.883 20 0 BFADHN C1CC1[C@@H]1CC[C@@H](c2nc(C3CCCC3)no2)N1 ZINC000650225134 397997270 /nfs/dbraw/zinc/99/72/70/397997270.db2.gz FEVLQRJHDMKWJL-RYUDHWBXSA-N 0 3 247.342 2.930 20 0 BFADHN C1CC1[C@H]1CC[C@H](c2nc(C3CCCC3)no2)N1 ZINC000650225135 397997459 /nfs/dbraw/zinc/99/74/59/397997459.db2.gz FEVLQRJHDMKWJL-VXGBXAGGSA-N 0 3 247.342 2.930 20 0 BFADHN Cc1ccc([C@H](O)CN2CC3(C2)CCCC3)cc1 ZINC000372494762 398004126 /nfs/dbraw/zinc/00/41/26/398004126.db2.gz YBEWIPCGAWECFQ-OAHLLOKOSA-N 0 3 245.366 2.904 20 0 BFADHN CO[C@H](C)CN[C@@H](C)c1cc(C)oc1C ZINC000167049654 398004710 /nfs/dbraw/zinc/00/47/10/398004710.db2.gz FVAPUNAAVIZNAF-ZJUUUORDSA-N 0 3 211.305 2.582 20 0 BFADHN Cc1ccc(CN2CCC([C@H]3CCOC3)CC2)o1 ZINC000372925255 398008318 /nfs/dbraw/zinc/00/83/18/398008318.db2.gz HLXPUVYIVACILI-AWEZNQCLSA-N 0 3 249.354 2.837 20 0 BFADHN C[C@H]1CCCC[C@@]1(C)NCc1cc2n(n1)CCC2 ZINC000649627098 398009021 /nfs/dbraw/zinc/00/90/21/398009021.db2.gz UUYZSHDWJCCROG-SWLSCSKDSA-N 0 3 247.386 2.888 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cccc(OC)n1 ZINC000274816703 398015716 /nfs/dbraw/zinc/01/57/16/398015716.db2.gz AMPOKUBDXFGLSV-YPMHNXCESA-N 0 3 234.343 2.711 20 0 BFADHN CN(C[C@H](O)c1ccc(F)cc1Cl)C1CC1 ZINC000274816977 398015739 /nfs/dbraw/zinc/01/57/39/398015739.db2.gz ZLVGNBVABJKWGU-LBPRGKRZSA-N 0 3 243.709 2.607 20 0 BFADHN C[C@H](NCC1=CCOCC1)c1ccccc1F ZINC000397712612 398020759 /nfs/dbraw/zinc/02/07/59/398020759.db2.gz DMBLDWCDSYVSMC-NSHDSACASA-N 0 3 235.302 2.823 20 0 BFADHN CC[C@H](N[C@H](C)C[S@](C)=O)c1cccs1 ZINC000184295984 398023143 /nfs/dbraw/zinc/02/31/43/398023143.db2.gz NEYYBLRXBNDUNK-FTGAXOIBSA-N 0 3 245.413 2.556 20 0 BFADHN CC(C)n1nccc1CN1CCC12CCCC2 ZINC000649658251 398026787 /nfs/dbraw/zinc/02/67/87/398026787.db2.gz DQYDHQILYAQPLA-UHFFFAOYSA-N 0 3 233.359 2.983 20 0 BFADHN Clc1cnn(CCN2CCC23CCCC3)c1 ZINC000649658463 398028235 /nfs/dbraw/zinc/02/82/35/398028235.db2.gz LYTHJVCVDQUQLP-UHFFFAOYSA-N 0 3 239.750 2.555 20 0 BFADHN CN(Cc1ccccc1F)[C@@H]1CCCC[C@@H]1O ZINC000042237354 398031285 /nfs/dbraw/zinc/03/12/85/398031285.db2.gz UPINMPWXKOKCEI-KGLIPLIRSA-N 0 3 237.318 2.561 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1cc2ccc(F)cc2[nH]1 ZINC000580398208 398034989 /nfs/dbraw/zinc/03/49/89/398034989.db2.gz LSTBCWLQAKLRML-ZIAGYGMSSA-N 0 3 248.301 2.574 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1cc2ccc(F)cc2[nH]1 ZINC000580398205 398035120 /nfs/dbraw/zinc/03/51/20/398035120.db2.gz LSTBCWLQAKLRML-KBPBESRZSA-N 0 3 248.301 2.574 20 0 BFADHN C[C@]1(NCc2ccc3c(c2)CCCC3)CCOC1 ZINC000491305002 398037898 /nfs/dbraw/zinc/03/78/98/398037898.db2.gz AYYNVXJSENOHNS-INIZCTEOSA-N 0 3 245.366 2.834 20 0 BFADHN CCSCCN1CC(C)(C)OCC1(C)C ZINC000610213363 398037871 /nfs/dbraw/zinc/03/78/71/398037871.db2.gz NQUUJIYYYQVYJY-UHFFFAOYSA-N 0 3 231.405 2.629 20 0 BFADHN CN(CCCCCO)Cc1cc(Cl)cs1 ZINC000279511033 398049451 /nfs/dbraw/zinc/04/94/51/398049451.db2.gz XHPSTUHOHURMLX-UHFFFAOYSA-N 0 3 247.791 2.996 20 0 BFADHN Cc1csc(CN[C@@H]2C[C@@H](C)[C@H]2C)n1 ZINC000307103744 398041068 /nfs/dbraw/zinc/04/10/68/398041068.db2.gz WSZWGYUYVJFDSN-SZEHBUNVSA-N 0 3 210.346 2.586 20 0 BFADHN CC(C)(C)[C@H]1CCN(Cc2cc3n(n2)CCC3)C1 ZINC000649582628 397970643 /nfs/dbraw/zinc/97/06/43/397970643.db2.gz LRPSMNFWAGVLKR-LBPRGKRZSA-N 0 3 247.386 2.697 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cc3n(n2)CCC3)[C@@H](C)C1 ZINC000649587586 397972160 /nfs/dbraw/zinc/97/21/60/397972160.db2.gz MPRXBAZTCOZBID-XUJVJEKNSA-N 0 3 247.386 2.744 20 0 BFADHN CCO[C@@H]1CCN(CCC(F)(F)F)C[C@@H]1C ZINC000451552478 397976580 /nfs/dbraw/zinc/97/65/80/397976580.db2.gz YPPNBBCAZNYPOL-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN CC1(C)CCCC[C@H]1NCc1cc2n(n1)CCC2 ZINC000649587136 397977194 /nfs/dbraw/zinc/97/71/94/397977194.db2.gz BASZFLCGYCVFRE-CQSZACIVSA-N 0 3 247.386 2.888 20 0 BFADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1cc2n(n1)CCC2 ZINC000649591450 397978909 /nfs/dbraw/zinc/97/89/09/397978909.db2.gz XPHPPPOECIDMJF-DOMZBBRYSA-N 0 3 247.386 2.840 20 0 BFADHN c1c(CN2CC[C@H]3CCC[C@@H]32)nn2c1CCCC2 ZINC000649589098 397979428 /nfs/dbraw/zinc/97/94/28/397979428.db2.gz HCOBZNGKILGCND-DOMZBBRYSA-N 0 3 245.370 2.594 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@@H]2CCc3c2cccc3F)O1 ZINC000398232825 397985175 /nfs/dbraw/zinc/98/51/75/397985175.db2.gz UGZCCTIHCJNADH-UEKVPHQBSA-N 0 3 249.329 2.970 20 0 BFADHN CC[C@@H]1CO[C@@H](CC)CN1CCC(C)(C)OC ZINC000649718934 398061476 /nfs/dbraw/zinc/06/14/76/398061476.db2.gz JHYMDRKESVAVPR-OLZOCXBDSA-N 0 3 243.391 2.691 20 0 BFADHN CC[C@H]1CN(CCC(C)(C)OC)[C@@H](CC)CO1 ZINC000649718936 398061771 /nfs/dbraw/zinc/06/17/71/398061771.db2.gz JHYMDRKESVAVPR-STQMWFEESA-N 0 3 243.391 2.691 20 0 BFADHN CC[C@@H]1CN(CCOC(C)(C)C)[C@H](CC)CO1 ZINC000649719657 398062883 /nfs/dbraw/zinc/06/28/83/398062883.db2.gz VAQKGUCHAGZQDM-CHWSQXEVSA-N 0 3 243.391 2.691 20 0 BFADHN CC[C@H]1CN(CCCCCOC)[C@@H](CC)CO1 ZINC000649720758 398063473 /nfs/dbraw/zinc/06/34/73/398063473.db2.gz NXHXTSGYFUCRPB-KBPBESRZSA-N 0 3 243.391 2.693 20 0 BFADHN Cc1ccncc1[C@H](C)N[C@@H]1C[C@H](C)O[C@H]1C ZINC000649717255 398063682 /nfs/dbraw/zinc/06/36/82/398063682.db2.gz YGCDYXNLEWWAKA-ZJQBRPOHSA-N 0 3 234.343 2.607 20 0 BFADHN C[C@H](NCc1ccccc1F)[C@@]1(C)CCCO1 ZINC000340176071 398074809 /nfs/dbraw/zinc/07/48/09/398074809.db2.gz BAROPSUVFSRBFG-SMDDNHRTSA-N 0 3 237.318 2.873 20 0 BFADHN CC/C=C/CCN1C[C@H](CC)OC[C@H]1C ZINC000649735119 398076769 /nfs/dbraw/zinc/07/67/69/398076769.db2.gz FTQQDAJIXXZERR-VWWYUBIBSA-N 0 3 211.349 2.842 20 0 BFADHN CC[C@@H]1CN(C[C@@H](CC(C)C)OC)[C@@H](C)CO1 ZINC000649737104 398079711 /nfs/dbraw/zinc/07/97/11/398079711.db2.gz QYDHORGQALQHFH-BFHYXJOUSA-N 0 3 243.391 2.547 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1cnc(C)s1 ZINC000191638237 398081648 /nfs/dbraw/zinc/08/16/48/398081648.db2.gz JZYMYZAKVQDEPY-DJLDLDEBSA-N 0 3 228.361 2.526 20 0 BFADHN CCCN(CCN(C)C)C(=O)C(C)(C)CCC ZINC000649759314 398089590 /nfs/dbraw/zinc/08/95/90/398089590.db2.gz JIAOPRRUNHRHSO-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN CC[C@@H]1CCN1Cc1n[nH]c2ccccc21 ZINC000644843443 398096164 /nfs/dbraw/zinc/09/61/64/398096164.db2.gz APJNZBJTHFXGRM-SNVBAGLBSA-N 0 3 215.300 2.547 20 0 BFADHN CC[C@@H]1CCN1Cc1[nH]nc2ccccc21 ZINC000644843443 398096167 /nfs/dbraw/zinc/09/61/67/398096167.db2.gz APJNZBJTHFXGRM-SNVBAGLBSA-N 0 3 215.300 2.547 20 0 BFADHN C[C@]1(O)CCN(Cc2cccc(C(F)F)c2)C1 ZINC000279582579 398050841 /nfs/dbraw/zinc/05/08/41/398050841.db2.gz CNNIFUIDTSYOKT-ZDUSSCGKSA-N 0 3 241.281 2.581 20 0 BFADHN Cc1cccnc1CN1CCC[C@@H](OC(C)C)C1 ZINC000649702434 398053082 /nfs/dbraw/zinc/05/30/82/398053082.db2.gz DKJTWILOYWKLMH-CQSZACIVSA-N 0 3 248.370 2.779 20 0 BFADHN CC[C@@H]1COC(C)(C)CN1CCc1ccncc1 ZINC000649715314 398056695 /nfs/dbraw/zinc/05/66/95/398056695.db2.gz ZOZKDNVCGDFZOR-CQSZACIVSA-N 0 3 248.370 2.514 20 0 BFADHN CCc1ccc(CNCCc2ccncc2)o1 ZINC000051724258 398058842 /nfs/dbraw/zinc/05/88/42/398058842.db2.gz XCGZLSSBYYZJMR-UHFFFAOYSA-N 0 3 230.311 2.569 20 0 BFADHN CC[C@@H]1CN(C)CCN1Cc1cc(C)ccc1C ZINC000556952627 398058925 /nfs/dbraw/zinc/05/89/25/398058925.db2.gz BFPQJVHVSFEVGN-MRXNPFEDSA-N 0 3 246.398 2.829 20 0 BFADHN CC(C)(C)N(CCCC1CCCC1)CC(N)=O ZINC000131251064 398100092 /nfs/dbraw/zinc/10/00/92/398100092.db2.gz ZUZRDVHSFYCPCL-UHFFFAOYSA-N 0 3 240.391 2.543 20 0 BFADHN c1cnc2ccc(CN[C@@H]3CCCOC3)cc2c1 ZINC000069051345 398100518 /nfs/dbraw/zinc/10/05/18/398100518.db2.gz WDZGIAPYQIZHJW-CQSZACIVSA-N 0 3 242.322 2.503 20 0 BFADHN COC[C@@H](N[C@@H](C)C(C)C)c1ccco1 ZINC000122301981 398102413 /nfs/dbraw/zinc/10/24/13/398102413.db2.gz LCYHYCGHSKXMKR-WDEREUQCSA-N 0 3 211.305 2.601 20 0 BFADHN COC[C@H](N[C@H](C)C(C)C)c1ccco1 ZINC000122301328 398102456 /nfs/dbraw/zinc/10/24/56/398102456.db2.gz LCYHYCGHSKXMKR-MNOVXSKESA-N 0 3 211.305 2.601 20 0 BFADHN COC[C@H](N[C@@H](C)C(C)C)c1ccco1 ZINC000122301531 398102462 /nfs/dbraw/zinc/10/24/62/398102462.db2.gz LCYHYCGHSKXMKR-QWRGUYRKSA-N 0 3 211.305 2.601 20 0 BFADHN CC[C@@H](C(=O)OC(C)(C)C)N1CC[C@@H](CC)C1 ZINC000192066701 398105078 /nfs/dbraw/zinc/10/50/78/398105078.db2.gz WETLZIZBNUSNFF-NEPJUHHUSA-N 0 3 241.375 2.839 20 0 BFADHN CCc1csc(NC[C@H]2CCCN2CC)n1 ZINC000061894359 398109152 /nfs/dbraw/zinc/10/91/52/398109152.db2.gz JCNRXEBDCHNZRC-LLVKDONJSA-N 0 3 239.388 2.602 20 0 BFADHN CCOc1ccc(CNC2(C3CCC3)CC2)nc1 ZINC000649808862 398115431 /nfs/dbraw/zinc/11/54/31/398115431.db2.gz SRTJQUIMUHGFBQ-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN CCn1cc(CN(CCC2CC2)C(C)C)cn1 ZINC000649813101 398118838 /nfs/dbraw/zinc/11/88/38/398118838.db2.gz XAGUUYOJJZMXDE-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@H](NCc1cn(C)cn1)[C@H]1CCC[C@H](C)C1 ZINC000649814711 398119139 /nfs/dbraw/zinc/11/91/39/398119139.db2.gz MHXQRZZODQNKBZ-AVGNSLFASA-N 0 3 235.375 2.725 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1ccc(C)o1 ZINC000090725090 398111489 /nfs/dbraw/zinc/11/14/89/398111489.db2.gz CIAXJYBCJCALDT-VHSXEESVSA-N 0 3 213.346 2.990 20 0 BFADHN CCN(Cc1cc(CO)ccc1F)CC1CC1 ZINC000528376542 398123807 /nfs/dbraw/zinc/12/38/07/398123807.db2.gz BCJPHHLSHTUWCX-UHFFFAOYSA-N 0 3 237.318 2.550 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cnc(C)o1 ZINC000397919285 398124306 /nfs/dbraw/zinc/12/43/06/398124306.db2.gz MMTLPYPMYMWTIR-ZYHUDNBSSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1CC=CCC1 ZINC000070125371 398125982 /nfs/dbraw/zinc/12/59/82/398125982.db2.gz OBSLRAMWYIEUKZ-GFCCVEGCSA-N 0 3 220.316 2.737 20 0 BFADHN Cc1noc(C)c1CNC[C@H]1CC=CCC1 ZINC000070125369 398126033 /nfs/dbraw/zinc/12/60/33/398126033.db2.gz OBSLRAMWYIEUKZ-LBPRGKRZSA-N 0 3 220.316 2.737 20 0 BFADHN CC(C)CCN1C[C@H](C)OCC12CCC2 ZINC000649824822 398128162 /nfs/dbraw/zinc/12/81/62/398128162.db2.gz MJTCURIFXUXWTL-LBPRGKRZSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1cc(CNCC2(C(C)C)CC2)on1 ZINC000389723267 398129243 /nfs/dbraw/zinc/12/92/43/398129243.db2.gz PZXVTWRTRPQYHL-UHFFFAOYSA-N 0 3 208.305 2.509 20 0 BFADHN CCC[C@H](CC)N[C@H](C)c1nccn1C ZINC000070008233 398122390 /nfs/dbraw/zinc/12/23/90/398122390.db2.gz OVIYOYBLEJPYLA-MNOVXSKESA-N 0 3 209.337 2.649 20 0 BFADHN CCOC[C@H]1CCN([C@H](C)c2ccccn2)C1 ZINC000246399431 398123041 /nfs/dbraw/zinc/12/30/41/398123041.db2.gz QKDWUCFVGDFSNC-OLZOCXBDSA-N 0 3 234.343 2.501 20 0 BFADHN CC/C=C/CCN1C[C@H](C)OCC12CCC2 ZINC000649821894 398123342 /nfs/dbraw/zinc/12/33/42/398123342.db2.gz TVOQKCXWWZMYCA-IHVVCDCBSA-N 0 3 223.360 2.986 20 0 BFADHN Cc1sccc1CNCCc1nccs1 ZINC000292942945 398132370 /nfs/dbraw/zinc/13/23/70/398132370.db2.gz AHSQMUFSQZNBFP-UHFFFAOYSA-N 0 3 238.381 2.845 20 0 BFADHN CCC[C@@H](O)CNC(C)(C)c1cccc(F)c1 ZINC000295062051 398132529 /nfs/dbraw/zinc/13/25/29/398132529.db2.gz VKPGYWJXDJHLKP-CYBMUJFWSA-N 0 3 239.334 2.811 20 0 BFADHN CCC[C@H](O)CNC(C)(C)c1cccc(F)c1 ZINC000295062055 398132553 /nfs/dbraw/zinc/13/25/53/398132553.db2.gz VKPGYWJXDJHLKP-ZDUSSCGKSA-N 0 3 239.334 2.811 20 0 BFADHN COC[C@H](C)N1CCc2ccccc2[C@@H](C)C1 ZINC000649835112 398132560 /nfs/dbraw/zinc/13/25/60/398132560.db2.gz ZHOQSMQHWDPJLP-STQMWFEESA-N 0 3 233.355 2.683 20 0 BFADHN C[C@H]1CN(Cc2ccon2)CCc2ccccc21 ZINC000649834094 398133861 /nfs/dbraw/zinc/13/38/61/398133861.db2.gz CFXPNKMNEKSFAE-LBPRGKRZSA-N 0 3 242.322 2.836 20 0 BFADHN CO[C@@H](C)CN1CCc2ccccc2[C@@H](C)C1 ZINC000649835309 398133920 /nfs/dbraw/zinc/13/39/20/398133920.db2.gz FXDXIOHNEKALIW-STQMWFEESA-N 0 3 233.355 2.683 20 0 BFADHN C[C@H]1CC[C@H](CNCc2ccc(Cl)o2)O1 ZINC000398190042 322865935 /nfs/dbraw/zinc/86/59/35/322865935.db2.gz WJYMHTDLYARFLM-DTWKUNHWSA-N 0 3 229.707 2.590 20 0 BFADHN COC[C@H](NCc1ccc(F)cc1F)C(C)C ZINC000086697163 322869061 /nfs/dbraw/zinc/86/90/61/322869061.db2.gz XFURLPMOTIYEOC-ZDUSSCGKSA-N 0 3 243.297 2.725 20 0 BFADHN C[C@@H](NCc1nc2ccccc2n1C)C1CCC1 ZINC000119643890 487559252 /nfs/dbraw/zinc/55/92/52/487559252.db2.gz ZMHICTCRPQRGIG-LLVKDONJSA-N 0 3 243.354 2.852 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@H](C3CC3)C2)o1 ZINC000685585992 487559562 /nfs/dbraw/zinc/55/95/62/487559562.db2.gz ZTEOEQFNURKKOE-CYBMUJFWSA-N 0 3 234.343 2.913 20 0 BFADHN CC[C@H](CNCc1cc2ccccc2[nH]1)OC ZINC000685631920 487562673 /nfs/dbraw/zinc/56/26/73/487562673.db2.gz GIACVSJRWGCHTJ-CYBMUJFWSA-N 0 3 232.327 2.683 20 0 BFADHN CCC[C@H](NCc1[nH]ncc1C)c1ccccn1 ZINC000685615201 487563566 /nfs/dbraw/zinc/56/35/66/487563566.db2.gz XMSUAKWNMYUTSR-LBPRGKRZSA-N 0 3 244.342 2.744 20 0 BFADHN CN(Cc1coc2ccccc12)C1(CO)CCC1 ZINC000668802010 487565030 /nfs/dbraw/zinc/56/50/30/487565030.db2.gz CTRJVYIKDJWUBD-UHFFFAOYSA-N 0 3 245.322 2.780 20 0 BFADHN FC1(CN[C@@H]2CCc3ccccc32)CC1 ZINC000308860802 487565455 /nfs/dbraw/zinc/56/54/55/487565455.db2.gz BFHDAUCWYXVXSA-GFCCVEGCSA-N 0 3 205.276 2.766 20 0 BFADHN CC(C)OC1CC(NCc2cccc(F)c2)C1 ZINC000185853048 167176809 /nfs/dbraw/zinc/17/68/09/167176809.db2.gz OKWZRXIUCZTHFC-UHFFFAOYSA-N 0 3 237.318 2.871 20 0 BFADHN Cc1cccc([C@H]2CCN(Cc3cn[nH]c3)C2)c1 ZINC000680334284 487567163 /nfs/dbraw/zinc/56/71/63/487567163.db2.gz MHUOCNUHSZKMGY-HNNXBMFYSA-N 0 3 241.338 2.708 20 0 BFADHN CCOc1ccc(CN2CCCC[C@H]2C)nc1 ZINC000674387151 487568165 /nfs/dbraw/zinc/56/81/65/487568165.db2.gz CGXUQVHHYBOIBF-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN CN(C)Cc1cccc(NCC2CCOCC2)c1 ZINC000052176755 487568171 /nfs/dbraw/zinc/56/81/71/487568171.db2.gz PDPJIQUGLHPIAG-UHFFFAOYSA-N 0 3 248.370 2.587 20 0 BFADHN CCc1ccc(C(C)(C)NCc2cn[nH]c2)cc1 ZINC000674376102 487568303 /nfs/dbraw/zinc/56/83/03/487568303.db2.gz JKIIKTJABOAYPG-UHFFFAOYSA-N 0 3 243.354 2.997 20 0 BFADHN Cc1cccc(CN2CC(C3CCOCC3)C2)c1 ZINC000669715738 487568423 /nfs/dbraw/zinc/56/84/23/487568423.db2.gz HWPYNNGTAQMJIQ-UHFFFAOYSA-N 0 3 245.366 2.853 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H]1CCCn2ccnc21 ZINC000655705354 487570951 /nfs/dbraw/zinc/57/09/51/487570951.db2.gz GPKHSXCFRWLLNP-SDDRHHMPSA-N 0 3 221.348 2.742 20 0 BFADHN CC(C)OC1CCN(CCc2ccncc2)CC1 ZINC000530926270 322899008 /nfs/dbraw/zinc/89/90/08/322899008.db2.gz SFTPEGHNDUMARF-UHFFFAOYSA-N 0 3 248.370 2.514 20 0 BFADHN CC(C)OCCCCN[C@@H](C)c1nccs1 ZINC000134825768 167206782 /nfs/dbraw/zinc/20/67/82/167206782.db2.gz ZUHHRVKKGQONPK-NSHDSACASA-N 0 3 242.388 2.999 20 0 BFADHN CC(C)OCCCN(C)Cc1cccs1 ZINC000264080379 167207981 /nfs/dbraw/zinc/20/79/81/167207981.db2.gz ZKSGSDWYIJMSDJ-UHFFFAOYSA-N 0 3 227.373 2.995 20 0 BFADHN C[C@H](Cc1ccccc1)CN(C)Cc1cn[nH]c1 ZINC000680369810 487576247 /nfs/dbraw/zinc/57/62/47/487576247.db2.gz WIJYQQVOXUNSPO-CYBMUJFWSA-N 0 3 243.354 2.720 20 0 BFADHN C(N[C@H]1[C@@H]2CCC[C@@H]21)c1nc2c(s1)CCC2 ZINC000393743898 487578747 /nfs/dbraw/zinc/57/87/47/487578747.db2.gz DSZYIUQNWWCYQF-WOFXILAISA-N 0 3 234.368 2.520 20 0 BFADHN CCc1csc(CC2CCN(CC)CC2)n1 ZINC000653802961 487580965 /nfs/dbraw/zinc/58/09/65/487580965.db2.gz WPBJDPVVAMUKRJ-UHFFFAOYSA-N 0 3 238.400 2.980 20 0 BFADHN CC(C)OCCN1CCC[C@@H]1c1cccn1C ZINC000071122769 167231436 /nfs/dbraw/zinc/23/14/36/167231436.db2.gz XAAYQSYVWAEFCM-CQSZACIVSA-N 0 3 236.359 2.587 20 0 BFADHN C[C@@]1(F)CCN(CC2Cc3ccccc3C2)C1 ZINC000685839057 487585271 /nfs/dbraw/zinc/58/52/71/487585271.db2.gz SXDVZQIHYLTINL-OAHLLOKOSA-N 0 3 233.330 2.835 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1CS[C@@H](C)C1 ZINC000086936414 487587566 /nfs/dbraw/zinc/58/75/66/487587566.db2.gz SQRZYMBTUHYNHA-LAEOZQHASA-N 0 3 240.372 2.836 20 0 BFADHN CCOCCN(C)Cc1cc2ccccc2[nH]1 ZINC000674505452 487590082 /nfs/dbraw/zinc/59/00/82/487590082.db2.gz HQUWBRNQBMKYNE-UHFFFAOYSA-N 0 3 232.327 2.636 20 0 BFADHN COc1cccc(CNCCC(C)C)c1F ZINC000160460532 487597136 /nfs/dbraw/zinc/59/71/36/487597136.db2.gz YAXREYLMFVFAQA-UHFFFAOYSA-N 0 3 225.307 2.970 20 0 BFADHN Cn1nc(CN[C@@H]2CCC2(C)C)c2ccccc21 ZINC000310919178 487598399 /nfs/dbraw/zinc/59/83/99/487598399.db2.gz JJXPLWMOVVFGIA-CQSZACIVSA-N 0 3 243.354 2.852 20 0 BFADHN Cn1nc(CN[C@H]2CCC2(C)C)c2ccccc21 ZINC000310919177 487598496 /nfs/dbraw/zinc/59/84/96/487598496.db2.gz JJXPLWMOVVFGIA-AWEZNQCLSA-N 0 3 243.354 2.852 20 0 BFADHN CCC[C@@H](C)CN(C)Cc1ccc(OC)nc1 ZINC000680481253 487603824 /nfs/dbraw/zinc/60/38/24/487603824.db2.gz ZUMJAUJSMQAZJS-GFCCVEGCSA-N 0 3 236.359 2.958 20 0 BFADHN CC(C)NCC(=O)N1CC[C@@H](C)c2ccccc21 ZINC000306075637 487606599 /nfs/dbraw/zinc/60/65/99/487606599.db2.gz FXAFPVJSNHQEOZ-GFCCVEGCSA-N 0 3 246.354 2.525 20 0 BFADHN CCC(CC)(CC)CNC(=O)C1(N)CCCC1 ZINC000178198371 487607163 /nfs/dbraw/zinc/60/71/63/487607163.db2.gz FFVIAAALQOGAPH-UHFFFAOYSA-N 0 3 240.391 2.591 20 0 BFADHN CC(C)SCCN(C)[C@@H](C)c1cnn(C)c1 ZINC000338289674 167324335 /nfs/dbraw/zinc/32/43/35/167324335.db2.gz JOUKSVIQBDYNPK-NSHDSACASA-N 0 3 241.404 2.555 20 0 BFADHN CCC[C@H](NC(=O)[C@H](C)NCC)c1ccccc1 ZINC000674715434 487608314 /nfs/dbraw/zinc/60/83/14/487608314.db2.gz YLCRYWNXDIBRMI-JSGCOSHPSA-N 0 3 248.370 2.642 20 0 BFADHN CO[C@H](CN(C)Cc1ccc(C)cn1)C1CCC1 ZINC000660684646 409548496 /nfs/dbraw/zinc/54/84/96/409548496.db2.gz YEHOPJPIUOZQMG-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1ccc(CN(C)CC2CC=CC2)nc1 ZINC000660684619 409549384 /nfs/dbraw/zinc/54/93/84/409549384.db2.gz XGIJQQBUEHBWFF-UHFFFAOYSA-N 0 3 216.328 2.788 20 0 BFADHN CC(C)SCCNCc1cccc(O)c1 ZINC000289930913 167330776 /nfs/dbraw/zinc/33/07/76/167330776.db2.gz AKACAVVIVFVPEV-UHFFFAOYSA-N 0 3 225.357 2.623 20 0 BFADHN CC1(C)C[C@]1(NCc1cnc[nH]1)c1ccccc1 ZINC000662340111 409650286 /nfs/dbraw/zinc/65/02/86/409650286.db2.gz IDXOFEPNGDTJMN-HNNXBMFYSA-N 0 3 241.338 2.825 20 0 BFADHN CC(C)n1nccc1CN(C)C[C@@H]1CC1(C)C ZINC000662345421 409659790 /nfs/dbraw/zinc/65/97/90/409659790.db2.gz YJPLGVNOEKSFPG-LBPRGKRZSA-N 0 3 235.375 2.942 20 0 BFADHN COc1cncc(CN(C)C[C@H]2CC2(C)C)c1 ZINC000662345467 409661034 /nfs/dbraw/zinc/66/10/34/409661034.db2.gz YWZHCEGXAYTRAV-GFCCVEGCSA-N 0 3 234.343 2.568 20 0 BFADHN CC(C)C[C@@H](C)N1CCO[C@@](C)(C(F)F)C1 ZINC000662369643 409678400 /nfs/dbraw/zinc/67/84/00/409678400.db2.gz VTIXVLCDCQYAEB-ZYHUDNBSSA-N 0 3 235.318 2.777 20 0 BFADHN CC(C)C[C@@H](C)N1CCO[C@](C)(C(F)F)C1 ZINC000662369642 409678604 /nfs/dbraw/zinc/67/86/04/409678604.db2.gz VTIXVLCDCQYAEB-PWSUYJOCSA-N 0 3 235.318 2.777 20 0 BFADHN C[C@]1(NCc2ccncc2F)CC=CCC1 ZINC000335240352 487610814 /nfs/dbraw/zinc/61/08/14/487610814.db2.gz MBEZSRLZOTYBIB-ZDUSSCGKSA-N 0 3 220.291 2.809 20 0 BFADHN CCC(C)(C)OCCNCc1ccc(C)nc1 ZINC000308536816 409789287 /nfs/dbraw/zinc/78/92/87/409789287.db2.gz FQCFOVABAKCTOM-UHFFFAOYSA-N 0 3 236.359 2.685 20 0 BFADHN CC[C@H](NCc1cc(OC)no1)[C@H]1CC1(C)C ZINC000660824744 409800029 /nfs/dbraw/zinc/80/00/29/409800029.db2.gz QJFWOUBVJDDYNX-MNOVXSKESA-N 0 3 238.331 2.598 20 0 BFADHN C/C=C\CN[C@H](C)c1ccccc1OC ZINC000308772721 409901765 /nfs/dbraw/zinc/90/17/65/409901765.db2.gz AIUXIGIOBHRWFY-DOGVGXBMSA-N 0 3 205.301 2.922 20 0 BFADHN CCc1ccc(CN[C@@H]2CCS[C@@H]2C)o1 ZINC000308936771 409951188 /nfs/dbraw/zinc/95/11/88/409951188.db2.gz OKRVCJJAZLFIRK-BXKDBHETSA-N 0 3 225.357 2.826 20 0 BFADHN C[C@@H]1CN(Cc2cn[nH]c2)CCc2ccccc21 ZINC000651977175 409978678 /nfs/dbraw/zinc/97/86/78/409978678.db2.gz XPNTXPBSPOQBBC-GFCCVEGCSA-N 0 3 241.338 2.572 20 0 BFADHN CC[C@@H](O)CN(C)[C@@H](C)c1ccc(F)cc1 ZINC000075586854 410051644 /nfs/dbraw/zinc/05/16/44/410051644.db2.gz LRUOCMJLPXXUAE-GXFFZTMASA-N 0 3 225.307 2.589 20 0 BFADHN CC[C@@H](O)CN(Cc1ccc(F)cc1)C1CC1 ZINC000075586681 410051856 /nfs/dbraw/zinc/05/18/56/410051856.db2.gz MJQPMMUWKXBZPS-CQSZACIVSA-N 0 3 237.318 2.561 20 0 BFADHN CCc1ccncc1NC1CCN(C2CC2)CC1 ZINC000651979976 410115931 /nfs/dbraw/zinc/11/59/31/410115931.db2.gz UKLRFEWDHVTQFB-UHFFFAOYSA-N 0 3 245.370 2.683 20 0 BFADHN Cc1ccc(CN[C@@H](C)COCC2CC2)cc1 ZINC000651981614 410121658 /nfs/dbraw/zinc/12/16/58/410121658.db2.gz UULKLSSVEXDFIU-ZDUSSCGKSA-N 0 3 233.355 2.900 20 0 BFADHN CCc1ccc(CN[C@@H](C)COCC2CC2)o1 ZINC000651982148 410123374 /nfs/dbraw/zinc/12/33/74/410123374.db2.gz NPSZJXWFYJHKRD-NSHDSACASA-N 0 3 237.343 2.747 20 0 BFADHN CC(C)=CCCn1cc2c(n1)[C@@H](N)CCC2 ZINC000663337419 410139233 /nfs/dbraw/zinc/13/92/33/410139233.db2.gz XDHFBXLLHLVRKN-LBPRGKRZSA-N 0 3 219.332 2.576 20 0 BFADHN Cc1cc(NC(=O)[C@@H]2C[C@@H]2C)ccc1CN(C)C ZINC000655433613 410253917 /nfs/dbraw/zinc/25/39/17/410253917.db2.gz GZINFRDIAPHZNG-SMDDNHRTSA-N 0 3 246.354 2.651 20 0 BFADHN CCOCCN[C@H](c1ncccc1C)C(C)C ZINC000266025496 487615216 /nfs/dbraw/zinc/61/52/16/487615216.db2.gz YLWVUSXITRYIHL-ZDUSSCGKSA-N 0 3 236.359 2.713 20 0 BFADHN CCN(CCC(F)(F)F)C[C@H]1C[C@@H]1C ZINC000683111104 487615441 /nfs/dbraw/zinc/61/54/41/487615441.db2.gz QKEUXSNEIVOLLR-DTWKUNHWSA-N 0 3 209.255 2.917 20 0 BFADHN C(=C\c1ccccc1)\CNCc1cncs1 ZINC000336680343 166228242 /nfs/dbraw/zinc/22/82/42/166228242.db2.gz JFKDNLSXAVOTPK-DAXSKMNVSA-N 0 3 230.336 2.946 20 0 BFADHN C(=C\c1ccncc1)\CNCc1cccs1 ZINC000309706707 166228674 /nfs/dbraw/zinc/22/86/74/166228674.db2.gz VPNBPYKAQHNZNU-IWQZZHSRSA-N 0 3 230.336 2.946 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]2C[C@H]2C1)c1cscn1 ZINC000661415977 410630506 /nfs/dbraw/zinc/63/05/06/410630506.db2.gz VMGKDUKSMYUGGQ-MMWGEVLESA-N 0 3 222.357 2.840 20 0 BFADHN Cc1ccc(-c2nc3c(s2)CNCC3)s1 ZINC000053335825 410715489 /nfs/dbraw/zinc/71/54/89/410715489.db2.gz KNHGQMIMAVHRCX-UHFFFAOYSA-N 0 3 236.365 2.826 20 0 BFADHN CC(C)C1(N[C@H]2C[C@@H](C)n3ccnc32)CC1 ZINC000336235722 166754524 /nfs/dbraw/zinc/75/45/24/166754524.db2.gz QWPQGTBKPFOEHW-MNOVXSKESA-N 0 3 219.332 2.667 20 0 BFADHN Cc1cnc(CNC[C@H]2Cc3ccccc32)s1 ZINC000394739347 410819818 /nfs/dbraw/zinc/81/98/18/410819818.db2.gz WRQPQKDXLHYAIO-GFCCVEGCSA-N 0 3 244.363 2.881 20 0 BFADHN CC(C)CNCc1csc(-c2ccccn2)n1 ZINC000035054570 167009735 /nfs/dbraw/zinc/00/97/35/167009735.db2.gz ARKOAASDHMOXOK-UHFFFAOYSA-N 0 3 247.367 2.951 20 0 BFADHN CC(C)CNCc1cnc([C@H]2CCCO2)s1 ZINC000082416810 167010397 /nfs/dbraw/zinc/01/03/97/167010397.db2.gz DAVMPCAPPMRNAT-LLVKDONJSA-N 0 3 240.372 2.740 20 0 BFADHN CC(C)COC1CCN(Cc2ccncc2)CC1 ZINC000130294500 167022321 /nfs/dbraw/zinc/02/23/21/167022321.db2.gz AUHZZOKTAGOJOL-UHFFFAOYSA-N 0 3 248.370 2.719 20 0 BFADHN CC(C)COC1CCN(Cc2cccnc2)CC1 ZINC000077396634 167023591 /nfs/dbraw/zinc/02/35/91/167023591.db2.gz VBFCPUQZBOMVAE-UHFFFAOYSA-N 0 3 248.370 2.719 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1cc(C)ncn1 ZINC000651280131 410899015 /nfs/dbraw/zinc/89/90/15/410899015.db2.gz PBBDAWQQPKCNHX-NSHDSACASA-N 0 3 221.348 2.699 20 0 BFADHN Cn1nccc1CN1CC[C@@H](C2CCCCC2)C1 ZINC000661578629 410910271 /nfs/dbraw/zinc/91/02/71/410910271.db2.gz QPSRLFPGMNQLRM-CQSZACIVSA-N 0 3 247.386 2.822 20 0 BFADHN CC(C)NCc1nc2c(s1)C[C@@H](C)CC2 ZINC000053295501 167120317 /nfs/dbraw/zinc/12/03/17/167120317.db2.gz ZGXVMYAVMWKVHF-VIFPVBQESA-N 0 3 224.373 2.766 20 0 BFADHN CC(C)NCc1coc(-c2ccccc2)n1 ZINC000082239132 167120324 /nfs/dbraw/zinc/12/03/24/167120324.db2.gz POKJLSXEVDMSQC-UHFFFAOYSA-N 0 3 216.284 2.840 20 0 BFADHN CC(C)NCc1cnc(-c2ccccn2)s1 ZINC000040996710 167121455 /nfs/dbraw/zinc/12/14/55/167121455.db2.gz ACAGFXJGSLWWDP-UHFFFAOYSA-N 0 3 233.340 2.703 20 0 BFADHN CC(C)N[C@H](c1cnn(C)c1)c1ccccc1 ZINC000369906650 167134834 /nfs/dbraw/zinc/13/48/34/167134834.db2.gz BDYATKZUQAEHHT-AWEZNQCLSA-N 0 3 229.327 2.508 20 0 BFADHN CC(C)N[C@H](C)c1ccc(Br)cn1 ZINC000279822518 167138528 /nfs/dbraw/zinc/13/85/28/167138528.db2.gz POHHYQYYHGKEGK-MRVPVSSYSA-N 0 3 243.148 2.903 20 0 BFADHN c1cc(CN2CC[C@@H](C3CCCCC3)C2)n[nH]1 ZINC000661578185 410908892 /nfs/dbraw/zinc/90/88/92/410908892.db2.gz JEEHZFIBBWOAKM-CYBMUJFWSA-N 0 3 233.359 2.812 20 0 BFADHN CC(C)OCCCN(Cc1cccnc1)C1CC1 ZINC000119757647 167211971 /nfs/dbraw/zinc/21/19/71/167211971.db2.gz YFBCNYJXVJHWAW-UHFFFAOYSA-N 0 3 248.370 2.861 20 0 BFADHN CC(C)OCCN1Cc2ccccc2O[C@H](C)C1 ZINC000292441041 167238076 /nfs/dbraw/zinc/23/80/76/167238076.db2.gz OKZUZWPYXXQODA-CYBMUJFWSA-N 0 3 249.354 2.695 20 0 BFADHN CC(C)OCCNCc1cc(Cl)cs1 ZINC000158940936 167240975 /nfs/dbraw/zinc/24/09/75/167240975.db2.gz WFANZQXWDROLFZ-UHFFFAOYSA-N 0 3 233.764 2.916 20 0 BFADHN CC(C)OCCN[C@@H](C)c1ccccc1 ZINC000042221627 167244768 /nfs/dbraw/zinc/24/47/68/167244768.db2.gz SATZPYPBEPTNRR-LBPRGKRZSA-N 0 3 207.317 2.762 20 0 BFADHN CC(C)OCCN[C@@H]1CCO[C@H]1c1ccccc1 ZINC000191927852 167245378 /nfs/dbraw/zinc/24/53/78/167245378.db2.gz ZUMFHDXUKRRIBW-CABCVRRESA-N 0 3 249.354 2.531 20 0 BFADHN CCCCN(C)CCNC(=O)C(C)(C)CCC ZINC000651321578 410918546 /nfs/dbraw/zinc/91/85/46/410918546.db2.gz KIXHHXYLEDFETI-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CC(C)O[C@@H]1C[C@@H](NCc2ccncc2)C1(C)C ZINC000274241078 167281983 /nfs/dbraw/zinc/28/19/83/167281983.db2.gz UBEYNGKGARNLFB-ZIAGYGMSSA-N 0 3 248.370 2.763 20 0 BFADHN CO[C@@H]1CCCN(Cc2cc(F)ccc2C)C1 ZINC000179939518 410911527 /nfs/dbraw/zinc/91/15/27/410911527.db2.gz JISCGMQMZVSBMX-CQSZACIVSA-N 0 3 237.318 2.745 20 0 BFADHN Cn1nccc1CN1CC[C@H](C2CCCCC2)C1 ZINC000661578628 410911846 /nfs/dbraw/zinc/91/18/46/410911846.db2.gz QPSRLFPGMNQLRM-AWEZNQCLSA-N 0 3 247.386 2.822 20 0 BFADHN CC(C)Oc1ccc(CN[C@@]2(C)CCOC2)cc1 ZINC000120149424 167293662 /nfs/dbraw/zinc/29/36/62/167293662.db2.gz BHNMZMYHLHKHHK-HNNXBMFYSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)Oc1cccc(CN[C@H]2CCO[C@@H]2C)c1 ZINC000135303756 167306967 /nfs/dbraw/zinc/30/69/67/167306967.db2.gz OQKMROKGQZLCKV-DOMZBBRYSA-N 0 3 249.354 2.741 20 0 BFADHN CC(C)Oc1cccc([C@@H](C)N[C@H]2CCOC2)c1 ZINC000104060881 167307671 /nfs/dbraw/zinc/30/76/71/167307671.db2.gz DKRSTGLGDYNPJP-OCCSQVGLSA-N 0 3 249.354 2.913 20 0 BFADHN CC(C)Oc1ccccc1CN[C@H]1CCO[C@H]1C ZINC000120379654 167310497 /nfs/dbraw/zinc/31/04/97/167310497.db2.gz UJRDOCGIXYMFRV-JSGCOSHPSA-N 0 3 249.354 2.741 20 0 BFADHN CC(C)Oc1ccccc1CN[C@@H]1CCO[C@@H]1C ZINC000120379176 167310787 /nfs/dbraw/zinc/31/07/87/167310787.db2.gz UJRDOCGIXYMFRV-TZMCWYRMSA-N 0 3 249.354 2.741 20 0 BFADHN CC(C)SCCNCc1cn2ccccc2n1 ZINC000290239870 167332681 /nfs/dbraw/zinc/33/26/81/167332681.db2.gz ZJJAYGLDUSFYSY-UHFFFAOYSA-N 0 3 249.383 2.566 20 0 BFADHN CC(C)[C@@H](C)NCc1noc2c1CCCC2 ZINC000309374336 167384735 /nfs/dbraw/zinc/38/47/35/167384735.db2.gz QYYKYPXRVGSBCS-SNVBAGLBSA-N 0 3 222.332 2.688 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1csc(C)c1 ZINC000651337878 410924205 /nfs/dbraw/zinc/92/42/05/410924205.db2.gz CRWNVXPWBXOGMF-ADEWGFFLSA-N 0 3 225.357 2.885 20 0 BFADHN C[C@@H](CNCc1cccc(O)c1)C(F)(F)F ZINC000309362209 410929321 /nfs/dbraw/zinc/92/93/21/410929321.db2.gz OXEWDAZYWPJWEH-QMMMGPOBSA-N 0 3 233.233 2.680 20 0 BFADHN Cc1nocc1CN[C@H](C)Cc1ccc(F)cc1 ZINC000397850221 410936088 /nfs/dbraw/zinc/93/60/88/410936088.db2.gz GTQNUFAVQHHZSE-SNVBAGLBSA-N 0 3 248.301 2.843 20 0 BFADHN CC(C)[C@@H](NCCO)c1cc2ccccc2o1 ZINC000341875889 167431516 /nfs/dbraw/zinc/43/15/16/167431516.db2.gz LAMVMXRVJWJUHH-CQSZACIVSA-N 0 3 233.311 2.712 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCOC2(C)C)c(C)n1 ZINC000651397313 410978292 /nfs/dbraw/zinc/97/82/92/410978292.db2.gz GUFBEUMRZZHRJP-JSGCOSHPSA-N 0 3 248.370 2.917 20 0 BFADHN C[C@@H](C(=O)Nc1cccc(CN)c1)C(C)(C)C ZINC000399281577 411031083 /nfs/dbraw/zinc/03/10/83/411031083.db2.gz UNDLBJBJHIEFEX-JTQLQIEISA-N 0 3 234.343 2.766 20 0 BFADHN OCC1(CCNCc2ccc(Cl)o2)CCC1 ZINC000652036073 411084879 /nfs/dbraw/zinc/08/48/79/411084879.db2.gz SVDKLFGZZBQJLE-UHFFFAOYSA-N 0 3 243.734 2.575 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2cc3ccccc3[nH]2)O1 ZINC000651621365 411131143 /nfs/dbraw/zinc/13/11/43/411131143.db2.gz DRMWBTDMBKNCAW-RISCZKNCSA-N 0 3 244.338 2.825 20 0 BFADHN CCc1cccc(CN2CC([C@@H]3CCOC3)C2)c1 ZINC000651791135 411144082 /nfs/dbraw/zinc/14/40/82/411144082.db2.gz ZTPFKWDBUYCICI-OAHLLOKOSA-N 0 3 245.366 2.717 20 0 BFADHN CC/C=C/CNCc1cc2cnccc2o1 ZINC000651821292 411145931 /nfs/dbraw/zinc/14/59/31/411145931.db2.gz KLUFIFBBVPUKJI-ONEGZZNKSA-N 0 3 216.284 2.884 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1cc2cnccc2o1 ZINC000651845979 411147350 /nfs/dbraw/zinc/14/73/50/411147350.db2.gz BSJAPIPCQVCKPK-CABZTGNLSA-N 0 3 248.351 2.811 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1cc2cnccc2o1 ZINC000651845978 411147385 /nfs/dbraw/zinc/14/73/85/411147385.db2.gz BSJAPIPCQVCKPK-BXKDBHETSA-N 0 3 248.351 2.811 20 0 BFADHN CCc1nocc1CN1CCC12CCCC2 ZINC000651873201 411148330 /nfs/dbraw/zinc/14/83/30/411148330.db2.gz WURRGMFIKPYWEV-UHFFFAOYSA-N 0 3 220.316 2.756 20 0 BFADHN CC(C)n1cc(CN2CCC23CCCC3)cn1 ZINC000651873403 411148353 /nfs/dbraw/zinc/14/83/53/411148353.db2.gz FJGNQUASFJBWQJ-UHFFFAOYSA-N 0 3 233.359 2.983 20 0 BFADHN c1cc(CNC[C@H]2CCC3(CCCC3)O2)c[nH]1 ZINC000655863572 411151800 /nfs/dbraw/zinc/15/18/00/411151800.db2.gz NIQRFGXZRQDACM-CYBMUJFWSA-N 0 3 234.343 2.596 20 0 BFADHN C[C@@H]1CCN(Cc2cc(C3CC3)no2)[C@@H]1C ZINC000659984497 411155554 /nfs/dbraw/zinc/15/55/54/411155554.db2.gz MISXYYUDGYFYGO-NXEZZACHSA-N 0 3 220.316 2.782 20 0 BFADHN C[C@H]1CCN(Cc2cc(C3CC3)no2)[C@H]1C ZINC000659984498 411155834 /nfs/dbraw/zinc/15/58/34/411155834.db2.gz MISXYYUDGYFYGO-UWVGGRQHSA-N 0 3 220.316 2.782 20 0 BFADHN Cc1cnc(CN[C@H]2CCCSCC2)s1 ZINC000657893247 411156935 /nfs/dbraw/zinc/15/69/35/411156935.db2.gz QTJHFTJBWBAGDE-JTQLQIEISA-N 0 3 242.413 2.827 20 0 BFADHN CCc1ccc(CN(CC)[C@H]2CCCOC2)o1 ZINC000651948096 411160049 /nfs/dbraw/zinc/16/00/49/411160049.db2.gz CCZYMKCDJFISBZ-LBPRGKRZSA-N 0 3 237.343 2.843 20 0 BFADHN CCN(Cc1ccc(C)o1)[C@H]1CCCOC1 ZINC000651948301 411160154 /nfs/dbraw/zinc/16/01/54/411160154.db2.gz IDIPRDYGUZYRBI-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN Cc1cc(CN2CC[C@H](C(C)C)C2)nc(C)n1 ZINC000659995940 411160727 /nfs/dbraw/zinc/16/07/27/411160727.db2.gz IVBSGEZEKVUCRG-ZDUSSCGKSA-N 0 3 233.359 2.571 20 0 BFADHN Fc1cnccc1CNC1CC2(CCC2)C1 ZINC000652042294 411092508 /nfs/dbraw/zinc/09/25/08/411092508.db2.gz XHWMAINZEMEDNS-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN CSc1ccc(CN(C)[C@@H](C)CCO)s1 ZINC000652049186 411095715 /nfs/dbraw/zinc/09/57/15/411095715.db2.gz BEOWINCUDXFPEE-VIFPVBQESA-N 0 3 245.413 2.673 20 0 BFADHN Cc1cc(CN(C)[C@H](C)CCO)ccc1Cl ZINC000652048455 411099600 /nfs/dbraw/zinc/09/96/00/411099600.db2.gz NCZKRGINOXHCIQ-LLVKDONJSA-N 0 3 241.762 2.851 20 0 BFADHN Cc1nc(CN2CC[C@H](C(C)C)C2)c(C)o1 ZINC000659997647 411161541 /nfs/dbraw/zinc/16/15/41/411161541.db2.gz UTKMSQGWWYZWAV-LBPRGKRZSA-N 0 3 222.332 2.769 20 0 BFADHN C[C@@H](Cc1ccoc1)N[C@H]1CCCn2nccc21 ZINC000657905454 411162424 /nfs/dbraw/zinc/16/24/24/411162424.db2.gz YXHMACUPTRDUMS-AAEUAGOBSA-N 0 3 245.326 2.532 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1ccn(C)n1 ZINC000652240471 411178753 /nfs/dbraw/zinc/17/87/53/411178753.db2.gz CPMUOKBYKMAWBR-NTZNESFSSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H](N[C@@H]1C[C@H]1CC(C)(C)C)c1ccnn1C ZINC000652241161 411179104 /nfs/dbraw/zinc/17/91/04/411179104.db2.gz XXMHECRURBUIEN-GRYCIOLGSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@@H](NCCC[C@H]1CCOC1)c1cscn1 ZINC000401946338 411181201 /nfs/dbraw/zinc/18/12/01/411181201.db2.gz WHMQJNDBQSLTQB-MNOVXSKESA-N 0 3 240.372 2.610 20 0 BFADHN CCCN(Cc1cc2n(n1)CCCC2)C(C)C ZINC000653799129 411183853 /nfs/dbraw/zinc/18/38/53/411183853.db2.gz NHIWDEPGHXVBCF-UHFFFAOYSA-N 0 3 235.375 2.840 20 0 BFADHN Clc1cscc1CNCCCN1CCC1 ZINC000655754502 411184938 /nfs/dbraw/zinc/18/49/38/411184938.db2.gz YDRPZADXUYGQRD-UHFFFAOYSA-N 0 3 244.791 2.587 20 0 BFADHN C[C@@H](N[C@@H](C)COCC1CC1)c1ccoc1 ZINC000652285951 411187746 /nfs/dbraw/zinc/18/77/46/411187746.db2.gz IQDBPFUCRDAMPI-WDEREUQCSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@H](C)COCC1CC1 ZINC000652286909 411188469 /nfs/dbraw/zinc/18/84/69/411188469.db2.gz IYTLVGXVAYPBNK-CHWSQXEVSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@H](N[C@H]1C[C@H](C)n2ncnc21)C1CCCCC1 ZINC000658097826 411244168 /nfs/dbraw/zinc/24/41/68/411244168.db2.gz JOVZNFGSBRCSIP-GVXVVHGQSA-N 0 3 248.374 2.842 20 0 BFADHN CCCC(CCC)N[C@@H]1C[C@@H](C)n2ncnc21 ZINC000658098025 411244261 /nfs/dbraw/zinc/24/42/61/411244261.db2.gz LKZGDFBPRSDJTQ-ZYHUDNBSSA-N 0 3 236.363 2.842 20 0 BFADHN CC(C)C1CC(N[C@@H]2CCCn3nccc32)C1 ZINC000657991281 411191677 /nfs/dbraw/zinc/19/16/77/411191677.db2.gz UIGWRYIYAUGXHK-WXRRBKDZSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H](c1cccc(F)c1)N1C[C@H]2COC[C@@]2(C)C1 ZINC000661700102 411192427 /nfs/dbraw/zinc/19/24/27/411192427.db2.gz IPKMTAXHESTDKM-OSAQELSMSA-N 0 3 249.329 2.855 20 0 BFADHN Cc1ccc(F)cc1CN1C[C@@H]2COC[C@@]2(C)C1 ZINC000661700847 411196681 /nfs/dbraw/zinc/19/66/81/411196681.db2.gz HSOSPCHCXTUCFA-UKRRQHHQSA-N 0 3 249.329 2.602 20 0 BFADHN CCCC[C@H](CC)N[C@@H]1C[C@@H](C)n2ncnc21 ZINC000658099223 411244868 /nfs/dbraw/zinc/24/48/68/411244868.db2.gz VRHHLQPKRRCOCE-GRYCIOLGSA-N 0 3 236.363 2.842 20 0 BFADHN Cc1ccc([C@@H](C)NCCC2(CO)CCC2)o1 ZINC000652310007 411197812 /nfs/dbraw/zinc/19/78/12/411197812.db2.gz SPKOJKSARLGZEN-GFCCVEGCSA-N 0 3 237.343 2.791 20 0 BFADHN Cc1csc(CN[C@@H]2CCCn3nccc32)c1 ZINC000658026654 411203578 /nfs/dbraw/zinc/20/35/78/411203578.db2.gz GZMCNRBIDPLCKD-GFCCVEGCSA-N 0 3 247.367 2.878 20 0 BFADHN CC[C@H](N[C@H](C)c1cccnc1)[C@@H]1CCCO1 ZINC000246275613 411204340 /nfs/dbraw/zinc/20/43/40/411204340.db2.gz BASCHXHFNWWBCU-XBFCOCLRSA-N 0 3 234.343 2.690 20 0 BFADHN c1nc(C2CC2)ncc1CN1CCC[C@@H]1C1CC1 ZINC000656433228 411245451 /nfs/dbraw/zinc/24/54/51/411245451.db2.gz SPRMWKBOFHWVGO-CQSZACIVSA-N 0 3 243.354 2.728 20 0 BFADHN CC[C@@H](NCc1cncc(C)c1)[C@@H]1CCCCO1 ZINC000653875596 411205701 /nfs/dbraw/zinc/20/57/01/411205701.db2.gz WZLWYKMVVBWRKM-CABCVRRESA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@@H](NCc1ccncc1)[C@H]1CCCCO1 ZINC000653876505 411205714 /nfs/dbraw/zinc/20/57/14/411205714.db2.gz GJTWNUQSOXYBOB-ZIAGYGMSSA-N 0 3 234.343 2.519 20 0 BFADHN CC[C@H](C)N(C)Cc1cc(C2CC2)no1 ZINC000660063287 411205830 /nfs/dbraw/zinc/20/58/30/411205830.db2.gz LVCUGODFWSOWFP-VIFPVBQESA-N 0 3 208.305 2.782 20 0 BFADHN CCn1ccnc1CN[C@H]1[C@@H]2CCC[C@@H]2C1(C)C ZINC000658029337 411206260 /nfs/dbraw/zinc/20/62/60/411206260.db2.gz ZLYWWVQEHZRJJP-DYEKYZERSA-N 0 3 247.386 2.817 20 0 BFADHN C[C@@H]1CCC[C@@H]1CN[C@H]1CCCn2ccnc21 ZINC000655762703 411206620 /nfs/dbraw/zinc/20/66/20/411206620.db2.gz IAFNVNXIUGQGEM-UPJWGTAASA-N 0 3 233.359 2.744 20 0 BFADHN C[C@H]1C[C@@H](N[C@H]2CC[C@@H](C)[C@H](C)C2)c2ncnn21 ZINC000658102417 411245960 /nfs/dbraw/zinc/24/59/60/411245960.db2.gz PISPLPSQETZSLE-NAWOPXAZSA-N 0 3 248.374 2.698 20 0 BFADHN Cn1ccc(CN2CCC[C@H]2CC2CCCC2)n1 ZINC000653892338 411210912 /nfs/dbraw/zinc/21/09/12/411210912.db2.gz GWYFJNQCZMBRNW-HNNXBMFYSA-N 0 3 247.386 2.965 20 0 BFADHN COC1([C@@H](C)NCc2ccc(C)c(C)n2)CCC1 ZINC000658035451 411211241 /nfs/dbraw/zinc/21/12/41/411211241.db2.gz NIRAHQJBNURNKQ-CYBMUJFWSA-N 0 3 248.370 2.746 20 0 BFADHN C[C@H]1C[C@H](N[C@H]2CCCCC[C@H]2C)c2ncnn21 ZINC000658103932 411246042 /nfs/dbraw/zinc/24/60/42/411246042.db2.gz ZOUKAARIZNZMTK-VOAKCMCISA-N 0 3 248.374 2.842 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@@H]1N[C@H]1C[C@H](C)n2ncnc21 ZINC000658101837 411246076 /nfs/dbraw/zinc/24/60/76/411246076.db2.gz MWHFDUJFQUXLHW-RHYQMDGZSA-N 0 3 248.374 2.698 20 0 BFADHN CC[C@H](C)NCc1cccnc1N1CCCC1 ZINC000658102044 411246089 /nfs/dbraw/zinc/24/60/89/411246089.db2.gz UUFPPVCNBXUQGC-LBPRGKRZSA-N 0 3 233.359 2.570 20 0 BFADHN C[C@H]1C[C@@H](N[C@H]2C[C@H](C)C[C@H](C)C2)c2ncnn21 ZINC000658101635 411246156 /nfs/dbraw/zinc/24/61/56/411246156.db2.gz MHOZHIJUUOCSOC-OBPIAQAESA-N 0 3 248.374 2.698 20 0 BFADHN CC[C@@H]1CCC[C@H](N[C@H]2C[C@@H](C)n3ncnc32)C1 ZINC000658102585 411246286 /nfs/dbraw/zinc/24/62/86/411246286.db2.gz PUJBRWKXOOOXTC-NDBYEHHHSA-N 0 3 248.374 2.842 20 0 BFADHN c1ccc(N[C@@H]2CCCN3CCCC[C@@H]23)nc1 ZINC000656226471 411214981 /nfs/dbraw/zinc/21/49/81/411214981.db2.gz MUVWEIRRTYSUFT-OLZOCXBDSA-N 0 3 231.343 2.510 20 0 BFADHN C[C@@H]1CC(C)(C)C[C@@H]1N[C@@H]1C[C@@H](C)n2ncnc21 ZINC000658101835 411246606 /nfs/dbraw/zinc/24/66/06/411246606.db2.gz MWHFDUJFQUXLHW-KKOKHZNYSA-N 0 3 248.374 2.698 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H](C)n2ncnc21)[C@H]1CC1(C)C ZINC000658102804 411246731 /nfs/dbraw/zinc/24/67/31/411246731.db2.gz QOHMVMMXSBPSQV-WHOHXGKFSA-N 0 3 248.374 2.698 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H]1COC(C)(C)C1 ZINC000658057604 411224514 /nfs/dbraw/zinc/22/45/14/411224514.db2.gz IZDBEDRIBHOLMI-WCQYABFASA-N 0 3 248.370 2.862 20 0 BFADHN CCc1ccc(CN(C[C@H](C)O)C2CC2)s1 ZINC000656279640 411226157 /nfs/dbraw/zinc/22/61/57/411226157.db2.gz PVVPABKYDGJYRN-JTQLQIEISA-N 0 3 239.384 2.656 20 0 BFADHN C[C@@H](NC[C@H](C)c1ccccn1)c1cncs1 ZINC000658062640 411227882 /nfs/dbraw/zinc/22/78/82/411227882.db2.gz GNJNOBBJPRIMPN-WDEREUQCSA-N 0 3 247.367 2.992 20 0 BFADHN C[C@@H](CN[C@@H](C)c1cncs1)c1ccccn1 ZINC000658062638 411227923 /nfs/dbraw/zinc/22/79/23/411227923.db2.gz GNJNOBBJPRIMPN-QWRGUYRKSA-N 0 3 247.367 2.992 20 0 BFADHN C[C@H](CN[C@@H](C)c1nccs1)c1ccccn1 ZINC000658065353 411230203 /nfs/dbraw/zinc/23/02/03/411230203.db2.gz WSIIMLQIRMGCIU-MNOVXSKESA-N 0 3 247.367 2.992 20 0 BFADHN Cc1cnccc1CN[C@H]1C=CCCC1 ZINC000235810938 411230588 /nfs/dbraw/zinc/23/05/88/411230588.db2.gz YPSRJEGNVRQEAC-ZDUSSCGKSA-N 0 3 202.301 2.588 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](CO)C2CCC2)o1 ZINC000658085772 411235592 /nfs/dbraw/zinc/23/55/92/411235592.db2.gz CDVJKMVNVCOKGG-ZWNOBZJWSA-N 0 3 237.343 2.654 20 0 BFADHN CC[C@H](COCC1CC1)N[C@@H](C)c1cccnc1 ZINC000658090657 411241941 /nfs/dbraw/zinc/24/19/41/411241941.db2.gz LVGYPXBSLYVFPN-SWLSCSKDSA-N 0 3 248.370 2.937 20 0 BFADHN OC/C=C/CN[C@@H](CC1CC1)c1ccccc1 ZINC000658136616 411261931 /nfs/dbraw/zinc/26/19/31/411261931.db2.gz XZNGIRFQTDUJRW-RGDDUWESSA-N 0 3 231.339 2.666 20 0 BFADHN C[C@@H]1CC[C@H](NC/C=C\CO)c2sccc21 ZINC000658137692 411262085 /nfs/dbraw/zinc/26/20/85/411262085.db2.gz LFPKQXNFVBOLTQ-UVWWULRDSA-N 0 3 237.368 2.825 20 0 BFADHN Cc1cc([C@H](NC/C=C\CO)C2CC2)ccc1F ZINC000658137871 411262137 /nfs/dbraw/zinc/26/21/37/411262137.db2.gz JRVAWVPWASRQBB-MPNWYJEXSA-N 0 3 249.329 2.723 20 0 BFADHN C[C@@H]1CC[C@@H](NC/C=C\CO)c2sccc21 ZINC000658137527 411262427 /nfs/dbraw/zinc/26/24/27/411262427.db2.gz LFPKQXNFVBOLTQ-IRSQKABVSA-N 0 3 237.368 2.825 20 0 BFADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1NC/C=C/CO ZINC000658137910 411262485 /nfs/dbraw/zinc/26/24/85/411262485.db2.gz JWSHSQUGABXJRN-OMHDXBGXSA-N 0 3 249.329 2.587 20 0 BFADHN CC(C)c1cccc(CN2C[C@H](O)C[C@@H]2C)c1 ZINC000660147352 411263039 /nfs/dbraw/zinc/26/30/39/411263039.db2.gz BYVGUYGZOVYBJF-SWLSCSKDSA-N 0 3 233.355 2.765 20 0 BFADHN CC(C)c1cccc(CN2C[C@H](O)C[C@H]2C)c1 ZINC000660147351 411263065 /nfs/dbraw/zinc/26/30/65/411263065.db2.gz BYVGUYGZOVYBJF-IUODEOHRSA-N 0 3 233.355 2.765 20 0 BFADHN C[C@H](NC/C=C/CO)c1cccc(C2CC2)c1 ZINC000658139830 411265016 /nfs/dbraw/zinc/26/50/16/411265016.db2.gz SYCLXOLYBSWXQZ-JDGPPOGSSA-N 0 3 231.339 2.763 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H](C)C2(CO)CC2)c(C)n1 ZINC000658155779 411268787 /nfs/dbraw/zinc/26/87/87/411268787.db2.gz QVPJUIFGDYCEOI-STQMWFEESA-N 0 3 248.370 2.510 20 0 BFADHN c1c(CNC2CCCCC2)nnn1C1CCCC1 ZINC000656464752 411271637 /nfs/dbraw/zinc/27/16/37/411271637.db2.gz XKFYIEZLTSRKMV-UHFFFAOYSA-N 0 3 248.374 2.816 20 0 BFADHN CC[C@@H](C)C[C@H](CC)NCc1ncc[nH]1 ZINC000070646356 411271968 /nfs/dbraw/zinc/27/19/68/411271968.db2.gz PITHOYSFBNYOGO-MNOVXSKESA-N 0 3 209.337 2.714 20 0 BFADHN C[C@H](N[C@@H]1CCCn2ccnc21)C1CCCC1 ZINC000655716481 411272307 /nfs/dbraw/zinc/27/23/07/411272307.db2.gz UDWZMGPYQVQVQM-WCQYABFASA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H](N[C@H]1CCCn2ccnc21)C1CCCC1 ZINC000655716482 411272443 /nfs/dbraw/zinc/27/24/43/411272443.db2.gz UDWZMGPYQVQVQM-YPMHNXCESA-N 0 3 233.359 2.886 20 0 BFADHN CC(C)(C)[C@H](N)c1cn(CC2CCCC2)nn1 ZINC000656451738 411273313 /nfs/dbraw/zinc/27/33/13/411273313.db2.gz ZGSGXFGZWVTHNG-GFCCVEGCSA-N 0 3 236.363 2.514 20 0 BFADHN C[C@@H]1CN(Cc2cccc(C(C)(C)C)c2)C[C@@H]1O ZINC000660167424 411275192 /nfs/dbraw/zinc/27/51/92/411275192.db2.gz ZIZZSGRZKBAQNT-DOMZBBRYSA-N 0 3 247.382 2.797 20 0 BFADHN CCC[C@H](C)NCc1ccc2c(n1)CCC2 ZINC000656469335 411281364 /nfs/dbraw/zinc/28/13/64/411281364.db2.gz ZKZKRGKOBNYKRC-NSHDSACASA-N 0 3 218.344 2.849 20 0 BFADHN FC(F)[C@H]1CCN(CCOCC2CCCC2)C1 ZINC000656482766 411282187 /nfs/dbraw/zinc/28/21/87/411282187.db2.gz BGQUHBLOJUEZIA-LBPRGKRZSA-N 0 3 247.329 2.780 20 0 BFADHN CCn1cc(CN[C@@H](C)CCC=C(C)C)nn1 ZINC000658175664 411282116 /nfs/dbraw/zinc/28/21/16/411282116.db2.gz FMAYISHUQMOTPO-LBPRGKRZSA-N 0 3 236.363 2.523 20 0 BFADHN CC(C)CC1(N[C@H](C)c2cnccn2)CC1 ZINC000658321416 411333178 /nfs/dbraw/zinc/33/31/78/411333178.db2.gz QSJCEVITAMOXRA-LLVKDONJSA-N 0 3 219.332 2.706 20 0 BFADHN CCC[C@@H](C)[C@@H](CC)NCc1cn(CC)nn1 ZINC000658176184 411282889 /nfs/dbraw/zinc/28/28/89/411282889.db2.gz MKGDQAAMNDRHDR-DGCLKSJQSA-N 0 3 238.379 2.602 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@]1(C)CC1(C)C ZINC000658305782 411328774 /nfs/dbraw/zinc/32/87/74/411328774.db2.gz AVXMYODINSOPRI-TVQRCGJNSA-N 0 3 221.348 2.568 20 0 BFADHN C[C@H](NCC[C@@]1(C)CC1(F)F)c1cnccn1 ZINC000656689560 411328917 /nfs/dbraw/zinc/32/89/17/411328917.db2.gz RLXGJTNZMNBFJD-ONGXEEELSA-N 0 3 241.285 2.563 20 0 BFADHN C[C@H](NCCC(C)(C)F)c1cc2n(n1)CCC2 ZINC000658191318 411287136 /nfs/dbraw/zinc/28/71/36/411287136.db2.gz QETAMVULPMOCQH-JTQLQIEISA-N 0 3 239.338 2.618 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@]1(C)CC1(C)C ZINC000658305520 411329073 /nfs/dbraw/zinc/32/90/73/411329073.db2.gz TXAFAJZOQZNRNB-ZWNOBZJWSA-N 0 3 219.332 2.624 20 0 BFADHN C[C@H](NCCc1ccoc1)c1ccco1 ZINC000658201768 411291188 /nfs/dbraw/zinc/29/11/88/411291188.db2.gz UAXMYJGTSIFCHE-JTQLQIEISA-N 0 3 205.257 2.766 20 0 BFADHN Cc1cncc([C@H](C)NCCc2ccoc2)c1 ZINC000658200430 411291375 /nfs/dbraw/zinc/29/13/75/411291375.db2.gz NNUATZAOCSTTTH-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN C[C@@H](NCCc1ccoc1)c1ccco1 ZINC000658201775 411291518 /nfs/dbraw/zinc/29/15/18/411291518.db2.gz UAXMYJGTSIFCHE-SNVBAGLBSA-N 0 3 205.257 2.766 20 0 BFADHN C[C@H](N[C@@]1(C)CC1(C)C)c1cncs1 ZINC000658306203 411329546 /nfs/dbraw/zinc/32/95/46/411329546.db2.gz DEQYCPGAYGOIEN-KWQFWETISA-N 0 3 210.346 2.982 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCC[C@@H]3C[C@@H]32)nn1C ZINC000658206720 411293426 /nfs/dbraw/zinc/29/34/26/411293426.db2.gz PKEMRRWAXJXOSV-CRWXNKLISA-N 0 3 247.386 2.815 20 0 BFADHN CC[C@@H](N[C@]1(C)CC1(C)C)c1ccn(C)n1 ZINC000658306250 411329727 /nfs/dbraw/zinc/32/97/27/411329727.db2.gz WIJUMLBRXSFWCW-ZWNOBZJWSA-N 0 3 221.348 2.649 20 0 BFADHN Cn1ncc(C2CC2)c1CN[C@H]1CCC12CCC2 ZINC000658211701 411295214 /nfs/dbraw/zinc/29/52/14/411295214.db2.gz HIRBXPUFRARUKF-AWEZNQCLSA-N 0 3 245.370 2.720 20 0 BFADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1ccoc1 ZINC000658216235 411295795 /nfs/dbraw/zinc/29/57/95/411295795.db2.gz APRYOPRDVYNZHN-SCZZXKLOSA-N 0 3 215.243 2.976 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H](C)O1)c1ccoc1 ZINC000658217564 411296676 /nfs/dbraw/zinc/29/66/76/411296676.db2.gz FPMIWISYHOQHSS-NTZNESFSSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)O1)c1ccoc1 ZINC000658217566 411296731 /nfs/dbraw/zinc/29/67/31/411296731.db2.gz FPMIWISYHOQHSS-WZRBSPASSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H](C)O1)c1cncs1 ZINC000658216977 411297076 /nfs/dbraw/zinc/29/70/76/411297076.db2.gz BUBPHZFBUSHPPM-VWYCJHECSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H](NC[C@]1(C)CC1(F)F)c1nccn1C ZINC000658217922 411297567 /nfs/dbraw/zinc/29/75/67/411297567.db2.gz HURYOCNPUNDGFA-ONGXEEELSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@@H](NCC[C@]1(C)CC1(F)F)c1nccn1C ZINC000658218411 411298136 /nfs/dbraw/zinc/29/81/36/411298136.db2.gz DFODGQSMTAWRMM-MWLCHTKSSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@H]1CN(CC[C@H]2CCc3ccccc32)CCO1 ZINC000659810958 411298444 /nfs/dbraw/zinc/29/84/44/411298444.db2.gz FUELGOIPRIWHQS-DZGCQCFKSA-N 0 3 245.366 2.827 20 0 BFADHN Cc1cncc([C@H](C)NC[C@@H]2CCC[C@@H](C)O2)c1 ZINC000658220197 411299634 /nfs/dbraw/zinc/29/96/34/411299634.db2.gz OZONXXCSMOADBU-IPYPFGDCSA-N 0 3 248.370 2.998 20 0 BFADHN CC1(C)CCCC[C@H]1CN1CCOCC1 ZINC000659814943 411301525 /nfs/dbraw/zinc/30/15/25/411301525.db2.gz XUBWLSNVZTUFNP-LBPRGKRZSA-N 0 3 211.349 2.535 20 0 BFADHN C[C@@H](NCC[C@@]1(C)CC1(F)F)c1ccncn1 ZINC000658222833 411301990 /nfs/dbraw/zinc/30/19/90/411301990.db2.gz RUNUOWKUBPXJFU-KOLCDFICSA-N 0 3 241.285 2.563 20 0 BFADHN CCn1cc([C@H](C)NC[C@@]2(C)CC2(F)F)cn1 ZINC000658222732 411302132 /nfs/dbraw/zinc/30/21/32/411302132.db2.gz XAMORMTVTKZRAK-GXSJLCMTSA-N 0 3 243.301 2.599 20 0 BFADHN CCn1cc([C@H](C)NC[C@]2(C)CC2(F)F)cn1 ZINC000658222735 411302299 /nfs/dbraw/zinc/30/22/99/411302299.db2.gz XAMORMTVTKZRAK-ONGXEEELSA-N 0 3 243.301 2.599 20 0 BFADHN CCCCC1CCC(NC(=O)[C@H](N)CC)CC1 ZINC000129510025 411303226 /nfs/dbraw/zinc/30/32/26/411303226.db2.gz AISBFFXACSLCGR-WXRRBKDZSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1ncc([C@H](C)N[C@]2(C)CC2(C)C)c(C)n1 ZINC000658308799 411330699 /nfs/dbraw/zinc/33/06/99/411330699.db2.gz QWMGYIMYCXHHIF-IINYFYTJSA-N 0 3 233.359 2.933 20 0 BFADHN Cc1ncc([C@H](C)N[C@@]2(C)CC2(C)C)c(C)n1 ZINC000658308797 411330712 /nfs/dbraw/zinc/33/07/12/411330712.db2.gz QWMGYIMYCXHHIF-HZMBPMFUSA-N 0 3 233.359 2.933 20 0 BFADHN C[C@H](NCCCC1CCC1)c1nccn1C ZINC000658224750 411303728 /nfs/dbraw/zinc/30/37/28/411303728.db2.gz VQCHXMMDPMATDP-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1nccc(OC)c1F ZINC000658224774 411303738 /nfs/dbraw/zinc/30/37/38/411303738.db2.gz DFVKMQNWRGCMKE-ZJUUUORDSA-N 0 3 240.322 2.754 20 0 BFADHN CO[C@@H](CN[C@H](C)c1ccccn1)C1CCC1 ZINC000660244953 411304541 /nfs/dbraw/zinc/30/45/41/411304541.db2.gz VYGFCGYQPPKNSQ-RISCZKNCSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@@H](N[C@@H](CC)c1ccn(C)n1)C1CC1 ZINC000658233374 411305251 /nfs/dbraw/zinc/30/52/51/411305251.db2.gz KQCOFTYXUUOKNB-NEPJUHHUSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@@H](N[C@H](CC)C1CC1)c1ccn(C)n1 ZINC000658233377 411305278 /nfs/dbraw/zinc/30/52/78/411305278.db2.gz KQCOFTYXUUOKNB-VXGBXAGGSA-N 0 3 221.348 2.649 20 0 BFADHN Cn1ncc2c1CCC[C@H]2N[C@]1(C)CC1(C)C ZINC000658309745 411330961 /nfs/dbraw/zinc/33/09/61/411330961.db2.gz YJXFXGJYVUWRNU-BXUZGUMPSA-N 0 3 233.359 2.576 20 0 BFADHN C[C@@H](N[C@@H](C)c1ncc[nH]1)C1CCCC1 ZINC000124661275 411306459 /nfs/dbraw/zinc/30/64/59/411306459.db2.gz XOYFARDQPBUGKO-ZJUUUORDSA-N 0 3 207.321 2.639 20 0 BFADHN CC[C@@H](N[C@H](C)c1cc(C)n(C)n1)C1CC1 ZINC000658237392 411306465 /nfs/dbraw/zinc/30/64/65/411306465.db2.gz ZPMQAEMAXNNLFK-ZYHUDNBSSA-N 0 3 221.348 2.568 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@]2(C)CC2(C)C)c(C)n1 ZINC000658308803 411331033 /nfs/dbraw/zinc/33/10/33/411331033.db2.gz QWMGYIMYCXHHIF-YGRLFVJLSA-N 0 3 233.359 2.933 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CCC1CCC1 ZINC000659841939 411331332 /nfs/dbraw/zinc/33/13/32/411331332.db2.gz VNXHRZAXKGKMEA-AAEUAGOBSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)(C)c1cccc(CN2CCC[C@@H](O)C2)c1 ZINC000659827787 411309951 /nfs/dbraw/zinc/30/99/51/411309951.db2.gz CFGXNHHXTJDFIC-OAHLLOKOSA-N 0 3 247.382 2.941 20 0 BFADHN CCN(CCCO)Cc1ccc(F)c(Cl)c1 ZINC000219824679 411314468 /nfs/dbraw/zinc/31/44/68/411314468.db2.gz FIWYQVYEAMTSFZ-UHFFFAOYSA-N 0 3 245.725 2.683 20 0 BFADHN COC[C@H](NC[C@@H]1C[C@H]2C[C@H]2C1)c1ccc(C)o1 ZINC000660250307 411314834 /nfs/dbraw/zinc/31/48/34/411314834.db2.gz HJWOXASKWWJHIY-CRWXNKLISA-N 0 3 249.354 2.911 20 0 BFADHN CC[C@H](CC(F)F)CN1CCO[C@H](CC)C1 ZINC000659837386 411316929 /nfs/dbraw/zinc/31/69/29/411316929.db2.gz DNBZRRSCSCSYPP-GHMZBOCLSA-N 0 3 235.318 2.779 20 0 BFADHN CCN(Cc1cc(OC)ns1)C1CCCC1 ZINC000659842464 411332147 /nfs/dbraw/zinc/33/21/47/411332147.db2.gz AAOPHUQFBCVVTL-UHFFFAOYSA-N 0 3 240.372 2.916 20 0 BFADHN CCc1ccc(CN2CC[C@@H](C)O[C@@H](C)C2)nc1 ZINC000661852741 411320263 /nfs/dbraw/zinc/32/02/63/411320263.db2.gz FUHYGJGWZAEHFI-OLZOCXBDSA-N 0 3 248.370 2.643 20 0 BFADHN CC(C)CC1(N[C@@H](C)c2cn[nH]c2)CC1 ZINC000658320471 411332487 /nfs/dbraw/zinc/33/24/87/411332487.db2.gz BDCSITBCSZZMPN-JTQLQIEISA-N 0 3 207.321 2.639 20 0 BFADHN CC(C)CCN1CCCc2cccc(CN)c21 ZINC000629558975 411324411 /nfs/dbraw/zinc/32/44/11/411324411.db2.gz OQSOSMCCJLSVKX-UHFFFAOYSA-N 0 3 232.371 2.944 20 0 BFADHN Cc1occc1CN[C@@H]1CC[C@@H](C)SC1 ZINC000655700393 411250639 /nfs/dbraw/zinc/25/06/39/411250639.db2.gz GJGVPJIUISXGMO-BXKDBHETSA-N 0 3 225.357 2.962 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2cc3n(n2)CCCC3)C1 ZINC000655768109 411250757 /nfs/dbraw/zinc/25/07/57/411250757.db2.gz PQMJVUITOBHSIN-OLZOCXBDSA-N 0 3 247.386 2.745 20 0 BFADHN CC[C@H](C)CCN[C@@H](CC)c1ccn(C)n1 ZINC000658122284 411251014 /nfs/dbraw/zinc/25/10/14/411251014.db2.gz TVMRTMBTHIDGTG-RYUDHWBXSA-N 0 3 223.364 2.897 20 0 BFADHN Cc1ccoc1CN[C@H]1CC[C@H](C)SC1 ZINC000655769377 411251265 /nfs/dbraw/zinc/25/12/65/411251265.db2.gz HBCDDBHAMYRBNH-QWRGUYRKSA-N 0 3 225.357 2.962 20 0 BFADHN FC1(CCN[C@@H]2CCCn3ccnc32)CCC1 ZINC000655768944 411251390 /nfs/dbraw/zinc/25/13/90/411251390.db2.gz SRJYEALLFIMQBM-LLVKDONJSA-N 0 3 237.322 2.590 20 0 BFADHN CC[C@H](NCc1c(OC)cccc1OC)C1CC1 ZINC000658122827 411251724 /nfs/dbraw/zinc/25/17/24/411251724.db2.gz WKERVRZSCTWPKE-ZDUSSCGKSA-N 0 3 249.354 2.982 20 0 BFADHN CCC[C@@H]1CN(C[C@@H]2C[C@H]3C[C@H]3C2)CCO1 ZINC000660134389 411251849 /nfs/dbraw/zinc/25/18/49/411251849.db2.gz YURMIBAWZOHSDI-XJFOESAGSA-N 0 3 223.360 2.533 20 0 BFADHN CC(C)NC[C@H](OC1CCCC1)C(F)(F)F ZINC000658125912 411252255 /nfs/dbraw/zinc/25/22/55/411252255.db2.gz VVOLNJOMHFJCEZ-JTQLQIEISA-N 0 3 239.281 2.875 20 0 BFADHN Cc1ccncc1CCN[C@@H](C)c1ccccn1 ZINC000658128015 411254408 /nfs/dbraw/zinc/25/44/08/411254408.db2.gz XYMRNPVXGFVZDG-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1ccncc1CN[C@@H]1CC[C@H](C)SC1 ZINC000655772578 411254764 /nfs/dbraw/zinc/25/47/64/411254764.db2.gz OPOLYSVXICTUPP-WCQYABFASA-N 0 3 236.384 2.764 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@@H](C)SC2)o1 ZINC000655773710 411254960 /nfs/dbraw/zinc/25/49/60/411254960.db2.gz DTCYLRVUVKSDSW-NXEZZACHSA-N 0 3 240.372 2.611 20 0 BFADHN CCC[C@H](NC/C=C\CO)c1ccccc1 ZINC000658132158 411255031 /nfs/dbraw/zinc/25/50/31/411255031.db2.gz JELCXHVCPFVNMW-AFNCTOJWSA-N 0 3 219.328 2.666 20 0 BFADHN CC[C@H](NC/C=C\CO)c1cccc(Cl)c1 ZINC000658132092 411255287 /nfs/dbraw/zinc/25/52/87/411255287.db2.gz IPXVBEHUKMELBS-SCOBNMCVSA-N 0 3 239.746 2.929 20 0 BFADHN CC(C)C[C@@H](NC/C=C\CO)c1ccccc1 ZINC000658131923 411255306 /nfs/dbraw/zinc/25/53/06/411255306.db2.gz FFLQGSPCTMASQS-IDTUSYRASA-N 0 3 233.355 2.912 20 0 BFADHN Cc1ccncc1CN[C@H]1CC[C@@H](C)SC1 ZINC000655772579 411255358 /nfs/dbraw/zinc/25/53/58/411255358.db2.gz OPOLYSVXICTUPP-YPMHNXCESA-N 0 3 236.384 2.764 20 0 BFADHN COc1ccccc1[C@@H]1CCCCN1C[C@@H](C)O ZINC000656438625 411255751 /nfs/dbraw/zinc/25/57/51/411255751.db2.gz WUZMCEZNPPXTKO-OCCSQVGLSA-N 0 3 249.354 2.603 20 0 BFADHN Cc1ccc(C)c([C@@H](C)NC/C=C/CO)c1 ZINC000658132223 411255997 /nfs/dbraw/zinc/25/59/97/411255997.db2.gz JOLPWFBAIFWRSJ-MUBLQREKSA-N 0 3 219.328 2.503 20 0 BFADHN CC[C@H](NC/C=C/CO)c1ccc(C)cc1 ZINC000658132586 411256328 /nfs/dbraw/zinc/25/63/28/411256328.db2.gz IVPZLIXIMXKZBZ-NNTXTVRGSA-N 0 3 219.328 2.584 20 0 BFADHN Cc1ccc([C@H](NC/C=C\CO)C2CCC2)cc1 ZINC000658133844 411257533 /nfs/dbraw/zinc/25/75/33/411257533.db2.gz GJUBOIWRMBPHTP-RXYHWBRQSA-N 0 3 245.366 2.974 20 0 BFADHN C[C@@H](NC/C=C\CO)c1cc2ccccc2o1 ZINC000658133087 411257757 /nfs/dbraw/zinc/25/77/57/411257757.db2.gz OUYKJQXMGFSYKC-DOGVGXBMSA-N 0 3 231.295 2.632 20 0 BFADHN Cc1ccc([C@@H](NC/C=C\CO)C2CCC2)cc1 ZINC000658133846 411258440 /nfs/dbraw/zinc/25/84/40/411258440.db2.gz GJUBOIWRMBPHTP-YPQKKHBXSA-N 0 3 245.366 2.974 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C(C)C)C2CC2)nn1C ZINC000658299270 411326834 /nfs/dbraw/zinc/32/68/34/411326834.db2.gz DVCZBTAEAKXWIY-RISCZKNCSA-N 0 3 235.375 2.814 20 0 BFADHN CC[C@H](N[C@H]1C[C@H](O)C1)c1ccc(F)cc1F ZINC000658348883 411350122 /nfs/dbraw/zinc/35/01/22/411350122.db2.gz CQDUBWNGLYCXPG-KWBADKCTSA-N 0 3 241.281 2.529 20 0 BFADHN CC(C)Oc1ccc([C@H](C)N[C@H]2C[C@H](O)C2)cc1 ZINC000658350434 411352010 /nfs/dbraw/zinc/35/20/10/411352010.db2.gz FCDLSKZHQDUZLU-UBHSHLNASA-N 0 3 249.354 2.648 20 0 BFADHN CC(C)Oc1ccc([C@@H](C)N[C@H]2C[C@H](O)C2)cc1 ZINC000658350433 411352101 /nfs/dbraw/zinc/35/21/01/411352101.db2.gz FCDLSKZHQDUZLU-MRVWCRGKSA-N 0 3 249.354 2.648 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2C[C@H](O)C2)c(C)s1 ZINC000658350941 411352460 /nfs/dbraw/zinc/35/24/60/411352460.db2.gz IOYUNJTXSNXEJR-FBIMIBRVSA-N 0 3 225.357 2.539 20 0 BFADHN CC[C@H](N[C@H]1C[C@H](O)C1)c1cc(C)ccc1OC ZINC000658351682 411353880 /nfs/dbraw/zinc/35/38/80/411353880.db2.gz ZCBHOIAMHJJOLY-OBJOEFQTSA-N 0 3 249.354 2.568 20 0 BFADHN CN(CCCc1cncn1C)Cc1ccsc1 ZINC000653484126 411373499 /nfs/dbraw/zinc/37/34/99/411373499.db2.gz RYBCNICJRVITPO-UHFFFAOYSA-N 0 3 249.383 2.546 20 0 BFADHN CCC(CC)CCCN(C)C(=O)[C@@H](N)C(C)C ZINC000658962203 411364121 /nfs/dbraw/zinc/36/41/21/411364121.db2.gz GHMCZSRTUZJFCE-ZDUSSCGKSA-N 0 3 242.407 2.645 20 0 BFADHN c1coc(CCCN[C@@H]2CCCn3ccnc32)c1 ZINC000658325856 411335187 /nfs/dbraw/zinc/33/51/87/411335187.db2.gz UIBBKWCHSFDCQV-CYBMUJFWSA-N 0 3 245.326 2.533 20 0 BFADHN C[C@H]1C[C@H](NCCCc2ccco2)c2nccn21 ZINC000658324919 411335242 /nfs/dbraw/zinc/33/52/42/411335242.db2.gz GTMFWKJHRSVRCE-AAEUAGOBSA-N 0 3 245.326 2.704 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3C[C@@H]3C2)c(C)n1 ZINC000661968719 411336969 /nfs/dbraw/zinc/33/69/69/411336969.db2.gz GVBHTLWHANPLJL-TZMCWYRMSA-N 0 3 216.328 2.540 20 0 BFADHN COc1cc(CN2CC[C@@H]3C[C@@H]3C2)ccc1C ZINC000661968098 411337124 /nfs/dbraw/zinc/33/71/24/411337124.db2.gz UMHKUDQAVYLNII-ZIAGYGMSSA-N 0 3 231.339 2.845 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H]1CCCc2ccc(O)cc21 ZINC000658330675 411337918 /nfs/dbraw/zinc/33/79/18/411337918.db2.gz FXTKJFWIQRYESK-KFWWJZLASA-N 0 3 247.338 2.537 20 0 BFADHN CC[C@@H](N[C@@H]1C[C@]1(C)OC)c1ccsc1 ZINC000658330888 411337926 /nfs/dbraw/zinc/33/79/26/411337926.db2.gz KOJKJTMEJLSMOZ-UTUOFQBUSA-N 0 3 225.357 2.966 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1sc(C)nc1C ZINC000658332194 411339057 /nfs/dbraw/zinc/33/90/57/411339057.db2.gz OVAXIXGXBOMMFF-UISBYWKRSA-N 0 3 240.372 2.588 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCCOc2ccccc21 ZINC000658331689 411339164 /nfs/dbraw/zinc/33/91/64/411339164.db2.gz MKLQVOBIQMMARW-CFVMTHIKSA-N 0 3 247.338 2.667 20 0 BFADHN COc1c(C)cnc(CN2CC[C@H]3C[C@H]3C2)c1C ZINC000661971926 411340289 /nfs/dbraw/zinc/34/02/89/411340289.db2.gz JYOXURMRAQCLKY-STQMWFEESA-N 0 3 246.354 2.549 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccc(C)s1 ZINC000658334246 411342733 /nfs/dbraw/zinc/34/27/33/411342733.db2.gz GIQQFBRFQGGXCE-WCQGTBRESA-N 0 3 225.357 2.885 20 0 BFADHN CC[C@H](N[C@@H]1C[C@]1(C)OC)c1ccccc1 ZINC000658334863 411343196 /nfs/dbraw/zinc/34/31/96/411343196.db2.gz VYOGZIMGFOKMNU-MJBXVCDLSA-N 0 3 219.328 2.905 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H]1CCCc2ccc(F)cc21 ZINC000658331464 411343332 /nfs/dbraw/zinc/34/33/32/411343332.db2.gz JTOJDIPJXUFDLI-ZNMIVQPWSA-N 0 3 249.329 2.970 20 0 BFADHN CC(C)[C@H]1CCC[C@H]1N[C@@H](C)c1nccn1C ZINC000658335699 411343338 /nfs/dbraw/zinc/34/33/38/411343338.db2.gz FILBZUJCAWHULG-YNEHKIRRSA-N 0 3 235.375 2.895 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1ccccc1C ZINC000658334836 411343353 /nfs/dbraw/zinc/34/33/53/411343353.db2.gz UXSRAQUZEGSQCB-YUTCNCBUSA-N 0 3 219.328 2.823 20 0 BFADHN C[C@@H](NC[C@@H]1CCOC1(C)C)c1cscn1 ZINC000658331247 411343909 /nfs/dbraw/zinc/34/39/09/411343909.db2.gz NKXFAONBMUPTEN-ZJUUUORDSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@H](N[C@H]1CCC[C@@H]1CCF)c1nccn1C ZINC000658337659 411345204 /nfs/dbraw/zinc/34/52/04/411345204.db2.gz FUQUHEQQSKPFNL-TUAOUCFPSA-N 0 3 239.338 2.599 20 0 BFADHN C[C@H](N[C@H]1CCCC12CCC2)c1nccn1C ZINC000658338161 411346057 /nfs/dbraw/zinc/34/60/57/411346057.db2.gz HQQXBWLVULWBFD-RYUDHWBXSA-N 0 3 233.359 2.794 20 0 BFADHN C[C@H](N[C@H]1CC[C@H]1C(C)(C)C)c1nccn1C ZINC000658338721 411346116 /nfs/dbraw/zinc/34/61/16/411346116.db2.gz JVWKDZILYCSBER-TUAOUCFPSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H](N[C@@H]1CCCC12CCC2)c1nccn1C ZINC000658338155 411346476 /nfs/dbraw/zinc/34/64/76/411346476.db2.gz HQQXBWLVULWBFD-NWDGAFQWSA-N 0 3 233.359 2.794 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H]1C1CCC1)c1nccn1C ZINC000658339817 411346509 /nfs/dbraw/zinc/34/65/09/411346509.db2.gz VWJGVSVPFFQPJJ-UHTWSYAYSA-N 0 3 233.359 2.649 20 0 BFADHN CC[C@H](N[C@H](C)c1nccn1C)[C@H]1C[C@@H]1C ZINC000658339750 411346769 /nfs/dbraw/zinc/34/67/69/411346769.db2.gz VEWCBYCAPQGGRQ-USZNOCQGSA-N 0 3 221.348 2.505 20 0 BFADHN CC1(CNCc2ccc(F)cn2)CCC1 ZINC000309126240 168043136 /nfs/dbraw/zinc/04/31/36/168043136.db2.gz PSDBROVTMYSDRT-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN CC1(CNCc2cccc(Cl)c2F)COC1 ZINC000131132576 168043712 /nfs/dbraw/zinc/04/37/12/168043712.db2.gz XSPFRGWSZUOCHE-UHFFFAOYSA-N 0 3 243.709 2.605 20 0 BFADHN CC1(CNCc2ccc(Cl)cc2F)COC1 ZINC000162970238 168043897 /nfs/dbraw/zinc/04/38/97/168043897.db2.gz GCKWOJQSLYOUEN-UHFFFAOYSA-N 0 3 243.709 2.605 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)CC2)nc(C)n1 ZINC000659858041 411348228 /nfs/dbraw/zinc/34/82/28/411348228.db2.gz RWPFNINDPPRORU-NSHDSACASA-N 0 3 233.359 2.715 20 0 BFADHN CC1(NCc2ccc(CO)o2)CCCCC1 ZINC000082738119 168063278 /nfs/dbraw/zinc/06/32/78/168063278.db2.gz AOHQCDWMHOADSR-UHFFFAOYSA-N 0 3 223.316 2.584 20 0 BFADHN CC1=C(C)CN(CCC(F)(F)F)CC1 ZINC000335767011 168083297 /nfs/dbraw/zinc/08/32/97/168083297.db2.gz RDRCCMVGMZNEHD-UHFFFAOYSA-N 0 3 207.239 2.981 20 0 BFADHN CC1=C(C)CN(CCO[C@@H]2CCCCO2)CC1 ZINC000361619849 168085335 /nfs/dbraw/zinc/08/53/35/168085335.db2.gz OQFMCYKHDGZRPC-CQSZACIVSA-N 0 3 239.359 2.572 20 0 BFADHN CC1=C(C)CN(Cc2cnc3ccccn23)CC1 ZINC000361113491 168085340 /nfs/dbraw/zinc/08/53/40/168085340.db2.gz MOIJDPDFHYSXDD-UHFFFAOYSA-N 0 3 241.338 2.876 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CNCc1ccn(C)n1 ZINC000358977828 168101704 /nfs/dbraw/zinc/10/17/04/168101704.db2.gz FPRZCSNHHFPGPX-TZMCWYRMSA-N 0 3 233.359 2.502 20 0 BFADHN CC1=CCN(CC[C@H](O)c2ccccc2)CC1 ZINC000289912291 168112929 /nfs/dbraw/zinc/11/29/29/168112929.db2.gz NLVXTFDBQULIGP-HNNXBMFYSA-N 0 3 231.339 2.762 20 0 BFADHN Cc1cncc(CN2CC=C(C)CC2)c1 ZINC000276050239 168113901 /nfs/dbraw/zinc/11/39/01/168113901.db2.gz OSTRQNVROCYAMR-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN Cc1cnccc1CN1CC=C(C)CC1 ZINC000294895011 168115033 /nfs/dbraw/zinc/11/50/33/168115033.db2.gz RQYJDCGPDURIGD-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN CC1=CCN(C[C@@H](O)CC2CCCC2)CC1 ZINC000354731235 168115273 /nfs/dbraw/zinc/11/52/73/168115273.db2.gz LLZRSMIUPPSKEL-AWEZNQCLSA-N 0 3 223.360 2.580 20 0 BFADHN CC1=CC[C@H](N[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000285314847 168121521 /nfs/dbraw/zinc/12/15/21/168121521.db2.gz SUGXPJDFDWLNFR-QWHCGFSZSA-N 0 3 231.343 2.876 20 0 BFADHN CC1=C[C@@H](C)CN(CCC(F)(F)F)C1 ZINC000334246977 168123816 /nfs/dbraw/zinc/12/38/16/168123816.db2.gz JOOGDTOLTMJGKE-MRVPVSSYSA-N 0 3 207.239 2.837 20 0 BFADHN Cc1nc(CCN2CC(C)=C[C@H](C)C2)cs1 ZINC000336599542 168128535 /nfs/dbraw/zinc/12/85/35/168128535.db2.gz JNURZQVUPDJCOP-JTQLQIEISA-N 0 3 236.384 2.892 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN(C)Cc2ccnn2C)C1 ZINC000356390668 168128617 /nfs/dbraw/zinc/12/86/17/168128617.db2.gz JBBAGFJMFAJUOT-GXTWGEPZSA-N 0 3 247.386 2.844 20 0 BFADHN CC1CC(NCc2cn3cc(Cl)ccc3n2)C1 ZINC000135563562 168147185 /nfs/dbraw/zinc/14/71/85/168147185.db2.gz UVZFRUHYPWXDPU-UHFFFAOYSA-N 0 3 249.745 2.876 20 0 BFADHN C[C@H](NC1CC(C)C1)c1ccc(F)cn1 ZINC000161796751 168147281 /nfs/dbraw/zinc/14/72/81/168147281.db2.gz AAXHTIUOCQVYMB-YUCVTWSNSA-N 0 3 208.280 2.670 20 0 BFADHN CC1CC(N[C@@H](c2nc[nH]n2)C2CCCCC2)C1 ZINC000330270770 168147390 /nfs/dbraw/zinc/14/73/90/168147390.db2.gz UUKZZRXUBNGPBI-KGPNIALWSA-N 0 3 248.374 2.814 20 0 BFADHN CC1CCC(CN2CCO[C@H](C)[C@H]2C)CC1 ZINC000353899551 168154026 /nfs/dbraw/zinc/15/40/26/168154026.db2.gz LPQYFFJCIFSJCM-CEUNDQEZSA-N 0 3 225.376 2.922 20 0 BFADHN CC1CCN(CCOC(=O)c2ccccc2)CC1 ZINC000033875294 168180816 /nfs/dbraw/zinc/18/08/16/168180816.db2.gz HZRWUIOBGHDZHG-UHFFFAOYSA-N 0 3 247.338 2.575 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC(C)CC1 ZINC000299186699 168186386 /nfs/dbraw/zinc/18/63/86/168186386.db2.gz AYBVAULZFAXINS-LBPRGKRZSA-N 0 3 204.317 2.875 20 0 BFADHN CC1CN(Cc2c[nH]nc2-c2ccc(F)cc2)C1 ZINC000336451213 168189762 /nfs/dbraw/zinc/18/97/62/168189762.db2.gz KWURXCLWEWJPMO-UHFFFAOYSA-N 0 3 245.301 2.668 20 0 BFADHN CC1CN(Cc2ccc(-c3cnn(C)c3)s2)C1 ZINC000334155424 168190650 /nfs/dbraw/zinc/19/06/50/168190650.db2.gz VGBJSAIGVCRDRV-UHFFFAOYSA-N 0 3 247.367 2.600 20 0 BFADHN CC1CN(Cc2ccc(OCC3CC3)cc2)C1 ZINC000338958178 168191912 /nfs/dbraw/zinc/19/19/12/168191912.db2.gz QVLLRBMMHRSKQA-UHFFFAOYSA-N 0 3 231.339 2.927 20 0 BFADHN CCC(=O)CCN(C)CCOc1ccccc1C ZINC000190163189 168200180 /nfs/dbraw/zinc/20/01/80/168200180.db2.gz AHVKTVVUBWLPHA-UHFFFAOYSA-N 0 3 249.354 2.675 20 0 BFADHN CCC(=O)CCN(C)Cc1ccccc1C ZINC000276824110 168201225 /nfs/dbraw/zinc/20/12/25/168201225.db2.gz FTEAOOHIRBUSKG-UHFFFAOYSA-N 0 3 219.328 2.796 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cc(C3CC3)no2)C1 ZINC000659945271 411389979 /nfs/dbraw/zinc/38/99/79/411389979.db2.gz ANJWWSMJSSLEAU-UWVGGRQHSA-N 0 3 220.316 2.782 20 0 BFADHN CCC(=O)NCC(C)(C)N[C@H](C)c1ccccc1 ZINC000104120310 168228464 /nfs/dbraw/zinc/22/84/64/168228464.db2.gz DLUCXYIJUVDDGK-GFCCVEGCSA-N 0 3 248.370 2.642 20 0 BFADHN CC[C@@H]1CN(CCC=C(C)C)C[C@H](C)O1 ZINC000659919032 411382651 /nfs/dbraw/zinc/38/26/51/411382651.db2.gz NXOORIFKQRAHTA-QWHCGFSZSA-N 0 3 211.349 2.842 20 0 BFADHN CCC[C@@H](C)NC(=O)C[C@H]1NCc2ccccc21 ZINC000659273030 411386356 /nfs/dbraw/zinc/38/63/56/411386356.db2.gz YTRYJSGXFJCMGT-BXUZGUMPSA-N 0 3 246.354 2.526 20 0 BFADHN CCC(C)(C)CCN1CCOC[C@H]1CCOC ZINC000361530327 168275331 /nfs/dbraw/zinc/27/53/31/168275331.db2.gz ZBOJYHIOODRELR-CYBMUJFWSA-N 0 3 243.391 2.550 20 0 BFADHN CCC(C)(C)CCN(C)C[C@@H](O)C(F)(F)F ZINC000341121604 168275533 /nfs/dbraw/zinc/27/55/33/168275533.db2.gz DXMKLYOKHAIDFY-SECBINFHSA-N 0 3 241.297 2.668 20 0 BFADHN CCC(C)(C)CCN1CCO[C@H](C)[C@@H]1C ZINC000361678922 168275997 /nfs/dbraw/zinc/27/59/97/168275997.db2.gz RVDXCDNZMWIOKV-NWDGAFQWSA-N 0 3 213.365 2.922 20 0 BFADHN CCC(C)(C)CCN1CCC(=O)[C@H](C)C1 ZINC000338564608 168276632 /nfs/dbraw/zinc/27/66/32/168276632.db2.gz ANRCIYPKNVEOCK-LLVKDONJSA-N 0 3 211.349 2.724 20 0 BFADHN CCC(C)(C)N(C)Cc1cn(C)nc1C(F)F ZINC000274454528 168286428 /nfs/dbraw/zinc/28/64/28/168286428.db2.gz FYRMGAOYQRVICZ-UHFFFAOYSA-N 0 3 245.317 2.978 20 0 BFADHN CCC(C)(C)NC(=O)[C@@H](C)N1CCCCCC1 ZINC000310085086 168293844 /nfs/dbraw/zinc/29/38/44/168293844.db2.gz MVTHREZUICTZEC-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CCC(C)(C)NC(=O)[C@@H](C)N(C)CC(C)(C)C ZINC000339257820 168293984 /nfs/dbraw/zinc/29/39/84/168293984.db2.gz HLEVYMWXJZXGSN-LLVKDONJSA-N 0 3 242.407 2.658 20 0 BFADHN CCC(C)(C)N[C@H](C)C(=O)Nc1ccccc1 ZINC000020303303 168303347 /nfs/dbraw/zinc/30/33/47/168303347.db2.gz CEYWBXMLJWSFHO-LLVKDONJSA-N 0 3 234.343 2.792 20 0 BFADHN Cc1c[nH]c(CNCCC2CCCCC2)n1 ZINC000657300984 411458586 /nfs/dbraw/zinc/45/85/86/411458586.db2.gz WYKGBINBDWOXQK-UHFFFAOYSA-N 0 3 221.348 2.778 20 0 BFADHN CC[C@@H]1C[C@@H](NC[C@@H](OC)c2ccccc2)CO1 ZINC000657326217 411464448 /nfs/dbraw/zinc/46/44/48/411464448.db2.gz KMSUSEVDPHVCQS-RBSFLKMASA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@H]1C[C@@H](NCCOc2ccccc2C)CO1 ZINC000657323926 411464482 /nfs/dbraw/zinc/46/44/82/411464482.db2.gz IZBJOPHOLBSBAK-KGLIPLIRSA-N 0 3 249.354 2.531 20 0 BFADHN COc1cncc(CN2CC[C@H]2C(C)C)c1C ZINC000638275324 411460221 /nfs/dbraw/zinc/46/02/21/411460221.db2.gz MNWWHLRPTVWSFP-ZDUSSCGKSA-N 0 3 234.343 2.629 20 0 BFADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1cn(C)cn1 ZINC000659950000 411392111 /nfs/dbraw/zinc/39/21/11/411392111.db2.gz BZTRZEDXSRAMDN-OCCSQVGLSA-N 0 3 235.375 2.821 20 0 BFADHN CCC(CC)CCCN(C)C(=O)CNC(C)C ZINC000659380247 411395206 /nfs/dbraw/zinc/39/52/06/411395206.db2.gz BOXDSOWGSGOCRL-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN COc1cc(CN2C[C@@H](C)CCC[C@H]2C)on1 ZINC000659952314 411396366 /nfs/dbraw/zinc/39/63/66/411396366.db2.gz LQVDNSVIAQCAPP-WDEREUQCSA-N 0 3 238.331 2.694 20 0 BFADHN Cc1cc(CN2CCC[C@H]3CCC[C@@H]32)nc(C)n1 ZINC000659954891 411397970 /nfs/dbraw/zinc/39/79/70/411397970.db2.gz DNGNBOOMELWZEF-HIFRSBDPSA-N 0 3 245.370 2.858 20 0 BFADHN CC[C@H](C)CCNC(=O)C[C@H](N)c1ccccc1 ZINC000659341502 411399518 /nfs/dbraw/zinc/39/95/18/411399518.db2.gz XAKANEAIDDIHPP-JSGCOSHPSA-N 0 3 248.370 2.629 20 0 BFADHN CN(Cc1ccco1)C[C@@H]1C[C@H]2C[C@H]2C1 ZINC000659815253 411461204 /nfs/dbraw/zinc/46/12/04/411461204.db2.gz BSRVQAHOJQHWAS-ZSBIGDGJSA-N 0 3 205.301 2.758 20 0 BFADHN CCc1nc([C@@H](C)N2CCCC[C@H](C)C2)n[nH]1 ZINC000660309403 411403566 /nfs/dbraw/zinc/40/35/66/411403566.db2.gz GCIQDDBMEJKAQP-WDEREUQCSA-N 0 3 236.363 2.550 20 0 BFADHN CCc1nnc([C@@H](C)[N@@H+]2CCCC[C@H](C)C2)[n-]1 ZINC000660309403 411403569 /nfs/dbraw/zinc/40/35/69/411403569.db2.gz GCIQDDBMEJKAQP-WDEREUQCSA-N 0 3 236.363 2.550 20 0 BFADHN CCc1nnc([C@@H](C)[N@H+]2CCCC[C@H](C)C2)[n-]1 ZINC000660309403 411403572 /nfs/dbraw/zinc/40/35/72/411403572.db2.gz GCIQDDBMEJKAQP-WDEREUQCSA-N 0 3 236.363 2.550 20 0 BFADHN CO[C@H](CN(C)Cc1ccco1)C1CCC1 ZINC000659815293 411461721 /nfs/dbraw/zinc/46/17/21/411461721.db2.gz BYOTUTPNBPNUSC-CYBMUJFWSA-N 0 3 223.316 2.527 20 0 BFADHN CCC1(CC)CCN(Cc2ccnn2C)CC1 ZINC000186540689 411410451 /nfs/dbraw/zinc/41/04/51/411410451.db2.gz JNIJXDGICFHDON-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN C(=C\c1ccccc1)\CN1CCC[C@@H]2COC[C@@H]21 ZINC000657208974 411418597 /nfs/dbraw/zinc/41/85/97/411418597.db2.gz LAFZACOQTHQFIX-FXIPVCQGSA-N 0 3 243.350 2.811 20 0 BFADHN C[C@@H]1C[C@@H](CNCc2ccccc2F)[C@@H](C)O1 ZINC000414521081 411425233 /nfs/dbraw/zinc/42/52/33/411425233.db2.gz VUAHJQYTYDEABZ-WZRBSPASSA-N 0 3 237.318 2.729 20 0 BFADHN c1ccc2c(c1)onc2CCN1C[C@@H]2CCC[C@@H]21 ZINC000653584281 411425512 /nfs/dbraw/zinc/42/55/12/411425512.db2.gz LRUJVUPMKGGTQP-FZMZJTMJSA-N 0 3 242.322 2.855 20 0 BFADHN c1ccc2c(c1)onc2CCN1C[C@H]2CCC[C@H]21 ZINC000653584280 411426320 /nfs/dbraw/zinc/42/63/20/411426320.db2.gz LRUJVUPMKGGTQP-BXUZGUMPSA-N 0 3 242.322 2.855 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)[C@@H]2CO)cs1 ZINC000653587596 411428178 /nfs/dbraw/zinc/42/81/78/411428178.db2.gz JTYYIWNOWWDGSF-GWCFXTLKSA-N 0 3 239.384 2.649 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2CC2(C)C)ccn1 ZINC000655741813 411442109 /nfs/dbraw/zinc/44/21/09/411442109.db2.gz MSOABAPHIPTSFZ-GXSJLCMTSA-N 0 3 220.316 2.539 20 0 BFADHN c1cn2c(n1)[C@H](NCC13CCC(CC1)C3)CCC2 ZINC000655736108 411443188 /nfs/dbraw/zinc/44/31/88/411443188.db2.gz DDVZBWVAJBJWKL-JVWICGRDSA-N 0 3 245.370 2.888 20 0 BFADHN Cc1ccoc1CN[C@H]1CCS[C@H]1C ZINC000306356885 411443885 /nfs/dbraw/zinc/44/38/85/411443885.db2.gz UDUBANWDWDOQFX-UWVGGRQHSA-N 0 3 211.330 2.572 20 0 BFADHN CCCN(CCC)[C@@H](c1nccn1C)C1CC1 ZINC000637834018 411444049 /nfs/dbraw/zinc/44/40/49/411444049.db2.gz ZYCGNBAVOVXJQQ-CYBMUJFWSA-N 0 3 235.375 2.993 20 0 BFADHN c1c(CNCC2CCCCC2)nnn1C1CCC1 ZINC000657286956 411446027 /nfs/dbraw/zinc/44/60/27/411446027.db2.gz FQYGQANKSAJVNB-UHFFFAOYSA-N 0 3 248.374 2.673 20 0 BFADHN CC[C@H]1CCCN(CCO[C@@H]2CC2(F)F)C1 ZINC000659824269 411463914 /nfs/dbraw/zinc/46/39/14/411463914.db2.gz ARJIJQFJABMOQZ-WDEREUQCSA-N 0 3 233.302 2.533 20 0 BFADHN CCCCCNCc1nccn1C(C)C ZINC000657287478 411447301 /nfs/dbraw/zinc/44/73/01/411447301.db2.gz NHRVHXVPFMBENX-UHFFFAOYSA-N 0 3 209.337 2.744 20 0 BFADHN COc1cc(CN(C)[C@H](C)C(C)C)sn1 ZINC000659824677 411464013 /nfs/dbraw/zinc/46/40/13/411464013.db2.gz GSDLAQQRHSNBAO-SECBINFHSA-N 0 3 228.361 2.628 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccccc2C)CO1 ZINC000657288520 411448279 /nfs/dbraw/zinc/44/82/79/411448279.db2.gz CMTNKCRXNWRULW-KGLIPLIRSA-N 0 3 219.328 2.652 20 0 BFADHN Fc1ccc(CNCC2CCC2)c(F)c1F ZINC000657331818 411468143 /nfs/dbraw/zinc/46/81/43/411468143.db2.gz QHQPWTCVMRAJJU-UHFFFAOYSA-N 0 3 229.245 2.994 20 0 BFADHN CC[C@@H]1C[C@H](NCc2ccc(C)c(OC)c2)CO1 ZINC000657332241 411468248 /nfs/dbraw/zinc/46/82/48/411468248.db2.gz DQYPGYDTXHXUAT-UONOGXRCSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1c[nH]c(CNC[C@H](C)c2ccc(F)cc2)n1 ZINC000657347690 411475062 /nfs/dbraw/zinc/47/50/62/411475062.db2.gz DYFSFPFSBIHXRF-JTQLQIEISA-N 0 3 247.317 2.751 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H]2CCc3c2cccc3O)CO1 ZINC000657351568 411476045 /nfs/dbraw/zinc/47/60/45/411476045.db2.gz QDHYDRYUUYBIMA-GYSYKLTISA-N 0 3 247.338 2.537 20 0 BFADHN CC[C@@H]1C[C@H](NC2(c3ccc(F)cc3)CC2)CO1 ZINC000657351055 411476476 /nfs/dbraw/zinc/47/64/76/411476476.db2.gz ZUEFVBIVVGEKML-UONOGXRCSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3cccc(F)c3)CC2)[C@H](C)O1 ZINC000295441893 411478209 /nfs/dbraw/zinc/47/82/09/411478209.db2.gz OWNGIKUXYYXAJY-SUNKGSAMSA-N 0 3 249.329 2.970 20 0 BFADHN CCc1cccc2cc(CNC(C)(C)CO)oc21 ZINC000657356102 411478425 /nfs/dbraw/zinc/47/84/25/411478425.db2.gz HZOKRUYYDMGBPC-UHFFFAOYSA-N 0 3 247.338 2.856 20 0 BFADHN COCCCN(C)Cc1cccc(SC)c1 ZINC000659855826 411478642 /nfs/dbraw/zinc/47/86/42/411478642.db2.gz MRHVOBZVENPVMT-UHFFFAOYSA-N 0 3 239.384 2.877 20 0 BFADHN CC[C@H]1C[C@@H](NC2(c3ccccc3)CC2)CO1 ZINC000657357837 411478970 /nfs/dbraw/zinc/47/89/70/411478970.db2.gz FTRUFCKXLAMWRW-KGLIPLIRSA-N 0 3 231.339 2.833 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](COC)c2ccccc2)CO1 ZINC000657366982 411478989 /nfs/dbraw/zinc/47/89/89/411478989.db2.gz NOKPZMSATGPWOH-ZNMIVQPWSA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCOCC1(C)C ZINC000659858001 411480556 /nfs/dbraw/zinc/48/05/56/411480556.db2.gz PMZBPVJHFSJJOR-JTQLQIEISA-N 0 3 235.318 2.779 20 0 BFADHN CC/C=C/CN[C@H](C)c1cccc(CO)c1 ZINC000296384426 411480602 /nfs/dbraw/zinc/48/06/02/411480602.db2.gz JUWFOMDIWGQUPZ-ZYOFXKKJSA-N 0 3 219.328 2.796 20 0 BFADHN CC(C)CN(Cc1cn(C)cn1)C1CCCC1 ZINC000659859692 411481795 /nfs/dbraw/zinc/48/17/95/411481795.db2.gz MUGGRHKRCCTJPN-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)C2CCCCC2)n1 ZINC000657374352 411482359 /nfs/dbraw/zinc/48/23/59/411482359.db2.gz LZOGPHJORWDLLC-LLVKDONJSA-N 0 3 221.348 2.777 20 0 BFADHN CCN(Cc1ccoc1)Cc1cccc(N)c1 ZINC000659870563 411483844 /nfs/dbraw/zinc/48/38/44/411483844.db2.gz CXSYLDALGSACLD-UHFFFAOYSA-N 0 3 230.311 2.884 20 0 BFADHN C[C@H]1C[C@H](NCc2cc3n(n2)CCC3)C[C@@H](C)C1 ZINC000657371700 411484451 /nfs/dbraw/zinc/48/44/51/411484451.db2.gz LTGZZTNUYYXQSJ-ITGUQSILSA-N 0 3 247.386 2.744 20 0 BFADHN Cc1c[nH]c(CNC[C@@H](C)Cc2cccs2)n1 ZINC000657381508 411488203 /nfs/dbraw/zinc/48/82/03/411488203.db2.gz PZJKBKCBVKDHHP-JTQLQIEISA-N 0 3 249.383 2.748 20 0 BFADHN Cc1nc(CN2CCC[C@H]2C2CC2)c(C)o1 ZINC000659879583 411488817 /nfs/dbraw/zinc/48/88/17/411488817.db2.gz WFDQFOPHDLVIGZ-ZDUSSCGKSA-N 0 3 220.316 2.666 20 0 BFADHN CC(C)(C)c1cccc(CN2CCC[C@H]2CO)c1 ZINC000659880316 411489192 /nfs/dbraw/zinc/48/91/92/411489192.db2.gz LVWLNJCULHTMSP-HNNXBMFYSA-N 0 3 247.382 2.941 20 0 BFADHN Cc1c[nH]c(CN[C@@H](C)[C@H](C)c2ccccc2)n1 ZINC000657385766 411489236 /nfs/dbraw/zinc/48/92/36/411489236.db2.gz ZFCDYIBYIWRJHO-STQMWFEESA-N 0 3 243.354 3.000 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cn(C2CCC2)nn1 ZINC000657393610 411490432 /nfs/dbraw/zinc/49/04/32/411490432.db2.gz BUGNLYSGZPFDSO-SMDDNHRTSA-N 0 3 248.374 2.671 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CCCCC2(C)C)n1 ZINC000657394123 411492048 /nfs/dbraw/zinc/49/20/48/411492048.db2.gz GKZYLWVCLDATEU-LLVKDONJSA-N 0 3 221.348 2.777 20 0 BFADHN CC1(C)C[C@@H](N2Cc3ccccc3C2)CO1 ZINC000659904780 411493160 /nfs/dbraw/zinc/49/31/60/411493160.db2.gz RAXIBMYIOFJKDG-CYBMUJFWSA-N 0 3 217.312 2.570 20 0 BFADHN CCC1(CNCc2nc(C)c[nH]2)CCCC1 ZINC000657396987 411493781 /nfs/dbraw/zinc/49/37/81/411493781.db2.gz HVUQYKVKYRLIRS-UHFFFAOYSA-N 0 3 221.348 2.778 20 0 BFADHN Cc1cc(CN2[C@H](C)CCC[C@H]2C)nc(C)n1 ZINC000659909982 411495713 /nfs/dbraw/zinc/49/57/13/411495713.db2.gz HNRVQIJXPXEWML-VXGBXAGGSA-N 0 3 233.359 2.856 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cc(C)nc(C)n1 ZINC000659915426 411495936 /nfs/dbraw/zinc/49/59/36/411495936.db2.gz GPZANIORAANZPG-SNVBAGLBSA-N 0 3 221.348 2.571 20 0 BFADHN CC[C@H](C)CN(C)Cc1cc(C)nc(C)n1 ZINC000659915425 411496292 /nfs/dbraw/zinc/49/62/92/411496292.db2.gz GPZANIORAANZPG-JTQLQIEISA-N 0 3 221.348 2.571 20 0 BFADHN CC(C)n1ccnc1CNCC1(C2CC2)CC1 ZINC000657414784 411498852 /nfs/dbraw/zinc/49/88/52/411498852.db2.gz CGFUHUGUQCDWLK-UHFFFAOYSA-N 0 3 233.359 2.744 20 0 BFADHN CC[C@H]1C[C@H](NCc2cc(C)cc(OC)c2)CO1 ZINC000657414992 411499722 /nfs/dbraw/zinc/49/97/22/411499722.db2.gz YABYNKOZNLKZHH-KBPBESRZSA-N 0 3 249.354 2.661 20 0 BFADHN CCOc1ccc(CN[C@]2(C)CCOC2)c(C)c1 ZINC000657417197 411500770 /nfs/dbraw/zinc/50/07/70/411500770.db2.gz KDTCYBTVGQIYFW-OAHLLOKOSA-N 0 3 249.354 2.662 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2cnccc2C)CO1 ZINC000657424645 411504850 /nfs/dbraw/zinc/50/48/50/411504850.db2.gz DKHKNAWRPQLGCY-FRRDWIJNSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@@H](Cc1ccoc1)NCc1ccoc1 ZINC000336746347 411504994 /nfs/dbraw/zinc/50/49/94/411504994.db2.gz BVMAEHVICCFVFR-JTQLQIEISA-N 0 3 205.257 2.593 20 0 BFADHN C[C@@H]1CCCN(CCO[C@H]2CC2(F)F)[C@@H]1C ZINC000659948889 411507921 /nfs/dbraw/zinc/50/79/21/411507921.db2.gz DNNUVPSVWSDWAX-MXWKQRLJSA-N 0 3 233.302 2.531 20 0 BFADHN COc1cc(CN2C[C@H](C)CCC[C@H]2C)on1 ZINC000659952311 411510064 /nfs/dbraw/zinc/51/00/64/411510064.db2.gz LQVDNSVIAQCAPP-GHMZBOCLSA-N 0 3 238.331 2.694 20 0 BFADHN CCOc1ccc(CNC[C@H](C)OC)c(C)c1 ZINC000657446044 411512788 /nfs/dbraw/zinc/51/27/88/411512788.db2.gz KFRCEMFDYXDIEB-LBPRGKRZSA-N 0 3 237.343 2.518 20 0 BFADHN Cc1ncncc1[C@H](C)NC1(C2CCC2)CC1 ZINC000655793714 411515824 /nfs/dbraw/zinc/51/58/24/411515824.db2.gz ZSGZIOAUJSJAFN-NSHDSACASA-N 0 3 231.343 2.768 20 0 BFADHN CC(C)n1ccnc1CNC[C@H]1CCC[C@@H]1C ZINC000657454965 411516224 /nfs/dbraw/zinc/51/62/24/411516224.db2.gz CYJWLARDFOXMBC-QWHCGFSZSA-N 0 3 235.375 2.990 20 0 BFADHN Cn1ncc(C2CCC2)c1CN[C@@H]1CCC1(C)C ZINC000657464878 411518842 /nfs/dbraw/zinc/51/88/42/411518842.db2.gz AKQZUFRCEPFPJE-CQSZACIVSA-N 0 3 247.386 2.966 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1CC[C@H](C)C1 ZINC000657457619 411521143 /nfs/dbraw/zinc/52/11/43/411521143.db2.gz OJRCBBNFYFCPGK-QWHCGFSZSA-N 0 3 235.375 2.990 20 0 BFADHN c1coc(CN[C@H](C2CC2)[C@@H]2CCCCO2)c1 ZINC000655809793 411522833 /nfs/dbraw/zinc/52/28/33/411522833.db2.gz CNONOUXRMRQQGP-UONOGXRCSA-N 0 3 235.327 2.717 20 0 BFADHN Cc1cnc(CN[C@@H](C)Cc2ccccn2)s1 ZINC000655843942 411535063 /nfs/dbraw/zinc/53/50/63/411535063.db2.gz XEMQUZYGDKGJQS-JTQLQIEISA-N 0 3 247.367 2.567 20 0 BFADHN CC[C@H](CNCc1cc2c(cccc2C)[nH]1)OC ZINC000657471029 411523317 /nfs/dbraw/zinc/52/33/17/411523317.db2.gz YPYUNRMDJKSUME-CYBMUJFWSA-N 0 3 246.354 2.991 20 0 BFADHN CC1(NCc2cn3cccc(F)c3n2)CC=CC1 ZINC000655814998 411523651 /nfs/dbraw/zinc/52/36/51/411523651.db2.gz WWUPSMWFHNDSEO-UHFFFAOYSA-N 0 3 245.301 2.672 20 0 BFADHN CCC[C@@H]1[C@H](C)CCCN1Cc1cn(C)cn1 ZINC000660085189 411535093 /nfs/dbraw/zinc/53/50/93/411535093.db2.gz QENXKSBMNBOYCZ-TZMCWYRMSA-N 0 3 235.375 2.821 20 0 BFADHN CC(C)n1cncc1CNC1(C)CC=CC1 ZINC000655815504 411524537 /nfs/dbraw/zinc/52/45/37/411524537.db2.gz BJUZBFBPDDTROV-UHFFFAOYSA-N 0 3 219.332 2.662 20 0 BFADHN Cc1c[nH]c(CNCCC(C)(C)C2CC2)n1 ZINC000657477064 411524642 /nfs/dbraw/zinc/52/46/42/411524642.db2.gz WRXRSDQIAXMNFW-UHFFFAOYSA-N 0 3 221.348 2.634 20 0 BFADHN c1cc(CNCC2=CCCCC2)n(CC2CC2)n1 ZINC000657478251 411526162 /nfs/dbraw/zinc/52/61/62/411526162.db2.gz ZXOMBMNYZMUTAV-UHFFFAOYSA-N 0 3 245.370 2.883 20 0 BFADHN CC[C@H]1C[C@H](NCc2cc(C)cs2)CO1 ZINC000657481897 411526948 /nfs/dbraw/zinc/52/69/48/411526948.db2.gz ZRTBNQHXAGFJMR-QWRGUYRKSA-N 0 3 225.357 2.714 20 0 BFADHN Cc1cnc(CNC2(C)CC=CC2)s1 ZINC000655816525 411527656 /nfs/dbraw/zinc/52/76/56/411527656.db2.gz ZLGDCGQCDAGGFJ-UHFFFAOYSA-N 0 3 208.330 2.650 20 0 BFADHN CC(C)=CCCN1CCOC(C)(C)[C@@H]1C ZINC000660007931 411527671 /nfs/dbraw/zinc/52/76/71/411527671.db2.gz TYGJRKCBYWQBTG-LBPRGKRZSA-N 0 3 211.349 2.842 20 0 BFADHN CC/C=C/CNCc1cc(COC)cs1 ZINC000657480146 411528325 /nfs/dbraw/zinc/52/83/25/411528325.db2.gz RMKLEMITGSHFDU-SNAWJCMRSA-N 0 3 225.357 2.950 20 0 BFADHN CC[C@@H](NCc1nc(C)c[nH]1)C1CCCC1 ZINC000657483997 411529005 /nfs/dbraw/zinc/52/90/05/411529005.db2.gz AQARRFTYPCVDMN-GFCCVEGCSA-N 0 3 221.348 2.777 20 0 BFADHN CC[C@@H](NCc1cc2n(n1)CCC2)C1CCCC1 ZINC000657485086 411529817 /nfs/dbraw/zinc/52/98/17/411529817.db2.gz KIOKQHGEJRDPII-OAHLLOKOSA-N 0 3 247.386 2.888 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2CCC[C@@H]2C2CC2)n1 ZINC000657491276 411532149 /nfs/dbraw/zinc/53/21/49/411532149.db2.gz WYDLPAAZJYIIQA-CHWSQXEVSA-N 0 3 233.359 2.634 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1ccnn1CC1CC1 ZINC000657488846 411532168 /nfs/dbraw/zinc/53/21/68/411532168.db2.gz TYRQTIAOQWAPCD-UKRRQHHQSA-N 0 3 247.386 2.817 20 0 BFADHN COc1cccc(CN(C)[C@H]2COC(C)(C)C2)c1 ZINC000659826226 411464814 /nfs/dbraw/zinc/46/48/14/411464814.db2.gz JWDPTGJWXZVNME-CYBMUJFWSA-N 0 3 249.354 2.695 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cc(C)nc(C)n1 ZINC000659826277 411464923 /nfs/dbraw/zinc/46/49/23/411464923.db2.gz FAVVQSDVDRUHIZ-CQSZACIVSA-N 0 3 233.359 2.858 20 0 BFADHN CC[C@H]1CCCCN1Cc1cc(C)nc(C)n1 ZINC000659826275 411465044 /nfs/dbraw/zinc/46/50/44/411465044.db2.gz FAVVQSDVDRUHIZ-AWEZNQCLSA-N 0 3 233.359 2.858 20 0 BFADHN CC[C@H]1CCCCN1CCO[C@H]1CC1(F)F ZINC000659826974 411465804 /nfs/dbraw/zinc/46/58/04/411465804.db2.gz MHRGNICQGYMQHD-QWRGUYRKSA-N 0 3 233.302 2.675 20 0 BFADHN CCN1CCS[C@@H](c2ccccc2)C1 ZINC000363176571 170005773 /nfs/dbraw/zinc/00/57/73/170005773.db2.gz KKJMHOAWBGGZTQ-GFCCVEGCSA-N 0 3 207.342 2.796 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCCN2CCCC[C@H]12 ZINC000655855410 411538427 /nfs/dbraw/zinc/53/84/27/411538427.db2.gz GYZJAZSCJICEFB-ZIAGYGMSSA-N 0 3 248.370 2.695 20 0 BFADHN Cc1ccc(CN[C@H]2CCCN3CCCC[C@@H]23)o1 ZINC000655855915 411538868 /nfs/dbraw/zinc/53/88/68/411538868.db2.gz IYDLNOGPAYPBJS-GJZGRUSLSA-N 0 3 248.370 2.695 20 0 BFADHN CC(C)n1ccnc1CNC1CC2(CCC2)C1 ZINC000657503354 411539300 /nfs/dbraw/zinc/53/93/00/411539300.db2.gz GCFBDAXKCYASNJ-UHFFFAOYSA-N 0 3 233.359 2.886 20 0 BFADHN CCN1C[C@@H](C)N(Cc2ccccc2)C[C@@H]1C ZINC000306305009 170028025 /nfs/dbraw/zinc/02/80/25/170028025.db2.gz RNALYXYCHYFGGG-UONOGXRCSA-N 0 3 232.371 2.601 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2C[C@H]3C[C@H]3C2)cn1 ZINC000660117495 411539903 /nfs/dbraw/zinc/53/99/03/411539903.db2.gz JIGYVDBXOLUPFY-BTTYYORXSA-N 0 3 246.354 2.568 20 0 BFADHN Cc1occc1CN[C@H]1CCCN2CCCC[C@@H]12 ZINC000655858923 411540140 /nfs/dbraw/zinc/54/01/40/411540140.db2.gz BNOODZBWPVDMAI-GJZGRUSLSA-N 0 3 248.370 2.695 20 0 BFADHN CCN1C[C@H](C)N(Cc2ccsc2)C[C@@H]1C ZINC000353893176 170033433 /nfs/dbraw/zinc/03/34/33/170033433.db2.gz ZMIVSYUXSPJGOW-RYUDHWBXSA-N 0 3 238.400 2.663 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@@H](C)C2)nc(C)n1 ZINC000660120578 411540277 /nfs/dbraw/zinc/54/02/77/411540277.db2.gz DIWWISFVNWNZKP-VXGBXAGGSA-N 0 3 247.386 2.961 20 0 BFADHN CCN1C[C@H](C)[C@H](N[C@H]2CCCc3occc32)C1 ZINC000360047170 170040208 /nfs/dbraw/zinc/04/02/08/170040208.db2.gz SZMWNNWSBQKQOB-FPMFFAJLSA-N 0 3 248.370 2.587 20 0 BFADHN CC1=CCN(CCOCc2ccccc2)CC1 ZINC000660128031 411542208 /nfs/dbraw/zinc/54/22/08/411542208.db2.gz RZSQJVLKNPJYLS-UHFFFAOYSA-N 0 3 231.339 2.855 20 0 BFADHN CO[C@H](CN(C)[C@H](C)c1ccccn1)C1CCC1 ZINC000660139714 411544300 /nfs/dbraw/zinc/54/43/00/411544300.db2.gz DVZKRDSHSVGEFE-IUODEOHRSA-N 0 3 248.370 2.890 20 0 BFADHN Cc1ccoc1CN[C@H]1CCCN2CCCC[C@H]12 ZINC000655855409 411538336 /nfs/dbraw/zinc/53/83/36/411538336.db2.gz GYZJAZSCJICEFB-UONOGXRCSA-N 0 3 248.370 2.695 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1coc(C)n1 ZINC000660168517 411551095 /nfs/dbraw/zinc/55/10/95/411551095.db2.gz DEYHKTQYQBQQFE-BXKDBHETSA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@H](COCC1CC1)NCc1ccc(C)o1 ZINC000657560536 411551661 /nfs/dbraw/zinc/55/16/61/411551661.db2.gz JJIOUZTZJCUDIZ-CYBMUJFWSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1nc(CNC2[C@H](C)CCC[C@H]2C)co1 ZINC000660178983 411552060 /nfs/dbraw/zinc/55/20/60/411552060.db2.gz LOJJUESPJNDJFX-NXEZZACHSA-N 0 3 222.332 2.897 20 0 BFADHN CCNCc1c(F)cccc1OC(F)(F)F ZINC000283894348 170123940 /nfs/dbraw/zinc/12/39/40/170123940.db2.gz JSYVUUVQZNKCSY-UHFFFAOYSA-N 0 3 237.196 2.834 20 0 BFADHN CCNCc1c(C)nn(-c2ccccc2)c1C ZINC000035161791 170123982 /nfs/dbraw/zinc/12/39/82/170123982.db2.gz KVCMEGIZJYESPS-UHFFFAOYSA-N 0 3 229.327 2.599 20 0 BFADHN CCNCc1c(C)nn(Cc2ccccc2)c1C ZINC000035179432 170124481 /nfs/dbraw/zinc/12/44/81/170124481.db2.gz LPUGMFINMIJLGI-UHFFFAOYSA-N 0 3 243.354 2.658 20 0 BFADHN CCNCc1cccc2c1OC(C)(C)C2 ZINC000070800035 170128198 /nfs/dbraw/zinc/12/81/98/170128198.db2.gz WFRQRPYJJWMXOO-UHFFFAOYSA-N 0 3 205.301 2.510 20 0 BFADHN CCNCc1csc(-c2ccc(OC)cc2)n1 ZINC000040419299 170130590 /nfs/dbraw/zinc/13/05/90/170130590.db2.gz UXZNTEPIPWTWJC-UHFFFAOYSA-N 0 3 248.351 2.928 20 0 BFADHN CCN[C@@H](C)c1nc2c(s1)CCCC2 ZINC000041061279 170142647 /nfs/dbraw/zinc/14/26/47/170142647.db2.gz SUNWSVNLXHANIQ-QMMMGPOBSA-N 0 3 210.346 2.692 20 0 BFADHN CC(C)[C@@H]1CN(C[C@@H]2CC[C@H]3C[C@H]3C2)CCO1 ZINC000660220145 411555467 /nfs/dbraw/zinc/55/54/67/411555467.db2.gz HWHOWJUEYHDUST-BARDWOONSA-N 0 3 237.387 2.779 20 0 BFADHN CCN[C@@H](c1ccccc1)c1ccccn1 ZINC000019476083 170148122 /nfs/dbraw/zinc/14/81/22/170148122.db2.gz VWHDXXXJCVBXLL-AWEZNQCLSA-N 0 3 212.296 2.781 20 0 BFADHN C[C@H]1CC(CNCc2ncc[nH]2)C[C@H](C)C1 ZINC000657590012 411555717 /nfs/dbraw/zinc/55/57/17/411555717.db2.gz UMYMALVUWQBWMG-GHMZBOCLSA-N 0 3 221.348 2.572 20 0 BFADHN C[C@H]1CC(CNCc2nccn2C)C[C@H](C)C1 ZINC000657589277 411556041 /nfs/dbraw/zinc/55/60/41/411556041.db2.gz GTXDDSDLZMFWNQ-VXGBXAGGSA-N 0 3 235.375 2.582 20 0 BFADHN CO[C@H](CN1CC[C@H](C)[C@H](F)C1)C1CCCC1 ZINC000660221331 411556072 /nfs/dbraw/zinc/55/60/72/411556072.db2.gz OHEADGCZICBTDS-IACUBPJLSA-N 0 3 243.366 2.872 20 0 BFADHN CC1(C)C[C@H](NC/C=C\c2ccc(F)cc2)CO1 ZINC000657531757 411544783 /nfs/dbraw/zinc/54/47/83/411544783.db2.gz YGNIYZQPOKGQTI-NQHOJNORSA-N 0 3 249.329 2.996 20 0 BFADHN Cc1ccc(CNC[C@H](C)c2ccccn2)o1 ZINC000657532511 411545169 /nfs/dbraw/zinc/54/51/69/411545169.db2.gz ISMZHNFRWSHNML-NSHDSACASA-N 0 3 230.311 2.876 20 0 BFADHN Cc1ncsc1CNC[C@@H](C)c1ccccn1 ZINC000657532557 411545228 /nfs/dbraw/zinc/54/52/28/411545228.db2.gz JNINMWKPTGKIFW-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN CCN[C@H](C)c1cnn(C(C)(C)C)c1C ZINC000040932782 170160145 /nfs/dbraw/zinc/16/01/45/170160145.db2.gz AWBGGKRESAZTML-SECBINFHSA-N 0 3 209.337 2.617 20 0 BFADHN FC1(F)CC2(CN(CC3CC=CC3)C2)C1 ZINC000660146000 411545389 /nfs/dbraw/zinc/54/53/89/411545389.db2.gz COSPMYDFSCSLAN-UHFFFAOYSA-N 0 3 213.271 2.684 20 0 BFADHN CCc1nocc1CNC[C@H](C)c1ccccn1 ZINC000657536592 411545908 /nfs/dbraw/zinc/54/59/08/411545908.db2.gz YHVANSLSOSYNOE-NSHDSACASA-N 0 3 245.326 2.525 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN[C@H](CO)C2CCC2)o1 ZINC000657557610 411550531 /nfs/dbraw/zinc/55/05/31/411550531.db2.gz WXEAZPODEVQJPB-LERXQTSPSA-N 0 3 249.354 2.654 20 0 BFADHN CCOC(=O)CCN[C@H](CC)c1ccccc1 ZINC000045686272 170209938 /nfs/dbraw/zinc/20/99/38/170209938.db2.gz XUQYMHZCYDTBLF-CYBMUJFWSA-N 0 3 235.327 2.681 20 0 BFADHN Fc1cccc(CN[C@H]2COC3(CCC3)C2)c1 ZINC000657630074 411566540 /nfs/dbraw/zinc/56/65/40/411566540.db2.gz SHIQSVRTEZEHTG-CYBMUJFWSA-N 0 3 235.302 2.627 20 0 BFADHN Cc1ccc(CN[C@H]2COC3(CCC3)C2)s1 ZINC000657630152 411566694 /nfs/dbraw/zinc/56/66/94/411566694.db2.gz VRFBTHMMZJTQEQ-LLVKDONJSA-N 0 3 237.368 2.858 20 0 BFADHN Cc1cc(CN[C@H]2COC3(CCC3)C2)ccc1F ZINC000657630589 411567036 /nfs/dbraw/zinc/56/70/36/411567036.db2.gz KCOABQBLXZXRBZ-CYBMUJFWSA-N 0 3 249.329 2.935 20 0 BFADHN CCc1nocc1CNCCCOC(C)(C)C ZINC000657638013 411567739 /nfs/dbraw/zinc/56/77/39/411567739.db2.gz LJYXCLWRQNHZMQ-UHFFFAOYSA-N 0 3 240.347 2.532 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1nc(C)oc1C ZINC000660316966 411567775 /nfs/dbraw/zinc/56/77/75/411567775.db2.gz LZRBXLNLSVUXDT-RNCFNFMXSA-N 0 3 222.332 2.912 20 0 BFADHN CC(C)(C)c1ccc(CNC/C=C\CO)s1 ZINC000657592076 411556895 /nfs/dbraw/zinc/55/68/95/411556895.db2.gz FDRUHUVRICBNNG-PLNGDYQASA-N 0 3 239.384 2.684 20 0 BFADHN COc1cccc(OC)c1CN[C@H]1CCC[C@H]1C ZINC000657585343 411557194 /nfs/dbraw/zinc/55/71/94/411557194.db2.gz JDTMXCZGAFZEDI-YPMHNXCESA-N 0 3 249.354 2.982 20 0 BFADHN CC[C@@H](C)CCNCc1cn2ccccc2n1 ZINC000657585903 411557417 /nfs/dbraw/zinc/55/74/17/411557417.db2.gz CEHQNBNLAUNPIF-GFCCVEGCSA-N 0 3 231.343 2.860 20 0 BFADHN CC[C@@H](C)CCNCc1ccncc1F ZINC000657586882 411557894 /nfs/dbraw/zinc/55/78/94/411557894.db2.gz NTHPRKGMMIVWHT-SNVBAGLBSA-N 0 3 210.296 2.747 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cnccc1C)C1CCC1 ZINC000660243614 411558306 /nfs/dbraw/zinc/55/83/06/411558306.db2.gz YDGLHVLDSCDPLW-SWLSCSKDSA-N 0 3 248.370 2.856 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cnccc1C)C1CCC1 ZINC000660243616 411558418 /nfs/dbraw/zinc/55/84/18/411558418.db2.gz YDGLHVLDSCDPLW-WFASDCNBSA-N 0 3 248.370 2.856 20 0 BFADHN CCOC(=O)[C@@H](CC)N(C)C[C@@H]1CC=CCC1 ZINC000354080523 170250200 /nfs/dbraw/zinc/25/02/00/170250200.db2.gz AEOHKWKKFWOOEO-CHWSQXEVSA-N 0 3 239.359 2.616 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N1CCC[C@H](C)C1 ZINC000359990060 170250350 /nfs/dbraw/zinc/25/03/50/170250350.db2.gz CBBSRHFBVRMHCC-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1ccoc1CNCCc1cnccc1C ZINC000657588459 411558766 /nfs/dbraw/zinc/55/87/66/411558766.db2.gz RZVJTYUKODANGG-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CCc1nc([C@@H](C)N(C)C[C@H]2CC=CCC2)n[nH]1 ZINC000660247513 411559613 /nfs/dbraw/zinc/55/96/13/411559613.db2.gz HGAMUOOMEOMBQS-NEPJUHHUSA-N 0 3 248.374 2.716 20 0 BFADHN OC/C=C\CNCc1cccc(C2CCCC2)c1 ZINC000657593790 411560153 /nfs/dbraw/zinc/56/01/53/411560153.db2.gz KVGAXKCSZBSIJW-ARJAWSKDSA-N 0 3 245.366 2.982 20 0 BFADHN COC[C@H](NCC1CC=CC1)c1ccc(C)o1 ZINC000660251042 411560351 /nfs/dbraw/zinc/56/03/51/411560351.db2.gz LBDODNRNTVZKPS-ZDUSSCGKSA-N 0 3 235.327 2.831 20 0 BFADHN Cc1nc(CN[C@H]2CCCC[C@@H]2C)c(C)o1 ZINC000660256307 411560558 /nfs/dbraw/zinc/56/05/58/411560558.db2.gz HMVXMOWNTLWTBF-CABZTGNLSA-N 0 3 222.332 2.960 20 0 BFADHN CCCC[C@H](NCc1cn(C)cn1)C1CCC1 ZINC000660255253 411560789 /nfs/dbraw/zinc/56/07/89/411560789.db2.gz ZJAYXFLCQQHDFV-AWEZNQCLSA-N 0 3 235.375 2.869 20 0 BFADHN Cc1nc(CN[C@@H]2CCCC[C@H]2C)c(C)o1 ZINC000660256306 411560826 /nfs/dbraw/zinc/56/08/26/411560826.db2.gz HMVXMOWNTLWTBF-BXKDBHETSA-N 0 3 222.332 2.960 20 0 BFADHN CCO[C@H]1C[C@H](NCc2cc(F)cc(F)c2)C1 ZINC000657610676 411562621 /nfs/dbraw/zinc/56/26/21/411562621.db2.gz XFWRYYNMMYEEFT-JOCQHMNTSA-N 0 3 241.281 2.622 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccsc2C)C1 ZINC000657611756 411563341 /nfs/dbraw/zinc/56/33/41/411563341.db2.gz PQQOXOJADVKKFV-HAQNSBGRSA-N 0 3 225.357 2.714 20 0 BFADHN CCOC(=O)[C@H]1CCCN1CCC(C)(C)CC ZINC000362258112 170280483 /nfs/dbraw/zinc/28/04/83/170280483.db2.gz NSFVOJSDDPBVPT-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H]1CCN(CCO[C@H]2CC2(F)F)CC1(C)C ZINC000660277681 411563718 /nfs/dbraw/zinc/56/37/18/411563718.db2.gz OPCNXRSCFILJQS-QWRGUYRKSA-N 0 3 247.329 2.779 20 0 BFADHN Cc1cccc(CNCCO[C@@H]2CC2(F)F)c1 ZINC000657617184 411564245 /nfs/dbraw/zinc/56/42/45/411564245.db2.gz FBLMBPDJUMXSQR-GFCCVEGCSA-N 0 3 241.281 2.509 20 0 BFADHN Cc1cccc(CNCCO[C@H]2CC2(F)F)c1 ZINC000657617186 411564331 /nfs/dbraw/zinc/56/43/31/411564331.db2.gz FBLMBPDJUMXSQR-LBPRGKRZSA-N 0 3 241.281 2.509 20 0 BFADHN CC(C)=CCCN[C@H](CCO)c1ccco1 ZINC000660296517 411565359 /nfs/dbraw/zinc/56/53/59/411565359.db2.gz BWLDWGGJWDQCPC-GFCCVEGCSA-N 0 3 223.316 2.649 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCC[C@H]3C[C@H]32)s1 ZINC000657655055 411570880 /nfs/dbraw/zinc/57/08/80/411570880.db2.gz BHJHGNHFIKQWPU-SDDRHHMPSA-N 0 3 236.384 2.977 20 0 BFADHN Cc1nc(CN(C)[C@@H]2CCCC[C@H]2C)co1 ZINC000660367467 411572297 /nfs/dbraw/zinc/57/22/97/411572297.db2.gz IXPBEEBVWBUZKN-ZWNOBZJWSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1nc(CN(C)[C@H]2CCCC[C@@H]2C)co1 ZINC000660367464 411572365 /nfs/dbraw/zinc/57/23/65/411572365.db2.gz IXPBEEBVWBUZKN-GWCFXTLKSA-N 0 3 222.332 2.994 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1cnccc1C ZINC000275762601 170313594 /nfs/dbraw/zinc/31/35/94/170313594.db2.gz TWEWFRKAWUYNGM-LBPRGKRZSA-N 0 3 236.359 2.856 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1ccncc1F ZINC000340502451 170313821 /nfs/dbraw/zinc/31/38/21/170313821.db2.gz KGJQVENYWMKHRE-SNVBAGLBSA-N 0 3 240.322 2.686 20 0 BFADHN CCOC(CCCNCc1ccco1)OCC ZINC000237026386 170315187 /nfs/dbraw/zinc/31/51/87/170315187.db2.gz IHRJXAQDGLWHOQ-UHFFFAOYSA-N 0 3 241.331 2.549 20 0 BFADHN COc1cc(CN(C)[C@@H]2CCCC[C@@H]2C)on1 ZINC000660368047 411572955 /nfs/dbraw/zinc/57/29/55/411572955.db2.gz OWGHLFHOESHWRK-CMPLNLGQSA-N 0 3 238.331 2.694 20 0 BFADHN CCOC1CC(CN(C)[C@H](C)c2ccccn2)C1 ZINC000285504510 170324212 /nfs/dbraw/zinc/32/42/12/170324212.db2.gz FHXWVHJNMDPEBX-IYXRBSQSSA-N 0 3 248.370 2.890 20 0 BFADHN Fc1cnccc1CNCCCC1CCC1 ZINC000657668050 411573959 /nfs/dbraw/zinc/57/39/59/411573959.db2.gz WQJSLRFRZUBEMV-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN CN(C)c1ccncc1CNCCCC1CCC1 ZINC000657667950 411573968 /nfs/dbraw/zinc/57/39/68/411573968.db2.gz VBIMFWDHUATAOK-UHFFFAOYSA-N 0 3 247.386 2.818 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2ccccc2F)O1 ZINC000657668572 411574119 /nfs/dbraw/zinc/57/41/19/411574119.db2.gz YTNKPBFTSFZTPU-YPMHNXCESA-N 0 3 237.318 2.873 20 0 BFADHN C[C@@]1(CNCc2cccc(F)c2)CC1(F)F ZINC000657669752 411574625 /nfs/dbraw/zinc/57/46/25/411574625.db2.gz PYVYHMADKKYZSI-NSHDSACASA-N 0 3 229.245 2.961 20 0 BFADHN c1nc2c(s1)CN(C[C@@H]1C[C@H]3C[C@H]3C1)CC2 ZINC000660375179 411574821 /nfs/dbraw/zinc/57/48/21/411574821.db2.gz PCTMKBSWNIMFMW-JGPRNRPPSA-N 0 3 234.368 2.547 20 0 BFADHN CCOCC(C)(C)CN[C@H](C)c1nccs1 ZINC000290454012 170351982 /nfs/dbraw/zinc/35/19/82/170351982.db2.gz WDCBLFQBENTKPE-SNVBAGLBSA-N 0 3 242.388 2.856 20 0 BFADHN COc1ccnc(CN[C@@H]2CC[C@H](C)C2)c1F ZINC000657672993 411575652 /nfs/dbraw/zinc/57/56/52/411575652.db2.gz LYDPWUFGYQNMHJ-VHSXEESVSA-N 0 3 238.306 2.508 20 0 BFADHN CCOCC(C)(C)NCc1ccccc1 ZINC000293158380 170355179 /nfs/dbraw/zinc/35/51/79/170355179.db2.gz FJIQTRQYINDRLV-UHFFFAOYSA-N 0 3 207.317 2.591 20 0 BFADHN CCC[C@@H](C)CNCc1nccc(OC)c1F ZINC000657672758 411575740 /nfs/dbraw/zinc/57/57/40/411575740.db2.gz CXNNCGFSCYIFPY-SNVBAGLBSA-N 0 3 240.322 2.755 20 0 BFADHN CC[C@@]1(C)CN(C[C@@H]2C[C@H]3C[C@H]3C2)CCO1 ZINC000660377086 411575831 /nfs/dbraw/zinc/57/58/31/411575831.db2.gz BOJCZVDNKYXBSR-CRWXNKLISA-N 0 3 223.360 2.533 20 0 BFADHN Cc1ccoc1CNCCCOC(C)(C)C ZINC000657638867 411568333 /nfs/dbraw/zinc/56/83/33/411568333.db2.gz YQSWLOAVXOBQBB-UHFFFAOYSA-N 0 3 225.332 2.883 20 0 BFADHN Cc1noc(C)c1CNCCC(C)(C)F ZINC000657645020 411568537 /nfs/dbraw/zinc/56/85/37/411568537.db2.gz XPOCRLOWVRGYNB-UHFFFAOYSA-N 0 3 214.284 2.519 20 0 BFADHN CCOCCCCN[C@@H](C)c1cncs1 ZINC000165104237 170369109 /nfs/dbraw/zinc/36/91/09/170369109.db2.gz SGMJBZQNVUKXFS-JTQLQIEISA-N 0 3 228.361 2.610 20 0 BFADHN CCOCCCN(C)Cc1ccccc1OC ZINC000336716951 170370774 /nfs/dbraw/zinc/37/07/74/170370774.db2.gz WUQMXWYEMDRUSC-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN CCOCCCN1CCC=C(c2ccco2)C1 ZINC000352563384 170371562 /nfs/dbraw/zinc/37/15/62/170371562.db2.gz QQGRSNNWIGICRU-UHFFFAOYSA-N 0 3 235.327 2.795 20 0 BFADHN C(CC1CCC1)CN1CCO[C@@H](C2CC2)C1 ZINC000660323005 411568917 /nfs/dbraw/zinc/56/89/17/411568917.db2.gz PPBCUUUBLSGQSH-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN CCOCCCN1CCC[C@H]1c1cc(C)no1 ZINC000336705706 170373177 /nfs/dbraw/zinc/37/31/77/170373177.db2.gz NVOTYUGWIWVUTA-LBPRGKRZSA-N 0 3 238.331 2.547 20 0 BFADHN CCOCCCN1Cc2ccccc2OC[C@H]1C ZINC000359876830 170376046 /nfs/dbraw/zinc/37/60/46/170376046.db2.gz PKHPZYDSEMKJFB-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN CCCn1nccc1CNCCC(C)(C)F ZINC000657646061 411569368 /nfs/dbraw/zinc/56/93/68/411569368.db2.gz SUMCMOCUYKIHOP-UHFFFAOYSA-N 0 3 227.327 2.521 20 0 BFADHN CCOCCCNC1(c2ccc(F)cc2)CC1 ZINC000357706721 170381003 /nfs/dbraw/zinc/38/10/03/170381003.db2.gz ZDHVMFOSRASOAR-UHFFFAOYSA-N 0 3 237.318 2.831 20 0 BFADHN CCOCCCNCc1cccc(Cl)c1F ZINC000083374610 170381610 /nfs/dbraw/zinc/38/16/10/170381610.db2.gz UWWLEHWLPBKAJO-UHFFFAOYSA-N 0 3 245.725 2.995 20 0 BFADHN CCOCCCN[C@H](C)c1ccc(Cl)cn1 ZINC000274865490 170383445 /nfs/dbraw/zinc/38/34/45/170383445.db2.gz DRPMVERRICZOER-SNVBAGLBSA-N 0 3 242.750 2.812 20 0 BFADHN COc1ccc(CNCCc2ccoc2)cc1F ZINC000657652078 411569802 /nfs/dbraw/zinc/56/98/02/411569802.db2.gz AKSMFKISCQKJCE-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN OC1(C(F)F)CCN(CCCC2CCC2)CC1 ZINC000660332223 411569998 /nfs/dbraw/zinc/56/99/98/411569998.db2.gz ISPNMSGAANUCCC-UHFFFAOYSA-N 0 3 247.329 2.659 20 0 BFADHN COc1ccc(CNCCc2ccoc2)c(F)c1 ZINC000657653292 411570257 /nfs/dbraw/zinc/57/02/57/411570257.db2.gz IUKUCFKSIJIYBX-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN CCOCCN(C)C[C@@H]1CCOc2ccccc21 ZINC000360019985 170389426 /nfs/dbraw/zinc/38/94/26/170389426.db2.gz STRIXSRLNRDINP-ZDUSSCGKSA-N 0 3 249.354 2.521 20 0 BFADHN CCOCCN(C)c1ccnc2ccccc21 ZINC000271434564 170391671 /nfs/dbraw/zinc/39/16/71/170391671.db2.gz NLLWHOJZNMQONY-UHFFFAOYSA-N 0 3 230.311 2.708 20 0 BFADHN CCOCCN(C)[C@@H](CC)c1ccncc1 ZINC000119814576 170391830 /nfs/dbraw/zinc/39/18/30/170391830.db2.gz TVFZGOQUORMLAP-ZDUSSCGKSA-N 0 3 222.332 2.501 20 0 BFADHN CCOCCN(CC)Cc1ccc(F)cc1 ZINC000128232971 170395773 /nfs/dbraw/zinc/39/57/73/170395773.db2.gz RSTVIFYINKGAKS-UHFFFAOYSA-N 0 3 225.307 2.684 20 0 BFADHN CCOCCN(CC)[C@H](C)c1cccc(O)c1 ZINC000148793926 170396111 /nfs/dbraw/zinc/39/61/11/170396111.db2.gz WQCHHBSEBMDCHM-GFCCVEGCSA-N 0 3 237.343 2.812 20 0 BFADHN CCOCCN1CCC(c2ccc(C)nc2)CC1 ZINC000371735169 170397428 /nfs/dbraw/zinc/39/74/28/170397428.db2.gz QTVMWYIPJRKUHQ-UHFFFAOYSA-N 0 3 248.370 2.606 20 0 BFADHN Cc1nc(CN[C@H]2CCC[C@@H]3C[C@@H]32)c(C)o1 ZINC000660778008 411627904 /nfs/dbraw/zinc/62/79/04/411627904.db2.gz AXQOBBGRVYPAKE-WOPDTQHZSA-N 0 3 220.316 2.570 20 0 BFADHN FCCOCCNCc1cc(F)cc(Cl)c1 ZINC000663340341 411631121 /nfs/dbraw/zinc/63/11/21/411631121.db2.gz DPDKZEFXIUYQMU-UHFFFAOYSA-N 0 3 249.688 2.555 20 0 BFADHN OCC1(N(Cc2ccccc2F)C2CC2)CCC1 ZINC000660788717 411633072 /nfs/dbraw/zinc/63/30/72/411633072.db2.gz XZTXXHSEAWLPHP-UHFFFAOYSA-N 0 3 249.329 2.705 20 0 BFADHN Cc1cc(CN2CC(C)(C)C[C@@H]2C)nc(C)n1 ZINC000660804617 411640159 /nfs/dbraw/zinc/64/01/59/411640159.db2.gz GIDLBBLEBQLJFH-NSHDSACASA-N 0 3 233.359 2.714 20 0 BFADHN CCC(CC)CNCc1nccc(OC)c1F ZINC000657673419 411576093 /nfs/dbraw/zinc/57/60/93/411576093.db2.gz QHLQCNRDSGYXRN-UHFFFAOYSA-N 0 3 240.322 2.755 20 0 BFADHN Cc1ncccc1CNC[C@@]1(C)CC1(F)F ZINC000657663669 411576386 /nfs/dbraw/zinc/57/63/86/411576386.db2.gz DYRYYZHDFQMUQQ-LLVKDONJSA-N 0 3 226.270 2.525 20 0 BFADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1cncc(F)c1 ZINC000657665537 411577694 /nfs/dbraw/zinc/57/76/94/411577694.db2.gz NHJWQFBSQHOIDU-KCJUWKMLSA-N 0 3 244.260 2.917 20 0 BFADHN CCc1ccc(CNC[C@]2(C)CC2(F)F)o1 ZINC000657665946 411577844 /nfs/dbraw/zinc/57/78/44/411577844.db2.gz QEDDTKGVDWHPAH-NSHDSACASA-N 0 3 229.270 2.977 20 0 BFADHN Oc1ccc2c(c1)[C@H](N[C@H]1CCCSC1)CC2 ZINC000657694170 411578364 /nfs/dbraw/zinc/57/83/64/411578364.db2.gz SNTOLLLGDPUATO-SMDDNHRTSA-N 0 3 249.379 2.865 20 0 BFADHN CSc1cccc(CN(C)CCCCO)c1 ZINC000660391272 411578471 /nfs/dbraw/zinc/57/84/71/411578471.db2.gz PQCVQTILDGUEMY-UHFFFAOYSA-N 0 3 239.384 2.613 20 0 BFADHN CC[C@](C)(NCc1nccs1)C1CC1 ZINC000657688366 411578518 /nfs/dbraw/zinc/57/85/18/411578518.db2.gz KRXWATATBMLUPK-NSHDSACASA-N 0 3 210.346 2.811 20 0 BFADHN CC[C@](C)(NCc1cc2n(n1)CCCC2)C1CC1 ZINC000657686954 411578573 /nfs/dbraw/zinc/57/85/73/411578573.db2.gz GEQCXDNNUCPSNH-HNNXBMFYSA-N 0 3 247.386 2.888 20 0 BFADHN CC[C@@](C)(NCc1cn(C2CCC2)nn1)C1CC1 ZINC000657686815 411578647 /nfs/dbraw/zinc/57/86/47/411578647.db2.gz CUTAWZSLUNDJBR-CQSZACIVSA-N 0 3 248.374 2.671 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCc3ccc(O)cc32)[C@H](C)O1 ZINC000657694048 411579763 /nfs/dbraw/zinc/57/97/63/411579763.db2.gz RBCHLNFXFXJALR-MMDVMMEASA-N 0 3 247.338 2.535 20 0 BFADHN Cn1ccc(CN[C@@H]2CCc3ccc(O)cc32)c1 ZINC000657692754 411579968 /nfs/dbraw/zinc/57/99/68/411579968.db2.gz CSYVGPXLSLPCPT-OAHLLOKOSA-N 0 3 242.322 2.508 20 0 BFADHN C[C@H]1COC(C)(C)CN1CCC1CCC1 ZINC000660406679 411580832 /nfs/dbraw/zinc/58/08/32/411580832.db2.gz GVTNMEIQYNRLAZ-NSHDSACASA-N 0 3 211.349 2.676 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1cc(C2CC2)no1 ZINC000660409873 411580962 /nfs/dbraw/zinc/58/09/62/411580962.db2.gz INYRSLZSKAWHGU-CABZTGNLSA-N 0 3 220.316 2.830 20 0 BFADHN Cc1nc(CN[C@H]2CCC[C@@H]2C)c(C)o1 ZINC000660411493 411581574 /nfs/dbraw/zinc/58/15/74/411581574.db2.gz YHMCEIOGYDQVOW-KWQFWETISA-N 0 3 208.305 2.570 20 0 BFADHN Cc1ccc(C)c(CN[C@@H]2C[C@@H](O)C23CCC3)c1 ZINC000657702782 411583281 /nfs/dbraw/zinc/58/32/81/411583281.db2.gz JHGSUXNIUSDYKE-HUUCEWRRSA-N 0 3 245.366 2.697 20 0 BFADHN Cc1ccc(C)c(CN[C@@H]2C[C@H](O)C23CCC3)c1 ZINC000657702780 411583309 /nfs/dbraw/zinc/58/33/09/411583309.db2.gz JHGSUXNIUSDYKE-CABCVRRESA-N 0 3 245.366 2.697 20 0 BFADHN Cc1cccc(CN[C@H]2C[C@@H](O)C23CCC3)c1C ZINC000657703820 411583974 /nfs/dbraw/zinc/58/39/74/411583974.db2.gz PYBDGKQKLLWUEV-LSDHHAIUSA-N 0 3 245.366 2.697 20 0 BFADHN c1cc([C@@H]2CCCN2CCC2CCC2)n[nH]1 ZINC000660431184 411583960 /nfs/dbraw/zinc/58/39/60/411583960.db2.gz IVMDBYHXRAEGCU-ZDUSSCGKSA-N 0 3 219.332 2.737 20 0 BFADHN c1cc([C@@H]2CCCN2C[C@@H]2CC[C@H]3C[C@H]3C2)n[nH]1 ZINC000660432177 411584492 /nfs/dbraw/zinc/58/44/92/411584492.db2.gz QMPRZGNSGKJBEH-COMQUAJESA-N 0 3 245.370 2.983 20 0 BFADHN Cc1cc(C)cc(CN[C@@H]2C[C@H](O)C23CCC3)c1 ZINC000657705444 411584585 /nfs/dbraw/zinc/58/45/85/411584585.db2.gz YRYVITFXRPAGPJ-CABCVRRESA-N 0 3 245.366 2.697 20 0 BFADHN C[C@H]1C[C@H](C)N1CCOCc1ccccc1 ZINC000660444594 411585899 /nfs/dbraw/zinc/58/58/99/411585899.db2.gz DCPGMYSCZRUYQP-STQMWFEESA-N 0 3 219.328 2.686 20 0 BFADHN Cc1ccc(CN(CCO)CCC2CCC2)o1 ZINC000660453496 411587342 /nfs/dbraw/zinc/58/73/42/411587342.db2.gz OQVCQMVCGSVOCF-UHFFFAOYSA-N 0 3 237.343 2.573 20 0 BFADHN Cc1ncccc1CNC[C@@H]1C(C)(C)C1(F)F ZINC000657736290 411587633 /nfs/dbraw/zinc/58/76/33/411587633.db2.gz BHCCPAVTQSCFRR-LLVKDONJSA-N 0 3 240.297 2.771 20 0 BFADHN Fc1ccc(CN[C@H]2[C@@H]3CCC[C@@H]32)c(F)c1F ZINC000657734061 411587635 /nfs/dbraw/zinc/58/76/35/411587635.db2.gz CRZHHBKSSUIAJG-WOFXILAISA-N 0 3 241.256 2.992 20 0 BFADHN CC1(C)[C@@H](CNCc2ccncc2F)C1(F)F ZINC000657739228 411589035 /nfs/dbraw/zinc/58/90/35/411589035.db2.gz PVZDJYCKJLNCBR-SNVBAGLBSA-N 0 3 244.260 2.602 20 0 BFADHN CC(C)n1cc(CNC(C)(C2CC2)C2CC2)nn1 ZINC000657755185 411590140 /nfs/dbraw/zinc/59/01/40/411590140.db2.gz HCYXWGCRRGCDLK-UHFFFAOYSA-N 0 3 248.374 2.527 20 0 BFADHN CC1(C)C[C@]1(C)NCc1cccc2c1OCO2 ZINC000657760857 411590637 /nfs/dbraw/zinc/59/06/37/411590637.db2.gz ANKRIOVZRNXLOR-AWEZNQCLSA-N 0 3 233.311 2.694 20 0 BFADHN Cn1cc(CN[C@@]2(C)CC2(C)C)c(C(F)F)n1 ZINC000657760985 411590757 /nfs/dbraw/zinc/59/07/57/411590757.db2.gz CTTRBUKVPRECHT-LBPRGKRZSA-N 0 3 243.301 2.636 20 0 BFADHN CC1(C)C[C@]1(C)NCc1cn2cccc(F)c2n1 ZINC000657760893 411590822 /nfs/dbraw/zinc/59/08/22/411590822.db2.gz BQBHQMZEWDQCLN-AWEZNQCLSA-N 0 3 247.317 2.752 20 0 BFADHN CC1(C)C[C@]1(C)NCc1ccnn1CC1CC1 ZINC000657761491 411591437 /nfs/dbraw/zinc/59/14/37/411591437.db2.gz MBSNKYGAXIDWNU-AWEZNQCLSA-N 0 3 233.359 2.571 20 0 BFADHN Cc1ncsc1CN[C@@]1(C)CC1(C)C ZINC000657761494 411591794 /nfs/dbraw/zinc/59/17/94/411591794.db2.gz MEXMJOBMRFESBX-NSHDSACASA-N 0 3 210.346 2.730 20 0 BFADHN CCc1ncc(CNCC2=CCCC2)s1 ZINC000657749890 411592499 /nfs/dbraw/zinc/59/24/99/411592499.db2.gz RYTYTPYYLDFLIF-UHFFFAOYSA-N 0 3 222.357 2.905 20 0 BFADHN CO[C@H](CNCc1cccnc1C)C1CCCC1 ZINC000657766044 411593307 /nfs/dbraw/zinc/59/33/07/411593307.db2.gz GZMAPTLPZNBNRI-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN CO[C@@H](CNCc1ccoc1C)C1CCCC1 ZINC000657769005 411593612 /nfs/dbraw/zinc/59/36/12/411593612.db2.gz SWDIWIJMHAUJOZ-AWEZNQCLSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1nc(CNC2(CC(C)C)CC2)[nH]c1C ZINC000657776255 411594227 /nfs/dbraw/zinc/59/42/27/411594227.db2.gz XZWIIJJLAHQEMS-UHFFFAOYSA-N 0 3 221.348 2.695 20 0 BFADHN CCCn1nccc1CNC1(CC(C)C)CC1 ZINC000657774891 411594440 /nfs/dbraw/zinc/59/44/40/411594440.db2.gz NIIZQAKTHCWWBV-UHFFFAOYSA-N 0 3 235.375 2.961 20 0 BFADHN CCc1nn(C)cc1CNC1(CC(C)C)CC1 ZINC000657774349 411594529 /nfs/dbraw/zinc/59/45/29/411594529.db2.gz FKELCSALVOQERB-UHFFFAOYSA-N 0 3 235.375 2.651 20 0 BFADHN CCCn1cc(CNC2(CC(C)C)CC2)cn1 ZINC000657775299 411594578 /nfs/dbraw/zinc/59/45/78/411594578.db2.gz RNTPWWRPUBBZJJ-UHFFFAOYSA-N 0 3 235.375 2.961 20 0 BFADHN Cc1occc1CNCCCc1ccco1 ZINC000657783234 411594830 /nfs/dbraw/zinc/59/48/30/411594830.db2.gz SLGBOPJXDHTLSL-UHFFFAOYSA-N 0 3 219.284 2.903 20 0 BFADHN CO[C@@]1(C)C[C@H]1NC/C=C\c1ccc(F)cc1 ZINC000657788483 411595965 /nfs/dbraw/zinc/59/59/65/411595965.db2.gz QWIQAJPQMBMUHK-TYHIMRJMSA-N 0 3 235.302 2.606 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1cccc(C)c1C ZINC000657787441 411595971 /nfs/dbraw/zinc/59/59/71/411595971.db2.gz BMFDLZLLQGCBBC-KGLIPLIRSA-N 0 3 219.328 2.570 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1nccc2ccccc21 ZINC000657787968 411596037 /nfs/dbraw/zinc/59/60/37/411596037.db2.gz DAOXCJKLDJFMKP-CABCVRRESA-N 0 3 242.322 2.502 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1coc2ccccc12 ZINC000657788390 411596156 /nfs/dbraw/zinc/59/61/56/411596156.db2.gz OOASBRWHYHQCHF-KGLIPLIRSA-N 0 3 231.295 2.700 20 0 BFADHN c1cc([C@@H]2CCCCN2C[C@@H]2C[C@H]3C[C@H]3C2)n[nH]1 ZINC000660533876 411596374 /nfs/dbraw/zinc/59/63/74/411596374.db2.gz XXPJAAICRJIZLT-XFMPKHEZSA-N 0 3 245.370 2.983 20 0 BFADHN C[C@H](N[C@H]1CC(C)(C)C[C@H]1C)c1nccn1C ZINC000657792426 411597937 /nfs/dbraw/zinc/59/79/37/411597937.db2.gz AYUWJHMBCMXVKE-WOPDTQHZSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@H](C)CN[C@@H](CO)c1ccc(F)cc1F ZINC000657792111 411598216 /nfs/dbraw/zinc/59/82/16/411598216.db2.gz LFRMVMZJAXHDSU-ZANVPECISA-N 0 3 243.297 2.634 20 0 BFADHN CCCn1cc(CNCCCc2ccco2)cn1 ZINC000657782440 411598252 /nfs/dbraw/zinc/59/82/52/411598252.db2.gz LSGSLIFUAXMGAM-UHFFFAOYSA-N 0 3 247.342 2.609 20 0 BFADHN CC[C@@H](C)CN[C@H](CO)c1ccc(F)cc1F ZINC000657792105 411598347 /nfs/dbraw/zinc/59/83/47/411598347.db2.gz LFRMVMZJAXHDSU-NOZJJQNGSA-N 0 3 243.297 2.634 20 0 BFADHN C[C@@H](NCC1CC=CC1)c1c(F)cncc1F ZINC000660550470 411599300 /nfs/dbraw/zinc/59/93/00/411599300.db2.gz FHYNTVBHXZYHQS-SECBINFHSA-N 0 3 238.281 2.977 20 0 BFADHN Cc1nc(CN2CCC[C@H](C3CC3)C2)c(C)o1 ZINC000660561068 411600406 /nfs/dbraw/zinc/60/04/06/411600406.db2.gz PVRRFRASADZLOS-ZDUSSCGKSA-N 0 3 234.343 2.913 20 0 BFADHN FC1(F)C[C@H]1OCCN1CCC[C@H](C2CC2)C1 ZINC000660560623 411600669 /nfs/dbraw/zinc/60/06/69/411600669.db2.gz MHZAJEQJXAAHRE-NWDGAFQWSA-N 0 3 245.313 2.533 20 0 BFADHN CCc1oc2ccccc2c1CN[C@H]1C[C@H](O)C1 ZINC000657809566 411601575 /nfs/dbraw/zinc/60/15/75/411601575.db2.gz KNNUABYJQZKCBU-XYPYZODXSA-N 0 3 245.322 2.608 20 0 BFADHN CCOC1CCC(NCc2ccco2)CC1 ZINC000657815723 411604719 /nfs/dbraw/zinc/60/47/19/411604719.db2.gz FESLZUYPEZYUBY-UHFFFAOYSA-N 0 3 223.316 2.717 20 0 BFADHN CCOCCCN[C@@H](C)c1cc2cnccc2o1 ZINC000657814619 411604806 /nfs/dbraw/zinc/60/48/06/411604806.db2.gz CSAKPNZQDZPRHS-NSHDSACASA-N 0 3 248.326 2.905 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1ccc(C(F)F)nc1 ZINC000657816211 411605070 /nfs/dbraw/zinc/60/50/70/411605070.db2.gz JWUDPAQJRYLIJB-BDAKNGLRSA-N 0 3 244.285 2.705 20 0 BFADHN CO[C@@H](CN(C)Cc1occc1C)C1CCC1 ZINC000660615214 411608118 /nfs/dbraw/zinc/60/81/18/411608118.db2.gz RAYLBRSZPLCZPN-AWEZNQCLSA-N 0 3 237.343 2.835 20 0 BFADHN Cc1nc(CN[C@H]2CC3CCC2CC3)c(C)o1 ZINC000660625966 411608722 /nfs/dbraw/zinc/60/87/22/411608722.db2.gz UDYUMPGAXGDQJX-BPCQOVAHSA-N 0 3 234.343 2.960 20 0 BFADHN CC(C)OCCN1CCC[C@H](c2ccccn2)C1 ZINC000660625016 411608997 /nfs/dbraw/zinc/60/89/97/411608997.db2.gz CKKJUYKZNYBQDM-AWEZNQCLSA-N 0 3 248.370 2.686 20 0 BFADHN Cc1ccsc1CN[C@H]1CCCn2nccc21 ZINC000657850204 411611649 /nfs/dbraw/zinc/61/16/49/411611649.db2.gz OPHHYVIYXYPALH-NSHDSACASA-N 0 3 247.367 2.878 20 0 BFADHN C[C@H](NCC1(C)COC1)c1cc2cnccc2o1 ZINC000657876363 411614908 /nfs/dbraw/zinc/61/49/08/411614908.db2.gz ASHKZTUYFLDTAF-JTQLQIEISA-N 0 3 246.310 2.515 20 0 BFADHN CCc1nocc1CN(C)CCC1CCC1 ZINC000660657005 411615159 /nfs/dbraw/zinc/61/51/59/411615159.db2.gz OLIQTOFHMOIHGE-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN C[C@H](N[C@@H]1CCCOC1)c1cc2cnccc2o1 ZINC000657875279 411615369 /nfs/dbraw/zinc/61/53/69/411615369.db2.gz IUMFSXYQSMLAHZ-CMPLNLGQSA-N 0 3 246.310 2.657 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1cc2cnccc2o1 ZINC000657878392 411615768 /nfs/dbraw/zinc/61/57/68/411615768.db2.gz JTLLNUQVLUOMIG-ZYHUDNBSSA-N 0 3 248.326 2.639 20 0 BFADHN CN1CCN(CC2CC=CC2)CC12CCCCC2 ZINC000660672487 411616241 /nfs/dbraw/zinc/61/62/41/411616241.db2.gz PBLLMPOFVCXUMR-UHFFFAOYSA-N 0 3 248.414 2.903 20 0 BFADHN Cc1ccc(C)c([C@@H](C)NCCOCCF)c1 ZINC000663311644 411621848 /nfs/dbraw/zinc/62/18/48/411621848.db2.gz ZIWGYEIVKCXFMY-CYBMUJFWSA-N 0 3 239.334 2.940 20 0 BFADHN CCO[C@H](CN[C@@H]1CCO[C@@H]1C)c1ccccc1 ZINC000193428614 170504751 /nfs/dbraw/zinc/50/47/51/170504751.db2.gz BRQPORGESATLGU-BPLDGKMQSA-N 0 3 249.354 2.531 20 0 BFADHN Cc1nc(CN2CC[C@@H]3CCCC[C@@H]32)co1 ZINC000660897512 411663424 /nfs/dbraw/zinc/66/34/24/411663424.db2.gz LKBCRWPYNYFRCJ-AAEUAGOBSA-N 0 3 220.316 2.748 20 0 BFADHN Cc1cc([C@H](C)NCCOCCF)c(C)o1 ZINC000663462129 411663483 /nfs/dbraw/zinc/66/34/83/411663483.db2.gz LRNPVWVJRZMIBK-JTQLQIEISA-N 0 3 229.295 2.533 20 0 BFADHN Cc1cccc([C@H](C)NCCOCCF)c1C ZINC000663462123 411663704 /nfs/dbraw/zinc/66/37/04/411663704.db2.gz LKVFEFLIPDRVAE-ZDUSSCGKSA-N 0 3 239.334 2.940 20 0 BFADHN Cc1cc(C)cc([C@@H](C)NCCOCCF)c1 ZINC000663463700 411664887 /nfs/dbraw/zinc/66/48/87/411664887.db2.gz NCAJYKPKYGZPSI-CYBMUJFWSA-N 0 3 239.334 2.940 20 0 BFADHN CCCN(Cc1cc(C)nc(C)n1)C(C)C ZINC000660925122 411674668 /nfs/dbraw/zinc/67/46/68/411674668.db2.gz SVIUFHSKLBTTMP-UHFFFAOYSA-N 0 3 221.348 2.714 20 0 BFADHN CCOc1ccc(CN2CC(C)C2)cc1 ZINC000338949115 170542337 /nfs/dbraw/zinc/54/23/37/170542337.db2.gz AAUOIGNPRVBNCV-UHFFFAOYSA-N 0 3 205.301 2.537 20 0 BFADHN Cc1cnc([C@H](C)N2C[C@@H]3CCCC[C@@H]32)cn1 ZINC000660934803 411683513 /nfs/dbraw/zinc/68/35/13/411683513.db2.gz VUARDFDVIWQDAA-OBJOEFQTSA-N 0 3 231.343 2.720 20 0 BFADHN c1ncc(CCN2C[C@@H]3CCCC[C@@H]32)s1 ZINC000660934869 411683539 /nfs/dbraw/zinc/68/35/39/411683539.db2.gz WNPISTBUBZNHRZ-JQWIXIFHSA-N 0 3 222.357 2.560 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1coc(C)n1 ZINC000661232208 411737370 /nfs/dbraw/zinc/73/73/70/411737370.db2.gz UXLIZEBWBJALSQ-VIFPVBQESA-N 0 3 210.321 2.897 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@@H]1CC[C@@H](C)O1)CC2 ZINC000661371486 411756255 /nfs/dbraw/zinc/75/62/55/411756255.db2.gz KTQDGPUGPDEZAJ-CJNGLKHVSA-N 0 3 245.366 2.921 20 0 BFADHN Cc1ccc2c(c1)CN(CCOC1CCC1)CC2 ZINC000661372755 411759091 /nfs/dbraw/zinc/75/90/91/411759091.db2.gz OKTRFROIELXXIB-UHFFFAOYSA-N 0 3 245.366 2.922 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@@H]1CCCCO1)CC2 ZINC000661376133 411759980 /nfs/dbraw/zinc/75/99/80/411759980.db2.gz VSXABJFWRLPHKX-INIZCTEOSA-N 0 3 245.366 2.922 20 0 BFADHN OCCCCN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661445686 411777771 /nfs/dbraw/zinc/77/77/71/411777771.db2.gz JXEZOAAYJJZGEO-AWEZNQCLSA-N 0 3 249.354 2.969 20 0 BFADHN CCSCC[C@@H](C)NCc1ccccn1 ZINC000127497262 170642272 /nfs/dbraw/zinc/64/22/72/170642272.db2.gz PNJPKZWFFSWNAJ-LLVKDONJSA-N 0 3 224.373 2.703 20 0 BFADHN CC[C@@H](O)CN[C@H](c1ccccc1F)C(C)C ZINC000661484882 411785251 /nfs/dbraw/zinc/78/52/51/411785251.db2.gz NFJAMJXLJXFTLR-RISCZKNCSA-N 0 3 239.334 2.883 20 0 BFADHN C[C@H](c1ccccn1)N1C[C@]2(C)COC[C@]2(C)C1 ZINC000661489147 411787189 /nfs/dbraw/zinc/78/71/89/411787189.db2.gz DCPFTTHTLXPBAJ-YUELXQCFSA-N 0 3 246.354 2.501 20 0 BFADHN c1coc(C2CCN(C[C@@H]3CCCO3)CC2)c1 ZINC000661164079 411723441 /nfs/dbraw/zinc/72/34/41/411723441.db2.gz ATUPHPHRSVDZGX-ZDUSSCGKSA-N 0 3 235.327 2.638 20 0 BFADHN c1coc(C2CCN([C@@H]3CCCOC3)CC2)c1 ZINC000661162304 411724040 /nfs/dbraw/zinc/72/40/40/411724040.db2.gz PGIHYINRBQVXEM-CYBMUJFWSA-N 0 3 235.327 2.638 20 0 BFADHN C[C@]1(C2CC2)CN([C@@H]2C=CCCC2)CCO1 ZINC000661169671 411725641 /nfs/dbraw/zinc/72/56/41/411725641.db2.gz FZUNCAMALGREDL-ZIAGYGMSSA-N 0 3 221.344 2.596 20 0 BFADHN COCCCCN1CC[C@@H]1c1ccc(F)cc1 ZINC000661514159 411791415 /nfs/dbraw/zinc/79/14/15/411791415.db2.gz QNUHQIXFTODABN-CQSZACIVSA-N 0 3 237.318 2.999 20 0 BFADHN C[C@@H]1CN(CCC2CCCC2)C[C@@H](C)C1=O ZINC000661547843 411799440 /nfs/dbraw/zinc/79/94/40/411799440.db2.gz DSCRGGNCHKNWJW-VXGBXAGGSA-N 0 3 223.360 2.724 20 0 BFADHN O[C@@]1(C2CC2)CCCN(Cc2cccc(F)c2)C1 ZINC000661639010 411827639 /nfs/dbraw/zinc/82/76/39/411827639.db2.gz UBVGTJXVEUZMAC-HNNXBMFYSA-N 0 3 249.329 2.563 20 0 BFADHN Cc1ccc(CNCC2(C)CCC2)nc1C ZINC000314813797 411843241 /nfs/dbraw/zinc/84/32/41/411843241.db2.gz PUOWCQPYJRJICN-UHFFFAOYSA-N 0 3 218.344 2.978 20 0 BFADHN Cc1cc(F)ccc1CN1C[C@H]2COC[C@@]2(C)C1 ZINC000661702238 411847038 /nfs/dbraw/zinc/84/70/38/411847038.db2.gz VCECCLOTNGGNDY-DZGCQCFKSA-N 0 3 249.329 2.602 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N1CC[C@@]2(O)C[C@H]2C1 ZINC000661716780 411855880 /nfs/dbraw/zinc/85/58/80/411855880.db2.gz DPGNQJXTAWCULP-AEGPPILISA-N 0 3 249.329 2.734 20 0 BFADHN CC(C)(C)O[C@@H]1C[C@@H](NCCF)C12CCC2 ZINC000661842774 411899327 /nfs/dbraw/zinc/89/93/27/411899327.db2.gz SUVYRRZPJZZGHO-GHMZBOCLSA-N 0 3 229.339 2.672 20 0 BFADHN CC(C)(C)O[C@@H]1C[C@H](NCCF)C12CCC2 ZINC000661842780 411899526 /nfs/dbraw/zinc/89/95/26/411899526.db2.gz SUVYRRZPJZZGHO-WDEREUQCSA-N 0 3 229.339 2.672 20 0 BFADHN CCc1cc(N2CC3(CCC3)OC[C@H]2C)ccn1 ZINC000664279193 411910451 /nfs/dbraw/zinc/91/04/51/411910451.db2.gz QSIXZMMIJWZFOX-GFCCVEGCSA-N 0 3 246.354 2.792 20 0 BFADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1cnn(C)c1 ZINC000354989188 170815362 /nfs/dbraw/zinc/81/53/62/170815362.db2.gz WJJOCZHPUKXDKX-WPRPVWTQSA-N 0 3 249.280 2.802 20 0 BFADHN CC[C@H](NCc1nnc(-c2ccco2)o1)C1CC1 ZINC000661758856 411870496 /nfs/dbraw/zinc/87/04/96/411870496.db2.gz WYYUQTAUDCJTMW-JTQLQIEISA-N 0 3 247.298 2.608 20 0 BFADHN CC[C@@H](NCc1cc(C2CC2)no1)C1CC1 ZINC000661761840 411873922 /nfs/dbraw/zinc/87/39/22/411873922.db2.gz JGNMNLGMYGTAAR-GFCCVEGCSA-N 0 3 220.316 2.830 20 0 BFADHN CCc1cc(N2CCO[C@](C)(C3CC3)C2)ccn1 ZINC000664179429 411883410 /nfs/dbraw/zinc/88/34/10/411883410.db2.gz UZSWUZCFPLXUSC-HNNXBMFYSA-N 0 3 246.354 2.649 20 0 BFADHN FCCCCN1CCC(c2ccon2)CC1 ZINC000662048351 411966657 /nfs/dbraw/zinc/96/66/57/411966657.db2.gz SXSKLOHEDXNUAE-UHFFFAOYSA-N 0 3 226.295 2.604 20 0 BFADHN Cc1cc(CNC2(CC(C)C)CC2)nc(C)n1 ZINC000662067186 411970224 /nfs/dbraw/zinc/97/02/24/411970224.db2.gz VQTYYMBHNKELMU-UHFFFAOYSA-N 0 3 233.359 2.762 20 0 BFADHN CC[C@@H](c1ccc(F)cc1)N1CCC2(COC2)C1 ZINC000662075957 411977204 /nfs/dbraw/zinc/97/72/04/411977204.db2.gz OZXDFGLTHDEGEJ-AWEZNQCLSA-N 0 3 249.329 2.999 20 0 BFADHN Cc1ncc(CN(CCC2CC2)CC2CC2)cn1 ZINC000662177805 412019808 /nfs/dbraw/zinc/01/98/08/412019808.db2.gz PFLWBARRTOFPLN-UHFFFAOYSA-N 0 3 245.370 2.797 20 0 BFADHN CC[C@@H](NCCCCO)c1ccccc1F ZINC000193277458 170893991 /nfs/dbraw/zinc/89/39/91/170893991.db2.gz JLIRQNKKTHOUNL-CYBMUJFWSA-N 0 3 225.307 2.639 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1ccc(F)cc1F ZINC000132775893 170926130 /nfs/dbraw/zinc/92/61/30/170926130.db2.gz PBPRZGPVOUBKFI-MWLCHTKSSA-N 0 3 243.297 2.776 20 0 BFADHN Cc1noc([C@@H](C)N[C@H]2[C@H](C)CCC[C@@H]2C)n1 ZINC000664422162 411950773 /nfs/dbraw/zinc/95/07/73/411950773.db2.gz RJAUBGBYQGQORY-KLBPJQLPSA-N 0 3 237.347 2.853 20 0 BFADHN CC(C)=CCN1CCC(c2ccon2)CC1 ZINC000662043627 411960957 /nfs/dbraw/zinc/96/09/57/411960957.db2.gz MHJLZDDMVZESEO-UHFFFAOYSA-N 0 3 220.316 2.820 20 0 BFADHN Cc1nnc([C@H](C)[N@H+](CCC2CC2)CC2CC2)[n-]1 ZINC000662181232 412023701 /nfs/dbraw/zinc/02/37/01/412023701.db2.gz XVDUCTAHKRXJFS-JTQLQIEISA-N 0 3 248.374 2.686 20 0 BFADHN Cc1nnc([C@H](C)[N@@H+](CCC2CC2)CC2CC2)[n-]1 ZINC000662181232 412023703 /nfs/dbraw/zinc/02/37/03/412023703.db2.gz XVDUCTAHKRXJFS-JTQLQIEISA-N 0 3 248.374 2.686 20 0 BFADHN c1n[nH]nc1CN1CCC[C@@H](C2CCCCC2)C1 ZINC000662201880 412024991 /nfs/dbraw/zinc/02/49/91/412024991.db2.gz QBMLECZLQRMGRC-CYBMUJFWSA-N 0 3 248.374 2.597 20 0 BFADHN c1[nH]nnc1CN1CCC[C@@H](C2CCCCC2)C1 ZINC000662201880 412024993 /nfs/dbraw/zinc/02/49/93/412024993.db2.gz QBMLECZLQRMGRC-CYBMUJFWSA-N 0 3 248.374 2.597 20 0 BFADHN COCC[C@@H](C)N1CC(C)(C)[C@H]1c1ccncc1 ZINC000664834172 412029695 /nfs/dbraw/zinc/02/96/95/412029695.db2.gz PWDYIUJWXWZWQX-TZMCWYRMSA-N 0 3 248.370 2.890 20 0 BFADHN CC(C)C[C@H](C)CN1CCCc2n[nH]cc2C1 ZINC000664861267 412033810 /nfs/dbraw/zinc/03/38/10/412033810.db2.gz PWIWTBSCTKCMEU-LBPRGKRZSA-N 0 3 235.375 2.840 20 0 BFADHN CC(C)CCCN1CCCc2n[nH]cc2C1 ZINC000664860763 412034145 /nfs/dbraw/zinc/03/41/45/412034145.db2.gz IJGYUOHDAUSFOH-UHFFFAOYSA-N 0 3 221.348 2.594 20 0 BFADHN CC(C)(C)CCN1CCCc2n[nH]cc2C1 ZINC000664861198 412034240 /nfs/dbraw/zinc/03/42/40/412034240.db2.gz NOWFBWMXALEYJY-UHFFFAOYSA-N 0 3 221.348 2.594 20 0 BFADHN Cc1ccc(CN2CCCc3n[nH]cc3C2)cc1 ZINC000664864216 412034995 /nfs/dbraw/zinc/03/49/95/412034995.db2.gz IRGYRCDGYDVTOZ-UHFFFAOYSA-N 0 3 241.338 2.667 20 0 BFADHN Cc1cnccc1CCN(C)CC1=CCSC1 ZINC000662266177 412057422 /nfs/dbraw/zinc/05/74/22/412057422.db2.gz FZHDRUXIHPXKFY-UHFFFAOYSA-N 0 3 248.395 2.538 20 0 BFADHN CC[C@@H]1CCN(Cc2ccnc(Cl)c2)C1 ZINC000158005659 171010736 /nfs/dbraw/zinc/01/07/36/171010736.db2.gz QYYLTHVPSZKTBC-SNVBAGLBSA-N 0 3 224.735 2.967 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc(OC)c(O)c2)C1 ZINC000091929621 171011486 /nfs/dbraw/zinc/01/14/86/171011486.db2.gz XAONSGLEUZFWSM-LLVKDONJSA-N 0 3 235.327 2.633 20 0 BFADHN CC[C@@H]1CCN1Cc1cccc(OC)c1 ZINC000292558038 171025675 /nfs/dbraw/zinc/02/56/75/171025675.db2.gz ZCOQUPDRQMCYLD-GFCCVEGCSA-N 0 3 205.301 2.680 20 0 BFADHN CC[C@@H]1CCN1Cc1cc(C)c(O)c(C)c1 ZINC000292704192 171025870 /nfs/dbraw/zinc/02/58/70/171025870.db2.gz CPMIJOWITBJWKJ-CYBMUJFWSA-N 0 3 219.328 2.993 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1CCOCC(F)(F)F ZINC000357526669 171037990 /nfs/dbraw/zinc/03/79/90/171037990.db2.gz GUCWWBVHIHVJDB-NXEZZACHSA-N 0 3 239.281 2.828 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cncs1 ZINC000290486701 171038275 /nfs/dbraw/zinc/03/82/75/171038275.db2.gz OHUWLQKYLMYUJD-NXEZZACHSA-N 0 3 210.346 2.906 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@@H](COC)c1ccco1 ZINC000310011563 171045376 /nfs/dbraw/zinc/04/53/76/171045376.db2.gz ISZYMEWTKRIOOO-WOPDTQHZSA-N 0 3 223.316 2.745 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](C)c1ccccn1 ZINC000336729529 171045419 /nfs/dbraw/zinc/04/54/19/171045419.db2.gz JCPGHCWGUIQPJY-WZRBSPASSA-N 0 3 204.317 2.921 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H]1CCCc2c[nH]nc21 ZINC000336740991 171045672 /nfs/dbraw/zinc/04/56/72/171045672.db2.gz QPCHBKVIPGWYRB-USWWRNFRSA-N 0 3 219.332 2.565 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1CC(=O)Nc1ccccc1 ZINC000271488377 171054030 /nfs/dbraw/zinc/05/40/30/171054030.db2.gz HRHSUNWZFJZDNH-GXTWGEPZSA-N 0 3 246.354 2.888 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cncc(F)c1 ZINC000280166097 171054500 /nfs/dbraw/zinc/05/45/00/171054500.db2.gz FPUCOJSPHWCRTH-GXFFZTMASA-N 0 3 222.307 2.984 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1cn2ccsc2n1 ZINC000310040032 171059140 /nfs/dbraw/zinc/05/91/40/171059140.db2.gz XNWDKWDWNMIUKG-MWLCHTKSSA-N 0 3 235.356 2.674 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@H](CO)c1ccsc1 ZINC000336742335 171059905 /nfs/dbraw/zinc/05/99/05/171059905.db2.gz WULVOGKRXRQLGF-YUSALJHKSA-N 0 3 225.357 2.560 20 0 BFADHN CC[C@@H]1CN(CCSC(C)C)C[C@H](C)O1 ZINC000336699911 171084424 /nfs/dbraw/zinc/08/44/24/171084424.db2.gz PBPIFCXEULIMIP-NWDGAFQWSA-N 0 3 231.405 2.627 20 0 BFADHN CC[C@@H]1CN(Cc2ccc(C)o2)CCCO1 ZINC000281347020 171087458 /nfs/dbraw/zinc/08/74/58/171087458.db2.gz TVDVERCIKQCTKL-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN CC[C@@H]1CN(Cc2ccc(Cl)cc2)C[C@@H]1O ZINC000270949250 171087789 /nfs/dbraw/zinc/08/77/89/171087789.db2.gz SOPXRZKWYKKFGM-YPMHNXCESA-N 0 3 239.746 2.543 20 0 BFADHN CC[C@@H]1CN(Cc2ccc(OC)cc2)CCCO1 ZINC000281265722 171088517 /nfs/dbraw/zinc/08/85/17/171088517.db2.gz NLBJZTJANLBJLJ-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@@H]1CN([C@@H](C)c2ccccn2)CCCO1 ZINC000281308418 171094422 /nfs/dbraw/zinc/09/44/22/171094422.db2.gz HWRIPMFTLPDKJO-QWHCGFSZSA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@H]1COCCN1C[C@H]1CCC[C@@H](C)C1 ZINC000356982105 171105660 /nfs/dbraw/zinc/10/56/60/171105660.db2.gz LOVXXXLNJAINAW-HZSPNIEDSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@@H]1COCCN1C[C@@H]1CCC[C@@H](C)C1 ZINC000356982108 171106299 /nfs/dbraw/zinc/10/62/99/171106299.db2.gz LOVXXXLNJAINAW-MGPQQGTHSA-N 0 3 225.376 2.924 20 0 BFADHN CC[C@@H]1COCCN1CC1CCCCC1 ZINC000115577516 171106649 /nfs/dbraw/zinc/10/66/49/171106649.db2.gz DFGLFVZAVDHUCM-CYBMUJFWSA-N 0 3 211.349 2.678 20 0 BFADHN CC[C@@H]1COCCN1C[C@@H]1CCCC(F)(F)C1 ZINC000338302561 171106674 /nfs/dbraw/zinc/10/66/74/171106674.db2.gz CYXREILVQRBJNU-VXGBXAGGSA-N 0 3 247.329 2.923 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1Cc1ccccc1 ZINC000268183749 171114531 /nfs/dbraw/zinc/11/45/31/171114531.db2.gz NVEWPPIPWAWNJS-TZMCWYRMSA-N 0 3 219.328 2.686 20 0 BFADHN CC1=CC[C@@H](N[C@H](c2nccn2C)C2CC2)CC1 ZINC000556867032 322929730 /nfs/dbraw/zinc/92/97/30/322929730.db2.gz VKNYMBLAJIWHGG-KGLIPLIRSA-N 0 3 245.370 2.960 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1ncc(C)o1 ZINC000185663540 171157763 /nfs/dbraw/zinc/15/77/63/171157763.db2.gz JXHBHTRXFIBJCQ-GXSJLCMTSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cncs1 ZINC000187579255 171160822 /nfs/dbraw/zinc/16/08/22/171160822.db2.gz UHRQCIXABGJYOO-MWLCHTKSSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1CC(=O)c1cc(C)[nH]c1C ZINC000360459820 171161262 /nfs/dbraw/zinc/16/12/62/171161262.db2.gz MMOPNHJVRLAFGS-QMTHXVAHSA-N 0 3 248.370 2.935 20 0 BFADHN CN(CCOc1ccccc1)CC1=CCSC1 ZINC000662269648 412060647 /nfs/dbraw/zinc/06/06/47/412060647.db2.gz IZLIVELOZQZTJW-UHFFFAOYSA-N 0 3 249.379 2.670 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1sc(C)nc1C ZINC000083463038 171183787 /nfs/dbraw/zinc/18/37/87/171183787.db2.gz OHDQLWPNIQVRFG-QPUJVOFHSA-N 0 3 242.388 2.572 20 0 BFADHN CC[C@@]1(C)CCN(CCc2c(C)noc2C)C1 ZINC000336683267 171200914 /nfs/dbraw/zinc/20/09/14/171200914.db2.gz DLPJMBBOIUHAID-AWEZNQCLSA-N 0 3 236.359 2.956 20 0 BFADHN CC[C@@]1(C)CN(CCSC(C)C)CCO1 ZINC000338376862 171202622 /nfs/dbraw/zinc/20/26/22/171202622.db2.gz QTQUMDACWGUVTJ-LBPRGKRZSA-N 0 3 231.405 2.629 20 0 BFADHN CC[C@@]1(C)COCCN1Cc1ccccc1 ZINC000340894346 171205971 /nfs/dbraw/zinc/20/59/71/171205971.db2.gz UEBAMECIUFOORJ-AWEZNQCLSA-N 0 3 219.328 2.688 20 0 BFADHN CC[C@@]1(C)COCCN1[C@H]1C=CCCC1 ZINC000340888980 171206784 /nfs/dbraw/zinc/20/67/84/171206784.db2.gz SVQZPRZKGUQVAO-STQMWFEESA-N 0 3 209.333 2.596 20 0 BFADHN CC[C@@]1(C)C[C@H]1C(=O)N(C)CCCSC ZINC000342147281 171207204 /nfs/dbraw/zinc/20/72/04/171207204.db2.gz NFZIJQCBCJKLSN-JQWIXIFHSA-N 0 3 229.389 2.634 20 0 BFADHN CC[C@H](C(=O)N[C@@H](C)c1ccc(C)cc1)N(C)C ZINC000268785055 171232337 /nfs/dbraw/zinc/23/23/37/171232337.db2.gz ZQDIQDSTNQUHDC-GXTWGEPZSA-N 0 3 248.370 2.512 20 0 BFADHN CC[C@H](C)CCC(=O)N(CCN(C)C)C(C)C ZINC000354199849 171265362 /nfs/dbraw/zinc/26/53/62/171265362.db2.gz IREOHKHPMIPYSH-ZDUSSCGKSA-N 0 3 242.407 2.611 20 0 BFADHN CC[C@H](C)CN(C)Cc1cncc(F)c1 ZINC000275103923 171274545 /nfs/dbraw/zinc/27/45/45/171274545.db2.gz DKOPTZIMTGLLHL-JTQLQIEISA-N 0 3 210.296 2.699 20 0 BFADHN CC[C@H](C)CN1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289739534 171282758 /nfs/dbraw/zinc/28/27/58/171282758.db2.gz DWODJVAJDDRZKL-CMPLNLGQSA-N 0 3 241.766 2.829 20 0 BFADHN CC[C@H](C)CNCc1csc([C@@H](C)OC)n1 ZINC000271589381 171285719 /nfs/dbraw/zinc/28/57/19/171285719.db2.gz WOLJOZWMZLCKPG-VHSXEESVSA-N 0 3 242.388 2.986 20 0 BFADHN CC[C@H](C)CN[C@@H]1COc2ccc(F)cc21 ZINC000336743088 171286555 /nfs/dbraw/zinc/28/65/55/171286555.db2.gz SUYRBJISJMYPAN-JOYOIKCWSA-N 0 3 223.291 2.895 20 0 BFADHN CC[C@H](C)CN[C@@H](C)c1ccncc1F ZINC000309166481 171286964 /nfs/dbraw/zinc/28/69/64/171286964.db2.gz JEOBXUCLJRXVNM-UWVGGRQHSA-N 0 3 210.296 2.917 20 0 BFADHN CC[C@H](C)CN[C@@H]1C[C@H](C)n2ccnc21 ZINC000345360805 171287008 /nfs/dbraw/zinc/28/70/08/171287008.db2.gz UAROEQXMKZBVRG-GARJFASQSA-N 0 3 207.321 2.525 20 0 BFADHN CC[C@H](C)C[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000364837703 171287808 /nfs/dbraw/zinc/28/78/08/171287808.db2.gz HGHSWCMSAICPNO-WCQYABFASA-N 0 3 244.342 2.530 20 0 BFADHN CC[C@H](C)CN[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000364837703 171287809 /nfs/dbraw/zinc/28/78/09/171287809.db2.gz HGHSWCMSAICPNO-WCQYABFASA-N 0 3 244.342 2.530 20 0 BFADHN CC[C@H](C)CN[C@H](COC)c1ccco1 ZINC000093078691 171288413 /nfs/dbraw/zinc/28/84/13/171288413.db2.gz KAZZUHJOEVTFJQ-WDEREUQCSA-N 0 3 211.305 2.603 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1cn(C(C)C)nn1 ZINC000336688689 171298515 /nfs/dbraw/zinc/29/85/15/171298515.db2.gz GGPUCJDPNDQFAU-RYUDHWBXSA-N 0 3 238.379 2.773 20 0 BFADHN CC[C@H](C)N(C)Cc1ncc(C)c(OC)c1C ZINC000171792323 171309508 /nfs/dbraw/zinc/30/95/08/171309508.db2.gz LUQDWVILQMYICI-NSHDSACASA-N 0 3 236.359 2.937 20 0 BFADHN CC[C@H](C)N(CCO)Cc1ccc(F)c(F)c1 ZINC000267167738 171313562 /nfs/dbraw/zinc/31/35/62/171313562.db2.gz SYNGAAIOPTYAGJ-JTQLQIEISA-N 0 3 243.297 2.558 20 0 BFADHN CC[C@H](C)NCc1cn2ccc(C)cc2n1 ZINC000167309578 171343663 /nfs/dbraw/zinc/34/36/63/171343663.db2.gz KRMTVCUVDAHEDQ-NSHDSACASA-N 0 3 217.316 2.531 20 0 BFADHN CC[C@H](C)[C@@H](C)[NH2+]Cc1nnc(C(C)(C)C)[n-]1 ZINC000331195141 171365547 /nfs/dbraw/zinc/36/55/47/171365547.db2.gz NEJWFQORSORBQP-VHSXEESVSA-N 0 3 238.379 2.626 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1nnc(C(C)(C)C)[nH]1 ZINC000331195141 171365549 /nfs/dbraw/zinc/36/55/49/171365549.db2.gz NEJWFQORSORBQP-VHSXEESVSA-N 0 3 238.379 2.626 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1cnc(C)cn1 ZINC000336742732 171366535 /nfs/dbraw/zinc/36/65/35/171366535.db2.gz SHEQIYDWWGYBOM-MVWJERBFSA-N 0 3 221.348 2.870 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1cccc(F)c1)OC ZINC000362977464 171368471 /nfs/dbraw/zinc/36/84/71/171368471.db2.gz RGDUNUVOQULOIR-SMDDNHRTSA-N 0 3 239.334 2.976 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@H](C)c1cc(C)oc1C ZINC000278675707 171378022 /nfs/dbraw/zinc/37/80/22/171378022.db2.gz JNUWTTMJVYAGBQ-PXWWUCIGSA-N 0 3 239.359 2.954 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@H](C)c1cccc(F)c1 ZINC000268893952 171378690 /nfs/dbraw/zinc/37/86/90/171378690.db2.gz ORJURIKKSNVQDP-WDMOLILDSA-N 0 3 239.334 2.883 20 0 BFADHN COc1nc(C)cc(C)c1CN1CC2CC1(C)C2 ZINC000662385085 412138363 /nfs/dbraw/zinc/13/83/63/412138363.db2.gz IMRIYZCQQKTTDS-UHFFFAOYSA-N 0 3 246.354 2.691 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ccc(F)cn1 ZINC000268977651 171389682 /nfs/dbraw/zinc/38/96/82/171389682.db2.gz BORWQVVQNNBKIV-UWVGGRQHSA-N 0 3 210.296 2.745 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1nc(C)cs1 ZINC000038090866 171389839 /nfs/dbraw/zinc/38/98/39/171389839.db2.gz LOUXLNSBLWYBKY-WPRPVWTQSA-N 0 3 212.362 2.976 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@H](C)c1cnc(C)cn1 ZINC000336742734 171391565 /nfs/dbraw/zinc/39/15/65/171391565.db2.gz SHEQIYDWWGYBOM-ZMLRMANQSA-N 0 3 221.348 2.870 20 0 BFADHN CC[C@H](C)[C@H](CN[C@H](C)c1ccco1)OC ZINC000294146349 171393287 /nfs/dbraw/zinc/39/32/87/171393287.db2.gz GZWDZPDBFABQQQ-LOWVWBTDSA-N 0 3 225.332 2.991 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1cc(C)ccn1)C1CC1 ZINC000665126213 412104821 /nfs/dbraw/zinc/10/48/21/412104821.db2.gz JVODEEVSYWZCNF-IUODEOHRSA-N 0 3 248.370 2.683 20 0 BFADHN CCOCCN[C@]1(c2ccccc2)CC1(C)C ZINC000662340539 412109888 /nfs/dbraw/zinc/10/98/88/412109888.db2.gz LVKKSYGTBIKWOZ-HNNXBMFYSA-N 0 3 233.355 2.938 20 0 BFADHN CC(C)[C@H](O)CN[C@@]1(c2ccccc2)CC1(C)C ZINC000662341805 412109971 /nfs/dbraw/zinc/10/99/71/412109971.db2.gz XOPRUESZJQHECF-GDBMZVCRSA-N 0 3 247.382 2.918 20 0 BFADHN Cc1cccc(CN(C)C[C@H]2CC2(C)C)n1 ZINC000662345493 412113382 /nfs/dbraw/zinc/11/33/82/412113382.db2.gz ZFKKNLBADWKREU-GFCCVEGCSA-N 0 3 218.344 2.868 20 0 BFADHN CN(Cc1cncc(F)c1)C[C@@H]1CC1(C)C ZINC000662344581 412114180 /nfs/dbraw/zinc/11/41/80/412114180.db2.gz QZYAIAJQLKJHMH-NSHDSACASA-N 0 3 222.307 2.699 20 0 BFADHN C[C@H](c1cnccn1)N(C)C[C@H]1CC1(C)C ZINC000662345851 412116381 /nfs/dbraw/zinc/11/63/81/412116381.db2.gz MQNLYVZOWULFIU-GHMZBOCLSA-N 0 3 219.332 2.516 20 0 BFADHN C[C@H]1CN(CC2CCC2)C[C@](C)(C(F)F)O1 ZINC000662357742 412121717 /nfs/dbraw/zinc/12/17/17/412121717.db2.gz FHDOIGYDTJVRBB-JOYOIKCWSA-N 0 3 233.302 2.531 20 0 BFADHN CC[C@H](C)N1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662358958 412123637 /nfs/dbraw/zinc/12/36/37/412123637.db2.gz GRTSRWFHVHBUNJ-NGZCFLSTSA-N 0 3 221.291 2.529 20 0 BFADHN CC[C@H](CO)N[C@H](C)c1nccc2ccccc21 ZINC000289949240 171453482 /nfs/dbraw/zinc/45/34/82/171453482.db2.gz WNGSAUQDIBGEDI-DGCLKSJQSA-N 0 3 244.338 2.656 20 0 BFADHN CC[C@H](NC)C(=O)Nc1cccc2c1CCCC2 ZINC000662369526 412128191 /nfs/dbraw/zinc/12/81/91/412128191.db2.gz BEWIKFSJAVYTGG-ZDUSSCGKSA-N 0 3 246.354 2.502 20 0 BFADHN CC[C@H](COC)NCc1sccc1Cl ZINC000193117449 171460017 /nfs/dbraw/zinc/46/00/17/171460017.db2.gz ATSNFAYBOWWVQY-MRVPVSSYSA-N 0 3 233.764 2.916 20 0 BFADHN CC12CC(C1)CN2Cc1ccccc1F ZINC000662381421 412133253 /nfs/dbraw/zinc/13/32/53/412133253.db2.gz ZGJHLRJWMYGGRN-UHFFFAOYSA-N 0 3 205.276 2.810 20 0 BFADHN CC[C@H](NC)C(=O)Nc1cc(Cl)ccc1C ZINC000662438061 412175440 /nfs/dbraw/zinc/17/54/40/412175440.db2.gz XGJLECYCVXTPAL-JTQLQIEISA-N 0 3 240.734 2.585 20 0 BFADHN Cc1cncc([C@H](C)NCc2cccc(N)c2)c1 ZINC000665233061 412172117 /nfs/dbraw/zinc/17/21/17/412172117.db2.gz ZZHZPVBPQZGAFP-LBPRGKRZSA-N 0 3 241.338 2.823 20 0 BFADHN CC[C@H](O)CN[C@@H](CC)c1ccccc1F ZINC000229966978 171575915 /nfs/dbraw/zinc/57/59/15/171575915.db2.gz MZPFMJCZLGKYNB-GWCFXTLKSA-N 0 3 225.307 2.637 20 0 BFADHN CC[C@@H](NC[C@@H](O)CC)c1ccccc1F ZINC000229966988 171577823 /nfs/dbraw/zinc/57/78/23/171577823.db2.gz MZPFMJCZLGKYNB-GXFFZTMASA-N 0 3 225.307 2.637 20 0 BFADHN CC[C@@H](N)C(=O)Nc1ccc2c(c1)C=CCCC2 ZINC000662692797 412273340 /nfs/dbraw/zinc/27/33/40/412273340.db2.gz QSOFSJRDFKGINF-CQSZACIVSA-N 0 3 244.338 2.712 20 0 BFADHN CCN(CCc1cncs1)Cc1ccoc1 ZINC000662695566 412274493 /nfs/dbraw/zinc/27/44/93/412274493.db2.gz VLKPTJHWORUVQG-UHFFFAOYSA-N 0 3 236.340 2.801 20 0 BFADHN CCC(CC)CCCN(C)C(=O)[C@H](N)C(C)C ZINC000662712583 412279755 /nfs/dbraw/zinc/27/97/55/412279755.db2.gz GHMCZSRTUZJFCE-CYBMUJFWSA-N 0 3 242.407 2.645 20 0 BFADHN COc1cccc(CN2CC[C@@H](C3CCC3)C2)n1 ZINC000665285993 412231932 /nfs/dbraw/zinc/23/19/32/412231932.db2.gz BXHRPEBTUQFDED-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN CC(C)c1nc(CN2CC[C@@H](C3CCC3)C2)n[nH]1 ZINC000665290096 412236414 /nfs/dbraw/zinc/23/64/14/412236414.db2.gz IZWWRVBUXTWKLM-GFCCVEGCSA-N 0 3 248.374 2.550 20 0 BFADHN CC[C@@H](NC)C(=O)Nc1ccc(Cl)c(C)c1 ZINC000662833188 412315171 /nfs/dbraw/zinc/31/51/71/412315171.db2.gz NATNZGMAERXEAX-LLVKDONJSA-N 0 3 240.734 2.585 20 0 BFADHN CCc1ccc(CNCc2ccncc2)s1 ZINC000020556630 171808944 /nfs/dbraw/zinc/80/89/44/171808944.db2.gz NPZRFSZOAUUKTL-UHFFFAOYSA-N 0 3 232.352 2.995 20 0 BFADHN CCc1ccc2nccc(N(C)[C@@H](C)CO)c2c1 ZINC000296757455 171851725 /nfs/dbraw/zinc/85/17/25/171851725.db2.gz LFZKWSPFOIUQKM-NSHDSACASA-N 0 3 244.338 2.614 20 0 BFADHN CCc1nc([C@@H](C)N[C@@H](C)CCOC)cs1 ZINC000124508321 172001733 /nfs/dbraw/zinc/00/17/33/172001733.db2.gz MIZOJCXUIOVCQL-VHSXEESVSA-N 0 3 242.388 2.781 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H](C)C2CCCC2)[n-]1 ZINC000358252248 172007296 /nfs/dbraw/zinc/00/72/96/172007296.db2.gz SRMSTYGKOXVFSC-ZJUUUORDSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@H](C)N[C@H](C)C2CCCC2)[nH]1 ZINC000358252248 172007298 /nfs/dbraw/zinc/00/72/98/172007298.db2.gz SRMSTYGKOXVFSC-ZJUUUORDSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H]2CCCC[C@H]2C)[n-]1 ZINC000358240439 172007341 /nfs/dbraw/zinc/00/73/41/172007341.db2.gz RATQETNJGLXZLB-VWYCJHECSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1ncc(CNCCC(C)(F)F)s1 ZINC000294182194 172018489 /nfs/dbraw/zinc/01/84/89/172018489.db2.gz KDWBRWLVUYZNJZ-UHFFFAOYSA-N 0 3 234.315 2.840 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C3CC3)C2)s1 ZINC000354101317 172016870 /nfs/dbraw/zinc/01/68/70/172016870.db2.gz SSNHFCGZPDHMII-LLVKDONJSA-N 0 3 236.384 2.937 20 0 BFADHN CCc1ncc(CNC2(CC)CC2)s1 ZINC000294925966 172019017 /nfs/dbraw/zinc/01/90/17/172019017.db2.gz ZCUSKMZMSJEMAH-UHFFFAOYSA-N 0 3 210.346 2.738 20 0 BFADHN CCc1ncc(CNCC[C@@H](C)F)s1 ZINC000339495825 172019356 /nfs/dbraw/zinc/01/93/56/172019356.db2.gz OANPOGVRDDHETL-MRVPVSSYSA-N 0 3 216.325 2.543 20 0 BFADHN CCc1ncc(CNC[C@H](C)SC)s1 ZINC000159312118 172019626 /nfs/dbraw/zinc/01/96/26/172019626.db2.gz IDDZXHVRXNNSPB-QMMMGPOBSA-N 0 3 230.402 2.547 20 0 BFADHN CCc1ncc(CN[C@H](CC)CSC)s1 ZINC000162179167 172020125 /nfs/dbraw/zinc/02/01/25/172020125.db2.gz ZWIRQHDDCDHQLY-SECBINFHSA-N 0 3 244.429 2.937 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@@H]2C)s1 ZINC000308531365 172020290 /nfs/dbraw/zinc/02/02/90/172020290.db2.gz KUEUGXUXGUQJGQ-WPRPVWTQSA-N 0 3 210.346 2.594 20 0 BFADHN CCc1nn(C)c(CC)c1CN1CCC[C@@H]1C ZINC000124410830 172037522 /nfs/dbraw/zinc/03/75/22/172037522.db2.gz DZPSVWVJYJKJBI-NSHDSACASA-N 0 3 235.375 2.529 20 0 BFADHN CCc1nnc(CNC[C@@H]2CC=CCC2)s1 ZINC000289768216 172051610 /nfs/dbraw/zinc/05/16/10/172051610.db2.gz JOECBXLMXPFRHT-SNVBAGLBSA-N 0 3 237.372 2.546 20 0 BFADHN CCc1nnc(CNC2CCCCCC2)s1 ZINC000309957086 172051682 /nfs/dbraw/zinc/05/16/82/172051682.db2.gz IWGGNSXBKVGIQH-UHFFFAOYSA-N 0 3 239.388 2.913 20 0 BFADHN CCc1noc(C)c1CNCC(C)(C)C ZINC000293062914 172079568 /nfs/dbraw/zinc/07/95/68/172079568.db2.gz UWGYATMHXZETJF-UHFFFAOYSA-N 0 3 210.321 2.681 20 0 BFADHN CCc1noc(C)c1CN(CC)CC1CC1 ZINC000119159458 172074958 /nfs/dbraw/zinc/07/49/58/172074958.db2.gz WOLIRGSSGNAYFP-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN CCc1noc(C)c1CN1C[C@H](C)[C@@H](C)C1 ZINC000336555804 172077688 /nfs/dbraw/zinc/07/76/88/172077688.db2.gz YPAFBTKAHXWOLT-UWVGGRQHSA-N 0 3 222.332 2.633 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CC[C@@H](C)C1 ZINC000339435646 172079643 /nfs/dbraw/zinc/07/96/43/172079643.db2.gz DPEJJFBCCFGBIU-MWLCHTKSSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CC[C@@H]1CC ZINC000340440928 172079967 /nfs/dbraw/zinc/07/99/67/172079967.db2.gz UZQIBDQUSMIMGR-GXFFZTMASA-N 0 3 222.332 2.824 20 0 BFADHN CCc1nocc1CN(C)C[C@H](C)CC ZINC000293369366 172095562 /nfs/dbraw/zinc/09/55/62/172095562.db2.gz JCLGZFBXJPAQJB-SNVBAGLBSA-N 0 3 210.321 2.715 20 0 BFADHN CCc1nocc1CNCC1(C)CCC1 ZINC000339292367 172097105 /nfs/dbraw/zinc/09/71/05/172097105.db2.gz AMEHSAVABROSPR-UHFFFAOYSA-N 0 3 208.305 2.517 20 0 BFADHN CCc1nocc1CNCCCCCSC ZINC000339356643 172100136 /nfs/dbraw/zinc/10/01/36/172100136.db2.gz HLJZIHSMYPNDEI-UHFFFAOYSA-N 0 3 242.388 2.860 20 0 BFADHN CCc1nocc1CNC[C@@H]1CCC[C@@H]1C ZINC000339385937 172100249 /nfs/dbraw/zinc/10/02/49/172100249.db2.gz BNZXMEWWHVSZCB-QWRGUYRKSA-N 0 3 222.332 2.763 20 0 BFADHN CCc1oc2ccccc2c1CN(C)C[C@H](C)O ZINC000130641040 172112308 /nfs/dbraw/zinc/11/23/08/172112308.db2.gz VSNBJJIXEHATRU-NSHDSACASA-N 0 3 247.338 2.808 20 0 BFADHN CCn1c2ccccc2nc1CN[C@H](C)C1CC1 ZINC000038046764 172144625 /nfs/dbraw/zinc/14/46/25/172144625.db2.gz YQQRPDTVQNXQND-LLVKDONJSA-N 0 3 243.354 2.944 20 0 BFADHN CCn1cc(CN2CC3(CCC3)[C@@H]2C2CC2)cn1 ZINC000378143240 172162034 /nfs/dbraw/zinc/16/20/34/172162034.db2.gz VVDKHIFKFJCUHD-AWEZNQCLSA-N 0 3 245.370 2.668 20 0 BFADHN CCn1cc(CN2CC[C@@]3(CC[C@H](C)C3)C2)cn1 ZINC000360011955 172164264 /nfs/dbraw/zinc/16/42/64/172164264.db2.gz AAUJWPACBGJRNJ-DZGCQCFKSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1cc(CN2CC[C@@](C)(CC)C2)cn1 ZINC000354107125 172166279 /nfs/dbraw/zinc/16/62/79/172166279.db2.gz OBNRQYDADFCQOG-CYBMUJFWSA-N 0 3 221.348 2.525 20 0 BFADHN CCn1cc(CNCc2ccc(C)c(C)c2)cn1 ZINC000265378569 172171594 /nfs/dbraw/zinc/17/15/94/172171594.db2.gz BWPDPUQICQZBFF-UHFFFAOYSA-N 0 3 243.354 2.810 20 0 BFADHN CCn1cc(CNCc2cc(C)cs2)cn1 ZINC000344609090 172172077 /nfs/dbraw/zinc/17/20/77/172172077.db2.gz IKQPOTMCWXTHOC-UHFFFAOYSA-N 0 3 235.356 2.563 20 0 BFADHN CCn1cc(CNCc2c(C)cccc2C)cn1 ZINC000132103120 172172691 /nfs/dbraw/zinc/17/26/91/172172691.db2.gz GBIRBSBIUSSWRY-UHFFFAOYSA-N 0 3 243.354 2.810 20 0 BFADHN CCn1cc(CN[C@@H]2CCC23CCCC3)cn1 ZINC000353978801 172173972 /nfs/dbraw/zinc/17/39/72/172173972.db2.gz NSLUUMZTJZGELZ-CYBMUJFWSA-N 0 3 233.359 2.715 20 0 BFADHN CCn1cc([C@H](C)NCC(C)(C)SC)cn1 ZINC000154273925 172195730 /nfs/dbraw/zinc/19/57/30/172195730.db2.gz GIEWGQZZIWQCOU-JTQLQIEISA-N 0 3 241.404 2.695 20 0 BFADHN CCn1ccc(CNC[C@H](C)c2ccccc2)n1 ZINC000020351142 172200719 /nfs/dbraw/zinc/20/07/19/172200719.db2.gz ZEKRJNOYGQWBDY-ZDUSSCGKSA-N 0 3 243.354 2.796 20 0 BFADHN CCn1ccc(CNC[C@@H](C)c2ccccc2)n1 ZINC000020351140 172201422 /nfs/dbraw/zinc/20/14/22/172201422.db2.gz ZEKRJNOYGQWBDY-CYBMUJFWSA-N 0 3 243.354 2.796 20 0 BFADHN CCn1ccnc1CN1CC[C@@H](C(C)(C)C)C1 ZINC000336718340 172220316 /nfs/dbraw/zinc/22/03/16/172220316.db2.gz AXVKYPXUAMZVOU-GFCCVEGCSA-N 0 3 235.375 2.771 20 0 BFADHN CCn1ccnc1CN(CC1CC1)CC1CC1 ZINC000336712076 172220784 /nfs/dbraw/zinc/22/07/84/172220784.db2.gz RNFWIBTVRRKCNX-UHFFFAOYSA-N 0 3 233.359 2.525 20 0 BFADHN CCn1ccnc1CN1C[C@H](C)C[C@H](C)[C@H]1C ZINC000336722488 172222312 /nfs/dbraw/zinc/22/23/12/172222312.db2.gz AXJLHESMXHSJSG-FRRDWIJNSA-N 0 3 235.375 2.769 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@@H](C)C1 ZINC000051921450 172223437 /nfs/dbraw/zinc/22/34/37/172223437.db2.gz ZDDIQSQSKMMFSR-VXGBXAGGSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1nccc1CCN(C)[C@H](C)c1ccco1 ZINC000276254575 172259167 /nfs/dbraw/zinc/25/91/67/172259167.db2.gz AAEIEFSWOKBWGG-GFCCVEGCSA-N 0 3 247.342 2.732 20 0 BFADHN CCn1nccc1CN(C)[C@@H]1CCC(C)(C)C1 ZINC000355589571 172263085 /nfs/dbraw/zinc/26/30/85/172263085.db2.gz ZJNCSCAGQKLKAO-GFCCVEGCSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1nccc1CN([C@@H](C)C1CC1)C1CC1 ZINC000122192447 172264591 /nfs/dbraw/zinc/26/45/91/172264591.db2.gz FDIJSKWIJZHSCQ-NSHDSACASA-N 0 3 233.359 2.666 20 0 BFADHN CCn1nccc1CN1CC[C@H](C)C2(CCC2)C1 ZINC000354904375 172268734 /nfs/dbraw/zinc/26/87/34/172268734.db2.gz JDIHDJKAMXHIGK-ZDUSSCGKSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1nccc1CNCCc1cccc(C)c1 ZINC000118993301 172271210 /nfs/dbraw/zinc/27/12/10/172271210.db2.gz CHAJXGBNLAWETE-UHFFFAOYSA-N 0 3 243.354 2.544 20 0 BFADHN CCn1nccc1CN[C@H](C)Cc1cccs1 ZINC000125161242 172272413 /nfs/dbraw/zinc/27/24/13/172272413.db2.gz LOPURMXYBGKXOI-LLVKDONJSA-N 0 3 249.383 2.685 20 0 BFADHN CN(C(=O)C(C)(C)N)c1ccc2ccccc2c1 ZINC000237050338 172295307 /nfs/dbraw/zinc/29/53/07/172295307.db2.gz LTGLXDBNEHRFKY-UHFFFAOYSA-N 0 3 242.322 2.540 20 0 BFADHN CN(C)C(C)(C)CNCc1ccsc1Cl ZINC000309026081 172395557 /nfs/dbraw/zinc/39/55/57/172395557.db2.gz APXLLHHYLXMICK-UHFFFAOYSA-N 0 3 246.807 2.831 20 0 BFADHN CN1CCCC[C@@H]1CNc1ccc(Cl)cn1 ZINC000044563099 173004975 /nfs/dbraw/zinc/00/49/75/173004975.db2.gz XBEYOOPNKVFBIT-LLVKDONJSA-N 0 3 239.750 2.631 20 0 BFADHN CN1CCC[C@H](NCc2ccccc2Cl)C1 ZINC000040671652 173046570 /nfs/dbraw/zinc/04/65/70/173046570.db2.gz RJBQIOZYZFDZGB-LBPRGKRZSA-N 0 3 238.762 2.524 20 0 BFADHN CN1CC[C@H](CNc2nc3ccccc3s2)C1 ZINC000037647760 173147595 /nfs/dbraw/zinc/14/75/95/173147595.db2.gz OZHCWUBFARPZKB-SNVBAGLBSA-N 0 3 247.367 2.660 20 0 BFADHN CNCc1ccccc1NC(=O)CCC(C)C ZINC000036280402 173390260 /nfs/dbraw/zinc/39/02/60/173390260.db2.gz MLAUNXVDLMOUQK-UHFFFAOYSA-N 0 3 234.343 2.781 20 0 BFADHN CNCc1nc(-c2cc(C)ccc2OC)cs1 ZINC000041301449 173394564 /nfs/dbraw/zinc/39/45/64/173394564.db2.gz RKGZAWBRFQLUGI-UHFFFAOYSA-N 0 3 248.351 2.847 20 0 BFADHN COC(=O)CCCN(C)[C@H](C)c1cccs1 ZINC000049727601 173535645 /nfs/dbraw/zinc/53/56/45/173535645.db2.gz CFFTZASOYRYRKX-SNVBAGLBSA-N 0 3 241.356 2.694 20 0 BFADHN COC(C)(C)C[C@@H](C)NCc1ccoc1C ZINC000309431555 173946192 /nfs/dbraw/zinc/94/61/92/173946192.db2.gz GGOWZWBIKJQYGM-SNVBAGLBSA-N 0 3 225.332 2.881 20 0 BFADHN COC(C)(C)C[C@H](C)NCc1csc(C)n1 ZINC000041010484 173948387 /nfs/dbraw/zinc/94/83/87/173948387.db2.gz AJNCUZUNMHPTEB-VIFPVBQESA-N 0 3 242.388 2.745 20 0 BFADHN COC1(C[C@@H](C)NCc2ccco2)CCC1 ZINC000277188411 174007645 /nfs/dbraw/zinc/00/76/45/174007645.db2.gz LSBTWKFSDHBXCJ-LLVKDONJSA-N 0 3 223.316 2.717 20 0 BFADHN COC1CC(NCc2cnc3ccccc3c2)C1 ZINC000336736225 174018964 /nfs/dbraw/zinc/01/89/64/174018964.db2.gz YHTWOAYPUSDHET-UHFFFAOYSA-N 0 3 242.322 2.502 20 0 BFADHN COC1CCC(N[C@H](C)c2cc(C)on2)CC1 ZINC000337567227 174024575 /nfs/dbraw/zinc/02/45/75/174024575.db2.gz YXRYEMFIAPYCNS-VOMCLLRMSA-N 0 3 238.331 2.591 20 0 BFADHN COCC(C)(C)CNCc1ccc(F)cc1F ZINC000336695169 174072890 /nfs/dbraw/zinc/07/28/90/174072890.db2.gz IIJFSDUOQORXLK-UHFFFAOYSA-N 0 3 243.297 2.727 20 0 BFADHN COCC(C)(C)CNCc1cccc(C)c1F ZINC000296140528 174073200 /nfs/dbraw/zinc/07/32/00/174073200.db2.gz VERFCSAIDPVEKD-UHFFFAOYSA-N 0 3 239.334 2.896 20 0 BFADHN COCC(C)(C)N(C)Cc1ccc(C(C)=O)cc1 ZINC000295266726 174078303 /nfs/dbraw/zinc/07/83/03/174078303.db2.gz YUEZLXUFZHCYSF-UHFFFAOYSA-N 0 3 249.354 2.746 20 0 BFADHN COCC(C)(C)N(C)Cc1ccc(F)c(F)c1 ZINC000292140047 174078458 /nfs/dbraw/zinc/07/84/58/174078458.db2.gz MIIPEKVGFRPHMY-UHFFFAOYSA-N 0 3 243.297 2.822 20 0 BFADHN COCC1(C)CCN(Cc2cccc(C)n2)CC1 ZINC000358739182 174092116 /nfs/dbraw/zinc/09/21/16/174092116.db2.gz FLKWDTHOUPUULC-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN COCC1(C)CCN(CCC(F)(F)F)CC1 ZINC000358746795 174092501 /nfs/dbraw/zinc/09/25/01/174092501.db2.gz RCKFSJSFVZZICR-UHFFFAOYSA-N 0 3 239.281 2.687 20 0 BFADHN COCC1(CCN[C@@H](C)c2ccccn2)CCC1 ZINC000340443670 174093693 /nfs/dbraw/zinc/09/36/93/174093693.db2.gz HTAJSHDNEWJVPO-ZDUSSCGKSA-N 0 3 248.370 2.939 20 0 BFADHN COCC1(CN(C)[C@@H](C)c2ccncc2)CCC1 ZINC000361327889 174096599 /nfs/dbraw/zinc/09/65/99/174096599.db2.gz DETOMGRPTKCOFS-ZDUSSCGKSA-N 0 3 248.370 2.891 20 0 BFADHN COCC1(NC/C=C/c2ccccc2)CCC1 ZINC000284135206 174109035 /nfs/dbraw/zinc/10/90/35/174109035.db2.gz UTMYSIGUJBSULR-WEVVVXLNSA-N 0 3 231.339 2.859 20 0 BFADHN COCC1(NCc2cc(C)c(O)c(C)c2)CCC1 ZINC000289374753 174109351 /nfs/dbraw/zinc/10/93/51/174109351.db2.gz LUYGLNOWTVDUDE-UHFFFAOYSA-N 0 3 249.354 2.668 20 0 BFADHN COCC1(NCc2sccc2C)CCC1 ZINC000284165486 174110913 /nfs/dbraw/zinc/11/09/13/174110913.db2.gz WRXNXAJUVPTRRM-UHFFFAOYSA-N 0 3 225.357 2.715 20 0 BFADHN COCCC(C)(C)NCc1ccccc1F ZINC000336762528 174148449 /nfs/dbraw/zinc/14/84/49/174148449.db2.gz LLKWXUAFZYJMKQ-UHFFFAOYSA-N 0 3 225.307 2.730 20 0 BFADHN COCCC1(NCc2ccccc2F)CCC1 ZINC000355482445 174159512 /nfs/dbraw/zinc/15/95/12/174159512.db2.gz GWIDTQFNCGPACI-UHFFFAOYSA-N 0 3 237.318 2.875 20 0 BFADHN COCCCCCN[C@@H](C)c1ncc(C)s1 ZINC000184985222 174182354 /nfs/dbraw/zinc/18/23/54/174182354.db2.gz UXHCTXQLAULZAX-NSHDSACASA-N 0 3 242.388 2.919 20 0 BFADHN COCCCCCN[C@@H](C)c1cscn1 ZINC000127838113 174182762 /nfs/dbraw/zinc/18/27/62/174182762.db2.gz QQJZLGCWMRYJNG-JTQLQIEISA-N 0 3 228.361 2.610 20 0 BFADHN COCCCCCNCc1cccc(F)c1F ZINC000107712326 174183127 /nfs/dbraw/zinc/18/31/27/174183127.db2.gz BMVRJLOZRQSDFW-UHFFFAOYSA-N 0 3 243.297 2.871 20 0 BFADHN COCCCCCN[C@H](C)c1csc(C)n1 ZINC000227590092 174184186 /nfs/dbraw/zinc/18/41/86/174184186.db2.gz MQWIQMACMNZBAW-SNVBAGLBSA-N 0 3 242.388 2.919 20 0 BFADHN COCCCCCN[C@H](C)c1cc(C)ccn1 ZINC000353499029 174184834 /nfs/dbraw/zinc/18/48/34/174184834.db2.gz SNGXIZONGIQHKN-CYBMUJFWSA-N 0 3 236.359 2.857 20 0 BFADHN COCCCCN(C)Cc1ccc(F)c(F)c1 ZINC000117872357 174187528 /nfs/dbraw/zinc/18/75/28/174187528.db2.gz BFBMNENFSGMTLM-UHFFFAOYSA-N 0 3 243.297 2.823 20 0 BFADHN COCCCCN(C)Cc1cc(Cl)cn1C ZINC000120063970 174188227 /nfs/dbraw/zinc/18/82/27/174188227.db2.gz JVPFSXCDVHPLCB-UHFFFAOYSA-N 0 3 244.766 2.537 20 0 BFADHN COCCCCN(C)[C@H](C)c1ccco1 ZINC000117875204 174188607 /nfs/dbraw/zinc/18/86/07/174188607.db2.gz GTPURYNYISYUNP-LLVKDONJSA-N 0 3 211.305 2.699 20 0 BFADHN COCCCCNCc1cc(F)c(F)cc1F ZINC000135889824 174201032 /nfs/dbraw/zinc/20/10/32/174201032.db2.gz MNGUUYZOGKOGHL-UHFFFAOYSA-N 0 3 247.260 2.620 20 0 BFADHN COCCCCN[C@@H](C)c1cccnc1Cl ZINC000166616678 174201928 /nfs/dbraw/zinc/20/19/28/174201928.db2.gz PNQLBUKSJFPMJB-JTQLQIEISA-N 0 3 242.750 2.812 20 0 BFADHN COCCCCN[C@H](C)c1cc(C)c(C)o1 ZINC000282388376 174203447 /nfs/dbraw/zinc/20/34/47/174203447.db2.gz XHSCRTPIELUQNS-LLVKDONJSA-N 0 3 225.332 2.974 20 0 BFADHN COCCCN(C)Cc1ccc(F)c(Cl)c1 ZINC000092537068 174218878 /nfs/dbraw/zinc/21/88/78/174218878.db2.gz PQCONXMSTUVZJS-UHFFFAOYSA-N 0 3 245.725 2.947 20 0 BFADHN COCCCN(C)[C@@H](C)c1sc(C)nc1C ZINC000106877187 174220211 /nfs/dbraw/zinc/22/02/11/174220211.db2.gz MOCVMNJLWKNPSC-JTQLQIEISA-N 0 3 242.388 2.789 20 0 BFADHN COCCCN1CC[C@@](F)(c2ccccc2)C1 ZINC000374540164 174233935 /nfs/dbraw/zinc/23/39/35/174233935.db2.gz WFLJGMIEAPCQHF-AWEZNQCLSA-N 0 3 237.318 2.594 20 0 BFADHN COCCCNCc1ccsc1Cl ZINC000336655174 174248048 /nfs/dbraw/zinc/24/80/48/174248048.db2.gz OPUXBRQMPMUMAO-UHFFFAOYSA-N 0 3 219.737 2.528 20 0 BFADHN COCCCN[C@@H](C)c1cc(C)oc1C ZINC000034956895 174249048 /nfs/dbraw/zinc/24/90/48/174249048.db2.gz CXXQOIBWXBNPQS-JTQLQIEISA-N 0 3 211.305 2.584 20 0 BFADHN COCCCN[C@@H](C)c1ccc(F)cc1F ZINC000020142589 174249322 /nfs/dbraw/zinc/24/93/22/174249322.db2.gz JBRBYEIQTJIIJP-VIFPVBQESA-N 0 3 229.270 2.652 20 0 BFADHN COCCCN[C@H](C)c1cc(F)ccc1OC ZINC000151672070 174250482 /nfs/dbraw/zinc/25/04/82/174250482.db2.gz OJXVSKPLSYLMBV-SNVBAGLBSA-N 0 3 241.306 2.521 20 0 BFADHN COCCCN[C@H](C)c1ccc2ccccc2n1 ZINC000178109124 174250848 /nfs/dbraw/zinc/25/08/48/174250848.db2.gz BTNSWKQVPZAMFV-GFCCVEGCSA-N 0 3 244.338 2.922 20 0 BFADHN COCCCN[C@H](C)c1c(F)cccc1F ZINC000020142347 174250852 /nfs/dbraw/zinc/25/08/52/174250852.db2.gz TZLZXXYFBFQZRJ-SECBINFHSA-N 0 3 229.270 2.652 20 0 BFADHN COCCN(C)Cc1cccc(C(F)(F)F)c1 ZINC000052047873 174280285 /nfs/dbraw/zinc/28/02/85/174280285.db2.gz UWFVLEJLTPXMBO-UHFFFAOYSA-N 0 3 247.260 2.784 20 0 BFADHN COCCN(C)c1ccnc2ccc(C)cc21 ZINC000302163294 174283495 /nfs/dbraw/zinc/28/34/95/174283495.db2.gz QEEHYUXKWCGUTD-UHFFFAOYSA-N 0 3 230.311 2.626 20 0 BFADHN COCCN(CCCCCF)Cc1ccco1 ZINC000341830976 174290650 /nfs/dbraw/zinc/29/06/50/174290650.db2.gz HXCMUWMZXXIVCK-UHFFFAOYSA-N 0 3 243.322 2.868 20 0 BFADHN COCCN(Cc1ccncc1)[C@H]1CC[C@H](C)C1 ZINC000361641075 174300405 /nfs/dbraw/zinc/30/04/05/174300405.db2.gz XLLHRMTWJSZBJO-ZFWWWQNUSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN1CCCCC[C@H]1c1ccco1 ZINC000078830675 174304901 /nfs/dbraw/zinc/30/49/01/174304901.db2.gz ASZGBKTUFVRPGN-LBPRGKRZSA-N 0 3 223.316 2.843 20 0 BFADHN COCCN1CC[C@@H](C)C[C@H]1c1ccco1 ZINC000076184239 174312208 /nfs/dbraw/zinc/31/22/08/174312208.db2.gz VJTZUCOQYVLWTR-NEPJUHHUSA-N 0 3 223.316 2.699 20 0 BFADHN COCCNC1c2ccccc2-c2ccccc21 ZINC000019881298 174330573 /nfs/dbraw/zinc/33/05/73/174330573.db2.gz SGQBETYUUDHSDH-UHFFFAOYSA-N 0 3 239.318 2.992 20 0 BFADHN COCCNC/C(C)=C\c1ccc(Cl)cc1 ZINC000212050051 174330596 /nfs/dbraw/zinc/33/05/96/174330596.db2.gz ALWOFVSVQCHKBH-LUAWRHEFSA-N 0 3 239.746 2.979 20 0 BFADHN COCCNCc1ccc2cc(OC)ccc2c1 ZINC000019881102 174336437 /nfs/dbraw/zinc/33/64/37/174336437.db2.gz GSXHETHYTCCJBJ-UHFFFAOYSA-N 0 3 245.322 2.584 20 0 BFADHN COCCN[C@@H](C)c1ccccc1SC ZINC000194831854 174338219 /nfs/dbraw/zinc/33/82/19/174338219.db2.gz YRAVZFDHPIWFAP-JTQLQIEISA-N 0 3 225.357 2.706 20 0 BFADHN COCCN[C@@]1(c2ccc(Cl)cc2)C[C@@H]1C ZINC000336723307 174338769 /nfs/dbraw/zinc/33/87/69/174338769.db2.gz AQKSNGQEYGWCBH-GWCFXTLKSA-N 0 3 239.746 2.811 20 0 BFADHN COCCN[C@H](C)c1cccc(C2CC2)c1 ZINC000293648874 174340097 /nfs/dbraw/zinc/34/00/97/174340097.db2.gz ILHPPXDOGFPILU-LLVKDONJSA-N 0 3 219.328 2.861 20 0 BFADHN COCCOc1ccccc1CN[C@@H]1CC[C@@H]1C ZINC000353123025 174369922 /nfs/dbraw/zinc/36/99/22/174369922.db2.gz WMAHIKRLLMZMQQ-GXTWGEPZSA-N 0 3 249.354 2.600 20 0 BFADHN COCC[C@@H](C)NCc1cccc(Cl)c1F ZINC000124652929 174383884 /nfs/dbraw/zinc/38/38/84/174383884.db2.gz UKVSKBWONPYYIK-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN COCC[C@@H](C)NCc1cc(Cl)ccc1F ZINC000119004647 174384741 /nfs/dbraw/zinc/38/47/41/174384741.db2.gz OETDAYKANHMKML-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN COCC[C@@H](C)NCc1cc(C)ccc1F ZINC000116915744 174384783 /nfs/dbraw/zinc/38/47/83/174384783.db2.gz UPHADCSBUKWVCL-LLVKDONJSA-N 0 3 225.307 2.649 20 0 BFADHN COCC[C@@H](C)N[C@H](C)c1csc(C)n1 ZINC000081705667 174385748 /nfs/dbraw/zinc/38/57/48/174385748.db2.gz AFBAOLGPXFENEZ-RKDXNWHRSA-N 0 3 228.361 2.527 20 0 BFADHN COCC[C@@H](NCc1cc(C)no1)C(C)(C)C ZINC000338348064 174394134 /nfs/dbraw/zinc/39/41/34/174394134.db2.gz COCLFJHXTUSLOM-GFCCVEGCSA-N 0 3 240.347 2.524 20 0 BFADHN COCC[C@H](NCC=C(C)C)c1ccco1 ZINC000273260012 174416934 /nfs/dbraw/zinc/41/69/34/174416934.db2.gz KOPQSZRZKMVBMO-LBPRGKRZSA-N 0 3 223.316 2.913 20 0 BFADHN COC[C@@H](CC(C)C)NCc1ccccc1F ZINC000359366320 174468215 /nfs/dbraw/zinc/46/82/15/174468215.db2.gz VCRCXQUKSWLOED-CYBMUJFWSA-N 0 3 239.334 2.976 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1cc(Cl)ccc1F ZINC000289746896 174843854 /nfs/dbraw/zinc/84/38/54/174843854.db2.gz HYSIPVWXBSDILO-RKDXNWHRSA-N 0 3 245.725 2.992 20 0 BFADHN CO[C@H](CNCc1cccc(C)c1F)C1CC1 ZINC000296089040 174867765 /nfs/dbraw/zinc/86/77/65/174867765.db2.gz RCEDACLPHBNAPM-CYBMUJFWSA-N 0 3 237.318 2.649 20 0 BFADHN CO[C@H](CN[C@H](C)c1cncc(F)c1)C(C)C ZINC000268987904 174869117 /nfs/dbraw/zinc/86/91/17/174869117.db2.gz QQMNJERHVVEPLX-ZWNOBZJWSA-N 0 3 240.322 2.542 20 0 BFADHN COc1cc([C@H](C)NCCCCF)c(F)cn1 ZINC000361006038 175015918 /nfs/dbraw/zinc/01/59/18/175015918.db2.gz ZMZAGLHTFHUTOT-VIFPVBQESA-N 0 3 244.285 2.630 20 0 BFADHN COc1cc([C@H](C)NCC[C@H](C)F)c(F)cn1 ZINC000340466595 175015963 /nfs/dbraw/zinc/01/59/63/175015963.db2.gz DOOBVJJWJKCFLK-IUCAKERBSA-N 0 3 244.285 2.628 20 0 BFADHN COc1ccc(C)cc1CNC[C@@H](OC)C1CC1 ZINC000339529171 175075719 /nfs/dbraw/zinc/07/57/19/175075719.db2.gz WMEMVTSLOSQVDE-OAHLLOKOSA-N 0 3 249.354 2.518 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)NC[C@@H]1CCCO1 ZINC000019963818 175079138 /nfs/dbraw/zinc/07/91/38/175079138.db2.gz UNZGLACJKACLDU-OLZOCXBDSA-N 0 3 249.354 2.833 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@H]1CCO[C@@H]1C ZINC000119917629 175081615 /nfs/dbraw/zinc/08/16/15/175081615.db2.gz AHYAKYJCRDITKC-SCRDCRAPSA-N 0 3 249.354 2.832 20 0 BFADHN COc1ccc(CCNCc2occc2C)cc1 ZINC000135122504 175091567 /nfs/dbraw/zinc/09/15/67/175091567.db2.gz RQECQRDKJTZHHD-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN COc1ccc(CC[C@H](C)N[C@@H](C)CF)cc1 ZINC000289224090 175093903 /nfs/dbraw/zinc/09/39/03/175093903.db2.gz AIBWJSJPPLECRM-RYUDHWBXSA-N 0 3 239.334 2.964 20 0 BFADHN COc1ccc(CC[C@H](C)NCCF)cc1 ZINC000281030509 175094060 /nfs/dbraw/zinc/09/40/60/175094060.db2.gz MZCSTSQJHYNHMS-NSHDSACASA-N 0 3 225.307 2.575 20 0 BFADHN COc1ccc(CN2CCC[C@H]2C)cc1OC ZINC000124363678 175099464 /nfs/dbraw/zinc/09/94/64/175099464.db2.gz YHJLAIPCXLTSOQ-LLVKDONJSA-N 0 3 235.327 2.688 20 0 BFADHN COc1ccc(CN2CC[C@@H](CC3CC3)C2)cn1 ZINC000339682761 175102271 /nfs/dbraw/zinc/10/22/71/175102271.db2.gz LLRQQFFCVUMPBX-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ccc(CNC(C)(C)C)c(F)c1 ZINC000083346643 175105417 /nfs/dbraw/zinc/10/54/17/175105417.db2.gz FLYKSBWKBMTWMA-UHFFFAOYSA-N 0 3 211.280 2.722 20 0 BFADHN COc1ccc(CNC2CC2)cc1OC(F)F ZINC000021957061 175105537 /nfs/dbraw/zinc/10/55/37/175105537.db2.gz QTJWHSJFBWZOTR-UHFFFAOYSA-N 0 3 243.253 2.549 20 0 BFADHN COc1ccc(CNC2CC(C(C)C)C2)cc1O ZINC000362999986 175105783 /nfs/dbraw/zinc/10/57/83/175105783.db2.gz CMNSSLOIYFZQAK-UHFFFAOYSA-N 0 3 249.354 2.925 20 0 BFADHN COc1ccc(CNC2CC2)cc1Cl ZINC000020928048 175105819 /nfs/dbraw/zinc/10/58/19/175105819.db2.gz ITYYHOAVRHNJGX-UHFFFAOYSA-N 0 3 211.692 2.601 20 0 BFADHN COc1ccc(CNCc2cncc(C)c2)cc1 ZINC000122901980 175107842 /nfs/dbraw/zinc/10/78/42/175107842.db2.gz NCOXAEVJQGNMHH-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN COc1ccc(CNCc2ccc(O)cc2)cc1 ZINC000006490656 175107990 /nfs/dbraw/zinc/10/79/90/175107990.db2.gz ROJHPHVNNMJBBA-UHFFFAOYSA-N 0 3 243.306 2.691 20 0 BFADHN COc1ccc(CN[C@@H]2CSC2(C)C)cc1 ZINC000282875151 175110277 /nfs/dbraw/zinc/11/02/77/175110277.db2.gz RLIIRWKOKOAJLX-GFCCVEGCSA-N 0 3 237.368 2.679 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@@H](C)[C@@H]2C)c(OC)c1 ZINC000336794291 175110468 /nfs/dbraw/zinc/11/04/68/175110468.db2.gz AXZXQQPEZILGOD-UHIISALHSA-N 0 3 249.354 2.838 20 0 BFADHN COc1ccc(F)cc1CNCC(C)C ZINC000057496547 175129852 /nfs/dbraw/zinc/12/98/52/175129852.db2.gz SFELVXDJYOTRLO-UHFFFAOYSA-N 0 3 211.280 2.580 20 0 BFADHN COc1ccc(F)cc1CNC[C@H]1C[C@@H]1C ZINC000276847959 175130245 /nfs/dbraw/zinc/13/02/45/175130245.db2.gz CUBKKFMGZAZHAG-VHSXEESVSA-N 0 3 223.291 2.580 20 0 BFADHN COc1ccc(NC2CCN(C)CC2)c(C)c1 ZINC000061565432 175149593 /nfs/dbraw/zinc/14/95/93/175149593.db2.gz ZZFKCESVFLYKPY-UHFFFAOYSA-N 0 3 234.343 2.510 20 0 BFADHN COc1ccc(OC)c(CN[C@H]2CC2(C)C)c1 ZINC000044371804 175165621 /nfs/dbraw/zinc/16/56/21/175165621.db2.gz IHOGHUNXJYHLKX-ZDUSSCGKSA-N 0 3 235.327 2.592 20 0 BFADHN COc1ccc(OC)c(CN(C)C(C)C)c1 ZINC000152575866 175165735 /nfs/dbraw/zinc/16/57/35/175165735.db2.gz JDHINHYCILPBCQ-UHFFFAOYSA-N 0 3 223.316 2.544 20 0 BFADHN COc1ccc(OC)c(CN[C@H]2CCC2(C)C)c1 ZINC000284533117 175165762 /nfs/dbraw/zinc/16/57/62/175165762.db2.gz XEEXWTRJARUWOM-AWEZNQCLSA-N 0 3 249.354 2.982 20 0 BFADHN COc1ccc(OC)c(CNCC[C@@H](C)F)c1 ZINC000339484828 175165890 /nfs/dbraw/zinc/16/58/90/175165890.db2.gz OGQICLHUOFZMPS-SNVBAGLBSA-N 0 3 241.306 2.542 20 0 BFADHN COc1ccc(OC)c([C@H](C)NC2CC2)c1 ZINC000021964108 175168862 /nfs/dbraw/zinc/16/88/62/175168862.db2.gz XAEIMFAAFBVICY-VIFPVBQESA-N 0 3 221.300 2.517 20 0 BFADHN COc1ccc([C@@H](C)NC[C@@H](C)OC)cc1C ZINC000276996926 175176886 /nfs/dbraw/zinc/17/68/86/175176886.db2.gz YKURJKMHDVVCQT-VXGBXAGGSA-N 0 3 237.343 2.689 20 0 BFADHN COc1ccc([C@H](C)CN2CCCOCC2)cc1 ZINC000371423479 175187748 /nfs/dbraw/zinc/18/77/48/175187748.db2.gz CTLWNQWMXFDKEG-CYBMUJFWSA-N 0 3 249.354 2.521 20 0 BFADHN COc1ccc([C@H](C)NC[C@@H](C)OC)cc1C ZINC000276995556 175188728 /nfs/dbraw/zinc/18/87/28/175188728.db2.gz YKURJKMHDVVCQT-NEPJUHHUSA-N 0 3 237.343 2.689 20 0 BFADHN COc1ccc([C@H](C)N[C@@H]2CC2(C)C)cc1O ZINC000290836358 175189681 /nfs/dbraw/zinc/18/96/81/175189681.db2.gz YCHBZZLBMSKPIG-TVQRCGJNSA-N 0 3 235.327 2.850 20 0 BFADHN COc1ccc2c(c1)CCC[C@H]2NCCF ZINC000281204437 175207504 /nfs/dbraw/zinc/20/75/04/175207504.db2.gz YJVXJXVAYPIAIX-CYBMUJFWSA-N 0 3 223.291 2.632 20 0 BFADHN COc1ccc2c(c1)CN(CCC1CC1)CC2 ZINC000336708722 175210142 /nfs/dbraw/zinc/21/01/42/175210142.db2.gz YRWKHJFJRUJTJH-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN COc1ccc2c(c1)OCCN(CCC(C)C)C2 ZINC000338634000 175212269 /nfs/dbraw/zinc/21/22/69/175212269.db2.gz UNFHLGKJMCPIQF-UHFFFAOYSA-N 0 3 249.354 2.936 20 0 BFADHN COc1ccc2c(c1)OCCN(C[C@H]1C[C@H]1C)C2 ZINC000338995636 175212920 /nfs/dbraw/zinc/21/29/20/175212920.db2.gz GVFCFHLVKDYNRR-DGCLKSJQSA-N 0 3 247.338 2.546 20 0 BFADHN COc1ccc2c(c1)OCCN(C[C@@H]1C[C@@H]1C)C2 ZINC000338995635 175212933 /nfs/dbraw/zinc/21/29/33/175212933.db2.gz GVFCFHLVKDYNRR-AAEUAGOBSA-N 0 3 247.338 2.546 20 0 BFADHN COc1cccc(CN(C)C(C)C)c1OC ZINC000171027292 175254177 /nfs/dbraw/zinc/25/41/77/175254177.db2.gz UGUPSYAHHCACQV-UHFFFAOYSA-N 0 3 223.316 2.544 20 0 BFADHN COc1cccc(CN2CCCCC[C@H]2C)n1 ZINC000358579924 175256867 /nfs/dbraw/zinc/25/68/67/175256867.db2.gz OALZOTBUUXCSCE-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN COc1cccc(CN2CCS[C@H](C)C2)c1 ZINC000170901814 175259734 /nfs/dbraw/zinc/25/97/34/175259734.db2.gz HNNILSIFUFGCGT-LLVKDONJSA-N 0 3 237.368 2.633 20 0 BFADHN COc1cccc(CN2CC[C@@H](C(C)C)C2)n1 ZINC000361555981 175260435 /nfs/dbraw/zinc/26/04/35/175260435.db2.gz DHOIBGQWVZLCCT-GFCCVEGCSA-N 0 3 234.343 2.568 20 0 BFADHN COc1cccc(CN2CC[C@H](OC)C[C@@H]2C)c1 ZINC000281447009 175260501 /nfs/dbraw/zinc/26/05/01/175260501.db2.gz ACBJBHZFKRSMFV-WFASDCNBSA-N 0 3 249.354 2.695 20 0 BFADHN COc1cccc(CN2CC[C@@H](OC)C[C@@H]2C)c1 ZINC000281447003 175260642 /nfs/dbraw/zinc/26/06/42/175260642.db2.gz ACBJBHZFKRSMFV-SWLSCSKDSA-N 0 3 249.354 2.695 20 0 BFADHN COc1cccc(CNC(C)C)c1OC(F)F ZINC000035099511 175263275 /nfs/dbraw/zinc/26/32/75/175263275.db2.gz ZLHOIQLYNMNMSO-UHFFFAOYSA-N 0 3 245.269 2.795 20 0 BFADHN COc1cccc(CNC2CC2)c1OC(C)C ZINC000033465534 175263667 /nfs/dbraw/zinc/26/36/67/175263667.db2.gz WLBBXPFZFQXAMQ-UHFFFAOYSA-N 0 3 235.327 2.734 20 0 BFADHN COc1cccc(CNCc2ccncc2C)c1 ZINC000229659480 175265753 /nfs/dbraw/zinc/26/57/53/175265753.db2.gz QMFJRYOFXNEQOQ-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN COc1cccc(CN[C@H]2CC[C@@H](C)CC2)n1 ZINC000106215370 175266388 /nfs/dbraw/zinc/26/63/88/175266388.db2.gz ZEXMFEOTBPFDPT-HAQNSBGRSA-N 0 3 234.343 2.759 20 0 BFADHN COc1cccc(CN[C@H]2CC[C@@H]2SC)c1 ZINC000309892885 175267605 /nfs/dbraw/zinc/26/76/05/175267605.db2.gz DIKCXKZRJVKBLT-STQMWFEESA-N 0 3 237.368 2.679 20 0 BFADHN COc1cccc(F)c1[C@@H](C)NCC1CC1 ZINC000125139764 175274765 /nfs/dbraw/zinc/27/47/65/175274765.db2.gz PRRVGAJSEZDUOC-SECBINFHSA-N 0 3 223.291 2.895 20 0 BFADHN COc1cccc([C@@H](C)NCc2ccncc2)c1 ZINC000020139929 175286496 /nfs/dbraw/zinc/28/64/96/175286496.db2.gz OEDAFGGRKMTKOP-GFCCVEGCSA-N 0 3 242.322 2.941 20 0 BFADHN COc1cccc([C@H](C)N[C@H]2C[C@H](O)C2(C)C)c1 ZINC000129329040 175293066 /nfs/dbraw/zinc/29/30/66/175293066.db2.gz NGBUDVRRPSMDBK-BPNCWPANSA-N 0 3 249.354 2.505 20 0 BFADHN COc1cccc([C@H](C)N[C@@H](C)[C@H](C)OC)c1 ZINC000161258575 175293071 /nfs/dbraw/zinc/29/30/71/175293071.db2.gz GLVNRBDUNWWDAF-SRVKXCTJSA-N 0 3 237.343 2.769 20 0 BFADHN COc1cccc([C@H](C)N[C@H]2CCCOC2)c1 ZINC000103954846 175293272 /nfs/dbraw/zinc/29/32/72/175293272.db2.gz VSSJRCZBQDQLFM-AAEUAGOBSA-N 0 3 235.327 2.525 20 0 BFADHN COc1cccc([C@H](C)N[C@H](C)[C@H](C)OC)c1 ZINC000161258658 175293322 /nfs/dbraw/zinc/29/33/22/175293322.db2.gz GLVNRBDUNWWDAF-WOPDTQHZSA-N 0 3 237.343 2.769 20 0 BFADHN COc1cccc([C@H](C)NC[C@@H]2CC[C@H](C)O2)c1 ZINC000128311727 175293494 /nfs/dbraw/zinc/29/34/94/175293494.db2.gz SNKFZSPEVXICEB-HUBLWGQQSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccsc1[C@H](C)NC1CCC1 ZINC000274604657 175379406 /nfs/dbraw/zinc/37/94/06/175379406.db2.gz RFTCAUCWQBPKEF-QMMMGPOBSA-N 0 3 211.330 2.960 20 0 BFADHN COc1cccc2c1CC[C@H](N[C@H](C)CF)C2 ZINC000290275280 175303222 /nfs/dbraw/zinc/30/32/22/175303222.db2.gz YLCIDJNWSJDYQC-PWSUYJOCSA-N 0 3 237.318 2.500 20 0 BFADHN COc1cccc2c1CC[C@H]2NCCOC(C)C ZINC000273371691 175303514 /nfs/dbraw/zinc/30/35/14/175303514.db2.gz ATCXVEJSPXXZFI-CQSZACIVSA-N 0 3 249.354 2.697 20 0 BFADHN COc1cccc2c1OCC[C@@H]2NC(C)C ZINC000035652563 175304358 /nfs/dbraw/zinc/30/43/58/175304358.db2.gz JZAAMTZVRNPIGI-NSHDSACASA-N 0 3 221.300 2.517 20 0 BFADHN COc1ccccc1C(C)(C)NC[C@@H](C)OC ZINC000182332697 175319939 /nfs/dbraw/zinc/31/99/39/175319939.db2.gz DZRGFNQFDVOMHK-LLVKDONJSA-N 0 3 237.343 2.555 20 0 BFADHN COc1ccccc1CN(C)C[C@H]1CCCOC1 ZINC000121804076 175324244 /nfs/dbraw/zinc/32/42/44/175324244.db2.gz VJNJWUGULNDJSN-CYBMUJFWSA-N 0 3 249.354 2.554 20 0 BFADHN COc1ccccc1CNC1CC(OC(C)C)C1 ZINC000185854013 175329391 /nfs/dbraw/zinc/32/93/91/175329391.db2.gz CBFSQWDPGYTQCJ-UHFFFAOYSA-N 0 3 249.354 2.741 20 0 BFADHN COc1ccccc1CN[C@H](C)COC(C)C ZINC000337327312 175330108 /nfs/dbraw/zinc/33/01/08/175330108.db2.gz DCUJTXACDRBASF-GFCCVEGCSA-N 0 3 237.343 2.598 20 0 BFADHN COc1ccccc1CN[C@@H]1CCCSC1 ZINC000061570755 175330335 /nfs/dbraw/zinc/33/03/35/175330335.db2.gz FLLDOGPPDMSBHG-GFCCVEGCSA-N 0 3 237.368 2.680 20 0 BFADHN COc1ccccc1CN[C@H]1CC[C@@H](F)C1 ZINC000309923862 175330909 /nfs/dbraw/zinc/33/09/09/175330909.db2.gz UIZAOUQQFBHOOG-NEPJUHHUSA-N 0 3 223.291 2.675 20 0 BFADHN COc1ccccc1CN[C@H]1CC[C@H]1SC ZINC000336709180 175331595 /nfs/dbraw/zinc/33/15/95/175331595.db2.gz RBSXEWNUCMDKDI-WCQYABFASA-N 0 3 237.368 2.679 20 0 BFADHN COc1ccccc1C[C@@H](C)N[C@H](C)CF ZINC000290253241 175333214 /nfs/dbraw/zinc/33/32/14/175333214.db2.gz VYLDJVDNSIBHJQ-GHMZBOCLSA-N 0 3 225.307 2.574 20 0 BFADHN COc1ccccc1C[C@H](NCCF)C(C)C ZINC000273322535 175334149 /nfs/dbraw/zinc/33/41/49/175334149.db2.gz XAPRSQCXNHRTBD-ZDUSSCGKSA-N 0 3 239.334 2.821 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1CCO[C@@H]1C ZINC000147861399 175346140 /nfs/dbraw/zinc/34/61/40/175346140.db2.gz GFFIHQIVLKHHEV-NQBHXWOUSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccccc1[C@H](C)NCC[C@@H]1CCCO1 ZINC000038095408 175351071 /nfs/dbraw/zinc/35/10/71/175351071.db2.gz JZPOYIJJVSYVDQ-STQMWFEESA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccccc1[C@H](C)NCCCF ZINC000088162763 175351207 /nfs/dbraw/zinc/35/12/07/175351207.db2.gz YGBHNGAKZABNBH-JTQLQIEISA-N 0 3 211.280 2.705 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1C[C@H](O)C1(C)C ZINC000129202029 175351531 /nfs/dbraw/zinc/35/15/31/175351531.db2.gz GAVGTFJJRCIXKF-GDLCADMTSA-N 0 3 249.354 2.505 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H](C)[C@H](C)OC ZINC000161258833 175352526 /nfs/dbraw/zinc/35/25/26/175352526.db2.gz UHJPPLTUHNKPDV-SRVKXCTJSA-N 0 3 237.343 2.769 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1CC[C@@H](CO)C1 ZINC000296636653 175352591 /nfs/dbraw/zinc/35/25/91/175352591.db2.gz XTTDPPNCEQCHFH-XQQFMLRXSA-N 0 3 249.354 2.507 20 0 BFADHN COc1ccnc(CN2CCC[C@@H](C3CC3)C2)c1 ZINC000289365040 175357643 /nfs/dbraw/zinc/35/76/43/175357643.db2.gz HTNRDCPBPVGMQA-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ccnc(CN2CC[C@@H](CC3CC3)C2)c1 ZINC000339679216 175358608 /nfs/dbraw/zinc/35/86/08/175358608.db2.gz OOMGMASAPSBOLX-ZDUSSCGKSA-N 0 3 246.354 2.712 20 0 BFADHN COc1ccnc(CN2CC[C@@H](C)C(C)(C)C2)c1 ZINC000354024695 175359150 /nfs/dbraw/zinc/35/91/50/175359150.db2.gz SMFFOWLLUUUSOS-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccnc(CN(C2CCC2)C2CCC2)c1 ZINC000292482912 175359156 /nfs/dbraw/zinc/35/91/56/175359156.db2.gz SHODTMNFUBGVQC-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN COc1ccnc(CN2CC[C@@H]3CCCC[C@@H]32)c1 ZINC000106933878 175360189 /nfs/dbraw/zinc/36/01/89/175360189.db2.gz BHTALTWDVQHDES-WFASDCNBSA-N 0 3 246.354 2.855 20 0 BFADHN COc1ccncc1CN1C[C@@H](C)CC[C@@H]1C ZINC000287629900 175369755 /nfs/dbraw/zinc/36/97/55/175369755.db2.gz FQYGNDOHNMZINS-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CN1CCC[C@H]2CCC[C@H]21 ZINC000287797367 175370082 /nfs/dbraw/zinc/37/00/82/175370082.db2.gz OJMKHEODSFHOCT-TZMCWYRMSA-N 0 3 246.354 2.855 20 0 BFADHN COc1ccncc1CN[C@@H](C)[C@@H]1CC1(C)C ZINC000340403506 175371513 /nfs/dbraw/zinc/37/15/13/175371513.db2.gz MWJXGLUNFAUARI-JQWIXIFHSA-N 0 3 234.343 2.614 20 0 BFADHN COc1ccncc1CN[C@@H](C)C1CCCC1 ZINC000287627045 175371760 /nfs/dbraw/zinc/37/17/60/175371760.db2.gz FNJWCYPWRWFBAF-NSHDSACASA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccncc1CN[C@@H]1CC[C@H]1C(C)C ZINC000340403518 175372555 /nfs/dbraw/zinc/37/25/55/175372555.db2.gz NCHHKHLIRLDLGF-QWHCGFSZSA-N 0 3 234.343 2.614 20 0 BFADHN COc1ccncc1CNC[C@@H]1CC=CCC1 ZINC000336687538 175372575 /nfs/dbraw/zinc/37/25/75/175372575.db2.gz FJGJMEWUJBZQBR-GFCCVEGCSA-N 0 3 232.327 2.536 20 0 BFADHN COc1ccncc1CN[C@H]1CC2CCC1CC2 ZINC000311083225 175373465 /nfs/dbraw/zinc/37/34/65/175373465.db2.gz OYPWCOPETBOENV-YIZWMMSDSA-N 0 3 246.354 2.759 20 0 BFADHN COc1ccncc1CN[C@H]1CC[C@H]1C(C)C ZINC000340403519 175373473 /nfs/dbraw/zinc/37/34/73/175373473.db2.gz NCHHKHLIRLDLGF-STQMWFEESA-N 0 3 234.343 2.614 20 0 BFADHN COc1ccsc1CN(C)[C@@H]1CCSC1 ZINC000271055462 175377141 /nfs/dbraw/zinc/37/71/41/175377141.db2.gz SUGQPHURSFKRNF-SECBINFHSA-N 0 3 243.397 2.694 20 0 BFADHN COc1ccsc1CN1CCCSCC1 ZINC000272853072 175377866 /nfs/dbraw/zinc/37/78/66/175377866.db2.gz STXXIMPQTOTRQX-UHFFFAOYSA-N 0 3 243.397 2.696 20 0 BFADHN COc1ccsc1CNCC1(SC)CC1 ZINC000278673234 175378839 /nfs/dbraw/zinc/37/88/39/175378839.db2.gz OHFOGEPQWIKHRZ-UHFFFAOYSA-N 0 3 243.397 2.742 20 0 BFADHN COc1ccsc1[C@@H](C)N[C@@H](C)CCCO ZINC000186192524 175380235 /nfs/dbraw/zinc/38/02/35/175380235.db2.gz JUKLFDHXVTVGNI-VHSXEESVSA-N 0 3 243.372 2.568 20 0 BFADHN COc1cncc(CN(C)C2CCCCC2)c1 ZINC000290444131 175383911 /nfs/dbraw/zinc/38/39/11/175383911.db2.gz VQWKLKZNHNVCNB-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN COc1cncc(CN2[C@@H](C)CCC[C@@H]2C)c1 ZINC000290207340 175385109 /nfs/dbraw/zinc/38/51/09/175385109.db2.gz HBAXQOSILQUEGF-RYUDHWBXSA-N 0 3 234.343 2.853 20 0 BFADHN COc1cncc(CN2CCC[C@@H]3CCC[C@@H]32)c1 ZINC000290420796 175386177 /nfs/dbraw/zinc/38/61/77/175386177.db2.gz USJLAYQIBKOSFZ-ZFWWWQNUSA-N 0 3 246.354 2.855 20 0 BFADHN COc1nc(C)cc(C)c1CN1CCC[C@@H]1C ZINC000287842623 175390221 /nfs/dbraw/zinc/39/02/21/175390221.db2.gz QROFWPFTWNZERI-LBPRGKRZSA-N 0 3 234.343 2.691 20 0 BFADHN COc1ncc(CNC2CCCCC2)s1 ZINC000045205418 175399350 /nfs/dbraw/zinc/39/93/50/175399350.db2.gz AXEBTIJZCFQIDW-UHFFFAOYSA-N 0 3 226.345 2.574 20 0 BFADHN CSC(C)(C)CNCc1cn2ccccc2n1 ZINC000229418644 175442952 /nfs/dbraw/zinc/44/29/52/175442952.db2.gz ZENIJJMHKUHZTF-UHFFFAOYSA-N 0 3 249.383 2.566 20 0 BFADHN C[C@@H](NC1CC1)c1cc(F)c(F)c(F)c1 ZINC000132697120 175857982 /nfs/dbraw/zinc/85/79/82/175857982.db2.gz FKRLRWLIFYSOSV-ZCFIWIBFSA-N 0 3 215.218 2.917 20 0 BFADHN C[C@@H](NCC1(C2CC2)CC1)c1nccs1 ZINC000090772849 175867930 /nfs/dbraw/zinc/86/79/30/175867930.db2.gz MIQWOQAYYFOHFD-SECBINFHSA-N 0 3 222.357 2.984 20 0 BFADHN C[C@@H](NCCCc1ccncc1)c1ccccn1 ZINC000360611755 175877263 /nfs/dbraw/zinc/87/72/63/175877263.db2.gz NZXOQTHESVSQDK-CYBMUJFWSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@@H](NCCO)c1ccc(SC(F)F)cc1 ZINC000166497026 175881775 /nfs/dbraw/zinc/88/17/75/175881775.db2.gz WNJNQDMQDQWEEE-MRVPVSSYSA-N 0 3 247.310 2.644 20 0 BFADHN C[C@@H](NCCc1ccccc1Cl)c1cn[nH]c1 ZINC000274046192 175884738 /nfs/dbraw/zinc/88/47/38/175884738.db2.gz NRPAZDJYGRROKO-SNVBAGLBSA-N 0 3 249.745 2.956 20 0 BFADHN C[C@@H](NCc1cccc2c1OCO2)C1(C)CC1 ZINC000040667112 175900627 /nfs/dbraw/zinc/90/06/27/175900627.db2.gz RCPJHJOLAJGBTP-SNVBAGLBSA-N 0 3 233.311 2.694 20 0 BFADHN C[C@@H](NCc1nccn1-c1ccccc1)C1CC1 ZINC000190697458 175908743 /nfs/dbraw/zinc/90/87/43/175908743.db2.gz MVNBYVRPHMTLKX-GFCCVEGCSA-N 0 3 241.338 2.760 20 0 BFADHN C[C@@H](N[C@H]1CCC12CCOCC2)c1ccccn1 ZINC000368523671 175924400 /nfs/dbraw/zinc/92/44/00/175924400.db2.gz VQHSNLMFFLOOBG-OCCSQVGLSA-N 0 3 246.354 2.691 20 0 BFADHN C[C@@H](O)[C@@H]1CCN(Cc2cc(Cl)cs2)C1 ZINC000194476322 175994285 /nfs/dbraw/zinc/99/42/85/175994285.db2.gz XCVMNPSNDQADHW-RKDXNWHRSA-N 0 3 245.775 2.604 20 0 BFADHN C[C@@H](c1ccc(Cl)cc1)N(C)CCCO ZINC000045915470 176014142 /nfs/dbraw/zinc/01/41/42/176014142.db2.gz XNRAEQUNPKNTQB-JTQLQIEISA-N 0 3 227.735 2.715 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N(C)CC(C)(C)CO ZINC000336702916 176015167 /nfs/dbraw/zinc/01/51/67/176015167.db2.gz AQKOCPVZQNOTPN-NSHDSACASA-N 0 3 239.334 2.837 20 0 BFADHN C[C@@H](O)CCN(C)[C@@H](C)c1cccc(F)c1 ZINC000075780445 176017788 /nfs/dbraw/zinc/01/77/88/176017788.db2.gz GRXRRIPOMVKJEU-MNOVXSKESA-N 0 3 225.307 2.589 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1CCC[C@H](O)CC1 ZINC000293050726 176018606 /nfs/dbraw/zinc/01/86/06/176018606.db2.gz HUDIOHPXYFQZQJ-FZMZJTMJSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@@H](NCc1cnns1)[C@@H](C)c1ccccc1 ZINC000289968216 176022827 /nfs/dbraw/zinc/02/28/27/176022827.db2.gz IYRDUUHGKYJGKV-GHMZBOCLSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)CC1(CO)CC1 ZINC000190023144 176025140 /nfs/dbraw/zinc/02/51/40/176025140.db2.gz SKEAZUPSJIAGRY-NSHDSACASA-N 0 3 237.318 2.591 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCCCF ZINC000285674590 176026519 /nfs/dbraw/zinc/02/65/19/176026519.db2.gz QAWOLHCLXOLZHB-NSHDSACASA-N 0 3 210.296 2.824 20 0 BFADHN C[C@@H](c1cccnc1)N1CC[C@]2(C1)CCCOC2 ZINC000341968083 176030110 /nfs/dbraw/zinc/03/01/10/176030110.db2.gz AEZFKVKYSQMRFJ-ZFWWWQNUSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@H](C(=O)OC(C)(C)C)N1CCCC[C@@H](C)C1 ZINC000360605321 176064459 /nfs/dbraw/zinc/06/44/59/176064459.db2.gz QXZBSLGNANHVFU-VXGBXAGGSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H]1CCCCN(Cc2ccc(F)cn2)C1 ZINC000276141833 176065297 /nfs/dbraw/zinc/06/52/97/176065297.db2.gz AVYOXLRFMLXBLQ-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H]1CCCCN1Cc1cccc2nccn21 ZINC000183471929 176073580 /nfs/dbraw/zinc/07/35/80/176073580.db2.gz SWWJRWQIPBLWCO-GFCCVEGCSA-N 0 3 229.327 2.709 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN[C@@H]1CCn2ccnc21 ZINC000345359156 176077969 /nfs/dbraw/zinc/07/79/69/176077969.db2.gz XFBZCDRJWXHQAB-JHJVBQTASA-N 0 3 233.359 2.744 20 0 BFADHN C[C@@H]1CCCC[C@@H]1N[C@@H](CCO)c1ccco1 ZINC000186520415 176081178 /nfs/dbraw/zinc/08/11/78/176081178.db2.gz XXCLYDYHBPMAQQ-AGIUHOORSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CCOCC12CC2 ZINC000338995675 176085001 /nfs/dbraw/zinc/08/50/01/176085001.db2.gz FBSAZMJCQFAQQO-OLZOCXBDSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@@H]1CCCC[C@H]1N(C)Cc1ccon1 ZINC000157532557 176086922 /nfs/dbraw/zinc/08/69/22/176086922.db2.gz NMDMMMWZSLYNED-ZYHUDNBSSA-N 0 3 208.305 2.685 20 0 BFADHN C[C@@H]1CCCN1C[C@H](O)c1ccccc1Cl ZINC000076116082 176109271 /nfs/dbraw/zinc/10/92/71/176109271.db2.gz YLAXIDURFANEDF-MFKMUULPSA-N 0 3 239.746 2.858 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2cc[nH]n2)[C@@H]1C ZINC000336727667 176118576 /nfs/dbraw/zinc/11/85/76/176118576.db2.gz CCWRBYPEDLAZNJ-UTUOFQBUSA-N 0 3 221.348 2.572 20 0 BFADHN C[C@@H]1CCC[C@@H](CNCc2cocn2)[C@@H]1C ZINC000309456524 176118808 /nfs/dbraw/zinc/11/88/08/176118808.db2.gz NTFPIUDPURQIFP-UTUOFQBUSA-N 0 3 222.332 2.837 20 0 BFADHN C[C@@H]1CCC[C@@H](N(C)Cc2ccc(CO)o2)C1 ZINC000169059694 176120954 /nfs/dbraw/zinc/12/09/54/176120954.db2.gz YJUNHBNSDNUFNL-VXGBXAGGSA-N 0 3 237.343 2.782 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2ccno2)[C@@H]1C ZINC000219202151 176123200 /nfs/dbraw/zinc/12/32/00/176123200.db2.gz QQDWKNLKSGRPTM-CKYFFXLPSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@@H](C)C1)c1ccon1 ZINC000309017402 176124226 /nfs/dbraw/zinc/12/42/26/176124226.db2.gz VBNDVCRWZLGGJO-GMTAPVOTSA-N 0 3 208.305 2.904 20 0 BFADHN C[C@@H]1CCC[C@@H](N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000358312649 176124644 /nfs/dbraw/zinc/12/46/44/176124644.db2.gz BWAGAYLTOIGOBM-RAIGVLPGSA-N 0 3 233.359 2.955 20 0 BFADHN C[C@@H]1CCC[C@@H]1CN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000345348509 176128766 /nfs/dbraw/zinc/12/87/66/176128766.db2.gz MJADJDXFMRELCR-FDYHWXHSSA-N 0 3 233.359 2.915 20 0 BFADHN C[C@@H]1CCC[C@@]1(O)CNCc1ccc(Cl)o1 ZINC000328262118 176135161 /nfs/dbraw/zinc/13/51/61/176135161.db2.gz LNLYGISVQNLOFF-BXKDBHETSA-N 0 3 243.734 2.574 20 0 BFADHN C[C@@H]1CCC[C@H](CCN2CC[C@@](F)(CO)C2)C1 ZINC000338629243 176136393 /nfs/dbraw/zinc/13/63/93/176136393.db2.gz YPHNCKIEBOKQLC-MCIONIFRSA-N 0 3 243.366 2.609 20 0 BFADHN C[C@@H]1CCC[C@H](CN2C[C@@H](C)O[C@H](C)C2)C1 ZINC000348925250 176137056 /nfs/dbraw/zinc/13/70/56/176137056.db2.gz BTPRBKMCWPNEIM-SYQHCUMBSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2cc[nH]n2)[C@@H]1C ZINC000336727666 176139313 /nfs/dbraw/zinc/13/93/13/176139313.db2.gz CCWRBYPEDLAZNJ-IJLUTSLNSA-N 0 3 221.348 2.572 20 0 BFADHN C[C@@H](N[C@H]1CCC[C@@H](C)C1)c1ncc[nH]1 ZINC000221147939 176145375 /nfs/dbraw/zinc/14/53/75/176145375.db2.gz FOZLNGRQWYWZFG-MXWKQRLJSA-N 0 3 207.321 2.639 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1ccn(C(F)F)n1 ZINC000341468988 176148317 /nfs/dbraw/zinc/14/83/17/176148317.db2.gz AXVNZYHDAOWFRU-ZJUUUORDSA-N 0 3 243.301 2.804 20 0 BFADHN C[C@@H]1CCC[C@H]1N[C@@H](c1nccn1C)C1CC1 ZINC000353883806 176150565 /nfs/dbraw/zinc/15/05/65/176150565.db2.gz UXQNFZJHSZZWAU-RAIGVLPGSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@@H]1CCC[C@]1(O)CNCc1ccc(Cl)o1 ZINC000328262122 176152790 /nfs/dbraw/zinc/15/27/90/176152790.db2.gz LNLYGISVQNLOFF-SKDRFNHKSA-N 0 3 243.734 2.574 20 0 BFADHN C[C@@H]1CCN(CCOc2ccccc2F)C1 ZINC000338254990 176170230 /nfs/dbraw/zinc/17/02/30/176170230.db2.gz GCNGHQFOMKXIDM-LLVKDONJSA-N 0 3 223.291 2.546 20 0 BFADHN C[C@@H]1CCSCCN1Cc1cccc(O)c1 ZINC000191818321 176193412 /nfs/dbraw/zinc/19/34/12/176193412.db2.gz VJSXLCOZQHHEPU-LLVKDONJSA-N 0 3 237.368 2.720 20 0 BFADHN C[C@H](C(=O)Nc1ccccc1)N1[C@H](C)CC[C@H]1C ZINC000249856009 176199986 /nfs/dbraw/zinc/19/99/86/176199986.db2.gz DESBGULDWNTCSO-JHJVBQTASA-N 0 3 246.354 2.886 20 0 BFADHN C[C@@H]1CC[C@@H](CN(C)CCc2ccccc2)O1 ZINC000188540493 176202364 /nfs/dbraw/zinc/20/23/64/176202364.db2.gz NTSVDUXTGCWAHV-HIFRSBDPSA-N 0 3 233.355 2.728 20 0 BFADHN C[C@@H]1CC[C@@H]([NH2+]Cc2nnc(C3CC3)[n-]2)[C@H](C)C1 ZINC000360331177 176213377 /nfs/dbraw/zinc/21/33/77/176213377.db2.gz YYVSVKHMWJUOOI-CKYFFXLPSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2nnc(C3CC3)[nH]2)[C@H](C)C1 ZINC000360331177 176213378 /nfs/dbraw/zinc/21/33/78/176213378.db2.gz YYVSVKHMWJUOOI-CKYFFXLPSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@@H]1[NH2+]Cc1c(F)ccc([O-])c1F ZINC000336383923 176217339 /nfs/dbraw/zinc/21/73/39/176217339.db2.gz WSPAQHRNCGFAIF-XCBNKYQSSA-N 0 3 227.254 2.559 20 0 BFADHN C[C@@H]1CC[C@H](CN(C)CCOc2ccccc2)O1 ZINC000188535483 176223550 /nfs/dbraw/zinc/22/35/50/176223550.db2.gz PJJGZXMHDVBFQH-UKRRQHHQSA-N 0 3 249.354 2.565 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@H]1CC[C@@H](C)O1 ZINC000248875383 176224356 /nfs/dbraw/zinc/22/43/56/176224356.db2.gz FEDLEBBPRAKTLC-YRGRVCCFSA-N 0 3 234.343 2.642 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC=C(c3ccco3)C2)O1 ZINC000285525170 176224601 /nfs/dbraw/zinc/22/46/01/176224601.db2.gz GYPJSGUDABUIFM-TZMCWYRMSA-N 0 3 247.338 2.936 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2ccc(F)c(F)c2)O1 ZINC000128439412 176226857 /nfs/dbraw/zinc/22/68/57/176226857.db2.gz YOIGFGJGFBABDE-MWLCHTKSSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1CC[C@H](NCc2nccn2C(F)F)C1 ZINC000121981734 176233683 /nfs/dbraw/zinc/23/36/83/176233683.db2.gz KVJPZNMUEARSOM-BDAKNGLRSA-N 0 3 229.274 2.556 20 0 BFADHN C[C@@H]1CC[C@H]([NH2+]Cc2ccc([O-])c(F)c2)C1 ZINC000164617451 176233689 /nfs/dbraw/zinc/23/36/89/176233689.db2.gz LWBIHUPUMDXLPW-KOLCDFICSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1cnccn1 ZINC000246779166 176234049 /nfs/dbraw/zinc/23/40/49/176234049.db2.gz QAXYIADGMVTYIW-LPWJVIDDSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@@H]1CC[C@H](N[C@@H]2C[C@@H](C)n3ccnc32)C1 ZINC000345355523 176234064 /nfs/dbraw/zinc/23/40/64/176234064.db2.gz MIQZSQHDOBPQGP-WISYIIOYSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@@H]1CC[C@H](N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000336783080 176234586 /nfs/dbraw/zinc/23/45/86/176234586.db2.gz ZJURVSIGFZJKRZ-ADEWGFFLSA-N 0 3 219.332 2.565 20 0 BFADHN C[C@@H]1CN(CC2CC2)C[C@H](c2ccccc2)O1 ZINC000155699352 176286816 /nfs/dbraw/zinc/28/68/16/176286816.db2.gz DVRHYSZQLLUFFC-IUODEOHRSA-N 0 3 231.339 2.858 20 0 BFADHN C[C@@H]1CN(CCn2ccnc2)[C@@H]1c1ccccc1 ZINC000162084027 176295986 /nfs/dbraw/zinc/29/59/86/176295986.db2.gz GJQDNNQULIONEE-HIFRSBDPSA-N 0 3 241.338 2.576 20 0 BFADHN C[C@@H]1CN(Cc2cccc3c2NCC3)CCS1 ZINC000136061525 176305598 /nfs/dbraw/zinc/30/55/98/176305598.db2.gz NYKPMLKOLAULCC-LLVKDONJSA-N 0 3 248.395 2.592 20 0 BFADHN C[C@@H]1CN(Cc2cccc3cc[nH]c32)C[C@@H](C)O1 ZINC000269396878 176306068 /nfs/dbraw/zinc/30/60/68/176306068.db2.gz BZWYAUVUQGZEOY-VXGBXAGGSA-N 0 3 244.338 2.777 20 0 BFADHN C[C@@H]1CN(Cc2ccno2)CC(C)(C)C1 ZINC000336389372 176309788 /nfs/dbraw/zinc/30/97/88/176309788.db2.gz LYDRIYUMKXHYLA-JTQLQIEISA-N 0 3 208.305 2.543 20 0 BFADHN C[C@@H]1COCC[C@@H]1CNCc1ccc(Cl)o1 ZINC000309788030 176339000 /nfs/dbraw/zinc/33/90/00/176339000.db2.gz ONMHKWFLYPYYPJ-NXEZZACHSA-N 0 3 243.734 2.695 20 0 BFADHN C[C@@H]1COCC[C@H]1Nc1cccc(CN(C)C)c1 ZINC000195055558 176345375 /nfs/dbraw/zinc/34/53/75/176345375.db2.gz QQNVQZAQICTDBJ-IUODEOHRSA-N 0 3 248.370 2.585 20 0 BFADHN C[C@@H](c1cccnc1)N1CCSC[C@@H](C)C1 ZINC000276860299 176349843 /nfs/dbraw/zinc/34/98/43/176349843.db2.gz KFFJEIZNUMAKBF-RYUDHWBXSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@@H]1CSCCN1Cc1ccc2c[nH]nc2c1 ZINC000179662180 176350965 /nfs/dbraw/zinc/35/09/65/176350965.db2.gz BUSHDRHTRNGFBD-SNVBAGLBSA-N 0 3 247.367 2.500 20 0 BFADHN C[C@@H]1CSC[C@@H]1N[C@@H]1CCCc2occc21 ZINC000304407661 176353389 /nfs/dbraw/zinc/35/33/89/176353389.db2.gz IDBNCZZQEINKCN-JLLWLGSASA-N 0 3 237.368 2.998 20 0 BFADHN C[C@H]1Oc2ccccc2[C@H]1N[C@H]1CSC[C@H]1C ZINC000296062443 176354186 /nfs/dbraw/zinc/35/41/86/176354186.db2.gz PIRIJVJPHIYTAV-KLTVKPSUSA-N 0 3 249.379 2.850 20 0 BFADHN C[C@@H](NCC[C@H]1CCO[C@H](C)C1)c1ccccn1 ZINC000273204266 176367308 /nfs/dbraw/zinc/36/73/08/176367308.db2.gz JGHLWMCUZAKIAM-MCIONIFRSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H]1C[C@@H](NCCCC(C)(F)F)c2nccn21 ZINC000296634944 176375229 /nfs/dbraw/zinc/37/52/29/176375229.db2.gz BQCWBYJHUCYWKR-NXEZZACHSA-N 0 3 243.301 2.914 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cnn(C)c2)c2ccccc21 ZINC000264535555 176378226 /nfs/dbraw/zinc/37/82/26/176378226.db2.gz MKNQXVICMRSZSC-IAQYHMDHSA-N 0 3 241.338 2.758 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CC=CCC2)c2nccn21 ZINC000294158260 176379218 /nfs/dbraw/zinc/37/92/18/176379218.db2.gz QPJPDPOMGAJSRM-GRYCIOLGSA-N 0 3 217.316 2.587 20 0 BFADHN C[C@@H]1C[C@@H](Nc2ncccc2Cl)CCN1C ZINC000095015707 176379833 /nfs/dbraw/zinc/37/98/33/176379833.db2.gz FGRZVRXXHFQJEU-ZJUUUORDSA-N 0 3 239.750 2.630 20 0 BFADHN CCOc1ccc(CN2C[C@H](C)C[C@H]2C)nc1 ZINC000674865163 487634884 /nfs/dbraw/zinc/63/48/84/487634884.db2.gz VOXVSJSPEUKLNE-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](CCC1CC1)N[C@@H](CO)c1ccsc1 ZINC000309805557 176617086 /nfs/dbraw/zinc/61/70/86/176617086.db2.gz XMGWACNJWGTCLE-MFKMUULPSA-N 0 3 239.384 2.950 20 0 BFADHN C[C@H](CCc1ccsc1)NCc1nccn1C ZINC000361238563 176642665 /nfs/dbraw/zinc/64/26/65/176642665.db2.gz USVHEBMXWPQKFO-LLVKDONJSA-N 0 3 249.383 2.593 20 0 BFADHN C[C@H](CN1CCCCC1)N[C@H](C)c1ccccn1 ZINC000037153540 176665476 /nfs/dbraw/zinc/66/54/76/176665476.db2.gz UOVRVMGHPIPTEP-ZIAGYGMSSA-N 0 3 247.386 2.607 20 0 BFADHN Cc1cc(CN[C@@H](C)CC(F)(F)F)ccn1 ZINC000648660279 487635468 /nfs/dbraw/zinc/63/54/68/487635468.db2.gz JFHQLTRCUGVXGV-VIFPVBQESA-N 0 3 232.249 2.821 20 0 BFADHN C[C@H](NCCN1CCC1)c1cccc(Cl)c1 ZINC000309444121 176848075 /nfs/dbraw/zinc/84/80/75/176848075.db2.gz KXKKIHWLUKTPEW-NSHDSACASA-N 0 3 238.762 2.696 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1C)c1cncc(F)c1 ZINC000336702495 176902953 /nfs/dbraw/zinc/90/29/53/176902953.db2.gz PZTKUYGPQNWLTJ-VDDIYKPWSA-N 0 3 208.280 2.670 20 0 BFADHN C[C@H](c1ccc(Cl)c(Cl)c1)N(C)CCO ZINC000267140648 177033450 /nfs/dbraw/zinc/03/34/50/177033450.db2.gz HZQHLBLOMFAAJN-MRVPVSSYSA-N 0 3 248.153 2.979 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCC(C)(O)CC1 ZINC000177302743 177036102 /nfs/dbraw/zinc/03/61/02/177036102.db2.gz WHBIXFBWTLXNFI-LLVKDONJSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCC[C@H](O)CC1 ZINC000293050731 177036723 /nfs/dbraw/zinc/03/67/23/177036723.db2.gz HUDIOHPXYFQZQJ-RISCZKNCSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H](c1ccccc1)N1CCN(C2CC2)CC1 ZINC000299319019 177039088 /nfs/dbraw/zinc/03/90/88/177039088.db2.gz HQVWZHIWNPCDOM-CYBMUJFWSA-N 0 3 230.355 2.528 20 0 BFADHN C[C@H](c1ccccc1F)N1CCCN(C)CC1 ZINC000297718963 177041528 /nfs/dbraw/zinc/04/15/28/177041528.db2.gz BRMHBKKJSBLBEO-GFCCVEGCSA-N 0 3 236.334 2.524 20 0 BFADHN C[C@H](c1ccccn1)N(C)CCc1nccs1 ZINC000096483887 177042492 /nfs/dbraw/zinc/04/24/92/177042492.db2.gz OYZAFCMXRGJKAR-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@H]1CCCC[C@@H]1O ZINC000272514032 177045717 /nfs/dbraw/zinc/04/57/17/177045717.db2.gz KMKVJAVZUWVTRU-YUELXQCFSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@H]1CC(NCc2cocn2)C[C@H](C)C1 ZINC000178745679 177065517 /nfs/dbraw/zinc/06/55/17/177065517.db2.gz YFZOCKUGRODFCI-NXEZZACHSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1CC(NCc2ccc(CO)o2)C[C@H](C)C1 ZINC000084972535 177065633 /nfs/dbraw/zinc/06/56/33/177065633.db2.gz VQQDEGRGLGSDGI-GHMZBOCLSA-N 0 3 237.343 2.686 20 0 BFADHN C[C@H]1CCCCN(Cc2ccncc2F)C1 ZINC000336224569 177070770 /nfs/dbraw/zinc/07/07/70/177070770.db2.gz MAZNZUDVSPRUQE-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN C[C@H]1CCCC[C@@H]1CCNCc1ncc[nH]1 ZINC000309446776 177079836 /nfs/dbraw/zinc/07/98/36/177079836.db2.gz LNOJULJGDXTZEU-NWDGAFQWSA-N 0 3 221.348 2.716 20 0 BFADHN C[C@H]1CCCC[C@@H]1CN(C)Cc1ccnn1C ZINC000355285097 177080243 /nfs/dbraw/zinc/08/02/43/177080243.db2.gz SOVPAZMSRITPOR-QWHCGFSZSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@H]1CCCC[C@H]1NCc1cscn1 ZINC000040420503 177088490 /nfs/dbraw/zinc/08/84/90/177088490.db2.gz DTZDZMWQDKRMQF-GXSJLCMTSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@H]1CCCC[C@H]1NCc1ncccc1N(C)C ZINC000289801291 177088842 /nfs/dbraw/zinc/08/88/42/177088842.db2.gz NWGLWRYEBYARSH-QWHCGFSZSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@H]1CCCC[C@]1(C)NCc1cnns1 ZINC000308688767 177090760 /nfs/dbraw/zinc/09/07/60/177090760.db2.gz CIQIMSHRGLSFPF-ONGXEEELSA-N 0 3 225.361 2.597 20 0 BFADHN C[C@H]1CCCN(C[C@@H](O)c2ccccc2F)C1 ZINC000221091925 177099635 /nfs/dbraw/zinc/09/96/35/177099635.db2.gz FNLFJZKDZAKUGQ-SMDDNHRTSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@H]1CCCN(Cc2cncs2)CC1 ZINC000092586153 177101495 /nfs/dbraw/zinc/10/14/95/177101495.db2.gz UZQBNYJFDXRIAV-JTQLQIEISA-N 0 3 210.346 2.765 20 0 BFADHN C[C@H]1CCCN1Cc1ccnn1-c1ccccc1 ZINC000279998564 177110725 /nfs/dbraw/zinc/11/07/25/177110725.db2.gz UZPDLHJIPRMTHA-ZDUSSCGKSA-N 0 3 241.338 2.857 20 0 BFADHN C[C@H]1CCCN1Cc1cnn(C(C)(C)C)c1 ZINC000124402282 177110863 /nfs/dbraw/zinc/11/08/63/177110863.db2.gz DHUREGAAVOQOQN-NSHDSACASA-N 0 3 221.348 2.622 20 0 BFADHN C[C@H]1CCC[C@@H](N(C)Cc2ccc(CO)o2)C1 ZINC000169059770 177120866 /nfs/dbraw/zinc/12/08/66/177120866.db2.gz YJUNHBNSDNUFNL-NWDGAFQWSA-N 0 3 237.343 2.782 20 0 BFADHN C[C@H]1CCC[C@@H]1NCc1cn2ccccc2n1 ZINC000112719164 177126776 /nfs/dbraw/zinc/12/67/76/177126776.db2.gz PMELYUXRXFEZSH-AAEUAGOBSA-N 0 3 229.327 2.613 20 0 BFADHN C[C@H]1CCC[C@H](N(C)c2ccnc(CO)c2)C1 ZINC000042494307 177135525 /nfs/dbraw/zinc/13/55/25/177135525.db2.gz VYACAWOKNHOGFO-AAEUAGOBSA-N 0 3 234.343 2.589 20 0 BFADHN C[C@H]1CCN(CC2(C)CCC2)[C@H](C(N)=O)C1 ZINC000335200545 177159399 /nfs/dbraw/zinc/15/93/99/177159399.db2.gz TUUHHZMBLQJUJU-QWRGUYRKSA-N 0 3 224.348 2.812 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1CCc1cscn1 ZINC000295113351 177161843 /nfs/dbraw/zinc/16/18/43/177161843.db2.gz VSEJMTUBTVBXBE-WDEREUQCSA-N 0 3 224.373 2.806 20 0 BFADHN C[C@H]1CCN(CCc2cscn2)C[C@H]1C ZINC000296427340 177162180 /nfs/dbraw/zinc/16/21/80/177162180.db2.gz YCAPDGNACQDFFW-WDEREUQCSA-N 0 3 224.373 2.664 20 0 BFADHN C[C@H]1CCN(Cc2cc3cccnc3o2)C1 ZINC000336221084 177163427 /nfs/dbraw/zinc/16/34/27/177163427.db2.gz BNSHXDKUKDQBGL-JTQLQIEISA-N 0 3 216.284 2.670 20 0 BFADHN C[C@H]1CCN(Cc2ccc(CO)o2)CC12CCC2 ZINC000272412810 177163750 /nfs/dbraw/zinc/16/37/50/177163750.db2.gz ZUJFTRKWZIRHKW-LBPRGKRZSA-N 0 3 249.354 2.784 20 0 BFADHN C[C@H]1CCN(Cc2ccc(Cl)cc2)[C@@H]1CO ZINC000189736537 177164091 /nfs/dbraw/zinc/16/40/91/177164091.db2.gz DJSROQQSBJHYHM-GXFFZTMASA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H]1CCN(Cc2cccnc2)CC1(C)C ZINC000273670215 177164781 /nfs/dbraw/zinc/16/47/81/177164781.db2.gz JCSJEPGONXKWLB-LBPRGKRZSA-N 0 3 218.344 2.950 20 0 BFADHN C[C@H]1CCN(Cc2ccccn2)CC1(C)C ZINC000273653659 177165630 /nfs/dbraw/zinc/16/56/30/177165630.db2.gz HBPKZWBGSYSCRQ-LBPRGKRZSA-N 0 3 218.344 2.950 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cccc2nsnc21 ZINC000269004010 177165969 /nfs/dbraw/zinc/16/59/69/177165969.db2.gz KRFKQCWCSAHKHC-VHSXEESVSA-N 0 3 247.367 2.922 20 0 BFADHN C[C@H]1CN(Cc2ccncc2)CC[C@@H]1C ZINC000122895853 177167818 /nfs/dbraw/zinc/16/78/18/177167818.db2.gz XGAVGDVRDAMZGF-RYUDHWBXSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@H](C)C(C)(C)C1 ZINC000274489343 177169862 /nfs/dbraw/zinc/16/98/62/177169862.db2.gz SGDNWLVZTGHDAM-NWDGAFQWSA-N 0 3 233.359 2.906 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1ccc(Br)o1 ZINC000307217561 177194931 /nfs/dbraw/zinc/19/49/31/177194931.db2.gz GAHVYLJPMQJTGL-CBAPKCEASA-N 0 3 244.132 2.930 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1cc(F)c(F)cc1F ZINC000336649410 177194989 /nfs/dbraw/zinc/19/49/89/177194989.db2.gz GXFGYPMEWSAJAG-MADCSZMMSA-N 0 3 229.245 2.992 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1cn2cc(Cl)ccc2n1 ZINC000334305593 177195868 /nfs/dbraw/zinc/19/58/68/177195868.db2.gz GOZDLRNJXGKJLX-CABZTGNLSA-N 0 3 249.745 2.876 20 0 BFADHN C[C@H](NC[C@H]1CC[C@H](C)O1)c1cccc(O)c1 ZINC000128263036 177202443 /nfs/dbraw/zinc/20/24/43/177202443.db2.gz KOUDVUKSRCUYNW-COPLHBTASA-N 0 3 235.327 2.610 20 0 BFADHN C[C@H]1CC[C@H](CNCc2cc(F)ccc2F)O1 ZINC000128492630 177202732 /nfs/dbraw/zinc/20/27/32/177202732.db2.gz DRXMDLPAKQOYEY-JOYOIKCWSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H]1CC[C@H](N(C)Cc2cccnc2)C1 ZINC000275588800 177203488 /nfs/dbraw/zinc/20/34/88/177203488.db2.gz JQWMZCIEFKWNOI-AAEUAGOBSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@H]1CC[C@H]1NCc1cnc(C(C)(C)C)nc1 ZINC000310149270 177207022 /nfs/dbraw/zinc/20/70/22/177207022.db2.gz FGLOWCBCIFJIEY-CMPLNLGQSA-N 0 3 233.359 2.662 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1CCCC(C)(C)C ZINC000337141671 177247669 /nfs/dbraw/zinc/24/76/69/177247669.db2.gz VVLZYPXUBMJCIP-NEPJUHHUSA-N 0 3 213.365 2.922 20 0 BFADHN C[C@H]1CN(C/C=C/c2ccccc2)CCCO1 ZINC000171239025 177241005 /nfs/dbraw/zinc/24/10/05/177241005.db2.gz CNMBWKPDDGUAFX-KVEVGEHQSA-N 0 3 231.339 2.811 20 0 BFADHN C[C@H]1CN(CC(=O)Nc2ccccc2)C(C)(C)C1 ZINC000266953520 177244072 /nfs/dbraw/zinc/24/40/72/177244072.db2.gz VGFGSSJRMIPSML-GFCCVEGCSA-N 0 3 246.354 2.746 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CCCOc1ccccc1 ZINC000293444532 177250009 /nfs/dbraw/zinc/25/00/09/177250009.db2.gz OVIAOLNRVYVCAD-QWHCGFSZSA-N 0 3 219.328 2.796 20 0 BFADHN C[C@H]1CN(CCSC(C)(C)C)CCCO1 ZINC000370507577 177253154 /nfs/dbraw/zinc/25/31/54/177253154.db2.gz KKKLXADVXUGUEO-NSHDSACASA-N 0 3 231.405 2.629 20 0 BFADHN C[C@@H]1[C@@H](C)CN1CCc1ccccc1F ZINC000293328934 177254117 /nfs/dbraw/zinc/25/41/17/177254117.db2.gz GLMTZPWYSSPBKQ-WDEREUQCSA-N 0 3 207.292 2.708 20 0 BFADHN C[C@H]1CN(Cc2ccc(-n3cccn3)cc2)[C@H]1C ZINC000293062986 177261953 /nfs/dbraw/zinc/26/19/53/177261953.db2.gz SJVZGRVTRHILHI-STQMWFEESA-N 0 3 241.338 2.713 20 0 BFADHN C[C@H]1CN(Cc2ccn(C)c2)CCC1(F)F ZINC000291616491 177267921 /nfs/dbraw/zinc/26/79/21/177267921.db2.gz RJFFSOWJMXJFGV-JTQLQIEISA-N 0 3 228.286 2.502 20 0 BFADHN C[C@H](c1ccccc1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000180317458 177275306 /nfs/dbraw/zinc/27/53/06/177275306.db2.gz AJNYOTCREFLUCF-FRRDWIJNSA-N 0 3 219.328 2.857 20 0 BFADHN C[C@@H]1CN([C@@H]2C[C@@H]2c2ccccc2)C[C@H](C)N1C ZINC000293397701 177275613 /nfs/dbraw/zinc/27/56/13/177275613.db2.gz LGCLOPKEIQHVCV-OCVGTWLNSA-N 0 3 244.382 2.567 20 0 BFADHN C[C@H]1COCC[C@H]1NC1(c2ccccc2F)CC1 ZINC000195097674 177296621 /nfs/dbraw/zinc/29/66/21/177296621.db2.gz HTHQJMCGTUONHQ-SMDDNHRTSA-N 0 3 249.329 2.829 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCC(F)(F)C2)[C@@H](C)CO1 ZINC000338252101 177302295 /nfs/dbraw/zinc/30/22/95/177302295.db2.gz FJAHVTHURHVCRX-QJPTWQEYSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1cccc(F)c1F ZINC000335605737 177306259 /nfs/dbraw/zinc/30/62/59/177306259.db2.gz HUPYPJFJLFPPRA-KWQFWETISA-N 0 3 243.322 2.806 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cn3ccccc3n2)C1 ZINC000014194379 177315222 /nfs/dbraw/zinc/31/52/22/177315222.db2.gz UGFHLXOSWYCZKA-BETUJISGSA-N 0 3 243.354 2.812 20 0 BFADHN C[C@H]1C[C@H](N[C@@H]2CCn3ccnc32)C[C@@H](C)C1 ZINC000345353175 177317831 /nfs/dbraw/zinc/31/78/31/177317831.db2.gz PYONRWSACDQJIH-MROQNXINSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H](N[C@H]1C[C@H](C)C[C@H](C)C1)c1cnccn1 ZINC000246901518 177318220 /nfs/dbraw/zinc/31/82/20/177318220.db2.gz LMCXRYJTSVPOEK-QNWHQSFQSA-N 0 3 233.359 2.952 20 0 BFADHN CC[C@H](COC)N[C@H]1COc2c1ccc(C)c2C ZINC000271525586 487637865 /nfs/dbraw/zinc/63/78/65/487637865.db2.gz VSFGIDLYIMTAFZ-OCCSQVGLSA-N 0 3 249.354 2.752 20 0 BFADHN C[C@@H](O)CN[C@@H]1C[C@H](C)Sc2sccc21 ZINC000122025330 177332877 /nfs/dbraw/zinc/33/28/77/177332877.db2.gz MGFZKEJZAJEXRK-KHQFGBGNSA-N 0 3 243.397 2.644 20 0 BFADHN C[C@H]1C[C@@H](c2nc(C(C)(C)C)no2)CCN1C ZINC000334903749 177338909 /nfs/dbraw/zinc/33/89/09/177338909.db2.gz PMOZQPZXQQTMJK-UWVGGRQHSA-N 0 3 237.347 2.565 20 0 BFADHN C[C@H]1C[C@@H]1NCc1cnc(C(C)(C)C)s1 ZINC000062839057 177349714 /nfs/dbraw/zinc/34/97/14/177349714.db2.gz XLBSOIQFDHOMAQ-WPRPVWTQSA-N 0 3 224.373 2.939 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCCN2CCCC2)o1 ZINC000020126788 177351285 /nfs/dbraw/zinc/35/12/85/177351285.db2.gz LBUVRFCDTQGFQP-JSGCOSHPSA-N 0 3 248.370 2.588 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNC[C@H]2CCCO2)o1 ZINC000295037972 177351612 /nfs/dbraw/zinc/35/16/12/177351612.db2.gz MGOIYRDLYCYBSH-LOWVWBTDSA-N 0 3 235.327 2.672 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNC[C@@H]2CC[C@H](O)C2)o1 ZINC000188824055 177352145 /nfs/dbraw/zinc/35/21/45/177352145.db2.gz PDPDPGSJRAADSX-OPDFLTKYSA-N 0 3 249.354 2.654 20 0 BFADHN C[C@@H]1CC[C@H](NCc2ccon2)[C@@H](C)C1 ZINC000220718996 177355821 /nfs/dbraw/zinc/35/58/21/177355821.db2.gz DDTONRPKZYRAAS-SCVCMEIPSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3nccn32)[C@@H](C)C1 ZINC000271747841 177355948 /nfs/dbraw/zinc/35/59/48/177355948.db2.gz UFZYHJWQWSMCAS-OLZOCXBDSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cccc(C(N)=O)c2)C1 ZINC000336600942 177360070 /nfs/dbraw/zinc/36/00/70/177360070.db2.gz SPEQTHXHWJTCPK-QWRGUYRKSA-N 0 3 232.327 2.800 20 0 BFADHN C[C@H]1C[C@H](CN(C)CCC(F)(F)F)CCO1 ZINC000352691120 177361637 /nfs/dbraw/zinc/36/16/37/177361637.db2.gz IWSGATRPMDNBRY-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H]1C[C@@H](CCNCc2ccco2)C[C@H](C)O1 ZINC000338480087 177361960 /nfs/dbraw/zinc/36/19/60/177361960.db2.gz BZXVREVGYZXWJM-CLLJXQQHSA-N 0 3 237.343 2.963 20 0 BFADHN C[C@H]1C[C@H](NCc2ccccc2Cl)CCO1 ZINC000069857304 177370356 /nfs/dbraw/zinc/37/03/56/177370356.db2.gz GMCFWQJGODDPNA-CMPLNLGQSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@@H]1CC[C@@H]([NH2+]Cc2nnc(C3CC3)[n-]2)C[C@@H]1C ZINC000331522048 177371801 /nfs/dbraw/zinc/37/18/01/177371801.db2.gz OASNJJZGHGWNHB-JFGNBEQYSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2nnc(C3CC3)[nH]2)C[C@@H]1C ZINC000331522048 177371802 /nfs/dbraw/zinc/37/18/02/177371802.db2.gz OASNJJZGHGWNHB-JFGNBEQYSA-N 0 3 248.374 2.596 20 0 BFADHN CCOc1ccc(CN2C[C@@H](C)C[C@H]2C)nc1 ZINC000674865161 487638257 /nfs/dbraw/zinc/63/82/57/487638257.db2.gz VOXVSJSPEUKLNE-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H]1C[C@H]1NCc1ccc(F)cc1Cl ZINC000052288184 177385634 /nfs/dbraw/zinc/38/56/34/177385634.db2.gz AMTOJZKVHWVQJY-WRWORJQWSA-N 0 3 213.683 2.977 20 0 BFADHN C[C@H]1N(Cc2ccccc2)CCOC1(C)C ZINC000353856838 177392629 /nfs/dbraw/zinc/39/26/29/177392629.db2.gz QSMDALLBCRXHQI-GFCCVEGCSA-N 0 3 219.328 2.686 20 0 BFADHN CC[C@H]1CCN(Cc2cn3cccc(C)c3n2)C1 ZINC000674868191 487639266 /nfs/dbraw/zinc/63/92/66/487639266.db2.gz NWNZUXIJKQUBJS-ZDUSSCGKSA-N 0 3 243.354 2.875 20 0 BFADHN C[C@@H]1C[C@H](N2CCc3ccc(F)cc3C2)CCO1 ZINC000335493025 487639589 /nfs/dbraw/zinc/63/95/89/487639589.db2.gz CFUZCFYRETWDOC-IAQYHMDHSA-N 0 3 249.329 2.751 20 0 BFADHN CC[C@H](N[C@H](C)c1nccn1C)C1CCC1 ZINC000324708939 487640041 /nfs/dbraw/zinc/64/00/41/487640041.db2.gz WDKJZUBVLPWTKW-PWSUYJOCSA-N 0 3 221.348 2.649 20 0 BFADHN CCCN(Cc1ccccc1F)C[C@@H](C)CO ZINC000678044128 487641682 /nfs/dbraw/zinc/64/16/82/487641682.db2.gz LLUSNFKIBYMHSA-GFCCVEGCSA-N 0 3 239.334 2.666 20 0 BFADHN CC(C)n1nccc1CN[C@@H]1C[C@H]1c1ccco1 ZINC000414593744 487643828 /nfs/dbraw/zinc/64/38/28/487643828.db2.gz RHSRJPPWWALFDU-CHWSQXEVSA-N 0 3 245.326 2.703 20 0 BFADHN CC[C@](C)(CNCc1cccc(F)c1F)OC ZINC000322499486 487643947 /nfs/dbraw/zinc/64/39/47/487643947.db2.gz FRZVVDRABDTJAH-CYBMUJFWSA-N 0 3 243.297 2.870 20 0 BFADHN C[C@@H](CN1CCO[C@@H](C2CC2)C1)c1ccccc1 ZINC000485832946 225259235 /nfs/dbraw/zinc/25/92/35/225259235.db2.gz SDSAQPOCRYGDMU-XJKSGUPXSA-N 0 3 245.366 2.901 20 0 BFADHN C[C@H]1C[C@H]1CN1CC=C(C(F)(F)F)CC1 ZINC000488315550 225368889 /nfs/dbraw/zinc/36/88/89/225368889.db2.gz FEHCOMPSJKSAAO-IUCAKERBSA-N 0 3 219.250 2.837 20 0 BFADHN C[C@H]1C[C@H]1CN1CCc2c(F)cccc2C1 ZINC000488327388 225374215 /nfs/dbraw/zinc/37/42/15/225374215.db2.gz HJLLAYSDAHGZOH-JQWIXIFHSA-N 0 3 219.303 2.840 20 0 BFADHN Cc1ccc2c(c1C)OC[C@@H]2NC[C@@H]1C[C@H]1C ZINC000488356150 225386459 /nfs/dbraw/zinc/38/64/59/225386459.db2.gz SPXBNQAYRROTOI-OSMZGAPFSA-N 0 3 231.339 2.983 20 0 BFADHN Cc1ccc2c(c1C)OC[C@@H]2NC[C@@H]1C[C@@H]1C ZINC000488356147 225386509 /nfs/dbraw/zinc/38/65/09/225386509.db2.gz SPXBNQAYRROTOI-JKOKRWQUSA-N 0 3 231.339 2.983 20 0 BFADHN COCCN(Cc1ccccn1)[C@@H]1CCC[C@@H]1C ZINC000488072621 225346953 /nfs/dbraw/zinc/34/69/53/225346953.db2.gz JZQRQCHZKNVOPI-DZGCQCFKSA-N 0 3 248.370 2.719 20 0 BFADHN COc1ncccc1CN(C)[C@@H](C)C1CCC1 ZINC000488141158 225350976 /nfs/dbraw/zinc/35/09/76/225350976.db2.gz AUFCZHBTLFIWGV-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1ncccc1CN(CC1CC1)CC1CC1 ZINC000488233902 225358141 /nfs/dbraw/zinc/35/81/41/225358141.db2.gz QHGDFVWIKOLGGD-UHFFFAOYSA-N 0 3 246.354 2.712 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCN(c2ccc(F)cc2)CC1 ZINC000488310892 225366647 /nfs/dbraw/zinc/36/66/47/225366647.db2.gz GPBSUQHDPSCBCL-OLZOCXBDSA-N 0 3 248.345 2.604 20 0 BFADHN Cc1cc(CN[C@H]2CC(C)(C)C[C@H]2C)ncn1 ZINC000683436040 487647435 /nfs/dbraw/zinc/64/74/35/487647435.db2.gz NZQBKKFMHHXDRS-MFKMUULPSA-N 0 3 233.359 2.699 20 0 BFADHN C[C@H]1CN(CCCOC(C)(C)C)CC(C)(C)O1 ZINC000674905481 487649279 /nfs/dbraw/zinc/64/92/79/487649279.db2.gz QCRKBMOHWNTEAW-LBPRGKRZSA-N 0 3 243.391 2.691 20 0 BFADHN CCOc1ncccc1CN[C@@H]1C[C@H](C)[C@@H]1C ZINC000494916896 226271393 /nfs/dbraw/zinc/27/13/93/226271393.db2.gz VUJJJMGFKMKXFE-GMXVVIOVSA-N 0 3 234.343 2.614 20 0 BFADHN Cc1cccc(CN(C)C[C@@H]2CCSC2)n1 ZINC000494965483 226273110 /nfs/dbraw/zinc/27/31/10/226273110.db2.gz XVFBJUYZGWOGAK-LBPRGKRZSA-N 0 3 236.384 2.575 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H](c2nccn2C)C2CC2)[C@@H]1C ZINC000495016853 226274706 /nfs/dbraw/zinc/27/47/06/226274706.db2.gz KTUILUNZNKAYHM-VCDKRKBESA-N 0 3 233.359 2.505 20 0 BFADHN Cc1cccc2nc(CN[C@@H]3C[C@@H](C)[C@H]3C)cn21 ZINC000495083099 226276287 /nfs/dbraw/zinc/27/62/87/226276287.db2.gz YBXAIYLEKWOFEF-MPKXVKKWSA-N 0 3 243.354 2.777 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CC[C@H](O)CC2)oc1C ZINC000502411463 226329871 /nfs/dbraw/zinc/32/98/71/226329871.db2.gz ATOFHYYXFZENEC-RAIGVLPGSA-N 0 3 237.343 2.851 20 0 BFADHN Cc1cccc2ncc(CN3CCC[C@H](C)C3)n21 ZINC000505321830 226354079 /nfs/dbraw/zinc/35/40/79/226354079.db2.gz ZEGHGABRRVTUPY-LBPRGKRZSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1cnc(CN([C@H](C)C2CC2)C2CC2)cn1 ZINC000505611987 226357635 /nfs/dbraw/zinc/35/76/35/226357635.db2.gz OFNPWQDEAHNXQO-LLVKDONJSA-N 0 3 231.343 2.548 20 0 BFADHN COCCN(Cc1ccc(C)s1)C1CC1 ZINC000507114872 226365155 /nfs/dbraw/zinc/36/51/55/226365155.db2.gz APYHXRIKGHAZIS-UHFFFAOYSA-N 0 3 225.357 2.667 20 0 BFADHN CC[C@H]1CN(CCCOC(C)(C)C)C[C@H](C)O1 ZINC000674907580 487649957 /nfs/dbraw/zinc/64/99/57/487649957.db2.gz CNMKNLQXIOCDKL-STQMWFEESA-N 0 3 243.391 2.691 20 0 BFADHN CO[C@@H]1C[C@H](N[C@@H](C)c2ccco2)C12CCC2 ZINC000308368943 229231288 /nfs/dbraw/zinc/23/12/88/229231288.db2.gz NSICEZAVCPIUAI-WCFLWFBJSA-N 0 3 235.327 2.888 20 0 BFADHN CO[C@H](CNC/C=C/c1ccncc1)CC(C)C ZINC000418103746 487683485 /nfs/dbraw/zinc/68/34/85/487683485.db2.gz WGSAUXYFXYXAIU-RGDDUWESSA-N 0 3 248.370 2.746 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cnc3n2CCC3)C1 ZINC000667645208 487689809 /nfs/dbraw/zinc/68/98/09/487689809.db2.gz IJKHDADFFCHZSM-OAHLLOKOSA-N 0 3 247.386 2.841 20 0 BFADHN FCCCCN1CC(c2nc3ccccc3[nH]2)C1 ZINC000671684962 487689663 /nfs/dbraw/zinc/68/96/63/487689663.db2.gz MYXQWZGQPFHHTB-UHFFFAOYSA-N 0 3 247.317 2.712 20 0 BFADHN Fc1cnccc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC000336219539 487693988 /nfs/dbraw/zinc/69/39/88/487693988.db2.gz HOTQQRWCBPKZJL-VXGBXAGGSA-N 0 3 234.318 2.843 20 0 BFADHN C(=C\c1ccccc1)\CN1CCC2(CCO2)CC1 ZINC000669701287 487697652 /nfs/dbraw/zinc/69/76/52/487697652.db2.gz WGFDKEXKYGGWGB-DAXSKMNVSA-N 0 3 243.350 2.955 20 0 BFADHN C/C(Cl)=C/CN1CCC(c2ncc[nH]2)CC1 ZINC000528392942 262776736 /nfs/dbraw/zinc/77/67/36/262776736.db2.gz FKISYZIKJOEPBW-SGAXSIHGSA-N 0 3 239.750 2.732 20 0 BFADHN C[C@H](NCC1C(C)(C)C1(C)C)c1ccncn1 ZINC000395888336 262794488 /nfs/dbraw/zinc/79/44/88/262794488.db2.gz JWFBBKRGFORWFJ-JTQLQIEISA-N 0 3 233.359 2.809 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCCC[C@H]2C)nn1 ZINC000396537322 262805159 /nfs/dbraw/zinc/80/51/59/262805159.db2.gz JNJHIYPRXJYHNU-YPMHNXCESA-N 0 3 233.359 2.701 20 0 BFADHN Cc1ccc(CCNCc2ccccc2F)nc1 ZINC000399812239 262846580 /nfs/dbraw/zinc/84/65/80/262846580.db2.gz GZMDVPFBKSSRKJ-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN C[C@@H](NCc1ncccc1F)[C@@H]1C[C@H]1C1CC1 ZINC000527681295 262871013 /nfs/dbraw/zinc/87/10/13/262871013.db2.gz JNGHGACFJONLOZ-USWWRNFRSA-N 0 3 234.318 2.745 20 0 BFADHN CCc1cc(CN[C@@H](C)[C@@H]2C[C@H]2C2CC2)on1 ZINC000527665858 262873280 /nfs/dbraw/zinc/87/32/80/262873280.db2.gz QTQHQOKMAMNBMJ-HERUPUMHSA-N 0 3 234.343 2.761 20 0 BFADHN Cc1ccc(CN[C@@]23C[C@@H]2COC3(C)C)cc1C ZINC000527758197 262880001 /nfs/dbraw/zinc/88/00/01/262880001.db2.gz IVNXVSYWFLPJRT-ZBFHGGJFSA-N 0 3 245.366 2.961 20 0 BFADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1NCc1ccc(F)cc1 ZINC000527800733 262883871 /nfs/dbraw/zinc/88/38/71/262883871.db2.gz DUPZLFCPSMSDLT-FBKDDSFISA-N 0 3 237.318 2.727 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](c1ccccn1)C1CCC1 ZINC000527966105 262900668 /nfs/dbraw/zinc/90/06/68/262900668.db2.gz KPBMJALIVSOTHZ-YWPYICTPSA-N 0 3 248.370 2.936 20 0 BFADHN CCCCN(CC)Cc1cc(CO)ccc1F ZINC000528335325 262910881 /nfs/dbraw/zinc/91/08/81/262910881.db2.gz DBXVIKOSGRQJPV-UHFFFAOYSA-N 0 3 239.334 2.940 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](c1ccccn1)C1CCC1 ZINC000528655229 262964615 /nfs/dbraw/zinc/96/46/15/262964615.db2.gz DFKKKBXUJFFCPH-HUUCEWRRSA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@@H](C)CCNCc1c(C)noc1C ZINC000394485007 487699492 /nfs/dbraw/zinc/69/94/92/487699492.db2.gz XLKXXFJVLFWLML-SECBINFHSA-N 0 3 210.321 2.817 20 0 BFADHN Cc1nc(C)c(CN(C)C(C2CC2)C2CC2)o1 ZINC000683898375 487710140 /nfs/dbraw/zinc/71/01/40/487710140.db2.gz NMIWFNAOKASLCD-UHFFFAOYSA-N 0 3 234.343 2.912 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@@H]1CCn2ccnc21 ZINC000651370681 487716585 /nfs/dbraw/zinc/71/65/85/487716585.db2.gz JMPDAAAVDHFGMK-WDEREUQCSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@@H](CN(C)Cc1c[nH]cn1)C(C)(C)C ZINC000668422137 487726296 /nfs/dbraw/zinc/72/62/96/487726296.db2.gz RGFSISJCHUACSM-JTQLQIEISA-N 0 3 209.337 2.524 20 0 BFADHN C[C@@H](CN(C)Cc1cnc[nH]1)C(C)(C)C ZINC000668422137 487726299 /nfs/dbraw/zinc/72/62/99/487726299.db2.gz RGFSISJCHUACSM-JTQLQIEISA-N 0 3 209.337 2.524 20 0 BFADHN C[C@H]1COCCCN1C/C=C/c1ccccc1 ZINC000676715375 487729561 /nfs/dbraw/zinc/72/95/61/487729561.db2.gz VRQSKCFDGUOJLU-KVEVGEHQSA-N 0 3 231.339 2.811 20 0 BFADHN C[C@H]1COCCCN1C/C=C\c1ccccc1 ZINC000676715376 487729530 /nfs/dbraw/zinc/72/95/30/487729530.db2.gz VRQSKCFDGUOJLU-UQYHUFHVSA-N 0 3 231.339 2.811 20 0 BFADHN CC[C@@H](N[C@@H](CO)CCF)c1ccccc1F ZINC000652018468 487730111 /nfs/dbraw/zinc/73/01/11/487730111.db2.gz UFXHJMTZQLHUBI-ZWNOBZJWSA-N 0 3 243.297 2.587 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@@H]1CCCc2ccc(O)cc21 ZINC000284929010 487732359 /nfs/dbraw/zinc/73/23/59/487732359.db2.gz DKYVPLKZBPJFAW-ZIBATOQPSA-N 0 3 249.354 2.783 20 0 BFADHN CC(C)n1ncnc1CNCC1(C)CCCC1 ZINC000088592032 487738020 /nfs/dbraw/zinc/73/80/20/487738020.db2.gz NBNYAAJNFGCXIV-UHFFFAOYSA-N 0 3 236.363 2.529 20 0 BFADHN CC(C)n1ncnc1CNCC1CCCCC1 ZINC000088589198 487738537 /nfs/dbraw/zinc/73/85/37/487738537.db2.gz CIOOJJNJEKNVJB-UHFFFAOYSA-N 0 3 236.363 2.529 20 0 BFADHN CCc1ccc(CN[C@H](C)C[C@H]2CCOC2)o1 ZINC000675477761 487738936 /nfs/dbraw/zinc/73/89/36/487738936.db2.gz KWMLSBMISWVBBY-VXGBXAGGSA-N 0 3 237.343 2.747 20 0 BFADHN CNCc1ccccc1NC(=O)[C@@H]1CCC1(C)C ZINC000659305048 487741116 /nfs/dbraw/zinc/74/11/16/487741116.db2.gz YFWNFRWCLUFLAR-LBPRGKRZSA-N 0 3 246.354 2.781 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H](C)c1ccc(C)nc1C ZINC000666177881 487745100 /nfs/dbraw/zinc/74/51/00/487745100.db2.gz FNTFSBPIKVQTGJ-CFVMTHIKSA-N 0 3 248.370 2.917 20 0 BFADHN CCC[C@H]1CCCC[C@@H]1NCc1cnns1 ZINC000649451009 487748790 /nfs/dbraw/zinc/74/87/90/487748790.db2.gz MPFHHBBEUGEABR-JQWIXIFHSA-N 0 3 239.388 2.987 20 0 BFADHN CC[C@@](C)(CN)c1nc(-c2ccc(F)cc2)no1 ZINC000211489437 487753045 /nfs/dbraw/zinc/75/30/45/487753045.db2.gz BLLWXGXYGSYZJE-ZDUSSCGKSA-N 0 3 249.289 2.502 20 0 BFADHN CC[C@@H](C)[C@H](C)NC(=O)Nc1cccc(CN)c1 ZINC000042574483 487761183 /nfs/dbraw/zinc/76/11/83/487761183.db2.gz NVBHZEDHHSSHSS-MNOVXSKESA-N 0 3 249.358 2.701 20 0 BFADHN Cc1cc(CNCCCC(C)(C)C)nn1C ZINC000666277935 487779610 /nfs/dbraw/zinc/77/96/10/487779610.db2.gz RPWQOSXCODJQQS-UHFFFAOYSA-N 0 3 223.364 2.644 20 0 BFADHN C[C@H](Cc1cnccn1)N[C@H](C)c1ccsc1 ZINC000675785728 487779694 /nfs/dbraw/zinc/77/96/94/487779694.db2.gz XRYVFPQIIAYPCC-GHMZBOCLSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@@H]1CCCN1Cc1n[nH]c2ccccc21 ZINC000678259484 487780370 /nfs/dbraw/zinc/78/03/70/487780370.db2.gz AAAFUHSKKJGPHU-SNVBAGLBSA-N 0 3 215.300 2.547 20 0 BFADHN C[C@@H]1CCCN1Cc1[nH]nc2ccccc21 ZINC000678259484 487780376 /nfs/dbraw/zinc/78/03/76/487780376.db2.gz AAAFUHSKKJGPHU-SNVBAGLBSA-N 0 3 215.300 2.547 20 0 BFADHN Cc1occc1CN1CCC[C@H](n2ccnc2)C1 ZINC000666304714 487782228 /nfs/dbraw/zinc/78/22/28/487782228.db2.gz VGXHLQIFMZMIND-AWEZNQCLSA-N 0 3 245.326 2.622 20 0 BFADHN CN(Cc1cn[nH]c1)CC1CCCCCC1 ZINC000678267289 487785283 /nfs/dbraw/zinc/78/52/83/487785283.db2.gz NYEOQMHMPVVQRH-UHFFFAOYSA-N 0 3 221.348 2.812 20 0 BFADHN FCCCN1CCCc2sccc2C1 ZINC000675890056 487787157 /nfs/dbraw/zinc/78/71/57/487787157.db2.gz FKHRMHXJALWGDA-UHFFFAOYSA-N 0 3 213.321 2.856 20 0 BFADHN CC(C)(C)[C@H]1CCN(Cc2cnc3n2CCC3)C1 ZINC000667972698 487787820 /nfs/dbraw/zinc/78/78/20/487787820.db2.gz YCIWHCHOMRZDGL-LBPRGKRZSA-N 0 3 247.386 2.697 20 0 BFADHN CN(CCOC(C)(C)C)C[C@@H]1CCC(C)(C)O1 ZINC000681405402 487794243 /nfs/dbraw/zinc/79/42/43/487794243.db2.gz KSXRLKYZJADXMA-LBPRGKRZSA-N 0 3 243.391 2.691 20 0 BFADHN CCCN1CC[C@@H](N[C@H]2CCCc3occc32)C1 ZINC000385679428 487795407 /nfs/dbraw/zinc/79/54/07/487795407.db2.gz CJYHSAVOWXWMPG-OCCSQVGLSA-N 0 3 248.370 2.731 20 0 BFADHN C[C@@H]1CCN(Cc2ccc(N(C)C)nc2)C[C@H]1C ZINC000678271071 487796084 /nfs/dbraw/zinc/79/60/84/487796084.db2.gz ZFVPNKMOWBGBTQ-CHWSQXEVSA-N 0 3 247.386 2.626 20 0 BFADHN C[C@H]1CCN(Cc2ccc(N(C)C)nc2)C[C@H]1C ZINC000678271072 487796090 /nfs/dbraw/zinc/79/60/90/487796090.db2.gz ZFVPNKMOWBGBTQ-QWHCGFSZSA-N 0 3 247.386 2.626 20 0 BFADHN C[C@H](NC1(C2CCC2)CCC1)c1ncc[nH]1 ZINC000414183856 487798077 /nfs/dbraw/zinc/79/80/77/487798077.db2.gz NYWIHWHGPCSSCW-JTQLQIEISA-N 0 3 219.332 2.783 20 0 BFADHN CC(C)C1(c2ccccc2)CN([C@H](C)[C@@H](C)O)C1 ZINC000414192457 487798572 /nfs/dbraw/zinc/79/85/72/487798572.db2.gz TZCCIWPCDDQGLO-ZIAGYGMSSA-N 0 3 247.382 2.665 20 0 BFADHN COCCC1CN(Cc2ccc(C)c(C)c2)C1 ZINC000668473895 487799578 /nfs/dbraw/zinc/79/95/78/487799578.db2.gz FMIQHKGTDJNWEO-UHFFFAOYSA-N 0 3 233.355 2.772 20 0 BFADHN C[C@H]1C[C@@H](NCc2n[nH]c3ccccc32)CS1 ZINC000666417789 487802710 /nfs/dbraw/zinc/80/27/10/487802710.db2.gz SFUKESRDZKDJFL-VHSXEESVSA-N 0 3 247.367 2.547 20 0 BFADHN C[C@H]1C[C@@H](NCc2[nH]nc3ccccc32)CS1 ZINC000666417789 487802713 /nfs/dbraw/zinc/80/27/13/487802713.db2.gz SFUKESRDZKDJFL-VHSXEESVSA-N 0 3 247.367 2.547 20 0 BFADHN CC[C@H]1CCN1Cc1ccc2c(n1)CCC2 ZINC000334518370 487802369 /nfs/dbraw/zinc/80/23/69/487802369.db2.gz QGJUTPMIEKBELP-ZDUSSCGKSA-N 0 3 216.328 2.555 20 0 BFADHN C[C@@H]1C[C@@H](NCc2n[nH]c3ccccc32)CS1 ZINC000666417786 487803121 /nfs/dbraw/zinc/80/31/21/487803121.db2.gz SFUKESRDZKDJFL-NXEZZACHSA-N 0 3 247.367 2.547 20 0 BFADHN C[C@@H]1C[C@@H](NCc2[nH]nc3ccccc32)CS1 ZINC000666417786 487803126 /nfs/dbraw/zinc/80/31/26/487803126.db2.gz SFUKESRDZKDJFL-NXEZZACHSA-N 0 3 247.367 2.547 20 0 BFADHN CC(C)CCOCCN(C)CCOC(C)(C)C ZINC000676042617 487804304 /nfs/dbraw/zinc/80/43/04/487804304.db2.gz ZOBWIAHODXUMFM-UHFFFAOYSA-N 0 3 245.407 2.796 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000385139191 487805906 /nfs/dbraw/zinc/80/59/06/487805906.db2.gz LUYLIHCYNAKUOZ-UJYYTQATSA-N 0 3 238.331 2.508 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCC[C@H]2C)CCC1=O ZINC000042863780 487806821 /nfs/dbraw/zinc/80/68/21/487806821.db2.gz SXMHTUZFCKGYIZ-UPJWGTAASA-N 0 3 223.360 2.724 20 0 BFADHN Cc1ccc(CN(C)Cc2cnc[nH]2)c(C)c1 ZINC000681508853 487807526 /nfs/dbraw/zinc/80/75/26/487807526.db2.gz ZCGRXBHHUOJEGF-UHFFFAOYSA-N 0 3 229.327 2.659 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@H]2C[C@H]2C1)c1ccncn1 ZINC000403316933 322942761 /nfs/dbraw/zinc/94/27/61/322942761.db2.gz FMHWNIRALOLRNI-QNWHQSFQSA-N 0 3 231.343 2.563 20 0 BFADHN CCN(Cc1ccoc1)C[C@@H]1CCCOC1 ZINC000676082439 487811574 /nfs/dbraw/zinc/81/15/74/487811574.db2.gz OACDYIQVIPBMHM-LBPRGKRZSA-N 0 3 223.316 2.528 20 0 BFADHN Cc1ccc(CNCC(C)(C)OCC2CC2)cn1 ZINC000414507432 487813635 /nfs/dbraw/zinc/81/36/35/487813635.db2.gz WJVISHBHRFAZRS-UHFFFAOYSA-N 0 3 248.370 2.685 20 0 BFADHN COc1cccc([C@@H]2C[C@H]2CNCC2(F)CC2)c1 ZINC000666470055 487816105 /nfs/dbraw/zinc/81/61/05/487816105.db2.gz WBWSTUWMDDRTJO-JSGCOSHPSA-N 0 3 249.329 2.890 20 0 BFADHN Cc1nocc1CN1CC[C@@H](C2CCC2)C1 ZINC000666487927 487818971 /nfs/dbraw/zinc/81/89/71/487818971.db2.gz VUARYYUBKOPIRW-GFCCVEGCSA-N 0 3 220.316 2.605 20 0 BFADHN CCCc1ccc(CNc2nccn2C)cc1 ZINC000666237851 487822433 /nfs/dbraw/zinc/82/24/33/487822433.db2.gz BHLUIPUAEMOBQX-UHFFFAOYSA-N 0 3 229.327 2.985 20 0 BFADHN CC1(C)C[C@H](NCc2cn[nH]c2)c2ccccc21 ZINC000676239711 487827184 /nfs/dbraw/zinc/82/71/84/487827184.db2.gz DDJYFUIZXJJFHY-AWEZNQCLSA-N 0 3 241.338 2.922 20 0 BFADHN Cc1ccc(CN[C@@H]2COCC2(C)C)cc1F ZINC000313071013 322946920 /nfs/dbraw/zinc/94/69/20/322946920.db2.gz VMVHPUDLHYONEN-CYBMUJFWSA-N 0 3 237.318 2.649 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2c(C3CC3)cnn2C)C1 ZINC000668098690 487838133 /nfs/dbraw/zinc/83/81/33/487838133.db2.gz PETKUVKWECIVEW-LLVKDONJSA-N 0 3 245.370 2.696 20 0 BFADHN CCc1nc(SCCN(C)C)sc1C ZINC000845324335 588226523 /nfs/dbraw/zinc/22/65/23/588226523.db2.gz QMXGSODXGATFDO-UHFFFAOYSA-N 0 3 230.402 2.668 20 0 BFADHN FCC[C@H]1CCCN(C/C=C\Cl)C1 ZINC000852533470 588686370 /nfs/dbraw/zinc/68/63/70/588686370.db2.gz UXFKEHWYDNYAEC-XJIUSYNZSA-N 0 3 205.704 2.811 20 0 BFADHN FCC[C@@H]1CCCN(C/C=C/Cl)C1 ZINC000852533465 588688088 /nfs/dbraw/zinc/68/80/88/588688088.db2.gz UXFKEHWYDNYAEC-FWYAXHSGSA-N 0 3 205.704 2.811 20 0 BFADHN ClC1(Cl)C[C@H]1CN1CC[C@@H]1C1CC1 ZINC000877561477 590359982 /nfs/dbraw/zinc/35/99/82/590359982.db2.gz GMVHWEPFUVFBJD-DTWKUNHWSA-N 0 3 220.143 2.665 20 0 BFADHN COCCNCc1ccc(F)c2ccccc12 ZINC000234645002 487846837 /nfs/dbraw/zinc/84/68/37/487846837.db2.gz JGJAHZOEQJFTSU-UHFFFAOYSA-N 0 3 233.286 2.715 20 0 BFADHN CC[C@@H](C)N(C)Cc1ccc(COC)o1 ZINC000507188227 529345189 /nfs/dbraw/zinc/34/51/89/529345189.db2.gz OPPYTUIJTGFOLJ-SNVBAGLBSA-N 0 3 211.305 2.656 20 0 BFADHN CC(C)N(Cc1cn[nH]c1)Cc1ccccc1 ZINC000676413202 487849095 /nfs/dbraw/zinc/84/90/95/487849095.db2.gz PZCQGXTULZYSFV-UHFFFAOYSA-N 0 3 229.327 2.820 20 0 BFADHN CCn1cncc1CN1CCC(C2CCC2)CC1 ZINC000668494731 487852419 /nfs/dbraw/zinc/85/24/19/487852419.db2.gz ZRCKIVQOPWCSTA-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN OC1(CCN2CCC[C@@H]2c2ccccn2)CCC1 ZINC000663076594 487854010 /nfs/dbraw/zinc/85/40/10/487854010.db2.gz GXFYPCXAMXNWRS-CQSZACIVSA-N 0 3 246.354 2.524 20 0 BFADHN COC[C@H](N[C@H](C)c1ccncc1F)C(C)C ZINC000558698015 322953906 /nfs/dbraw/zinc/95/39/06/322953906.db2.gz NCGAICJESWNADX-MFKMUULPSA-N 0 3 240.322 2.542 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H]1CCC[C@H]2C[C@H]21 ZINC000558699246 322954031 /nfs/dbraw/zinc/95/40/31/322954031.db2.gz FODPVSNVIHCOQA-MJBXVCDLSA-N 0 3 247.386 2.817 20 0 BFADHN CCn1cncc1CN[C@@H]1C[C@H]1CC(C)(C)C ZINC000651911181 487858480 /nfs/dbraw/zinc/85/84/80/487858480.db2.gz GZKCUJMCKAOLPN-WCQYABFASA-N 0 3 235.375 2.817 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1C[C@H]1CC(C)(C)C ZINC000651911510 487859822 /nfs/dbraw/zinc/85/98/22/487859822.db2.gz CEAHHIIUOIKDPO-WDEREUQCSA-N 0 3 221.348 2.632 20 0 BFADHN C[C@@H]1CSCCCN1C/C=C/Cl ZINC000934302655 641121617 /nfs/dbraw/zinc/12/16/17/641121617.db2.gz XDDZLTQDZJQZOY-HDMKULJXSA-N 0 3 205.754 2.566 20 0 BFADHN C[C@H](CN1C[C@H]2CC[C@@H](C1)O2)C(C)(C)C ZINC000670264467 487866353 /nfs/dbraw/zinc/86/63/53/487866353.db2.gz JUGUBGVVMVBEMB-UTUOFQBUSA-N 0 3 211.349 2.532 20 0 BFADHN C[C@@H](CN1C[C@H]2CC[C@@H](C1)O2)C(C)(C)C ZINC000670264466 487866368 /nfs/dbraw/zinc/86/63/68/487866368.db2.gz JUGUBGVVMVBEMB-SDDRHHMPSA-N 0 3 211.349 2.532 20 0 BFADHN CC(C)C1CN(Cc2ccc(F)cc2)C1 ZINC000684407334 487866590 /nfs/dbraw/zinc/86/65/90/487866590.db2.gz BUVNIKLCIVTQDK-UHFFFAOYSA-N 0 3 207.292 2.914 20 0 BFADHN COc1cc(C)c(CN2CC(C(C)C)C2)c(C)n1 ZINC000684409358 487873159 /nfs/dbraw/zinc/87/31/59/487873159.db2.gz URAUEVBWUNZARJ-UHFFFAOYSA-N 0 3 248.370 2.795 20 0 BFADHN Cc1nn(C)c(Cl)c1CNCCC(C)C ZINC000078367416 641916853 /nfs/dbraw/zinc/91/68/53/641916853.db2.gz YXJDKCFGHPHVBI-UHFFFAOYSA-N 0 3 229.755 2.518 20 0 BFADHN Cc1ccsc1CN1CC([C@H]2CCOC2)C1 ZINC000684415324 487883458 /nfs/dbraw/zinc/88/34/58/487883458.db2.gz JACWEXZGYMXWEW-NSHDSACASA-N 0 3 237.368 2.525 20 0 BFADHN C/C=C/CN[C@H](C)c1ccccc1OC ZINC000234885303 487885863 /nfs/dbraw/zinc/88/58/63/487885863.db2.gz AIUXIGIOBHRWFY-SGUJLRQBSA-N 0 3 205.301 2.922 20 0 BFADHN Cc1ncc([C@H](C)N2CCC(C)(C)C2)c(C)n1 ZINC000682069232 487890179 /nfs/dbraw/zinc/89/01/79/487890179.db2.gz DHOZVGOVLJULST-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN Cc1ncc([C@H](C)N(C)CC(C)C)c(C)n1 ZINC000682068468 487889610 /nfs/dbraw/zinc/88/96/10/487889610.db2.gz AHAUSHRWCQUYON-NSHDSACASA-N 0 3 221.348 2.742 20 0 BFADHN FC1CC2(C1)CN(Cc1ccccc1)C2 ZINC000677132843 487889646 /nfs/dbraw/zinc/88/96/46/487889646.db2.gz UQTHQJIENVAWKC-UHFFFAOYSA-N 0 3 205.276 2.621 20 0 BFADHN CN(Cc1cnn(C)c1)C(C)(C)c1ccccc1 ZINC000671246551 487891403 /nfs/dbraw/zinc/89/14/03/487891403.db2.gz UQFLWDGJHDKARG-UHFFFAOYSA-N 0 3 243.354 2.787 20 0 BFADHN CCN1CCN([C@@H]2CCc3ccccc32)C[C@H]1C ZINC000682073701 487895972 /nfs/dbraw/zinc/89/59/72/487895972.db2.gz VWBJNJRJGUHLTN-CZUORRHYSA-N 0 3 244.382 2.700 20 0 BFADHN c1coc(CNC[C@@H]2CCC3(CCCC3)O2)c1 ZINC000229269133 487899834 /nfs/dbraw/zinc/89/98/34/487899834.db2.gz OXKFPYXHNVNPIV-ZDUSSCGKSA-N 0 3 235.327 2.861 20 0 BFADHN CCOc1ccc(CN2CCCOC[C@@H]2C)cc1 ZINC000676713298 487900961 /nfs/dbraw/zinc/90/09/61/487900961.db2.gz FMRFAFUDWLPGFO-ZDUSSCGKSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1ccccc1C[C@@H](C)N(C)Cc1cn[nH]c1 ZINC000682075812 487901040 /nfs/dbraw/zinc/90/10/40/487901040.db2.gz ZRFYIORBTQSLEG-CYBMUJFWSA-N 0 3 243.354 2.781 20 0 BFADHN Cc1cc(C)cc(CN2CCCOC[C@@H]2C)c1 ZINC000676713790 487901811 /nfs/dbraw/zinc/90/18/11/487901811.db2.gz RNCQEIARPKKRGY-AWEZNQCLSA-N 0 3 233.355 2.914 20 0 BFADHN COC[C@@H](C)NCCSc1ccccc1F ZINC000049799922 487904660 /nfs/dbraw/zinc/90/46/60/487904660.db2.gz SIWXQLPIEXSHCX-SNVBAGLBSA-N 0 3 243.347 2.542 20 0 BFADHN COC[C@H](NCc1ccoc1C)C1CCCC1 ZINC000682132104 487911981 /nfs/dbraw/zinc/91/19/81/487911981.db2.gz AATCVXYUFSRGOJ-AWEZNQCLSA-N 0 3 237.343 2.883 20 0 BFADHN COC[C@@H](C)CN1CCOc2ccccc2[C@H]1C ZINC000682153110 487915681 /nfs/dbraw/zinc/91/56/81/487915681.db2.gz XQXTZWGKXVBYOE-QWHCGFSZSA-N 0 3 249.354 2.725 20 0 BFADHN COC[C@H](C)CN1CCOc2ccccc2[C@@H]1C ZINC000682153109 487915752 /nfs/dbraw/zinc/91/57/52/487915752.db2.gz XQXTZWGKXVBYOE-OLZOCXBDSA-N 0 3 249.354 2.725 20 0 BFADHN CC[C@H](c1ccccc1)N1CCc2cn[nH]c2C1 ZINC000676792434 487928296 /nfs/dbraw/zinc/92/82/96/487928296.db2.gz NUMMXKVSZMBQQZ-OAHLLOKOSA-N 0 3 241.338 2.919 20 0 BFADHN Cc1ccc(CN[C@H]2CCS[C@H]2C)o1 ZINC000235308625 487940316 /nfs/dbraw/zinc/94/03/16/487940316.db2.gz BSDFMZBXBIINCU-ONGXEEELSA-N 0 3 211.330 2.572 20 0 BFADHN CC/C=C\CNC/C=C\c1ccncc1 ZINC000449454843 487946086 /nfs/dbraw/zinc/94/60/86/487946086.db2.gz KIVKORAPKUFBKN-OUPQRBNQSA-N 0 3 202.301 2.651 20 0 BFADHN C[C@H](NCCCOC(C)(C)C)c1ccccn1 ZINC000679937853 487948029 /nfs/dbraw/zinc/94/80/29/487948029.db2.gz ZQRHYDSLRWVLLV-LBPRGKRZSA-N 0 3 236.359 2.937 20 0 BFADHN CCSCCN[C@H](C)c1c(C)noc1C ZINC000162441244 487951466 /nfs/dbraw/zinc/95/14/66/487951466.db2.gz YRYQTWQVYKGQHR-MRVPVSSYSA-N 0 3 228.361 2.695 20 0 BFADHN C[C@@H](NCCCCn1ccnc1)c1ccoc1 ZINC000094869211 322977724 /nfs/dbraw/zinc/97/77/24/322977724.db2.gz WILDYVNUQSAVBS-GFCCVEGCSA-N 0 3 233.315 2.607 20 0 BFADHN C[C@@H]1C[C@H](NC[C@@H]2CC[C@@H]3C[C@@H]3C2)c2nccn21 ZINC000403173831 322981026 /nfs/dbraw/zinc/98/10/26/322981026.db2.gz DLPHNFNJYSJZOA-POQQGIQPSA-N 0 3 245.370 2.915 20 0 BFADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@@H]1CCC[C@@H]1F ZINC000559915866 322981132 /nfs/dbraw/zinc/98/11/32/322981132.db2.gz NEGJAPMPYBMDEG-GARJFASQSA-N 0 3 245.338 2.587 20 0 BFADHN COc1ccc(CN2C[C@@H](C)[C@H](C)C2)c(F)c1 ZINC000566336771 322989113 /nfs/dbraw/zinc/98/91/13/322989113.db2.gz JLCANKBPCSEXDO-GHMZBOCLSA-N 0 3 237.318 2.922 20 0 BFADHN CC(C)(C)n1cc(CN[C@]2(C)CC=CCC2)nn1 ZINC000398328452 323002089 /nfs/dbraw/zinc/00/20/89/323002089.db2.gz KBSXWPJAGOSZBG-CQSZACIVSA-N 0 3 248.374 2.622 20 0 BFADHN c1csc(CNCC2Cc3ccccc3C2)n1 ZINC000561488983 323002726 /nfs/dbraw/zinc/00/27/26/323002726.db2.gz AAKHSPSSRBAFTG-UHFFFAOYSA-N 0 3 244.363 2.648 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1O)c1cc(F)ccc1F ZINC000246444953 323008899 /nfs/dbraw/zinc/00/88/99/323008899.db2.gz OWBBTDQBGOQQOY-ZEGGKSINSA-N 0 3 241.281 2.529 20 0 BFADHN COc1c(C)cc(CN(C)[C@@H]2CCOC2)cc1C ZINC000151172343 323012407 /nfs/dbraw/zinc/01/24/07/323012407.db2.gz XTDRUBVMGXSSRR-CQSZACIVSA-N 0 3 249.354 2.533 20 0 BFADHN CC1(CN2CCc3sccc3C2)CC1 ZINC000562364938 323017940 /nfs/dbraw/zinc/01/79/40/323017940.db2.gz ALDUYDYWTFMWFH-UHFFFAOYSA-N 0 3 207.342 2.906 20 0 BFADHN CCc1cc(N2C[C@H](C)S[C@@H](C)C2)ccn1 ZINC000566896118 323023654 /nfs/dbraw/zinc/02/36/54/323023654.db2.gz SZZXSBXLCSLZKY-QWRGUYRKSA-N 0 3 236.384 2.974 20 0 BFADHN CCC(CC)N(Cc1ccnc(OC)n1)C1CC1 ZINC000562647277 323026323 /nfs/dbraw/zinc/02/63/23/323026323.db2.gz ABTGVQCHZLXGAP-UHFFFAOYSA-N 0 3 249.358 2.638 20 0 BFADHN CCc1cc(CN[C@H](C2CC2)C2CCC2)on1 ZINC000562778766 323030468 /nfs/dbraw/zinc/03/04/68/323030468.db2.gz DSMIIRJEFTXYOB-AWEZNQCLSA-N 0 3 234.343 2.905 20 0 BFADHN FC1(F)CCC[C@@H]1CNCc1cccnc1 ZINC000394482917 323038557 /nfs/dbraw/zinc/03/85/57/323038557.db2.gz MMENMHSCWWTYQN-LLVKDONJSA-N 0 3 226.270 2.607 20 0 BFADHN CC(C)(C)CCN1CCO[C@]2(CCSC2)C1 ZINC000569720589 323038920 /nfs/dbraw/zinc/03/89/20/323038920.db2.gz SBFDSIGEANAHAM-CYBMUJFWSA-N 0 3 243.416 2.631 20 0 BFADHN CCCN(Cc1conc1CC)C(C)C ZINC000569866907 323043150 /nfs/dbraw/zinc/04/31/50/323043150.db2.gz BXQKLINUMFLMKF-UHFFFAOYSA-N 0 3 210.321 2.857 20 0 BFADHN CC1CC(NCc2noc3ccccc32)C1 ZINC000569867234 323043193 /nfs/dbraw/zinc/04/31/93/323043193.db2.gz GOHVCKTVULTWLH-UHFFFAOYSA-N 0 3 216.284 2.716 20 0 BFADHN Cc1cc([C@@H]2CCCN2CC2(C)CC2)on1 ZINC000570112308 323050463 /nfs/dbraw/zinc/05/04/63/323050463.db2.gz QRALNJXQXUHOOT-NSHDSACASA-N 0 3 220.316 2.920 20 0 BFADHN COc1ccc(OC)c(CNC2(C)CCC2)c1 ZINC000159915010 323053881 /nfs/dbraw/zinc/05/38/81/323053881.db2.gz RUVOGTRNAVIOPS-UHFFFAOYSA-N 0 3 235.327 2.736 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1ccc2c(n1)CCC2 ZINC000570680657 323066726 /nfs/dbraw/zinc/06/67/26/323066726.db2.gz JNAYOQPCYMCFFP-HNNXBMFYSA-N 0 3 230.355 2.801 20 0 BFADHN FC(F)(F)CCN1CC[C@@H](c2ccncc2)C1 ZINC000570823195 323071595 /nfs/dbraw/zinc/07/15/95/323071595.db2.gz ISOFPYZPPVSPRY-LLVKDONJSA-N 0 3 244.260 2.823 20 0 BFADHN CCCCN(C)Cc1ccc(COC)o1 ZINC000158149263 323072228 /nfs/dbraw/zinc/07/22/28/323072228.db2.gz IGIJUOIFJAPJPW-UHFFFAOYSA-N 0 3 211.305 2.658 20 0 BFADHN CCOCCCN1CCc2sccc2C1 ZINC000158814506 323073846 /nfs/dbraw/zinc/07/38/46/323073846.db2.gz UCVXJHPLNXRFOZ-UHFFFAOYSA-N 0 3 225.357 2.533 20 0 BFADHN CC[C@@H](NCc1ncc(C)o1)[C@H]1CC1(C)C ZINC000397570403 323077264 /nfs/dbraw/zinc/07/72/64/323077264.db2.gz DRTXNFMSXDMUTH-GHMZBOCLSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@@H](NCc1ccc(F)cc1F)[C@@H](O)CC ZINC000313092728 323081188 /nfs/dbraw/zinc/08/11/88/323081188.db2.gz BNPXGTGJWZUBAD-OLZOCXBDSA-N 0 3 243.297 2.604 20 0 BFADHN CC(C)O[C@@H]1CCN(C[C@@H](C)C(F)(F)F)C1 ZINC000563066442 323084054 /nfs/dbraw/zinc/08/40/54/323084054.db2.gz PNKSWWRMNXQNPJ-NXEZZACHSA-N 0 3 239.281 2.684 20 0 BFADHN COc1ccc(OC)c(CN[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC000397632737 323084375 /nfs/dbraw/zinc/08/43/75/323084375.db2.gz NNRKMATVJKFWJX-PTEHBNRSSA-N 0 3 247.338 2.592 20 0 BFADHN CC(C)OCCN1CCC[C@@H]1c1ccccn1 ZINC000531200891 323085104 /nfs/dbraw/zinc/08/51/04/323085104.db2.gz UQTVZLFLLSWXPJ-CQSZACIVSA-N 0 3 234.343 2.644 20 0 BFADHN CCc1cnc(CN2C[C@@H]3CCCC[C@@H]3C2)o1 ZINC000531416861 323089015 /nfs/dbraw/zinc/08/90/15/323089015.db2.gz UGINXEIWMBGTTK-TXEJJXNPSA-N 0 3 234.343 2.859 20 0 BFADHN CCO[C@H]1C[C@H](NCc2ccnc(C)c2)C1(C)C ZINC000391167468 323099478 /nfs/dbraw/zinc/09/94/78/323099478.db2.gz PMSIQKZUMPJBCC-KBPBESRZSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1ncncc1[C@@H](C)N[C@@H]1C[C@H]1C1CCC1 ZINC000571061403 323106246 /nfs/dbraw/zinc/10/62/46/323106246.db2.gz YKTDNQDBGKCRHD-SCDSUCTJSA-N 0 3 231.343 2.624 20 0 BFADHN CCc1cccc(CNCc2c[nH]nc2C)c1 ZINC000563252765 323106801 /nfs/dbraw/zinc/10/68/01/323106801.db2.gz VOGHPHBOWKATFA-UHFFFAOYSA-N 0 3 229.327 2.570 20 0 BFADHN CC[C@H](NCCc1ccc(C)o1)c1cnn(C)c1 ZINC000531686402 323111109 /nfs/dbraw/zinc/11/11/09/323111109.db2.gz YEANQIYPSFIKBU-AWEZNQCLSA-N 0 3 247.342 2.605 20 0 BFADHN CCc1ccc(CN2C3CCC2CC3)o1 ZINC000534331326 323117066 /nfs/dbraw/zinc/11/70/66/323117066.db2.gz LGBAMHSLVGPLRU-UHFFFAOYSA-N 0 3 205.301 2.969 20 0 BFADHN CCC(CC)N(Cc1cc[nH]n1)C1CC1 ZINC000534345315 323117319 /nfs/dbraw/zinc/11/73/19/323117319.db2.gz RXVSZGKWBICFHF-UHFFFAOYSA-N 0 3 207.321 2.563 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1ccc(F)c(Cl)c1 ZINC000309959908 323122563 /nfs/dbraw/zinc/12/25/63/323122563.db2.gz KLECCPLVVFELFK-RYUDHWBXSA-N 0 3 243.709 2.746 20 0 BFADHN C[C@H](N[C@H]1CC[C@H](F)C1)c1cn2ccccc2n1 ZINC000563419091 323123761 /nfs/dbraw/zinc/12/37/61/323123761.db2.gz RXTJYAPHBXRICQ-SRVKXCTJSA-N 0 3 247.317 2.876 20 0 BFADHN COCCN[C@H]1c2cccc(F)c2CC[C@@H]1C ZINC000152098472 323126258 /nfs/dbraw/zinc/12/62/58/323126258.db2.gz VFIXTCPQDICEPH-IINYFYTJSA-N 0 3 237.318 2.685 20 0 BFADHN CC(C)NCC(=O)NCC[C@@H](C)CC(C)(C)C ZINC000563451149 323126401 /nfs/dbraw/zinc/12/64/01/323126401.db2.gz LBXQVIOSYBJESU-GFCCVEGCSA-N 0 3 242.407 2.563 20 0 BFADHN CC(=O)Nc1ccccc1CN(C)CC1CCC1 ZINC000155226338 323130170 /nfs/dbraw/zinc/13/01/70/323130170.db2.gz VKBUTGRLFNQCPW-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CCc1nn(C)c(CC)c1CN(C)C(C)(C)C ZINC000563623590 323132109 /nfs/dbraw/zinc/13/21/09/323132109.db2.gz UNSAPILNBZWKHK-UHFFFAOYSA-N 0 3 237.391 2.775 20 0 BFADHN CC(C)Cn1cc(CNCCC(C)(F)F)cn1 ZINC000294661289 323152320 /nfs/dbraw/zinc/15/23/20/323152320.db2.gz AJDKDAGOTYTHKI-UHFFFAOYSA-N 0 3 245.317 2.674 20 0 BFADHN CCn1cc([C@@H](C)NCCc2ccc(C)o2)cn1 ZINC000531940057 323155418 /nfs/dbraw/zinc/15/54/18/323155418.db2.gz UMYFBSHFAFPPNP-GFCCVEGCSA-N 0 3 247.342 2.698 20 0 BFADHN COc1ccc2c(c1)CCCN(CCCF)C2 ZINC000531968393 323160100 /nfs/dbraw/zinc/16/01/00/323160100.db2.gz KWQFGXSFDMITSX-UHFFFAOYSA-N 0 3 237.318 2.803 20 0 BFADHN Fc1cccnc1CN[C@H]1CCCC12CC2 ZINC000571658612 323164496 /nfs/dbraw/zinc/16/44/96/323164496.db2.gz NSNSMDVMNVKROT-LBPRGKRZSA-N 0 3 220.291 2.643 20 0 BFADHN COc1cc(C)ccc1[C@@H](C)NC[C@H](C)OC ZINC000223878077 323169929 /nfs/dbraw/zinc/16/99/29/323169929.db2.gz ONPNGLKZJDTOJT-NWDGAFQWSA-N 0 3 237.343 2.689 20 0 BFADHN FC1(CNC/C=C/c2ccccc2)CC1 ZINC000308858544 323180196 /nfs/dbraw/zinc/18/01/96/323180196.db2.gz VRLZEECCTJQIQY-QPJJXVBHSA-N 0 3 205.276 2.792 20 0 BFADHN C[C@H](CCc1ccco1)NC(C)(C)CF ZINC000308887667 323180862 /nfs/dbraw/zinc/18/08/62/323180862.db2.gz WKJUSRSMCFEBJO-SNVBAGLBSA-N 0 3 213.296 2.938 20 0 BFADHN Fc1ccc(CNC[C@@H]2CCC(F)(F)C2)nc1 ZINC000529557641 323183945 /nfs/dbraw/zinc/18/39/45/323183945.db2.gz OERHCFNVBNUSND-SECBINFHSA-N 0 3 244.260 2.746 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CSc3ccccc32)[C@@H](C)O1 ZINC000395137655 323188792 /nfs/dbraw/zinc/18/87/92/323188792.db2.gz HQOFGZWYALTEPX-AAXDQBDMSA-N 0 3 249.379 2.989 20 0 BFADHN CCCCNC(=O)CN(CC)CC(CC)CC ZINC000051824437 323191636 /nfs/dbraw/zinc/19/16/36/323191636.db2.gz VKOBNFJPZJGRSK-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN COC(C)(C)CN(C)Cc1cc(C)ccc1F ZINC000535852283 323193482 /nfs/dbraw/zinc/19/34/82/323193482.db2.gz PTMBCVJOOOAGRS-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN CCc1cnc(CNC(C)(C)C2CC2)s1 ZINC000309030285 323194492 /nfs/dbraw/zinc/19/44/92/323194492.db2.gz DGHFZTFLJLQBGT-UHFFFAOYSA-N 0 3 224.373 2.984 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1cccc2c1OCCO2 ZINC000536661655 323199725 /nfs/dbraw/zinc/19/97/25/323199725.db2.gz AYYZNAAXIUSLFO-NWDGAFQWSA-N 0 3 247.338 2.688 20 0 BFADHN CCn1cc(CN(C)[C@H](C)C(C)(C)C)cn1 ZINC000536655859 323199919 /nfs/dbraw/zinc/19/99/19/323199919.db2.gz ZHVWNZLADBFSTA-LLVKDONJSA-N 0 3 223.364 2.769 20 0 BFADHN Cc1nc2ccccn2c1CN1CCC1(C)C ZINC000536680756 323200877 /nfs/dbraw/zinc/20/08/77/323200877.db2.gz AGSKLDUBUQDYRH-UHFFFAOYSA-N 0 3 229.327 2.627 20 0 BFADHN COCC1(NCc2cc(C)sc2C)CC1 ZINC000309049642 323205479 /nfs/dbraw/zinc/20/54/79/323205479.db2.gz QEBHOSSBONOMFI-UHFFFAOYSA-N 0 3 225.357 2.634 20 0 BFADHN CCc1nnc(COc2cc(C)nc(C)c2C)o1 ZINC000563898121 323206518 /nfs/dbraw/zinc/20/65/18/323206518.db2.gz DJSIGUYVLDGLBO-UHFFFAOYSA-N 0 3 247.298 2.531 20 0 BFADHN Cc1nc(CN[C@@H](C)C2CC2)nc2ccccc12 ZINC000532218832 323209478 /nfs/dbraw/zinc/20/94/78/323209478.db2.gz ZWSURAOHAHZIHF-JTQLQIEISA-N 0 3 241.338 2.826 20 0 BFADHN CN[C@H](CC(C)(C)C)C(=O)N(C)c1ccccc1 ZINC000395731657 323239769 /nfs/dbraw/zinc/23/97/69/323239769.db2.gz ZHDPVCFJXQKURP-CYBMUJFWSA-N 0 3 248.370 2.674 20 0 BFADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1cc[nH]n1 ZINC000103173439 323246272 /nfs/dbraw/zinc/24/62/72/323246272.db2.gz CKNHOIQLNBUTOZ-STQMWFEESA-N 0 3 221.348 2.714 20 0 BFADHN c1csc(CN[C@@H](C2CC2)C2CCC2)n1 ZINC000324696176 323262652 /nfs/dbraw/zinc/26/26/52/323262652.db2.gz WQDHHBKBRCPAKV-GFCCVEGCSA-N 0 3 222.357 2.811 20 0 BFADHN C[C@H](NCC1CCCCC1)c1ccncn1 ZINC000395914255 323263746 /nfs/dbraw/zinc/26/37/46/323263746.db2.gz QNQGQFGUWCUKMS-NSHDSACASA-N 0 3 219.332 2.708 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@H](C)c1ccncn1 ZINC000395918462 323264566 /nfs/dbraw/zinc/26/45/66/323264566.db2.gz SIIMYJJNALRBND-NQBHXWOUSA-N 0 3 219.332 2.562 20 0 BFADHN CCC(C)(C)CN[C@@H](C)c1ccncn1 ZINC000395913126 323265722 /nfs/dbraw/zinc/26/57/22/323265722.db2.gz PZQNRJTXAXLKEU-JTQLQIEISA-N 0 3 207.321 2.563 20 0 BFADHN CCCOc1ccc(CNC2(COC)CC2)cc1 ZINC000532470670 323267671 /nfs/dbraw/zinc/26/76/71/323267671.db2.gz JPUVEHWPRSYEHO-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN C[C@@H](N(C)CC(=O)Nc1ccccc1)C(C)(C)C ZINC000532490529 323269316 /nfs/dbraw/zinc/26/93/16/323269316.db2.gz FFQURONYIPYACD-GFCCVEGCSA-N 0 3 248.370 2.992 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@H]1C)c1ccncn1 ZINC000396006704 323282512 /nfs/dbraw/zinc/28/25/12/323282512.db2.gz ZPKNVPSPQJNMDP-WOPDTQHZSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@@H]1C)c1ccncn1 ZINC000396006703 323282543 /nfs/dbraw/zinc/28/25/43/323282543.db2.gz ZPKNVPSPQJNMDP-SRVKXCTJSA-N 0 3 219.332 2.706 20 0 BFADHN COCC1(NC/C=C\c2ccc(F)cc2)CC1 ZINC000532671511 323287127 /nfs/dbraw/zinc/28/71/27/323287127.db2.gz CLAPSTXAQFFPMY-IHWYPQMZSA-N 0 3 235.302 2.608 20 0 BFADHN CS[C@@H]1CCCCN(CC2(F)CC2)C1 ZINC000526972189 323308361 /nfs/dbraw/zinc/30/83/61/323308361.db2.gz BBHDZDAUWYUSIA-SNVBAGLBSA-N 0 3 217.353 2.706 20 0 BFADHN Cc1cc(CN2CCC(C)(C(C)C)CC2)n(C)n1 ZINC000417985510 323311216 /nfs/dbraw/zinc/31/12/16/323311216.db2.gz FLGBWWARPRFBKL-UHFFFAOYSA-N 0 3 249.402 2.987 20 0 BFADHN Cc1cnc(CN[C@H]2CC[C@H]2C2CC2)s1 ZINC000309207060 323328534 /nfs/dbraw/zinc/32/85/34/323328534.db2.gz SFKWEYUSNIYAFQ-QWRGUYRKSA-N 0 3 222.357 2.730 20 0 BFADHN CCc1cc(CN[C@@H](C)CCC2CC2)on1 ZINC000309418235 323394995 /nfs/dbraw/zinc/39/49/95/323394995.db2.gz DCGRLWKCKXYSIE-JTQLQIEISA-N 0 3 222.332 2.905 20 0 BFADHN C[C@@H](N[C@@H](C)c1cscn1)[C@H]1CCCOC1 ZINC000309420944 323403102 /nfs/dbraw/zinc/40/31/02/323403102.db2.gz JUCJNDZSQCIRSH-VWYCJHECSA-N 0 3 240.372 2.609 20 0 BFADHN CCC[C@H](N[C@H]1CO[C@H](C)C1)c1ccccn1 ZINC000571761660 323474148 /nfs/dbraw/zinc/47/41/48/323474148.db2.gz MXYCJSWLYQELME-BZPMIXESSA-N 0 3 234.343 2.690 20 0 BFADHN COc1ccccc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000572379799 323613690 /nfs/dbraw/zinc/61/36/90/323613690.db2.gz QMIWJVOSWZGUOW-DABQJJPHSA-N 0 3 217.312 2.583 20 0 BFADHN Cc1ccc(F)cc1CN[C@]12C[C@H]1COC2(C)C ZINC000527762663 323683752 /nfs/dbraw/zinc/68/37/52/323683752.db2.gz DTPHHDZLBDBNPU-SWLSCSKDSA-N 0 3 249.329 2.791 20 0 BFADHN CCO[C@@]1(C)C[C@H]1NCc1cccc2[nH]ccc21 ZINC000527782944 323690026 /nfs/dbraw/zinc/69/00/26/323690026.db2.gz BIDPYIITKMCCHJ-CABCVRRESA-N 0 3 244.338 2.825 20 0 BFADHN Clc1csc(CNCC23CC(C2)CO3)c1 ZINC000527788318 323693810 /nfs/dbraw/zinc/69/38/10/323693810.db2.gz FUHLDMDDDXTQRK-UHFFFAOYSA-N 0 3 243.759 2.670 20 0 BFADHN Clc1ccsc1CNCC12CC(C1)CO2 ZINC000527787522 323693828 /nfs/dbraw/zinc/69/38/28/323693828.db2.gz FOJMBRRDISABIU-UHFFFAOYSA-N 0 3 243.759 2.670 20 0 BFADHN COc1cccc(CNCC[C@@H]2CC=CCC2)n1 ZINC000527787103 323694148 /nfs/dbraw/zinc/69/41/48/323694148.db2.gz HJMGIMKXFAOHIZ-CYBMUJFWSA-N 0 3 246.354 2.926 20 0 BFADHN CCN[C@@H](C)c1cc(-c2ccccc2)n(C)n1 ZINC000527845056 323703028 /nfs/dbraw/zinc/70/30/28/323703028.db2.gz IIDWUQVAVIOCIS-NSHDSACASA-N 0 3 229.327 2.758 20 0 BFADHN CC(C)O[C@@]1(C)C[C@H]1N[C@H](C)c1ccncc1 ZINC000527998228 323734783 /nfs/dbraw/zinc/73/47/83/323734783.db2.gz KTMRPAKVIQBQKO-BNOWGMLFSA-N 0 3 234.343 2.688 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@H](C)[C@H]1C)c1cccc(O)c1 ZINC000528002005 323736959 /nfs/dbraw/zinc/73/69/59/323736959.db2.gz KRCSCYYPIXNARZ-TVEHIPJCSA-N 0 3 249.354 2.855 20 0 BFADHN C[C@H](N[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C)c1ccncc1 ZINC000528001839 323737163 /nfs/dbraw/zinc/73/71/63/323737163.db2.gz KGCMVZLDPYKMNO-HNRZYHPDSA-N 0 3 234.343 2.544 20 0 BFADHN CO[C@H](CN1CCCCC1)c1ccc(F)cc1 ZINC000528347126 323800046 /nfs/dbraw/zinc/80/00/46/323800046.db2.gz CCNQHHFTJYLQRE-CQSZACIVSA-N 0 3 237.318 2.999 20 0 BFADHN CC(C)[C@H](N)c1cn(CC2CCCCC2)nn1 ZINC000302397340 487961030 /nfs/dbraw/zinc/96/10/30/487961030.db2.gz RGLARTZJRKTCJD-ZDUSSCGKSA-N 0 3 236.363 2.514 20 0 BFADHN C[C@@H](N[C@@H]1CCO[C@H]1C)c1ccc(F)cc1F ZINC000536908911 323896838 /nfs/dbraw/zinc/89/68/38/323896838.db2.gz IRIMYZWKJRWFNN-VYUIOLGVSA-N 0 3 241.281 2.793 20 0 BFADHN CC(C)Oc1ccccc1[C@@H](C)NCCCO ZINC000537026189 323979606 /nfs/dbraw/zinc/97/96/06/323979606.db2.gz XTRBVAWWWOWOPN-GFCCVEGCSA-N 0 3 237.343 2.507 20 0 BFADHN CCC[C@H](C)CN1C[C@@H](CC)O[C@@H](C)C1 ZINC000537206362 324036224 /nfs/dbraw/zinc/03/62/24/324036224.db2.gz KKZOGSQSHROXOP-RWMBFGLXSA-N 0 3 213.365 2.922 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NCc1coc2ccccc12 ZINC000129640557 324093626 /nfs/dbraw/zinc/09/36/26/324093626.db2.gz RUGFKOZNBWTCRA-ZIAGYGMSSA-N 0 3 245.322 2.682 20 0 BFADHN CC(C)[C@@H]1CCN(Cc2cccc3c2OCO3)C1 ZINC000537709038 324098532 /nfs/dbraw/zinc/09/85/32/324098532.db2.gz CMPIYZULIQACLM-GFCCVEGCSA-N 0 3 247.338 2.893 20 0 BFADHN COc1ncccc1CN1CCC[C@@H](C)[C@H]1C ZINC000193357154 324201233 /nfs/dbraw/zinc/20/12/33/324201233.db2.gz XIDWLHSUIWYAHY-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](NCC1(N(C)C)CC1)c1ccccc1F ZINC000398011425 324216066 /nfs/dbraw/zinc/21/60/66/324216066.db2.gz YLBCYVJMUASNBY-NSHDSACASA-N 0 3 236.334 2.571 20 0 BFADHN COC1CCN(Cc2ccc3cc[nH]c3c2)CC1 ZINC000529520994 324322822 /nfs/dbraw/zinc/32/28/22/324322822.db2.gz SOEZYBMPWDKQMO-UHFFFAOYSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1ccccc1CCNCc1ccc(F)cn1 ZINC000529537647 324330264 /nfs/dbraw/zinc/33/02/64/324330264.db2.gz YRSNENUPRXJCBK-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN CCc1ncc(CN[C@@H](C)C2CC(F)(F)C2)o1 ZINC000529595669 324357087 /nfs/dbraw/zinc/35/70/87/324357087.db2.gz KVBDWOPTJXMART-QMMMGPOBSA-N 0 3 244.285 2.760 20 0 BFADHN COCCC1CCN(Cc2ccco2)CC1 ZINC000529636418 324368086 /nfs/dbraw/zinc/36/80/86/324368086.db2.gz AWZMWHCFERBBDM-UHFFFAOYSA-N 0 3 223.316 2.528 20 0 BFADHN CCn1nccc1CN[C@@H](C)Cc1ccsc1 ZINC000125279809 324636754 /nfs/dbraw/zinc/63/67/54/324636754.db2.gz FVFYIJGZQCVQMN-NSHDSACASA-N 0 3 249.383 2.685 20 0 BFADHN Cc1csc(CN[C@@H](C(C)C)C2CC2)n1 ZINC000126286851 324691113 /nfs/dbraw/zinc/69/11/13/324691113.db2.gz VKRZOOIDZZTDCA-LBPRGKRZSA-N 0 3 224.373 2.976 20 0 BFADHN O[C@H]1CCCC[C@@H]1CCCNCc1ccco1 ZINC000128128102 324808637 /nfs/dbraw/zinc/80/86/37/324808637.db2.gz QRMBTDQYNQVHRD-OCCSQVGLSA-N 0 3 237.343 2.701 20 0 BFADHN CC(C)=CCNC1(c2ccc3c(c2)OCO3)CC1 ZINC000539333163 324832282 /nfs/dbraw/zinc/83/22/82/324832282.db2.gz ZCHGMURVODCERH-UHFFFAOYSA-N 0 3 245.322 2.960 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCC2CCOCC2)o1 ZINC000070161052 324996213 /nfs/dbraw/zinc/99/62/13/324996213.db2.gz OBYREKDYLWJVPE-FZMZJTMJSA-N 0 3 249.354 2.919 20 0 BFADHN CCCN(CC(=O)NC)CC(CC)(CC)CC ZINC000542021697 325004778 /nfs/dbraw/zinc/00/47/78/325004778.db2.gz SIAQUYCEJPNTTN-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CCCC1(CNCc2nccn2CC)CC1 ZINC000230157814 325007974 /nfs/dbraw/zinc/00/79/74/325007974.db2.gz LOJWYKSQSZFYPL-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CCn1ccnc1CNCC1(CC)CCCC1 ZINC000230200116 325010856 /nfs/dbraw/zinc/01/08/56/325010856.db2.gz RCQFTQCUCPRHSZ-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN COC(=O)c1ccc([C@@H](C)NC2(C3CC3)CC2)o1 ZINC000542525914 325034223 /nfs/dbraw/zinc/03/42/23/325034223.db2.gz NQKFSLVTYCFWHL-SECBINFHSA-N 0 3 249.310 2.659 20 0 BFADHN Cc1ccc([C@@H](NCC(C)(C)O)C2CCC2)o1 ZINC000542662531 325038883 /nfs/dbraw/zinc/03/88/83/325038883.db2.gz QLZNFYRWFLEVOC-ZDUSSCGKSA-N 0 3 237.343 2.790 20 0 BFADHN CCn1cc(CN(C)[C@H]2CCC[C@H](C)C2)cn1 ZINC000130650421 325077280 /nfs/dbraw/zinc/07/72/80/325077280.db2.gz SBMPFAOMCTWXAF-JSGCOSHPSA-N 0 3 235.375 2.914 20 0 BFADHN CCc1ccc([C@H](CO)N[C@@H]2C=CCCC2)cc1 ZINC000543809647 325115381 /nfs/dbraw/zinc/11/53/81/325115381.db2.gz JBHDOTUGDDIQSC-CVEARBPZSA-N 0 3 245.366 2.981 20 0 BFADHN CCOC(=O)[C@H](CC(C)C)N(CC)CC(C)C ZINC000543856252 325117882 /nfs/dbraw/zinc/11/78/82/325117882.db2.gz FDCSOUCAHJPJHL-ZDUSSCGKSA-N 0 3 243.391 2.942 20 0 BFADHN Cc1csc(CN2[C@@H](C)CC[C@@H]2C)n1 ZINC000131460515 325153129 /nfs/dbraw/zinc/15/31/29/325153129.db2.gz AZDKGGMPRHZIMJ-UWVGGRQHSA-N 0 3 210.346 2.824 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2ccco2)C1(C)C ZINC000072926409 325181414 /nfs/dbraw/zinc/18/14/14/325181414.db2.gz HTJFLAIWCXAZPK-AAEUAGOBSA-N 0 3 223.316 2.573 20 0 BFADHN CC/C=C\CCN(C)Cc1cnn(CC)c1 ZINC000545229879 325196697 /nfs/dbraw/zinc/19/66/97/325196697.db2.gz CXDPJMPKQMWURZ-SREVYHEPSA-N 0 3 221.348 2.691 20 0 BFADHN CCN1CCN([C@H]2CCCc3ccccc32)CC1 ZINC000132119826 325200198 /nfs/dbraw/zinc/20/01/98/325200198.db2.gz XZXVOPDEDDMOGV-INIZCTEOSA-N 0 3 244.382 2.702 20 0 BFADHN COc1ccc(CN2CC[C@@H](CC(C)C)C2)cn1 ZINC000132162147 325202904 /nfs/dbraw/zinc/20/29/04/325202904.db2.gz CNMXDRNCNDEXBL-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@@H](NCCCC1CC1)c1nccn1C ZINC000132261450 325208457 /nfs/dbraw/zinc/20/84/57/325208457.db2.gz TZYUGPNAANNOHM-GFCCVEGCSA-N 0 3 221.348 2.651 20 0 BFADHN CCCCN(CC)[C@H](C)C(=O)N[C@@H](C)CCC ZINC000132621076 325230459 /nfs/dbraw/zinc/23/04/59/325230459.db2.gz HSHHGKQUCVTYEU-QWHCGFSZSA-N 0 3 242.407 2.802 20 0 BFADHN CCC1(CNCc2ccn(C)n2)CCCCC1 ZINC000545860478 325240579 /nfs/dbraw/zinc/24/05/79/325240579.db2.gz LQCMJNMDADVRBU-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN CCc1ccc(CN(CCOC)C2CC2)s1 ZINC000546028760 325261042 /nfs/dbraw/zinc/26/10/42/325261042.db2.gz CIIILWCSXONSMD-UHFFFAOYSA-N 0 3 239.384 2.921 20 0 BFADHN CCc1nn(C)c(CC)c1CN(CC)C1CC1 ZINC000133337073 325286977 /nfs/dbraw/zinc/28/69/77/325286977.db2.gz JETKPPWUTYJXNU-UHFFFAOYSA-N 0 3 235.375 2.529 20 0 BFADHN Cc1ccc(CCNCc2ccc(C)nc2)o1 ZINC000546610616 325293129 /nfs/dbraw/zinc/29/31/29/325293129.db2.gz AOWSRABGLRVCGK-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN Cc1oncc1CN(C)[C@@H]1CCCC[C@@H]1C ZINC000133419163 325293721 /nfs/dbraw/zinc/29/37/21/325293721.db2.gz FMOLPMXWCRJVES-GXFFZTMASA-N 0 3 222.332 2.994 20 0 BFADHN C[C@H](NCCCF)c1ccnn1-c1ccccc1 ZINC000546689578 325301361 /nfs/dbraw/zinc/30/13/61/325301361.db2.gz OFUDCIMGPAONIK-LBPRGKRZSA-N 0 3 247.317 2.883 20 0 BFADHN CCSCCN1CCOC2(CCCCC2)C1 ZINC000075832220 325315434 /nfs/dbraw/zinc/31/54/34/325315434.db2.gz FLZHRGURCJWLPV-UHFFFAOYSA-N 0 3 243.416 2.775 20 0 BFADHN CCOCCCN1CCc2ccc(F)cc2C1 ZINC000133854182 325324323 /nfs/dbraw/zinc/32/43/23/325324323.db2.gz XUINGQBOXKIOHA-UHFFFAOYSA-N 0 3 237.318 2.610 20 0 BFADHN Cc1ccncc1N(C)C(=O)C(C)C(F)(F)F ZINC000547364060 325347780 /nfs/dbraw/zinc/34/77/80/325347780.db2.gz MOQIEAKQCXSYMZ-MRVPVSSYSA-N 0 3 246.232 2.551 20 0 BFADHN c1ccc(N2CCN([C@@H]3C=CCCC3)CC2)cc1 ZINC000076667741 325352257 /nfs/dbraw/zinc/35/22/57/325352257.db2.gz DSWGIIUUHZZDTJ-MRXNPFEDSA-N 0 3 242.366 2.917 20 0 BFADHN COC(C)(C)C[C@H](C)NCc1ccc(C)cn1 ZINC000134365926 325355601 /nfs/dbraw/zinc/35/56/01/325355601.db2.gz HJTKVAFALLNOLJ-LBPRGKRZSA-N 0 3 236.359 2.683 20 0 BFADHN CCN(C)Cc1cccc(OC(C)C)c1 ZINC000077242911 325371141 /nfs/dbraw/zinc/37/11/41/325371141.db2.gz FFTKJEMDCFYYHE-UHFFFAOYSA-N 0 3 207.317 2.926 20 0 BFADHN Cc1cc(CN(C)CC[C@@H](C)O)ccc1Cl ZINC000134733450 325374478 /nfs/dbraw/zinc/37/44/78/325374478.db2.gz MEBLUICYXKTOHF-LLVKDONJSA-N 0 3 241.762 2.851 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1CC[C@H](C(C)C)C1 ZINC000134980661 325386339 /nfs/dbraw/zinc/38/63/39/325386339.db2.gz ZJKLLIFUVLSUSJ-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN COc1ccccc1OCCN1[C@H](C)C[C@H]1C ZINC000547768571 325394370 /nfs/dbraw/zinc/39/43/70/325394370.db2.gz ASYIGDIPINCPLR-VXGBXAGGSA-N 0 3 235.327 2.557 20 0 BFADHN CCOc1ccc(OCCN2[C@@H](C)C[C@@H]2C)cc1 ZINC000547770586 325395693 /nfs/dbraw/zinc/39/56/93/325395693.db2.gz UFRYKGJWMOCVBP-STQMWFEESA-N 0 3 249.354 2.947 20 0 BFADHN c1c(CN2CCCC2)[nH]nc1-c1ccccc1 ZINC000135836516 325428380 /nfs/dbraw/zinc/42/83/80/325428380.db2.gz XFURSZOJJFYEGM-UHFFFAOYSA-N 0 3 227.311 2.673 20 0 BFADHN COc1ccc(CN2[C@H](C)C[C@H]2C)cc1 ZINC000548425056 325456485 /nfs/dbraw/zinc/45/64/85/325456485.db2.gz OTBBCQVJEZQHGJ-GHMZBOCLSA-N 0 3 205.301 2.678 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1cn2ccccc2n1 ZINC000548713402 325475011 /nfs/dbraw/zinc/47/50/11/325475011.db2.gz FWOVDAUECRQEJQ-GHMZBOCLSA-N 0 3 249.383 2.736 20 0 BFADHN CC1=CC[C@@H](NCc2ncccc2F)CC1 ZINC000552152216 325600828 /nfs/dbraw/zinc/60/08/28/325600828.db2.gz QJVVHMGXBQTBQX-LLVKDONJSA-N 0 3 220.291 2.809 20 0 BFADHN CO[C@@H]1CC[C@H](N[C@H](C)c2ccc(C)o2)C1 ZINC000249063278 325635385 /nfs/dbraw/zinc/63/53/85/325635385.db2.gz PZWKEQDTWZNYGH-GRYCIOLGSA-N 0 3 223.316 2.806 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2C[C@@H](O)C2(C)C)o1 ZINC000249530559 325654119 /nfs/dbraw/zinc/65/41/19/325654119.db2.gz AVQDQMBZVPNGAB-TVYUQYBPSA-N 0 3 237.343 2.652 20 0 BFADHN CC[C@@H](N)C(=O)Nc1ccc(CCC(C)C)cc1 ZINC000564454272 325793094 /nfs/dbraw/zinc/79/30/94/325793094.db2.gz QTYQNVJERURVHB-CQSZACIVSA-N 0 3 248.370 2.951 20 0 BFADHN COCC[C@@H](C)CNCc1ccc(F)cc1F ZINC000565350649 325899085 /nfs/dbraw/zinc/89/90/85/325899085.db2.gz FNESOPMDCLLXFC-SNVBAGLBSA-N 0 3 243.297 2.727 20 0 BFADHN COCC[C@H](C)CNCc1ccc(F)cc1F ZINC000565350648 325899857 /nfs/dbraw/zinc/89/98/57/325899857.db2.gz FNESOPMDCLLXFC-JTQLQIEISA-N 0 3 243.297 2.727 20 0 BFADHN c1cc(-c2ccc(CN[C@H]3[C@@H]4CCC[C@@H]43)o2)n[nH]1 ZINC000565845002 325964925 /nfs/dbraw/zinc/96/49/25/325964925.db2.gz HFDSLPKJQDJXQT-YABSGUDNSA-N 0 3 243.310 2.558 20 0 BFADHN C[C@H](NCC1CC(C)(C)C1)c1cc2n(n1)CCC2 ZINC000565997578 325982306 /nfs/dbraw/zinc/98/23/06/325982306.db2.gz MMVQUXFPPRYICH-NSHDSACASA-N 0 3 247.386 2.916 20 0 BFADHN Cc1cccc(CN2CC[C@@H](OC(C)C)C2)c1 ZINC000566172608 326006442 /nfs/dbraw/zinc/00/64/42/326006442.db2.gz GTLIOJLSZJCERT-OAHLLOKOSA-N 0 3 233.355 2.994 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@H](C)[C@H]2C)[nH]c1C ZINC000566749469 326080152 /nfs/dbraw/zinc/08/01/52/326080152.db2.gz SRIRTPFOAUAHKY-OPQQBVKSSA-N 0 3 235.375 2.941 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CSC[C@H]1C)CO2 ZINC000566777853 326081435 /nfs/dbraw/zinc/08/14/35/326081435.db2.gz CZEMJTUNOGWRQM-RAIGVLPGSA-N 0 3 249.379 2.770 20 0 BFADHN CCCc1ncc(CN[C@H]2CCC[C@H]3C[C@H]32)o1 ZINC000566895852 326091762 /nfs/dbraw/zinc/09/17/62/326091762.db2.gz BULJZAVPZXQNEZ-UHTWSYAYSA-N 0 3 234.343 2.905 20 0 BFADHN COC1([C@@H](C)NCc2cccc(C)n2)CCCC1 ZINC000566908778 326092518 /nfs/dbraw/zinc/09/25/18/326092518.db2.gz MPOSINRYDZWYGZ-CYBMUJFWSA-N 0 3 248.370 2.827 20 0 BFADHN CC[C@H](C)CN1CCN(CC(F)F)[C@H](CC)C1 ZINC000153639875 326104871 /nfs/dbraw/zinc/10/48/71/326104871.db2.gz QZGXYPFINPAUGF-NWDGAFQWSA-N 0 3 248.361 2.694 20 0 BFADHN CC(C)N(CCN1CCC(C)CC1)CC(F)F ZINC000154728076 326162006 /nfs/dbraw/zinc/16/20/06/326162006.db2.gz KKNCQEZQSSJUFQ-UHFFFAOYSA-N 0 3 248.361 2.694 20 0 BFADHN COCC1(NCc2ccc(C)cc2C)CC1 ZINC000309669890 326162513 /nfs/dbraw/zinc/16/25/13/326162513.db2.gz VPRBRZAFDBOUJY-UHFFFAOYSA-N 0 3 219.328 2.572 20 0 BFADHN CCCCN(CC)Cc1c(C)nn(C)c1C ZINC000154830452 326166448 /nfs/dbraw/zinc/16/64/48/326166448.db2.gz FIAUHBANCGYTIV-UHFFFAOYSA-N 0 3 223.364 2.659 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1CCCc2ccc(O)cc21 ZINC000567341303 326166999 /nfs/dbraw/zinc/16/69/99/326166999.db2.gz KIWKFTBWXZOWCR-SOUVJXGZSA-N 0 3 247.338 2.537 20 0 BFADHN CCO[C@H]1C[C@@H]1NCc1cc(C)cc(C)c1 ZINC000309837218 326171019 /nfs/dbraw/zinc/17/10/19/326171019.db2.gz BNTVTMHHBUKZKT-KBPBESRZSA-N 0 3 219.328 2.570 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H]2C2CC2)no1 ZINC000309877999 326173221 /nfs/dbraw/zinc/17/32/21/326173221.db2.gz UDXZSRSEUXNSAG-CHWSQXEVSA-N 0 3 220.316 2.651 20 0 BFADHN CCC[C@@H](C)CN1CCO[C@@]2(CCSC2)C1 ZINC000567472659 326199898 /nfs/dbraw/zinc/19/98/98/326199898.db2.gz LTDPFDFLIIFPBX-OLZOCXBDSA-N 0 3 243.416 2.631 20 0 BFADHN CC[C@H](C(=O)NCC(CC)(CC)CC)N(C)C ZINC000156902478 326248303 /nfs/dbraw/zinc/24/83/03/326248303.db2.gz VLMRRIKWNITFDM-GFCCVEGCSA-N 0 3 242.407 2.659 20 0 BFADHN c1nc2ccccn2c1CN1CCCCCC1 ZINC000157305412 326266955 /nfs/dbraw/zinc/26/69/55/326266955.db2.gz PRCQDHBHYPHQQX-UHFFFAOYSA-N 0 3 229.327 2.710 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cnc3ccccn23)C1 ZINC000157330371 326268387 /nfs/dbraw/zinc/26/83/87/326268387.db2.gz LQNHMMITHSSMBK-BETUJISGSA-N 0 3 243.354 2.812 20 0 BFADHN CCN1CCN(CC2CCC(C)(C)CC2)CC1 ZINC000157424969 326274156 /nfs/dbraw/zinc/27/41/56/326274156.db2.gz HMFHKXLHLJEMNI-UHFFFAOYSA-N 0 3 238.419 2.840 20 0 BFADHN CCN1CCN(Cc2coc3ccccc23)CC1 ZINC000157443053 326275942 /nfs/dbraw/zinc/27/59/42/326275942.db2.gz NDRTTZOWAQHOMO-UHFFFAOYSA-N 0 3 244.338 2.570 20 0 BFADHN CC1(C)CCC[C@@H](CNCc2ccno2)C1 ZINC000567889177 326277153 /nfs/dbraw/zinc/27/71/53/326277153.db2.gz ZYOPTQMIIJZVTH-LLVKDONJSA-N 0 3 222.332 2.981 20 0 BFADHN Cc1ccn2c(CN3CCC[C@H](C)C3)cnc2c1 ZINC000157686695 326290508 /nfs/dbraw/zinc/29/05/08/326290508.db2.gz RZXQUMWXTZAERV-ZDUSSCGKSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1ccn2c(CN3CCC[C@@H](C)C3)cnc2c1 ZINC000157686831 326290531 /nfs/dbraw/zinc/29/05/31/326290531.db2.gz RZXQUMWXTZAERV-CYBMUJFWSA-N 0 3 243.354 2.875 20 0 BFADHN CC(C)=CCN(C)CCc1nccs1 ZINC000157799707 326296131 /nfs/dbraw/zinc/29/61/31/326296131.db2.gz JLKCBXLNQILBFS-UHFFFAOYSA-N 0 3 210.346 2.584 20 0 BFADHN CCOc1cccc(CN(C)[C@H](C)C2CC2)n1 ZINC000158068624 326306990 /nfs/dbraw/zinc/30/69/90/326306990.db2.gz SCYNKCSMNSRUBY-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1ccn(C)n1 ZINC000568140745 326312246 /nfs/dbraw/zinc/31/22/46/326312246.db2.gz VWPTXLSPIPRDNZ-TZMCWYRMSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1ccc([C@H](C)NCCCc2cnn(C)c2)o1 ZINC000158369127 326315977 /nfs/dbraw/zinc/31/59/77/326315977.db2.gz STVAXTUXPRYZSQ-LBPRGKRZSA-N 0 3 247.342 2.605 20 0 BFADHN CCO[C@@H]1C[C@H]1NC/C=C\c1ccc(F)cc1 ZINC000568171090 326319585 /nfs/dbraw/zinc/31/95/85/326319585.db2.gz XNGLMSDGUAUKCI-JHZNUDOXSA-N 0 3 235.302 2.606 20 0 BFADHN CCCN(CC(=O)N(C)c1ccccc1)C(C)C ZINC000568182972 326322317 /nfs/dbraw/zinc/32/23/17/326322317.db2.gz DYOTVROBSLXXAA-UHFFFAOYSA-N 0 3 248.370 2.770 20 0 BFADHN C[C@@H]1C[C@H](NCc2noc3ccccc32)[C@@H]1C ZINC000568238564 326332302 /nfs/dbraw/zinc/33/23/02/326332302.db2.gz XNTQGYBVBMLVTN-FOGDFJRCSA-N 0 3 230.311 2.962 20 0 BFADHN C[C@@H]1C[C@@H](NCc2noc3ccccc32)[C@H]1C ZINC000568238565 326332417 /nfs/dbraw/zinc/33/24/17/326332417.db2.gz XNTQGYBVBMLVTN-JFGNBEQYSA-N 0 3 230.311 2.962 20 0 BFADHN CC[C@@H](C)NCc1noc2ccccc12 ZINC000568238243 326332477 /nfs/dbraw/zinc/33/24/77/326332477.db2.gz QEIVEMQHHIXCKW-SECBINFHSA-N 0 3 204.273 2.716 20 0 BFADHN CC(C)N(C)Cc1cc(-c2ccccc2)n[nH]1 ZINC000158743934 326341717 /nfs/dbraw/zinc/34/17/17/326341717.db2.gz MDRRCAIUFOWJAN-UHFFFAOYSA-N 0 3 229.327 2.917 20 0 BFADHN CCCCN1CCO[C@]2(CCc3ccccc32)C1 ZINC000158755382 326342466 /nfs/dbraw/zinc/34/24/66/326342466.db2.gz UEVTWYXSNKRJEB-MRXNPFEDSA-N 0 3 245.366 2.960 20 0 BFADHN CCCn1cc(CN2CCC(C)CC2)cn1 ZINC000158856507 326344866 /nfs/dbraw/zinc/34/48/66/326344866.db2.gz DBQMVYUPMOZNPE-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN CCOc1cccc(CN2CCC(C)CC2)n1 ZINC000158857386 326344970 /nfs/dbraw/zinc/34/49/70/326344970.db2.gz XYHCDNKCWQIHIQ-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCOc1cccc(CN2CCCC[C@@H]2C)n1 ZINC000158936406 326346255 /nfs/dbraw/zinc/34/62/55/326346255.db2.gz IADNQNOWLDZWOE-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN CC[C@@H](NCCCC(F)(F)F)c1ccn(C)n1 ZINC000568446104 326357330 /nfs/dbraw/zinc/35/73/30/326357330.db2.gz FCOSFGKSOFXCOH-SECBINFHSA-N 0 3 249.280 2.803 20 0 BFADHN CC[C@H](C)N1CCC[C@H](OCC(F)(F)F)C1 ZINC000568486543 326359323 /nfs/dbraw/zinc/35/93/23/326359323.db2.gz FLJYNPZDZUPNQM-UWVGGRQHSA-N 0 3 239.281 2.828 20 0 BFADHN CC[C@H](C)N1CCC[C@@H](OCC(F)(F)F)C1 ZINC000568486544 326359388 /nfs/dbraw/zinc/35/93/88/326359388.db2.gz FLJYNPZDZUPNQM-VHSXEESVSA-N 0 3 239.281 2.828 20 0 BFADHN CCN(Cc1ccc2c(n1)CCC2)C1CCC1 ZINC000568600875 326366880 /nfs/dbraw/zinc/36/68/80/326366880.db2.gz SSJDPQHULWIGFX-UHFFFAOYSA-N 0 3 230.355 2.945 20 0 BFADHN C[C@H]1CN(CC(C)(C)C)CC2(CCC2)O1 ZINC000568747915 326374759 /nfs/dbraw/zinc/37/47/59/326374759.db2.gz SZBGHHGWWNBWDR-NSHDSACASA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H]1CN(CCOCC2CCC2)C[C@@H](C)S1 ZINC000568966850 326384058 /nfs/dbraw/zinc/38/40/58/326384058.db2.gz GKAXYUIYOHYPFS-VXGBXAGGSA-N 0 3 243.416 2.629 20 0 BFADHN C[C@@H](NCCN1CCCC1(C)C)c1ccoc1 ZINC000569077506 326388744 /nfs/dbraw/zinc/38/87/44/326388744.db2.gz XZBLUICRYNAYSR-GFCCVEGCSA-N 0 3 236.359 2.805 20 0 BFADHN Cc1ncncc1[C@H](C)NCCC1(F)CCC1 ZINC000569116044 326391260 /nfs/dbraw/zinc/39/12/60/326391260.db2.gz CVJVOOSXKVECAF-JTQLQIEISA-N 0 3 237.322 2.718 20 0 BFADHN CCCN(CC)Cc1ccc2c(n1)CCC2 ZINC000569195503 326394580 /nfs/dbraw/zinc/39/45/80/326394580.db2.gz DBRVOSAZYXXSBC-UHFFFAOYSA-N 0 3 218.344 2.802 20 0 BFADHN CCCC[C@@H](CCC)NCc1ccn(C)n1 ZINC000165246740 326420202 /nfs/dbraw/zinc/42/02/02/326420202.db2.gz CAFPFAVQCALIBT-GFCCVEGCSA-N 0 3 223.364 2.869 20 0 BFADHN Cc1cc(C)cc(CN[C@H]2COC(C)(C)C2)c1 ZINC000396552003 487972777 /nfs/dbraw/zinc/97/27/77/487972777.db2.gz SWQPDPCSWOWWTF-CQSZACIVSA-N 0 3 233.355 2.961 20 0 BFADHN CCC(CC)CN[C@H](C)c1cc(C)n(C)n1 ZINC000309487636 487974352 /nfs/dbraw/zinc/97/43/52/487974352.db2.gz VZUHEIHWOVGDED-LLVKDONJSA-N 0 3 223.364 2.815 20 0 BFADHN Cc1cccn2cc(CN(C)[C@H](C)C3CC3)nc12 ZINC000073779504 487977808 /nfs/dbraw/zinc/97/78/08/487977808.db2.gz IIPJSJOOXOOBLB-GFCCVEGCSA-N 0 3 243.354 2.873 20 0 BFADHN FCC[C@@H]1CCCN(Cc2ccco2)C1 ZINC000668593306 487979389 /nfs/dbraw/zinc/97/93/89/487979389.db2.gz UVBJIEFOBXBPBC-NSHDSACASA-N 0 3 211.280 2.851 20 0 BFADHN CCn1ccnc1CNC[C@@H]1CC2CCC1CC2 ZINC000400027678 487982921 /nfs/dbraw/zinc/98/29/21/487982921.db2.gz PKIRXUBTOHDFCC-RUXDESIVSA-N 0 3 247.386 2.819 20 0 BFADHN Cc1cc(CN2C[C@@H]3COC[C@]3(C)C2)ccc1F ZINC000668596759 487982877 /nfs/dbraw/zinc/98/28/77/487982877.db2.gz MBISBFPDTXLJQS-HIFRSBDPSA-N 0 3 249.329 2.602 20 0 BFADHN Cc1ncc([C@H](C)N2C[C@H](C)[C@H](C)C2)c(C)n1 ZINC000682070745 487984269 /nfs/dbraw/zinc/98/42/69/487984269.db2.gz DZCNCGJETNUTPC-UMNHJUIQSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)o1 ZINC000172038376 487998193 /nfs/dbraw/zinc/99/81/93/487998193.db2.gz JGEPONYDTMAVDS-COPWDGIASA-N 0 3 247.338 2.813 20 0 BFADHN CCN1CCN(Cc2c(C)cccc2C)C[C@H]1C ZINC000677193570 487998661 /nfs/dbraw/zinc/99/86/61/487998661.db2.gz BMLZVZRAQBAQGD-OAHLLOKOSA-N 0 3 246.398 2.829 20 0 BFADHN CCN1CCN(Cc2ccccc2C)C[C@@H]1C ZINC000677195424 487999693 /nfs/dbraw/zinc/99/96/93/487999693.db2.gz UCJBZUZYEVYPNW-AWEZNQCLSA-N 0 3 232.371 2.521 20 0 BFADHN CCN1CCN(Cc2ccc(C)cc2)C[C@H]1C ZINC000677196049 488000092 /nfs/dbraw/zinc/00/00/92/488000092.db2.gz QAWOGQCDIQRKQW-CQSZACIVSA-N 0 3 232.371 2.521 20 0 BFADHN Oc1ccc(CNCC2=CCCC2)cc1 ZINC000401050646 488005313 /nfs/dbraw/zinc/00/53/13/488005313.db2.gz OZHKARWRYYAIFU-UHFFFAOYSA-N 0 3 203.285 2.592 20 0 BFADHN Cc1ccc(CNCC2=CCCC2)nc1 ZINC000401090367 488007825 /nfs/dbraw/zinc/00/78/25/488007825.db2.gz VESCGIFGMOJJOA-UHFFFAOYSA-N 0 3 202.301 2.590 20 0 BFADHN COCC1(NCc2cc3ccccc3[nH]2)CCC1 ZINC000671195170 488008653 /nfs/dbraw/zinc/00/86/53/488008653.db2.gz AFVFKLMRYWMJFJ-UHFFFAOYSA-N 0 3 244.338 2.827 20 0 BFADHN CCC[C@@H](N)c1cn(CC(CC)CC)nn1 ZINC000229954638 488010271 /nfs/dbraw/zinc/01/02/71/488010271.db2.gz FNLIGSXLGYHFCI-LLVKDONJSA-N 0 3 224.352 2.514 20 0 BFADHN Cc1ncccc1CN[C@@H](C)[C@H]1CC1(F)F ZINC000666445414 488011444 /nfs/dbraw/zinc/01/14/44/488011444.db2.gz TWZUOPNMYCUJED-GXSJLCMTSA-N 0 3 226.270 2.523 20 0 BFADHN Cc1ccoc1CN[C@@H](C)Cc1ccccn1 ZINC000655844911 488011718 /nfs/dbraw/zinc/01/17/18/488011718.db2.gz ZRGWGDCCRXLSFP-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN Cc1nc(C)c(CN[C@H](C)[C@@H]2CC2(F)F)s1 ZINC000666445404 488012614 /nfs/dbraw/zinc/01/26/14/488012614.db2.gz SZCLCFMROXJMKM-MUWHJKNJSA-N 0 3 246.326 2.893 20 0 BFADHN C[C@H](NCc1cccc(O)c1)[C@H]1CC1(F)F ZINC000666445226 488012737 /nfs/dbraw/zinc/01/27/37/488012737.db2.gz RLHDGLMBYWRQFS-GZMMTYOYSA-N 0 3 227.254 2.526 20 0 BFADHN C[C@H](NCc1cccc(O)c1)[C@@H]1CC1(F)F ZINC000666445228 488013068 /nfs/dbraw/zinc/01/30/68/488013068.db2.gz RLHDGLMBYWRQFS-KWQFWETISA-N 0 3 227.254 2.526 20 0 BFADHN C[C@H]1CCCN(Cc2c(C3CC3)cnn2C)C1 ZINC000667471900 488016981 /nfs/dbraw/zinc/01/69/81/488016981.db2.gz PJNYVEBPQOSMES-NSHDSACASA-N 0 3 233.359 2.529 20 0 BFADHN CCCn1nccc1CN1C[C@H](C)C[C@H](C)C1 ZINC000667472321 488017451 /nfs/dbraw/zinc/01/74/51/488017451.db2.gz COCAJZRWJIZRSC-BETUJISGSA-N 0 3 235.375 2.771 20 0 BFADHN COc1ccc(CNCC2=CCCC2)c(OC)c1 ZINC000401173288 488017899 /nfs/dbraw/zinc/01/78/99/488017899.db2.gz UNSMLPPXFVYOFG-UHFFFAOYSA-N 0 3 247.338 2.904 20 0 BFADHN CCCCN(CCCC)CC(=O)N1CCCC1 ZINC000052870360 488017943 /nfs/dbraw/zinc/01/79/43/488017943.db2.gz CFLUUWBFFYLUQO-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN COCC1(C)CCN(Cc2ccc(O)cc2)CC1 ZINC000682406120 488022006 /nfs/dbraw/zinc/02/20/06/488022006.db2.gz ABWJMEYOXSRKQE-UHFFFAOYSA-N 0 3 249.354 2.641 20 0 BFADHN Fc1ccc(CNC[C@@H]2CCCO2)cc1Cl ZINC000053156944 488025051 /nfs/dbraw/zinc/02/50/51/488025051.db2.gz LNRDCRFDTCXPQK-JTQLQIEISA-N 0 3 243.709 2.748 20 0 BFADHN C[C@@H](NCc1cc[nH]n1)C1CCC(F)(F)CC1 ZINC000682731499 488027397 /nfs/dbraw/zinc/02/73/97/488027397.db2.gz AZPHZFQPKLKZLA-SECBINFHSA-N 0 3 243.301 2.713 20 0 BFADHN Cc1ccc(CN(CCC[C@@H](C)O)C2CC2)o1 ZINC000682754962 488028512 /nfs/dbraw/zinc/02/85/12/488028512.db2.gz MELFLKXDUDIWSW-LLVKDONJSA-N 0 3 237.343 2.713 20 0 BFADHN Cc1ccc(CNC[C@H]2CCOC2)c(Cl)c1 ZINC000235881310 488028262 /nfs/dbraw/zinc/02/82/62/488028262.db2.gz OTRODQJAULKKGW-LLVKDONJSA-N 0 3 239.746 2.775 20 0 BFADHN FC1(CN2CCC[C@H]([C@@H]3CCCCO3)C2)CC1 ZINC000668630139 488029604 /nfs/dbraw/zinc/02/96/04/488029604.db2.gz HOQICMRTGSPHQB-STQMWFEESA-N 0 3 241.350 2.770 20 0 BFADHN CCN(Cc1ccnn1CC1CC1)CC(C)C ZINC000667503670 488033617 /nfs/dbraw/zinc/03/36/17/488033617.db2.gz SYLWOIXXXARZRE-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN CCOc1ccc(CN2[C@H](C)CC[C@H]2C)nc1 ZINC000675127066 488035000 /nfs/dbraw/zinc/03/50/00/488035000.db2.gz ZRWUNBFFCMAURN-VXGBXAGGSA-N 0 3 234.343 2.853 20 0 BFADHN CCNCc1cn(-c2ccc(C)cc2)nc1C ZINC000212746991 488035287 /nfs/dbraw/zinc/03/52/87/488035287.db2.gz VNDAPZPGSUIIJH-UHFFFAOYSA-N 0 3 229.327 2.599 20 0 BFADHN CCCn1nccc1CN(C)[C@H](C)C1CC1 ZINC000667511239 488035161 /nfs/dbraw/zinc/03/51/61/488035161.db2.gz GYCABKCJFCSLMW-LLVKDONJSA-N 0 3 221.348 2.523 20 0 BFADHN CCCn1nccc1CN(C)C[C@@H](C)CC ZINC000667530408 488037951 /nfs/dbraw/zinc/03/79/51/488037951.db2.gz YHWFZXRHHOPQDM-LBPRGKRZSA-N 0 3 223.364 2.771 20 0 BFADHN CN(Cc1c(C2CCC2)cnn1C)CC1CC1 ZINC000667534243 488040009 /nfs/dbraw/zinc/04/00/09/488040009.db2.gz RPWMAWWONPRINM-UHFFFAOYSA-N 0 3 233.359 2.529 20 0 BFADHN CCn1ccc(CN(C)CC[C@@H]2CCCO2)c1 ZINC000682783938 488040306 /nfs/dbraw/zinc/04/03/06/488040306.db2.gz DSHDFEPTWALBQO-AWEZNQCLSA-N 0 3 236.359 2.509 20 0 BFADHN CC1(NC(=O)Nc2cccc(CN)c2)CCCC1 ZINC000084235551 488040622 /nfs/dbraw/zinc/04/06/22/488040622.db2.gz LUYXOGXYZLOJPK-UHFFFAOYSA-N 0 3 247.342 2.600 20 0 BFADHN CCCn1nccc1CN1CCC[C@H]1CC ZINC000667544948 488041758 /nfs/dbraw/zinc/04/17/58/488041758.db2.gz RFYGASHATOBUIO-GFCCVEGCSA-N 0 3 221.348 2.668 20 0 BFADHN CCN(Cc1cnc(C)n1C)[C@H](C)C(C)C ZINC000667561043 488046341 /nfs/dbraw/zinc/04/63/41/488046341.db2.gz JMEHLGZDUBHJAQ-LLVKDONJSA-N 0 3 223.364 2.595 20 0 BFADHN CN(Cc1c(C2CC2)cnn1C)CC1CCCC1 ZINC000667564178 488047976 /nfs/dbraw/zinc/04/79/76/488047976.db2.gz DBFVHXWIKIRHJS-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN CN(Cc1cccc(C(C)(C)C)c1)C1(CO)CC1 ZINC000660543114 488048215 /nfs/dbraw/zinc/04/82/15/488048215.db2.gz FNUBCPDFDXKHJK-UHFFFAOYSA-N 0 3 247.382 2.941 20 0 BFADHN c1cc(CN2CCC[C@@H]2C2CC2)n(CC2CC2)n1 ZINC000667596952 488056037 /nfs/dbraw/zinc/05/60/37/488056037.db2.gz XOHGESLXLPFIGG-OAHLLOKOSA-N 0 3 245.370 2.668 20 0 BFADHN Cc1cc(CN(C)[C@H](C)C(C)(C)C)n(C)n1 ZINC000669492974 488056044 /nfs/dbraw/zinc/05/60/44/488056044.db2.gz SNOBANCDWALCSR-LLVKDONJSA-N 0 3 223.364 2.595 20 0 BFADHN CCCn1nccc1CN(C)C(C)(C)CC ZINC000667615974 488059406 /nfs/dbraw/zinc/05/94/06/488059406.db2.gz DYJMTMJKTFXSGZ-UHFFFAOYSA-N 0 3 223.364 2.914 20 0 BFADHN CCN(Cc1c(C2CC2)cnn1C)CC1CC1 ZINC000667630434 488063642 /nfs/dbraw/zinc/06/36/42/488063642.db2.gz DHOARIUNDIVFST-UHFFFAOYSA-N 0 3 233.359 2.529 20 0 BFADHN Cc1cc(CNCc2ccc(C3CC3)cc2)n[nH]1 ZINC000682950935 488070614 /nfs/dbraw/zinc/07/06/14/488070614.db2.gz PTZXUEKZJWCPLJ-UHFFFAOYSA-N 0 3 241.338 2.885 20 0 BFADHN C[C@@H]1CCN(Cc2c(C3CC3)cnn2C)C[C@@H]1C ZINC000667649421 488071030 /nfs/dbraw/zinc/07/10/30/488071030.db2.gz SQLVFCONILSEBH-NEPJUHHUSA-N 0 3 247.386 2.775 20 0 BFADHN CO[C@@H](CN1CC=CCC1)c1ccccc1 ZINC000670085362 488073710 /nfs/dbraw/zinc/07/37/10/488073710.db2.gz PHIXHILKDQRKGQ-AWEZNQCLSA-N 0 3 217.312 2.636 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1ccnn1CC1CC1 ZINC000667651378 488073869 /nfs/dbraw/zinc/07/38/69/488073869.db2.gz UUAKAOAJPKOCLC-TZMCWYRMSA-N 0 3 247.386 2.914 20 0 BFADHN CCCN(Cc1oc(C)nc1C)C1CC1 ZINC000685563210 488074746 /nfs/dbraw/zinc/07/47/46/488074746.db2.gz HFNMAWMETRDQNU-UHFFFAOYSA-N 0 3 208.305 2.666 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1ccnn1CC1CC1 ZINC000667651375 488074505 /nfs/dbraw/zinc/07/45/05/488074505.db2.gz UUAKAOAJPKOCLC-GXTWGEPZSA-N 0 3 247.386 2.914 20 0 BFADHN CC(C)(CNCc1ccco1)[C@@H]1CCCCO1 ZINC000675155555 488077137 /nfs/dbraw/zinc/07/71/37/488077137.db2.gz ABVUAVSXPLCPON-ZDUSSCGKSA-N 0 3 237.343 2.965 20 0 BFADHN CCC[C@H]1CCCN(Cc2cnc(C)n2C)C1 ZINC000667673739 488082970 /nfs/dbraw/zinc/08/29/70/488082970.db2.gz LFPBSBHJFFJBLL-ZDUSSCGKSA-N 0 3 235.375 2.741 20 0 BFADHN OC[C@H]1CCCCCN1Cc1ccc(F)cc1 ZINC000159736831 488083481 /nfs/dbraw/zinc/08/34/81/488083481.db2.gz PCFKLXJUMWVILP-CQSZACIVSA-N 0 3 237.318 2.563 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CC[C@@H](C2CC2)C1 ZINC000667681654 488084227 /nfs/dbraw/zinc/08/42/27/488084227.db2.gz NQPLEYULCRJIBT-CYBMUJFWSA-N 0 3 245.370 2.529 20 0 BFADHN CC[C@@]1(C)CCN(Cc2ccnn2CC2CC2)C1 ZINC000667682459 488084348 /nfs/dbraw/zinc/08/43/48/488084348.db2.gz LQWYNBBLIWNOKA-HNNXBMFYSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1ccnc(CN(C)[C@H](C)C2CC2)c1 ZINC000683002801 488085203 /nfs/dbraw/zinc/08/52/03/488085203.db2.gz GYMXQGSOCQPJPG-LLVKDONJSA-N 0 3 204.317 2.620 20 0 BFADHN Cc1ccnc(CN(C(C)C)C2CC2)c1 ZINC000683002216 488085523 /nfs/dbraw/zinc/08/55/23/488085523.db2.gz FCFDJBAKZMZBRU-UHFFFAOYSA-N 0 3 204.317 2.763 20 0 BFADHN Cc1ccnc(CN(C)CCCOC(C)C)c1 ZINC000683010052 488089152 /nfs/dbraw/zinc/08/91/52/488089152.db2.gz DDJGCXDESIVOKJ-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN C[C@@H]1CCN(Cc2c(C3CC3)cnn2C)[C@@H]1C ZINC000667693658 488089153 /nfs/dbraw/zinc/08/91/53/488089153.db2.gz RKGCCSRCNHFMIX-GHMZBOCLSA-N 0 3 233.359 2.528 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@@H]1CCO[C@H](C)C1 ZINC000092328110 488089055 /nfs/dbraw/zinc/08/90/55/488089055.db2.gz SHHUZAYGCUIDJB-KBVBSXBZSA-N 0 3 238.331 2.510 20 0 BFADHN CCOC[C@H]1CCCN(Cc2cc(C)ccn2)C1 ZINC000683009452 488089056 /nfs/dbraw/zinc/08/90/56/488089056.db2.gz OLZGDFXLGKVDJJ-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN CCCn1nccc1CN1CC[C@H](C(C)C)C1 ZINC000667696578 488090146 /nfs/dbraw/zinc/09/01/46/488090146.db2.gz APXUBRBCXXYHAY-ZDUSSCGKSA-N 0 3 235.375 2.771 20 0 BFADHN C[C@H]1CCN(Cc2ccnn2CC2CC2)[C@H]1C ZINC000667693694 488090497 /nfs/dbraw/zinc/09/04/97/488090497.db2.gz SHIBCNDDNSYCLL-RYUDHWBXSA-N 0 3 233.359 2.523 20 0 BFADHN CC[C@H]1CCN(Cc2c(C3CC3)cnn2C)C1 ZINC000667697991 488091530 /nfs/dbraw/zinc/09/15/30/488091530.db2.gz UFDWGTFEWFWBLK-NSHDSACASA-N 0 3 233.359 2.529 20 0 BFADHN Cc1sccc1CN[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000384761792 488092891 /nfs/dbraw/zinc/09/28/91/488092891.db2.gz OEEHFGDDKXSNOC-KBVBSXBZSA-N 0 3 225.357 2.712 20 0 BFADHN COc1ccc(CN2CC[C@@H]3C[C@@H]3C2)cc1OC ZINC000668675447 488094456 /nfs/dbraw/zinc/09/44/56/488094456.db2.gz CFKWBJHQOTWCBQ-CHWSQXEVSA-N 0 3 247.338 2.546 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2C[C@H]2C(F)F)c1 ZINC000348154480 488094984 /nfs/dbraw/zinc/09/49/84/488094984.db2.gz WDZNBROCEXRWGY-GDPRMGEGSA-N 0 3 226.270 2.694 20 0 BFADHN Cc1cc(CN2CC[C@H]3C[C@H]3C2)ccc1F ZINC000668676026 488095563 /nfs/dbraw/zinc/09/55/63/488095563.db2.gz LJWQDRSLBOPHJP-STQMWFEESA-N 0 3 219.303 2.976 20 0 BFADHN COc1cc(CN2CC[C@H]3C[C@H]3C2)cc(OC)c1 ZINC000668675988 488095600 /nfs/dbraw/zinc/09/56/00/488095600.db2.gz KRQPWUSMRRPLJZ-STQMWFEESA-N 0 3 247.338 2.546 20 0 BFADHN CN(CCC1CC1)Cc1ccnn1CC1CC1 ZINC000667709050 488096010 /nfs/dbraw/zinc/09/60/10/488096010.db2.gz RSMOMKZJKOBAOG-UHFFFAOYSA-N 0 3 233.359 2.525 20 0 BFADHN COc1ccc(CN2CC[C@@H]3C[C@@H]3C2)c(OC)c1 ZINC000668676283 488097246 /nfs/dbraw/zinc/09/72/46/488097246.db2.gz PADBWUDWFHNYQS-DGCLKSJQSA-N 0 3 247.338 2.546 20 0 BFADHN Cn1ncc(C2CCC2)c1CN1CCCCC1 ZINC000667712688 488098780 /nfs/dbraw/zinc/09/87/80/488098780.db2.gz MUTCWBJYSNRREK-UHFFFAOYSA-N 0 3 233.359 2.674 20 0 BFADHN Cc1ccnc(CN2CCCC3(CC3)C2)c1 ZINC000683023972 488099335 /nfs/dbraw/zinc/09/93/35/488099335.db2.gz HYJGKTNXANCJKK-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN Cc1ccc2c(c1)CCN([C@H]1CCCOC1)C2 ZINC000683028430 488100620 /nfs/dbraw/zinc/10/06/20/488100620.db2.gz VKWPLWDPWVGAJI-HNNXBMFYSA-N 0 3 231.339 2.532 20 0 BFADHN COc1cc(C)c(CN2CC[C@@H]3C[C@@H]3C2)c(C)n1 ZINC000668677736 488101966 /nfs/dbraw/zinc/10/19/66/488101966.db2.gz WKGJDLDIJMAQSH-CHWSQXEVSA-N 0 3 246.354 2.549 20 0 BFADHN C1=C[C@H](N2CCC3(CCOC3)CC2)CCC1 ZINC000677723781 488102838 /nfs/dbraw/zinc/10/28/38/488102838.db2.gz AFHBJBVIKXXBGX-ZDUSSCGKSA-N 0 3 221.344 2.598 20 0 BFADHN C[C@H](NCc1cnns1)C1CCC(F)CC1 ZINC000661997810 488104050 /nfs/dbraw/zinc/10/40/50/488104050.db2.gz DCSMBIGQLCJUNM-IDKOKCKLSA-N 0 3 243.351 2.545 20 0 BFADHN COCC1(N(C)Cc2cc(C)ccc2C)CC1 ZINC000677728026 488104414 /nfs/dbraw/zinc/10/44/14/488104414.db2.gz NJPQBODJXPFHGL-UHFFFAOYSA-N 0 3 233.355 2.914 20 0 BFADHN C[C@H](c1ccccn1)N1CCCOC(C)(C)C1 ZINC000674250461 487536626 /nfs/dbraw/zinc/53/66/26/487536626.db2.gz ABOUADLINUVFEM-GFCCVEGCSA-N 0 3 234.343 2.644 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CO[C@H](C3CC3)C2)o1 ZINC000667761514 488110588 /nfs/dbraw/zinc/11/05/88/488110588.db2.gz VQNINUJBWZEZIR-JKOKRWQUSA-N 0 3 235.327 2.806 20 0 BFADHN C[C@@H]1C[C@@H]1CNC(C)(C)C(=O)Nc1ccccc1 ZINC000683109563 488111244 /nfs/dbraw/zinc/11/12/44/488111244.db2.gz KYPKHFLFVWKHSX-VXGBXAGGSA-N 0 3 246.354 2.649 20 0 BFADHN C[C@H](N[C@@H]1CO[C@@H](C2CC2)C1)c1ccccc1 ZINC000667763799 488110971 /nfs/dbraw/zinc/11/09/71/488110971.db2.gz JISJNTBIOFIFJE-TUKIKUTGSA-N 0 3 231.339 2.905 20 0 BFADHN Fc1ccc(CN2CC[C@H](C(F)F)C2)cc1 ZINC000677733691 488111422 /nfs/dbraw/zinc/11/14/22/488111422.db2.gz RNWBVZCIJLVZIF-JTQLQIEISA-N 0 3 229.245 2.913 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2N[C@@H]1CO[C@H](C2CC2)C1 ZINC000667769995 488115971 /nfs/dbraw/zinc/11/59/71/488115971.db2.gz LXBAFVGPQWRGDD-BPUTZDHNSA-N 0 3 243.350 2.831 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C3CCC3)C2)ncn1 ZINC000662042300 488120197 /nfs/dbraw/zinc/12/01/97/488120197.db2.gz DYPRJNPXJKVEIS-CQSZACIVSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@@H](C3CC3)C2)c(C)c1 ZINC000667792913 488122280 /nfs/dbraw/zinc/12/22/80/488122280.db2.gz MUCSTRWFLMSVCD-JKSUJKDBSA-N 0 3 245.366 2.961 20 0 BFADHN Cc1ccsc1CN[C@@H]1CO[C@@H](C2CC2)C1 ZINC000667816971 488124578 /nfs/dbraw/zinc/12/45/78/488124578.db2.gz ILZYTSRKJRKSHE-NWDGAFQWSA-N 0 3 237.368 2.714 20 0 BFADHN Cc1oc2ccccc2c1CNC1([C@H](C)O)CC1 ZINC000668697915 488126562 /nfs/dbraw/zinc/12/65/62/488126562.db2.gz ORVZDVXIGOBHLG-NSHDSACASA-N 0 3 245.322 2.744 20 0 BFADHN CCC[C@H](N[C@H](COC)C1CC1)c1ccccn1 ZINC000675817894 488128152 /nfs/dbraw/zinc/12/81/52/488128152.db2.gz YLRFRRXBEBOSGY-LSDHHAIUSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ccc(CNC2([C@@H](C)O)CC2)c(Cl)c1 ZINC000668699306 488129887 /nfs/dbraw/zinc/12/98/87/488129887.db2.gz AFLNLNQOWYLDLC-SNVBAGLBSA-N 0 3 239.746 2.651 20 0 BFADHN Cc1nn(C)cc1CNCc1cc(C)cc(C)c1 ZINC000168691641 487537990 /nfs/dbraw/zinc/53/79/90/487537990.db2.gz FYWQFIJTFMYYTO-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN CC[C@H](C)CCCCC(=O)NC[C@@H](C)N(C)C ZINC000680182134 487541940 /nfs/dbraw/zinc/54/19/40/487541940.db2.gz ARHZLLIIYBIUHB-QWHCGFSZSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@H](C)CC2)o1 ZINC000685561713 487543520 /nfs/dbraw/zinc/54/35/20/487543520.db2.gz PRQGIUXAMJASRG-SNVBAGLBSA-N 0 3 222.332 2.913 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@H](C)c1cnccn1 ZINC000658113710 487544515 /nfs/dbraw/zinc/54/45/15/487544515.db2.gz IXLDAEXBRSCZSS-MNOVXSKESA-N 0 3 221.348 2.952 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@@H](C)c1cnccn1 ZINC000658113712 487544578 /nfs/dbraw/zinc/54/45/78/487544578.db2.gz IXLDAEXBRSCZSS-QWRGUYRKSA-N 0 3 221.348 2.952 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C3CCCC3)C2)n1C ZINC000668244341 487546177 /nfs/dbraw/zinc/54/61/77/487546177.db2.gz YDNDIUOEPLIDPL-CQSZACIVSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1nc(C)c(CN2[C@H](C)CC[C@@H]2C)o1 ZINC000685566544 487548039 /nfs/dbraw/zinc/54/80/39/487548039.db2.gz ROQVOPFNPHPOKF-DTORHVGOSA-N 0 3 208.305 2.664 20 0 BFADHN Cc1nc(C)c(CN2CC[C@H](C(C)C)C2)o1 ZINC000685566780 487548750 /nfs/dbraw/zinc/54/87/50/487548750.db2.gz JFOMNQLFQSPBCL-LBPRGKRZSA-N 0 3 222.332 2.769 20 0 BFADHN Cc1cc(Cl)cc(CN(C)C2(CO)CC2)c1 ZINC000668797704 487554288 /nfs/dbraw/zinc/55/42/88/487554288.db2.gz GCNAXLBWYPKXNA-UHFFFAOYSA-N 0 3 239.746 2.605 20 0 BFADHN Cc1nc(C)c(CN2CCCC[C@@H](C)C2)o1 ZINC000685573384 487554555 /nfs/dbraw/zinc/55/45/55/487554555.db2.gz LDBPRAAVPMXCJR-SNVBAGLBSA-N 0 3 222.332 2.913 20 0 BFADHN CC1(C)CC[C@@H](NCc2ccncc2F)C1 ZINC000334650423 487532332 /nfs/dbraw/zinc/53/23/32/487532332.db2.gz BHSGJVYWNUDXNT-LLVKDONJSA-N 0 3 222.307 2.889 20 0 BFADHN Cc1ccc([C@H]2CCCN2[C@H]2CCCOC2)o1 ZINC000674303104 487556843 /nfs/dbraw/zinc/55/68/43/487556843.db2.gz ULWSMRNUSDBBNI-QWHCGFSZSA-N 0 3 235.327 2.904 20 0 BFADHN CC(C)[C@@H](N[C@H](C)c1cnccn1)C1CC1 ZINC000324122389 487532968 /nfs/dbraw/zinc/53/29/68/487532968.db2.gz NMJILVXEXXQOOE-ZWNOBZJWSA-N 0 3 219.332 2.562 20 0 BFADHN c1cc2c(s1)CCC[C@H]2NCc1cn[nH]c1 ZINC000061328522 487470704 /nfs/dbraw/zinc/47/07/04/487470704.db2.gz CELQJDBLNWHGSD-LLVKDONJSA-N 0 3 233.340 2.638 20 0 BFADHN Cc1c[nH]nc1CN(CCC1CC1)CC1CC1 ZINC000668748198 487476417 /nfs/dbraw/zinc/47/64/17/487476417.db2.gz SKZAVAYCWBOXDS-UHFFFAOYSA-N 0 3 233.359 2.730 20 0 BFADHN c1cnc2c(c1)CCC[C@@H]2NC1(C2CC2)CC1 ZINC000684960716 487475865 /nfs/dbraw/zinc/47/58/65/487475865.db2.gz KRDWZNVWXLDTRN-ZDUSSCGKSA-N 0 3 228.339 2.991 20 0 BFADHN Cc1ncc(CN(CCC2CC2)CC2CC2)n1C ZINC000668748608 487477287 /nfs/dbraw/zinc/47/72/87/487477287.db2.gz YACKQSSZTQXDDH-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1cccc(CN[C@@H]2CC[C@H](C)SC2)n1 ZINC000655696541 487484827 /nfs/dbraw/zinc/48/48/27/487484827.db2.gz GTZRNOKTDLIPFH-WCQYABFASA-N 0 3 236.384 2.764 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nccnc1C)C1CC1 ZINC000323995168 487485446 /nfs/dbraw/zinc/48/54/46/487485446.db2.gz KZVRDBUXOMVYKH-CMPLNLGQSA-N 0 3 219.332 2.624 20 0 BFADHN FC(F)(F)[C@H]1CCC[C@H](NCc2ccno2)C1 ZINC000231882265 487486305 /nfs/dbraw/zinc/48/63/05/487486305.db2.gz JKGAFFBSPMEMFD-IUCAKERBSA-N 0 3 248.248 2.885 20 0 BFADHN C[C@@H]1CN(Cc2ccc(O)cc2)C[C@@H](C)S1 ZINC000679396092 487486705 /nfs/dbraw/zinc/48/67/05/487486705.db2.gz PGQISEDKCWLLHI-GHMZBOCLSA-N 0 3 237.368 2.718 20 0 BFADHN CC(C)C(N[C@@H](C)c1ccn(C)n1)C(C)C ZINC000666111380 487487278 /nfs/dbraw/zinc/48/72/78/487487278.db2.gz HYWKLUQQOYRYBS-NSHDSACASA-N 0 3 223.364 2.751 20 0 BFADHN Cc1ccc(CNCCOCCC(C)C)cn1 ZINC000062052967 487491540 /nfs/dbraw/zinc/49/15/40/487491540.db2.gz KSKKYCDCWHLJLP-UHFFFAOYSA-N 0 3 236.359 2.542 20 0 BFADHN Oc1ccc(CNCCOC2CCCCC2)cc1 ZINC000111635609 487499477 /nfs/dbraw/zinc/49/94/77/487499477.db2.gz WPIPPZPZLJYFSK-UHFFFAOYSA-N 0 3 249.354 2.831 20 0 BFADHN Fc1cccc([C@@H]2CCN2C[C@H]2CCOC2)c1 ZINC000674011556 487499921 /nfs/dbraw/zinc/49/99/21/487499921.db2.gz VQXALDXRZBWRLE-RISCZKNCSA-N 0 3 235.302 2.609 20 0 BFADHN C[C@@H](Cc1ccsc1)N1CC=CCC1 ZINC000680001316 487513635 /nfs/dbraw/zinc/51/36/35/487513635.db2.gz URDKAEYJMDMZBU-NSHDSACASA-N 0 3 207.342 2.941 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1cc2cnccc2o1 ZINC000657980775 487508226 /nfs/dbraw/zinc/50/82/26/487508226.db2.gz ORSGAYXDVBQQNV-WDEREUQCSA-N 0 3 248.326 2.903 20 0 BFADHN Cc1noc(C)c1CNC[C@@H](C)c1ccncc1 ZINC000674071008 487510302 /nfs/dbraw/zinc/51/03/02/487510302.db2.gz UHWAZGCCPOHIAV-SNVBAGLBSA-N 0 3 245.326 2.580 20 0 BFADHN C[C@H](c1ccccc1)[N@H+](C)Cc1ncccc1[O-] ZINC000685408149 487516471 /nfs/dbraw/zinc/51/64/71/487516471.db2.gz BWESFIIQCSZNFX-GFCCVEGCSA-N 0 3 242.322 2.980 20 0 BFADHN C[C@H](c1ccccc1)[N@@H+](C)Cc1ncccc1[O-] ZINC000685408149 487516473 /nfs/dbraw/zinc/51/64/73/487516473.db2.gz BWESFIIQCSZNFX-GFCCVEGCSA-N 0 3 242.322 2.980 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC2(CCC2)CC1 ZINC000685443997 487524683 /nfs/dbraw/zinc/52/46/83/487524683.db2.gz MUWWZDLKDLXIAH-UHFFFAOYSA-N 0 3 233.359 2.793 20 0 BFADHN FC1(F)CC[C@@H](CNCc2cscn2)C1 ZINC000281745536 487559642 /nfs/dbraw/zinc/55/96/42/487559642.db2.gz RTZSLCVDXNHPJV-MRVPVSSYSA-N 0 3 232.299 2.668 20 0 BFADHN CC(C)(C)c1cccc(CN2CC[C@H](O)C2)c1 ZINC000214585545 487606536 /nfs/dbraw/zinc/60/65/36/487606536.db2.gz RGXRQSOYXVLFDR-AWEZNQCLSA-N 0 3 233.355 2.551 20 0 BFADHN Oc1ccc(CN2C[C@@H]3CCCC[C@@H]32)cc1 ZINC000668299323 487634504 /nfs/dbraw/zinc/63/45/04/487634504.db2.gz ALKRAKSKSYVIPQ-JSGCOSHPSA-N 0 3 217.312 2.767 20 0 BFADHN CC(C)=Cc1nc(C2C[C@H]3CC[C@@H](C2)N3C)no1 ZINC000680778193 487646877 /nfs/dbraw/zinc/64/68/77/487646877.db2.gz QHLTVQWAOXKLSQ-YOGCLGLASA-N 0 3 247.342 2.833 20 0 BFADHN C[C@H]1C[C@H]1c1nc(C2C[C@H]3CC[C@@H](C2)N3C)no1 ZINC000680778991 487647307 /nfs/dbraw/zinc/64/73/07/487647307.db2.gz YVZKJONZZLOCMI-IYJVRESMSA-N 0 3 247.342 2.533 20 0 BFADHN CC[C@@H](O)CN1CC(C)(C)[C@@H]1c1ccccc1 ZINC000675497384 487741271 /nfs/dbraw/zinc/74/12/71/487741271.db2.gz DCRUKKITWHXWRC-KGLIPLIRSA-N 0 3 233.355 2.840 20 0 BFADHN CC1(C)CN([C@H]2CCc3ccccc32)CCO1 ZINC000681673163 487837498 /nfs/dbraw/zinc/83/74/98/487837498.db2.gz WGTVFHKPCMPNEY-AWEZNQCLSA-N 0 3 231.339 2.785 20 0 BFADHN C[C@@H](CF)NC1CC(Oc2ccccc2)C1 ZINC000668174761 487864019 /nfs/dbraw/zinc/86/40/19/487864019.db2.gz XCDRNXLKVXLEDZ-ZBOXLXRLSA-N 0 3 223.291 2.544 20 0 BFADHN CC1=CCN(Cc2ccc(O)cc2)CC1 ZINC000682404209 487948202 /nfs/dbraw/zinc/94/82/02/487948202.db2.gz CJVMHHHRRFKMEL-UHFFFAOYSA-N 0 3 203.285 2.544 20 0 BFADHN C[C@@H](CN[C@@H](C)c1cc2n(n1)CCC2)C1CC1 ZINC000666085354 488065133 /nfs/dbraw/zinc/06/51/33/488065133.db2.gz HFHORIKWLXHNSZ-QWRGUYRKSA-N 0 3 233.359 2.526 20 0 BFADHN Cc1cc(CN2CC[C@@H]3C[C@@H]3C2)ccc1F ZINC000668676023 488095621 /nfs/dbraw/zinc/09/56/21/488095621.db2.gz LJWQDRSLBOPHJP-CHWSQXEVSA-N 0 3 219.303 2.976 20 0 BFADHN CCc1ccc(CN2CC[C@H]3C[C@H]3C2)o1 ZINC000668677641 488101986 /nfs/dbraw/zinc/10/19/86/488101986.db2.gz UOJHIWMMHIRCCQ-QWRGUYRKSA-N 0 3 205.301 2.684 20 0 BFADHN COc1cc(C)c(CN2CC[C@H]3C[C@H]3C2)c(C)n1 ZINC000668677739 488102049 /nfs/dbraw/zinc/10/20/49/488102049.db2.gz WKGJDLDIJMAQSH-STQMWFEESA-N 0 3 246.354 2.549 20 0 BFADHN c1nc(CNC[C@H]2CCc3ccccc3C2)co1 ZINC000683201932 488129644 /nfs/dbraw/zinc/12/96/44/488129644.db2.gz BFVYPUXVXDGALI-LBPRGKRZSA-N 0 3 242.322 2.569 20 0 BFADHN COc1cccc(CNCC(C)(C)C)c1F ZINC000160463414 488136112 /nfs/dbraw/zinc/13/61/12/488136112.db2.gz NATWRBSVSRBHDJ-UHFFFAOYSA-N 0 3 225.307 2.970 20 0 BFADHN Cc1nc(CN[C@H](C)[C@H]2C[C@@H]2C)cs1 ZINC000321756119 488152002 /nfs/dbraw/zinc/15/20/02/488152002.db2.gz BUKMKRAPMYINNA-RNSXUZJQSA-N 0 3 210.346 2.586 20 0 BFADHN c1nc(CN[C@H]2CCCSCC2)cs1 ZINC000657861995 488154833 /nfs/dbraw/zinc/15/48/33/488154833.db2.gz XSHUTQNKTWFOIB-VIFPVBQESA-N 0 3 228.386 2.518 20 0 BFADHN CCOc1ncccc1CN[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000667928765 488160719 /nfs/dbraw/zinc/16/07/19/488160719.db2.gz ILRPOJFNQWLYKU-KWCYVHTRSA-N 0 3 246.354 2.759 20 0 BFADHN c1oc2ccccc2c1CN1CCC2(COC2)C1 ZINC000668720257 488162359 /nfs/dbraw/zinc/16/23/59/488162359.db2.gz ORWAHEHGWCSWMT-UHFFFAOYSA-N 0 3 243.306 2.655 20 0 BFADHN CC[C@H](NCc1csc(C)n1)[C@H]1C[C@@H]1C ZINC000321971631 488163001 /nfs/dbraw/zinc/16/30/01/488163001.db2.gz AZXNQZUZCAAEQZ-UWJYBYFXSA-N 0 3 224.373 2.976 20 0 BFADHN CC(C)c1cc(CN[C@H](C)[C@H]2C[C@@H]2C)on1 ZINC000666091111 488164689 /nfs/dbraw/zinc/16/46/89/488164689.db2.gz ZKRUWNAAZSPHPW-UMNHJUIQSA-N 0 3 222.332 2.932 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1cc2cnccc2o1 ZINC000657887579 488166179 /nfs/dbraw/zinc/16/61/79/488166179.db2.gz DGGMRMBDAISLRB-ZYHUDNBSSA-N 0 3 248.326 2.903 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccnc2ccccc12 ZINC000683476676 488172004 /nfs/dbraw/zinc/17/20/04/488172004.db2.gz MJISXKDRUCEIAL-CABCVRRESA-N 0 3 242.322 2.502 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)C(C)(C)C2)n1C ZINC000667987990 488176889 /nfs/dbraw/zinc/17/68/89/488176889.db2.gz XWXRNOXFOWJRSL-LLVKDONJSA-N 0 3 235.375 2.597 20 0 BFADHN CCC[C@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1cccnc1 ZINC000273465161 488179460 /nfs/dbraw/zinc/17/94/60/488179460.db2.gz DOXSQVIPPXZJHB-BARDWOONSA-N 0 3 246.354 2.832 20 0 BFADHN CCc1ccccc1CCN(C)Cc1cn[nH]c1 ZINC000683521497 488183700 /nfs/dbraw/zinc/18/37/00/488183700.db2.gz IKVOGOLLJOHVNN-UHFFFAOYSA-N 0 3 243.354 2.647 20 0 BFADHN CCCn1nccc1CN1CC[C@H]2CCC[C@H]21 ZINC000668012638 488185640 /nfs/dbraw/zinc/18/56/40/488185640.db2.gz GXEUDMAKYUHDJF-TZMCWYRMSA-N 0 3 233.359 2.668 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](C(C)C)C2)n1C ZINC000668030614 488191336 /nfs/dbraw/zinc/19/13/36/488191336.db2.gz XENNTWULSKQTDV-CYBMUJFWSA-N 0 3 235.375 2.597 20 0 BFADHN CCC(CC)NC(=O)C[C@H]1NCc2ccccc21 ZINC000659253868 488192269 /nfs/dbraw/zinc/19/22/69/488192269.db2.gz WWOVGJNNNHRPAC-CQSZACIVSA-N 0 3 246.354 2.526 20 0 BFADHN C[C@H](c1cccnc1)N1CCC2(CCOC2)CC1 ZINC000677718954 488193170 /nfs/dbraw/zinc/19/31/70/488193170.db2.gz KPUVYQKPTOCLTH-CYBMUJFWSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@H]1CCCCN1Cc1n[nH]c2ccccc21 ZINC000678121108 488200710 /nfs/dbraw/zinc/20/07/10/488200710.db2.gz PORGCETYYYLWJQ-NSHDSACASA-N 0 3 229.327 2.937 20 0 BFADHN C[C@H]1CCCCN1Cc1[nH]nc2ccccc21 ZINC000678121108 488200711 /nfs/dbraw/zinc/20/07/11/488200711.db2.gz PORGCETYYYLWJQ-NSHDSACASA-N 0 3 229.327 2.937 20 0 BFADHN Fc1cnccc1CNCCC1CC(F)(F)C1 ZINC000453588897 488203084 /nfs/dbraw/zinc/20/30/84/488203084.db2.gz ZLDMTUVQOJDRTB-UHFFFAOYSA-N 0 3 244.260 2.746 20 0 BFADHN CCCN(Cc1ccc(N(C)C)nc1)C1CC1 ZINC000678138369 488204391 /nfs/dbraw/zinc/20/43/91/488204391.db2.gz QOJJITVROWATQI-UHFFFAOYSA-N 0 3 233.359 2.522 20 0 BFADHN C[C@@H]1CCCC[C@H]1N(C)Cc1cnc2n1CCC2 ZINC000668115643 488205813 /nfs/dbraw/zinc/20/58/13/488205813.db2.gz DIFPZZIFJZVBLL-TZMCWYRMSA-N 0 3 247.386 2.840 20 0 BFADHN CCc1ccc(CN(CC)C[C@@H]2CCOC2)o1 ZINC000678150740 488207285 /nfs/dbraw/zinc/20/72/85/488207285.db2.gz GFYVPAAMUHDSJW-LBPRGKRZSA-N 0 3 237.343 2.700 20 0 BFADHN Cc1cc(CNC[C@H](C)c2ccccc2)ncn1 ZINC000683707659 488210970 /nfs/dbraw/zinc/21/09/70/488210970.db2.gz QTMVVBMMYFNKJQ-LBPRGKRZSA-N 0 3 241.338 2.678 20 0 BFADHN Cc1ccc(F)c(CN2CCC23CCOCC3)c1 ZINC000683719787 488212671 /nfs/dbraw/zinc/21/26/71/488212671.db2.gz AXEDTEGWQRIQJH-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN C[C@@H]1CCN(Cc2cn[nH]c2)[C@@H]2CCCC[C@H]12 ZINC000678179537 488214296 /nfs/dbraw/zinc/21/42/96/488214296.db2.gz LVLJYSKVFKHWKE-MRVWCRGKSA-N 0 3 233.359 2.810 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@@H]2CCCc3cccnc32)O1 ZINC000398233010 488215660 /nfs/dbraw/zinc/21/56/60/488215660.db2.gz UKFYIHUROTVPSU-KWCYVHTRSA-N 0 3 246.354 2.616 20 0 BFADHN CC(C)[C@H]1N(Cc2cnn3c2CCC3)CC1(C)C ZINC000668190624 488218789 /nfs/dbraw/zinc/21/87/89/488218789.db2.gz JCZWODAICIUJTP-CQSZACIVSA-N 0 3 247.386 2.696 20 0 BFADHN Cc1ccccc1[C@@H]1CCN(Cc2cn[nH]c2)C1 ZINC000678225675 488220951 /nfs/dbraw/zinc/22/09/51/488220951.db2.gz PNWHIQMVULTIKJ-CQSZACIVSA-N 0 3 241.338 2.708 20 0 BFADHN CCCn1cc(CN2CCC[C@H]2C2CC2)cn1 ZINC000678239590 488224187 /nfs/dbraw/zinc/22/41/87/488224187.db2.gz JHJHUQXXYKQBRV-AWEZNQCLSA-N 0 3 233.359 2.668 20 0 BFADHN OCCCN(C/C=C\c1ccc(F)cc1)C1CC1 ZINC000678263883 488225651 /nfs/dbraw/zinc/22/56/51/488225651.db2.gz PNSKDJUNZHLVOQ-IWQZZHSRSA-N 0 3 249.329 2.686 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2cn[nH]c2)CC1 ZINC000678266399 488226500 /nfs/dbraw/zinc/22/65/00/488226500.db2.gz FANSFGAQHDQVKV-ZDUSSCGKSA-N 0 3 221.348 2.668 20 0 BFADHN CCN(CCOC)Cc1ccc2cnccc2c1 ZINC000683825241 488227259 /nfs/dbraw/zinc/22/72/59/488227259.db2.gz BHJLVYRSUALESK-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cc(OC)ns1 ZINC000399092721 488227941 /nfs/dbraw/zinc/22/79/41/488227941.db2.gz XMQNDDVISOIDLS-BDAKNGLRSA-N 0 3 228.361 2.676 20 0 BFADHN c1ccc2c(c1)CN(C[C@H]1CCSC1)CCO2 ZINC000683826958 488228296 /nfs/dbraw/zinc/22/82/96/488228296.db2.gz AOBUQKFFAPHSKE-GFCCVEGCSA-N 0 3 249.379 2.634 20 0 BFADHN CC1CCC(CN(C)Cc2cn[nH]c2)CC1 ZINC000678266107 488230193 /nfs/dbraw/zinc/23/01/93/488230193.db2.gz NBUJFEQQZYCPSB-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN c1ccc2c(c1)[nH]nc2CN1CCC2(CCC2)C1 ZINC000678268451 488230845 /nfs/dbraw/zinc/23/08/45/488230845.db2.gz BHKKCHRYGKJRRU-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN c1ccc2c(c1)n[nH]c2CN1CCC2(CCC2)C1 ZINC000678268451 488230846 /nfs/dbraw/zinc/23/08/46/488230846.db2.gz BHKKCHRYGKJRRU-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN COc1ccc(CN2CCCOC[C@H]2C)cc1C ZINC000678275401 488231994 /nfs/dbraw/zinc/23/19/94/488231994.db2.gz XJLFYEPQYPIIFZ-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN CCC[C@@]1(C)CCCN(Cc2cn[nH]c2)C1 ZINC000678270427 488232320 /nfs/dbraw/zinc/23/23/20/488232320.db2.gz AQSUMNZRIQRESQ-ZDUSSCGKSA-N 0 3 221.348 2.812 20 0 BFADHN COc1cccc(CN2CCC[C@@H]2C)c1F ZINC000192952341 488232814 /nfs/dbraw/zinc/23/28/14/488232814.db2.gz JVEDAZSRTYPCFW-JTQLQIEISA-N 0 3 223.291 2.819 20 0 BFADHN CCc1ccc(CN2CCCOC(C)(C)C2)o1 ZINC000678271846 488232805 /nfs/dbraw/zinc/23/28/05/488232805.db2.gz KWUJTNYXURXQAK-UHFFFAOYSA-N 0 3 237.343 2.843 20 0 BFADHN CCOc1cccc(CN2CCCOC[C@H]2C)c1 ZINC000678272099 488233401 /nfs/dbraw/zinc/23/34/01/488233401.db2.gz BNKOQVISJOYGRG-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1cn(C)cn1 ZINC000683898274 488235425 /nfs/dbraw/zinc/23/54/25/488235425.db2.gz LIWUYONDTBVKFX-DYEKYZERSA-N 0 3 233.359 2.573 20 0 BFADHN CC[C@]1(C)CCN(Cc2ccc(N(C)C)nc2)C1 ZINC000678285434 488236851 /nfs/dbraw/zinc/23/68/51/488236851.db2.gz CMNVXUCOZIGBHD-OAHLLOKOSA-N 0 3 247.386 2.770 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@@H](C)C2)o1 ZINC000683896957 488238794 /nfs/dbraw/zinc/23/87/94/488238794.db2.gz FVLPZIAMKZOQIX-SECBINFHSA-N 0 3 208.305 2.523 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1nn(C)c2ccccc12 ZINC000678336220 488242163 /nfs/dbraw/zinc/24/21/63/488242163.db2.gz BGFMFJIDKLWUAY-TXEJJXNPSA-N 0 3 243.354 2.946 20 0 BFADHN CN(CC1=CCCOC1)[C@H]1C[C@H]1c1ccccc1 ZINC000678339371 488242664 /nfs/dbraw/zinc/24/26/64/488242664.db2.gz MNAVRIMIXMXTAF-HOTGVXAUSA-N 0 3 243.350 2.821 20 0 BFADHN CO[C@@H](CNCc1cc2ccccc2[nH]1)C(C)C ZINC000683929739 488246693 /nfs/dbraw/zinc/24/66/93/488246693.db2.gz PMLLEUAZNPFPKK-HNNXBMFYSA-N 0 3 246.354 2.929 20 0 BFADHN CC(C)OCCNCc1cc2ccccc2[nH]1 ZINC000683930333 488246731 /nfs/dbraw/zinc/24/67/31/488246731.db2.gz IPSGJJLPAUOHGU-UHFFFAOYSA-N 0 3 232.327 2.683 20 0 BFADHN C[C@@H](NC[C@@H](C)c1ccncc1)c1nccs1 ZINC000678544295 488265474 /nfs/dbraw/zinc/26/54/74/488265474.db2.gz YNYXRSVLKQDJRV-GHMZBOCLSA-N 0 3 247.367 2.992 20 0 BFADHN Cc1ccnc(CN2CCCC[C@H]2C[C@@H](C)O)c1 ZINC000684105722 488266512 /nfs/dbraw/zinc/26/65/12/488266512.db2.gz BVBUPACMFOPZEN-HIFRSBDPSA-N 0 3 248.370 2.515 20 0 BFADHN Cc1cc(CN[C@H](c2cccnc2)C(C)C)n[nH]1 ZINC000678689818 488276433 /nfs/dbraw/zinc/27/64/33/488276433.db2.gz MUOVQSVZIHMLCA-AWEZNQCLSA-N 0 3 244.342 2.600 20 0 BFADHN CCc1nn(C)cc1CN1CCC(C)(C)CC1 ZINC000678823220 488287650 /nfs/dbraw/zinc/28/76/50/488287650.db2.gz NSVCRYSPMFZWEP-UHFFFAOYSA-N 0 3 235.375 2.605 20 0 BFADHN CN(CC(C)(C)c1ccccc1)[C@H]1CCOC1 ZINC000678822696 488288026 /nfs/dbraw/zinc/28/80/26/488288026.db2.gz FUPDBFKHKGEOPI-AWEZNQCLSA-N 0 3 233.355 2.685 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2nc3c(s2)CCC3)C1 ZINC000678832398 488289681 /nfs/dbraw/zinc/28/96/81/488289681.db2.gz CJAWWXHIEVAVCX-ZJUUUORDSA-N 0 3 236.384 2.862 20 0 BFADHN C[C@@H]1CCN(Cc2nc3c(s2)CCC3)[C@@H]1C ZINC000678841175 488290165 /nfs/dbraw/zinc/29/01/65/488290165.db2.gz QWACGSAMOSPVAC-NXEZZACHSA-N 0 3 236.384 2.862 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cnc2ccccn12 ZINC000678850970 488290637 /nfs/dbraw/zinc/29/06/37/488290637.db2.gz KMARYTRJCSWROJ-CYBMUJFWSA-N 0 3 229.327 2.565 20 0 BFADHN C[C@H](CNCc1cn(C)cn1)C1CCCCC1 ZINC000673600897 488296286 /nfs/dbraw/zinc/29/62/86/488296286.db2.gz IKOYAGBWMPYLOZ-GFCCVEGCSA-N 0 3 235.375 2.726 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H](NCc2cn(C)cn2)C1 ZINC000673601406 488297035 /nfs/dbraw/zinc/29/70/35/488297035.db2.gz RKNHAWDWUYYPLA-CHWSQXEVSA-N 0 3 235.375 2.725 20 0 BFADHN O=c1[nH]c2ccccc2cc1CN1CC=CCC1 ZINC000679323036 488336542 /nfs/dbraw/zinc/33/65/42/488336542.db2.gz RJMNCLIFRWPJNJ-UHFFFAOYSA-N 0 3 240.306 2.702 20 0 BFADHN CC(=O)Nc1ccccc1CN1CC(C(C)C)C1 ZINC000684407749 488307533 /nfs/dbraw/zinc/30/75/33/488307533.db2.gz CINCFZYQRFQIAV-UHFFFAOYSA-N 0 3 246.354 2.733 20 0 BFADHN CC1(CCNCc2cn3cccc(F)c3n2)CC1 ZINC000684410185 488308249 /nfs/dbraw/zinc/30/82/49/488308249.db2.gz XVHDWNGBZOTQHC-UHFFFAOYSA-N 0 3 247.317 2.753 20 0 BFADHN C(=C\c1ccccc1)\CN1CC([C@@H]2CCOC2)C1 ZINC000684416291 488309992 /nfs/dbraw/zinc/30/99/92/488309992.db2.gz YKFJTQNAQCCIFI-IJVDHGTGSA-N 0 3 243.350 2.668 20 0 BFADHN Cc1cc(C)c(CN(C)Cc2cnc[nH]2)c(C)c1 ZINC000684616234 488331962 /nfs/dbraw/zinc/33/19/62/488331962.db2.gz HBADFMFQFOAWBD-UHFFFAOYSA-N 0 3 243.354 2.967 20 0 BFADHN CN(Cc1cnc[nH]1)Cc1cccc2cc[nH]c21 ZINC000684620728 488334284 /nfs/dbraw/zinc/33/42/84/488334284.db2.gz XXJCPWFCRKPNIL-UHFFFAOYSA-N 0 3 240.310 2.523 20 0 BFADHN Cc1ccnc(CN2CC(C)=C[C@H](C)C2)c1 ZINC000684669624 488337456 /nfs/dbraw/zinc/33/74/56/488337456.db2.gz YUROYHQFMFKHLH-LBPRGKRZSA-N 0 3 216.328 2.788 20 0 BFADHN Fc1cccc([C@H]2C[C@H](NCc3cn[nH]c3)C2)c1 ZINC000684766878 488341025 /nfs/dbraw/zinc/34/10/25/488341025.db2.gz NVJKLXKZVPIRIY-MQMHXKEQSA-N 0 3 245.301 2.585 20 0 BFADHN COC[C@@H]1CCCN1Cc1cc(F)ccc1C ZINC000679336058 488341293 /nfs/dbraw/zinc/34/12/93/488341293.db2.gz VSPFYGZIFOBTRS-AWEZNQCLSA-N 0 3 237.318 2.745 20 0 BFADHN CC1=CCCN(Cc2ccc(O)cc2)C1 ZINC000684800669 488343419 /nfs/dbraw/zinc/34/34/19/488343419.db2.gz YILJIXXZXVVVBJ-UHFFFAOYSA-N 0 3 203.285 2.544 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2ccc(O)cc2)C1 ZINC000679394111 488350196 /nfs/dbraw/zinc/35/01/96/488350196.db2.gz ZOZBZTWKQKJDCG-CQSZACIVSA-N 0 3 249.354 2.641 20 0 BFADHN c1ccc([C@@H](NC2CSC2)[C@H]2CCCO2)cc1 ZINC000679625155 488360294 /nfs/dbraw/zinc/36/02/94/488360294.db2.gz CWRQREHQDCHXKP-ZIAGYGMSSA-N 0 3 249.379 2.612 20 0 BFADHN CCN(Cc1ccc(Cl)s1)C[C@H](C)CO ZINC000120489712 490062569 /nfs/dbraw/zinc/06/25/69/490062569.db2.gz GWDGIUHLGSRAAT-VIFPVBQESA-N 0 3 247.791 2.852 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1csc(N(C)C)n1 ZINC000122327942 490133738 /nfs/dbraw/zinc/13/37/38/490133738.db2.gz XEEKTBGMPDDHRS-ZJUUUORDSA-N 0 3 241.404 2.733 20 0 BFADHN C[C@]1(O)CCCN(Cc2coc3ccccc23)C1 ZINC000129441863 490142742 /nfs/dbraw/zinc/14/27/42/490142742.db2.gz QVKXPPRRCBDPFI-HNNXBMFYSA-N 0 3 245.322 2.780 20 0 BFADHN CC(C)N1C[C@@H](C)OC2(CCCCC2)C1 ZINC000653908350 490157301 /nfs/dbraw/zinc/15/73/01/490157301.db2.gz KQZHGTBRMJDETN-GFCCVEGCSA-N 0 3 211.349 2.818 20 0 BFADHN CO[C@@H](CN(C)[C@H](C)c1cccnc1)C1CCC1 ZINC000660142026 490178244 /nfs/dbraw/zinc/17/82/44/490178244.db2.gz YLBHTGLGUUBFQO-DOMZBBRYSA-N 0 3 248.370 2.890 20 0 BFADHN CSc1ccc([C@@H](C)NCc2cnc[nH]2)cc1 ZINC000054764506 490178387 /nfs/dbraw/zinc/17/83/87/490178387.db2.gz SHXHOGGFPWBRLH-SNVBAGLBSA-N 0 3 247.367 2.982 20 0 BFADHN CCCCCN(CC(=O)N(C)C(C)C)C(C)C ZINC000051709010 490194519 /nfs/dbraw/zinc/19/45/19/490194519.db2.gz OLMPQANGYSIIKO-UHFFFAOYSA-N 0 3 242.407 2.754 20 0 BFADHN COc1ccc(CN[C@H](C)c2cn[nH]c2)c(C)c1 ZINC000564046240 490195827 /nfs/dbraw/zinc/19/58/27/490195827.db2.gz VAPMCILASGJFJQ-LLVKDONJSA-N 0 3 245.326 2.578 20 0 BFADHN CC1CC(N[C@@H]2CCCc3cccnc32)C1 ZINC000123357567 490224794 /nfs/dbraw/zinc/22/47/94/490224794.db2.gz XOBHWBLOYAMESK-KGPNIALWSA-N 0 3 216.328 2.847 20 0 BFADHN COc1c(C)cccc1CN[C@@H]1C[C@H](C)O[C@@H]1C ZINC000655812474 490258750 /nfs/dbraw/zinc/25/87/50/490258750.db2.gz PRUFNZZNZAETQS-OUCADQQQSA-N 0 3 249.354 2.659 20 0 BFADHN c1cncc(CN[C@H](C2CC2)[C@@H]2CCCCO2)c1 ZINC000655812093 490259537 /nfs/dbraw/zinc/25/95/37/490259537.db2.gz ZCFTVXOYUIMRNN-LSDHHAIUSA-N 0 3 246.354 2.519 20 0 BFADHN CC(C)(C)O[C@H]1C[C@H](NCc2ccccn2)C1 ZINC000655844618 490261247 /nfs/dbraw/zinc/26/12/47/490261247.db2.gz WQMNKXBDZQTVMD-JOCQHMNTSA-N 0 3 234.343 2.517 20 0 BFADHN OC1(CN[C@H]2CCCc3occc32)CCCC1 ZINC000124057940 490282269 /nfs/dbraw/zinc/28/22/69/490282269.db2.gz GVQUXZGLUOAIQZ-LBPRGKRZSA-N 0 3 235.327 2.552 20 0 BFADHN CCc1ccc(CN(CCCO)C2CC2)s1 ZINC000404292825 490334420 /nfs/dbraw/zinc/33/44/20/490334420.db2.gz ARPOYBZQMVYAHA-UHFFFAOYSA-N 0 3 239.384 2.657 20 0 BFADHN COC[C@H](C)NCc1cccc(C(F)F)c1 ZINC000124736482 490401914 /nfs/dbraw/zinc/40/19/14/490401914.db2.gz USWAUPHEMQTJOP-VIFPVBQESA-N 0 3 229.270 2.749 20 0 BFADHN C[C@H](N[C@@H]1CCCC1(C)C)c1ncc[nH]1 ZINC000124770390 490402770 /nfs/dbraw/zinc/40/27/70/490402770.db2.gz GPLPWTANGMXEBF-VHSXEESVSA-N 0 3 207.321 2.639 20 0 BFADHN Clc1ccc2nc(CNC3CC=CC3)cn2c1 ZINC000125251235 490422899 /nfs/dbraw/zinc/42/28/99/490422899.db2.gz KNZPTXJIIJWKDB-UHFFFAOYSA-N 0 3 247.729 2.796 20 0 BFADHN CN(Cc1ccc(Cl)cc1)C[C@@H](O)C1CC1 ZINC000079768095 490462085 /nfs/dbraw/zinc/46/20/85/490462085.db2.gz CSNWBIMLGALODC-CYBMUJFWSA-N 0 3 239.746 2.543 20 0 BFADHN CC(C)N(Cc1ccc(N(C)C)nc1)C1CC1 ZINC000121353413 490476664 /nfs/dbraw/zinc/47/66/64/490476664.db2.gz AYYZXXINYXGCGW-UHFFFAOYSA-N 0 3 233.359 2.520 20 0 BFADHN Cc1nc(CN[C@H](C)C2CCC2)cs1 ZINC000126562119 490505432 /nfs/dbraw/zinc/50/54/32/490505432.db2.gz KAKRZPDFJXCDGL-MRVPVSSYSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1nc(CN[C@@H](C(C)C)C2CC2)cs1 ZINC000126628239 490510577 /nfs/dbraw/zinc/51/05/77/490510577.db2.gz UKCPNSNUDNDRCH-LBPRGKRZSA-N 0 3 224.373 2.976 20 0 BFADHN CC(C)C(CN1CCc2nccnc2C1)C(C)C ZINC000668734582 490562641 /nfs/dbraw/zinc/56/26/41/490562641.db2.gz LOWQRZZNWGABOG-UHFFFAOYSA-N 0 3 247.386 2.763 20 0 BFADHN C[C@H](N[C@H]1CCS[C@@H]1C)c1ccccn1 ZINC000220508666 490603540 /nfs/dbraw/zinc/60/35/40/490603540.db2.gz UKSFOXQIPXXMMY-UMNHJUIQSA-N 0 3 222.357 2.626 20 0 BFADHN Fc1ccc([C@H](N[C@H]2CCOC2)C2CC2)cc1 ZINC000131177436 490622551 /nfs/dbraw/zinc/62/25/51/490622551.db2.gz PFCHOTNMJHNTQP-UONOGXRCSA-N 0 3 235.302 2.655 20 0 BFADHN Fc1cccc(CNC2CC=CC2)c1F ZINC000131181458 490622567 /nfs/dbraw/zinc/62/25/67/490622567.db2.gz TXKUFIHCYPOBLX-UHFFFAOYSA-N 0 3 209.239 2.773 20 0 BFADHN Clc1cscc1CN[C@@H]1CCSC1 ZINC000307280907 490656112 /nfs/dbraw/zinc/65/61/12/490656112.db2.gz GQIMICYVTDBCIJ-MRVPVSSYSA-N 0 3 233.789 2.997 20 0 BFADHN c1cc(CNCC2CCCCCC2)no1 ZINC000087198189 491066769 /nfs/dbraw/zinc/06/67/69/491066769.db2.gz LYALRCKMDVDEAZ-UHFFFAOYSA-N 0 3 208.305 2.735 20 0 BFADHN c1ccc2c(c1)CSC[C@@H]2N[C@@H]1CCCOC1 ZINC000109545817 491078898 /nfs/dbraw/zinc/07/88/98/491078898.db2.gz VYQSTVZCGIALNE-OCCSQVGLSA-N 0 3 249.379 2.743 20 0 BFADHN C[C@@H](CCc1ccccc1)N(C)Cc1cn[nH]c1 ZINC000085824977 491053495 /nfs/dbraw/zinc/05/34/95/491053495.db2.gz YCJFEASQTWEYGB-ZDUSSCGKSA-N 0 3 243.354 2.863 20 0 BFADHN C1=CCC(NCc2cc(-c3ccccc3)n[nH]2)C1 ZINC000136342753 491056156 /nfs/dbraw/zinc/05/61/56/491056156.db2.gz JCSLCDXICDEYMZ-UHFFFAOYSA-N 0 3 239.322 2.885 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)O)c1cc(F)ccc1F ZINC000087817556 491092563 /nfs/dbraw/zinc/09/25/63/491092563.db2.gz MCOAVGXWQGLKGF-RKDXNWHRSA-N 0 3 243.297 2.775 20 0 BFADHN Cc1nc([C@H](C)NCCCCCO)c(C)s1 ZINC000228734804 491103108 /nfs/dbraw/zinc/10/31/08/491103108.db2.gz LBHZXOAJQCRCIE-VIFPVBQESA-N 0 3 242.388 2.573 20 0 BFADHN CCOc1ccc(CN(C(C)C)C2CC2)nc1 ZINC000088493604 491103306 /nfs/dbraw/zinc/10/33/06/491103306.db2.gz BXASZDNMCITEOR-UHFFFAOYSA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@H](C)CCNCc1ncc(C)s1 ZINC000394438176 491111220 /nfs/dbraw/zinc/11/12/20/491111220.db2.gz BTPWGIJCXBDWRK-VIFPVBQESA-N 0 3 212.362 2.977 20 0 BFADHN C[C@@H](NCCOCC1CCCC1)c1cccnc1 ZINC000313298779 491122856 /nfs/dbraw/zinc/12/28/56/491122856.db2.gz MWSALLFZTNHSDS-CYBMUJFWSA-N 0 3 248.370 2.939 20 0 BFADHN C[C@@H](N[C@@H]1CCc2ccccc2C1)c1ncc[nH]1 ZINC000139053823 491130232 /nfs/dbraw/zinc/13/02/32/491130232.db2.gz MVFAHOXNLFWVDD-BXUZGUMPSA-N 0 3 241.338 2.618 20 0 BFADHN C[C@@H](NCCCc1ccccc1)c1ncc[nH]1 ZINC000139082649 491130338 /nfs/dbraw/zinc/13/03/38/491130338.db2.gz XNDYQUGPHCMOKH-GFCCVEGCSA-N 0 3 229.327 2.693 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1nccs1 ZINC000230650400 491130513 /nfs/dbraw/zinc/13/05/13/491130513.db2.gz KYWKKULMSDJKGV-ZJUUUORDSA-N 0 3 210.346 2.669 20 0 BFADHN CC(C)[C@@H]1CCC[C@H](NCc2ccon2)C1 ZINC000230995901 491131182 /nfs/dbraw/zinc/13/11/82/491131182.db2.gz CEYIIYAQBSKDGP-NEPJUHHUSA-N 0 3 222.332 2.979 20 0 BFADHN CCCCOCCN[C@H]1CCCc2cccnc21 ZINC000091661259 491131294 /nfs/dbraw/zinc/13/12/94/491131294.db2.gz MEFCHXZYDQCMIW-AWEZNQCLSA-N 0 3 248.370 2.865 20 0 BFADHN CCOC1CCN(Cc2ccccc2OC)CC1 ZINC000091678922 491131501 /nfs/dbraw/zinc/13/15/01/491131501.db2.gz AFESCRYXINYLTJ-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H]2CCSC2)s1 ZINC000306528108 491133437 /nfs/dbraw/zinc/13/34/37/491133437.db2.gz ZPKHDINTQUVMFM-APPZFPTMSA-N 0 3 228.386 2.608 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CCSC2)s1 ZINC000306528109 491133456 /nfs/dbraw/zinc/13/34/56/491133456.db2.gz ZPKHDINTQUVMFM-CBAPKCEASA-N 0 3 228.386 2.608 20 0 BFADHN COCC[C@@H](C)N[C@H](C)c1nc(C)sc1C ZINC000081707744 491136233 /nfs/dbraw/zinc/13/62/33/491136233.db2.gz JQOWYJYSOXNXHT-RKDXNWHRSA-N 0 3 242.388 2.836 20 0 BFADHN C[C@H](O)C(C)(C)NCc1cscc1Cl ZINC000308613418 491137399 /nfs/dbraw/zinc/13/73/99/491137399.db2.gz RFIVRHYBLVSBEZ-ZETCQYMHSA-N 0 3 233.764 2.651 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CSC[C@H]2C)s1 ZINC000308977936 491141749 /nfs/dbraw/zinc/14/17/49/491141749.db2.gz HESCFVZZNIKSQK-WEDXCCLWSA-N 0 3 242.413 2.854 20 0 BFADHN CCOCCCN1CCc2ccsc2C1 ZINC000132895077 491141786 /nfs/dbraw/zinc/14/17/86/491141786.db2.gz HLMYTHWNIGPWIB-UHFFFAOYSA-N 0 3 225.357 2.533 20 0 BFADHN CC(C)c1cc(CNC[C@H]2C[C@H]2C)on1 ZINC000308990736 491141984 /nfs/dbraw/zinc/14/19/84/491141984.db2.gz LCHRSUGSRQSRGE-NXEZZACHSA-N 0 3 208.305 2.544 20 0 BFADHN C[C@H](NCc1cscc1Cl)[C@H]1CCOC1 ZINC000309151282 491145653 /nfs/dbraw/zinc/14/56/53/491145653.db2.gz WJQRUOHOEYBPON-IUCAKERBSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@@H](CO)CN[C@H](C)c1c(F)cccc1Cl ZINC000309282064 491147074 /nfs/dbraw/zinc/14/70/74/491147074.db2.gz GZTAUPDMVNTWTK-RKDXNWHRSA-N 0 3 245.725 2.758 20 0 BFADHN C[C@@H](NC[C@H](C)CO)c1c(F)cccc1Cl ZINC000309282057 491147115 /nfs/dbraw/zinc/14/71/15/491147115.db2.gz GZTAUPDMVNTWTK-DTWKUNHWSA-N 0 3 245.725 2.758 20 0 BFADHN CCOC[C@H](C)NCc1scnc1C1CC1 ZINC000309480205 491149493 /nfs/dbraw/zinc/14/94/93/491149493.db2.gz SWBFLBCAWMRPPY-VIFPVBQESA-N 0 3 240.372 2.535 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NC[C@H]1CCSC1 ZINC000224442378 491155023 /nfs/dbraw/zinc/15/50/23/491155023.db2.gz PJOHFHGZCBDUKE-LDYMZIIASA-N 0 3 240.372 2.695 20 0 BFADHN C[C@H](C[C@@H]1CCOC1)N[C@@H](C)c1ccco1 ZINC000309763180 491156000 /nfs/dbraw/zinc/15/60/00/491156000.db2.gz MYLYYAYKOUYIEU-WOPDTQHZSA-N 0 3 223.316 2.745 20 0 BFADHN C[C@H](C[C@H]1CCOC1)N[C@@H](C)c1ccco1 ZINC000309763177 491156032 /nfs/dbraw/zinc/15/60/32/491156032.db2.gz MYLYYAYKOUYIEU-GRYCIOLGSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1cn[nH]c1CNC(C)(C)CC(F)(F)F ZINC000309891797 491157936 /nfs/dbraw/zinc/15/79/36/491157936.db2.gz CWESNVLGLAETLX-UHFFFAOYSA-N 0 3 235.253 2.539 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCN(c2ccc(F)cc2)CC1 ZINC000488310891 533719686 /nfs/dbraw/zinc/71/96/86/533719686.db2.gz GPBSUQHDPSCBCL-CHWSQXEVSA-N 0 3 248.345 2.604 20 0 BFADHN C[C@H](NC/C=C\c1ccncc1)c1cccnc1 ZINC000486992454 533789416 /nfs/dbraw/zinc/78/94/16/533789416.db2.gz ZPEUGECNSGXAQK-LSYFEZSPSA-N 0 3 239.322 2.841 20 0 BFADHN CCCN(CC)Cc1ccnn1C1CCC1 ZINC000891401051 582677916 /nfs/dbraw/zinc/67/79/16/582677916.db2.gz YSLOTBXYMKUCPH-UHFFFAOYSA-N 0 3 221.348 2.840 20 0 BFADHN CCN(CC)C[C@@H]1C[C@]1(C)Br ZINC000891438878 582685805 /nfs/dbraw/zinc/68/58/05/582685805.db2.gz VLSQKSCFQCTKBX-IUCAKERBSA-N 0 3 220.154 2.502 20 0 BFADHN CCN(C[C@@H](C)O)[C@@H](C)c1ccc(F)c(F)c1 ZINC000352213502 535765171 /nfs/dbraw/zinc/76/51/71/535765171.db2.gz VJALVKHOCVTYTJ-ZJUUUORDSA-N 0 3 243.297 2.729 20 0 BFADHN CCN(C[C@@H](C)O)[C@@H](C)c1nc2ccccc2o1 ZINC000352220983 535765646 /nfs/dbraw/zinc/76/56/46/535765646.db2.gz KQTDWHODFPEHIS-MNOVXSKESA-N 0 3 248.326 2.592 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](C)c1nnc2ccccn21 ZINC000348070401 535823797 /nfs/dbraw/zinc/82/37/97/535823797.db2.gz HZKZPFSUYNRZBG-UTUOFQBUSA-N 0 3 244.342 2.569 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1cn2ccnc2s1 ZINC000891642645 582704998 /nfs/dbraw/zinc/70/49/98/582704998.db2.gz ATYQMICHTQGCCB-SECBINFHSA-N 0 3 235.356 2.626 20 0 BFADHN CC[C@H]1CCCN1Cc1ccc(OC)o1 ZINC000891779760 582719121 /nfs/dbraw/zinc/71/91/21/582719121.db2.gz OAVZCQMSZISEDZ-JTQLQIEISA-N 0 3 209.289 2.663 20 0 BFADHN C[C@H]1CCCN1Cc1ccnn1C1CCC1 ZINC000892018673 582762248 /nfs/dbraw/zinc/76/22/48/582762248.db2.gz DHJXFYDSVDZURJ-NSHDSACASA-N 0 3 219.332 2.592 20 0 BFADHN CCc1nc(C)c(CN[C@H](C)C(C)C)o1 ZINC000291943455 517863956 /nfs/dbraw/zinc/86/39/56/517863956.db2.gz QASNEQRPUBOJBU-SECBINFHSA-N 0 3 210.321 2.680 20 0 BFADHN F[C@]1(c2ccccc2)C[C@@H]1NCC[C@H]1CCOC1 ZINC000571791585 518196039 /nfs/dbraw/zinc/19/60/39/518196039.db2.gz FBIBTQIFJAZPKW-QEJZJMRPSA-N 0 3 249.329 2.640 20 0 BFADHN Cc1ccc2[nH]c(CNC[C@@H]3C[C@H]3C)nc2c1 ZINC000488434677 534502041 /nfs/dbraw/zinc/50/20/41/534502041.db2.gz JTWFMCDWPAJATL-MNOVXSKESA-N 0 3 229.327 2.617 20 0 BFADHN Cc1ccc2nc(CNC[C@@H]3C[C@H]3C)[nH]c2c1 ZINC000488434677 534502046 /nfs/dbraw/zinc/50/20/46/534502046.db2.gz JTWFMCDWPAJATL-MNOVXSKESA-N 0 3 229.327 2.617 20 0 BFADHN Cc1occc1CN(C)C[C@H]1CCSC1 ZINC000494943151 534566673 /nfs/dbraw/zinc/56/66/73/534566673.db2.gz UHSZPQLQAAQHPA-LLVKDONJSA-N 0 3 225.357 2.773 20 0 BFADHN CCn1cc(CNCc2ccc(C)cc2)c(C)n1 ZINC000002536073 523384935 /nfs/dbraw/zinc/38/49/35/523384935.db2.gz PYHUKCDYSFOWFC-UHFFFAOYSA-N 0 3 243.354 2.810 20 0 BFADHN C[C@@]1(Br)C[C@@H]1CNCc1ccco1 ZINC000892430063 582885180 /nfs/dbraw/zinc/88/51/80/582885180.db2.gz ZRGMYCVPMJEQSG-PSASIEDQSA-N 0 3 244.132 2.543 20 0 BFADHN CC(C)CCN(CCO)Cc1cccc(F)c1 ZINC000494226890 527720336 /nfs/dbraw/zinc/72/03/36/527720336.db2.gz KUYUAEYWRKJRKK-UHFFFAOYSA-N 0 3 239.334 2.666 20 0 BFADHN CC(C)[C@H]1CN(CCCc2ccccc2)CCO1 ZINC000481498866 527853965 /nfs/dbraw/zinc/85/39/65/527853965.db2.gz SMOZQEHFVXENED-MRXNPFEDSA-N 0 3 247.382 2.976 20 0 BFADHN CCN1C[C@@H](C)[C@@H](Nc2cc(C)ccc2F)C1 ZINC000482727850 527932984 /nfs/dbraw/zinc/93/29/84/527932984.db2.gz ZZBUYQGSVLFHHE-RISCZKNCSA-N 0 3 236.334 2.886 20 0 BFADHN CCN1C[C@@H](C)[C@H](Nc2ccc(C)cc2F)C1 ZINC000482759827 527934859 /nfs/dbraw/zinc/93/48/59/527934859.db2.gz KGCUXVSYETWVBC-BXUZGUMPSA-N 0 3 236.334 2.886 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CCCC[C@H](C)C1 ZINC000481565854 527991558 /nfs/dbraw/zinc/99/15/58/527991558.db2.gz IFFFIQRYQXKEQO-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN COC[C@H]1CCCN(Cc2cccc(OC)c2)C1 ZINC000113509563 536528535 /nfs/dbraw/zinc/52/85/35/536528535.db2.gz DVLXYVIJHOOURH-AWEZNQCLSA-N 0 3 249.354 2.554 20 0 BFADHN CCCCCNC(=O)CN1CCCCC[C@@H]1C ZINC000512736414 528193523 /nfs/dbraw/zinc/19/35/23/528193523.db2.gz UYTJGHZOABGORW-ZDUSSCGKSA-N 0 3 240.391 2.557 20 0 BFADHN CCCCN[C@@H](c1nc[nH]n1)C1CCCCC1 ZINC000494876842 528554851 /nfs/dbraw/zinc/55/48/51/528554851.db2.gz IMFRIKODGMXCKA-GFCCVEGCSA-N 0 3 236.363 2.816 20 0 BFADHN CCOc1cccc(CN2C[C@H](C)C[C@@H](C)C2)n1 ZINC000505342707 528646040 /nfs/dbraw/zinc/64/60/40/528646040.db2.gz IBNWVTMWENBLOV-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN CCOCCCN(C)Cc1ccc(F)cc1C ZINC000487967242 528658297 /nfs/dbraw/zinc/65/82/97/528658297.db2.gz YOWUQUIGDULZJT-UHFFFAOYSA-N 0 3 239.334 2.993 20 0 BFADHN CC(C)[C@@H]1CCN1C/C=C\c1ccncc1 ZINC000495035419 528704342 /nfs/dbraw/zinc/70/43/42/528704342.db2.gz IJPIOPYAHGZVME-NQHOJNORSA-N 0 3 216.328 2.825 20 0 BFADHN CCC(CC)CN(CC)Cc1cc(C)n(C)n1 ZINC000487369165 528743791 /nfs/dbraw/zinc/74/37/91/528743791.db2.gz ORLNGLOYWOMLCV-UHFFFAOYSA-N 0 3 237.391 2.987 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cccs2)CCN1C ZINC000488019035 528749167 /nfs/dbraw/zinc/74/91/67/528749167.db2.gz VUSQRKNARIGOKO-ZDUSSCGKSA-N 0 3 238.400 2.520 20 0 BFADHN CCCC[C@@H](CC)CN1CCO[C@H](C)C1 ZINC000510611969 528775764 /nfs/dbraw/zinc/77/57/64/528775764.db2.gz CTACHUHYKCNTGT-CHWSQXEVSA-N 0 3 213.365 2.924 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cccc2c1OCO2 ZINC000507251263 528959657 /nfs/dbraw/zinc/95/96/57/528959657.db2.gz GPMXOFJVBRBWOY-NSHDSACASA-N 0 3 235.327 2.892 20 0 BFADHN CCCC[C@H](COC)NCc1cncc(F)c1 ZINC000494593410 528967372 /nfs/dbraw/zinc/96/73/72/528967372.db2.gz XGMTWMQETNRIJN-CYBMUJFWSA-N 0 3 240.322 2.516 20 0 BFADHN CCOC[C@H](C)NC/C=C\c1ccccc1OC ZINC000494213604 528975911 /nfs/dbraw/zinc/97/59/11/528975911.db2.gz UWLWWVNQYBMBPR-JWJUJFCLSA-N 0 3 249.354 2.723 20 0 BFADHN CC[C@H]1CCCC[C@@H]1CNCc1ncc[nH]1 ZINC000401737384 529204870 /nfs/dbraw/zinc/20/48/70/529204870.db2.gz HIOCAAWQYMFRME-NWDGAFQWSA-N 0 3 221.348 2.716 20 0 BFADHN CC[C@H]1CCCC[C@H]1CNCc1cocn1 ZINC000401738524 529209321 /nfs/dbraw/zinc/20/93/21/529209321.db2.gz IBPVKYUQAPDVFI-RYUDHWBXSA-N 0 3 222.332 2.981 20 0 BFADHN CC[C@@H](O)CN[C@H]1CCCOc2ccc(C)cc21 ZINC000421877185 529233162 /nfs/dbraw/zinc/23/31/62/529233162.db2.gz LKWHGHATCIQVMS-OCCSQVGLSA-N 0 3 249.354 2.569 20 0 BFADHN CC[C@H]1CN(C/C=C/c2ccncc2)CCS1 ZINC000495000728 529286284 /nfs/dbraw/zinc/28/62/84/529286284.db2.gz YEEJXORKGWYDMX-XGACYXMMSA-N 0 3 248.395 2.922 20 0 BFADHN CC[C@@H](C)CNC1(C(=O)Nc2ccccc2)CC1 ZINC000495182552 529326869 /nfs/dbraw/zinc/32/68/69/529326869.db2.gz VAOTZAXHFQBFCI-GFCCVEGCSA-N 0 3 246.354 2.793 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)N(C)C1CCCCC1 ZINC000173294331 529340573 /nfs/dbraw/zinc/34/05/73/529340573.db2.gz KXFASCVJFJNBOK-GFCCVEGCSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@@H](C)N(C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000501840139 529344674 /nfs/dbraw/zinc/34/46/74/529344674.db2.gz AQYMWTPZELAFNU-SNVBAGLBSA-N 0 3 223.364 2.938 20 0 BFADHN CCCN1CCN([C@H](C)c2ccsc2)CC1 ZINC000185438282 534929448 /nfs/dbraw/zinc/92/94/48/534929448.db2.gz DSRLESOUWUFEHM-GFCCVEGCSA-N 0 3 238.400 2.837 20 0 BFADHN COC[C@@H](C)NC/C=C\c1ccc(F)cc1F ZINC000350099314 536548882 /nfs/dbraw/zinc/54/88/82/536548882.db2.gz NCYQWIJEFCGWDU-UMBAGQNISA-N 0 3 241.281 2.603 20 0 BFADHN COC[C@@H](C)NC/C=C/c1ccc(F)cc1F ZINC000350099313 536549872 /nfs/dbraw/zinc/54/98/72/536549872.db2.gz NCYQWIJEFCGWDU-HMDXOVGESA-N 0 3 241.281 2.603 20 0 BFADHN CC1(C)SC[C@@H]1NCc1cc2cccnc2o1 ZINC000336217532 535012063 /nfs/dbraw/zinc/01/20/63/535012063.db2.gz GNYUQMOTJZLFEO-NSHDSACASA-N 0 3 248.351 2.811 20 0 BFADHN CC1(C)SC[C@@H]1NCc1ccc2c(n1)CCC2 ZINC000334565994 535012629 /nfs/dbraw/zinc/01/26/29/535012629.db2.gz WUIOQHDBXOWZSB-ZDUSSCGKSA-N 0 3 248.395 2.554 20 0 BFADHN CCCCCN(CCC1CCCC1)CC(N)=O ZINC000183024599 535022871 /nfs/dbraw/zinc/02/28/71/535022871.db2.gz FSFGCKQPNILOAM-UHFFFAOYSA-N 0 3 240.391 2.544 20 0 BFADHN CCCCCNC(=O)CN1[C@@H](C)CCC[C@@H]1C ZINC000066665415 535052653 /nfs/dbraw/zinc/05/26/53/535052653.db2.gz IPRXYSZCLFBTOZ-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN CCC(CC)NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000183707370 535072444 /nfs/dbraw/zinc/07/24/44/535072444.db2.gz ZJSZKNFNAXNJTF-TUAOUCFPSA-N 0 3 240.391 2.553 20 0 BFADHN CCC(CC)NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000183707408 535073211 /nfs/dbraw/zinc/07/32/11/535073211.db2.gz ZJSZKNFNAXNJTF-SRVKXCTJSA-N 0 3 240.391 2.553 20 0 BFADHN CC(C)[C@H](O)CN[C@@H]1CCCOc2ccccc21 ZINC000178346075 535158295 /nfs/dbraw/zinc/15/82/95/535158295.db2.gz KQRSSGSTJHJPLV-ZIAGYGMSSA-N 0 3 249.354 2.507 20 0 BFADHN CC/C=C/CCN[C@H](CO)c1cccc(F)c1 ZINC000342642542 535233256 /nfs/dbraw/zinc/23/32/56/535233256.db2.gz QRTILYACUASDLX-RDFMZFSFSA-N 0 3 237.318 2.805 20 0 BFADHN CCCN(CCC)C(=O)CN(C(C)C)C(C)C ZINC000180447478 535316819 /nfs/dbraw/zinc/31/68/19/535316819.db2.gz IOOICKBTFRPTAH-UHFFFAOYSA-N 0 3 242.407 2.754 20 0 BFADHN CCN1CCC(C(=O)c2ccc(C)cc2)CC1 ZINC000077392061 535443382 /nfs/dbraw/zinc/44/33/82/535443382.db2.gz MBUHFICAAAULQN-UHFFFAOYSA-N 0 3 231.339 2.910 20 0 BFADHN CC[C@@H](C)N(C)C(=O)c1cccc(CN(C)C)c1 ZINC000172731479 535547494 /nfs/dbraw/zinc/54/74/94/535547494.db2.gz OPQUELUZDXIVGY-GFCCVEGCSA-N 0 3 248.370 2.619 20 0 BFADHN CC[C@@H](C)N(C)CC(=O)N(CC(C)C)C(C)C ZINC000170916295 535548349 /nfs/dbraw/zinc/54/83/49/535548349.db2.gz ORNSIWREHIETGW-CYBMUJFWSA-N 0 3 242.407 2.610 20 0 BFADHN CCOC1CC(CN(C)Cc2ccoc2)C1 ZINC000351854895 535752382 /nfs/dbraw/zinc/75/23/82/535752382.db2.gz HQGZNGAOFNTNOO-UHFFFAOYSA-N 0 3 223.316 2.527 20 0 BFADHN CCN(CCc1nccs1)CC[C@H](C)F ZINC000351751155 535760092 /nfs/dbraw/zinc/76/00/92/535760092.db2.gz GSOAKCMEWVHNNA-JTQLQIEISA-N 0 3 230.352 2.756 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CCc1ccccc1 ZINC000356039600 535842215 /nfs/dbraw/zinc/84/22/15/535842215.db2.gz DPCHBNJCTREHDA-DZGCQCFKSA-N 0 3 233.355 2.728 20 0 BFADHN CCn1nccc1CN(C)[C@@H](C)C1(C)CC1 ZINC000180534160 535863070 /nfs/dbraw/zinc/86/30/70/535863070.db2.gz BQBHSNYNZZQKKH-NSHDSACASA-N 0 3 221.348 2.523 20 0 BFADHN CCc1nocc1CN(CC1CC1)CC1CC1 ZINC000351621711 535904483 /nfs/dbraw/zinc/90/44/83/535904483.db2.gz ZURBDYYXKXCKPY-UHFFFAOYSA-N 0 3 234.343 2.859 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1C[C@@H](C)[C@@H](O)C1 ZINC000351828041 536060048 /nfs/dbraw/zinc/06/00/48/536060048.db2.gz NTRRJDOABYCPLH-HONMWMINSA-N 0 3 237.318 2.589 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1cn2ccc(C)cc2n1 ZINC000348115680 536100683 /nfs/dbraw/zinc/10/06/83/536100683.db2.gz UTORJFUFJWGKHY-GXTWGEPZSA-N 0 3 243.354 2.921 20 0 BFADHN CCc1ccc(CN2C[C@@H]3[C@H](C2)C3(C)C)cn1 ZINC000347845749 536179810 /nfs/dbraw/zinc/17/98/10/536179810.db2.gz DEXNVQVJRXRXET-OKILXGFUSA-N 0 3 230.355 2.732 20 0 BFADHN CC[C@@H]1COC[C@H]1N[C@@H](C)c1cnc(C)s1 ZINC000850539617 582927618 /nfs/dbraw/zinc/92/76/18/582927618.db2.gz GFBRCJNRYWAFTF-JMJZKYOTSA-N 0 3 240.372 2.527 20 0 BFADHN COC(=O)[C@H](CC(C)C)N1CCC[C@H](C)CC1 ZINC000351851908 536651541 /nfs/dbraw/zinc/65/15/41/536651541.db2.gz BBHXUTYOGDMFBE-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN COCc1ccc(CN[C@@H]2C[C@H]2C(F)F)cc1 ZINC000342228768 536779618 /nfs/dbraw/zinc/77/96/18/536779618.db2.gz ZPONYDIZOAZSHQ-VXGBXAGGSA-N 0 3 241.281 2.576 20 0 BFADHN COc1ccc2c(c1)C[C@H](N[C@H](C)CF)CC2 ZINC000342261851 536992279 /nfs/dbraw/zinc/99/22/79/536992279.db2.gz XUKWJDSANAHXHR-ZWNOBZJWSA-N 0 3 237.318 2.500 20 0 BFADHN COc1ccc(CN[C@H]2CCC[C@H]2C)o1 ZINC000892945540 583014756 /nfs/dbraw/zinc/01/47/56/583014756.db2.gz BBEIIIOSGLKTED-KOLCDFICSA-N 0 3 209.289 2.566 20 0 BFADHN COc1ccc(CNCC2(C(C)C)CC2)o1 ZINC000893096155 583048600 /nfs/dbraw/zinc/04/86/00/583048600.db2.gz DFMRRUUTDMGQLV-UHFFFAOYSA-N 0 3 223.316 2.814 20 0 BFADHN CCc1onc(C)c1CN[C@H]1CCC(F)(F)C1 ZINC000893153786 583058326 /nfs/dbraw/zinc/05/83/26/583058326.db2.gz XWUBARWGJHXTCM-VIFPVBQESA-N 0 3 244.285 2.823 20 0 BFADHN CS[C@@H](C)C[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC000893181448 583065278 /nfs/dbraw/zinc/06/52/78/583065278.db2.gz LKNZONLADUIHCX-QMMMGPOBSA-N 0 3 245.775 2.887 20 0 BFADHN Nc1cc(N2CCC(F)(F)CC2)c(Cl)cn1 ZINC000893632690 583178151 /nfs/dbraw/zinc/17/81/51/583178151.db2.gz ZUQLWXYAIJYLHX-UHFFFAOYSA-N 0 3 247.676 2.553 20 0 BFADHN Cc1ncoc1CN1CCC[C@@H]1C(C)(C)C ZINC000893995257 583267616 /nfs/dbraw/zinc/26/76/16/583267616.db2.gz JEQKSKBIDCSOTO-GFCCVEGCSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1c(Cl)cccc1CN1C[C@@H](O)C[C@H]1C ZINC000877467092 583315899 /nfs/dbraw/zinc/31/58/99/583315899.db2.gz ABBNBWLAILHRTI-SKDRFNHKSA-N 0 3 239.746 2.604 20 0 BFADHN Cc1ncoc1CN[C@@H]1CCC[C@H](C)[C@H]1C ZINC000894149777 583319297 /nfs/dbraw/zinc/31/92/97/583319297.db2.gz OPJCYPPTCLAVGI-HOSYDEDBSA-N 0 3 222.332 2.897 20 0 BFADHN CC[C@@H]1CN(CCc2cccs2)C[C@H](C)O1 ZINC000111274105 588738385 /nfs/dbraw/zinc/73/83/85/588738385.db2.gz UACMIMMZKSYTHW-NWDGAFQWSA-N 0 3 239.384 2.790 20 0 BFADHN CC(C)CC[C@H](C)Nc1ccnc(CO)c1 ZINC000858362278 582459817 /nfs/dbraw/zinc/45/98/17/582459817.db2.gz CGPKGTGQHRUPBG-NSHDSACASA-N 0 3 222.332 2.811 20 0 BFADHN CC[C@H]1CCC[C@@H]1Nc1ccnc(CO)c1 ZINC000858443149 582460971 /nfs/dbraw/zinc/46/09/71/582460971.db2.gz PWMXGIFOBXXXQG-GWCFXTLKSA-N 0 3 220.316 2.565 20 0 BFADHN CCOc1cccc(CN[C@@]2(CC)CCOC2)c1 ZINC000393484948 582661844 /nfs/dbraw/zinc/66/18/44/582661844.db2.gz PYQIVKVOFIZUKJ-HNNXBMFYSA-N 0 3 249.354 2.744 20 0 BFADHN CCCN(CC)Cc1cccc2[nH]c(=O)oc21 ZINC000891398999 582677687 /nfs/dbraw/zinc/67/76/87/582677687.db2.gz HRCXLHRVKBHDIN-UHFFFAOYSA-N 0 3 234.299 2.765 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2C[C@@H](C)O[C@@H]2C)o1 ZINC000296866353 582681853 /nfs/dbraw/zinc/68/18/53/582681853.db2.gz ZGXVVYRHUSLZTD-WRWGMCAJSA-N 0 3 223.316 2.805 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cn(C)nc2C2CC2)C1 ZINC000891448721 582687878 /nfs/dbraw/zinc/68/78/78/582687878.db2.gz CBESKIXCYWNGGO-RYUDHWBXSA-N 0 3 247.386 2.775 20 0 BFADHN COc1ccc(CN2CCCC[C@@H]2C)o1 ZINC000891457504 582690964 /nfs/dbraw/zinc/69/09/64/582690964.db2.gz RLGLNYONSZSQQN-JTQLQIEISA-N 0 3 209.289 2.663 20 0 BFADHN CN(Cc1cccc2c1OCC2)[C@H]1CCSC1 ZINC000891603569 582700874 /nfs/dbraw/zinc/70/08/74/582700874.db2.gz YDRLVYLWXUREEC-ZDUSSCGKSA-N 0 3 249.379 2.559 20 0 BFADHN CC(C)[C@@H](C)N(C)Cc1cn(C)nc1C1CC1 ZINC000891604977 582700941 /nfs/dbraw/zinc/70/09/41/582700941.db2.gz VEAFRLJMCKOVTA-LLVKDONJSA-N 0 3 235.375 2.774 20 0 BFADHN CCN(C[C@@H]1C[C@]1(C)Br)C1CC1 ZINC000891608795 582701565 /nfs/dbraw/zinc/70/15/65/582701565.db2.gz DKEQTFOECMBVKU-WPRPVWTQSA-N 0 3 232.165 2.644 20 0 BFADHN C[C@@H]1CCN(Cc2nccc3c2CCCC3)C1 ZINC000891797428 582722911 /nfs/dbraw/zinc/72/29/11/582722911.db2.gz IWZSUJAIXLBMPF-GFCCVEGCSA-N 0 3 230.355 2.802 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1c2c(nn1C)CCC2 ZINC000891863052 582731483 /nfs/dbraw/zinc/73/14/83/582731483.db2.gz SXAKRFGGRQMBCF-NWDGAFQWSA-N 0 3 247.386 2.529 20 0 BFADHN C[C@H]1CCCN(Cc2c3c(nn2C)CCC3)[C@H]1C ZINC000891863053 582731559 /nfs/dbraw/zinc/73/15/59/582731559.db2.gz SXAKRFGGRQMBCF-RYUDHWBXSA-N 0 3 247.386 2.529 20 0 BFADHN c1cn(C2CC2)c(CN2CCC[C@H]2C2CC2)n1 ZINC000891933235 582739910 /nfs/dbraw/zinc/73/99/10/582739910.db2.gz NNSNATOEAFLGRE-ZDUSSCGKSA-N 0 3 231.343 2.592 20 0 BFADHN c1cc(CN2CCC[C@H]2C2CC2)n(C2CCC2)n1 ZINC000891933879 582740816 /nfs/dbraw/zinc/74/08/16/582740816.db2.gz WJIZZAXKLAPLEC-HNNXBMFYSA-N 0 3 245.370 2.983 20 0 BFADHN C[C@@H]1CCCN1Cc1ccnn1C1CCC1 ZINC000892018672 582762598 /nfs/dbraw/zinc/76/25/98/582762598.db2.gz DHJXFYDSVDZURJ-LLVKDONJSA-N 0 3 219.332 2.592 20 0 BFADHN Cn1ncc(CN2CCC(C)(C)C2)c1C1CC1 ZINC000892022033 582763682 /nfs/dbraw/zinc/76/36/82/582763682.db2.gz RSUCXXVJMUNQRU-UHFFFAOYSA-N 0 3 233.359 2.529 20 0 BFADHN Cn1cc(CN2CCC(C)(C)C2)c(C2CC2)n1 ZINC000892021229 582763873 /nfs/dbraw/zinc/76/38/73/582763873.db2.gz DURUGCWOGHOAAG-UHFFFAOYSA-N 0 3 233.359 2.529 20 0 BFADHN CC1(C)CCN1Cc1nccc2c1CCCC2 ZINC000892057597 582776839 /nfs/dbraw/zinc/77/68/39/582776839.db2.gz IMHGONKENBHQFO-UHFFFAOYSA-N 0 3 230.355 2.945 20 0 BFADHN CCn1cc(CN2CC[C@H](C)[C@H](C)C2)c(C)n1 ZINC000892066941 582783045 /nfs/dbraw/zinc/78/30/45/582783045.db2.gz ZZVSHZIJMTYKPU-NWDGAFQWSA-N 0 3 235.375 2.689 20 0 BFADHN C[C@H]1CCN(Cc2cn(C)nc2C2CC2)C[C@H]1C ZINC000892066581 582783616 /nfs/dbraw/zinc/78/36/16/582783616.db2.gz WOURFOGGGHINJZ-NWDGAFQWSA-N 0 3 247.386 2.775 20 0 BFADHN Cc1cc(F)ncc1CN1C[C@H](C)[C@H](C)C1 ZINC000892068643 582784103 /nfs/dbraw/zinc/78/41/03/582784103.db2.gz RNFVABQXKJFSNM-PHIMTYICSA-N 0 3 222.307 2.617 20 0 BFADHN COc1ccc(CN2CCCOC[C@H]2C)c(C)c1 ZINC000892075163 582786297 /nfs/dbraw/zinc/78/62/97/582786297.db2.gz FDPUUCAUKUWFJU-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN CC1=CCN(C[C@@H]2C[C@]2(C)Br)CC1 ZINC000892069935 582786344 /nfs/dbraw/zinc/78/63/44/582786344.db2.gz QPNXWYVANIYCOR-QWRGUYRKSA-N 0 3 244.176 2.812 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@@H]2C)cnc1F ZINC000892104907 582792821 /nfs/dbraw/zinc/79/28/21/582792821.db2.gz UDNIMCSJBXJNRX-KOLCDFICSA-N 0 3 222.307 2.759 20 0 BFADHN C[C@@H]1CCN(Cc2cnn(CC3CCC3)c2)[C@H]1C ZINC000892215431 582808374 /nfs/dbraw/zinc/80/83/74/582808374.db2.gz XAKWMFYHSOQURH-OLZOCXBDSA-N 0 3 247.386 2.914 20 0 BFADHN C[N@H+](CCC1CC1)Cc1ccc([O-])c(F)c1 ZINC000892234887 582810767 /nfs/dbraw/zinc/81/07/67/582810767.db2.gz JSBMAZPSVLUMBQ-UHFFFAOYSA-N 0 3 223.291 2.763 20 0 BFADHN C[N@@H+](CCC1CC1)Cc1ccc([O-])c(F)c1 ZINC000892234887 582810769 /nfs/dbraw/zinc/81/07/69/582810769.db2.gz JSBMAZPSVLUMBQ-UHFFFAOYSA-N 0 3 223.291 2.763 20 0 BFADHN CN(CCC1CC1)Cc1cnn(CC2CCC2)c1 ZINC000892236363 582810843 /nfs/dbraw/zinc/81/08/43/582810843.db2.gz SJFOAALUKANNTD-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN COc1ccccc1CN[C@@H](C)[C@@H]1CCCCO1 ZINC000393593760 582812539 /nfs/dbraw/zinc/81/25/39/582812539.db2.gz AOICRYXHYOZQKC-JSGCOSHPSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@@H]1CCN(Cn2cc(-c3ccccc3)cn2)C1 ZINC000725777202 582848508 /nfs/dbraw/zinc/84/85/08/582848508.db2.gz DILVXCDQGOWRLE-CYBMUJFWSA-N 0 3 241.338 2.849 20 0 BFADHN CC(C)[C@H]1CCN1Cc1ccc(O)c(F)c1 ZINC000892404174 582877785 /nfs/dbraw/zinc/87/77/85/582877785.db2.gz ZVRLEWMOFXUAJS-GFCCVEGCSA-N 0 3 223.291 2.762 20 0 BFADHN CCC[C@H](C)NCc1ccnc(OC)c1F ZINC000892442302 582888422 /nfs/dbraw/zinc/88/84/22/582888422.db2.gz ZSHNZVNNIPKQHW-VIFPVBQESA-N 0 3 226.295 2.508 20 0 BFADHN COc1ccc(CNC2(C)CCCC2)nc1 ZINC000892459637 582892521 /nfs/dbraw/zinc/89/25/21/582892521.db2.gz FMSXWHXTCMXKSV-UHFFFAOYSA-N 0 3 220.316 2.513 20 0 BFADHN COc1ccc(CNCCC(C)(C)C)o1 ZINC000892522361 582906092 /nfs/dbraw/zinc/90/60/92/582906092.db2.gz YKLMJLOLPYXVOW-UHFFFAOYSA-N 0 3 211.305 2.814 20 0 BFADHN CC(C(=O)N(C)c1ccsc1)C(F)(F)F ZINC000892551088 582910987 /nfs/dbraw/zinc/91/09/87/582910987.db2.gz WJZSYPHKBJPHHJ-LURJTMIESA-N 0 3 237.246 2.909 20 0 BFADHN Cc1occc1CNC[C@@H](O)c1ccc(C)cc1 ZINC000840881892 582912517 /nfs/dbraw/zinc/91/25/17/582912517.db2.gz KSBVBALNIMRFHL-OAHLLOKOSA-N 0 3 245.322 2.720 20 0 BFADHN Cc1ccc2[nH]c(CN[C@@H]3CC[C@H]3C)nc2c1 ZINC000783243004 582952709 /nfs/dbraw/zinc/95/27/09/582952709.db2.gz IXVXJXBMRSXVPV-GHMZBOCLSA-N 0 3 229.327 2.759 20 0 BFADHN Cc1ccc2nc(CN[C@@H]3CC[C@H]3C)[nH]c2c1 ZINC000783243004 582952713 /nfs/dbraw/zinc/95/27/13/582952713.db2.gz IXVXJXBMRSXVPV-GHMZBOCLSA-N 0 3 229.327 2.759 20 0 BFADHN COc1nccc(CN[C@H](C)C2(C)CC2)c1F ZINC000892685900 582955355 /nfs/dbraw/zinc/95/53/55/582955355.db2.gz DOXPZCAQDUAYBX-SECBINFHSA-N 0 3 238.306 2.508 20 0 BFADHN CC(=O)OC[C@@H](C)N[C@@H]1CCc2ccc(C)cc21 ZINC000892920054 583010477 /nfs/dbraw/zinc/01/04/77/583010477.db2.gz GWKPWECDKBYXDK-IAQYHMDHSA-N 0 3 247.338 2.524 20 0 BFADHN C[C@@H](CNCc1cn(C)nc1Cl)C(C)(C)C ZINC000856771118 588876802 /nfs/dbraw/zinc/87/68/02/588876802.db2.gz ZZOQMAHNSAVYDV-VIFPVBQESA-N 0 3 243.782 2.845 20 0 BFADHN COc1ccc(CNCCCC2CC2)o1 ZINC000893000946 583028477 /nfs/dbraw/zinc/02/84/77/583028477.db2.gz KFMZKSHOJMFLQN-UHFFFAOYSA-N 0 3 209.289 2.568 20 0 BFADHN CCCC1(CNCc2ccc(OC)o2)CC1 ZINC000893125015 583053302 /nfs/dbraw/zinc/05/33/02/583053302.db2.gz CJRAGYCJIMOSMA-UHFFFAOYSA-N 0 3 223.316 2.958 20 0 BFADHN CCOc1ccc(CNCCC2CCC2)o1 ZINC000893150505 583057383 /nfs/dbraw/zinc/05/73/83/583057383.db2.gz MPPYSJGAZZKAJG-UHFFFAOYSA-N 0 3 223.316 2.958 20 0 BFADHN Cc1cc(CNC[C@@]2(C)CCCO2)c(C)o1 ZINC000121605334 588874752 /nfs/dbraw/zinc/87/47/52/588874752.db2.gz YACYTUJKXOPIOE-CYBMUJFWSA-N 0 3 223.316 2.555 20 0 BFADHN COc1ccc(CN[C@@H](C)CC(C)C)o1 ZINC000893179814 583065236 /nfs/dbraw/zinc/06/52/36/583065236.db2.gz CGRPTIKNBVDROO-JTQLQIEISA-N 0 3 211.305 2.812 20 0 BFADHN Fc1cc(CN[C@H]2CCOC2)ccc1C(F)F ZINC000893180182 583065433 /nfs/dbraw/zinc/06/54/33/583065433.db2.gz CRFABCOYZMYHBO-VIFPVBQESA-N 0 3 245.244 2.642 20 0 BFADHN CCc1onc(C)c1CNCC1(C2CC2)CC1 ZINC000893188684 583067554 /nfs/dbraw/zinc/06/75/54/583067554.db2.gz HDBLRQYPWKYBRD-UHFFFAOYSA-N 0 3 234.343 2.825 20 0 BFADHN COc1ccc(CNc2cc(C)cc(C)n2)nc1 ZINC000893202367 583070233 /nfs/dbraw/zinc/07/02/33/583070233.db2.gz GVSDRNJVMTUFNA-UHFFFAOYSA-N 0 3 243.310 2.714 20 0 BFADHN C[C@H]1CCCC[C@@H]1NCc1cn(C)nc1Cl ZINC000856764271 588876166 /nfs/dbraw/zinc/87/61/66/588876166.db2.gz UFLPSODWUNJCNP-ONGXEEELSA-N 0 3 241.766 2.742 20 0 BFADHN CCOc1ccc(CN[C@H](C)C2CCC2)o1 ZINC000893256186 583079280 /nfs/dbraw/zinc/07/92/80/583079280.db2.gz RECGZWCMKPOBGY-SNVBAGLBSA-N 0 3 223.316 2.957 20 0 BFADHN CC[C@@H](CSC)NCc1cnc(F)cc1C ZINC000893280145 583083553 /nfs/dbraw/zinc/08/35/53/583083553.db2.gz WHYKFMACQUJBBN-NSHDSACASA-N 0 3 242.363 2.760 20 0 BFADHN C[C@@H]1CSC[C@@H]1N[C@H]1CCCc2cccnc21 ZINC000397856395 583110436 /nfs/dbraw/zinc/11/04/36/583110436.db2.gz WRKBNHMGTPEYKN-WXHSDQCUSA-N 0 3 248.395 2.800 20 0 BFADHN C[C@@H](CCC1CC1)NCc1cn(C)nc1Cl ZINC000856777447 588877476 /nfs/dbraw/zinc/87/74/76/588877476.db2.gz BHBARRMLVDKLTB-VIFPVBQESA-N 0 3 241.766 2.742 20 0 BFADHN C[C@H]1CC[C@H](CNCc2cn(C)nc2Cl)C1 ZINC000856783794 588877556 /nfs/dbraw/zinc/87/75/56/588877556.db2.gz HECSYRALVLBGQH-UWVGGRQHSA-N 0 3 241.766 2.599 20 0 BFADHN CCCc1ccc(CNCc2cncn2C)s1 ZINC000856789044 588877872 /nfs/dbraw/zinc/87/78/72/588877872.db2.gz ZQVRJOZRJSJAER-UHFFFAOYSA-N 0 3 249.383 2.724 20 0 BFADHN C[C@H](NCc1cn(C)nc1Cl)C1CCCC1 ZINC000856770936 583123968 /nfs/dbraw/zinc/12/39/68/583123968.db2.gz SMUDJROXMNDCMY-VIFPVBQESA-N 0 3 241.766 2.742 20 0 BFADHN Cc1cc(N)nc(NC2CCC3(CC3)CC2)n1 ZINC000893507501 583154840 /nfs/dbraw/zinc/15/48/40/583154840.db2.gz DAYUVKDIAACBCN-UHFFFAOYSA-N 0 3 232.331 2.502 20 0 BFADHN Cc1occc1CNCC[C@@H](O)c1ccccc1 ZINC000840947878 583176874 /nfs/dbraw/zinc/17/68/74/583176874.db2.gz AWBIBNRAPSRRPG-OAHLLOKOSA-N 0 3 245.322 2.801 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1nccn1C1CC1 ZINC000893676813 583195596 /nfs/dbraw/zinc/19/55/96/583195596.db2.gz OEZNURWHPZYVJD-AAEUAGOBSA-N 0 3 233.359 2.838 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cn(C)nc1Cl ZINC000857046739 588883889 /nfs/dbraw/zinc/88/38/89/588883889.db2.gz QEQLILDZSOPUOP-MWLCHTKSSA-N 0 3 241.766 2.836 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cn(C)nc1Cl ZINC000857046243 588884208 /nfs/dbraw/zinc/88/42/08/588884208.db2.gz ATEPDQIBWLHDPM-KXUCPTDWSA-N 0 3 241.766 2.550 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cn(C)nc1Cl ZINC000857046737 588884263 /nfs/dbraw/zinc/88/42/63/588884263.db2.gz QEQLILDZSOPUOP-GXSJLCMTSA-N 0 3 241.766 2.836 20 0 BFADHN c1cc(CC2CN(C[C@@H]3CCC=CO3)C2)cs1 ZINC000857068309 588885661 /nfs/dbraw/zinc/88/56/61/588885661.db2.gz QBKDEDQNDDWGMT-AWEZNQCLSA-N 0 3 249.379 2.915 20 0 BFADHN Cc1ncoc1CN1CCCCCCC1 ZINC000893871588 583237478 /nfs/dbraw/zinc/23/74/78/583237478.db2.gz LQZQRQOMRRFDMJ-UHFFFAOYSA-N 0 3 208.305 2.749 20 0 BFADHN Cc1n[nH]c(CN2C[C@H](C)C[C@@H](C)C2)c1C ZINC000893872678 583237484 /nfs/dbraw/zinc/23/74/84/583237484.db2.gz XWHFJDINWOAABK-NXEZZACHSA-N 0 3 221.348 2.504 20 0 BFADHN C[C@@H]1CCCCN1Cc1ccc2[nH]c(=O)[nH]c2c1 ZINC000893872913 583238768 /nfs/dbraw/zinc/23/87/68/583238768.db2.gz YJMUNYXOWWTHSR-SNVBAGLBSA-N 0 3 245.326 2.643 20 0 BFADHN CCCCN(CCO)Cc1ccc(SC)o1 ZINC000893878249 583240022 /nfs/dbraw/zinc/24/00/22/583240022.db2.gz SWVQJRSYLYSOFI-UHFFFAOYSA-N 0 3 243.372 2.596 20 0 BFADHN CN(C/C=C\c1cccc(F)c1)C[C@H]1CCCO1 ZINC000893926694 583249339 /nfs/dbraw/zinc/24/93/39/583249339.db2.gz XJADVIFYQSZIGP-OMVNSRBRSA-N 0 3 249.329 2.950 20 0 BFADHN Cc1coc(CN(C[C@H]2CCOC2)C2CC2)c1 ZINC000893929686 583250196 /nfs/dbraw/zinc/25/01/96/583250196.db2.gz VZBGZGOIOQHAHU-GFCCVEGCSA-N 0 3 235.327 2.589 20 0 BFADHN Cc1n[nH]c(CN(C)CCC(C)C)c1C ZINC000893930709 583250638 /nfs/dbraw/zinc/25/06/38/583250638.db2.gz FOIQGKRJRVGPEJ-UHFFFAOYSA-N 0 3 209.337 2.504 20 0 BFADHN Cc1[nH]nc(CN(C)CCC(C)C)c1C ZINC000893930709 583250640 /nfs/dbraw/zinc/25/06/40/583250640.db2.gz FOIQGKRJRVGPEJ-UHFFFAOYSA-N 0 3 209.337 2.504 20 0 BFADHN Cc1ncoc1CN([C@H](C)C1CC1)C1CC1 ZINC000893952142 583260112 /nfs/dbraw/zinc/26/01/12/583260112.db2.gz CBCXIWDVEODBCP-SNVBAGLBSA-N 0 3 220.316 2.746 20 0 BFADHN Cc1ncoc1CN([C@@H](C)C1CC1)C1CC1 ZINC000893952141 583260708 /nfs/dbraw/zinc/26/07/08/583260708.db2.gz CBCXIWDVEODBCP-JTQLQIEISA-N 0 3 220.316 2.746 20 0 BFADHN CC[C@H]1CCCN1Cc1cnc2onc(C)c2c1 ZINC000893972469 583264948 /nfs/dbraw/zinc/26/49/48/583264948.db2.gz BWGKAEYTLVZYJU-LBPRGKRZSA-N 0 3 245.326 2.906 20 0 BFADHN CC1(C)CCCN1Cc1ccc2oc(=O)oc2c1 ZINC000893986570 583269242 /nfs/dbraw/zinc/26/92/42/583269242.db2.gz OSXLKFVSGZGORR-UHFFFAOYSA-N 0 3 247.294 2.760 20 0 BFADHN Cc1coc(CN2CC[C@@H](N3CCCCC3)C2)c1 ZINC000894002343 583272028 /nfs/dbraw/zinc/27/20/28/583272028.db2.gz QCOZHVVVZOBABY-CQSZACIVSA-N 0 3 248.370 2.648 20 0 BFADHN CC1(C)CCN(Cc2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC000894021243 583274515 /nfs/dbraw/zinc/27/45/15/583274515.db2.gz SUYGDYIAFUPAGW-UHFFFAOYSA-N 0 3 245.326 2.500 20 0 BFADHN Cc1coc(CN2CCC(c3c[nH]cn3)CC2)c1 ZINC000894026790 583280521 /nfs/dbraw/zinc/28/05/21/583280521.db2.gz IEEYTERATLPQES-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN C[C@]1(O)CCCN(C/C=C\c2cccc(F)c2)C1 ZINC000894045353 583286758 /nfs/dbraw/zinc/28/67/58/583286758.db2.gz ZTKUYINZKNRTDV-BYPUDFOVSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1ncoc1CN1C2CCCC1CCC2 ZINC000894053952 583289732 /nfs/dbraw/zinc/28/97/32/583289732.db2.gz MMYBICKZBICTCS-UHFFFAOYSA-N 0 3 220.316 2.890 20 0 BFADHN CC[C@@H]1CCN(Cc2cnc3onc(C)c3c2)C1 ZINC000894087557 583298976 /nfs/dbraw/zinc/29/89/76/583298976.db2.gz CXYCKVPMXRBQLI-LLVKDONJSA-N 0 3 245.326 2.763 20 0 BFADHN Cc1noc2ncc(CN3[C@@H](C)CC[C@@H]3C)cc12 ZINC000894096992 583301367 /nfs/dbraw/zinc/30/13/67/583301367.db2.gz YNZYGUXZNRVZKZ-UWVGGRQHSA-N 0 3 245.326 2.904 20 0 BFADHN CN(Cc1c2c(nn1C)CCC2)C1(C)CCCC1 ZINC000894104612 583305986 /nfs/dbraw/zinc/30/59/86/583305986.db2.gz GESAAOGIJQIDLI-UHFFFAOYSA-N 0 3 247.386 2.673 20 0 BFADHN CC1=C(C)CN(Cc2cnn(C)c2C2CC2)CC1 ZINC000894194890 583333402 /nfs/dbraw/zinc/33/34/02/583333402.db2.gz NBZJQVVVHCGOOR-UHFFFAOYSA-N 0 3 245.370 2.840 20 0 BFADHN Cc1ccccc1[C@@H](O)CN1CC(CC2CC2)C1 ZINC000857211958 588893926 /nfs/dbraw/zinc/89/39/26/588893926.db2.gz FVTIGIUYXAENSW-INIZCTEOSA-N 0 3 245.366 2.760 20 0 BFADHN COc1ccc(CNC[C@H]2CCC(F)(F)C2)o1 ZINC000894197456 583335658 /nfs/dbraw/zinc/33/56/58/583335658.db2.gz IWGLMAXBPUJTLE-VIFPVBQESA-N 0 3 245.269 2.813 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCC[C@H](C)C1 ZINC000894201329 583337256 /nfs/dbraw/zinc/33/72/56/583337256.db2.gz ZAAFJAMKRUEHOB-CMPLNLGQSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1ncoc1CNC[C@H]1CCCC[C@@H]1C ZINC000894204080 583338710 /nfs/dbraw/zinc/33/87/10/583338710.db2.gz IGXHHYIVTDUHEU-CMPLNLGQSA-N 0 3 222.332 2.899 20 0 BFADHN Cc1ccoc1CN[C@@H](C)Cc1ccco1 ZINC000126649384 583342327 /nfs/dbraw/zinc/34/23/27/583342327.db2.gz NWELJDYEEDRWHZ-NSHDSACASA-N 0 3 219.284 2.902 20 0 BFADHN c1csc(CN2C[C@@H]3CC=CC[C@@H]3C2)n1 ZINC000877719029 583344594 /nfs/dbraw/zinc/34/45/94/583344594.db2.gz DFVJJIAYGSNMOL-PHIMTYICSA-N 0 3 220.341 2.541 20 0 BFADHN FC(F)(F)[C@@H]1CC=CC[C@H]1NC1CSC1 ZINC000877759953 583347065 /nfs/dbraw/zinc/34/70/65/583347065.db2.gz AANNTACVHCGEEA-RKDXNWHRSA-N 0 3 237.290 2.589 20 0 BFADHN CC[C@@H](C)CN1CCO[C@@H](C(F)(F)F)CC1 ZINC000877819986 583348663 /nfs/dbraw/zinc/34/86/63/583348663.db2.gz KTAYRZLIFITNHZ-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN Cc1ccsc1CNCc1c(C)ccnc1N ZINC000894209099 583362125 /nfs/dbraw/zinc/36/21/25/583362125.db2.gz NJIXFQCPJAXEOB-UHFFFAOYSA-N 0 3 247.367 2.632 20 0 BFADHN CC(=O)OC[C@@]1(C)CCCN1Cc1ccccc1 ZINC000747772361 583387850 /nfs/dbraw/zinc/38/78/50/583387850.db2.gz OYFUTOSOBVDCIX-OAHLLOKOSA-N 0 3 247.338 2.604 20 0 BFADHN CSCCCN(C)Cc1cnc(F)cc1C ZINC000894244614 583388827 /nfs/dbraw/zinc/38/88/27/583388827.db2.gz GITVJDGFDSLTRN-UHFFFAOYSA-N 0 3 242.363 2.714 20 0 BFADHN CCSCCCNCc1cnc(F)c(C)c1 ZINC000894258341 583395845 /nfs/dbraw/zinc/39/58/45/583395845.db2.gz RCSNZCFJXIYFTC-UHFFFAOYSA-N 0 3 242.363 2.762 20 0 BFADHN CCSCC[C@H](C)NCc1ocnc1C ZINC000894297024 583414401 /nfs/dbraw/zinc/41/44/01/583414401.db2.gz BAFIFASYLUVESO-VIFPVBQESA-N 0 3 228.361 2.604 20 0 BFADHN C[C@H](CNCc1c[nH]cn1)Sc1ccccc1 ZINC000894312571 583419634 /nfs/dbraw/zinc/41/96/34/583419634.db2.gz LCRKNGMOTRPZBC-LLVKDONJSA-N 0 3 247.367 2.680 20 0 BFADHN C[C@H](CNCc1cnc[nH]1)Sc1ccccc1 ZINC000894312571 583419638 /nfs/dbraw/zinc/41/96/38/583419638.db2.gz LCRKNGMOTRPZBC-LLVKDONJSA-N 0 3 247.367 2.680 20 0 BFADHN CCC1(CNCc2ocnc2C)CCC1 ZINC000894324109 583422690 /nfs/dbraw/zinc/42/26/90/583422690.db2.gz VFOOFQQCSGYUML-UHFFFAOYSA-N 0 3 208.305 2.653 20 0 BFADHN Cc1noc2ncc(CNC3(C4CC4)CC3)cc12 ZINC000894327473 583423529 /nfs/dbraw/zinc/42/35/29/583423529.db2.gz VBTJSRFAEZRXDV-UHFFFAOYSA-N 0 3 243.310 2.563 20 0 BFADHN CCCC1(CNCc2c(C)ccnc2N)CC1 ZINC000894341120 583428798 /nfs/dbraw/zinc/42/87/98/583428798.db2.gz WLGLWAMHQMUEAN-UHFFFAOYSA-N 0 3 233.359 2.642 20 0 BFADHN COc1cc(C)cc(CN[C@@H]2COCC2(C)C)c1 ZINC000894356496 583435678 /nfs/dbraw/zinc/43/56/78/583435678.db2.gz KIYWIKGLDYKYDE-CQSZACIVSA-N 0 3 249.354 2.518 20 0 BFADHN C[C@]1(NCc2nccc3ccccc32)CCOC1 ZINC000894362939 583437673 /nfs/dbraw/zinc/43/76/73/583437673.db2.gz ZPVDANUEYTYMBA-HNNXBMFYSA-N 0 3 242.322 2.503 20 0 BFADHN c1cc(CN2CC=CCC2)c2c(c1)CCCN2 ZINC000894422178 583457623 /nfs/dbraw/zinc/45/76/23/583457623.db2.gz GWBXBMPYBYCSEW-UHFFFAOYSA-N 0 3 228.339 2.807 20 0 BFADHN Cc1n[nH]c(CN2CC[C@H](C(C)(C)C)C2)c1C ZINC000894446474 583460924 /nfs/dbraw/zinc/46/09/24/583460924.db2.gz UHUDCABNLODYRG-LBPRGKRZSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@]1(O)CCN(C/C=C\c2cccc(F)c2)C1 ZINC000894505163 583474884 /nfs/dbraw/zinc/47/48/84/583474884.db2.gz XIGYJXBHFPUQOO-VOKGJARPSA-N 0 3 249.329 2.686 20 0 BFADHN CN(C/C=C/c1cccc(F)c1)CC1(CO)CC1 ZINC000894534886 583478880 /nfs/dbraw/zinc/47/88/80/583478880.db2.gz RHVSIMFQWPMBOD-HWKANZROSA-N 0 3 249.329 2.543 20 0 BFADHN CCn1cc(CN2CC(C)(C)[C@H]2C2CC2)c(C)n1 ZINC000894543972 583481835 /nfs/dbraw/zinc/48/18/35/583481835.db2.gz GGOIQONCTWGWBJ-CQSZACIVSA-N 0 3 247.386 2.832 20 0 BFADHN Cc1ncoc1CN1CC2(CCC2)C[C@@H]1C ZINC000894567891 583485223 /nfs/dbraw/zinc/48/52/23/583485223.db2.gz XZAVINDKILVWKQ-JTQLQIEISA-N 0 3 220.316 2.748 20 0 BFADHN C[C@@]1(Br)C[C@H]1CN1CC(C2CC2)C1 ZINC000894566636 583485347 /nfs/dbraw/zinc/48/53/47/583485347.db2.gz RJAKJQBZCPDKLZ-WDEREUQCSA-N 0 3 244.176 2.502 20 0 BFADHN CC[C@H](Nc1cc(N)ncc1Cl)C1CC1 ZINC000894573112 583486030 /nfs/dbraw/zinc/48/60/30/583486030.db2.gz TWGSDOPIYZMIOL-VIFPVBQESA-N 0 3 225.723 2.918 20 0 BFADHN Cc1ncoc1CN(C)C1(C)CCCC1 ZINC000894589384 583487736 /nfs/dbraw/zinc/48/77/36/583487736.db2.gz QAROBNYKDJLLGW-UHFFFAOYSA-N 0 3 208.305 2.748 20 0 BFADHN CCC1(CC)[C@H](OC)C[C@@H]1N[C@@H](C)CF ZINC000894600439 583490679 /nfs/dbraw/zinc/49/06/79/583490679.db2.gz HBTOOKNWSLUVFE-GARJFASQSA-N 0 3 217.328 2.528 20 0 BFADHN C[C@@H](N[C@@H](C)CF)[C@H]1COc2ccccc2C1 ZINC000894600645 583491029 /nfs/dbraw/zinc/49/10/29/583491029.db2.gz JUJWUOJITPHJOB-DMDPSCGWSA-N 0 3 237.318 2.574 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CNCC[C@H](C)F ZINC000894622780 583496081 /nfs/dbraw/zinc/49/60/81/583496081.db2.gz CPOPPHIYVOQBJW-JTQLQIEISA-N 0 3 241.354 2.919 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN1C[C@@H](C)[C@H]1C ZINC000894629219 583497145 /nfs/dbraw/zinc/49/71/45/583497145.db2.gz WGQNKVWQMRQIBO-ZYHUDNBSSA-N 0 3 235.375 2.921 20 0 BFADHN CCN(Cc1[nH]nc(C)c1C)CC1CCC1 ZINC000894630245 583497195 /nfs/dbraw/zinc/49/71/95/583497195.db2.gz YEZNQHUWTRXPMR-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCC(F)(F)C1 ZINC000894645522 583499460 /nfs/dbraw/zinc/49/94/60/583499460.db2.gz RUUWBGMTHHAZCM-SECBINFHSA-N 0 3 230.258 2.508 20 0 BFADHN CCn1cc(CNCC2=CCCCC2)c(C)n1 ZINC000894663037 583501027 /nfs/dbraw/zinc/50/10/27/583501027.db2.gz FJLJRFURWPRZTG-UHFFFAOYSA-N 0 3 233.359 2.801 20 0 BFADHN C[C@]1(Br)C[C@@H]1CN1CC[C@@H]1C1CC1 ZINC000894690970 583508603 /nfs/dbraw/zinc/50/86/03/583508603.db2.gz WCVLDPISIJOINT-MXWKQRLJSA-N 0 3 244.176 2.644 20 0 BFADHN c1cc2c(c(CN3CC[C@@H]3C3CC3)n1)CCCC2 ZINC000894690025 583508924 /nfs/dbraw/zinc/50/89/24/583508924.db2.gz PMXVNAFKBNUZBZ-MRXNPFEDSA-N 0 3 242.366 2.945 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CNCc1nnc(C)s1 ZINC000894690483 583509011 /nfs/dbraw/zinc/50/90/11/583509011.db2.gz SPRPLNBXFPMCDF-GHMZBOCLSA-N 0 3 239.388 2.762 20 0 BFADHN Cc1ncoc1CN(C)[C@@H]1CCCC[C@@H]1C ZINC000894703456 583510789 /nfs/dbraw/zinc/51/07/89/583510789.db2.gz XOCIDUODXAPEPZ-CMPLNLGQSA-N 0 3 222.332 2.994 20 0 BFADHN CCCSC[C@@H](C)NCc1conc1C ZINC000894698011 583510929 /nfs/dbraw/zinc/51/09/29/583510929.db2.gz VMAUPWYWSVDAQT-SECBINFHSA-N 0 3 228.361 2.604 20 0 BFADHN COc1ccc(CNC[C@@H]2CCCC2(F)F)o1 ZINC000894719290 583512771 /nfs/dbraw/zinc/51/27/71/583512771.db2.gz OUOBMQRQMBYAJO-VIFPVBQESA-N 0 3 245.269 2.813 20 0 BFADHN c1csc(NC[C@@H]2CCN2C2CCCC2)n1 ZINC000894769522 583516957 /nfs/dbraw/zinc/51/69/57/583516957.db2.gz TXUJTWWCXRRNTD-NSHDSACASA-N 0 3 237.372 2.572 20 0 BFADHN Cc1nnc([C@H](C)N[C@H](C)CCCC(C)C)[nH]1 ZINC000894773365 583517613 /nfs/dbraw/zinc/51/76/13/583517613.db2.gz UWGNOVZBJZXOEY-MNOVXSKESA-N 0 3 238.379 2.979 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H](C)CCCC(C)C)[n-]1 ZINC000894773365 583517615 /nfs/dbraw/zinc/51/76/15/583517615.db2.gz UWGNOVZBJZXOEY-MNOVXSKESA-N 0 3 238.379 2.979 20 0 BFADHN CCCC[C@H](C)[C@@H](C)[NH2+][C@@H](C)c1nnc(C)[n-]1 ZINC000894774539 583517795 /nfs/dbraw/zinc/51/77/95/583517795.db2.gz UPHMARFFLFJLEZ-AXFHLTTASA-N 0 3 238.379 2.979 20 0 BFADHN COCCCC1(CN2CC(C(F)F)C2)CCC1 ZINC000894828560 583527181 /nfs/dbraw/zinc/52/71/81/583527181.db2.gz PRPCZALVKJXXKU-UHFFFAOYSA-N 0 3 247.329 2.780 20 0 BFADHN CCn1cc(CN2CC(C3CCCC3)C2)c(C)n1 ZINC000894834686 583528726 /nfs/dbraw/zinc/52/87/26/583528726.db2.gz FWRVJZGLKQHRKQ-UHFFFAOYSA-N 0 3 247.386 2.833 20 0 BFADHN Cc1ccnc(N)c1CN1CCC(C2CC2)CC1 ZINC000894837432 583529176 /nfs/dbraw/zinc/52/91/76/583529176.db2.gz LZFZLXBQBLKKPN-UHFFFAOYSA-N 0 3 245.370 2.594 20 0 BFADHN CCn1cc(CN[C@@H]2C[C@H]2CC(C)C)c(C)n1 ZINC000894854092 583532254 /nfs/dbraw/zinc/53/22/54/583532254.db2.gz CMUQQDJAOHKUEZ-TZMCWYRMSA-N 0 3 235.375 2.736 20 0 BFADHN CCn1cc(CN(C)C2CC(C)(C)C2)c(C)n1 ZINC000894861265 583534006 /nfs/dbraw/zinc/53/40/06/583534006.db2.gz RBIGCDNGMRECOM-UHFFFAOYSA-N 0 3 235.375 2.832 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCCC1(C)C ZINC000894866673 583537610 /nfs/dbraw/zinc/53/76/10/583537610.db2.gz WYTYKKJGLWPDEA-NSHDSACASA-N 0 3 222.332 2.899 20 0 BFADHN O[C@@H]1CCCN(C/C=C/c2cccc(F)c2)CC1 ZINC000894866550 583537747 /nfs/dbraw/zinc/53/77/47/583537747.db2.gz WDYJERCPLALVNU-FIMWJMCYSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1ncoc1CNC[C@H]1CCCCC1(F)F ZINC000894874585 583541013 /nfs/dbraw/zinc/54/10/13/583541013.db2.gz UQEAGJCICVIOCM-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCCC1(F)F ZINC000894897853 583550864 /nfs/dbraw/zinc/55/08/64/583550864.db2.gz AWYRNZAHBWZSGL-VIFPVBQESA-N 0 3 230.258 2.508 20 0 BFADHN Cc1ncoc1CN1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000894906305 583553544 /nfs/dbraw/zinc/55/35/44/583553544.db2.gz IVOKAFQHLCKRNF-OCCSQVGLSA-N 0 3 232.327 2.603 20 0 BFADHN Cc1coc(CN2CCSC[C@@H]2C2CC2)c1 ZINC000894906074 583553613 /nfs/dbraw/zinc/55/36/13/583553613.db2.gz WQQHDVAWVYIKLK-CYBMUJFWSA-N 0 3 237.368 2.915 20 0 BFADHN CC(C)[C@@H]1CN(Cc2c[nH]cn2)[C@H]1C(C)C ZINC000894908574 583554180 /nfs/dbraw/zinc/55/41/80/583554180.db2.gz HTZYLAAXKSWLOE-STQMWFEESA-N 0 3 221.348 2.522 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cnc[nH]2)[C@H]1C(C)C ZINC000894908574 583554181 /nfs/dbraw/zinc/55/41/81/583554181.db2.gz HTZYLAAXKSWLOE-STQMWFEESA-N 0 3 221.348 2.522 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1cnn(C)c1C1CC1 ZINC000894921168 583555729 /nfs/dbraw/zinc/55/57/29/583555729.db2.gz HFNOMPYKAITJFL-NSHDSACASA-N 0 3 247.386 2.918 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2ocnc2C)C1 ZINC000894931467 583556021 /nfs/dbraw/zinc/55/60/21/583556021.db2.gz AODOFBRUDDLBHU-CMPLNLGQSA-N 0 3 222.332 2.994 20 0 BFADHN COC[C@@H]1CCCCN1Cc1cc(C)co1 ZINC000894944976 583557301 /nfs/dbraw/zinc/55/73/01/583557301.db2.gz GJTWKUJCOVNXQE-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1C[C@H]1C1CCC1 ZINC000895009439 583567057 /nfs/dbraw/zinc/56/70/57/583567057.db2.gz XFGQWBNUVODULT-WCQYABFASA-N 0 3 234.343 2.824 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN[C@@H]1CC12CC2 ZINC000895009030 583567299 /nfs/dbraw/zinc/56/72/99/583567299.db2.gz NYRZWRMAMRJQDS-CYBMUJFWSA-N 0 3 233.359 2.723 20 0 BFADHN c1cn2cc(CN[C@@H]3C[C@H]3C3CCC3)sc2n1 ZINC000895010857 583567344 /nfs/dbraw/zinc/56/73/44/583567344.db2.gz WPQMKFWUSFUTCW-NWDGAFQWSA-N 0 3 247.367 2.674 20 0 BFADHN Cn1cc(CN[C@@H]2C[C@H]2C2CCC2)c(C2CC2)n1 ZINC000895009503 583567381 /nfs/dbraw/zinc/56/73/81/583567381.db2.gz AUAKKUBHTCPFQU-UONOGXRCSA-N 0 3 245.370 2.576 20 0 BFADHN CC[C@H](C)N[C@H](C)c1ccncc1OC ZINC000188760562 583575728 /nfs/dbraw/zinc/57/57/28/583575728.db2.gz OTHWOJQPKWDBHZ-VHSXEESVSA-N 0 3 208.305 2.539 20 0 BFADHN Cc1n[nH]c(CN(C)CCC(C)(C)C)c1C ZINC000895077230 583581226 /nfs/dbraw/zinc/58/12/26/583581226.db2.gz CWDVAJDXLAVXGH-UHFFFAOYSA-N 0 3 223.364 2.895 20 0 BFADHN Cc1[nH]nc(CN(C)CCC(C)(C)C)c1C ZINC000895077230 583581228 /nfs/dbraw/zinc/58/12/28/583581228.db2.gz CWDVAJDXLAVXGH-UHFFFAOYSA-N 0 3 223.364 2.895 20 0 BFADHN CCCN(Cc1cc(C)co1)[C@H]1CCN(C)C1 ZINC000895078876 583582619 /nfs/dbraw/zinc/58/26/19/583582619.db2.gz SFWCPBIJCLMGSJ-ZDUSSCGKSA-N 0 3 236.359 2.504 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCC1(C2CC2)CCC1 ZINC000857859396 588914078 /nfs/dbraw/zinc/91/40/78/588914078.db2.gz UGIGKWKDTJVBCV-ZDUSSCGKSA-N 0 3 245.370 2.957 20 0 BFADHN Cc1cc(F)ncc1CN(C)C(C)(C)C ZINC000895113656 583591506 /nfs/dbraw/zinc/59/15/06/583591506.db2.gz ABIWACHQMROPRU-UHFFFAOYSA-N 0 3 210.296 2.759 20 0 BFADHN Cc1cc(F)ncc1CN[C@@H]1CCS[C@@H]1C ZINC000895121913 583596596 /nfs/dbraw/zinc/59/65/96/583596596.db2.gz BIAOPUKMMHZHNH-MWLCHTKSSA-N 0 3 240.347 2.513 20 0 BFADHN CC[C@@H](CSC)N[C@@H]1CCCc2c[nH]nc21 ZINC000857860994 588915092 /nfs/dbraw/zinc/91/50/92/588915092.db2.gz OSZGUVLFRWTPNU-WDEREUQCSA-N 0 3 239.388 2.518 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@H]2CCCc3c[nH]nc32)C1 ZINC000857864974 588915229 /nfs/dbraw/zinc/91/52/29/588915229.db2.gz LRUGUFIFEMAHOO-MDZLAQPJSA-N 0 3 233.359 2.813 20 0 BFADHN Cc1ncoc1CN1CCC12CCCC2 ZINC000895133250 583601436 /nfs/dbraw/zinc/60/14/36/583601436.db2.gz GXZUCYWGDUFTGF-UHFFFAOYSA-N 0 3 206.289 2.502 20 0 BFADHN Cc1coc(CN2CCC[C@H](OC(C)C)C2)c1 ZINC000895134773 583601932 /nfs/dbraw/zinc/60/19/32/583601932.db2.gz MTSXKDXNVXFEIK-ZDUSSCGKSA-N 0 3 237.343 2.977 20 0 BFADHN C[C@H](CCO)N(C)C/C=C\c1cccc(F)c1 ZINC000895149197 583605542 /nfs/dbraw/zinc/60/55/42/583605542.db2.gz DHANICJCLMUSSN-TXNVCOODSA-N 0 3 237.318 2.542 20 0 BFADHN c1[nH]nc2c1CCC[C@H]2NCC1=CCCCC1 ZINC000857867018 588916007 /nfs/dbraw/zinc/91/60/07/588916007.db2.gz FIQYCRVCMSGXKN-CYBMUJFWSA-N 0 3 231.343 2.877 20 0 BFADHN C[C@H]1CC[C@H](C)C1NCc1cn2ccsc2n1 ZINC000794605970 583616898 /nfs/dbraw/zinc/61/68/98/583616898.db2.gz UXETYZMYAQYCTA-UWVGGRQHSA-N 0 3 249.383 2.920 20 0 BFADHN COc1nccc(CN[C@H](C)C(C)(C)C)c1F ZINC000895183043 583618029 /nfs/dbraw/zinc/61/80/29/583618029.db2.gz VQEMRHZEJKEKAT-SECBINFHSA-N 0 3 240.322 2.754 20 0 BFADHN Cc1cc(F)ncc1CNC1CC2(CCC2)C1 ZINC000895186540 583619781 /nfs/dbraw/zinc/61/97/81/583619781.db2.gz XBVQFLLKPCGSRV-UHFFFAOYSA-N 0 3 234.318 2.951 20 0 BFADHN CC(C)CC1(N[C@H]2CCCc3c[nH]nc32)CC1 ZINC000857872480 588917046 /nfs/dbraw/zinc/91/70/46/588917046.db2.gz NOTBVNGJNQSEDH-LBPRGKRZSA-N 0 3 233.359 2.955 20 0 BFADHN Cc1ncoc1CN1CCC(C2CCC2)CC1 ZINC000895251587 583632948 /nfs/dbraw/zinc/63/29/48/583632948.db2.gz YJDVABRVNZFEEB-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1ncoc1CN[C@@H]1Cc2ccccc2[C@@H]1C ZINC000895250573 583633346 /nfs/dbraw/zinc/63/33/46/583633346.db2.gz GFNQMDUZJBDRTR-IINYFYTJSA-N 0 3 242.322 2.801 20 0 BFADHN CCc1cccc(CNC[C@H]2CC(C)=NO2)c1 ZINC000895299396 583641469 /nfs/dbraw/zinc/64/14/69/583641469.db2.gz CMGXNPGONZKULX-CQSZACIVSA-N 0 3 232.327 2.503 20 0 BFADHN CC1=NO[C@@H](CNCC[C@@H](C)c2ccccc2)C1 ZINC000895304401 583645785 /nfs/dbraw/zinc/64/57/85/583645785.db2.gz OAXVQFXUYCGNKN-IUODEOHRSA-N 0 3 246.354 2.935 20 0 BFADHN Cc1ncoc1CN[C@H](C)C1CCC(F)CC1 ZINC000895328450 583651536 /nfs/dbraw/zinc/65/15/36/583651536.db2.gz XACMTVAHYYIYRL-OIKLOGQESA-N 0 3 240.322 2.989 20 0 BFADHN Cc1ncoc1CN[C@H](C(C)C)C1CC1 ZINC000895332929 583652525 /nfs/dbraw/zinc/65/25/25/583652525.db2.gz RTNGUXVFCFPHQW-GFCCVEGCSA-N 0 3 208.305 2.507 20 0 BFADHN Cc1ncoc1CN(CCC1CC1)CC1CC1 ZINC000895340249 583654642 /nfs/dbraw/zinc/65/46/42/583654642.db2.gz MPVIPVFIXJSXEA-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN C[C@H](CNCc1ccoc1)N1CCCC[C@@H]1C ZINC000727465861 583656265 /nfs/dbraw/zinc/65/62/65/583656265.db2.gz MUDIBYBNPTUROJ-QWHCGFSZSA-N 0 3 236.359 2.632 20 0 BFADHN Fc1ccccc1OCCCNCc1ccoc1 ZINC000727465743 583656280 /nfs/dbraw/zinc/65/62/80/583656280.db2.gz JGDMAYWMVPUQLI-UHFFFAOYSA-N 0 3 249.285 2.977 20 0 BFADHN Cc1cc(CNCCC(C)(C)F)cnc1F ZINC000895369651 583661879 /nfs/dbraw/zinc/66/18/79/583661879.db2.gz CWAHRUYCAWWXPE-UHFFFAOYSA-N 0 3 228.286 2.757 20 0 BFADHN CC(C)(F)CNCc1cccc2c1NCCC2 ZINC000895414631 583667554 /nfs/dbraw/zinc/66/75/54/583667554.db2.gz FMDZQPCXFABYRZ-UHFFFAOYSA-N 0 3 236.334 2.882 20 0 BFADHN CCOc1ccc(CN2CC[C@@H]3C[C@@H]3C2)o1 ZINC000895433653 583671954 /nfs/dbraw/zinc/67/19/54/583671954.db2.gz ODQRAFKGBIZATO-GHMZBOCLSA-N 0 3 221.300 2.520 20 0 BFADHN Cc1[nH]nc(CN2CC(c3ccccc3)C2)c1C ZINC000895419783 583673057 /nfs/dbraw/zinc/67/30/57/583673057.db2.gz YQEFPWGNHGUHJF-UHFFFAOYSA-N 0 3 241.338 2.626 20 0 BFADHN Cc1cc(CN[C@]2(C)CC2(C)C)cnc1F ZINC000895443325 583673926 /nfs/dbraw/zinc/67/39/26/583673926.db2.gz VLRMZGLFELBLGC-CYBMUJFWSA-N 0 3 222.307 2.807 20 0 BFADHN Cc1cc(CN[C@@]2(C)CC2(C)C)cnc1F ZINC000895443327 583674014 /nfs/dbraw/zinc/67/40/14/583674014.db2.gz VLRMZGLFELBLGC-ZDUSSCGKSA-N 0 3 222.307 2.807 20 0 BFADHN Cc1cc(CNCC2=CCCC2)cnc1F ZINC000895437089 583674765 /nfs/dbraw/zinc/67/47/65/583674765.db2.gz UATULDDHCCSTQT-UHFFFAOYSA-N 0 3 220.291 2.729 20 0 BFADHN CN(Cc1ccns1)CC1CCSCC1 ZINC000895451674 583676605 /nfs/dbraw/zinc/67/66/05/583676605.db2.gz CUHFEVWRMJJAEE-UHFFFAOYSA-N 0 3 242.413 2.718 20 0 BFADHN Cc1coc(CN2CC[C@H]3CSC[C@@H]3C2)c1 ZINC000895460467 583678106 /nfs/dbraw/zinc/67/81/06/583678106.db2.gz GUXYNFXYMAXDPA-RYUDHWBXSA-N 0 3 237.368 2.773 20 0 BFADHN CCOc1ccc(CNC2CC(C)(C)C2)o1 ZINC000895468465 583678600 /nfs/dbraw/zinc/67/86/00/583678600.db2.gz SFCYCHCFJFLLLD-UHFFFAOYSA-N 0 3 223.316 2.957 20 0 BFADHN Cc1cc(F)ncc1CNC1CC(C)(C)C1 ZINC000895467734 583679033 /nfs/dbraw/zinc/67/90/33/583679033.db2.gz OPTBSSZKWYMGRU-UHFFFAOYSA-N 0 3 222.307 2.807 20 0 BFADHN COc1ccc(CN(C)C[C@H]2CC2(C)C)o1 ZINC000895481635 583680879 /nfs/dbraw/zinc/68/08/79/583680879.db2.gz PKJSDMNKUQDCBH-SNVBAGLBSA-N 0 3 223.316 2.766 20 0 BFADHN CN(Cc1cnn(C)c1C1CC1)C[C@H]1CC1(C)C ZINC000895482739 583681041 /nfs/dbraw/zinc/68/10/41/583681041.db2.gz VTXMZCAANKSSOM-CYBMUJFWSA-N 0 3 247.386 2.775 20 0 BFADHN CCSc1ccc(CNCc2cnc[nH]2)cc1 ZINC000895526001 583684571 /nfs/dbraw/zinc/68/45/71/583684571.db2.gz DICKWYLSFGDHHZ-UHFFFAOYSA-N 0 3 247.367 2.812 20 0 BFADHN C=C/C=C/CCNCc1cc(C)c(C(=O)OC)o1 ZINC000895541128 583688240 /nfs/dbraw/zinc/68/82/40/583688240.db2.gz LBGRKHWDAAHHAT-AATRIKPKSA-N 0 3 249.310 2.597 20 0 BFADHN FC[C@@H]1CCCN(Cc2cccc3nccn32)C1 ZINC000895544435 583689331 /nfs/dbraw/zinc/68/93/31/583689331.db2.gz MMMUBOXGKNUCLW-LBPRGKRZSA-N 0 3 247.317 2.516 20 0 BFADHN FC[C@@H]1CCCN(Cc2n[nH]c3ccccc32)C1 ZINC000895546601 583689873 /nfs/dbraw/zinc/68/98/73/583689873.db2.gz QPIIVAQTQVHGBV-NSHDSACASA-N 0 3 247.317 2.744 20 0 BFADHN FC[C@@H]1CCCN(Cc2[nH]nc3ccccc32)C1 ZINC000895546601 583689875 /nfs/dbraw/zinc/68/98/75/583689875.db2.gz QPIIVAQTQVHGBV-NSHDSACASA-N 0 3 247.317 2.744 20 0 BFADHN COc1ccsc1CN1CC[C@@H](CF)C1 ZINC000895572541 583691891 /nfs/dbraw/zinc/69/18/91/583691891.db2.gz ILWFBTLGTZBUMP-VIFPVBQESA-N 0 3 229.320 2.548 20 0 BFADHN FC[C@@H]1CCN(Cc2cnc(C3CC3)s2)C1 ZINC000895571975 583692286 /nfs/dbraw/zinc/69/22/86/583692286.db2.gz DBUDBMSSRVVNAH-VIFPVBQESA-N 0 3 240.347 2.812 20 0 BFADHN CCCN[C@H]1CCc2ccc(Cl)nc21 ZINC000857901214 588922266 /nfs/dbraw/zinc/92/22/66/588922266.db2.gz IOIPTGIHKCAYMU-VIFPVBQESA-N 0 3 210.708 2.722 20 0 BFADHN C[C@]1(CNCc2nccn2C2CC2)C[C@H]2C[C@H]2C1 ZINC000895632474 583698730 /nfs/dbraw/zinc/69/87/30/583698730.db2.gz ZPVNPADOLHCYCH-JYAVWHMHSA-N 0 3 245.370 2.744 20 0 BFADHN CC(C)(NCc1ccoc1)[C@H](O)c1ccccc1 ZINC000895659495 583701796 /nfs/dbraw/zinc/70/17/96/583701796.db2.gz YIGAVJNJCPVRIY-CQSZACIVSA-N 0 3 245.322 2.881 20 0 BFADHN FCCCN[C@H]1CCc2ccc(Cl)nc21 ZINC000857908073 588923075 /nfs/dbraw/zinc/92/30/75/588923075.db2.gz UZRKPWIPJORVQF-VIFPVBQESA-N 0 3 228.698 2.672 20 0 BFADHN CS[C@H]1C[C@H](NCc2cnc(F)c(C)c2)C1 ZINC000895777500 583726219 /nfs/dbraw/zinc/72/62/19/583726219.db2.gz LSBIWRCXJJVWHS-XYPYZODXSA-N 0 3 240.347 2.513 20 0 BFADHN CCc1ccc(CN2C[C@@H]3CSC[C@@H]3C2)o1 ZINC000895778639 583726829 /nfs/dbraw/zinc/72/68/29/583726829.db2.gz BLQWCMYOERRREY-PHIMTYICSA-N 0 3 237.368 2.637 20 0 BFADHN COC(OC)[C@@H](C)N[C@H](C)CSC(C)(C)C ZINC000895794924 583730519 /nfs/dbraw/zinc/73/05/19/583730519.db2.gz SHFLOZHUARKTSY-NXEZZACHSA-N 0 3 249.420 2.504 20 0 BFADHN CN(CCCF)Cc1c[nH]nc1-c1ccccc1 ZINC000895898471 583741081 /nfs/dbraw/zinc/74/10/81/583741081.db2.gz UBDDZYNAUFQKIO-UHFFFAOYSA-N 0 3 247.317 2.868 20 0 BFADHN COc1cccc(C)c1CN(C)CCCF ZINC000895895725 583743652 /nfs/dbraw/zinc/74/36/52/583743652.db2.gz DQDTWBAQXOJNCZ-UHFFFAOYSA-N 0 3 225.307 2.795 20 0 BFADHN Cc1coc(CN2CC(C)(C)CC[C@@H]2CO)c1 ZINC000895922390 583746776 /nfs/dbraw/zinc/74/67/76/583746776.db2.gz FYUBHPKESXIHGI-GFCCVEGCSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1occc1CN1CC(C)(C)CC[C@@H]1CO ZINC000895924294 583747169 /nfs/dbraw/zinc/74/71/69/583747169.db2.gz NCWAJXMDBYQMPO-CYBMUJFWSA-N 0 3 237.343 2.571 20 0 BFADHN CO[C@@H]1CC[C@H](C)N(Cc2cc(C)oc2C)C1 ZINC000895926228 583747907 /nfs/dbraw/zinc/74/79/07/583747907.db2.gz BOPGBEYTKGTIPU-IINYFYTJSA-N 0 3 237.343 2.896 20 0 BFADHN CO[C@@H]1CC[C@H](C)N(Cc2occc2C)C1 ZINC000895926609 583748100 /nfs/dbraw/zinc/74/81/00/583748100.db2.gz ISKOURBPTUDXLH-NWDGAFQWSA-N 0 3 223.316 2.587 20 0 BFADHN COc1ccc(CNCC2(C)CC(F)(F)C2)o1 ZINC000895996775 583759935 /nfs/dbraw/zinc/75/99/35/583759935.db2.gz ALSDXKXHTRWEJG-UHFFFAOYSA-N 0 3 245.269 2.813 20 0 BFADHN FC[C@H]1CCCN(Cc2cccc3c2OCC3)C1 ZINC000895999600 583760019 /nfs/dbraw/zinc/76/00/19/583760019.db2.gz LMXQLIFOZBOOHL-GFCCVEGCSA-N 0 3 249.329 2.803 20 0 BFADHN CCc1onc(C)c1CNC[C@@H]1C[C@@H]1[C@H]1C[C@H]1C ZINC000896046615 583765560 /nfs/dbraw/zinc/76/55/60/583765560.db2.gz FAYMELSGKZTPIF-IXOXFDKPSA-N 0 3 248.370 2.927 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@@]1(C)CC1(C)C ZINC000883260140 583769764 /nfs/dbraw/zinc/76/97/64/583769764.db2.gz LBALEFLCBVTYKO-SMDDNHRTSA-N 0 3 235.375 2.990 20 0 BFADHN C=C/C=C/CCN[C@H](C)c1nccn1CC ZINC000883268910 583772893 /nfs/dbraw/zinc/77/28/93/583772893.db2.gz VXXOZAIQMZOBGA-NNNHXZLVSA-N 0 3 219.332 2.686 20 0 BFADHN CCC[C@@H](C)CN1CC[C@H](c2noc(C)n2)C1 ZINC000896237416 583785362 /nfs/dbraw/zinc/78/53/62/583785362.db2.gz MPANBBWSDPRDNS-PWSUYJOCSA-N 0 3 237.347 2.604 20 0 BFADHN CCC[C@H](C)CN1CC[C@@H](c2noc(C)n2)C1 ZINC000896237411 583785543 /nfs/dbraw/zinc/78/55/43/583785543.db2.gz MPANBBWSDPRDNS-CMPLNLGQSA-N 0 3 237.347 2.604 20 0 BFADHN COc1ccc(CNCC[C@@H]2CC[C@H]3C[C@H]32)nc1 ZINC000896273044 583786874 /nfs/dbraw/zinc/78/68/74/583786874.db2.gz PEIQYTNUWKXHHR-HUBLWGQQSA-N 0 3 246.354 2.616 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)o1 ZINC000896397895 583799600 /nfs/dbraw/zinc/79/96/00/583799600.db2.gz GWRSRYAQNZFCJO-FOUMNBMASA-N 0 3 235.327 2.812 20 0 BFADHN CC(C)=CCN1CC[C@H](Oc2ccc(C)cn2)C1 ZINC000858014021 588930414 /nfs/dbraw/zinc/93/04/14/588930414.db2.gz ZIOGQLXXBNSQOC-AWEZNQCLSA-N 0 3 246.354 2.809 20 0 BFADHN Cc1ccsc1CNCc1ccnc(F)c1 ZINC000858109044 588933585 /nfs/dbraw/zinc/93/35/85/588933585.db2.gz PCOJLIDREPVYIG-UHFFFAOYSA-N 0 3 236.315 2.880 20 0 BFADHN Cc1scc(CN2CCO[C@@H](C)CC2)c1C ZINC000896578146 583814133 /nfs/dbraw/zinc/81/41/33/583814133.db2.gz GNRNBDTTYQRYIN-JTQLQIEISA-N 0 3 239.384 2.976 20 0 BFADHN Cc1cc(CN2CCO[C@H](C)CC2)c(C)o1 ZINC000896583909 583815433 /nfs/dbraw/zinc/81/54/33/583815433.db2.gz IZYFDZKZTXIGNL-SNVBAGLBSA-N 0 3 223.316 2.507 20 0 BFADHN CCC[C@H](CN[C@@H](c1ccccn1)C1CC1)OC ZINC000896608134 583817287 /nfs/dbraw/zinc/81/72/87/583817287.db2.gz CGOHVJZXPNPURM-UKRRQHHQSA-N 0 3 248.370 2.937 20 0 BFADHN c1ccc([C@@H](NC[C@@H]2CCC=CO2)C2CC2)nc1 ZINC000896611528 583817433 /nfs/dbraw/zinc/81/74/33/583817433.db2.gz BACOSFVGCBSIGO-ZFWWWQNUSA-N 0 3 244.338 2.815 20 0 BFADHN c1ccc([C@@H](NC[C@H]2CCC=CO2)C2CC2)nc1 ZINC000896611526 583817457 /nfs/dbraw/zinc/81/74/57/583817457.db2.gz BACOSFVGCBSIGO-HIFRSBDPSA-N 0 3 244.338 2.815 20 0 BFADHN CC(C)(/C=C\Cl)NC[C@@H]1CCC=CO1 ZINC000896748181 583830162 /nfs/dbraw/zinc/83/01/62/583830162.db2.gz UPMYHCHHOPPDGE-GFVADAIESA-N 0 3 215.724 2.800 20 0 BFADHN CCC[C@H](C)CN1CCn2cccc2C1 ZINC000896762209 583831464 /nfs/dbraw/zinc/83/14/64/583831464.db2.gz ZVSDEXPJLNFLIV-LBPRGKRZSA-N 0 3 206.333 2.740 20 0 BFADHN CCCCCCNC(=O)CN1CCC[C@H]1CC ZINC000727932074 583837854 /nfs/dbraw/zinc/83/78/54/583837854.db2.gz RKLLBACKHNPPIU-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN C[C@@H](Cc1ccoc1)NCc1ccnc(F)c1 ZINC000858149672 588935849 /nfs/dbraw/zinc/93/58/49/588935849.db2.gz RAOARUAGNQRPRA-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN Cc1ccc([C@@H](O)[C@H](C)NCc2ccoc2)cc1 ZINC000796486626 583856465 /nfs/dbraw/zinc/85/64/65/583856465.db2.gz PNOCKGNIKQSPEA-WFASDCNBSA-N 0 3 245.322 2.800 20 0 BFADHN CSCC1CCN(CC2(F)CC2)CC1 ZINC000896940866 583863100 /nfs/dbraw/zinc/86/31/00/583863100.db2.gz LZXOYEKORVKXAX-UHFFFAOYSA-N 0 3 217.353 2.564 20 0 BFADHN C[C@H](CNCc1ccnc(F)c1)c1ccccn1 ZINC000858187811 588938098 /nfs/dbraw/zinc/93/80/98/588938098.db2.gz MVMUUTUCNADVJX-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN OCc1cc(N[C@@H]2CCCC3(CC3)C2)ccn1 ZINC000897043266 583873961 /nfs/dbraw/zinc/87/39/61/583873961.db2.gz SFTFWKYHUBFCGI-GFCCVEGCSA-N 0 3 232.327 2.709 20 0 BFADHN CCO[C@H]1CCN(Cc2cc3ccccc3[nH]2)C1 ZINC000897067908 583876954 /nfs/dbraw/zinc/87/69/54/583876954.db2.gz QNXHEDLISQFUOO-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc3[nH]ccc3c2)C1 ZINC000897067834 583877091 /nfs/dbraw/zinc/87/70/91/583877091.db2.gz OHTQZUSLAUPPFY-AWEZNQCLSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1ccc(CNCc2ccnc(F)c2)s1 ZINC000858097969 588932434 /nfs/dbraw/zinc/93/24/34/588932434.db2.gz CDJOIYJQWPGXNY-UHFFFAOYSA-N 0 3 236.315 2.880 20 0 BFADHN c1cc(CN2CCC[C@@H](C3CCOCC3)C2)co1 ZINC000897269887 583908027 /nfs/dbraw/zinc/90/80/27/583908027.db2.gz RRXZJZSFHVUVEM-OAHLLOKOSA-N 0 3 249.354 2.918 20 0 BFADHN CC[C@@H]([NH2+]Cc1cncc([O-])c1)[C@@H]1CC1(C)C ZINC000897305567 583912778 /nfs/dbraw/zinc/91/27/78/583912778.db2.gz XOHJFTKUQSOOMV-QWHCGFSZSA-N 0 3 234.343 2.702 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H]([NH2+]Cc2cncc([O-])c2)C1 ZINC000897314982 583918127 /nfs/dbraw/zinc/91/81/27/583918127.db2.gz FOKDJIMSKYTAHK-UHIISALHSA-N 0 3 234.343 2.702 20 0 BFADHN CC[C@]1(C)CCC[C@@H]1[NH2+]Cc1cncc([O-])c1 ZINC000897316118 583918331 /nfs/dbraw/zinc/91/83/31/583918331.db2.gz VWLVVCCKDNWYTI-UONOGXRCSA-N 0 3 234.343 2.846 20 0 BFADHN [O-]c1cncc(C[NH2+]C2CC3(C2)CCCCC3)c1 ZINC000897316096 583918734 /nfs/dbraw/zinc/91/87/34/583918734.db2.gz VTBNLXCUDOVRTJ-UHFFFAOYSA-N 0 3 246.354 2.990 20 0 BFADHN CC(C)CCCC[NH2+]Cc1cncc([O-])c1 ZINC000897315596 583918744 /nfs/dbraw/zinc/91/87/44/583918744.db2.gz OOTKKEIZGYLFRP-UHFFFAOYSA-N 0 3 222.332 2.703 20 0 BFADHN CCCCC[C@@H](C)[NH2+]Cc1cncc([O-])c1 ZINC000897315584 583918982 /nfs/dbraw/zinc/91/89/82/583918982.db2.gz OKWAAYKGXHSUJR-LLVKDONJSA-N 0 3 222.332 2.846 20 0 BFADHN CCCC[C@@H](CC)[NH2+]Cc1cncc([O-])c1 ZINC000897315592 583919144 /nfs/dbraw/zinc/91/91/44/583919144.db2.gz OMTYTMADRVAUIR-GFCCVEGCSA-N 0 3 222.332 2.846 20 0 BFADHN CC[C@H](CN(C)C)OC(=O)[C@@]1(C)CC=CCC1 ZINC000870546195 583922036 /nfs/dbraw/zinc/92/20/36/583922036.db2.gz AAXJYFADWMFGRG-OCCSQVGLSA-N 0 3 239.359 2.616 20 0 BFADHN C/C=C/CNCc1cnc(Cl)c(C)c1 ZINC000858291439 588942338 /nfs/dbraw/zinc/94/23/38/588942338.db2.gz YZLYBPWMPAPTPU-ONEGZZNKSA-N 0 3 210.708 2.709 20 0 BFADHN CN(Cc1cccc(=O)[nH]1)CC1(C)CCCC1 ZINC000897391432 583948312 /nfs/dbraw/zinc/94/83/12/583948312.db2.gz OXAVYZTVLFFRIE-UHFFFAOYSA-N 0 3 234.343 2.799 20 0 BFADHN Cn1ncc(CNCC(C)(C)C2CC2)c1Cl ZINC000897437366 583979873 /nfs/dbraw/zinc/97/98/73/583979873.db2.gz JUSXZGZAUSVZIY-UHFFFAOYSA-N 0 3 241.766 2.599 20 0 BFADHN CC(C)(CNCc1cc(F)ncc1F)C1CC1 ZINC000897438528 583983456 /nfs/dbraw/zinc/98/34/56/583983456.db2.gz XCEMXUYINAFTMN-UHFFFAOYSA-N 0 3 240.297 2.886 20 0 BFADHN CC(C)(CNCc1ccc(Cl)nn1)C1CC1 ZINC000897438640 583983693 /nfs/dbraw/zinc/98/36/93/583983693.db2.gz YRZAQLVFOCCDGZ-UHFFFAOYSA-N 0 3 239.750 2.656 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@H](C3CC3)O2)c(C)o1 ZINC000897510575 583998859 /nfs/dbraw/zinc/99/88/59/583998859.db2.gz WNTYMIJSMWHIKS-LSDHHAIUSA-N 0 3 249.354 2.944 20 0 BFADHN Cc1ncc(CN2C[C@@H]3CCCC[C@@]32C)o1 ZINC000864055718 583999593 /nfs/dbraw/zinc/99/95/93/583999593.db2.gz WMKKZONOGWMDMM-AAEUAGOBSA-N 0 3 220.316 2.748 20 0 BFADHN CCc1ccc(CNc2ccnc(CO)c2)s1 ZINC000858350410 588948200 /nfs/dbraw/zinc/94/82/00/588948200.db2.gz UHOAHPMXNCZPHM-UHFFFAOYSA-N 0 3 248.351 2.810 20 0 BFADHN C[C@H]1CCCC[C@H]1CNc1ccnc(CO)c1 ZINC000858363514 588948608 /nfs/dbraw/zinc/94/86/08/588948608.db2.gz KBPCFUPCUNYQLK-RYUDHWBXSA-N 0 3 234.343 2.812 20 0 BFADHN CC[C@@]1(C)CCCN(c2ccnc(CO)c2)C1 ZINC000858429754 588953692 /nfs/dbraw/zinc/95/36/92/588953692.db2.gz QXLULQAXCQGXHE-AWEZNQCLSA-N 0 3 234.343 2.590 20 0 BFADHN CCC[C@@]1(C)CCCN(c2ccnc(CO)c2)C1 ZINC000858429870 588953725 /nfs/dbraw/zinc/95/37/25/588953725.db2.gz MPYPVAWPDNQDDO-HNNXBMFYSA-N 0 3 248.370 2.981 20 0 BFADHN CC(C)(C)SCCNc1ccnc(CO)c1 ZINC000858387335 588950040 /nfs/dbraw/zinc/95/00/40/588950040.db2.gz FYEBLTBYSBZJHH-UHFFFAOYSA-N 0 3 240.372 2.518 20 0 BFADHN Cc1[nH]ncc1CNc1cncc(C(C)C)c1 ZINC000897707247 584041784 /nfs/dbraw/zinc/04/17/84/584041784.db2.gz JBSATXBQLVBGMQ-UHFFFAOYSA-N 0 3 230.315 2.849 20 0 BFADHN Cc1ncoc1CN[C@@H]1CCCC2(CC2)C1 ZINC000897771255 584045014 /nfs/dbraw/zinc/04/50/14/584045014.db2.gz ATWCBNBXBXDCQB-LLVKDONJSA-N 0 3 220.316 2.795 20 0 BFADHN CCc1ccc(CNC2CC3(C2)CO[C@@H](C)C3)o1 ZINC000897779781 584047764 /nfs/dbraw/zinc/04/77/64/584047764.db2.gz ARHDCNKIOUQOQS-BZUNDVKYSA-N 0 3 249.354 2.889 20 0 BFADHN Cc1ncc(CN[C@H]2CCSC(C)(C)C2)o1 ZINC000897793022 584048740 /nfs/dbraw/zinc/04/87/40/584048740.db2.gz IHARPGRKAARPKF-JTQLQIEISA-N 0 3 240.372 2.747 20 0 BFADHN CCCc1ccc(CN[C@H]2CC23CC(O)C3)cc1 ZINC000897855216 584050278 /nfs/dbraw/zinc/05/02/78/584050278.db2.gz WRDWNBIHSXESRM-PCKAHOCUSA-N 0 3 245.366 2.642 20 0 BFADHN CCCCC1(NCc2ccnn2CC)CC1 ZINC000897936362 584062210 /nfs/dbraw/zinc/06/22/10/584062210.db2.gz VIJKNQUSCIUWDR-UHFFFAOYSA-N 0 3 221.348 2.715 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@H]3CCC[C@@H]32)cs1 ZINC000897952689 584063751 /nfs/dbraw/zinc/06/37/51/584063751.db2.gz IVYMIISQDNZNHL-ADEWGFFLSA-N 0 3 222.357 2.730 20 0 BFADHN CCc1nc(CN[C@@H]2C[C@H]3CCC[C@@H]32)cs1 ZINC000897953526 584063817 /nfs/dbraw/zinc/06/38/17/584063817.db2.gz QSGALIQJBHDUHX-ADEWGFFLSA-N 0 3 236.384 2.984 20 0 BFADHN CCc1nc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)cs1 ZINC000897953527 584064142 /nfs/dbraw/zinc/06/41/42/584064142.db2.gz QSGALIQJBHDUHX-MVWJERBFSA-N 0 3 236.384 2.984 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897954071 584064349 /nfs/dbraw/zinc/06/43/49/584064349.db2.gz XQFJNVFTMKVTCN-DMDPSCGWSA-N 0 3 220.316 2.570 20 0 BFADHN CCCn1nccc1CN[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897957660 584065750 /nfs/dbraw/zinc/06/57/50/584065750.db2.gz DIKNIOSTWQIMLR-KWCYVHTRSA-N 0 3 233.359 2.571 20 0 BFADHN CC(C)n1ccnc1CN[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897960244 584067829 /nfs/dbraw/zinc/06/78/29/584067829.db2.gz ZWPICWUMEOGBLA-YNEHKIRRSA-N 0 3 233.359 2.742 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000897960422 584067906 /nfs/dbraw/zinc/06/79/06/584067906.db2.gz SFVWRONALQXCPD-KGYLQXTDSA-N 0 3 232.327 2.830 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2C[C@H]3CCC[C@@H]32)n1 ZINC000897960363 584067990 /nfs/dbraw/zinc/06/79/90/584067990.db2.gz RJGHHLYWOLYRAJ-GRYCIOLGSA-N 0 3 233.359 2.811 20 0 BFADHN C[C@H]1c2ccccc2CN1c1ccnc(CO)c1 ZINC000858433230 588954392 /nfs/dbraw/zinc/95/43/92/588954392.db2.gz UIBNIDZDOIQAJI-NSHDSACASA-N 0 3 240.306 2.655 20 0 BFADHN CCn1cc(CNC[C@@H]2CCC=CCCC2)nn1 ZINC000898027917 584076543 /nfs/dbraw/zinc/07/65/43/584076543.db2.gz DFCPINUMRUYDCO-CYBMUJFWSA-N 0 3 248.374 2.524 20 0 BFADHN Cn1cnc(CNCCC2CCCCCC2)c1 ZINC000898043351 584080702 /nfs/dbraw/zinc/08/07/02/584080702.db2.gz MNEOEOKLVDLRIZ-UHFFFAOYSA-N 0 3 235.375 2.870 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CNCc1cn(C)cn1 ZINC000898043711 584081031 /nfs/dbraw/zinc/08/10/31/584081031.db2.gz YPVGDJYZHPDCAK-GXTWGEPZSA-N 0 3 233.359 2.502 20 0 BFADHN c1csc(C[C@H]2CCN(Cc3ccoc3)C2)n1 ZINC000898071236 584082577 /nfs/dbraw/zinc/08/25/77/584082577.db2.gz HVHHJRIAXOWJDG-LLVKDONJSA-N 0 3 248.351 2.801 20 0 BFADHN CCCC1(CNc2ccnc(CO)c2)CC1 ZINC000858445408 588955419 /nfs/dbraw/zinc/95/54/19/588955419.db2.gz ITQIGOYDCXSTEL-UHFFFAOYSA-N 0 3 220.316 2.566 20 0 BFADHN CC1(C)CCCC[C@@H]1Nc1ccnc(CO)c1 ZINC000858444144 588955497 /nfs/dbraw/zinc/95/54/97/588955497.db2.gz RQWCUZIIDYQCAH-ZDUSSCGKSA-N 0 3 234.343 2.955 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1c1ccnc(CO)c1 ZINC000858450975 588955953 /nfs/dbraw/zinc/95/59/53/588955953.db2.gz PNHBETFFZBADQC-DOMZBBRYSA-N 0 3 248.370 2.979 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CN1CC[C@H](O)[C@H](F)C1 ZINC000898187942 584094247 /nfs/dbraw/zinc/09/42/47/584094247.db2.gz JMOVOBAQGCJCCA-SYQHCUMBSA-N 0 3 243.366 2.608 20 0 BFADHN Cc1ccc(CNCCc2cccnc2C)o1 ZINC000898259696 584103082 /nfs/dbraw/zinc/10/30/82/584103082.db2.gz PWCCAXZHAVYISK-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN c1cc([C@H](NC[C@@H]2CCC=CO2)C2CC2)ccn1 ZINC000898322806 584107143 /nfs/dbraw/zinc/10/71/43/584107143.db2.gz RRADCRJAHMQPFM-LSDHHAIUSA-N 0 3 244.338 2.815 20 0 BFADHN CCOC[C@H](C)N[C@@H](c1ccncc1)C1CC1 ZINC000898323132 584107763 /nfs/dbraw/zinc/10/77/63/584107763.db2.gz YCONYCQSKVWSLG-SMDDNHRTSA-N 0 3 234.343 2.547 20 0 BFADHN CSCC(C)(C)NCc1cnn(C(C)C)c1 ZINC000898305502 584108945 /nfs/dbraw/zinc/10/89/45/584108945.db2.gz GMFVXXZUIWBOID-UHFFFAOYSA-N 0 3 241.404 2.695 20 0 BFADHN CC[C@H](O)CCN[C@@H](c1ccccn1)C(C)C ZINC000866287086 584117352 /nfs/dbraw/zinc/11/73/52/584117352.db2.gz IMDJHXKJLNEWNB-GXTWGEPZSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1cc([C@H](C)NCC[C@@H]2CCSC2)no1 ZINC000866403502 584118460 /nfs/dbraw/zinc/11/84/60/584118460.db2.gz QQBNKXYPPAFASI-WDEREUQCSA-N 0 3 240.372 2.777 20 0 BFADHN C[C@@H]1CN(c2ccnc(CO)c2)[C@@H]2CCCC[C@H]21 ZINC000858477734 588958887 /nfs/dbraw/zinc/95/88/87/588958887.db2.gz LGOZXQPHKAUZTQ-BYCMXARLSA-N 0 3 246.354 2.589 20 0 BFADHN COC(=O)C1=CC[C@H](N[C@@H](C)c2ccc(C)o2)C1 ZINC000858476799 588958941 /nfs/dbraw/zinc/95/89/41/588958941.db2.gz RCYJPDZOWUZGJD-JQWIXIFHSA-N 0 3 249.310 2.500 20 0 BFADHN COC(=O)C1=CC[C@H](N[C@@H](C)c2ccccc2)C1 ZINC000858477086 588958955 /nfs/dbraw/zinc/95/89/55/588958955.db2.gz UMJAFBQJXKYAPI-FZMZJTMJSA-N 0 3 245.322 2.599 20 0 BFADHN Cc1ccc(CNC2(C)C(C)(C)C2(C)C)nn1 ZINC000898413860 584131747 /nfs/dbraw/zinc/13/17/47/584131747.db2.gz CDBDDGDXEFVJPG-UHFFFAOYSA-N 0 3 233.359 2.699 20 0 BFADHN COC[C@H](CC(C)(C)C)NC/C=C\Cl ZINC000898428550 584132748 /nfs/dbraw/zinc/13/27/48/584132748.db2.gz JOYYAPFNGIGQDP-OMMCCPJFSA-N 0 3 219.756 2.780 20 0 BFADHN Cc1sccc1CNC/C=C\Cl ZINC000898429039 584132954 /nfs/dbraw/zinc/13/29/54/584132954.db2.gz LRXNAARFISYCHQ-RQOWECAXSA-N 0 3 201.722 2.899 20 0 BFADHN CC(C)(C)OC1CC(NC/C=C/Cl)C1 ZINC000898431629 584134596 /nfs/dbraw/zinc/13/45/96/584134596.db2.gz AYQPKDHHJFATAP-SNAWJCMRSA-N 0 3 217.740 2.675 20 0 BFADHN CCCC[C@@H](COC)NC/C=C/Cl ZINC000898432422 584135350 /nfs/dbraw/zinc/13/53/50/584135350.db2.gz FSSCYKZLVRVMNF-STUBTGCMSA-N 0 3 205.729 2.534 20 0 BFADHN COC[C@@H](Cc1ccccc1)NC/C=C\Cl ZINC000898432495 584135399 /nfs/dbraw/zinc/13/53/99/584135399.db2.gz GFWSRLWQUUTJPC-DMTLFAOVSA-N 0 3 239.746 2.586 20 0 BFADHN COc1ccc(C[C@@H](C)NC/C=C/Cl)cc1 ZINC000898432457 584135404 /nfs/dbraw/zinc/13/54/04/584135404.db2.gz FWRVGCMMBUTSLL-TXGYYHNKSA-N 0 3 239.746 2.968 20 0 BFADHN COC[C@H](CC(C)C)N[C@H](C)c1ccns1 ZINC000858576125 588968035 /nfs/dbraw/zinc/96/80/35/588968035.db2.gz HNILCLSDNSTSHL-MNOVXSKESA-N 0 3 242.388 2.855 20 0 BFADHN Fc1cccc(NC[C@H]2CCN2C2CCCC2)n1 ZINC000858780994 588976508 /nfs/dbraw/zinc/97/65/08/588976508.db2.gz UZIZGUAXVSAJRZ-GFCCVEGCSA-N 0 3 249.333 2.650 20 0 BFADHN Cc1ccccc1-c1cc(CNC2CC2)on1 ZINC000901388967 584436859 /nfs/dbraw/zinc/43/68/59/584436859.db2.gz ZYTRSJJBVDZONM-UHFFFAOYSA-N 0 3 228.295 2.902 20 0 BFADHN Cc1ccc(-c2cc(CNC3CC3)on2)cc1 ZINC000901400027 584438380 /nfs/dbraw/zinc/43/83/80/584438380.db2.gz JKUFHORYQOLCQD-UHFFFAOYSA-N 0 3 228.295 2.902 20 0 BFADHN Fc1cccc(-c2cc(CNC3CC3)on2)c1 ZINC000901399015 584438848 /nfs/dbraw/zinc/43/88/48/584438848.db2.gz BOGMXXHIKIRDBC-UHFFFAOYSA-N 0 3 232.258 2.733 20 0 BFADHN CCCCC[C@@H](C)NCc1cn(CC)cn1 ZINC000902027783 584513133 /nfs/dbraw/zinc/51/31/33/584513133.db2.gz ZQJNSZNMLNQLNS-GFCCVEGCSA-N 0 3 223.364 2.961 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1cn(CC)cn1 ZINC000902053638 584518142 /nfs/dbraw/zinc/51/81/42/584518142.db2.gz LLJGYOYDKCMYHP-GXTWGEPZSA-N 0 3 235.375 2.961 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1cn(CC)cn1 ZINC000902053641 584518286 /nfs/dbraw/zinc/51/82/86/584518286.db2.gz LLJGYOYDKCMYHP-TZMCWYRMSA-N 0 3 235.375 2.961 20 0 BFADHN CNc1ccccc1CNCCOC1CCCC1 ZINC000902122896 584528657 /nfs/dbraw/zinc/52/86/57/584528657.db2.gz YWGVFPXNHPOZEV-UHFFFAOYSA-N 0 3 248.370 2.777 20 0 BFADHN CCCC(C)(C)NCc1cn(CC)cn1 ZINC000902225320 584541270 /nfs/dbraw/zinc/54/12/70/584541270.db2.gz DTRYJMSCAIBSJV-UHFFFAOYSA-N 0 3 209.337 2.571 20 0 BFADHN CCn1cnc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)c1 ZINC000902232673 584542723 /nfs/dbraw/zinc/54/27/23/584542723.db2.gz JMKFWGBDTQOBQC-YRGRVCCFSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1cnc(CNCCCC(C)(C)C)c1 ZINC000902261194 584545152 /nfs/dbraw/zinc/54/51/52/584545152.db2.gz LLMPSUGOVYPSNP-UHFFFAOYSA-N 0 3 223.364 2.819 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2cn(CC)cn2)C1 ZINC000902263697 584545385 /nfs/dbraw/zinc/54/53/85/584545385.db2.gz CJHZWKHJJOZVJU-CHWSQXEVSA-N 0 3 235.375 2.961 20 0 BFADHN CCCCN(CC)Cc1ccnc(F)c1 ZINC000859056897 588994746 /nfs/dbraw/zinc/99/47/46/588994746.db2.gz YEGNACGCMLHIDU-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN Cc1cc(OC[C@@H]2C[C@@]23CCOC3)c(C)c(C)n1 ZINC000902418685 584591843 /nfs/dbraw/zinc/59/18/43/584591843.db2.gz QRHZCBADHBEEQS-DZGCQCFKSA-N 0 3 247.338 2.812 20 0 BFADHN COc1cc(COc2cc(C)nc(C)c2C)on1 ZINC000902420264 584592568 /nfs/dbraw/zinc/59/25/68/584592568.db2.gz ZTQIDSOGEKTDJT-UHFFFAOYSA-N 0 3 248.282 2.582 20 0 BFADHN CCn1cnc(CNC2CCC(F)(F)CC2)c1 ZINC000902433357 584596127 /nfs/dbraw/zinc/59/61/27/584596127.db2.gz SUWAORHXLITUNR-UHFFFAOYSA-N 0 3 243.301 2.571 20 0 BFADHN CCn1cnc(CNCCCc2cccs2)c1 ZINC000902447792 584604782 /nfs/dbraw/zinc/60/47/82/584604782.db2.gz VQDOBJPCPMJJLS-UHFFFAOYSA-N 0 3 249.383 2.687 20 0 BFADHN CCn1cnc(CNCCc2sccc2C)c1 ZINC000902454911 584609399 /nfs/dbraw/zinc/60/93/99/584609399.db2.gz JFZGOSUYTJOOPO-UHFFFAOYSA-N 0 3 249.383 2.605 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2csc(N)n2)C1 ZINC000902492022 584618005 /nfs/dbraw/zinc/61/80/05/584618005.db2.gz VSLNMXKTOJPRCP-VHSXEESVSA-N 0 3 239.388 2.784 20 0 BFADHN CC(C)[C@@H]1CCC[C@H]1NCc1csc(N)n1 ZINC000902492000 584618090 /nfs/dbraw/zinc/61/80/90/584618090.db2.gz VAMJJUQCKAVWPJ-WDEREUQCSA-N 0 3 239.388 2.640 20 0 BFADHN CC(C)CC[C@H](C)NCc1csc(N)n1 ZINC000902490538 584618400 /nfs/dbraw/zinc/61/84/00/584618400.db2.gz WGFZLDACSITIIH-VIFPVBQESA-N 0 3 227.377 2.640 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1csc(N)n1 ZINC000902498954 584619794 /nfs/dbraw/zinc/61/97/94/584619794.db2.gz JKVOFXSGFBUHDC-MWLCHTKSSA-N 0 3 239.388 2.784 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2csc(N)n2)C[C@@H]1C ZINC000902498690 584619865 /nfs/dbraw/zinc/61/98/65/584619865.db2.gz INWFDQVLUYXZNB-KXUCPTDWSA-N 0 3 239.388 2.640 20 0 BFADHN C[C@H]1CC(C)(C)C[C@H]1NCc1csc(N)n1 ZINC000902498673 584619869 /nfs/dbraw/zinc/61/98/69/584619869.db2.gz IBTPWKQWTZYATR-WCBMZHEXSA-N 0 3 239.388 2.640 20 0 BFADHN CCN(Cc1cc(Cl)n(C)n1)[C@H](C)C(C)C ZINC000859093517 589000613 /nfs/dbraw/zinc/00/06/13/589000613.db2.gz INMVYBYLRLWAFO-SNVBAGLBSA-N 0 3 243.782 2.940 20 0 BFADHN CCn1cnc(CN[C@H]2CCCC(C)(C)C2)c1 ZINC000902521923 584631617 /nfs/dbraw/zinc/63/16/17/584631617.db2.gz UBMIZAUWHLPTLI-LBPRGKRZSA-N 0 3 235.375 2.961 20 0 BFADHN CNc1ccccc1CN[C@H]1CS[C@H](C)C1 ZINC000902596554 584635606 /nfs/dbraw/zinc/63/56/06/584635606.db2.gz ONTINJPHJFCQOL-ZYHUDNBSSA-N 0 3 236.384 2.712 20 0 BFADHN CCNc1ccccc1CNCC1=CCOCC1 ZINC000902577958 584637779 /nfs/dbraw/zinc/63/77/79/584637779.db2.gz JYASJNSZSYVYFB-UHFFFAOYSA-N 0 3 246.354 2.555 20 0 BFADHN CCn1cnc(CN[C@H](C2CC2)C2CCC2)c1 ZINC000902585127 584639131 /nfs/dbraw/zinc/63/91/31/584639131.db2.gz COASUWQCTFIPKN-AWEZNQCLSA-N 0 3 233.359 2.571 20 0 BFADHN CNc1ccc(C)cc1CN[C@@H]1CCC[C@H]1OC ZINC000902585656 584639808 /nfs/dbraw/zinc/63/98/08/584639808.db2.gz TXPBOQKNKLHFQF-HUUCEWRRSA-N 0 3 248.370 2.694 20 0 BFADHN CC[C@H](NCc1cn(CC)cn1)[C@H]1CC1(C)C ZINC000902600194 584640848 /nfs/dbraw/zinc/64/08/48/584640848.db2.gz RZKXFJLBTKAEEO-OLZOCXBDSA-N 0 3 235.375 2.817 20 0 BFADHN CCNc1ccccc1CN[C@H]1CC12CC2 ZINC000902607321 584642353 /nfs/dbraw/zinc/64/23/53/584642353.db2.gz PQDRKAHYYRHAQH-ZDUSSCGKSA-N 0 3 216.328 2.761 20 0 BFADHN CNc1ccc(C)cc1CN[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000902630587 584646865 /nfs/dbraw/zinc/64/68/65/584646865.db2.gz PPWOUKRPKXHFEF-LALPHHSUSA-N 0 3 248.370 2.692 20 0 BFADHN COc1ccc([C@@H](C)N[C@@H]2CO[C@@H](C)C2)cc1C ZINC000902666412 584653849 /nfs/dbraw/zinc/65/38/49/584653849.db2.gz PJQYEVBEQLFHSG-SCRDCRAPSA-N 0 3 249.354 2.832 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](c2ccncc2)C2CC2)CO1 ZINC000902719663 584658169 /nfs/dbraw/zinc/65/81/69/584658169.db2.gz QIJDJANVLDGDIK-RRFJBIMHSA-N 0 3 246.354 2.690 20 0 BFADHN c1nc(CN[C@@H]2C[C@H]3CCC[C@H]3C2)cs1 ZINC000902782287 584670707 /nfs/dbraw/zinc/67/07/07/584670707.db2.gz CIDPKBIUSOXIEY-JGPRNRPPSA-N 0 3 222.357 2.811 20 0 BFADHN CCc1cc(CNC2C[C@H]3CCC[C@@H]3C2)on1 ZINC000902817022 584678361 /nfs/dbraw/zinc/67/83/61/584678361.db2.gz UIJZVMPGCGJELB-GHMZBOCLSA-N 0 3 234.343 2.905 20 0 BFADHN CC(C)N(Cc1ccnc(F)c1)C1CC1 ZINC000859077180 588998261 /nfs/dbraw/zinc/99/82/61/588998261.db2.gz OHJCXCHHNHBHHM-UHFFFAOYSA-N 0 3 208.280 2.594 20 0 BFADHN Fc1cccc(CN[C@@H]2C[C@H]3CCC[C@H]3C2)n1 ZINC000902884800 584688893 /nfs/dbraw/zinc/68/88/93/584688893.db2.gz MQVNHPRQNNELPP-PTEHBNRSSA-N 0 3 234.318 2.889 20 0 BFADHN Fc1cc(CN2CCC[C@@H]2C2CC2)ccn1 ZINC000859118534 589004870 /nfs/dbraw/zinc/00/48/70/589004870.db2.gz CWJNTAPYZZADJQ-GFCCVEGCSA-N 0 3 220.291 2.595 20 0 BFADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2NCCF ZINC000902978618 584708028 /nfs/dbraw/zinc/70/80/28/584708028.db2.gz JCOBMAYMZLDAOV-HZMBPMFUSA-N 0 3 237.318 2.878 20 0 BFADHN C[C@@H]1C[C@H](CN2CCC[C@@H](F)C2)C[C@H](C)O1 ZINC000902991635 584710272 /nfs/dbraw/zinc/71/02/72/584710272.db2.gz XPULFZNVSUQZNH-MROQNXINSA-N 0 3 229.339 2.624 20 0 BFADHN CCc1nc(CNC2C[C@@H]3CCC[C@H]3C2)co1 ZINC000903011188 584717530 /nfs/dbraw/zinc/71/75/30/584717530.db2.gz CFGQVEWKVWHWCY-QWRGUYRKSA-N 0 3 234.343 2.905 20 0 BFADHN CCC[C@@H](N[C@@H](C)c1cn(C)nn1)C(C)(C)C ZINC000903007760 584717678 /nfs/dbraw/zinc/71/76/78/584717678.db2.gz HHHVZIWDUWGAEZ-CMPLNLGQSA-N 0 3 238.379 2.681 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC000903088110 584725288 /nfs/dbraw/zinc/72/52/88/584725288.db2.gz JHJCWBBVSCLZMF-DOERSZECSA-N 0 3 245.370 2.955 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@H]1CCCC12CC2 ZINC000903071531 584726411 /nfs/dbraw/zinc/72/64/11/584726411.db2.gz HKTNMYIQGVOXBE-RYUDHWBXSA-N 0 3 231.343 2.709 20 0 BFADHN CC[C@H](C)[C@@H](O)CN[C@H](c1ccccn1)C1CC1 ZINC000903096751 584729204 /nfs/dbraw/zinc/72/92/04/584729204.db2.gz KDBVPZGYKWIDDX-CQDKDKBSSA-N 0 3 248.370 2.529 20 0 BFADHN CS[C@H](C)CN[C@@H](c1ccccn1)C1CC1 ZINC000903127601 584729644 /nfs/dbraw/zinc/72/96/44/584729644.db2.gz IZTZVDHNMVXVFH-ZWNOBZJWSA-N 0 3 236.384 2.874 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2cocn2)[C@H]1C ZINC000903138518 584730348 /nfs/dbraw/zinc/73/03/48/584730348.db2.gz WCUILHPRYFSHIP-MPPDQPJWSA-N 0 3 222.332 2.835 20 0 BFADHN CO[C@@H]1CCC[C@@H]1NC1(c2ccccc2F)CC1 ZINC000903137483 584730442 /nfs/dbraw/zinc/73/04/42/584730442.db2.gz MPXQZMBWVIERBR-UONOGXRCSA-N 0 3 249.329 2.972 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@]13C[C@H]1CCCC3)CCC2 ZINC000903161612 584731399 /nfs/dbraw/zinc/73/13/99/584731399.db2.gz LUBKHAGXWWHOIY-NFAWXSAZSA-N 0 3 245.370 2.888 20 0 BFADHN c1cn2c(n1)[C@H](NC[C@@]13C[C@@H]1CCCC3)CCC2 ZINC000903161611 584731502 /nfs/dbraw/zinc/73/15/02/584731502.db2.gz LUBKHAGXWWHOIY-GZBFAFLISA-N 0 3 245.370 2.888 20 0 BFADHN C[C@H](NC[C@]12C[C@H]1CCCC2)c1ccn(C)n1 ZINC000903161425 584731520 /nfs/dbraw/zinc/73/15/20/584731520.db2.gz IDAYLGJVFAMBHX-SCRDCRAPSA-N 0 3 233.359 2.651 20 0 BFADHN CC(C)C1CC(NCc2cc(N(C)C)ccn2)C1 ZINC000903199162 584734836 /nfs/dbraw/zinc/73/48/36/584734836.db2.gz VPVOTXUMEOMTGY-UHFFFAOYSA-N 0 3 247.386 2.672 20 0 BFADHN CN(C)c1ccnc(CN[C@H]2CCCC23CC3)c1 ZINC000903197146 584735071 /nfs/dbraw/zinc/73/50/71/584735071.db2.gz LKDRSGGJWDEJCF-AWEZNQCLSA-N 0 3 245.370 2.570 20 0 BFADHN C[C@H](NCc1cc(N(C)C)ccn1)C(C)(C)C ZINC000903202013 584735305 /nfs/dbraw/zinc/73/53/05/584735305.db2.gz UHJYEFXTKZRTQE-NSHDSACASA-N 0 3 235.375 2.672 20 0 BFADHN C[C@H]1C[C@@H](NCC(C)(C)C2CC2)c2nccn21 ZINC000903239213 584738055 /nfs/dbraw/zinc/73/80/55/584738055.db2.gz BELXUQFHTGCJRY-CMPLNLGQSA-N 0 3 233.359 2.915 20 0 BFADHN C[C@@H](NCC1(C2(O)CCC2)CC1)c1ccoc1 ZINC000903259766 584740432 /nfs/dbraw/zinc/74/04/32/584740432.db2.gz PBZCXWQCFJGVHD-LLVKDONJSA-N 0 3 235.327 2.625 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCN(C)[C@H]2C)oc1C ZINC000903300087 584744052 /nfs/dbraw/zinc/74/40/52/584744052.db2.gz FWPZPQAHZFXVOV-GVXVVHGQSA-N 0 3 236.359 2.640 20 0 BFADHN c1cnc([C@H](N[C@@H]2CCC[C@H]3C[C@H]32)C2CC2)nc1 ZINC000903322313 584747182 /nfs/dbraw/zinc/74/71/82/584747182.db2.gz IADQVXDQJKUOHB-REWJHTLYSA-N 0 3 243.354 2.706 20 0 BFADHN C[C@H](N[C@@H](C)C1(Cl)CC1)c1cncs1 ZINC000903310796 584748305 /nfs/dbraw/zinc/74/83/05/584748305.db2.gz LJZWTIXEZCYOOP-YUMQZZPRSA-N 0 3 230.764 2.954 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCC[C@@H]12)c1cncs1 ZINC000903316225 584749758 /nfs/dbraw/zinc/74/97/58/584749758.db2.gz IQNTUAMMFVJATJ-UKKRHICBSA-N 0 3 222.357 2.982 20 0 BFADHN CCC[C@@H](NC[C@@H]1CCN1C)c1cc(C)ccn1 ZINC000903316987 584749999 /nfs/dbraw/zinc/74/99/99/584749999.db2.gz RLXPFUHFJZKQGI-UONOGXRCSA-N 0 3 247.386 2.525 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2C[C@@H]3CCC[C@H]23)nn1 ZINC000903316541 584750112 /nfs/dbraw/zinc/75/01/12/584750112.db2.gz JUEYVRICOILFNL-ZDEQEGDKSA-N 0 3 248.374 2.698 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2C[C@H]3CCC[C@@H]32)nn1 ZINC000903316537 584750242 /nfs/dbraw/zinc/75/02/42/584750242.db2.gz JUEYVRICOILFNL-QNWHQSFQSA-N 0 3 248.374 2.698 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000903317280 584750327 /nfs/dbraw/zinc/75/03/27/584750327.db2.gz LYDNHTCJSMNISA-QNWHQSFQSA-N 0 3 231.343 2.624 20 0 BFADHN COc1ccnc([C@H](C)N[C@@H]2C[C@H]3CCC[C@H]32)c1 ZINC000903318128 584750493 /nfs/dbraw/zinc/75/04/93/584750493.db2.gz OFKIBSANAONMDG-FMVNMVDZSA-N 0 3 246.354 2.929 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H](c2ccccn2)C2CC2)CO1 ZINC000903334558 584751199 /nfs/dbraw/zinc/75/11/99/584751199.db2.gz BZWQCASOXHUXOX-UMVBOHGHSA-N 0 3 246.354 2.690 20 0 BFADHN C[C@H](N[C@H](c1ccccn1)C1CC1)[C@@H]1CCCO1 ZINC000903335916 584751433 /nfs/dbraw/zinc/75/14/33/584751433.db2.gz UTNYEWLBLMVPAH-CQDKDKBSSA-N 0 3 246.354 2.690 20 0 BFADHN c1ccc([C@H](N[C@H]2CCSC2)C2CC2)nc1 ZINC000903337328 584751685 /nfs/dbraw/zinc/75/16/85/584751685.db2.gz CQTUNCQFAJOVLU-WCQYABFASA-N 0 3 234.368 2.628 20 0 BFADHN CCOC[C@@H](C)N[C@@H](c1ccccn1)C1CC1 ZINC000903339808 584752326 /nfs/dbraw/zinc/75/23/26/584752326.db2.gz NCJQNBDZQXASOY-BXUZGUMPSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@H](NCC[C@@H]1CCC(F)(F)C1)c1ncc[nH]1 ZINC000903341929 584752513 /nfs/dbraw/zinc/75/25/13/584752513.db2.gz VFLSDMOUJFHTKV-UWVGGRQHSA-N 0 3 243.301 2.886 20 0 BFADHN C[C@@H](NCCN1CCC(C)(C)C1)c1ccoc1 ZINC000903344611 584752798 /nfs/dbraw/zinc/75/27/98/584752798.db2.gz QXHHQUIECHKUDF-GFCCVEGCSA-N 0 3 236.359 2.662 20 0 BFADHN CCc1ccc([C@@H](C)NC2CC(CCO)C2)o1 ZINC000903329751 584753873 /nfs/dbraw/zinc/75/38/73/584753873.db2.gz MWMBFEJANPGQID-VOMCLLRMSA-N 0 3 237.343 2.654 20 0 BFADHN CC(C)C[C@H](C)N[C@@H](c1ncccn1)C1CC1 ZINC000903329941 584754104 /nfs/dbraw/zinc/75/41/04/584754104.db2.gz GLRVCXIKANCMOR-WCQYABFASA-N 0 3 233.359 2.952 20 0 BFADHN COC(C)(C)[C@H](C)N[C@H](C)c1cnccc1C ZINC000903329313 584754131 /nfs/dbraw/zinc/75/41/31/584754131.db2.gz BNQGVWBLTFTTQE-NEPJUHHUSA-N 0 3 236.359 2.854 20 0 BFADHN CCC[C@H](C)[C@@H](CC)NCc1cn(C)cn1 ZINC000903351087 584755362 /nfs/dbraw/zinc/75/53/62/584755362.db2.gz HGLDJIZLBMQSFJ-WCQYABFASA-N 0 3 223.364 2.725 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@@H]1NCc1cn(C)cn1 ZINC000903353134 584755928 /nfs/dbraw/zinc/75/59/28/584755928.db2.gz ZJDVVBJJIXDAMJ-BZPMIXESSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@H](N[C@@H]1COC[C@@H]1C)c1cc2cnccc2o1 ZINC000903393032 584756193 /nfs/dbraw/zinc/75/61/93/584756193.db2.gz DXAPMCZJPCQPCF-JBLDHEPKSA-N 0 3 246.310 2.513 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2COC[C@@H]2C)oc1C ZINC000903393734 584756599 /nfs/dbraw/zinc/75/65/99/584756599.db2.gz SEAPBBVNDMDKQY-NHCYSSNCSA-N 0 3 223.316 2.582 20 0 BFADHN C[C@@H]1COC[C@H]1N[C@H]1CCCc2ccc(F)cc21 ZINC000903395534 584757096 /nfs/dbraw/zinc/75/70/96/584757096.db2.gz LFDSCEJMPTZEPN-WKPIXPDZSA-N 0 3 249.329 2.828 20 0 BFADHN C[C@@H](NCC[C@H](O)C1CC1)c1cccc(F)c1 ZINC000903404357 584758186 /nfs/dbraw/zinc/75/81/86/584758186.db2.gz JCVDPSZSQDMWAU-YGRLFVJLSA-N 0 3 237.318 2.637 20 0 BFADHN Cc1cc([C@@H](C)NCC[C@H](O)C2CC2)oc1C ZINC000903405163 584758384 /nfs/dbraw/zinc/75/83/84/584758384.db2.gz BEZFMIVNJIYPID-MFKMUULPSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CC=CC[C@H]2C)nn1C ZINC000903414069 584759755 /nfs/dbraw/zinc/75/97/55/584759755.db2.gz UOTSFOINGCYMMB-RAIGVLPGSA-N 0 3 233.359 2.734 20 0 BFADHN C[C@H](N[C@H]1COC[C@H]1C1CC1)c1cccc(F)c1 ZINC000903435277 584762021 /nfs/dbraw/zinc/76/20/21/584762021.db2.gz CCHSRFJCQUZYDD-LKTVYLICSA-N 0 3 249.329 2.901 20 0 BFADHN C[C@H](N[C@H]1COC[C@@H]1C1CC1)c1ccsc1 ZINC000903438898 584762533 /nfs/dbraw/zinc/76/25/33/584762533.db2.gz YSFTZWFQKFJPRM-BIMULSAOSA-N 0 3 237.368 2.824 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2COC[C@@H]2C2CC2)c(C)o1 ZINC000903439209 584762745 /nfs/dbraw/zinc/76/27/45/584762745.db2.gz ZUHZOPGXYASACK-VQISRLSMSA-N 0 3 249.354 2.972 20 0 BFADHN C[C@@H](NC/C=C\Cl)c1cccc(CO)c1 ZINC000903445196 584769300 /nfs/dbraw/zinc/76/93/00/584769300.db2.gz SEVWPXPCTYTICN-XICOKMJESA-N 0 3 225.719 2.582 20 0 BFADHN CC1(C)Nc2ccccc2[C@@H]1NCCOC1CC1 ZINC000903482228 584779004 /nfs/dbraw/zinc/77/90/04/584779004.db2.gz ZOIBMLFTICLMGW-AWEZNQCLSA-N 0 3 246.354 2.700 20 0 BFADHN CC1(C)Nc2ccccc2[C@H]1NCCOC1CC1 ZINC000903482229 584779062 /nfs/dbraw/zinc/77/90/62/584779062.db2.gz ZOIBMLFTICLMGW-CQSZACIVSA-N 0 3 246.354 2.700 20 0 BFADHN C[C@@H]1CN(Cc2ccnc(F)c2)C(C)(C)C1 ZINC000859154043 589010790 /nfs/dbraw/zinc/01/07/90/589010790.db2.gz UQMMTHDMPHBESH-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN Cc1ccc(CNCc2cc(N)ccn2)cc1C ZINC000903950908 584830980 /nfs/dbraw/zinc/83/09/80/584830980.db2.gz SVXVOKBOJFQLFP-UHFFFAOYSA-N 0 3 241.338 2.570 20 0 BFADHN Cc1ccc(CNCc2cc(N)ccn2)c(C)c1 ZINC000903950664 584831088 /nfs/dbraw/zinc/83/10/88/584831088.db2.gz QCVWUVJCZRNLMA-UHFFFAOYSA-N 0 3 241.338 2.570 20 0 BFADHN C[C@H](CC1CCCC1)NCc1cc(N)ccn1 ZINC000903953687 584831365 /nfs/dbraw/zinc/83/13/65/584831365.db2.gz IYKYUMYOONNXML-LLVKDONJSA-N 0 3 233.359 2.722 20 0 BFADHN Cc1ccc(C2(NCCF)CCC2)cc1 ZINC000646130823 584889227 /nfs/dbraw/zinc/88/92/27/584889227.db2.gz LHVHLANTDVZKGA-UHFFFAOYSA-N 0 3 207.292 2.933 20 0 BFADHN CCC[C@H](C)CCCN1CCC2(C1)OCCO2 ZINC000859186029 589015858 /nfs/dbraw/zinc/01/58/58/589015858.db2.gz DHZWGJTUBYECKQ-ZDUSSCGKSA-N 0 3 241.375 2.652 20 0 BFADHN FCCN1CCC[C@@H](Cc2ccccc2)C1 ZINC000759349576 584906741 /nfs/dbraw/zinc/90/67/41/584906741.db2.gz ZLCUQJUZOOYBHZ-AWEZNQCLSA-N 0 3 221.319 2.911 20 0 BFADHN COc1ccc(C)cc1CN1CC[C@H]2C[C@H]21 ZINC000761089446 584909461 /nfs/dbraw/zinc/90/94/61/584909461.db2.gz RSYAJMHUDAECNA-WCQYABFASA-N 0 3 217.312 2.598 20 0 BFADHN c1csc(CNC[C@H]2CCc3ccccc32)n1 ZINC000732230076 584934643 /nfs/dbraw/zinc/93/46/43/584934643.db2.gz ZSHKCMNJKOGCOE-GFCCVEGCSA-N 0 3 244.363 2.963 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1c1cc(C)nc(N)n1 ZINC000767813347 584947245 /nfs/dbraw/zinc/94/72/45/584947245.db2.gz HHCUWDQFEGNPFM-ZYHUDNBSSA-N 0 3 248.374 2.772 20 0 BFADHN Cc1nonc1CN1CC[C@H](C)[C@@H]2CCCC[C@@H]21 ZINC000734151734 584957702 /nfs/dbraw/zinc/95/77/02/584957702.db2.gz LKLPSWDRNSILJG-JKOKRWQUSA-N 0 3 249.358 2.779 20 0 BFADHN CCCCCCNC(=O)[C@H](CC)N(CC)CC ZINC000735803333 584981883 /nfs/dbraw/zinc/98/18/83/584981883.db2.gz BXVCGFWUSUWLIS-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN COC[C@@H]1CCCN1CCCCC(F)(F)F ZINC000155022427 585010779 /nfs/dbraw/zinc/01/07/79/585010779.db2.gz FVYOXWKQMMZJRS-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN c1ccc2c(c1)SC[C@@H]2N[C@H]1CCCOC1 ZINC000156281760 585021734 /nfs/dbraw/zinc/02/17/34/585021734.db2.gz MPSAZLIJTMYLKD-JQWIXIFHSA-N 0 3 235.352 2.602 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2C[C@H]2CC(C)C)n(C)n1 ZINC000840787230 585031144 /nfs/dbraw/zinc/03/11/44/585031144.db2.gz BJQGUWKPKFHNMX-JHJVBQTASA-N 0 3 235.375 2.814 20 0 BFADHN CC(C)[C@@H](CN(C)C)NC(=O)CCC(C)(C)C ZINC000737851666 585038858 /nfs/dbraw/zinc/03/88/58/585038858.db2.gz ZSPKTVCUDOWEIB-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN C/C=C\C(=O)Nc1ccc(CN(C)C)c(C)c1 ZINC000838280725 585051844 /nfs/dbraw/zinc/05/18/44/585051844.db2.gz QEBLBUGNXFEQGM-WAYWQWQTSA-N 0 3 232.327 2.571 20 0 BFADHN C/C=C(/C)C(=O)Nc1ccc(CN(C)C)c(C)c1 ZINC000838278598 585052982 /nfs/dbraw/zinc/05/29/82/585052982.db2.gz XFNMTYNADKEOHZ-WDZFZDKYSA-N 0 3 246.354 2.961 20 0 BFADHN Clc1cnn(CCN2CCCCCCC2)c1 ZINC000838784030 585069915 /nfs/dbraw/zinc/06/99/15/585069915.db2.gz NGPCFLOWHLQVOX-UHFFFAOYSA-N 0 3 241.766 2.803 20 0 BFADHN CCCC[C@H](CC)CNCc1cnn(CC)n1 ZINC000838892170 585078830 /nfs/dbraw/zinc/07/88/30/585078830.db2.gz QNQGQWVBLHGCBW-LBPRGKRZSA-N 0 3 238.379 2.604 20 0 BFADHN C[C@H](c1ccco1)N(C[C@@H](O)C1CC1)C1CC1 ZINC000838901294 585080329 /nfs/dbraw/zinc/08/03/29/585080329.db2.gz SFANGWABYZFSLM-ZWNOBZJWSA-N 0 3 235.327 2.576 20 0 BFADHN CC(C)OC1CC(N2CCC(F)(F)CC2)C1 ZINC000838977022 585083589 /nfs/dbraw/zinc/08/35/89/585083589.db2.gz IFRIPKZRQWIUII-UHFFFAOYSA-N 0 3 233.302 2.674 20 0 BFADHN Fc1cc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)c(F)cn1 ZINC000839192520 585097513 /nfs/dbraw/zinc/09/75/13/585097513.db2.gz VJKWWTQWGVKOOG-UBHAPETDSA-N 0 3 238.281 2.638 20 0 BFADHN FCc1ccc(CN2CCC[C@@H]3COC[C@@H]32)cc1 ZINC000839192192 585097603 /nfs/dbraw/zinc/09/76/03/585097603.db2.gz IECUOURMSRROIR-CABCVRRESA-N 0 3 249.329 2.767 20 0 BFADHN CCCCCCN(C)CC(=O)N1CCC1(C)C ZINC000740727650 585106101 /nfs/dbraw/zinc/10/61/01/585106101.db2.gz WOWLHTIODWZLMM-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1cc(CNCCc2cc(C)cc(C)c2)[nH]n1 ZINC000740900216 585107975 /nfs/dbraw/zinc/10/79/75/585107975.db2.gz MQORHVXDRXZXFX-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN C[C@H](C(=O)OC/C=C\Cl)N1[C@H](C)CC[C@@H]1C ZINC000741021103 585109151 /nfs/dbraw/zinc/10/91/51/585109151.db2.gz BPNZBLRTGDUDSN-SHGSAJQJSA-N 0 3 245.750 2.543 20 0 BFADHN COc1cc(CCNCc2ccoc2)ccc1C ZINC000741255379 585111383 /nfs/dbraw/zinc/11/13/83/585111383.db2.gz UZSUADHRFIPBHA-UHFFFAOYSA-N 0 3 245.322 2.929 20 0 BFADHN Cc1ccc([C@H](O)CNCc2ccccc2C)o1 ZINC000741259796 585111918 /nfs/dbraw/zinc/11/19/18/585111918.db2.gz BBOZBNIFUZGVSX-CQSZACIVSA-N 0 3 245.322 2.720 20 0 BFADHN CCCCOC(=O)[C@@H](C)N(CC)C1CCCC1 ZINC000741776465 585118336 /nfs/dbraw/zinc/11/83/36/585118336.db2.gz IPHQMFKYMULGSA-GFCCVEGCSA-N 0 3 241.375 2.983 20 0 BFADHN CCCOC(=O)[C@@H](C)N(C(C)C)C1CCCC1 ZINC000741780229 585118464 /nfs/dbraw/zinc/11/84/64/585118464.db2.gz RSTBWBXKVTVRQZ-GFCCVEGCSA-N 0 3 241.375 2.981 20 0 BFADHN CCCOC(=O)[C@H](C)N(C)[C@H]1CCCC[C@@H]1C ZINC000741782070 585118741 /nfs/dbraw/zinc/11/87/41/585118741.db2.gz WZHZXIDPULINQY-AVGNSLFASA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H]1CCC[C@H](C)CN1CN1CCSC1=O ZINC000742156684 585122457 /nfs/dbraw/zinc/12/24/57/585122457.db2.gz ZNQJREIRNVXPAJ-WDEREUQCSA-N 0 3 242.388 2.623 20 0 BFADHN Cc1cc(N[C@@H]2C[C@@H]2C2CCCCC2)nc(N)n1 ZINC000742414576 585126096 /nfs/dbraw/zinc/12/60/96/585126096.db2.gz WWCNINMAPVXFEJ-VXGBXAGGSA-N 0 3 246.358 2.748 20 0 BFADHN CCC[C@]1(C)CCCN(c2cc(C)nc(N)n2)C1 ZINC000742429825 585126143 /nfs/dbraw/zinc/12/61/43/585126143.db2.gz BCENEVMHCRANJW-CQSZACIVSA-N 0 3 248.374 2.774 20 0 BFADHN Cc1n[nH]cc1CN[C@H]1CCc2cc(C)ccc21 ZINC000742725162 585130712 /nfs/dbraw/zinc/13/07/12/585130712.db2.gz HJTNDHLNZNQOTO-HNNXBMFYSA-N 0 3 241.338 2.804 20 0 BFADHN C[C@H]1CCC[C@H](C)N(Cn2cc[nH]c2=S)C1 ZINC000743371607 585136600 /nfs/dbraw/zinc/13/66/00/585136600.db2.gz WXHLQCACDLFHPR-QWRGUYRKSA-N 0 3 239.388 2.640 20 0 BFADHN Cc1cc([C@@H]2CCCN2CCC2CC2)no1 ZINC000743839667 585140239 /nfs/dbraw/zinc/14/02/39/585140239.db2.gz QMTVQYVVTDEFKM-ZDUSSCGKSA-N 0 3 220.316 2.920 20 0 BFADHN CC1(C)CCN(C[C@@H](O)c2cccc(F)c2)C1 ZINC000743862736 585141138 /nfs/dbraw/zinc/14/11/38/585141138.db2.gz JTEJFFSEPBAMSD-CYBMUJFWSA-N 0 3 237.318 2.591 20 0 BFADHN Cc1nonc1CN1[C@H](C)C[C@H]2CCCC[C@H]21 ZINC000744402897 585150002 /nfs/dbraw/zinc/15/00/02/585150002.db2.gz IPQCXHTVJCIYGB-IRUJWGPZSA-N 0 3 235.331 2.531 20 0 BFADHN CCCCCC[C@@H](C)NC(=O)CN(C)CCC ZINC000746172996 585164446 /nfs/dbraw/zinc/16/44/46/585164446.db2.gz XFUXBJFUKGPBLT-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN CC(=O)OC[C@]1(C)CCCN1Cc1ccccc1 ZINC000747772360 585184064 /nfs/dbraw/zinc/18/40/64/585184064.db2.gz OYFUTOSOBVDCIX-HNNXBMFYSA-N 0 3 247.338 2.604 20 0 BFADHN CCCOC(=O)[C@@H](C)N(CC)C[C@@H](C)CC ZINC000748829444 585197007 /nfs/dbraw/zinc/19/70/07/585197007.db2.gz YSFLUPHWXAKBTC-NWDGAFQWSA-N 0 3 229.364 2.696 20 0 BFADHN CCCOC(=O)[C@@H](C)N(CC)C[C@H](C)CC ZINC000748829446 585197186 /nfs/dbraw/zinc/19/71/86/585197186.db2.gz YSFLUPHWXAKBTC-VXGBXAGGSA-N 0 3 229.364 2.696 20 0 BFADHN CCC[C@@H](C)CC(=O)N[C@H](CN(C)C)C(C)C ZINC000748848137 585197220 /nfs/dbraw/zinc/19/72/20/585197220.db2.gz UTVIVRRIEOEPPT-CHWSQXEVSA-N 0 3 242.407 2.515 20 0 BFADHN CCC[C@H](C)CC(=O)N[C@H](CN(C)C)C(C)C ZINC000748848139 585197293 /nfs/dbraw/zinc/19/72/93/585197293.db2.gz UTVIVRRIEOEPPT-QWHCGFSZSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@H](NCc1ccco1)[C@H](O)c1cccc(F)c1 ZINC000749113868 585199494 /nfs/dbraw/zinc/19/94/94/585199494.db2.gz CRLUFONNJAYSSR-HZMBPMFUSA-N 0 3 249.285 2.630 20 0 BFADHN C[C@@H](NCc1ccoc1)[C@@H](O)c1cccc(F)c1 ZINC000749120331 585199668 /nfs/dbraw/zinc/19/96/68/585199668.db2.gz VOBDSOGADOCXCL-QMTHXVAHSA-N 0 3 249.285 2.630 20 0 BFADHN CC(C)=CCN1CCC(N(C)CC(F)F)CC1 ZINC000749846791 585207626 /nfs/dbraw/zinc/20/76/26/585207626.db2.gz OQQAOPCRCRNDOL-UHFFFAOYSA-N 0 3 246.345 2.614 20 0 BFADHN c1cc(CN2CCC([C@@H]3CCOC3)CC2)co1 ZINC000753574732 585262306 /nfs/dbraw/zinc/26/23/06/585262306.db2.gz FVFPBLFMFIWOCG-CQSZACIVSA-N 0 3 235.327 2.528 20 0 BFADHN CCC(=O)CCN1CCSC(CC)(CC)C1 ZINC000753764060 585268356 /nfs/dbraw/zinc/26/83/56/585268356.db2.gz CZWQISKYKHUUKK-UHFFFAOYSA-N 0 3 243.416 2.963 20 0 BFADHN Cc1c(Cl)cnn1CN(C)[C@@H](C)C(C)C ZINC000753795367 585268755 /nfs/dbraw/zinc/26/87/55/585268755.db2.gz QJZZQEGSKQHQLK-VIFPVBQESA-N 0 3 229.755 2.779 20 0 BFADHN C[C@H]1COC(C)(C)CN1CCc1cccs1 ZINC000753834236 585270484 /nfs/dbraw/zinc/27/04/84/585270484.db2.gz NPBKCWIXACLJTO-NSHDSACASA-N 0 3 239.384 2.790 20 0 BFADHN CCC1CCN(Cc2ccnc(N(C)C)c2)CC1 ZINC000754014400 585275403 /nfs/dbraw/zinc/27/54/03/585275403.db2.gz VDKVKIMYDFKWOM-UHFFFAOYSA-N 0 3 247.386 2.770 20 0 BFADHN CCCC[C@@H]1CCC[C@@H]1NCc1nonc1C ZINC000755047034 585293855 /nfs/dbraw/zinc/29/38/55/585293855.db2.gz DOAZSPXSIZIJTH-NEPJUHHUSA-N 0 3 237.347 2.827 20 0 BFADHN Cc1ccccc1[C@H](O)CN1CC=C(C)CC1 ZINC000756634308 585321804 /nfs/dbraw/zinc/32/18/04/585321804.db2.gz AFVZDMHHYULJGM-OAHLLOKOSA-N 0 3 231.339 2.680 20 0 BFADHN CO[C@@](C)([C@H](C)NC/C=C\Cl)C1CC1 ZINC000839638434 585334912 /nfs/dbraw/zinc/33/49/12/585334912.db2.gz IRYNGJDRYAXPKR-IPQMLHMOSA-N 0 3 217.740 2.532 20 0 BFADHN COC1CC(N[C@H](CC(C)C)c2ccccn2)C1 ZINC000757678752 585344197 /nfs/dbraw/zinc/34/41/97/585344197.db2.gz HKPPXFQBZAKWIE-SSDMNJCBSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1C[C@@H](O)c1cccc(F)c1 ZINC000757860884 585346195 /nfs/dbraw/zinc/34/61/95/585346195.db2.gz JNOCSJROAWKKLG-JTNHKYCSSA-N 0 3 237.318 2.732 20 0 BFADHN C[C@H]1C[C@H](c2ccccc2)CN1CCF ZINC000759341482 585369243 /nfs/dbraw/zinc/36/92/43/585369243.db2.gz GYLLRWDMEDZZMK-AAEUAGOBSA-N 0 3 207.292 2.834 20 0 BFADHN Cc1ccccc1C[C@H]1CCCN1CCF ZINC000759343199 585369636 /nfs/dbraw/zinc/36/96/36/585369636.db2.gz RPFKGFUOPLLLEJ-CQSZACIVSA-N 0 3 221.319 2.971 20 0 BFADHN CCO[C@@H]1C[C@@H](N(C)CCF)C1(CC)CC ZINC000759347461 585370397 /nfs/dbraw/zinc/37/03/97/585370397.db2.gz WKVOSRURYNPZNL-VXGBXAGGSA-N 0 3 231.355 2.872 20 0 BFADHN CCCCCC[C@H](O)CN1CC[C@H](C)[C@@H](F)C1 ZINC000759430992 585372981 /nfs/dbraw/zinc/37/29/81/585372981.db2.gz XKPTUAVOHUMPLB-IHRRRGAJSA-N 0 3 245.382 2.998 20 0 BFADHN CN(C[C@H](O)c1ccc(Cl)c(F)c1)C1CC1 ZINC000759845571 585381432 /nfs/dbraw/zinc/38/14/32/585381432.db2.gz QTUBRBKWARISSC-LBPRGKRZSA-N 0 3 243.709 2.607 20 0 BFADHN Cc1cccc2c1CN(CCC(=O)C1CC1)CC2 ZINC000759881473 585382120 /nfs/dbraw/zinc/38/21/20/585382120.db2.gz MPWOICNVSUDLEL-UHFFFAOYSA-N 0 3 243.350 2.722 20 0 BFADHN CSCCCN1CC[C@H](C(F)(F)F)C1 ZINC000761040966 585403668 /nfs/dbraw/zinc/40/36/68/585403668.db2.gz KBSACTGHMCGJBY-QMMMGPOBSA-N 0 3 227.295 2.624 20 0 BFADHN CCSCCN1CC[C@H](C(F)(F)F)C1 ZINC000761051132 585404231 /nfs/dbraw/zinc/40/42/31/585404231.db2.gz UILMNAGXOWLLPW-QMMMGPOBSA-N 0 3 227.295 2.624 20 0 BFADHN CC(C)=CCN1CC[C@H](C(F)(F)F)C1 ZINC000761056673 585404713 /nfs/dbraw/zinc/40/47/13/585404713.db2.gz ZERBUHRGGUHQJZ-VIFPVBQESA-N 0 3 207.239 2.837 20 0 BFADHN Cc1cc(C)c(CN2CCN(C)[C@@H](C)C2)c(C)c1 ZINC000761068165 585404912 /nfs/dbraw/zinc/40/49/12/585404912.db2.gz GXKIEPOYEXRIOO-HNNXBMFYSA-N 0 3 246.398 2.748 20 0 BFADHN Cc1cccc([C@@H](C)N2CCN(C)[C@@H](C)C2)c1 ZINC000761078121 585406351 /nfs/dbraw/zinc/40/63/51/585406351.db2.gz WJHXQCDFTHGIAE-UONOGXRCSA-N 0 3 232.371 2.692 20 0 BFADHN c1ccc(SCCN2CC[C@@H]3C[C@@H]32)cc1 ZINC000761088894 585407718 /nfs/dbraw/zinc/40/77/18/585407718.db2.gz QWSVWCHUXVAIIY-YPMHNXCESA-N 0 3 219.353 2.873 20 0 BFADHN FC(F)[C@@H]1CCN(C[C@@H]2CC2(Cl)Cl)C1 ZINC000761114016 585408656 /nfs/dbraw/zinc/40/86/56/585408656.db2.gz SEDVTUHXYKQNCH-RQJHMYQMSA-N 0 3 244.112 2.767 20 0 BFADHN C[C@@H]1CCCC[C@@H]1OCCN1CC[C@H]2C[C@H]21 ZINC000761097107 585408747 /nfs/dbraw/zinc/40/87/47/585408747.db2.gz SHNSBTSKUHIGON-RQJABVFESA-N 0 3 223.360 2.676 20 0 BFADHN CC[C@]1(C)COCCN1CCc1cccs1 ZINC000761108746 585409898 /nfs/dbraw/zinc/40/98/98/585409898.db2.gz AZRSGCODEAXGMZ-CYBMUJFWSA-N 0 3 239.384 2.792 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1ccnc(F)c1 ZINC000859224030 589021797 /nfs/dbraw/zinc/02/17/97/589021797.db2.gz DMLDOJLJUGZHGE-NSHDSACASA-N 0 3 242.363 2.794 20 0 BFADHN C[C@H]1N(CCc2cccs2)CCOC1(C)C ZINC000763415687 585447985 /nfs/dbraw/zinc/44/79/85/585447985.db2.gz JWSDSPZEINWOHX-LLVKDONJSA-N 0 3 239.384 2.790 20 0 BFADHN C[C@H](C[C@@H](O)c1ccccc1)NCc1ccoc1 ZINC000763517587 585449235 /nfs/dbraw/zinc/44/92/35/585449235.db2.gz QIANEAABSNAPOD-IUODEOHRSA-N 0 3 245.322 2.881 20 0 BFADHN C[C@H](C[C@H](O)c1ccccc1)NCc1ccoc1 ZINC000763517585 585449342 /nfs/dbraw/zinc/44/93/42/585449342.db2.gz QIANEAABSNAPOD-DOMZBBRYSA-N 0 3 245.322 2.881 20 0 BFADHN CCOC(=O)CCN1Cc2ccccc2[C@H](C)C1 ZINC000763629702 585451352 /nfs/dbraw/zinc/45/13/52/585451352.db2.gz QZFIQHKWJPKUFI-GFCCVEGCSA-N 0 3 247.338 2.559 20 0 BFADHN CCOCCNc1ccnc2cc(OC)ccc21 ZINC000765468071 585487369 /nfs/dbraw/zinc/48/73/69/585487369.db2.gz HLAIBLHQJCBHTQ-UHFFFAOYSA-N 0 3 246.310 2.692 20 0 BFADHN CN1CC[C@H](N[C@H]2CC(C)(C)Cc3occc32)C1 ZINC000765772907 585492559 /nfs/dbraw/zinc/49/25/59/585492559.db2.gz XRHVKYPKQVNHSZ-AAEUAGOBSA-N 0 3 248.370 2.587 20 0 BFADHN C[C@@H](NCCNc1ccccc1)c1ccccn1 ZINC000766736644 585507125 /nfs/dbraw/zinc/50/71/25/585507125.db2.gz ZGBXLIPPOHVCAN-CYBMUJFWSA-N 0 3 241.338 2.844 20 0 BFADHN Cc1cccc2c1CCN(CCC(=O)C1CC1)C2 ZINC000767371460 585518146 /nfs/dbraw/zinc/51/81/46/585518146.db2.gz XXRLVWUDKBGZAC-UHFFFAOYSA-N 0 3 243.350 2.722 20 0 BFADHN CCCCC[C@H]1CCCN1CN1CCCC1=O ZINC000767763254 585525797 /nfs/dbraw/zinc/52/57/97/585525797.db2.gz SLXLVNLULGGIDV-ZDUSSCGKSA-N 0 3 238.375 2.611 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1nonc1C ZINC000767907609 585528053 /nfs/dbraw/zinc/52/80/53/585528053.db2.gz PQCHUFSSRWCVFU-MFKMUULPSA-N 0 3 237.347 2.779 20 0 BFADHN C[C@@H]1CN(CC2=CCCOC2)Cc2ccccc21 ZINC000768196443 585533761 /nfs/dbraw/zinc/53/37/61/585533761.db2.gz QFNPDPVQSXNXRW-CYBMUJFWSA-N 0 3 243.350 2.952 20 0 BFADHN C[C@H]1CN(CC2=CCCOC2)Cc2ccccc21 ZINC000768196449 585533929 /nfs/dbraw/zinc/53/39/29/585533929.db2.gz QFNPDPVQSXNXRW-ZDUSSCGKSA-N 0 3 243.350 2.952 20 0 BFADHN COC1CCN(Cc2cc(C)oc2C)CC1 ZINC000768216529 585534219 /nfs/dbraw/zinc/53/42/19/585534219.db2.gz ZOPOXZNNKSUFFD-UHFFFAOYSA-N 0 3 223.316 2.507 20 0 BFADHN CN(Cc1cccc(-c2cc[nH]n2)c1)C1CC1 ZINC000768334257 585535740 /nfs/dbraw/zinc/53/57/40/585535740.db2.gz CNSKXYMRSIKYPY-UHFFFAOYSA-N 0 3 227.311 2.671 20 0 BFADHN COC[C@@H]1CCCCN(Cc2ccoc2)C1 ZINC000768539720 585539943 /nfs/dbraw/zinc/53/99/43/585539943.db2.gz BHSJQCVWBHHTFM-GFCCVEGCSA-N 0 3 223.316 2.528 20 0 BFADHN Oc1ccc2c(c1)CCN(Cc1ccoc1)CC2 ZINC000769191981 585550931 /nfs/dbraw/zinc/55/09/31/585550931.db2.gz WIRZKESFCAYWMM-UHFFFAOYSA-N 0 3 243.306 2.586 20 0 BFADHN Cc1cc(CN2CCCO[C@@H](C)C2)c(C)o1 ZINC000769318060 585553681 /nfs/dbraw/zinc/55/36/81/585553681.db2.gz HNTOJMJILAJDBZ-NSHDSACASA-N 0 3 223.316 2.507 20 0 BFADHN c1coc([C@@H]2CCN(Cc3ccoc3)C2)c1 ZINC000769389732 585555463 /nfs/dbraw/zinc/55/54/63/585555463.db2.gz PLFBYTLQHMLYDE-GFCCVEGCSA-N 0 3 217.268 2.862 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCCc1ccccc1 ZINC000769535971 585558922 /nfs/dbraw/zinc/55/89/22/585558922.db2.gz RKHPLHVMRLMBGY-AWEZNQCLSA-N 0 3 241.338 2.619 20 0 BFADHN CCC(=O)CCN1CC[C@@H](CC(F)(F)F)C1 ZINC000769863611 585565438 /nfs/dbraw/zinc/56/54/38/585565438.db2.gz FYDLDTPPPGKQQF-VIFPVBQESA-N 0 3 237.265 2.630 20 0 BFADHN CCC1(C(=O)OCCN2CCCCC2)CCC1 ZINC000770388645 585573486 /nfs/dbraw/zinc/57/34/86/585573486.db2.gz ARMLPPYWMRRQHD-UHFFFAOYSA-N 0 3 239.359 2.596 20 0 BFADHN CC/C(C)=C(\C)C(=O)OCCN1CCCCC1 ZINC000770414546 585573933 /nfs/dbraw/zinc/57/39/33/585573933.db2.gz IJPFHLICYRAQIA-OUKQBFOZSA-N 0 3 239.359 2.762 20 0 BFADHN CCCCCC[C@@H](C)C(=O)N[C@@H](C)CN(C)C ZINC000805428613 585592234 /nfs/dbraw/zinc/59/22/34/585592234.db2.gz RVSNJNVTHVTAMY-OLZOCXBDSA-N 0 3 242.407 2.659 20 0 BFADHN CCN(CC)CCOC(=O)/C=C(\C)C(C)(C)C ZINC000772168313 585609351 /nfs/dbraw/zinc/60/93/51/585609351.db2.gz WBVPNXOVHVPXRI-VAWYXSNFSA-N 0 3 241.375 2.864 20 0 BFADHN CCc1ccccc1C(=O)OCCN(CC)CC ZINC000772203454 585609931 /nfs/dbraw/zinc/60/99/31/585609931.db2.gz ODIVBNLUQQKIHS-UHFFFAOYSA-N 0 3 249.354 2.748 20 0 BFADHN CCN(CC)CCOC(=O)C[C@@H]1CCC[C@@H]1C ZINC000772365120 585613122 /nfs/dbraw/zinc/61/31/22/585613122.db2.gz ZKXYUCQTSKYGSF-STQMWFEESA-N 0 3 241.375 2.698 20 0 BFADHN C[C@H](N[C@H](CO)C1CC1)c1cc2ccccc2o1 ZINC000772989588 585628966 /nfs/dbraw/zinc/62/89/66/585628966.db2.gz WLUTWHXEUYRACZ-GXFFZTMASA-N 0 3 245.322 2.854 20 0 BFADHN CCn1ccc(CN(C)C[C@@H]2CCC=CO2)c1 ZINC000775113341 585672468 /nfs/dbraw/zinc/67/24/68/585672468.db2.gz WVAKQEQIJAKIIF-AWEZNQCLSA-N 0 3 234.343 2.633 20 0 BFADHN CC(C)OCCN[C@H](c1ccccn1)C(C)C ZINC000775447301 585678017 /nfs/dbraw/zinc/67/80/17/585678017.db2.gz ZQUHWMKNZYNYCY-AWEZNQCLSA-N 0 3 236.359 2.793 20 0 BFADHN CC1(C)C(NCc2cnc3ccccn23)C1(C)C ZINC000775602813 585681448 /nfs/dbraw/zinc/68/14/48/585681448.db2.gz XOYGNTORMQVIMZ-UHFFFAOYSA-N 0 3 243.354 2.859 20 0 BFADHN CCOc1cccc(CNCCC2CCC2)n1 ZINC000776251689 585693955 /nfs/dbraw/zinc/69/39/55/585693955.db2.gz TVABUKCJSVQMEX-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN CSC1CCN(CCC(=O)C(C)(C)C)CC1 ZINC000300855703 585699166 /nfs/dbraw/zinc/69/91/66/585699166.db2.gz OIJUSNBSTIWGMD-UHFFFAOYSA-N 0 3 243.416 2.819 20 0 BFADHN CC[C@@H](C)N(Cc1ccccc1)Cn1cnnc1 ZINC000776757156 585704162 /nfs/dbraw/zinc/70/41/62/585704162.db2.gz IPUYUVZLDOZYIM-CYBMUJFWSA-N 0 3 244.342 2.536 20 0 BFADHN CC(C)CC[C@H]1CCCCN1Cn1cnnc1 ZINC000776764942 585705295 /nfs/dbraw/zinc/70/52/95/585705295.db2.gz UBSDYSQCSGHLAT-CYBMUJFWSA-N 0 3 236.363 2.526 20 0 BFADHN CCCCCCN1CCO[C@H](C2CC2)C1 ZINC000776801558 585706064 /nfs/dbraw/zinc/70/60/64/585706064.db2.gz VHQLVLNKFHNNTP-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN CCOc1cccc([C@@H](C)NC2(COC)CC2)c1 ZINC000777239284 585709905 /nfs/dbraw/zinc/70/99/05/585709905.db2.gz WNIUQNHPMMTHST-GFCCVEGCSA-N 0 3 249.354 2.915 20 0 BFADHN C[C@@H]1COCCCN1Cc1ccc(CF)cc1 ZINC000777708216 585718461 /nfs/dbraw/zinc/71/84/61/585718461.db2.gz HLPXPHSSWURJJD-GFCCVEGCSA-N 0 3 237.318 2.767 20 0 BFADHN CCCc1ccc(CN2CCN(C)[C@H](C)C2)cc1 ZINC000778222098 585727722 /nfs/dbraw/zinc/72/77/22/585727722.db2.gz NCZSGASYVBAXAF-CQSZACIVSA-N 0 3 246.398 2.775 20 0 BFADHN CCC(C)(C)CCN1CCC[C@@]12CCOC2=O ZINC000778244581 585729188 /nfs/dbraw/zinc/72/91/88/585729188.db2.gz AVOAYAJSUCQDKS-AWEZNQCLSA-N 0 3 239.359 2.594 20 0 BFADHN CC(C)CCCN(C)Cc1cccc(C(N)=O)c1 ZINC000778358998 585732325 /nfs/dbraw/zinc/73/23/25/585732325.db2.gz GXMRBJGTYPCCAI-UHFFFAOYSA-N 0 3 248.370 2.654 20 0 BFADHN Cc1cc2ncn(CN3CC=CCC3)c2cc1C ZINC000778576433 585737345 /nfs/dbraw/zinc/73/73/45/585737345.db2.gz KLGRNPJGCKLGOS-UHFFFAOYSA-N 0 3 241.338 2.873 20 0 BFADHN CC(C)[C@H](N[C@@H]1C[C@H](O)C1(C)C)c1ccccn1 ZINC000779347682 585752440 /nfs/dbraw/zinc/75/24/40/585752440.db2.gz MJANBNZSBWSWFU-RDBSUJKOSA-N 0 3 248.370 2.528 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1ccnc(F)c1 ZINC000859257916 589025486 /nfs/dbraw/zinc/02/54/86/589025486.db2.gz HVFWSNYRKIMBFM-JQWIXIFHSA-N 0 3 222.307 2.841 20 0 BFADHN CCCN(CCN(C)C)C(=O)/C(C)=C(\C)CC ZINC000779957747 585770676 /nfs/dbraw/zinc/77/06/76/585770676.db2.gz IIAJRULACIGESD-OUKQBFOZSA-N 0 3 240.391 2.533 20 0 BFADHN CCc1cccnc1[C@@H](C)NCCOC1CCC1 ZINC000780741547 585784131 /nfs/dbraw/zinc/78/41/31/585784131.db2.gz OUIASVKVISQDAN-GFCCVEGCSA-N 0 3 248.370 2.864 20 0 BFADHN CCCCN(C)CCNC(=O)/C(C)=C(\C)CC ZINC000780942124 585786850 /nfs/dbraw/zinc/78/68/50/585786850.db2.gz ZULZGKPFWYCUCX-OUKQBFOZSA-N 0 3 240.391 2.581 20 0 BFADHN Cc1cc(CNc2cccc(N(C)C)n2)c(C)o1 ZINC000781559649 585798640 /nfs/dbraw/zinc/79/86/40/585798640.db2.gz IAUUYJKPCXNURH-UHFFFAOYSA-N 0 3 245.326 2.970 20 0 BFADHN C[C@H]1CCCCN1Cc1ccnc(N(C)C)c1 ZINC000782173951 585809463 /nfs/dbraw/zinc/80/94/63/585809463.db2.gz HISCPCBUDKEEPE-LBPRGKRZSA-N 0 3 233.359 2.522 20 0 BFADHN C[C@H](C1CC1)N1CC=C(C(F)(F)F)CC1 ZINC000782183958 585810803 /nfs/dbraw/zinc/81/08/03/585810803.db2.gz BOXIEIRKCSFNGJ-MRVPVSSYSA-N 0 3 219.250 2.979 20 0 BFADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CC[C@@H]1C)CCO2 ZINC000783293550 585833305 /nfs/dbraw/zinc/83/33/05/585833305.db2.gz HHEUKFOCCLEGPZ-GDLCADMTSA-N 0 3 247.338 2.907 20 0 BFADHN Cc1ccncc1[C@H](C)NC[C@@H]1CCC=CO1 ZINC000783307631 585833550 /nfs/dbraw/zinc/83/35/50/585833550.db2.gz ORPWORBALMUETG-STQMWFEESA-N 0 3 232.327 2.733 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@H]1CCC=CO1 ZINC000783309163 585833568 /nfs/dbraw/zinc/83/35/68/585833568.db2.gz HKRWUUKRBCOCFQ-TZMCWYRMSA-N 0 3 246.354 2.987 20 0 BFADHN CC(C)n1cc([C@@H](C)NC[C@H]2CCC=CO2)cn1 ZINC000783305635 585833758 /nfs/dbraw/zinc/83/37/58/585833758.db2.gz SYRYPBBZQOHEPO-TZMCWYRMSA-N 0 3 249.358 2.807 20 0 BFADHN CC(C)[C@H](NCCOC1CCC1)c1ccccn1 ZINC000805748017 585837760 /nfs/dbraw/zinc/83/77/60/585837760.db2.gz KDFHWQKAAAHZTF-HNNXBMFYSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ccc(N2CCN(CCC3CC3)CC2)cc1 ZINC000784395548 585858495 /nfs/dbraw/zinc/85/84/95/585858495.db2.gz ZYOQELUDSQFBDD-UHFFFAOYSA-N 0 3 244.382 2.917 20 0 BFADHN Cc1ccc([C@H](C)NCc2ccnc(N)c2)s1 ZINC000785793794 585881301 /nfs/dbraw/zinc/88/13/01/585881301.db2.gz OHSURZHFGDKMJC-JTQLQIEISA-N 0 3 247.367 2.885 20 0 BFADHN Cc1noc(C)c1CN(C)CC1(C)CC1 ZINC000787110146 585901473 /nfs/dbraw/zinc/90/14/73/585901473.db2.gz LQMYKMMMYLZKRM-UHFFFAOYSA-N 0 3 208.305 2.523 20 0 BFADHN Cc1nc(F)ccc1CN1CCC[C@H]1C1CC1 ZINC000787151102 585902342 /nfs/dbraw/zinc/90/23/42/585902342.db2.gz XKAAOYFWBUNLOE-ZDUSSCGKSA-N 0 3 234.318 2.904 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@H](C)C[C@H]1C ZINC000787184446 585902411 /nfs/dbraw/zinc/90/24/11/585902411.db2.gz ZATLLOOOOXIGDS-NXEZZACHSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1nc(F)ccc1CN1C[C@@H](C)C[C@@H]1C ZINC000787184715 585902421 /nfs/dbraw/zinc/90/24/21/585902421.db2.gz ZATLLOOOOXIGDS-UWVGGRQHSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1nc(F)ccc1CN(C)CCC1CC1 ZINC000787208329 585903045 /nfs/dbraw/zinc/90/30/45/585903045.db2.gz HIMOTJUAWFFQOI-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN Cc1nc(F)ccc1CN1[C@H](C)CC[C@@H]1C ZINC000787209861 585903091 /nfs/dbraw/zinc/90/30/91/585903091.db2.gz SVVMNSSISRNAPC-AOOOYVTPSA-N 0 3 222.307 2.902 20 0 BFADHN CC[C@H](Cc1ccccc1)NCc1cn(C)cn1 ZINC000808384868 585914064 /nfs/dbraw/zinc/91/40/64/585914064.db2.gz LYMGCXHSDATYKF-CQSZACIVSA-N 0 3 243.354 2.531 20 0 BFADHN CCCCCC[C@@H](C)N[C@H](C)c1ncnn1C ZINC000788986081 585932878 /nfs/dbraw/zinc/93/28/78/585932878.db2.gz ZFLCQVQIXUBDRK-VXGBXAGGSA-N 0 3 238.379 2.825 20 0 BFADHN C=CC(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000791324584 585977307 /nfs/dbraw/zinc/97/73/07/585977307.db2.gz ODNAARVMGVIKGR-UHFFFAOYSA-N 0 3 244.338 2.797 20 0 BFADHN Cc1ccc([C@H](O)CN2[C@@H](C)C[C@@H]2C)cc1 ZINC000791568324 585984487 /nfs/dbraw/zinc/98/44/87/585984487.db2.gz NTRRQXRBAZXIOC-SGMGOOAPSA-N 0 3 219.328 2.511 20 0 BFADHN Cc1ccc(OCCN2[C@@H](C)C[C@@H]2C)cc1 ZINC000791568969 585984499 /nfs/dbraw/zinc/98/44/99/585984499.db2.gz ZOSQCFWWISALBV-STQMWFEESA-N 0 3 219.328 2.857 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1ccc2c(c1)COC2 ZINC000791578431 585984526 /nfs/dbraw/zinc/98/45/26/585984526.db2.gz UNAMGVMPBDEOMC-QWRGUYRKSA-N 0 3 217.312 2.700 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2[C@H](C)C[C@H]2C)c1 ZINC000791578542 585984845 /nfs/dbraw/zinc/98/48/45/585984845.db2.gz VQYZQYPIPBEUIO-UMVBOHGHSA-N 0 3 233.355 2.820 20 0 BFADHN COCCN(C/C=C\Cl)[C@@H]1CC[C@H](C)C1 ZINC000792465794 586000959 /nfs/dbraw/zinc/00/09/59/586000959.db2.gz QDGHSLLEWISFEN-VCUMLDNESA-N 0 3 231.767 2.876 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CCC(F)(F)C2)n(C)n1 ZINC000793549731 586022736 /nfs/dbraw/zinc/02/27/36/586022736.db2.gz RTABQNAHNQJUKI-ZJUUUORDSA-N 0 3 243.301 2.567 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCC(F)(F)C2)n(C)n1 ZINC000793549725 586022750 /nfs/dbraw/zinc/02/27/50/586022750.db2.gz RTABQNAHNQJUKI-NXEZZACHSA-N 0 3 243.301 2.567 20 0 BFADHN CCN(Cc1ccnc(F)c1)CC1CCC1 ZINC000859290548 589028476 /nfs/dbraw/zinc/02/84/76/589028476.db2.gz QLAJUSLLOZXFCC-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN Cc1cc(C)cc([C@@H](O)CN2CC(C(C)C)C2)c1 ZINC000795545943 586084915 /nfs/dbraw/zinc/08/49/15/586084915.db2.gz JHHDXXMYDVDUPA-INIZCTEOSA-N 0 3 247.382 2.925 20 0 BFADHN CC[C@H]1CCN1C[C@@H](O)c1cc(C)cc(C)c1 ZINC000795647569 586089953 /nfs/dbraw/zinc/08/99/53/586089953.db2.gz FQDFGSMJZCNSPR-LSDHHAIUSA-N 0 3 233.355 2.821 20 0 BFADHN CCCCCCN[C@@H](c1nncn1C)C(C)C ZINC000796186337 586098533 /nfs/dbraw/zinc/09/85/33/586098533.db2.gz IGIKRCFMKZRPLD-GFCCVEGCSA-N 0 3 238.379 2.682 20 0 BFADHN CCc1ccc([C@@H](O)CNCc2ccoc2)cc1 ZINC000796449965 586103536 /nfs/dbraw/zinc/10/35/36/586103536.db2.gz IGWNAALXQWHICZ-HNNXBMFYSA-N 0 3 245.322 2.665 20 0 BFADHN CC(C)CC[C@@H](O)CN1CCC(F)(F)CC1 ZINC000796542515 586106456 /nfs/dbraw/zinc/10/64/56/586106456.db2.gz WXOLXDYVCBINFV-LLVKDONJSA-N 0 3 235.318 2.515 20 0 BFADHN CC1=C[C@@H](C)CN(CCC(=O)C(C)(C)C)C1 ZINC000797525948 586128495 /nfs/dbraw/zinc/12/84/95/586128495.db2.gz GDUDFJMQZMQTMC-LLVKDONJSA-N 0 3 223.360 2.890 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1CCC=C(C)C1 ZINC000797997486 586135646 /nfs/dbraw/zinc/13/56/46/586135646.db2.gz WFERYGFWMADLNU-OAHLLOKOSA-N 0 3 249.329 2.820 20 0 BFADHN COc1ncc(CN2CCCCCCC2)c(C)n1 ZINC000799104805 586156622 /nfs/dbraw/zinc/15/66/22/586156622.db2.gz WLUWLJXNWFYKCH-UHFFFAOYSA-N 0 3 249.358 2.560 20 0 BFADHN FC(F)(F)CCN1CC[C@@H](c2ccccn2)C1 ZINC000799193464 586166185 /nfs/dbraw/zinc/16/61/85/586166185.db2.gz KGDLNLATAXNHJA-SNVBAGLBSA-N 0 3 244.260 2.823 20 0 BFADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1ccnc(F)c1 ZINC000859359604 589033801 /nfs/dbraw/zinc/03/38/01/589033801.db2.gz OLUQLELLLRVZGP-VGPLMAKISA-N 0 3 234.318 2.984 20 0 BFADHN CC(C)(C)OCCN1CC[C@@H](c2ccccn2)C1 ZINC000799194850 586167066 /nfs/dbraw/zinc/16/70/66/586167066.db2.gz VHJDXJPIAWBDKT-CYBMUJFWSA-N 0 3 248.370 2.686 20 0 BFADHN CCC[C@@H](C)CCCN1CC[C@](O)(C(F)F)C1 ZINC000859325021 589031985 /nfs/dbraw/zinc/03/19/85/589031985.db2.gz ZEQTYQWBYDMGPV-DGCLKSJQSA-N 0 3 249.345 2.905 20 0 BFADHN CO[C@H]1CCN(Cc2cc(C)oc2C)[C@@H](C)C1 ZINC000799736537 586195753 /nfs/dbraw/zinc/19/57/53/586195753.db2.gz WBRXSRVKSKMJHK-HZMBPMFUSA-N 0 3 237.343 2.896 20 0 BFADHN CCCC[C@@H](C)C(=O)OCCN(CC)CC ZINC000800275119 586207904 /nfs/dbraw/zinc/20/79/04/586207904.db2.gz UYWUDQPXQPJKMC-GFCCVEGCSA-N 0 3 229.364 2.698 20 0 BFADHN Cc1cc(CN2CC(C3CCOCC3)C2)c(C)o1 ZINC000800531905 586224402 /nfs/dbraw/zinc/22/44/02/586224402.db2.gz MBHRAUDQQDVPDM-UHFFFAOYSA-N 0 3 249.354 2.755 20 0 BFADHN Fc1cccc(CN[C@H]2CC[C@H]2C2CCC2)n1 ZINC000800974710 586238375 /nfs/dbraw/zinc/23/83/75/586238375.db2.gz LYXRSJGGZVDLFC-STQMWFEESA-N 0 3 234.318 2.889 20 0 BFADHN CCCCN(CN1CC[C@@H](C)C1=O)[C@H](C)CC ZINC000839964680 586304090 /nfs/dbraw/zinc/30/40/90/586304090.db2.gz RASXGTNWMMXLGS-CHWSQXEVSA-N 0 3 240.391 2.713 20 0 BFADHN Cl/C=C\CN1CC[C@H]2CC[C@@H](C1)S2 ZINC000859540465 589043807 /nfs/dbraw/zinc/04/38/07/589043807.db2.gz XNMNLAIMMKDFGU-OUGZHVTKSA-N 0 3 217.765 2.709 20 0 BFADHN CCCCCN(C)CCNC(=O)OC(C)(C)C ZINC000116836819 589044717 /nfs/dbraw/zinc/04/47/17/589044717.db2.gz STMIIRPFPFDUSI-UHFFFAOYSA-N 0 3 244.379 2.633 20 0 BFADHN CCC[C@@H](C)OC(=O)CC[C@H]1CCCCN1C ZINC000803858618 586377431 /nfs/dbraw/zinc/37/74/31/586377431.db2.gz IBVTXMVGBIQQGY-CHWSQXEVSA-N 0 3 241.375 2.983 20 0 BFADHN CCC[C@@H](C)OC(=O)CC[C@@H]1CCCCN1C ZINC000803858619 586378298 /nfs/dbraw/zinc/37/82/98/586378298.db2.gz IBVTXMVGBIQQGY-OLZOCXBDSA-N 0 3 241.375 2.983 20 0 BFADHN CC[C@H](C)CCC(=O)OCCN(CC)CC ZINC000803876362 586378935 /nfs/dbraw/zinc/37/89/35/586378935.db2.gz DCXVMSGDXKHAFZ-LBPRGKRZSA-N 0 3 229.364 2.698 20 0 BFADHN CC[C@@H](C)CN(CC)CN1C(=O)CCC1(C)C ZINC000808167110 586466139 /nfs/dbraw/zinc/46/61/39/586466139.db2.gz VSLNHUSCKQWMEE-GFCCVEGCSA-N 0 3 240.391 2.713 20 0 BFADHN CC[C@H](C)CN(CC)CN1C(=O)CCC1(C)C ZINC000808167111 586466392 /nfs/dbraw/zinc/46/63/92/586466392.db2.gz VSLNHUSCKQWMEE-LBPRGKRZSA-N 0 3 240.391 2.713 20 0 BFADHN O=C1SCCN1CN1CCCCCCCC1 ZINC000840133353 586469409 /nfs/dbraw/zinc/46/94/09/586469409.db2.gz KINFWXRFTNJJDL-UHFFFAOYSA-N 0 3 242.388 2.769 20 0 BFADHN CC(C)CC1(CNCc2cn(C)cn2)CCC1 ZINC000808395139 586471051 /nfs/dbraw/zinc/47/10/51/586471051.db2.gz YAEYJGHVALZALI-UHFFFAOYSA-N 0 3 235.375 2.726 20 0 BFADHN CC(C)C1CCC(NCc2cn(C)cn2)CC1 ZINC000808395174 586471258 /nfs/dbraw/zinc/47/12/58/586471258.db2.gz FVWQPBMAFGRIHM-UHFFFAOYSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H](CCNCc1cn(C)cn1)CC(C)(C)C ZINC000808408938 586471670 /nfs/dbraw/zinc/47/16/70/586471670.db2.gz PXKWSIGLXFXGRI-LBPRGKRZSA-N 0 3 237.391 2.972 20 0 BFADHN CCOc1ccc(CN[C@@H]2CCC2(C)C)nc1 ZINC000808421431 586472433 /nfs/dbraw/zinc/47/24/33/586472433.db2.gz HCCDGVPHNBHGIW-CYBMUJFWSA-N 0 3 234.343 2.759 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnc([C@H](C)O)s1 ZINC000809545533 586506737 /nfs/dbraw/zinc/50/67/37/586506737.db2.gz MORZTZUIRJHVNJ-UWVGGRQHSA-N 0 3 242.388 2.817 20 0 BFADHN CCC[C@H](CC)N[C@@H](c1nncn1C)C(C)C ZINC000809692045 586513585 /nfs/dbraw/zinc/51/35/85/586513585.db2.gz OYLLKCZCRNJRJN-NWDGAFQWSA-N 0 3 238.379 2.681 20 0 BFADHN c1cc(CN2CCC[C@H](C[C@@H]3CCOC3)C2)co1 ZINC000809758287 586517670 /nfs/dbraw/zinc/51/76/70/586517670.db2.gz IPYIBHKFZIPYKY-KGLIPLIRSA-N 0 3 249.354 2.918 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1ccc(F)nc1 ZINC000809768198 586518278 /nfs/dbraw/zinc/51/82/78/586518278.db2.gz DVBUNAOFNRXILD-JQWIXIFHSA-N 0 3 222.307 2.984 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1ccc(F)nc1 ZINC000809766791 586518572 /nfs/dbraw/zinc/51/85/72/586518572.db2.gz AUYMGWYWVZGUMM-NSHDSACASA-N 0 3 242.363 2.794 20 0 BFADHN Cc1c[nH]nc1CNC[C@H](C)c1cccc(F)c1 ZINC000810140525 586535374 /nfs/dbraw/zinc/53/53/74/586535374.db2.gz WDEBYBAYCQNDIH-JTQLQIEISA-N 0 3 247.317 2.751 20 0 BFADHN Cc1c[nH]nc1CN(C(C)C)C1CCCC1 ZINC000811455327 586559246 /nfs/dbraw/zinc/55/92/46/586559246.db2.gz BOYDZOVRXFOZBD-UHFFFAOYSA-N 0 3 221.348 2.871 20 0 BFADHN C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCCN2CCF)C1 ZINC000812842622 586588027 /nfs/dbraw/zinc/58/80/27/586588027.db2.gz NKHLZLSZNSAAOH-SYQHCUMBSA-N 0 3 243.366 2.608 20 0 BFADHN CCN(CCSC(C)(C)C)[C@@H]1CCOC1 ZINC000812879071 586588357 /nfs/dbraw/zinc/58/83/57/586588357.db2.gz IIAPYDKLPKPTHY-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN CCN(C[C@H](C)OC)C[C@H]1CC1(Cl)Cl ZINC000812908489 586588804 /nfs/dbraw/zinc/58/88/04/586588804.db2.gz LTGNQFGYLFKPPU-DTWKUNHWSA-N 0 3 240.174 2.537 20 0 BFADHN C[C@@H](CCO)NCc1ccc(C2CC2)cc1F ZINC000813876008 586608513 /nfs/dbraw/zinc/60/85/13/586608513.db2.gz TVKINDQJJNKPJK-JTQLQIEISA-N 0 3 237.318 2.564 20 0 BFADHN C[C@H](CCO)NCc1ccc(C2CC2)cc1F ZINC000813876010 586608561 /nfs/dbraw/zinc/60/85/61/586608561.db2.gz TVKINDQJJNKPJK-SNVBAGLBSA-N 0 3 237.318 2.564 20 0 BFADHN CC(=O)CCN1CCC[C@H](c2ccccc2)C1 ZINC000814643568 586627560 /nfs/dbraw/zinc/62/75/60/586627560.db2.gz PIVAOBGXVJQZSF-HNNXBMFYSA-N 0 3 231.339 2.845 20 0 BFADHN Cc1cnccc1SCCN1CCCC1 ZINC000814806641 586636250 /nfs/dbraw/zinc/63/62/50/586636250.db2.gz KADWVFYSIHRGOL-UHFFFAOYSA-N 0 3 222.357 2.578 20 0 BFADHN Cc1sccc1CNCCOc1cccnc1 ZINC000815127248 586668260 /nfs/dbraw/zinc/66/82/60/586668260.db2.gz ZNRIFBVOGFBOSI-UHFFFAOYSA-N 0 3 248.351 2.620 20 0 BFADHN COC1(CCNCc2cc(C)oc2C)CCC1 ZINC000815146089 586670637 /nfs/dbraw/zinc/67/06/37/586670637.db2.gz MXTZNNQPFGTKMN-UHFFFAOYSA-N 0 3 237.343 2.945 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1C[C@@H](C)[C@H]1C ZINC000815163262 586671494 /nfs/dbraw/zinc/67/14/94/586671494.db2.gz POFJXZBXXATBJR-JTNHKYCSSA-N 0 3 237.318 2.508 20 0 BFADHN CCOc1ccccc1CN1C[C@@H](C)[C@@H]1C ZINC000815164670 586672445 /nfs/dbraw/zinc/67/24/45/586672445.db2.gz ZEZIDEIXTAIXOT-NEPJUHHUSA-N 0 3 219.328 2.926 20 0 BFADHN Cc1cc(F)ccc1[C@@H](C)N[C@H]1CCCOC1 ZINC000815577684 586688450 /nfs/dbraw/zinc/68/84/50/586688450.db2.gz WILUWAYSYKNMOR-YPMHNXCESA-N 0 3 237.318 2.964 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cnn(C)c1Cl ZINC000816612365 586744911 /nfs/dbraw/zinc/74/49/11/586744911.db2.gz NCLFLPLXCJBUDL-ONGXEEELSA-N 0 3 241.766 2.836 20 0 BFADHN COc1cc(C)c(CN2C[C@@H](C)[C@@H]2C)cc1OC ZINC000816647465 586749165 /nfs/dbraw/zinc/74/91/65/586749165.db2.gz SOROPPLHJIKGMM-NEPJUHHUSA-N 0 3 249.354 2.852 20 0 BFADHN CCOCOc1ccc(CN2C[C@H](C)[C@H]2C)cc1 ZINC000816650029 586752397 /nfs/dbraw/zinc/75/23/97/586752397.db2.gz RZJOSILOYPGNCM-QWHCGFSZSA-N 0 3 249.354 2.900 20 0 BFADHN CCOCOc1ccc(CN2C[C@@H](C)[C@@H]2C)cc1 ZINC000816650028 586752571 /nfs/dbraw/zinc/75/25/71/586752571.db2.gz RZJOSILOYPGNCM-OLZOCXBDSA-N 0 3 249.354 2.900 20 0 BFADHN Cc1cc(CNCCCC2(O)CCC2)c(C)o1 ZINC000817415042 586802650 /nfs/dbraw/zinc/80/26/50/586802650.db2.gz SBLJWCHINATTLM-UHFFFAOYSA-N 0 3 237.343 2.681 20 0 BFADHN CCc1noc(C)c1[C@H](C)N[C@H]1CC12CC2 ZINC000818355112 586888017 /nfs/dbraw/zinc/88/80/17/586888017.db2.gz CFNZAMNZTQEXJJ-KWQFWETISA-N 0 3 220.316 2.749 20 0 BFADHN Clc1ccc2c(n1)CC[C@H]2N[C@@H]1CC12CC2 ZINC000818357049 586889075 /nfs/dbraw/zinc/88/90/75/586889075.db2.gz UWXPJTCDVRHQRL-MWLCHTKSSA-N 0 3 234.730 2.864 20 0 BFADHN CCCCc1ccc(N(C)C(=O)CNCC)cc1 ZINC000818515554 586896323 /nfs/dbraw/zinc/89/63/23/586896323.db2.gz IZMPSAVOZOZFBH-UHFFFAOYSA-N 0 3 248.370 2.602 20 0 BFADHN O=C(C=C1CCCC1)Nc1ccc2c(c1)CNC2 ZINC000818597680 586904888 /nfs/dbraw/zinc/90/48/88/586904888.db2.gz XYHSSJMVFOXZEE-UHFFFAOYSA-N 0 3 242.322 2.729 20 0 BFADHN Cc1c2ccccc2oc1CNC[C@@H](O)C1CC1 ZINC000819076513 586928795 /nfs/dbraw/zinc/92/87/95/586928795.db2.gz YHSZVEHHQTWDLT-CYBMUJFWSA-N 0 3 245.322 2.602 20 0 BFADHN Cc1ccc([C@@H](O)CNCCC(F)(F)F)cc1 ZINC000819123732 586932080 /nfs/dbraw/zinc/93/20/80/586932080.db2.gz AXSWQJIVLLKCLS-NSHDSACASA-N 0 3 247.260 2.570 20 0 BFADHN C[C@H](NC[C@@H](O)c1cccc(F)c1)c1ccc[nH]1 ZINC000819194459 586937417 /nfs/dbraw/zinc/93/74/17/586937417.db2.gz RPMNMKNFZLVEEA-IINYFYTJSA-N 0 3 248.301 2.538 20 0 BFADHN Cc1oncc1CN1CCCC2(CC2)CC1 ZINC000860074046 589079446 /nfs/dbraw/zinc/07/94/46/589079446.db2.gz URUGBXVHZJECOK-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN COc1cc([C@@H](C)NCCc2ccccc2)on1 ZINC000820805754 587073694 /nfs/dbraw/zinc/07/36/94/587073694.db2.gz QZLJEQAOTKUQCI-LLVKDONJSA-N 0 3 246.310 2.577 20 0 BFADHN CC[C@@H]1CN(C)CCN1CCSC(C)(C)C ZINC000821336745 587108880 /nfs/dbraw/zinc/10/88/80/587108880.db2.gz JMXVGTFNNSYOOC-GFCCVEGCSA-N 0 3 244.448 2.544 20 0 BFADHN CO[C@H]1CC[C@@H](N2CCc3cc(F)ccc3C2)C1 ZINC000821402656 587117190 /nfs/dbraw/zinc/11/71/90/587117190.db2.gz WJNOOTGDDPBFOE-CABCVRRESA-N 0 3 249.329 2.751 20 0 BFADHN Cc1cccc(C(C)(C)NC[C@H]2CCC(=O)O2)c1 ZINC000821401973 587117372 /nfs/dbraw/zinc/11/73/72/587117372.db2.gz JXFAFPGVBNXCJK-CYBMUJFWSA-N 0 3 247.338 2.525 20 0 BFADHN ClC1(Cl)C[C@@H]1CCN1CC[C@H]2C[C@H]21 ZINC000822789976 587185785 /nfs/dbraw/zinc/18/57/85/587185785.db2.gz APTJXQJWCOWFNW-XHNCKOQMSA-N 0 3 220.143 2.665 20 0 BFADHN CCCCC[C@@H](C)NCc1nn(CC)nc1C ZINC000823609286 587232976 /nfs/dbraw/zinc/23/29/76/587232976.db2.gz SNZQREHKKUCBSS-LLVKDONJSA-N 0 3 238.379 2.665 20 0 BFADHN C[C@H](Cc1ccco1)NCc1ccncc1F ZINC000823625573 587233175 /nfs/dbraw/zinc/23/31/75/587233175.db2.gz YUFCQOGTOCCGLW-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN COc1cc(C)ccc1CNCCOC1CCC1 ZINC000823954921 587246976 /nfs/dbraw/zinc/24/69/76/587246976.db2.gz DOMAMYAGKXSTKE-UHFFFAOYSA-N 0 3 249.354 2.662 20 0 BFADHN Cc1cnccc1CNCCNc1ccccc1 ZINC000823961879 587247427 /nfs/dbraw/zinc/24/74/27/587247427.db2.gz ZKAQJRGZGCCEHG-UHFFFAOYSA-N 0 3 241.338 2.592 20 0 BFADHN C[C@H](Cc1ccoc1)NCc1cccc(F)n1 ZINC000824027000 587248921 /nfs/dbraw/zinc/24/89/21/587248921.db2.gz BNZHJSUZCDSDCR-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN C[C@H]1CC[C@@H](NCc2cc(F)ncc2F)C1 ZINC000824237647 587260120 /nfs/dbraw/zinc/26/01/20/587260120.db2.gz USZZJAGQWRSALM-WCBMZHEXSA-N 0 3 226.270 2.638 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cc(F)ncc2F)C1 ZINC000824237645 587260216 /nfs/dbraw/zinc/26/02/16/587260216.db2.gz USZZJAGQWRSALM-PSASIEDQSA-N 0 3 226.270 2.638 20 0 BFADHN C[C@]1(F)CCCN(C[C@@H]2CCC=CO2)C1 ZINC000824472447 587271588 /nfs/dbraw/zinc/27/15/88/587271588.db2.gz ROCNVBZMBJVKEQ-RYUDHWBXSA-N 0 3 213.296 2.503 20 0 BFADHN CC(C)C[C@@H](C)CN[C@H]1CCn2ccnc21 ZINC000824526248 587273138 /nfs/dbraw/zinc/27/31/38/587273138.db2.gz JRQRQMYJBQCMNO-NEPJUHHUSA-N 0 3 221.348 2.600 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN[C@@H]1CCn2ccnc21 ZINC000824525410 587273341 /nfs/dbraw/zinc/27/33/41/587273341.db2.gz ACUQGYDENRLDMC-BFHYXJOUSA-N 0 3 245.370 2.910 20 0 BFADHN c1cn2c(n1)[C@@H](N[C@@H]1CCCC13CCC3)CC2 ZINC000824533705 587274181 /nfs/dbraw/zinc/27/41/81/587274181.db2.gz KOYWRRNZEQTVFD-NWDGAFQWSA-N 0 3 231.343 2.640 20 0 BFADHN CS[C@H]1CCN(Cc2ccc3c(c2)COC3)C1 ZINC000824683775 587282722 /nfs/dbraw/zinc/28/27/22/587282722.db2.gz VRISFBSTPXQREM-AWEZNQCLSA-N 0 3 249.379 2.654 20 0 BFADHN CC/C=C\CNCc1ccc(Cl)nc1 ZINC000824702633 587283404 /nfs/dbraw/zinc/28/34/04/587283404.db2.gz POXMFJUFGWFQGE-ARJAWSKDSA-N 0 3 210.708 2.791 20 0 BFADHN C[C@@]1(CNCC2(F)CC2)CC1(Cl)Cl ZINC000824773407 587287024 /nfs/dbraw/zinc/28/70/24/587287024.db2.gz KRYCWHPNPBSJOC-ZETCQYMHSA-N 0 3 226.122 2.662 20 0 BFADHN c1nn2c(c1CN1CCC3(CCCC3)C1)CCC2 ZINC000824782945 587287259 /nfs/dbraw/zinc/28/72/59/587287259.db2.gz OSOUPMHAKASBJO-UHFFFAOYSA-N 0 3 245.370 2.595 20 0 BFADHN Cc1nocc1CNC[C@]1(C)CC1(Cl)Cl ZINC000824780382 587287443 /nfs/dbraw/zinc/28/74/43/587287443.db2.gz MQPUVPGRFKJGQM-VIFPVBQESA-N 0 3 249.141 2.657 20 0 BFADHN CO[C@@H]1CCN(Cc2cc3ccncc3s2)C1 ZINC000824828722 587289012 /nfs/dbraw/zinc/28/90/12/587289012.db2.gz DOQUIRDADSTHGN-LLVKDONJSA-N 0 3 248.351 2.517 20 0 BFADHN COc1nccnc1CN1CCCCCCCC1 ZINC000824982438 587296855 /nfs/dbraw/zinc/29/68/55/587296855.db2.gz FPAJMKWEEQSSKR-UHFFFAOYSA-N 0 3 249.358 2.641 20 0 BFADHN Cc1ncc(CN2CCCCCCCC2)cn1 ZINC000824983602 587297200 /nfs/dbraw/zinc/29/72/00/587297200.db2.gz ZJJUWRWBSIOYIZ-UHFFFAOYSA-N 0 3 233.359 2.941 20 0 BFADHN CN(Cc1ccoc1)[C@@H](CO)CC(C)(C)C ZINC000825040879 587298758 /nfs/dbraw/zinc/29/87/58/587298758.db2.gz XEGHCDKBAFPTRU-GFCCVEGCSA-N 0 3 225.332 2.509 20 0 BFADHN CCc1nc(CN[C@H]2CCC(C)(C)C2)co1 ZINC000825058497 587300981 /nfs/dbraw/zinc/30/09/81/587300981.db2.gz ZZKRNUYNQYUWLP-JTQLQIEISA-N 0 3 222.332 2.905 20 0 BFADHN Cc1nc(F)ccc1CNC1(C2(C)CC2)CC1 ZINC000825124760 587305287 /nfs/dbraw/zinc/30/52/87/587305287.db2.gz XOAJFCZEXSNRAC-UHFFFAOYSA-N 0 3 234.318 2.951 20 0 BFADHN Cc1cc(CNCC(C)(C)C(C)(C)O)c(C)o1 ZINC000825201553 587309791 /nfs/dbraw/zinc/30/97/91/587309791.db2.gz LVNYLBYSLUWDGD-UHFFFAOYSA-N 0 3 239.359 2.783 20 0 BFADHN c1cc(CN2CCC[C@@]3(CCSC3)C2)co1 ZINC000825214937 587309876 /nfs/dbraw/zinc/30/98/76/587309876.db2.gz BHUUFJBOEYYXDE-CYBMUJFWSA-N 0 3 237.368 2.999 20 0 BFADHN CSC1(CN[C@@H]2C[C@@H](O)c3ccccc32)CC1 ZINC000827170771 587371954 /nfs/dbraw/zinc/37/19/54/587371954.db2.gz JROXOTAQGALLKX-CHWSQXEVSA-N 0 3 249.379 2.650 20 0 BFADHN Cc1ccc(C2=CCN(CC[C@@H](C)O)CC2)cc1 ZINC000827303570 587376197 /nfs/dbraw/zinc/37/61/97/587376197.db2.gz KOUGPZMQYIURCO-CQSZACIVSA-N 0 3 245.366 2.855 20 0 BFADHN C[C@]1(CN2CCCC2)CC1(Cl)Cl ZINC000827353663 587378422 /nfs/dbraw/zinc/37/84/22/587378422.db2.gz GVBRYRLWUPDQST-MRVPVSSYSA-N 0 3 208.132 2.666 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)O[C@@H](C)C2)c(C)o1 ZINC000827370580 587378535 /nfs/dbraw/zinc/37/85/35/587378535.db2.gz IAMUQZYQEBMBST-PWSUYJOCSA-N 0 3 237.343 2.896 20 0 BFADHN CN(Cc1cnc(Cl)n1C)C[C@@H]1CC1(C)C ZINC000827941562 587411722 /nfs/dbraw/zinc/41/17/22/587411722.db2.gz GIZQTISTMOMTAW-VIFPVBQESA-N 0 3 241.766 2.551 20 0 BFADHN CN(Cc1ccc(F)nc1)C[C@H]1CC1(C)C ZINC000827943220 587411862 /nfs/dbraw/zinc/41/18/62/587411862.db2.gz UVHRUNUBHCJFGT-LLVKDONJSA-N 0 3 222.307 2.699 20 0 BFADHN C[C@H]1CCN(CC2CC(F)(F)C2)C[C@@H]1F ZINC000828739537 587459870 /nfs/dbraw/zinc/45/98/70/587459870.db2.gz XARQKSPIKAYACN-WPRPVWTQSA-N 0 3 221.266 2.712 20 0 BFADHN CCCCCCN1CCN2CC(F)(F)C[C@H]2C1 ZINC000828777411 587461895 /nfs/dbraw/zinc/46/18/95/587461895.db2.gz MDWLZGXVEGFSFG-LBPRGKRZSA-N 0 3 246.345 2.592 20 0 BFADHN C[C@@H]1CN(C[C@]2(C)CC2(Cl)Cl)[C@H]1C ZINC000830028275 587532474 /nfs/dbraw/zinc/53/24/74/587532474.db2.gz HCVDGBCMGSCWOW-VGMNWLOBSA-N 0 3 222.159 2.911 20 0 BFADHN CC(C)[C@H](N[C@H]1C[C@@H](C)O[C@@H]1C)c1ccccn1 ZINC000830274919 587544789 /nfs/dbraw/zinc/54/47/89/587544789.db2.gz VOHKUBYAOCTWKF-UXOAXIEHSA-N 0 3 248.370 2.934 20 0 BFADHN F[C@H]1CCN(C[C@H]2CCc3ccccc32)C1 ZINC000830479152 587554045 /nfs/dbraw/zinc/55/40/45/587554045.db2.gz CPWHWCITKLXKOD-OLZOCXBDSA-N 0 3 219.303 2.760 20 0 BFADHN C[C@H](NCc1cn(C)cn1)[C@@H]1CCCC[C@H]1C ZINC000830515380 587555122 /nfs/dbraw/zinc/55/51/22/587555122.db2.gz VKUPBZCFTIDUEM-MBNYWOFBSA-N 0 3 235.375 2.725 20 0 BFADHN CN(C[C@@H]1CC1(Cl)Cl)C(C)(C)C ZINC000830536217 587556592 /nfs/dbraw/zinc/55/65/92/587556592.db2.gz LLEQVSAMEYDTCR-ZETCQYMHSA-N 0 3 210.148 2.911 20 0 BFADHN CCC1(NCc2cnc(Cl)cn2)CCCC1 ZINC000830787741 587570470 /nfs/dbraw/zinc/57/04/70/587570470.db2.gz ZMOKFIDWBPGBCE-UHFFFAOYSA-N 0 3 239.750 2.942 20 0 BFADHN COC(CNC1(c2ccc(C)cc2)CCC1)OC ZINC000830817373 587572246 /nfs/dbraw/zinc/57/22/46/587572246.db2.gz XZOGFLZJFIRPGJ-UHFFFAOYSA-N 0 3 249.354 2.583 20 0 BFADHN CCC[C@H](NCc1nonc1C)C(C)(C)C ZINC000831170247 587589107 /nfs/dbraw/zinc/58/91/07/587589107.db2.gz PBAUOVRIOQSNFN-NSHDSACASA-N 0 3 225.336 2.682 20 0 BFADHN CCO[C@@H]1C[C@@H](NC/C=C\Cl)C12CCC2 ZINC000831307746 587597523 /nfs/dbraw/zinc/59/75/23/587597523.db2.gz DPFFCXVDVYJEEG-HQPPDGJXSA-N 0 3 229.751 2.676 20 0 BFADHN COc1ccc2c(c1)CN(C1CCCC1)CCO2 ZINC000831772910 587631558 /nfs/dbraw/zinc/63/15/58/587631558.db2.gz DLIWBYPJCLJHSQ-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN CCCCCCN1CCN([C@H](C)CC)CC1 ZINC000832188346 587649600 /nfs/dbraw/zinc/64/96/00/587649600.db2.gz XZFGKSQPNQFHER-CQSZACIVSA-N 0 3 226.408 2.983 20 0 BFADHN CCN(CC)CCOC(=O)c1cc(C)cc(C)c1 ZINC000002003167 587731006 /nfs/dbraw/zinc/73/10/06/587731006.db2.gz UMZQWZGBUCAHGF-UHFFFAOYSA-N 0 3 249.354 2.802 20 0 BFADHN Cc1ccccc1[C@@H](O)CN1CC[C@H]1C1CC1 ZINC000834383653 587753763 /nfs/dbraw/zinc/75/37/63/587753763.db2.gz VBDVCCHXWZIUPV-GJZGRUSLSA-N 0 3 231.339 2.513 20 0 BFADHN CCCN[C@@H](C)c1nc(Br)cs1 ZINC000834487833 587756718 /nfs/dbraw/zinc/75/67/18/587756718.db2.gz ONTVKOBPGTWFCK-LURJTMIESA-N 0 3 249.177 2.966 20 0 BFADHN CO[C@H]1C[C@H](NCc2cc(C)oc2C)C12CCC2 ZINC000834650998 587762729 /nfs/dbraw/zinc/76/27/29/587762729.db2.gz SUYDSPDVDFJJBF-KBPBESRZSA-N 0 3 249.354 2.944 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H]1N[C@H]1C[C@@H](C)n2ccnc21 ZINC000834726764 587764835 /nfs/dbraw/zinc/76/48/35/587764835.db2.gz VRRCKMLWCBPYML-FHUSYTEZSA-N 0 3 233.359 2.913 20 0 BFADHN CC(C)[C@H](N)C(=O)N(C)C1CCCCCCC1 ZINC000837308668 587865076 /nfs/dbraw/zinc/86/50/76/587865076.db2.gz SLURRCDNKWOGRA-ZDUSSCGKSA-N 0 3 240.391 2.541 20 0 BFADHN CC[C@H](NCc1cccc(F)n1)[C@H]1C[C@H]1C ZINC000840732527 587918608 /nfs/dbraw/zinc/91/86/08/587918608.db2.gz ZMVVJNBIHLFWGA-USWWRNFRSA-N 0 3 222.307 2.745 20 0 BFADHN CC[C@H](NCc1cccnc1F)[C@@H]1C[C@H]1C ZINC000840751283 587919678 /nfs/dbraw/zinc/91/96/78/587919678.db2.gz NCABSABRKBONSB-JLLWLGSASA-N 0 3 222.307 2.745 20 0 BFADHN Cc1occc1CNC[C@](C)(O)c1ccccc1 ZINC000840896817 587928939 /nfs/dbraw/zinc/92/89/39/587928939.db2.gz HHKYRNSOSBXBFL-HNNXBMFYSA-N 0 3 245.322 2.585 20 0 BFADHN Cc1occc1CNC[C@H](O)c1cccc(C)c1 ZINC000840908718 587929415 /nfs/dbraw/zinc/92/94/15/587929415.db2.gz IYIXZKPQOKJYOS-HNNXBMFYSA-N 0 3 245.322 2.720 20 0 BFADHN CC[C@@H](NCc1cc(C)oc1C)[C@@H](O)C(C)C ZINC000840967144 587932230 /nfs/dbraw/zinc/93/22/30/587932230.db2.gz LXXCFVYJYWFOHF-KGLIPLIRSA-N 0 3 239.359 2.782 20 0 BFADHN CC[C@H](NCc1cc(C)oc1C)[C@H](O)C(C)C ZINC000840967145 587932462 /nfs/dbraw/zinc/93/24/62/587932462.db2.gz LXXCFVYJYWFOHF-UONOGXRCSA-N 0 3 239.359 2.782 20 0 BFADHN Fc1ccc(CN2CC[C@@H](C3CCC3)C2)cn1 ZINC000840977603 587933172 /nfs/dbraw/zinc/93/31/72/587933172.db2.gz JBLYAMICKRKCAI-CYBMUJFWSA-N 0 3 234.318 2.843 20 0 BFADHN C[C@@H]1[C@H](C)CN(Cc2ccc(F)nc2)[C@H]1C ZINC000841889573 587981258 /nfs/dbraw/zinc/98/12/58/587981258.db2.gz FBBAWRLTAOMJTM-MXWKQRLJSA-N 0 3 222.307 2.697 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cnn(C)c1Cl ZINC000841891546 587982205 /nfs/dbraw/zinc/98/22/05/587982205.db2.gz ZVRFMJVOOQYDGI-KXUCPTDWSA-N 0 3 241.766 2.550 20 0 BFADHN CN(CC1OCCO1)[C@@H]1CCC[C@H]1C(C)(C)C ZINC000842168211 588005823 /nfs/dbraw/zinc/00/58/23/588005823.db2.gz VTYWHRDIEXFUBA-VXGBXAGGSA-N 0 3 241.375 2.506 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1cccnc1Cl ZINC000842778126 588062755 /nfs/dbraw/zinc/06/27/55/588062755.db2.gz HKWGKMCFCQLILK-SCZZXKLOSA-N 0 3 242.775 2.719 20 0 BFADHN NCC1(c2nc(CCC3CCCCC3)no2)CC1 ZINC000843182288 588090534 /nfs/dbraw/zinc/09/05/34/588090534.db2.gz CCXSXWLRINJFKQ-UHFFFAOYSA-N 0 3 249.358 2.573 20 0 BFADHN CCC/C(C)=C\C(=O)Nc1cccc(CN)c1 ZINC000843288802 588097675 /nfs/dbraw/zinc/09/76/75/588097675.db2.gz IDDQNXAQUJHVNV-FLIBITNWSA-N 0 3 232.327 2.830 20 0 BFADHN Clc1ncccc1CNCCc1ccco1 ZINC000105415304 588168797 /nfs/dbraw/zinc/16/87/97/588168797.db2.gz QRPZPHFZOLXSAQ-UHFFFAOYSA-N 0 3 236.702 2.660 20 0 BFADHN CCN(CC)CCOC(=O)c1ccc(C)s1 ZINC000844257758 588177662 /nfs/dbraw/zinc/17/76/62/588177662.db2.gz BWIWMYNVFXHSIN-UHFFFAOYSA-N 0 3 241.356 2.555 20 0 BFADHN c1c(CN2CCCCCCCC2)nn2c1CCC2 ZINC000844338683 588185528 /nfs/dbraw/zinc/18/55/28/588185528.db2.gz RWSRNZKZFSAHJI-UHFFFAOYSA-N 0 3 247.386 2.986 20 0 BFADHN COC(CNC1(c2ccccc2C)CCC1)OC ZINC000844351501 588186738 /nfs/dbraw/zinc/18/67/38/588186738.db2.gz PUDFQCQKSJTERL-UHFFFAOYSA-N 0 3 249.354 2.583 20 0 BFADHN Cc1oncc1CN1CCC12CCCC2 ZINC000844361309 588187790 /nfs/dbraw/zinc/18/77/90/588187790.db2.gz YOSXQHMZVKGEIZ-UHFFFAOYSA-N 0 3 206.289 2.502 20 0 BFADHN CC[C@H]1CN(CCc2cccs2)[C@@H](C)CO1 ZINC000844389124 588188664 /nfs/dbraw/zinc/18/86/64/588188664.db2.gz CYAVCAMCTDQZMC-RYUDHWBXSA-N 0 3 239.384 2.790 20 0 BFADHN CC[C@@H]1CN(CC2CC(F)(F)C2)[C@H](C)CO1 ZINC000844391034 588188867 /nfs/dbraw/zinc/18/88/67/588188867.db2.gz MLFJMFJZAJXPLF-MWLCHTKSSA-N 0 3 233.302 2.531 20 0 BFADHN FC1(F)C[C@]12CCCN(C[C@@H]1CCCCO1)C2 ZINC000844438625 588192978 /nfs/dbraw/zinc/19/29/78/588192978.db2.gz XVEOUSRINFLIBO-RYUDHWBXSA-N 0 3 245.313 2.677 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC[C@@]3(CC3(F)F)C2)O1 ZINC000844438824 588193998 /nfs/dbraw/zinc/19/39/98/588193998.db2.gz FQFLEDXJWIYMGB-IJLUTSLNSA-N 0 3 245.313 2.675 20 0 BFADHN Cc1ccc(CN2C[C@H]3[C@@H](C2)C3(F)F)cc1 ZINC000844453958 588195741 /nfs/dbraw/zinc/19/57/41/588195741.db2.gz QFHCQVRFFMPSNS-TXEJJXNPSA-N 0 3 223.266 2.692 20 0 BFADHN FC1(F)[C@H]2CN(CCCc3ccsc3)C[C@H]21 ZINC000844457138 588196483 /nfs/dbraw/zinc/19/64/83/588196483.db2.gz JKUNLUSYEPIMEL-PHIMTYICSA-N 0 3 243.322 2.878 20 0 BFADHN CC[C@@H](C)CCC(=O)O[C@@H](C)CN1CCCC1 ZINC000845662910 588240143 /nfs/dbraw/zinc/24/01/43/588240143.db2.gz MMAGMFMJWYABPO-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)(C)N1CC[C@H]1COC(=O)CC1(C)CC1 ZINC000845734957 588243032 /nfs/dbraw/zinc/24/30/32/588243032.db2.gz IKIDMGKRRJQFQG-NSHDSACASA-N 0 3 239.359 2.593 20 0 BFADHN CCC[C@H](C)C(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845739550 588243049 /nfs/dbraw/zinc/24/30/49/588243049.db2.gz JTUVQUPCVZWDBY-NWDGAFQWSA-N 0 3 241.375 2.839 20 0 BFADHN CC(C)[C@H](C)C(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845741128 588243353 /nfs/dbraw/zinc/24/33/53/588243353.db2.gz RYHDXROFYMUTIP-NWDGAFQWSA-N 0 3 241.375 2.695 20 0 BFADHN CC(C)(C)N1CC[C@H]1COC(=O)C1CCCC1 ZINC000845741741 588243473 /nfs/dbraw/zinc/24/34/73/588243473.db2.gz YAFKCJLURJSPOF-LBPRGKRZSA-N 0 3 239.359 2.593 20 0 BFADHN CC[C@]1(C)CCC[C@@H]1N[C@H]1CCn2ccnc21 ZINC000845979315 588252495 /nfs/dbraw/zinc/25/24/95/588252495.db2.gz MHVGGZPGMRLRRH-SGMGOOAPSA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cc(CNCCC2(CO)CCC2)c(C)o1 ZINC000846103459 588256508 /nfs/dbraw/zinc/25/65/08/588256508.db2.gz YEDFAQMHEVNCIY-UHFFFAOYSA-N 0 3 237.343 2.539 20 0 BFADHN FC1(F)[C@H]2CN(Cc3cccc4c3CCC4)C[C@H]21 ZINC000846112062 588257294 /nfs/dbraw/zinc/25/72/94/588257294.db2.gz BPCLKHQXQFCQNH-OKILXGFUSA-N 0 3 249.304 2.872 20 0 BFADHN Fc1cc(CNC2CC3(CCC3)C2)c(F)cn1 ZINC000846112967 588257437 /nfs/dbraw/zinc/25/74/37/588257437.db2.gz HIUOURHGXDWXRX-UHFFFAOYSA-N 0 3 238.281 2.782 20 0 BFADHN C[C@@H](C1CC1)N(C)CC1(Br)CC1 ZINC000846769962 588287090 /nfs/dbraw/zinc/28/70/90/588287090.db2.gz GITMAAUFLKJMIB-QMMMGPOBSA-N 0 3 232.165 2.644 20 0 BFADHN CC(C)CCN(C)CC1(Br)CC1 ZINC000846775913 588287787 /nfs/dbraw/zinc/28/77/87/588287787.db2.gz FUKRCFKWGGGKIL-UHFFFAOYSA-N 0 3 234.181 2.892 20 0 BFADHN COc1nc(-c2ccc(CN(C)C)cc2)cs1 ZINC000846780245 588287945 /nfs/dbraw/zinc/28/79/45/588287945.db2.gz SBMBAXJNBUDSRQ-UHFFFAOYSA-N 0 3 248.351 2.880 20 0 BFADHN CC1(C)[C@@H]2CN(CC3(Br)CC3)C[C@@H]21 ZINC000846807085 588288247 /nfs/dbraw/zinc/28/82/47/588288247.db2.gz TXLKEUXLIMCNSV-DTORHVGOSA-N 0 3 244.176 2.502 20 0 BFADHN C[C@H]1CC[C@H](C)N1CC1(Br)CC1 ZINC000846815485 588290789 /nfs/dbraw/zinc/29/07/89/588290789.db2.gz MLHHUEOLZWMRNK-IUCAKERBSA-N 0 3 232.165 2.787 20 0 BFADHN CN(CCC1CC1)CC1(Br)CC1 ZINC000846831532 588291484 /nfs/dbraw/zinc/29/14/84/588291484.db2.gz JTQPBCHSHFEZRH-UHFFFAOYSA-N 0 3 232.165 2.646 20 0 BFADHN c1cc(CN2CCCC3(CC3)C2)cc2nonc12 ZINC000846859535 588293666 /nfs/dbraw/zinc/29/36/66/588293666.db2.gz XDVJDSLEXABCKU-UHFFFAOYSA-N 0 3 243.310 2.599 20 0 BFADHN BrC1(CN2CCCC3(CC3)C2)CC1 ZINC000846858747 588293685 /nfs/dbraw/zinc/29/36/85/588293685.db2.gz QYWHBYCHJIIJOD-UHFFFAOYSA-N 0 3 244.176 2.790 20 0 BFADHN CC1=C[C@H](C)CN(Cc2ccc3nonc3c2)C1 ZINC000846891464 588295998 /nfs/dbraw/zinc/29/59/98/588295998.db2.gz MYOKHMRPDKJRAF-JTQLQIEISA-N 0 3 243.310 2.621 20 0 BFADHN CCCCC(=O)OC[C@@H](C)N1CCCCC1 ZINC000847959230 588345192 /nfs/dbraw/zinc/34/51/92/588345192.db2.gz PWNXBQWBEWXNOL-GFCCVEGCSA-N 0 3 227.348 2.594 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@H](C)Cc1ccco1 ZINC000848518941 588390118 /nfs/dbraw/zinc/39/01/18/588390118.db2.gz JVGNLBFVDOWSPR-PWSUYJOCSA-N 0 3 245.326 2.660 20 0 BFADHN C[C@H]1CC[C@H](NCc2cccnc2F)CS1 ZINC000848549361 588395679 /nfs/dbraw/zinc/39/56/79/588395679.db2.gz OBDAOOIEHYSADX-ONGXEEELSA-N 0 3 240.347 2.594 20 0 BFADHN CC[C@H](NCc1ccoc1)[C@@H]1CCCCO1 ZINC000848575862 588399038 /nfs/dbraw/zinc/39/90/38/588399038.db2.gz WUDPIMBIYGSFGW-STQMWFEESA-N 0 3 223.316 2.717 20 0 BFADHN CCCN(Cc1cnc(Cl)n1C)CC(C)C ZINC000848882288 588416148 /nfs/dbraw/zinc/41/61/48/588416148.db2.gz YDFSYAPVAPQYLJ-UHFFFAOYSA-N 0 3 243.782 2.942 20 0 BFADHN CC(C)N(Cc1cnc(Cl)n1C)CC1CC1 ZINC000848880841 588416330 /nfs/dbraw/zinc/41/63/30/588416330.db2.gz BSRNHZDNRRUXHC-UHFFFAOYSA-N 0 3 241.766 2.694 20 0 BFADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cnc(Cl)n1C ZINC000848917234 588420895 /nfs/dbraw/zinc/42/08/95/588420895.db2.gz DOPDJOQNZXRMCJ-KXUCPTDWSA-N 0 3 241.766 2.550 20 0 BFADHN CCCN(Cc1cnc([C@@H](C)O)s1)C(C)C ZINC000848923128 588421014 /nfs/dbraw/zinc/42/10/14/588421014.db2.gz GVHBBQGKNHUHGE-SNVBAGLBSA-N 0 3 242.388 2.817 20 0 BFADHN CC(=O)C1CCN(Cc2cccc(C)c2)CC1 ZINC000107957938 588422064 /nfs/dbraw/zinc/42/20/64/588422064.db2.gz UPRJBUWMWXDPIR-UHFFFAOYSA-N 0 3 231.339 2.796 20 0 BFADHN Cc1c[nH]c(CNCC[C@]2(C)C[C@@]2(F)Cl)n1 ZINC000850340291 588537417 /nfs/dbraw/zinc/53/74/17/588537417.db2.gz VOMKYTDMBDKWBM-MNOVXSKESA-N 0 3 245.729 2.512 20 0 BFADHN CCc1cccc2cc(CN[C@@H]3CC[C@H]3O)oc21 ZINC000850332311 588537592 /nfs/dbraw/zinc/53/75/92/588537592.db2.gz CEHRNGSZPOBATR-ZIAGYGMSSA-N 0 3 245.322 2.608 20 0 BFADHN CC[C@H](COCC1CC1)NCc1ccc(C)nc1 ZINC000850350856 588538136 /nfs/dbraw/zinc/53/81/36/588538136.db2.gz IMIHLDHSHVHEOK-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN CC[C@H](C)CCNCc1cc(C(F)(F)F)n[nH]1 ZINC000850356692 588538590 /nfs/dbraw/zinc/53/85/90/588538590.db2.gz ZPJJBZLUBBRZPW-QMMMGPOBSA-N 0 3 249.280 2.954 20 0 BFADHN CC[C@@H](C)CCNCc1cnc([C@@H](C)O)s1 ZINC000850355074 588538679 /nfs/dbraw/zinc/53/86/79/588538679.db2.gz CWXVACLBLZEDOU-NXEZZACHSA-N 0 3 242.388 2.722 20 0 BFADHN CCOCOc1ccc(CN[C@@H]2C=CCC2)cc1 ZINC000850358364 588538996 /nfs/dbraw/zinc/53/89/96/588538996.db2.gz BXBZHJNKBCKKHX-CQSZACIVSA-N 0 3 247.338 2.868 20 0 BFADHN COCCOc1cccc(CN[C@@H]2C=CCC2)c1 ZINC000850357864 588539018 /nfs/dbraw/zinc/53/90/18/588539018.db2.gz ARSRAFBHUQMVPA-CQSZACIVSA-N 0 3 247.338 2.520 20 0 BFADHN Clc1ccc2ncc(CN[C@@H]3C=CCC3)n2c1 ZINC000850358328 588539128 /nfs/dbraw/zinc/53/91/28/588539128.db2.gz BGQOFYJPGXZGOW-LLVKDONJSA-N 0 3 247.729 2.796 20 0 BFADHN COCCOc1cccc(CN[C@H]2C=CCC2)c1 ZINC000850357863 588539187 /nfs/dbraw/zinc/53/91/87/588539187.db2.gz ARSRAFBHUQMVPA-AWEZNQCLSA-N 0 3 247.338 2.520 20 0 BFADHN COc1cc(C)c(CN[C@@H]2C=CCC2)cc1OC ZINC000850359091 588539672 /nfs/dbraw/zinc/53/96/72/588539672.db2.gz VXQOZSNIAZPYSN-CYBMUJFWSA-N 0 3 247.338 2.820 20 0 BFADHN C1=C[C@H](NCc2cnc(C3CC3)s2)CC1 ZINC000850359123 588539816 /nfs/dbraw/zinc/53/98/16/588539816.db2.gz XHMKIUPDPJKBAT-JTQLQIEISA-N 0 3 220.341 2.829 20 0 BFADHN CC(C)(C)OCCCNCc1ccc(F)nc1 ZINC000850370172 588541019 /nfs/dbraw/zinc/54/10/19/588541019.db2.gz NDFOWCXSZMHGQF-UHFFFAOYSA-N 0 3 240.322 2.516 20 0 BFADHN c1cc(CN[C@@H]2C[C@@H](Oc3ccccc3)C2)co1 ZINC000850374479 588541399 /nfs/dbraw/zinc/54/13/99/588541399.db2.gz KLUHFDBTQSSWGW-CTYIDZIISA-N 0 3 243.306 2.979 20 0 BFADHN Cc1csc(CNCC[C@@]2(C)CC2(F)F)n1 ZINC000850380864 588542781 /nfs/dbraw/zinc/54/27/81/588542781.db2.gz OZZZUUSVLQKQAO-JTQLQIEISA-N 0 3 246.326 2.977 20 0 BFADHN CC[C@@H]1COC[C@H]1NCc1ccc2occc2c1 ZINC000850383438 588542874 /nfs/dbraw/zinc/54/28/74/588542874.db2.gz PEIVVJCGQGIDGI-TZMCWYRMSA-N 0 3 245.322 2.947 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1cc2cnccc2o1 ZINC000850431989 588545877 /nfs/dbraw/zinc/54/58/77/588545877.db2.gz ORSGAYXDVBQQNV-GHMZBOCLSA-N 0 3 248.326 2.903 20 0 BFADHN C[C@@H](NCc1cccnc1F)C(C)(C)C(F)F ZINC000850467587 588548274 /nfs/dbraw/zinc/54/82/74/588548274.db2.gz XOBHHTMJWKEZCC-MRVPVSSYSA-N 0 3 246.276 2.990 20 0 BFADHN C[C@H](N[C@H]1CCn2ccnc21)C(C)(C)C(F)F ZINC000850472008 588548958 /nfs/dbraw/zinc/54/89/58/588548958.db2.gz VUXATROOHVAGMY-IUCAKERBSA-N 0 3 243.301 2.597 20 0 BFADHN CC1(C)[C@H](N[C@H]2CCn3ccnc32)[C@@H]2CCC[C@@H]21 ZINC000850472043 588548999 /nfs/dbraw/zinc/54/89/99/588548999.db2.gz WISCFSBXBDDWDJ-MROQNXINSA-N 0 3 245.370 2.742 20 0 BFADHN C[C@@H](N[C@H]1CCn2ccnc21)C(C)(C)C(F)F ZINC000850472006 588549164 /nfs/dbraw/zinc/54/91/64/588549164.db2.gz VUXATROOHVAGMY-BDAKNGLRSA-N 0 3 243.301 2.597 20 0 BFADHN CON(C)CCN[C@@H](C)c1csc(Cl)c1 ZINC000850479643 588550413 /nfs/dbraw/zinc/55/04/13/588550413.db2.gz YDNWRGREHPYGSB-QMMMGPOBSA-N 0 3 248.779 2.545 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1cccnc1N1CCCC1 ZINC000850502800 588552502 /nfs/dbraw/zinc/55/25/02/588552502.db2.gz ZULZFLNGDAYOSX-OCCSQVGLSA-N 0 3 245.370 2.570 20 0 BFADHN COc1cc(C)c([C@@H](C)NC/C=C\CO)cc1C ZINC000850508164 588553989 /nfs/dbraw/zinc/55/39/89/588553989.db2.gz KRLWTMRDTWVWQV-CFHLNLSMSA-N 0 3 249.354 2.511 20 0 BFADHN C[C@@H](N[C@@H]1Cc2ccccc2[C@@H]1C)c1ncc[nH]1 ZINC000850519239 588555819 /nfs/dbraw/zinc/55/58/19/588555819.db2.gz YJTDDQJPQKEZBY-MISXGVKJSA-N 0 3 241.338 2.789 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2ncc[nH]2)cc1C ZINC000850522193 588556282 /nfs/dbraw/zinc/55/62/82/588556282.db2.gz HOUSSZLHGGQCMX-ZDUSSCGKSA-N 0 3 243.354 2.920 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2COC3(CCC3)C2)c1 ZINC000850524865 588556907 /nfs/dbraw/zinc/55/69/07/588556907.db2.gz ITIUZBWSPDZITG-GXTWGEPZSA-N 0 3 246.354 2.752 20 0 BFADHN CC[C@@H]1COC[C@H]1N[C@H](C)c1cnc(C)s1 ZINC000850539578 588558908 /nfs/dbraw/zinc/55/89/08/588558908.db2.gz GFBRCJNRYWAFTF-FBIMIBRVSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1cc([C@H](C)NC2CC(C)(C)C2)n(C)n1 ZINC000850576714 588561111 /nfs/dbraw/zinc/56/11/11/588561111.db2.gz QDHFTCYDWYAYCF-JTQLQIEISA-N 0 3 221.348 2.568 20 0 BFADHN Cc1cc([C@@H](C)NC2CC(C)(C)C2)n(C)n1 ZINC000850576715 588561146 /nfs/dbraw/zinc/56/11/46/588561146.db2.gz QDHFTCYDWYAYCF-SNVBAGLBSA-N 0 3 221.348 2.568 20 0 BFADHN CC(=O)O[C@H](c1ccccc1)[C@H](C)N1CCCC1 ZINC000851133442 588593508 /nfs/dbraw/zinc/59/35/08/588593508.db2.gz UEGQWNKMTHSRAF-WFASDCNBSA-N 0 3 247.338 2.775 20 0 BFADHN COc1cc(CN2CCCCCCC2)sn1 ZINC000851714266 588615919 /nfs/dbraw/zinc/61/59/19/588615919.db2.gz OUULMUNHIQWYKD-UHFFFAOYSA-N 0 3 240.372 2.918 20 0 BFADHN Cc1noc(C)c1CN(C)CC1CC=CC1 ZINC000851725852 588618001 /nfs/dbraw/zinc/61/80/01/588618001.db2.gz GURZBAKDMCEEPH-UHFFFAOYSA-N 0 3 220.316 2.689 20 0 BFADHN CCCCN(Cc1cccc(F)n1)C1CC1 ZINC000851728438 588618441 /nfs/dbraw/zinc/61/84/41/588618441.db2.gz BAMZUJPQKMCNSP-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN Cc1ccccc1[C@H](C)N(C)C[C@@H]1CCC(=O)O1 ZINC000851733993 588620059 /nfs/dbraw/zinc/62/00/59/588620059.db2.gz MADAXIBKZARTLS-STQMWFEESA-N 0 3 247.338 2.693 20 0 BFADHN CO[C@H]1CC[C@@H](N(C)Cc2ccoc2C)C1 ZINC000851736894 588620491 /nfs/dbraw/zinc/62/04/91/588620491.db2.gz XBXAFUWTANQBTG-OLZOCXBDSA-N 0 3 223.316 2.587 20 0 BFADHN CC(C)=CCCN(C)Cc1cccc(C(N)=O)c1 ZINC000851734460 588620651 /nfs/dbraw/zinc/62/06/51/588620651.db2.gz SGRZZMQDSJPLSU-UHFFFAOYSA-N 0 3 246.354 2.574 20 0 BFADHN CN(Cc1cccc(F)n1)CC1CCCC1 ZINC000851740245 588621193 /nfs/dbraw/zinc/62/11/93/588621193.db2.gz VKMBRDCGMOUFQJ-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN CC(C)(NC[C@@H]1COC(C)(C)O1)c1ccccc1 ZINC000851749307 588622766 /nfs/dbraw/zinc/62/27/66/588622766.db2.gz CVYMDBUYEZVEJG-CYBMUJFWSA-N 0 3 249.354 2.663 20 0 BFADHN Fc1cccc(CN2CCC[C@@H]2C2CCC2)n1 ZINC000851750146 588623238 /nfs/dbraw/zinc/62/32/38/588623238.db2.gz YIQPIJYLXKCYIU-CYBMUJFWSA-N 0 3 234.318 2.985 20 0 BFADHN FC(F)OCCN1CCC2(C1)CCCCC2 ZINC000851785470 588628556 /nfs/dbraw/zinc/62/85/56/588628556.db2.gz SBVWXAIPEPDJJH-UHFFFAOYSA-N 0 3 233.302 2.882 20 0 BFADHN CC(C)C[C@H]1CCCN(CCOC(F)F)C1 ZINC000851807544 588628595 /nfs/dbraw/zinc/62/85/95/588628595.db2.gz AOYDHXWQUXBHJN-LLVKDONJSA-N 0 3 235.318 2.984 20 0 BFADHN CC[C@]1(C)CCCN(C[C@H](O)c2ccccn2)C1 ZINC000851790712 588628611 /nfs/dbraw/zinc/62/86/11/588628611.db2.gz NSWIIWIACPZDIF-LSDHHAIUSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@@H](N(C)Cc1cccc(F)n1)C1(C)CC1 ZINC000851806098 588631232 /nfs/dbraw/zinc/63/12/32/588631232.db2.gz ISABKXQGIKQWHJ-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cccc(F)n2)C1 ZINC000851808827 588632353 /nfs/dbraw/zinc/63/23/53/588632353.db2.gz QTKJWXLIMOLDIM-ZDUSSCGKSA-N 0 3 222.307 2.843 20 0 BFADHN FC(F)(F)C1CN(C[C@@H]2CC[C@H]3C[C@H]3C2)C1 ZINC000851857184 588635978 /nfs/dbraw/zinc/63/59/78/588635978.db2.gz NRZHYXJJPJGOPX-KXUCPTDWSA-N 0 3 233.277 2.917 20 0 BFADHN c1cc(CN2CCC3(CCOC3)CC2)cs1 ZINC000851876407 588637909 /nfs/dbraw/zinc/63/79/09/588637909.db2.gz CWXQTGNIFQOLOQ-UHFFFAOYSA-N 0 3 237.368 2.751 20 0 BFADHN COc1cccc(SCCN2CC[C@@H]3C[C@@H]32)c1 ZINC000851877997 588639034 /nfs/dbraw/zinc/63/90/34/588639034.db2.gz YEJMAWXASRHBFX-RISCZKNCSA-N 0 3 249.379 2.882 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2C[C@@H]2CC[C@H]3C[C@H]3C2)n1 ZINC000851886618 588640354 /nfs/dbraw/zinc/64/03/54/588640354.db2.gz JEEQIUONBWXIAS-RQJABVFESA-N 0 3 245.370 2.983 20 0 BFADHN CC[C@@H](NC[C@@H]1CCC(=O)O1)c1ccc(C)cc1 ZINC000851892735 588641497 /nfs/dbraw/zinc/64/14/97/588641497.db2.gz LPFDEQCOZXWRLZ-UONOGXRCSA-N 0 3 247.338 2.741 20 0 BFADHN CC[C@](C)(NC[C@H]1CCC(=O)O1)c1ccccc1 ZINC000851902375 588641859 /nfs/dbraw/zinc/64/18/59/588641859.db2.gz PTUUPUKNKCLBFM-HIFRSBDPSA-N 0 3 247.338 2.607 20 0 BFADHN CC[C@@H](NCCOC(F)F)c1c(C)noc1C ZINC000851928342 588644783 /nfs/dbraw/zinc/64/47/83/588644783.db2.gz SBMYVIWBPACLHH-SECBINFHSA-N 0 3 248.273 2.571 20 0 BFADHN CO[C@@H]1CC[C@H](N[C@H](C)c2ncc(C)s2)C1 ZINC000851933564 588645461 /nfs/dbraw/zinc/64/54/61/588645461.db2.gz ZKGDHJDIWWNHIA-OUAUKWLOSA-N 0 3 240.372 2.670 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cccc(F)n1 ZINC000851950618 588646556 /nfs/dbraw/zinc/64/65/56/588646556.db2.gz QMHNJERUQZLXGK-ZYHUDNBSSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CCCCN(Cc2cccc(F)n2)C1 ZINC000851946869 588646614 /nfs/dbraw/zinc/64/66/14/588646614.db2.gz QWGKAPFQEDMPNI-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cccc(F)n1 ZINC000851950615 588647199 /nfs/dbraw/zinc/64/71/99/588647199.db2.gz QMHNJERUQZLXGK-CMPLNLGQSA-N 0 3 222.307 2.841 20 0 BFADHN CO[C@@H](CN1CCC(F)(F)CC1)C1CCCC1 ZINC000852002463 588654154 /nfs/dbraw/zinc/65/41/54/588654154.db2.gz UVPSXYZZBYASHC-LBPRGKRZSA-N 0 3 247.329 2.923 20 0 BFADHN Fc1cccc(CN2CCC[C@H](C3CC3)C2)n1 ZINC000852053537 588657704 /nfs/dbraw/zinc/65/77/04/588657704.db2.gz ZYPBAABFRQQLQZ-LBPRGKRZSA-N 0 3 234.318 2.843 20 0 BFADHN CC[C@H](Nc1cc(C)nc(N)n1)c1ccccc1 ZINC000110362490 588658745 /nfs/dbraw/zinc/65/87/45/588658745.db2.gz JESAIPGTDCQJLZ-LBPRGKRZSA-N 0 3 242.326 2.930 20 0 BFADHN CO[C@@H]1CC[C@@H](N(C)Cc2occc2C)C1 ZINC000852077019 588659798 /nfs/dbraw/zinc/65/97/98/588659798.db2.gz NGUNNPHIMRRRDW-VXGBXAGGSA-N 0 3 223.316 2.587 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCC=C(Cl)Cl ZINC000852147574 588667295 /nfs/dbraw/zinc/66/72/95/588667295.db2.gz GCVBIAYIPQRREO-RKDXNWHRSA-N 0 3 238.158 2.853 20 0 BFADHN CCC[C@@H](C)[C@H](CO)NCC=C(Cl)Cl ZINC000852210847 588672418 /nfs/dbraw/zinc/67/24/18/588672418.db2.gz LTFDDJZDLCRQHR-BDAKNGLRSA-N 0 3 240.174 2.692 20 0 BFADHN CC[C@@H](C)N(CC)Cc1ccc(F)cn1 ZINC000852291017 588676557 /nfs/dbraw/zinc/67/65/57/588676557.db2.gz OLTDJMSNPJQCLC-SNVBAGLBSA-N 0 3 210.296 2.841 20 0 BFADHN C[C@]1(C2CC2)CN(CC2CC(F)(F)C2)CCO1 ZINC000852326454 588678657 /nfs/dbraw/zinc/67/86/57/588678657.db2.gz RFUQCIMTWSQSMK-GFCCVEGCSA-N 0 3 245.313 2.533 20 0 BFADHN Cc1ccc2c(c1)CN(CCC(=O)C1CC1)CC2 ZINC000852404263 588680840 /nfs/dbraw/zinc/68/08/40/588680840.db2.gz NDUCLBUZFVILAM-UHFFFAOYSA-N 0 3 243.350 2.722 20 0 BFADHN c1cc2nsnc2c(CN2CC[C@@H]3C[C@@H]3C2)c1 ZINC000852635468 588693596 /nfs/dbraw/zinc/69/35/96/588693596.db2.gz NCQZRHQMMIFBQS-MWLCHTKSSA-N 0 3 245.351 2.533 20 0 BFADHN c1cc2nsnc2c(CN2CC[C@H]3C[C@H]3C2)c1 ZINC000852635469 588693689 /nfs/dbraw/zinc/69/36/89/588693689.db2.gz NCQZRHQMMIFBQS-ONGXEEELSA-N 0 3 245.351 2.533 20 0 BFADHN Cc1oncc1CN[C@@H](C)C1CCC(F)CC1 ZINC000852650382 588694044 /nfs/dbraw/zinc/69/40/44/588694044.db2.gz KFPOOBCWIZUHSG-FJJSSXBZSA-N 0 3 240.322 2.989 20 0 BFADHN CN(Cc1cnc(Cl)cn1)C[C@H]1CC1(C)C ZINC000852772590 588701494 /nfs/dbraw/zinc/70/14/94/588701494.db2.gz OTLDUSYCXKQFKQ-SECBINFHSA-N 0 3 239.750 2.608 20 0 BFADHN CCC/C=C\C(=O)Nc1ccc2c(c1)CNCC2 ZINC000852797268 588703872 /nfs/dbraw/zinc/70/38/72/588703872.db2.gz NKHAMTYZTPOMDF-PLNGDYQASA-N 0 3 244.338 2.627 20 0 BFADHN Cc1c(CN(C)[C@@H](C)C2CC2)ccnc1F ZINC000862225122 589195583 /nfs/dbraw/zinc/19/55/83/589195583.db2.gz OXNWUPDTUWNNQH-JTQLQIEISA-N 0 3 222.307 2.759 20 0 BFADHN Cc1c(CN2CCC[C@H]2C2CC2)ccnc1F ZINC000862239083 589196223 /nfs/dbraw/zinc/19/62/23/589196223.db2.gz UUXSGDQPCWPWTL-ZDUSSCGKSA-N 0 3 234.318 2.904 20 0 BFADHN Cc1c(CN2CC[C@H]2C(C)C)ccnc1F ZINC000862261521 589197257 /nfs/dbraw/zinc/19/72/57/589197257.db2.gz GPSFZGCRCDISAU-LBPRGKRZSA-N 0 3 222.307 2.759 20 0 BFADHN C[C@H](Cc1ccco1)NCc1cnc(C2CC2)o1 ZINC000862266462 589198014 /nfs/dbraw/zinc/19/80/14/589198014.db2.gz QCAXAVNDDAPZHO-SNVBAGLBSA-N 0 3 246.310 2.866 20 0 BFADHN Cc1c(F)nccc1CN[C@H](C)Cc1ccco1 ZINC000862266718 589198042 /nfs/dbraw/zinc/19/80/42/589198042.db2.gz UJHIXOJDAJCCGC-SNVBAGLBSA-N 0 3 248.301 2.843 20 0 BFADHN CC[C@H](CSC)NCc1ccnc(F)c1C ZINC000862291030 589200326 /nfs/dbraw/zinc/20/03/26/589200326.db2.gz FCCFXQASIUXSCC-LLVKDONJSA-N 0 3 242.363 2.760 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCC=CO2)C[C@H](C)C1(F)F ZINC000862442553 589213152 /nfs/dbraw/zinc/21/31/52/589213152.db2.gz IHQHZGKAKIVCDL-TUAOUCFPSA-N 0 3 245.313 2.902 20 0 BFADHN c1cc(CN2CC[C@H]3CC[C@@H](C2)S3)co1 ZINC000862473068 589216609 /nfs/dbraw/zinc/21/66/09/589216609.db2.gz CTKBVYPXHWOYJQ-NEPJUHHUSA-N 0 3 223.341 2.750 20 0 BFADHN CCN(C)Cc1cncc2ccccc21 ZINC000203091757 589217400 /nfs/dbraw/zinc/21/74/00/589217400.db2.gz UICVIUYYUCHPEL-UHFFFAOYSA-N 0 3 200.285 2.687 20 0 BFADHN C[C@H](NC1CC(C(F)F)C1)c1ccns1 ZINC000862539485 589218164 /nfs/dbraw/zinc/21/81/64/589218164.db2.gz NAXJPINGXKKKQK-KKMMWDRVSA-N 0 3 232.299 2.837 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2ccc(C)nn2)C1 ZINC000862550180 589220780 /nfs/dbraw/zinc/22/07/80/589220780.db2.gz JOBZEQZEVRTOIW-XGACYXMMSA-N 0 3 245.370 2.963 20 0 BFADHN CCn1nccc1CNCCCCC(C)C ZINC000119311081 589264073 /nfs/dbraw/zinc/26/40/73/589264073.db2.gz AIITXYUMVZSERM-UHFFFAOYSA-N 0 3 223.364 2.819 20 0 BFADHN CCC[C@@H](C)CCCN1CCNC[C@@H]1C(F)F ZINC000863542176 589296901 /nfs/dbraw/zinc/29/69/01/589296901.db2.gz AZMCEEUCJZAVTO-VXGBXAGGSA-N 0 3 248.361 2.742 20 0 BFADHN Cc1cc(CNCCc2c(C)noc2C)c(C)o1 ZINC000120119012 589378513 /nfs/dbraw/zinc/37/85/13/589378513.db2.gz KIMGXRJECLKZGH-UHFFFAOYSA-N 0 3 248.326 2.834 20 0 BFADHN CCC[C@@H](C)NCc1cc2c(cc[nH]c2=O)o1 ZINC000865398280 589432081 /nfs/dbraw/zinc/43/20/81/589432081.db2.gz YMTOIXCGQUUVAW-SECBINFHSA-N 0 3 234.299 2.812 20 0 BFADHN CC[C@@H](C)NCc1cnc(Cl)c(F)c1 ZINC000865400851 589432297 /nfs/dbraw/zinc/43/22/97/589432297.db2.gz AJAQXVLHFWUTHA-SSDOTTSWSA-N 0 3 216.687 2.762 20 0 BFADHN c1nc(CNC2CCCCC2)cn1C1CC1 ZINC000865400508 589432663 /nfs/dbraw/zinc/43/26/63/589432663.db2.gz GNYJNGMOJOEFJN-UHFFFAOYSA-N 0 3 219.332 2.640 20 0 BFADHN C[C@H]1C[C@H]1CNCc1cnc(Cl)c(F)c1 ZINC000865413914 589434289 /nfs/dbraw/zinc/43/42/89/589434289.db2.gz CWXDTWJCXVZHAN-CBAPKCEASA-N 0 3 228.698 2.620 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cn(C2CC2)cn1 ZINC000865429886 589435112 /nfs/dbraw/zinc/43/51/12/589435112.db2.gz IWZIABXIUQVREO-QWRGUYRKSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@H](CNCc1cc2c(cc[nH]c2=O)o1)C1CC1 ZINC000865429733 589435320 /nfs/dbraw/zinc/43/53/20/589435320.db2.gz DKXGOUPISHAYKK-SECBINFHSA-N 0 3 246.310 2.669 20 0 BFADHN CSCCCNCc1cnc(Cl)c(F)c1 ZINC000865468489 589438760 /nfs/dbraw/zinc/43/87/60/589438760.db2.gz HKUOUVQHYYLRIJ-UHFFFAOYSA-N 0 3 248.754 2.717 20 0 BFADHN CCC1(CNCc2cn(C3CC3)cn2)CCC1 ZINC000865487235 589440673 /nfs/dbraw/zinc/44/06/73/589440673.db2.gz MSZQLJSKMOUEST-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN CCCC1(CNCc2cn(C3CC3)cn2)CC1 ZINC000865492701 589441270 /nfs/dbraw/zinc/44/12/70/589441270.db2.gz WPXIMOBENCDJHF-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN OCC1(NCc2cccc(CC3CCC3)c2)CC1 ZINC000865510003 589442561 /nfs/dbraw/zinc/44/25/61/589442561.db2.gz QLITZANDRCHPGM-UHFFFAOYSA-N 0 3 245.366 2.644 20 0 BFADHN CC1(C)CC[C@H]1NCc1cc2c(cc[nH]c2=O)o1 ZINC000865568575 589447326 /nfs/dbraw/zinc/44/73/26/589447326.db2.gz UDOJPEOWVDYNGU-GFCCVEGCSA-N 0 3 246.310 2.812 20 0 BFADHN CCOc1cc(F)cc(CN[C@H]2CC23CC3)c1 ZINC000865609493 589450767 /nfs/dbraw/zinc/45/07/67/589450767.db2.gz NLNOWNDIALIWHA-ZDUSSCGKSA-N 0 3 235.302 2.867 20 0 BFADHN Fc1cc(CN[C@H]2CC23CC3)cnc1Cl ZINC000865609307 589450934 /nfs/dbraw/zinc/45/09/34/589450934.db2.gz LCGLSCITPHEAFN-VIFPVBQESA-N 0 3 226.682 2.516 20 0 BFADHN Cc1c(Cl)cccc1CN[C@H]1CO[C@@H](C)C1 ZINC000865614813 589451400 /nfs/dbraw/zinc/45/14/00/589451400.db2.gz QTDGEGTYGJQDHB-JOYOIKCWSA-N 0 3 239.746 2.915 20 0 BFADHN Cc1c(Cl)cccc1CN[C@H]1CO[C@H](C)C1 ZINC000865614808 589451540 /nfs/dbraw/zinc/45/15/40/589451540.db2.gz QTDGEGTYGJQDHB-BXKDBHETSA-N 0 3 239.746 2.915 20 0 BFADHN CC[C@](C)(NCc1cn(C2CC2)cn1)C1CC1 ZINC000865627045 589452430 /nfs/dbraw/zinc/45/24/30/589452430.db2.gz AMJBKGXWRYKWNB-AWEZNQCLSA-N 0 3 233.359 2.886 20 0 BFADHN c1nc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)cn1C1CC1 ZINC000865637983 589453541 /nfs/dbraw/zinc/45/35/41/589453541.db2.gz SJKAIESQQSWRKR-UPJWGTAASA-N 0 3 245.370 2.744 20 0 BFADHN CCC[C@H](CCO)NCc1cc(C)oc1C ZINC000865663388 589455209 /nfs/dbraw/zinc/45/52/09/589455209.db2.gz QNXSGOCKEPYCAQ-CYBMUJFWSA-N 0 3 225.332 2.537 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H]1CCCC[C@@H]1F ZINC000865697130 589456903 /nfs/dbraw/zinc/45/69/03/589456903.db2.gz SYWLWBHLFLCEGA-SDDRHHMPSA-N 0 3 217.328 2.670 20 0 BFADHN F[C@@H]1CCCC[C@@H]1NCc1n[nH]c2ccccc21 ZINC000865698737 589457169 /nfs/dbraw/zinc/45/71/69/589457169.db2.gz GSMKTCAOSAHPPX-YPMHNXCESA-N 0 3 247.317 2.933 20 0 BFADHN F[C@@H]1CCCC[C@@H]1NCc1[nH]nc2ccccc21 ZINC000865698737 589457170 /nfs/dbraw/zinc/45/71/70/589457170.db2.gz GSMKTCAOSAHPPX-YPMHNXCESA-N 0 3 247.317 2.933 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ccnc(F)c2)CS1 ZINC000865721972 589458139 /nfs/dbraw/zinc/45/81/39/589458139.db2.gz CIFQXKDZJNIYFD-MWLCHTKSSA-N 0 3 240.347 2.594 20 0 BFADHN C[C@H]1CC[C@H](NCc2ccnc(F)c2)CS1 ZINC000865721973 589458464 /nfs/dbraw/zinc/45/84/64/589458464.db2.gz CIFQXKDZJNIYFD-ONGXEEELSA-N 0 3 240.347 2.594 20 0 BFADHN Fc1ccc(CNCC[C@H]2CCCS2)cn1 ZINC000865724849 589458535 /nfs/dbraw/zinc/45/85/35/589458535.db2.gz KTZCRAWMAQLITO-LLVKDONJSA-N 0 3 240.347 2.596 20 0 BFADHN Fc1cnccc1CNCC[C@@H]1CCCS1 ZINC000865725931 589458595 /nfs/dbraw/zinc/45/85/95/589458595.db2.gz QGVXSONOBKPFNB-NSHDSACASA-N 0 3 240.347 2.596 20 0 BFADHN CS[C@H]1C[C@H](NCc2ccc(Cl)nc2)C1 ZINC000865734340 589459164 /nfs/dbraw/zinc/45/91/64/589459164.db2.gz UASRZBDIFSKBGM-MGCOHNPYSA-N 0 3 242.775 2.719 20 0 BFADHN c1cc(CN[C@H](C2CCC2)[C@H]2CCCO2)co1 ZINC000865737083 589459449 /nfs/dbraw/zinc/45/94/49/589459449.db2.gz PJKYONVYVDFGPR-ZIAGYGMSSA-N 0 3 235.327 2.717 20 0 BFADHN CS[C@H]1C[C@H](NCc2cc(C)oc2C)C1 ZINC000865734435 589459516 /nfs/dbraw/zinc/45/95/16/589459516.db2.gz YFXCIKJVGGEWBM-HAQNSBGRSA-N 0 3 225.357 2.880 20 0 BFADHN CC(C)(NCc1ccoc1)[C@@H]1CCCCO1 ZINC000865746150 589460528 /nfs/dbraw/zinc/46/05/28/589460528.db2.gz MFCROQZVHNGJGP-LBPRGKRZSA-N 0 3 223.316 2.717 20 0 BFADHN Cc1ccncc1CNC(C)(C)[C@H]1CCCCO1 ZINC000865744198 589460544 /nfs/dbraw/zinc/46/05/44/589460544.db2.gz ONTFXCYEWYAHNC-CQSZACIVSA-N 0 3 248.370 2.827 20 0 BFADHN COC(C)(C)CCNCc1cccnc1Cl ZINC000865755697 589461052 /nfs/dbraw/zinc/46/10/52/589461052.db2.gz DCXFMJUBZUJZDP-UHFFFAOYSA-N 0 3 242.750 2.640 20 0 BFADHN CC(C)CNCc1noc2ccc(F)cc12 ZINC000865761343 589461345 /nfs/dbraw/zinc/46/13/45/589461345.db2.gz VGPRNUSBJKTRDJ-UHFFFAOYSA-N 0 3 222.263 2.713 20 0 BFADHN Fc1cnccc1CNCCCCC1CC1 ZINC000865786911 589461899 /nfs/dbraw/zinc/46/18/99/589461899.db2.gz UUWMBYWNLVKCES-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN c1c(CNCCCCC2CC2)nn2c1CCCC2 ZINC000865786491 589461984 /nfs/dbraw/zinc/46/19/84/589461984.db2.gz OEVLFWWFJUSMMP-UHFFFAOYSA-N 0 3 247.386 2.889 20 0 BFADHN CN1Cc2ccccc2[C@H]1CNCc1ccoc1 ZINC000865806303 589462495 /nfs/dbraw/zinc/46/24/95/589462495.db2.gz IUUMIEKKUVAVRP-OAHLLOKOSA-N 0 3 242.322 2.556 20 0 BFADHN Cc1cccc(CN[C@@H](C)c2ccnn2C)c1C ZINC000865839591 589464356 /nfs/dbraw/zinc/46/43/56/589464356.db2.gz OGYDWPSAUFBOKG-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN Fc1ccc(CN[C@]23CCC[C@H]2OCC3)cc1 ZINC000865859074 589465568 /nfs/dbraw/zinc/46/55/68/589465568.db2.gz KEHPZNHQROEQBM-KGLIPLIRSA-N 0 3 235.302 2.627 20 0 BFADHN CC[C@@H](C[C@H](C)O)NCc1cc(C)oc1C ZINC000865872058 589466133 /nfs/dbraw/zinc/46/61/33/589466133.db2.gz IOBALXZNCPJYFW-ZANVPECISA-N 0 3 225.332 2.536 20 0 BFADHN OCc1cc(NCC2CCC3(CC3)CC2)ccn1 ZINC000866088772 589475227 /nfs/dbraw/zinc/47/52/27/589475227.db2.gz BLIAZALMXBPPTJ-UHFFFAOYSA-N 0 3 246.354 2.956 20 0 BFADHN COCCN[C@@H]1c2cc(F)ccc2CC[C@H]1C ZINC000866214451 589480828 /nfs/dbraw/zinc/48/08/28/589480828.db2.gz OKCRYMMONJLAEM-YGRLFVJLSA-N 0 3 237.318 2.685 20 0 BFADHN C[C@@H](CCc1cccnc1)NCc1ccoc1 ZINC000866240973 589482058 /nfs/dbraw/zinc/48/20/58/589482058.db2.gz SALNUMNGYUNBCV-LBPRGKRZSA-N 0 3 230.311 2.786 20 0 BFADHN CC[C@H](CCO)N[C@@H](c1ccccn1)C(C)C ZINC000866272674 589483775 /nfs/dbraw/zinc/48/37/75/589483775.db2.gz YLOQYPRDIGYUDJ-TZMCWYRMSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)[C@@H](N[C@H]1CC12CC2)c1ccccn1 ZINC000866379820 589488968 /nfs/dbraw/zinc/48/89/68/589488968.db2.gz UYLHAMKYHXZOOP-QWHCGFSZSA-N 0 3 216.328 2.921 20 0 BFADHN C[C@@H]1CCc2ccc(F)cc2[C@H]1N[C@H]1C[C@H](O)C1 ZINC000866392571 589489571 /nfs/dbraw/zinc/48/95/71/589489571.db2.gz DRBYMAIUDYTPCQ-OBEQPXDXSA-N 0 3 249.329 2.562 20 0 BFADHN COC[C@@H](CC(C)C)N[C@@H]1CCCC[C@@H]1F ZINC000866450104 589493746 /nfs/dbraw/zinc/49/37/46/589493746.db2.gz OCQHHJVQEMURIS-FRRDWIJNSA-N 0 3 231.355 2.918 20 0 BFADHN F[C@@H]1CCCC[C@@H]1N[C@@H]1CCCc2n[nH]cc21 ZINC000866451667 589494445 /nfs/dbraw/zinc/49/44/45/589494445.db2.gz OFPXTCGEOUQWLB-WZRBSPASSA-N 0 3 237.322 2.657 20 0 BFADHN C[C@H](NCc1ccnc(F)c1)C(C)(C)C(F)F ZINC000866475057 589494960 /nfs/dbraw/zinc/49/49/60/589494960.db2.gz QWJUVOUKGKOTAS-QMMMGPOBSA-N 0 3 246.276 2.990 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2ccccn2)C1 ZINC000866486601 589496192 /nfs/dbraw/zinc/49/61/92/589496192.db2.gz LWZUACMTOZSPND-GMTAPVOTSA-N 0 3 222.357 2.626 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2cccc(O)c2)C1 ZINC000866486963 589496323 /nfs/dbraw/zinc/49/63/23/589496323.db2.gz RYOKLLUSLWYLTQ-IRUJWGPZSA-N 0 3 237.368 2.937 20 0 BFADHN Cc1cc([C@H](C)NCCCCC2CC2)nn1C ZINC000866540644 589499549 /nfs/dbraw/zinc/49/95/49/589499549.db2.gz ATJFBKVFWMAMNO-LBPRGKRZSA-N 0 3 235.375 2.959 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1nn(C)cc1C(F)F ZINC000866563471 589500481 /nfs/dbraw/zinc/50/04/81/589500481.db2.gz MNXCJFYMUAEBMR-DTWKUNHWSA-N 0 3 245.317 2.882 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1nn(C)cc1C(F)F ZINC000866566822 589500795 /nfs/dbraw/zinc/50/07/95/589500795.db2.gz HPCLCSOXDGMSCZ-WPRPVWTQSA-N 0 3 243.301 2.636 20 0 BFADHN CCC[C@@H](C)NCc1nn(C)cc1C(F)F ZINC000866564010 589500820 /nfs/dbraw/zinc/50/08/20/589500820.db2.gz ULAUNVAACYLMLX-MRVPVSSYSA-N 0 3 231.290 2.636 20 0 BFADHN CC[C@@H](C)NCc1noc2cc(F)ccc12 ZINC000866610483 589504471 /nfs/dbraw/zinc/50/44/71/589504471.db2.gz MKMFAGOZBNGWPA-MRVPVSSYSA-N 0 3 222.263 2.855 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1noc2cc(F)ccc12 ZINC000866612378 589504697 /nfs/dbraw/zinc/50/46/97/589504697.db2.gz HMSOSWDRHFWXLW-LDYMZIIASA-N 0 3 234.274 2.855 20 0 BFADHN CCCCCN1CCOc2cc(N)ccc2C1 ZINC000866692515 589512129 /nfs/dbraw/zinc/51/21/29/589512129.db2.gz YZCTUXZJXCKRRW-UHFFFAOYSA-N 0 3 234.343 2.653 20 0 BFADHN CC1(C(=O)N2c3ccccc3[C@@H](N)C2(C)C)CC1 ZINC000867989126 589542568 /nfs/dbraw/zinc/54/25/68/589542568.db2.gz BUTDVMUKTSHMME-GFCCVEGCSA-N 0 3 244.338 2.612 20 0 BFADHN COC(C)(C)CN[C@H](C)c1ccnc(Cl)c1 ZINC000122253148 589573099 /nfs/dbraw/zinc/57/30/99/589573099.db2.gz HSHATEJGAJGBIO-SECBINFHSA-N 0 3 242.750 2.811 20 0 BFADHN Cn1c(Cl)cnc1CN[C@H]1CCC(C)(C)C1 ZINC000122607331 589609597 /nfs/dbraw/zinc/60/95/97/589609597.db2.gz XNUHGJHLOJTKRQ-VIFPVBQESA-N 0 3 241.766 2.742 20 0 BFADHN COC(C)(C)CN[C@H](C)c1cccnc1Cl ZINC000123118955 589662639 /nfs/dbraw/zinc/66/26/39/589662639.db2.gz CXLXUIHLSWGHNJ-SECBINFHSA-N 0 3 242.750 2.811 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)[C@H](C)c1ccccc1 ZINC000870553815 589675517 /nfs/dbraw/zinc/67/55/17/589675517.db2.gz SBUFUCJFNBDOPU-OCCSQVGLSA-N 0 3 249.354 2.674 20 0 BFADHN Cc1ccc([C@@H](C)NCc2cn(C)nc2C)s1 ZINC000123619514 589758022 /nfs/dbraw/zinc/75/80/22/589758022.db2.gz GVQPKBUETZYIKH-LLVKDONJSA-N 0 3 249.383 2.949 20 0 BFADHN CC[C@@H](C)N[C@@H](C)c1cc(O)ccc1F ZINC000872017101 589855157 /nfs/dbraw/zinc/85/51/57/589855157.db2.gz SRQXOBUGRHULJV-BDAKNGLRSA-N 0 3 211.280 2.980 20 0 BFADHN C[C@H](N[C@@H](C)C1CC1)c1cc(O)ccc1F ZINC000872018852 589856535 /nfs/dbraw/zinc/85/65/35/589856535.db2.gz QPNMCUZMGNEFIE-IUCAKERBSA-N 0 3 223.291 2.980 20 0 BFADHN CSCCN[C@H](C)c1cc(O)ccc1F ZINC000872018806 589856732 /nfs/dbraw/zinc/85/67/32/589856732.db2.gz PUCZNSYMGKFDPK-MRVPVSSYSA-N 0 3 229.320 2.545 20 0 BFADHN C[C@@H](N[C@H](C)C1CC1)c1cc(O)ccc1F ZINC000872018853 589856837 /nfs/dbraw/zinc/85/68/37/589856837.db2.gz QPNMCUZMGNEFIE-RKDXNWHRSA-N 0 3 223.291 2.980 20 0 BFADHN C[C@H](NCC1CCC1)c1cc(O)ccc1F ZINC000872029048 589857337 /nfs/dbraw/zinc/85/73/37/589857337.db2.gz UIHBGSATSARFQI-VIFPVBQESA-N 0 3 223.291 2.982 20 0 BFADHN CO[C@@H](C)CCN[C@H](C)c1cc(O)ccc1F ZINC000872032256 589857533 /nfs/dbraw/zinc/85/75/33/589857533.db2.gz YYSIPPDHOOJGOW-VHSXEESVSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H]1C)c1cc(O)ccc1F ZINC000872026435 589857436 /nfs/dbraw/zinc/85/74/36/589857436.db2.gz ATIXESTUXFUHRV-LPEHRKFASA-N 0 3 223.291 2.838 20 0 BFADHN CO[C@@H](C)CCN[C@@H](C)c1cc(O)ccc1F ZINC000872032255 589857563 /nfs/dbraw/zinc/85/75/63/589857563.db2.gz YYSIPPDHOOJGOW-UWVGGRQHSA-N 0 3 241.306 2.607 20 0 BFADHN CC[C@@H](NCc1ccon1)C(C)(C)CC ZINC000872040135 589859268 /nfs/dbraw/zinc/85/92/68/589859268.db2.gz KQOLXWGMWIUIRW-LLVKDONJSA-N 0 3 210.321 2.979 20 0 BFADHN CC[C@H](NCc1ccon1)C(C)(C)CC ZINC000872040136 589859333 /nfs/dbraw/zinc/85/93/33/589859333.db2.gz KQOLXWGMWIUIRW-NSHDSACASA-N 0 3 210.321 2.979 20 0 BFADHN C[C@H]([NH2+]C1CCC1)c1cccc([O-])c1F ZINC000872048086 589860803 /nfs/dbraw/zinc/86/08/03/589860803.db2.gz XKWXKLFUXVMWTE-QMMMGPOBSA-N 0 3 209.264 2.734 20 0 BFADHN CC[C@@H](NCc1cocn1)C(C)(C)CC ZINC000872056493 589861666 /nfs/dbraw/zinc/86/16/66/589861666.db2.gz RSVQAIGOFKVCPZ-LLVKDONJSA-N 0 3 210.321 2.979 20 0 BFADHN C[C@@H](F)CCN[C@@H](C)c1cc(O)ccc1F ZINC000872072035 589863955 /nfs/dbraw/zinc/86/39/55/589863955.db2.gz CGGQJQFMQVUNLD-BDAKNGLRSA-N 0 3 229.270 2.930 20 0 BFADHN C[C@H](F)CCN[C@@H](C)c1cc(O)ccc1F ZINC000872072037 589864181 /nfs/dbraw/zinc/86/41/81/589864181.db2.gz CGGQJQFMQVUNLD-IUCAKERBSA-N 0 3 229.270 2.930 20 0 BFADHN COCC(C)(C)CCN[C@@H](C)c1cc(C)on1 ZINC000872104988 589871952 /nfs/dbraw/zinc/87/19/52/589871952.db2.gz WOBCDONXAFLXSO-NSHDSACASA-N 0 3 240.347 2.696 20 0 BFADHN c1cn2c(n1)[C@H](NCCC[C@H]1C=CCC1)CC2 ZINC000872107705 589873334 /nfs/dbraw/zinc/87/33/34/589873334.db2.gz REHYSHJFNFKPCE-QWHCGFSZSA-N 0 3 231.343 2.664 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@@H]1NCc1nonc1C ZINC000872135963 589878798 /nfs/dbraw/zinc/87/87/98/589878798.db2.gz JSRLLGCTTFMWGD-WCQGTBRESA-N 0 3 237.347 2.682 20 0 BFADHN Cc1cc(C)cc([C@H](O)CNCC(C)(C)F)c1 ZINC000872217353 589896047 /nfs/dbraw/zinc/89/60/47/589896047.db2.gz SXBUXXKPJVJZRF-CYBMUJFWSA-N 0 3 239.334 2.675 20 0 BFADHN FC1(CN2CCC[C@@H](OC3CCC3)CC2)CC1 ZINC000872259125 589901240 /nfs/dbraw/zinc/90/12/40/589901240.db2.gz LYYKOKVEMNUKPM-CYBMUJFWSA-N 0 3 241.350 2.912 20 0 BFADHN CCCCCCN1CCO[C@H](C(F)F)CC1 ZINC000872268685 589902938 /nfs/dbraw/zinc/90/29/38/589902938.db2.gz IHVGIKMOUNJHCX-NSHDSACASA-N 0 3 235.318 2.923 20 0 BFADHN CC(C)=CCCN1CCN(C)c2ncccc2C1 ZINC000872319975 589911375 /nfs/dbraw/zinc/91/13/75/589911375.db2.gz VKBJRDYKYQICAO-UHFFFAOYSA-N 0 3 245.370 2.690 20 0 BFADHN COCC1(NCc2cccc3ncccc23)CC1 ZINC000873081225 589983453 /nfs/dbraw/zinc/98/34/53/589983453.db2.gz XVJVQVGUZAWZAU-UHFFFAOYSA-N 0 3 242.322 2.503 20 0 BFADHN OCc1ccc(CNCCC[C@H]2C=CCC2)o1 ZINC000873153687 589987932 /nfs/dbraw/zinc/98/79/32/589987932.db2.gz DEUNWERUGGALKN-LBPRGKRZSA-N 0 3 235.327 2.608 20 0 BFADHN Cc1cc(CNC[C@@H]2CC(C)(C)CO2)c(C)o1 ZINC000873154928 589988572 /nfs/dbraw/zinc/98/85/72/589988572.db2.gz ANUVGSAQEWIMMG-ZDUSSCGKSA-N 0 3 237.343 2.801 20 0 BFADHN CC(C)OC[C@@H](C)NC1(C)CC(F)(F)C1 ZINC000873197941 589991772 /nfs/dbraw/zinc/99/17/72/589991772.db2.gz WTAVMITVSNKZNO-SECBINFHSA-N 0 3 221.291 2.577 20 0 BFADHN CCCC[C@H](CCC)NCc1cnc(N)cn1 ZINC000873211557 589996059 /nfs/dbraw/zinc/99/60/59/589996059.db2.gz CBZIMMNETYFAFW-NSHDSACASA-N 0 3 236.363 2.507 20 0 BFADHN CC(C)CCCN1CCOc2cc(N)ccc2C1 ZINC000873219558 589998595 /nfs/dbraw/zinc/99/85/95/589998595.db2.gz KUWKARUMWNMBAO-UHFFFAOYSA-N 0 3 248.370 2.899 20 0 BFADHN C1CC1c1noc([C@@H]2C[C@@H]3CCCC[C@@H]3N2)n1 ZINC000020008772 590066288 /nfs/dbraw/zinc/06/62/88/590066288.db2.gz NSVTWDSEMVGWJW-DCAQKATOSA-N 0 3 233.315 2.540 20 0 BFADHN C1CC1c1noc([C@H]2C[C@@H]3CCCC[C@@H]3N2)n1 ZINC000020008767 590066810 /nfs/dbraw/zinc/06/68/10/590066810.db2.gz NSVTWDSEMVGWJW-GARJFASQSA-N 0 3 233.315 2.540 20 0 BFADHN C[C@@H](N[C@@H](C)CC1CCC1)c1ncc[nH]1 ZINC000124792646 590085200 /nfs/dbraw/zinc/08/52/00/590085200.db2.gz DOLKAKYVFZEKOL-VHSXEESVSA-N 0 3 207.321 2.639 20 0 BFADHN COc1ccccc1CN[C@@H](C)CCSC ZINC000125039158 590115312 /nfs/dbraw/zinc/11/53/12/590115312.db2.gz IQSHBLNPZXJDOP-NSHDSACASA-N 0 3 239.384 2.926 20 0 BFADHN CC(C)N1CCC(C(=O)OC2CCCC2)CC1 ZINC000874982636 590158610 /nfs/dbraw/zinc/15/86/10/590158610.db2.gz QYNFHVFFLJVFHO-UHFFFAOYSA-N 0 3 239.359 2.593 20 0 BFADHN Cc1nn(C)c(Cl)c1CNC[C@@H](C)C(C)C ZINC000125409621 590163842 /nfs/dbraw/zinc/16/38/42/590163842.db2.gz IAVFBLLTSLRAEU-SECBINFHSA-N 0 3 243.782 2.764 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1ccnc(Cl)c1 ZINC000125700129 590182059 /nfs/dbraw/zinc/18/20/59/590182059.db2.gz XGSHHRIJONYANB-VHSXEESVSA-N 0 3 224.735 2.965 20 0 BFADHN CC/C=C(/F)C(=O)Nc1ccccc1CNC ZINC000876508877 590204824 /nfs/dbraw/zinc/20/48/24/590204824.db2.gz AVUODKLCYHMJQH-IZZDOVSWSA-N 0 3 236.290 2.608 20 0 BFADHN CCC[C@H](CC)C(=O)NC[C@@H](N)c1ccccc1 ZINC000876526418 590208683 /nfs/dbraw/zinc/20/86/83/590208683.db2.gz YXHNNDZPZTWOCB-GXTWGEPZSA-N 0 3 248.370 2.629 20 0 BFADHN CC/C=C(\F)C(=O)Nc1cccc2c1CCNC2 ZINC000876529201 590209818 /nfs/dbraw/zinc/20/98/18/590209818.db2.gz FHOWQWOZZFYFCD-QCDXTXTGSA-N 0 3 248.301 2.534 20 0 BFADHN CN(Cc1cc2c(cc[nH]c2=O)o1)C1CCCC1 ZINC000876552912 590215363 /nfs/dbraw/zinc/21/53/63/590215363.db2.gz HSYJJNQAOSSNSX-UHFFFAOYSA-N 0 3 246.310 2.908 20 0 BFADHN CSC(C)(C)CCN1C[C@H](C)OC[C@@H]1C ZINC000876597600 590216298 /nfs/dbraw/zinc/21/62/98/590216298.db2.gz PAYJZLHARCDBLF-QWRGUYRKSA-N 0 3 231.405 2.627 20 0 BFADHN CC1(CN2CCN(C3CCC3)CC2)CCCC1 ZINC000876734222 590234408 /nfs/dbraw/zinc/23/44/08/590234408.db2.gz PPKXCPRQZKLITC-UHFFFAOYSA-N 0 3 236.403 2.737 20 0 BFADHN Cc1c(Cl)cccc1CN(C)CC[C@@H](C)O ZINC000876746304 590237571 /nfs/dbraw/zinc/23/75/71/590237571.db2.gz QMROPTMFFJBAGV-SNVBAGLBSA-N 0 3 241.762 2.851 20 0 BFADHN Cc1scc(CN2CCOC[C@H](C)C2)c1C ZINC000876752061 590238038 /nfs/dbraw/zinc/23/80/38/590238038.db2.gz FSGYYIHPLUTJHM-SNVBAGLBSA-N 0 3 239.384 2.833 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cc3c(cc[nH]c3=O)o2)C1 ZINC000876761167 590238454 /nfs/dbraw/zinc/23/84/54/590238454.db2.gz ZLROIIOQCFBVLI-ZJUUUORDSA-N 0 3 246.310 2.764 20 0 BFADHN C[C@@H]1CCN(Cc2cc3c(cc[nH]c3=O)o2)[C@@H]1C ZINC000876800743 590243291 /nfs/dbraw/zinc/24/32/91/590243291.db2.gz UTSYCUYARZHVAW-NXEZZACHSA-N 0 3 246.310 2.764 20 0 BFADHN Clc1csc(CNC2CCCC2)n1 ZINC000876884988 590253603 /nfs/dbraw/zinc/25/36/03/590253603.db2.gz IQNXQMVKZPNONZ-UHFFFAOYSA-N 0 3 216.737 2.829 20 0 BFADHN CCCCNCc1nc(Cl)cs1 ZINC000876906718 590256197 /nfs/dbraw/zinc/25/61/97/590256197.db2.gz GJYJDKAOASAVOI-UHFFFAOYSA-N 0 3 204.726 2.686 20 0 BFADHN Clc1ccc(CNCCC2CCCC2)nn1 ZINC000876914060 590256330 /nfs/dbraw/zinc/25/63/30/590256330.db2.gz WNZQHZXHFAGUIR-UHFFFAOYSA-N 0 3 239.750 2.800 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cc(OC)cnc1F ZINC000876970093 590262657 /nfs/dbraw/zinc/26/26/57/590262657.db2.gz IPOLZXSNZOALNF-UWVGGRQHSA-N 0 3 240.322 2.754 20 0 BFADHN CCCCOCCNC[C@H]1CC1(Cl)Cl ZINC000876987119 590265390 /nfs/dbraw/zinc/26/53/90/590265390.db2.gz SNCHXCDCZWRAQQ-SECBINFHSA-N 0 3 240.174 2.587 20 0 BFADHN COc1cnc(F)c(CNC[C@@H](C)C(C)C)c1 ZINC000877050712 590274627 /nfs/dbraw/zinc/27/46/27/590274627.db2.gz NSDOYMZCAOBXDD-SNVBAGLBSA-N 0 3 240.322 2.611 20 0 BFADHN COc1cnc(F)c(CNCCCC2CC2)c1 ZINC000877051222 590274731 /nfs/dbraw/zinc/27/47/31/590274731.db2.gz SUAUOFVWXNIEQU-UHFFFAOYSA-N 0 3 238.306 2.509 20 0 BFADHN CSC(C)(C)CCNCc1ncccc1F ZINC000877113939 590287806 /nfs/dbraw/zinc/28/78/06/590287806.db2.gz HRNONRNYBOWFKF-UHFFFAOYSA-N 0 3 242.363 2.842 20 0 BFADHN COc1cnc(F)c(CN[C@@H](C)C2CCC2)c1 ZINC000877122899 590289046 /nfs/dbraw/zinc/28/90/46/590289046.db2.gz CPXSHLWKFFTEEX-VIFPVBQESA-N 0 3 238.306 2.508 20 0 BFADHN Cc1cncc(CNC[C@H]2CC2(Cl)Cl)c1 ZINC000877123362 590289540 /nfs/dbraw/zinc/28/95/40/590289540.db2.gz VAJRUSMGJAPSPI-SNVBAGLBSA-N 0 3 245.153 2.673 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CC1(F)F)c1ccc[nH]1 ZINC000877124886 590289648 /nfs/dbraw/zinc/28/96/48/590289648.db2.gz SJVXEDSCBJUHFR-CIUDSAMLSA-N 0 3 214.259 2.709 20 0 BFADHN COc1cccc(C)c1CNC[C@H]1CCC=CO1 ZINC000877460734 590331895 /nfs/dbraw/zinc/33/18/95/590331895.db2.gz XDXIOUJEYMKHGW-CYBMUJFWSA-N 0 3 247.338 2.786 20 0 BFADHN CCOP(=O)(CCCN1CC(C)C1)OCC ZINC000877488306 590338647 /nfs/dbraw/zinc/33/86/47/590338647.db2.gz JDLLHNNLOFQJFF-UHFFFAOYSA-N 0 3 249.291 2.594 20 0 BFADHN CC[C@@](C)(CN1CCC(F)(F)CC1)OC ZINC000877491139 590339650 /nfs/dbraw/zinc/33/96/50/590339650.db2.gz GCLQJXBYNOWIGU-JTQLQIEISA-N 0 3 221.291 2.533 20 0 BFADHN Cc1nonc1CN[C@H](C)[C@H]1CCC(C)=C(C)C1 ZINC000877526454 590347762 /nfs/dbraw/zinc/34/77/62/590347762.db2.gz IMCIGYXQCKSYCF-YPMHNXCESA-N 0 3 249.358 2.993 20 0 BFADHN FC1(CCNCc2ccc(Cl)nn2)CCC1 ZINC000877534044 590349659 /nfs/dbraw/zinc/34/96/59/590349659.db2.gz VZUOMLQFFFCDEQ-UHFFFAOYSA-N 0 3 243.713 2.502 20 0 BFADHN CSC(C)(C)CCN(C(C)C)C1COC1 ZINC000877556894 590357704 /nfs/dbraw/zinc/35/77/04/590357704.db2.gz BWYQPDKCUMDDRR-UHFFFAOYSA-N 0 3 231.405 2.627 20 0 BFADHN ClC1(Cl)C[C@@H]1CN1CC[C@@H]1C1CC1 ZINC000877561479 590359614 /nfs/dbraw/zinc/35/96/14/590359614.db2.gz GMVHWEPFUVFBJD-RKDXNWHRSA-N 0 3 220.143 2.665 20 0 BFADHN Cc1nocc1CN[C@H]1CC[C@H]1C(C)C ZINC000877564104 590360827 /nfs/dbraw/zinc/36/08/27/590360827.db2.gz YKBHPMSEFQNDFG-RYUDHWBXSA-N 0 3 208.305 2.507 20 0 BFADHN CC1(C2(NCc3ccc(Cl)nn3)CC2)CC1 ZINC000877573525 590362611 /nfs/dbraw/zinc/36/26/11/590362611.db2.gz ZMLFRJWJEZKZFU-UHFFFAOYSA-N 0 3 237.734 2.552 20 0 BFADHN Cc1c(Cl)cccc1CN1CC[C@@H](O)[C@H]1C ZINC000877572594 590362668 /nfs/dbraw/zinc/36/26/68/590362668.db2.gz QREBMNRRAMLZAU-ZWNOBZJWSA-N 0 3 239.746 2.604 20 0 BFADHN Nc1c(Cl)cccc1CN[C@H]1CC12CC2 ZINC000877597139 590371156 /nfs/dbraw/zinc/37/11/56/590371156.db2.gz AWTCBBYFFVLWIL-JTQLQIEISA-N 0 3 222.719 2.564 20 0 BFADHN Cc1c(Cl)cccc1CN(C)[C@@H](C)CCO ZINC000877615033 590374447 /nfs/dbraw/zinc/37/44/47/590374447.db2.gz MCYGRAVDQYYRKE-JTQLQIEISA-N 0 3 241.762 2.851 20 0 BFADHN Cc1c(Cl)cccc1CN(C)[C@H](C)CCO ZINC000877615034 590374901 /nfs/dbraw/zinc/37/49/01/590374901.db2.gz MCYGRAVDQYYRKE-SNVBAGLBSA-N 0 3 241.762 2.851 20 0 BFADHN CC[C@@H](C)CCNCc1cc(OC)cnc1F ZINC000877624293 590376687 /nfs/dbraw/zinc/37/66/87/590376687.db2.gz MKDQEJJUONPQAR-SNVBAGLBSA-N 0 3 240.322 2.755 20 0 BFADHN Nc1c(Cl)cccc1CN[C@@H]1C=CCC1 ZINC000877624028 590377118 /nfs/dbraw/zinc/37/71/18/590377118.db2.gz HFSHMTSBGADUMH-SNVBAGLBSA-N 0 3 222.719 2.730 20 0 BFADHN CCC[C@H](CN[C@@H]1C[C@@H](O)c2ccccc21)OC ZINC000877630114 590378240 /nfs/dbraw/zinc/37/82/40/590378240.db2.gz YUQOQZAXKMQGPF-KCPJHIHWSA-N 0 3 249.354 2.570 20 0 BFADHN Clc1ccc(CNCCCC2CCC2)nn1 ZINC000877630951 590378384 /nfs/dbraw/zinc/37/83/84/590378384.db2.gz PFIIXEBYRPDRLT-UHFFFAOYSA-N 0 3 239.750 2.800 20 0 BFADHN Fc1cccc2cc(CNC3CSC3)cnc12 ZINC000877634913 590379630 /nfs/dbraw/zinc/37/96/30/590379630.db2.gz ZPZVROMCURKEDK-UHFFFAOYSA-N 0 3 248.326 2.579 20 0 BFADHN Cc1c(Cl)cccc1CNC1CSC1 ZINC000877634472 590379671 /nfs/dbraw/zinc/37/96/71/590379671.db2.gz QCFBDCFKOJASDY-UHFFFAOYSA-N 0 3 227.760 2.853 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccns1)[C@H]1CC1(F)F ZINC000877659082 590386351 /nfs/dbraw/zinc/38/63/51/590386351.db2.gz IPRRILORJABMOI-GJMOJQLCSA-N 0 3 232.299 2.837 20 0 BFADHN CO[C@@H](CN[C@@H]1CCCC[C@@H]1F)CC(C)C ZINC000877682310 590387638 /nfs/dbraw/zinc/38/76/38/590387638.db2.gz JNUMLGVMANFXPS-FRRDWIJNSA-N 0 3 231.355 2.918 20 0 BFADHN CO[C@H](CN[C@@H]1CCCC[C@@H]1F)CC(C)C ZINC000877682311 590388930 /nfs/dbraw/zinc/38/89/30/590388930.db2.gz JNUMLGVMANFXPS-RWMBFGLXSA-N 0 3 231.355 2.918 20 0 BFADHN CCC[C@H](CN[C@@H]1CCCC[C@@H]1F)OC ZINC000877682095 590391419 /nfs/dbraw/zinc/39/14/19/590391419.db2.gz GKYGHLJLILBSDK-GRYCIOLGSA-N 0 3 217.328 2.672 20 0 BFADHN CC1(F)CCN(Cc2cnn3ccccc23)CC1 ZINC000877700755 590394669 /nfs/dbraw/zinc/39/46/69/590394669.db2.gz VAGABLDHPRXZQX-UHFFFAOYSA-N 0 3 247.317 2.658 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1noc2ccc(F)cc12 ZINC000877711048 590398418 /nfs/dbraw/zinc/39/84/18/590398418.db2.gz VNVRFPWQXTUGBU-BDAKNGLRSA-N 0 3 234.274 2.713 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1noc2ccc(F)cc12 ZINC000877711051 590398922 /nfs/dbraw/zinc/39/89/22/590398922.db2.gz VNVRFPWQXTUGBU-RKDXNWHRSA-N 0 3 234.274 2.713 20 0 BFADHN CC(C)n1nccc1CN1C[C@@H]2CC=CC[C@@H]2C1 ZINC000877717968 590399224 /nfs/dbraw/zinc/39/92/24/590399224.db2.gz AMBOQYUXEBEMQP-OKILXGFUSA-N 0 3 245.370 2.862 20 0 BFADHN COC1CC(NCc2ccc(C(C)(C)C)o2)C1 ZINC000877734982 590403670 /nfs/dbraw/zinc/40/36/70/590403670.db2.gz MCMPDSKAFXPVQB-UHFFFAOYSA-N 0 3 237.343 2.844 20 0 BFADHN c1cc(CNCCC[C@@H]2C=CCC2)sn1 ZINC000877807618 590426130 /nfs/dbraw/zinc/42/61/30/590426130.db2.gz XMWGVUBOCQQBDA-LLVKDONJSA-N 0 3 222.357 2.979 20 0 BFADHN CC(C)CCN1CCO[C@H](C(F)(F)F)CC1 ZINC000877821376 590428364 /nfs/dbraw/zinc/42/83/64/590428364.db2.gz XGZGBMNFYIDDCZ-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN CC1=CC[C@H](N[C@@H](C)c2nccnc2C)CC1 ZINC000877827962 590429089 /nfs/dbraw/zinc/42/90/89/590429089.db2.gz AWRYBEQMFGVKIJ-STQMWFEESA-N 0 3 231.343 2.934 20 0 BFADHN CC1(NC[C@@H]2CC2(Cl)Cl)CC(F)(F)C1 ZINC000877834587 590430646 /nfs/dbraw/zinc/43/06/46/590430646.db2.gz UCQWHGNUDIYZDY-LURJTMIESA-N 0 3 244.112 2.958 20 0 BFADHN COc1ccc(CN[C@H]2CCC[C@@H](C)C2)nc1 ZINC000877871395 590433572 /nfs/dbraw/zinc/43/35/72/590433572.db2.gz OQOKEKBMRGTLHB-NEPJUHHUSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccc(CN[C@H]2CCC[C@H](C)C2)nc1 ZINC000877871398 590433747 /nfs/dbraw/zinc/43/37/47/590433747.db2.gz OQOKEKBMRGTLHB-RYUDHWBXSA-N 0 3 234.343 2.759 20 0 BFADHN CCN1CCN(C[C@H](C)CC(C)(C)C)CC1 ZINC000877933911 590451569 /nfs/dbraw/zinc/45/15/69/590451569.db2.gz VKCMRGPYCAUTIF-CYBMUJFWSA-N 0 3 226.408 2.696 20 0 BFADHN CC1CCN(CCCOC(F)(F)F)CC1 ZINC000877936154 590451739 /nfs/dbraw/zinc/45/17/39/590451739.db2.gz UYUPUYHCKDMMJP-UHFFFAOYSA-N 0 3 225.254 2.645 20 0 BFADHN C=C/C=C/CCN(C)Cc1c(C)noc1C ZINC000877955662 590456746 /nfs/dbraw/zinc/45/67/46/590456746.db2.gz BPOZRZSBGHHWOE-VOTSOKGWSA-N 0 3 220.316 2.856 20 0 BFADHN Cc1noc(C)c1CN(C)CCCC1CC1 ZINC000877956522 590457060 /nfs/dbraw/zinc/45/70/60/590457060.db2.gz RGUQFRJLUXYTAD-UHFFFAOYSA-N 0 3 222.332 2.913 20 0 BFADHN C=C/C=C/CCN1C[C@H](C)OC[C@H]1CC ZINC000877963710 590460170 /nfs/dbraw/zinc/46/01/70/590460170.db2.gz JAMUMDJVCQMKEJ-VFZNBBLXSA-N 0 3 209.333 2.618 20 0 BFADHN C=C/C=C\CCN1CCC[C@H](c2nc(C)no2)C1 ZINC000877992541 590467990 /nfs/dbraw/zinc/46/79/90/590467990.db2.gz ZLIXUPDGRCJSMB-ZFDPJTLLSA-N 0 3 247.342 2.690 20 0 BFADHN C=C/C=C/CCN1C[C@H](C)OC(C)(C)C1 ZINC000877994431 590468208 /nfs/dbraw/zinc/46/82/08/590468208.db2.gz FYPBLIUQONHOLX-SYTKJHMZSA-N 0 3 209.333 2.618 20 0 BFADHN C[C@H](CN1CCN(C2CC2)CC1)CC(C)(C)C ZINC000878001166 590469779 /nfs/dbraw/zinc/46/97/79/590469779.db2.gz REIRHKWYPDIECT-ZDUSSCGKSA-N 0 3 238.419 2.839 20 0 BFADHN CC(C)[C@H](O)CCN(C)[C@@H](C)c1ccco1 ZINC000878003164 590470613 /nfs/dbraw/zinc/47/06/13/590470613.db2.gz WPFMQLLKARLSTC-NWDGAFQWSA-N 0 3 225.332 2.679 20 0 BFADHN CO[C@H]1C[C@@H](CN(C)[C@H](C)c2ccco2)C1 ZINC000878003021 590470723 /nfs/dbraw/zinc/47/07/23/590470723.db2.gz RWKDEWYGFATMCZ-UTUOFQBUSA-N 0 3 223.316 2.697 20 0 BFADHN FC1(F)CCC[C@@H]1CCN1CCCOCC1 ZINC000878014895 590471636 /nfs/dbraw/zinc/47/16/36/590471636.db2.gz SALCXZZZLFLGBL-LLVKDONJSA-N 0 3 233.302 2.534 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1ccc(Cl)nc1 ZINC000126870486 590477200 /nfs/dbraw/zinc/47/72/00/590477200.db2.gz VIHPVXZHZNODLB-GXSJLCMTSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCC(C)(C)O2)CCS1 ZINC000878055242 590484466 /nfs/dbraw/zinc/48/44/66/590484466.db2.gz MOTSNITZRZRITH-NEPJUHHUSA-N 0 3 243.416 2.771 20 0 BFADHN CC(C)[C@@H](O)CCN1CCC[C@H]1c1ccc[nH]1 ZINC000878214608 590525053 /nfs/dbraw/zinc/52/50/53/590525053.db2.gz YUCCCLQVKBQWTM-KBPBESRZSA-N 0 3 236.359 2.559 20 0 BFADHN CC1(C)CCN1Cc1cccc2c1CCOC2 ZINC000878253397 590529458 /nfs/dbraw/zinc/52/94/58/590529458.db2.gz BLZBLIHYZALCRE-UHFFFAOYSA-N 0 3 231.339 2.744 20 0 BFADHN C=C/C=C/CCN(C)[C@@H]1CCCc2c1cnn2C ZINC000878289585 590535288 /nfs/dbraw/zinc/53/52/88/590535288.db2.gz BSAWYZOABYKTGY-VBROQKIQSA-N 0 3 245.370 2.862 20 0 BFADHN C[C@@H](CN1CCc2c[nH]nc2C1)CC(C)(C)C ZINC000878291665 590535319 /nfs/dbraw/zinc/53/53/19/590535319.db2.gz MMNJLDUCSAVKIM-LLVKDONJSA-N 0 3 235.375 2.840 20 0 BFADHN C=C/C=C\CCN(C)Cc1cccnc1 ZINC000878299032 590536988 /nfs/dbraw/zinc/53/69/88/590536988.db2.gz PEYVJCAGRBEXHF-PLNGDYQASA-N 0 3 202.301 2.646 20 0 BFADHN CC1=CCN(C[C@H]2CCC3(CCC3)O2)CC1 ZINC000878299950 590537585 /nfs/dbraw/zinc/53/75/85/590537585.db2.gz AXJIXIYDEJROOV-CYBMUJFWSA-N 0 3 221.344 2.740 20 0 BFADHN CO[C@H]1C[C@@H](CN2CCc3c(F)cccc3C2)C1 ZINC000878303380 590538526 /nfs/dbraw/zinc/53/85/26/590538526.db2.gz PAGQGLCQMQNBNW-BJHJDKERSA-N 0 3 249.329 2.609 20 0 BFADHN C[C@H](CN1CCc2n[nH]cc2C1)CC(C)(C)C ZINC000878311200 590540745 /nfs/dbraw/zinc/54/07/45/590540745.db2.gz DCIZFTBUWRHOQL-NSHDSACASA-N 0 3 235.375 2.840 20 0 BFADHN C=C/C=C\CCN1CCOC[C@]1(C)CC ZINC000878318292 590544178 /nfs/dbraw/zinc/54/41/78/590544178.db2.gz RBOWKACUEDGXHW-FWWRYZNZSA-N 0 3 209.333 2.620 20 0 BFADHN FC(F)[C@@H]1CCN(C[C@H]2CCC3(CCC3)O2)C1 ZINC000878320085 590545169 /nfs/dbraw/zinc/54/51/69/590545169.db2.gz IZTWFOSJFQTVQY-GHMZBOCLSA-N 0 3 245.313 2.675 20 0 BFADHN C=C/C=C/CCN1CCOCC12CCCC2 ZINC000878324749 590546630 /nfs/dbraw/zinc/54/66/30/590546630.db2.gz LPXOZTCAUPVEOO-ONEGZZNKSA-N 0 3 221.344 2.764 20 0 BFADHN COC(C)(C)C[C@@H](C)NCc1ccoc1 ZINC000127062046 590553573 /nfs/dbraw/zinc/55/35/73/590553573.db2.gz APYGFBRXPWGOAN-SNVBAGLBSA-N 0 3 211.305 2.573 20 0 BFADHN CCN(C#N)CCN[C@](C)(CC)c1ccccc1 ZINC000878362582 590554778 /nfs/dbraw/zinc/55/47/78/590554778.db2.gz WXRPHIYJWHLHII-OAHLLOKOSA-N 0 3 245.370 2.704 20 0 BFADHN C=C/C=C\CCN[C@@H](COC)c1ccc(C)o1 ZINC000878409041 590562292 /nfs/dbraw/zinc/56/22/92/590562292.db2.gz GMUGTLOKNKHJLD-SZZPACECSA-N 0 3 235.327 2.997 20 0 BFADHN OC[C@H](NCCCC1CC1)c1ccsc1 ZINC000878443145 590568807 /nfs/dbraw/zinc/56/88/07/590568807.db2.gz FRWYKAQERJWIKX-LBPRGKRZSA-N 0 3 225.357 2.561 20 0 BFADHN C=C/C=C/CCN(CCOC)Cc1cccnc1 ZINC000878475423 590574239 /nfs/dbraw/zinc/57/42/39/590574239.db2.gz MFSRFCAWIQFINE-SNAWJCMRSA-N 0 3 246.354 2.662 20 0 BFADHN Cc1conc1CN(C)[C@@H]1CCCC[C@H]1C ZINC000878474738 590574584 /nfs/dbraw/zinc/57/45/84/590574584.db2.gz DXUZWMDDTWJZGZ-ZWNOBZJWSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1conc1CN1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000878496683 590580315 /nfs/dbraw/zinc/58/03/15/590580315.db2.gz GWIHRNWMMAEIFK-FOGDFJRCSA-N 0 3 222.332 2.849 20 0 BFADHN Cc1conc1CN1CCCC12CCC2 ZINC000878518871 590585227 /nfs/dbraw/zinc/58/52/27/590585227.db2.gz ULMZMVFNMGRDAR-UHFFFAOYSA-N 0 3 206.289 2.502 20 0 BFADHN c1cc([C@@H]2CCCN2CCCC2CC2)n[nH]1 ZINC000878523795 590586205 /nfs/dbraw/zinc/58/62/05/590586205.db2.gz IJNAOVOSYQZQHA-ZDUSSCGKSA-N 0 3 219.332 2.737 20 0 BFADHN C[C@@H]1C[C@@H](C)N1C[C@H]1CCC(C)(C)CO1 ZINC000878534075 590587833 /nfs/dbraw/zinc/58/78/33/590587833.db2.gz WJUDUJJLMZAIQO-IJLUTSLNSA-N 0 3 211.349 2.674 20 0 BFADHN CC1(C)CC[C@@H](CN2CCC(F)(F)CC2)OC1 ZINC000878542726 590589151 /nfs/dbraw/zinc/58/91/51/590589151.db2.gz PATBYEVVACCYHR-NSHDSACASA-N 0 3 247.329 2.923 20 0 BFADHN FC1(F)CCN(C[C@H]2CCC3(CCC3)O2)CC1 ZINC000878541347 590589197 /nfs/dbraw/zinc/58/91/97/590589197.db2.gz AEGRMIAYXPXAIY-LLVKDONJSA-N 0 3 245.313 2.819 20 0 BFADHN FC1(F)CCN(C[C@@H]2C[C@H]3CCC[C@@H]3O2)CC1 ZINC000878541710 590589250 /nfs/dbraw/zinc/58/92/50/590589250.db2.gz FVKSHGALODGATA-WOPDTQHZSA-N 0 3 245.313 2.675 20 0 BFADHN CC1=CCCN(C[C@H]2CCC3(CCC3)O2)C1 ZINC000878548223 590590648 /nfs/dbraw/zinc/59/06/48/590590648.db2.gz GXRUFVWMBJOYCL-CYBMUJFWSA-N 0 3 221.344 2.740 20 0 BFADHN CC(=O)CN1C[C@@H](C)C[C@H]1c1ccccc1 ZINC000878612589 590596399 /nfs/dbraw/zinc/59/63/99/590596399.db2.gz RJAIEBHKFYKEDB-FZMZJTMJSA-N 0 3 217.312 2.659 20 0 BFADHN CC1(C)CO[C@H](CN2CCC[C@@](C)(F)C2)C1 ZINC000878657597 590603619 /nfs/dbraw/zinc/60/36/19/590603619.db2.gz GNYHPFWCRBDMDZ-WCQYABFASA-N 0 3 229.339 2.626 20 0 BFADHN CC(C)N(CC[C@@H]1CCCC1(F)F)C1COC1 ZINC000878658862 590604135 /nfs/dbraw/zinc/60/41/35/590604135.db2.gz WAIPPGSBKOSIPV-NSHDSACASA-N 0 3 247.329 2.921 20 0 BFADHN C=C/C=C\CCN(C)Cc1ccccn1 ZINC000878668544 590606674 /nfs/dbraw/zinc/60/66/74/590606674.db2.gz ZBHKFEFKTZOSHM-PLNGDYQASA-N 0 3 202.301 2.646 20 0 BFADHN Cc1conc1CN1[C@@H](C)CCC1(C)C ZINC000878691003 590610817 /nfs/dbraw/zinc/61/08/17/590610817.db2.gz UOCRPZPTTIBMRX-JTQLQIEISA-N 0 3 208.305 2.746 20 0 BFADHN Cc1conc1CN1CC[C@H](C2CCC2)C1 ZINC000878716942 590615245 /nfs/dbraw/zinc/61/52/45/590615245.db2.gz TUHUILVXQUGHGF-LBPRGKRZSA-N 0 3 220.316 2.605 20 0 BFADHN CCN(CCSC)C[C@@H]1CC2(CCC2)CO1 ZINC000878771256 590620527 /nfs/dbraw/zinc/62/05/27/590620527.db2.gz HADPDKFXXYHNAX-LBPRGKRZSA-N 0 3 243.416 2.631 20 0 BFADHN CCN(CCSC)C[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000878771164 590620647 /nfs/dbraw/zinc/62/06/47/590620647.db2.gz DVXJXTLIHPXVDP-AVGNSLFASA-N 0 3 243.416 2.629 20 0 BFADHN ClC1=CCCN(CCC2CCOCC2)C1 ZINC000878790713 590622156 /nfs/dbraw/zinc/62/21/56/590622156.db2.gz SWAKRJZAIBIKIJ-UHFFFAOYSA-N 0 3 229.751 2.632 20 0 BFADHN C[C@H]1C[C@@H](CN2CCC=C(Cl)C2)CCO1 ZINC000878792094 590623438 /nfs/dbraw/zinc/62/34/38/590623438.db2.gz UYFPSEYNIHSIAN-QWRGUYRKSA-N 0 3 229.751 2.630 20 0 BFADHN C[C@@H]1CN(CCCC2CC2)C2(CCC2)CO1 ZINC000878808351 590626262 /nfs/dbraw/zinc/62/62/62/590626262.db2.gz RWCAZIYZKNHKFK-GFCCVEGCSA-N 0 3 223.360 2.820 20 0 BFADHN CN(CC[C@H]1CCCC1(F)F)Cc1cnc[nH]1 ZINC000878884829 590640402 /nfs/dbraw/zinc/64/04/02/590640402.db2.gz MUPSGDFFHYIBGI-SNVBAGLBSA-N 0 3 243.301 2.667 20 0 BFADHN C=C/C=C/CCN1CC2(CCC2)OC[C@@H]1C ZINC000878918222 590649995 /nfs/dbraw/zinc/64/99/95/590649995.db2.gz JIPUAFLAWQZOIK-IHVVCDCBSA-N 0 3 221.344 2.762 20 0 BFADHN C(C1CC2(CCC2)C1)N1CCO[C@@H]2CCC[C@@H]21 ZINC000879038378 590684146 /nfs/dbraw/zinc/68/41/46/590684146.db2.gz QXJQTOIADRAZLR-UONOGXRCSA-N 0 3 235.371 2.820 20 0 BFADHN Oc1cccc2c1CCN(CCCC1CC1)C2 ZINC000879055334 590688114 /nfs/dbraw/zinc/68/81/14/590688114.db2.gz FYRZDLDRQQTLFY-UHFFFAOYSA-N 0 3 231.339 2.941 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@@H]1CCC[C@H](C)C1 ZINC000879188994 590712070 /nfs/dbraw/zinc/71/20/70/590712070.db2.gz VYXZVUQNOSPPKQ-WCQYABFASA-N 0 3 235.375 2.976 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@@H]1CCC[C@@H](C)C1 ZINC000879188993 590712267 /nfs/dbraw/zinc/71/22/67/590712267.db2.gz VYXZVUQNOSPPKQ-DGCLKSJQSA-N 0 3 235.375 2.976 20 0 BFADHN CC(=O)CCN1CCC2(CCSCC2)CC1 ZINC000879198358 590714055 /nfs/dbraw/zinc/71/40/55/590714055.db2.gz CPKANSBUONAGFX-UHFFFAOYSA-N 0 3 241.400 2.575 20 0 BFADHN CO[C@@H]1CC[C@@H](C)N(CCOCCC(C)C)C1 ZINC000879322368 590743334 /nfs/dbraw/zinc/74/33/34/590743334.db2.gz VQXVXOUUUVDAOC-ZIAGYGMSSA-N 0 3 243.391 2.548 20 0 BFADHN CC1(F)CCN(C[C@@H]2CC3(CCC3)CO2)CC1 ZINC000879383324 590758237 /nfs/dbraw/zinc/75/82/37/590758237.db2.gz HUYMDNYDERIBGQ-LBPRGKRZSA-N 0 3 241.350 2.770 20 0 BFADHN CC1(F)CCN(C[C@@H](O)c2ccccc2)CC1 ZINC000879381166 590758640 /nfs/dbraw/zinc/75/86/40/590758640.db2.gz REBGYKOKAUJONU-CYBMUJFWSA-N 0 3 237.318 2.544 20 0 BFADHN CC[C@@](C)(CCO)NCc1cc(C)oc1C ZINC000127746551 590767820 /nfs/dbraw/zinc/76/78/20/590767820.db2.gz DECMKMRZOZZCCH-ZDUSSCGKSA-N 0 3 225.332 2.537 20 0 BFADHN CC[C@@H](C)N1Cc2cccnc2N2CCC[C@H]2C1 ZINC000879691549 590849858 /nfs/dbraw/zinc/84/98/58/590849858.db2.gz CGHVBPRDPJDTDH-OCCSQVGLSA-N 0 3 245.370 2.665 20 0 BFADHN CSCCN1CC[C@](C)(C(F)(F)F)C1 ZINC000880000243 590906525 /nfs/dbraw/zinc/90/65/25/590906525.db2.gz KOUBMHCWNVEKOL-QMMMGPOBSA-N 0 3 227.295 2.624 20 0 BFADHN C[C@H](c1ccccn1)N1CCC[C@H](C(C)(C)O)C1 ZINC000879963422 590899829 /nfs/dbraw/zinc/89/98/29/590899829.db2.gz WIPZTQPKFJDGAU-OLZOCXBDSA-N 0 3 248.370 2.626 20 0 BFADHN CC[C@H](C)N1CCc2ccc(NC(C)=O)cc2C1 ZINC000880032278 590912146 /nfs/dbraw/zinc/91/21/46/590912146.db2.gz BOQQMQDUWMBLKH-NSHDSACASA-N 0 3 246.354 2.802 20 0 BFADHN CCC[C@@H](C)N1CCO[C@H](C(F)(F)F)CC1 ZINC000880053515 590912478 /nfs/dbraw/zinc/91/24/78/590912478.db2.gz OLNQNNZTKHKDLT-ZJUUUORDSA-N 0 3 239.281 2.828 20 0 BFADHN CSC(C)(C)CNCc1c(C)noc1C ZINC000128191561 590920532 /nfs/dbraw/zinc/92/05/32/590920532.db2.gz WMCKJVHUZYLTHL-UHFFFAOYSA-N 0 3 228.361 2.523 20 0 BFADHN Cc1nccnc1[C@H](C)NCCCCCF ZINC000880108590 590927587 /nfs/dbraw/zinc/92/75/87/590927587.db2.gz CFCYFMLDGRDYII-JTQLQIEISA-N 0 3 225.311 2.575 20 0 BFADHN COCC1(N(C)Cc2cccc(OC)c2)CCC1 ZINC000880186087 590953652 /nfs/dbraw/zinc/95/36/52/590953652.db2.gz PEJGLYWDBGQNFQ-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN CCc1ccc(CN(C)C2(COC)CCC2)nc1 ZINC000880185526 590954168 /nfs/dbraw/zinc/95/41/68/590954168.db2.gz RDZZBWPOFKPARP-UHFFFAOYSA-N 0 3 248.370 2.645 20 0 BFADHN FC[C@@H]1CCCN(C[C@H]2CC2(Cl)Cl)C1 ZINC000880230513 590961136 /nfs/dbraw/zinc/96/11/36/590961136.db2.gz LTAVINNFNLLCRR-DTWKUNHWSA-N 0 3 240.149 2.862 20 0 BFADHN FC[C@H]1CCCN(Cc2cnc3ccccn23)C1 ZINC000880234211 590964805 /nfs/dbraw/zinc/96/48/05/590964805.db2.gz CSMIJUFZSGIUIZ-GFCCVEGCSA-N 0 3 247.317 2.516 20 0 BFADHN Cc1ccc(CN2CCC[C@H](CF)C2)nc1 ZINC000880236581 590966246 /nfs/dbraw/zinc/96/62/46/590966246.db2.gz CAWYCRPXWYDLEO-GFCCVEGCSA-N 0 3 222.307 2.572 20 0 BFADHN Cc1ccc(CN2CCC[C@@H](CF)C2)c(C)n1 ZINC000880236950 590967626 /nfs/dbraw/zinc/96/76/26/590967626.db2.gz MPXUPZPZXFCTRR-ZDUSSCGKSA-N 0 3 236.334 2.880 20 0 BFADHN FC1CCN(C[C@H]2CC2(Cl)Cl)CC1 ZINC000880245023 590978205 /nfs/dbraw/zinc/97/82/05/590978205.db2.gz LUERRCLEFRCGDQ-SSDOTTSWSA-N 0 3 226.122 2.614 20 0 BFADHN FC[C@H]1CCN(C/C=C\c2ccccc2)C1 ZINC000880292185 590986180 /nfs/dbraw/zinc/98/61/80/590986180.db2.gz NCGXLFCHADXENO-HJHHMULASA-N 0 3 219.303 2.991 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@@H](CF)C1 ZINC000880298426 590993103 /nfs/dbraw/zinc/99/31/03/590993103.db2.gz APLMNWGKBWXQRJ-NSHDSACASA-N 0 3 225.282 2.926 20 0 BFADHN Cc1nsc(C)c1CN1CC[C@H](CF)C1 ZINC000880300861 590997587 /nfs/dbraw/zinc/99/75/87/590997587.db2.gz XUDRDEJIZAVUSH-SNVBAGLBSA-N 0 3 228.336 2.551 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cccc(Cl)n2)C1 ZINC000128365810 591010133 /nfs/dbraw/zinc/01/01/33/591010133.db2.gz WUAVJSIPGZVQRQ-NXEZZACHSA-N 0 3 224.735 2.965 20 0 BFADHN C[C@@H]1c2sccc2CCN1CCn1cccn1 ZINC000880376262 591015840 /nfs/dbraw/zinc/01/58/40/591015840.db2.gz DSEDCQFJPPGLPX-LLVKDONJSA-N 0 3 247.367 2.564 20 0 BFADHN CO[C@@H](C)CN1CCc2ccsc2[C@@H]1C ZINC000880377380 591016295 /nfs/dbraw/zinc/01/62/95/591016295.db2.gz UHKCYDDYELMBSX-UWVGGRQHSA-N 0 3 225.357 2.702 20 0 BFADHN CCC[C@H](O)CN1CCc2ccsc2[C@@H]1C ZINC000880377148 591016674 /nfs/dbraw/zinc/01/66/74/591016674.db2.gz PJXJWZBJWQUNMP-JQWIXIFHSA-N 0 3 239.384 2.828 20 0 BFADHN C[C@H]1c2sccc2CCN1CCc1cn[nH]c1 ZINC000880377125 591016903 /nfs/dbraw/zinc/01/69/03/591016903.db2.gz OTRRJZGUINWSER-JTQLQIEISA-N 0 3 247.367 2.633 20 0 BFADHN C[C@@H]1c2sccc2CCN1C[C@@H]1CCCO1 ZINC000880378178 591017427 /nfs/dbraw/zinc/01/74/27/591017427.db2.gz PMGJMJIZMWUPRC-PWSUYJOCSA-N 0 3 237.368 2.846 20 0 BFADHN Cl/C=C/CN1CCC2(CCCCO2)CC1 ZINC000880453439 591049397 /nfs/dbraw/zinc/04/93/97/591049397.db2.gz HELQEQXKIIDCRI-XVNBXDOJSA-N 0 3 229.751 2.774 20 0 BFADHN CCOCCN1C[C@@H]2CC[C@H]1c1ccccc12 ZINC000880536146 591086244 /nfs/dbraw/zinc/08/62/44/591086244.db2.gz JHORUGLTPCCFIY-WFASDCNBSA-N 0 3 231.339 2.957 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCc3ncncc3C2)C1 ZINC000880597138 591106035 /nfs/dbraw/zinc/10/60/35/591106035.db2.gz OWZPOHOVUKTDAI-OLZOCXBDSA-N 0 3 245.370 2.661 20 0 BFADHN C[C@H]1CCCC[C@H]1CN1CCc2ncncc2C1 ZINC000880598793 591107410 /nfs/dbraw/zinc/10/74/10/591107410.db2.gz XNVGYPLXGROTFI-STQMWFEESA-N 0 3 245.370 2.661 20 0 BFADHN CC(C)C[C@@H](C)CN1CCc2ncncc2C1 ZINC000880599588 591108124 /nfs/dbraw/zinc/10/81/24/591108124.db2.gz MZQVFZOSUMXVDP-GFCCVEGCSA-N 0 3 233.359 2.517 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@H]1CC[C@H](C)O1 ZINC000128554790 591119808 /nfs/dbraw/zinc/11/98/08/591119808.db2.gz HLZVEYXLYGJEEB-YNEHKIRRSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@@H]1CC[C@H](C)O1 ZINC000128555249 591119991 /nfs/dbraw/zinc/11/99/91/591119991.db2.gz HLZVEYXLYGJEEB-XQQFMLRXSA-N 0 3 249.354 2.913 20 0 BFADHN CCCN(CCN(C)C)C(=O)C=C(CC)CC ZINC000128762431 591161421 /nfs/dbraw/zinc/16/14/21/591161421.db2.gz SNOKDHKBCLYFEO-UHFFFAOYSA-N 0 3 240.391 2.533 20 0 BFADHN CC(=O)c1c(Cl)cccc1NCCN(C)C ZINC000881736665 591267984 /nfs/dbraw/zinc/26/79/84/591267984.db2.gz FDVYYLAYYFVZGY-UHFFFAOYSA-N 0 3 240.734 2.516 20 0 BFADHN CCc1ncc(CN[C@@H](C)CCSC)s1 ZINC000129829841 591412739 /nfs/dbraw/zinc/41/27/39/591412739.db2.gz HBIPAFLSTIHCFG-VIFPVBQESA-N 0 3 244.429 2.937 20 0 BFADHN Cc1cc(F)cc(-c2noc([C@@H]3CCCN3)n2)c1 ZINC000129837803 591412872 /nfs/dbraw/zinc/41/28/72/591412872.db2.gz GZKVMYZDQAMVMK-NSHDSACASA-N 0 3 247.273 2.609 20 0 BFADHN CCc1cc(N2CCC[C@H](CF)C2)ccn1 ZINC000882803024 591443793 /nfs/dbraw/zinc/44/37/93/591443793.db2.gz APXQGGMQCSQDCK-LLVKDONJSA-N 0 3 222.307 2.830 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2ccn(C)n2)CC1 ZINC000213150806 591450040 /nfs/dbraw/zinc/45/00/40/591450040.db2.gz HTZXASOORJVZSD-OLZOCXBDSA-N 0 3 235.375 2.869 20 0 BFADHN CSc1ccc(CNCCc2cccnc2)o1 ZINC000882887404 591464154 /nfs/dbraw/zinc/46/41/54/591464154.db2.gz PQCZDMJTJXBSIE-UHFFFAOYSA-N 0 3 248.351 2.729 20 0 BFADHN CCN1CC[C@@H]1CNc1ccnc2ccsc21 ZINC000882959677 591485372 /nfs/dbraw/zinc/48/53/72/591485372.db2.gz MZJREULUJVCNLC-SNVBAGLBSA-N 0 3 247.367 2.802 20 0 BFADHN CSC[C@H](C)NCc1cccc(Cl)c1N ZINC000883013976 591515111 /nfs/dbraw/zinc/51/51/11/591515111.db2.gz FDVVGOCXVFKFLW-QMMMGPOBSA-N 0 3 244.791 2.763 20 0 BFADHN CC(C)c1c[nH]c(CNC2(C3CC3)CC2)n1 ZINC000883044578 591527485 /nfs/dbraw/zinc/52/74/85/591527485.db2.gz WYRSFEPYBXQIQT-UHFFFAOYSA-N 0 3 219.332 2.565 20 0 BFADHN Fc1cccnc1CN[C@@H]1C[C@@H]2CC[C@@H](C2)C1 ZINC000883073957 591535598 /nfs/dbraw/zinc/53/55/98/591535598.db2.gz HMLLRMARQZMRNZ-ZSBIGDGJSA-N 0 3 234.318 2.889 20 0 BFADHN CC(C)c1c[nH]c(CNCC2CC(F)(F)C2)n1 ZINC000883126650 591545297 /nfs/dbraw/zinc/54/52/97/591545297.db2.gz WCIJWCOBWZGVBZ-UHFFFAOYSA-N 0 3 243.301 2.668 20 0 BFADHN CCc1nc(CN[C@H]2C[C@@H]3CC[C@@H](C3)C2)co1 ZINC000883137210 591549191 /nfs/dbraw/zinc/54/91/91/591549191.db2.gz ZOWUXGMXUQRPPG-GDNZZTSVSA-N 0 3 234.343 2.905 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2CCC[C@@H]3C[C@@H]32)n1 ZINC000883144935 591550746 /nfs/dbraw/zinc/55/07/46/591550746.db2.gz GNKFVEBYBMAIMS-GRYCIOLGSA-N 0 3 233.359 2.811 20 0 BFADHN CC(C)c1c[nH]c(CNC2CC3(CCC3)C2)n1 ZINC000883158910 591555798 /nfs/dbraw/zinc/55/57/98/591555798.db2.gz VDEYVQQZOJBGTN-UHFFFAOYSA-N 0 3 233.359 2.955 20 0 BFADHN CC[C@@H](NCc1nc(C(C)C)c[nH]1)C1CC1 ZINC000883175370 591562574 /nfs/dbraw/zinc/56/25/74/591562574.db2.gz FMPRXMMBDYUFIL-LLVKDONJSA-N 0 3 221.348 2.811 20 0 BFADHN Cc1ccoc1CNC[C@H]1CSCCS1 ZINC000131165621 591565259 /nfs/dbraw/zinc/56/52/59/591565259.db2.gz OKXZNFWEUSFQRX-JTQLQIEISA-N 0 3 243.397 2.526 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@]23C[C@@H]2CCCC3)n1 ZINC000883194322 591568769 /nfs/dbraw/zinc/56/87/69/591568769.db2.gz SYUSUHWEXRPTFD-FZMZJTMJSA-N 0 3 233.359 2.955 20 0 BFADHN COC(C)(C)CCNCc1ccc(SC)o1 ZINC000883197374 591569153 /nfs/dbraw/zinc/56/91/53/591569153.db2.gz KOVQLLICQBOTKA-UHFFFAOYSA-N 0 3 243.372 2.906 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@H]2C[C@@H]3CC[C@@H](C3)C2)[n-]1 ZINC000883208392 591573911 /nfs/dbraw/zinc/57/39/11/591573911.db2.gz FHXWABBBIKQREW-WYUUTHIRSA-N 0 3 248.374 2.596 20 0 BFADHN CCc1nnc([C@@H](C)N[C@H]2C[C@@H]3CC[C@@H](C3)C2)[nH]1 ZINC000883208392 591573914 /nfs/dbraw/zinc/57/39/14/591573914.db2.gz FHXWABBBIKQREW-WYUUTHIRSA-N 0 3 248.374 2.596 20 0 BFADHN CCn1ccc(CN[C@H]2CCCC3(CC3)C2)n1 ZINC000883228916 591580518 /nfs/dbraw/zinc/58/05/18/591580518.db2.gz XNAZZHHRNWYAAK-LBPRGKRZSA-N 0 3 233.359 2.715 20 0 BFADHN Cc1cncc([C@@H](C)NCCc2ccns2)c1 ZINC000883247589 591588009 /nfs/dbraw/zinc/58/80/09/591588009.db2.gz PHWIQTLDRWHSLJ-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN Cc1nc(CNCC(C)(C)CC(F)F)[nH]c1C ZINC000883251087 591590871 /nfs/dbraw/zinc/59/08/71/591590871.db2.gz BFIGRSVREOUEHM-UHFFFAOYSA-N 0 3 245.317 2.798 20 0 BFADHN CCC[C@@H](C)CCNCc1cc(C)no1 ZINC000883250581 591591439 /nfs/dbraw/zinc/59/14/39/591591439.db2.gz FGXNGJWKWDESKB-SNVBAGLBSA-N 0 3 210.321 2.899 20 0 BFADHN CCC[C@@H](C)CCNCc1c(C)nnn1CC ZINC000883250838 591591652 /nfs/dbraw/zinc/59/16/52/591591652.db2.gz QGHPFAALCHHUBB-LLVKDONJSA-N 0 3 238.379 2.522 20 0 BFADHN CCn1ccnc1CNCC1(CC2CC2)CC1 ZINC000883249921 591591863 /nfs/dbraw/zinc/59/18/63/591591863.db2.gz UUCQZLJVRBAHLZ-UHFFFAOYSA-N 0 3 233.359 2.573 20 0 BFADHN CCC[C@@H](C)CCNCc1cn(C(C)C)nn1 ZINC000883251347 591592248 /nfs/dbraw/zinc/59/22/48/591592248.db2.gz LNEZRDYJVSACEF-GFCCVEGCSA-N 0 3 238.379 2.775 20 0 BFADHN CC(C)(CNCc1ccc(CO)o1)CC(F)F ZINC000883252002 591592311 /nfs/dbraw/zinc/59/23/11/591592311.db2.gz NGNWGUCOSIAWHO-UHFFFAOYSA-N 0 3 247.285 2.543 20 0 BFADHN CC1(C)C[C@@]1(C)CNCc1cn(C2CC2)cn1 ZINC000883252600 591592693 /nfs/dbraw/zinc/59/26/93/591592693.db2.gz PBVJZBPHFYRJLM-AWEZNQCLSA-N 0 3 233.359 2.744 20 0 BFADHN CCC[C@H](C)CCNCc1c(Cl)cnn1C ZINC000883251473 591592802 /nfs/dbraw/zinc/59/28/02/591592802.db2.gz QDOQYIAVXZWPEZ-JTQLQIEISA-N 0 3 243.782 2.989 20 0 BFADHN CCC[C@H](C)CCN[C@@H](C)c1nccn1C ZINC000883257670 591595270 /nfs/dbraw/zinc/59/52/70/591595270.db2.gz HPRYUSLLCITXPQ-RYUDHWBXSA-N 0 3 223.364 2.897 20 0 BFADHN CCC[C@H](C)CCN[C@H](C)c1ncc[nH]1 ZINC000883258233 591596217 /nfs/dbraw/zinc/59/62/17/591596217.db2.gz VCODUURHYGXWLW-WDEREUQCSA-N 0 3 209.337 2.887 20 0 BFADHN C=C/C=C\CCNCc1cnc(C2CC2)nc1 ZINC000883260187 591596937 /nfs/dbraw/zinc/59/69/37/591596937.db2.gz MKRCTIZUVGJGQL-ARJAWSKDSA-N 0 3 229.327 2.576 20 0 BFADHN C=C/C=C/CCNCc1c2c(nn1C)CCCC2 ZINC000883259797 591597296 /nfs/dbraw/zinc/59/72/96/591597296.db2.gz YSMHULXXWWXDSV-SNAWJCMRSA-N 0 3 245.370 2.521 20 0 BFADHN C=C/C=C/CCNCc1cccnc1Cl ZINC000883261286 591598857 /nfs/dbraw/zinc/59/88/57/591598857.db2.gz XINBCUPQXJEUEW-ONEGZZNKSA-N 0 3 222.719 2.957 20 0 BFADHN CO[C@H]1C[C@H](CN[C@@H](C)c2cc(C)c(C)o2)C1 ZINC000883272742 591604324 /nfs/dbraw/zinc/60/43/24/591604324.db2.gz VCUMXCSCHXWXPI-DRZSPHRISA-N 0 3 237.343 2.972 20 0 BFADHN CSCC[C@H](C)NCc1ccc(C)cn1 ZINC000131367651 591604960 /nfs/dbraw/zinc/60/49/60/591604960.db2.gz WJEBKLBQYCBAFW-NSHDSACASA-N 0 3 224.373 2.621 20 0 BFADHN COCC[C@H](C)NCc1ccc(C)nc1Cl ZINC000883301112 591608072 /nfs/dbraw/zinc/60/80/72/591608072.db2.gz QALAUQUVTKEITR-VIFPVBQESA-N 0 3 242.750 2.558 20 0 BFADHN Cc1ccc(CNCC2(C)CC2)c(Cl)n1 ZINC000883293570 591609852 /nfs/dbraw/zinc/60/98/52/591609852.db2.gz YFAWVXJHZDGBBD-UHFFFAOYSA-N 0 3 224.735 2.933 20 0 BFADHN CC(C)CNCc1cc(O)ccc1Cl ZINC000883324767 591615818 /nfs/dbraw/zinc/61/58/18/591615818.db2.gz CISSJGWYTMUBIS-UHFFFAOYSA-N 0 3 213.708 2.791 20 0 BFADHN CCC[C@@H](NC[C@@H]1CCN1CC)c1ccccn1 ZINC000883354703 591624601 /nfs/dbraw/zinc/62/46/01/591624601.db2.gz AWXBFQTVFHKLDY-UONOGXRCSA-N 0 3 247.386 2.607 20 0 BFADHN c1ccc2c(CNCC3CC3)nsc2c1 ZINC000883409994 591651614 /nfs/dbraw/zinc/65/16/14/591651614.db2.gz LZUZTZQZEGMCLK-UHFFFAOYSA-N 0 3 218.325 2.796 20 0 BFADHN CC(C)OCCN[C@@H]1c2ccccc2NC1(C)C ZINC000883463805 591673519 /nfs/dbraw/zinc/67/35/19/591673519.db2.gz YVCGTTOENLIHMI-CQSZACIVSA-N 0 3 248.370 2.946 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1CCC(=O)C(C)(C)C ZINC000132691292 591731185 /nfs/dbraw/zinc/73/11/85/591731185.db2.gz LLIIZJDJTRHUSU-MNOVXSKESA-N 0 3 243.416 2.818 20 0 BFADHN CCO[C@@H]1C[C@@H](N(C)C/C=C/Cl)C1(C)C ZINC000133147141 591769313 /nfs/dbraw/zinc/76/93/13/591769313.db2.gz TULJKHBKGBDRFJ-AFPRHGJPSA-N 0 3 231.767 2.874 20 0 BFADHN [O-]c1ccc(C[NH2+]CC2CCCC2)cc1F ZINC000133275879 591781103 /nfs/dbraw/zinc/78/11/03/591781103.db2.gz YAIGVGUOXRKYLI-UHFFFAOYSA-N 0 3 223.291 2.811 20 0 BFADHN CN[C@@H](CC(C)C)C(=O)Nc1ccc(C)c(C)c1 ZINC000884044263 591824248 /nfs/dbraw/zinc/82/42/48/591824248.db2.gz ALIQKVHRERTQPT-AWEZNQCLSA-N 0 3 248.370 2.876 20 0 BFADHN CSC(C)(C)CN[C@H](C)c1c[nH]nc1C ZINC000134576391 591938883 /nfs/dbraw/zinc/93/88/83/591938883.db2.gz ZLAKKNQWYZUKRN-MRVPVSSYSA-N 0 3 227.377 2.510 20 0 BFADHN Cc1nnc(CN[C@@H]2CCCC(C)(C)C2)s1 ZINC000134663054 591946344 /nfs/dbraw/zinc/94/63/44/591946344.db2.gz TXROGFVHWLKACX-SNVBAGLBSA-N 0 3 239.388 2.905 20 0 BFADHN CCc1cc(N2CC[C@H]([C@H]3CCCO3)C2)ccn1 ZINC000884742691 592016545 /nfs/dbraw/zinc/01/65/45/592016545.db2.gz UTHJJGGZQYPOIO-SWLSCSKDSA-N 0 3 246.354 2.649 20 0 BFADHN CC[C@H](CNCc1cc(C)co1)N1CCCC1 ZINC000885920522 592109218 /nfs/dbraw/zinc/10/92/18/592109218.db2.gz BHNFDGXSSDIPHF-CYBMUJFWSA-N 0 3 236.359 2.552 20 0 BFADHN Fc1cccc(/C=C\CNCCOCC2CC2)c1 ZINC000885975044 592119366 /nfs/dbraw/zinc/11/93/66/592119366.db2.gz JDBPDTPYNFONJH-RQOWECAXSA-N 0 3 249.329 2.855 20 0 BFADHN CCCC[C@H](COC)NCc1cc(C)co1 ZINC000885993103 592124325 /nfs/dbraw/zinc/12/43/25/592124325.db2.gz ZFJRCRCXKHUERM-GFCCVEGCSA-N 0 3 225.332 2.883 20 0 BFADHN Cc1coc(CN[C@@H](C)Cc2c(C)noc2C)c1 ZINC000885994148 592124801 /nfs/dbraw/zinc/12/48/01/592124801.db2.gz DDZMBXLUNFFMER-JTQLQIEISA-N 0 3 248.326 2.914 20 0 BFADHN Cc1coc(CNC[C@@]2(C)CCCC[C@@H]2O)c1 ZINC000886008386 592130082 /nfs/dbraw/zinc/13/00/82/592130082.db2.gz LHJSBZADQCTPSB-UONOGXRCSA-N 0 3 237.343 2.619 20 0 BFADHN COCCC1(CNCc2cc(C)co2)CCC1 ZINC000886021330 592134295 /nfs/dbraw/zinc/13/42/95/592134295.db2.gz UJOWONVPYZTPSA-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN COCC1(NC/C=C\c2cccc(F)c2)CC1 ZINC000886023979 592134882 /nfs/dbraw/zinc/13/48/82/592134882.db2.gz WJHANCVGVIFTDK-HYXAFXHYSA-N 0 3 235.302 2.608 20 0 BFADHN Cc1coc(CN[C@H]2CCO[C@@H](C(C)C)C2)c1 ZINC000886055108 592141375 /nfs/dbraw/zinc/14/13/75/592141375.db2.gz SAGVAHIGUNMPFQ-GXTWGEPZSA-N 0 3 237.343 2.881 20 0 BFADHN Cc1coc(CNCC[C@H]2CCO[C@@H](C)C2)c1 ZINC000886062494 592141577 /nfs/dbraw/zinc/14/15/77/592141577.db2.gz PZSZUCKVIGPYOH-STQMWFEESA-N 0 3 237.343 2.883 20 0 BFADHN Cc1coc(CNCC[C@@H]2CCO[C@H](C)C2)c1 ZINC000886062491 592141948 /nfs/dbraw/zinc/14/19/48/592141948.db2.gz PZSZUCKVIGPYOH-CHWSQXEVSA-N 0 3 237.343 2.883 20 0 BFADHN CC(C)OCCNC/C=C\c1cccc(F)c1 ZINC000886062725 592142111 /nfs/dbraw/zinc/14/21/11/592142111.db2.gz VXVANZWEIZEADZ-XQRVVYSFSA-N 0 3 237.318 2.854 20 0 BFADHN C1=C[C@H]2CC[C@@H](C1)N2C[C@H]1CC[C@@H](C2CC2)O1 ZINC000886083791 592145494 /nfs/dbraw/zinc/14/54/94/592145494.db2.gz NERJLFDBROQCFX-YJNKXOJESA-N 0 3 233.355 2.737 20 0 BFADHN CCC(O)(CC)CCNCc1cc(C)co1 ZINC000886087357 592145513 /nfs/dbraw/zinc/14/55/13/592145513.db2.gz TVPRPQBZILWJHN-UHFFFAOYSA-N 0 3 225.332 2.619 20 0 BFADHN C(CN1CCOC[C@H]1C1CC1)[C@@H]1C[C@H]1C1CC1 ZINC000886094621 592147218 /nfs/dbraw/zinc/14/72/18/592147218.db2.gz WKBSWWDXRNHEJK-ILXRZTDVSA-N 0 3 235.371 2.533 20 0 BFADHN CCCN1CCC[C@H]1CNCc1cc(C)co1 ZINC000886114161 592156416 /nfs/dbraw/zinc/15/64/16/592156416.db2.gz ILJMIZQSXGDRMY-ZDUSSCGKSA-N 0 3 236.359 2.552 20 0 BFADHN CC(C)(NC[C@](C)(O)C(F)F)c1cccs1 ZINC000886130096 592159898 /nfs/dbraw/zinc/15/98/98/592159898.db2.gz VWLTUGSWSPPRPP-NSHDSACASA-N 0 3 249.326 2.589 20 0 BFADHN CC(C)c1cccc(CN[C@]23C[C@H]2COC3)c1 ZINC000886199280 592174402 /nfs/dbraw/zinc/17/44/02/592174402.db2.gz QJTKPJDRYRYLNO-GJZGRUSLSA-N 0 3 231.339 2.689 20 0 BFADHN FC[C@@H]1CCCN(CCSC(F)(F)F)C1 ZINC000886315214 592206684 /nfs/dbraw/zinc/20/66/84/592206684.db2.gz BAJOOPSPLRQYHK-QMMMGPOBSA-N 0 3 245.285 2.921 20 0 BFADHN C[C@](O)(CN1CCC(C2CCC2)CC1)C(F)F ZINC000886277039 592196285 /nfs/dbraw/zinc/19/62/85/592196285.db2.gz FDOODSOXQSLFEZ-ZDUSSCGKSA-N 0 3 247.329 2.515 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNCc1ccncc1F ZINC000886280428 592197906 /nfs/dbraw/zinc/19/79/06/592197906.db2.gz DWAJKGQDIDVRQU-LPTSXCQYSA-N 0 3 234.318 2.602 20 0 BFADHN CCc1nocc1CNC[C@@H]1C[C@@H]1[C@H]1C[C@H]1C ZINC000886280811 592198563 /nfs/dbraw/zinc/19/85/63/592198563.db2.gz LOYFBUXKASVLFS-URBCHYCLSA-N 0 3 234.343 2.619 20 0 BFADHN Cc1ccoc1CNC[C@@H]1CC2(CCC2)CO1 ZINC000886288477 592200919 /nfs/dbraw/zinc/20/09/19/592200919.db2.gz ABOVOLVZBBZONU-LBPRGKRZSA-N 0 3 235.327 2.637 20 0 BFADHN Cc1ccc(CNC[C@H](O)c2ccsc2)cc1 ZINC000219088831 592208706 /nfs/dbraw/zinc/20/87/06/592208706.db2.gz LTKBYJYQVJTVDE-AWEZNQCLSA-N 0 3 247.363 2.880 20 0 BFADHN Cc1ccccc1CNC[C@H](O)c1ccsc1 ZINC000219107669 592208789 /nfs/dbraw/zinc/20/87/89/592208789.db2.gz QQQUEJYTDPQBJJ-AWEZNQCLSA-N 0 3 247.363 2.880 20 0 BFADHN C[C@H]1C[C@H](N[C@H]2CCc3c2cccc3F)CO1 ZINC000886361539 592217813 /nfs/dbraw/zinc/21/78/13/592217813.db2.gz LJDFCRVMPDMEHU-BHDSKKPTSA-N 0 3 235.302 2.580 20 0 BFADHN c1cc(CNC2(C3CC3)CCC2)nc2c1CCC2 ZINC000886389556 592222513 /nfs/dbraw/zinc/22/25/13/592222513.db2.gz OHFYCEFOEKTFEI-UHFFFAOYSA-N 0 3 242.366 2.993 20 0 BFADHN C[C@H]1CCN(Cc2c[nH]cn2)[C@@H]1c1ccccc1 ZINC000886540631 592254335 /nfs/dbraw/zinc/25/43/35/592254335.db2.gz IRYSYZDJLVBJKR-WFASDCNBSA-N 0 3 241.338 2.993 20 0 BFADHN C[C@H]1CCN(Cc2cnc[nH]2)[C@@H]1c1ccccc1 ZINC000886540631 592254336 /nfs/dbraw/zinc/25/43/36/592254336.db2.gz IRYSYZDJLVBJKR-WFASDCNBSA-N 0 3 241.338 2.993 20 0 BFADHN CC(C)C1(N[C@@H]2CCc3cccnc32)CC1 ZINC000886616279 592275777 /nfs/dbraw/zinc/27/57/77/592275777.db2.gz YOAUVWAYMUZDEI-GFCCVEGCSA-N 0 3 216.328 2.847 20 0 BFADHN CCC1(N[C@@H]2CCc3cccnc32)CC1 ZINC000886617166 592276252 /nfs/dbraw/zinc/27/62/52/592276252.db2.gz FUDXIYCBBIOQKG-LLVKDONJSA-N 0 3 202.301 2.601 20 0 BFADHN c1coc(CCCN[C@@H]2CCc3cccnc32)c1 ZINC000886639864 592285554 /nfs/dbraw/zinc/28/55/54/592285554.db2.gz MXCGGAWAASIRCH-CQSZACIVSA-N 0 3 242.322 2.884 20 0 BFADHN COC[C@H](C)N[C@H](c1ncccc1C)C(C)C ZINC000072637654 592288917 /nfs/dbraw/zinc/28/89/17/592288917.db2.gz HLVWJZIOODCTFS-STQMWFEESA-N 0 3 236.359 2.712 20 0 BFADHN CS[C@H]1C[C@H](N[C@H]2CCc3cccnc32)C1 ZINC000886646303 592289474 /nfs/dbraw/zinc/28/94/74/592289474.db2.gz FJKXCAGHKGEPSK-SRVKXCTJSA-N 0 3 234.368 2.553 20 0 BFADHN CC(C)=CCN1CCC[C@H](c2ncccn2)C1 ZINC000886677114 592299281 /nfs/dbraw/zinc/29/92/81/592299281.db2.gz RJIDVDFMDAEFOU-ZDUSSCGKSA-N 0 3 231.343 2.622 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)nn1C ZINC000886694552 592305669 /nfs/dbraw/zinc/30/56/69/592305669.db2.gz YFTYFXNUBHDBKG-JDCLNJACSA-N 0 3 247.386 2.671 20 0 BFADHN COCCCNC(C)(C)c1ccc(F)cc1F ZINC000886898068 592387858 /nfs/dbraw/zinc/38/78/58/592387858.db2.gz QJEQXLAXRFEXOF-UHFFFAOYSA-N 0 3 243.297 2.826 20 0 BFADHN OC1(C[C@@H]2CCCCN2CCF)CCCCC1 ZINC000887035007 592428130 /nfs/dbraw/zinc/42/81/30/592428130.db2.gz DQEIPIUPKRQYGA-ZDUSSCGKSA-N 0 3 243.366 2.896 20 0 BFADHN CC(C)OCCNCc1cnc2ccccc2c1 ZINC000301810542 592538872 /nfs/dbraw/zinc/53/88/72/592538872.db2.gz SNAHOIQCLVYFKD-UHFFFAOYSA-N 0 3 244.338 2.749 20 0 BFADHN CC(C)c1ccccc1NC(=O)[C@H](N)CC1CC1 ZINC000302681866 592550915 /nfs/dbraw/zinc/55/09/15/592550915.db2.gz QFSGBWZJISUOQK-CYBMUJFWSA-N 0 3 246.354 2.876 20 0 BFADHN CCCCCN(C(=O)[C@H](N)CC1CC1)C(C)C ZINC000302676172 592551076 /nfs/dbraw/zinc/55/10/76/592551076.db2.gz NPALEEQOKUONQQ-CYBMUJFWSA-N 0 3 240.391 2.541 20 0 BFADHN CCCCC[C@H](CCC)N1CC[C@H]1NC ZINC001246894910 988664375 /nfs/dbraw/zinc/66/43/75/988664375.db2.gz CUPJTQFDVOXYGS-STQMWFEESA-N 0 3 212.381 2.987 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@H](C)C2(C)CC2)nn1 ZINC000925775425 635246890 /nfs/dbraw/zinc/24/68/90/635246890.db2.gz GLKYJYHOCAYNDK-WDEREUQCSA-N 0 3 236.363 2.698 20 0 BFADHN CC(C)n1cc([C@@H](C)N[C@H](C)C2(C)CC2)nn1 ZINC000925775420 635247429 /nfs/dbraw/zinc/24/74/29/635247429.db2.gz GLKYJYHOCAYNDK-GHMZBOCLSA-N 0 3 236.363 2.698 20 0 BFADHN CC1CCN(C[C@H](O)c2cccc(F)c2)CC1 ZINC000051091260 631239851 /nfs/dbraw/zinc/23/98/51/631239851.db2.gz GULHKPJAIWVTJV-AWEZNQCLSA-N 0 3 237.318 2.591 20 0 BFADHN Cc1cccc(CNC2([C@@H]3CCCCO3)CC2)n1 ZINC000933376692 631341264 /nfs/dbraw/zinc/34/12/64/631341264.db2.gz VIFQPGRARRBESN-AWEZNQCLSA-N 0 3 246.354 2.581 20 0 BFADHN CCCCCN1CCOc2ccccc2[C@@H]1CO ZINC000933383353 631345760 /nfs/dbraw/zinc/34/57/60/631345760.db2.gz OOKGBHPHEHKURO-AWEZNQCLSA-N 0 3 249.354 2.605 20 0 BFADHN Cc1nc(CNCCCCF)sc1C ZINC000308486769 631392415 /nfs/dbraw/zinc/39/24/15/631392415.db2.gz NSXDHXWWTMBGHN-UHFFFAOYSA-N 0 3 216.325 2.599 20 0 BFADHN C[C@H](N[C@@H](C)C1(C)CC1)c1cscn1 ZINC000308666019 631477040 /nfs/dbraw/zinc/47/70/40/631477040.db2.gz SNJUVTQNRJIVCI-IUCAKERBSA-N 0 3 210.346 2.982 20 0 BFADHN c1nc(CN[C@@H]2C[C@H]3C=C[C@@H]2CC3)cs1 ZINC000924871261 635669470 /nfs/dbraw/zinc/66/94/70/635669470.db2.gz IGEZZURFRHZDLS-HOSYDEDBSA-N 0 3 220.341 2.587 20 0 BFADHN CC(C)CC[C@@H](O)CN[C@@H](C)c1cncs1 ZINC000934193049 631724471 /nfs/dbraw/zinc/72/44/71/631724471.db2.gz CAEAALZJJBYGPD-WDEREUQCSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@@H](O)[C@H](C)NCc1cccc(Cl)c1Cl ZINC000309050252 631825699 /nfs/dbraw/zinc/82/56/99/631825699.db2.gz QQWNKXRSKDXTIH-JGVFFNPUSA-N 0 3 248.153 2.852 20 0 BFADHN CC(C)C[C@H](O)CNCc1ccsc1Cl ZINC000309075349 631836308 /nfs/dbraw/zinc/83/63/08/631836308.db2.gz JPRXJZCRETYACV-JTQLQIEISA-N 0 3 247.791 2.898 20 0 BFADHN CCCCC[C@@H](C)NCc1nccn1CC ZINC000051921523 632012081 /nfs/dbraw/zinc/01/20/81/632012081.db2.gz HRLQABHYFIKFAX-GFCCVEGCSA-N 0 3 223.364 2.961 20 0 BFADHN CCCCC[C@H](C)NCc1nccn1CC ZINC000051921525 632012706 /nfs/dbraw/zinc/01/27/06/632012706.db2.gz HRLQABHYFIKFAX-LBPRGKRZSA-N 0 3 223.364 2.961 20 0 BFADHN Cc1conc1CN1CC(C)(C)[C@@H]2CCC[C@@H]21 ZINC000934758619 632059695 /nfs/dbraw/zinc/05/96/95/632059695.db2.gz LLVJCBCEDVOIHW-YPMHNXCESA-N 0 3 234.343 2.994 20 0 BFADHN Cc1ccc(F)cc1-c1noc([C@@H]2CCCN2)n1 ZINC000052137930 632083068 /nfs/dbraw/zinc/08/30/68/632083068.db2.gz SZWUUJSVCVDRSW-NSHDSACASA-N 0 3 247.273 2.609 20 0 BFADHN Cn1ccnc1CN[C@@H]1[C@@H]2CCCC[C@@H]2C1(C)C ZINC000924937822 635790417 /nfs/dbraw/zinc/79/04/17/635790417.db2.gz CZACZKIFWHOTGF-MBNYWOFBSA-N 0 3 247.386 2.725 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1cc(C)cc(Cl)n1 ZINC000309495979 632416741 /nfs/dbraw/zinc/41/67/41/632416741.db2.gz YJQBZOPAEGUCPA-UWVGGRQHSA-N 0 3 242.750 2.556 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nonc1C)C1CCCC1 ZINC000926544310 632471791 /nfs/dbraw/zinc/47/17/91/632471791.db2.gz YSKXSRHWQDCFPU-JOYOIKCWSA-N 0 3 237.347 2.997 20 0 BFADHN C[C@H](N[C@@H](CO)C1CC1)c1ccccc1Cl ZINC000309532609 632473901 /nfs/dbraw/zinc/47/39/01/632473901.db2.gz DNMRICZZEKDQAV-ZANVPECISA-N 0 3 239.746 2.762 20 0 BFADHN Cc1cc(C)c(CCNCc2ncc[nH]2)c(C)c1 ZINC000067691950 632580744 /nfs/dbraw/zinc/58/07/44/632580744.db2.gz BDPHJZZMTOZUTG-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN C[C@H](NC[C@@H]1CC1(Cl)Cl)c1cccnc1 ZINC000309077163 632635132 /nfs/dbraw/zinc/63/51/32/632635132.db2.gz LWONQHAEKAERAS-WPRPVWTQSA-N 0 3 245.153 2.926 20 0 BFADHN CC(=O)CCN1CC[C@@H](C(F)(F)F)C1(C)C ZINC000309141278 632646492 /nfs/dbraw/zinc/64/64/92/632646492.db2.gz WKXSNOWGOBVFFX-SECBINFHSA-N 0 3 237.265 2.628 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1ccnn1C1CCC1 ZINC000926615566 632696559 /nfs/dbraw/zinc/69/65/59/632696559.db2.gz PDUGZWXBIDXPPO-GXFFZTMASA-N 0 3 231.343 2.811 20 0 BFADHN C[C@H](NC/C=C\Cl)c1ccc2c(c1)OCO2 ZINC000255742328 632711198 /nfs/dbraw/zinc/71/11/98/632711198.db2.gz WYRRCBFBBLSNCC-ANTNXHBISA-N 0 3 239.702 2.818 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NCC(C)C ZINC000924530371 632712806 /nfs/dbraw/zinc/71/28/06/632712806.db2.gz AQUIOWSPLCYYKA-JTQLQIEISA-N 0 3 208.305 2.606 20 0 BFADHN Cc1nc(CN[C@@H]2CC[C@H]2C2CC2)cs1 ZINC000309195372 632758378 /nfs/dbraw/zinc/75/83/78/632758378.db2.gz QIUFOWNAHJIILX-NWDGAFQWSA-N 0 3 222.357 2.730 20 0 BFADHN c1coc(CN[C@@H]2CCOC23CCCCC3)c1 ZINC000924541475 632790212 /nfs/dbraw/zinc/79/02/12/632790212.db2.gz VGTDZRZLSSKULI-CYBMUJFWSA-N 0 3 235.327 2.861 20 0 BFADHN Cc1n[nH]cc1CN1CCC(CC(C)C)CC1 ZINC000092021418 632809919 /nfs/dbraw/zinc/80/99/19/632809919.db2.gz VMKPRCTYCNKBOZ-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN CSCC[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000924550197 632816329 /nfs/dbraw/zinc/81/63/29/632816329.db2.gz FJZXLTKROSMYFB-MRVPVSSYSA-N 0 3 229.320 2.545 20 0 BFADHN CSCC[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000924550198 632816724 /nfs/dbraw/zinc/81/67/24/632816724.db2.gz FJZXLTKROSMYFB-QMMMGPOBSA-N 0 3 229.320 2.545 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@@H]1C[C@@H](C)n2ncnc21 ZINC000926684534 632820074 /nfs/dbraw/zinc/82/00/74/632820074.db2.gz CRKMDQWHYSJVNB-OUAUKWLOSA-N 0 3 236.363 2.698 20 0 BFADHN CC[C@H](N[C@@H]1C=CCC1)c1cccc(OC)n1 ZINC000926690726 632824942 /nfs/dbraw/zinc/82/49/42/632824942.db2.gz QIPZLUSNZZCZOZ-NEPJUHHUSA-N 0 3 232.327 2.850 20 0 BFADHN CC[C@H](N[C@H]1C=CCC1)c1cccc(OC)n1 ZINC000926690729 632826658 /nfs/dbraw/zinc/82/66/58/632826658.db2.gz QIPZLUSNZZCZOZ-RYUDHWBXSA-N 0 3 232.327 2.850 20 0 BFADHN c1coc(CCNCc2nc3c(s2)CCC3)c1 ZINC000133515483 632850398 /nfs/dbraw/zinc/85/03/98/632850398.db2.gz XQVMSCVBGVBISH-UHFFFAOYSA-N 0 3 248.351 2.557 20 0 BFADHN CCCCC[C@@H](C)NC(=O)CN(C)CCCC ZINC000066406798 632902586 /nfs/dbraw/zinc/90/25/86/632902586.db2.gz ZZIPKRQDVHQELK-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN CCC[C@H](Cc1ccccc1)NCc1ncc[nH]1 ZINC000066593838 632950418 /nfs/dbraw/zinc/95/04/18/632950418.db2.gz PDKNQYYYCLWTCW-CQSZACIVSA-N 0 3 243.354 2.911 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@H](C)C1CCCC1 ZINC000925064870 635884985 /nfs/dbraw/zinc/88/49/85/635884985.db2.gz IOVVTJKGPRIIQC-RKDXNWHRSA-N 0 3 223.320 2.607 20 0 BFADHN CC(C)CCC[C@H](C)NCc1cn(C)cn1 ZINC000309436009 633069072 /nfs/dbraw/zinc/06/90/72/633069072.db2.gz KJPSETNTODFKLM-LBPRGKRZSA-N 0 3 223.364 2.725 20 0 BFADHN FC(F)[C@@H]1CN([C@@H]2CC3CCC2CC3)CCO1 ZINC000926821508 633161214 /nfs/dbraw/zinc/16/12/14/633161214.db2.gz JIKLPHCYHSTORS-HCWSGVFWSA-N 0 3 245.313 2.531 20 0 BFADHN Cc1nonc1CN[C@@H](C)C(C(C)C)C(C)C ZINC000926839013 633201061 /nfs/dbraw/zinc/20/10/61/633201061.db2.gz INSWOGCXCJFPQJ-NSHDSACASA-N 0 3 239.363 2.784 20 0 BFADHN Cc1ccc([C@H](C)Nc2cc(C)nc(N)n2)s1 ZINC000048921579 635973229 /nfs/dbraw/zinc/97/32/29/635973229.db2.gz BAAXVECQRUWFOU-VIFPVBQESA-N 0 3 248.355 2.910 20 0 BFADHN Cc1cnc([C@H](C)N[C@H]2CC[C@H]2C)s1 ZINC000307000284 635979018 /nfs/dbraw/zinc/97/90/18/635979018.db2.gz DJZNOFFXFLZLEF-JEZHCXPESA-N 0 3 210.346 2.901 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1C/C=C\c1cccc(F)c1 ZINC000895034928 636016868 /nfs/dbraw/zinc/01/68/68/636016868.db2.gz ZHBQAJHTOFILEK-KUXCDZRCSA-N 0 3 249.329 2.684 20 0 BFADHN OC1CCC(NCc2cscc2Cl)CC1 ZINC000308935732 633834012 /nfs/dbraw/zinc/83/40/12/633834012.db2.gz OATUPIHGCUUBOI-UHFFFAOYSA-N 0 3 245.775 2.795 20 0 BFADHN COc1cc([C@H](C)NCC2(C(C)C)CC2)on1 ZINC000925510419 633850458 /nfs/dbraw/zinc/85/04/58/633850458.db2.gz WHBGSYNPKGSSPX-JTQLQIEISA-N 0 3 238.331 2.770 20 0 BFADHN C[C@H](C1CC1)N1CCC2(C1)Oc1ccccc1O2 ZINC000930501815 633851185 /nfs/dbraw/zinc/85/11/85/633851185.db2.gz BLCXINDVAPJDJY-LLVKDONJSA-N 0 3 245.322 2.658 20 0 BFADHN c1nc([C@@H]2CCCN2CC2CC3(CCC3)C2)n[nH]1 ZINC000931142891 634226299 /nfs/dbraw/zinc/22/62/99/634226299.db2.gz STOBLDKQFUEUAO-LBPRGKRZSA-N 0 3 246.358 2.522 20 0 BFADHN c1nnc([C@@H]2CCC[N@H+]2CC2CC3(CCC3)C2)[n-]1 ZINC000931142891 634226302 /nfs/dbraw/zinc/22/63/02/634226302.db2.gz STOBLDKQFUEUAO-LBPRGKRZSA-N 0 3 246.358 2.522 20 0 BFADHN c1nnc([C@@H]2CCC[N@@H+]2CC2CC3(CCC3)C2)[n-]1 ZINC000931142891 634226307 /nfs/dbraw/zinc/22/63/07/634226307.db2.gz STOBLDKQFUEUAO-LBPRGKRZSA-N 0 3 246.358 2.522 20 0 BFADHN c1cc(CNCCOC2CCCCC2)co1 ZINC000053543400 634236529 /nfs/dbraw/zinc/23/65/29/634236529.db2.gz OPPTZMORYKOWCB-UHFFFAOYSA-N 0 3 223.316 2.719 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@@H]1CCC=CO1 ZINC000194628136 634327513 /nfs/dbraw/zinc/32/75/13/634327513.db2.gz PHFNWSQPXITXDV-OCCSQVGLSA-N 0 3 232.327 2.767 20 0 BFADHN Cc1ccccc1CN[C@@H]1CCCc2n[nH]cc21 ZINC000336352283 635130813 /nfs/dbraw/zinc/13/08/13/635130813.db2.gz DSTMLIOPYLNCHX-CQSZACIVSA-N 0 3 241.338 2.885 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCc3n[nH]cc32)cc1 ZINC000336513802 635170157 /nfs/dbraw/zinc/17/01/57/635170157.db2.gz PZTNXKLTPMTCSB-CQSZACIVSA-N 0 3 241.338 2.885 20 0 BFADHN C[C@@H](NCc1cc(N)ccn1)c1ccsc1 ZINC000925770402 635202349 /nfs/dbraw/zinc/20/23/49/635202349.db2.gz HNNHYUPNAJCXHT-SECBINFHSA-N 0 3 233.340 2.576 20 0 BFADHN Cc1occc1CN[C@@H](C)[C@H](O)c1ccccc1 ZINC000840946197 635292436 /nfs/dbraw/zinc/29/24/36/635292436.db2.gz IFJCSEJRMIJICV-NHYWBVRUSA-N 0 3 245.322 2.800 20 0 BFADHN COC(=O)/C(C)=C/CN[C@H](C)c1ccccc1C ZINC000223596758 635370259 /nfs/dbraw/zinc/37/02/59/635370259.db2.gz XNYXRIIRZDBESL-CNELAYHGSA-N 0 3 247.338 2.765 20 0 BFADHN C[C@H]([NH2+][C@@H]1C[C@@H]1C)c1cc([O-])cc(F)c1 ZINC000924947614 635799017 /nfs/dbraw/zinc/79/90/17/635799017.db2.gz DEVOTMZGCIMSCT-YVZVNANGSA-N 0 3 209.264 2.590 20 0 BFADHN C/C=C\C[C@H]1CCCN(c2ccncc2CO)C1 ZINC000894835289 635945578 /nfs/dbraw/zinc/94/55/78/635945578.db2.gz JNLJLFQQBWGQEO-ZRMMWKCHSA-N 0 3 246.354 2.757 20 0 BFADHN C[C@@H](CCC(C)(C)C)N[C@@H](C)c1cnn(C)n1 ZINC000925099706 636008270 /nfs/dbraw/zinc/00/82/70/636008270.db2.gz YFDZTOORQZGHRM-QWRGUYRKSA-N 0 3 238.379 2.681 20 0 BFADHN CC[C@H](N[C@H](C)[C@H](C)O)c1ccc(F)cc1F ZINC000341856695 636306303 /nfs/dbraw/zinc/30/63/03/636306303.db2.gz ZQOXDOFGTZDECI-ZDMBXUJBSA-N 0 3 243.297 2.775 20 0 BFADHN CCC1CCC(CNCc2ncc[nH]2)CC1 ZINC000049715595 636309454 /nfs/dbraw/zinc/30/94/54/636309454.db2.gz CKWFCBPTGUWHHT-UHFFFAOYSA-N 0 3 221.348 2.716 20 0 BFADHN CCCOC(=O)[C@@H](C)N1CCCC(C)(C)CC1 ZINC000303895205 636314287 /nfs/dbraw/zinc/31/42/87/636314287.db2.gz KRVLVBUXRQFIIW-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN CCCOC(=O)[C@H](C)N(CC)C1CCCCC1 ZINC000303942329 636318736 /nfs/dbraw/zinc/31/87/36/636318736.db2.gz TULKZQAZAWSWPX-LBPRGKRZSA-N 0 3 241.375 2.983 20 0 BFADHN CC[C@@H](N[C@H](C)c1ncco1)C1CCOCC1 ZINC000925199740 636379142 /nfs/dbraw/zinc/37/91/42/636379142.db2.gz VRUFKKVNHOLQEZ-ZYHUDNBSSA-N 0 3 238.331 2.530 20 0 BFADHN Cc1cc(N[C@H]2CCC[C@H](C(C)C)C2)nc(N)n1 ZINC000728910787 636409792 /nfs/dbraw/zinc/40/97/92/636409792.db2.gz UFGANEKROHMZLX-RYUDHWBXSA-N 0 3 248.374 2.994 20 0 BFADHN CCOCCCN[C@H](C)c1cc(O)ccc1F ZINC000872017110 636552585 /nfs/dbraw/zinc/55/25/85/636552585.db2.gz STSRSWDWSQPABA-SNVBAGLBSA-N 0 3 241.306 2.609 20 0 BFADHN CCc1nc(CNC[C@@H](C)CC)cs1 ZINC000054470426 636720918 /nfs/dbraw/zinc/72/09/18/636720918.db2.gz GCIOFLPNEHKUBP-VIFPVBQESA-N 0 3 212.362 2.841 20 0 BFADHN C[C@H](NCCC1CC=CC1)c1cc2n(n1)CCC2 ZINC000926951411 636969024 /nfs/dbraw/zinc/96/90/24/636969024.db2.gz ZEWKSVSLSQQDRG-LBPRGKRZSA-N 0 3 245.370 2.836 20 0 BFADHN C[C@H](NC[C@]1(C)CCCCO1)c1ccccn1 ZINC000927017622 636989082 /nfs/dbraw/zinc/98/90/82/636989082.db2.gz JTZUAZYTKMNYEJ-JSGCOSHPSA-N 0 3 234.343 2.691 20 0 BFADHN Cc1ccc(NCCN[C@@H](C)c2ccco2)nc1 ZINC000927071961 637017004 /nfs/dbraw/zinc/01/70/04/637017004.db2.gz IWTPZXYRSUALTH-LBPRGKRZSA-N 0 3 245.326 2.746 20 0 BFADHN Cn1nc(CN[C@H]2CC[C@H]2C2CC2)cc1C1CC1 ZINC000927106611 637033947 /nfs/dbraw/zinc/03/39/47/637033947.db2.gz BJULQHOPDUDETO-KBPBESRZSA-N 0 3 245.370 2.576 20 0 BFADHN Cc1nonc1[C@@H](C)NCC1CCC(C)CC1 ZINC000924765113 637138053 /nfs/dbraw/zinc/13/80/53/637138053.db2.gz KVFMINICRDTSPA-SQLBVSGCSA-N 0 3 237.347 2.855 20 0 BFADHN C[C@H](NCCOC1CC1)c1cc2cnccc2o1 ZINC000903170176 637353105 /nfs/dbraw/zinc/35/31/05/637353105.db2.gz XOKNDQMYAJACJV-JTQLQIEISA-N 0 3 246.310 2.657 20 0 BFADHN Cc1nonc1[C@H](C)N[C@H]1CCC[C@H](C)C1 ZINC000924795027 637389788 /nfs/dbraw/zinc/38/97/88/637389788.db2.gz UXCNJCPXSJZWDO-QXEWZRGKSA-N 0 3 223.320 2.607 20 0 BFADHN CCNc1ccccc1CN[C@@H]1C[C@]1(C)OCC ZINC000904445181 637413191 /nfs/dbraw/zinc/41/31/91/637413191.db2.gz NKFWMORBAYWHKM-CABCVRRESA-N 0 3 248.370 2.776 20 0 BFADHN C[C@H]([NH2+]C[C@@H]1C[C@@H]1C)c1cc([O-])cc(F)c1 ZINC000924799316 637439974 /nfs/dbraw/zinc/43/99/74/637439974.db2.gz URYUOHDNCVJEJQ-QXEWZRGKSA-N 0 3 223.291 2.838 20 0 BFADHN Cc1noc(C)c1-c1ccc(F)c2c1CCNC2 ZINC000904766470 637475574 /nfs/dbraw/zinc/47/55/74/637475574.db2.gz LBTAJVNSKNNFQI-UHFFFAOYSA-N 0 3 246.285 2.743 20 0 BFADHN CN(C)Cc1ccc(-c2cn3c(n2)CCC3)s1 ZINC000904864200 637512703 /nfs/dbraw/zinc/51/27/03/637512703.db2.gz CJQXMDWYFQJMLK-UHFFFAOYSA-N 0 3 247.367 2.619 20 0 BFADHN c1cc(-c2cnc([C@@H]3CCCN3)nc2)cs1 ZINC000906155670 638066656 /nfs/dbraw/zinc/06/66/56/638066656.db2.gz OQEXUIGWBZFQKQ-NSHDSACASA-N 0 3 231.324 2.630 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cn(C3CC3)nn2)[C@@H](C)C1 ZINC000906295673 638133878 /nfs/dbraw/zinc/13/38/78/638133878.db2.gz DLVXQIJNAUZQLU-SUNKGSAMSA-N 0 3 248.374 2.527 20 0 BFADHN C[C@@H]1CCC[C@@H](NCc2cn(C3CC3)nn2)[C@@H]1C ZINC000906295453 638135398 /nfs/dbraw/zinc/13/53/98/638135398.db2.gz CVHLVCIZDKSDPZ-JTNHKYCSSA-N 0 3 248.374 2.527 20 0 BFADHN CCC[C@H](NCc1cn(C2CC2)nn1)C1CCC1 ZINC000906310020 638141122 /nfs/dbraw/zinc/14/11/22/638141122.db2.gz QAVVEYHOTVFDMX-AWEZNQCLSA-N 0 3 248.374 2.671 20 0 BFADHN CCOCCN(C)[C@@H]1C[C@@H]1c1cccc(F)c1 ZINC000906588284 638212922 /nfs/dbraw/zinc/21/29/22/638212922.db2.gz PKQQFVHWZWXXHF-ZIAGYGMSSA-N 0 3 237.318 2.650 20 0 BFADHN Cc1cc(C)nc(CN2[C@H](C)C[C@@H]2C)c1 ZINC000930274803 639679315 /nfs/dbraw/zinc/67/93/15/639679315.db2.gz YJGQUXXYZLGMPE-TXEJJXNPSA-N 0 3 204.317 2.681 20 0 BFADHN CSCCCN(C)Cc1cc(C)cc(C)n1 ZINC000930318139 639700623 /nfs/dbraw/zinc/70/06/23/639700623.db2.gz IOTVWTGXYCCSPO-UHFFFAOYSA-N 0 3 238.400 2.883 20 0 BFADHN CC[C@@H](CO)N1CC(C)(C)[C@H]1c1cccs1 ZINC000930495206 639783129 /nfs/dbraw/zinc/78/31/29/639783129.db2.gz WRRSNTPIMIZVDQ-CMPLNLGQSA-N 0 3 239.384 2.902 20 0 BFADHN COc1cccc(CN2C[C@@H]3C[C@H]2CS3)c1C ZINC000930705455 639871290 /nfs/dbraw/zinc/87/12/90/639871290.db2.gz IYFCHTFSVMTBQR-STQMWFEESA-N 0 3 249.379 2.693 20 0 BFADHN CC1CC(CN2CCC(=O)CC23CCC3)C1 ZINC000930741534 639890657 /nfs/dbraw/zinc/89/06/57/639890657.db2.gz QHVOMNMEDPOKBR-UHFFFAOYSA-N 0 3 221.344 2.620 20 0 BFADHN CCC(CC)NC(=O)[C@H](N)C1CCCCCC1 ZINC000911937258 639898869 /nfs/dbraw/zinc/89/88/69/639898869.db2.gz NJUZTIZVYGZOHL-CYBMUJFWSA-N 0 3 240.391 2.589 20 0 BFADHN CCN(CCSC)[C@@H](C)c1ccc(F)nc1 ZINC000930824135 639924413 /nfs/dbraw/zinc/92/44/13/639924413.db2.gz AMHOJSYEVRYAPH-JTQLQIEISA-N 0 3 242.363 2.967 20 0 BFADHN CC(F)(F)CCCN1C[C@H]2[C@@H](C1)C2(F)F ZINC000930862787 639952947 /nfs/dbraw/zinc/95/29/47/639952947.db2.gz DVSVXWZNAXVSAS-OCAPTIKFSA-N 0 3 225.229 2.619 20 0 BFADHN FCc1ccccc1CN1C[C@H]2[C@@H](C1)C2(F)F ZINC000930864476 639957259 /nfs/dbraw/zinc/95/72/59/639957259.db2.gz LZGWGFKNOYRMPP-TXEJJXNPSA-N 0 3 241.256 2.853 20 0 BFADHN CN(Cc1c[nH]cn1)CC1CCC2(CC2)CC1 ZINC000930917393 639987899 /nfs/dbraw/zinc/98/78/99/639987899.db2.gz WHRKHEVOBMIDNA-UHFFFAOYSA-N 0 3 233.359 2.812 20 0 BFADHN C[C@@H](NCCCc1cccnc1)c1cscn1 ZINC000930964863 640000853 /nfs/dbraw/zinc/00/08/53/640000853.db2.gz PSSLBIXUFQVNQL-LLVKDONJSA-N 0 3 247.367 2.822 20 0 BFADHN CCC[C@@H](CC)NC(=O)[C@H]1CCCN1C(C)C ZINC000930980186 640012241 /nfs/dbraw/zinc/01/22/41/640012241.db2.gz UMVRXIDDLROVLZ-CHWSQXEVSA-N 0 3 240.391 2.554 20 0 BFADHN CC(C)C[C@H](C)C[N@@H+]1CCC[C@H]1c1nnc[n-]1 ZINC000931140798 640073598 /nfs/dbraw/zinc/07/35/98/640073598.db2.gz ZGLKHABMUYDYDK-RYUDHWBXSA-N 0 3 236.363 2.624 20 0 BFADHN CC(C)C[C@H](C)C[N@H+]1CCC[C@H]1c1nnc[n-]1 ZINC000931140798 640073601 /nfs/dbraw/zinc/07/36/01/640073601.db2.gz ZGLKHABMUYDYDK-RYUDHWBXSA-N 0 3 236.363 2.624 20 0 BFADHN CC(C)C[C@H](C)CN1CCC[C@H]1c1nc[nH]n1 ZINC000931140798 640073602 /nfs/dbraw/zinc/07/36/02/640073602.db2.gz ZGLKHABMUYDYDK-RYUDHWBXSA-N 0 3 236.363 2.624 20 0 BFADHN COC[C@H](CN1Cc2ccc(O)cc2C1)C(C)C ZINC000931165813 640084187 /nfs/dbraw/zinc/08/41/87/640084187.db2.gz PJNLGTOZUFREEW-AWEZNQCLSA-N 0 3 249.354 2.626 20 0 BFADHN C[C@H]1CN(CCC2CCCC2)Cc2c[nH]nc21 ZINC000931459257 640202431 /nfs/dbraw/zinc/20/24/31/640202431.db2.gz NCZGUYOPMMUBNQ-NSHDSACASA-N 0 3 233.359 2.909 20 0 BFADHN C[C@H]1CN(CC2CC(C)(C)C2)Cc2c[nH]nc21 ZINC000931468399 640226552 /nfs/dbraw/zinc/22/65/52/640226552.db2.gz XOHYTJLNSAOQSA-JTQLQIEISA-N 0 3 233.359 2.765 20 0 BFADHN C[C@@H]1CN(CCCC(C)(F)F)Cc2c[nH]nc21 ZINC000931467533 640227563 /nfs/dbraw/zinc/22/75/63/640227563.db2.gz OSVITFLFXXRTGC-SECBINFHSA-N 0 3 243.301 2.764 20 0 BFADHN c1nc(-c2ccccc2)oc1CN1C[C@@H]2C[C@@H]2C1 ZINC000931710223 640329596 /nfs/dbraw/zinc/32/95/96/640329596.db2.gz PEHIBNNGJSWBMY-BETUJISGSA-N 0 3 240.306 2.793 20 0 BFADHN c1nc(CN[C@H]2CCCc3ccccc32)c[nH]1 ZINC000054763628 640404382 /nfs/dbraw/zinc/40/43/82/640404382.db2.gz LCDBKKOWAPIIRH-AWEZNQCLSA-N 0 3 227.311 2.577 20 0 BFADHN c1ncc(CN[C@H]2CCCc3ccccc32)[nH]1 ZINC000054763628 640404393 /nfs/dbraw/zinc/40/43/93/640404393.db2.gz LCDBKKOWAPIIRH-AWEZNQCLSA-N 0 3 227.311 2.577 20 0 BFADHN CC(C)c1ccc(CNCc2cnc[nH]2)cc1 ZINC000054765283 640408273 /nfs/dbraw/zinc/40/82/73/640408273.db2.gz AYDOHSJUJJOZGZ-UHFFFAOYSA-N 0 3 229.327 2.823 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCS[C@H](C(F)(F)F)C1 ZINC000932072871 640421338 /nfs/dbraw/zinc/42/13/38/640421338.db2.gz HTKVCYFWFBGFIH-HLTSFMKQSA-N 0 3 239.306 2.622 20 0 BFADHN C[C@H](C1CC1)N1CCS[C@@H](C(F)(F)F)C1 ZINC000932072890 640422972 /nfs/dbraw/zinc/42/29/72/640422972.db2.gz HWCGPSDATHAMSJ-VXNVDRBHSA-N 0 3 239.306 2.765 20 0 BFADHN Cc1cc(NC[C@@H]2CCC[C@@H](C)C2)nc(N)n1 ZINC000054982360 640425426 /nfs/dbraw/zinc/42/54/26/640425426.db2.gz DWHXVJFTKUGXIW-MWLCHTKSSA-N 0 3 234.347 2.605 20 0 BFADHN Cn1nccc1[C@H]1CCCN1[C@H]1C=CCCC1 ZINC000932267948 640467786 /nfs/dbraw/zinc/46/77/86/640467786.db2.gz HYBSTGAYRGICCA-GXTWGEPZSA-N 0 3 231.343 2.666 20 0 BFADHN CCCCCN[C@H](c1ncccn1)C1CC1 ZINC000932352034 640494103 /nfs/dbraw/zinc/49/41/03/640494103.db2.gz DDSNGKULHFHXAL-LBPRGKRZSA-N 0 3 219.332 2.708 20 0 BFADHN CC(C)=CCCN1CCn2cccc2C1 ZINC000932525674 640564576 /nfs/dbraw/zinc/56/45/76/640564576.db2.gz CKPYEZPYQUHZHX-UHFFFAOYSA-N 0 3 204.317 2.660 20 0 BFADHN CCN(C#N)CCN[C@@H](C)c1ccc(F)cc1C ZINC000932562155 640575054 /nfs/dbraw/zinc/57/50/54/640575054.db2.gz YNEMWGLTENXPLH-LBPRGKRZSA-N 0 3 249.333 2.588 20 0 BFADHN CC(=O)CN1C[C@@H](c2ccccc2)CC1(C)C ZINC000932898304 640641888 /nfs/dbraw/zinc/64/18/88/640641888.db2.gz KQQXXQDZSVIXKG-AWEZNQCLSA-N 0 3 231.339 2.844 20 0 BFADHN Cc1cc(NC[C@H](C)c2ccccc2)nc(N)n1 ZINC000045724251 640652506 /nfs/dbraw/zinc/65/25/06/640652506.db2.gz LCXMEPFCSFPMAC-JTQLQIEISA-N 0 3 242.326 2.583 20 0 BFADHN Cc1cc(CN2C[C@@H]3[C@@H]4CC[C@@H](C4)[C@]3(C)C2)no1 ZINC000933706264 640751053 /nfs/dbraw/zinc/75/10/53/640751053.db2.gz SWZBGHBMQUECJU-OSRDXIQISA-N 0 3 246.354 2.851 20 0 BFADHN c1cnc2c(c1)CC[C@H]2NCCOC1CCCC1 ZINC000226820911 640794508 /nfs/dbraw/zinc/79/45/08/640794508.db2.gz ALAUEMIRVYLEOA-CQSZACIVSA-N 0 3 246.354 2.618 20 0 BFADHN Cc1cccc2c1CCN(CCOC(C)C)C2 ZINC000170922510 640939770 /nfs/dbraw/zinc/93/97/70/640939770.db2.gz ULZRPLOGZMQOEM-UHFFFAOYSA-N 0 3 233.355 2.778 20 0 BFADHN c1cc(CNC[C@H]2CCC3(CCCC3)O2)co1 ZINC000229312098 640944402 /nfs/dbraw/zinc/94/44/02/640944402.db2.gz ZVPNDNZMRPCVOU-CYBMUJFWSA-N 0 3 235.327 2.861 20 0 BFADHN COC(=O)/C(C)=C/CN[C@@H](C)c1cccs1 ZINC000230417861 641054043 /nfs/dbraw/zinc/05/40/43/641054043.db2.gz XCJJLDHWJKFFKR-ZKXNXJMVSA-N 0 3 239.340 2.518 20 0 BFADHN CC[C@H](C)C[C@H](C)Nc1cc(C)nc(N)n1 ZINC000087836836 641065480 /nfs/dbraw/zinc/06/54/80/641065480.db2.gz NEFGKEWQTQURED-IUCAKERBSA-N 0 3 222.336 2.604 20 0 BFADHN CO[C@H]1CC[C@H]1N(C)Cc1ccc(C)c(F)c1 ZINC000934105506 641067070 /nfs/dbraw/zinc/06/70/70/641067070.db2.gz FPJGHBPDDPOQMM-KGLIPLIRSA-N 0 3 237.318 2.743 20 0 BFADHN CO[C@H]1CC[C@@H]1N(C)Cc1cc(F)ccc1C ZINC000934109587 641073528 /nfs/dbraw/zinc/07/35/28/641073528.db2.gz BDLPVHFQNZGONM-KBPBESRZSA-N 0 3 237.318 2.743 20 0 BFADHN Cc1ccc([C@H](C)NC2COC(C)(C)OC2)cc1 ZINC000230681332 641083137 /nfs/dbraw/zinc/08/31/37/641083137.db2.gz QHBUEXAFWGVKEG-LBPRGKRZSA-N 0 3 249.354 2.797 20 0 BFADHN CS[C@H]1CC[C@H](NCc2nccs2)C1 ZINC000230698102 641085554 /nfs/dbraw/zinc/08/55/54/641085554.db2.gz GXKASSOCQFIPSH-IUCAKERBSA-N 0 3 228.386 2.517 20 0 BFADHN CCCCC1(NCc2cnc(C)o2)CC1 ZINC000934200160 641098582 /nfs/dbraw/zinc/09/85/82/641098582.db2.gz GARSOHLGAUOEAW-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN CCCCC1(NCc2cn3cccnc3n2)CC1 ZINC000934208405 641103145 /nfs/dbraw/zinc/10/31/45/641103145.db2.gz IHLZGQSOGIUDFC-UHFFFAOYSA-N 0 3 244.342 2.542 20 0 BFADHN Cc1ncc(CN2CCCSC[C@H]2C)s1 ZINC000934296329 641117704 /nfs/dbraw/zinc/11/77/04/641117704.db2.gz DPCXBOLZFCSINA-SECBINFHSA-N 0 3 242.413 2.779 20 0 BFADHN CCCN(CCC)Cc1cnc(Cl)cn1 ZINC000231275242 641151208 /nfs/dbraw/zinc/15/12/08/641151208.db2.gz BWTVOMVVCYQLBK-UHFFFAOYSA-N 0 3 227.739 2.752 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cnc(Cl)cn1 ZINC000231283060 641155031 /nfs/dbraw/zinc/15/50/31/641155031.db2.gz KSRMNYVQDSBMFK-JTQLQIEISA-N 0 3 241.766 2.998 20 0 BFADHN CN(Cn1cc[nH]c1=S)C(C1CC1)C1CC1 ZINC000173332735 641169437 /nfs/dbraw/zinc/16/94/37/641169437.db2.gz NSANZOQOPYOPSE-UHFFFAOYSA-N 0 3 237.372 2.624 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(C)c(OC)c2)C1 ZINC000932974242 641170506 /nfs/dbraw/zinc/17/05/06/641170506.db2.gz PHVLZMRPBYRKDU-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@H](CN1CC[C@H](O)[C@H](F)C1)C1CCCCC1 ZINC000934565821 641195815 /nfs/dbraw/zinc/19/58/15/641195815.db2.gz NNXBOARPKSQRML-BNOWGMLFSA-N 0 3 243.366 2.608 20 0 BFADHN C[C@H](Cc1cccc(F)c1)NCc1ccno1 ZINC000231884654 641212462 /nfs/dbraw/zinc/21/24/62/641212462.db2.gz CRICVTYAFFEPRM-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCC(=O)c1ccccc1F ZINC000934617851 641214189 /nfs/dbraw/zinc/21/41/89/641214189.db2.gz OGWDWDDAKXFAOA-BXUZGUMPSA-N 0 3 247.313 2.953 20 0 BFADHN [O-]c1ccc(C[NH2+]CCCC2CC2)cc1F ZINC000232423584 641276343 /nfs/dbraw/zinc/27/63/43/641276343.db2.gz YPNKRUOUVUKTJB-UHFFFAOYSA-N 0 3 223.291 2.811 20 0 BFADHN c1cc(CN[C@H]2CCC[C@H](C3CC3)C2)no1 ZINC000088052777 641280805 /nfs/dbraw/zinc/28/08/05/641280805.db2.gz KEHBAYPSANWHOG-RYUDHWBXSA-N 0 3 220.316 2.733 20 0 BFADHN C[C@H](NC[C@@H](O)c1ccco1)c1ccc(F)cc1 ZINC000088264486 641330419 /nfs/dbraw/zinc/33/04/19/641330419.db2.gz RGZUABOQGNCFLO-GXFFZTMASA-N 0 3 249.285 2.803 20 0 BFADHN CCCCCC[C@@H](C)NC(=O)[C@@H](N)C(C)(C)C ZINC000040463701 641531771 /nfs/dbraw/zinc/53/17/71/641531771.db2.gz TZHWKUBZMDYULA-VXGBXAGGSA-N 0 3 242.407 2.835 20 0 BFADHN CCN(Cc1ccccc1)c1ccnc(CO)c1 ZINC000040865125 641536747 /nfs/dbraw/zinc/53/67/47/641536747.db2.gz YCBVLQOMBRDYQU-UHFFFAOYSA-N 0 3 242.322 2.600 20 0 BFADHN C[C@H](NCc1ccn(C)c1)c1ccnc(Cl)c1 ZINC000158533507 641638168 /nfs/dbraw/zinc/63/81/68/641638168.db2.gz WRJDZNWPVDPHQM-JTQLQIEISA-N 0 3 249.745 2.924 20 0 BFADHN CCCCCCNC(=O)CN(C)[C@H](C)CCC ZINC000048070988 641674637 /nfs/dbraw/zinc/67/46/37/641674637.db2.gz DQQNWDALMODIHC-CYBMUJFWSA-N 0 3 242.407 2.803 20 0 BFADHN Cc1cnn(C)c1CN(CCC(C)C)C1CC1 ZINC000347600626 641707022 /nfs/dbraw/zinc/70/70/22/641707022.db2.gz AVUUDWXRAUKOFX-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN Fc1ccc(CN2CCCCCC2)cn1 ZINC000189279567 641799485 /nfs/dbraw/zinc/79/94/85/641799485.db2.gz XZLFGRSBWVEUIQ-UHFFFAOYSA-N 0 3 208.280 2.597 20 0 BFADHN C[C@H](NCC1(C)OCCCO1)c1cccs1 ZINC000190670922 641880614 /nfs/dbraw/zinc/88/06/14/641880614.db2.gz HWRMRQUVXNZFBG-JTQLQIEISA-N 0 3 241.356 2.552 20 0 BFADHN CCCCCCC(=O)N(C)[C@@H]1CCCN(C)C1 ZINC000153797028 641891065 /nfs/dbraw/zinc/89/10/65/641891065.db2.gz HCRFCLAFKAQEPX-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN Cl/C=C/CN[C@@H]1CCO[C@H]1c1ccccc1 ZINC000191941211 641951786 /nfs/dbraw/zinc/95/17/86/641951786.db2.gz MIRCTTSVAHLDNB-IVBLRQQYSA-N 0 3 237.730 2.859 20 0 BFADHN Cc1ncc(CN2CCCCCCC2)cn1 ZINC000916985321 641990700 /nfs/dbraw/zinc/99/07/00/641990700.db2.gz XLDDGIXHGVSYTQ-UHFFFAOYSA-N 0 3 219.332 2.551 20 0 BFADHN CCCCC[C@@H](C)NC(=O)CN1CCC[C@@H]1C ZINC000154887613 642295196 /nfs/dbraw/zinc/29/51/96/642295196.db2.gz HSVVQELSVULBSP-OLZOCXBDSA-N 0 3 240.391 2.556 20 0 BFADHN CSC[C@@H]1CCCN(C/C=C/Cl)C1 ZINC000308165649 642477382 /nfs/dbraw/zinc/47/73/82/642477382.db2.gz AWZNQJGYMMYTSX-RXNUUUNCSA-N 0 3 219.781 2.814 20 0 BFADHN CN(CCCC#N)CCc1ccccc1F ZINC000068864171 642803500 /nfs/dbraw/zinc/80/35/00/642803500.db2.gz VDRVMBCVUMADOU-UHFFFAOYSA-N 0 3 220.291 2.604 20 0 BFADHN C[C@@H](CC(F)(F)F)N[C@@H]1CCCc2n[nH]cc21 ZINC000353684971 642926423 /nfs/dbraw/zinc/92/64/23/642926423.db2.gz HZCIVYMSHZYAED-IONNQARKSA-N 0 3 247.264 2.718 20 0 BFADHN Cc1cc(NC(C2CCC2)C2CCC2)nc(N)n1 ZINC000324886748 642946056 /nfs/dbraw/zinc/94/60/56/642946056.db2.gz RONKPGDBVPLHHY-UHFFFAOYSA-N 0 3 246.358 2.748 20 0 BFADHN Cc1csc([C@@H](C)NC2CCSCC2)n1 ZINC000070650058 643054512 /nfs/dbraw/zinc/05/45/12/643054512.db2.gz OPDZFAPCSHLBRA-SECBINFHSA-N 0 3 242.413 2.998 20 0 BFADHN CO[C@]1(C)C[C@@H](N(C)C/C=C/Cl)C1(C)C ZINC000076151581 643111784 /nfs/dbraw/zinc/11/17/84/643111784.db2.gz CFNULHSKSDBUDW-PWBFPFQSSA-N 0 3 231.767 2.874 20 0 BFADHN CC[C@H](NCc1ccco1)[C@H](O)c1ccccc1 ZINC000071686919 643167308 /nfs/dbraw/zinc/16/73/08/643167308.db2.gz DHDYLSWSOBJTRY-LSDHHAIUSA-N 0 3 245.322 2.881 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cn1cc[nH]c1=S ZINC000072918738 643333398 /nfs/dbraw/zinc/33/33/98/643333398.db2.gz AHWPUSSLHXQCSC-UWVGGRQHSA-N 0 3 225.361 2.624 20 0 BFADHN Cc1nc(CNCCCOC(C)C)sc1C ZINC000049831089 643472317 /nfs/dbraw/zinc/47/23/17/643472317.db2.gz VOWGVDGLGSROAX-UHFFFAOYSA-N 0 3 242.388 2.665 20 0 BFADHN CC1=CCN(C[C@@H]2CC2(Cl)Cl)CC1 ZINC000307447821 643476817 /nfs/dbraw/zinc/47/68/17/643476817.db2.gz QCFNLVUXZDNPAU-VIFPVBQESA-N 0 3 220.143 2.832 20 0 BFADHN CCc1cnc(CNCCC(C)(C)OC)s1 ZINC000234145449 643517971 /nfs/dbraw/zinc/51/79/71/643517971.db2.gz PXUBMVOBMJFURS-UHFFFAOYSA-N 0 3 242.388 2.610 20 0 BFADHN CCOc1ccc([C@H](C)NCc2cnc[nH]2)cc1 ZINC000054764829 643674429 /nfs/dbraw/zinc/67/44/29/643674429.db2.gz XQWUHTFHIKDYBI-NSHDSACASA-N 0 3 245.326 2.659 20 0 BFADHN CC(C)CCCCCCNCc1cn(C)nn1 ZINC000054859023 643676790 /nfs/dbraw/zinc/67/67/90/643676790.db2.gz KPDBLMAMGNZXDO-UHFFFAOYSA-N 0 3 238.379 2.511 20 0 BFADHN CO[C@H]1CCC[C@H]1NCc1cccc(F)c1F ZINC000050373087 643692456 /nfs/dbraw/zinc/69/24/56/643692456.db2.gz JRKNRJISEBLBDK-NEPJUHHUSA-N 0 3 241.281 2.622 20 0 BFADHN CO[C@H](C)CCNCc1cc(C)cnc1Cl ZINC000921655941 643704754 /nfs/dbraw/zinc/70/47/54/643704754.db2.gz PFDAHTDQWUGXAZ-SNVBAGLBSA-N 0 3 242.750 2.558 20 0 BFADHN Cc1cnc(Cl)c(CN[C@H]2C[C@H]2C)c1 ZINC000921686256 643739321 /nfs/dbraw/zinc/73/93/21/643739321.db2.gz PSYUKTFVQSSVHH-SCZZXKLOSA-N 0 3 210.708 2.541 20 0 BFADHN CCc1[nH]nc(Cl)c1CNCC1(C)CCC1 ZINC000921815716 643802389 /nfs/dbraw/zinc/80/23/89/643802389.db2.gz CWJYIWQIAYGGSU-UHFFFAOYSA-N 0 3 241.766 2.905 20 0 BFADHN C[C@@H](F)CCNCc1c(Cl)n[nH]c1C1CC1 ZINC000922148230 643871934 /nfs/dbraw/zinc/87/19/34/643871934.db2.gz FCLAZFLNJJQRLD-SSDOTTSWSA-N 0 3 245.729 2.778 20 0 BFADHN CCc1[nH]nc(Cl)c1CNC[C@@H]1C[C@H]1CC ZINC000922157572 643878716 /nfs/dbraw/zinc/87/87/16/643878716.db2.gz GATJHDBLKRHFDP-BDAKNGLRSA-N 0 3 241.766 2.761 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@@H]1C[C@H]2C[C@H]2C1 ZINC000922199832 643900911 /nfs/dbraw/zinc/90/09/11/643900911.db2.gz TZKONVYZNBGPPA-AYMMMOKOSA-N 0 3 239.750 2.514 20 0 BFADHN Cc1cnc(Cl)c(CN[C@@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000922260142 643926130 /nfs/dbraw/zinc/92/61/30/643926130.db2.gz VVXQHODRUBWJGA-ZSBIGDGJSA-N 0 3 236.746 2.932 20 0 BFADHN c1cc(CNCC2=CCCC2)n(C2CCC2)n1 ZINC000922261267 643931866 /nfs/dbraw/zinc/93/18/66/643931866.db2.gz PKPDGCYBXVEALJ-UHFFFAOYSA-N 0 3 231.343 2.808 20 0 BFADHN C/C=C/CNCc1cn(C)nc1C1CCCC1 ZINC000922293314 643958346 /nfs/dbraw/zinc/95/83/46/643958346.db2.gz JXINOEKSNYHXCR-ONEGZZNKSA-N 0 3 233.359 2.743 20 0 BFADHN C=C/C=C/CCNCc1c(Cl)n[nH]c1CC ZINC000922333351 643973940 /nfs/dbraw/zinc/97/39/40/643973940.db2.gz ZIWGXQMMTKIIFS-AATRIKPKSA-N 0 3 239.750 2.847 20 0 BFADHN O=c1[nH]cc(CNCC2(F)CC2)c2ccccc12 ZINC000922493470 644003338 /nfs/dbraw/zinc/00/33/38/644003338.db2.gz ILZUIBNGQSABNT-UHFFFAOYSA-N 0 3 246.285 2.532 20 0 BFADHN Cn1ccc(CNC(C)(C)/C=C/Cl)c1 ZINC000922648517 644052526 /nfs/dbraw/zinc/05/25/26/644052526.db2.gz MMQWPGNERMSRKR-AATRIKPKSA-N 0 3 212.724 2.646 20 0 BFADHN C[C@@H]1COC[C@H]1NCc1cc2cc(F)ccc2o1 ZINC000922642196 644056154 /nfs/dbraw/zinc/05/61/54/644056154.db2.gz SXFQERWJIZRCSV-NOZJJQNGSA-N 0 3 249.285 2.696 20 0 BFADHN C[C@@H]1Cc2cc(CNCC3(F)CC3)ccc2O1 ZINC000922701051 644070150 /nfs/dbraw/zinc/07/01/50/644070150.db2.gz APUOVXKMJZHDKA-SNVBAGLBSA-N 0 3 235.302 2.602 20 0 BFADHN C1=CCC(CCNCc2cn(C3CC3)cn2)C1 ZINC000922735390 644077759 /nfs/dbraw/zinc/07/77/59/644077759.db2.gz QRQSGKOJPHUUGJ-UHFFFAOYSA-N 0 3 231.343 2.664 20 0 BFADHN CCC[C@@H](O)CCNCc1ccccc1Cl ZINC000922825180 644101456 /nfs/dbraw/zinc/10/14/56/644101456.db2.gz HFXLDYJNBDBLCS-GFCCVEGCSA-N 0 3 241.762 2.981 20 0 BFADHN Cc1coc(CNC[C@@]2(C)CCCCO2)c1 ZINC000922819806 644109009 /nfs/dbraw/zinc/10/90/09/644109009.db2.gz ZQAHURPVNRGLHV-CYBMUJFWSA-N 0 3 223.316 2.637 20 0 BFADHN Cc1cnc(CN[C@H]2CCS[C@H](C)C2)s1 ZINC000922838713 644117470 /nfs/dbraw/zinc/11/74/70/644117470.db2.gz NXVSUAUDVDLCHC-SCZZXKLOSA-N 0 3 242.413 2.825 20 0 BFADHN Cc1nc(CN[C@@H]2CCS[C@H](C)C2)cs1 ZINC000922843356 644117790 /nfs/dbraw/zinc/11/77/90/644117790.db2.gz QNPPZVNYLPCCQT-PSASIEDQSA-N 0 3 242.413 2.825 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H]1CCCc2cccnc21 ZINC000922878588 644124434 /nfs/dbraw/zinc/12/44/34/644124434.db2.gz OIPDTYVYTQLGOB-GXTWGEPZSA-N 0 3 248.370 2.862 20 0 BFADHN CC(C)OC[C@H](C)N[C@H]1CCCc2cccnc21 ZINC000922884152 644125699 /nfs/dbraw/zinc/12/56/99/644125699.db2.gz OIPDTYVYTQLGOB-JSGCOSHPSA-N 0 3 248.370 2.862 20 0 BFADHN C[C@H]1CCN(C/C=C/Cl)CCS1 ZINC000192176839 644135032 /nfs/dbraw/zinc/13/50/32/644135032.db2.gz BEZSTJYXLOLGDO-LXOKAJLYSA-N 0 3 205.754 2.566 20 0 BFADHN C[C@H]1C[C@@H](NCc2ccon2)CC(C)(C)C1 ZINC000086452640 644139389 /nfs/dbraw/zinc/13/93/89/644139389.db2.gz YFIISXOYRMWGCP-CMPLNLGQSA-N 0 3 222.332 2.979 20 0 BFADHN C#CCN(C)[C@H]1CCc2c1cccc2Cl ZINC000192239511 644139442 /nfs/dbraw/zinc/13/94/42/644139442.db2.gz XICDZKVSGLCVJF-ZDUSSCGKSA-N 0 3 219.715 2.892 20 0 BFADHN CCn1ccnc1CNCC1CCC=CCC1 ZINC000922965875 644199931 /nfs/dbraw/zinc/19/99/31/644199931.db2.gz BDERBPJKLFSREL-UHFFFAOYSA-N 0 3 233.359 2.739 20 0 BFADHN C[C@@H]1CCC[C@@H](C[NH2+]Cc2cncc([O-])c2)C1 ZINC000922975252 644205415 /nfs/dbraw/zinc/20/54/15/644205415.db2.gz CKXHPTCMXFEHTH-VXGBXAGGSA-N 0 3 234.343 2.703 20 0 BFADHN C[C@H]1CCC[C@H](C[NH2+]Cc2cncc([O-])c2)C1 ZINC000922975250 644206903 /nfs/dbraw/zinc/20/69/03/644206903.db2.gz CKXHPTCMXFEHTH-RYUDHWBXSA-N 0 3 234.343 2.703 20 0 BFADHN C[C@H]1CC(C)(C)C[C@H]1[NH2+]Cc1cncc([O-])c1 ZINC000922976345 644207569 /nfs/dbraw/zinc/20/75/69/644207569.db2.gz WJNNYCRBHOMXPI-GXFFZTMASA-N 0 3 234.343 2.702 20 0 BFADHN O=c1[nH]ccc2oc(CN[C@]34C[C@H]3CCC4)cc21 ZINC000923021717 644234634 /nfs/dbraw/zinc/23/46/34/644234634.db2.gz XBLYTYWMAOJLHA-YMTOWFKASA-N 0 3 244.294 2.566 20 0 BFADHN C[C@@H]1CN(CCc2cccs2)CC(C)(C)O1 ZINC000195314329 644375517 /nfs/dbraw/zinc/37/55/17/644375517.db2.gz QJSZRBWEZDDVSC-LLVKDONJSA-N 0 3 239.384 2.790 20 0 BFADHN CCCCCCN1CCOC[C@H]1C1CC1 ZINC000090007251 644575684 /nfs/dbraw/zinc/57/56/84/644575684.db2.gz TWTGDTSFMMJQGE-ZDUSSCGKSA-N 0 3 211.349 2.678 20 0 BFADHN CCCCCCn1cc(CNC(C)(C)C)nn1 ZINC000090298029 644588069 /nfs/dbraw/zinc/58/80/69/644588069.db2.gz XSBQQVRDAQFIQE-UHFFFAOYSA-N 0 3 238.379 2.747 20 0 BFADHN CN(C1CCCC1)C(C)(C)C(=O)OCC1CC1 ZINC000754870334 644614482 /nfs/dbraw/zinc/61/44/82/644614482.db2.gz VTEIHJQOYDLRBW-UHFFFAOYSA-N 0 3 239.359 2.593 20 0 BFADHN C#CCOc1ccccc1CN1CCC1(C)C ZINC000093460386 644688970 /nfs/dbraw/zinc/68/89/70/644688970.db2.gz FYGPARLHSHYCDR-UHFFFAOYSA-N 0 3 229.323 2.683 20 0 BFADHN CCO[C@H]1C[C@@H](N(C)Cc2ccoc2)C1(C)C ZINC000093605354 644696668 /nfs/dbraw/zinc/69/66/68/644696668.db2.gz DCRVAJBVNSTQGY-OLZOCXBDSA-N 0 3 237.343 2.915 20 0 BFADHN Cc1ccc2c(c1)[C@H](N[C@H]1CCCSC1)CO2 ZINC000783517198 644756795 /nfs/dbraw/zinc/75/67/95/644756795.db2.gz GJYBWNWBKHBENF-WCQYABFASA-N 0 3 249.379 2.914 20 0 BFADHN CCCCOC(=O)[C@H](C)N(CCC)CC1CC1 ZINC000303938911 644857871 /nfs/dbraw/zinc/85/78/71/644857871.db2.gz SFZGQVFYNBWLOD-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN CCCOC(=O)[C@@H](C)N1CCCC[C@@H]1C(C)C ZINC000303938843 644858084 /nfs/dbraw/zinc/85/80/84/644858084.db2.gz SFQNEHWQIDRQHH-CHWSQXEVSA-N 0 3 241.375 2.839 20 0 BFADHN CCN(CN1C(=O)CCC1(C)C)C1CCCC1 ZINC000808140579 644875792 /nfs/dbraw/zinc/87/57/92/644875792.db2.gz BESLAPVDRRDKBM-UHFFFAOYSA-N 0 3 238.375 2.609 20 0 BFADHN CC[C@]1(C)CC(=O)N(CN(C)[C@H](C)C(C)C)C1 ZINC000808139426 644876572 /nfs/dbraw/zinc/87/65/72/644876572.db2.gz GPSUZEXPPDYKKL-TZMCWYRMSA-N 0 3 240.391 2.569 20 0 BFADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](CC)OC ZINC000810261250 644897666 /nfs/dbraw/zinc/89/76/66/644897666.db2.gz UKZHOTOVWGRLBV-MWLCHTKSSA-N 0 3 240.347 2.621 20 0 BFADHN CCc1noc(C)c1[C@H](C)NC[C@H](CC)OC ZINC000810261252 644897830 /nfs/dbraw/zinc/89/78/30/644897830.db2.gz UKZHOTOVWGRLBV-ONGXEEELSA-N 0 3 240.347 2.621 20 0 BFADHN CCc1nc(CN[C@@H]2C[C@H]3C=C[C@@H]2CC3)co1 ZINC000926536046 645260502 /nfs/dbraw/zinc/26/05/02/645260502.db2.gz IRXJFIXBIQOCPO-DMDPSCGWSA-N 0 3 232.327 2.681 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@@H]1CCC[C@@H]1C1CC1 ZINC000926577521 645295968 /nfs/dbraw/zinc/29/59/68/645295968.db2.gz XEGCYRIUGZRMMM-TVYUQYBPSA-N 0 3 249.358 2.855 20 0 BFADHN C[C@H]([NH2+][C@H]1CC1(C)C)c1cc([O-])cc(F)c1 ZINC000926580425 645300174 /nfs/dbraw/zinc/30/01/74/645300174.db2.gz RQXNXCCBLXYDMB-UFBFGSQYSA-N 0 3 223.291 2.980 20 0 BFADHN CC(=O)CCN1CC[C@@](C)(c2cccc(F)c2)C1 ZINC000844360520 645323017 /nfs/dbraw/zinc/32/30/17/645323017.db2.gz OHYOGNXGHLURLQ-OAHLLOKOSA-N 0 3 249.329 2.768 20 0 BFADHN CC[C@H](C)C[C@H](CO)N[C@@H](C)c1ccns1 ZINC000926635229 645325948 /nfs/dbraw/zinc/32/59/48/645325948.db2.gz OKIMCUAASXNHSF-GARJFASQSA-N 0 3 242.388 2.591 20 0 BFADHN CC[C@H](C)C[C@H](CO)N[C@H](C)c1ccns1 ZINC000926635230 645326086 /nfs/dbraw/zinc/32/60/86/645326086.db2.gz OKIMCUAASXNHSF-HBNTYKKESA-N 0 3 242.388 2.591 20 0 BFADHN Cc1nonc1[C@H](C)NCC1CCC(F)CC1 ZINC000926640536 645326851 /nfs/dbraw/zinc/32/68/51/645326851.db2.gz YYTFSGXCGBYFGD-PUSIOWJLSA-N 0 3 241.310 2.557 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2CCC[C@H]3C[C@H]32)nn1 ZINC000926638358 645327263 /nfs/dbraw/zinc/32/72/63/645327263.db2.gz IGHAMEDYXLFEDO-WUHRBBMRSA-N 0 3 248.374 2.698 20 0 BFADHN COc1cc([C@@H](C)N[C@H](C)C(C)(C)C)on1 ZINC000926661432 645343295 /nfs/dbraw/zinc/34/32/95/645343295.db2.gz WVPVCKHWWFBZKW-RKDXNWHRSA-N 0 3 226.320 2.768 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@H](C)C(C)(C)C)nn1 ZINC000926662105 645343538 /nfs/dbraw/zinc/34/35/38/645343538.db2.gz YVEFDDFNJKJKIB-WDEREUQCSA-N 0 3 238.379 2.944 20 0 BFADHN CCC(C)(C)[C@@H](C)N[C@H](C)c1cc(CO)on1 ZINC000926684923 645355294 /nfs/dbraw/zinc/35/52/94/645355294.db2.gz FXUHGLNTHPVTFV-NXEZZACHSA-N 0 3 240.347 2.642 20 0 BFADHN CC[C@H](C)CCN[C@H](C)c1nn(CC)nc1C ZINC000926688988 645357852 /nfs/dbraw/zinc/35/78/52/645357852.db2.gz HSPQCTQIQYAYOY-WDEREUQCSA-N 0 3 238.379 2.693 20 0 BFADHN CC[C@@H](C)CCN[C@H](C)c1nn(CC)nc1C ZINC000926688981 645358606 /nfs/dbraw/zinc/35/86/06/645358606.db2.gz HSPQCTQIQYAYOY-GHMZBOCLSA-N 0 3 238.379 2.693 20 0 BFADHN COc1cc([C@@H](C)NCCCC2CCC2)on1 ZINC000926703157 645369592 /nfs/dbraw/zinc/36/95/92/645369592.db2.gz CZKVHGWOOBEXDL-SNVBAGLBSA-N 0 3 238.331 2.914 20 0 BFADHN CC(C)(C)CC(=O)OC[C@@H]1CCN1C(C)(C)C ZINC000845740759 645384911 /nfs/dbraw/zinc/38/49/11/645384911.db2.gz SQMLCDDHBYJOEL-NSHDSACASA-N 0 3 241.375 2.839 20 0 BFADHN C[C@H](N[C@]1(C)CC1(C)C)c1ccns1 ZINC000926722343 645385105 /nfs/dbraw/zinc/38/51/05/645385105.db2.gz SJFLKEOPNFDUEU-GZMMTYOYSA-N 0 3 210.346 2.982 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1ccns1)OCC ZINC000926743271 645398341 /nfs/dbraw/zinc/39/83/41/645398341.db2.gz YAZISQWBMGBLCZ-MNOVXSKESA-N 0 3 242.388 2.999 20 0 BFADHN C/C=C/CN[C@@H](C)c1cccc2c1OCO2 ZINC000926769671 645415116 /nfs/dbraw/zinc/41/51/16/645415116.db2.gz IGERVOIXGVLRNF-FSIBCCDJSA-N 0 3 219.284 2.642 20 0 BFADHN C/C=C\CN[C@H](C)c1ccnn1C1CCC1 ZINC000926770600 645417636 /nfs/dbraw/zinc/41/76/36/645417636.db2.gz SAUATUFTJQMCLD-DLRQAJBASA-N 0 3 219.332 2.835 20 0 BFADHN COc1cc([C@@H](C)N[C@H]2CCCC23CC3)on1 ZINC000926776739 645422308 /nfs/dbraw/zinc/42/23/08/645422308.db2.gz FOAZCCSLTLVMRL-KOLCDFICSA-N 0 3 236.315 2.667 20 0 BFADHN C[C@H](NC[C@H]1CCCC12CC2)c1ncco1 ZINC000926775231 645422543 /nfs/dbraw/zinc/42/25/43/645422543.db2.gz GOMFFRPATQMOOO-WDEREUQCSA-N 0 3 220.316 2.906 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2CCCC23CC3)nn1 ZINC000926776503 645423450 /nfs/dbraw/zinc/42/34/50/645423450.db2.gz CKHNOOSXWAVYCI-WCQYABFASA-N 0 3 248.374 2.842 20 0 BFADHN COc1cc([C@H](C)NCCCCC2CC2)on1 ZINC000926801595 645438655 /nfs/dbraw/zinc/43/86/55/645438655.db2.gz OBUNDBPVLPVFKM-JTQLQIEISA-N 0 3 238.331 2.914 20 0 BFADHN C[C@H]1COC[C@@H]1NCc1ccc(C(C)(C)C)o1 ZINC000926804305 645439124 /nfs/dbraw/zinc/43/91/24/645439124.db2.gz XFZZWGZEZPCWFS-JQWIXIFHSA-N 0 3 237.343 2.702 20 0 BFADHN C[C@@H](NCCC[C@@H]1C=CCC1)c1cn(C)cn1 ZINC000926824917 645445000 /nfs/dbraw/zinc/44/50/00/645445000.db2.gz LKRCZQFSBXKEEL-CHWSQXEVSA-N 0 3 233.359 2.817 20 0 BFADHN C[C@H]1[C@H](C)OC[C@H]1NCc1cscc1Cl ZINC000926865793 645463954 /nfs/dbraw/zinc/46/39/54/645463954.db2.gz RDRDJUHGGCXSAN-DKCNOQQISA-N 0 3 245.775 2.915 20 0 BFADHN COc1cc([C@@H](C)NC2(C3CC3)CCC2)on1 ZINC000926874310 645468197 /nfs/dbraw/zinc/46/81/97/645468197.db2.gz OHTQDCDWOGAVFH-SECBINFHSA-N 0 3 236.315 2.667 20 0 BFADHN CCC[C@@H](O)CCN[C@@H](C)c1ccc(C)o1 ZINC000927031171 645529554 /nfs/dbraw/zinc/52/95/54/645529554.db2.gz ZBUKEVZFCHHSEX-NWDGAFQWSA-N 0 3 225.332 2.790 20 0 BFADHN Cc1ccc(NCCN[C@H](C)c2ccoc2)nc1 ZINC000927074036 645538345 /nfs/dbraw/zinc/53/83/45/645538345.db2.gz YUGUJGNYVFCXSC-GFCCVEGCSA-N 0 3 245.326 2.746 20 0 BFADHN C[C@@H](NC1CC(C)C1)c1cn2c(n1)CCCC2 ZINC000925491277 645539824 /nfs/dbraw/zinc/53/98/24/645539824.db2.gz QLICURVIQAAFKM-MOENNCHZSA-N 0 3 233.359 2.669 20 0 BFADHN COC[C@H](CN[C@@H](C)c1cscn1)C(C)C ZINC000927097717 645549979 /nfs/dbraw/zinc/54/99/79/645549979.db2.gz SGZDLEGARDYDQX-QWRGUYRKSA-N 0 3 242.388 2.712 20 0 BFADHN CCCC[C@@H](C)NCc1cc(C2CC2)n(C)n1 ZINC000927113612 645553531 /nfs/dbraw/zinc/55/35/31/645553531.db2.gz KYGCHQPUPGVTJY-LLVKDONJSA-N 0 3 235.375 2.966 20 0 BFADHN C[C@H]1C[C@@H](NCC2CCC=CCC2)c2ncnn21 ZINC000927120513 645554861 /nfs/dbraw/zinc/55/48/61/645554861.db2.gz GHYJILMBXILJHU-WCQYABFASA-N 0 3 246.358 2.620 20 0 BFADHN Cc1nonc1[C@@H](C)NCC1CCC=CCC1 ZINC000927122462 645558330 /nfs/dbraw/zinc/55/83/30/645558330.db2.gz QPIUOJWRXNKPNG-SNVBAGLBSA-N 0 3 235.331 2.775 20 0 BFADHN CCC1CN(C[C@@H]2CC2(Cl)Cl)C1 ZINC000877578816 645800201 /nfs/dbraw/zinc/80/02/01/645800201.db2.gz JDAABELIXZEVTB-QMMMGPOBSA-N 0 3 208.132 2.522 20 0 BFADHN CCOCCN(C)Cc1ccccc1CF ZINC000930176886 645860082 /nfs/dbraw/zinc/86/00/82/645860082.db2.gz GGALEOKBUNDYLH-UHFFFAOYSA-N 0 3 225.307 2.624 20 0 BFADHN Cn1cc(CN[C@@H](c2ccccc2)C2CC2)cn1 ZINC000035053223 645895767 /nfs/dbraw/zinc/89/57/67/645895767.db2.gz NETKJWIDIYPPFE-HNNXBMFYSA-N 0 3 241.338 2.661 20 0 BFADHN CC(C)N1CCC[C@@H]1C(=O)N(C)c1ccccc1 ZINC000929122121 645955354 /nfs/dbraw/zinc/95/53/54/645955354.db2.gz QDAQSKVJEZLCLB-CQSZACIVSA-N 0 3 246.354 2.522 20 0 BFADHN COC(=O)/C=C(\C)CN(C)[C@@H](C)c1ccccc1 ZINC000929175651 645988448 /nfs/dbraw/zinc/98/84/48/645988448.db2.gz VBAZBIZEXXLYAO-XSNHNAGMSA-N 0 3 247.338 2.799 20 0 BFADHN CCOc1ccc(CN(C)CCOC2CC2)cc1 ZINC000929209445 646007070 /nfs/dbraw/zinc/00/70/70/646007070.db2.gz QRDAVZQRTKOHLI-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN Cc1nnsc1[C@@H](C)N1C[C@H](C)C[C@H](C)C1 ZINC000929213773 646008657 /nfs/dbraw/zinc/00/86/57/646008657.db2.gz BFCGQEYFDRWHPJ-WCABBAIRSA-N 0 3 239.388 2.885 20 0 BFADHN CCCCN(Cc1cc(C)nnc1C)C1CC1 ZINC000929265889 646045465 /nfs/dbraw/zinc/04/54/65/646045465.db2.gz CWPGOAASMBIWRL-UHFFFAOYSA-N 0 3 233.359 2.858 20 0 BFADHN CCC[C@@H](C)N[C@@H](C)c1cn(C(C)C)nn1 ZINC000924528542 646048219 /nfs/dbraw/zinc/04/82/19/646048219.db2.gz NKFXCPPNHLPTIE-MNOVXSKESA-N 0 3 224.352 2.698 20 0 BFADHN CC(C)CCC[C@H](C)N[C@H](C)c1cnn(C)n1 ZINC000924529278 646050814 /nfs/dbraw/zinc/05/08/14/646050814.db2.gz OENMAESWGLBRHG-NWDGAFQWSA-N 0 3 238.379 2.681 20 0 BFADHN C[C@H](c1cccs1)N(C)CCOC1CC1 ZINC000929285239 646056135 /nfs/dbraw/zinc/05/61/35/646056135.db2.gz ZOQDUYMLSVKONT-SNVBAGLBSA-N 0 3 225.357 2.920 20 0 BFADHN CCn1nc(C)c([C@@H](C)NC2CCCCC2)n1 ZINC000924543511 646064538 /nfs/dbraw/zinc/06/45/38/646064538.db2.gz RVUNVPKFHGGUIV-SNVBAGLBSA-N 0 3 236.363 2.590 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N[C@H](C)c1nonc1C ZINC000924620093 646078199 /nfs/dbraw/zinc/07/81/99/646078199.db2.gz LNWZCPRSFSUGOL-JLLWLGSASA-N 0 3 237.347 2.997 20 0 BFADHN C[C@@H](NCc1ccc(Cl)nc1)C1(Cl)CC1 ZINC000924710691 646093605 /nfs/dbraw/zinc/09/36/05/646093605.db2.gz AUGIBDBUMUIYHS-MRVPVSSYSA-N 0 3 245.153 2.985 20 0 BFADHN CC[C@H](C[C@](C)(O)CC)NCc1nccs1 ZINC000924728464 646097223 /nfs/dbraw/zinc/09/72/23/646097223.db2.gz IJCMWNONOXCDCV-ZYHUDNBSSA-N 0 3 242.388 2.562 20 0 BFADHN Cc1nc2c(o1)CCC[C@H]2NC[C@H](C)C1CC1 ZINC000924827543 646114734 /nfs/dbraw/zinc/11/47/34/646114734.db2.gz JEWHJXAKIOAOLI-JOYOIKCWSA-N 0 3 234.343 2.996 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@H](C)C1(Cl)CC1 ZINC000924948746 646137058 /nfs/dbraw/zinc/13/70/58/646137058.db2.gz JBEBCOFJGDPYRB-PSASIEDQSA-N 0 3 241.766 2.539 20 0 BFADHN CSC[C@H](C)[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000925099184 646184371 /nfs/dbraw/zinc/18/43/71/646184371.db2.gz WVRUBXZDSZARIC-DTWKUNHWSA-N 0 3 243.347 2.933 20 0 BFADHN CC[C@@H]1CCC[C@H](N[C@@H](C)c2nonc2C)C1 ZINC000925120041 646188009 /nfs/dbraw/zinc/18/80/09/646188009.db2.gz HTBOVBMJMAMBQF-WCQGTBRESA-N 0 3 237.347 2.997 20 0 BFADHN Cc1nonc1[C@@H](C)N[C@H]1C[C@H](C)C[C@H](C)C1 ZINC000925115248 646188556 /nfs/dbraw/zinc/18/85/56/646188556.db2.gz ISLSZSXVOJUAEE-KLBPJQLPSA-N 0 3 237.347 2.853 20 0 BFADHN COC(C)(C)C[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000925268312 646225259 /nfs/dbraw/zinc/22/52/59/646225259.db2.gz ARTBJCFBHRMERO-VIFPVBQESA-N 0 3 241.306 2.607 20 0 BFADHN CCC[C@H](CC)N[C@H](C)c1nonc1C ZINC000925354965 646251244 /nfs/dbraw/zinc/25/12/44/646251244.db2.gz ZDBVBCVKBUMGER-SCZZXKLOSA-N 0 3 211.309 2.607 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@H]2CCC[C@H]2C)nn1 ZINC000925371309 646253386 /nfs/dbraw/zinc/25/33/86/646253386.db2.gz OSJXMJCSPLNMPM-WOPDTQHZSA-N 0 3 236.363 2.698 20 0 BFADHN CC[C@@H]1CN(CCc2ccns2)CCS1 ZINC000929753138 646282298 /nfs/dbraw/zinc/28/22/98/646282298.db2.gz MENDLYXFYAAIDX-SNVBAGLBSA-N 0 3 242.413 2.513 20 0 BFADHN COc1cc([C@@H](C)NCCCC2CC2)on1 ZINC000925436313 646282622 /nfs/dbraw/zinc/28/26/22/646282622.db2.gz XEFSGYWGZPJEPS-SECBINFHSA-N 0 3 224.304 2.524 20 0 BFADHN CCc1ncc(CN2C[C@@H](C)CC[C@H]2C)o1 ZINC000929758186 646290823 /nfs/dbraw/zinc/29/08/23/646290823.db2.gz XEESBNUPJPFZFK-WDEREUQCSA-N 0 3 222.332 2.857 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@H](CC(C)C)C1 ZINC000929763820 646293549 /nfs/dbraw/zinc/29/35/49/646293549.db2.gz WNQOCFFNRPKWCD-CYBMUJFWSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1nnsc1[C@H](C)N1CC[C@@H](C)[C@@H]1C ZINC000929785127 646300827 /nfs/dbraw/zinc/30/08/27/646300827.db2.gz MSLSHDQHOCXFIS-JEZHCXPESA-N 0 3 225.361 2.638 20 0 BFADHN CCC1(CN[C@H](C)c2cc(OC)no2)CC1 ZINC000925497763 646302194 /nfs/dbraw/zinc/30/21/94/646302194.db2.gz JEHGDYLPRQDTMG-SECBINFHSA-N 0 3 224.304 2.524 20 0 BFADHN CCC1(CN[C@H](C)c2cn(C(C)C)nn2)CC1 ZINC000925499300 646304551 /nfs/dbraw/zinc/30/45/51/646304551.db2.gz WZHIXZASZGRRSK-LLVKDONJSA-N 0 3 236.363 2.700 20 0 BFADHN CSC1CC(N[C@H]2COc3ccc(C)cc32)C1 ZINC000925533830 646318792 /nfs/dbraw/zinc/31/87/92/646318792.db2.gz HCTRXJJQKKZPGL-XIVSLSHWSA-N 0 3 249.379 2.912 20 0 BFADHN FCCCN[C@H]1CCc2c1nccc2Cl ZINC000925557389 646332233 /nfs/dbraw/zinc/33/22/33/646332233.db2.gz PCJCCRFANIEZGL-JTQLQIEISA-N 0 3 228.698 2.672 20 0 BFADHN Cc1nnsc1[C@@H](C)N1CCC2(CCC2)C1 ZINC000929827331 646341067 /nfs/dbraw/zinc/34/10/67/646341067.db2.gz CBKGSONRUMKBAZ-SNVBAGLBSA-N 0 3 237.372 2.784 20 0 BFADHN CC[C@H]1CCN([C@@H](C)c2snnc2C)C1 ZINC000929824417 646342735 /nfs/dbraw/zinc/34/27/35/646342735.db2.gz WPJJPHIJQWOXTQ-UWVGGRQHSA-N 0 3 225.361 2.639 20 0 BFADHN CCc1ncc(CN2[C@H](C)CC[C@@H]2C)o1 ZINC000929827918 646343286 /nfs/dbraw/zinc/34/32/86/646343286.db2.gz FNEJTNLKWBTAMF-AOOOYVTPSA-N 0 3 208.305 2.610 20 0 BFADHN COC(C)(C)CN(C)Cc1cc(C)cc(C)n1 ZINC000929829165 646344959 /nfs/dbraw/zinc/34/49/59/646344959.db2.gz OZLNTMCQHUGFFR-UHFFFAOYSA-N 0 3 236.359 2.555 20 0 BFADHN CS[C@@H](C)C[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000925600065 646353080 /nfs/dbraw/zinc/35/30/80/646353080.db2.gz DHYDSAKNLRWIDK-IUCAKERBSA-N 0 3 243.347 2.933 20 0 BFADHN COC/C(C)=C\CNC1(c2ccc(F)cc2)CC1 ZINC000929970864 646380977 /nfs/dbraw/zinc/38/09/77/646380977.db2.gz INZUBNBWCHFLIT-GHXNOFRVSA-N 0 3 249.329 2.997 20 0 BFADHN CCC(CC)(CC)NCc1nncs1 ZINC000929995385 646386764 /nfs/dbraw/zinc/38/67/64/646386764.db2.gz FRPUPGCQBAZZGS-UHFFFAOYSA-N 0 3 213.350 2.597 20 0 BFADHN CSCC[C@H](C)N(C)Cc1[nH]c(C)nc1C ZINC000929986808 646386958 /nfs/dbraw/zinc/38/69/58/646386958.db2.gz LQOCQSOCKRKWGX-VIFPVBQESA-N 0 3 241.404 2.600 20 0 BFADHN Cn1ccc(CN[C@H]2[C@@H]3CCCC[C@@H]3C2(C)C)n1 ZINC000925660928 646396646 /nfs/dbraw/zinc/39/66/46/646396646.db2.gz RBVZXEXJJFIJQF-RDBSUJKOSA-N 0 3 247.386 2.725 20 0 BFADHN c1cc(SCCN2[C@H]3C=CC[C@@H]2CC3)ccn1 ZINC000930078004 646422576 /nfs/dbraw/zinc/42/25/76/646422576.db2.gz WXFQBMZYTYCLSO-QWHCGFSZSA-N 0 3 246.379 2.967 20 0 BFADHN Cc1cc(C)nc(CN2CC[C@@H](C(F)F)C2)c1 ZINC000930126240 646449841 /nfs/dbraw/zinc/44/98/41/646449841.db2.gz MULURVVUOIMSCW-LLVKDONJSA-N 0 3 240.297 2.785 20 0 BFADHN Cc1nnsc1[C@H](C)N1CC[C@H](C)[C@@H](C)C1 ZINC000930145193 646456354 /nfs/dbraw/zinc/45/63/54/646456354.db2.gz IFLCYFFECORAKK-QXEWZRGKSA-N 0 3 239.388 2.885 20 0 BFADHN Cc1cc([C@H](C)NCc2cc(N)ccn2)cs1 ZINC000925767474 646466676 /nfs/dbraw/zinc/46/66/76/646466676.db2.gz POSAVFXASZQRMG-JTQLQIEISA-N 0 3 247.367 2.885 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@@H](C)C2(CCC2)C1 ZINC000930172112 646472632 /nfs/dbraw/zinc/47/26/32/646472632.db2.gz ZRLSBTIBFCVIIK-CYBMUJFWSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1ccc([C@H](C)NCc2cc(N)ccn2)s1 ZINC000925771506 646473662 /nfs/dbraw/zinc/47/36/62/646473662.db2.gz MJTUXXKPBFSHDZ-JTQLQIEISA-N 0 3 247.367 2.885 20 0 BFADHN Cc1nnc([C@@H](C)N[C@H]2C[C@H]3C=C[C@@H]2CC3)s1 ZINC000926162239 646587379 /nfs/dbraw/zinc/58/73/79/646587379.db2.gz AKXMHJXJZUNYOP-KLHWPWHYSA-N 0 3 249.383 2.852 20 0 BFADHN COc1cc([C@H](C)N[C@@H](C)CCC2CC2)on1 ZINC000926196729 646592370 /nfs/dbraw/zinc/59/23/70/646592370.db2.gz RHDBCFPDHOVEKQ-UWVGGRQHSA-N 0 3 238.331 2.913 20 0 BFADHN CC(C)C(C)(C)CN[C@H]1C[C@@H](C)n2ncnc21 ZINC000926242462 646602468 /nfs/dbraw/zinc/60/24/68/646602468.db2.gz HYCHJVPWAFBFHM-MNOVXSKESA-N 0 3 236.363 2.556 20 0 BFADHN Cc1nonc1[C@@H](C)NCC(C(C)C)C(C)C ZINC000926247432 646603968 /nfs/dbraw/zinc/60/39/68/646603968.db2.gz ASDMGRJLLROHGH-SNVBAGLBSA-N 0 3 239.363 2.957 20 0 BFADHN CCOC[C@H](N[C@@H](C)c1ccns1)C(C)C ZINC000926257934 646605414 /nfs/dbraw/zinc/60/54/14/646605414.db2.gz HMQJYQUUKRQUHT-QWRGUYRKSA-N 0 3 242.388 2.855 20 0 BFADHN CCC(C)(C)CN[C@H](C)c1cc(OC)no1 ZINC000926282426 646610031 /nfs/dbraw/zinc/61/00/31/646610031.db2.gz VOCRDIXHUCPUPA-SECBINFHSA-N 0 3 226.320 2.770 20 0 BFADHN CC(C)n1cc([C@H](C)NCCC2(C)CC2)nn1 ZINC000926326737 646617550 /nfs/dbraw/zinc/61/75/50/646617550.db2.gz PKRICJKIAXYCDR-NSHDSACASA-N 0 3 236.363 2.700 20 0 BFADHN CO[C@@H](C)[C@@H](C)[NH2+][C@@H](C)c1cc([O-])cc(F)c1 ZINC000926452409 646641390 /nfs/dbraw/zinc/64/13/90/646641390.db2.gz HCZGSJRCEZYWTK-UTLUCORTSA-N 0 3 241.306 2.605 20 0 BFADHN CCc1nnc(CN[C@H]2C[C@H]3C=C[C@@H]2CC3)s1 ZINC000926462172 646647205 /nfs/dbraw/zinc/64/72/05/646647205.db2.gz WEMOATOAJWIULJ-AXFHLTTASA-N 0 3 249.383 2.545 20 0 BFADHN C[C@H](F)CCN[C@@H](C)c1cn2c(n1)CCCC2 ZINC000926486407 646657372 /nfs/dbraw/zinc/65/73/72/646657372.db2.gz RBVRWUSMSZRMAW-QWRGUYRKSA-N 0 3 239.338 2.618 20 0 BFADHN COc1cc([C@H](C)NCCCC(C)(F)F)on1 ZINC000926497446 646662118 /nfs/dbraw/zinc/66/21/18/646662118.db2.gz XJRQQNRETVOVGA-QMMMGPOBSA-N 0 3 248.273 2.769 20 0 BFADHN C1=CCC(CCNCc2cscn2)C1 ZINC000724193077 711568145 /nfs/dbraw/zinc/56/81/45/711568145.db2.gz BYZXAXITCWLQNG-UHFFFAOYSA-N 0 3 208.330 2.589 20 0 BFADHN CCCCC1(NCc2n[nH]cc2C)CC1 ZINC000934201005 711574729 /nfs/dbraw/zinc/57/47/29/711574729.db2.gz RHCYJSPBGANPQD-UHFFFAOYSA-N 0 3 207.321 2.531 20 0 BFADHN [O-]c1ccc(C[NH2+]C[C@H]2CCCS2)cc1F ZINC000232423067 711760492 /nfs/dbraw/zinc/76/04/92/711760492.db2.gz FXLXUEUSYKRIMU-SNVBAGLBSA-N 0 3 241.331 2.517 20 0 BFADHN CC(C)(F)CNC[C@@H](O)c1cccc(Cl)c1 ZINC000872217469 711789276 /nfs/dbraw/zinc/78/92/76/711789276.db2.gz XCYZXAXFPGOCPB-LLVKDONJSA-N 0 3 245.725 2.711 20 0 BFADHN CCOCCCCNCc1nc(C)c(C)s1 ZINC000388411711 711876874 /nfs/dbraw/zinc/87/68/74/711876874.db2.gz YJQYCJYVRSDOQC-UHFFFAOYSA-N 0 3 242.388 2.666 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)NC[C@@H](C)C(C)(C)C ZINC000704431359 711884346 /nfs/dbraw/zinc/88/43/46/711884346.db2.gz YQSRUYXZUPWVKZ-VXGBXAGGSA-N 0 3 242.407 2.548 20 0 BFADHN Fc1cccc(Cl)c1CNCC1CSC1 ZINC000700474565 711994658 /nfs/dbraw/zinc/99/46/58/711994658.db2.gz LHMXRCSCRRQZJT-UHFFFAOYSA-N 0 3 245.750 2.932 20 0 BFADHN CCc1ncc(CN[C@H]2C=CCC2)s1 ZINC000700564690 711996217 /nfs/dbraw/zinc/99/62/17/711996217.db2.gz KIUBOMCGHRRFLP-VIFPVBQESA-N 0 3 208.330 2.514 20 0 BFADHN Cc1cc(CNC[C@@]2(C)CC2(C)C)on1 ZINC000701982763 712034808 /nfs/dbraw/zinc/03/48/08/712034808.db2.gz UIXSXLWXQUHDAY-GFCCVEGCSA-N 0 3 208.305 2.509 20 0 BFADHN Cc1nc(CNCC[C@@H](C)F)sc1C ZINC000702610216 712057389 /nfs/dbraw/zinc/05/73/89/712057389.db2.gz DUGBRWGCPAKYTJ-SSDOTTSWSA-N 0 3 216.325 2.598 20 0 BFADHN C[C@H](F)CCNCc1ccc(Cl)cn1 ZINC000703906137 712093500 /nfs/dbraw/zinc/09/35/00/712093500.db2.gz NMWHCWNKLUURFL-QMMMGPOBSA-N 0 3 216.687 2.573 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)NC[C@H](C)C(C)(C)C ZINC000704431356 712107519 /nfs/dbraw/zinc/10/75/19/712107519.db2.gz YQSRUYXZUPWVKZ-NWDGAFQWSA-N 0 3 242.407 2.548 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cc(Cl)n(C)n1 ZINC000706152724 712140933 /nfs/dbraw/zinc/14/09/33/712140933.db2.gz UFNGTDGQSNWEQJ-DTWKUNHWSA-N 0 3 229.755 2.598 20 0 BFADHN C[C@@H]1COC[C@H]1NCc1cccc(Cl)c1F ZINC000711099493 712279989 /nfs/dbraw/zinc/27/99/89/712279989.db2.gz XIRRSNXRSQCUIO-LDYMZIIASA-N 0 3 243.709 2.604 20 0 BFADHN CCC(F)(F)CN[C@@H](C1CCC1)[C@@H]1CCOC1 ZINC000711922582 712339296 /nfs/dbraw/zinc/33/92/96/712339296.db2.gz CDHYFUUXIUBFRW-NEPJUHHUSA-N 0 3 247.329 2.827 20 0 BFADHN C[C@H](F)CCNCc1cccnc1Cl ZINC000378862661 712364391 /nfs/dbraw/zinc/36/43/91/712364391.db2.gz UCBJRUFCMBRJDN-QMMMGPOBSA-N 0 3 216.687 2.573 20 0 BFADHN CC1(CNCc2cscc2Cl)COC1 ZINC000379576505 712388623 /nfs/dbraw/zinc/38/86/23/712388623.db2.gz OFLLBWLMFLVEJU-UHFFFAOYSA-N 0 3 231.748 2.528 20 0 BFADHN C[C@H](CC1CCC1)NCc1cnn(C)c1Cl ZINC000712467747 712430298 /nfs/dbraw/zinc/43/02/98/712430298.db2.gz XQUJGAUXZWZBFI-SECBINFHSA-N 0 3 241.766 2.742 20 0 BFADHN COc1ccc(Cl)cc1CN[C@H]1CC12CC2 ZINC000715356358 712521506 /nfs/dbraw/zinc/52/15/06/712521506.db2.gz QORZQIAWXMAVHA-LBPRGKRZSA-N 0 3 237.730 2.991 20 0 BFADHN CCCCOCCN[C@@H](C)c1ccns1 ZINC000715949730 712545052 /nfs/dbraw/zinc/54/50/52/712545052.db2.gz JLHLHYVLQZIQTF-JTQLQIEISA-N 0 3 228.361 2.610 20 0 BFADHN CCCn1cc([C@@H](C)NCC(C)(C)CC)nn1 ZINC000715936430 712544195 /nfs/dbraw/zinc/54/41/95/712544195.db2.gz RGIMDOQCGHQIKP-LLVKDONJSA-N 0 3 238.379 2.775 20 0 BFADHN CSC1CC(N[C@@H](C)c2ccns2)C1 ZINC000716034198 712547098 /nfs/dbraw/zinc/54/70/98/712547098.db2.gz PKUKHADFICRUJB-UEJVZZJDSA-N 0 3 228.386 2.688 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1ccns1)OC ZINC000716048047 712548520 /nfs/dbraw/zinc/54/85/20/712548520.db2.gz OKLSCGWHCZDHMD-ONGXEEELSA-N 0 3 228.361 2.609 20 0 BFADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1ccns1 ZINC000715998468 712551588 /nfs/dbraw/zinc/55/15/88/712551588.db2.gz NQLUTPCGYXMCIZ-NXEZZACHSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H](C[C@H](C)O)NCc1cc(F)ccc1F ZINC000716847634 712578219 /nfs/dbraw/zinc/57/82/19/712578219.db2.gz BBHQNVVBBBZGCW-JOYOIKCWSA-N 0 3 243.297 2.604 20 0 BFADHN C[C@@H](NC[C@H]1CC(C)(C)CO1)c1ccoc1 ZINC000717393887 712653888 /nfs/dbraw/zinc/65/38/88/712653888.db2.gz JNAHXZQTMPQTAU-ZYHUDNBSSA-N 0 3 223.316 2.745 20 0 BFADHN Cc1nonc1CN[C@@H]1CC(C)(C)CC[C@H]1C ZINC000717454928 712659020 /nfs/dbraw/zinc/65/90/20/712659020.db2.gz LKMXTLQPLATSLP-MWLCHTKSSA-N 0 3 237.347 2.682 20 0 BFADHN CC(C)c1cc(CN[C@H]2CC[C@@H]2C)on1 ZINC000380131954 712691302 /nfs/dbraw/zinc/69/13/02/712691302.db2.gz SZSYKXZPIAHRCZ-ONGXEEELSA-N 0 3 208.305 2.686 20 0 BFADHN Cc1coc(CN[C@@H]2CCC(F)(F)C2)c1 ZINC000718119444 712744420 /nfs/dbraw/zinc/74/44/20/712744420.db2.gz OBKXICWCDGGMLB-SECBINFHSA-N 0 3 215.243 2.865 20 0 BFADHN Clc1ncc(CN[C@@H]2CCCSC2)s1 ZINC000718176099 712751517 /nfs/dbraw/zinc/75/15/17/712751517.db2.gz MXRUJLMCGASMNY-SSDOTTSWSA-N 0 3 248.804 2.782 20 0 BFADHN COCC(C)(C)CCN[C@H](C)c1nccs1 ZINC000718647677 712776871 /nfs/dbraw/zinc/77/68/71/712776871.db2.gz PPYPZMBFRDPICJ-SNVBAGLBSA-N 0 3 242.388 2.856 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@H]3C[C@H]32)no1 ZINC000720355666 712833616 /nfs/dbraw/zinc/83/36/16/712833616.db2.gz LVCLNWWSMXJWSZ-GMXVVIOVSA-N 0 3 220.316 2.509 20 0 BFADHN CC(C)n1cncc1CNC1(C)CC(F)(F)C1 ZINC000720970695 712884804 /nfs/dbraw/zinc/88/48/04/712884804.db2.gz HSLZYGUWGXUTSF-UHFFFAOYSA-N 0 3 243.301 2.741 20 0 BFADHN C[C@@H](C[C@H](O)c1cccs1)NCC1(F)CC1 ZINC000381193596 710607967 /nfs/dbraw/zinc/60/79/67/710607967.db2.gz ZKQOCLJEKLGIHD-UWVGGRQHSA-N 0 3 243.347 2.652 20 0 BFADHN CC[C@H](NCC(C)(C)SC)c1ccn(C)n1 ZINC000381307379 710620227 /nfs/dbraw/zinc/62/02/27/710620227.db2.gz KEWKWKRINJLJMO-JTQLQIEISA-N 0 3 241.404 2.602 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CNCc1ccon1 ZINC000381395371 710632273 /nfs/dbraw/zinc/63/22/73/710632273.db2.gz OPDCRHVEJXLTJT-YPMHNXCESA-N 0 3 220.316 2.757 20 0 BFADHN Cc1nnc(CN[C@@H]2CC[C@H](C)[C@@H](C)C2)s1 ZINC000381508827 710648601 /nfs/dbraw/zinc/64/86/01/710648601.db2.gz QKXRMOFDEFRLTE-ATZCPNFKSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@@H](C)C1(C)CC1 ZINC000381523522 710650256 /nfs/dbraw/zinc/65/02/56/710650256.db2.gz VOIFJXQLUDBBGF-VIFPVBQESA-N 0 3 241.766 2.660 20 0 BFADHN C[C@H](N(C)Cc1cnc(Cl)cn1)C1(C)CC1 ZINC000385609921 711016651 /nfs/dbraw/zinc/01/66/51/711016651.db2.gz JBWXWJNHVDQHSB-VIFPVBQESA-N 0 3 239.750 2.750 20 0 BFADHN CCN(Cc1ccc(Cl)nn1)CC(C)(C)C ZINC000380736211 712902791 /nfs/dbraw/zinc/90/27/91/712902791.db2.gz RBTFSCYRHLHTIK-UHFFFAOYSA-N 0 3 241.766 2.998 20 0 BFADHN C[C@@H]1CC[N@H+](Cc2ccc([O-])c(F)c2)[C@@H]1C ZINC000380742578 712902805 /nfs/dbraw/zinc/90/28/05/712902805.db2.gz TYQCIOHDAUPXII-NXEZZACHSA-N 0 3 223.291 2.762 20 0 BFADHN C[C@@H]1CC[N@@H+](Cc2ccc([O-])c(F)c2)[C@@H]1C ZINC000380742578 712902806 /nfs/dbraw/zinc/90/28/06/712902806.db2.gz TYQCIOHDAUPXII-NXEZZACHSA-N 0 3 223.291 2.762 20 0 BFADHN Cl/C=C\CN[C@@H](c1cccnc1)C1CC1 ZINC000390003237 711102980 /nfs/dbraw/zinc/10/29/80/711102980.db2.gz VBXJZJGJFMHEGV-DBGMKRSLSA-N 0 3 222.719 2.875 20 0 BFADHN Cl/C=C/CN[C@@H](c1cccnc1)C1CC1 ZINC000390003243 711103263 /nfs/dbraw/zinc/10/32/63/711103263.db2.gz VBXJZJGJFMHEGV-RDNKVPPGSA-N 0 3 222.719 2.875 20 0 BFADHN CCCCNCc1ccn(Cc2ccccc2)n1 ZINC000389706076 711088414 /nfs/dbraw/zinc/08/84/14/711088414.db2.gz NOOMGSDOAWRWKI-UHFFFAOYSA-N 0 3 243.354 2.821 20 0 BFADHN CSC1(CNCc2cccc(F)n2)CCC1 ZINC000390536478 711124296 /nfs/dbraw/zinc/12/42/96/711124296.db2.gz KGAWOOLBYMGHEQ-UHFFFAOYSA-N 0 3 240.347 2.596 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CC[C@H](C)[C@H](C)C2)[n-]1 ZINC000392373744 711144122 /nfs/dbraw/zinc/14/41/22/711144122.db2.gz NMGOYKNSRXSQEL-MIZYBKAJSA-N 0 3 236.363 2.588 20 0 BFADHN COC[C@H](N[C@H]1CC[C@H]1C)c1ccc(C)o1 ZINC000390669729 711131000 /nfs/dbraw/zinc/13/10/00/711131000.db2.gz VCDXQVYAMCYLLG-USWWRNFRSA-N 0 3 223.316 2.664 20 0 BFADHN Cc1nnc([C@@H](C)NCCC2CCCCC2)[nH]1 ZINC000392380656 711144586 /nfs/dbraw/zinc/14/45/86/711144586.db2.gz RQORLPDEJDQTIC-SNVBAGLBSA-N 0 3 236.363 2.734 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2CC(C)(C)C[C@@H]2C)[n-]1 ZINC000392348390 711144457 /nfs/dbraw/zinc/14/44/57/711144457.db2.gz HDENBCAYHJHCJU-QXEWZRGKSA-N 0 3 236.363 2.588 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C[C@@H]2CCC[C@@H](C)C2)[n-]1 ZINC000392386298 711144513 /nfs/dbraw/zinc/14/45/13/711144513.db2.gz QDCJCMBWXDBCAF-JFGNBEQYSA-N 0 3 236.363 2.590 20 0 BFADHN FC1(F)CC[C@@H](N[C@H]2CCc3cccnc32)C1 ZINC000393842850 711177397 /nfs/dbraw/zinc/17/73/97/711177397.db2.gz AJVLJXRVLPAMPS-MNOVXSKESA-N 0 3 238.281 2.846 20 0 BFADHN C[C@H](CC(C)(C)C)NCc1ncc(Cl)n1C ZINC000395145053 711201694 /nfs/dbraw/zinc/20/16/94/711201694.db2.gz UXOANYGGHSLULZ-SECBINFHSA-N 0 3 243.782 2.988 20 0 BFADHN CCC(CC)(CC)CN[C@@H](C)c1nnc(C)[nH]1 ZINC000395161284 711204028 /nfs/dbraw/zinc/20/40/28/711204028.db2.gz UBRKWJHWKKPEGE-JTQLQIEISA-N 0 3 238.379 2.980 20 0 BFADHN CC/C=C(/C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000395397293 711210446 /nfs/dbraw/zinc/21/04/46/711210446.db2.gz BFPLYLSJLGXNLR-WMZJFQQLSA-N 0 3 230.311 2.585 20 0 BFADHN CCCCCC[C@@H](C)NC(=O)[C@@H](N)C1CCC1 ZINC000399078115 711420207 /nfs/dbraw/zinc/42/02/07/711420207.db2.gz OUFYCJAGEWCLSE-YPMHNXCESA-N 0 3 240.391 2.589 20 0 BFADHN CC(C)N[C@H]1CCCc2cn(C(C)C)nc21 ZINC000381118457 710603731 /nfs/dbraw/zinc/60/37/31/710603731.db2.gz HYHLUSNDUSOPLR-LBPRGKRZSA-N 0 3 221.348 2.839 20 0 BFADHN CC(C)c1nnc(CNCC2CCCC2)s1 ZINC000381286292 710615554 /nfs/dbraw/zinc/61/55/54/710615554.db2.gz ZRGKJGUTUYCPQS-UHFFFAOYSA-N 0 3 239.388 2.941 20 0 BFADHN CCc1nn(C)c(Cl)c1CNCC1(C)CC1 ZINC000381294470 710617654 /nfs/dbraw/zinc/61/76/54/710617654.db2.gz FUNWDCZQGMCXJV-UHFFFAOYSA-N 0 3 241.766 2.526 20 0 BFADHN Cc1nnc([C@@H](C)NC[C@H](C)c2ccccc2)[nH]1 ZINC000392347230 711144925 /nfs/dbraw/zinc/14/49/25/711144925.db2.gz GPCBGWPEQXMELX-WDEREUQCSA-N 0 3 244.342 2.567 20 0 BFADHN Cc1nnc([C@H](C)NC[C@H](C)c2ccccc2)[nH]1 ZINC000392347229 711145374 /nfs/dbraw/zinc/14/53/74/711145374.db2.gz GPCBGWPEQXMELX-QWRGUYRKSA-N 0 3 244.342 2.567 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H](C)CCC(C)C)[nH]1 ZINC000392393696 711146641 /nfs/dbraw/zinc/14/66/41/711146641.db2.gz XDTFEANTGRJJIV-UWVGGRQHSA-N 0 3 224.352 2.588 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C[C@@H]2CC(C)=C[C@H](C)C2)[n-]1 ZINC000392390223 711147055 /nfs/dbraw/zinc/14/70/55/711147055.db2.gz UBAPDUADLWINRW-GAFUQQFSSA-N 0 3 248.374 2.756 20 0 BFADHN CO[C@@H](CNCc1ccoc1)c1ccccc1 ZINC000383225972 711481608 /nfs/dbraw/zinc/48/16/08/711481608.db2.gz MAHYSQTXIGVDAM-AWEZNQCLSA-N 0 3 231.295 2.757 20 0 BFADHN CCC(C)(C)NCc1c(Cl)c(C)nn1C ZINC000084270294 711486693 /nfs/dbraw/zinc/48/66/93/711486693.db2.gz VQCCQFJAGBOUOK-UHFFFAOYSA-N 0 3 229.755 2.660 20 0 BFADHN FC(F)(F)[C@H]1CC[C@H](CN2CCCCC2)O1 ZINC000721448346 711497568 /nfs/dbraw/zinc/49/75/68/711497568.db2.gz PKGRIFCPYXNOLL-NXEZZACHSA-N 0 3 237.265 2.582 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@H]3CCC[C@H]32)[nH]1 ZINC000724780929 711613861 /nfs/dbraw/zinc/61/38/61/711613861.db2.gz SPBPYDHRHXOGFO-TZMCWYRMSA-N 0 3 233.359 2.791 20 0 BFADHN C[C@@H]1C[C@H](NCC(C)(C)C2CCC2)c2ncnn21 ZINC000926523049 711623398 /nfs/dbraw/zinc/62/33/98/711623398.db2.gz ZAUMSEPENFTUCS-PWSUYJOCSA-N 0 3 248.374 2.700 20 0 BFADHN Cc1occc1CNC[C@@H](O)c1ccc(F)cc1 ZINC000840876572 711655150 /nfs/dbraw/zinc/65/51/50/711655150.db2.gz WYXLOBLVFIZAPQ-CQSZACIVSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1ccc(CNC[C@@H]2CC2(Cl)Cl)o1 ZINC000308763934 711691941 /nfs/dbraw/zinc/69/19/41/711691941.db2.gz ZIKJNSOJKGVPMQ-QMMMGPOBSA-N 0 3 234.126 2.871 20 0 BFADHN Cc1ncoc1CN[C@H]1C[C@@H]1Cc1ccccc1 ZINC000894270750 711766906 /nfs/dbraw/zinc/76/69/06/711766906.db2.gz ZIAMQCZVVHOOIJ-KBPBESRZSA-N 0 3 242.322 2.704 20 0 BFADHN COCC1(NCc2nccc3ccccc32)CC1 ZINC000894351285 711769154 /nfs/dbraw/zinc/76/91/54/711769154.db2.gz BQPVHWHZGSFZBS-UHFFFAOYSA-N 0 3 242.322 2.503 20 0 BFADHN CSC[C@H](C)NCc1nc(C)c(C)s1 ZINC000127480579 711789420 /nfs/dbraw/zinc/78/94/20/711789420.db2.gz LWQXKBBHNDHDRG-ZETCQYMHSA-N 0 3 230.402 2.601 20 0 BFADHN C[C@H](NC[C@]1(C)CCCCO1)c1ccns1 ZINC000927010801 711800381 /nfs/dbraw/zinc/80/03/81/711800381.db2.gz BUJQRDFLSQKJHN-JQWIXIFHSA-N 0 3 240.372 2.753 20 0 BFADHN COC1CC(NCc2cscc2Cl)C1 ZINC000379594713 711804431 /nfs/dbraw/zinc/80/44/31/711804431.db2.gz CZTGPJIUWFWSQL-UHFFFAOYSA-N 0 3 231.748 2.669 20 0 BFADHN COC[C@@H](CN[C@H](C)c1nccs1)C(C)C ZINC000721987007 711861903 /nfs/dbraw/zinc/86/19/03/711861903.db2.gz PLVFDTYRACPMSN-GHMZBOCLSA-N 0 3 242.388 2.712 20 0 BFADHN CCc1ncc(CN[C@@H]2C=CCC2)s1 ZINC000700564684 711995968 /nfs/dbraw/zinc/99/59/68/711995968.db2.gz KIUBOMCGHRRFLP-SECBINFHSA-N 0 3 208.330 2.514 20 0 BFADHN Cc1csc(CNC[C@]2(C)CC2(C)C)n1 ZINC000701980327 712034483 /nfs/dbraw/zinc/03/44/83/712034483.db2.gz GRMLGBZPGORXQJ-LBPRGKRZSA-N 0 3 224.373 2.977 20 0 BFADHN Clc1ccc(CNCC2=CCCC2)nc1 ZINC000703906284 712093860 /nfs/dbraw/zinc/09/38/60/712093860.db2.gz PVHRNYCSFCKHQX-UHFFFAOYSA-N 0 3 222.719 2.935 20 0 BFADHN C[C@H](NCC[C@H]1CCSC1)c1cscn1 ZINC000704867852 712119709 /nfs/dbraw/zinc/11/97/09/712119709.db2.gz PMNWFFOZOJFCGH-UWVGGRQHSA-N 0 3 242.413 2.937 20 0 BFADHN CCCCC[C@@H](C)NCc1cc(OC)no1 ZINC000705572893 712133423 /nfs/dbraw/zinc/13/34/23/712133423.db2.gz OFEPOXGTQOTERS-SNVBAGLBSA-N 0 3 226.320 2.742 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cc(Cl)n(C)n1 ZINC000706189118 712142331 /nfs/dbraw/zinc/14/23/31/712142331.db2.gz QXBCBFKWIZKHTB-KOLCDFICSA-N 0 3 241.766 2.742 20 0 BFADHN CSC1CC(N[C@@H](C)c2nc(C)cs2)C1 ZINC000706763292 712154946 /nfs/dbraw/zinc/15/49/46/712154946.db2.gz FHOXRRJYSUKTED-IDKOKCKLSA-N 0 3 242.413 2.996 20 0 BFADHN C[C@@H]1CC[C@@H](C)[NH+]1Cc1ccc([O-])c(F)c1 ZINC000707041975 712164282 /nfs/dbraw/zinc/16/42/82/712164282.db2.gz FTWHEILLQMXQLF-NXEZZACHSA-N 0 3 223.291 2.904 20 0 BFADHN CC(C)CCN[C@@H](C)c1cn(C(C)C)nn1 ZINC000708294172 712185774 /nfs/dbraw/zinc/18/57/74/712185774.db2.gz IXJUMACDIXHOQY-NSHDSACASA-N 0 3 224.352 2.556 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)[C@@]23C[C@@H]2CCCC3)n1 ZINC000709171761 712198284 /nfs/dbraw/zinc/19/82/84/712198284.db2.gz YCHSGCQYYNNMJB-MBNYWOFBSA-N 0 3 233.359 2.777 20 0 BFADHN OCc1cc(N2CC[C@H](C3CCCC3)C2)ccn1 ZINC000708868839 712202106 /nfs/dbraw/zinc/20/21/06/712202106.db2.gz JKZJNBMBPMFUDT-ZDUSSCGKSA-N 0 3 246.354 2.590 20 0 BFADHN OCc1cc(N2CC[C@@H](C3CCCC3)C2)ccn1 ZINC000708868834 712202126 /nfs/dbraw/zinc/20/21/26/712202126.db2.gz JKZJNBMBPMFUDT-CYBMUJFWSA-N 0 3 246.354 2.590 20 0 BFADHN C[C@H](NCCc1ccns1)c1ccco1 ZINC000710008592 712240265 /nfs/dbraw/zinc/24/02/65/712240265.db2.gz FWWSENRHHGJRSR-VIFPVBQESA-N 0 3 222.313 2.629 20 0 BFADHN C[C@@H](CCc1ccco1)N[C@@H](C)c1cn(C)cn1 ZINC000710012867 712240945 /nfs/dbraw/zinc/24/09/45/712240945.db2.gz OBSPVVQILNTOCE-RYUDHWBXSA-N 0 3 247.342 2.685 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H]3CC[C@@H](C3)C2)no1 ZINC000711119018 712282231 /nfs/dbraw/zinc/28/22/31/712282231.db2.gz MPONSVMVJUOKGR-ZSBIGDGJSA-N 0 3 220.316 2.651 20 0 BFADHN C[C@H](NC[C@H]1CCC2(CCC2)O1)c1ccccn1 ZINC000711484580 712306132 /nfs/dbraw/zinc/30/61/32/712306132.db2.gz LROFUMTXPNPMSD-QWHCGFSZSA-N 0 3 246.354 2.834 20 0 BFADHN O=C(CCN1CCC[C@H](CF)C1)c1ccccc1 ZINC000711514724 712308098 /nfs/dbraw/zinc/30/80/98/712308098.db2.gz WFOMBJHXFOZHRM-CYBMUJFWSA-N 0 3 249.329 2.941 20 0 BFADHN CCc1nc(CNC[C@H]2C=CCC2)cs1 ZINC000711678979 712316917 /nfs/dbraw/zinc/31/69/17/712316917.db2.gz JTLUQVUUQBTUPP-JTQLQIEISA-N 0 3 222.357 2.761 20 0 BFADHN c1cn(C2CC2)c(CNCC2CCCCC2)n1 ZINC000711745564 712323144 /nfs/dbraw/zinc/32/31/44/712323144.db2.gz JHADGZUMWYJAAV-UHFFFAOYSA-N 0 3 233.359 2.888 20 0 BFADHN C[C@H]1CC[C@H](CNCc2nccn2C2CC2)C1 ZINC000711745072 712325854 /nfs/dbraw/zinc/32/58/54/712325854.db2.gz FPQDSZDMCQXIOC-RYUDHWBXSA-N 0 3 233.359 2.744 20 0 BFADHN CC1(C)C[C@@]1(C)CNCc1nccn1C1CC1 ZINC000711783877 712330355 /nfs/dbraw/zinc/33/03/55/712330355.db2.gz PAWYZGUNPAGBHX-AWEZNQCLSA-N 0 3 233.359 2.744 20 0 BFADHN C[C@H](NC[C@@H]1C=CCC1)c1nccs1 ZINC000711787766 712330385 /nfs/dbraw/zinc/33/03/85/712330385.db2.gz OJLJGDXVTNVCFV-VHSXEESVSA-N 0 3 208.330 2.760 20 0 BFADHN C[C@]1(NCc2nccn2C2CC2)CC=CCC1 ZINC000711819618 712333197 /nfs/dbraw/zinc/33/31/97/712333197.db2.gz GISKOCWWGSMSHH-AWEZNQCLSA-N 0 3 231.343 2.806 20 0 BFADHN CCCCC[C@@H](C)[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000824603387 712354819 /nfs/dbraw/zinc/35/48/19/712354819.db2.gz RCKBHMQIMQWSLG-SNVBAGLBSA-N 0 3 236.363 2.741 20 0 BFADHN CCCCC[C@@H](C)N[C@@H]1CCn2ccnc21 ZINC000379004569 712368156 /nfs/dbraw/zinc/36/81/56/712368156.db2.gz DKWJDYMBSAODOF-VXGBXAGGSA-N 0 3 221.348 2.886 20 0 BFADHN Cn1ncc(CNCCCC2CCC2)c1Cl ZINC000712431950 712430054 /nfs/dbraw/zinc/43/00/54/712430054.db2.gz BDRCXVJJQSXVDY-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN CCN1CC[C@H]1CNc1nccc2sccc21 ZINC000712596547 712436193 /nfs/dbraw/zinc/43/61/93/712436193.db2.gz VERKHNOQNAATLH-JTQLQIEISA-N 0 3 247.367 2.802 20 0 BFADHN Cc1cc2ccccc2nc1NC[C@H]1CCN1C ZINC000712525111 712441126 /nfs/dbraw/zinc/44/11/26/712441126.db2.gz BJSDQIVUAXMTIC-CYBMUJFWSA-N 0 3 241.338 2.659 20 0 BFADHN C=C/C=C/CCNCc1c(Cl)c(C)nn1C ZINC000713502584 712463818 /nfs/dbraw/zinc/46/38/18/712463818.db2.gz OBWNXEYLVRFMEV-AATRIKPKSA-N 0 3 239.750 2.604 20 0 BFADHN C=C/C=C/CCN1CCC(O)(C(F)(F)F)CC1 ZINC000713638703 712467852 /nfs/dbraw/zinc/46/78/52/712467852.db2.gz AWXLBGDAHQTZJH-ONEGZZNKSA-N 0 3 249.276 2.508 20 0 BFADHN CC1(C)CCC[C@@H](CNCc2ccco2)O1 ZINC000714373772 712484403 /nfs/dbraw/zinc/48/44/03/712484403.db2.gz VKJKSAWXJBPTBN-LBPRGKRZSA-N 0 3 223.316 2.717 20 0 BFADHN CCCn1cc(CN[C@H](C)C(C)(C)CC)nn1 ZINC000714410341 712485756 /nfs/dbraw/zinc/48/57/56/712485756.db2.gz UCZJVXLYJVPGTR-LLVKDONJSA-N 0 3 238.379 2.602 20 0 BFADHN CO[C@H]1C[C@H](CN[C@H](C)c2csc(C)n2)C1 ZINC000714701975 712496326 /nfs/dbraw/zinc/49/63/26/712496326.db2.gz ULEQYYJJBSSOBP-FBIMIBRVSA-N 0 3 240.372 2.527 20 0 BFADHN C[C@H](NC[C@H]1CC[C@H](C2CC2)O1)c1ccco1 ZINC000715406169 712517263 /nfs/dbraw/zinc/51/72/63/712517263.db2.gz YHHWYFIGKBYAOB-ZKYQVNSYSA-N 0 3 235.327 2.888 20 0 BFADHN Cc1cnc(CNCC[C@H]2CCCS2)s1 ZINC000715623583 712528263 /nfs/dbraw/zinc/52/82/63/712528263.db2.gz QJILMUJSMHZJLE-SNVBAGLBSA-N 0 3 242.413 2.827 20 0 BFADHN CCCCCC[C@@H](C)N[C@@H](C)c1nncn1C ZINC000037017096 712529399 /nfs/dbraw/zinc/52/93/99/712529399.db2.gz VDZFLQRGEGLQQH-NEPJUHHUSA-N 0 3 238.379 2.825 20 0 BFADHN CCCn1cc([C@@H](C)NC[C@@H]2CC=CCC2)nn1 ZINC000715835864 712534415 /nfs/dbraw/zinc/53/44/15/712534415.db2.gz LOYPWPDYGWLKGJ-CHWSQXEVSA-N 0 3 248.374 2.695 20 0 BFADHN CCCn1cc([C@@H](C)NCC2(C3CC3)CC2)nn1 ZINC000715835392 712534757 /nfs/dbraw/zinc/53/47/57/712534757.db2.gz JYWFUEMZDDYRLZ-LLVKDONJSA-N 0 3 248.374 2.529 20 0 BFADHN C[C@@H](NC1CCCCCCC1)c1cn(C)nn1 ZINC000715873480 712538073 /nfs/dbraw/zinc/53/80/73/712538073.db2.gz COOYFWXJYOIIIZ-LLVKDONJSA-N 0 3 236.363 2.579 20 0 BFADHN CCCn1cc([C@H](C)NC2CCCCC2)nn1 ZINC000715919019 712542209 /nfs/dbraw/zinc/54/22/09/712542209.db2.gz FGVCGOSAUBDXMT-NSHDSACASA-N 0 3 236.363 2.671 20 0 BFADHN CCCn1cc([C@H](C)N[C@H]2CC[C@@H](C)C2)nn1 ZINC000715919362 712542249 /nfs/dbraw/zinc/54/22/49/712542249.db2.gz FRZPGZAQVRPDIX-WOPDTQHZSA-N 0 3 236.363 2.527 20 0 BFADHN CCCn1cc([C@H](C)N[C@H](C)CC(C)C)nn1 ZINC000715932570 712543628 /nfs/dbraw/zinc/54/36/28/712543628.db2.gz FLMWVVHVOJAKPW-NEPJUHHUSA-N 0 3 238.379 2.773 20 0 BFADHN CCCn1cc([C@H](C)NCC(CC)CC)nn1 ZINC000715933133 712543716 /nfs/dbraw/zinc/54/37/16/712543716.db2.gz HMEHBDIBFHLBOV-NSHDSACASA-N 0 3 238.379 2.775 20 0 BFADHN C[C@@H](NCC(C)(C)C1=CCCC1)c1cn(C)nn1 ZINC000715946297 712545203 /nfs/dbraw/zinc/54/52/03/712545203.db2.gz SALUYRYOYBGCKA-LLVKDONJSA-N 0 3 248.374 2.602 20 0 BFADHN C[C@H](N[C@@H](C)[C@@H]1CCCCO1)c1ccns1 ZINC000716032579 712547145 /nfs/dbraw/zinc/54/71/45/712547145.db2.gz DMQKLLBDIDYWKI-DCAQKATOSA-N 0 3 240.372 2.751 20 0 BFADHN CCn1ccnc1CNCCCCC1CC1 ZINC000716315759 712554244 /nfs/dbraw/zinc/55/42/44/712554244.db2.gz LWVDTOAJPDOBDU-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN c1ccc(CNC[C@@H]2CCC3(CCC3)CO2)nc1 ZINC000716326238 712554605 /nfs/dbraw/zinc/55/46/05/712554605.db2.gz HRJPLAJVPUXPQA-AWEZNQCLSA-N 0 3 246.354 2.521 20 0 BFADHN Cn1ncc(Cl)c1CNCCCCC1CC1 ZINC000716349117 712555764 /nfs/dbraw/zinc/55/57/64/712555764.db2.gz FTRUAZSLNZUHED-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CN[C@H]1C[C@@H](C)n2ccnc21 ZINC000716636596 712567898 /nfs/dbraw/zinc/56/78/98/712567898.db2.gz NXZVYZRJLXGFGJ-HUXGKSLCSA-N 0 3 245.370 2.771 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C[NH2+]Cc1ccc([O-])c(F)c1 ZINC000716639580 712568338 /nfs/dbraw/zinc/56/83/38/712568338.db2.gz WEZXQYVJIYXMQC-LPTSXCQYSA-N 0 3 249.329 2.913 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000716636601 712568704 /nfs/dbraw/zinc/56/87/04/712568704.db2.gz NXZVYZRJLXGFGJ-YOVYLDAJSA-N 0 3 245.370 2.771 20 0 BFADHN CN(Cc1ccsc1)C[C@@H](O)c1ccccc1 ZINC000037487619 712651136 /nfs/dbraw/zinc/65/11/36/712651136.db2.gz VDSKDDYTWXCBGB-CQSZACIVSA-N 0 3 247.363 2.914 20 0 BFADHN COC[C@H](C)NCc1cc(Cl)nc(Cl)c1 ZINC000379999658 712651702 /nfs/dbraw/zinc/65/17/02/712651702.db2.gz LXLRDDQUGYQPGA-ZETCQYMHSA-N 0 3 249.141 2.513 20 0 BFADHN CC[C@H](NCC(OC)OC)c1ccc(C)cc1 ZINC000037566556 712666021 /nfs/dbraw/zinc/66/60/21/712666021.db2.gz WDSYFZYOMWYUBC-ZDUSSCGKSA-N 0 3 237.343 2.655 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1c(Cl)nc(Cl)n1C ZINC000380072579 712684997 /nfs/dbraw/zinc/68/49/97/712684997.db2.gz DNMYERNSAHMPJJ-BQBZGAKWSA-N 0 3 248.157 2.615 20 0 BFADHN Cc1nnc([C@@H](C)N[C@@H](C)CC(C)(C)C)[nH]1 ZINC000392360947 712724687 /nfs/dbraw/zinc/72/46/87/712724687.db2.gz BFKCPMVYHKTWLI-DTWKUNHWSA-N 0 3 224.352 2.588 20 0 BFADHN Cc1coc(CNCCc2nc(C)cs2)c1 ZINC000718059817 712739899 /nfs/dbraw/zinc/73/98/99/712739899.db2.gz UZNMOARDKRADIO-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN Cc1coc(CNCCc2csc(C)n2)c1 ZINC000718057800 712740016 /nfs/dbraw/zinc/74/00/16/712740016.db2.gz JUGRWVURPUAWHY-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN Cc1coc(CNC[C@@H]2Cc3ccccc3O2)c1 ZINC000718072898 712741338 /nfs/dbraw/zinc/74/13/38/712741338.db2.gz OESMQAUOCGBCAH-AWEZNQCLSA-N 0 3 243.306 2.681 20 0 BFADHN Cc1coc(CN[C@H]2CS[C@@H](C)C2)c1 ZINC000718121515 712745344 /nfs/dbraw/zinc/74/53/44/712745344.db2.gz AAKHTBIHNUDFGH-VHSXEESVSA-N 0 3 211.330 2.572 20 0 BFADHN CC[C@H](C)[C@H](CNCc1cc(C)co1)OC ZINC000718132933 712746929 /nfs/dbraw/zinc/74/69/29/712746929.db2.gz SFTSOQCBABYOAS-AAEUAGOBSA-N 0 3 225.332 2.739 20 0 BFADHN CO[C@H]1CCC[C@@H](NCc2nccs2)CC1 ZINC000718748403 712780288 /nfs/dbraw/zinc/78/02/88/712780288.db2.gz GZBYLMJIOYFWDU-MNOVXSKESA-N 0 3 240.372 2.580 20 0 BFADHN CO[C@@H]1CCC[C@@H](NCc2nccs2)CC1 ZINC000718748400 712780544 /nfs/dbraw/zinc/78/05/44/712780544.db2.gz GZBYLMJIOYFWDU-GHMZBOCLSA-N 0 3 240.372 2.580 20 0 BFADHN C=C/C=C\CCNCc1nc2c(s1)CCC2 ZINC000719530611 712808739 /nfs/dbraw/zinc/80/87/39/712808739.db2.gz MRBXNIHXKHOFJP-ARJAWSKDSA-N 0 3 234.368 2.854 20 0 BFADHN C[C@H](NCCCCC1CC1)c1ncc[nH]1 ZINC000720160334 712828469 /nfs/dbraw/zinc/82/84/69/712828469.db2.gz CFPRCFYPMHMVER-JTQLQIEISA-N 0 3 207.321 2.641 20 0 BFADHN Cc1cc(CN2CCO[C@H](C)CC2)cc(C)c1O ZINC000720493778 712847565 /nfs/dbraw/zinc/84/75/65/712847565.db2.gz GVMCKBBCOUQPME-CYBMUJFWSA-N 0 3 249.354 2.620 20 0 BFADHN C[C@H](NC[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1ccco1 ZINC000720817659 712868550 /nfs/dbraw/zinc/86/85/50/712868550.db2.gz GDDLRVOZRSBUFS-MNXVOIDGSA-N 0 3 235.327 2.888 20 0 BFADHN C[C@H](NC[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1ccccn1 ZINC000720862807 712871464 /nfs/dbraw/zinc/87/14/64/712871464.db2.gz UYITVPBOOKSDEQ-ABHRYQDASA-N 0 3 246.354 2.690 20 0 BFADHN CN(c1ncccc1CN1CCCC1)C(C)(C)C ZINC001165020013 721834075 /nfs/dbraw/zinc/83/40/75/721834075.db2.gz AOXIQUCXETVTOV-UHFFFAOYSA-N 0 3 247.386 2.912 20 0 BFADHN CC(C)c1ccc(N2C[C@H](C)N(C)C[C@H]2C)nc1 ZINC001164636240 719206841 /nfs/dbraw/zinc/20/68/41/719206841.db2.gz JGTZZKFWHNVWBF-QWHCGFSZSA-N 0 3 247.386 2.734 20 0 BFADHN Clc1ncc(CN(CC2CC2)C2CC2)cn1 ZINC001139993241 957326041 /nfs/dbraw/zinc/32/60/41/957326041.db2.gz HFRRNOPZGADGHT-UHFFFAOYSA-N 0 3 237.734 2.504 20 0 BFADHN Cc1ccnc(CN2CC[C@@](C)(CF)C2)c1 ZINC001206846765 957459519 /nfs/dbraw/zinc/45/95/19/957459519.db2.gz BFGPCONNYJDBKS-ZDUSSCGKSA-N 0 3 222.307 2.572 20 0 BFADHN C[C@H]1CCCN(Cc2ccon2)C[C@H]1C ZINC001209561424 957501883 /nfs/dbraw/zinc/50/18/83/957501883.db2.gz QETQSZSZMDEJMS-WDEREUQCSA-N 0 3 208.305 2.543 20 0 BFADHN CSCCCCCCNCc1nc(C)c[nH]1 ZINC000693528687 958716112 /nfs/dbraw/zinc/71/61/12/958716112.db2.gz FYCYICKBZFAGCF-UHFFFAOYSA-N 0 3 241.404 2.731 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@@H](C)c1nc(C)cs1 ZINC000301012290 959589890 /nfs/dbraw/zinc/58/98/90/959589890.db2.gz OJVBIVIZXZMDLP-VHSXEESVSA-N 0 3 242.388 2.916 20 0 BFADHN Cc1ncsc1CN[C@@H](C)C1(Cl)CC1 ZINC000695674579 960342653 /nfs/dbraw/zinc/34/26/53/960342653.db2.gz PVSMJKAADWYOJL-QMMMGPOBSA-N 0 3 230.764 2.701 20 0 BFADHN CC(C)N(C)Cc1cnccc1C(F)(F)F ZINC001140914862 960687409 /nfs/dbraw/zinc/68/74/09/960687409.db2.gz YHTIIKGKHDJWTR-UHFFFAOYSA-N 0 3 232.249 2.941 20 0 BFADHN CC1CC(N(C)Cc2c[nH]nc2C(F)(F)F)C1 ZINC001141011883 960818111 /nfs/dbraw/zinc/81/81/11/960818111.db2.gz OZXKJBHCSTUOGB-UHFFFAOYSA-N 0 3 247.264 2.659 20 0 BFADHN CCCCc1nc(CN(C)C(C)(C)C)c[nH]1 ZINC001141070060 960864117 /nfs/dbraw/zinc/86/41/17/960864117.db2.gz NALMWSVSCLIRPB-UHFFFAOYSA-N 0 3 223.364 2.983 20 0 BFADHN CCCCCN(C)Cc1ccc(C(=O)OC)o1 ZINC001142606537 961528696 /nfs/dbraw/zinc/52/86/96/961528696.db2.gz ABQCWSAMQZWCKT-UHFFFAOYSA-N 0 3 239.315 2.688 20 0 BFADHN Cc1c2[nH]cnc2ccc1NCC(C)C ZINC001161648320 964663178 /nfs/dbraw/zinc/66/31/78/964663178.db2.gz DEGLRTNKJPNLEM-UHFFFAOYSA-N 0 3 203.289 2.939 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1Cc1cnsc1 ZINC001232226196 965316220 /nfs/dbraw/zinc/31/62/20/965316220.db2.gz AHFOFOXRGGRUHO-VHSXEESVSA-N 0 3 210.346 2.764 20 0 BFADHN FC[C@H]1[C@@H]2CN(Cc3cccc(Cl)c3)C[C@H]12 ZINC001203800839 966785882 /nfs/dbraw/zinc/78/58/82/966785882.db2.gz FWUKJBSRGQHQGN-XYYAHUGASA-N 0 3 239.721 2.987 20 0 BFADHN COc1cc(C)c(CN2CCC2)c(C)c1 ZINC001142929955 966858085 /nfs/dbraw/zinc/85/80/85/966858085.db2.gz HBMIHHYBRNJHSI-UHFFFAOYSA-N 0 3 205.301 2.518 20 0 BFADHN COc1cc(CN(C)C)ccc1OC(F)(F)F ZINC001249976564 967220424 /nfs/dbraw/zinc/22/04/24/967220424.db2.gz AQKZAZJDYSYIMT-UHFFFAOYSA-N 0 3 249.232 2.655 20 0 BFADHN CN1CCC=C(Cc2ccccc2F)C1 ZINC001249983268 967225473 /nfs/dbraw/zinc/22/54/73/967225473.db2.gz LMHJBMDNWIVWEI-UHFFFAOYSA-N 0 3 205.276 2.630 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@H]1CCC[C@@H](C)C1 ZINC000822400028 968513936 /nfs/dbraw/zinc/51/39/36/968513936.db2.gz AEIYNSCHFJJCNR-SCVCMEIPSA-N 0 3 237.347 2.855 20 0 BFADHN CC1(C)CCCN1Cc1ncccc1F ZINC001207026239 968561372 /nfs/dbraw/zinc/56/13/72/968561372.db2.gz GKTAMGCNOUAXPN-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN CS[C@@H](C)CCN(C)Cc1ccncc1 ZINC001208138124 969048041 /nfs/dbraw/zinc/04/80/41/969048041.db2.gz IOJTVWURRLVIAL-NSHDSACASA-N 0 3 224.373 2.655 20 0 BFADHN CS[C@H](C)CCN(C)Cc1ccc(C)nc1 ZINC001208149941 969074816 /nfs/dbraw/zinc/07/48/16/969074816.db2.gz OLSBHGJRGKISKS-GFCCVEGCSA-N 0 3 238.400 2.963 20 0 BFADHN C[C@H](CCN1CC[C@@](F)(CO)C1)CC(C)(C)C ZINC001208656752 969182938 /nfs/dbraw/zinc/18/29/38/969182938.db2.gz XVOCXHJJEUBVGZ-OCCSQVGLSA-N 0 3 245.382 2.855 20 0 BFADHN COCCN1CC[C@@H](c2ccccc2Cl)C1 ZINC001209212742 969402174 /nfs/dbraw/zinc/40/21/74/969402174.db2.gz PGQJZFKBGBSZHO-LLVKDONJSA-N 0 3 239.746 2.776 20 0 BFADHN F[C@@H]1CN(CCc2cccs2)C[C@@H]2C[C@@H]21 ZINC001209222903 969407662 /nfs/dbraw/zinc/40/76/62/969407662.db2.gz PZGQJRRKAUYHFW-ZMLRMANQSA-N 0 3 225.332 2.580 20 0 BFADHN Cc1cccc([C@@H](C)N[C@H]2CCSC2)n1 ZINC001255271003 970022822 /nfs/dbraw/zinc/02/28/22/970022822.db2.gz RGTMZJSGAUARNM-MNOVXSKESA-N 0 3 222.357 2.546 20 0 BFADHN COC[C@H](C)NC1(c2ccccc2F)CCC1 ZINC001255561134 970343026 /nfs/dbraw/zinc/34/30/26/970343026.db2.gz DCXROGFYJBDXMK-NSHDSACASA-N 0 3 237.318 2.829 20 0 BFADHN COC[C@@H](C)NC(C)(C)c1cc(Cl)ccn1 ZINC001255567455 970360409 /nfs/dbraw/zinc/36/04/09/970360409.db2.gz VXIXGZAJGLNSTJ-SECBINFHSA-N 0 3 242.750 2.595 20 0 BFADHN CC[C@H](C)[C@@H](C(=O)OC)N(C)[C@H]1CC[C@@H](C)C1 ZINC001170147568 970439544 /nfs/dbraw/zinc/43/95/44/970439544.db2.gz CKGLFELOBPGADM-VOAKCMCISA-N 0 3 241.375 2.695 20 0 BFADHN CCO[C@@H]1CCCN([C@@H](C)CC(F)(F)F)C1 ZINC001255657011 970438669 /nfs/dbraw/zinc/43/86/69/970438669.db2.gz DONRYPOJLXHDLA-VHSXEESVSA-N 0 3 239.281 2.828 20 0 BFADHN CC(=O)CN(C)[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC001255705239 970461440 /nfs/dbraw/zinc/46/14/40/970461440.db2.gz IWCLGEIVKRYHQT-UWVGGRQHSA-N 0 3 237.265 2.628 20 0 BFADHN CN(Cc1cc[nH]c1)Cc1ccccc1 ZINC000178842163 970477728 /nfs/dbraw/zinc/47/77/28/970477728.db2.gz RCFZKLHMWHYZPT-UHFFFAOYSA-N 0 3 200.285 2.647 20 0 BFADHN CC(C)CC[C@@H](C)n1ccnc1[C@@H](C)N ZINC001256351469 970744019 /nfs/dbraw/zinc/74/40/19/970744019.db2.gz PPAFLRFXARCJOZ-GHMZBOCLSA-N 0 3 209.337 2.900 20 0 BFADHN CC[C@@H]1CC[C@H](N2CCC(=O)C[C@H]2C)C1 ZINC001173271950 975145853 /nfs/dbraw/zinc/14/58/53/975145853.db2.gz GUCIMVJWIZDLHE-UTUOFQBUSA-N 0 3 209.333 2.619 20 0 BFADHN CCSC[C@@H](C)N1CCc2ccncc2C1 ZINC001173304150 975205983 /nfs/dbraw/zinc/20/59/83/975205983.db2.gz FLAUHJGFSLCCFO-LLVKDONJSA-N 0 3 236.384 2.581 20 0 BFADHN CC[C@H]1CC[C@H](N(C)Cc2ccno2)C1 ZINC001173284623 975244230 /nfs/dbraw/zinc/24/42/30/975244230.db2.gz RAXSCGGPRFMGLG-QWRGUYRKSA-N 0 3 208.305 2.685 20 0 BFADHN Oc1cccc(Nc2ccncc2)c1Cl ZINC001173883070 975940365 /nfs/dbraw/zinc/94/03/65/975940365.db2.gz FJAWJHKDKDDULE-UHFFFAOYSA-N 0 3 220.659 2.606 20 0 BFADHN CC[C@H](COc1ccccc1CN(C)C)OC ZINC001229701630 976957034 /nfs/dbraw/zinc/95/70/34/976957034.db2.gz KVPSOULFOGNKHM-CYBMUJFWSA-N 0 3 237.343 2.552 20 0 BFADHN Cc1c(CN[C@H]2C=CCCC2)ccnc1F ZINC001335313350 976948845 /nfs/dbraw/zinc/94/88/45/976948845.db2.gz GCXYQRONMDLQAJ-LBPRGKRZSA-N 0 3 220.291 2.727 20 0 BFADHN CN1CCC=C(Nc2cccc(Cl)c2)C1 ZINC001175576616 977581893 /nfs/dbraw/zinc/58/18/93/977581893.db2.gz KMYFXNJEZRIXPE-UHFFFAOYSA-N 0 3 222.719 2.971 20 0 BFADHN CC(C)CN(C)Cc1cccnc1OC(C)C ZINC001231755437 977724328 /nfs/dbraw/zinc/72/43/28/977724328.db2.gz XBYYFXYFUGNEMG-UHFFFAOYSA-N 0 3 236.359 2.957 20 0 BFADHN Cc1scnc1CN1C[C@H]2CCC[C@@H]2C1 ZINC001232203944 977920951 /nfs/dbraw/zinc/92/09/51/977920951.db2.gz JFRXDRIFFQJOCQ-GHMZBOCLSA-N 0 3 222.357 2.683 20 0 BFADHN CC[C@H]1CCCN1Cc1ncsc1C ZINC001232204206 977923207 /nfs/dbraw/zinc/92/32/07/977923207.db2.gz WPRMNMFHRCOOAG-JTQLQIEISA-N 0 3 210.346 2.826 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cnsc2)C1 ZINC001232230020 977965367 /nfs/dbraw/zinc/96/53/67/977965367.db2.gz CHGICPVMVGYHRF-NXEZZACHSA-N 0 3 210.346 2.621 20 0 BFADHN C[C@H]1CCCCCN1Cc1cc(F)ccn1 ZINC001232492930 978146905 /nfs/dbraw/zinc/14/69/05/978146905.db2.gz BCSWSVWRDCLTIC-NSHDSACASA-N 0 3 222.307 2.985 20 0 BFADHN Cc1cc(C)nc(NC[C@@H](O)C(C)(C)C)c1 ZINC000721897022 978261021 /nfs/dbraw/zinc/26/10/21/978261021.db2.gz GJAPPIRKSJMPAR-LLVKDONJSA-N 0 3 222.332 2.517 20 0 BFADHN Cc1cnsc1CN1CC[C@](C)(CF)C1 ZINC001233318913 978549434 /nfs/dbraw/zinc/54/94/34/978549434.db2.gz UZRUCPWSPMAHGA-LLVKDONJSA-N 0 3 228.336 2.633 20 0 BFADHN Cc1cnsc1CN1CCC[C@H](C)C1 ZINC001233318296 978552954 /nfs/dbraw/zinc/55/29/54/978552954.db2.gz YGVUXGUMFWSWSF-VIFPVBQESA-N 0 3 210.346 2.683 20 0 BFADHN CCOc1cc(O)cc(CN(CC)C2CC2)c1 ZINC001235385463 979032611 /nfs/dbraw/zinc/03/26/11/979032611.db2.gz LBMYCIKOFOSLQK-UHFFFAOYSA-N 0 3 235.327 2.775 20 0 BFADHN NCc1cccc(-c2cc(O)cc(Cl)c2)n1 ZINC001235899054 979189440 /nfs/dbraw/zinc/18/94/40/979189440.db2.gz BIATWXXTJYGRGJ-UHFFFAOYSA-N 0 3 234.686 2.566 20 0 BFADHN Cc1cc(Cl)cc(CN(C)C(C)C)n1 ZINC001236637265 979685277 /nfs/dbraw/zinc/68/52/77/979685277.db2.gz XDZPPFOEIGMHNL-UHFFFAOYSA-N 0 3 212.724 2.884 20 0 BFADHN CCOc1ncc(CN(C)C(C)(C)C)cc1F ZINC001236692155 979694755 /nfs/dbraw/zinc/69/47/55/979694755.db2.gz DMOBHMMCBDWSDN-UHFFFAOYSA-N 0 3 240.322 2.850 20 0 BFADHN CCOC1CC[NH+](Cc2occc2[S-])CC1 ZINC001237177470 979827679 /nfs/dbraw/zinc/82/76/79/979827679.db2.gz CKCWHEVNAZOOHF-UHFFFAOYSA-N 0 3 241.356 2.569 20 0 BFADHN C[C@@H]1CCC[N@H+](Cc2occc2[S-])C1 ZINC001237183134 979832665 /nfs/dbraw/zinc/83/26/65/979832665.db2.gz QAZBWNAAAVKURS-SECBINFHSA-N 0 3 211.330 2.800 20 0 BFADHN C[C@@H]1CCC[N@@H+](Cc2occc2[S-])C1 ZINC001237183134 979832666 /nfs/dbraw/zinc/83/26/66/979832666.db2.gz QAZBWNAAAVKURS-SECBINFHSA-N 0 3 211.330 2.800 20 0 BFADHN CSC1CC[NH+](Cc2occc2[S-])CC1 ZINC001237193140 979842926 /nfs/dbraw/zinc/84/29/26/979842926.db2.gz YQXSSLCATPTDBI-UHFFFAOYSA-N 0 3 243.397 2.896 20 0 BFADHN CCc1n[nH]cc1CN1CCCC(F)(F)CC1 ZINC001237651337 980005347 /nfs/dbraw/zinc/00/53/47/980005347.db2.gz JSAARLQHPRMJKR-UHFFFAOYSA-N 0 3 243.301 2.593 20 0 BFADHN CCCCN(CC)Cc1cnc(F)c(OC)c1 ZINC001238446935 980267642 /nfs/dbraw/zinc/26/76/42/980267642.db2.gz BACQEVMCBWKALD-UHFFFAOYSA-N 0 3 240.322 2.851 20 0 BFADHN COc1cc(C)c(C)cc1CN1CC[C@H]1C ZINC001238759313 980317598 /nfs/dbraw/zinc/31/75/98/980317598.db2.gz IXFFBWPVMIHONG-GFCCVEGCSA-N 0 3 219.328 2.906 20 0 BFADHN CS[C@H]1CC[C@@H](N(C)Cc2cc(C)no2)C1 ZINC000075921936 980626480 /nfs/dbraw/zinc/62/64/80/980626480.db2.gz OWHCPSDDRQTKNT-PWSUYJOCSA-N 0 3 240.372 2.699 20 0 BFADHN CSc1ccccc1[C@@H](C)NC/C=C\CO ZINC001346870314 980924102 /nfs/dbraw/zinc/92/41/02/980924102.db2.gz XDLYAGUJICEKED-ISALQUGTSA-N 0 3 237.368 2.608 20 0 BFADHN CC[C@@H]1CCN(Cc2nocc2C)[C@@H]1C ZINC001193603643 981394513 /nfs/dbraw/zinc/39/45/13/981394513.db2.gz CEVMFGCMDDHCFM-GHMZBOCLSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1cccn1C1CC1 ZINC001350328827 981931064 /nfs/dbraw/zinc/93/10/64/981931064.db2.gz YEEDYZZIUIZGQS-WDEREUQCSA-N 0 3 204.317 2.663 20 0 BFADHN COc1cc(F)cc(C2=CCN(C)CC2)c1 ZINC001241316835 982465811 /nfs/dbraw/zinc/46/58/11/982465811.db2.gz FIRDJLNVGDPJHH-UHFFFAOYSA-N 0 3 221.275 2.553 20 0 BFADHN COCc1cccc(C2=CCN(C)CC2)c1 ZINC001241327855 982487612 /nfs/dbraw/zinc/48/76/12/982487612.db2.gz SQQFDKLZNHLNMV-UHFFFAOYSA-N 0 3 217.312 2.552 20 0 BFADHN CC(C)=CCC[C@H](C)CN(C)CC(C)=O ZINC001201488842 983258104 /nfs/dbraw/zinc/25/81/04/983258104.db2.gz YNKNDWGCPWYGCS-LBPRGKRZSA-N 0 3 211.349 2.890 20 0 BFADHN CCC(CC)CN(C)[C@H](C(=O)OC)C(C)C ZINC001201535330 983288317 /nfs/dbraw/zinc/28/83/17/983288317.db2.gz KDPFVKWUBDIWMW-LBPRGKRZSA-N 0 3 229.364 2.552 20 0 BFADHN CCC1CCN(Cc2cn(CC)nc2C)CC1 ZINC000205538230 983840310 /nfs/dbraw/zinc/84/03/10/983840310.db2.gz BMQXREPXPQKFPB-UHFFFAOYSA-N 0 3 235.375 2.833 20 0 BFADHN CC[C@@H](CC(C)C)NCC(=O)c1cccnc1 ZINC001172393436 974744523 /nfs/dbraw/zinc/74/45/23/974744523.db2.gz XJMAMRGJZOWPJT-ZDUSSCGKSA-N 0 3 234.343 2.679 20 0 BFADHN CC(C)OCC[C@@H](C)N[C@@H](C)c1ccno1 ZINC001172441434 974800086 /nfs/dbraw/zinc/80/00/86/974800086.db2.gz WJDMAXVXDXDHSK-MNOVXSKESA-N 0 3 226.320 2.529 20 0 BFADHN CC(C)OCC[C@@H](C)N1CCOC[C@H]1C(C)C ZINC001172456521 974821933 /nfs/dbraw/zinc/82/19/33/974821933.db2.gz OPEFNYJJADIMNN-KGLIPLIRSA-N 0 3 243.391 2.547 20 0 BFADHN CCCC[C@H](C)N(CCCC)Cc1nc[nH]n1 ZINC001327883077 972780704 /nfs/dbraw/zinc/78/07/04/972780704.db2.gz ZEGCFZRIYMOQNF-LBPRGKRZSA-N 0 3 238.379 2.986 20 0 BFADHN CCCC[C@H](C)N(CCCC)Cc1nnc[nH]1 ZINC001327883077 972780713 /nfs/dbraw/zinc/78/07/13/972780713.db2.gz ZEGCFZRIYMOQNF-LBPRGKRZSA-N 0 3 238.379 2.986 20 0 BFADHN CC1=CCN(Cc2cccn2C2CC2)CC1 ZINC001327941130 972821493 /nfs/dbraw/zinc/82/14/93/972821493.db2.gz VVKWMYQKHKPEJX-UHFFFAOYSA-N 0 3 216.328 2.975 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N1C[C@@H](N)[C@@H](F)C1 ZINC001246820617 973118554 /nfs/dbraw/zinc/11/85/54/973118554.db2.gz PASVONVDTPLVSS-FVCCEPFGSA-N 0 3 230.371 2.572 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1ccnc(F)c1 ZINC001328868430 973375842 /nfs/dbraw/zinc/37/58/42/973375842.db2.gz XEMHIPFIHIBRJV-SNVBAGLBSA-N 0 3 222.307 2.841 20 0 BFADHN CC(C)CC[C@@H](C)N1CC[C@](O)(CF)[C@@H](F)C1 ZINC001256366983 970774978 /nfs/dbraw/zinc/77/49/78/970774978.db2.gz UTUDYVFWQJHOST-AGIUHOORSA-N 0 3 249.345 2.556 20 0 BFADHN Cc1nc2ccc(N[C@H]3CCOC[C@H]3C)cc2[nH]1 ZINC000305893640 970806416 /nfs/dbraw/zinc/80/64/16/970806416.db2.gz ZAWDVMCGTMCYTQ-SKDRFNHKSA-N 0 3 245.326 2.708 20 0 BFADHN Cc1nocc1-c1ccc2c(c1)CN(C)CC2 ZINC001243679874 970843367 /nfs/dbraw/zinc/84/33/67/970843367.db2.gz GEBKSJCOTYJHGN-UHFFFAOYSA-N 0 3 228.295 2.638 20 0 BFADHN Cc1cnccc1Nc1cc(C)c(F)c(O)c1 ZINC001216077400 970924553 /nfs/dbraw/zinc/92/45/53/970924553.db2.gz IJIOAUCWNCIQFY-UHFFFAOYSA-N 0 3 232.258 2.709 20 0 BFADHN CCCCC(=O)[C@H](C)N[C@@H](C)Cc1ccncc1 ZINC001256724709 970949931 /nfs/dbraw/zinc/94/99/31/970949931.db2.gz BHVJKQPWMQUHJK-STQMWFEESA-N 0 3 248.370 2.750 20 0 BFADHN Cc1ncc(Nc2cc(F)cc(N(C)C)c2)n1C ZINC001216159918 970961737 /nfs/dbraw/zinc/96/17/37/970961737.db2.gz DLUZKAHYSURTQH-UHFFFAOYSA-N 0 3 248.305 2.677 20 0 BFADHN C[C@@H](Cc1ccncc1)N1CCC(F)(F)CC1 ZINC001256737255 970970206 /nfs/dbraw/zinc/97/02/06/970970206.db2.gz YSRVBXXAIWJHGO-NSHDSACASA-N 0 3 240.297 2.744 20 0 BFADHN Cc1cc(Cl)ncc1Nc1nccn1C ZINC001216276820 971017057 /nfs/dbraw/zinc/01/70/57/971017057.db2.gz IUTFBLJWQOGCIU-UHFFFAOYSA-N 0 3 222.679 2.521 20 0 BFADHN c1nc2cc(C3=CNCCC3)ccc2o1 ZINC001243953059 971050350 /nfs/dbraw/zinc/05/03/50/971050350.db2.gz SVOZLOPZNXJSBP-UHFFFAOYSA-N 0 3 200.241 2.552 20 0 BFADHN COC(=O)c1cc(C2=CNCCC2)c(C)cc1F ZINC001243953105 971050945 /nfs/dbraw/zinc/05/09/45/971050945.db2.gz ULZNDZYJEUDRTB-UHFFFAOYSA-N 0 3 249.285 2.645 20 0 BFADHN CN(C)c1cc(F)cc(C2=CNCCC2)c1 ZINC001243953121 971052780 /nfs/dbraw/zinc/05/27/80/971052780.db2.gz UWVRFEUKQKRSBK-UHFFFAOYSA-N 0 3 220.291 2.616 20 0 BFADHN Cc1cc(NC2=CCCOC2)c2ccccc2n1 ZINC001216504853 971100484 /nfs/dbraw/zinc/10/04/84/971100484.db2.gz UKYYXDYJSSJUDD-UHFFFAOYSA-N 0 3 240.306 2.681 20 0 BFADHN CC(=O)c1cccc(Nc2ccncc2C)c1F ZINC001216496144 971098725 /nfs/dbraw/zinc/09/87/25/971098725.db2.gz OSTQYAPZEUSHKS-UHFFFAOYSA-N 0 3 244.269 2.897 20 0 BFADHN C[C@H]1CC(N(C)Cc2cncs2)C[C@H](C)O1 ZINC001257104079 971114847 /nfs/dbraw/zinc/11/48/47/971114847.db2.gz VBCFNCCJEHXSEO-UWVGGRQHSA-N 0 3 240.372 2.531 20 0 BFADHN C[C@H]1CCCN1Cc1ccc(O)cc1F ZINC000404051139 971120351 /nfs/dbraw/zinc/12/03/51/971120351.db2.gz KARYQLBJHDADJY-VIFPVBQESA-N 0 3 209.264 2.516 20 0 BFADHN Oc1ccc(CN2C3CCC2CC3)c(F)c1 ZINC000404117825 971130025 /nfs/dbraw/zinc/13/00/25/971130025.db2.gz LBPZHPMXFUXOKK-UHFFFAOYSA-N 0 3 221.275 2.658 20 0 BFADHN CCC[C@H](CC)N(C)c1nc(CN)ccc1C ZINC001257244185 971136717 /nfs/dbraw/zinc/13/67/17/971136717.db2.gz KLWGESJRJHTUDR-ZDUSSCGKSA-N 0 3 235.375 2.864 20 0 BFADHN CCC[C@@H](CC)N1CCCc2ccc(CN)nc21 ZINC001257246941 971140825 /nfs/dbraw/zinc/14/08/25/971140825.db2.gz GVVDHDOUDBWSAS-CQSZACIVSA-N 0 3 247.386 2.872 20 0 BFADHN CN1CCC12CN(CCCC1CCCCC1)C2 ZINC001276746430 971153980 /nfs/dbraw/zinc/15/39/80/971153980.db2.gz UEQDSGDUIIPFGE-UHFFFAOYSA-N 0 3 236.403 2.737 20 0 BFADHN CCC[C@H](CC)N1CCCC[C@H]1C(=O)OC ZINC001257259492 971161738 /nfs/dbraw/zinc/16/17/38/971161738.db2.gz MLZPNSUEBNBZJW-RYUDHWBXSA-N 0 3 227.348 2.593 20 0 BFADHN CCCc1cc(CN)nn1[C@@H](C)CCC ZINC001257310245 971203331 /nfs/dbraw/zinc/20/33/31/971203331.db2.gz SJBBDLGFIRLZBZ-JTQLQIEISA-N 0 3 209.337 2.655 20 0 BFADHN CCC[C@@H](C)NCc1cc(OCC2CC2)ncn1 ZINC001257313107 971207359 /nfs/dbraw/zinc/20/73/59/971207359.db2.gz KUXVVHWOTVAUPM-LLVKDONJSA-N 0 3 249.358 2.544 20 0 BFADHN CCC[C@@H](C)N1CCc2nc(Cl)ncc2C1 ZINC001257320413 971219888 /nfs/dbraw/zinc/21/98/88/971219888.db2.gz IAIGMOKGMRIIJF-SECBINFHSA-N 0 3 239.750 2.677 20 0 BFADHN CCCC(CCC)N1CC(=O)N(CC)C[C@H]1C ZINC001257340514 971251854 /nfs/dbraw/zinc/25/18/54/971251854.db2.gz IQLPHVUGDCVWLS-GFCCVEGCSA-N 0 3 240.391 2.508 20 0 BFADHN CC(=O)[C@H](NC1CCCC1)c1ccccc1 ZINC001257342994 971255468 /nfs/dbraw/zinc/25/54/68/971255468.db2.gz VEAUONNWSOOEGG-AWEZNQCLSA-N 0 3 217.312 2.849 20 0 BFADHN c1nc(CNC2CCCC2)cn1CC1CCC1 ZINC001257346499 971258716 /nfs/dbraw/zinc/25/87/16/971258716.db2.gz KYLQWNPRMSXHQI-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN COC(=O)c1cccc2c1CN(C1CCCC1)C2 ZINC001257353298 971267278 /nfs/dbraw/zinc/26/72/78/971267278.db2.gz FRZIIUZNKUYFNR-UHFFFAOYSA-N 0 3 245.322 2.731 20 0 BFADHN C[C@H]1[C@H](C)OC[C@H]1NCc1cc2ccccc2o1 ZINC000405693713 971292707 /nfs/dbraw/zinc/29/27/07/971292707.db2.gz XDNOGWHWZDKQGJ-COPLHBTASA-N 0 3 245.322 2.946 20 0 BFADHN C[C@@H]1OC[C@@H](NCc2cc3ccccc3o2)[C@@H]1C ZINC000405693720 971294424 /nfs/dbraw/zinc/29/44/24/971294424.db2.gz XDNOGWHWZDKQGJ-UHIISALHSA-N 0 3 245.322 2.946 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1CO[C@@H](C)[C@H]1C ZINC000405793898 971308130 /nfs/dbraw/zinc/30/81/30/971308130.db2.gz WKVRROKDWXKNPF-MBNYWOFBSA-N 0 3 249.354 2.517 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1CO[C@@H](C)[C@@H]1C ZINC000405793902 971308528 /nfs/dbraw/zinc/30/85/28/971308528.db2.gz WKVRROKDWXKNPF-SGMGOOAPSA-N 0 3 249.354 2.517 20 0 BFADHN CCCC[C@H](CC)N1CCC(=O)[C@H](F)CC1 ZINC001257419726 971320253 /nfs/dbraw/zinc/32/02/53/971320253.db2.gz ZIEYFCYJDVRIRX-NWDGAFQWSA-N 0 3 229.339 2.958 20 0 BFADHN CC[C@H](C)N1CC2(C1)CC(F)(F)CS2 ZINC001257444140 971358793 /nfs/dbraw/zinc/35/87/93/971358793.db2.gz SHVZHBJQWQCYLA-QMMMGPOBSA-N 0 3 221.316 2.612 20 0 BFADHN CC[C@H](C)N1CCCC(F)(F)[C@H](F)C1 ZINC001257437608 971351220 /nfs/dbraw/zinc/35/12/20/971351220.db2.gz KLLCSYASYXQSSR-DTWKUNHWSA-N 0 3 209.255 2.854 20 0 BFADHN c1ccc(C2(NC3CCCCC3)COC2)cc1 ZINC001257450977 971370336 /nfs/dbraw/zinc/37/03/36/971370336.db2.gz SZOUNTHJLPCHJJ-UHFFFAOYSA-N 0 3 231.339 2.834 20 0 BFADHN NCc1ccc2c(n1)N(C1CCCCC1)CCC2 ZINC001257451859 971371899 /nfs/dbraw/zinc/37/18/99/971371899.db2.gz YOMVDLSPEXWFSM-UHFFFAOYSA-N 0 3 245.370 2.626 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N1CC[C@@H](O)[C@H](F)C1 ZINC001257479596 971404298 /nfs/dbraw/zinc/40/42/98/971404298.db2.gz ZCGXGKSAINPASQ-XJFOESAGSA-N 0 3 245.382 2.996 20 0 BFADHN CCC[C@@H](C)CC[C@@H](C)N(C)C1COC1 ZINC001257482161 971403668 /nfs/dbraw/zinc/40/36/68/971403668.db2.gz OTILCCMQBIPEEW-VXGBXAGGSA-N 0 3 213.365 2.922 20 0 BFADHN CCCCC[C@@H](C)n1nc(CN)cc1C ZINC001257500574 971416120 /nfs/dbraw/zinc/41/61/20/971416120.db2.gz UMDCOCOXTGPGMH-SNVBAGLBSA-N 0 3 209.337 2.792 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1OC[C@H]1COC(C)(C)N1 ZINC001217836820 971430240 /nfs/dbraw/zinc/43/02/40/971430240.db2.gz INWTZYARUFHNJG-AGIUHOORSA-N 0 3 241.375 2.696 20 0 BFADHN CCCC[C@H](CC)OC[C@@H]1COC(C)(C)N1 ZINC001217838822 971432668 /nfs/dbraw/zinc/43/26/68/971432668.db2.gz DHOFDHDDMIRFKH-NEPJUHHUSA-N 0 3 229.364 2.696 20 0 BFADHN CCCCC[C@@H](C)N1CCc2ncncc2C1 ZINC001257514983 971438691 /nfs/dbraw/zinc/43/86/91/971438691.db2.gz IDLJINZORNIDTR-GFCCVEGCSA-N 0 3 233.359 2.804 20 0 BFADHN CCCCC[C@H](C)N(C)Cc1cncnc1 ZINC001257516629 971440604 /nfs/dbraw/zinc/44/06/04/971440604.db2.gz CANXZJKKCFXPFY-LBPRGKRZSA-N 0 3 221.348 2.877 20 0 BFADHN CCCCC[C@@H](C)N1CCOCC12CC2 ZINC001257519241 971443860 /nfs/dbraw/zinc/44/38/60/971443860.db2.gz FCOCLLNYRFSZOT-GFCCVEGCSA-N 0 3 211.349 2.820 20 0 BFADHN CN1CCC[C@@H](c2nnc(C3CCCC3)o2)C1 ZINC001257532330 971450092 /nfs/dbraw/zinc/45/00/92/971450092.db2.gz KKLHGOPDUBSZOB-LLVKDONJSA-N 0 3 235.331 2.536 20 0 BFADHN CC[C@H](C)C[C@H](CC)OC[C@@H]1COC(C)(C)N1 ZINC001217867790 971460694 /nfs/dbraw/zinc/46/06/94/971460694.db2.gz UKDMJWJKHLKKBK-XQQFMLRXSA-N 0 3 243.391 2.942 20 0 BFADHN CC[C@H](C[C@@H](C)CC)OC[C@@H]1COC(C)(C)N1 ZINC001217867791 971461953 /nfs/dbraw/zinc/46/19/53/971461953.db2.gz UKDMJWJKHLKKBK-YNEHKIRRSA-N 0 3 243.391 2.942 20 0 BFADHN C[C@@H]1CC[C@H](OC[C@@H]2COC(C)(C)N2)C[C@H]1C ZINC001217872503 971469072 /nfs/dbraw/zinc/46/90/72/971469072.db2.gz XUPIGERQGFIMIH-LPWJVIDDSA-N 0 3 241.375 2.552 20 0 BFADHN C[C@@H]1CCC[C@@H](C)C1OC[C@@H]1COC(C)(C)N1 ZINC001217893772 971493588 /nfs/dbraw/zinc/49/35/88/971493588.db2.gz SUUKZFQFYCWTAE-IJLUTSLNSA-N 0 3 241.375 2.552 20 0 BFADHN CCCC/C=C/[C@@H](C)OC[C@H]1COC(C)(C)N1 ZINC001217896114 971496662 /nfs/dbraw/zinc/49/66/62/971496662.db2.gz OQFPNXBEQXVIAZ-VSONXHSHSA-N 0 3 241.375 2.862 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)n1cnc(CN)c1 ZINC001258051267 971572773 /nfs/dbraw/zinc/57/27/73/971572773.db2.gz DLEQHIZGCHYRKL-NEPJUHHUSA-N 0 3 223.364 2.975 20 0 BFADHN CC(C)c1ccc(O[C@H]2CCNC[C@@H]2F)cc1 ZINC001218065073 971594071 /nfs/dbraw/zinc/59/40/71/971594071.db2.gz CTKQBFNLNIPBKX-KBPBESRZSA-N 0 3 237.318 2.889 20 0 BFADHN CCc1cccc(C)c1O[C@@H]1CCNC[C@@H]1F ZINC001218067366 971594599 /nfs/dbraw/zinc/59/45/99/971594599.db2.gz AKMJSFMAKBZJJO-QWHCGFSZSA-N 0 3 237.318 2.636 20 0 BFADHN Cc1cccc(Cl)c1O[C@H]1CCNC[C@H]1F ZINC001218064442 971595543 /nfs/dbraw/zinc/59/55/43/971595543.db2.gz LCQQFHVWDPWRSU-MNOVXSKESA-N 0 3 243.709 2.727 20 0 BFADHN Cc1ccc(Cl)cc1O[C@H]1CCNC[C@H]1F ZINC001218064482 971592623 /nfs/dbraw/zinc/59/26/23/971592623.db2.gz LNEFLOBDMUPTOI-MNOVXSKESA-N 0 3 243.709 2.727 20 0 BFADHN F[C@H]1CNCC[C@@H]1Oc1cccc2ccccc21 ZINC001218064765 971592669 /nfs/dbraw/zinc/59/26/69/971592669.db2.gz OZDFQLKDTWNKIQ-ZFWWWQNUSA-N 0 3 245.297 2.919 20 0 BFADHN CC1(C)[C@@H]2C[C@@H](NCC(=O)c3ccccc3)C[C@@H]21 ZINC001170716815 971637627 /nfs/dbraw/zinc/63/76/27/971637627.db2.gz XTRDTDBABVRFPF-ZSOGYDGISA-N 0 3 243.350 2.894 20 0 BFADHN Cc1cnc(CN[C@@H]2C[C@@H]3[C@H](C2)C3(C)C)s1 ZINC001170729279 971668101 /nfs/dbraw/zinc/66/81/01/971668101.db2.gz BRIUCOHYHJTSNP-RTCCRHLQSA-N 0 3 236.384 2.976 20 0 BFADHN Cc1cnc(CN[C@H]2C[C@@H]3[C@H](C2)C3(C)C)s1 ZINC001170729278 971667168 /nfs/dbraw/zinc/66/71/68/971667168.db2.gz BRIUCOHYHJTSNP-JGPRNRPPSA-N 0 3 236.384 2.976 20 0 BFADHN CC(C)N1CC[C@@H](Oc2ccc(F)cc2F)C1 ZINC001225314139 974392133 /nfs/dbraw/zinc/39/21/33/974392133.db2.gz DGZLLHFNHUKTGL-LLVKDONJSA-N 0 3 241.281 2.826 20 0 BFADHN Cc1cc(F)cc(O[C@H]2CNCCC2(F)F)c1 ZINC001218447754 971821228 /nfs/dbraw/zinc/82/12/28/971821228.db2.gz LMOBOVQPQGVWGP-NSHDSACASA-N 0 3 245.244 2.510 20 0 BFADHN Cc1cccc(O[C@@H]2CNCCC2(F)F)c1F ZINC001218449263 971822514 /nfs/dbraw/zinc/82/25/14/971822514.db2.gz SSJSGLOBCZBCQT-SNVBAGLBSA-N 0 3 245.244 2.510 20 0 BFADHN CC[C@H](C)OCC[C@H](C)n1ccc([C@@H](C)N)n1 ZINC001172325346 974536831 /nfs/dbraw/zinc/53/68/31/974536831.db2.gz UORLLKVLASTRDV-SDDRHHMPSA-N 0 3 239.363 2.669 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)N1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001172331113 974595730 /nfs/dbraw/zinc/59/57/30/974595730.db2.gz PBQXTHCLNNJXTH-ZUWCUPBKSA-N 0 3 243.366 2.870 20 0 BFADHN Cc1nc2c(n1[C@@H]1CC[C@H](C)[C@H](C)C1)CNCC2 ZINC000310983755 971989710 /nfs/dbraw/zinc/98/97/10/971989710.db2.gz KCKMPNDWMVWXED-DMDPSCGWSA-N 0 3 247.386 2.834 20 0 BFADHN COc1ccc(Cl)cc1-c1ccc(CN)nc1 ZINC001245812438 972026165 /nfs/dbraw/zinc/02/61/65/972026165.db2.gz INNSSYBSCRYCOX-UHFFFAOYSA-N 0 3 248.713 2.869 20 0 BFADHN F[C@@H]1C[C@H]1N[C@H]1CCc2cccc(Cl)c2C1 ZINC001170901190 972069161 /nfs/dbraw/zinc/06/91/61/972069161.db2.gz BMHOEIMXBQERPE-ZWKOPEQDSA-N 0 3 239.721 2.897 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1C[C@@H](C)OC[C@@H]1C ZINC001172348105 974618216 /nfs/dbraw/zinc/61/82/16/974618216.db2.gz OAXLZJHJEUEYJH-XDQVBPFNSA-N 0 3 243.391 2.689 20 0 BFADHN N#Cc1ccc(CN2C[C@H]3CC[C@@H]2C3)cc1F ZINC000191957318 972115022 /nfs/dbraw/zinc/11/50/22/972115022.db2.gz KTMNXXMOULULPL-GXFFZTMASA-N 0 3 230.286 2.682 20 0 BFADHN CCOCC[C@@H](C)NCc1c(F)cccc1F ZINC001172405804 974661386 /nfs/dbraw/zinc/66/13/86/974661386.db2.gz DTZUMBNWRQTRNG-SNVBAGLBSA-N 0 3 243.297 2.870 20 0 BFADHN COc1ccc2c(c1)CN(CCC1CC1)C2 ZINC001208685132 957124531 /nfs/dbraw/zinc/12/45/31/957124531.db2.gz STTVILGNRHAJOA-UHFFFAOYSA-N 0 3 217.312 2.811 20 0 BFADHN Cc1nc2c(s1)CN(CCC1CC1)CC2 ZINC001208684987 957125817 /nfs/dbraw/zinc/12/58/17/957125817.db2.gz NLYDOYMAUZHOHT-UHFFFAOYSA-N 0 3 222.357 2.610 20 0 BFADHN CC/C=C\CCN1CC(c2cccnc2)C1 ZINC001208729548 957148659 /nfs/dbraw/zinc/14/86/59/957148659.db2.gz BFXWZQOBSWNXOJ-ARJAWSKDSA-N 0 3 216.328 2.837 20 0 BFADHN OCc1cnccc1N1CCC[C@H](C2CCC2)C1 ZINC000692979384 957194392 /nfs/dbraw/zinc/19/43/92/957194392.db2.gz WFZOJEIVADQJHT-ZDUSSCGKSA-N 0 3 246.354 2.590 20 0 BFADHN COCCN1CCC[C@@H]1c1ccccc1F ZINC001209212862 957356416 /nfs/dbraw/zinc/35/64/16/957356416.db2.gz XGPKUFSPDZNSMN-CYBMUJFWSA-N 0 3 223.291 2.609 20 0 BFADHN Cc1ncsc1CN1CC[C@](C)(CF)C1 ZINC001206863414 957493984 /nfs/dbraw/zinc/49/39/84/957493984.db2.gz FWGBCYISLGQDQA-LLVKDONJSA-N 0 3 228.336 2.633 20 0 BFADHN C[C@@H]1CN(Cc2cncs2)C2(CC2)C1 ZINC001209550314 957497524 /nfs/dbraw/zinc/49/75/24/957497524.db2.gz AXUJXSFHBRHLOP-VIFPVBQESA-N 0 3 208.330 2.518 20 0 BFADHN CC(C)=CCNCc1cccc2c1OCC2 ZINC000693060172 957598191 /nfs/dbraw/zinc/59/81/91/957598191.db2.gz HFTKQVBQDWVZAE-UHFFFAOYSA-N 0 3 217.312 2.677 20 0 BFADHN Cc1ncc(Nc2cccc(C)c2F)n1C ZINC001210037531 957621380 /nfs/dbraw/zinc/62/13/80/957621380.db2.gz SKTZTURVYGDKQK-UHFFFAOYSA-N 0 3 219.263 2.920 20 0 BFADHN C[C@H]1CN(Cc2cn3ccccc3n2)C2(CC2)C1 ZINC001136883132 972292458 /nfs/dbraw/zinc/29/24/58/972292458.db2.gz ZHEIYIDXIXMZJW-GFCCVEGCSA-N 0 3 241.338 2.709 20 0 BFADHN Cc1ccn2c(CN3C4CCC3CC4)cnc2c1 ZINC001136890206 972294080 /nfs/dbraw/zinc/29/40/80/972294080.db2.gz SXCOEYHCTDQNET-UHFFFAOYSA-N 0 3 241.338 2.770 20 0 BFADHN Cc1ccn2c(CN(C)C3CC(C)C3)cnc2c1 ZINC001136885390 972295779 /nfs/dbraw/zinc/29/57/79/972295779.db2.gz TWVUCHNXCRIEHT-UHFFFAOYSA-N 0 3 243.354 2.873 20 0 BFADHN CCOc1cncc(Nc2ccnc(CC)c2)c1 ZINC001210662693 957842828 /nfs/dbraw/zinc/84/28/28/957842828.db2.gz JYTUGWWPLQFKPL-UHFFFAOYSA-N 0 3 243.310 2.603 20 0 BFADHN CSc1cncc(Nc2cnc(C)n2C)c1 ZINC001211070719 958014108 /nfs/dbraw/zinc/01/41/08/958014108.db2.gz HRZKMSCIIXYCHS-UHFFFAOYSA-N 0 3 234.328 2.589 20 0 BFADHN COc1ncc(CN2CCC(C)(C)C2)cc1C ZINC001137004784 972319309 /nfs/dbraw/zinc/31/93/09/972319309.db2.gz NGXMTJMUUYISNY-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN CSCCN(C)Cc1cc(Cl)ccc1N ZINC000167317600 958044377 /nfs/dbraw/zinc/04/43/77/958044377.db2.gz WTAFSPQHCQKRDP-UHFFFAOYSA-N 0 3 244.791 2.717 20 0 BFADHN COc1ccc(CNC/C=C/Cl)cc1 ZINC000234842740 958069966 /nfs/dbraw/zinc/06/99/66/958069966.db2.gz JUBCDALNLHZQIN-FARCUNLSSA-N 0 3 211.692 2.537 20 0 BFADHN [O-]c1ccc(C[NH2+][C@]23C[C@H]2CCCC3)cc1F ZINC000693284333 958164113 /nfs/dbraw/zinc/16/41/13/958164113.db2.gz NTWXTBXQUMRIDX-BXUZGUMPSA-N 0 3 235.302 2.954 20 0 BFADHN CC[C@@H](C)N(C)Cc1ncc(C(F)(F)F)[nH]1 ZINC001137011883 972328637 /nfs/dbraw/zinc/32/86/37/972328637.db2.gz JPOUEPPMUNQMFK-SSDOTTSWSA-N 0 3 235.253 2.659 20 0 BFADHN Cc1ncccc1CN1CC2CCC1CC2 ZINC001207155147 958234681 /nfs/dbraw/zinc/23/46/81/958234681.db2.gz NDTVPIFMNIKADK-UHFFFAOYSA-N 0 3 216.328 2.764 20 0 BFADHN COc1c(F)cc(CN(C)C)cc1Cl ZINC001143837678 972371444 /nfs/dbraw/zinc/37/14/44/972371444.db2.gz YLVYVAZTALXWPP-UHFFFAOYSA-N 0 3 217.671 2.549 20 0 BFADHN Cc1cc(CNC[C@]23C[C@H]2CCCC3)on1 ZINC000693587102 958892601 /nfs/dbraw/zinc/89/26/01/958892601.db2.gz BGESADKJMFTDEO-YPMHNXCESA-N 0 3 220.316 2.653 20 0 BFADHN CN(C/C=C\Cl)CC1(O)CCCCCC1 ZINC000588527888 958957480 /nfs/dbraw/zinc/95/74/80/958957480.db2.gz LXDGRHUOJCFNPF-TWGQIWQCSA-N 0 3 231.767 2.756 20 0 BFADHN Cc1ncc(Nc2cccc(Cl)c2N)n1C ZINC001216527392 959034725 /nfs/dbraw/zinc/03/47/25/959034725.db2.gz CVMCGMCKBCHXPF-UHFFFAOYSA-N 0 3 236.706 2.708 20 0 BFADHN CC[C@@H](C)[C@H](C)N1CCCc2c(cnn2C)C1 ZINC001171120254 972406018 /nfs/dbraw/zinc/40/60/18/972406018.db2.gz RIRNKWNDRIPDDA-NEPJUHHUSA-N 0 3 235.375 2.603 20 0 BFADHN COC(C)(C)CN(C)Cc1ccnc(Cl)c1 ZINC000404360926 959234372 /nfs/dbraw/zinc/23/43/72/959234372.db2.gz PMJUMACMNBROJS-UHFFFAOYSA-N 0 3 242.750 2.592 20 0 BFADHN CN(Cc1c[nH]cn1)C[C@H]1CC2CCC1CC2 ZINC000724775071 959303176 /nfs/dbraw/zinc/30/31/76/959303176.db2.gz UVMRQLXKHVQBBJ-WXRRBKDZSA-N 0 3 233.359 2.668 20 0 BFADHN CN(Cc1c[nH]cn1)C[C@@H]1CC2CCC1CC2 ZINC000724775068 959303501 /nfs/dbraw/zinc/30/35/01/959303501.db2.gz UVMRQLXKHVQBBJ-BPCQOVAHSA-N 0 3 233.359 2.668 20 0 BFADHN C[C@@H]1C[C@@H](n2cnc3c2CNCC3)CC(C)(C)C1 ZINC000708329791 959337896 /nfs/dbraw/zinc/33/78/96/959337896.db2.gz PCPDCXDTBJQWEN-VXGBXAGGSA-N 0 3 247.386 2.916 20 0 BFADHN C[C@H]1C[C@H](n2cncc2CN)CC(C)(C)C1 ZINC000708361539 959372581 /nfs/dbraw/zinc/37/25/81/959372581.db2.gz BXDNQDRMRJKSRM-QWRGUYRKSA-N 0 3 221.348 2.729 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1ncccn1 ZINC001351317820 959591798 /nfs/dbraw/zinc/59/17/98/959591798.db2.gz ACGCIFWKPAIRDL-XQHKEYJVSA-N 0 3 233.359 2.952 20 0 BFADHN COC(C)(C)[C@H](C)N[C@@H](C)c1ccco1 ZINC000301039382 959685071 /nfs/dbraw/zinc/68/50/71/959685071.db2.gz YOKJHJNCOWVKQI-UWVGGRQHSA-N 0 3 211.305 2.744 20 0 BFADHN C[C@@H]1CCC[C@H](OC[C@H]2COC(C)(C)N2)[C@H]1C ZINC001217892537 959722304 /nfs/dbraw/zinc/72/23/04/959722304.db2.gz HDRMDCQLCCOEQC-VOAKCMCISA-N 0 3 241.375 2.552 20 0 BFADHN Cc1ccc(C)c(O[C@@H]2CCNC[C@@H]2F)c1C ZINC001218064884 959850936 /nfs/dbraw/zinc/85/09/36/959850936.db2.gz PWKCBJAOWRRZHX-QWHCGFSZSA-N 0 3 237.318 2.691 20 0 BFADHN Cc1c(Cl)cccc1O[C@H]1CCNC[C@H]1F ZINC001218063683 959852050 /nfs/dbraw/zinc/85/20/50/959852050.db2.gz CCIDKCKBDLRFAT-PWSUYJOCSA-N 0 3 243.709 2.727 20 0 BFADHN F[C@@H]1CNCC[C@H]1Oc1cccc2c1CCCC2 ZINC001218066306 959854183 /nfs/dbraw/zinc/85/41/83/959854183.db2.gz KNUFUWBGNCANQL-UKRRQHHQSA-N 0 3 249.329 2.644 20 0 BFADHN C[C@@H](Oc1cccc2c1CNC2)C1CCC1 ZINC001218201375 959891642 /nfs/dbraw/zinc/89/16/42/959891642.db2.gz HLSIXNHOGZWGON-SNVBAGLBSA-N 0 3 217.312 2.857 20 0 BFADHN COc1ccc(CN(C)C)c(C(F)(F)F)c1 ZINC001143471691 959931215 /nfs/dbraw/zinc/93/12/15/959931215.db2.gz KTTRZESJEQOXQW-UHFFFAOYSA-N 0 3 233.233 2.776 20 0 BFADHN CC1(C)CCN(Cc2c(N)cccc2F)C1 ZINC000087232654 959965110 /nfs/dbraw/zinc/96/51/10/959965110.db2.gz CDDKVMHJCDQHIT-UHFFFAOYSA-N 0 3 222.307 2.640 20 0 BFADHN C[C@@H]1CC[C@H](n2cnc3c2CNCC3)C[C@@H]1C ZINC000310314350 960181654 /nfs/dbraw/zinc/18/16/54/960181654.db2.gz FJABDNPYICBRHI-WOPDTQHZSA-N 0 3 233.359 2.526 20 0 BFADHN CCOc1c(F)cc(CN(C)CC)cc1F ZINC001144019920 972487114 /nfs/dbraw/zinc/48/71/14/972487114.db2.gz YSMSFTDONOXVHQ-UHFFFAOYSA-N 0 3 229.270 2.815 20 0 BFADHN c1nc(CN2CCC[C@@H]3CCCC[C@@H]32)co1 ZINC001141129467 960354218 /nfs/dbraw/zinc/35/42/18/960354218.db2.gz JLMYQACNBRECRE-AAEUAGOBSA-N 0 3 220.316 2.829 20 0 BFADHN c1nc(CN[C@H]2CCCC3(CC3)C2)cs1 ZINC000695757619 960429097 /nfs/dbraw/zinc/42/90/97/960429097.db2.gz BGSMGSKTKZQIPL-JTQLQIEISA-N 0 3 222.357 2.956 20 0 BFADHN CO[C@H](C)C1CN(Cc2cc(F)ccc2C)C1 ZINC001140592295 960444333 /nfs/dbraw/zinc/44/43/33/960444333.db2.gz FOKGTUWTEUYHJM-LLVKDONJSA-N 0 3 237.318 2.601 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@@]2(CCCO2)C1 ZINC001140589799 960444852 /nfs/dbraw/zinc/44/48/52/960444852.db2.gz NFEKYLQKBLYYJV-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1ccc(F)cc1CN1C[C@H]2OC[C@@H](C)[C@H]2C1 ZINC001140594697 960448943 /nfs/dbraw/zinc/44/89/43/960448943.db2.gz JKSZROAWRLPKQT-KCPJHIHWSA-N 0 3 249.329 2.601 20 0 BFADHN c1cc(CN2CCOC[C@@H]2C2CCCCC2)c[nH]1 ZINC001140708143 960517787 /nfs/dbraw/zinc/51/77/87/960517787.db2.gz QBKONWNPGRINNE-OAHLLOKOSA-N 0 3 248.370 2.796 20 0 BFADHN CC(=O)[C@H]1CCN(Cc2ccc(F)cc2C)C1 ZINC001140782019 960571727 /nfs/dbraw/zinc/57/17/27/960571727.db2.gz ZTQASQUBSQCVSG-ZDUSSCGKSA-N 0 3 235.302 2.545 20 0 BFADHN Cc1c[nH]c(CN2CCC(C)(F)CC2)c1C ZINC001140858615 960636101 /nfs/dbraw/zinc/63/61/01/960636101.db2.gz LKYCJKCMIUTTQJ-UHFFFAOYSA-N 0 3 224.323 2.956 20 0 BFADHN Cn1ncc(C2CCC2)c1CNC/C=C/C1CC1 ZINC001327253251 972521648 /nfs/dbraw/zinc/52/16/48/972521648.db2.gz FDOLUXIISSHCLJ-ONEGZZNKSA-N 0 3 245.370 2.743 20 0 BFADHN Nc1cccc(F)c1CN1CCC[C@H](F)CC1 ZINC001140873399 960656313 /nfs/dbraw/zinc/65/63/13/960656313.db2.gz XOSFDMQSILJMIF-JTQLQIEISA-N 0 3 240.297 2.732 20 0 BFADHN C[C@H]1CCCN(Cc2ccc(O)c(F)c2F)C1 ZINC001140890142 960670289 /nfs/dbraw/zinc/67/02/89/960670289.db2.gz WYHDPGXWRBJHDU-VIFPVBQESA-N 0 3 241.281 2.902 20 0 BFADHN Cc1cc(CN2CC[C@H]3CCCC[C@H]3C2)[nH]n1 ZINC001203077094 960683575 /nfs/dbraw/zinc/68/35/75/960683575.db2.gz RSLXSCGQTFNVQZ-OLZOCXBDSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3CCCC[C@@H]32)[nH]n1 ZINC001203077296 960687892 /nfs/dbraw/zinc/68/78/92/960687892.db2.gz WOEBDCRCQJXGLF-JSGCOSHPSA-N 0 3 233.359 2.873 20 0 BFADHN C[C@H]1CC[C@H](N(C)Cc2cnco2)CC1 ZINC001140935675 960725717 /nfs/dbraw/zinc/72/57/17/960725717.db2.gz WVJUBSKSSRFUBB-XYPYZODXSA-N 0 3 208.305 2.685 20 0 BFADHN CN(Cc1c[nH]nc1C(F)(F)F)C1CCCC1 ZINC001141016277 960818224 /nfs/dbraw/zinc/81/82/24/960818224.db2.gz VLZPSVCFVGNCBP-UHFFFAOYSA-N 0 3 247.264 2.803 20 0 BFADHN Oc1cccc2ccc(CN3CCCC3)nc12 ZINC001141018874 960819727 /nfs/dbraw/zinc/81/97/27/960819727.db2.gz NZSHINJJHMLXCH-UHFFFAOYSA-N 0 3 228.295 2.536 20 0 BFADHN Cc1ccncc1CN1CCC2(CCCO2)CC1 ZINC001141035100 960825913 /nfs/dbraw/zinc/82/59/13/960825913.db2.gz FPVBXDAWBMEHGX-UHFFFAOYSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1ncoc1CN(C)CCc1ccccc1 ZINC001141050931 960842284 /nfs/dbraw/zinc/84/22/84/960842284.db2.gz LAWLJKKMBPDZIX-UHFFFAOYSA-N 0 3 230.311 2.658 20 0 BFADHN CCCCc1nc(CN2CCC(C)(C)C2)c[nH]1 ZINC001141070066 960860608 /nfs/dbraw/zinc/86/06/08/960860608.db2.gz NQQXBBZLGAZHHP-UHFFFAOYSA-N 0 3 235.375 2.984 20 0 BFADHN CCCCc1nc(CN2CCC[C@@H]3C[C@@H]32)c[nH]1 ZINC001141074013 960864248 /nfs/dbraw/zinc/86/42/48/960864248.db2.gz SSWDYSLTDDMKNT-YPMHNXCESA-N 0 3 233.359 2.737 20 0 BFADHN CN(C)Cc1ccnc(-c2ccc(F)cc2)c1 ZINC001141115736 960871399 /nfs/dbraw/zinc/87/13/99/960871399.db2.gz HBEVGUPIIZATSX-UHFFFAOYSA-N 0 3 230.286 2.949 20 0 BFADHN COc1c(F)cccc1CN1CC[C@@H](C)C1 ZINC001143522497 960904344 /nfs/dbraw/zinc/90/43/44/960904344.db2.gz XOAMLIKTHZMEKG-SNVBAGLBSA-N 0 3 223.291 2.676 20 0 BFADHN Cc1ccc(CN2C[C@H]3[C@H](CF)[C@H]3C2)c(F)c1 ZINC001141196248 960931115 /nfs/dbraw/zinc/93/11/15/960931115.db2.gz NMSZEAMIFLEWMH-XYYAHUGASA-N 0 3 237.293 2.781 20 0 BFADHN C[C@H](NCC1SCCS1)c1cncs1 ZINC000692889284 961180778 /nfs/dbraw/zinc/18/07/78/961180778.db2.gz VUHNBGWJQLZTCA-ZETCQYMHSA-N 0 3 246.426 2.600 20 0 BFADHN CCc1cc(CN2CCCCCC2)on1 ZINC001203211602 961303413 /nfs/dbraw/zinc/30/34/13/961303413.db2.gz FVRODPCJRIYKFY-UHFFFAOYSA-N 0 3 208.305 2.613 20 0 BFADHN CCN(Cc1[nH]cnc1C(C)(C)C)C(C)C ZINC001142209927 961343615 /nfs/dbraw/zinc/34/36/15/961343615.db2.gz ZOIZGGLUQHNEAK-UHFFFAOYSA-N 0 3 223.364 2.938 20 0 BFADHN N=CNc1ccnc2ccc(C(F)(F)F)cc21 ZINC001167868138 961361260 /nfs/dbraw/zinc/36/12/60/961361260.db2.gz GDRFGKUYFNYBCP-UHFFFAOYSA-N 0 3 239.200 2.694 20 0 BFADHN Clc1cccnc1CN[C@H]1C[C@H]2C[C@H]2C1 ZINC001167901540 961636391 /nfs/dbraw/zinc/63/63/91/961636391.db2.gz FTKSKTTWNOFTFK-MYJAWHEDSA-N 0 3 222.719 2.623 20 0 BFADHN CCN(CC)Cc1cc(-c2ccccc2)nn1C ZINC001143004352 961860507 /nfs/dbraw/zinc/86/05/07/961860507.db2.gz JQKNIMDJEXPLGT-UHFFFAOYSA-N 0 3 243.354 2.929 20 0 BFADHN CC1(F)CCN(Cc2ccc3nccn3c2)CC1 ZINC001143019196 961866068 /nfs/dbraw/zinc/86/60/68/961866068.db2.gz ZVZFXEJANHLETH-UHFFFAOYSA-N 0 3 247.317 2.658 20 0 BFADHN C[C@@H]1CC[N@H+](Cc2ccc(O)cc2[O-])[C@H](C)C1 ZINC000092762275 961875227 /nfs/dbraw/zinc/87/52/27/961875227.db2.gz RZXWBVZXTYYDSS-GHMZBOCLSA-N 0 3 235.327 2.718 20 0 BFADHN C[C@@H]1CC[N@@H+](Cc2ccc(O)cc2[O-])[C@H](C)C1 ZINC000092762275 961875231 /nfs/dbraw/zinc/87/52/31/961875231.db2.gz RZXWBVZXTYYDSS-GHMZBOCLSA-N 0 3 235.327 2.718 20 0 BFADHN COc1cc(C)cc(OC)c1CN1CCC[C@@H]1C ZINC001143132770 961885719 /nfs/dbraw/zinc/88/57/19/961885719.db2.gz JTFFKRNJUFJLKC-LBPRGKRZSA-N 0 3 249.354 2.997 20 0 BFADHN C[C@@]1(CF)CCN(Cc2cc3cccnc3[nH]2)C1 ZINC001143216503 961947324 /nfs/dbraw/zinc/94/73/24/961947324.db2.gz FZRUNIONCPHDKK-AWEZNQCLSA-N 0 3 247.317 2.744 20 0 BFADHN FC[C@H]1CCCN(Cc2cc3cccnc3[nH]2)C1 ZINC001143224685 961959703 /nfs/dbraw/zinc/95/97/03/961959703.db2.gz YTKZAJGBUODSEG-LLVKDONJSA-N 0 3 247.317 2.744 20 0 BFADHN Cc1ccc(C)c(-n2ccc([C@@H](C)N)n2)c1 ZINC000709965497 961978848 /nfs/dbraw/zinc/97/88/48/961978848.db2.gz YIJWHQGWEJVSRT-LLVKDONJSA-N 0 3 215.300 2.509 20 0 BFADHN COc1cc(CN(C)C)ccc1C(F)(F)F ZINC001143342758 962032332 /nfs/dbraw/zinc/03/23/32/962032332.db2.gz VTADYJBEQZFXTF-UHFFFAOYSA-N 0 3 233.233 2.776 20 0 BFADHN C[C@H]1CCC[C@H](C)[NH+]1Cc1ccc([O-])cn1 ZINC000132435956 962428942 /nfs/dbraw/zinc/42/89/42/962428942.db2.gz RHXOWEFVDSMGAV-QWRGUYRKSA-N 0 3 220.316 2.550 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1Cc1ccc(F)nc1 ZINC001137741181 962720642 /nfs/dbraw/zinc/72/06/42/962720642.db2.gz PBZIRPFSHQXDJW-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN Clc1cnc2c(c1)CN([C@H]1C[C@H]3C[C@H]3C1)CC2 ZINC001167955800 962799957 /nfs/dbraw/zinc/79/99/57/962799957.db2.gz HNRQYXJEKVSPPQ-IWIIMEHWSA-N 0 3 248.757 2.892 20 0 BFADHN C[C@H]1SCC[C@@H]1Nc1cc(N)ncc1Cl ZINC000894364366 962966840 /nfs/dbraw/zinc/96/68/40/962966840.db2.gz RUDDAORELANFMQ-SVRRBLITSA-N 0 3 243.763 2.623 20 0 BFADHN Cc1cc(F)cc(CN2CCC[C@@]3(CCO3)C2)c1 ZINC001143589889 963384280 /nfs/dbraw/zinc/38/42/80/963384280.db2.gz ITQLIFQTZXZJMO-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1ccc(NCc2ccc(N)nc2C)nc1C ZINC001156975093 963505762 /nfs/dbraw/zinc/50/57/62/963505762.db2.gz ZSYFLKMPRHNTAK-UHFFFAOYSA-N 0 3 242.326 2.596 20 0 BFADHN Cc1cc(C)nc(NCc2ccc(N)nc2C)c1 ZINC001156974564 963506681 /nfs/dbraw/zinc/50/66/81/963506681.db2.gz ONWDODAYJVLITC-UHFFFAOYSA-N 0 3 242.326 2.596 20 0 BFADHN CC[C@H](C)Nc1ncccc1CN1CCCC1 ZINC001157237268 963559614 /nfs/dbraw/zinc/55/96/14/963559614.db2.gz FSZZYLXPMJVWFX-LBPRGKRZSA-N 0 3 233.359 2.888 20 0 BFADHN Cn1cncc1N[C@@H]1C[C@H]1c1ccc(F)c(F)c1 ZINC001168065566 963560537 /nfs/dbraw/zinc/56/05/37/963560537.db2.gz GZDQQGASDVBYMP-JOYOIKCWSA-N 0 3 249.264 2.666 20 0 BFADHN CC(=O)c1cc(C)ccc1O[C@H](C)CN(C)C ZINC000713982838 963570800 /nfs/dbraw/zinc/57/08/00/963570800.db2.gz JUMXIHNHHRECIC-LLVKDONJSA-N 0 3 235.327 2.527 20 0 BFADHN C[C@H](CN(C)C)Oc1cccc2c1CCCC2=O ZINC000713983268 963571222 /nfs/dbraw/zinc/57/12/22/963571222.db2.gz LDNPKDNKIGFVPW-LLVKDONJSA-N 0 3 247.338 2.535 20 0 BFADHN Nc1cccc(N[C@H]2CCCc3cccnc32)n1 ZINC001157842329 963744729 /nfs/dbraw/zinc/74/47/29/963744729.db2.gz FGUPIGHRMDQVHK-NSHDSACASA-N 0 3 240.310 2.548 20 0 BFADHN Cc1ccc([C@@H](C)Nc2cccc(N)n2)nc1 ZINC001158014835 963790742 /nfs/dbraw/zinc/79/07/42/963790742.db2.gz RUMLEWZAJVHEMU-SNVBAGLBSA-N 0 3 228.299 2.540 20 0 BFADHN C[C@H](N)c1ccn(-c2ccc(C(C)(C)C)cn2)n1 ZINC001158356016 963854846 /nfs/dbraw/zinc/85/48/46/963854846.db2.gz MHTJSUVFZPQKAL-JTQLQIEISA-N 0 3 244.342 2.585 20 0 BFADHN COc1cc(C)ccc1CN[C@@H]1CO[C@@H](C)[C@H]1C ZINC000925261174 963876994 /nfs/dbraw/zinc/87/69/94/963876994.db2.gz SZVUQIVEGXSNBQ-MBNYWOFBSA-N 0 3 249.354 2.517 20 0 BFADHN CC1CCC(NC2(c3cnccn3)CC2)CC1 ZINC001168206493 963985202 /nfs/dbraw/zinc/98/52/02/963985202.db2.gz WDRFTMDLVGTGON-UHFFFAOYSA-N 0 3 231.343 2.634 20 0 BFADHN Fc1cc(Cl)cnc1NC1=CNCCC1 ZINC001159142819 964008669 /nfs/dbraw/zinc/00/86/69/964008669.db2.gz DHMLRWAVJSAKMG-UHFFFAOYSA-N 0 3 227.670 2.511 20 0 BFADHN CC(C)(C)c1cc(N=C2CCCNC2)sn1 ZINC001159281166 964050740 /nfs/dbraw/zinc/05/07/40/964050740.db2.gz PREIMYXOHSSIDE-UHFFFAOYSA-N 0 3 237.372 2.730 20 0 BFADHN CCCCC=Nc1cc([C@@H]2CCCN2)no1 ZINC001159304804 964058205 /nfs/dbraw/zinc/05/82/05/964058205.db2.gz CUMXECNUSVPQOX-QBBOHKLWSA-N 0 3 221.304 2.825 20 0 BFADHN c1c(N=C2CCCCC2)onc1[C@H]1CCCN1 ZINC001159304917 964059215 /nfs/dbraw/zinc/05/92/15/964059215.db2.gz DGHMDGLEJBHICU-LLVKDONJSA-N 0 3 233.315 2.969 20 0 BFADHN c1cc(NC2=CNCCC2)nc(C2CCC2)c1 ZINC001159365573 964066968 /nfs/dbraw/zinc/06/69/68/964066968.db2.gz INCOFURGTVHPSU-UHFFFAOYSA-N 0 3 229.327 2.986 20 0 BFADHN CC(C)c1cccc(Nc2ccncc2CN)c1 ZINC001159430751 964090241 /nfs/dbraw/zinc/09/02/41/964090241.db2.gz HPBYYEFNUYGENM-UHFFFAOYSA-N 0 3 241.338 2.829 20 0 BFADHN CN1CCC=C(Nc2ccc3[nH]ccc3c2)C1 ZINC001159563803 964124636 /nfs/dbraw/zinc/12/46/36/964124636.db2.gz MGHXMKJQWXMQNB-UHFFFAOYSA-N 0 3 227.311 2.799 20 0 BFADHN [O-]c1cc(O)ccc1C[NH+]1C[C@@H]2CCCC[C@H]2C1 ZINC000093441837 964128246 /nfs/dbraw/zinc/12/82/46/964128246.db2.gz XNAMVLWDPYBOQM-RYUDHWBXSA-N 0 3 247.338 2.720 20 0 BFADHN CN1CCC=C(Nc2cccc3[nH]ccc32)C1 ZINC001159933157 964229025 /nfs/dbraw/zinc/22/90/25/964229025.db2.gz NWWIDKDVPBUPAE-UHFFFAOYSA-N 0 3 227.311 2.799 20 0 BFADHN CCOCC[C@H](C)N[C@@H](C)c1csc(C)n1 ZINC001172412455 974677108 /nfs/dbraw/zinc/67/71/08/974677108.db2.gz XYBZHGGDPFYOPJ-UWVGGRQHSA-N 0 3 242.388 2.917 20 0 BFADHN Cc1nc(N[C@@H]2CCC(C)(C)C2)cc(N(C)C)n1 ZINC001161033108 964487065 /nfs/dbraw/zinc/48/70/65/964487065.db2.gz ZIBRWFFHCXOOKE-LLVKDONJSA-N 0 3 248.374 2.842 20 0 BFADHN Cc1c2[nH]cnc2ccc1NCCOC(C)C ZINC001161788875 964756859 /nfs/dbraw/zinc/75/68/59/964756859.db2.gz YSPDCUCBSOSPHH-UHFFFAOYSA-N 0 3 233.315 2.708 20 0 BFADHN Cc1ccc(C)c(CCNc2cncn2C)c1 ZINC001161829998 964775067 /nfs/dbraw/zinc/77/50/67/964775067.db2.gz IURUOHNBNDXGOO-UHFFFAOYSA-N 0 3 229.327 2.692 20 0 BFADHN Cc1c2[nH]cnc2ccc1NCC(C)(F)F ZINC001162233710 964886989 /nfs/dbraw/zinc/88/69/89/964886989.db2.gz FCBXCKANKSSKMU-UHFFFAOYSA-N 0 3 225.242 2.938 20 0 BFADHN Cc1cc(C)c(C)c(-n2cnc(CN)c2)c1C ZINC001162302420 964916526 /nfs/dbraw/zinc/91/65/26/964916526.db2.gz QTQASISDNLYHFU-UHFFFAOYSA-N 0 3 229.327 2.565 20 0 BFADHN Cc1nc2ccc(N[C@@H](CO)CC(C)C)cc2[nH]1 ZINC001162368380 964945841 /nfs/dbraw/zinc/94/58/41/964945841.db2.gz JUJZBGHVYNPHTD-GFCCVEGCSA-N 0 3 247.342 2.690 20 0 BFADHN CC(C)(C)C(=N)Nc1cc(N)ccc1F ZINC001162666074 965102622 /nfs/dbraw/zinc/10/26/22/965102622.db2.gz YUDPZALLELBITC-UHFFFAOYSA-N 0 3 209.268 2.843 20 0 BFADHN C1=C[C@@H](N[C@H]2CCCc3cccnc32)CC1 ZINC000698263875 965152350 /nfs/dbraw/zinc/15/23/50/965152350.db2.gz DEGZTCNYJDDENN-OLZOCXBDSA-N 0 3 214.312 2.767 20 0 BFADHN C1=C[C@@H](N[C@@H]2CCCc3cccnc32)CC1 ZINC000698263873 965156692 /nfs/dbraw/zinc/15/66/92/965156692.db2.gz DEGZTCNYJDDENN-CHWSQXEVSA-N 0 3 214.312 2.767 20 0 BFADHN Nc1cccc(N[C@H]2CCc3sccc32)n1 ZINC001162766532 965159683 /nfs/dbraw/zinc/15/96/83/965159683.db2.gz LXXFIRXDJLWMIU-VIFPVBQESA-N 0 3 231.324 2.825 20 0 BFADHN Nc1cccc(N[C@@H]2CCc3sccc32)n1 ZINC001162766530 965166177 /nfs/dbraw/zinc/16/61/77/965166177.db2.gz LXXFIRXDJLWMIU-SECBINFHSA-N 0 3 231.324 2.825 20 0 BFADHN CCCCCCN1CC[C@@H]1CNC(=O)CCC ZINC001483625807 965296646 /nfs/dbraw/zinc/29/66/46/965296646.db2.gz NAZMVAIMPRGFPK-CYBMUJFWSA-N 0 3 240.391 2.557 20 0 BFADHN Cc1ccc(N[C@H]2CNCc3ccsc32)nc1 ZINC001163220538 965307871 /nfs/dbraw/zinc/30/78/71/965307871.db2.gz RKVPQLBEQIWFJZ-NSHDSACASA-N 0 3 245.351 2.708 20 0 BFADHN Cc1cncc(N[C@H]2CNCc3ccsc32)c1 ZINC001163226786 965309719 /nfs/dbraw/zinc/30/97/19/965309719.db2.gz MGUNRVNHFKBXSY-LBPRGKRZSA-N 0 3 245.351 2.708 20 0 BFADHN CCOc1ccc(CN(CC)C2CC2)c(C)n1 ZINC001232306717 965339551 /nfs/dbraw/zinc/33/95/51/965339551.db2.gz DMELHASHCCLAQK-UHFFFAOYSA-N 0 3 234.343 2.773 20 0 BFADHN Cc1ncc(CN2Cc3ccccc3[C@@H](C)C2)[nH]1 ZINC001232458878 965357927 /nfs/dbraw/zinc/35/79/27/965357927.db2.gz UUINEVLFRYEWNU-NSHDSACASA-N 0 3 241.338 2.837 20 0 BFADHN Cc1cnsc1CN(C)C1CC(C)C1 ZINC001233317710 965485985 /nfs/dbraw/zinc/48/59/85/965485985.db2.gz AAECOBURVYHAFM-UHFFFAOYSA-N 0 3 210.346 2.682 20 0 BFADHN CC1(C)CN(c2ncccc2CN2CCCC2)C1 ZINC001163729759 965543691 /nfs/dbraw/zinc/54/36/91/965543691.db2.gz BVVAMQYPAGVBOI-UHFFFAOYSA-N 0 3 245.370 2.524 20 0 BFADHN Cn1cncc1NCc1cc2ccccc2n1C ZINC001163934254 965648968 /nfs/dbraw/zinc/64/89/68/965648968.db2.gz YWJZHDKMBUBWNQ-UHFFFAOYSA-N 0 3 240.310 2.524 20 0 BFADHN Cc1cc(CN)nn1-c1ccccc1C1CC1 ZINC001163985914 965679961 /nfs/dbraw/zinc/67/99/61/965679961.db2.gz JKOYBWZYGGPFJY-UHFFFAOYSA-N 0 3 227.311 2.517 20 0 BFADHN C[C@@H](Cc1cccc(F)c1)NC1(CF)CC1 ZINC001168455084 965760899 /nfs/dbraw/zinc/76/08/99/965760899.db2.gz UBKBTBRWDMBFPW-JTQLQIEISA-N 0 3 225.282 2.848 20 0 BFADHN C[C@H](Cc1cccc(F)c1)N1CC=CC1 ZINC001168473726 965856071 /nfs/dbraw/zinc/85/60/71/965856071.db2.gz HAKJWUYLQGJGSL-LLVKDONJSA-N 0 3 205.276 2.629 20 0 BFADHN CC[C@@H]1COC[C@H]1NCc1cc2ccccc2o1 ZINC000699540056 965953180 /nfs/dbraw/zinc/95/31/80/965953180.db2.gz VQRUWDWBNGJBPG-BXUZGUMPSA-N 0 3 245.322 2.947 20 0 BFADHN CC[C@@H]1COC[C@@H]1NCc1ccccc1Cl ZINC000699558345 965974675 /nfs/dbraw/zinc/97/46/75/965974675.db2.gz JIODOSUQYXFFGE-MFKMUULPSA-N 0 3 239.746 2.855 20 0 BFADHN Cc1c2[nH]cnc2ccc1NC[C@H]1CCCCO1 ZINC001165230307 965998484 /nfs/dbraw/zinc/99/84/84/965998484.db2.gz VFXMWDRGTYYLMB-LLVKDONJSA-N 0 3 245.326 2.852 20 0 BFADHN CCc1ccc(CN2C[C@H]3CCCC(=O)[C@@H]3C2)o1 ZINC001204397477 966034558 /nfs/dbraw/zinc/03/45/58/966034558.db2.gz XLFKLEBXNJTDAC-BXUZGUMPSA-N 0 3 247.338 2.643 20 0 BFADHN CCc1cc(CN(C)CC2CCCC2)on1 ZINC001203227413 966066712 /nfs/dbraw/zinc/06/67/12/966066712.db2.gz ZMTQIUUJBQDSPG-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN C[C@H](Cc1cccc(F)c1)N1CC[C@@]12CCOC2 ZINC001168501659 966103362 /nfs/dbraw/zinc/10/33/62/966103362.db2.gz UGSRDVKTJNLOJN-DOMZBBRYSA-N 0 3 249.329 2.622 20 0 BFADHN C[C@@]1(CF)CCN(Cc2cnc3ccccn23)C1 ZINC001203145786 966114359 /nfs/dbraw/zinc/11/43/59/966114359.db2.gz SSBSPKYLZUDYQK-AWEZNQCLSA-N 0 3 247.317 2.516 20 0 BFADHN NCc1ccc(-c2cc(O)cc(Cl)c2)cn1 ZINC001235903069 966147937 /nfs/dbraw/zinc/14/79/37/966147937.db2.gz AAHRDXFLZFAKHB-UHFFFAOYSA-N 0 3 234.686 2.566 20 0 BFADHN CN[C@@H]1CCN1CCc1ccc(C(C)C)cc1 ZINC001236168766 966283527 /nfs/dbraw/zinc/28/35/27/966283527.db2.gz XHTSYOOOYDDQPC-HNNXBMFYSA-N 0 3 232.371 2.604 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cncc(C)n1 ZINC001203173773 966541299 /nfs/dbraw/zinc/54/12/99/966541299.db2.gz REDUTLGIAMHVFT-CYBMUJFWSA-N 0 3 219.332 2.550 20 0 BFADHN CCCCN(CC)Cc1cc(CC)no1 ZINC001203213154 966562681 /nfs/dbraw/zinc/56/26/81/966562681.db2.gz MFDWGVQYWQKRLX-UHFFFAOYSA-N 0 3 210.321 2.859 20 0 BFADHN CCc1cc(CN2CC[C@@H]3CCC[C@H]3C2)on1 ZINC001203219698 966565815 /nfs/dbraw/zinc/56/58/15/966565815.db2.gz WMAWKZWNEKOITD-RYUDHWBXSA-N 0 3 234.343 2.859 20 0 BFADHN CCc1nc(C)c(CN2CCC3(CC3)CC2)[nH]1 ZINC001203235949 966576350 /nfs/dbraw/zinc/57/63/50/966576350.db2.gz CWRTVKPHXVRBTN-UHFFFAOYSA-N 0 3 233.359 2.657 20 0 BFADHN CCc1nc(C)c(CN2CCC(C)(C)CC2)[nH]1 ZINC001203243518 966578123 /nfs/dbraw/zinc/57/81/23/966578123.db2.gz LOPMCUNBGYBYMH-UHFFFAOYSA-N 0 3 235.375 2.903 20 0 BFADHN Cn1cccc1CN1CCCCC12CC2 ZINC001203264905 966588770 /nfs/dbraw/zinc/58/87/70/966588770.db2.gz DSHQNOIZZFITFG-UHFFFAOYSA-N 0 3 204.317 2.544 20 0 BFADHN COc1cccc(CN(C)C2CC(C)C2)c1OC ZINC001203443632 966650457 /nfs/dbraw/zinc/65/04/57/966650457.db2.gz LIEAAKNRDSBODD-UHFFFAOYSA-N 0 3 249.354 2.934 20 0 BFADHN COc1cccc(CN2CCC[C@@H]3C[C@@H]32)c1OC ZINC001203452691 966656238 /nfs/dbraw/zinc/65/62/38/966656238.db2.gz PFIDTLMDBQJVOZ-YPMHNXCESA-N 0 3 247.338 2.688 20 0 BFADHN COc1ccc(CN(C)C2CC(C)C2)c(OC)c1 ZINC001203471639 966660956 /nfs/dbraw/zinc/66/09/56/966660956.db2.gz NNBYVMDSQIWSCC-UHFFFAOYSA-N 0 3 249.354 2.934 20 0 BFADHN CCc1n[nH]cc1CN(C)CCc1cccs1 ZINC001237651460 966681562 /nfs/dbraw/zinc/68/15/62/966681562.db2.gz QWIRFGCORLNMRP-UHFFFAOYSA-N 0 3 249.383 2.708 20 0 BFADHN Cc1ncncc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001238170236 966728502 /nfs/dbraw/zinc/72/85/02/966728502.db2.gz JTZNZDODLBNRCS-ZFWWWQNUSA-N 0 3 245.370 2.940 20 0 BFADHN CN(Cc1cncc(C2CC2)c1)C1CC1 ZINC001238181910 966733089 /nfs/dbraw/zinc/73/30/89/966733089.db2.gz MUOQCXAEQITQKK-UHFFFAOYSA-N 0 3 202.301 2.553 20 0 BFADHN Cc1ccccc1CN1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001203700106 966740169 /nfs/dbraw/zinc/74/01/69/966740169.db2.gz GVERVWXWNHDEDJ-MELADBBJSA-N 0 3 219.303 2.785 20 0 BFADHN Cc1ccccc1CN1C[C@@H](C)[C@@H](F)C1 ZINC001203700254 966740806 /nfs/dbraw/zinc/74/08/06/966740806.db2.gz PBJBVERFVLRLFG-YPMHNXCESA-N 0 3 207.292 2.785 20 0 BFADHN CCCO[C@@H]1CCN(Cc2cccc(OC)c2)C1 ZINC001203822596 966794559 /nfs/dbraw/zinc/79/45/59/966794559.db2.gz SYWXETAJBDKTCA-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN O=C1CCC[C@@H]2CN(Cc3ccc(F)cc3)C[C@@H]12 ZINC001203917961 966820212 /nfs/dbraw/zinc/82/02/12/966820212.db2.gz JHABCKCNFAKUMU-TZMCWYRMSA-N 0 3 247.313 2.627 20 0 BFADHN O[C@H]1CCCCN(Cc2ccc(Cl)cc2)C1 ZINC001203919256 966821169 /nfs/dbraw/zinc/82/11/69/966821169.db2.gz SOUFVYMOORPIJK-ZDUSSCGKSA-N 0 3 239.746 2.687 20 0 BFADHN CC(=O)Nc1ccc(CN2CC3(CCC3)C2)cc1 ZINC001203924347 966823123 /nfs/dbraw/zinc/82/31/23/966823123.db2.gz XBWOAOQPKCGYMG-UHFFFAOYSA-N 0 3 244.338 2.631 20 0 BFADHN C[C@@H](NCC[C@@H]1CC=CCC1)c1ncc[nH]1 ZINC000527980990 966837554 /nfs/dbraw/zinc/83/75/54/966837554.db2.gz QIVIVZNIIRRLCU-VXGBXAGGSA-N 0 3 219.332 2.807 20 0 BFADHN CC1(C)OCCC12CCN(Cc1ccc[nH]1)CC2 ZINC001204011194 966852066 /nfs/dbraw/zinc/85/20/66/966852066.db2.gz XFGMBHZOXIBEIW-UHFFFAOYSA-N 0 3 248.370 2.796 20 0 BFADHN CC1(C)CN(Cc2ccncc2)CCC1(F)F ZINC001204080233 966886427 /nfs/dbraw/zinc/88/64/27/966886427.db2.gz HFSZOQQWZNJZEY-UHFFFAOYSA-N 0 3 240.297 2.949 20 0 BFADHN Oc1ccc(CN2CC3(C2)CCCC3)cc1 ZINC001204091425 966891917 /nfs/dbraw/zinc/89/19/17/966891917.db2.gz STADTMBAZJDSEF-UHFFFAOYSA-N 0 3 217.312 2.768 20 0 BFADHN CCOc1cc(CN2CCC[C@@H]3C[C@@H]32)ccc1O ZINC001204136101 966897436 /nfs/dbraw/zinc/89/74/36/966897436.db2.gz LEMWENHKGXOMNP-OLZOCXBDSA-N 0 3 247.338 2.775 20 0 BFADHN COc1ccc(F)c(CN[C@@H]2C[C@H]2C(C)C)c1 ZINC001204129414 966899765 /nfs/dbraw/zinc/89/97/65/966899765.db2.gz SUZZZXVGASPTTI-GXTWGEPZSA-N 0 3 237.318 2.968 20 0 BFADHN CC[C@@H]1CCN([C@@H](C)c2ncccn2)[C@@H]1C ZINC001307702532 966959827 /nfs/dbraw/zinc/95/98/27/966959827.db2.gz JRQTVYFKLKFUQN-GRYCIOLGSA-N 0 3 219.332 2.658 20 0 BFADHN Cn1cc(-c2cccc(CN)n2)c2ccccc21 ZINC001239524723 966972882 /nfs/dbraw/zinc/97/28/82/966972882.db2.gz KSYPINWERLOUKR-UHFFFAOYSA-N 0 3 237.306 2.699 20 0 BFADHN Cc1ccc(CN2CC3(CSC3)C2)cc1C ZINC001204357437 966981460 /nfs/dbraw/zinc/98/14/60/966981460.db2.gz UQFYIGWPJAHUPC-UHFFFAOYSA-N 0 3 233.380 2.852 20 0 BFADHN CCc1ccc(CN(CCOC)C(C)C)o1 ZINC001204392253 966984797 /nfs/dbraw/zinc/98/47/97/966984797.db2.gz BOHLGHVALSXELP-UHFFFAOYSA-N 0 3 225.332 2.699 20 0 BFADHN CN(Cc1ccc(Cl)s1)[C@H]1CCN(C)C1 ZINC001204445720 967001815 /nfs/dbraw/zinc/00/18/15/967001815.db2.gz PQJZGCXKBPTEMF-VIFPVBQESA-N 0 3 244.791 2.537 20 0 BFADHN CN(C)Cc1ccc(F)c(C(F)(F)F)c1 ZINC001204482050 967003941 /nfs/dbraw/zinc/00/39/41/967003941.db2.gz AQCGMNVLRKPZOJ-UHFFFAOYSA-N 0 3 221.197 2.906 20 0 BFADHN c1cc(CN2CCC[C@H]3C[C@H]32)cc2c1OCC2 ZINC001204494218 967005554 /nfs/dbraw/zinc/00/55/54/967005554.db2.gz FXPSOEGVKHHKKN-GXTWGEPZSA-N 0 3 229.323 2.606 20 0 BFADHN Cc1c(F)cccc1CN1CC[C@]2(CCCO2)C1 ZINC001204508244 967009980 /nfs/dbraw/zinc/00/99/80/967009980.db2.gz MXEVTWYTJSCYJY-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1c(F)cccc1CN(C)[C@@H]1CCCOC1 ZINC001204514302 967017041 /nfs/dbraw/zinc/01/70/41/967017041.db2.gz UJCARVHKEYBDPE-CYBMUJFWSA-N 0 3 237.318 2.745 20 0 BFADHN CO[C@@H](C)C1CN(Cc2cccc(F)c2C)C1 ZINC001204513801 967017806 /nfs/dbraw/zinc/01/78/06/967017806.db2.gz MIGNIPRMEBYABP-NSHDSACASA-N 0 3 237.318 2.601 20 0 BFADHN Cc1cc(CN2CCC3(CCO3)CC2)oc1C ZINC001204533824 967030948 /nfs/dbraw/zinc/03/09/48/967030948.db2.gz QVTGLRFYFGHLHD-UHFFFAOYSA-N 0 3 235.327 2.651 20 0 BFADHN Nc1ncccc1Nc1ccnc(C2CC2)c1 ZINC001212398485 967037518 /nfs/dbraw/zinc/03/75/18/967037518.db2.gz WZGMXSMJTMPPDO-UHFFFAOYSA-N 0 3 226.283 2.680 20 0 BFADHN Cc1cc(CN2CC3CC(C3)C2)oc1C ZINC001204538407 967045099 /nfs/dbraw/zinc/04/50/99/967045099.db2.gz CORQCLXDZCYXCK-UHFFFAOYSA-N 0 3 205.301 2.738 20 0 BFADHN Cc1nc[nH]c1CN(C)CCc1cccc(C)c1 ZINC001204590388 967085850 /nfs/dbraw/zinc/08/58/50/967085850.db2.gz PDGJRHQBQOGWDJ-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN c1cc(-c2cncc(CN3CCCC3)c2)co1 ZINC001239691078 967088490 /nfs/dbraw/zinc/08/84/90/967088490.db2.gz ZCLKQFVFNJYEDB-UHFFFAOYSA-N 0 3 228.295 2.937 20 0 BFADHN CC/C=C\CCCCCCN1C[C@@H](F)[C@@H](N)C1 ZINC001204821083 967182761 /nfs/dbraw/zinc/18/27/61/967182761.db2.gz ZLXQHMLBKXBCJB-TYHIMRJMSA-N 0 3 242.382 2.884 20 0 BFADHN CN(C)c1cc(F)cc(CN2CCC3(CC3)C2)c1 ZINC001249950739 967192933 /nfs/dbraw/zinc/19/29/33/967192933.db2.gz XNEOIQLZHJUQMT-UHFFFAOYSA-N 0 3 248.345 2.878 20 0 BFADHN CC/C=C\CCCCCCN1C[C@H](N)[C@@H](F)C1 ZINC001204892409 967218010 /nfs/dbraw/zinc/21/80/10/967218010.db2.gz ZLXQHMLBKXBCJB-NMEQGOOXSA-N 0 3 242.382 2.884 20 0 BFADHN Cc1ccc(F)c(-c2cccc(CN)n2)c1 ZINC001239965037 967270679 /nfs/dbraw/zinc/27/06/79/967270679.db2.gz XDULRAGVWOJYDH-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN CN(Cc1ccco1)Cc1cccc2n[nH]cc21 ZINC001205023999 967292440 /nfs/dbraw/zinc/29/24/40/967292440.db2.gz MCUJDSVSILNMMX-UHFFFAOYSA-N 0 3 241.294 2.788 20 0 BFADHN CCCCN(C)Cc1cc(-c2ccccn2)n[nH]1 ZINC001205052490 967305729 /nfs/dbraw/zinc/30/57/29/967305729.db2.gz JAOXUNCSGDHHEG-UHFFFAOYSA-N 0 3 244.342 2.704 20 0 BFADHN CCN(C)Cc1cc(C)c(F)cc1OC ZINC001250087832 967352524 /nfs/dbraw/zinc/35/25/24/967352524.db2.gz GFQFJFJQRYJKEK-UHFFFAOYSA-N 0 3 211.280 2.594 20 0 BFADHN Nc1ccncc1-c1c(F)cc(F)c(F)c1F ZINC001205173123 967412419 /nfs/dbraw/zinc/41/24/19/967412419.db2.gz CEAGORQAYBACOK-UHFFFAOYSA-N 0 3 242.175 2.718 20 0 BFADHN CC[C@@H](C)C[C@H](C)Nc1ccc2c(=O)[nH]cc-2[nH]1 ZINC001168696390 967414526 /nfs/dbraw/zinc/41/45/26/967414526.db2.gz SOROSVSCICJTGT-ZJUUUORDSA-N 0 3 247.342 2.562 20 0 BFADHN CC(=O)[C@H]1CCN(Cc2ccc(F)c(C)c2)C1 ZINC001205197983 967425043 /nfs/dbraw/zinc/42/50/43/967425043.db2.gz GCFGOEYOQUCCOH-ZDUSSCGKSA-N 0 3 235.302 2.545 20 0 BFADHN Cc1ccc(CN2CC3CC(C3)C2)cc1F ZINC001205243801 967441054 /nfs/dbraw/zinc/44/10/54/967441054.db2.gz MROCIURQAJWCIO-UHFFFAOYSA-N 0 3 219.303 2.976 20 0 BFADHN Cc1ncc(Nc2ccc(Cl)nc2C)n1C ZINC001250154089 967447575 /nfs/dbraw/zinc/44/75/75/967447575.db2.gz ULRMDKRKKQLEOC-UHFFFAOYSA-N 0 3 236.706 2.829 20 0 BFADHN COc1cc(CCN2CCCC2)c(F)cc1F ZINC001250166258 967461727 /nfs/dbraw/zinc/46/17/27/967461727.db2.gz HHNFZXCPVQBPNO-UHFFFAOYSA-N 0 3 241.281 2.612 20 0 BFADHN CC(=O)c1cc(CCN2CCCC2)cs1 ZINC001250166160 967462309 /nfs/dbraw/zinc/46/23/09/967462309.db2.gz HOSRCHZSOCNKKI-UHFFFAOYSA-N 0 3 223.341 2.589 20 0 BFADHN COc1ccc(C)c(F)c1CCN1CCCC1 ZINC001250165876 967464228 /nfs/dbraw/zinc/46/42/28/967464228.db2.gz BFZGVUAOSAFRAU-UHFFFAOYSA-N 0 3 237.318 2.781 20 0 BFADHN Fc1ccc(-c2cn[nH]c2)cc1CN1CCCC1 ZINC001240243537 967471323 /nfs/dbraw/zinc/47/13/23/967471323.db2.gz KDJDMJPFHXPEDD-UHFFFAOYSA-N 0 3 245.301 2.812 20 0 BFADHN Cc1ccc(CN(C)c2ccncc2CO)s1 ZINC000693783101 967474933 /nfs/dbraw/zinc/47/49/33/967474933.db2.gz GOSUOQQMGYXEFF-UHFFFAOYSA-N 0 3 248.351 2.580 20 0 BFADHN CCn1nccc1Nc1ccnc(C2CC2)c1 ZINC001205289460 967481166 /nfs/dbraw/zinc/48/11/66/967481166.db2.gz XAGJYQKZCOTLDE-UHFFFAOYSA-N 0 3 228.299 2.919 20 0 BFADHN Cc1c(CCN2CCCCC2)ccnc1F ZINC001250241653 967550378 /nfs/dbraw/zinc/55/03/78/967550378.db2.gz IDZPFYYFCKWZNX-UHFFFAOYSA-N 0 3 222.307 2.558 20 0 BFADHN Cc1ncoc1CN[C@H]1CC12CCCCC2 ZINC000922247156 967615406 /nfs/dbraw/zinc/61/54/06/967615406.db2.gz RVUQNFLDTDHYDM-LBPRGKRZSA-N 0 3 220.316 2.795 20 0 BFADHN Clc1cccc2c1C[C@H](N1CCC1)C2 ZINC001168718791 967623134 /nfs/dbraw/zinc/62/31/34/967623134.db2.gz SCPQVQLVULEKOI-SNVBAGLBSA-N 0 3 207.704 2.513 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@]3(CCCO3)C2)c1 ZINC001205616813 967731446 /nfs/dbraw/zinc/73/14/46/967731446.db2.gz KZQOEJULWSXKCS-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1ccc(F)c(CN2CC3CC(C3)C2)c1 ZINC001205662087 967747560 /nfs/dbraw/zinc/74/75/60/967747560.db2.gz VDLGOTICDSITPO-UHFFFAOYSA-N 0 3 219.303 2.976 20 0 BFADHN FC1CC2(C1)CCCN(Cc1cnoc1)CC2 ZINC001205723864 967801899 /nfs/dbraw/zinc/80/18/99/967801899.db2.gz DXPQSMDPLARIBJ-UHFFFAOYSA-N 0 3 238.306 2.779 20 0 BFADHN CCOc1cc(Nc2nccn2C)ccc1OC ZINC001212538621 967854520 /nfs/dbraw/zinc/85/45/20/967854520.db2.gz BUXRVTQEDRRTHZ-UHFFFAOYSA-N 0 3 247.298 2.571 20 0 BFADHN CCCCn1nc(C)c(CNCC)c1Cl ZINC000163617753 967875780 /nfs/dbraw/zinc/87/57/80/967875780.db2.gz JWCJPEMVZBSXPO-UHFFFAOYSA-N 0 3 229.755 2.755 20 0 BFADHN Cc1c[nH]c2ncc(Nc3ccncc3C)cc12 ZINC001206015724 967969363 /nfs/dbraw/zinc/96/93/63/967969363.db2.gz GMPPPGLMBRDORG-UHFFFAOYSA-N 0 3 238.294 2.740 20 0 BFADHN Cc1c[nH]c2ncc(Nc3cnc(C)n3C)cc12 ZINC001206033369 967988856 /nfs/dbraw/zinc/98/88/56/967988856.db2.gz PCVQPBUGFBDLSN-UHFFFAOYSA-N 0 3 241.298 2.657 20 0 BFADHN CN(C)Cc1cncc(-c2ccc(O)cc2F)c1 ZINC001206073338 968045428 /nfs/dbraw/zinc/04/54/28/968045428.db2.gz UPZWTMCNKJKEGA-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN CCOc1ccc(C2=CCCN(C)C2)cc1 ZINC001250558003 968067209 /nfs/dbraw/zinc/06/72/09/968067209.db2.gz DNJMDCKIMFHKGT-UHFFFAOYSA-N 0 3 217.312 2.804 20 0 BFADHN CC(F)(F)C(C)(C)CNCc1nccs1 ZINC000699962345 968098874 /nfs/dbraw/zinc/09/88/74/968098874.db2.gz XUGIJHRHNJMDJE-UHFFFAOYSA-N 0 3 234.315 2.914 20 0 BFADHN CN(Cc1ccc(N2CC(C)(C)C2)nc1)C1CC1 ZINC001163727533 968105977 /nfs/dbraw/zinc/10/59/77/968105977.db2.gz XUJKRKFLMIKHAD-UHFFFAOYSA-N 0 3 245.370 2.522 20 0 BFADHN CCOC1CN(C[C@@H]2CCCC[C@@H]2CC)C1 ZINC001206174116 968107048 /nfs/dbraw/zinc/10/70/48/968107048.db2.gz IEJCMXBJPQDNJT-STQMWFEESA-N 0 3 225.376 2.924 20 0 BFADHN CC1(O)CN([C@H]2CC[C@@](C)(c3ccccc3)C2)C1 ZINC001206187178 968116881 /nfs/dbraw/zinc/11/68/81/968116881.db2.gz RUCPHEQJJKKEDW-LSDHHAIUSA-N 0 3 245.366 2.563 20 0 BFADHN CN1CCC=C(c2cnc3ccccc3c2)C1 ZINC001250606153 968151958 /nfs/dbraw/zinc/15/19/58/968151958.db2.gz VGSBPYGMUZFVEB-UHFFFAOYSA-N 0 3 224.307 2.954 20 0 BFADHN CC(C)CC[C@@H](C)NCc1nc(CO)cs1 ZINC000723188344 968209128 /nfs/dbraw/zinc/20/91/28/968209128.db2.gz PLINAGSXKSGXAG-SNVBAGLBSA-N 0 3 242.388 2.550 20 0 BFADHN CC[C@H](C)C[C@H](C)NCc1nc(CO)cs1 ZINC000723184955 968209514 /nfs/dbraw/zinc/20/95/14/968209514.db2.gz FAXWSRMMMHCPRN-UWVGGRQHSA-N 0 3 242.388 2.550 20 0 BFADHN COc1cnccc1CN(C)C1CCCCC1 ZINC001206437900 968301261 /nfs/dbraw/zinc/30/12/61/968301261.db2.gz FSPKEMLHMGKWQF-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN COc1cnccc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001206446616 968310482 /nfs/dbraw/zinc/31/04/82/968310482.db2.gz HWWHJCFJEPCLPY-OLZOCXBDSA-N 0 3 246.354 2.712 20 0 BFADHN CC(C)(C)c1ncc(CN[C@@H]2C=CCC2)cn1 ZINC000698330780 968329803 /nfs/dbraw/zinc/32/98/03/968329803.db2.gz VVTYYWNMKFRMLJ-GFCCVEGCSA-N 0 3 231.343 2.582 20 0 BFADHN COc1cnccc1CN1CCC2(CCCC2)C1 ZINC001206473601 968331793 /nfs/dbraw/zinc/33/17/93/968331793.db2.gz UFPYSNWBDOUEJG-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN COc1cccc(CN[C@@H]2C=CCC2)c1OC ZINC000698330146 968333198 /nfs/dbraw/zinc/33/31/98/968333198.db2.gz VAPNIOLQINJBSJ-GFCCVEGCSA-N 0 3 233.311 2.512 20 0 BFADHN Fc1cccnc1CNC1(C2CCC2)CC1 ZINC001206516866 968351017 /nfs/dbraw/zinc/35/10/17/968351017.db2.gz GPPHPPDTWRGJLL-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN COc1cccnc1CN1[C@H](C)CCC[C@@H]1C ZINC001206610446 968420628 /nfs/dbraw/zinc/42/06/28/968420628.db2.gz HQVAJQFBNLTORB-TXEJJXNPSA-N 0 3 234.343 2.853 20 0 BFADHN COc1cccnc1CN(C)C1CCCCC1 ZINC001206611118 968420833 /nfs/dbraw/zinc/42/08/33/968420833.db2.gz SCICPZLBIOVWFL-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN COc1cc(C)ccc1CN1CCC[C@H]2C[C@H]21 ZINC001206782806 968469341 /nfs/dbraw/zinc/46/93/41/968469341.db2.gz GGWNDOHLHSZLHJ-GXTWGEPZSA-N 0 3 231.339 2.988 20 0 BFADHN Cc1ccnc(CN2CC[C@@]3(C2)CCCCO3)c1 ZINC001206845868 968496314 /nfs/dbraw/zinc/49/63/14/968496314.db2.gz CJPGZUGRZHCENH-OAHLLOKOSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1ncsc1CN1C[C@H]2[C@H](CC2(F)F)C1 ZINC001206863740 968506495 /nfs/dbraw/zinc/50/64/95/968506495.db2.gz XLYNOECJIWWQAJ-BDAKNGLRSA-N 0 3 244.310 2.539 20 0 BFADHN CCCCCN(C)Cc1cncc(F)c1 ZINC001207016976 968553430 /nfs/dbraw/zinc/55/34/30/968553430.db2.gz LCKXXFRNIBBQQA-UHFFFAOYSA-N 0 3 210.296 2.843 20 0 BFADHN CC[C@@H]1CCCN1Cc1ncccc1F ZINC001207032195 968565711 /nfs/dbraw/zinc/56/57/11/968565711.db2.gz HQYDJGXCEBULTR-SNVBAGLBSA-N 0 3 208.280 2.595 20 0 BFADHN Cc1ncc(CN2CCC[C@H](F)CC2)s1 ZINC001207058740 968573564 /nfs/dbraw/zinc/57/35/64/968573564.db2.gz LHKXUPGACDXSBR-JTQLQIEISA-N 0 3 228.336 2.776 20 0 BFADHN c1nc(CN2C[C@@H]3CCC[C@H]3C2)n2ccccc12 ZINC001207049131 968579948 /nfs/dbraw/zinc/57/99/48/968579948.db2.gz PCVGYRKQGKUXCM-STQMWFEESA-N 0 3 241.338 2.566 20 0 BFADHN CCCN(Cc1ncc2ccccn21)CC1CC1 ZINC001207048358 968581480 /nfs/dbraw/zinc/58/14/80/968581480.db2.gz MKFWXNWOPCKABO-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN Cc1ncc(CN2CCCCC23CC3)s1 ZINC001207061787 968589527 /nfs/dbraw/zinc/58/95/27/968589527.db2.gz KVJVNMNJLXBSPN-UHFFFAOYSA-N 0 3 222.357 2.970 20 0 BFADHN CCCC[C@@H](O)CN[C@H](C)c1cc(C)ccn1 ZINC001252108801 968590804 /nfs/dbraw/zinc/59/08/04/968590804.db2.gz SNPHYFFNVWXGLH-CHWSQXEVSA-N 0 3 236.359 2.592 20 0 BFADHN CCCC[C@H](O)CN[C@H](C)c1cccc(C)n1 ZINC001252111585 968594869 /nfs/dbraw/zinc/59/48/69/968594869.db2.gz YATFBIFOZNLFGF-OLZOCXBDSA-N 0 3 236.359 2.592 20 0 BFADHN CCc1nccc(CN2CC[C@@H]3CCC[C@@H]3C2)n1 ZINC001207083724 968618313 /nfs/dbraw/zinc/61/83/13/968618313.db2.gz FJAUQVKGHKSOLR-QWHCGFSZSA-N 0 3 245.370 2.661 20 0 BFADHN CC(C)n1nccc1CN1C[C@@H](C)CC12CC2 ZINC001207176300 968678897 /nfs/dbraw/zinc/67/88/97/968678897.db2.gz QTMFQVQWEQEQJL-LBPRGKRZSA-N 0 3 233.359 2.838 20 0 BFADHN CC(C)n1nccc1CN1CCC[C@H](F)CC1 ZINC001207171220 968679917 /nfs/dbraw/zinc/67/99/17/968679917.db2.gz ZADGLZNYBZJSES-LBPRGKRZSA-N 0 3 239.338 2.788 20 0 BFADHN CC(C)C[C@H](C)CN1CC(OC(C)C)C1 ZINC001207403288 968755477 /nfs/dbraw/zinc/75/54/77/968755477.db2.gz KNUIVSGSWNDNOE-LBPRGKRZSA-N 0 3 213.365 2.778 20 0 BFADHN Fc1ccccc1CCN1CCC[C@]2(CCO2)C1 ZINC001207505321 968807320 /nfs/dbraw/zinc/80/73/20/968807320.db2.gz YQXGCTQEJHXKGB-HNNXBMFYSA-N 0 3 249.329 2.623 20 0 BFADHN CCS[C@@H]1CCC[C@@H](NCc2cc[nH]n2)C1 ZINC000165238997 968858096 /nfs/dbraw/zinc/85/80/96/968858096.db2.gz PMLVCWHKRIQERJ-ZYHUDNBSSA-N 0 3 239.388 2.564 20 0 BFADHN NCc1cc(-c2c(F)ccc(F)c2F)ccn1 ZINC001241047979 968876602 /nfs/dbraw/zinc/87/66/02/968876602.db2.gz PDMHAJPSDHXIOI-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN Cc1nc2ccc(C3=CCN(C)CC3)cc2o1 ZINC001241322946 968972544 /nfs/dbraw/zinc/97/25/44/968972544.db2.gz UKHXHVNLCQOKRR-UHFFFAOYSA-N 0 3 228.295 2.855 20 0 BFADHN CN1CC=C(c2ccc3ocnc3c2)CC1 ZINC001241319085 968976651 /nfs/dbraw/zinc/97/66/51/968976651.db2.gz AFAFSQPCVFIRJQ-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN CN1CC=C(c2ccnc(C3CC3)c2)CC1 ZINC001241330814 968982392 /nfs/dbraw/zinc/98/23/92/968982392.db2.gz ZOCUTXAZBRSKIU-UHFFFAOYSA-N 0 3 214.312 2.678 20 0 BFADHN CCC[C@@H](O)CNCc1cc(C)ccc1Cl ZINC001252806395 968995975 /nfs/dbraw/zinc/99/59/75/968995975.db2.gz UQMIDBXWPDCYBZ-GFCCVEGCSA-N 0 3 241.762 2.899 20 0 BFADHN Fc1ccnc(N[C@H]2CNCc3ccsc32)c1 ZINC001155558862 969003970 /nfs/dbraw/zinc/00/39/70/969003970.db2.gz IHUWQHMRVOABBF-JTQLQIEISA-N 0 3 249.314 2.539 20 0 BFADHN CC/C=C\CCCN1CCOC(C)(C)C1 ZINC001208131272 969031422 /nfs/dbraw/zinc/03/14/22/969031422.db2.gz KYAWAPFJALJPFM-WAYWQWQTSA-N 0 3 211.349 2.844 20 0 BFADHN CC/C=C\CCCN1CCc2cnn(C)c2C1 ZINC001208138292 969048709 /nfs/dbraw/zinc/04/87/09/969048709.db2.gz MZZAADNPTLLYOC-PLNGDYQASA-N 0 3 233.359 2.525 20 0 BFADHN COC1(C)CN(C[C@@H]2CCC(C)=C[C@H]2C)C1 ZINC001208159903 969085732 /nfs/dbraw/zinc/08/57/32/969085732.db2.gz HUMMYSBSXRTTTE-OLZOCXBDSA-N 0 3 223.360 2.700 20 0 BFADHN Cc1ccc([C@H](C)CCN2CCOC3(CC3)C2)o1 ZINC001208166134 969097730 /nfs/dbraw/zinc/09/77/30/969097730.db2.gz VXXDHLLFQWULKV-GFCCVEGCSA-N 0 3 249.354 2.946 20 0 BFADHN C[C@@H](CCN1CC(N(C)C)C1)CC(C)(C)C ZINC001208654941 969181825 /nfs/dbraw/zinc/18/18/25/969181825.db2.gz OIAKIHXOQDMMIR-LBPRGKRZSA-N 0 3 226.408 2.695 20 0 BFADHN CC(C)(CCN1C[C@@H]2C[C@H]1CO2)c1ccccc1 ZINC001208667932 969189360 /nfs/dbraw/zinc/18/93/60/969189360.db2.gz PXBBECGQFNCBHP-GJZGRUSLSA-N 0 3 245.366 2.827 20 0 BFADHN CC/C=C\CCN1CCc2cnc(OC)cc2C1 ZINC001208733553 969218523 /nfs/dbraw/zinc/21/85/23/969218523.db2.gz MDIOXJXBFQANPV-PLNGDYQASA-N 0 3 246.354 2.805 20 0 BFADHN CC(C)Oc1ncccc1CCN1CC[C@H]1C ZINC001208790744 969239500 /nfs/dbraw/zinc/23/95/00/969239500.db2.gz OPRIDIZEXADUOU-GFCCVEGCSA-N 0 3 234.343 2.506 20 0 BFADHN CN1CC=C(Nc2ccc(C(F)F)cn2)CC1 ZINC001208801885 969242623 /nfs/dbraw/zinc/24/26/23/969242623.db2.gz BWBGMMROOIAQLH-UHFFFAOYSA-N 0 3 239.269 2.651 20 0 BFADHN CN1CCC(=Nc2cnc(C3CC3)s2)CC1 ZINC001208801952 969245642 /nfs/dbraw/zinc/24/56/42/969245642.db2.gz DZCAQCKXXZISFZ-UHFFFAOYSA-N 0 3 235.356 2.652 20 0 BFADHN CCc1ccc(NC2=CCN(C)CC2)cc1 ZINC001208837443 969251782 /nfs/dbraw/zinc/25/17/82/969251782.db2.gz IPZWXUDQIHGKHG-UHFFFAOYSA-N 0 3 216.328 2.880 20 0 BFADHN CN1CC=C(Nc2cccc3cnccc32)CC1 ZINC001208837348 969251875 /nfs/dbraw/zinc/25/18/75/969251875.db2.gz GRHBVARUJLOLGM-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN Cc1cc(F)c(F)cc1NC1=CCN(C)CC1 ZINC001208843471 969255108 /nfs/dbraw/zinc/25/51/08/969255108.db2.gz DVIWTGPGTKUNBF-UHFFFAOYSA-N 0 3 238.281 2.905 20 0 BFADHN CN1CC=C(Nc2ccc3ccncc3c2)CC1 ZINC001208844108 969257218 /nfs/dbraw/zinc/25/72/18/969257218.db2.gz LKURCOQSCAWUPG-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN CCCO[C@H]1CCN(C[C@H](C)C(F)(F)F)C1 ZINC001208893446 969281424 /nfs/dbraw/zinc/28/14/24/969281424.db2.gz JQGCEUSUAQUTDP-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN F[C@@H]1CCCCN(C[C@H]2CCC=CO2)C1 ZINC001208878214 969266399 /nfs/dbraw/zinc/26/63/99/969266399.db2.gz WWBJRDYBNDLDHB-VXGBXAGGSA-N 0 3 213.296 2.503 20 0 BFADHN CC(C)O[C@H]1CCN(C[C@@H](C)C(F)(F)F)C1 ZINC001208892245 969281908 /nfs/dbraw/zinc/28/19/08/969281908.db2.gz PNKSWWRMNXQNPJ-ZJUUUORDSA-N 0 3 239.281 2.684 20 0 BFADHN CO[C@@H]1CN(CC2(C)CCCCC2)C[C@@H]1F ZINC001208898387 969287023 /nfs/dbraw/zinc/28/70/23/969287023.db2.gz QTZQYVNUKKOFSA-NWDGAFQWSA-N 0 3 229.339 2.626 20 0 BFADHN CCC(=O)C(C)(C)CN(CC)Cc1ccncc1 ZINC001208916088 969300794 /nfs/dbraw/zinc/30/07/94/969300794.db2.gz OJABFLXJNCJPDZ-UHFFFAOYSA-N 0 3 248.370 2.909 20 0 BFADHN CCOC(C)(C)CN(CC)Cc1ccccn1 ZINC001209071554 969345227 /nfs/dbraw/zinc/34/52/27/969345227.db2.gz DGAXXUQSCOBZCR-UHFFFAOYSA-N 0 3 236.359 2.719 20 0 BFADHN CCOC(C)(C)CN1CCOc2ccccc2C1 ZINC001209075074 969345290 /nfs/dbraw/zinc/34/52/90/969345290.db2.gz NRYZGTRDZNXYAI-UHFFFAOYSA-N 0 3 249.354 2.696 20 0 BFADHN c1csc(CCN2CCc3cnccc3C2)c1 ZINC001209224045 969408463 /nfs/dbraw/zinc/40/84/63/969408463.db2.gz SKWBBRAQHXPWNJ-UHFFFAOYSA-N 0 3 244.363 2.744 20 0 BFADHN Cc1ccoc1CN1CCN(C(C)(C)C)CC1 ZINC001253997639 969443851 /nfs/dbraw/zinc/44/38/51/969443851.db2.gz QNVYUKPXBJTCJC-UHFFFAOYSA-N 0 3 236.359 2.504 20 0 BFADHN CCCc1nnc(-c2ccc(CN(C)C)cc2)o1 ZINC001254018882 969449859 /nfs/dbraw/zinc/44/98/59/969449859.db2.gz FDZAJXIUYFJHGS-UHFFFAOYSA-N 0 3 245.326 2.751 20 0 BFADHN C[C@H]1C[C@H]1CN1CCOC[C@H]1Cc1ccccc1 ZINC001209432215 969501950 /nfs/dbraw/zinc/50/19/50/969501950.db2.gz PVCKUDSETSDGEL-CWRNSKLLSA-N 0 3 245.366 2.586 20 0 BFADHN Cc1ccc(-n2ccc([C@H](C)N)n2)c2[nH]ccc21 ZINC001169232180 969509529 /nfs/dbraw/zinc/50/95/29/969509529.db2.gz AGSVQMIMZMXSKS-JTQLQIEISA-N 0 3 240.310 2.682 20 0 BFADHN C[C@@H](N)c1ccn(-c2cc(F)cc3[nH]ccc32)n1 ZINC001169231363 969511560 /nfs/dbraw/zinc/51/15/60/969511560.db2.gz HZUKLOHVBDCLPR-MRVPVSSYSA-N 0 3 244.273 2.512 20 0 BFADHN CCCc1ccc(-n2ccc([C@H](C)N)n2)cc1 ZINC001169233059 969515590 /nfs/dbraw/zinc/51/55/90/969515590.db2.gz NDGJYFSBQBEHBR-NSHDSACASA-N 0 3 229.327 2.845 20 0 BFADHN CCc1nn(C)cc1CN1CC(C)(C)C1(C)C ZINC001254166071 969534522 /nfs/dbraw/zinc/53/45/22/969534522.db2.gz PSKWKLSUZIDLPP-UHFFFAOYSA-N 0 3 235.375 2.603 20 0 BFADHN CCCOC1CCN(Cc2ccc(C)[nH]2)CC1 ZINC001209572672 969553188 /nfs/dbraw/zinc/55/31/88/969553188.db2.gz HSSMRKAEDPFLTG-UHFFFAOYSA-N 0 3 236.359 2.714 20 0 BFADHN CCCCCN1CCN(Cc2ccc(C)[nH]2)CC1 ZINC001209573585 969557151 /nfs/dbraw/zinc/55/71/51/969557151.db2.gz WYCYPPIUISREOC-UHFFFAOYSA-N 0 3 249.402 2.631 20 0 BFADHN Cc1[nH]c2ncccc2c1CN1C[C@@H](F)C[C@H]1C ZINC001209587399 969564598 /nfs/dbraw/zinc/56/45/98/969564598.db2.gz SBYQGNKSHUVNGM-KOLCDFICSA-N 0 3 247.317 2.804 20 0 BFADHN Fc1ccccc1C1(N[C@@H]2CCCOC2)CCC1 ZINC001254371668 969583599 /nfs/dbraw/zinc/58/35/99/969583599.db2.gz MKRWRJPREHYQGS-GFCCVEGCSA-N 0 3 249.329 2.974 20 0 BFADHN CCCCC1CCN(Cc2cnccc2N)CC1 ZINC001209729385 969585206 /nfs/dbraw/zinc/58/52/06/969585206.db2.gz JHWGBTWZQYPBHO-UHFFFAOYSA-N 0 3 247.386 2.896 20 0 BFADHN C[C@@H]1CCN1Cc1c[nH]nc1-c1ccccc1 ZINC001209758290 969604446 /nfs/dbraw/zinc/60/44/46/969604446.db2.gz HYJPDUNQVCCFRL-LLVKDONJSA-N 0 3 227.311 2.671 20 0 BFADHN Cc1cc(CN)nn1C1CCC2(CC2)CC1 ZINC001254433178 969621464 /nfs/dbraw/zinc/62/14/64/969621464.db2.gz FDUCWVJCYNNMOE-UHFFFAOYSA-N 0 3 219.332 2.546 20 0 BFADHN CCOC1CN(C2CCc3ccccc3CC2)C1 ZINC001254456834 969646158 /nfs/dbraw/zinc/64/61/58/969646158.db2.gz VCWCUPUPDFJOKV-UHFFFAOYSA-N 0 3 245.366 2.655 20 0 BFADHN c1nc2ccc(Nc3cnc4ccccn34)cc2[nH]1 ZINC001209843492 969654202 /nfs/dbraw/zinc/65/42/02/969654202.db2.gz YLTJZVFGSOPFLB-UHFFFAOYSA-N 0 3 249.277 2.954 20 0 BFADHN Oc1cc(F)cnc1Nc1ccc2nc[nH]c2c1 ZINC001209848457 969658271 /nfs/dbraw/zinc/65/82/71/969658271.db2.gz OMDZUMOYYGFIPE-UHFFFAOYSA-N 0 3 244.229 2.546 20 0 BFADHN c1cc(Nc2ccnc(C3CCC3)c2)cnn1 ZINC001209958572 969718021 /nfs/dbraw/zinc/71/80/21/969718021.db2.gz JOJLKXLBKLRKRQ-UHFFFAOYSA-N 0 3 226.283 2.883 20 0 BFADHN Cc1cc(Nc2nccn2C)ccc1N(C)C ZINC001210038757 969770301 /nfs/dbraw/zinc/77/03/01/969770301.db2.gz AYGUOUQOPSJBFM-UHFFFAOYSA-N 0 3 230.315 2.538 20 0 BFADHN CCc1cc(Nc2cccnc2CC)ccn1 ZINC001210465199 969895200 /nfs/dbraw/zinc/89/52/00/969895200.db2.gz JLLXXQZMFCLCNX-UHFFFAOYSA-N 0 3 227.311 2.767 20 0 BFADHN Cc1ncc(Nc2ccc(C(C)(C)O)cc2)n1C ZINC001210618434 969984825 /nfs/dbraw/zinc/98/48/25/969984825.db2.gz ZMHGHODXHNYMBC-UHFFFAOYSA-N 0 3 245.326 2.700 20 0 BFADHN COc1ccc2c(c1)CN([C@H]1CCSC1)C2 ZINC001255290005 970047944 /nfs/dbraw/zinc/04/79/44/970047944.db2.gz VDCCFQKXMHBQCY-LBPRGKRZSA-N 0 3 235.352 2.516 20 0 BFADHN Cn1cc2c(n1)CCN(C1CCC(C)(C)CC1)C2 ZINC001255305941 970071993 /nfs/dbraw/zinc/07/19/93/970071993.db2.gz BFBDKZPDPJJWCM-UHFFFAOYSA-N 0 3 247.386 2.747 20 0 BFADHN CC1(C)CCC(N2CCOCC23CC3)CC1 ZINC001255308608 970076473 /nfs/dbraw/zinc/07/64/73/970076473.db2.gz WQCVSKANSAULSK-UHFFFAOYSA-N 0 3 223.360 2.820 20 0 BFADHN COc1ccc2c(c1)nc(Cl)cc2NC=N ZINC001157077503 970077645 /nfs/dbraw/zinc/07/76/45/970077645.db2.gz DWDHHWFJBLHYMY-UHFFFAOYSA-N 0 3 235.674 2.916 20 0 BFADHN CCCC[C@H](C)NCc1nc(C)oc1C ZINC001255308949 970079048 /nfs/dbraw/zinc/07/90/48/970079048.db2.gz MPHYXGRSZWSNOQ-VIFPVBQESA-N 0 3 210.321 2.960 20 0 BFADHN CC(=O)C1CCN(Cc2ccc(C)s2)CC1 ZINC001204033119 970134731 /nfs/dbraw/zinc/13/47/31/970134731.db2.gz HBGLYASVLGVLOD-UHFFFAOYSA-N 0 3 237.368 2.858 20 0 BFADHN CC(C)CCC[C@H](C)n1ncc2c1CCNC2 ZINC001170078042 970179027 /nfs/dbraw/zinc/17/90/27/970179027.db2.gz OQNAGAAVJZVJEA-LBPRGKRZSA-N 0 3 235.375 2.916 20 0 BFADHN CC(C)(C)C1CCC(n2nncc2CN)CC1 ZINC001255497306 970268378 /nfs/dbraw/zinc/26/83/78/970268378.db2.gz NGIOFQMBEOKVFB-UHFFFAOYSA-N 0 3 236.363 2.514 20 0 BFADHN Cc1cccc(C2(N[C@H]3CC[C@H](C)C3)COC2)c1 ZINC001170104921 970302545 /nfs/dbraw/zinc/30/25/45/970302545.db2.gz OFNFSZZLOOEZDO-ZFWWWQNUSA-N 0 3 245.366 2.999 20 0 BFADHN Cc1ccc(C2(N[C@H]3CC[C@@H](C)C3)COC2)cc1 ZINC001170105772 970305713 /nfs/dbraw/zinc/30/57/13/970305713.db2.gz TXBIZNZYSOJZOF-HIFRSBDPSA-N 0 3 245.366 2.999 20 0 BFADHN C[C@@H]1CC[C@H](NCC(=O)c2cccc(F)c2)C1 ZINC001170117113 970338970 /nfs/dbraw/zinc/33/89/70/970338970.db2.gz IMUADKLIZFFNGP-MFKMUULPSA-N 0 3 235.302 2.787 20 0 BFADHN C[C@@H]1CC[C@H](N[C@@H]2CCc3ccccc3C2=O)C1 ZINC001170121567 970357074 /nfs/dbraw/zinc/35/70/74/970357074.db2.gz NLKKOBQZYLPXPS-OSAQELSMSA-N 0 3 243.350 2.962 20 0 BFADHN Cn1ccnc1Nc1ccccc1N1CCCC1 ZINC001213599681 970365125 /nfs/dbraw/zinc/36/51/25/970365125.db2.gz TYGNIUCRLHTJAX-UHFFFAOYSA-N 0 3 242.326 2.764 20 0 BFADHN Cc1cnccc1Nc1ccc(Cl)cc1N ZINC001213643900 970369826 /nfs/dbraw/zinc/36/98/26/970369826.db2.gz DYRMQTGAYORLNC-UHFFFAOYSA-N 0 3 233.702 2.791 20 0 BFADHN CC[C@H](C)[C@@H](C(=O)OC)N(C)[C@H]1CC[C@H](C)C1 ZINC001170147566 970439084 /nfs/dbraw/zinc/43/90/84/970439084.db2.gz CKGLFELOBPGADM-CYDGBPFRSA-N 0 3 241.375 2.695 20 0 BFADHN NCc1ccn([C@@H]2CCC[C@@H](C(F)(F)F)C2)n1 ZINC001255690006 970456565 /nfs/dbraw/zinc/45/65/65/970456565.db2.gz QDTGJEGFPWOPFH-PSASIEDQSA-N 0 3 247.264 2.635 20 0 BFADHN Cc1ccc(C[C@@H](C)N2CCO[C@H](C)C2)cc1 ZINC001255788235 970490979 /nfs/dbraw/zinc/49/09/79/970490979.db2.gz UGLBNGPJNMALSA-ZIAGYGMSSA-N 0 3 233.355 2.647 20 0 BFADHN Cc1ccc(C[C@@H](C)N(C)C2(C)COC2)cc1 ZINC001255793439 970497730 /nfs/dbraw/zinc/49/77/30/970497730.db2.gz NAEMLFDBOXLFAC-CYBMUJFWSA-N 0 3 233.355 2.647 20 0 BFADHN Cc1ccc(C[C@@H](C)N2CCCC23COC3)cc1 ZINC001255792083 970497747 /nfs/dbraw/zinc/49/77/47/970497747.db2.gz JKCZOZQLUAGTNT-CQSZACIVSA-N 0 3 245.366 2.791 20 0 BFADHN C[C@H](Cc1ccccc1F)NCc1cnco1 ZINC001255831942 970505329 /nfs/dbraw/zinc/50/53/29/970505329.db2.gz XTZIAGWKBDPZRA-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN C[C@H](N)c1nccn1C1CCCCCC1 ZINC001255877846 970529712 /nfs/dbraw/zinc/52/97/12/970529712.db2.gz QWVFVSGIRLXJMP-JTQLQIEISA-N 0 3 207.321 2.798 20 0 BFADHN C1N(C2CCCCCC2)CC12CCCO2 ZINC001255887663 970549789 /nfs/dbraw/zinc/54/97/89/970549789.db2.gz FQHNRKIDNKFSGD-UHFFFAOYSA-N 0 3 209.333 2.574 20 0 BFADHN Clc1ncccc1CN1CC[C@@H](C2CC2)C1 ZINC000400410076 970586894 /nfs/dbraw/zinc/58/68/94/970586894.db2.gz UHGZHLBWMJTQBW-LLVKDONJSA-N 0 3 236.746 2.967 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)Nc1ccccc1C ZINC000400762808 970625998 /nfs/dbraw/zinc/62/59/98/970625998.db2.gz JBBBACMKZWDNAY-PWSUYJOCSA-N 0 3 234.343 2.697 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N[C@H](C)c1ccccc1 ZINC000400785584 970627558 /nfs/dbraw/zinc/62/75/58/970627558.db2.gz RDEGDOANGDFRIH-SCRDCRAPSA-N 0 3 248.370 2.627 20 0 BFADHN CCCOc1ccc(C)c(NCC2CN(C)C2)c1 ZINC001170258745 970645114 /nfs/dbraw/zinc/64/51/14/970645114.db2.gz LWSVZBXJTBTDHI-UHFFFAOYSA-N 0 3 248.370 2.757 20 0 BFADHN CCOc1c(C)cc(C)cc1NCC1CN(C)C1 ZINC001170259558 970645387 /nfs/dbraw/zinc/64/53/87/970645387.db2.gz XADDOHNOUNOVDJ-UHFFFAOYSA-N 0 3 248.370 2.676 20 0 BFADHN CC[C@@H](C)C[C@H](N)C(=O)N(C)C1CCCCC1 ZINC000400946726 970649747 /nfs/dbraw/zinc/64/97/47/970649747.db2.gz HRJVSUBNNKGXJE-YPMHNXCESA-N 0 3 240.391 2.541 20 0 BFADHN C[C@@H](Cc1ccccc1Cl)n1ccc(CN)n1 ZINC001170249788 970651143 /nfs/dbraw/zinc/65/11/43/970651143.db2.gz BORHAFFAQKODDN-JTQLQIEISA-N 0 3 249.745 2.799 20 0 BFADHN C[C@@H](Cc1ccccc1Cl)NC1(C)COC1 ZINC001170249952 970653165 /nfs/dbraw/zinc/65/31/65/970653165.db2.gz FEXFAUFVVNZDDB-JTQLQIEISA-N 0 3 239.746 2.650 20 0 BFADHN C[C@@H](Cc1ccccc1Cl)n1cnc(CN)c1 ZINC001170248116 970654534 /nfs/dbraw/zinc/65/45/34/970654534.db2.gz MRKAMUZSLHNILK-JTQLQIEISA-N 0 3 249.745 2.799 20 0 BFADHN CC1(O)CN([C@H]2CCC[C@H](c3ccccc3)C2)C1 ZINC001256113504 970660892 /nfs/dbraw/zinc/66/08/92/970660892.db2.gz MMFIFQYAZKKUNJ-GJZGRUSLSA-N 0 3 245.366 2.779 20 0 BFADHN Cc1ccc2c(ccnc2NCC2CN(C)C2)c1 ZINC001170252939 970661115 /nfs/dbraw/zinc/66/11/15/970661115.db2.gz VAVHBFYUIZDNIU-UHFFFAOYSA-N 0 3 241.338 2.517 20 0 BFADHN Cc1cc(C)c(NCC2CN(C)C2)c(C)c1 ZINC001170254126 970667114 /nfs/dbraw/zinc/66/71/14/970667114.db2.gz JZBJVHXIGYMMBJ-UHFFFAOYSA-N 0 3 218.344 2.585 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2CSCc3ccccc32)CO1 ZINC000402097054 970758387 /nfs/dbraw/zinc/75/83/87/970758387.db2.gz XLQORIBYFUDVAW-MPKXVKKWSA-N 0 3 249.379 2.742 20 0 BFADHN CCc1cccc(CN2C[C@H]3CC[C@@H](C2)C3=O)c1 ZINC001144324170 972762160 /nfs/dbraw/zinc/76/21/60/972762160.db2.gz YYRBKJCSEJNKQS-GASCZTMLSA-N 0 3 243.350 2.660 20 0 BFADHN c1ccc(CN2CCCCC23CC3)nc1 ZINC001204059965 972791586 /nfs/dbraw/zinc/79/15/86/972791586.db2.gz PTKLWSUGJVGJEF-UHFFFAOYSA-N 0 3 202.301 2.600 20 0 BFADHN Clc1ccc2c(CN3CC=CC3)c[nH]c2n1 ZINC001144406160 972802128 /nfs/dbraw/zinc/80/21/28/972802128.db2.gz UPUNTEUFUJQNGJ-UHFFFAOYSA-N 0 3 233.702 2.588 20 0 BFADHN CCCC[C@H](C)N1CC[C@@](N)(C(F)(F)F)C1 ZINC001246571489 972851817 /nfs/dbraw/zinc/85/18/17/972851817.db2.gz SGLPAMDPTVIVDX-UWVGGRQHSA-N 0 3 238.297 2.531 20 0 BFADHN CC(C)N1CCC[C@@H](OC(=O)c2ccccc2)C1 ZINC001223148988 972856129 /nfs/dbraw/zinc/85/61/29/972856129.db2.gz LRUFREOBCQOWHD-CQSZACIVSA-N 0 3 247.338 2.716 20 0 BFADHN Cc1ccc(CO[C@H]2CN3CCC2CC3)s1 ZINC001223190538 972870505 /nfs/dbraw/zinc/87/05/05/972870505.db2.gz OQFDLXMYVDLPSX-ZDUSSCGKSA-N 0 3 237.368 2.667 20 0 BFADHN CC1(C)CCCN1Cc1ncn2ccccc12 ZINC001144611580 972889890 /nfs/dbraw/zinc/88/98/90/972889890.db2.gz RJOOKTHKZXSHQA-UHFFFAOYSA-N 0 3 229.327 2.709 20 0 BFADHN C[C@@H]1CCCN(Cc2ncn3ccccc23)CC1 ZINC001144615199 972892127 /nfs/dbraw/zinc/89/21/27/972892127.db2.gz GQQXYYJRYJWXMP-CYBMUJFWSA-N 0 3 243.354 2.956 20 0 BFADHN C[C@@H]1CCN1[C@H]1CCc2c(F)cc(F)cc2C1 ZINC001171366945 973961524 /nfs/dbraw/zinc/96/15/24/973961524.db2.gz QPODGSDSZMFMSC-SKDRFNHKSA-N 0 3 237.293 2.916 20 0 BFADHN CC1CN([C@H]2CCc3c(F)cc(F)cc3C2)C1 ZINC001171367385 973967043 /nfs/dbraw/zinc/96/70/43/973967043.db2.gz VEFGMHONABTRHD-LBPRGKRZSA-N 0 3 237.293 2.774 20 0 BFADHN CCc1cccc(-c2noc([C@@H]3CCCN3)n2)c1 ZINC001247498848 973972102 /nfs/dbraw/zinc/97/21/02/973972102.db2.gz UXFLAQPWESFRFR-LBPRGKRZSA-N 0 3 243.310 2.724 20 0 BFADHN CC(=O)CN1CC[C@H]([C@@H]2CCCC2(C)C)C1 ZINC001171459140 974076649 /nfs/dbraw/zinc/07/66/49/974076649.db2.gz RSKDRIQZPNJDQR-STQMWFEESA-N 0 3 223.360 2.724 20 0 BFADHN Cc1cccc2c1CC[C@@H](NCc1ccon1)C2 ZINC001171550985 974095068 /nfs/dbraw/zinc/09/50/68/974095068.db2.gz MHROFXJBNMSKAQ-CYBMUJFWSA-N 0 3 242.322 2.630 20 0 BFADHN Cc1cccc2c1CC[C@@H](N1CCO[C@@H](C)C1)C2 ZINC001171565129 974103582 /nfs/dbraw/zinc/10/35/82/974103582.db2.gz LWZDSQDQCNSUDB-DZGCQCFKSA-N 0 3 245.366 2.573 20 0 BFADHN Cc1cccc2c1CC[C@H](N1CCO[C@H](C)C1)C2 ZINC001171565141 974105177 /nfs/dbraw/zinc/10/51/77/974105177.db2.gz LWZDSQDQCNSUDB-HIFRSBDPSA-N 0 3 245.366 2.573 20 0 BFADHN Cc1cccc2c1CC[C@@H](N1CC[C@H](F)C1)C2 ZINC001171564990 974105762 /nfs/dbraw/zinc/10/57/62/974105762.db2.gz ILPFHUKSNOHNHW-UONOGXRCSA-N 0 3 233.330 2.896 20 0 BFADHN CCN(C1COC1)[C@H]1CCc2c(C)cccc2C1 ZINC001171569770 974105868 /nfs/dbraw/zinc/10/58/68/974105868.db2.gz BDQQAHIYERBRES-AWEZNQCLSA-N 0 3 245.366 2.573 20 0 BFADHN Cc1cccc2c1CC[C@@H](N(C)C1(C)COC1)C2 ZINC001171574901 974110543 /nfs/dbraw/zinc/11/05/43/974110543.db2.gz RFVLUWCWTOJFRZ-CQSZACIVSA-N 0 3 245.366 2.573 20 0 BFADHN F[C@@H]1CCC[C@H](NCc2cnc(C3CC3)o2)C1 ZINC001330108661 974110890 /nfs/dbraw/zinc/11/08/90/974110890.db2.gz PWUHJFMQUCOLFY-MNOVXSKESA-N 0 3 238.306 2.922 20 0 BFADHN Cc1ccc(CC[C@@H](C)n2cnc(CN)c2)cc1 ZINC001171655904 974118117 /nfs/dbraw/zinc/11/81/17/974118117.db2.gz QFYGIFGGJMQSRY-CYBMUJFWSA-N 0 3 243.354 2.844 20 0 BFADHN Cc1ccc(CC[C@@H](C)n2cncc2CN)cc1 ZINC001171655481 974118910 /nfs/dbraw/zinc/11/89/10/974118910.db2.gz JXYPVGDVWCIHFJ-CYBMUJFWSA-N 0 3 243.354 2.844 20 0 BFADHN Cc1ccc(CC[C@H](C)n2ccc(CN)n2)cc1 ZINC001171657627 974123925 /nfs/dbraw/zinc/12/39/25/974123925.db2.gz JJOHRLSFYDWQLL-ZDUSSCGKSA-N 0 3 243.354 2.844 20 0 BFADHN Cc1ccc(CC[C@H](C)N(C)C2COC2)cc1 ZINC001171680014 974133727 /nfs/dbraw/zinc/13/37/27/974133727.db2.gz OGBYSYAOWJHKPM-ZDUSSCGKSA-N 0 3 233.355 2.647 20 0 BFADHN Fc1cccc2c1C[C@H](NCc1ccco1)C2 ZINC001171768190 974148979 /nfs/dbraw/zinc/14/89/79/974148979.db2.gz FKHIUCWAIQCZTQ-LLVKDONJSA-N 0 3 231.270 2.676 20 0 BFADHN Cc1cnc2ccccc2c1N1CCC(O)CC1 ZINC001330405277 974253733 /nfs/dbraw/zinc/25/37/33/974253733.db2.gz OKWPIEKOKOJPKU-UHFFFAOYSA-N 0 3 242.322 2.504 20 0 BFADHN C[C@@H]1CN(Cc2c[nH]nc2C(C)(C)C)[C@@H]1C ZINC001330422886 974258444 /nfs/dbraw/zinc/25/84/44/974258444.db2.gz GNTFXPCXYVGHQE-NXEZZACHSA-N 0 3 221.348 2.547 20 0 BFADHN COCC(C)(C)N(C)Cc1cccn1C1CC1 ZINC001330536856 974297907 /nfs/dbraw/zinc/29/79/07/974297907.db2.gz QASNJTXCKMVILL-UHFFFAOYSA-N 0 3 236.359 2.680 20 0 BFADHN Cc1nc2ccccc2nc1CNC1(C)CC1 ZINC001330608816 974359734 /nfs/dbraw/zinc/35/97/34/974359734.db2.gz VHSWGIIDEPLVFA-UHFFFAOYSA-N 0 3 227.311 2.580 20 0 BFADHN CC/C=C/CNC(=O)CN(C)CCCCCC ZINC001330676811 974406834 /nfs/dbraw/zinc/40/68/34/974406834.db2.gz LHBBIFWRTODDOM-VQHVLOKHSA-N 0 3 240.391 2.581 20 0 BFADHN C[C@H](NC1C[C@H]2CC[C@@H](C1)O2)c1nccs1 ZINC001172219523 974448019 /nfs/dbraw/zinc/44/80/19/974448019.db2.gz VVQGUOPAVAMWDH-OFLUOSHYSA-N 0 3 238.356 2.504 20 0 BFADHN CC[C@H](N[C@@H]1COC(C)(C)C1)c1ccccn1 ZINC001172275070 974489432 /nfs/dbraw/zinc/48/94/32/974489432.db2.gz ITTUUESDDSCVLQ-RYUDHWBXSA-N 0 3 234.343 2.690 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)N1[C@@H](C)CCC1(C)C ZINC001330891055 974524451 /nfs/dbraw/zinc/52/44/51/974524451.db2.gz FEFDOHHIXWJENV-NWDGAFQWSA-N 0 3 240.391 2.539 20 0 BFADHN COc1ccc2c(c1)CN([C@H]1COC(C)(C)C1)C2 ZINC001172287041 974549164 /nfs/dbraw/zinc/54/91/64/974549164.db2.gz PMVDQWGLVSAAEC-CYBMUJFWSA-N 0 3 247.338 2.578 20 0 BFADHN C[C@@H]1CC[C@H](N2CCc3ncncc3C2)C[C@@H]1C ZINC001172373417 974569690 /nfs/dbraw/zinc/56/96/90/974569690.db2.gz LWYVCTFRCSOZMJ-DYEKYZERSA-N 0 3 245.370 2.659 20 0 BFADHN CC[C@@H](C)OCC[C@@H](C)N1C[C@@H](C)OC[C@H]1C ZINC001172348101 974616919 /nfs/dbraw/zinc/61/69/19/974616919.db2.gz OAXLZJHJEUEYJH-AAVRWANBSA-N 0 3 243.391 2.689 20 0 BFADHN CC[C@H](C)OCC[C@H](C)N1CCC[C@H]1C(C)=O ZINC001172362160 974632464 /nfs/dbraw/zinc/63/24/64/974632464.db2.gz QFCSFMSIUGVDQZ-OBJOEFQTSA-N 0 3 241.375 2.634 20 0 BFADHN CSc1ccccc1O[C@@H]1CCCN(C)C1 ZINC001225668877 974634889 /nfs/dbraw/zinc/63/48/89/974634889.db2.gz TYPNBIHEVGFZDO-LLVKDONJSA-N 0 3 237.368 2.882 20 0 BFADHN C[C@@H]1CC[C@H](NC2(c3cnccn3)CC2)C[C@@H]1C ZINC001172369383 974651084 /nfs/dbraw/zinc/65/10/84/974651084.db2.gz LPTZTLGJJRHGPD-AGIUHOORSA-N 0 3 245.370 2.880 20 0 BFADHN CC[C@@H](CC(C)C)N(CC)[C@@H](C)C(=O)OC ZINC001172404832 974657708 /nfs/dbraw/zinc/65/77/08/974657708.db2.gz MEWHZRORGILXMR-RYUDHWBXSA-N 0 3 229.364 2.695 20 0 BFADHN CCOCC[C@@H](C)N[C@@H](C)c1nccs1 ZINC001172410756 974673063 /nfs/dbraw/zinc/67/30/63/974673063.db2.gz JJXHQCNZJGQFQB-ZJUUUORDSA-N 0 3 228.361 2.609 20 0 BFADHN Cc1ccc(O[C@H]2CCCN(C)C2)cc1F ZINC001225770857 974676762 /nfs/dbraw/zinc/67/67/62/974676762.db2.gz AYEONCXKSVDZTI-LBPRGKRZSA-N 0 3 223.291 2.607 20 0 BFADHN CCOCC[C@@H](C)N[C@H](C)c1csc(C)n1 ZINC001172412454 974678482 /nfs/dbraw/zinc/67/84/82/974678482.db2.gz XYBZHGGDPFYOPJ-NXEZZACHSA-N 0 3 242.388 2.917 20 0 BFADHN CN1CCC(Oc2c(F)cccc2Cl)CC1 ZINC001225864998 974706561 /nfs/dbraw/zinc/70/65/61/974706561.db2.gz NVALXYPLQKBBHQ-UHFFFAOYSA-N 0 3 243.709 2.952 20 0 BFADHN CC[C@H](CC(C)C)n1ccnc1[C@@H](C)N ZINC001172382703 974723518 /nfs/dbraw/zinc/72/35/18/974723518.db2.gz HANFKBRGMDTGBL-GHMZBOCLSA-N 0 3 209.337 2.900 20 0 BFADHN CCN([C@@H](C)C(=O)OC)[C@@H]1CC[C@H](C)[C@H](C)C1 ZINC001172384222 974729212 /nfs/dbraw/zinc/72/92/12/974729212.db2.gz UQWXXYNRQPQYQK-QNWHQSFQSA-N 0 3 241.375 2.695 20 0 BFADHN CC[C@@H](CC(C)C)Nc1ccc(CN)nc1 ZINC001172391452 974743073 /nfs/dbraw/zinc/74/30/73/974743073.db2.gz GRGPLKAQPWXJRC-NSHDSACASA-N 0 3 221.348 2.777 20 0 BFADHN COC[C@@H](C)NCc1cc(C2CC2)ccc1OC ZINC001331537994 974756770 /nfs/dbraw/zinc/75/67/70/974756770.db2.gz UPRIVSCDCFEARF-LLVKDONJSA-N 0 3 249.354 2.697 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CCC[C@@H](F)C2)o1 ZINC001331692431 974791690 /nfs/dbraw/zinc/79/16/90/974791690.db2.gz XKVPBZKTGHCYGS-MNOVXSKESA-N 0 3 226.295 2.662 20 0 BFADHN CC(C)OCC[C@@H](C)N1CCc2cnccc2C1 ZINC001172440764 974797745 /nfs/dbraw/zinc/79/77/45/974797745.db2.gz NUVAPDOBLQHNHT-CYBMUJFWSA-N 0 3 248.370 2.643 20 0 BFADHN CC(C)OCC[C@H](C)NCOc1ccccc1 ZINC001172442013 974801722 /nfs/dbraw/zinc/80/17/22/974801722.db2.gz GXWJZMKWZAMIEI-ZDUSSCGKSA-N 0 3 237.343 2.816 20 0 BFADHN Fc1cc(CNC/C=C\C2CC2)cnc1Cl ZINC001331892279 974852783 /nfs/dbraw/zinc/85/27/83/974852783.db2.gz LPIZRXXSGSVVSI-UPHRSURJSA-N 0 3 240.709 2.930 20 0 BFADHN CN(C1COC1)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001172563010 974872985 /nfs/dbraw/zinc/87/29/85/974872985.db2.gz GKRUKYOLMKVQQW-ZIAGYGMSSA-N 0 3 231.339 2.653 20 0 BFADHN CCN1CCC[C@H](Oc2noc3ccccc32)C1 ZINC001226404025 974886148 /nfs/dbraw/zinc/88/61/48/974886148.db2.gz ZUQLZYMYCLXJCW-NSHDSACASA-N 0 3 246.310 2.691 20 0 BFADHN CC(=O)CN[C@@H](C)Cc1ccc(F)cc1Cl ZINC001172864329 974955837 /nfs/dbraw/zinc/95/58/37/974955837.db2.gz VMQASYHESNZUSY-QMMMGPOBSA-N 0 3 243.709 2.589 20 0 BFADHN C[C@@H]1CCC[C@@H](Oc2ccnc(N)c2)[C@H]1C ZINC001226652241 975000609 /nfs/dbraw/zinc/00/06/09/975000609.db2.gz DUCXZCSTVBOALT-JFGNBEQYSA-N 0 3 220.316 2.867 20 0 BFADHN Cc1cnc(F)c([C@@H](C)Oc2ccnc(N)c2)c1 ZINC001226653955 975000834 /nfs/dbraw/zinc/00/08/34/975000834.db2.gz UPKWLTLVCNSZCI-SECBINFHSA-N 0 3 247.273 2.646 20 0 BFADHN C[C@H](Oc1ccnc(N)c1)c1cccc(F)c1 ZINC001226657243 975001308 /nfs/dbraw/zinc/00/13/08/975001308.db2.gz NUSYEHYWEGPEJL-VIFPVBQESA-N 0 3 232.258 2.943 20 0 BFADHN C[C@@H](Oc1ccnc(N)c1)c1cccnc1Cl ZINC001226654889 975004101 /nfs/dbraw/zinc/00/41/01/975004101.db2.gz DIVOBNDKUPFEGM-MRVPVSSYSA-N 0 3 249.701 2.852 20 0 BFADHN Nc1cc(O[C@H]2CC3CCC2CC3)ccn1 ZINC001226658141 975006843 /nfs/dbraw/zinc/00/68/43/975006843.db2.gz YCZJRPWEANZQNB-CBINBANVSA-N 0 3 218.300 2.621 20 0 BFADHN CCN1CC[C@H](Oc2cc(Cl)ccc2F)C1 ZINC001226691201 975024754 /nfs/dbraw/zinc/02/47/54/975024754.db2.gz WTHCDZVLSMVTJH-JTQLQIEISA-N 0 3 243.709 2.952 20 0 BFADHN C[C@@H]1CCN(Cc2cccn2C)CCC1(F)F ZINC001203254144 975058658 /nfs/dbraw/zinc/05/86/58/975058658.db2.gz GURDWKQTYUKALH-LLVKDONJSA-N 0 3 242.313 2.892 20 0 BFADHN CCc1ccc(C[C@H](C)n2ccc(CN)n2)cc1 ZINC001173235768 975076052 /nfs/dbraw/zinc/07/60/52/975076052.db2.gz OSYMGYULIMAKDI-LBPRGKRZSA-N 0 3 243.354 2.708 20 0 BFADHN CCCC[C@@H](CC(C)C)n1nncc1CN ZINC001173253170 975090999 /nfs/dbraw/zinc/09/09/99/975090999.db2.gz NUVDWZSGHHCILY-NSHDSACASA-N 0 3 224.352 2.514 20 0 BFADHN CC[C@@H]1CC[C@@H](NC2(c3cccnn3)CC2)C1 ZINC001173263371 975116290 /nfs/dbraw/zinc/11/62/90/975116290.db2.gz KBJQTUGXVHCODW-VXGBXAGGSA-N 0 3 231.343 2.634 20 0 BFADHN CC[C@@H]1CC[C@@H](NCc2ccn(CCF)n2)C1 ZINC001173268783 975136039 /nfs/dbraw/zinc/13/60/39/975136039.db2.gz CYGGPXNVZSELNA-VXGBXAGGSA-N 0 3 239.338 2.521 20 0 BFADHN CCc1ccc(C[C@@H](C)n2cnc(CN)c2)cc1 ZINC001173240352 975166583 /nfs/dbraw/zinc/16/65/83/975166583.db2.gz XWFUORWTBRMNES-GFCCVEGCSA-N 0 3 243.354 2.708 20 0 BFADHN CCSC[C@@H](C)NC1(c2cccnc2)CC1 ZINC001173297768 975186923 /nfs/dbraw/zinc/18/69/23/975186923.db2.gz WHVHAFYXEBLVAJ-LLVKDONJSA-N 0 3 236.384 2.802 20 0 BFADHN CCSC[C@@H](C)N1C[C@@H](F)C[C@H]1C ZINC001173301270 975194566 /nfs/dbraw/zinc/19/45/66/975194566.db2.gz QEKQMBCQRGVNAK-BBBLOLIVSA-N 0 3 205.342 2.560 20 0 BFADHN CC(C)c1ccc(O)cc1O[C@@H]1CCN(C)C1 ZINC001227019591 975222867 /nfs/dbraw/zinc/22/28/67/975222867.db2.gz ZWLAZRRCAMUCJO-GFCCVEGCSA-N 0 3 235.327 2.599 20 0 BFADHN CC[C@@H]1CC[C@H](N(C)[C@H](C(=O)OC)C(C)C)C1 ZINC001173292044 975265880 /nfs/dbraw/zinc/26/58/80/975265880.db2.gz DETPISKHASNIER-AGIUHOORSA-N 0 3 241.375 2.695 20 0 BFADHN Cc1cn(C)nc1CN1CCC[C@H](C(C)C)C1 ZINC001332951644 975335370 /nfs/dbraw/zinc/33/53/70/975335370.db2.gz KLNXBJVJWVXPPH-ZDUSSCGKSA-N 0 3 235.375 2.597 20 0 BFADHN Cc1cn(C)nc1CN(C)[C@@H]1CCCC[C@H]1C ZINC001333430725 975774441 /nfs/dbraw/zinc/77/44/41/975774441.db2.gz XHNZDJDWJLHZFW-BXUZGUMPSA-N 0 3 235.375 2.739 20 0 BFADHN F[C@@H]1CCC[C@@H](NCc2cc(C3CC3)no2)C1 ZINC001330638061 975789956 /nfs/dbraw/zinc/78/99/56/975789956.db2.gz LHEUOHZGBHGDKL-GHMZBOCLSA-N 0 3 238.306 2.922 20 0 BFADHN Cc1cnc(Nc2ccccc2CN(C)C)cn1 ZINC001173927482 975889262 /nfs/dbraw/zinc/88/92/62/975889262.db2.gz CGQWORSAFGGEPX-UHFFFAOYSA-N 0 3 242.326 2.590 20 0 BFADHN CN1CCC[C@@H](Oc2ccc3ccccc3n2)C1 ZINC001228085321 975897579 /nfs/dbraw/zinc/89/75/79/975897579.db2.gz QGRRCWVALJQAPQ-CYBMUJFWSA-N 0 3 242.322 2.708 20 0 BFADHN CCn1cc(CN2CCCC(C)(C)C2)c(C)n1 ZINC001333629616 975908512 /nfs/dbraw/zinc/90/85/12/975908512.db2.gz ROVWNYZKKVIPMK-UHFFFAOYSA-N 0 3 235.375 2.833 20 0 BFADHN Cc1cnccc1Nc1cccc(CO)c1Cl ZINC001212759091 975915235 /nfs/dbraw/zinc/91/52/35/975915235.db2.gz BNYZNNWOEGIBEW-UHFFFAOYSA-N 0 3 248.713 2.701 20 0 BFADHN Clc1cc(Nc2ccncc2)cn2ccnc12 ZINC001173881483 975926702 /nfs/dbraw/zinc/92/67/02/975926702.db2.gz VGBVWAJWILKUMN-UHFFFAOYSA-N 0 3 244.685 2.548 20 0 BFADHN CCc1nccc(C)c1Nc1ccncc1 ZINC001173882363 975928159 /nfs/dbraw/zinc/92/81/59/975928159.db2.gz OYWKCKLPUAPSTO-UHFFFAOYSA-N 0 3 213.284 2.513 20 0 BFADHN c1cc(Nc2ccc3cnccc3c2)ccn1 ZINC001173882216 975935499 /nfs/dbraw/zinc/93/54/99/975935499.db2.gz CDESIEBVHQBYGN-UHFFFAOYSA-N 0 3 221.263 2.795 20 0 BFADHN c1cc(Nc2ccc(N3CCCC3)cc2)ccn1 ZINC001173882692 975939432 /nfs/dbraw/zinc/93/94/32/975939432.db2.gz PBTMGQQTEHNCPV-UHFFFAOYSA-N 0 3 239.322 2.847 20 0 BFADHN Nc1cc2c(ccn2-c2ccncc2)c(F)c1 ZINC001173884201 975970385 /nfs/dbraw/zinc/97/03/85/975970385.db2.gz BURNQKGUOPWUCR-UHFFFAOYSA-N 0 3 227.242 2.747 20 0 BFADHN COc1cc2ccn(-c3ccncc3)c2c(N)c1 ZINC001173885413 975980767 /nfs/dbraw/zinc/98/07/67/975980767.db2.gz ZKNUBJSPNWIFGT-UHFFFAOYSA-N 0 3 239.278 2.616 20 0 BFADHN Cc1nocc1Nc1ccccc1CN(C)C ZINC001173929880 976036141 /nfs/dbraw/zinc/03/61/41/976036141.db2.gz KGUAVRXGJLNZPL-UHFFFAOYSA-N 0 3 231.299 2.788 20 0 BFADHN CN(C)Cc1ccccc1Nc1ccc[nH]c1=O ZINC001173929813 976036218 /nfs/dbraw/zinc/03/62/18/976036218.db2.gz XQZONRXPXGBSJO-UHFFFAOYSA-N 0 3 243.310 2.592 20 0 BFADHN CC(C)c1cccc(O[C@@H]2CCCN(C)C2)n1 ZINC001228318851 976105624 /nfs/dbraw/zinc/10/56/24/976105624.db2.gz RJWDAUJSVWLCEE-GFCCVEGCSA-N 0 3 234.343 2.678 20 0 BFADHN CC(C)c1cccc(O[C@H]2CN3CCC2CC3)n1 ZINC001228318695 976106545 /nfs/dbraw/zinc/10/65/45/976106545.db2.gz PUCVNQIPZPUXBP-AWEZNQCLSA-N 0 3 246.354 2.678 20 0 BFADHN CN(CCOC1CC1)Cc1cc2ccccc2[nH]1 ZINC001333838003 976116061 /nfs/dbraw/zinc/11/60/61/976116061.db2.gz ZVSSVZIHAPKDBW-UHFFFAOYSA-N 0 3 244.338 2.779 20 0 BFADHN COc1cccc(Nc2cnc(C)n2C)c1F ZINC001173972097 976189717 /nfs/dbraw/zinc/18/97/17/976189717.db2.gz GYKBNJJHHPQWJT-UHFFFAOYSA-N 0 3 235.262 2.620 20 0 BFADHN CCN1CC[C@H](Oc2cnc(Cl)cc2C)C1 ZINC001228532182 976285156 /nfs/dbraw/zinc/28/51/56/976285156.db2.gz BDYLFDIXTAPBOU-JTQLQIEISA-N 0 3 240.734 2.516 20 0 BFADHN FC1(F)CCN(CCc2ccccc2)CC1 ZINC000259436315 976322537 /nfs/dbraw/zinc/32/25/37/976322537.db2.gz UWCJYTOCVRFVDR-UHFFFAOYSA-N 0 3 225.282 2.960 20 0 BFADHN COc1ccc(CN2CCC23CCCC3)o1 ZINC001334286157 976422637 /nfs/dbraw/zinc/42/26/37/976422637.db2.gz NVORBAGRRNDEDY-UHFFFAOYSA-N 0 3 221.300 2.807 20 0 BFADHN COc1cc([C@H](C)N[C@H]2CCC[C@@H](F)C2)on1 ZINC001334404219 976494630 /nfs/dbraw/zinc/49/46/30/976494630.db2.gz VMDITJKELLCIMK-AEJSXWLSSA-N 0 3 242.294 2.615 20 0 BFADHN CCN1CC[C@H](Oc2cc3cc[nH]c3cc2F)C1 ZINC001228889421 976553621 /nfs/dbraw/zinc/55/36/21/976553621.db2.gz VROZZXHLVLMKST-NSHDSACASA-N 0 3 248.301 2.780 20 0 BFADHN C[C@@H](Oc1ccc2c(c1)CCN(C)C2)C1CC1 ZINC001228936633 976590513 /nfs/dbraw/zinc/59/05/13/976590513.db2.gz KNPCXYBKWJGOFE-LLVKDONJSA-N 0 3 231.339 2.852 20 0 BFADHN Cc1cnccc1N(C)c1nc(Cl)ccc1N ZINC001174536449 976818466 /nfs/dbraw/zinc/81/84/66/976818466.db2.gz MUQSYXAWSHHQJC-UHFFFAOYSA-N 0 3 248.717 2.789 20 0 BFADHN Cc1cnccc1Nc1ccc(C(F)F)cn1 ZINC001174537519 976819507 /nfs/dbraw/zinc/81/95/07/976819507.db2.gz JFVKYNJKQCRLCJ-UHFFFAOYSA-N 0 3 235.237 2.888 20 0 BFADHN COc1cccc(C)c1Nc1ccncc1C ZINC001174537464 976820004 /nfs/dbraw/zinc/82/00/04/976820004.db2.gz GNLZGSZTXVRXKN-UHFFFAOYSA-N 0 3 228.295 2.873 20 0 BFADHN Cc1cnccc1Nc1ccccc1[C@H](C)O ZINC001174538692 976823709 /nfs/dbraw/zinc/82/37/09/976823709.db2.gz LROUSTMJLZOEFA-NSHDSACASA-N 0 3 228.295 2.609 20 0 BFADHN Cc1cnccc1Nc1ccc2c(c1)C(=O)CC2 ZINC001174537770 976823763 /nfs/dbraw/zinc/82/37/63/976823763.db2.gz PXYJVWZBRDQTBF-UHFFFAOYSA-N 0 3 238.290 2.684 20 0 BFADHN Cc1cnccc1Nc1ccc2c(c1)CCN2C ZINC001174538610 976825734 /nfs/dbraw/zinc/82/57/34/976825734.db2.gz MJXMXEOXLZGUPF-UHFFFAOYSA-N 0 3 239.322 2.548 20 0 BFADHN C(=C/C1CC1)\CNCc1ccnn1C1CCC1 ZINC001335019729 976828191 /nfs/dbraw/zinc/82/81/91/976828191.db2.gz ZGZCOPJEHMCCMG-NSCUHMNNSA-N 0 3 231.343 2.664 20 0 BFADHN CC(=O)c1cc(Nc2ccncc2C)ccc1F ZINC001174539617 976828309 /nfs/dbraw/zinc/82/83/09/976828309.db2.gz NHYQPIGLJGQMIV-UHFFFAOYSA-N 0 3 244.269 2.897 20 0 BFADHN CC(=O)c1ccccc1Nc1ccncc1C ZINC001174539145 976828816 /nfs/dbraw/zinc/82/88/16/976828816.db2.gz FWPYADSORJKJGA-UHFFFAOYSA-N 0 3 226.279 2.758 20 0 BFADHN Cc1cnccc1Nc1ccc2c(c1)[C@H](O)CC2 ZINC001174538964 976829885 /nfs/dbraw/zinc/82/98/85/976829885.db2.gz WSMUNRCPJAIYLD-OAHLLOKOSA-N 0 3 240.306 2.535 20 0 BFADHN Cn1ccnc1Nc1cccc2[nH]ccc21 ZINC001174644432 976914619 /nfs/dbraw/zinc/91/46/19/976914619.db2.gz AUVLRWKEGFAHOB-UHFFFAOYSA-N 0 3 212.256 2.645 20 0 BFADHN CC(=O)[C@H](C)Oc1cccc([C@H](C)N(C)C)c1 ZINC001229628397 976915218 /nfs/dbraw/zinc/91/52/18/976915218.db2.gz UHPPTKRHLGGVBI-JQWIXIFHSA-N 0 3 235.327 2.666 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2N[C@H]1CC[C@@H]1C ZINC001335281052 976919289 /nfs/dbraw/zinc/91/92/89/976919289.db2.gz IZJQAEFLAADYFM-LSJOCFKGSA-N 0 3 220.316 2.749 20 0 BFADHN Cc1cc(Nc2cc(-c3ccco3)no2)ccn1 ZINC001174652900 976937737 /nfs/dbraw/zinc/93/77/37/976937737.db2.gz IMVUJKLVWFQILU-UHFFFAOYSA-N 0 3 241.250 2.804 20 0 BFADHN CN(C)Cc1ccccc1OC[C@@H]1CCC=CO1 ZINC001229699795 976950261 /nfs/dbraw/zinc/95/02/61/976950261.db2.gz SHCHFESQRKTKLF-AWEZNQCLSA-N 0 3 247.338 2.820 20 0 BFADHN CCN1CC[C@@H](Oc2c(O)cccc2C(C)C)C1 ZINC001229706795 976956449 /nfs/dbraw/zinc/95/64/49/976956449.db2.gz DTFWEEAMLXOIHM-GFCCVEGCSA-N 0 3 249.354 2.989 20 0 BFADHN CN(C)Cc1ccccc1O[C@H]1C=CCC1 ZINC001229702591 976957380 /nfs/dbraw/zinc/95/73/80/976957380.db2.gz VNRFXZOCIDGRJY-ZDUSSCGKSA-N 0 3 217.312 2.846 20 0 BFADHN CC[C@H](COC)Oc1ccccc1CN(C)C ZINC001229700317 976958082 /nfs/dbraw/zinc/95/80/82/976958082.db2.gz XZFZFLXDIFABHV-CYBMUJFWSA-N 0 3 237.343 2.552 20 0 BFADHN F[C@@H]1CCC[C@H](NCc2nccn2C2CC2)C1 ZINC001335539610 977127243 /nfs/dbraw/zinc/12/72/43/977127243.db2.gz GBERQGXYVRMFBZ-MNOVXSKESA-N 0 3 237.322 2.588 20 0 BFADHN F[C@H]1CCC[C@@H](NCc2nccn2C2CC2)C1 ZINC001335539612 977129901 /nfs/dbraw/zinc/12/99/01/977129901.db2.gz GBERQGXYVRMFBZ-WDEREUQCSA-N 0 3 237.322 2.588 20 0 BFADHN Cn1ccnc1Nc1cccc2ncccc21 ZINC001174905320 977157263 /nfs/dbraw/zinc/15/72/63/977157263.db2.gz ONDIJYHJZDBMHG-UHFFFAOYSA-N 0 3 224.267 2.712 20 0 BFADHN CN1CC[C@@H](Oc2c(O)cccc2C(C)(C)C)C1 ZINC001230372757 977202339 /nfs/dbraw/zinc/20/23/39/977202339.db2.gz GVRNPTHSSSEBEU-LLVKDONJSA-N 0 3 249.354 2.773 20 0 BFADHN CCOc1cccc(O[C@H]2CN3CCC2CC3)c1 ZINC001230554638 977250204 /nfs/dbraw/zinc/25/02/04/977250204.db2.gz QOQNSMDCIFNYLY-HNNXBMFYSA-N 0 3 247.338 2.558 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC001335748780 977259931 /nfs/dbraw/zinc/25/99/31/977259931.db2.gz QXLAZXSUQYSUNK-IUODEOHRSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@H](N[C@H]1CCCC[C@H]1C)c1ncccn1 ZINC001335766773 977274200 /nfs/dbraw/zinc/27/42/00/977274200.db2.gz YRYGUFYXXUVEMF-WOPDTQHZSA-N 0 3 219.332 2.706 20 0 BFADHN CC(=O)CN(C)C[C@@H](C)C1CCCCC1 ZINC001175239138 977340977 /nfs/dbraw/zinc/34/09/77/977340977.db2.gz QBMATLDELDXJKK-LLVKDONJSA-N 0 3 211.349 2.724 20 0 BFADHN Cc1cc(Nc2c(F)ccc([O-])c2F)cc[nH+]1 ZINC001175261828 977359653 /nfs/dbraw/zinc/35/96/53/977359653.db2.gz RMPUXRXGKZMWBJ-UHFFFAOYSA-N 0 3 236.221 2.539 20 0 BFADHN CC1(C)CCC(Oc2nncc3n[nH]cc32)CC1 ZINC001230895193 977360987 /nfs/dbraw/zinc/36/09/87/977360987.db2.gz DVSBJKLWEHOKGG-UHFFFAOYSA-N 0 3 246.314 2.701 20 0 BFADHN CC[C@H]1CCCC[C@H]1Oc1nncc2n[nH]cc21 ZINC001230896411 977366121 /nfs/dbraw/zinc/36/61/21/977366121.db2.gz QNUIEAJQRIJRDX-JOYOIKCWSA-N 0 3 246.314 2.701 20 0 BFADHN CCC(CC)[C@@H](CC)Oc1nncc2n[nH]cc21 ZINC001230901625 977372114 /nfs/dbraw/zinc/37/21/14/977372114.db2.gz SEEIYQPNUCXYMO-GFCCVEGCSA-N 0 3 248.330 2.947 20 0 BFADHN CCc1nnc(CNC23CCC(CC2)C3)s1 ZINC001336062694 977473164 /nfs/dbraw/zinc/47/31/64/977473164.db2.gz LSKUZVZBJCRCPX-UHFFFAOYSA-N 0 3 237.372 2.523 20 0 BFADHN CCN1CC[C@@H](Oc2nc3cccc(C)c3o2)C1 ZINC001231163628 977521075 /nfs/dbraw/zinc/52/10/75/977521075.db2.gz HWAUPZSVYYUHNC-LLVKDONJSA-N 0 3 246.310 2.609 20 0 BFADHN CCCOc1cccc(Nc2nccn2C)c1 ZINC001175598471 977522397 /nfs/dbraw/zinc/52/23/97/977522397.db2.gz JGRMIHICBFFIEU-UHFFFAOYSA-N 0 3 231.299 2.953 20 0 BFADHN Cc1cccc(C)c1NC1=CCCN(C)C1 ZINC001175575994 977582340 /nfs/dbraw/zinc/58/23/40/977582340.db2.gz FKXNWHSFCCTLNX-UHFFFAOYSA-N 0 3 216.328 2.935 20 0 BFADHN Cc1ccccc1NC1=CCCN(C)C1 ZINC001175575964 977583594 /nfs/dbraw/zinc/58/35/94/977583594.db2.gz DLNJFYGSHZXOLO-UHFFFAOYSA-N 0 3 202.301 2.626 20 0 BFADHN CN1CCC=C(Nc2ccc3c(c2)CCC3)C1 ZINC001175577905 977597171 /nfs/dbraw/zinc/59/71/71/977597171.db2.gz ZZEZTVSRHAFIKK-UHFFFAOYSA-N 0 3 228.339 2.807 20 0 BFADHN CN1CCC=C(Nc2cccc3ccncc32)C1 ZINC001175578945 977599355 /nfs/dbraw/zinc/59/93/55/977599355.db2.gz LLCNUZOLRZWTEM-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN CCN(Cc1cc(C)c(C)cc1C)C1CN(C)C1 ZINC001231485805 977607651 /nfs/dbraw/zinc/60/76/51/977607651.db2.gz MRHDFCXTEMJGIC-UHFFFAOYSA-N 0 3 246.398 2.748 20 0 BFADHN CCN(CC)Cc1ccc(F)cc1OC ZINC001231602276 977648823 /nfs/dbraw/zinc/64/88/23/977648823.db2.gz NOMHGAFIVUPDPR-UHFFFAOYSA-N 0 3 211.280 2.676 20 0 BFADHN COc1cc(F)ccc1CN1CC2CC(C2)C1 ZINC001231608578 977649117 /nfs/dbraw/zinc/64/91/17/977649117.db2.gz ONPLWGTWUKGMQJ-UHFFFAOYSA-N 0 3 235.302 2.676 20 0 BFADHN Cc1cnc(N=C2CCCCC2)c(N)c1 ZINC001175752446 977672117 /nfs/dbraw/zinc/67/21/17/977672117.db2.gz LDGNBHUMDBVDHV-UHFFFAOYSA-N 0 3 203.289 2.842 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@H](C)[C@H](C)C2)n1 ZINC001231670015 977685293 /nfs/dbraw/zinc/68/52/93/977685293.db2.gz VVHSOEXHXGMYFQ-NWDGAFQWSA-N 0 3 248.370 2.964 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@H](C)[C@H](C)C2)n1 ZINC001231670015 977685298 /nfs/dbraw/zinc/68/52/98/977685298.db2.gz VVHSOEXHXGMYFQ-NWDGAFQWSA-N 0 3 248.370 2.964 20 0 BFADHN CCOc1ncccc1CN(CC1CC1)C1CC1 ZINC001231765075 977737192 /nfs/dbraw/zinc/73/71/92/977737192.db2.gz DBDMWVBIVYHXKT-UHFFFAOYSA-N 0 3 246.354 2.855 20 0 BFADHN CCOc1ncccc1CN1C[C@H](C)C[C@H](C)C1 ZINC001231766056 977744993 /nfs/dbraw/zinc/74/49/93/977744993.db2.gz SBMSQWAMCGLFAV-BETUJISGSA-N 0 3 248.370 2.958 20 0 BFADHN CCCOc1ncccc1CN(C)CC(C)C ZINC001231859391 977771596 /nfs/dbraw/zinc/77/15/96/977771596.db2.gz CDADORCXRILFGD-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN Cc1cc(CN(C)C2CC(C)C2)cnc1F ZINC001231925448 977797771 /nfs/dbraw/zinc/79/77/71/977797771.db2.gz PQZNEPPLSXZSPA-UHFFFAOYSA-N 0 3 222.307 2.759 20 0 BFADHN CCCCCN(C)Cc1cc(OC)ccn1 ZINC001231967272 977813816 /nfs/dbraw/zinc/81/38/16/977813816.db2.gz WXKSEOCPPRULIQ-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN C[C@H]1C[C@@H](CF)N(Cc2cccc3c[nH]nc32)C1 ZINC001231970163 977817453 /nfs/dbraw/zinc/81/74/53/977817453.db2.gz LGOITDWJTAOILJ-GWCFXTLKSA-N 0 3 247.317 2.743 20 0 BFADHN c1c2c[nH]ccc-2nc1CN1CC2(C1)CCCC2 ZINC001232012632 977839974 /nfs/dbraw/zinc/83/99/74/977839974.db2.gz LVGWQYPPMXIMJI-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN C[C@H]1CCC[N@H+](Cc2cncc([O-])c2)C[C@H]1C ZINC001232038541 977860696 /nfs/dbraw/zinc/86/06/96/977860696.db2.gz GLNBFMOYUSTHQS-NWDGAFQWSA-N 0 3 234.343 2.655 20 0 BFADHN C[C@H]1CCC[N@@H+](Cc2cncc([O-])c2)C[C@H]1C ZINC001232038541 977860701 /nfs/dbraw/zinc/86/07/01/977860701.db2.gz GLNBFMOYUSTHQS-NWDGAFQWSA-N 0 3 234.343 2.655 20 0 BFADHN CCN(C)Cc1ncc(Br)cc1C ZINC001232044613 977869624 /nfs/dbraw/zinc/86/96/24/977869624.db2.gz IOVVCKDOHNFIET-UHFFFAOYSA-N 0 3 243.148 2.604 20 0 BFADHN F[C@H]1CCCN(Cc2cc3ncccc3[nH]2)CC1 ZINC001232061143 977872797 /nfs/dbraw/zinc/87/27/97/977872797.db2.gz CRQGVDVWCAUEKQ-NSHDSACASA-N 0 3 247.317 2.887 20 0 BFADHN c1c[nH]c(Nc2csc3cnccc23)n1 ZINC001176081885 977875545 /nfs/dbraw/zinc/87/55/45/977875545.db2.gz INGJQLRHGCASQP-UHFFFAOYSA-N 0 3 216.269 2.763 20 0 BFADHN CC1CCN(Cc2cc3ncccc3[nH]2)CC1 ZINC001232063447 977877838 /nfs/dbraw/zinc/87/78/38/977877838.db2.gz KIGYTGNPRJNTKJ-UHFFFAOYSA-N 0 3 229.327 2.795 20 0 BFADHN Cc1ccc(CN2CCC[C@H]3C[C@H]32)c(C)n1 ZINC001232085013 977884759 /nfs/dbraw/zinc/88/47/59/977884759.db2.gz YMJJLRNJVFMGCL-GXTWGEPZSA-N 0 3 216.328 2.683 20 0 BFADHN Oc1cc(Cl)ccc1Nc1ncc[nH]1 ZINC001176084200 977884862 /nfs/dbraw/zinc/88/48/62/977884862.db2.gz GKTYMUCJRQLQSP-UHFFFAOYSA-N 0 3 209.636 2.512 20 0 BFADHN Nc1cc(Cl)cc(F)c1Nc1ncc[nH]1 ZINC001176085323 977887752 /nfs/dbraw/zinc/88/77/52/977887752.db2.gz GIWQSXZDWQJSBL-UHFFFAOYSA-N 0 3 226.642 2.528 20 0 BFADHN Cn1cc(CN2CC3CCC2CC3)c(C2CC2)n1 ZINC001232170395 977903797 /nfs/dbraw/zinc/90/37/97/977903797.db2.gz UKIXYLLCMBCURS-UHFFFAOYSA-N 0 3 245.370 2.672 20 0 BFADHN CCCCCN(C)Cc1cn(C)nc1C1CC1 ZINC001232167721 977905983 /nfs/dbraw/zinc/90/59/83/977905983.db2.gz OQBOXBCEPLODCT-UHFFFAOYSA-N 0 3 235.375 2.920 20 0 BFADHN CCN(C)Cc1cccn1Cc1ccccc1 ZINC001232232658 977972479 /nfs/dbraw/zinc/97/24/79/977972479.db2.gz WBEFQNBGCFOZMI-UHFFFAOYSA-N 0 3 228.339 2.988 20 0 BFADHN C[C@H]1CC[C@H]1[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001336813393 977973550 /nfs/dbraw/zinc/97/35/50/977973550.db2.gz QLYMHHYEANLFMR-QPUJVOFHSA-N 0 3 225.719 2.934 20 0 BFADHN C1=CCN(Cc2nccc3ccccc32)C1 ZINC001232248186 977974777 /nfs/dbraw/zinc/97/47/77/977974777.db2.gz UTPFPABGDQHXFV-UHFFFAOYSA-N 0 3 210.280 2.607 20 0 BFADHN CCOc1ccc(CN2CCCCC2)c(C)n1 ZINC001232308231 977997954 /nfs/dbraw/zinc/99/79/54/977997954.db2.gz NPQMCUBYEMHKMB-UHFFFAOYSA-N 0 3 234.343 2.775 20 0 BFADHN CCOc1ncc(CN2CCC[C@H]3C[C@H]32)cc1C ZINC001232312617 977998644 /nfs/dbraw/zinc/99/86/44/977998644.db2.gz WKCDSALNAZJPPZ-UONOGXRCSA-N 0 3 246.354 2.773 20 0 BFADHN CCOc1ncc(CN2C3CCC2CC3)cc1C ZINC001232305234 977998760 /nfs/dbraw/zinc/99/87/60/977998760.db2.gz CVMZBSOLQDBJAI-UHFFFAOYSA-N 0 3 246.354 2.916 20 0 BFADHN CSc1ccc(CN2CC[C@@H](C)C2)cn1 ZINC001232328240 978005129 /nfs/dbraw/zinc/00/51/29/978005129.db2.gz YHYBTFSXMNRYIK-SNVBAGLBSA-N 0 3 222.357 2.645 20 0 BFADHN COc1ccc(CN2CCC(C)CC2)c(C)n1 ZINC001232331540 978010159 /nfs/dbraw/zinc/01/01/59/978010159.db2.gz SSKUAJCQWKTUTQ-UHFFFAOYSA-N 0 3 234.343 2.631 20 0 BFADHN Cc1nc(N)ccc1CN1C2CCCC1CCC2 ZINC001232347921 978026658 /nfs/dbraw/zinc/02/66/58/978026658.db2.gz USMRUMGXZBUWOV-UHFFFAOYSA-N 0 3 245.370 2.879 20 0 BFADHN CCN(C)Cc1cnc(SC)cc1C ZINC001232345547 978028324 /nfs/dbraw/zinc/02/83/24/978028324.db2.gz IOSLDWNEVSTSMK-UHFFFAOYSA-N 0 3 210.346 2.564 20 0 BFADHN CCOc1ccc(OC)cc1CN1C[C@@H]2C[C@@H]2C1 ZINC001232381555 978045664 /nfs/dbraw/zinc/04/56/64/978045664.db2.gz ZQZBACCGDIAXMQ-TXEJJXNPSA-N 0 3 247.338 2.546 20 0 BFADHN CN(Cc1cn2cc(F)ccc2n1)C1CCCC1 ZINC001232413587 978059140 /nfs/dbraw/zinc/05/91/40/978059140.db2.gz SBUDZWKTRFRBAJ-UHFFFAOYSA-N 0 3 247.317 2.848 20 0 BFADHN C(c1[nH]nc2c1CCC2)N1CCCC2(CCC2)C1 ZINC001232429987 978068867 /nfs/dbraw/zinc/06/88/67/978068867.db2.gz JZSHALWBMMMSBA-UHFFFAOYSA-N 0 3 245.370 2.665 20 0 BFADHN Cc1ncc(CN(C)C/C=C/c2ccccc2)[nH]1 ZINC001232464888 978105149 /nfs/dbraw/zinc/10/51/49/978105149.db2.gz OGPRGTAVYBBGCZ-RMKNXTFCSA-N 0 3 241.338 2.863 20 0 BFADHN Cc1ncc(CN(C)CCc2ccccc2F)[nH]1 ZINC001232465332 978113671 /nfs/dbraw/zinc/11/36/71/978113671.db2.gz JLGVNZAGGYRYIC-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN CC[C@H]1CCCCN1Cc1cc(F)ccn1 ZINC001232494832 978149104 /nfs/dbraw/zinc/14/91/04/978149104.db2.gz FVQZCHHDOQWMCU-ZDUSSCGKSA-N 0 3 222.307 2.985 20 0 BFADHN CCCCC(=O)[C@H](CCCC)OCCN(C)C ZINC001206279157 978149961 /nfs/dbraw/zinc/14/99/61/978149961.db2.gz YTYIYLMCWKQDAV-AWEZNQCLSA-N 0 3 243.391 2.883 20 0 BFADHN CCN(C)Cc1ccc(Cl)cc1OC ZINC001232497495 978152678 /nfs/dbraw/zinc/15/26/78/978152678.db2.gz IYRQUDNPVNDGEC-UHFFFAOYSA-N 0 3 213.708 2.800 20 0 BFADHN Nc1ccc(F)cc1CN1CCC[C@@H](F)CC1 ZINC001232508037 978157614 /nfs/dbraw/zinc/15/76/14/978157614.db2.gz VKHNZRDRGDHUHP-LLVKDONJSA-N 0 3 240.297 2.732 20 0 BFADHN Nc1ccc(F)cc1CN1CCCC12CC2 ZINC001232507946 978159142 /nfs/dbraw/zinc/15/91/42/978159142.db2.gz RQXAHHFGAQNQOB-UHFFFAOYSA-N 0 3 220.291 2.536 20 0 BFADHN CCN(Cc1cccc(CF)n1)C1CC1 ZINC001232535692 978162650 /nfs/dbraw/zinc/16/26/50/978162650.db2.gz ITHQFLYBCGZDRM-UHFFFAOYSA-N 0 3 208.280 2.535 20 0 BFADHN FCc1cccc(CN2C3CCC2CC3)n1 ZINC001232536959 978167144 /nfs/dbraw/zinc/16/71/44/978167144.db2.gz VVGHXPOICRNMCO-UHFFFAOYSA-N 0 3 220.291 2.678 20 0 BFADHN FCc1cccc(CN2CCC[C@@H](F)CC2)n1 ZINC001232536202 978167160 /nfs/dbraw/zinc/16/71/60/978167160.db2.gz YFBHPKIQYSUGAD-LLVKDONJSA-N 0 3 240.297 2.875 20 0 BFADHN CCOc1ccnc(CN2CC3CCC2CC3)c1 ZINC001232584288 978184742 /nfs/dbraw/zinc/18/47/42/978184742.db2.gz DIASBYJJXJEGTJ-UHFFFAOYSA-N 0 3 246.354 2.855 20 0 BFADHN CCOc1ccnc(CN(C)CC2CCC2)c1 ZINC001232583824 978186365 /nfs/dbraw/zinc/18/63/65/978186365.db2.gz QGHRUGKYXPEUHU-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CCOc1ccnc(CN(C)C[C@@H]2CC2(C)C)c1 ZINC001232584516 978186688 /nfs/dbraw/zinc/18/66/88/978186688.db2.gz GPCVVCNBDCKRDP-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN CCOc1ccnc(CN2C[C@H](C)C[C@@H](C)C2)c1 ZINC001232584492 978187737 /nfs/dbraw/zinc/18/77/37/978187737.db2.gz FZNUAWQFSKAVHQ-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN CCOc1ccnc(CN(C)CC(C)(C)C)c1 ZINC001232583588 978188233 /nfs/dbraw/zinc/18/82/33/978188233.db2.gz LKKOJRWDUBWNDG-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CNc1ccccc1CN1C[C@@H](C)C[C@H]1CF ZINC001232667430 978226783 /nfs/dbraw/zinc/22/67/83/978226783.db2.gz XIJQTDBWGZSRTQ-AAEUAGOBSA-N 0 3 236.334 2.908 20 0 BFADHN COC[C@@H]1CCN1Cc1cccc2ncsc21 ZINC001232737973 978254886 /nfs/dbraw/zinc/25/48/86/978254886.db2.gz ORFGGFRGNHPXKR-NSHDSACASA-N 0 3 248.351 2.517 20 0 BFADHN Cc1cccnc1[C@H](C)NCCc1cscn1 ZINC001176898530 978257356 /nfs/dbraw/zinc/25/73/56/978257356.db2.gz UAIBKTUHBKBDBH-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN CCCn1cnc(CN2CCCC[C@H](C)C2)c1 ZINC001232793875 978278456 /nfs/dbraw/zinc/27/84/56/978278456.db2.gz FHMVBYCCRFRTDV-ZDUSSCGKSA-N 0 3 235.375 2.915 20 0 BFADHN COc1cc(O)cc(CN2CCCC23CC3)c1 ZINC001232802307 978294196 /nfs/dbraw/zinc/29/41/96/978294196.db2.gz XOHZRAOQTZJMTJ-UHFFFAOYSA-N 0 3 233.311 2.529 20 0 BFADHN Cc1n[nH]cc1CNC/C=C/c1ccc(F)cc1 ZINC001177225470 978312521 /nfs/dbraw/zinc/31/25/21/978312521.db2.gz BMOBKHDIIGROHF-NSCUHMNNSA-N 0 3 245.301 2.660 20 0 BFADHN Cc1cc(O)cc(CN2CCC[C@@H]3C[C@@H]32)c1 ZINC001232882596 978322147 /nfs/dbraw/zinc/32/21/47/978322147.db2.gz XQTOAPVTOGHUDA-OCCSQVGLSA-N 0 3 217.312 2.685 20 0 BFADHN CC[C@@H]1CCN(Cc2cc(F)cnc2C)C1 ZINC001232993353 978359933 /nfs/dbraw/zinc/35/99/33/978359933.db2.gz KFTDOUSPTUXWKF-LLVKDONJSA-N 0 3 222.307 2.761 20 0 BFADHN CCO[C@@H]1CCCN(Cc2cnc(C)cc2C)C1 ZINC001233006314 978395525 /nfs/dbraw/zinc/39/55/25/978395525.db2.gz ASDWFGLNRMNYCI-OAHLLOKOSA-N 0 3 248.370 2.699 20 0 BFADHN Cc1cc(C)c(CN2CCC(F)CC2)cn1 ZINC001233006986 978402116 /nfs/dbraw/zinc/40/21/16/978402116.db2.gz GDLVVEHMRUBELX-UHFFFAOYSA-N 0 3 222.307 2.632 20 0 BFADHN Cc1cc(C)c(CN2CCC[C@@H](CF)C2)cn1 ZINC001233007866 978403037 /nfs/dbraw/zinc/40/30/37/978403037.db2.gz VGMMKMQWBSINJV-ZDUSSCGKSA-N 0 3 236.334 2.880 20 0 BFADHN [O-]c1cnc(Cl)cc1C[NH+]1C2CCC1CC2 ZINC001233034352 978417537 /nfs/dbraw/zinc/41/75/37/978417537.db2.gz KAMQAOWCGMKYOG-UHFFFAOYSA-N 0 3 238.718 2.567 20 0 BFADHN C[C@H]1CCCN(Cc2cccc(O)c2F)C1 ZINC001233049567 978441367 /nfs/dbraw/zinc/44/13/67/978441367.db2.gz QQGWNMFPTSREPS-JTQLQIEISA-N 0 3 223.291 2.763 20 0 BFADHN CC(C)C[N@H+](C)Cc1cccc([O-])c1F ZINC001233049716 978441692 /nfs/dbraw/zinc/44/16/92/978441692.db2.gz TWJHPKRBUPMLGI-UHFFFAOYSA-N 0 3 211.280 2.619 20 0 BFADHN CC(C)C[N@@H+](C)Cc1cccc([O-])c1F ZINC001233049716 978441698 /nfs/dbraw/zinc/44/16/98/978441698.db2.gz TWJHPKRBUPMLGI-UHFFFAOYSA-N 0 3 211.280 2.619 20 0 BFADHN C[C@@H]1CCCN(Cc2[nH]nc3cc(F)ccc32)C1 ZINC001233122333 978467384 /nfs/dbraw/zinc/46/73/84/978467384.db2.gz UVLCIVGTWRMVIO-SNVBAGLBSA-N 0 3 247.317 2.934 20 0 BFADHN Fc1ccc2c(c1)n[nH]c2CN1CC2CC(C2)C1 ZINC001233127845 978471791 /nfs/dbraw/zinc/47/17/91/978471791.db2.gz DMSJSYAFGZJIPU-UHFFFAOYSA-N 0 3 245.301 2.544 20 0 BFADHN Oc1ccc(CN2CCCC2)cc1OCC1CC1 ZINC001233224386 978498582 /nfs/dbraw/zinc/49/85/82/978498582.db2.gz REONUOZUBOKXEW-UHFFFAOYSA-N 0 3 247.338 2.777 20 0 BFADHN COC1(C)CCN(Cc2ccc(C)c(O)c2)CC1 ZINC001233230502 978502597 /nfs/dbraw/zinc/50/25/97/978502597.db2.gz IMHJHRIMFQIQKP-UHFFFAOYSA-N 0 3 249.354 2.702 20 0 BFADHN Cc1ccc(Cl)cc1CN1C[C@@H](O)C[C@H]1C ZINC001233247673 978510199 /nfs/dbraw/zinc/51/01/99/978510199.db2.gz FYMVYLRCNBSPDF-MFKMUULPSA-N 0 3 239.746 2.604 20 0 BFADHN Cc1cc2cc(CN[C@@H](C)CO)oc2cc1C ZINC001178143630 978510948 /nfs/dbraw/zinc/51/09/48/978510948.db2.gz MZDLERGPBUZHSU-NSHDSACASA-N 0 3 233.311 2.520 20 0 BFADHN C[C@@]1(CF)CCN(Cc2cnn3ccccc23)C1 ZINC001233236383 978511945 /nfs/dbraw/zinc/51/19/45/978511945.db2.gz VIOODAXPGSXAGB-AWEZNQCLSA-N 0 3 247.317 2.516 20 0 BFADHN C[C@@]1(CF)CCN(Cc2cccc3nccn32)C1 ZINC001233360941 978571093 /nfs/dbraw/zinc/57/10/93/978571093.db2.gz PIIVAHUIJOPGLS-AWEZNQCLSA-N 0 3 247.317 2.516 20 0 BFADHN F[C@H]1CCCN(Cc2cccc3nccn32)CC1 ZINC001233360711 978571588 /nfs/dbraw/zinc/57/15/88/978571588.db2.gz ALYYSHIWUXLTTO-LBPRGKRZSA-N 0 3 247.317 2.658 20 0 BFADHN C[C@]1(CF)CCN(Cc2cccc3nccn32)C1 ZINC001233360942 978572235 /nfs/dbraw/zinc/57/22/35/978572235.db2.gz PIIVAHUIJOPGLS-CQSZACIVSA-N 0 3 247.317 2.516 20 0 BFADHN CCC[C@H](C)NC(=O)[C@H]1CCCCN1CCC ZINC001338004419 978585936 /nfs/dbraw/zinc/58/59/36/978585936.db2.gz QUWRVKZDBBPYAN-QWHCGFSZSA-N 0 3 240.391 2.556 20 0 BFADHN C=Cc1ccc(O[C@H]2CCCN(C)C2)c(OC)c1 ZINC001233567599 978628478 /nfs/dbraw/zinc/62/84/78/978628478.db2.gz GBKWECMSSKXKCY-ZDUSSCGKSA-N 0 3 247.338 2.811 20 0 BFADHN Cc1occc1CNCc1ccc2cncn2c1 ZINC001178916727 978641375 /nfs/dbraw/zinc/64/13/75/978641375.db2.gz OAIJDVCWSYADDX-UHFFFAOYSA-N 0 3 241.294 2.526 20 0 BFADHN C[C@@H](CN(C)C[C@@H]1CCCCO1)C(F)(F)F ZINC000441150449 978819095 /nfs/dbraw/zinc/81/90/95/978819095.db2.gz GEQVAIZZWVNBEI-UWVGGRQHSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@H](Oc1cccc2c1CCN(C)C2)C1CC1 ZINC001234420397 978831985 /nfs/dbraw/zinc/83/19/85/978831985.db2.gz RZGNQOVVHQLRRB-NSHDSACASA-N 0 3 231.339 2.852 20 0 BFADHN CCCn1cc(CN(C(C)C)C2CC2)c(C)n1 ZINC001180177562 978832627 /nfs/dbraw/zinc/83/26/27/978832627.db2.gz CSEDEPJXSWHJLX-UHFFFAOYSA-N 0 3 235.375 2.974 20 0 BFADHN CN1CCc2c(cccc2OC2CC=CC2)C1 ZINC001234419354 978833038 /nfs/dbraw/zinc/83/30/38/978833038.db2.gz AKZBLNVPXCCFTJ-UHFFFAOYSA-N 0 3 229.323 2.772 20 0 BFADHN Fc1cccnc1CN1CCC[C@H]2CCC[C@H]21 ZINC001180280989 978859946 /nfs/dbraw/zinc/85/99/46/978859946.db2.gz SCKKSMBHXIOXBX-BXUZGUMPSA-N 0 3 234.318 2.985 20 0 BFADHN CC[C@H]1CC[C@@H](NCc2cc(C)on2)C1 ZINC000070643738 978861958 /nfs/dbraw/zinc/86/19/58/978861958.db2.gz OOMLGIXQJXCKEG-WDEREUQCSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@H]1CC[C@H](NCc2cc(C)on2)C1 ZINC000070643736 978862140 /nfs/dbraw/zinc/86/21/40/978862140.db2.gz OOMLGIXQJXCKEG-QWRGUYRKSA-N 0 3 208.305 2.651 20 0 BFADHN CCc1cccnc1O[C@H]1CCCN(CC)C1 ZINC001234579778 978863117 /nfs/dbraw/zinc/86/31/17/978863117.db2.gz SZYHRNXOLFGKBM-ZDUSSCGKSA-N 0 3 234.343 2.507 20 0 BFADHN CCc1cccc(O[C@@H]2CCCN(C)C2)c1OC ZINC001234644964 978884023 /nfs/dbraw/zinc/88/40/23/978884023.db2.gz MAGNNPDGZYDLEE-CYBMUJFWSA-N 0 3 249.354 2.731 20 0 BFADHN COC[C@H]1CN(CCCCC(C)C)C[C@H](C)O1 ZINC001180985754 978986792 /nfs/dbraw/zinc/98/67/92/978986792.db2.gz OPGDNCZAUZCIGA-UONOGXRCSA-N 0 3 243.391 2.548 20 0 BFADHN CCc1cccc(CN2CCC(COC)CC2)n1 ZINC001235250980 979006592 /nfs/dbraw/zinc/00/65/92/979006592.db2.gz NIXOAYLWKGNNON-UHFFFAOYSA-N 0 3 248.370 2.502 20 0 BFADHN CCc1cccc(CN2CCC[C@H](CF)C2)n1 ZINC001235261603 979013320 /nfs/dbraw/zinc/01/33/20/979013320.db2.gz TXOFLSJZSRJQSW-GFCCVEGCSA-N 0 3 236.334 2.826 20 0 BFADHN CCc1cccc(CN2CC[C@@H](OC(C)C)C2)n1 ZINC001235262868 979013483 /nfs/dbraw/zinc/01/34/83/979013483.db2.gz GZEULVCXRXKDEA-OAHLLOKOSA-N 0 3 248.370 2.643 20 0 BFADHN CCc1cccc(CN2CCC[C@@H]3C[C@@H]32)n1 ZINC001235268635 979014934 /nfs/dbraw/zinc/01/49/34/979014934.db2.gz YAPMDSGYMAIPAZ-RISCZKNCSA-N 0 3 216.328 2.628 20 0 BFADHN CCOc1cc(CN2CCCC[C@@H]2C)ccn1 ZINC001249998090 979015111 /nfs/dbraw/zinc/01/51/11/979015111.db2.gz KXQVZZAAKPFFHT-LBPRGKRZSA-N 0 3 234.343 2.855 20 0 BFADHN CNc1ccccc1CN1CCC(F)(F)CC1 ZINC001181185532 979016241 /nfs/dbraw/zinc/01/62/41/979016241.db2.gz SVRKLPHZSGZVSJ-UHFFFAOYSA-N 0 3 240.297 2.959 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001340431488 979019668 /nfs/dbraw/zinc/01/96/68/979019668.db2.gz GJKMIUYMRRNGSQ-KGLIPLIRSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1cc(OC(C)C)ncc1CN1CCCC1 ZINC001235418312 979042395 /nfs/dbraw/zinc/04/23/95/979042395.db2.gz PVZYUGYCNYVSRB-UHFFFAOYSA-N 0 3 234.343 2.773 20 0 BFADHN Clc1cc2c(CN3C[C@@H]4C[C@@H]4C3)c[nH]c2cn1 ZINC001235507258 979061129 /nfs/dbraw/zinc/06/11/29/979061129.db2.gz MJPGRJWNQNVWBG-DTORHVGOSA-N 0 3 247.729 2.668 20 0 BFADHN Cc1ncn(C)c1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001235546632 979086867 /nfs/dbraw/zinc/08/68/67/979086867.db2.gz AIPOUQZTECDEBQ-KGLIPLIRSA-N 0 3 247.386 2.883 20 0 BFADHN Fc1ccc2nc(CN3CCC4(CC4)C3)[nH]c2c1 ZINC001235688792 979119813 /nfs/dbraw/zinc/11/98/13/979119813.db2.gz OEBKHSLAAKMEFY-UHFFFAOYSA-N 0 3 245.301 2.688 20 0 BFADHN CCN(Cc1nc2cc(F)ccc2[nH]1)C(C)C ZINC001235680150 979121155 /nfs/dbraw/zinc/12/11/55/979121155.db2.gz IZKRPKACYVHQPT-UHFFFAOYSA-N 0 3 235.306 2.932 20 0 BFADHN [NH3+]Cc1ccnc(-c2cc([O-])cc(Cl)c2)c1 ZINC001235899251 979191281 /nfs/dbraw/zinc/19/12/81/979191281.db2.gz HBCVXPQWVDQQDC-UHFFFAOYSA-N 0 3 234.686 2.566 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H](COC)c1ccc(C)o1 ZINC001341043675 979205177 /nfs/dbraw/zinc/20/51/77/979205177.db2.gz HPZWQLNZPRNVEC-AGIUHOORSA-N 0 3 237.343 2.911 20 0 BFADHN CN[C@@H]1CCN1CCC12CC3CC(CC(C3)C1)C2 ZINC001236166941 979418252 /nfs/dbraw/zinc/41/82/52/979418252.db2.gz NRBOXRIQRYUYEZ-DQGBIZLVSA-N 0 3 248.414 2.844 20 0 BFADHN CN[C@H]1CCN1CCCc1ccccc1Cl ZINC001236165861 979420905 /nfs/dbraw/zinc/42/09/05/979420905.db2.gz HGEDKQOLKRYSCR-CYBMUJFWSA-N 0 3 238.762 2.524 20 0 BFADHN Cc1cc(CN2CC[C@@H]3CCCC[C@H]3C2)[nH]n1 ZINC001341524383 979454099 /nfs/dbraw/zinc/45/40/99/979454099.db2.gz RSLXSCGQTFNVQZ-STQMWFEESA-N 0 3 233.359 2.730 20 0 BFADHN C1=C(CC2CC2)CC[C@H](N2CCOCC2)C1 ZINC001250038342 979471873 /nfs/dbraw/zinc/47/18/73/979471873.db2.gz TVECKLHZQSHNIR-CQSZACIVSA-N 0 3 221.344 2.598 20 0 BFADHN Cc1cnc(-c2cncc(OC(C)C)c2)cc1N ZINC001236517102 979615704 /nfs/dbraw/zinc/61/57/04/979615704.db2.gz VHGCBVZVTYOMBI-UHFFFAOYSA-N 0 3 243.310 2.821 20 0 BFADHN CCOc1ncc(CN(C)[C@H](C)CC)cc1F ZINC001236692183 979694612 /nfs/dbraw/zinc/69/46/12/979694612.db2.gz MCSRJSRBIHURDE-SNVBAGLBSA-N 0 3 240.322 2.850 20 0 BFADHN c1cc2c(cccc2CN2CC3(C2)CCOC3)o1 ZINC001236735783 979715156 /nfs/dbraw/zinc/71/51/56/979715156.db2.gz SGGYYGSNMGARRU-UHFFFAOYSA-N 0 3 243.306 2.655 20 0 BFADHN C[C@@H]1CN(Cc2cccc3[nH]ccc32)C[C@@H]1F ZINC001205256003 979744327 /nfs/dbraw/zinc/74/43/27/979744327.db2.gz NOMPFAXOQQVBTC-MFKMUULPSA-N 0 3 232.302 2.958 20 0 BFADHN C[C@@H](CN1CCNC1)c1cccc2ccccc21 ZINC001236843865 979750518 /nfs/dbraw/zinc/75/05/18/979750518.db2.gz MLFQMTVIEIVRMU-ZDUSSCGKSA-N 0 3 240.350 2.806 20 0 BFADHN Cc1c2ccccc2sc1CN1CCNC1 ZINC001236842786 979755446 /nfs/dbraw/zinc/75/54/46/979755446.db2.gz AGDJXKMYPLNYJR-UHFFFAOYSA-N 0 3 232.352 2.572 20 0 BFADHN c1ccc(-c2ccccc2CN2CCNC2)cc1 ZINC001236850432 979757792 /nfs/dbraw/zinc/75/77/92/979757792.db2.gz LTQNDSKRJFPORW-UHFFFAOYSA-N 0 3 238.334 2.716 20 0 BFADHN CC[C@@H](C)[C@@H](C)C(=O)Nc1cccc2c1CNC2 ZINC001342395875 979791084 /nfs/dbraw/zinc/79/10/84/979791084.db2.gz DCSSKKNSQBLKLI-GHMZBOCLSA-N 0 3 246.354 2.911 20 0 BFADHN Oc1cc2ccccc2c(CN2CC=CC2)c1 ZINC001237065153 979809757 /nfs/dbraw/zinc/80/97/57/979809757.db2.gz KLYYGVVGCYJMKV-UHFFFAOYSA-N 0 3 225.291 2.917 20 0 BFADHN CCCCN(C)Cc1sc(=O)[nH]c1Cl ZINC001237160714 979824331 /nfs/dbraw/zinc/82/43/31/979824331.db2.gz JMMIPOWXBLIYKK-UHFFFAOYSA-N 0 3 234.752 2.734 20 0 BFADHN CC1(CO)CN(Cc2ccc3cccccc2-3)C1 ZINC001237186722 979836166 /nfs/dbraw/zinc/83/61/66/979836166.db2.gz NNKCCTMVHFLWDX-UHFFFAOYSA-N 0 3 241.334 2.606 20 0 BFADHN [S-]c1ccoc1C[N@@H+]1CCC2(CCC2)C1 ZINC001237192235 979841150 /nfs/dbraw/zinc/84/11/50/979841150.db2.gz QUQAWIGQQACBSN-UHFFFAOYSA-N 0 3 223.341 2.944 20 0 BFADHN [S-]c1ccoc1C[N@H+]1CCC2(CCC2)C1 ZINC001237192235 979841154 /nfs/dbraw/zinc/84/11/54/979841154.db2.gz QUQAWIGQQACBSN-UHFFFAOYSA-N 0 3 223.341 2.944 20 0 BFADHN C[N@H+](Cc1occc1[S-])C1CCCC1 ZINC001237190298 979842662 /nfs/dbraw/zinc/84/26/62/979842662.db2.gz HPOIRFVZIPVLFI-UHFFFAOYSA-N 0 3 211.330 2.943 20 0 BFADHN C[N@@H+](Cc1occc1[S-])C1CCCC1 ZINC001237190298 979842665 /nfs/dbraw/zinc/84/26/65/979842665.db2.gz HPOIRFVZIPVLFI-UHFFFAOYSA-N 0 3 211.330 2.943 20 0 BFADHN c1ccc(CN2CCNC3=CCCC[C@H]32)cc1 ZINC001237220108 979853528 /nfs/dbraw/zinc/85/35/28/979853528.db2.gz QQAOIUFRWOVQDL-OAHLLOKOSA-N 0 3 228.339 2.528 20 0 BFADHN Cc1cc(CN2CCNC3=CCCC[C@@H]32)cs1 ZINC001237230716 979854771 /nfs/dbraw/zinc/85/47/71/979854771.db2.gz UUJDOJHMNFELKY-AWEZNQCLSA-N 0 3 248.395 2.898 20 0 BFADHN COc1cc(CN(C)C)ccc1C(F)F ZINC001237278373 979860932 /nfs/dbraw/zinc/86/09/32/979860932.db2.gz XIRQLHFZDOJODI-UHFFFAOYSA-N 0 3 215.243 2.694 20 0 BFADHN CCN(C)Cc1ccncc1C(F)(F)F ZINC001237290379 979863498 /nfs/dbraw/zinc/86/34/98/979863498.db2.gz ZVIKXFZHYIYCEI-UHFFFAOYSA-N 0 3 218.222 2.552 20 0 BFADHN COCC1CN(Cc2c(C)cc(F)cc2C)C1 ZINC001237317082 979864519 /nfs/dbraw/zinc/86/45/19/979864519.db2.gz HYWIQFLWOAUAPU-UHFFFAOYSA-N 0 3 237.318 2.521 20 0 BFADHN CC(C)N(C)Cc1ccncc1C(F)(F)F ZINC001237287259 979864957 /nfs/dbraw/zinc/86/49/57/979864957.db2.gz GDDMSZAQNQHSBH-UHFFFAOYSA-N 0 3 232.249 2.941 20 0 BFADHN c1c(CN2C[C@@H]3CCC[C@@H]3C2)nn2ccccc12 ZINC001237439951 979891179 /nfs/dbraw/zinc/89/11/79/979891179.db2.gz CSCJMIPOFXAQTF-BETUJISGSA-N 0 3 241.338 2.566 20 0 BFADHN CC(C)N(Cc1cc2ccccn2n1)C(C)C ZINC001237441785 979896625 /nfs/dbraw/zinc/89/66/25/979896625.db2.gz LZFHOLMPKHGMNR-UHFFFAOYSA-N 0 3 231.343 2.953 20 0 BFADHN FCC1CCN(Cc2ccn3nccc3c2)CC1 ZINC001237537967 979930348 /nfs/dbraw/zinc/93/03/48/979930348.db2.gz CHDSMRYQHQZXID-UHFFFAOYSA-N 0 3 247.317 2.516 20 0 BFADHN CN(C)Cc1cccc(-c2ccccc2)n1 ZINC001237541788 979934534 /nfs/dbraw/zinc/93/45/34/979934534.db2.gz BRYJOLZTRWQQLB-UHFFFAOYSA-N 0 3 212.296 2.810 20 0 BFADHN CCCCN(C)Cc1ccnc(OC(F)F)c1 ZINC001237613463 979978624 /nfs/dbraw/zinc/97/86/24/979978624.db2.gz ZSTNWIJIGHJJDP-UHFFFAOYSA-N 0 3 244.285 2.915 20 0 BFADHN FCC1CCN(Cc2cccc3c2OCC3)CC1 ZINC001237751365 980045858 /nfs/dbraw/zinc/04/58/58/980045858.db2.gz QYAHWUHXRIKBIX-UHFFFAOYSA-N 0 3 249.329 2.803 20 0 BFADHN CCc1ncc(CN2CC3CC(C3)C2)s1 ZINC001237829846 980078029 /nfs/dbraw/zinc/07/80/29/980078029.db2.gz BKWQXEMDBPMQPI-UHFFFAOYSA-N 0 3 222.357 2.547 20 0 BFADHN COc1ccoc1CN(CC1CC1)C(C)C ZINC001237865800 980104584 /nfs/dbraw/zinc/10/45/84/980104584.db2.gz DNJZZYNDVYXKAI-UHFFFAOYSA-N 0 3 223.316 2.909 20 0 BFADHN COc1ccoc1CN1CCC[C@@H](C)CC1 ZINC001237870079 980104879 /nfs/dbraw/zinc/10/48/79/980104879.db2.gz URMUXTZGKXPWJX-LLVKDONJSA-N 0 3 223.316 2.910 20 0 BFADHN COc1ccoc1CN1CCC[C@@H](C)[C@@H]1C ZINC001237871815 980106550 /nfs/dbraw/zinc/10/65/50/980106550.db2.gz MYFLHQKPVVEUDN-MNOVXSKESA-N 0 3 223.316 2.909 20 0 BFADHN CCOC(=O)c1ccccc1CN(C)CC(C)C ZINC001237880371 980111743 /nfs/dbraw/zinc/11/17/43/980111743.db2.gz UWZAGGORLATMIN-UHFFFAOYSA-N 0 3 249.354 2.951 20 0 BFADHN CCOC(=O)c1ccccc1CN1CCC[C@H]1C ZINC001237878427 980111785 /nfs/dbraw/zinc/11/17/85/980111785.db2.gz FJGWWPGIQBNKMT-GFCCVEGCSA-N 0 3 247.338 2.848 20 0 BFADHN COc1ccc(CCN2CCCC2)cc1Cl ZINC001250164191 980122554 /nfs/dbraw/zinc/12/25/54/980122554.db2.gz NNLCAZWCVKZRQA-UHFFFAOYSA-N 0 3 239.746 2.987 20 0 BFADHN COc1cccc(CCN2CCCC2)c1Cl ZINC001250166041 980138109 /nfs/dbraw/zinc/13/81/09/980138109.db2.gz DRBCQTWGLCMLEF-UHFFFAOYSA-N 0 3 239.746 2.987 20 0 BFADHN CCOc1cc(C)ccc1CN1CC[C@@H](OC)C1 ZINC001250171433 980141360 /nfs/dbraw/zinc/14/13/60/980141360.db2.gz LYRKFOYJOKKCKE-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CCC(CC)N(CC)Cc1c(N)ccnc1F ZINC001238162106 980189107 /nfs/dbraw/zinc/18/91/07/980189107.db2.gz PZJZSKMOXYBBHT-UHFFFAOYSA-N 0 3 239.338 2.813 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)NC(C(C)C)C(C)C ZINC001343693300 980196917 /nfs/dbraw/zinc/19/69/17/980196917.db2.gz ZPFAMHGKXZSQRB-GFCCVEGCSA-N 0 3 242.407 2.547 20 0 BFADHN CC[C@H](C)CCC(=O)Nc1cnccc1N(C)C ZINC001185562864 980244118 /nfs/dbraw/zinc/24/41/18/980244118.db2.gz LDYZVXAASWVTFY-NSHDSACASA-N 0 3 249.358 2.912 20 0 BFADHN CC(C)Oc1cncc(CN2CCC[C@H]3C[C@H]32)c1 ZINC001238466296 980271264 /nfs/dbraw/zinc/27/12/64/980271264.db2.gz VESCSGWFYLACAU-DZGCQCFKSA-N 0 3 246.354 2.853 20 0 BFADHN CC(C)Oc1cncc(CN2CCC[C@H]2C)c1 ZINC001238464178 980271527 /nfs/dbraw/zinc/27/15/27/980271527.db2.gz LKPGGRQSVXNLPZ-GFCCVEGCSA-N 0 3 234.343 2.853 20 0 BFADHN Cc1ccc(Cl)c(CN2CCC[C@@H]2CO)c1 ZINC001238563941 980285986 /nfs/dbraw/zinc/28/59/86/980285986.db2.gz LZRZZFKCTSASTG-GFCCVEGCSA-N 0 3 239.746 2.605 20 0 BFADHN CCOc1ccc(C)c(CN2CC[C@@H]2C)c1 ZINC001238703725 980311111 /nfs/dbraw/zinc/31/11/11/980311111.db2.gz GZNJJLQOYWSLCA-LBPRGKRZSA-N 0 3 219.328 2.988 20 0 BFADHN CCN(CC)Cc1cc(C)cnc1SC ZINC001238712729 980314566 /nfs/dbraw/zinc/31/45/66/980314566.db2.gz KPCOLCWNFHGCHC-UHFFFAOYSA-N 0 3 224.373 2.954 20 0 BFADHN COc1cc(C)c(C)cc1CN(C)C1CC1 ZINC001238760038 980316811 /nfs/dbraw/zinc/31/68/11/980316811.db2.gz PNDYNQWPFBOANM-UHFFFAOYSA-N 0 3 219.328 2.906 20 0 BFADHN COC(=O)c1cccc(CN2CC(C(C)C)C2)c1 ZINC001344439247 980395394 /nfs/dbraw/zinc/39/53/94/980395394.db2.gz IXNYVFCRBAICLZ-UHFFFAOYSA-N 0 3 247.338 2.561 20 0 BFADHN Cc1cc(CN[C@@H]2C=CCCC2)cnc1F ZINC001345075802 980542130 /nfs/dbraw/zinc/54/21/30/980542130.db2.gz IBGBGFQCDGBBSD-GFCCVEGCSA-N 0 3 220.291 2.727 20 0 BFADHN C[C@@H](NCc1cn2ccnc2s1)c1ccc[nH]1 ZINC001345076951 980542773 /nfs/dbraw/zinc/54/27/73/980542773.db2.gz OYFFZWIHLZYQGH-SECBINFHSA-N 0 3 246.339 2.575 20 0 BFADHN Cc1ccc(-c2ccc3[nH]c(N)nc3c2)c(C)n1 ZINC001239460490 980586477 /nfs/dbraw/zinc/58/64/77/980586477.db2.gz SWJQLRBRXSDEAK-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN Cc1ccc(-c2ccc3nc(N)[nH]c3c2)c(C)n1 ZINC001239460490 980586487 /nfs/dbraw/zinc/58/64/87/980586487.db2.gz SWJQLRBRXSDEAK-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN CCOc1ccccc1-c1ccnc(CN)c1 ZINC001239561341 980742321 /nfs/dbraw/zinc/74/23/21/980742321.db2.gz XRGGXTMHZVBAEU-UHFFFAOYSA-N 0 3 228.295 2.606 20 0 BFADHN Fc1ccc(-c2ccc3c(n2)CNCC3)cc1F ZINC001239582727 980774231 /nfs/dbraw/zinc/77/42/31/980774231.db2.gz SWOFXDDZXSFLMF-UHFFFAOYSA-N 0 3 246.260 2.673 20 0 BFADHN NCc1ccc(/C=C/c2ccccc2)cn1 ZINC001239594421 980789527 /nfs/dbraw/zinc/78/95/27/980789527.db2.gz PUVRLMQKTYRTRS-VOTSOKGWSA-N 0 3 210.280 2.711 20 0 BFADHN Cc1nc(-c2ccncc2)cc2[nH]ccc21 ZINC001239609708 980806209 /nfs/dbraw/zinc/80/62/09/980806209.db2.gz MSZUSMXSXVFCAT-UHFFFAOYSA-N 0 3 209.252 2.933 20 0 BFADHN CCc1nc(C)c(CN2CCC[C@@H](F)CC2)[nH]1 ZINC001203235125 980828262 /nfs/dbraw/zinc/82/82/62/980828262.db2.gz QWOQDCDMSJOWHX-LLVKDONJSA-N 0 3 239.338 2.605 20 0 BFADHN Fc1ccc(F)c(-c2ccc3c(n2)CNCC3)c1 ZINC001239709808 980862036 /nfs/dbraw/zinc/86/20/36/980862036.db2.gz JKVYZCUZLIQOOE-UHFFFAOYSA-N 0 3 246.260 2.673 20 0 BFADHN C[C@@H]1CCC[C@H]1CNCc1ncccc1F ZINC001205509045 980868215 /nfs/dbraw/zinc/86/82/15/980868215.db2.gz BXYJVIYRIIHBBK-MNOVXSKESA-N 0 3 222.307 2.747 20 0 BFADHN CC(C)CN(C)[C@@H](C(=O)OC(C)(C)C)C1CC1 ZINC001190596946 980894893 /nfs/dbraw/zinc/89/48/93/980894893.db2.gz HOIGIDFZQOPBDQ-GFCCVEGCSA-N 0 3 241.375 2.695 20 0 BFADHN C[C@H](c1ccccn1)N1CCC[C@@H](OC2CC2)C1 ZINC001205534133 980897407 /nfs/dbraw/zinc/89/74/07/980897407.db2.gz OOSIXQDHGSOONH-TZMCWYRMSA-N 0 3 246.354 2.786 20 0 BFADHN COc1ccc(-c2ccc(CN)nc2)c(C)c1 ZINC001239826087 980971353 /nfs/dbraw/zinc/97/13/53/980971353.db2.gz LRUZXIWIRGGGDN-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN c1nc(CN2CCCC3(CCCCC3)CC2)n[nH]1 ZINC001347113441 980975229 /nfs/dbraw/zinc/97/52/29/980975229.db2.gz GAOXAPWIPHYSHK-UHFFFAOYSA-N 0 3 248.374 2.741 20 0 BFADHN c1nnc(CN2CCCC3(CCCCC3)CC2)[nH]1 ZINC001347113441 980975233 /nfs/dbraw/zinc/97/52/33/980975233.db2.gz GAOXAPWIPHYSHK-UHFFFAOYSA-N 0 3 248.374 2.741 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@@H]2CCCC[C@@H]2C1 ZINC001347131054 980984792 /nfs/dbraw/zinc/98/47/92/980984792.db2.gz YRODQWGMXLEMSR-UONOGXRCSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1cnc(C)c(-c2cc(N)c(C)cn2)c1 ZINC001239898637 981023948 /nfs/dbraw/zinc/02/39/48/981023948.db2.gz PFDCMYNWCHEMES-UHFFFAOYSA-N 0 3 213.284 2.651 20 0 BFADHN Cn1ccc2cc(-c3ccc(CN)nc3)ccc21 ZINC001239941515 981051074 /nfs/dbraw/zinc/05/10/74/981051074.db2.gz BQTLBLBERHMOEQ-UHFFFAOYSA-N 0 3 237.306 2.699 20 0 BFADHN Cc1ccc(CN2CC[C@@](C)(CF)C2)o1 ZINC001203290833 981130649 /nfs/dbraw/zinc/13/06/49/981130649.db2.gz SZMWYSOIYXIMOT-LBPRGKRZSA-N 0 3 211.280 2.770 20 0 BFADHN CCCOC1CCN(Cc2ccc(C)o2)CC1 ZINC001203293952 981158920 /nfs/dbraw/zinc/15/89/20/981158920.db2.gz LWRXKMOMXQLCQH-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN CCCO[C@H]1CCN(Cc2ccc(C)o2)C1 ZINC001203294193 981161486 /nfs/dbraw/zinc/16/14/86/981161486.db2.gz VMGJNPYRJHDVOU-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN Nc1nc2cc(-c3ccc4n[nH]cc4c3)ccc2[nH]1 ZINC001240212712 981161949 /nfs/dbraw/zinc/16/19/49/981161949.db2.gz XLVZEOVJSMEAPM-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN Nc1nc2ccc(-c3ccc4n[nH]cc4c3)cc2[nH]1 ZINC001240212712 981161953 /nfs/dbraw/zinc/16/19/53/981161953.db2.gz XLVZEOVJSMEAPM-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN Cc1ccc(CN2C[C@@H]3C[C@H]2C[C@H]3F)o1 ZINC001203294241 981165639 /nfs/dbraw/zinc/16/56/39/981165639.db2.gz ZDKGPLNWLLRBSS-JBLDHEPKSA-N 0 3 209.264 2.520 20 0 BFADHN Cc1nonc1CN1CCCCC[C@H]1C(C)C ZINC001192654091 981176931 /nfs/dbraw/zinc/17/69/31/981176931.db2.gz UUTBFWUEWBLTIA-ZDUSSCGKSA-N 0 3 237.347 2.779 20 0 BFADHN Cc1ccc(-c2cc(CN(C)C)ccn2)cn1 ZINC001240222856 981178772 /nfs/dbraw/zinc/17/87/72/981178772.db2.gz JTQDYBKTEHXDKU-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN Cn1cc(-c2cccc(C3=NCCC3)c2)cn1 ZINC001240297157 981249553 /nfs/dbraw/zinc/24/95/53/981249553.db2.gz MJJHCTBFYNEXIV-UHFFFAOYSA-N 0 3 225.295 2.670 20 0 BFADHN Nc1ccnc(-c2ccnc(C(F)(F)F)c2)c1 ZINC001240334903 981304037 /nfs/dbraw/zinc/30/40/37/981304037.db2.gz NFTASKVDQULRNI-UHFFFAOYSA-N 0 3 239.200 2.745 20 0 BFADHN Cc1nc(-c2ccc3ncnn3c2)cc2[nH]ccc21 ZINC001240357428 981314135 /nfs/dbraw/zinc/31/41/35/981314135.db2.gz ZYSHVFUESUHOEV-UHFFFAOYSA-N 0 3 249.277 2.581 20 0 BFADHN CC(C)(O)c1cccc(-c2cc(N)ccn2)c1 ZINC001240404784 981356539 /nfs/dbraw/zinc/35/65/39/981356539.db2.gz MRFPGHZNQVXOBQ-UHFFFAOYSA-N 0 3 228.295 2.558 20 0 BFADHN Cc1cc2cc(-c3cc(N)ccn3)cnc2[nH]1 ZINC001240468304 981404007 /nfs/dbraw/zinc/40/40/07/981404007.db2.gz RAVQZAZCFMOCRZ-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN C[C@H](NC1(C)CC1)c1ccncc1Cl ZINC001348654353 981414481 /nfs/dbraw/zinc/41/44/81/981414481.db2.gz OGTXLJZDHDXUAV-QMMMGPOBSA-N 0 3 210.708 2.938 20 0 BFADHN Cc1cnccc1-c1cnccc1/C=C/N(C)C ZINC001240610328 981505182 /nfs/dbraw/zinc/50/51/82/981505182.db2.gz LBRUJVWBSIWCIW-RMKNXTFCSA-N 0 3 239.322 2.984 20 0 BFADHN CC[C@@H](C)N(CC(=O)OC)CC1CC(C)(C)C1 ZINC001193905767 981508584 /nfs/dbraw/zinc/50/85/84/981508584.db2.gz IASIOEZIBSSMSL-LLVKDONJSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1ncccc1-c1cc(CN(C)C)ccn1 ZINC001240722417 981754508 /nfs/dbraw/zinc/75/45/08/981754508.db2.gz GNNDQYIUEAUWEN-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN c1nocc1CN1CC[C@H](c2ccccc2)C1 ZINC001205670331 981835788 /nfs/dbraw/zinc/83/57/88/981835788.db2.gz NKGSVAMWTHSEIX-AWEZNQCLSA-N 0 3 228.295 2.664 20 0 BFADHN C[C@H]1CCCC[C@H]1CN(C1CC1)C1COC1 ZINC001194598554 981837835 /nfs/dbraw/zinc/83/78/35/981837835.db2.gz CJLWRRWKUSTMFI-RYUDHWBXSA-N 0 3 223.360 2.676 20 0 BFADHN Cc1cncc(-c2cnccc2/C=C/N(C)C)c1 ZINC001240806047 981860377 /nfs/dbraw/zinc/86/03/77/981860377.db2.gz JRVMXRJAFRYXBL-FNORWQNLSA-N 0 3 239.322 2.984 20 0 BFADHN CO[C@H]1CCN(C/C=C/c2cccc(F)c2)C1 ZINC001350309943 981920136 /nfs/dbraw/zinc/92/01/36/981920136.db2.gz OKWKBZCIPQGWHW-KQIUPUNMSA-N 0 3 235.302 2.560 20 0 BFADHN CO[C@H]1CCN(C/C=C\c2cccc(F)c2)C1 ZINC001350309944 981920181 /nfs/dbraw/zinc/92/01/81/981920181.db2.gz OKWKBZCIPQGWHW-MFBWXBCUSA-N 0 3 235.302 2.560 20 0 BFADHN Cc1ncoc1CNC1(Cc2ccccc2)CC1 ZINC001350315606 981922864 /nfs/dbraw/zinc/92/28/64/981922864.db2.gz GOIOKBWTCOTCPK-UHFFFAOYSA-N 0 3 242.322 2.848 20 0 BFADHN Cc1ccc(-c2cc(CN(C)C)ccn2)cc1N ZINC001240961142 982032480 /nfs/dbraw/zinc/03/24/80/982032480.db2.gz KLXFQNGVZQHOOO-UHFFFAOYSA-N 0 3 241.338 2.701 20 0 BFADHN CN(C)c1ccnc(-c2ccc(O)cc2)c1 ZINC001241059457 982160421 /nfs/dbraw/zinc/16/04/21/982160421.db2.gz FTWWGKOVTYJRTQ-UHFFFAOYSA-N 0 3 214.268 2.520 20 0 BFADHN CN(C)Cc1cncc(-c2ccc(O)cc2)c1 ZINC001241063707 982179457 /nfs/dbraw/zinc/17/94/57/982179457.db2.gz PSOUNCDIBNBZLY-UHFFFAOYSA-N 0 3 228.295 2.516 20 0 BFADHN NCc1ccc(-c2cc(F)c(F)cc2F)cn1 ZINC001241109776 982220206 /nfs/dbraw/zinc/22/02/06/982220206.db2.gz KPOGYDVXCHXSKF-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN Fc1ccc([C@H]2CCN(Cc3cnoc3)C2)cc1 ZINC001205703441 982269137 /nfs/dbraw/zinc/26/91/37/982269137.db2.gz BJZSDJKSOCRGBV-ZDUSSCGKSA-N 0 3 246.285 2.803 20 0 BFADHN CCOc1ccc(C)cc1-c1ccc(CN)nc1 ZINC001241146282 982281661 /nfs/dbraw/zinc/28/16/61/982281661.db2.gz MGAWNXYFJDGDCQ-UHFFFAOYSA-N 0 3 242.322 2.914 20 0 BFADHN Nc1cc(-c2cccc(C3=NCCC3)c2)ccn1 ZINC001241170892 982321528 /nfs/dbraw/zinc/32/15/28/982321528.db2.gz WSCIZUWGFFPQFR-UHFFFAOYSA-N 0 3 237.306 2.914 20 0 BFADHN NCc1ccc(-c2c(F)cc(F)cc2F)cn1 ZINC001241171913 982323806 /nfs/dbraw/zinc/32/38/06/982323806.db2.gz JSFANDWRKYAGIZ-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN NCc1cc(-c2c(F)cc(F)cc2F)ccn1 ZINC001241172486 982323865 /nfs/dbraw/zinc/32/38/65/982323865.db2.gz UXRKSXFQMMNANU-UHFFFAOYSA-N 0 3 238.212 2.625 20 0 BFADHN NCc1cccc(-c2ccc(Cl)c(F)c2)n1 ZINC001241230846 982383030 /nfs/dbraw/zinc/38/30/30/982383030.db2.gz UZRHTCCGXFKWRX-UHFFFAOYSA-N 0 3 236.677 3.000 20 0 BFADHN CC(=O)c1ccc(-c2cc(N)ccn2)cc1F ZINC001241256140 982395514 /nfs/dbraw/zinc/39/55/14/982395514.db2.gz WGHZPXOKRSILRP-UHFFFAOYSA-N 0 3 230.242 2.673 20 0 BFADHN [NH3+]Cc1ccc(-c2c(F)ccc([O-])c2F)cc1 ZINC001241290769 982424707 /nfs/dbraw/zinc/42/47/07/982424707.db2.gz FWMVUNBWTDUKNT-UHFFFAOYSA-N 0 3 235.233 2.796 20 0 BFADHN Cc1nc2ccccc2nc1C1=CCN(C)CC1 ZINC001241307265 982442051 /nfs/dbraw/zinc/44/20/51/982442051.db2.gz ALQUSLLLLPVVIC-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1ccc2ncnc(C3=CCN(C)CC3)c2c1 ZINC001241309782 982455500 /nfs/dbraw/zinc/45/55/00/982455500.db2.gz ZSOLEDNOGWZHDV-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1cc(C2=CCN(C)CC2)nc(C(C)C)n1 ZINC001241312540 982456856 /nfs/dbraw/zinc/45/68/56/982456856.db2.gz FCKPFIZXBZNHAV-UHFFFAOYSA-N 0 3 231.343 2.627 20 0 BFADHN CC(C)Oc1ccnc(C2=CCN(C)CC2)c1 ZINC001241310702 982458974 /nfs/dbraw/zinc/45/89/74/982458974.db2.gz JXZVSWWBMGMZCR-UHFFFAOYSA-N 0 3 232.327 2.588 20 0 BFADHN CN1CC=C(c2ccc3oncc3c2)CC1 ZINC001241322200 982482663 /nfs/dbraw/zinc/48/26/63/982482663.db2.gz JYEHPWKULZMIID-UHFFFAOYSA-N 0 3 214.268 2.547 20 0 BFADHN Cc1nc2[nH]ccc2cc1C1=CCN(C)CC1 ZINC001241327478 982487592 /nfs/dbraw/zinc/48/75/92/982487592.db2.gz MZBJEBBJQQJWNC-UHFFFAOYSA-N 0 3 227.311 2.542 20 0 BFADHN COC(=O)c1cc(C)ccc1C1=CCN(C)CC1 ZINC001241327432 982488277 /nfs/dbraw/zinc/48/82/77/982488277.db2.gz LMNJEAJSYKYNIB-UHFFFAOYSA-N 0 3 245.322 2.501 20 0 BFADHN Cc1c(F)c(F)ccc1C1=CCN(C)CC1 ZINC001241326905 982489457 /nfs/dbraw/zinc/48/94/57/982489457.db2.gz ASLXBJBSIYHLHF-UHFFFAOYSA-N 0 3 223.266 2.992 20 0 BFADHN Cc1ccc(C2=CCN(C)CC2)c2nsnc21 ZINC001241328199 982489474 /nfs/dbraw/zinc/48/94/74/982489474.db2.gz ZGUFMQDFSJVITH-UHFFFAOYSA-N 0 3 245.351 2.719 20 0 BFADHN C=COc1ccc(C2=CCN(C)CC2)cc1 ZINC001241333023 982498954 /nfs/dbraw/zinc/49/89/54/982498954.db2.gz PXISBSNAFRSCOZ-UHFFFAOYSA-N 0 3 215.296 2.928 20 0 BFADHN FCCN1C[C@H]2[C@H](C[C@@H]2c2ccccc2)C1 ZINC001196899302 982502152 /nfs/dbraw/zinc/50/21/52/982502152.db2.gz SJCJVCFTPIBGBC-MCIONIFRSA-N 0 3 219.303 2.691 20 0 BFADHN Cc1c(N)ccnc1-c1cnc2cccnc2c1 ZINC001241381830 982541583 /nfs/dbraw/zinc/54/15/83/982541583.db2.gz QYGPQDIVILFKAC-UHFFFAOYSA-N 0 3 236.278 2.582 20 0 BFADHN F[C@@H]1CCC[C@H](NCc2ccc(Cl)nn2)C1 ZINC001352879643 982620373 /nfs/dbraw/zinc/62/03/73/982620373.db2.gz XMSCLFGTBXWARI-BDAKNGLRSA-N 0 3 243.713 2.500 20 0 BFADHN Cc1cc2cc[nH]c2c(C2=CCCN(C)C2)n1 ZINC001241565554 982805431 /nfs/dbraw/zinc/80/54/31/982805431.db2.gz MKCSXDYDQIPIIN-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN CN1CCC=C(c2ccc3ccccc3n2)C1 ZINC001241565745 982807176 /nfs/dbraw/zinc/80/71/76/982807176.db2.gz OAQFYNJDMIUWAU-UHFFFAOYSA-N 0 3 224.307 2.954 20 0 BFADHN COc1ccc(C2=CCCN(C)C2)c(C)c1 ZINC001241568539 982816076 /nfs/dbraw/zinc/81/60/76/982816076.db2.gz GEEZKYXIJHFJQC-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN COCc1cc(C2=CCCN(C)C2)ccc1F ZINC001241570467 982818951 /nfs/dbraw/zinc/81/89/51/982818951.db2.gz JKTWPPQCCTWOTP-UHFFFAOYSA-N 0 3 235.302 2.691 20 0 BFADHN Cc1noc2cc(C3=CCCN(C)C3)ccc12 ZINC001241569826 982819694 /nfs/dbraw/zinc/81/96/94/982819694.db2.gz ARLSYFIYOJCCEF-UHFFFAOYSA-N 0 3 228.295 2.855 20 0 BFADHN Cc1nc2ccc(C3=CCCN(C)C3)cc2o1 ZINC001241569977 982820101 /nfs/dbraw/zinc/82/01/01/982820101.db2.gz BUJKSSOQPZSBCU-UHFFFAOYSA-N 0 3 228.295 2.855 20 0 BFADHN CN(C)Cc1cccc(C2=CCCN(C)C2)c1F ZINC001241572131 982826192 /nfs/dbraw/zinc/82/61/92/982826192.db2.gz QWRRLXFRQROBQF-UHFFFAOYSA-N 0 3 248.345 2.606 20 0 BFADHN Cc1cccc2c1CC[C@H]2NCc1ccnn1C ZINC001200313989 982842913 /nfs/dbraw/zinc/84/29/13/982842913.db2.gz LGDJZSWFHZVNBM-OAHLLOKOSA-N 0 3 241.338 2.506 20 0 BFADHN CCSCCNCc1ccc(C)nc1Cl ZINC001353605641 982914384 /nfs/dbraw/zinc/91/43/84/982914384.db2.gz LWVWIAVIAVJINN-UHFFFAOYSA-N 0 3 244.791 2.886 20 0 BFADHN Cn1ccc2c1cccc2-c1cccc(CN)n1 ZINC001241819765 983072927 /nfs/dbraw/zinc/07/29/27/983072927.db2.gz ALIIXYGRGOPJAL-UHFFFAOYSA-N 0 3 237.306 2.699 20 0 BFADHN Cc1cc(-c2nccc3c2CCN3)cc(C)n1 ZINC001241990430 983183245 /nfs/dbraw/zinc/18/32/45/983183245.db2.gz QCINWKZREHPENG-UHFFFAOYSA-N 0 3 225.295 2.728 20 0 BFADHN CC(C)CN1CCCC(F)(F)[C@@H](F)C1 ZINC001201463710 983232793 /nfs/dbraw/zinc/23/27/93/983232793.db2.gz HZVHIKCFITVHQQ-VIFPVBQESA-N 0 3 209.255 2.712 20 0 BFADHN CO[C@@H](C)c1cccc(-c2ccc(CN)nc2)c1 ZINC001242031281 983245959 /nfs/dbraw/zinc/24/59/59/983245959.db2.gz ALNCEOVAWXKXQI-NSHDSACASA-N 0 3 242.322 2.915 20 0 BFADHN CC[C@H](C)CN1CC[C@@](C)(F)[C@H](F)C1 ZINC001201503245 983270066 /nfs/dbraw/zinc/27/00/66/983270066.db2.gz RWJHJNAKTMEGTK-HBNTYKKESA-N 0 3 205.292 2.805 20 0 BFADHN CCC[C@@H](C)CN(C)[C@H](C(=O)OC)C(C)C ZINC001201553257 983308569 /nfs/dbraw/zinc/30/85/69/983308569.db2.gz JOKQVVQWUIONIW-NEPJUHHUSA-N 0 3 229.364 2.552 20 0 BFADHN c1cc(N2CCCCC2)cc(C2=CCOCC2)n1 ZINC001242073910 983313913 /nfs/dbraw/zinc/31/39/13/983313913.db2.gz BQZCIFVSWNJPDP-UHFFFAOYSA-N 0 3 244.338 2.876 20 0 BFADHN COc1cccc(CN2CC=CCC2)c1C ZINC001354496262 983316546 /nfs/dbraw/zinc/31/65/46/983316546.db2.gz VUCUZUVIJLCYGJ-UHFFFAOYSA-N 0 3 217.312 2.766 20 0 BFADHN CCC(CC)CN1CC2(C1)CCCCO2 ZINC001201523467 983323786 /nfs/dbraw/zinc/32/37/86/983323786.db2.gz MZGVAMSREFYKAA-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1nncs1 ZINC001354625504 983334995 /nfs/dbraw/zinc/33/49/95/983334995.db2.gz XLRBAKAWFKKSDW-NXEZZACHSA-N 0 3 225.361 2.597 20 0 BFADHN CCCC[C@H](CC)CN1CCOC[C@H]1COC ZINC001201572513 983337017 /nfs/dbraw/zinc/33/70/17/983337017.db2.gz NJJISUXABVCOID-UONOGXRCSA-N 0 3 243.391 2.550 20 0 BFADHN C[C@@H]1C[C@@H](F)CN1CCc1ccccc1 ZINC001201595597 983356337 /nfs/dbraw/zinc/35/63/37/983356337.db2.gz OKYSWBWSGCOFIX-DGCLKSJQSA-N 0 3 207.292 2.661 20 0 BFADHN C/C=C(\NC=[NH2+])c1cc(C(F)(F)F)ccc1[O-] ZINC001242131073 983365248 /nfs/dbraw/zinc/36/52/48/983365248.db2.gz AEAVKCPNHXSMQU-MBXJOHMKSA-N 0 3 244.216 2.968 20 0 BFADHN CC(C)CCN1CC[C@@](C)(F)[C@@H](F)C1 ZINC001201613595 983377003 /nfs/dbraw/zinc/37/70/03/983377003.db2.gz SUQHLAMRDUQBGB-WDEREUQCSA-N 0 3 205.292 2.805 20 0 BFADHN c1cc2c(c(-c3cncc(C4CC4)c3)n1)CCN2 ZINC001242193748 983402274 /nfs/dbraw/zinc/40/22/74/983402274.db2.gz FMZKQXYIXKJLKZ-UHFFFAOYSA-N 0 3 237.306 2.989 20 0 BFADHN CCCCN1CCc2nc(C)sc2C1 ZINC001201713326 983419971 /nfs/dbraw/zinc/41/99/71/983419971.db2.gz BDKMPJWKMHCNHZ-UHFFFAOYSA-N 0 3 210.346 2.610 20 0 BFADHN CCCCCN1CCc2cc3c(cc2C1)OCO3 ZINC001201724907 983435400 /nfs/dbraw/zinc/43/54/00/983435400.db2.gz DCSVUJIHTAFRMP-UHFFFAOYSA-N 0 3 247.338 2.964 20 0 BFADHN CCCC[C@H](O)CN1Cc2cccc(C)c2C1 ZINC001252083675 983454372 /nfs/dbraw/zinc/45/43/72/983454372.db2.gz RTUAGMUCGIMGCA-AWEZNQCLSA-N 0 3 233.355 2.862 20 0 BFADHN NCc1ccc(-c2ccc3cnccc3c2)cn1 ZINC001242244929 983457817 /nfs/dbraw/zinc/45/78/17/983457817.db2.gz AJYFHOPLIXBQMJ-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN CCCC[C@@H](O)CN(C)Cc1ccsc1 ZINC001252090139 983475301 /nfs/dbraw/zinc/47/53/01/983475301.db2.gz UYYVIJJKZFFQAU-GFCCVEGCSA-N 0 3 227.373 2.731 20 0 BFADHN Cc1c(F)c(F)ccc1-c1ccc(CN)nc1 ZINC001242306046 983528905 /nfs/dbraw/zinc/52/89/05/983528905.db2.gz LHKGFXMCJQHIBT-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN Cc1cccnc1CNCCOC1CCCCC1 ZINC000161811057 983542576 /nfs/dbraw/zinc/54/25/76/983542576.db2.gz ZUEHXHANXJZCQF-UHFFFAOYSA-N 0 3 248.370 2.829 20 0 BFADHN [NH3+]CCc1cccc(-c2cccc(F)c2[O-])c1 ZINC001242351918 983629026 /nfs/dbraw/zinc/62/90/26/983629026.db2.gz BDNDLMPQLSGMBF-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN CC(C)n1cc(-c2cccc(CN(C)C)n2)cn1 ZINC001242436479 983741311 /nfs/dbraw/zinc/74/13/11/983741311.db2.gz UYYBPHXCJGJIEH-UHFFFAOYSA-N 0 3 244.342 2.588 20 0 BFADHN Nc1cncc(-c2ccc(C3=NCCC3)cc2)c1 ZINC001242499274 983820742 /nfs/dbraw/zinc/82/07/42/983820742.db2.gz OXRYQWSFNBWPDL-UHFFFAOYSA-N 0 3 237.306 2.914 20 0 BFADHN CC1(C)CC[C@@H]1C(=O)Nc1cccc2c1CNC2 ZINC001358059437 983821832 /nfs/dbraw/zinc/82/18/32/983821832.db2.gz DODMSPQLYKJIMZ-GFCCVEGCSA-N 0 3 244.338 2.665 20 0 BFADHN NCc1cc(C2=CCCCCCC2)ncn1 ZINC001242502617 983827155 /nfs/dbraw/zinc/82/71/55/983827155.db2.gz YRVFNKNIRQWUBG-UHFFFAOYSA-N 0 3 217.316 2.673 20 0 BFADHN CCN(Cc1ccc(N2CCCC2)o1)C1CC1 ZINC001137061741 983893542 /nfs/dbraw/zinc/89/35/42/983893542.db2.gz YVOXJWXKSSHXCL-UHFFFAOYSA-N 0 3 234.343 2.864 20 0 BFADHN CC(C)SCCN1CC(Cc2ccco2)C1 ZINC001474626795 983913539 /nfs/dbraw/zinc/91/35/39/983913539.db2.gz RWVJWIGESNDYGC-UHFFFAOYSA-N 0 3 239.384 2.896 20 0 BFADHN CN(Cc1cc2c(cc[nH]c2=O)o1)C(C)(C)C ZINC000877607323 983955163 /nfs/dbraw/zinc/95/51/63/983955163.db2.gz DJPOZWSKOPNYIZ-UHFFFAOYSA-N 0 3 234.299 2.764 20 0 BFADHN CCCN1CCN(Cc2cc(C)cs2)CC1 ZINC001137158132 984004311 /nfs/dbraw/zinc/00/43/11/984004311.db2.gz FIMMIGXAOAIKAI-UHFFFAOYSA-N 0 3 238.400 2.584 20 0 BFADHN CNc1ccccc1CN(C)CC1=CCCOC1 ZINC001560922611 984012493 /nfs/dbraw/zinc/01/24/93/984012493.db2.gz AZSSVJMLFSMUTG-UHFFFAOYSA-N 0 3 246.354 2.507 20 0 BFADHN Cc1cccc2ncc(CN3CCC4(CC4)C3)n21 ZINC001137192411 984048016 /nfs/dbraw/zinc/04/80/16/984048016.db2.gz FBOZFLMSJSRSHC-UHFFFAOYSA-N 0 3 241.338 2.629 20 0 BFADHN NCc1ccc(-c2cnc3sccc3c2)cn1 ZINC001242681724 984047940 /nfs/dbraw/zinc/04/79/40/984047940.db2.gz OEBOJLPAHZKPDU-UHFFFAOYSA-N 0 3 241.319 2.817 20 0 BFADHN CN(C)Cc1cccc(-c2cc(O)ccc2F)n1 ZINC001242762263 984130824 /nfs/dbraw/zinc/13/08/24/984130824.db2.gz VUZGEMIADLQZOF-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN Nc1nc2cc(-c3c[nH]c4ncccc34)ccc2[nH]1 ZINC001242863363 984207580 /nfs/dbraw/zinc/20/75/80/984207580.db2.gz YWQXAFODGAAISO-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN Nc1nc2ccc(-c3c[nH]c4ncccc34)cc2[nH]1 ZINC001242863363 984207583 /nfs/dbraw/zinc/20/75/83/984207583.db2.gz YWQXAFODGAAISO-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN CCn1nccc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001319683698 984323655 /nfs/dbraw/zinc/32/36/55/984323655.db2.gz MSAMGUTZKYEXGY-ZIAGYGMSSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1cnc(F)c(-c2cccc(CN(C)C)n2)c1 ZINC001243164922 984623751 /nfs/dbraw/zinc/62/37/51/984623751.db2.gz JJVXGJTYYRKUEY-UHFFFAOYSA-N 0 3 245.301 2.653 20 0 BFADHN COc1cc(C)cc(-c2ccnc(CN)c2)c1 ZINC001243244577 984793714 /nfs/dbraw/zinc/79/37/14/984793714.db2.gz GOVRKMKBZXSGJE-UHFFFAOYSA-N 0 3 228.295 2.524 20 0 BFADHN c1n[nH]nc1[C@@H]1CCCCN1CCC1CCC1 ZINC001578052812 984834920 /nfs/dbraw/zinc/83/49/20/984834920.db2.gz HLFSGNCKZIINMC-ZDUSSCGKSA-N 0 3 234.347 2.522 20 0 BFADHN CCC[C@@H](C)N(C)[C@H](C(=O)OC)[C@@H](C)CC ZINC001257324619 984894546 /nfs/dbraw/zinc/89/45/46/984894546.db2.gz QIRGXOSTQAPHQU-TUAOUCFPSA-N 0 3 229.364 2.695 20 0 BFADHN CCCCCC[C@@H](C)N1CC[C@](F)(CO)C1 ZINC001257363950 984933272 /nfs/dbraw/zinc/93/32/72/984933272.db2.gz SRBMHVJHYYWIJE-CHWSQXEVSA-N 0 3 231.355 2.752 20 0 BFADHN Cc1ccc(CN)nc1N(C)C1CCCCC1 ZINC001257449119 985047455 /nfs/dbraw/zinc/04/74/55/985047455.db2.gz UKMWOMUJXLTONJ-UHFFFAOYSA-N 0 3 233.359 2.618 20 0 BFADHN CCOc1c(F)cccc1-c1cccc(CN)n1 ZINC001243531212 985065399 /nfs/dbraw/zinc/06/53/99/985065399.db2.gz FUECRFPAVBKEKF-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN [NH3+]CCc1ccc(-c2cccc([O-])c2F)cc1 ZINC001243545291 985080521 /nfs/dbraw/zinc/08/05/21/985080521.db2.gz BZNKXACSGDXRQS-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN C[NH2+]Cc1ccc(-c2cccc([O-])c2F)cc1 ZINC001243546157 985091007 /nfs/dbraw/zinc/09/10/07/985091007.db2.gz UXLAXWOXKFWSGO-UHFFFAOYSA-N 0 3 231.270 2.918 20 0 BFADHN [NH3+]CCc1ccccc1-c1cccc([O-])c1F ZINC001243546701 985094382 /nfs/dbraw/zinc/09/43/82/985094382.db2.gz DDEUKBBWNQVVNQ-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN CCC[C@@H](C)CC[C@H](C)N1C[C@H](F)C[C@H]1CO ZINC001257477904 985106896 /nfs/dbraw/zinc/10/68/96/985106896.db2.gz PKLTYLHUGSCDRG-RQJABVFESA-N 0 3 245.382 2.996 20 0 BFADHN Cc1ccc(CN[C@H]2C=CCC2)c(F)c1 ZINC000686504916 985125001 /nfs/dbraw/zinc/12/50/01/985125001.db2.gz QJGCQWUFBJNDJE-LBPRGKRZSA-N 0 3 205.276 2.942 20 0 BFADHN C[C@]1(F)CCN([C@H]2CCCSC2)C[C@@H]1F ZINC001257671710 985246499 /nfs/dbraw/zinc/24/64/99/985246499.db2.gz QGLIDRWIEXOONT-DCAQKATOSA-N 0 3 235.343 2.654 20 0 BFADHN c1cc2c(o1)CCN([C@@H]1CCCSC1)C2 ZINC001257680678 985258168 /nfs/dbraw/zinc/25/81/68/985258168.db2.gz KJHJFSVIPLYOOI-LLVKDONJSA-N 0 3 223.341 2.533 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1cnco1 ZINC001258076562 985378463 /nfs/dbraw/zinc/37/84/63/985378463.db2.gz NKRXCQLDZCOKHA-NSHDSACASA-N 0 3 208.305 2.899 20 0 BFADHN CO[C@H]1CN([C@@H](C)CCC=C(C)C)C[C@@H]1F ZINC001258086452 985386523 /nfs/dbraw/zinc/38/65/23/985386523.db2.gz TVLURYKCYIPKLL-AVGNSLFASA-N 0 3 229.339 2.790 20 0 BFADHN CO[C@H]1CC=C(c2cc(N(C)C)ccn2)CC1 ZINC001243810742 985397055 /nfs/dbraw/zinc/39/70/55/985397055.db2.gz YQDDVFBWQMIHNU-ZDUSSCGKSA-N 0 3 232.327 2.730 20 0 BFADHN C[C@H](N[C@@H]1COC[C@H]1C)c1cc(F)ccc1F ZINC000688026606 985504112 /nfs/dbraw/zinc/50/41/12/985504112.db2.gz VLLSHWNQRDKGPL-VYUIOLGVSA-N 0 3 241.281 2.650 20 0 BFADHN C[C@H](N[C@@H]1COC[C@@H]1C)c1ccc(F)cc1F ZINC000688027508 985504753 /nfs/dbraw/zinc/50/47/53/985504753.db2.gz ZUNALMGNJRMLHE-MWODSPESSA-N 0 3 241.281 2.650 20 0 BFADHN CCCCC[C@@H](C)NCc1cc(C)n(C)n1 ZINC000688112986 985566389 /nfs/dbraw/zinc/56/63/89/985566389.db2.gz XBPMNTRMCPFOMG-LLVKDONJSA-N 0 3 223.364 2.787 20 0 BFADHN CCCCC[C@H](C)NCc1cc(C)n(C)n1 ZINC000688112988 985567945 /nfs/dbraw/zinc/56/79/45/985567945.db2.gz XBPMNTRMCPFOMG-NSHDSACASA-N 0 3 223.364 2.787 20 0 BFADHN CCOC(=O)CN(CCC1CCC1)C(C)(C)C ZINC001459691350 985591704 /nfs/dbraw/zinc/59/17/04/985591704.db2.gz VVKODEUBAANFMP-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H](N[C@H]1COC2(CCC2)C1)c1cncs1 ZINC000688267673 985592911 /nfs/dbraw/zinc/59/29/11/985592911.db2.gz OUZQOKRRNGYIHZ-NXEZZACHSA-N 0 3 238.356 2.505 20 0 BFADHN c1nc2cccc(-c3cccn4cncc34)c2[nH]1 ZINC001243935638 985615099 /nfs/dbraw/zinc/61/50/99/985615099.db2.gz FYCCVWKPGYIHDT-UHFFFAOYSA-N 0 3 234.262 2.878 20 0 BFADHN CC(C)Oc1cc(C2=CNCCC2)ccn1 ZINC001243949105 985638447 /nfs/dbraw/zinc/63/84/47/985638447.db2.gz IOOATPFSPTYFIW-UHFFFAOYSA-N 0 3 218.300 2.593 20 0 BFADHN CSCC[C@@H](C)N1CC2(C1)CC(F)(F)C2 ZINC001258213423 985643663 /nfs/dbraw/zinc/64/36/63/985643663.db2.gz PDBLEQDXSBVKOU-SECBINFHSA-N 0 3 235.343 2.859 20 0 BFADHN CC(C)[S@](=O)c1ccc(C2=CNCCC2)cc1 ZINC001243949824 985644962 /nfs/dbraw/zinc/64/49/62/985644962.db2.gz JHXNPVTWWCTVRA-KRWDZBQOSA-N 0 3 249.379 2.927 20 0 BFADHN CSc1cc(C)c(C2=CNCCC2)cn1 ZINC001243952869 985660959 /nfs/dbraw/zinc/66/09/59/985660959.db2.gz XEOFCYJGICLPSL-UHFFFAOYSA-N 0 3 220.341 2.836 20 0 BFADHN CC[C@H]1CCN(Cc2cc3n(n2)CCCC3)[C@H]1C ZINC001459985736 985692584 /nfs/dbraw/zinc/69/25/84/985692584.db2.gz OBHHYMLKCADUCQ-STQMWFEESA-N 0 3 247.386 2.840 20 0 BFADHN CC[C@@H]1CCN(Cc2cc3n(n2)CCCC3)[C@H]1C ZINC001459985734 985692918 /nfs/dbraw/zinc/69/29/18/985692918.db2.gz OBHHYMLKCADUCQ-QWHCGFSZSA-N 0 3 247.386 2.840 20 0 BFADHN C[C@H](O)[C@H](C)N1CC(C)(C)[C@@H]1c1cccs1 ZINC001460201624 985800760 /nfs/dbraw/zinc/80/07/60/985800760.db2.gz FZORPMALHKXRDF-NHCYSSNCSA-N 0 3 239.384 2.900 20 0 BFADHN COc1c(C)cc(C)cc1-c1cnccc1N ZINC001244106594 985815830 /nfs/dbraw/zinc/81/58/30/985815830.db2.gz QAQSVZSUHBHSEA-UHFFFAOYSA-N 0 3 228.295 2.787 20 0 BFADHN COc1c(C)cc(C)cc1-c1ccc(CN)nc1 ZINC001244107476 985817799 /nfs/dbraw/zinc/81/77/99/985817799.db2.gz YZBHSMMHUOJGMH-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN CO[C@H]1CC=C(Nc2ncc(O)cc2C)CC1 ZINC001212872138 985817893 /nfs/dbraw/zinc/81/78/93/985817893.db2.gz NJDXTILWMXSBOR-LBPRGKRZSA-N 0 3 234.299 2.590 20 0 BFADHN NCc1cc(-c2ccc(C3CC3)cc2F)ncn1 ZINC001244122644 985832299 /nfs/dbraw/zinc/83/22/99/985832299.db2.gz PHXWNGHWYXYJJE-UHFFFAOYSA-N 0 3 243.285 2.619 20 0 BFADHN CC[C@@H]1CCCN1Cc1cccnc1F ZINC001138788026 985834738 /nfs/dbraw/zinc/83/47/38/985834738.db2.gz QYBGZHOWBAFRJX-LLVKDONJSA-N 0 3 208.280 2.595 20 0 BFADHN C[C@@H](N)C(=O)NC(C)(C)CC1CCCCCC1 ZINC001579399173 985879320 /nfs/dbraw/zinc/87/93/20/985879320.db2.gz YLQQJINCNSIWED-LLVKDONJSA-N 0 3 240.391 2.589 20 0 BFADHN C[C@@H](CC1CC1)NCc1cn(C2CCC2)cn1 ZINC001258454071 985895842 /nfs/dbraw/zinc/89/58/42/985895842.db2.gz ZIRYZFKBIQDWNT-NSHDSACASA-N 0 3 233.359 2.886 20 0 BFADHN Cc1cc2cc(-c3ccnc(CN)c3)ccc2[nH]1 ZINC001244243410 985901228 /nfs/dbraw/zinc/90/12/28/985901228.db2.gz WXLLZBOYALKKIN-UHFFFAOYSA-N 0 3 237.306 2.997 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ncccc1C)C1CCC1 ZINC001460935652 985954667 /nfs/dbraw/zinc/95/46/67/985954667.db2.gz KZNBDWPZDZZGJR-JSGCOSHPSA-N 0 3 248.370 2.856 20 0 BFADHN c1cc(CN2CCC[C@@H](C3CCCC3)C2)n[nH]1 ZINC001460951184 985959922 /nfs/dbraw/zinc/95/99/22/985959922.db2.gz SACFFPQEMVELOU-CYBMUJFWSA-N 0 3 233.359 2.812 20 0 BFADHN CCn1ccc(CN[C@H]2CC[C@H](C)CC2)n1 ZINC001461178280 986021834 /nfs/dbraw/zinc/02/18/34/986021834.db2.gz CIQZSBPKDAFFPS-HAQNSBGRSA-N 0 3 221.348 2.571 20 0 BFADHN c1nscc1CN[C@@H]1C[C@H]1Cc1ccccc1 ZINC001461219546 986026717 /nfs/dbraw/zinc/02/67/17/986026717.db2.gz ASZIASLQCKZHQX-ZIAGYGMSSA-N 0 3 244.363 2.864 20 0 BFADHN c1nscc1CN[C@@H]1C[C@@H]1Cc1ccccc1 ZINC001461219541 986027882 /nfs/dbraw/zinc/02/78/82/986027882.db2.gz ASZIASLQCKZHQX-UONOGXRCSA-N 0 3 244.363 2.864 20 0 BFADHN Cc1cc(CNCC=Cc2cccc(F)c2)[nH]n1 ZINC001461231120 986028208 /nfs/dbraw/zinc/02/82/08/986028208.db2.gz LRJOHAYOKPJTTO-HWKANZROSA-N 0 3 245.301 2.660 20 0 BFADHN Cc1cc(CNCC=Cc2cccc(F)c2)n[nH]1 ZINC001461231120 986028224 /nfs/dbraw/zinc/02/82/24/986028224.db2.gz LRJOHAYOKPJTTO-HWKANZROSA-N 0 3 245.301 2.660 20 0 BFADHN Cn1ccc(CNC2CCC3(CCC3)CC2)n1 ZINC001461410366 986055698 /nfs/dbraw/zinc/05/56/98/986055698.db2.gz KNZQXNGZWWDFDX-UHFFFAOYSA-N 0 3 233.359 2.623 20 0 BFADHN Cc1ccc(O)c(-c2ccc3[nH]c(N)nc3c2)c1 ZINC001244403775 986072997 /nfs/dbraw/zinc/07/29/97/986072997.db2.gz ATFUYYAQAPTIGJ-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN Cc1ccc(O)c(-c2ccc3nc(N)[nH]c3c2)c1 ZINC001244403775 986073012 /nfs/dbraw/zinc/07/30/12/986073012.db2.gz ATFUYYAQAPTIGJ-UHFFFAOYSA-N 0 3 239.278 2.826 20 0 BFADHN Cc1cccc(CNCc2cc(C)c[nH]c2=O)c1 ZINC001461802790 986160020 /nfs/dbraw/zinc/16/00/20/986160020.db2.gz ARFVKLGRHLQGHC-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN CCn1ccc(CN(C(C)C)C2CCC2)n1 ZINC001461860066 986208247 /nfs/dbraw/zinc/20/82/47/986208247.db2.gz NBWNULBKLMPUFP-UHFFFAOYSA-N 0 3 221.348 2.666 20 0 BFADHN CC[C@H](C)C[C@H](CC)N(C)[C@@H](C)C(=O)OC ZINC001258795001 986226532 /nfs/dbraw/zinc/22/65/32/986226532.db2.gz NVHCIHMMJVGRKV-SRVKXCTJSA-N 0 3 229.364 2.695 20 0 BFADHN Cc1cc(-c2c[nH+]ccc2N)cc(Cl)c1[O-] ZINC001244538713 986230878 /nfs/dbraw/zinc/23/08/78/986230878.db2.gz WHZDKTRFIZEZHL-UHFFFAOYSA-N 0 3 234.686 2.829 20 0 BFADHN COc1ccc(C)c(F)c1-c1cnccc1N ZINC001244568766 986253431 /nfs/dbraw/zinc/25/34/31/986253431.db2.gz MBDAWEPIBCPHGR-UHFFFAOYSA-N 0 3 232.258 2.617 20 0 BFADHN Cc1cc(Cl)c(N)c(-c2ccnc(CN)c2)c1 ZINC001244580360 986264180 /nfs/dbraw/zinc/26/41/80/986264180.db2.gz YGVDIHQQDJCNGH-UHFFFAOYSA-N 0 3 247.729 2.751 20 0 BFADHN C[C@H]1CCC[C@H](NCc2nccnc2Cl)C1 ZINC001258807942 986264943 /nfs/dbraw/zinc/26/49/43/986264943.db2.gz MLOQDKIUKXZWKJ-UWVGGRQHSA-N 0 3 239.750 2.798 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2nccnc2Cl)C1 ZINC001258807946 986265157 /nfs/dbraw/zinc/26/51/57/986265157.db2.gz MLOQDKIUKXZWKJ-VHSXEESVSA-N 0 3 239.750 2.798 20 0 BFADHN CC(C)(C)C1CCC(CN2CC(C)(O)C2)CC1 ZINC001462322143 986348893 /nfs/dbraw/zinc/34/88/93/986348893.db2.gz GWLFKCSWKPLYNE-UHFFFAOYSA-N 0 3 239.403 2.906 20 0 BFADHN Cc1nc2ccc(NC[C@H](O)C(C)(C)C)cc2[nH]1 ZINC001253734064 986349021 /nfs/dbraw/zinc/34/90/21/986349021.db2.gz WWLVLUQIFSWTSJ-ZDUSSCGKSA-N 0 3 247.342 2.690 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2ncccc2F)C[C@H]1C ZINC001462356614 986362054 /nfs/dbraw/zinc/36/20/54/986362054.db2.gz QJKLHNRLYASSKN-GMTAPVOTSA-N 0 3 222.307 2.697 20 0 BFADHN CCOc1ccc(C)c(-c2ccc(CN)nc2)c1 ZINC001244869239 986408852 /nfs/dbraw/zinc/40/88/52/986408852.db2.gz JKANXFMZHCIIFI-UHFFFAOYSA-N 0 3 242.322 2.914 20 0 BFADHN Cn1c(Cl)cnc1CNC(C)(C)C1CCC1 ZINC000398107504 986481287 /nfs/dbraw/zinc/48/12/87/986481287.db2.gz AMTWIBLFLGZAQI-UHFFFAOYSA-N 0 3 241.766 2.742 20 0 BFADHN Cc1cccnc1[C@@H](C)N[C@H](C)Cc1ccno1 ZINC001462653729 986484366 /nfs/dbraw/zinc/48/43/66/986484366.db2.gz JXMNZTCYUWTOML-VXGBXAGGSA-N 0 3 245.326 2.660 20 0 BFADHN Cc1cnc(-c2cccc(O)c2C)cc1N ZINC001244957120 986516407 /nfs/dbraw/zinc/51/64/07/986516407.db2.gz IZBGHBLRSHFUJI-UHFFFAOYSA-N 0 3 214.268 2.653 20 0 BFADHN C[C@H]1Cc2cc(CN3CC=CCC3)ccc2O1 ZINC001262365134 986552196 /nfs/dbraw/zinc/55/21/96/986552196.db2.gz SQBOLJLVGCGCAY-LBPRGKRZSA-N 0 3 229.323 2.772 20 0 BFADHN Cc1c(F)ccc(F)c1-c1cccc(CN)n1 ZINC001244981603 986572439 /nfs/dbraw/zinc/57/24/39/986572439.db2.gz HAABCGMCJUYIAG-UHFFFAOYSA-N 0 3 234.249 2.794 20 0 BFADHN COc1cc(C)c(C)cc1-c1ccnc(CN)c1 ZINC001245022537 986601515 /nfs/dbraw/zinc/60/15/15/986601515.db2.gz IWLFJJFJEZTKEQ-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN CCCn1cncc1CN1CCCCCC1 ZINC000380784229 986705919 /nfs/dbraw/zinc/70/59/19/986705919.db2.gz CMAKCATXKJNMDI-UHFFFAOYSA-N 0 3 221.348 2.669 20 0 BFADHN Cc1ccc2ncc(CN3CCC4(CC4)C3)n2c1 ZINC001137304719 986737430 /nfs/dbraw/zinc/73/74/30/986737430.db2.gz HFYCRVBVQWOQIO-UHFFFAOYSA-N 0 3 241.338 2.629 20 0 BFADHN Cc1cc(C)c(CN2CC[C@H]3OCCC[C@H]3C2)[nH]1 ZINC001137321141 986761608 /nfs/dbraw/zinc/76/16/08/986761608.db2.gz CXKLBYVKWHIMDO-DZGCQCFKSA-N 0 3 248.370 2.632 20 0 BFADHN CSCCN(C)Cc1cc2ccccc2[nH]1 ZINC001137320840 986762141 /nfs/dbraw/zinc/76/21/41/986762141.db2.gz NVYDQVQEFFPUGH-UHFFFAOYSA-N 0 3 234.368 2.963 20 0 BFADHN Cc1cc(C)c(CN2CCC3(CCOC3)CC2)[nH]1 ZINC001137323149 986769765 /nfs/dbraw/zinc/76/97/65/986769765.db2.gz ZLKCBZQGDFFPCT-UHFFFAOYSA-N 0 3 248.370 2.634 20 0 BFADHN Cc1cccc2[nH]c(-c3ccnc(CN)c3)cc21 ZINC001245343518 986813201 /nfs/dbraw/zinc/81/32/01/986813201.db2.gz WNOZKSGKFZDFAA-UHFFFAOYSA-N 0 3 237.306 2.997 20 0 BFADHN CCC1CCC(n2cnc3c2CNCC3)CC1 ZINC000383515027 986814529 /nfs/dbraw/zinc/81/45/29/986814529.db2.gz HGUZTVHFSDLKDZ-UHFFFAOYSA-N 0 3 233.359 2.670 20 0 BFADHN NCc1ccc(-c2ccc3cc(N)ccc3c2)cn1 ZINC001245364841 986822675 /nfs/dbraw/zinc/82/26/75/986822675.db2.gz JJCSURKFHVITDU-UHFFFAOYSA-N 0 3 249.317 2.943 20 0 BFADHN C[C@H]1C[C@@H](CF)N(Cc2c[nH]c3ncccc23)C1 ZINC001137397237 986877519 /nfs/dbraw/zinc/87/75/19/986877519.db2.gz DZRXDWMTKWMTBY-JQWIXIFHSA-N 0 3 247.317 2.743 20 0 BFADHN CC1(C)CCC(NCC(=O)c2cccnc2)CC1 ZINC001255299585 986899197 /nfs/dbraw/zinc/89/91/97/986899197.db2.gz SGITYBPDNAKAFK-UHFFFAOYSA-N 0 3 246.354 2.823 20 0 BFADHN CNCc1cc(-c2cccc3ccoc32)ncn1 ZINC001245615960 987001991 /nfs/dbraw/zinc/00/19/91/987001991.db2.gz OELMZWMWWFXYMO-UHFFFAOYSA-N 0 3 239.278 2.609 20 0 BFADHN c1cn2cc(CNC3CC4(CCC4)C3)nc2s1 ZINC000697733845 987365670 /nfs/dbraw/zinc/36/56/70/987365670.db2.gz IHIHZAKUFMLIGP-UHFFFAOYSA-N 0 3 247.367 2.818 20 0 BFADHN Cc1ccc(C)c([C@@H](C)NCC2=NOCC2)c1 ZINC001473349659 987403131 /nfs/dbraw/zinc/40/31/31/987403131.db2.gz JBYMTSLDWSNDBL-GFCCVEGCSA-N 0 3 232.327 2.730 20 0 BFADHN Cc1cc(CN2CC[C@@H](c3ccccc3)C2)[nH]n1 ZINC001116439445 987569598 /nfs/dbraw/zinc/56/95/98/987569598.db2.gz AHXPNFTYMBHTSY-CQSZACIVSA-N 0 3 241.338 2.708 20 0 BFADHN c1cncc(CN2CCCC[C@H]2[C@@H]2CCCO2)c1 ZINC001473883821 987604400 /nfs/dbraw/zinc/60/44/00/987604400.db2.gz VKHVBRGOLRBLAE-GJZGRUSLSA-N 0 3 246.354 2.615 20 0 BFADHN FC(F)(F)CCN1CCCC[C@@]12CCOC2 ZINC001473895849 987606550 /nfs/dbraw/zinc/60/65/50/987606550.db2.gz XUCQWCUPBWGUAB-JTQLQIEISA-N 0 3 237.265 2.584 20 0 BFADHN CC(C)(C)OCCN1CCc2cc(O)ccc2C1 ZINC001473901318 987613799 /nfs/dbraw/zinc/61/37/99/987613799.db2.gz JCKBWNHVFGFHEZ-UHFFFAOYSA-N 0 3 249.354 2.565 20 0 BFADHN C[C@H](CCc1ccccc1)CNCc1cc[nH]n1 ZINC001473922118 987618722 /nfs/dbraw/zinc/61/87/22/987618722.db2.gz YMQNVAXGZONXSL-CYBMUJFWSA-N 0 3 243.354 2.768 20 0 BFADHN CC(C)CC1(CNCc2ccn(C)n2)CCC1 ZINC001474069906 987635801 /nfs/dbraw/zinc/63/58/01/987635801.db2.gz UOYDDMJMLRXDHC-UHFFFAOYSA-N 0 3 235.375 2.726 20 0 BFADHN c1cc(CN[C@@H]2C=CCCC2)c2c(c1)OCCO2 ZINC001474053194 987638008 /nfs/dbraw/zinc/63/80/08/987638008.db2.gz MSABBEJXMWIVDR-CYBMUJFWSA-N 0 3 245.322 2.656 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccc[nH]2)cc(C)n1 ZINC001474099690 987644863 /nfs/dbraw/zinc/64/48/63/987644863.db2.gz OFGKATRIDQGIJB-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN CCCC1CCN(Cc2cncn2CC)CC1 ZINC001118028694 987988041 /nfs/dbraw/zinc/98/80/41/987988041.db2.gz FVZIBRWKTOFJJE-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN c1cc(CN2CC[C@@H]3CCCC[C@H]3C2)on1 ZINC001322738955 988373248 /nfs/dbraw/zinc/37/32/48/988373248.db2.gz OPFILTMOKDIBQL-RYUDHWBXSA-N 0 3 220.316 2.687 20 0 BFADHN CCC[C@H](C)CC[C@H](C)N1CC[C@H]1NC ZINC001246896515 988668941 /nfs/dbraw/zinc/66/89/41/988668941.db2.gz GMXQDSYUYZVMBV-AVGNSLFASA-N 0 3 212.381 2.843 20 0 BFADHN CN[C@H]1CCN1[C@@H](C)Cc1ccccc1Cl ZINC001246896560 988671419 /nfs/dbraw/zinc/67/14/19/988671419.db2.gz HGTJDRWHCZCWPX-GXFFZTMASA-N 0 3 238.762 2.522 20 0 BFADHN CCC[C@@H](Cc1ccccc1)N1CC[C@@H]1NC ZINC001246901617 988675206 /nfs/dbraw/zinc/67/52/06/988675206.db2.gz YOHSVVCASPLCLN-LSDHHAIUSA-N 0 3 232.371 2.649 20 0 BFADHN CN[C@@H]1CCN1[C@H](C)C[C@H](C)CC(C)C ZINC001246900372 988677356 /nfs/dbraw/zinc/67/73/56/988677356.db2.gz RIUWGWRFSDRWGG-UPJWGTAASA-N 0 3 212.381 2.698 20 0 BFADHN Cc1c[nH]nc1CN1CC[C@@H]2CCCC[C@@H]2C1 ZINC001324199872 988749645 /nfs/dbraw/zinc/74/96/45/988749645.db2.gz XTDUWKJQFARKID-QWHCGFSZSA-N 0 3 233.359 2.730 20 0 BFADHN CCCn1nc(C)c(CNC/C=C\C2CC2)c1C ZINC001324271881 988775605 /nfs/dbraw/zinc/77/56/05/988775605.db2.gz FLFWVXDEZRWJBR-WAYWQWQTSA-N 0 3 247.386 2.966 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@@H]2C(C)C)n[nH]1 ZINC001324275873 988777446 /nfs/dbraw/zinc/77/74/46/988777446.db2.gz JITSLKFFLFEXJV-LBPRGKRZSA-N 0 3 221.348 2.585 20 0 BFADHN CC(C)OC(=O)CN(C(C)C)C1CCCCC1 ZINC001324279989 988779647 /nfs/dbraw/zinc/77/96/47/988779647.db2.gz FWMQSMSVKWBBDP-UHFFFAOYSA-N 0 3 241.375 2.981 20 0 BFADHN Cc1cc(CN2C[C@H](C(C)C)[C@@H]2C(C)C)[nH]n1 ZINC001324379028 988822862 /nfs/dbraw/zinc/82/28/62/988822862.db2.gz RNQYTGPYQSJDGK-KGLIPLIRSA-N 0 3 235.375 2.831 20 0 BFADHN Cc1cc(CN2C[C@@H](C(C)C)[C@@H]2C(C)C)[nH]n1 ZINC001324379027 988823690 /nfs/dbraw/zinc/82/36/90/988823690.db2.gz RNQYTGPYQSJDGK-KBPBESRZSA-N 0 3 235.375 2.831 20 0 BFADHN C1CN([C@H]2CCCC3(CCCCC3)C2)CN1 ZINC001246992295 988825903 /nfs/dbraw/zinc/82/59/03/988825903.db2.gz KXSGYNRYNKFTMU-ZDUSSCGKSA-N 0 3 222.376 2.742 20 0 BFADHN CC(C)C[C@@H](Cc1ccccc1)N1CCNC1 ZINC001246998695 988831828 /nfs/dbraw/zinc/83/18/28/988831828.db2.gz ZACWTFMQQDSWMW-HNNXBMFYSA-N 0 3 232.371 2.507 20 0 BFADHN C[C@@H](N)c1nc2c(cccc2C(F)(F)F)[nH]1 ZINC001247011312 988839779 /nfs/dbraw/zinc/83/97/79/988839779.db2.gz PRQKGJKWQIBFCU-RXMQYKEDSA-N 0 3 229.205 2.601 20 0 BFADHN NCc1nc2ccc(-c3cccs3)cc2[nH]1 ZINC001247020669 988845838 /nfs/dbraw/zinc/84/58/38/988845838.db2.gz ODELIVVCFJIILQ-UHFFFAOYSA-N 0 3 229.308 2.750 20 0 BFADHN NCc1nc2cc(-c3cccs3)ccc2[nH]1 ZINC001247020669 988845839 /nfs/dbraw/zinc/84/58/39/988845839.db2.gz ODELIVVCFJIILQ-UHFFFAOYSA-N 0 3 229.308 2.750 20 0 BFADHN CCCCn1c2cc(F)ccc2nc1[C@H](C)N ZINC001247040761 988847233 /nfs/dbraw/zinc/84/72/33/988847233.db2.gz FLSCRKYWXCHLQU-VIFPVBQESA-N 0 3 235.306 2.995 20 0 BFADHN C[C@H](N)c1nc2cc(F)ccc2n1C(C)(C)C ZINC001247035899 988847570 /nfs/dbraw/zinc/84/75/70/988847570.db2.gz DXRQDWSMNHEPGZ-QMMMGPOBSA-N 0 3 235.306 2.950 20 0 BFADHN Cc1ccc2c(c1)nc([C@H](C)N)n2CC1CC1 ZINC001247045248 988848080 /nfs/dbraw/zinc/84/80/80/988848080.db2.gz BFUOPWFPJUXKTK-JTQLQIEISA-N 0 3 229.327 2.774 20 0 BFADHN CCOc1ccc2nc([C@@H](C)N)n(C3CC3)c2c1 ZINC001247042933 988848222 /nfs/dbraw/zinc/84/82/22/988848222.db2.gz QJHNLJUYLWXZJJ-SECBINFHSA-N 0 3 245.326 2.790 20 0 BFADHN C[C@@H](N)c1nc2ccc(F)cc2n1C(C)(C)C ZINC001247040965 988848642 /nfs/dbraw/zinc/84/86/42/988848642.db2.gz PQYMNJGNSJPRFH-MRVPVSSYSA-N 0 3 235.306 2.950 20 0 BFADHN Cc1ncc([C@@H](C)NC/C=C\C2CC2)c(C)n1 ZINC001331674978 988908150 /nfs/dbraw/zinc/90/81/50/988908150.db2.gz YIHULRXRHAOEAD-UMCURTJPSA-N 0 3 231.343 2.710 20 0 BFADHN CCN1CC[C@@H]1CNc1nc2cccc(C)c2o1 ZINC001119345019 988984839 /nfs/dbraw/zinc/98/48/39/988984839.db2.gz ZSGKZQKGQRYTLG-LLVKDONJSA-N 0 3 245.326 2.642 20 0 BFADHN Cc1cc(CNC(C)(C)c2ccc(F)cc2)n[nH]1 ZINC001119436957 989068359 /nfs/dbraw/zinc/06/83/59/989068359.db2.gz KYWRDRYGIIMSNP-UHFFFAOYSA-N 0 3 247.317 2.882 20 0 BFADHN CO[C@H]1CN(Cc2cccn2C2CC2)CC[C@H]1C ZINC001325118252 989091086 /nfs/dbraw/zinc/09/10/86/989091086.db2.gz UVNTXIOXMJBPAC-DOMZBBRYSA-N 0 3 248.370 2.680 20 0 BFADHN OC[C@@H]1CCCN1Cc1c2ccoc2ccc1F ZINC001248528437 989113859 /nfs/dbraw/zinc/11/38/59/989113859.db2.gz NWPQLGBVWUCVDN-JTQLQIEISA-N 0 3 249.285 2.529 20 0 BFADHN C[C@H]1CCN1Cc1ccc(F)c2cc[nH]c21 ZINC001248815472 989171534 /nfs/dbraw/zinc/17/15/34/989171534.db2.gz ZGOSLTONIILRBG-VIFPVBQESA-N 0 3 218.275 2.901 20 0 BFADHN Fc1ccc(CN2CCC2)c2[nH]ccc21 ZINC001248814555 989173901 /nfs/dbraw/zinc/17/39/01/989173901.db2.gz SCQBGLFZKAODQX-UHFFFAOYSA-N 0 3 204.248 2.513 20 0 BFADHN Cc1ccc2[nH]c(CN(C)CC(C)C)nc2c1 ZINC001248839773 989192676 /nfs/dbraw/zinc/19/26/76/989192676.db2.gz XFECXCBUCOKGJN-UHFFFAOYSA-N 0 3 231.343 2.959 20 0 BFADHN Cc1ccc2nc(CN(C)CC(C)C)[nH]c2c1 ZINC001248839773 989192683 /nfs/dbraw/zinc/19/26/83/989192683.db2.gz XFECXCBUCOKGJN-UHFFFAOYSA-N 0 3 231.343 2.959 20 0 BFADHN C[C@H](N[C@H]1CC[C@H]1C)c1cn2c(n1)CCCC2 ZINC001119635936 989272008 /nfs/dbraw/zinc/27/20/08/989272008.db2.gz GMIXKNYWVDJFCL-WOPDTQHZSA-N 0 3 233.359 2.669 20 0 BFADHN CC(C)(C)c1c[nH]c(CN2CCC3(COC3)C2)c1 ZINC001249477020 989289032 /nfs/dbraw/zinc/28/90/32/989289032.db2.gz OOHXDWZPKFMVBY-UHFFFAOYSA-N 0 3 248.370 2.535 20 0 BFADHN C[C@H]1CCN1Cc1cc(F)cc2cc[nH]c21 ZINC001249522239 989295871 /nfs/dbraw/zinc/29/58/71/989295871.db2.gz SWOPSAJTZYKVFG-VIFPVBQESA-N 0 3 218.275 2.901 20 0 BFADHN C[C@@H]1Cc2cc(CNC(C)(C)CF)ccc2O1 ZINC001119677830 989325633 /nfs/dbraw/zinc/32/56/33/989325633.db2.gz MRDKGXTYJHMWJR-SNVBAGLBSA-N 0 3 237.318 2.848 20 0 BFADHN CCCC1CCN(Cc2cnoc2C)CC1 ZINC001326014934 989329551 /nfs/dbraw/zinc/32/95/51/989329551.db2.gz IUTIPUKIJMEAGQ-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN C[C@H]([NH2+][C@H]1CC[C@@H]1C)c1cc([O-])cc(F)c1 ZINC001119705774 989351734 /nfs/dbraw/zinc/35/17/34/989351734.db2.gz YUHRBMPWOLZDII-RVBZMBCESA-N 0 3 223.291 2.980 20 0 BFADHN C[C@@H]1COCCN([C@H]2CCc3c2cccc3F)C1 ZINC001119780049 989397472 /nfs/dbraw/zinc/39/74/72/989397472.db2.gz DEPHZKIPMPAIFN-NHYWBVRUSA-N 0 3 249.329 2.781 20 0 BFADHN Cc1ccc(CN2C3CCC2(CO)CC3)cc1F ZINC001119922684 989482847 /nfs/dbraw/zinc/48/28/47/989482847.db2.gz VHQNQTNSKANREH-UHFFFAOYSA-N 0 3 249.329 2.623 20 0 BFADHN COC(C)(C)CN(C)Cc1ccc(C(C)=O)cc1 ZINC001326493479 989584066 /nfs/dbraw/zinc/58/40/66/989584066.db2.gz ZTHDHPKBEACSOE-UHFFFAOYSA-N 0 3 249.354 2.746 20 0 BFADHN C[C@@H](CC(=O)Nc1cccc2c1CNC2)C1CC1 ZINC001522511220 989861128 /nfs/dbraw/zinc/86/11/28/989861128.db2.gz MJCBXDLSDPCUPY-JTQLQIEISA-N 0 3 244.338 2.665 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)Nc1cncc(C(C)C)c1 ZINC001523464685 989916661 /nfs/dbraw/zinc/91/66/61/989916661.db2.gz WSEAIONDKCYWAZ-MFKMUULPSA-N 0 3 249.358 2.517 20 0 BFADHN C=C/C=C\CC(=O)Nc1cccc(CN(C)C)c1 ZINC001540628050 990630947 /nfs/dbraw/zinc/63/09/47/990630947.db2.gz YTSFVRDGBOWMEH-WAYWQWQTSA-N 0 3 244.338 2.819 20 0 BFADHN Fc1ccc(CN2CC3CCC(CC3)C2)cn1 ZINC001137743031 990846067 /nfs/dbraw/zinc/84/60/67/990846067.db2.gz WEUZOUQCRJSFFE-UHFFFAOYSA-N 0 3 234.318 2.843 20 0 BFADHN CC(=O)Oc1cccc(CN2CCC[C@H]3C[C@H]32)c1 ZINC001138044976 990877759 /nfs/dbraw/zinc/87/77/59/990877759.db2.gz CDAJVOGWJKHBAN-DZGCQCFKSA-N 0 3 245.322 2.596 20 0 BFADHN C1=CCN(Cc2ccc3ncccc3c2)C1 ZINC001138103901 990882430 /nfs/dbraw/zinc/88/24/30/990882430.db2.gz JOWQVVNAXUJVKN-UHFFFAOYSA-N 0 3 210.280 2.607 20 0 BFADHN C[C@@H]1CCCN(Cc2cc(O)cc(O)c2)[C@@H]1C ZINC001138328337 990918198 /nfs/dbraw/zinc/91/81/98/990918198.db2.gz GJNCPTWOJXZTPZ-GHMZBOCLSA-N 0 3 235.327 2.718 20 0 BFADHN CCN(CCOC)Cc1cc2cc(C)ccc2[nH]1 ZINC001138419191 990943435 /nfs/dbraw/zinc/94/34/35/990943435.db2.gz MGAADBYBCXLKMZ-UHFFFAOYSA-N 0 3 246.354 2.945 20 0 BFADHN CCN(CC)Cc1cc(F)c(OC)c(F)c1 ZINC001138420414 990943523 /nfs/dbraw/zinc/94/35/23/990943523.db2.gz HVHUTKIXSJLZQU-UHFFFAOYSA-N 0 3 229.270 2.815 20 0 BFADHN COc1c(C)cc(CN2CCC2)cc1C ZINC001138425060 990945593 /nfs/dbraw/zinc/94/55/93/990945593.db2.gz AFLQDAQROSBBJE-UHFFFAOYSA-N 0 3 205.301 2.518 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)N1CCOCC1 ZINC001258062607 990954051 /nfs/dbraw/zinc/95/40/51/990954051.db2.gz KUPBVRJKBBEATB-OLZOCXBDSA-N 0 3 213.365 2.779 20 0 BFADHN CCSCC[C@@H](C)NCc1ccn(CC)n1 ZINC001308285312 990958926 /nfs/dbraw/zinc/95/89/26/990958926.db2.gz JJRROOUGGCKEDN-LLVKDONJSA-N 0 3 241.404 2.524 20 0 BFADHN COC(=O)C1CN([C@@H](C)C[C@H](C)CC(C)C)C1 ZINC001258067829 990960903 /nfs/dbraw/zinc/96/09/03/990960903.db2.gz ZZAKEQNBFIVWGE-NEPJUHHUSA-N 0 3 241.375 2.552 20 0 BFADHN COc1ccc(C)cc1CN1CCC[C@@H]2C[C@@H]21 ZINC001138487507 990962038 /nfs/dbraw/zinc/96/20/38/990962038.db2.gz XEUITHKPFNEHHQ-OCCSQVGLSA-N 0 3 231.339 2.988 20 0 BFADHN COc1ccc(C)cc1CN1CC2CC(C2)C1 ZINC001138487344 990962079 /nfs/dbraw/zinc/96/20/79/990962079.db2.gz OFXRQYKLVZVBLH-UHFFFAOYSA-N 0 3 231.339 2.845 20 0 BFADHN CC(C)C[C@@H](C)C[C@H](C)N1C[C@@H]2C[C@H]1CO2 ZINC001258069787 990968058 /nfs/dbraw/zinc/96/80/58/990968058.db2.gz KWVOCNCVTLLOQU-RFGFWPKPSA-N 0 3 225.376 2.920 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN1CCC[C@@H]2C[C@@H]21 ZINC001138580309 990974966 /nfs/dbraw/zinc/97/49/66/990974966.db2.gz AWFHHGPIDMSDCM-PWSUYJOCSA-N 0 3 233.359 2.692 20 0 BFADHN CC(C)=CCC[C@H](C)n1nc(CN)cc1C ZINC001258079517 990979070 /nfs/dbraw/zinc/97/90/70/990979070.db2.gz QGTDFPOZRZLRMT-NSHDSACASA-N 0 3 221.348 2.958 20 0 BFADHN c1ccc(N2CCCCC2)c(CN2CCC2)c1 ZINC001138603880 990981255 /nfs/dbraw/zinc/98/12/55/990981255.db2.gz RSOCHZMGDJXDBK-UHFFFAOYSA-N 0 3 230.355 2.883 20 0 BFADHN CCCn1ncc(CN(C)CCC2CC2)c1C ZINC001138640080 990989914 /nfs/dbraw/zinc/98/99/14/990989914.db2.gz GFMCLZNXXILZKK-UHFFFAOYSA-N 0 3 235.375 2.833 20 0 BFADHN CCn1ccc(CN[C@H](C)[C@@]23C[C@@H]2CCCC3)n1 ZINC001308488427 991011223 /nfs/dbraw/zinc/01/12/23/991011223.db2.gz AWFZPHJZZQNWLH-VNHYZAJKSA-N 0 3 247.386 2.961 20 0 BFADHN C[C@@H](N)c1nccn1C1C[C@H](C)C[C@@H](C)C1 ZINC001258150808 991025734 /nfs/dbraw/zinc/02/57/34/991025734.db2.gz ANIYTYFBDDTUBR-GMTAPVOTSA-N 0 3 221.348 2.900 20 0 BFADHN Cc1ccoc1CNC[C@@H]1OCc2ccccc21 ZINC001308551295 991033362 /nfs/dbraw/zinc/03/33/62/991033362.db2.gz ALAAUOKPAQQQRY-HNNXBMFYSA-N 0 3 243.306 2.949 20 0 BFADHN CN(Cc1cccnc1F)C1CCCCC1 ZINC001138785426 991043780 /nfs/dbraw/zinc/04/37/80/991043780.db2.gz VUBPNEIETIVGIE-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN Fc1ncccc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC001138783561 991046475 /nfs/dbraw/zinc/04/64/75/991046475.db2.gz UCGDVOYMDFDBPX-VXGBXAGGSA-N 0 3 234.318 2.843 20 0 BFADHN Fc1ncccc1CN1CCC[C@@H]2CCC[C@H]21 ZINC001138787224 991052579 /nfs/dbraw/zinc/05/25/79/991052579.db2.gz DUXCOPUUOBOCRL-WCQYABFASA-N 0 3 234.318 2.985 20 0 BFADHN C[C@H]1C[C@H](N2Cc3cnn(C)c3C2)C[C@@H](C)C1 ZINC001258169133 991062468 /nfs/dbraw/zinc/06/24/68/991062468.db2.gz URCXAUHHGVCVPX-PJXYFTJBSA-N 0 3 233.359 2.560 20 0 BFADHN CC1CC(N(C)Cc2ccnc(Cl)c2)C1 ZINC001138816580 991067485 /nfs/dbraw/zinc/06/74/85/991067485.db2.gz ZCDQETYIOMTGHL-UHFFFAOYSA-N 0 3 224.735 2.965 20 0 BFADHN C[C@H](Cc1ccco1)Nc1cccc(F)c1CN ZINC001258173196 991068829 /nfs/dbraw/zinc/06/88/29/991068829.db2.gz VXPXAYVHEJFBRN-SNVBAGLBSA-N 0 3 248.301 2.921 20 0 BFADHN CCCOC1CN(C2C[C@@H](C)C[C@H](C)C2)C1 ZINC001258173683 991072767 /nfs/dbraw/zinc/07/27/67/991072767.db2.gz HIZRZCNGIHQGRW-RYUDHWBXSA-N 0 3 225.376 2.922 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C)[C@H](C)C2)nn1C ZINC001138826510 991074312 /nfs/dbraw/zinc/07/43/12/991074312.db2.gz BKCZIYBOJQPISI-VXGBXAGGSA-N 0 3 235.375 2.597 20 0 BFADHN CCCCC(=O)[C@H](C)N[C@H](C)Cc1ccco1 ZINC001258177418 991079828 /nfs/dbraw/zinc/07/98/28/991079828.db2.gz SYRUAIUVUTURBA-NEPJUHHUSA-N 0 3 237.343 2.948 20 0 BFADHN Cc1cc(CN2CC[C@H]3CCCC[C@H]3C2)nn1C ZINC001138829621 991082639 /nfs/dbraw/zinc/08/26/39/991082639.db2.gz ZNGFDIOJQFHMAT-KGLIPLIRSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@H](Cc1ccco1)N(C)C1CC(F)(F)C1 ZINC001258185014 991090761 /nfs/dbraw/zinc/09/07/61/991090761.db2.gz CZJUQRKSVMEDCX-SECBINFHSA-N 0 3 229.270 2.940 20 0 BFADHN CCC(=O)Oc1ccc(CN2CC[C@H]2C)cc1 ZINC001138961969 991114740 /nfs/dbraw/zinc/11/47/40/991114740.db2.gz KFGKQZPOSKFYLL-LLVKDONJSA-N 0 3 233.311 2.596 20 0 BFADHN CSCC[C@@H](C)n1nc(CN)c2ccccc21 ZINC001258202765 991118461 /nfs/dbraw/zinc/11/84/61/991118461.db2.gz ULQLJRWNBSZDBZ-SNVBAGLBSA-N 0 3 249.383 2.809 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CNCc1ncccc1F ZINC001308765931 991124584 /nfs/dbraw/zinc/12/45/84/991124584.db2.gz JKMDHUNRUDVYCJ-QWRGUYRKSA-N 0 3 222.307 2.602 20 0 BFADHN CC[C@H]1CCC[C@H](CN2CCOC[C@@H]2C)C1 ZINC001308774139 991127742 /nfs/dbraw/zinc/12/77/42/991127742.db2.gz GBHCRPQATMICOC-IHRRRGAJSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@@H]1C[C@@H](O)CCN1Cc1cc2ccccc2o1 ZINC001139065135 991135696 /nfs/dbraw/zinc/13/56/96/991135696.db2.gz QYZLIBPNWPYSFB-YPMHNXCESA-N 0 3 245.322 2.778 20 0 BFADHN FC(F)n1cccc1CN1CC[C@@H]2C[C@@H]2C1 ZINC001308873279 991192131 /nfs/dbraw/zinc/19/21/31/991192131.db2.gz KXYNTFUIKYWKEU-NXEZZACHSA-N 0 3 226.270 2.725 20 0 BFADHN Cc1cc(CN)nn1[C@H]1CCCC(C)(C)C1 ZINC001258274198 991201711 /nfs/dbraw/zinc/20/17/11/991201711.db2.gz OUGXKSCEBDJTKX-LBPRGKRZSA-N 0 3 221.348 2.792 20 0 BFADHN O=c1[nH]cc(CN2CC=CCC2)c2ccccc21 ZINC001559679201 991213499 /nfs/dbraw/zinc/21/34/99/991213499.db2.gz FNSBUSQTQNYSNC-UHFFFAOYSA-N 0 3 240.306 2.702 20 0 BFADHN CC1(C)CCC[C@H](N2CCc3ncncc3C2)C1 ZINC001258289217 991227382 /nfs/dbraw/zinc/22/73/82/991227382.db2.gz MBOQFSYEKCZWAE-ZDUSSCGKSA-N 0 3 245.370 2.804 20 0 BFADHN CSC(C)(C)C[C@H](C)NCc1cc(C)no1 ZINC001258314057 991241234 /nfs/dbraw/zinc/24/12/34/991241234.db2.gz ANOOHBVBRDLTIZ-JTQLQIEISA-N 0 3 242.388 2.993 20 0 BFADHN CSC(C)(C)C[C@@H](C)n1nc(CN)cc1C ZINC001258315859 991241272 /nfs/dbraw/zinc/24/12/72/991241272.db2.gz POZBPCFUNPVQKM-SNVBAGLBSA-N 0 3 241.404 2.743 20 0 BFADHN CSC(C)(C)C[C@@H](C)NCc1ccno1 ZINC001258318320 991242527 /nfs/dbraw/zinc/24/25/27/991242527.db2.gz DJUKOYAYDZANDV-SECBINFHSA-N 0 3 228.361 2.684 20 0 BFADHN CSC(C)(C)C[C@@H](C)n1ccnc1[C@@H](C)N ZINC001258317704 991244245 /nfs/dbraw/zinc/24/42/45/991244245.db2.gz ASLOJUPWVWKHDR-NXEZZACHSA-N 0 3 241.404 2.996 20 0 BFADHN C[C@@]1(F)CCCCN(Cc2ccc(=O)[nH]c2)C1 ZINC001139463536 991245306 /nfs/dbraw/zinc/24/53/06/991245306.db2.gz AMQTTZPQMDNENM-CYBMUJFWSA-N 0 3 238.306 2.501 20 0 BFADHN c1cn2c(n1)[C@H](NCCCc1ccsc1)CC2 ZINC001308984946 991252527 /nfs/dbraw/zinc/25/25/27/991252527.db2.gz SZWUHNPHKBBTAQ-GFCCVEGCSA-N 0 3 247.367 2.612 20 0 BFADHN CSC(C)(C)C[C@H](C)N1CCC(=O)C[C@H]1C ZINC001258333721 991261546 /nfs/dbraw/zinc/26/15/46/991261546.db2.gz AQDHTDODMDINKE-MNOVXSKESA-N 0 3 243.416 2.960 20 0 BFADHN CCOc1ccncc1CN1C[C@@H]2CCC[C@H]2C1 ZINC001139627295 991303342 /nfs/dbraw/zinc/30/33/42/991303342.db2.gz LQPMYBNLYPJDLL-STQMWFEESA-N 0 3 246.354 2.712 20 0 BFADHN Cc1cc(O)cc(C)c1CN1CC(C)(C)C1 ZINC001139713534 991337581 /nfs/dbraw/zinc/33/75/81/991337581.db2.gz YHXMWGOJDPCLKN-UHFFFAOYSA-N 0 3 219.328 2.851 20 0 BFADHN COc1ccc(CC[C@@H](C)N(C)CCF)cc1 ZINC001258410249 991350901 /nfs/dbraw/zinc/35/09/01/991350901.db2.gz QENPVMWGEUAKIX-GFCCVEGCSA-N 0 3 239.334 2.918 20 0 BFADHN CCCCC[C@@H](CC)n1ccc(CN)n1 ZINC001258427894 991351125 /nfs/dbraw/zinc/35/11/25/991351125.db2.gz JICLWKZJFARRHQ-GFCCVEGCSA-N 0 3 209.337 2.873 20 0 BFADHN OC[C@@H]1CCCN1Cc1ccccc1C(F)F ZINC001139795395 991373115 /nfs/dbraw/zinc/37/31/15/991373115.db2.gz GWXHIYCDNPCGTF-NSHDSACASA-N 0 3 241.281 2.581 20 0 BFADHN CN(Cc1ccccc1C(F)F)[C@@H]1CCOC1 ZINC001139799557 991373623 /nfs/dbraw/zinc/37/36/23/991373623.db2.gz RHPDTMUIVXQYTN-LLVKDONJSA-N 0 3 241.281 2.845 20 0 BFADHN CCCCC[C@H](CC)N1CCN(C(C)=O)CC1 ZINC001258440175 991381270 /nfs/dbraw/zinc/38/12/70/991381270.db2.gz NMEPXOVUQIFFME-AWEZNQCLSA-N 0 3 240.391 2.509 20 0 BFADHN CCCCC[C@@H](CC)N1CCOC[C@H]1COC ZINC001258443343 991382158 /nfs/dbraw/zinc/38/21/58/991382158.db2.gz LNNRWJAZXKGVHC-ZIAGYGMSSA-N 0 3 243.391 2.693 20 0 BFADHN CCCCC[C@@H](CC)N1CCN(C)C(=O)[C@@H]1C ZINC001258445427 991386825 /nfs/dbraw/zinc/38/68/25/991386825.db2.gz HKXDKAYLZYCWMZ-QWHCGFSZSA-N 0 3 240.391 2.508 20 0 BFADHN Fc1cc(CN2CCC[C@@H]3CCC[C@H]32)ccn1 ZINC001139834832 991390423 /nfs/dbraw/zinc/39/04/23/991390423.db2.gz YIBCEBCMBUSJRY-QWHCGFSZSA-N 0 3 234.318 2.985 20 0 BFADHN Cc1cccc2c1[C@@H](N[C@@H](C)CC1CC1)C(=O)N2 ZINC001258447579 991390467 /nfs/dbraw/zinc/39/04/67/991390467.db2.gz UJUWIGVLLXSUGE-IINYFYTJSA-N 0 3 244.338 2.766 20 0 BFADHN CN(Cc1ccnc(F)c1)CC1CC(F)(F)C1 ZINC001139834741 991391641 /nfs/dbraw/zinc/39/16/41/991391641.db2.gz SRUKYPWSSPABFI-UHFFFAOYSA-N 0 3 244.260 2.698 20 0 BFADHN C[C@H](CC1CC1)Nc1cccc(F)c1CN ZINC001258447131 991391671 /nfs/dbraw/zinc/39/16/71/991391671.db2.gz KUJGPCGVHZZPMP-SECBINFHSA-N 0 3 222.307 2.885 20 0 BFADHN COc1ccc(C(=O)CN[C@H](C)CC2CC2)cc1 ZINC001258448222 991395151 /nfs/dbraw/zinc/39/51/51/991395151.db2.gz QXRUZQPUBFATJX-LLVKDONJSA-N 0 3 247.338 2.656 20 0 BFADHN C[C@@H]1Cc2n[nH]cc2CN(CC2=CCCC2)C1 ZINC001560144326 991395260 /nfs/dbraw/zinc/39/52/60/991395260.db2.gz XWQVSADNEFCBQN-LLVKDONJSA-N 0 3 231.343 2.514 20 0 BFADHN C[C@@H]1CN(Cc2ccnc(F)c2)C2(CC2)C1 ZINC001139835957 991396205 /nfs/dbraw/zinc/39/62/05/991396205.db2.gz VWNGPRDDJKZGHQ-JTQLQIEISA-N 0 3 220.291 2.595 20 0 BFADHN Cc1ccc(CN2CC[C@]3(C2)CCCCO3)nc1 ZINC001139839867 991400728 /nfs/dbraw/zinc/40/07/28/991400728.db2.gz XEXHEFCYJARNAH-HNNXBMFYSA-N 0 3 246.354 2.535 20 0 BFADHN Cc1ccc(CN2CC[C@@]3(C2)CCCCO3)nc1 ZINC001139839868 991401130 /nfs/dbraw/zinc/40/11/30/991401130.db2.gz XEXHEFCYJARNAH-OAHLLOKOSA-N 0 3 246.354 2.535 20 0 BFADHN C[C@@H](CC1CC1)NCc1cc2ccccn2n1 ZINC001258456556 991409060 /nfs/dbraw/zinc/40/90/60/991409060.db2.gz NTASZNBOMUTDQH-NSHDSACASA-N 0 3 229.327 2.613 20 0 BFADHN Cn1ncc2ccc(CN3CC4(CCC4)C3)cc21 ZINC001139907190 991416609 /nfs/dbraw/zinc/41/66/09/991416609.db2.gz ZJXRYTWJCULODW-UHFFFAOYSA-N 0 3 241.338 2.559 20 0 BFADHN CCCCN(CC(=O)OCC)[C@H](C)CC1CC1 ZINC001258474641 991447452 /nfs/dbraw/zinc/44/74/52/991447452.db2.gz WCRVNISEJVNHQQ-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN CN(CCCc1ccccc1)Cc1ccnnc1 ZINC001139983567 991461156 /nfs/dbraw/zinc/46/11/56/991461156.db2.gz WOCTUBJHGQLFCS-UHFFFAOYSA-N 0 3 241.338 2.541 20 0 BFADHN CCn1cnc(Cl)c1CNC1(C2CC2)CC1 ZINC001560372059 991464513 /nfs/dbraw/zinc/46/45/13/991464513.db2.gz WERDVDZYKQVMNO-UHFFFAOYSA-N 0 3 239.750 2.589 20 0 BFADHN Clc1ncc(CN2CCC3(CC3)CC2)cn1 ZINC001139987942 991470668 /nfs/dbraw/zinc/47/06/68/991470668.db2.gz JUCIOOBFTCBQRS-UHFFFAOYSA-N 0 3 237.734 2.506 20 0 BFADHN CCCC1CCC(N(C)C2COC2)CC1 ZINC001258532681 991477151 /nfs/dbraw/zinc/47/71/51/991477151.db2.gz IZTWHGRLRBHNGU-UHFFFAOYSA-N 0 3 211.349 2.676 20 0 BFADHN Cc1coc(CN[C@H](CO)CC2CCCC2)c1 ZINC001560453693 991478606 /nfs/dbraw/zinc/47/86/06/991478606.db2.gz VHCQZQVHSRRELG-ZDUSSCGKSA-N 0 3 237.343 2.619 20 0 BFADHN CC1(C)CC[C@H](NC2(c3cccnn3)CC2)C1 ZINC001258595695 991489032 /nfs/dbraw/zinc/48/90/32/991489032.db2.gz IFNNSNSCKGBLGI-NSHDSACASA-N 0 3 231.343 2.634 20 0 BFADHN CCCCCN(C)Cc1ccnc(SC)n1 ZINC001140033013 991490433 /nfs/dbraw/zinc/49/04/33/991490433.db2.gz NIEPVCKYHKSEBN-UHFFFAOYSA-N 0 3 239.388 2.821 20 0 BFADHN CCc1cc(OC)ccc1CN[C@@H]1C[C@]1(C)OC ZINC001560539815 991496281 /nfs/dbraw/zinc/49/62/81/991496281.db2.gz QOCHLUKZVCXHBW-CABCVRRESA-N 0 3 249.354 2.525 20 0 BFADHN CC1(C)CC[C@H](NCc2ccn(CCF)n2)C1 ZINC001258598453 991498152 /nfs/dbraw/zinc/49/81/52/991498152.db2.gz SQIOPSVNPXQWCW-NSHDSACASA-N 0 3 239.338 2.521 20 0 BFADHN CC1(C)CC[C@@H](NCc2ccn(CCF)n2)C1 ZINC001258598451 991499556 /nfs/dbraw/zinc/49/95/56/991499556.db2.gz SQIOPSVNPXQWCW-LLVKDONJSA-N 0 3 239.338 2.521 20 0 BFADHN C[C@@H]1CN(Cc2cc(O)ccc2F)C2(CC2)C1 ZINC001140052006 991502389 /nfs/dbraw/zinc/50/23/89/991502389.db2.gz AMLKNTZGKWMNQI-JTQLQIEISA-N 0 3 235.302 2.906 20 0 BFADHN CC1(C)CC[C@H](N2CC3(C2)CCCCO3)C1 ZINC001258601179 991509241 /nfs/dbraw/zinc/50/92/41/991509241.db2.gz QUHMOIYMBQYKHW-LBPRGKRZSA-N 0 3 223.360 2.820 20 0 BFADHN C[C@@H](O)C(C)(C)CNCc1ccsc1Cl ZINC001560609533 991516764 /nfs/dbraw/zinc/51/67/64/991516764.db2.gz OBWCHATXISFDKZ-MRVPVSSYSA-N 0 3 247.791 2.898 20 0 BFADHN CN(Cc1ccc(O)cc1Cl)CC1CC1 ZINC001140081028 991517289 /nfs/dbraw/zinc/51/72/89/991517289.db2.gz BAGRGIXPHRUYCP-UHFFFAOYSA-N 0 3 225.719 2.887 20 0 BFADHN COC(=O)[C@H](C(C)C)N(C)[C@@H]1CCC(C)(C)C1 ZINC001258606667 991517840 /nfs/dbraw/zinc/51/78/40/991517840.db2.gz ANSUDVOKHRCNHH-NEPJUHHUSA-N 0 3 241.375 2.695 20 0 BFADHN CCN(CC)Cc1cc(C(F)(F)F)ccn1 ZINC001140102705 991519905 /nfs/dbraw/zinc/51/99/05/991519905.db2.gz XBNULAYLQCUWAI-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN Oc1ccc(CN2C[C@H]3CC[C@@H]2C3)c(F)c1 ZINC001140128950 991525604 /nfs/dbraw/zinc/52/56/04/991525604.db2.gz LDOSELYBPYYXNB-GXSJLCMTSA-N 0 3 221.275 2.516 20 0 BFADHN Oc1ccc(CN2CCCC23CC3)c(F)c1 ZINC001140125219 991526301 /nfs/dbraw/zinc/52/63/01/991526301.db2.gz UTKFGMBMWVKVKL-UHFFFAOYSA-N 0 3 221.275 2.660 20 0 BFADHN CN(CCc1ccccc1)Cc1cccc(F)n1 ZINC001140130908 991530965 /nfs/dbraw/zinc/53/09/65/991530965.db2.gz WKNADVAXKXQOBJ-UHFFFAOYSA-N 0 3 244.313 2.895 20 0 BFADHN CN(Cc1cccc(F)n1)CC1CC(F)(F)C1 ZINC001140133998 991531800 /nfs/dbraw/zinc/53/18/00/991531800.db2.gz LXBRYAGMCIZYAR-UHFFFAOYSA-N 0 3 244.260 2.698 20 0 BFADHN C[C@@H]1C[C@@H](C)CCN1Cc1cccc(F)n1 ZINC001140134351 991538888 /nfs/dbraw/zinc/53/88/88/991538888.db2.gz SUPNESJLGDOSAT-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H](Cc1cccnc1)N1CCC(F)(F)CC1 ZINC001258622120 991550263 /nfs/dbraw/zinc/55/02/63/991550263.db2.gz HGPTVNOAQFVEJO-LLVKDONJSA-N 0 3 240.297 2.744 20 0 BFADHN CCN1C[C@@H](C)N(Cc2cc(C)co2)C[C@H]1C ZINC001560676844 991550449 /nfs/dbraw/zinc/55/04/49/991550449.db2.gz MQKPIHMOIVDJDW-CHWSQXEVSA-N 0 3 236.359 2.503 20 0 BFADHN Cc1cc(CN2CC(C)(C)C2)c(C)cc1O ZINC001140198062 991560027 /nfs/dbraw/zinc/56/00/27/991560027.db2.gz ZETPUABGDOBBDG-UHFFFAOYSA-N 0 3 219.328 2.851 20 0 BFADHN CN(CCC(F)(F)F)C[C@@]1(C)CCCCO1 ZINC001560698942 991561156 /nfs/dbraw/zinc/56/11/56/991561156.db2.gz DGZTZFYYMOFJLT-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN CCCN(Cc1cn(CCC)nc1C)C1CC1 ZINC001560707463 991566748 /nfs/dbraw/zinc/56/67/48/991566748.db2.gz LXFMEKKDSHJUOZ-UHFFFAOYSA-N 0 3 235.375 2.976 20 0 BFADHN CC[N@H+](Cc1ccccc1)Cc1ccncc1[O-] ZINC001140273206 991575430 /nfs/dbraw/zinc/57/54/30/991575430.db2.gz BFCLJGXLMOMUIN-UHFFFAOYSA-N 0 3 242.322 2.809 20 0 BFADHN CC[N@@H+](Cc1ccccc1)Cc1ccncc1[O-] ZINC001140273206 991575443 /nfs/dbraw/zinc/57/54/43/991575443.db2.gz BFCLJGXLMOMUIN-UHFFFAOYSA-N 0 3 242.322 2.809 20 0 BFADHN CCC[C@H](Cc1ccccc1)N(C)C1COC1 ZINC001258658439 991592196 /nfs/dbraw/zinc/59/21/96/991592196.db2.gz GOYIBMNEPWHPDJ-CQSZACIVSA-N 0 3 233.355 2.728 20 0 BFADHN CCC[C@H](Cc1ccccc1)N(C)CC(C)=O ZINC001258657019 991592888 /nfs/dbraw/zinc/59/28/88/991592888.db2.gz MBTJDRVMEFFNPC-OAHLLOKOSA-N 0 3 233.355 2.919 20 0 BFADHN CCCCC[C@@H](CCC)n1nncc1CN ZINC001258664413 991602032 /nfs/dbraw/zinc/60/20/32/991602032.db2.gz JTHFACMKDVEWLL-LLVKDONJSA-N 0 3 224.352 2.658 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cn(C2CC2)cn1 ZINC001560815186 991609895 /nfs/dbraw/zinc/60/98/95/991609895.db2.gz SKDKHKYNEJFCBU-WCQYABFASA-N 0 3 233.359 2.981 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cn(C2CC2)cn1 ZINC001560815184 991612139 /nfs/dbraw/zinc/61/21/39/991612139.db2.gz SKDKHKYNEJFCBU-AAEUAGOBSA-N 0 3 233.359 2.981 20 0 BFADHN CCOC1CN(C[C@H]2CCC[C@@H](C)C2)C1 ZINC001560874823 991633231 /nfs/dbraw/zinc/63/32/31/991633231.db2.gz PNCDOYAOOODELA-NEPJUHHUSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@H]1C[C@H](N2CC3(CCO3)C2)CC(C)(C)C1 ZINC001258700961 991634153 /nfs/dbraw/zinc/63/41/53/991634153.db2.gz PVHDSPNVXGNTHP-RYUDHWBXSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@H]1C[C@H](N2Cc3cnn(C)c3C2)CC(C)(C)C1 ZINC001258700443 991636165 /nfs/dbraw/zinc/63/61/65/991636165.db2.gz MQJYSZVSKSNWOY-AAEUAGOBSA-N 0 3 247.386 2.951 20 0 BFADHN Cc1ccc(Cl)nc1CN1CC[C@@H](C)C1 ZINC001140443000 991640829 /nfs/dbraw/zinc/64/08/29/991640829.db2.gz WQBLYFUFKQWAEI-SECBINFHSA-N 0 3 224.735 2.885 20 0 BFADHN CCCC[C@@H](Cc1ccccc1)N1CC(C)(O)C1 ZINC001258710123 991642731 /nfs/dbraw/zinc/64/27/31/991642731.db2.gz RQOQDBINMITUGI-HNNXBMFYSA-N 0 3 247.382 2.855 20 0 BFADHN CC(C)n1cncc1CN1C[C@H]2CCCC[C@H]21 ZINC001560919213 991651268 /nfs/dbraw/zinc/65/12/68/991651268.db2.gz WZJTWNPTNNNOMT-TZMCWYRMSA-N 0 3 233.359 2.838 20 0 BFADHN Fc1cccnc1CN1C[C@@H]2CC=CC[C@@H]2C1 ZINC001560970857 991673178 /nfs/dbraw/zinc/67/31/78/991673178.db2.gz LMGIZJVZXWMNPE-TXEJJXNPSA-N 0 3 232.302 2.619 20 0 BFADHN CCC(=O)CN[C@@H](C)Cc1cccc(Cl)c1 ZINC001258742659 991690414 /nfs/dbraw/zinc/69/04/14/991690414.db2.gz TYEPNOPOUDEDOS-JTQLQIEISA-N 0 3 239.746 2.840 20 0 BFADHN COc1ccc(CN(C)CC2CCC2)o1 ZINC001561033993 991695037 /nfs/dbraw/zinc/69/50/37/991695037.db2.gz CAVBHACTMNWGNN-UHFFFAOYSA-N 0 3 209.289 2.520 20 0 BFADHN CCCC[C@H](CCC)N1CCNC(=O)C1(C)C ZINC001258763536 991720656 /nfs/dbraw/zinc/72/06/56/991720656.db2.gz RNAFMRDGJHYEGD-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CC[C@@H](C)C[C@H](CC)n1cncc1CN ZINC001258778283 991746556 /nfs/dbraw/zinc/74/65/56/991746556.db2.gz CCDUZEPZVOIKCS-MNOVXSKESA-N 0 3 209.337 2.729 20 0 BFADHN CC[C@@H](C)C[C@H](CC)N1CC(N2CC(F)C2)C1 ZINC001258788302 991769301 /nfs/dbraw/zinc/76/93/01/991769301.db2.gz MFERELUHLUICBI-YPMHNXCESA-N 0 3 242.382 2.539 20 0 BFADHN CC(=O)CN(C)C1CCC(C(C)C)CC1 ZINC001258803418 991804125 /nfs/dbraw/zinc/80/41/25/991804125.db2.gz ZJEBXMPZZOCMAV-UHFFFAOYSA-N 0 3 211.349 2.722 20 0 BFADHN C[C@@H](N)c1nccn1[C@@H]1CCC[C@@H](C)C1 ZINC001258807146 991808510 /nfs/dbraw/zinc/80/85/10/991808510.db2.gz FGXXWXJIVJWPHA-GMTAPVOTSA-N 0 3 207.321 2.654 20 0 BFADHN C[C@@H](N)c1ccn([C@@H]2CCC[C@@H](C)C2)n1 ZINC001258810948 991819556 /nfs/dbraw/zinc/81/95/56/991819556.db2.gz SPWGNQJWPJCLFY-GMTAPVOTSA-N 0 3 207.321 2.654 20 0 BFADHN C[C@@H]1CCC[C@@H](Nc2ccc(CN)nc2)C1 ZINC001258813864 991826741 /nfs/dbraw/zinc/82/67/41/991826741.db2.gz IDCYICOWMYBROB-GHMZBOCLSA-N 0 3 219.332 2.531 20 0 BFADHN CCC[C@@H]1[C@@H](C)CCCN1CC(=O)OC(C)C ZINC001319380815 991854067 /nfs/dbraw/zinc/85/40/67/991854067.db2.gz MJRFMCFBJIMJGK-QWHCGFSZSA-N 0 3 241.375 2.839 20 0 BFADHN CC[C@H](Cc1ccccc1)N1C[C@H]2CC[C@@H](C1)O2 ZINC001258844557 991876431 /nfs/dbraw/zinc/87/64/31/991876431.db2.gz OULCVGHAKFVGCX-OAGGEKHMSA-N 0 3 245.366 2.871 20 0 BFADHN CC[C@@H](Cc1ccccc1)N(C)C1(C)COC1 ZINC001258851632 991884171 /nfs/dbraw/zinc/88/41/71/991884171.db2.gz PZZQVGKSRAKYBS-AWEZNQCLSA-N 0 3 233.355 2.728 20 0 BFADHN C[C@H](CN(C)CCc1ccncc1)C(F)(F)F ZINC000354876587 991897741 /nfs/dbraw/zinc/89/77/41/991897741.db2.gz HHTRRXGRVWYKAG-SNVBAGLBSA-N 0 3 246.276 2.754 20 0 BFADHN CCn1nccc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001319683693 991935661 /nfs/dbraw/zinc/93/56/61/991935661.db2.gz MSAMGUTZKYEXGY-KGLIPLIRSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1ccc(CN2CC[C@H]3CCCC[C@H]3C2)nn1 ZINC001261367154 992276928 /nfs/dbraw/zinc/27/69/28/992276928.db2.gz UTUSFRGFSVUVRA-KGLIPLIRSA-N 0 3 245.370 2.797 20 0 BFADHN Fc1ccc([C@H]2CCN2CC[C@H]2CCOC2)cc1 ZINC001261640306 992435019 /nfs/dbraw/zinc/43/50/19/992435019.db2.gz CAFGSKKRYPYWMH-SWLSCSKDSA-N 0 3 249.329 2.999 20 0 BFADHN COC[C@H]1CCCN1Cc1ccc(C(C)=O)cc1 ZINC001261786539 992508313 /nfs/dbraw/zinc/50/83/13/992508313.db2.gz JRVMBTRGWNVQEC-OAHLLOKOSA-N 0 3 247.338 2.500 20 0 BFADHN CC[C@@H](C)N(C(=O)[C@@H](N)C(C)(C)C)[C@@H](C)CC ZINC001573679774 992670750 /nfs/dbraw/zinc/67/07/50/992670750.db2.gz XBGFIRYMACKLKW-GRYCIOLGSA-N 0 3 242.407 2.785 20 0 BFADHN c1cnc2c(c1)CC[C@@H]2N[C@H]1C=CCCC1 ZINC001262107811 992674917 /nfs/dbraw/zinc/67/49/17/992674917.db2.gz ZSAULUXESPHTCL-STQMWFEESA-N 0 3 214.312 2.767 20 0 BFADHN CS[C@H]1CC[C@H](N(C)Cc2ocnc2C)C1 ZINC001262109041 992682123 /nfs/dbraw/zinc/68/21/23/992682123.db2.gz HRJTUJHFBYYUKM-QWRGUYRKSA-N 0 3 240.372 2.699 20 0 BFADHN CC1=NO[C@@H](CNCc2cccc3ccoc32)C1 ZINC001262112329 992686638 /nfs/dbraw/zinc/68/66/38/992686638.db2.gz GAHAVXULYXTPHK-CYBMUJFWSA-N 0 3 244.294 2.687 20 0 BFADHN C[C@H](N)C(=O)NC(C)(C)CC1CCCCCC1 ZINC001574105067 992805162 /nfs/dbraw/zinc/80/51/62/992805162.db2.gz YLQQJINCNSIWED-NSHDSACASA-N 0 3 240.391 2.589 20 0 BFADHN Cc1ccc(N(CC(C)C)C(=O)[C@@H](C)N)c(C)c1 ZINC001574155285 992814056 /nfs/dbraw/zinc/81/40/56/992814056.db2.gz VIYZQJLSODVPLW-CYBMUJFWSA-N 0 3 248.370 2.640 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N1[C@H](C)CCC1(C)C ZINC001263180710 993152523 /nfs/dbraw/zinc/15/25/23/993152523.db2.gz SJPARFYNCZVEIE-TUAOUCFPSA-N 0 3 240.391 2.539 20 0 BFADHN COC[C@@H](C)NCc1csc(Cl)c1 ZINC000378989318 993212768 /nfs/dbraw/zinc/21/27/68/993212768.db2.gz DYPKVDUJRIZNHE-SSDOTTSWSA-N 0 3 219.737 2.526 20 0 BFADHN Cc1nc2c(n1[C@H](C)CCC(C)C)CNCC2 ZINC000380025568 993305401 /nfs/dbraw/zinc/30/54/01/993305401.db2.gz FECZQQIGPXCNPU-LLVKDONJSA-N 0 3 235.375 2.834 20 0 BFADHN CSc1ccc(-c2cccc(CN)n2)cc1 ZINC000380995071 993362025 /nfs/dbraw/zinc/36/20/25/993362025.db2.gz MGBGWTZXMYQEJG-UHFFFAOYSA-N 0 3 230.336 2.929 20 0 BFADHN C[C@]1(CO)CCN(Cc2cccc(Cl)c2)C1 ZINC000381492608 993400268 /nfs/dbraw/zinc/40/02/68/993400268.db2.gz QWYDUZKMHLZAMR-ZDUSSCGKSA-N 0 3 239.746 2.544 20 0 BFADHN CC1(C)CCC[C@@H](n2cnc3c2CNCC3)C1 ZINC000383570126 993499485 /nfs/dbraw/zinc/49/94/85/993499485.db2.gz JWMAMBOVJRFQPG-LLVKDONJSA-N 0 3 233.359 2.670 20 0 BFADHN CCCC[C@H](N)C(=O)N1C[C@H](C)CCC[C@H]1C ZINC001577400732 993640026 /nfs/dbraw/zinc/64/00/26/993640026.db2.gz GYSQYGRKJSFAER-UPJWGTAASA-N 0 3 240.391 2.541 20 0 BFADHN CCCC[C@H](N)C(=O)N(C)c1cccc(CC)c1 ZINC001577400746 993640461 /nfs/dbraw/zinc/64/04/61/993640461.db2.gz HWAGYHJVVWQRBU-AWEZNQCLSA-N 0 3 248.370 2.729 20 0 BFADHN OC[C@H]1CCC[C@H]1NCc1cscc1Cl ZINC000389841587 993762629 /nfs/dbraw/zinc/76/26/29/993762629.db2.gz JWGXMFYERZUAJP-LDYMZIIASA-N 0 3 245.775 2.652 20 0 BFADHN Fc1ccccc1C1(N[C@@H]2CCSC2)CC1 ZINC000390790978 993802863 /nfs/dbraw/zinc/80/28/63/993802863.db2.gz CARPUKJIKOYKLL-SNVBAGLBSA-N 0 3 237.343 2.910 20 0 BFADHN CC(C)n1cc(CNC/C=C/C2CC2)cn1 ZINC000393419523 993944586 /nfs/dbraw/zinc/94/45/86/993944586.db2.gz CJILTMGOPKNORJ-ONEGZZNKSA-N 0 3 219.332 2.520 20 0 BFADHN CCCCOC(=O)[C@H](C)N1C[C@H](C)[C@@H](C)[C@@H]1C ZINC001307852580 995487038 /nfs/dbraw/zinc/48/70/38/995487038.db2.gz IEBYSUNTYOCRHU-RNJOBUHISA-N 0 3 241.375 2.695 20 0 BFADHN CN(C)c1ccc(CN2C[C@H]3CCCC[C@H]32)cn1 ZINC001307901001 995494037 /nfs/dbraw/zinc/49/40/37/995494037.db2.gz LUVKLUBGTMACBE-ZIAGYGMSSA-N 0 3 245.370 2.522 20 0 BFADHN Cn1ccc(CN2CCC[C@@H](C3CCCC3)C2)n1 ZINC001308158364 995576350 /nfs/dbraw/zinc/57/63/50/995576350.db2.gz XCYVXQMMDOFVAZ-CQSZACIVSA-N 0 3 247.386 2.822 20 0 BFADHN CCCCCNCc1cn2cccc(F)c2n1 ZINC001308179942 995594284 /nfs/dbraw/zinc/59/42/84/995594284.db2.gz ZFVMPTWMLIZCKS-UHFFFAOYSA-N 0 3 235.306 2.753 20 0 BFADHN CCC1(N[C@@H](C)c2cnc(C)nc2C)CC1 ZINC000296437169 398138330 /nfs/dbraw/zinc/13/83/30/398138330.db2.gz MDPLICKPNOVCSW-JTQLQIEISA-N 0 3 219.332 2.687 20 0 BFADHN CCc1cccc(F)c1CNCCSC ZINC000309053091 349437639 /nfs/dbraw/zinc/43/76/39/349437639.db2.gz SBJIXXHZLUFDMA-UHFFFAOYSA-N 0 3 227.348 2.841 20 0 BFADHN COC(=O)[C@H]1CCCCCN1CCCC(C)C ZINC000615136970 398139249 /nfs/dbraw/zinc/13/92/49/398139249.db2.gz NNGFIXPCPWGNCY-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN COCC[C@H](C)N(C)Cc1ccc(F)cc1F ZINC000268049981 538916314 /nfs/dbraw/zinc/91/63/14/538916314.db2.gz ZSKMFLQCYNZQEU-JTQLQIEISA-N 0 3 243.297 2.822 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1Cc1cccc(C2CC2)c1 ZINC000421374006 192321240 /nfs/dbraw/zinc/32/12/40/192321240.db2.gz NAAVIHVFSZRMEN-MLGOLLRUSA-N 0 3 245.366 2.909 20 0 BFADHN CCC(CC)(CC)NCc1cnc(C)cn1 ZINC000309722181 168317885 /nfs/dbraw/zinc/31/78/85/168317885.db2.gz WJMIENVQQKXYCP-UHFFFAOYSA-N 0 3 221.348 2.843 20 0 BFADHN c1coc(CNC[C@@H]2CC23CCSCC3)c1 ZINC000421356376 192322146 /nfs/dbraw/zinc/32/21/46/192322146.db2.gz XFJRUJPMANOADA-NSHDSACASA-N 0 3 237.368 2.903 20 0 BFADHN C[C@@H](NCc1cc2n(n1)CCCC2)C(C)(C)C ZINC000649862604 398144510 /nfs/dbraw/zinc/14/45/10/398144510.db2.gz JJHCTCVGEWJPDW-LLVKDONJSA-N 0 3 235.375 2.744 20 0 BFADHN COCC1CCN([C@H](C)c2ccncc2)CC1 ZINC000602251314 349486521 /nfs/dbraw/zinc/48/65/21/349486521.db2.gz IBXVGWLVIVQHJQ-GFCCVEGCSA-N 0 3 234.343 2.501 20 0 BFADHN Cc1ccccc1[C@H](NCc1cn[nH]c1)C1CC1 ZINC000602287232 349493989 /nfs/dbraw/zinc/49/39/89/349493989.db2.gz BPHUOXAAXXXNLX-OAHLLOKOSA-N 0 3 241.338 2.959 20 0 BFADHN CCC(CC)(CNCc1cccnc1C)OC ZINC000336730992 168326000 /nfs/dbraw/zinc/32/60/00/168326000.db2.gz YMDQQSPZYQIWHY-UHFFFAOYSA-N 0 3 236.359 2.685 20 0 BFADHN C[C@H](NCC1CC1)c1ccncc1Cl ZINC000421820121 398147326 /nfs/dbraw/zinc/14/73/26/398147326.db2.gz KSHVHBUKCGQRKK-QMMMGPOBSA-N 0 3 210.708 2.796 20 0 BFADHN CCC(CC)(CO)NCc1ccccc1Cl ZINC000053046577 168329643 /nfs/dbraw/zinc/32/96/43/168329643.db2.gz XHECEPXJQZOOCL-UHFFFAOYSA-N 0 3 241.762 2.981 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1occc1C ZINC000336656104 398144396 /nfs/dbraw/zinc/14/43/96/398144396.db2.gz OIGBKIOKRRGNEG-GXSJLCMTSA-N 0 3 211.330 2.572 20 0 BFADHN C[C@H](N[C@@H](C)C1CCC1)c1cscn1 ZINC000161987272 538920177 /nfs/dbraw/zinc/92/01/77/538920177.db2.gz GGTWZVDVMMNRRH-IUCAKERBSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1O)c1ccc(F)c(Cl)c1 ZINC000378725201 346815935 /nfs/dbraw/zinc/81/59/35/346815935.db2.gz IIBGJUCIVYCPEZ-UPZJHPNMSA-N 0 3 243.709 2.653 20 0 BFADHN Cc1cc(CN2CC[C@H](C)[C@@H](O)C2)c(C)s1 ZINC000357564460 178000023 /nfs/dbraw/zinc/00/00/23/178000023.db2.gz BPDSEUPFLWHYIN-ZANVPECISA-N 0 3 239.384 2.568 20 0 BFADHN Cc1cc(CN2CC[C@@H](CC(C)C)C2)ncn1 ZINC000292418695 178000452 /nfs/dbraw/zinc/00/04/52/178000452.db2.gz KSUCNFMOXIPCGE-ZDUSSCGKSA-N 0 3 233.359 2.653 20 0 BFADHN Cc1cc(CN2CC[C@@H](O)C(C)(C)C2)cs1 ZINC000296658384 178001798 /nfs/dbraw/zinc/00/17/98/178001798.db2.gz DXJVGXSOKBYCKX-GFCCVEGCSA-N 0 3 239.384 2.649 20 0 BFADHN Cc1cc(CN(C)[C@H](C)CCO)c(C)s1 ZINC000649867864 398151602 /nfs/dbraw/zinc/15/16/02/398151602.db2.gz ULYXTIDYGGLFMU-SECBINFHSA-N 0 3 227.373 2.568 20 0 BFADHN CCc1nn(C)c(CC)c1CN1[C@H](C)C[C@H]1C ZINC000526989599 262802070 /nfs/dbraw/zinc/80/20/70/262802070.db2.gz ULCKAHVXICVNFH-GHMZBOCLSA-N 0 3 235.375 2.528 20 0 BFADHN CC(C)=CCN1CCN(C)c2ccccc2C1 ZINC000340651281 398153574 /nfs/dbraw/zinc/15/35/74/398153574.db2.gz OMCIFDHCCPCWCV-UHFFFAOYSA-N 0 3 230.355 2.905 20 0 BFADHN Cc1cc(CNC2[C@H](C)CCC[C@H]2C)on1 ZINC000044985466 178018771 /nfs/dbraw/zinc/01/87/71/178018771.db2.gz IBBKWCMFDXAKOQ-NXEZZACHSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1cc(CNCCOCC2CC2)cc(C)c1O ZINC000235822675 178020325 /nfs/dbraw/zinc/02/03/25/178020325.db2.gz WPPOBHOVGUDZGT-UHFFFAOYSA-N 0 3 249.354 2.525 20 0 BFADHN Cc1cc(CNC[C@H](C)CC(C)C)on1 ZINC000290196781 178024204 /nfs/dbraw/zinc/02/42/04/178024204.db2.gz CPJWQYQVWVPIPS-SNVBAGLBSA-N 0 3 210.321 2.755 20 0 BFADHN Cc1cc(CNC[C@H]2CCCO2)c(C)s1 ZINC000049685014 178024857 /nfs/dbraw/zinc/02/48/57/178024857.db2.gz IMNBAOUOVKNIDW-GFCCVEGCSA-N 0 3 225.357 2.634 20 0 BFADHN Cc1ccnc(CN2CC[C@]3(CC3(F)F)C2)c1 ZINC000649907994 398182929 /nfs/dbraw/zinc/18/29/29/398182929.db2.gz DQRQGPPPOATICD-LBPRGKRZSA-N 0 3 238.281 2.621 20 0 BFADHN FC1(CN2CC[C@@H](Nc3ccccc3)C2)CC1 ZINC000527510538 398170205 /nfs/dbraw/zinc/17/02/05/398170205.db2.gz HWLSBBCNSGQIBW-CYBMUJFWSA-N 0 3 234.318 2.675 20 0 BFADHN Cc1cc(CN[C@@H](C)C2CCCCC2)ncn1 ZINC000358368675 178029269 /nfs/dbraw/zinc/02/92/69/178029269.db2.gz OUSYVEYYTNHISW-LBPRGKRZSA-N 0 3 233.359 2.843 20 0 BFADHN FC1(F)C[C@]12CCN(Cc1ccccc1)C2 ZINC000649899827 398170749 /nfs/dbraw/zinc/17/07/49/398170749.db2.gz OHBRLWARTTWTOX-LBPRGKRZSA-N 0 3 223.266 2.918 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)ncn1 ZINC000272628315 178032470 /nfs/dbraw/zinc/03/24/70/178032470.db2.gz AHJHDWADWOJMBQ-VHRBIJSZSA-N 0 3 233.359 2.699 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1cccc(F)c1 ZINC000019905046 398172247 /nfs/dbraw/zinc/17/22/47/398172247.db2.gz MICURERENORKLR-UWVGGRQHSA-N 0 3 211.280 2.511 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)nn1C ZINC000360331277 178032790 /nfs/dbraw/zinc/03/27/90/178032790.db2.gz ZVVPZRATMSHEPF-SCDSUCTJSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC[C@@H]2C)no1 ZINC000044295886 178032808 /nfs/dbraw/zinc/03/28/08/178032808.db2.gz JSVNPUSDCRHMBJ-JOYOIKCWSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CCC[C@@H]3C[C@@]32C)on1 ZINC000336723079 178033257 /nfs/dbraw/zinc/03/32/57/178033257.db2.gz DTECMDRPLXEVIY-RTXFEEFZSA-N 0 3 220.316 2.651 20 0 BFADHN COC[C@@H](C)N[C@@H](C)c1cccc(F)c1 ZINC000019905045 398172550 /nfs/dbraw/zinc/17/25/50/398172550.db2.gz MICURERENORKLR-ZJUUUORDSA-N 0 3 211.280 2.511 20 0 BFADHN CC[C@H]1CN(CCCc2ccccc2)CCO1 ZINC000045753187 398183207 /nfs/dbraw/zinc/18/32/07/398183207.db2.gz RYJRHUQJTDRFII-HNNXBMFYSA-N 0 3 233.355 2.730 20 0 BFADHN Cc1cc(CN[C@H](C)CC(C)(C)C)on1 ZINC000294988971 178037150 /nfs/dbraw/zinc/03/71/50/178037150.db2.gz GYSOSRDJKVADOW-SNVBAGLBSA-N 0 3 210.321 2.897 20 0 BFADHN Cc1cc(CN[C@H](C)Cn2ccnc2)ccc1F ZINC000050545500 178037515 /nfs/dbraw/zinc/03/75/15/178037515.db2.gz LAOVGKYHISKMFJ-GFCCVEGCSA-N 0 3 247.317 2.509 20 0 BFADHN CC(C)CCOCCN1CC[C@]2(CC2(F)F)C1 ZINC000649903363 398174031 /nfs/dbraw/zinc/17/40/31/398174031.db2.gz MDJYPEHNVBVQMF-LBPRGKRZSA-N 0 3 247.329 2.780 20 0 BFADHN CCc1ccc(CN2CCCOC(C)(C)C2)nc1 ZINC000645087665 398174536 /nfs/dbraw/zinc/17/45/36/398174536.db2.gz BTCJOUOLSXXHPG-UHFFFAOYSA-N 0 3 248.370 2.645 20 0 BFADHN C[C@H](c1ccncc1)N1CC[C@@]2(CC2(F)F)C1 ZINC000649904083 398174943 /nfs/dbraw/zinc/17/49/43/398174943.db2.gz BDPMOMPYOLNNNR-ZYHUDNBSSA-N 0 3 238.281 2.874 20 0 BFADHN Cc1ccc(CN(C)CCCCCF)nc1 ZINC000649904068 398175023 /nfs/dbraw/zinc/17/50/23/398175023.db2.gz KRZPTFZHUKMRBA-UHFFFAOYSA-N 0 3 224.323 2.962 20 0 BFADHN Cc1cc(CN[C@H]2CC[C@H]2C2CCC2)on1 ZINC000309690883 178041170 /nfs/dbraw/zinc/04/11/70/178041170.db2.gz JFMZZJQJDKJLQG-STQMWFEESA-N 0 3 220.316 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CCc3ccccc3C2)on1 ZINC000044301050 178041905 /nfs/dbraw/zinc/04/19/05/178041905.db2.gz GGZLFUXXGHLPKA-AWEZNQCLSA-N 0 3 242.322 2.630 20 0 BFADHN c1nc(C2CC2)ncc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000424207906 398177405 /nfs/dbraw/zinc/17/74/05/398177405.db2.gz XTUOHPZOVWYDNK-UONOGXRCSA-N 0 3 243.354 2.632 20 0 BFADHN Cc1ccc(CN2CC[C@@]3(CC3(F)F)C2)nc1 ZINC000649907348 398179722 /nfs/dbraw/zinc/17/97/22/398179722.db2.gz PDBXQMCELGDWBN-GFCCVEGCSA-N 0 3 238.281 2.621 20 0 BFADHN C[C@H](c1ccccn1)N1CC[C@@]2(CC2(F)F)C1 ZINC000649907538 398180360 /nfs/dbraw/zinc/18/03/60/398180360.db2.gz UPYSKWBNBDCEPQ-ZYHUDNBSSA-N 0 3 238.281 2.874 20 0 BFADHN Cc1cc(Cl)cc(C)c1CN1CC[C@H](O)C1 ZINC000128151526 178063663 /nfs/dbraw/zinc/06/36/63/178063663.db2.gz ZYFGDAODHDVYAY-LBPRGKRZSA-N 0 3 239.746 2.523 20 0 BFADHN Cc1cc(Cl)cc(C)c1CN1CC[C@@H](O)C1 ZINC000128075850 178063694 /nfs/dbraw/zinc/06/36/94/178063694.db2.gz ZYFGDAODHDVYAY-GFCCVEGCSA-N 0 3 239.746 2.523 20 0 BFADHN Cc1cc(Cl)ccc1CN1CCC[C@H]1CO ZINC000136173751 178066606 /nfs/dbraw/zinc/06/66/06/178066606.db2.gz OTXFQAQPUUYOGQ-ZDUSSCGKSA-N 0 3 239.746 2.605 20 0 BFADHN Cc1cc(Cl)ccc1CN[C@@]1(C)CCOC1 ZINC000130356247 178066782 /nfs/dbraw/zinc/06/67/82/178066782.db2.gz AFVVRKBCAAXJQP-ZDUSSCGKSA-N 0 3 239.746 2.917 20 0 BFADHN Cc1ncccc1CN1CC[C@]2(CC2(F)F)C1 ZINC000649908271 398184092 /nfs/dbraw/zinc/18/40/92/398184092.db2.gz LBVVUSGNRJYEMK-LBPRGKRZSA-N 0 3 238.281 2.621 20 0 BFADHN Cc1cc(Cl)nc(CNCC(C)C)c1 ZINC000282018422 178071938 /nfs/dbraw/zinc/07/19/38/178071938.db2.gz NJTGHALBZXFCOA-UHFFFAOYSA-N 0 3 212.724 2.789 20 0 BFADHN Cc1cc(F)c(CNc2nccn2C)c(F)c1 ZINC000335130460 178074881 /nfs/dbraw/zinc/07/48/81/178074881.db2.gz PIWBCTUCVZOVLT-UHFFFAOYSA-N 0 3 237.253 2.619 20 0 BFADHN CC(C)[C@H]1CCN(Cc2cn3ccccc3n2)C1 ZINC000533345729 398186231 /nfs/dbraw/zinc/18/62/31/398186231.db2.gz BONSBHGOOHXNSU-ZDUSSCGKSA-N 0 3 243.354 2.812 20 0 BFADHN Cc1cc(F)cc2c1CN(C[C@@H]1CCOC1)CC2 ZINC000335919172 178080363 /nfs/dbraw/zinc/08/03/63/178080363.db2.gz CZPBOMWXZDLTFS-LBPRGKRZSA-N 0 3 249.329 2.529 20 0 BFADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1cncnc1 ZINC000271189336 538923615 /nfs/dbraw/zinc/92/36/15/538923615.db2.gz LAZRGBVCFWKHBB-OCCSQVGLSA-N 0 3 233.359 2.877 20 0 BFADHN CC(C)N(C)Cc1cnn(-c2ccccc2)c1 ZINC000072953269 398190362 /nfs/dbraw/zinc/19/03/62/398190362.db2.gz OGOHHHIJUQICJZ-UHFFFAOYSA-N 0 3 229.327 2.713 20 0 BFADHN Cc1cc(F)ccc1NC1CCN(C)CC1 ZINC000019961010 178089840 /nfs/dbraw/zinc/08/98/40/178089840.db2.gz SLWJISZBZVSWIO-UHFFFAOYSA-N 0 3 222.307 2.640 20 0 BFADHN Cc1cc(N(C)C)ccc1NC1CCN(C)CC1 ZINC000036934738 178095691 /nfs/dbraw/zinc/09/56/91/178095691.db2.gz IRJLMGHAMGICEH-UHFFFAOYSA-N 0 3 247.386 2.567 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H](C)C(C)C)nn1C ZINC000393785356 262816857 /nfs/dbraw/zinc/81/68/57/262816857.db2.gz UAFFXJZMDYVQDS-PWSUYJOCSA-N 0 3 223.364 2.671 20 0 BFADHN CCN1CCN(CCC2=CCCCC2)C[C@@H]1C ZINC000649396022 398197827 /nfs/dbraw/zinc/19/78/27/398197827.db2.gz RZRTVOOZJMIYDE-AWEZNQCLSA-N 0 3 236.403 2.903 20 0 BFADHN Cc1cc(C)c(/C=C/CNC/C=C\CO)c(C)c1 ZINC000631173343 361736384 /nfs/dbraw/zinc/73/63/84/361736384.db2.gz QACCGXADRCLFTD-SCFJQAPRSA-N 0 3 245.366 2.763 20 0 BFADHN CCC1CCC(N(C)Cc2cncnc2)CC1 ZINC000271062809 538923878 /nfs/dbraw/zinc/92/38/78/538923878.db2.gz YQMNUIMYRALCFC-UHFFFAOYSA-N 0 3 233.359 2.877 20 0 BFADHN COCC1(N(C)CC2=CCCCC2)CC1 ZINC000649400272 398202319 /nfs/dbraw/zinc/20/23/19/398202319.db2.gz CFBSKHMTIJPEIB-UHFFFAOYSA-N 0 3 209.333 2.598 20 0 BFADHN CN(C[C@@H]1COc2ccccc2O1)CC1(C)CC1 ZINC000576266926 365544112 /nfs/dbraw/zinc/54/41/12/365544112.db2.gz PPGJSXDPIGSXRQ-GFCCVEGCSA-N 0 3 247.338 2.558 20 0 BFADHN CC[C@H](CSC)N[C@H]1C[C@H](C)n2ccnc21 ZINC000293848666 398204936 /nfs/dbraw/zinc/20/49/36/398204936.db2.gz CLKLBWXJDWHALZ-AXFHLTTASA-N 0 3 239.388 2.620 20 0 BFADHN CCC(O)(CC)CN(C)Cc1ccsc1 ZINC000162881261 538925658 /nfs/dbraw/zinc/92/56/58/538925658.db2.gz WPNVQUNDSJRSSK-UHFFFAOYSA-N 0 3 227.373 2.731 20 0 BFADHN Cc1nccnc1[C@@H](C)NC[C@H](C)C(C)C ZINC000188050064 398207722 /nfs/dbraw/zinc/20/77/22/398207722.db2.gz GXNKRXUQAPGBEI-CMPLNLGQSA-N 0 3 221.348 2.728 20 0 BFADHN C/C=C/C[C@H](CO)N[C@@H](C)c1ccsc1 ZINC000186512966 192027907 /nfs/dbraw/zinc/02/79/07/192027907.db2.gz MCGQBDIVRAWFHY-KJDJKKKBSA-N 0 3 225.357 2.726 20 0 BFADHN CO[C@@H]1CCN(Cc2cc(C)ccn2)CC1(C)C ZINC000650004793 398216084 /nfs/dbraw/zinc/21/60/84/398216084.db2.gz BUFZBOAMCCMSGH-CQSZACIVSA-N 0 3 248.370 2.637 20 0 BFADHN CC[C@@H](C)N[C@H](c1cnn(C)c1)c1ccccc1 ZINC000273313744 538927024 /nfs/dbraw/zinc/92/70/24/538927024.db2.gz XJQSQHZECLLEOV-DOMZBBRYSA-N 0 3 243.354 2.898 20 0 BFADHN Fc1ccc(F)c(CN[C@H]2CCCSC2)c1 ZINC000075183199 398217755 /nfs/dbraw/zinc/21/77/55/398217755.db2.gz ICZBYMDECVRECB-NSHDSACASA-N 0 3 243.322 2.950 20 0 BFADHN Cc1ccc([C@@H](N[C@H]2C[C@@](C)(O)C2)C2CCC2)o1 ZINC000417402986 398220037 /nfs/dbraw/zinc/22/00/37/398220037.db2.gz NJQPXLBQNZIITC-AEGPPILISA-N 0 3 249.354 2.932 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCC[C@@H]3C[C@@H]32)c2nccn21 ZINC000414173530 398218705 /nfs/dbraw/zinc/21/87/05/398218705.db2.gz GLHFEOHTSKQWHI-BNDIWNMDSA-N 0 3 231.343 2.667 20 0 BFADHN CN(Cc1scnc1C1CC1)CC1CC1 ZINC000495166266 538927397 /nfs/dbraw/zinc/92/73/97/538927397.db2.gz HWUBHLQMRRSBIX-UHFFFAOYSA-N 0 3 222.357 2.862 20 0 BFADHN Cc1cnc([C@@H](C)N[C@@H](C)[C@@H]2CCOC2)s1 ZINC000157140679 398210408 /nfs/dbraw/zinc/21/04/08/398210408.db2.gz JOWNICAXEWEYRQ-HBNTYKKESA-N 0 3 240.372 2.527 20 0 BFADHN CCC[C@H](O)CN[C@H]1CCc2ccc(F)cc21 ZINC000163606204 538929703 /nfs/dbraw/zinc/92/97/03/538929703.db2.gz KBSQPADKHIJBSD-JSGCOSHPSA-N 0 3 237.318 2.564 20 0 BFADHN Cc1occc1CNC[C@@H](CCO)CC(C)C ZINC000414361741 398220154 /nfs/dbraw/zinc/22/01/54/398220154.db2.gz IJWNIXRJUPXMFC-ZDUSSCGKSA-N 0 3 239.359 2.722 20 0 BFADHN Cc1occc1CNC[C@H](CCO)CC(C)C ZINC000414361740 398220566 /nfs/dbraw/zinc/22/05/66/398220566.db2.gz IJWNIXRJUPXMFC-CYBMUJFWSA-N 0 3 239.359 2.722 20 0 BFADHN CCC(CC)CN(CC)C(=O)[C@@H](N)[C@H](C)CC ZINC000036199865 168349298 /nfs/dbraw/zinc/34/92/98/168349298.db2.gz AMIHRENEHNFUSK-YPMHNXCESA-N 0 3 242.407 2.645 20 0 BFADHN CC(C)[C@H]1CCCN1Cc1cscn1 ZINC000264064440 398224083 /nfs/dbraw/zinc/22/40/83/398224083.db2.gz YBZNRAUVIUIYLE-LLVKDONJSA-N 0 3 210.346 2.764 20 0 BFADHN Cc1ccccc1-n1cc(CN2CCCC2)cn1 ZINC000647169117 398227685 /nfs/dbraw/zinc/22/76/85/398227685.db2.gz APJFQTQDHDHQMJ-UHFFFAOYSA-N 0 3 241.338 2.777 20 0 BFADHN CCC(CC)CN1CC[C@H](C)C[C@H]1C(=O)OC ZINC000361152883 168353293 /nfs/dbraw/zinc/35/32/93/168353293.db2.gz KHDLHQTUBNQEFU-AAEUAGOBSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@@H]1CN(C[C@@H]2CCSC2)C[C@H](CC)O1 ZINC000421397219 192329867 /nfs/dbraw/zinc/32/98/67/192329867.db2.gz XKWIAFGFRPZYHB-RWMBFGLXSA-N 0 3 243.416 2.629 20 0 BFADHN Cc1cc([C@@H](C)NCC2CCCC2)nn1C ZINC000309600174 178222601 /nfs/dbraw/zinc/22/26/01/178222601.db2.gz XLRSDSRVGCVQQL-LLVKDONJSA-N 0 3 221.348 2.569 20 0 BFADHN Cc1cc([C@@H](C)NCC2=CCCOC2)oc1C ZINC000287180641 178223308 /nfs/dbraw/zinc/22/33/08/178223308.db2.gz VEARGCTUIMRCGQ-LLVKDONJSA-N 0 3 235.327 2.894 20 0 BFADHN Cc1cc([C@@H](C)NCC2=CCN(C)CC2)oc1C ZINC000282440171 178223347 /nfs/dbraw/zinc/22/33/47/178223347.db2.gz DVBGSEXLNDYITC-GFCCVEGCSA-N 0 3 248.370 2.809 20 0 BFADHN Cc1cc([C@@H](C)NCCC(C)(C)O)oc1C ZINC000282939414 178224111 /nfs/dbraw/zinc/22/41/11/178224111.db2.gz YTJGYQDVMDBYLO-SNVBAGLBSA-N 0 3 225.332 2.708 20 0 BFADHN Cc1cc([C@@H](C)NCCc2cn[nH]c2)oc1C ZINC000289630123 178226032 /nfs/dbraw/zinc/22/60/32/178226032.db2.gz FDGDRCVJZIPLTB-SNVBAGLBSA-N 0 3 233.315 2.513 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CCCN2C)oc1C ZINC000337518000 178226869 /nfs/dbraw/zinc/22/68/69/178226869.db2.gz NHFPTTMRUYDNLJ-YPMHNXCESA-N 0 3 236.359 2.641 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H]2CCCO2)cs1 ZINC000294338171 178227650 /nfs/dbraw/zinc/22/76/50/178227650.db2.gz YHFHBXNLFXDMHG-PWSUYJOCSA-N 0 3 225.357 2.886 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](O)C(C)C)oc1C ZINC000282093110 178227887 /nfs/dbraw/zinc/22/78/87/178227887.db2.gz IFIFJBJMKQGIOP-ZYHUDNBSSA-N 0 3 225.332 2.564 20 0 BFADHN Cc1cc([C@@H](C)NCCn2cccn2)c(C)s1 ZINC000042539157 178227932 /nfs/dbraw/zinc/22/79/32/178227932.db2.gz XOUANPONIWMFCZ-LLVKDONJSA-N 0 3 249.383 2.912 20 0 BFADHN Cc1cc([C@@H](C)NC[C@H]2CCCO2)c(C)o1 ZINC000020438423 178229821 /nfs/dbraw/zinc/22/98/21/178229821.db2.gz FQDQEHPPFGWVAO-ZYHUDNBSSA-N 0 3 223.316 2.726 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCN(C3CC3)C2)oc1C ZINC000337403457 178230346 /nfs/dbraw/zinc/23/03/46/178230346.db2.gz QXEOXQGUBYCRLC-DGCLKSJQSA-N 0 3 248.370 2.784 20 0 BFADHN CCc1ccc(CN2CCC[C@]23CCOC3)o1 ZINC000342838357 136224993 /nfs/dbraw/zinc/22/49/93/136224993.db2.gz CSZDRAJYWWOGBM-CQSZACIVSA-N 0 3 235.327 2.597 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)Cn2ccnc2)cs1 ZINC000294300485 178231484 /nfs/dbraw/zinc/23/14/84/178231484.db2.gz UYLUXUHDKKNLQQ-CMPLNLGQSA-N 0 3 249.383 2.992 20 0 BFADHN CC[C@@H](C)CNCc1cc(OC)ccc1F ZINC000421372822 192335067 /nfs/dbraw/zinc/33/50/67/192335067.db2.gz FYYOZSNTJDTDQG-SNVBAGLBSA-N 0 3 225.307 2.970 20 0 BFADHN CC[C@H](C)CNCc1cc(OC)ccc1F ZINC000421372821 192335565 /nfs/dbraw/zinc/33/55/65/192335565.db2.gz FYYOZSNTJDTDQG-JTQLQIEISA-N 0 3 225.307 2.970 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CC[C@@H](CO)C2)oc1C ZINC000296602369 178232807 /nfs/dbraw/zinc/23/28/07/178232807.db2.gz VSDWVCODXYFZMQ-RTXFEEFZSA-N 0 3 237.343 2.708 20 0 BFADHN Fc1ccc(CN2CCCC2)cc1-c1ncc[nH]1 ZINC000649433573 398234855 /nfs/dbraw/zinc/23/48/55/398234855.db2.gz ZXLNSXNVJLDILI-UHFFFAOYSA-N 0 3 245.301 2.812 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCOC[C@@H]2C)oc1C ZINC000282652513 178253512 /nfs/dbraw/zinc/25/35/12/178253512.db2.gz IDBVYIKXNDJCRY-GMXVVIOVSA-N 0 3 237.343 2.972 20 0 BFADHN Cc1coc(-c2cccc(OCCN(C)C)c2)n1 ZINC000649430530 398233643 /nfs/dbraw/zinc/23/36/43/398233643.db2.gz FFZRFYVZJMVNCA-UHFFFAOYSA-N 0 3 246.310 2.590 20 0 BFADHN CCOCCN[C@H]1c2cc(F)ccc2C[C@H]1C ZINC000161969648 349527277 /nfs/dbraw/zinc/52/72/77/349527277.db2.gz NHPJOCMCUDULNQ-QMTHXVAHSA-N 0 3 237.318 2.685 20 0 BFADHN Cc1cc([C@H](C)NCC[C@H](O)C(C)C)oc1C ZINC000337390762 178248886 /nfs/dbraw/zinc/24/88/86/178248886.db2.gz HILLZXUWRNBQQT-AAEUAGOBSA-N 0 3 239.359 2.954 20 0 BFADHN COc1cc(C)nc(CNC[C@@H](C)C2CC2)c1 ZINC000165380232 538935444 /nfs/dbraw/zinc/93/54/44/538935444.db2.gz ZETNTUBONFZQPV-SNVBAGLBSA-N 0 3 234.343 2.534 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCCN2C)c(C)o1 ZINC000219258811 178249373 /nfs/dbraw/zinc/24/93/73/178249373.db2.gz QISWFNCXMUBZID-AAEUAGOBSA-N 0 3 236.359 2.641 20 0 BFADHN Cc1cc([C@H](C)NC[C@@](C)(O)C2CC2)oc1C ZINC000337512567 178250995 /nfs/dbraw/zinc/25/09/95/178250995.db2.gz JSJDLKAITSBSKD-IINYFYTJSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CC[C@H](C)C2)nn1C ZINC000337481075 178251177 /nfs/dbraw/zinc/25/11/77/178251177.db2.gz QQHCKDINOPUTBR-WCFLWFBJSA-N 0 3 235.375 2.815 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)Cn2cccn2)cs1 ZINC000294287279 178251423 /nfs/dbraw/zinc/25/14/23/178251423.db2.gz TZDKHEGXRMRDRL-JQWIXIFHSA-N 0 3 249.383 2.992 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CCC[C@H]2C)nn1C ZINC000309495086 178253426 /nfs/dbraw/zinc/25/34/26/178253426.db2.gz TVJGJHFKISNCEG-ADEWGFFLSA-N 0 3 221.348 2.568 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)CC2CC2)no1 ZINC000308822219 178252309 /nfs/dbraw/zinc/25/23/09/178252309.db2.gz CLRDYZCBCKSECU-WPRPVWTQSA-N 0 3 208.305 2.822 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C)Cn2ccnc2)oc1C ZINC000282155326 178254616 /nfs/dbraw/zinc/25/46/16/178254616.db2.gz LJIOSRMYAGYCIG-RYUDHWBXSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@H](C)N[C@H](C)Cn2ccnc2)c(C)o1 ZINC000037158390 178255212 /nfs/dbraw/zinc/25/52/12/178255212.db2.gz BDEPPFDOOZSTIG-PWSUYJOCSA-N 0 3 247.342 2.832 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCC[C@H]2C)nn1C ZINC000309495088 178258139 /nfs/dbraw/zinc/25/81/39/178258139.db2.gz TVJGJHFKISNCEG-USWWRNFRSA-N 0 3 221.348 2.568 20 0 BFADHN COc1cc(-c2cccc(CN(C)C)c2)sn1 ZINC000649436324 398238593 /nfs/dbraw/zinc/23/85/93/398238593.db2.gz BGNPHDQMQVYRGZ-UHFFFAOYSA-N 0 3 248.351 2.880 20 0 BFADHN Cc1cc([C@H]2CCCN2CC2CC2)on1 ZINC000336564940 178267186 /nfs/dbraw/zinc/26/71/86/178267186.db2.gz ITHNOZNVTDLCKV-LLVKDONJSA-N 0 3 206.289 2.530 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC(C)C)C2)o1 ZINC000576466730 365603681 /nfs/dbraw/zinc/60/36/81/365603681.db2.gz WZWOEBNQXMMWFA-CQSZACIVSA-N 0 3 237.343 2.841 20 0 BFADHN CN(C)CCOc1ccc(-c2cccnc2)cc1 ZINC000649440659 398245204 /nfs/dbraw/zinc/24/52/04/398245204.db2.gz WFOIHKBQFVEBQL-UHFFFAOYSA-N 0 3 242.322 2.689 20 0 BFADHN CCC(CC)CN[C@@H]1CCCc2c[nH]nc21 ZINC000310037412 168363180 /nfs/dbraw/zinc/36/31/80/168363180.db2.gz VCGVTNSZHSSMGZ-GFCCVEGCSA-N 0 3 221.348 2.813 20 0 BFADHN CC(C)n1nccc1CNC1C(C)(C)C1(C)C ZINC000349142949 398245189 /nfs/dbraw/zinc/24/51/89/398245189.db2.gz ASCDVXKJFXUUFT-UHFFFAOYSA-N 0 3 235.375 2.988 20 0 BFADHN CCC(CC)CN[C@@H](C)c1nccnc1C ZINC000187980659 168363413 /nfs/dbraw/zinc/36/34/13/168363413.db2.gz DHBYQIAFJRLESX-NSHDSACASA-N 0 3 221.348 2.872 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1cnc(C)n1C ZINC000576600238 365638306 /nfs/dbraw/zinc/63/83/06/365638306.db2.gz WOVJQSPJQDULLF-SECBINFHSA-N 0 3 249.280 2.549 20 0 BFADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2N[C@@H]1CCOC1 ZINC000104052014 178292593 /nfs/dbraw/zinc/29/25/93/178292593.db2.gz ARLZCDARIOYUDV-DGCLKSJQSA-N 0 3 249.354 2.980 20 0 BFADHN CCC(CC)N(CC)CC(=O)NC(C)(C)CC ZINC000576548459 365623246 /nfs/dbraw/zinc/62/32/46/365623246.db2.gz UMKXDAIHBFUBIB-UHFFFAOYSA-N 0 3 242.407 2.802 20 0 BFADHN COCC[C@@H](C)N1CCOc2ccc(C)cc2C1 ZINC000285598969 538942102 /nfs/dbraw/zinc/94/21/02/538942102.db2.gz PKINCSPMTAWJJW-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@H](CNCc1ccno1)C1CCCCC1 ZINC000390817835 398250142 /nfs/dbraw/zinc/25/01/42/398250142.db2.gz PHYZNPYLPZHNBO-LLVKDONJSA-N 0 3 222.332 2.981 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNC[C@H]2CCCN2C)o1 ZINC000623634189 365652296 /nfs/dbraw/zinc/65/22/96/365652296.db2.gz WLGVYDYECMHXEL-SCRDCRAPSA-N 0 3 248.370 2.587 20 0 BFADHN Cc1ccccc1C[C@H](C)N[C@@H](C)c1ncc[nH]1 ZINC000286295089 538943828 /nfs/dbraw/zinc/94/38/28/538943828.db2.gz WJXOAEZMLTYHKO-STQMWFEESA-N 0 3 243.354 3.000 20 0 BFADHN CC(C)c1cc(CN[C@H](C)C(C)C)on1 ZINC000167734967 538944085 /nfs/dbraw/zinc/94/40/85/538944085.db2.gz LFJZLESJJRERLZ-SNVBAGLBSA-N 0 3 210.321 2.932 20 0 BFADHN CCn1cncc1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000417697681 398253130 /nfs/dbraw/zinc/25/31/30/398253130.db2.gz CZEOYHMIWCBBSO-OLZOCXBDSA-N 0 3 235.375 2.914 20 0 BFADHN CN(C)Cc1ccccc1NC(=O)CC1(C)CC1 ZINC000576687665 365657025 /nfs/dbraw/zinc/65/70/25/365657025.db2.gz CPEJEHFKMZHRQV-UHFFFAOYSA-N 0 3 246.354 2.877 20 0 BFADHN CC[C@@H](N)C(=O)N(C)[C@H](C)c1ccc(C)cc1C ZINC000576704247 365664366 /nfs/dbraw/zinc/66/43/66/365664366.db2.gz DJVARMBVSQLVMG-TZMCWYRMSA-N 0 3 248.370 2.560 20 0 BFADHN CCc1ccc([C@H](C)NCc2cncn2C)s1 ZINC000289998954 538946511 /nfs/dbraw/zinc/94/65/11/538946511.db2.gz YYGOGLRILOPSKC-JTQLQIEISA-N 0 3 249.383 2.895 20 0 BFADHN C[C@H](N[C@@H]1CCS[C@@H]1C)c1ccc(F)cn1 ZINC000381870991 398255449 /nfs/dbraw/zinc/25/54/49/398255449.db2.gz YTHOFZGIKHTRBS-YGOYTEALSA-N 0 3 240.347 2.765 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1csc(C)c1 ZINC000381551065 398263009 /nfs/dbraw/zinc/26/30/09/398263009.db2.gz GANYOMGWUIQSDK-WPRPVWTQSA-N 0 3 213.346 2.742 20 0 BFADHN CC(C)[C@H](C(=O)NCCCC(C)(C)C)N(C)C ZINC000128248929 323006634 /nfs/dbraw/zinc/00/66/34/323006634.db2.gz UYJWDSYIKUTTAI-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN CCC(CC)N(CC)Cc1conc1C ZINC000294012757 168372065 /nfs/dbraw/zinc/37/20/65/168372065.db2.gz UJVSHDBOACKUFJ-UHFFFAOYSA-N 0 3 210.321 2.994 20 0 BFADHN C[C@@H](CC(C)(C)O)N[C@H]1CCCc2cccnc21 ZINC000384707296 398259927 /nfs/dbraw/zinc/25/99/27/398259927.db2.gz CMPQQQKVKSMCFK-AAEUAGOBSA-N 0 3 248.370 2.598 20 0 BFADHN CCC(CC)N(CC)Cc1ccnc(C)n1 ZINC000353546436 168372081 /nfs/dbraw/zinc/37/20/81/168372081.db2.gz VQTOPQVBGTZHDT-UHFFFAOYSA-N 0 3 221.348 2.796 20 0 BFADHN COCC1(NCc2cc(C)cc(Cl)c2)CC1 ZINC000378732082 346820340 /nfs/dbraw/zinc/82/03/40/346820340.db2.gz XJCKGDQCKBKEPW-UHFFFAOYSA-N 0 3 239.746 2.917 20 0 BFADHN COC[C@@]1(C)CN(CCCC(C)(C)C)CCO1 ZINC000626176867 346826031 /nfs/dbraw/zinc/82/60/31/346826031.db2.gz YLWVRTLHXDJAOG-CQSZACIVSA-N 0 3 243.391 2.550 20 0 BFADHN CS[C@H]1CCCCN(Cc2ccns2)C1 ZINC000602488202 349570251 /nfs/dbraw/zinc/57/02/51/349570251.db2.gz SZXCGOCNCOVDDA-JTQLQIEISA-N 0 3 242.413 2.861 20 0 BFADHN CS[C@@H]1CCCCN(Cc2ccns2)C1 ZINC000602488211 349570421 /nfs/dbraw/zinc/57/04/21/349570421.db2.gz SZXCGOCNCOVDDA-SNVBAGLBSA-N 0 3 242.413 2.861 20 0 BFADHN CC[C@H](C)CCNCc1ccc(C)cn1 ZINC000400864256 488177483 /nfs/dbraw/zinc/17/74/83/488177483.db2.gz ODYNSIJFCGBIAL-NSHDSACASA-N 0 3 206.333 2.916 20 0 BFADHN C[C@@H](NC[C@@H]1C[C@H]1C1CC1)c1cscn1 ZINC000378768202 346827224 /nfs/dbraw/zinc/82/72/24/346827224.db2.gz ZCMXQWSDRASCHJ-MIMYLULJSA-N 0 3 222.357 2.840 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCCC2(F)F)on1 ZINC000381638767 398268178 /nfs/dbraw/zinc/26/81/78/398268178.db2.gz WQDKLWZHJAKNQM-JTQLQIEISA-N 0 3 244.285 2.898 20 0 BFADHN CCN(Cc1cncnc1OC)C1CCCCC1 ZINC000647998682 398268776 /nfs/dbraw/zinc/26/87/76/398268776.db2.gz CJWLGBDUVBMKAS-UHFFFAOYSA-N 0 3 249.358 2.640 20 0 BFADHN Cc1nocc1CN[C@H](C)Cc1ccsc1 ZINC000378854011 346844479 /nfs/dbraw/zinc/84/44/79/346844479.db2.gz IJGTYNSRPJWVHU-SECBINFHSA-N 0 3 236.340 2.765 20 0 BFADHN CCc1nn(C)cc1CN1CCC[C@H](C)[C@@H]1C ZINC000179942178 538956609 /nfs/dbraw/zinc/95/66/09/538956609.db2.gz YSELQEXRLIOPEO-RYUDHWBXSA-N 0 3 235.375 2.603 20 0 BFADHN Fc1cnccc1CNCC1CCCCC1 ZINC000449063657 398274625 /nfs/dbraw/zinc/27/46/25/398274625.db2.gz NMDZOSXJRZXFAG-UHFFFAOYSA-N 0 3 222.307 2.891 20 0 BFADHN CCC(CC)NC(=O)[C@@H](C)N(C)CC(C)(C)C ZINC000339260236 168381152 /nfs/dbraw/zinc/38/11/52/168381152.db2.gz XWMZNVAPAWRIDS-LLVKDONJSA-N 0 3 242.407 2.658 20 0 BFADHN CC(=O)c1ccc(CCN2CCCC2)cc1 ZINC000001666807 342707507 /nfs/dbraw/zinc/70/75/07/342707507.db2.gz CQEQOGAHNQONNN-UHFFFAOYSA-N 0 3 217.312 2.528 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cc2n(n1)CCCC2 ZINC000649480042 398277566 /nfs/dbraw/zinc/27/75/66/398277566.db2.gz RGXPXCGZTXHAFZ-LBPRGKRZSA-N 0 3 235.375 2.696 20 0 BFADHN CCC(CC)NC(=O)[C@@H](C)[C@@H](N)c1ccccc1 ZINC000131609697 168382053 /nfs/dbraw/zinc/38/20/53/168382053.db2.gz MXHRPCIAVGVOBJ-SMDDNHRTSA-N 0 3 248.370 2.627 20 0 BFADHN O[C@H]1CCN(Cc2ccc(F)cc2)C2(CCC2)C1 ZINC000335606744 136236177 /nfs/dbraw/zinc/23/61/77/136236177.db2.gz BLQRXXLLKVSDIK-AWEZNQCLSA-N 0 3 249.329 2.705 20 0 BFADHN c1cc(CN[C@@H]2CCC[C@H](C3CC3)C2)on1 ZINC000231885526 342741653 /nfs/dbraw/zinc/74/16/53/342741653.db2.gz AMUWFWUNTQFMCX-NWDGAFQWSA-N 0 3 220.316 2.733 20 0 BFADHN C[C@H](CCNCc1ccno1)c1ccccc1 ZINC000231884373 342741811 /nfs/dbraw/zinc/74/18/11/342741811.db2.gz MTXMAGDFZLWAQR-GFCCVEGCSA-N 0 3 230.311 2.958 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2ccno2)C1 ZINC000231882975 342742887 /nfs/dbraw/zinc/74/28/87/342742887.db2.gz LCOGXJMDGXMMLX-MNOVXSKESA-N 0 3 208.305 2.733 20 0 BFADHN CC(C)CCc1ccccc1NC(=O)[C@H](C)N ZINC000517743404 342746598 /nfs/dbraw/zinc/74/65/98/342746598.db2.gz UVYUPZVOWMLZNG-NSHDSACASA-N 0 3 234.343 2.561 20 0 BFADHN CCC[C@@H](NCC1(CO)CCC1)c1ccccn1 ZINC000184525117 538969085 /nfs/dbraw/zinc/96/90/85/538969085.db2.gz CXNNPJZTFYROOX-CYBMUJFWSA-N 0 3 248.370 2.675 20 0 BFADHN CCC[C@@H](NC[C@](C)(O)C1CC1)c1ccccn1 ZINC000346978948 398284012 /nfs/dbraw/zinc/28/40/12/398284012.db2.gz NSHCIDQWHBYBJL-HIFRSBDPSA-N 0 3 248.370 2.673 20 0 BFADHN CCCCCN1CCN(CCCCC)CC1 ZINC000039342949 538969499 /nfs/dbraw/zinc/96/94/99/538969499.db2.gz KWRUWUFKQVCJKG-UHFFFAOYSA-N 0 3 226.408 2.984 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](CO)C(C)C)o1 ZINC000562064375 323011314 /nfs/dbraw/zinc/01/13/14/323011314.db2.gz LBGRVMNJESLSSV-NEPJUHHUSA-N 0 3 239.359 2.757 20 0 BFADHN CCC1(NCc2ccncc2F)CCC1 ZINC000449178328 398281861 /nfs/dbraw/zinc/28/18/61/398281861.db2.gz SGZMCJLZXITIHN-UHFFFAOYSA-N 0 3 208.280 2.643 20 0 BFADHN Cc1ccc(N[C@H]2CO[C@@H](C)C2)c(CN(C)C)c1 ZINC000647195211 398282157 /nfs/dbraw/zinc/28/21/57/398282157.db2.gz DSBXBRNCZRNDHW-GXTWGEPZSA-N 0 3 248.370 2.646 20 0 BFADHN CC(C)n1cc(CN[C@H]2CCC[C@H](F)C2)cn1 ZINC000518183732 398282301 /nfs/dbraw/zinc/28/23/01/398282301.db2.gz LMSYSFGPNIQHPI-STQMWFEESA-N 0 3 239.338 2.834 20 0 BFADHN CC[C@](C)(O)CNCc1ccc(F)cc1Cl ZINC000083465595 398283057 /nfs/dbraw/zinc/28/30/57/398283057.db2.gz GXOGVRWMVZWKHM-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN CC(C)CCN(C)[C@@H](C)c1cnccn1 ZINC000184458896 538968751 /nfs/dbraw/zinc/96/87/51/538968751.db2.gz BIDSDVKOJSJJGW-NSHDSACASA-N 0 3 207.321 2.516 20 0 BFADHN CCn1cc(CN(C)CCC2CCCC2)cn1 ZINC000607732302 342783370 /nfs/dbraw/zinc/78/33/70/342783370.db2.gz SDJKZIGUWZGUSF-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN C[C@H]1CCC[C@H](N(C)Cc2cc3n(n2)CCC3)C1 ZINC000649487467 398284928 /nfs/dbraw/zinc/28/49/28/398284928.db2.gz DNJIOQMCTOFYIB-JSGCOSHPSA-N 0 3 247.386 2.840 20 0 BFADHN CCC(CC)N[C@@H](C)c1cc(C(=O)OC)co1 ZINC000278303480 168390678 /nfs/dbraw/zinc/39/06/78/168390678.db2.gz SCIDPKMLAFYVNI-VIFPVBQESA-N 0 3 239.315 2.905 20 0 BFADHN CCC(CC)N[C@@H](C)c1nccnc1C ZINC000187677558 168391110 /nfs/dbraw/zinc/39/11/10/168391110.db2.gz CRPVRZPXUXDAHV-JTQLQIEISA-N 0 3 207.321 2.624 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1ccncc1F ZINC000449300222 398290499 /nfs/dbraw/zinc/29/04/99/398290499.db2.gz DGZVEOGIQBIUEJ-GHMZBOCLSA-N 0 3 222.307 2.747 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1ccncc1F ZINC000449300225 398290703 /nfs/dbraw/zinc/29/07/03/398290703.db2.gz DGZVEOGIQBIUEJ-WDEREUQCSA-N 0 3 222.307 2.747 20 0 BFADHN CC(C)=CCCNCc1ccncc1F ZINC000449278400 398287792 /nfs/dbraw/zinc/28/77/92/398287792.db2.gz JCMXMHVRRIEMIL-UHFFFAOYSA-N 0 3 208.280 2.667 20 0 BFADHN CCC(CC)N[C@H](COC)c1ccco1 ZINC000122311901 168393085 /nfs/dbraw/zinc/39/30/85/168393085.db2.gz IPGARGTYIPZXLI-LLVKDONJSA-N 0 3 211.305 2.745 20 0 BFADHN COc1ncncc1CN[C@H]1CCC[C@@H](C)CC1 ZINC000647204728 398288728 /nfs/dbraw/zinc/28/87/28/398288728.db2.gz HSAUJLSDRLWFLV-YPMHNXCESA-N 0 3 249.358 2.544 20 0 BFADHN CCC[C@H](NCCOC(C)C)c1ccccn1 ZINC000186641442 538974179 /nfs/dbraw/zinc/97/41/79/538974179.db2.gz QJTZZMLXMKPYFP-ZDUSSCGKSA-N 0 3 236.359 2.937 20 0 BFADHN CCc1ccc(CN2CC3(CC3)C2)cc1 ZINC000449370470 398292356 /nfs/dbraw/zinc/29/23/56/398292356.db2.gz KGMTVAPHELUFIN-UHFFFAOYSA-N 0 3 201.313 2.845 20 0 BFADHN Cc1nc(CN[C@@H](C)[C@H]2CC2(C)C)cs1 ZINC000380233605 538987525 /nfs/dbraw/zinc/98/75/25/538987525.db2.gz LYRJMTBFGPTAHF-GZMMTYOYSA-N 0 3 224.373 2.976 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1cnc(C)s1)OC ZINC000380207892 538987597 /nfs/dbraw/zinc/98/75/97/538987597.db2.gz XJELMTKEKTZCCQ-WPRPVWTQSA-N 0 3 228.361 2.527 20 0 BFADHN Cc1ncc(-c2cc(CN(C)C)ccc2F)n1C ZINC000630053863 361924679 /nfs/dbraw/zinc/92/46/79/361924679.db2.gz WGTNYCVNCDGDKS-UHFFFAOYSA-N 0 3 247.317 2.596 20 0 BFADHN CN1CCC[C@@H](NCc2sccc2Cl)C1 ZINC000380349170 538988956 /nfs/dbraw/zinc/98/89/56/538988956.db2.gz UUKUMJLCLJUFMN-SECBINFHSA-N 0 3 244.791 2.585 20 0 BFADHN CC(C)c1ccc(CCNCc2ncc[nH]2)cc1 ZINC000067666796 342830045 /nfs/dbraw/zinc/83/00/45/342830045.db2.gz WTYCGZCLJDDDDY-UHFFFAOYSA-N 0 3 243.354 2.865 20 0 BFADHN COc1cccc(CN[C@@H]2CC[C@H]2C)c1F ZINC000380657857 538992003 /nfs/dbraw/zinc/99/20/03/538992003.db2.gz USYFIIZFORDPGP-MWLCHTKSSA-N 0 3 223.291 2.722 20 0 BFADHN COc1cccc(CN[C@H]2CC[C@H]2C)c1F ZINC000380657844 538992025 /nfs/dbraw/zinc/99/20/25/538992025.db2.gz USYFIIZFORDPGP-KOLCDFICSA-N 0 3 223.291 2.722 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1cnc(C)s1 ZINC000191638262 538992795 /nfs/dbraw/zinc/99/27/95/538992795.db2.gz JZYMYZAKVQDEPY-YIZRAAEISA-N 0 3 228.361 2.526 20 0 BFADHN CC[C@H](C)N[C@@H](C)c1ccncc1OC ZINC000188760520 538979854 /nfs/dbraw/zinc/97/98/54/538979854.db2.gz OTHWOJQPKWDBHZ-UWVGGRQHSA-N 0 3 208.305 2.539 20 0 BFADHN CC(F)(F)CCCNCc1ccncc1F ZINC000449355407 398291201 /nfs/dbraw/zinc/29/12/01/398291201.db2.gz YWGLLZYJNBDANX-UHFFFAOYSA-N 0 3 232.249 2.746 20 0 BFADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1ccco1 ZINC000088169614 398293626 /nfs/dbraw/zinc/29/36/26/398293626.db2.gz XMONSYJOCCTGRM-NTZNESFSSA-N 0 3 223.316 2.888 20 0 BFADHN CCc1cc(CNCC2(C)CCC2)on1 ZINC000379932039 538981905 /nfs/dbraw/zinc/98/19/05/538981905.db2.gz PFVDDCVBIXPXJA-UHFFFAOYSA-N 0 3 208.305 2.517 20 0 BFADHN CC[C@@H](NCc1cn(C)cn1)C1CCCCC1 ZINC000379966158 538982921 /nfs/dbraw/zinc/98/29/21/538982921.db2.gz LUFGLDNKFSMGNX-CQSZACIVSA-N 0 3 235.375 2.869 20 0 BFADHN CC(C)c1cc(CNC[C@@H]2CCSC2)on1 ZINC000381457878 539001339 /nfs/dbraw/zinc/00/13/39/539001339.db2.gz KAAWSDVOKZGMGR-JTQLQIEISA-N 0 3 240.372 2.641 20 0 BFADHN C[C@H](N[C@H]1CCC(F)(F)C1)c1cccnc1 ZINC000381516627 539002707 /nfs/dbraw/zinc/00/27/07/539002707.db2.gz SLYDNIRQJMAGEG-ONGXEEELSA-N 0 3 226.270 2.920 20 0 BFADHN CO[C@@H](C)CCN[C@H](C)c1nc(C)sc1C ZINC000381621793 539005158 /nfs/dbraw/zinc/00/51/58/539005158.db2.gz AICOBJUHYXXXGX-DTWKUNHWSA-N 0 3 242.388 2.836 20 0 BFADHN CCO[C@@H](CN[C@@H]1CCCOC1)c1ccccc1 ZINC000193424647 539005678 /nfs/dbraw/zinc/00/56/78/539005678.db2.gz OJUMQEXQBAGUKT-CABCVRRESA-N 0 3 249.354 2.533 20 0 BFADHN C[C@H](NCC1COC1)c1ccc(F)cc1Cl ZINC000381705645 539006111 /nfs/dbraw/zinc/00/61/11/539006111.db2.gz JCXPTYSVKRJXOK-QMMMGPOBSA-N 0 3 243.709 2.776 20 0 BFADHN C/C=C\CN[C@@H]1CCc2ccc(OC)cc21 ZINC000384726508 344005058 /nfs/dbraw/zinc/00/50/58/344005058.db2.gz IIUXTZLYLZLBEN-VQTKUKTRSA-N 0 3 217.312 2.848 20 0 BFADHN C[C@@H](c1ccccc1)N1CCSCC1 ZINC000077666121 488183171 /nfs/dbraw/zinc/18/31/71/488183171.db2.gz OGMYJXKPUUAAEZ-NSHDSACASA-N 0 3 207.342 2.796 20 0 BFADHN C(NC1CSC1)[C@@H]1CCC2(CCCCC2)O1 ZINC000381184615 538995503 /nfs/dbraw/zinc/99/55/03/538995503.db2.gz XFPPXIRGNLUCFP-LBPRGKRZSA-N 0 3 241.400 2.573 20 0 BFADHN CC1(C)CCC(CNCc2ccon2)CC1 ZINC000381190383 538996365 /nfs/dbraw/zinc/99/63/65/538996365.db2.gz YQUXPXLHEKNMJE-UHFFFAOYSA-N 0 3 222.332 2.981 20 0 BFADHN CC1=C[C@@H](C)C[C@H](CNCc2cocn2)C1 ZINC000381245858 538996549 /nfs/dbraw/zinc/99/65/49/538996549.db2.gz OEJOAGAWOSQZCQ-PWSUYJOCSA-N 0 3 220.316 2.757 20 0 BFADHN Cc1csc([C@H](C)N[C@H](C)CCCCO)n1 ZINC000381235032 538996690 /nfs/dbraw/zinc/99/66/90/538996690.db2.gz LTBLBHMRCPEELS-KOLCDFICSA-N 0 3 242.388 2.653 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CNCc2cocn2)C1 ZINC000381245865 538996694 /nfs/dbraw/zinc/99/66/94/538996694.db2.gz OEJOAGAWOSQZCQ-ZYHUDNBSSA-N 0 3 220.316 2.757 20 0 BFADHN CO[C@@H]1CCC[C@@H](NCc2ccc(Cl)o2)C1 ZINC000381331244 538998003 /nfs/dbraw/zinc/99/80/03/538998003.db2.gz TZDXPMYFWYPFQR-NXEZZACHSA-N 0 3 243.734 2.980 20 0 BFADHN CC(C)n1ccc(CN[C@@H](C)C2(C)CC2)n1 ZINC000381312302 538998325 /nfs/dbraw/zinc/99/83/25/538998325.db2.gz LXJHHHQJUALBMJ-NSHDSACASA-N 0 3 221.348 2.742 20 0 BFADHN C[C@@H](NCc1cccc(Cl)c1F)C(C)(C)O ZINC000381362006 538999236 /nfs/dbraw/zinc/99/92/36/538999236.db2.gz DHTHYFDAJSTVTE-MRVPVSSYSA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@@H](CN1CCCC1)Nc1ncc(C)s1 ZINC000381391804 538999711 /nfs/dbraw/zinc/99/97/11/538999711.db2.gz OIGGAIZGXWNQRD-NSHDSACASA-N 0 3 239.388 2.738 20 0 BFADHN C/C=C/CN[C@H]1CCc2cc(OC)c(OC)cc21 ZINC000624199118 342841861 /nfs/dbraw/zinc/84/18/61/342841861.db2.gz HDQWYJZHXYEKKH-IHVVCDCBSA-N 0 3 247.338 2.857 20 0 BFADHN Cc1n[nH]cc1[C@@H](C)N[C@@H](C)CC(F)(F)F ZINC000390329072 539021015 /nfs/dbraw/zinc/02/10/15/539021015.db2.gz KACFWGYKJIRKSQ-NKWVEPMBSA-N 0 3 235.253 2.710 20 0 BFADHN Cc1ccncc1CN[C@@H]1CCC(F)(F)C1 ZINC000390277161 539021216 /nfs/dbraw/zinc/02/12/16/539021216.db2.gz UCEINJUWYSGDCU-LLVKDONJSA-N 0 3 226.270 2.667 20 0 BFADHN Cc1ccncc1[C@@H](C)NCc1cc[nH]c1 ZINC000390406858 539021810 /nfs/dbraw/zinc/02/18/10/539021810.db2.gz BUPNLRQJXBCJHU-LLVKDONJSA-N 0 3 215.300 2.569 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCSC2)c(C)n1 ZINC000390456444 539022324 /nfs/dbraw/zinc/02/23/24/539022324.db2.gz DARTVNMWALIDRE-RYUDHWBXSA-N 0 3 236.384 2.855 20 0 BFADHN Cc1nnc(CN[C@H]2CCC[C@H](C)CC2)s1 ZINC000391860459 398296982 /nfs/dbraw/zinc/29/69/82/398296982.db2.gz XLJSWUBUZZGCEP-ONGXEEELSA-N 0 3 239.388 2.905 20 0 BFADHN CCOc1cccc([C@@H](C)NC2CSC2)c1 ZINC000390610129 539026786 /nfs/dbraw/zinc/02/67/86/539026786.db2.gz DVQZPFQLFHCSGC-SNVBAGLBSA-N 0 3 237.368 2.851 20 0 BFADHN Cc1cc(CN2CCC[C@H](CO)[C@H]2C)cs1 ZINC000335633185 136241578 /nfs/dbraw/zinc/24/15/78/136241578.db2.gz KTIHUEWSJPXYOG-DGCLKSJQSA-N 0 3 239.384 2.649 20 0 BFADHN C[C@@H](NCc1cc2ccccc2o1)C(C)(C)O ZINC000393971668 344007084 /nfs/dbraw/zinc/00/70/84/344007084.db2.gz IFUWLTJDWSZISY-SNVBAGLBSA-N 0 3 233.311 2.682 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)Nc1cc(C)cc(C)c1 ZINC000011959719 342845160 /nfs/dbraw/zinc/84/51/60/342845160.db2.gz VXFVJPCTADMQRV-YPMHNXCESA-N 0 3 234.343 2.615 20 0 BFADHN C[C@H](NCc1ccno1)[C@H]1CC2CCC1CC2 ZINC000382047087 398300673 /nfs/dbraw/zinc/30/06/73/398300673.db2.gz FEXDTUCPCYVEJD-DLOFLVKXSA-N 0 3 234.343 2.979 20 0 BFADHN Cc1ccc(NC(=O)[C@@H](N)CC(C)C)cc1C ZINC000011961117 342845388 /nfs/dbraw/zinc/84/53/88/342845388.db2.gz QZPQVFMYQQLQJN-ZDUSSCGKSA-N 0 3 234.343 2.615 20 0 BFADHN CCC[C@H](C)CCCN(CC)CC(=O)NCC ZINC000625058320 342846879 /nfs/dbraw/zinc/84/68/79/342846879.db2.gz TXYNTUQKNBXMLW-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN c1c(CN2CCC[C@@H]2C2CC2)nn2c1CCCC2 ZINC000649508845 398301681 /nfs/dbraw/zinc/30/16/81/398301681.db2.gz HGARAMVZPQMTKA-OAHLLOKOSA-N 0 3 245.370 2.594 20 0 BFADHN CCN(Cc1cc(CO)ccc1F)CC(C)C ZINC000625080959 342850091 /nfs/dbraw/zinc/85/00/91/342850091.db2.gz IGBGUAHMSPYQOR-UHFFFAOYSA-N 0 3 239.334 2.796 20 0 BFADHN CC[C@H](NC[C@]1(C)C[C@H]2C[C@H]2C1)c1nccn1C ZINC000624200867 342852356 /nfs/dbraw/zinc/85/23/56/342852356.db2.gz UQBDFYRCCSGLQD-XFMPKHEZSA-N 0 3 247.386 2.897 20 0 BFADHN CC(C)Cn1nccc1CN1C[C@@H]2CCC[C@H]2C1 ZINC000648008816 398299889 /nfs/dbraw/zinc/29/98/89/398299889.db2.gz AAGJKMVQTSKUOB-KBPBESRZSA-N 0 3 247.386 2.771 20 0 BFADHN CCCc1ncc(CN[C@H]2CC[C@@H]2C2CC2)o1 ZINC000449612693 398303612 /nfs/dbraw/zinc/30/36/12/398303612.db2.gz NYPLGUXBGGMOAZ-OLZOCXBDSA-N 0 3 234.343 2.905 20 0 BFADHN Cc1occc1CN[C@H](C)[C@]1(C)CCCO1 ZINC000382107679 398305171 /nfs/dbraw/zinc/30/51/71/398305171.db2.gz JBQFEOUDGZUHKE-YPMHNXCESA-N 0 3 223.316 2.635 20 0 BFADHN CC1(C2(NCc3ccncc3F)CC2)CC1 ZINC000449664582 398305527 /nfs/dbraw/zinc/30/55/27/398305527.db2.gz BZLWLCYLMSACRN-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN c1ccc2c(c1)CCN(CCOCC1CC1)CC2 ZINC000121182666 398307212 /nfs/dbraw/zinc/30/72/12/398307212.db2.gz XIMAOWHQRLTNPB-UHFFFAOYSA-N 0 3 245.366 2.514 20 0 BFADHN CC[C@@H](CSC)N[C@H](C)c1c[nH]nc1C ZINC000134598549 342872716 /nfs/dbraw/zinc/87/27/16/342872716.db2.gz LWKWGGSEHRVZCV-SCZZXKLOSA-N 0 3 227.377 2.510 20 0 BFADHN FC(F)c1ccc(CN[C@@H]2CCSC2)cn1 ZINC000631086086 342876163 /nfs/dbraw/zinc/87/61/63/342876163.db2.gz YMQGVKRHQATHSZ-SECBINFHSA-N 0 3 244.310 2.614 20 0 BFADHN CCC[C@H](N)C(=O)N([C@@H](C)[C@@H](C)CC)C1CC1 ZINC000236791364 398316722 /nfs/dbraw/zinc/31/67/22/398316722.db2.gz QGLSLJBOAJHLCU-GVXVVHGQSA-N 0 3 240.391 2.539 20 0 BFADHN COc1cc([C@H](C)NC2CC(C)(F)C2)ccn1 ZINC000584004373 342900070 /nfs/dbraw/zinc/90/00/70/342900070.db2.gz JKYXRHOXRXIHIV-FJJSSXBZSA-N 0 3 238.306 2.631 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1ccnn1CC1CCC1 ZINC000631134982 342886252 /nfs/dbraw/zinc/88/62/52/342886252.db2.gz JOLXUEFIBIXADL-RISCZKNCSA-N 0 3 233.359 2.571 20 0 BFADHN OCc1ccc(F)c(CN2CCC[C@@H]2C2CC2)c1 ZINC000625136487 342887551 /nfs/dbraw/zinc/88/75/51/342887551.db2.gz RTEALZMQINBEQY-OAHLLOKOSA-N 0 3 249.329 2.692 20 0 BFADHN Cc1cc(CN[C@H]2c3ccccc3C[C@H]2C)n[nH]1 ZINC000600815621 398313081 /nfs/dbraw/zinc/31/30/81/398313081.db2.gz HIJIFLJZRVFBCX-MEBBXXQBSA-N 0 3 241.338 2.741 20 0 BFADHN Cc1cc(CN[C@H]2c3ccccc3C[C@H]2C)[nH]n1 ZINC000600815621 398313082 /nfs/dbraw/zinc/31/30/82/398313082.db2.gz HIJIFLJZRVFBCX-MEBBXXQBSA-N 0 3 241.338 2.741 20 0 BFADHN CCOc1ccccc1CN(C)C(C)C ZINC000096969898 398314361 /nfs/dbraw/zinc/31/43/61/398314361.db2.gz ZVTHMHNOGFDIBX-UHFFFAOYSA-N 0 3 207.317 2.926 20 0 BFADHN FC(F)c1ccc(CN[C@@H]2CCCOC2)cc1 ZINC000150148010 398314673 /nfs/dbraw/zinc/31/46/73/398314673.db2.gz KKUCUKZVEXQOQG-GFCCVEGCSA-N 0 3 241.281 2.893 20 0 BFADHN CC[C@@H](CO)NCc1ccc(C)cc1Cl ZINC000233877582 342913288 /nfs/dbraw/zinc/91/32/88/342913288.db2.gz GWZKZUQMLSCYPD-NSHDSACASA-N 0 3 227.735 2.509 20 0 BFADHN Cc1nocc1CN1CC[C@@H](CC(C)C)C1 ZINC000351569040 136246944 /nfs/dbraw/zinc/24/69/44/136246944.db2.gz QIPXQAOYGSOLPX-LBPRGKRZSA-N 0 3 222.332 2.851 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCc3ncccc32)[C@@H](C)O1 ZINC000631699848 398324465 /nfs/dbraw/zinc/32/44/65/398324465.db2.gz BUPZGJBXHGDVBA-FIXIBIHLSA-N 0 3 246.354 2.615 20 0 BFADHN COc1ccc(C)cc1[C@H](C)N[C@@H]1CO[C@@H](C)C1 ZINC000401937380 259329061 /nfs/dbraw/zinc/32/90/61/259329061.db2.gz CRZCWWDBZVOMMR-AVGNSLFASA-N 0 3 249.354 2.832 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCCc3ncccc32)[C@H](C)O1 ZINC000631699850 398324644 /nfs/dbraw/zinc/32/46/44/398324644.db2.gz BUPZGJBXHGDVBA-PKIAMQTDSA-N 0 3 246.354 2.615 20 0 BFADHN Cc1ccc(CNCCc2ccccc2)o1 ZINC000016970117 342918106 /nfs/dbraw/zinc/91/81/06/342918106.db2.gz MNLRMFFORZNGKK-UHFFFAOYSA-N 0 3 215.296 2.920 20 0 BFADHN CCOC[C@H](N[C@H](C)c1ccoc1)C(C)C ZINC000189361188 346874009 /nfs/dbraw/zinc/87/40/09/346874009.db2.gz UZCKYBNCJQSICG-YPMHNXCESA-N 0 3 225.332 2.991 20 0 BFADHN CC(C)CN(C)Cc1ccc(Cl)nc1 ZINC000037108517 342921126 /nfs/dbraw/zinc/92/11/26/342921126.db2.gz AVDJONBRLAJJRY-UHFFFAOYSA-N 0 3 212.724 2.823 20 0 BFADHN CC[C@@H](CNC/C=C\c1ccc(F)cc1)OC ZINC000353071513 398326953 /nfs/dbraw/zinc/32/69/53/398326953.db2.gz KALRGBDGRPWXJT-WSNITJDQSA-N 0 3 237.318 2.854 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1cc(OC)ccn1 ZINC000466927328 529423313 /nfs/dbraw/zinc/42/33/13/529423313.db2.gz QWDFUFQHWYTBMA-DGCLKSJQSA-N 0 3 234.343 2.711 20 0 BFADHN CCCC[C@](C)(CO)NCc1ccoc1C ZINC000647240456 398328079 /nfs/dbraw/zinc/32/80/79/398328079.db2.gz SICAXSAYOMTCQI-CYBMUJFWSA-N 0 3 225.332 2.619 20 0 BFADHN Cc1cc(CN[C@H]2COCC2(C)C)c(C)s1 ZINC000313055777 259330266 /nfs/dbraw/zinc/33/02/66/259330266.db2.gz IVHOIUWKMLCGFJ-LBPRGKRZSA-N 0 3 239.384 2.880 20 0 BFADHN CCc1ccccc1CN[C@@H]1COCC1(C)C ZINC000313022806 259329809 /nfs/dbraw/zinc/32/98/09/259329809.db2.gz DTXMERXKHJIDNU-CQSZACIVSA-N 0 3 233.355 2.764 20 0 BFADHN CC[C@H](C)C[C@@H](CO)NCc1ccsc1 ZINC000647244431 398330599 /nfs/dbraw/zinc/33/05/99/398330599.db2.gz ZSIQIKDVIFVBJF-JQWIXIFHSA-N 0 3 227.373 2.635 20 0 BFADHN Cc1cc(-c2ccc(F)cc2)n(CCN(C)C)n1 ZINC000634588973 342935365 /nfs/dbraw/zinc/93/53/65/342935365.db2.gz KIFCOFXUIRPBFH-UHFFFAOYSA-N 0 3 247.317 2.559 20 0 BFADHN Cc1ccc(CNC(C)C)c(O[C@@H]2CCOC2)c1 ZINC000125778509 398339344 /nfs/dbraw/zinc/33/93/44/398339344.db2.gz JLVGCJKGFGUAHE-CQSZACIVSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1nc(C(C)C)sc1[C@H](C)N(C)CCO ZINC000120951873 398337483 /nfs/dbraw/zinc/33/74/83/398337483.db2.gz YRWSBZBNZCSIQO-JTQLQIEISA-N 0 3 242.388 2.560 20 0 BFADHN Cc1ccncc1CN1CCCC[C@H]1C[C@H](C)O ZINC000355110982 398331429 /nfs/dbraw/zinc/33/14/29/398331429.db2.gz COHJHSARBKZSPW-ZFWWWQNUSA-N 0 3 248.370 2.515 20 0 BFADHN CCc1ccc([C@H](CO)NCC[C@@H](C)F)cc1 ZINC000351750871 136250583 /nfs/dbraw/zinc/25/05/83/136250583.db2.gz BZVVIKCJAHIORE-RISCZKNCSA-N 0 3 239.334 2.620 20 0 BFADHN Cc1ccc([C@H](C)NC(=O)[C@H](N)CC(C)C)cc1 ZINC000037764950 398334249 /nfs/dbraw/zinc/33/42/49/398334249.db2.gz GUTGYOATVVZOEK-GXTWGEPZSA-N 0 3 248.370 2.546 20 0 BFADHN CC(C)CCN(C)C(=O)C[C@@H](N)c1ccccc1 ZINC000037817913 398334645 /nfs/dbraw/zinc/33/46/45/398334645.db2.gz ZJQLYQZWTJSWGQ-CQSZACIVSA-N 0 3 248.370 2.581 20 0 BFADHN C[C@H](NCc1cc[nH]c(=O)c1)c1ccsc1 ZINC000392769639 398335211 /nfs/dbraw/zinc/33/52/11/398335211.db2.gz VOSVHEANHBZCFU-VIFPVBQESA-N 0 3 234.324 2.700 20 0 BFADHN Fc1cccc(CNCC[C@H]2CCCO2)c1F ZINC000037989870 398335413 /nfs/dbraw/zinc/33/54/13/398335413.db2.gz JXKWZWIBYAGLFG-LLVKDONJSA-N 0 3 241.281 2.624 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2C[C@H](O)C2(C)C)o1 ZINC000129423842 398340000 /nfs/dbraw/zinc/34/00/00/398340000.db2.gz ADJPOEUFSRUSGT-MWQHPAIMSA-N 0 3 249.354 2.652 20 0 BFADHN CCC[C@@H](O)CNCc1ccc(F)cc1Cl ZINC000133106829 398341267 /nfs/dbraw/zinc/34/12/67/398341267.db2.gz OUQJHWUYWISVCA-LLVKDONJSA-N 0 3 245.725 2.730 20 0 BFADHN C[C@H](CCCO)NCc1ccc(Cl)cc1F ZINC000133113075 398341312 /nfs/dbraw/zinc/34/13/12/398341312.db2.gz LLNFDANZLUZSKS-SECBINFHSA-N 0 3 245.725 2.730 20 0 BFADHN C[C@H](NC1CC(C)(C)C1)c1nnc2ccccn21 ZINC000335786142 398342723 /nfs/dbraw/zinc/34/27/23/398342723.db2.gz BPJUCNVABQXYRZ-JTQLQIEISA-N 0 3 244.342 2.569 20 0 BFADHN Cc1cnc(CCN(C)CC[C@H](C)F)s1 ZINC000351759790 136252572 /nfs/dbraw/zinc/25/25/72/136252572.db2.gz LMNCVHXMBVEOFD-VIFPVBQESA-N 0 3 230.352 2.674 20 0 BFADHN Cc1ccc(CN(CCO)CC[C@H](C)F)cc1 ZINC000351762527 136252971 /nfs/dbraw/zinc/25/29/71/136252971.db2.gz ZNRDZXRXTUIBOT-ZDUSSCGKSA-N 0 3 239.334 2.537 20 0 BFADHN CN(Cc1cc[nH]n1)c1ccnc2ccccc21 ZINC000351828980 136256170 /nfs/dbraw/zinc/25/61/70/136256170.db2.gz KQDSGCWBUFNZHH-UHFFFAOYSA-N 0 3 238.294 2.594 20 0 BFADHN COC1CC(N(C)Cc2cc(F)cc(F)c2)C1 ZINC000352200750 536717013 /nfs/dbraw/zinc/71/70/13/536717013.db2.gz BOKQFCYLDCUIGL-UHFFFAOYSA-N 0 3 241.281 2.574 20 0 BFADHN CCC[C@H](NCc1cc[nH]n1)C1CCCC1 ZINC000313414967 259335079 /nfs/dbraw/zinc/33/50/79/259335079.db2.gz SIGUWVDVEPCWKM-ZDUSSCGKSA-N 0 3 221.348 2.858 20 0 BFADHN CN1CCC(Nc2cccc3ncccc32)CC1 ZINC000019906782 342962896 /nfs/dbraw/zinc/96/28/96/342962896.db2.gz YTODOZSACCEIBV-UHFFFAOYSA-N 0 3 241.338 2.741 20 0 BFADHN COC1CC(N(C)Cc2ccc(F)c(F)c2)C1 ZINC000352212703 536718069 /nfs/dbraw/zinc/71/80/69/536718069.db2.gz RJIHNNYGMLETRI-UHFFFAOYSA-N 0 3 241.281 2.574 20 0 BFADHN Clc1ccccc1CN[C@@H]1CCSC1 ZINC000019908179 342962932 /nfs/dbraw/zinc/96/29/32/342962932.db2.gz WPMGEXUPWXOKSR-SNVBAGLBSA-N 0 3 227.760 2.935 20 0 BFADHN COC1CC(N(C)Cc2sccc2C)C1 ZINC000348742290 536718698 /nfs/dbraw/zinc/71/86/98/536718698.db2.gz UKJHKXFCWJDMOH-UHFFFAOYSA-N 0 3 225.357 2.666 20 0 BFADHN COC[C@H](C)N[C@H]1CCSc2ccccc21 ZINC000019904848 342962363 /nfs/dbraw/zinc/96/23/63/342962363.db2.gz SNBBARCRUFKXQX-JQWIXIFHSA-N 0 3 237.368 2.848 20 0 BFADHN C[C@@H](N[C@H](C1CC1)C1CCC1)c1ncc[nH]1 ZINC000453442871 488192693 /nfs/dbraw/zinc/19/26/93/488192693.db2.gz YELMFNMSZCSYCI-SKDRFNHKSA-N 0 3 219.332 2.639 20 0 BFADHN CCN(Cc1cnc(C)nc1)[C@@H](C)C(C)C ZINC000351851480 136257636 /nfs/dbraw/zinc/25/76/36/136257636.db2.gz AOVGLLNWEHACMB-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN Cc1ccc2nc(CN3C[C@H](C)C[C@@H]3C)cn2c1 ZINC000084088659 342968149 /nfs/dbraw/zinc/96/81/49/342968149.db2.gz SZFDPUBWAZIELQ-OLZOCXBDSA-N 0 3 243.354 2.873 20 0 BFADHN COC(C)(C)C[C@H](C)N[C@@H](C)c1ccccn1 ZINC000020524546 342989967 /nfs/dbraw/zinc/98/99/67/342989967.db2.gz DNKHVQQPEOAPIO-RYUDHWBXSA-N 0 3 236.359 2.936 20 0 BFADHN CSC1CCN(Cc2ncccc2C)CC1 ZINC000602725648 349715121 /nfs/dbraw/zinc/71/51/21/349715121.db2.gz KYRNMRGNNXKQQP-UHFFFAOYSA-N 0 3 236.384 2.717 20 0 BFADHN CC[C@H]1CCCN([C@@H](CC(C)C)C(=O)OC)C1 ZINC000351853682 136258354 /nfs/dbraw/zinc/25/83/54/136258354.db2.gz FKYOKGRYDXAGPZ-STQMWFEESA-N 0 3 241.375 2.696 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccsc1)c1ccnn1C ZINC000235135811 342987675 /nfs/dbraw/zinc/98/76/75/342987675.db2.gz QEQSKSVUVSYIGO-WDEREUQCSA-N 0 3 249.383 2.763 20 0 BFADHN CCn1ccnc1CN1CC(C)(C)[C@@H]2CCC[C@H]21 ZINC000335902417 136259678 /nfs/dbraw/zinc/25/96/78/136259678.db2.gz OHUCFDBWBGAUHX-CHWSQXEVSA-N 0 3 247.386 2.914 20 0 BFADHN CCN(C#N)CCN1CCC[C@H]1c1ccccc1 ZINC000602758115 349732434 /nfs/dbraw/zinc/73/24/34/349732434.db2.gz KYRVLUXVZKKQNU-HNNXBMFYSA-N 0 3 243.354 2.626 20 0 BFADHN CCC[C@H]1CCCN(Cc2cnc(C)nc2)C1 ZINC000351872366 136260689 /nfs/dbraw/zinc/26/06/89/136260689.db2.gz XQIIRWRUEYAPTI-ZDUSSCGKSA-N 0 3 233.359 2.797 20 0 BFADHN Cc1cccc(CN[C@@H]2CCC2(C)C)n1 ZINC000308844189 259341004 /nfs/dbraw/zinc/34/10/04/259341004.db2.gz ZMGGYFZFDKXXCR-GFCCVEGCSA-N 0 3 204.317 2.668 20 0 BFADHN CCc1cccnc1[C@H](C)N[C@H]1CC[C@@H](OC)C1 ZINC000471789000 529623724 /nfs/dbraw/zinc/62/37/24/529623724.db2.gz CKUBKENWVBGMTQ-FPMFFAJLSA-N 0 3 248.370 2.862 20 0 BFADHN CC[C@H](C(=O)OC)N1CCC[C@H](C(C)(C)C)C1 ZINC000483199506 529626328 /nfs/dbraw/zinc/62/63/28/529626328.db2.gz ORLUNJIPBKERHL-NWDGAFQWSA-N 0 3 241.375 2.696 20 0 BFADHN CCc1ccc(CCN2CCO[C@H](C)[C@H]2C)cc1 ZINC000483477528 529627694 /nfs/dbraw/zinc/62/76/94/529627694.db2.gz RHRHTFAZXQEYNR-ZIAGYGMSSA-N 0 3 247.382 2.901 20 0 BFADHN CC(C)C[C@@H](C)CNCc1ncccc1F ZINC000309335002 259342743 /nfs/dbraw/zinc/34/27/43/259342743.db2.gz IUOGBGRDKLKRHD-LLVKDONJSA-N 0 3 224.323 2.993 20 0 BFADHN Cc1ccc(CNC[C@H](C)CCO)c(Cl)c1 ZINC000235428492 343035082 /nfs/dbraw/zinc/03/50/82/343035082.db2.gz ZTSFJFSYEUDMDO-LLVKDONJSA-N 0 3 241.762 2.757 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC[C@@H]2c2ccccn2)O1 ZINC000530549397 343045592 /nfs/dbraw/zinc/04/55/92/343045592.db2.gz SQSGLAJMGUFTGT-VNHYZAJKSA-N 0 3 246.354 2.786 20 0 BFADHN Cc1ccc(CN[C@H](C)C[C@H](C)O)c(Cl)c1 ZINC000235543837 343048380 /nfs/dbraw/zinc/04/83/80/343048380.db2.gz SRRUJYMVMAXHCG-MNOVXSKESA-N 0 3 241.762 2.897 20 0 BFADHN C[C@@H]1CC[C@H](CN2C[C@H](C)C(F)(F)[C@@H](C)C2)O1 ZINC000625655713 344025900 /nfs/dbraw/zinc/02/59/00/344025900.db2.gz WOFINQRNZDLOIP-NNYUYHANSA-N 0 3 247.329 2.777 20 0 BFADHN Cc1ccc(CNCCn2cccn2)c(Cl)c1 ZINC000235873358 343064756 /nfs/dbraw/zinc/06/47/56/343064756.db2.gz PAORORUDBIYXOK-UHFFFAOYSA-N 0 3 249.745 2.635 20 0 BFADHN C[C@@H]1SCCN(CCC(F)(F)F)[C@H]1C ZINC000077317993 343099679 /nfs/dbraw/zinc/09/96/79/343099679.db2.gz QELYWGPERIOFGF-YUMQZZPRSA-N 0 3 227.295 2.765 20 0 BFADHN CC[C@H](C)N(C)CC(=O)N(C)C1CCCCC1 ZINC000173294345 529687361 /nfs/dbraw/zinc/68/73/61/529687361.db2.gz KXFASCVJFJNBOK-LBPRGKRZSA-N 0 3 240.391 2.508 20 0 BFADHN CCC(CC)Cn1cc([C@H](N)C(C)(C)C)nn1 ZINC000640938394 362082094 /nfs/dbraw/zinc/08/20/94/362082094.db2.gz AEOUSUFTSCQEQH-LBPRGKRZSA-N 0 3 238.379 2.760 20 0 BFADHN CC(C)(C)[C@H](N)c1cn([C@H]2C=CCCC2)nn1 ZINC000640945914 362088301 /nfs/dbraw/zinc/08/83/01/362088301.db2.gz RHGPTNHNJPOEHG-CMPLNLGQSA-N 0 3 234.347 2.605 20 0 BFADHN CCC(CC)(CC)NCc1cncc(OC)n1 ZINC000625257744 343124340 /nfs/dbraw/zinc/12/43/40/343124340.db2.gz RMOWFZFPIWNHAY-UHFFFAOYSA-N 0 3 237.347 2.544 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1ccno1 ZINC000229592880 343126145 /nfs/dbraw/zinc/12/61/45/343126145.db2.gz RZQHTWAKEZLPNE-ZYHUDNBSSA-N 0 3 208.305 2.733 20 0 BFADHN Cn1nc(CNC2CCCCC2)c2ccccc21 ZINC000112337986 343130046 /nfs/dbraw/zinc/13/00/46/343130046.db2.gz MUBPWLXCTDBJCY-UHFFFAOYSA-N 0 3 243.354 2.996 20 0 BFADHN CC[C@H](C)N(C)Cc1cnc2ccccn12 ZINC000172724555 529691272 /nfs/dbraw/zinc/69/12/72/529691272.db2.gz VRPOZEYJYBLEEH-NSHDSACASA-N 0 3 217.316 2.565 20 0 BFADHN C[C@H](c1cccnc1)N1C[C@@H](C)S[C@@H](C)C1 ZINC000525274551 259354154 /nfs/dbraw/zinc/35/41/54/259354154.db2.gz AMFXMZMLIHKXCD-GRYCIOLGSA-N 0 3 236.384 2.968 20 0 BFADHN CCCN(C)Cc1cccnc1C(F)(F)F ZINC000528366306 262919462 /nfs/dbraw/zinc/91/94/62/262919462.db2.gz USIJSBLJVOOVNE-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN CCO[C@H](CN(C)[C@@H](C)c1cccnc1)C1CC1 ZINC000625270981 343174692 /nfs/dbraw/zinc/17/46/92/343174692.db2.gz NMVFUFDUGLNWII-SWLSCSKDSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2ccc(F)cc2F)O1 ZINC000128458416 343174843 /nfs/dbraw/zinc/17/48/43/343174843.db2.gz JGQSGPZJVAFRLE-BXKDBHETSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H](NCC(C)(C)C(C)(C)O)c1cncs1 ZINC000393588371 259356703 /nfs/dbraw/zinc/35/67/03/259356703.db2.gz ZKURDHQBIPOGQP-VIFPVBQESA-N 0 3 242.388 2.591 20 0 BFADHN CCCC[C@H](C)NCc1ccc(F)cn1 ZINC000309207897 136274921 /nfs/dbraw/zinc/27/49/21/136274921.db2.gz SIBOBCQLECXTKX-JTQLQIEISA-N 0 3 210.296 2.889 20 0 BFADHN CC[C@H](O)CN[C@H](C)c1ccc(Cl)c(F)c1 ZINC000162395967 343205155 /nfs/dbraw/zinc/20/51/55/343205155.db2.gz QIKYKHSBGZLNNA-SCZZXKLOSA-N 0 3 245.725 2.901 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1ncc(C)cn1 ZINC000334143888 343213578 /nfs/dbraw/zinc/21/35/78/343213578.db2.gz VWEMUKCPBWTPQK-CYBMUJFWSA-N 0 3 233.359 2.940 20 0 BFADHN COc1cncc(CN[C@@H](C)C2CCCCC2)n1 ZINC000625283122 343219319 /nfs/dbraw/zinc/21/93/19/343219319.db2.gz GVPNGKXBGMUDMS-NSHDSACASA-N 0 3 249.358 2.544 20 0 BFADHN CCO[C@H](CN[C@@H](C)c1cccnc1)C1CC1 ZINC000625297143 343225496 /nfs/dbraw/zinc/22/54/96/343225496.db2.gz VVTBLDVWLPSPPW-SMDDNHRTSA-N 0 3 234.343 2.547 20 0 BFADHN c1ncc(CNC[C@H]2CCCCS2)s1 ZINC000087684188 136282258 /nfs/dbraw/zinc/28/22/58/136282258.db2.gz RZUFTGBELADFNQ-SECBINFHSA-N 0 3 228.386 2.518 20 0 BFADHN CCO[C@@H](CN[C@H](C)c1cnccc1C)C1CC1 ZINC000625320789 343234311 /nfs/dbraw/zinc/23/43/11/343234311.db2.gz ZOBNFJGGJRWKAN-DOMZBBRYSA-N 0 3 248.370 2.856 20 0 BFADHN C[C@H](NC[C@H]1CC(C)(C)CO1)c1cccc(O)c1 ZINC000634686726 343235081 /nfs/dbraw/zinc/23/50/81/343235081.db2.gz QMVPTEGEEYBEOJ-SMDDNHRTSA-N 0 3 249.354 2.858 20 0 BFADHN COc1cncc(CN[C@@H]2CCCCC2(C)C)n1 ZINC000625331092 343235807 /nfs/dbraw/zinc/23/58/07/343235807.db2.gz PLRJAOLOGBMHBA-GFCCVEGCSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@H](O)CNC1c2ccccc2-c2ccccc21 ZINC000035101378 343284517 /nfs/dbraw/zinc/28/45/17/343284517.db2.gz LJCRCHNKSHGUMI-NSHDSACASA-N 0 3 239.318 2.727 20 0 BFADHN COC[C@H](C)N[C@@H](C)c1ccc(OC)c(F)c1 ZINC000019905142 343260956 /nfs/dbraw/zinc/26/09/56/343260956.db2.gz MPIHAYYSWSMRGZ-UWVGGRQHSA-N 0 3 241.306 2.520 20 0 BFADHN COC(=O)CCN[C@H](C)c1ccc(Cl)cc1 ZINC000034937613 343281194 /nfs/dbraw/zinc/28/11/94/343281194.db2.gz MPDMVDNNQLEPHS-SECBINFHSA-N 0 3 241.718 2.554 20 0 BFADHN CCCCCNCc1cc(C(=O)OC)c(C)o1 ZINC000034981181 343282200 /nfs/dbraw/zinc/28/22/00/343282200.db2.gz JJDDTASAJWEALN-UHFFFAOYSA-N 0 3 239.315 2.654 20 0 BFADHN Cc1ccc(CNCc2cccnc2)cc1C ZINC000034991000 343282338 /nfs/dbraw/zinc/28/23/38/343282338.db2.gz YXVOIVUDWYJIQB-UHFFFAOYSA-N 0 3 226.323 2.988 20 0 BFADHN Cc1n[nH]c(C)c1CNCc1ccc(C)cc1 ZINC000036864612 343325586 /nfs/dbraw/zinc/32/55/86/343325586.db2.gz XWHMYTVPHLOJSS-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN CCC[C@H](N)C(=O)N[C@H](C)c1ccc(CC)cc1 ZINC000037016591 343330484 /nfs/dbraw/zinc/33/04/84/343330484.db2.gz GMXREPZBJPKNOF-RISCZKNCSA-N 0 3 248.370 2.554 20 0 BFADHN CCC[C@H](N)C(=O)N[C@@H](C)c1ccc(CC)cc1 ZINC000037016589 343330522 /nfs/dbraw/zinc/33/05/22/343330522.db2.gz GMXREPZBJPKNOF-FZMZJTMJSA-N 0 3 248.370 2.554 20 0 BFADHN CCC[C@H](N)C(=O)N[C@@H](CCC)c1ccccc1 ZINC000037030098 343331742 /nfs/dbraw/zinc/33/17/42/343331742.db2.gz ZVKYCXJFEFSADL-KBPBESRZSA-N 0 3 248.370 2.771 20 0 BFADHN CN(C)Cc1cccc(NCc2ccccn2)c1 ZINC000035275982 343292334 /nfs/dbraw/zinc/29/23/34/343292334.db2.gz WXYHZJMWMRVXPA-UHFFFAOYSA-N 0 3 241.338 2.755 20 0 BFADHN CC[C@@H](NCc1ccnn1C)c1ccc(C)cc1 ZINC000037048216 343332422 /nfs/dbraw/zinc/33/24/22/343332422.db2.gz PWMZRKGOTWCCJY-OAHLLOKOSA-N 0 3 243.354 2.969 20 0 BFADHN COc1cc(CN(C)[C@H]2CCCOC2)ccc1C ZINC000348711886 136293673 /nfs/dbraw/zinc/29/36/73/136293673.db2.gz SILKWMZDWUZMIS-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@H](O)CN[C@@H](C)c1ccccc1C(F)(F)F ZINC000035602003 343298906 /nfs/dbraw/zinc/29/89/06/343298906.db2.gz XRKYDLCVIHWXFR-IUCAKERBSA-N 0 3 247.260 2.737 20 0 BFADHN C[C@@H](CO)N[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000035602484 343299311 /nfs/dbraw/zinc/29/93/11/343299311.db2.gz GOOYVLOTENHKFB-IUCAKERBSA-N 0 3 247.260 2.737 20 0 BFADHN C[C@H](CO)N[C@H](C)c1ccc(F)c(Cl)c1 ZINC000035602426 343299391 /nfs/dbraw/zinc/29/93/91/343299391.db2.gz IYPOQQCYAPLJBD-HTQZYQBOSA-N 0 3 231.698 2.511 20 0 BFADHN C[C@H](CO)NC1c2ccccc2-c2ccccc21 ZINC000035603435 343299998 /nfs/dbraw/zinc/29/99/98/343299998.db2.gz UULFVLJJUDOTCT-LLVKDONJSA-N 0 3 239.318 2.727 20 0 BFADHN c1cc(CN2CC(C3CCC3)C2)cc2c1OCC2 ZINC000348715169 136294105 /nfs/dbraw/zinc/29/41/05/136294105.db2.gz OXAJZRHSGOAYOS-UHFFFAOYSA-N 0 3 243.350 2.853 20 0 BFADHN CCN(Cc1coc2ccccc12)C[C@@H](C)O ZINC000348717858 136294299 /nfs/dbraw/zinc/29/42/99/136294299.db2.gz VZDSJYJJYOGOFP-LLVKDONJSA-N 0 3 233.311 2.636 20 0 BFADHN Cc1cscc1N[C@@H]1CCN(C)[C@H](C)C1 ZINC000335612367 136295598 /nfs/dbraw/zinc/29/55/98/136295598.db2.gz CKVOPPQBOKVXNU-GHMZBOCLSA-N 0 3 224.373 2.951 20 0 BFADHN Fc1ccc(CNC2CCOCC2)c(Cl)c1 ZINC000036319756 343315357 /nfs/dbraw/zinc/31/53/57/343315357.db2.gz ZFVFOZGNLBQMAP-UHFFFAOYSA-N 0 3 243.709 2.748 20 0 BFADHN CCc1noc(CN2[C@H](C)C[C@H]3CCCC[C@@H]32)n1 ZINC000118850991 343357036 /nfs/dbraw/zinc/35/70/36/343357036.db2.gz SSGYGIRJFTWPFQ-UTUOFQBUSA-N 0 3 249.358 2.785 20 0 BFADHN Fc1cccc(CN[C@H]2CC[C@@H](F)C2)c1F ZINC000335620270 136299105 /nfs/dbraw/zinc/29/91/05/136299105.db2.gz CZGGBOJUBHKIJU-ZJUUUORDSA-N 0 3 229.245 2.945 20 0 BFADHN Cc1n[nH]cc1CNCc1ccc(C)cc1C ZINC000037989176 343364279 /nfs/dbraw/zinc/36/42/79/343364279.db2.gz ZFJFZUQOBKBLKL-UHFFFAOYSA-N 0 3 229.327 2.625 20 0 BFADHN C[C@@H](NC[C@H](C)N(C)C)c1cc(F)ccc1F ZINC000038088275 343370515 /nfs/dbraw/zinc/37/05/15/343370515.db2.gz XXGKVGKXXJOHRF-VHSXEESVSA-N 0 3 242.313 2.566 20 0 BFADHN CC/C=C/CCn1cc([C@@H](N)C(C)(C)C)nn1 ZINC000641065993 362174293 /nfs/dbraw/zinc/17/42/93/362174293.db2.gz QYWNAAGOGKXYRQ-NNNHXZLVSA-N 0 3 236.363 2.680 20 0 BFADHN C[C@@H](Cn1cccn1)N[C@H]1CCc2ccccc21 ZINC000037155560 343337484 /nfs/dbraw/zinc/33/74/84/343337484.db2.gz BSVNQBMYBMIEKU-WFASDCNBSA-N 0 3 241.338 2.549 20 0 BFADHN Cc1c2ccccc2oc1[C@@H](C)NCCCO ZINC000037236402 343340375 /nfs/dbraw/zinc/34/03/75/343340375.db2.gz WGYBLDBERAQLLC-LLVKDONJSA-N 0 3 233.311 2.774 20 0 BFADHN Cc1c2ccccc2oc1[C@@H](C)NC[C@@H](C)O ZINC000037257630 343341258 /nfs/dbraw/zinc/34/12/58/343341258.db2.gz SVVJTYAAQYUELL-MWLCHTKSSA-N 0 3 233.311 2.773 20 0 BFADHN Cc1c2ccccc2oc1[C@@H](C)NC[C@H](C)O ZINC000037257634 343341371 /nfs/dbraw/zinc/34/13/71/343341371.db2.gz SVVJTYAAQYUELL-GXSJLCMTSA-N 0 3 233.311 2.773 20 0 BFADHN C[C@@H](N[C@@H](C)CO)c1ccc(F)cc1Cl ZINC000037258608 343341707 /nfs/dbraw/zinc/34/17/07/343341707.db2.gz NVFHLYBLZNONGO-JGVFFNPUSA-N 0 3 231.698 2.511 20 0 BFADHN CC[C@H](N[C@@H](C)c1nnc2ccccn21)C(C)C ZINC000037281394 343342638 /nfs/dbraw/zinc/34/26/38/343342638.db2.gz YQWWPVOPNBOEQM-RYUDHWBXSA-N 0 3 246.358 2.815 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1ccc2c(c1)CCC2 ZINC000037621242 343349825 /nfs/dbraw/zinc/34/98/25/343349825.db2.gz BTFNNTVNGTYNSB-HNNXBMFYSA-N 0 3 246.354 2.631 20 0 BFADHN COc1ncccc1CNC1CCC(C)CC1 ZINC000037650476 343350063 /nfs/dbraw/zinc/35/00/63/343350063.db2.gz YHINMRBNVFFMAI-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN CCC[C@](C)(N)C(=O)N[C@@H](C)c1ccc(C)cc1 ZINC000037764993 343354225 /nfs/dbraw/zinc/35/42/25/343354225.db2.gz FECGPEHOZGUJKF-WFASDCNBSA-N 0 3 248.370 2.690 20 0 BFADHN CCCN(CC)CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC000348996883 136314291 /nfs/dbraw/zinc/31/42/91/136314291.db2.gz YFZANUQESSVSGJ-BETUJISGSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1cccc(C)c1CN[C@@H]1CO[C@@H](C)C1 ZINC000335662075 136315380 /nfs/dbraw/zinc/31/53/80/136315380.db2.gz DXSZFJCMVQAGCL-STQMWFEESA-N 0 3 219.328 2.570 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(Cl)c(F)c2)CO1 ZINC000335661999 136315408 /nfs/dbraw/zinc/31/54/08/136315408.db2.gz AKAKLQSZBQWJOH-SCZZXKLOSA-N 0 3 243.709 2.746 20 0 BFADHN CC[C@H](O)CN[C@H]1CCCOc2ccc(C)cc21 ZINC000421877184 529708982 /nfs/dbraw/zinc/70/89/82/529708982.db2.gz LKWHGHATCIQVMS-JSGCOSHPSA-N 0 3 249.354 2.569 20 0 BFADHN Cc1nc(CCNCc2ccco2)sc1C ZINC000041620998 343422245 /nfs/dbraw/zinc/42/22/45/343422245.db2.gz IRGDWPPZRKZRSJ-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN COc1ccc(CNCCSC)cc1Cl ZINC000083188761 343396167 /nfs/dbraw/zinc/39/61/67/343396167.db2.gz WRFIVFYSVSYOIX-UHFFFAOYSA-N 0 3 245.775 2.801 20 0 BFADHN c1nc(CNCCC2CCCC2)cs1 ZINC000040505238 343405542 /nfs/dbraw/zinc/40/55/42/343405542.db2.gz QZFIOBIGDCSRAZ-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN Cc1ccc(CNCCc2ccc(O)cc2)o1 ZINC000040734963 343408392 /nfs/dbraw/zinc/40/83/92/343408392.db2.gz PTKBRGVCJFNCRW-UHFFFAOYSA-N 0 3 231.295 2.626 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)N[C@@H](C)c1ccccc1C ZINC000040854697 343410164 /nfs/dbraw/zinc/41/01/64/343410164.db2.gz WDVRWUAUJILCPA-OSMZGAPFSA-N 0 3 248.370 2.546 20 0 BFADHN CC(C)c1ccc([C@@H](C)NC(=O)C(C)(C)N)cc1 ZINC000040921928 343411249 /nfs/dbraw/zinc/41/12/49/343411249.db2.gz LVPXJJBXDDPYTF-LLVKDONJSA-N 0 3 248.370 2.725 20 0 BFADHN C[C@H](CCc1ccco1)NCc1nccs1 ZINC000041012522 343412286 /nfs/dbraw/zinc/41/22/86/343412286.db2.gz JCIGUQQCHKXQNJ-SNVBAGLBSA-N 0 3 236.340 2.847 20 0 BFADHN C[C@@H]1CCN(C/C=C\c2ccc(F)cc2)C[C@@H]1O ZINC000349411719 136345426 /nfs/dbraw/zinc/34/54/26/136345426.db2.gz AIILEYAYZWNMHX-IZIWTHDTSA-N 0 3 249.329 2.542 20 0 BFADHN CCC[C@H](N)c1cn(CC23CCC(CC2)C3)nn1 ZINC000641086877 362208710 /nfs/dbraw/zinc/20/87/10/362208710.db2.gz UWRROTLDOKKYHX-LXVYMNJGSA-N 0 3 248.374 2.658 20 0 BFADHN Cc1ccccc1CNCCC(=O)OC(C)(C)C ZINC000174431068 259360756 /nfs/dbraw/zinc/36/07/56/259360756.db2.gz OICZPCKAHPPNHU-UHFFFAOYSA-N 0 3 249.354 2.816 20 0 BFADHN CC[C@@H](NCCCO)c1ccc(Cl)s1 ZINC000166263190 136329684 /nfs/dbraw/zinc/32/96/84/136329684.db2.gz FDPOUSJXWQODDM-MRVPVSSYSA-N 0 3 233.764 2.825 20 0 BFADHN C[C@@H]1C[C@H]1CN(Cc1cccnc1)C1CC1 ZINC000349272802 136333598 /nfs/dbraw/zinc/33/35/98/136333598.db2.gz DSAMFHQAOCXKBF-YPMHNXCESA-N 0 3 216.328 2.702 20 0 BFADHN C[C@H]1CCCCCN1Cc1cncs1 ZINC000335707000 136336133 /nfs/dbraw/zinc/33/61/33/136336133.db2.gz BPACHRGDVCJGDY-JTQLQIEISA-N 0 3 210.346 2.908 20 0 BFADHN Cc1occc1CN(C)C[C@H](O)c1ccccc1 ZINC000042375741 343435729 /nfs/dbraw/zinc/43/57/29/343435729.db2.gz SHQBYXGVPQFNLZ-HNNXBMFYSA-N 0 3 245.322 2.753 20 0 BFADHN CCc1nc(C)c(CN2[C@H](C)CC[C@H]2C)o1 ZINC000335854538 136355863 /nfs/dbraw/zinc/35/58/63/136355863.db2.gz IWVCZKJUZKNREC-NXEZZACHSA-N 0 3 222.332 2.918 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1cc(C)ncn1 ZINC000335879483 136356380 /nfs/dbraw/zinc/35/63/80/136356380.db2.gz BUNJCSZOGHCNNI-CQSZACIVSA-N 0 3 233.359 2.940 20 0 BFADHN CC(C)CCCNCc1ccn(C(C)C)n1 ZINC000082866392 362242818 /nfs/dbraw/zinc/24/28/18/362242818.db2.gz HLAUVQMFDHNAMT-UHFFFAOYSA-N 0 3 223.364 2.990 20 0 BFADHN CC(C)C[C@H](C)NCc1ccn(C(C)C)n1 ZINC000082866371 362243211 /nfs/dbraw/zinc/24/32/11/362243211.db2.gz KGBYDIIUXPDLMP-LBPRGKRZSA-N 0 3 223.364 2.988 20 0 BFADHN Cc1c(CN2CCC[C@H](CC(C)C)C2)cnn1C ZINC000353971692 136358928 /nfs/dbraw/zinc/35/89/28/136358928.db2.gz LPBCXADZGGMIDY-CQSZACIVSA-N 0 3 249.402 2.987 20 0 BFADHN C[C@@H]1CCCCCN1Cc1ccc(F)cn1 ZINC000335898377 136359703 /nfs/dbraw/zinc/35/97/03/136359703.db2.gz IAPKBJICFMXSPE-LLVKDONJSA-N 0 3 222.307 2.985 20 0 BFADHN CN1CC[C@H](c2nc(C3CCCCC3)no2)C1 ZINC000335899840 136360199 /nfs/dbraw/zinc/36/01/99/136360199.db2.gz LTLYGWBYZFAQHT-NSHDSACASA-N 0 3 235.331 2.536 20 0 BFADHN Cc1[nH]nc2ncc(CN(C(C)C)C3CC3)cc12 ZINC000350999108 136366646 /nfs/dbraw/zinc/36/66/46/136366646.db2.gz FVKQFSSGFSIDJC-UHFFFAOYSA-N 0 3 244.342 2.639 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@@H]2C)sc1C ZINC000166918052 136367262 /nfs/dbraw/zinc/36/72/62/136367262.db2.gz UMHXTAYEXUXVEQ-XVKPBYJWSA-N 0 3 210.346 2.506 20 0 BFADHN C[C@@H](N[C@@H]1CCN(C)C1)c1ccc(Cl)s1 ZINC000042545408 343443205 /nfs/dbraw/zinc/44/32/05/343443205.db2.gz YFAVBBOVDGLIIJ-RKDXNWHRSA-N 0 3 244.791 2.756 20 0 BFADHN CC[C@@H](NCc1ccc(C(=O)OC)o1)C(C)C ZINC000042586343 343444348 /nfs/dbraw/zinc/44/43/48/343444348.db2.gz MGCZNXYYFMFXCG-LLVKDONJSA-N 0 3 239.315 2.590 20 0 BFADHN C[C@H](CCNCc1cc[nH]n1)c1ccccc1 ZINC000043534310 343477392 /nfs/dbraw/zinc/47/73/92/343477392.db2.gz NZEAZLDSEDKROK-GFCCVEGCSA-N 0 3 229.327 2.693 20 0 BFADHN CSC1CCN([C@H](C)c2cccnc2)CC1 ZINC000602920166 349810386 /nfs/dbraw/zinc/81/03/86/349810386.db2.gz MAXNVNMMCRBJCT-LLVKDONJSA-N 0 3 236.384 2.970 20 0 BFADHN CCN(CC)C[C@@H](O)c1cc2ccccc2o1 ZINC000044115855 343492026 /nfs/dbraw/zinc/49/20/26/343492026.db2.gz AZOYNWIGAOWHFN-GFCCVEGCSA-N 0 3 233.311 2.808 20 0 BFADHN CN(C[C@@H](O)c1cc2ccccc2o1)CC1CC1 ZINC000044161637 343493667 /nfs/dbraw/zinc/49/36/67/343493667.db2.gz GEQCJPKGXUXUDF-CYBMUJFWSA-N 0 3 245.322 2.808 20 0 BFADHN CCCN1CCN(c2cccc(C)c2C)CC1 ZINC000047717361 343468451 /nfs/dbraw/zinc/46/84/51/343468451.db2.gz UPAOVNJPNWWSDZ-UHFFFAOYSA-N 0 3 232.371 2.835 20 0 BFADHN Cc1nc([C@@H](C)NCCC2CC2)cs1 ZINC000083893286 343506420 /nfs/dbraw/zinc/50/64/20/343506420.db2.gz XVQMICXWASEMFU-MRVPVSSYSA-N 0 3 210.346 2.902 20 0 BFADHN CN(CC(C)(C)C)C(=O)C[C@@H](N)c1ccccc1 ZINC000045087973 343523186 /nfs/dbraw/zinc/52/31/86/343523186.db2.gz IYMJTICQWUFUQS-CYBMUJFWSA-N 0 3 248.370 2.581 20 0 BFADHN COC[C@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC000045656072 343531800 /nfs/dbraw/zinc/53/18/00/343531800.db2.gz JDTBBXGTTUEZGM-NXEZZACHSA-N 0 3 243.297 2.898 20 0 BFADHN COC[C@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC000045656196 343532007 /nfs/dbraw/zinc/53/20/07/343532007.db2.gz GFBNSCRGEWHVII-ZJUUUORDSA-N 0 3 243.297 2.898 20 0 BFADHN COc1ccc([C@@H](C)NC2CCC2)c(OC)c1 ZINC000044480772 343502564 /nfs/dbraw/zinc/50/25/64/343502564.db2.gz LGUPBUWTNFDNJS-SNVBAGLBSA-N 0 3 235.327 2.907 20 0 BFADHN Cc1ccc(CNC[C@@H](O)c2ccc(F)cc2)o1 ZINC000047888006 343567066 /nfs/dbraw/zinc/56/70/66/343567066.db2.gz FEIXAMPACXFVGU-CQSZACIVSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1ncc2c(n1)CCN(C[C@H](C)CC(C)C)C2 ZINC000518765481 259364619 /nfs/dbraw/zinc/36/46/19/259364619.db2.gz DMYLEOPUONTLRY-GFCCVEGCSA-N 0 3 247.386 2.825 20 0 BFADHN CCCC[C@@H](CC)CNCc1ncc[nH]1 ZINC000049714651 343605349 /nfs/dbraw/zinc/60/53/49/343605349.db2.gz BHQRRRXCDBURCC-LLVKDONJSA-N 0 3 209.337 2.716 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cccnc2C)C1(C)C ZINC000310925911 343605583 /nfs/dbraw/zinc/60/55/83/343605583.db2.gz HZHOMAHGUBOVHQ-KGLIPLIRSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1ccccc1NC(=O)CN[C@@H]1CCC1(C)C ZINC000310937758 343606291 /nfs/dbraw/zinc/60/62/91/343606291.db2.gz NCXWVRUSWLITKO-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN CCC1(NC(=O)C[C@@H](N)c2ccccc2)CCC1 ZINC000158155599 133498501 /nfs/dbraw/zinc/49/85/01/133498501.db2.gz UGIYSHSKRAJGTO-CYBMUJFWSA-N 0 3 246.354 2.525 20 0 BFADHN Cc1ccsc1CN(C)CCOC(C)C ZINC000053098788 343643303 /nfs/dbraw/zinc/64/33/03/343643303.db2.gz QFMCCBQAHVWOKZ-UHFFFAOYSA-N 0 3 227.373 2.913 20 0 BFADHN Cc1ccc(CN2CCC([C@@H]3CCOC3)CC2)o1 ZINC000372925257 178562972 /nfs/dbraw/zinc/56/29/72/178562972.db2.gz HLXPUVYIVACILI-CQSZACIVSA-N 0 3 249.354 2.837 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1cccc(F)c1F ZINC000379804675 343629827 /nfs/dbraw/zinc/62/98/27/343629827.db2.gz NMAWJLXZIYHLHE-LDYMZIIASA-N 0 3 211.255 2.853 20 0 BFADHN CCc1cc(CN[C@@H]2CC[C@H]2CC)on1 ZINC000379999285 365790870 /nfs/dbraw/zinc/79/08/70/365790870.db2.gz LRQBZDUNAHFACG-BXKDBHETSA-N 0 3 208.305 2.515 20 0 BFADHN CCSCCNCc1cccc(F)c1F ZINC000379912237 343653737 /nfs/dbraw/zinc/65/37/37/343653737.db2.gz NMEHRKRNTDPLSH-UHFFFAOYSA-N 0 3 231.311 2.808 20 0 BFADHN CC[C@]1(NCc2ccccc2Cl)CCOC1 ZINC000390629682 537799471 /nfs/dbraw/zinc/79/94/71/537799471.db2.gz KTBMADQKJDRICW-ZDUSSCGKSA-N 0 3 239.746 2.999 20 0 BFADHN CC1CCC(CN(C)Cc2c[nH]cn2)CC1 ZINC000093566070 537804768 /nfs/dbraw/zinc/80/47/68/537804768.db2.gz SBNZMSRWAPMFHI-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CC1CCC(CN(C)Cc2cnc[nH]2)CC1 ZINC000093566070 537804781 /nfs/dbraw/zinc/80/47/81/537804781.db2.gz SBNZMSRWAPMFHI-UHFFFAOYSA-N 0 3 221.348 2.668 20 0 BFADHN CC(C)CN[C@H]1CCCc2scnc21 ZINC000623849097 343686049 /nfs/dbraw/zinc/68/60/49/343686049.db2.gz VVFKWKKUUHTUEI-VIFPVBQESA-N 0 3 210.346 2.766 20 0 BFADHN COc1ccsc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC000380182856 343688907 /nfs/dbraw/zinc/68/89/07/343688907.db2.gz MMPLLTBIYYEZIY-GDGBQDQQSA-N 0 3 223.341 2.645 20 0 BFADHN CCO[C@@H](CN1CCCc2occc2C1)C1CC1 ZINC000625431762 343733050 /nfs/dbraw/zinc/73/30/50/343733050.db2.gz DZBKGAWMNPXBKZ-HNNXBMFYSA-N 0 3 249.354 2.843 20 0 BFADHN C[C@H]1CCC[C@@H](CN2CCc3nc[nH]c3C2)C1 ZINC000625434987 343735827 /nfs/dbraw/zinc/73/58/27/343735827.db2.gz CINWOROCYGLPJN-NWDGAFQWSA-N 0 3 233.359 2.594 20 0 BFADHN CN(C/C=C\c1ccncc1)C[C@@H]1CCCCO1 ZINC000495171179 529820734 /nfs/dbraw/zinc/82/07/34/529820734.db2.gz IFTSMSAYJIBDHM-XVWMLYKFSA-N 0 3 246.354 2.596 20 0 BFADHN CC1(C)C[C@H](N[C@@H]2CCC[C@@H]2F)C(C)(C)O1 ZINC000340533670 343718754 /nfs/dbraw/zinc/71/87/54/343718754.db2.gz WMLCHIGYNFQKGS-AXFHLTTASA-N 0 3 229.339 2.813 20 0 BFADHN CC(C)=CCN1CCSC2(CCOCC2)C1 ZINC000335870028 344036674 /nfs/dbraw/zinc/03/66/74/344036674.db2.gz AXLLWCCUWSAETH-UHFFFAOYSA-N 0 3 241.400 2.551 20 0 BFADHN Cc1ccc(F)c(CCNCC2(F)CC2)c1 ZINC000584212671 343774972 /nfs/dbraw/zinc/77/49/72/343774972.db2.gz CYOJBNUNEQJFGI-UHFFFAOYSA-N 0 3 225.282 2.768 20 0 BFADHN C[C@@H](N[C@H](CC(F)F)c1ccccc1)[C@H](C)O ZINC000584227531 343775492 /nfs/dbraw/zinc/77/54/92/343775492.db2.gz VNKGTXKCZHOLLL-JFGNBEQYSA-N 0 3 243.297 2.742 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@H](C)C2)o1 ZINC000683896958 488233461 /nfs/dbraw/zinc/23/34/61/488233461.db2.gz FVLPZIAMKZOQIX-VIFPVBQESA-N 0 3 208.305 2.523 20 0 BFADHN CCCC[C@@H]1CCC[C@H]1NC(=O)[C@H](N)CCC ZINC000262541472 349858017 /nfs/dbraw/zinc/85/80/17/349858017.db2.gz SCVGBSNKMSNPIB-JHJVBQTASA-N 0 3 240.391 2.589 20 0 BFADHN CC1(C)COC[C@H]1NCc1sccc1Cl ZINC000313061335 343781271 /nfs/dbraw/zinc/78/12/71/343781271.db2.gz ICABBLFZNSHFQG-SNVBAGLBSA-N 0 3 245.775 2.916 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1ccc(C)cn1 ZINC000387638813 346982037 /nfs/dbraw/zinc/98/20/37/346982037.db2.gz AMMVXUUWSYQATD-NEPJUHHUSA-N 0 3 204.317 2.526 20 0 BFADHN CCN(Cc1cnn2ccccc12)CC1CC1 ZINC000179641666 346991863 /nfs/dbraw/zinc/99/18/63/346991863.db2.gz UFIHDOFKSYNISX-UHFFFAOYSA-N 0 3 229.327 2.566 20 0 BFADHN C[C@H]1C[C@H]1CN1CCN(C)C2(CCCCC2)C1 ZINC000625453557 343860544 /nfs/dbraw/zinc/86/05/44/343860544.db2.gz OYAXMEVGTOOOKQ-KBPBESRZSA-N 0 3 236.403 2.593 20 0 BFADHN COc1cncc(CN[C@@]2(C)CCCC[C@H]2C)n1 ZINC000625466008 343871507 /nfs/dbraw/zinc/87/15/07/343871507.db2.gz XHYKNFUTPIMIAV-RISCZKNCSA-N 0 3 249.358 2.544 20 0 BFADHN CS[C@@H]1CC[C@@H]1NCc1ccc(C)o1 ZINC000393690392 343911179 /nfs/dbraw/zinc/91/11/79/343911179.db2.gz PULQMVRMCVFNPG-WDEREUQCSA-N 0 3 211.330 2.572 20 0 BFADHN CC[C@]1(NCc2ccc(C#N)cc2C)CCOC1 ZINC000393560566 343892932 /nfs/dbraw/zinc/89/29/32/343892932.db2.gz OFEPFYQNKVJNLO-HNNXBMFYSA-N 0 3 244.338 2.525 20 0 BFADHN COc1ccc(CN2CC=C(C)CC2)cc1 ZINC000215520747 349889968 /nfs/dbraw/zinc/88/99/68/349889968.db2.gz UNAXWZVJUGXUGA-UHFFFAOYSA-N 0 3 217.312 2.847 20 0 BFADHN C[C@H]1SCC[C@H]1NCc1cc(C#N)cs1 ZINC000393635777 343902806 /nfs/dbraw/zinc/90/28/06/343902806.db2.gz WCZSRHDWTFJNKT-LDYMZIIASA-N 0 3 238.381 2.603 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1cc(C#N)cs1 ZINC000393635772 343902822 /nfs/dbraw/zinc/90/28/22/343902822.db2.gz WCZSRHDWTFJNKT-KCJUWKMLSA-N 0 3 238.381 2.603 20 0 BFADHN CSCCCN[C@@H](C)c1cnc(C)s1 ZINC000090417504 343930276 /nfs/dbraw/zinc/93/02/76/343930276.db2.gz VBKPQTWDKDVQIO-QMMMGPOBSA-N 0 3 230.402 2.855 20 0 BFADHN CC(C)[C@H](C)n1cc(CNC2CCCC2)nn1 ZINC000641188425 362263067 /nfs/dbraw/zinc/26/30/67/362263067.db2.gz NXCANPYEMITPAJ-NSHDSACASA-N 0 3 236.363 2.527 20 0 BFADHN CO[C@@H](C)[C@H](C)NCc1scnc1C1CC1 ZINC000336757982 537837111 /nfs/dbraw/zinc/83/71/11/537837111.db2.gz HXLLJVWIIAOALG-IUCAKERBSA-N 0 3 240.372 2.534 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@H]2CCCO2)cc1F ZINC000106409869 178619840 /nfs/dbraw/zinc/61/98/40/178619840.db2.gz QYGZNRGVJYFWEX-SMDDNHRTSA-N 0 3 237.318 2.791 20 0 BFADHN Cc1ncc(CN([C@H](C)C(C)C)C2CC2)o1 ZINC000628118900 343969272 /nfs/dbraw/zinc/96/92/72/343969272.db2.gz PISPLCPKWPTPNO-SNVBAGLBSA-N 0 3 222.332 2.992 20 0 BFADHN CCN1CCN(C[C@H](C)c2ccc(C)cc2)CC1 ZINC000628118838 343969370 /nfs/dbraw/zinc/96/93/70/343969370.db2.gz MRXQXRZOVHQZRD-HNNXBMFYSA-N 0 3 246.398 2.736 20 0 BFADHN CC(C)OCCN[C@H](c1ccccn1)C1CCC1 ZINC000625783637 344073708 /nfs/dbraw/zinc/07/37/08/344073708.db2.gz NJCRNYBEWZJECE-HNNXBMFYSA-N 0 3 248.370 2.937 20 0 BFADHN COCC1(N[C@H](C)c2csc(C)c2)CC1 ZINC000570654771 323066016 /nfs/dbraw/zinc/06/60/16/323066016.db2.gz GLHSIGGXVBTWGZ-SNVBAGLBSA-N 0 3 225.357 2.886 20 0 BFADHN Cn1ccc(CN2CC(C)(C)CCC2(C)C)n1 ZINC000625723448 344056555 /nfs/dbraw/zinc/05/65/55/344056555.db2.gz OWUXIGMBUZJOIS-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN Oc1ccc2c(c1)CN(CC1CC=CC1)C2 ZINC000625728458 344059955 /nfs/dbraw/zinc/05/99/55/344059955.db2.gz RZWKBMFYIVDZOJ-UHFFFAOYSA-N 0 3 215.296 2.674 20 0 BFADHN C[C@H]1CC[C@H](CN2CCCC(F)(F)CC2)O1 ZINC000625770193 344065659 /nfs/dbraw/zinc/06/56/59/344065659.db2.gz HSSGHLLQFVQUSX-WDEREUQCSA-N 0 3 233.302 2.675 20 0 BFADHN C[C@H]1CC[C@@H](CN2CCCC(F)(F)CC2)O1 ZINC000625770190 344065665 /nfs/dbraw/zinc/06/56/65/344065665.db2.gz HSSGHLLQFVQUSX-QWRGUYRKSA-N 0 3 233.302 2.675 20 0 BFADHN FC1(F)CCCN(Cc2cccnc2)CC1 ZINC000625767972 344068794 /nfs/dbraw/zinc/06/87/94/344068794.db2.gz CWGGQORFMJKICQ-UHFFFAOYSA-N 0 3 226.270 2.703 20 0 BFADHN FC1(F)CCCN(CCc2cccnc2)CC1 ZINC000625769357 344069681 /nfs/dbraw/zinc/06/96/81/344069681.db2.gz GTKFVUHAMUBEJQ-UHFFFAOYSA-N 0 3 240.297 2.745 20 0 BFADHN C/C=C/CNCc1cnc(N(CC)CC)s1 ZINC000384767770 344110348 /nfs/dbraw/zinc/11/03/48/344110348.db2.gz RGCKWVSOCHLKIA-QPJJXVBHSA-N 0 3 239.388 2.655 20 0 BFADHN CC(C)C1(CN2CCO[C@H](C(F)F)CC2)CC1 ZINC000634733682 344113973 /nfs/dbraw/zinc/11/39/73/344113973.db2.gz LBGOXEPYDXPXOL-NSHDSACASA-N 0 3 247.329 2.779 20 0 BFADHN c1ccc(OCCN(C2CC2)C2CC2)cc1 ZINC000625837664 344090094 /nfs/dbraw/zinc/09/00/94/344090094.db2.gz PSFCNLVYHAEHSJ-UHFFFAOYSA-N 0 3 217.312 2.692 20 0 BFADHN CC[C@](C)(NC[C@@H](C)COC)c1nccs1 ZINC000187981905 259372696 /nfs/dbraw/zinc/37/26/96/259372696.db2.gz IDQIEXPDYQDRIQ-PWSUYJOCSA-N 0 3 242.388 2.640 20 0 BFADHN C(CN(C1CC1)C1CC1)OCC1CCCC1 ZINC000625840888 344091844 /nfs/dbraw/zinc/09/18/44/344091844.db2.gz FQYSWGBQTORUEJ-UHFFFAOYSA-N 0 3 223.360 2.820 20 0 BFADHN Cc1nc(CCN(C2CC2)C2CC2)cs1 ZINC000625840924 344092698 /nfs/dbraw/zinc/09/26/98/344092698.db2.gz ALKWZPVPRSDLFY-UHFFFAOYSA-N 0 3 222.357 2.621 20 0 BFADHN Cc1ccc(CN(C2CC2)C2CC2)cn1 ZINC000625841306 344094778 /nfs/dbraw/zinc/09/47/78/344094778.db2.gz KGJIFVGDSAUAKN-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN CC(C)C(C)(C)NC(=O)C[C@H](N)c1ccccc1 ZINC000573324604 344096149 /nfs/dbraw/zinc/09/61/49/344096149.db2.gz VIAZJHLSIJIJNX-ZDUSSCGKSA-N 0 3 248.370 2.627 20 0 BFADHN C/C=C\CN[C@@H]1CCc2c1cccc2F ZINC000395128927 344097757 /nfs/dbraw/zinc/09/77/57/344097757.db2.gz PORSSSRTHNGHLB-XQJDBVBESA-N 0 3 205.276 2.979 20 0 BFADHN CC[C@H]1CCN(Cc2ccc(C)cn2)C1 ZINC000156772645 323067172 /nfs/dbraw/zinc/06/71/72/323067172.db2.gz DEMITDXNZZHFCV-LBPRGKRZSA-N 0 3 204.317 2.622 20 0 BFADHN CC(C)Cn1ccnc1CN[C@H]1CC[C@@H]1C1CC1 ZINC000348111231 537840931 /nfs/dbraw/zinc/84/09/31/537840931.db2.gz OHKNAMUDEOEGCA-KGLIPLIRSA-N 0 3 247.386 2.817 20 0 BFADHN CCOCCCN[C@@H](C)c1ncc(C)s1 ZINC000126109471 344168894 /nfs/dbraw/zinc/16/88/94/344168894.db2.gz WABWAPBCDBNKTF-JTQLQIEISA-N 0 3 228.361 2.529 20 0 BFADHN CC1(C)CC(CN2CCO[C@@H]3CCC[C@@H]32)C1 ZINC000625853998 344125751 /nfs/dbraw/zinc/12/57/51/344125751.db2.gz QHOMQXUXVZOLFQ-QWHCGFSZSA-N 0 3 223.360 2.676 20 0 BFADHN C/C=C/CN[C@H](C)c1cnc2cc(C)nn2c1C ZINC000395267723 344136015 /nfs/dbraw/zinc/13/60/15/344136015.db2.gz ZQQDQJCWXCNUNJ-MVIFTORASA-N 0 3 244.342 2.573 20 0 BFADHN CCN(Cc1ccc(F)cc1F)CC(C)(C)O ZINC000081747676 344148101 /nfs/dbraw/zinc/14/81/01/344148101.db2.gz GUOQUBLZIFFRKI-UHFFFAOYSA-N 0 3 243.297 2.558 20 0 BFADHN CCN(C)Cc1cc(C)c(Br)cn1 ZINC000628129542 344157761 /nfs/dbraw/zinc/15/77/61/344157761.db2.gz HMTKJVILZLVLHE-UHFFFAOYSA-N 0 3 243.148 2.604 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCC[C@H](C)C2)o1 ZINC000628131748 344158944 /nfs/dbraw/zinc/15/89/44/344158944.db2.gz IJGCPYLWZURBKX-CMPLNLGQSA-N 0 3 222.332 2.994 20 0 BFADHN FC(F)[C@H]1CCN(CCC2CCCC2)CCO1 ZINC000634753230 344207248 /nfs/dbraw/zinc/20/72/48/344207248.db2.gz AYDOMNAJZMHKOM-GFCCVEGCSA-N 0 3 247.329 2.923 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1N[C@@H]1CCc2cc(F)ccc21 ZINC000129556754 344213721 /nfs/dbraw/zinc/21/37/21/344213721.db2.gz PMYZBBBOVDTQOA-RDBSUJKOSA-N 0 3 249.329 2.562 20 0 BFADHN CCC[C@@H](C)N1CCO[C@@H](C(F)F)CC1 ZINC000634758942 344227747 /nfs/dbraw/zinc/22/77/47/344227747.db2.gz ZARBKZUDPVJGSW-NXEZZACHSA-N 0 3 221.291 2.531 20 0 BFADHN CC[C@@H](O)CCNCc1ccccc1Cl ZINC000131711292 344233814 /nfs/dbraw/zinc/23/38/14/344233814.db2.gz STHNMEULAQBEBT-LLVKDONJSA-N 0 3 227.735 2.591 20 0 BFADHN C/C=C/CN[C@H]1CCCc2cccnc21 ZINC000384855672 344184692 /nfs/dbraw/zinc/18/46/92/344184692.db2.gz CLKNLJPQTQBREG-JDGPPOGSSA-N 0 3 202.301 2.625 20 0 BFADHN C/C=C/CN[C@@H]1CCCc2cccnc21 ZINC000384855674 344184694 /nfs/dbraw/zinc/18/46/94/344184694.db2.gz CLKNLJPQTQBREG-QAVQXKDTSA-N 0 3 202.301 2.625 20 0 BFADHN C/C=C\CN[C@@H]1CCCc2cccnc21 ZINC000384855676 344184711 /nfs/dbraw/zinc/18/47/11/344184711.db2.gz CLKNLJPQTQBREG-ZZKXABKFSA-N 0 3 202.301 2.625 20 0 BFADHN CCN(Cc1nc(C)c(C)[nH]1)C1CCCC1 ZINC000628135521 344194370 /nfs/dbraw/zinc/19/43/70/344194370.db2.gz ZAHMEBCFQBDNQS-UHFFFAOYSA-N 0 3 221.348 2.791 20 0 BFADHN C[C@@H](F)CCNCc1ccnn1CC1CCC1 ZINC000631143371 344262163 /nfs/dbraw/zinc/26/21/63/344262163.db2.gz QWNDQGSYTFMAOK-LLVKDONJSA-N 0 3 239.338 2.521 20 0 BFADHN CCC[C@@H](CCO)Nc1ccnc2ccccc21 ZINC000631426993 344267783 /nfs/dbraw/zinc/26/77/83/344267783.db2.gz LDCDCZONHZNYTI-LBPRGKRZSA-N 0 3 244.338 2.620 20 0 BFADHN Cc1ccncc1CNCc1ccccc1 ZINC000135428923 344271442 /nfs/dbraw/zinc/27/14/42/344271442.db2.gz JKHDCVWSRUSWND-UHFFFAOYSA-N 0 3 212.296 2.680 20 0 BFADHN COc1cnccc1[C@H](C)N[C@@H]1CC[C@@H]1C1CC1 ZINC000348308358 537842722 /nfs/dbraw/zinc/84/27/22/537842722.db2.gz RIUAEORSWCGXPO-ZLKJLUDKSA-N 0 3 246.354 2.929 20 0 BFADHN C[C@@H](c1ccncc1)N1CCC(C)CC1 ZINC000067715477 344284937 /nfs/dbraw/zinc/28/49/37/344284937.db2.gz QKQWAGVZOFFQND-LBPRGKRZSA-N 0 3 204.317 2.875 20 0 BFADHN CCc1ncc(CN2CCCCC2)s1 ZINC000092106831 344288733 /nfs/dbraw/zinc/28/87/33/344288733.db2.gz DSEVXTXMGRFAGU-UHFFFAOYSA-N 0 3 210.346 2.691 20 0 BFADHN CCN(Cc1ccccn1)CC(C)(C)C ZINC000093338960 344314760 /nfs/dbraw/zinc/31/47/60/344314760.db2.gz OIIQOCZDSNCLFN-UHFFFAOYSA-N 0 3 206.333 2.950 20 0 BFADHN CCC[C@H](N)C(=O)N(Cc1ccccc1)C(C)C ZINC000144892069 344328726 /nfs/dbraw/zinc/32/87/26/344328726.db2.gz UMVFOZNTIDXQRV-AWEZNQCLSA-N 0 3 248.370 2.551 20 0 BFADHN COc1cnccc1[C@H](C)N[C@@H]1CC[C@H]1C1CC1 ZINC000348308357 537843563 /nfs/dbraw/zinc/84/35/63/537843563.db2.gz RIUAEORSWCGXPO-LEWSCRJBSA-N 0 3 246.354 2.929 20 0 BFADHN C/C=C\CNCc1cnn(Cc2ccccc2)c1 ZINC000385051672 344309845 /nfs/dbraw/zinc/30/98/45/344309845.db2.gz SSUOSMMPFKSLEB-IHWYPQMZSA-N 0 3 241.338 2.597 20 0 BFADHN C[C@@H](N[C@H]1CSC1(C)C)c1ccoc1 ZINC000306745636 344423406 /nfs/dbraw/zinc/42/34/06/344423406.db2.gz BJNCWZUGNMKKMQ-SCZZXKLOSA-N 0 3 211.330 2.824 20 0 BFADHN CC[C@H](C)CNCc1noc2ccccc12 ZINC000570826524 323071929 /nfs/dbraw/zinc/07/19/29/323071929.db2.gz FKRPXWCMRIYWQW-JTQLQIEISA-N 0 3 218.300 2.964 20 0 BFADHN CC(C)[C@@H]1CCCC[C@H]1NCc1cnccn1 ZINC000214940751 344403669 /nfs/dbraw/zinc/40/36/69/344403669.db2.gz KTOFGEHICVQWDT-UONOGXRCSA-N 0 3 233.359 2.781 20 0 BFADHN CS[C@@H]1CC[C@H]1N[C@H](C)c1cnccc1C ZINC000381403369 344502920 /nfs/dbraw/zinc/50/29/20/344502920.db2.gz RSYTYJSACAPAHZ-RAIGVLPGSA-N 0 3 236.384 2.935 20 0 BFADHN Cc1nc2ccccc2nc1CN1CC[C@@H](C)C1 ZINC000263651634 344512457 /nfs/dbraw/zinc/51/24/57/344512457.db2.gz BVSOLSNIKWUWGI-LLVKDONJSA-N 0 3 241.338 2.780 20 0 BFADHN CSCCN[C@@H](C)c1sc(C)nc1C ZINC000068994679 344530393 /nfs/dbraw/zinc/53/03/93/344530393.db2.gz XWZRVWFVEBNMHW-ZETCQYMHSA-N 0 3 230.402 2.774 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1ccccc1N(C)C ZINC000577512579 344534350 /nfs/dbraw/zinc/53/43/50/344534350.db2.gz NHYLFBRPPDBZMX-JHJVBQTASA-N 0 3 248.370 2.581 20 0 BFADHN CC[C@@H](NCc1occc1C)[C@H]1CCCO1 ZINC000119741361 344535541 /nfs/dbraw/zinc/53/55/41/344535541.db2.gz CMWSYLJOUHWMGB-VXGBXAGGSA-N 0 3 223.316 2.635 20 0 BFADHN CSCCCCCN[C@@H](C)c1ncc[nH]1 ZINC000287610288 344541435 /nfs/dbraw/zinc/54/14/35/344541435.db2.gz SKUWWGUIHPILNN-JTQLQIEISA-N 0 3 227.377 2.594 20 0 BFADHN CCCCOCCN1CC[C@@H](OCC(C)C)C1 ZINC000119795162 344549448 /nfs/dbraw/zinc/54/94/48/344549448.db2.gz VSYOEYLHYVNGJV-CQSZACIVSA-N 0 3 243.391 2.550 20 0 BFADHN CCC(CC)CN(CC)C(=O)CN(C)C(C)C ZINC000127512055 344553380 /nfs/dbraw/zinc/55/33/80/344553380.db2.gz DGTRRIFLUCNIOD-UHFFFAOYSA-N 0 3 242.407 2.611 20 0 BFADHN COCC[C@H](C)NCc1scnc1C1CC1 ZINC000336769645 537849521 /nfs/dbraw/zinc/84/95/21/537849521.db2.gz SCYRVUNPQGVTEQ-VIFPVBQESA-N 0 3 240.372 2.535 20 0 BFADHN CC[C@@H](c1ccncc1)N(C)CCSC ZINC000119818311 344596569 /nfs/dbraw/zinc/59/65/69/344596569.db2.gz XBBHPPSDFIICIX-LBPRGKRZSA-N 0 3 224.373 2.828 20 0 BFADHN CC1(C)CN(Cc2ccccc2F)CCCO1 ZINC000370917360 344579702 /nfs/dbraw/zinc/57/97/02/344579702.db2.gz MQIFEJQLUWZYLT-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN Fc1ccc(CN[C@@H]2CCSC2)cc1F ZINC000049577245 344654340 /nfs/dbraw/zinc/65/43/40/344654340.db2.gz RUKRLUZCZZPELR-SECBINFHSA-N 0 3 229.295 2.560 20 0 BFADHN Cc1ncsc1CN(C)CC1(C)CC1 ZINC000574108952 344658686 /nfs/dbraw/zinc/65/86/86/344658686.db2.gz AHUPCXOMZPIBKK-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN CC(C)=CCCN1CCC[C@H]1c1ccc(=O)[nH]n1 ZINC000625994245 344659839 /nfs/dbraw/zinc/65/98/39/344659839.db2.gz GGVQCUQESOMCBJ-ZDUSSCGKSA-N 0 3 247.342 2.675 20 0 BFADHN COC(C)(C)CCN1CCc2c(O)cccc2C1 ZINC000626028568 344681273 /nfs/dbraw/zinc/68/12/73/344681273.db2.gz PCBTWXFEYZGHAA-UHFFFAOYSA-N 0 3 249.354 2.565 20 0 BFADHN CCN[C@H](COC)c1ccc(Cl)cc1 ZINC000049684429 344681290 /nfs/dbraw/zinc/68/12/90/344681290.db2.gz JFPSDEJLDWIHNO-LLVKDONJSA-N 0 3 213.708 2.637 20 0 BFADHN CC(C)C[C@H](C)CN1CCO[C@H](CCF)C1 ZINC000626005836 344664021 /nfs/dbraw/zinc/66/40/21/344664021.db2.gz LKMWVJKFCFSWTR-QWHCGFSZSA-N 0 3 231.355 2.729 20 0 BFADHN CCO[C@H](CN1CCC(F)(F)C[C@H]1C)C1CC1 ZINC000625981489 344647484 /nfs/dbraw/zinc/64/74/84/344647484.db2.gz VCLAEUCKUVDEPG-ZYHUDNBSSA-N 0 3 247.329 2.921 20 0 BFADHN CCO[C@H](CN1CCC(F)(F)C[C@@H]1C)C1CC1 ZINC000625981486 344647734 /nfs/dbraw/zinc/64/77/34/344647734.db2.gz VCLAEUCKUVDEPG-CMPLNLGQSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1CCC[C@@H]1CCOC1 ZINC000625981356 344648398 /nfs/dbraw/zinc/64/83/98/344648398.db2.gz PTYNKWJHPGDFEH-VXGBXAGGSA-N 0 3 247.329 2.923 20 0 BFADHN CC(C)[C@H]1CC[C@H]1NCc1cccc2c1OCO2 ZINC000560514319 344752469 /nfs/dbraw/zinc/75/24/69/344752469.db2.gz WZMBISQMODLOHO-CHWSQXEVSA-N 0 3 247.338 2.940 20 0 BFADHN CCN1CCC(Oc2ccc(C)cc2)CC1 ZINC000116882313 344737498 /nfs/dbraw/zinc/73/74/98/344737498.db2.gz GOYBGOIDGHXZRO-UHFFFAOYSA-N 0 3 219.328 2.858 20 0 BFADHN C[C@]12CCCC[C@H]1CN2Cc1cncs1 ZINC000626103381 344740271 /nfs/dbraw/zinc/74/02/71/344740271.db2.gz WZAHZNQVGYYLLR-JQWIXIFHSA-N 0 3 222.357 2.908 20 0 BFADHN COC(=O)[C@@H]1CCCCCN1CC1CCCC1 ZINC000260102109 344708012 /nfs/dbraw/zinc/70/80/12/344708012.db2.gz QCAWKYFZLJKXIT-ZDUSSCGKSA-N 0 3 239.359 2.594 20 0 BFADHN COc1cc(CN2C[C@@H]3CCCC[C@@]32C)ccn1 ZINC000626103895 344749460 /nfs/dbraw/zinc/74/94/60/344749460.db2.gz VRHIQQRNAQDBMU-ZFWWWQNUSA-N 0 3 246.354 2.855 20 0 BFADHN CCOC(=O)[C@H]1CCCN1CC1CCCCC1 ZINC000050064367 344718668 /nfs/dbraw/zinc/71/86/68/344718668.db2.gz KQMOKJJXJQHSFB-CYBMUJFWSA-N 0 3 239.359 2.594 20 0 BFADHN C[C@H](NCCCC(C)(F)F)c1ccncn1 ZINC000390110996 259380531 /nfs/dbraw/zinc/38/05/31/259380531.db2.gz WYQJIVCBKFHWMT-VIFPVBQESA-N 0 3 229.274 2.563 20 0 BFADHN COC[C@H](C)N1CC[C@H](c2cccc(F)c2)C1 ZINC000075717449 344777479 /nfs/dbraw/zinc/77/74/79/344777479.db2.gz JTHAOOCRTOACIH-AAEUAGOBSA-N 0 3 237.318 2.650 20 0 BFADHN CC(C)CCOCCN1C[C@@H](C)S[C@@H](C)C1 ZINC000075890889 344786697 /nfs/dbraw/zinc/78/66/97/344786697.db2.gz RZIRBHZVGVFQCE-BETUJISGSA-N 0 3 245.432 2.875 20 0 BFADHN C[C@H]1CN(CCC(F)(F)F)C[C@H](C)S1 ZINC000075893562 344787137 /nfs/dbraw/zinc/78/71/37/344787137.db2.gz RHSVCFCJBGIOAD-YUMQZZPRSA-N 0 3 227.295 2.765 20 0 BFADHN C[C@@H]1CN(CCC(F)(F)F)C[C@@H](C)S1 ZINC000075893565 344787192 /nfs/dbraw/zinc/78/71/92/344787192.db2.gz RHSVCFCJBGIOAD-HTQZYQBOSA-N 0 3 227.295 2.765 20 0 BFADHN CN(C[C@@H](O)c1cccc(Cl)c1)C1CCC1 ZINC000075840699 344792720 /nfs/dbraw/zinc/79/27/20/344792720.db2.gz QVJUHYWWHSBNGC-CYBMUJFWSA-N 0 3 239.746 2.858 20 0 BFADHN CN(C[C@@H](O)c1ccccc1Cl)C1CCC1 ZINC000075841614 344792884 /nfs/dbraw/zinc/79/28/84/344792884.db2.gz PRXQUJPZJGDSEL-CYBMUJFWSA-N 0 3 239.746 2.858 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2N[C@H]1CC[C@@H]1C1CC1 ZINC000348363959 537859058 /nfs/dbraw/zinc/85/90/58/537859058.db2.gz BDMOXODFIRQRAF-AGIUHOORSA-N 0 3 231.343 2.565 20 0 BFADHN CCCN(Cc1nc(C)c[nH]1)CC(C)C ZINC000628139414 344801416 /nfs/dbraw/zinc/80/14/16/344801416.db2.gz FHMNCBNNXBLKSG-UHFFFAOYSA-N 0 3 209.337 2.586 20 0 BFADHN CC[C@H]1CCCN(Cc2cnc(C)o2)C1 ZINC000628145440 344821015 /nfs/dbraw/zinc/82/10/15/344821015.db2.gz NEHILIHVZCLFQH-NSHDSACASA-N 0 3 208.305 2.605 20 0 BFADHN CN(C)Cc1ccc(NC(=O)C2CC=CC2)cc1 ZINC000076956982 344811031 /nfs/dbraw/zinc/81/10/31/344811031.db2.gz KFVWVAPVQYXIFL-UHFFFAOYSA-N 0 3 244.338 2.653 20 0 BFADHN CCN1CCN(C[C@H](C)Cc2ccccc2)CC1 ZINC000182026925 347081441 /nfs/dbraw/zinc/08/14/41/347081441.db2.gz ATQMKYAQMKGZIW-OAHLLOKOSA-N 0 3 246.398 2.503 20 0 BFADHN CC[C@@H](O)[C@H]1CCCCN1CCC(F)(F)F ZINC000077286970 344815633 /nfs/dbraw/zinc/81/56/33/344815633.db2.gz IESIRVAAQFFJLE-NXEZZACHSA-N 0 3 239.281 2.564 20 0 BFADHN COCCN(C)c1cc(C)nc2ccccc21 ZINC000077307832 344815792 /nfs/dbraw/zinc/81/57/92/344815792.db2.gz NWELJOVKNZXXJW-UHFFFAOYSA-N 0 3 230.311 2.626 20 0 BFADHN CN(Cc1ccoc1)C[C@@]1(C)CCCS1 ZINC000628152861 344841879 /nfs/dbraw/zinc/84/18/79/344841879.db2.gz QVVPUOHYZXUXJO-GFCCVEGCSA-N 0 3 225.357 2.997 20 0 BFADHN Cc1ccc(CNC[C@](C)(O)CCC(C)C)o1 ZINC000077456193 344824629 /nfs/dbraw/zinc/82/46/29/344824629.db2.gz KADPJLIGBQIIEE-CQSZACIVSA-N 0 3 239.359 2.865 20 0 BFADHN CCN(Cc1ccc(OC)cc1)C[C@H](C)OC ZINC000182068781 347083497 /nfs/dbraw/zinc/08/34/97/347083497.db2.gz DKVTWLFFBMUCJR-LBPRGKRZSA-N 0 3 237.343 2.552 20 0 BFADHN CCOCCN(C)[C@@H](C)c1ccc(F)cc1 ZINC000078338492 344848280 /nfs/dbraw/zinc/84/82/80/344848280.db2.gz AKMRSFGKPVCYDP-NSHDSACASA-N 0 3 225.307 2.855 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2C2CCC2)[nH]c1C ZINC000628160191 344858823 /nfs/dbraw/zinc/85/88/23/344858823.db2.gz MHSZGLCSACRADF-CYBMUJFWSA-N 0 3 233.359 2.791 20 0 BFADHN Cc1nc(CN2CCC[C@H]2C2CCC2)[nH]c1C ZINC000628160192 344858991 /nfs/dbraw/zinc/85/89/91/344858991.db2.gz MHSZGLCSACRADF-ZDUSSCGKSA-N 0 3 233.359 2.791 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]3CCC[C@H]32)o1 ZINC000628154699 344844579 /nfs/dbraw/zinc/84/45/79/344844579.db2.gz DIXRTSICHGQBGZ-WCQYABFASA-N 0 3 220.316 2.748 20 0 BFADHN C[C@@H]1CN(Cc2ccc3[nH]ccc3c2)CCCO1 ZINC000628162264 344863166 /nfs/dbraw/zinc/86/31/66/344863166.db2.gz JSEDWGDQYAMHPD-GFCCVEGCSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1c[nH]c(CN2CCC[C@@H]2C(C)(C)C)n1 ZINC000628162687 344863929 /nfs/dbraw/zinc/86/39/29/344863929.db2.gz ZUCVUFVBPRNYHK-LLVKDONJSA-N 0 3 221.348 2.729 20 0 BFADHN Cc1ncc(CN2CCc3ccccc3CC2)o1 ZINC000628174309 344895497 /nfs/dbraw/zinc/89/54/97/344895497.db2.gz DWZOHTAJPRNMQH-UHFFFAOYSA-N 0 3 242.322 2.584 20 0 BFADHN Cc1c[nH]c(CN2[C@H](C)C[C@@H]3CCCC[C@@H]32)n1 ZINC000628174705 344896200 /nfs/dbraw/zinc/89/62/00/344896200.db2.gz TVVKOAOIRBJIQH-AGIUHOORSA-N 0 3 233.359 2.871 20 0 BFADHN Cc1ncc(CN2CCCCC[C@@H]2C)o1 ZINC000628174695 344896568 /nfs/dbraw/zinc/89/65/68/344896568.db2.gz SWOJTCGGFRTNOC-JTQLQIEISA-N 0 3 208.305 2.748 20 0 BFADHN C[C@@]1(CN2CCOC3(CCC3)C2)CCCS1 ZINC000628182775 344928864 /nfs/dbraw/zinc/92/88/64/344928864.db2.gz HUYQBQIAZNHBQW-LBPRGKRZSA-N 0 3 241.400 2.527 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1nc(C)c(C)[nH]1 ZINC000628179384 344914033 /nfs/dbraw/zinc/91/40/33/344914033.db2.gz YJWUILNIGNBNCO-SKDRFNHKSA-N 0 3 221.348 2.647 20 0 BFADHN CCc1cc(CNC[C@]2(C)CCCS2)on1 ZINC000628198714 344963253 /nfs/dbraw/zinc/96/32/53/344963253.db2.gz IQMXDYHEJWGRLY-LBPRGKRZSA-N 0 3 240.372 2.612 20 0 BFADHN CC/C=C/CNCc1cccc(NC(C)=O)c1 ZINC000631149834 344964429 /nfs/dbraw/zinc/96/44/29/344964429.db2.gz KZMAUAXYCDVXFE-SNAWJCMRSA-N 0 3 232.327 2.701 20 0 BFADHN Cc1ncc(CNCCc2ccccc2C)o1 ZINC000628198871 344965450 /nfs/dbraw/zinc/96/54/50/344965450.db2.gz OZDASAIXLMAFHH-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cnc(C)o1 ZINC000628188018 344940771 /nfs/dbraw/zinc/94/07/71/344940771.db2.gz KLJJOOUOPKKSIL-JTQLQIEISA-N 0 3 210.321 2.851 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1cnc(C2CC2)o1 ZINC000628189837 344942157 /nfs/dbraw/zinc/94/21/57/344942157.db2.gz ODSIGMFQYGCZDI-VHSXEESVSA-N 0 3 220.316 2.782 20 0 BFADHN CC[C@@H]1CCN(Cc2cnc(C3CC3)o2)C1 ZINC000628189532 344942436 /nfs/dbraw/zinc/94/24/36/344942436.db2.gz DWFTXWJZTMZMRF-SNVBAGLBSA-N 0 3 220.316 2.784 20 0 BFADHN Cc1ncc(CN(C)[C@@H](C)C2(C)CC2)o1 ZINC000628190242 344943035 /nfs/dbraw/zinc/94/30/35/344943035.db2.gz ZRDHYABXGRELIY-VIFPVBQESA-N 0 3 208.305 2.603 20 0 BFADHN CSC[C@H]1CCCN1Cc1ccc(C)nc1 ZINC000153974813 345004375 /nfs/dbraw/zinc/00/43/75/345004375.db2.gz UQEDNQXUHODAJF-CYBMUJFWSA-N 0 3 236.384 2.717 20 0 BFADHN CCN1CCN(CCCC2CCCC2)CC1 ZINC000154120223 345014797 /nfs/dbraw/zinc/01/47/97/345014797.db2.gz GKGHWWOZPCUQHR-UHFFFAOYSA-N 0 3 224.392 2.594 20 0 BFADHN Cc1ccc(OCCNCc2cccn2C)cc1 ZINC000078607322 178812015 /nfs/dbraw/zinc/81/20/15/178812015.db2.gz CARKMWZISSPPHE-UHFFFAOYSA-N 0 3 244.338 2.502 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)NCCCCC(C)(C)C ZINC000155423450 345081073 /nfs/dbraw/zinc/08/10/73/345081073.db2.gz WWIVPUKHPHOQSJ-RYUDHWBXSA-N 0 3 242.407 2.692 20 0 BFADHN COCCN(CCc1cccc(C)c1)C1CC1 ZINC000449012775 201787389 /nfs/dbraw/zinc/78/73/89/201787389.db2.gz GWFWSKZPHUZUPF-UHFFFAOYSA-N 0 3 233.355 2.648 20 0 BFADHN C[C@H]1OCCN(CC2CCCCC2)[C@@H]1C ZINC000156094947 345122010 /nfs/dbraw/zinc/12/20/10/345122010.db2.gz NASKBACNZNWCGY-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)(CNCc1ccon1)C1CCC1 ZINC000634982272 345162386 /nfs/dbraw/zinc/16/23/86/345162386.db2.gz HNSOPKSVMYMVLS-UHFFFAOYSA-N 0 3 208.305 2.591 20 0 BFADHN CC1(C)CCC[C@@H]1CNCc1ccon1 ZINC000634982711 345163956 /nfs/dbraw/zinc/16/39/56/345163956.db2.gz SIMLYBSTBKOSRR-SNVBAGLBSA-N 0 3 208.305 2.591 20 0 BFADHN CCC1(NCc2ccon2)CCCCC1 ZINC000634983552 345166226 /nfs/dbraw/zinc/16/62/26/345166226.db2.gz RHBKXINEZQJDQL-UHFFFAOYSA-N 0 3 208.305 2.877 20 0 BFADHN C1=C(CNCc2ccc3nccnc3c2)CCC1 ZINC000634987143 345173650 /nfs/dbraw/zinc/17/36/50/345173650.db2.gz NHKZJSWXJZAGDB-UHFFFAOYSA-N 0 3 239.322 2.830 20 0 BFADHN C[C@@H](NCc1nccn1C)[C@H](C)c1ccccc1 ZINC000080247844 345126825 /nfs/dbraw/zinc/12/68/25/345126825.db2.gz PSZNTUBOTHTLJN-QWHCGFSZSA-N 0 3 243.354 2.702 20 0 BFADHN COCC[C@@H](C)N[C@@H]1CSc2ccccc21 ZINC000156215714 345139787 /nfs/dbraw/zinc/13/97/87/345139787.db2.gz XMEUPLJGOPZQHA-ZYHUDNBSSA-N 0 3 237.368 2.848 20 0 BFADHN C[C@@H](c1cnccn1)N1CCCC2(CC2)CC1 ZINC000626114907 345322482 /nfs/dbraw/zinc/32/24/82/345322482.db2.gz YKLLCQUFMVCOOO-LBPRGKRZSA-N 0 3 231.343 2.804 20 0 BFADHN CCN1CCC[C@H]1c1ccc(COC)o1 ZINC000131296284 345295974 /nfs/dbraw/zinc/29/59/74/345295974.db2.gz SVZJZCQALPAXQZ-NSHDSACASA-N 0 3 209.289 2.583 20 0 BFADHN CC[C@@H]1CCC[N@H+](Cc2nc(C)ccc2[O-])C1 ZINC000132243360 345323378 /nfs/dbraw/zinc/32/33/78/345323378.db2.gz PAGURKJAAJEBEX-GFCCVEGCSA-N 0 3 234.343 2.718 20 0 BFADHN CC[C@@H]1CCC[N@@H+](Cc2nc(C)ccc2[O-])C1 ZINC000132243360 345323382 /nfs/dbraw/zinc/32/33/82/345323382.db2.gz PAGURKJAAJEBEX-GFCCVEGCSA-N 0 3 234.343 2.718 20 0 BFADHN COCc1ccc(CNC[C@@H](C)C2CC2)o1 ZINC000166672841 537893771 /nfs/dbraw/zinc/89/37/71/537893771.db2.gz BYRCCKDMZHAFME-SNVBAGLBSA-N 0 3 223.316 2.562 20 0 BFADHN CC[C@H](C)[C@H](C)NC(=O)CN(C)CC(C)(C)C ZINC000635419598 345358732 /nfs/dbraw/zinc/35/87/32/345358732.db2.gz CNSCWRLFKNFFMI-RYUDHWBXSA-N 0 3 242.407 2.515 20 0 BFADHN Cc1cc(CN2CCCC3(CC3)CC2)ncn1 ZINC000626115307 345330227 /nfs/dbraw/zinc/33/02/27/345330227.db2.gz OFFNWFJNISENEI-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN C[C@H]1C[C@@H]1CNCc1ccn(C2CCCC2)n1 ZINC000159185775 345414080 /nfs/dbraw/zinc/41/40/80/345414080.db2.gz NHGKXSDVNQMQOF-NWDGAFQWSA-N 0 3 233.359 2.744 20 0 BFADHN CSCCNCc1ccccc1OC(C)C ZINC000070184042 345453997 /nfs/dbraw/zinc/45/39/97/345453997.db2.gz MZKWQOSHQWIUCZ-UHFFFAOYSA-N 0 3 239.384 2.926 20 0 BFADHN CCCOc1ccc(CNCCSC)cc1 ZINC000070184045 345454117 /nfs/dbraw/zinc/45/41/17/345454117.db2.gz RXAWYXWBFVEOND-UHFFFAOYSA-N 0 3 239.384 2.928 20 0 BFADHN CSCCN[C@H](C)c1ccc(F)cc1 ZINC000070184424 345454898 /nfs/dbraw/zinc/45/48/98/345454898.db2.gz UFPTUJIJUOHGKH-SECBINFHSA-N 0 3 213.321 2.839 20 0 BFADHN COC(C)(C)CN[C@H]1CCCc2occc21 ZINC000158972078 345393499 /nfs/dbraw/zinc/39/34/99/345393499.db2.gz XBJBTQTYVDMAJF-NSHDSACASA-N 0 3 223.316 2.672 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1CCOCC(F)(F)F ZINC000080656171 345398210 /nfs/dbraw/zinc/39/82/10/345398210.db2.gz OOVLUUBHVKXCID-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CCCN(CCN(C)C)C(=O)CC(C)=C(C)C ZINC000635502938 345403118 /nfs/dbraw/zinc/40/31/18/345403118.db2.gz OKBAYLVMFJEWIC-UHFFFAOYSA-N 0 3 240.391 2.533 20 0 BFADHN CN(C)c1ccccc1CN1CCC1(C)C ZINC000081046316 345459764 /nfs/dbraw/zinc/45/97/64/345459764.db2.gz GGJBYZSEUJQVHL-UHFFFAOYSA-N 0 3 218.344 2.737 20 0 BFADHN COc1ccccc1OCCN1CCC12CCC2 ZINC000081562810 345478818 /nfs/dbraw/zinc/47/88/18/345478818.db2.gz ACCNSTSUGWZUJI-UHFFFAOYSA-N 0 3 247.338 2.702 20 0 BFADHN C[C@@H](N[C@@H](CO)CC(C)(C)C)c1cccnc1 ZINC000090588452 345573569 /nfs/dbraw/zinc/57/35/69/345573569.db2.gz UKHHDESACXPFDH-DGCLKSJQSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1cnccc1CCN[C@@H](C)c1ccccn1 ZINC000090846948 345576528 /nfs/dbraw/zinc/57/65/28/345576528.db2.gz DASMRFGQCDODLO-ZDUSSCGKSA-N 0 3 241.338 2.678 20 0 BFADHN C[C@H]1CCN(Cc2nc3c(s2)CCC3)[C@H]1C ZINC000678841176 488289984 /nfs/dbraw/zinc/28/99/84/488289984.db2.gz QWACGSAMOSPVAC-UWVGGRQHSA-N 0 3 236.384 2.862 20 0 BFADHN CC[C@H]1CCN(Cc2scnc2C)C1 ZINC000091930248 345612804 /nfs/dbraw/zinc/61/28/04/345612804.db2.gz WCHLDJGDRLHKNP-JTQLQIEISA-N 0 3 210.346 2.683 20 0 BFADHN CCc1ncc(CN2CC[C@H](CC)C2)s1 ZINC000091929185 345612902 /nfs/dbraw/zinc/61/29/02/345612902.db2.gz SHZCTUCESPSAGD-JTQLQIEISA-N 0 3 224.373 2.937 20 0 BFADHN COCc1ccc(CN2[C@H](C)CC[C@@H]2C)o1 ZINC000091937357 345613107 /nfs/dbraw/zinc/61/31/07/345613107.db2.gz FMOWWMSVKKWMRW-PHIMTYICSA-N 0 3 223.316 2.799 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](CC(C)C)C2CC2)n1 ZINC000092008789 345614911 /nfs/dbraw/zinc/61/49/11/345614911.db2.gz ZGUPHGLTFQYMQY-UHFFFAOYSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](CC(C)C)C2CC2)n1 ZINC000092008789 345614912 /nfs/dbraw/zinc/61/49/12/345614912.db2.gz ZGUPHGLTFQYMQY-UHFFFAOYSA-N 0 3 234.343 2.716 20 0 BFADHN CCN(Cc1occc1C)C[C@@H]1CCCO1 ZINC000092407699 345618399 /nfs/dbraw/zinc/61/83/99/345618399.db2.gz NOLIVBADYPTXAQ-LBPRGKRZSA-N 0 3 223.316 2.589 20 0 BFADHN CC(C)(C)C[C@H]1CCCN1Cc1c[nH]cn1 ZINC000092644211 345632532 /nfs/dbraw/zinc/63/25/32/345632532.db2.gz ILIVDQORZJCBSK-GFCCVEGCSA-N 0 3 221.348 2.810 20 0 BFADHN C[C@H]1CCC[C@@H](CCN2CCc3n[nH]cc3C2)C1 ZINC000091078215 345583041 /nfs/dbraw/zinc/58/30/41/345583041.db2.gz REIYBATZQXOERT-STQMWFEESA-N 0 3 247.386 2.984 20 0 BFADHN CC(C)[C@@H](NC[C@H]1CCCO1)c1cccnc1 ZINC000091263015 345590190 /nfs/dbraw/zinc/59/01/90/345590190.db2.gz NVDZSEYZECEFML-ZIAGYGMSSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)N(CCCF)Cc1cccnc1 ZINC000093223631 345659297 /nfs/dbraw/zinc/65/92/97/345659297.db2.gz GHXUHASOYCAHLH-UHFFFAOYSA-N 0 3 210.296 2.652 20 0 BFADHN CSc1ccc(CNCCCOC(C)C)o1 ZINC000641703453 362612544 /nfs/dbraw/zinc/61/25/44/362612544.db2.gz TVBOAMPOESEGPV-UHFFFAOYSA-N 0 3 243.372 2.906 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@]3(CCOC3)C2)c1 ZINC000093819962 345665751 /nfs/dbraw/zinc/66/57/51/345665751.db2.gz JYSIKYTVIWUXDV-HNNXBMFYSA-N 0 3 249.329 2.747 20 0 BFADHN Cc1ccc(CNCc2ccncc2N)c(C)c1 ZINC000641707539 362619387 /nfs/dbraw/zinc/61/93/87/362619387.db2.gz GWDWWTCGSOUPOI-UHFFFAOYSA-N 0 3 241.338 2.570 20 0 BFADHN COCCCCCNCc1ccc(SC)o1 ZINC000641711646 362622019 /nfs/dbraw/zinc/62/20/19/362622019.db2.gz AIFVTGJFRLYJNV-UHFFFAOYSA-N 0 3 243.372 2.908 20 0 BFADHN COC[C@@H](C)N[C@H]1CCCc2ccc(F)cc21 ZINC000092856778 345646041 /nfs/dbraw/zinc/64/60/41/345646041.db2.gz SMHPVIHQNKRRQC-YGRLFVJLSA-N 0 3 237.318 2.828 20 0 BFADHN COC[C@H](C)N[C@@H]1CCCc2ccc(F)cc21 ZINC000092856775 345646260 /nfs/dbraw/zinc/64/62/60/345646260.db2.gz SMHPVIHQNKRRQC-IINYFYTJSA-N 0 3 237.318 2.828 20 0 BFADHN COCCN(Cc1ccco1)[C@@H]1CC[C@@H](C)C1 ZINC000092902964 345647745 /nfs/dbraw/zinc/64/77/45/345647745.db2.gz DELDMOAOLZBVFE-CHWSQXEVSA-N 0 3 237.343 2.917 20 0 BFADHN C[C@H](CN(C)Cc1c[nH]cn1)c1ccccc1 ZINC000093008949 345654416 /nfs/dbraw/zinc/65/44/16/345654416.db2.gz NCZNMYPUCGEURV-GFCCVEGCSA-N 0 3 229.327 2.645 20 0 BFADHN C[C@H](CN(C)Cc1cnc[nH]1)c1ccccc1 ZINC000093008949 345654419 /nfs/dbraw/zinc/65/44/19/345654419.db2.gz NCZNMYPUCGEURV-GFCCVEGCSA-N 0 3 229.327 2.645 20 0 BFADHN C[C@@H](CN(C)Cc1c[nH]cn1)c1ccccc1 ZINC000093008948 345654588 /nfs/dbraw/zinc/65/45/88/345654588.db2.gz NCZNMYPUCGEURV-LBPRGKRZSA-N 0 3 229.327 2.645 20 0 BFADHN C[C@@H](CN(C)Cc1cnc[nH]1)c1ccccc1 ZINC000093008948 345654589 /nfs/dbraw/zinc/65/45/89/345654589.db2.gz NCZNMYPUCGEURV-LBPRGKRZSA-N 0 3 229.327 2.645 20 0 BFADHN CC[C@]1(C)CCCN(Cc2ccc(CO)o2)C1 ZINC000093459001 345671479 /nfs/dbraw/zinc/67/14/79/345671479.db2.gz WOSXFYLIDDDXPD-CQSZACIVSA-N 0 3 237.343 2.784 20 0 BFADHN c1nc(CN2CCC[C@H]3CCCC[C@H]32)c[nH]1 ZINC000093480684 345672404 /nfs/dbraw/zinc/67/24/04/345672404.db2.gz GQQKUUNZIJJZGJ-DGCLKSJQSA-N 0 3 219.332 2.564 20 0 BFADHN c1ncc(CN2CCC[C@H]3CCCC[C@H]32)[nH]1 ZINC000093480684 345672409 /nfs/dbraw/zinc/67/24/09/345672409.db2.gz GQQKUUNZIJJZGJ-DGCLKSJQSA-N 0 3 219.332 2.564 20 0 BFADHN CCc1ccc(CN2CCC[C@H]2C[C@@H](C)O)o1 ZINC000093482618 345673597 /nfs/dbraw/zinc/67/35/97/345673597.db2.gz RBPWOTRBEYFZCF-NEPJUHHUSA-N 0 3 237.343 2.577 20 0 BFADHN COCc1ccc(CN2C[C@H](C)[C@@H](C)C2)o1 ZINC000093503344 345674413 /nfs/dbraw/zinc/67/44/13/345674413.db2.gz BEFAJLWFVIAFJD-QWRGUYRKSA-N 0 3 223.316 2.514 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@@H](C)C2)c(OC)c1 ZINC000093503296 345674649 /nfs/dbraw/zinc/67/46/49/345674649.db2.gz XOJPWLGMBFUMRE-RYUDHWBXSA-N 0 3 249.354 2.792 20 0 BFADHN CSc1ccc(CN[C@@H]2CCCSC2)o1 ZINC000641733567 362654488 /nfs/dbraw/zinc/65/44/88/362654488.db2.gz SQMZHKBLOMAHOS-SECBINFHSA-N 0 3 243.397 2.987 20 0 BFADHN CSc1ccc(CNC2CCSCC2)o1 ZINC000641717760 362638799 /nfs/dbraw/zinc/63/87/99/362638799.db2.gz PFIQVEFRJHPFJX-UHFFFAOYSA-N 0 3 243.397 2.987 20 0 BFADHN CC(C)(C)CCN1CCc2ncsc2C1 ZINC000225252807 345750518 /nfs/dbraw/zinc/75/05/18/345750518.db2.gz HANKUFMDDVZCPB-UHFFFAOYSA-N 0 3 224.373 2.937 20 0 BFADHN COc1cc(C)ccc1CN1CC[C@@H](C)C1 ZINC000149342089 345716267 /nfs/dbraw/zinc/71/62/67/345716267.db2.gz RWAQOUNPNKXZKR-GFCCVEGCSA-N 0 3 219.328 2.845 20 0 BFADHN CC(C)CCCN1CCN(CC(C)C)CC1 ZINC000147581496 345717957 /nfs/dbraw/zinc/71/79/57/345717957.db2.gz MFEHQLIJFCPDIY-UHFFFAOYSA-N 0 3 226.408 2.696 20 0 BFADHN C[C@H](Cc1ccccc1)CN1C[C@H](C)O[C@@H](C)C1 ZINC000148701914 345720065 /nfs/dbraw/zinc/72/00/65/345720065.db2.gz GTXJQSYVXLUOCW-ILXRZTDVSA-N 0 3 247.382 2.974 20 0 BFADHN C[C@H](CSc1nc2ccccc2[nH]1)N(C)C ZINC000166759378 345721593 /nfs/dbraw/zinc/72/15/93/345721593.db2.gz TVYDMMQQCHRKNB-SECBINFHSA-N 0 3 235.356 2.605 20 0 BFADHN C[C@H](Cc1ccccc1)CN1C[C@@H](C)O[C@H](C)C1 ZINC000200491808 345728015 /nfs/dbraw/zinc/72/80/15/345728015.db2.gz GTXJQSYVXLUOCW-RBSFLKMASA-N 0 3 247.382 2.974 20 0 BFADHN COc1ccccc1CNC[C@H]1CCC(C)(C)O1 ZINC000160177498 345809463 /nfs/dbraw/zinc/80/94/63/345809463.db2.gz ZPKSQXKHJKUSJN-CYBMUJFWSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)[C@H]1CCCN1CC(=O)Nc1ccccc1 ZINC000140437986 345809529 /nfs/dbraw/zinc/80/95/29/345809529.db2.gz ZDRYDAYBNJFXMI-CQSZACIVSA-N 0 3 246.354 2.746 20 0 BFADHN C[C@H](CNCc1cnc(C2CC2)o1)C1CC1 ZINC000628208811 345798036 /nfs/dbraw/zinc/79/80/36/345798036.db2.gz DTQHQOQEKUHDCR-SECBINFHSA-N 0 3 220.316 2.688 20 0 BFADHN Fc1ccc2c(c1)OCCN(CC1CC=CC1)C2 ZINC000626153724 345841698 /nfs/dbraw/zinc/84/16/98/345841698.db2.gz SDISBWWMXPMVJB-UHFFFAOYSA-N 0 3 247.313 2.986 20 0 BFADHN COc1c(C)cnc(CN(C)[C@@H](C)C2CC2)c1C ZINC000171233796 345873462 /nfs/dbraw/zinc/87/34/62/345873462.db2.gz DDMCWPDPMZSHTC-LBPRGKRZSA-N 0 3 248.370 2.937 20 0 BFADHN COc1c(C)cnc(CN(C)[C@H](C)C2CC2)c1C ZINC000171233802 345873547 /nfs/dbraw/zinc/87/35/47/345873547.db2.gz DDMCWPDPMZSHTC-GFCCVEGCSA-N 0 3 248.370 2.937 20 0 BFADHN CCCN(CCOC)Cc1ccc(F)cc1 ZINC000142688762 345873772 /nfs/dbraw/zinc/87/37/72/345873772.db2.gz RENNUAIBFYLEOS-UHFFFAOYSA-N 0 3 225.307 2.684 20 0 BFADHN CO[C@@H]1CCCN(Cc2ccc3c(c2)CCC3)C1 ZINC000172289575 345925323 /nfs/dbraw/zinc/92/53/23/345925323.db2.gz XYFOWUYWBRIIKK-MRXNPFEDSA-N 0 3 245.366 2.786 20 0 BFADHN Cc1ccc(CC[C@H](C)NCc2cnc(C)o2)o1 ZINC000628221418 345958041 /nfs/dbraw/zinc/95/80/41/345958041.db2.gz HLZUHAWNKBAEJC-JTQLQIEISA-N 0 3 248.326 2.995 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1CC=CCC1 ZINC000628217787 345944849 /nfs/dbraw/zinc/94/48/49/345944849.db2.gz JGYWDZSNUPGOHC-LLVKDONJSA-N 0 3 218.300 2.750 20 0 BFADHN CCC1CCN(Cc2cccnc2)CC1 ZINC000146047265 345949770 /nfs/dbraw/zinc/94/97/70/345949770.db2.gz JGOXUYGBDLVNEE-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN Cc1cnc([C@@H](C)N[C@@H]2CCC2(C)C)cn1 ZINC000381465249 345966969 /nfs/dbraw/zinc/96/69/69/345966969.db2.gz MJFIXJOZOBZEDR-ZYHUDNBSSA-N 0 3 219.332 2.624 20 0 BFADHN C[C@H](NCc1ccon1)[C@H]1CCC[C@@H](C)C1 ZINC000381473336 346015880 /nfs/dbraw/zinc/01/58/80/346015880.db2.gz NHZPOHWGYLYQPP-WOPDTQHZSA-N 0 3 222.332 2.979 20 0 BFADHN CCCc1csc(CN[C@H]2[C@@H]3CCC[C@@H]32)n1 ZINC000390408291 259387704 /nfs/dbraw/zinc/38/77/04/259387704.db2.gz CPCORMCHNXJYLQ-PJXYFTJBSA-N 0 3 236.384 2.984 20 0 BFADHN CC[C@@H]1CC[C@H](C)N(Cc2snnc2C)C1 ZINC000563217954 323103973 /nfs/dbraw/zinc/10/39/73/323103973.db2.gz RHNJBKATYCZUOR-GXSJLCMTSA-N 0 3 239.388 2.857 20 0 BFADHN C[C@@]1(NCc2ccc3cnccc3c2)CCOC1 ZINC000235396991 537934759 /nfs/dbraw/zinc/93/47/59/537934759.db2.gz GFDQZVWFLFCMNW-OAHLLOKOSA-N 0 3 242.322 2.503 20 0 BFADHN CSCCCNCc1ccc(C(F)F)nc1 ZINC000601108533 346067517 /nfs/dbraw/zinc/06/75/17/346067517.db2.gz NPUIKYYYYKMWEO-UHFFFAOYSA-N 0 3 246.326 2.862 20 0 BFADHN Cc1nn(C)c(C)c1CN[C@H](C)c1ccccc1 ZINC000020085294 259388049 /nfs/dbraw/zinc/38/80/49/259388049.db2.gz FMOODEVCHBKCCN-LLVKDONJSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1ccc2nccc(N3C[C@@H](O)C[C@@H]3C)c2c1 ZINC000336367873 178983752 /nfs/dbraw/zinc/98/37/52/178983752.db2.gz ODCHKVHFQPJPQD-RYUDHWBXSA-N 0 3 242.322 2.503 20 0 BFADHN CCc1nn(C)cc1CN1C[C@H](C)CC[C@H]1C ZINC000245654374 537952877 /nfs/dbraw/zinc/95/28/77/537952877.db2.gz IMCPUEZKKOYOQJ-VXGBXAGGSA-N 0 3 235.375 2.603 20 0 BFADHN CCCC[C@@H](C)NCc1nccn1CC ZINC000051924358 346210006 /nfs/dbraw/zinc/21/00/06/346210006.db2.gz PVSJLICSBPOHMK-LLVKDONJSA-N 0 3 209.337 2.571 20 0 BFADHN C[C@]1(CNCc2ncccc2F)CCCS1 ZINC000628230047 346179484 /nfs/dbraw/zinc/17/94/84/346179484.db2.gz RMYWCMLVCZYQCE-GFCCVEGCSA-N 0 3 240.347 2.596 20 0 BFADHN Cc1ncc(CN[C@@H]2CCCC(F)(F)C2)o1 ZINC000628228485 346180626 /nfs/dbraw/zinc/18/06/26/346180626.db2.gz PVZJLCOMUPYYBO-SECBINFHSA-N 0 3 230.258 2.651 20 0 BFADHN c1nc(C2CC2)oc1CNC[C@H]1CCCS1 ZINC000628228571 346181632 /nfs/dbraw/zinc/18/16/32/346181632.db2.gz SPKXENDRVZBTTL-LLVKDONJSA-N 0 3 238.356 2.537 20 0 BFADHN Cc1nc(C)c(CNc2cc(C)cc(C)n2)o1 ZINC000628230897 346182350 /nfs/dbraw/zinc/18/23/50/346182350.db2.gz XPBOYQBIZMAMDB-UHFFFAOYSA-N 0 3 231.299 2.915 20 0 BFADHN CCOC(C)(C)CNCc1ccncc1Cl ZINC000167027779 346182668 /nfs/dbraw/zinc/18/26/68/346182668.db2.gz QYJBCPQALFBPQR-UHFFFAOYSA-N 0 3 242.750 2.640 20 0 BFADHN CC1(NCc2cnc(C3CC3)o2)CCC1 ZINC000628233908 346187260 /nfs/dbraw/zinc/18/72/60/346187260.db2.gz BALLJTBQARQQDV-UHFFFAOYSA-N 0 3 206.289 2.584 20 0 BFADHN C[C@H]1CCN(Cc2cccc3n[nH]cc32)C[C@@H]1F ZINC000628234461 346187566 /nfs/dbraw/zinc/18/75/66/346187566.db2.gz BIMGRERPWVIVAE-GWCFXTLKSA-N 0 3 247.317 2.743 20 0 BFADHN CN(Cc1cccnc1)C[C@@]1(C)CCCS1 ZINC000628234627 346187754 /nfs/dbraw/zinc/18/77/54/346187754.db2.gz HNDUKFMZUOUTPM-CYBMUJFWSA-N 0 3 236.384 2.799 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)C3(CCC3)C2)o1 ZINC000628235301 346189270 /nfs/dbraw/zinc/18/92/70/346189270.db2.gz IAZAIFNTWAKRSV-LLVKDONJSA-N 0 3 234.343 2.995 20 0 BFADHN Cc1c[nH]c(CN2C[C@H](C)[C@H]3CCCC[C@H]32)n1 ZINC000628236455 346191396 /nfs/dbraw/zinc/19/13/96/346191396.db2.gz BWRGKQNIYABDGV-CYZMBNFOSA-N 0 3 233.359 2.729 20 0 BFADHN Cc1ncc(CN2CC[C@@H](C)C(C)(C)C2)o1 ZINC000628238931 346198381 /nfs/dbraw/zinc/19/83/81/346198381.db2.gz UWESBMRPPXDRSM-SNVBAGLBSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)[nH]c1C ZINC000628249396 346218944 /nfs/dbraw/zinc/21/89/44/346218944.db2.gz BYQUJSSBWSMHFP-GIPNMCIBSA-N 0 3 235.375 2.893 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)o1 ZINC000628249558 346219132 /nfs/dbraw/zinc/21/91/32/346219132.db2.gz IIHVXIASVKEYFP-GMTAPVOTSA-N 0 3 222.332 2.849 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1ccnc(OC)n1 ZINC000294659374 346220944 /nfs/dbraw/zinc/22/09/44/346220944.db2.gz COCAJCSBPJYPEE-YPMHNXCESA-N 0 3 249.358 2.544 20 0 BFADHN Cc1nc(C)c(CNCCC2(C)CC2)o1 ZINC000628254682 346237360 /nfs/dbraw/zinc/23/73/60/346237360.db2.gz LEDMIKRMJSFURI-UHFFFAOYSA-N 0 3 208.305 2.571 20 0 BFADHN Cc1ncc(CN(CC2CC2)CC2CCC2)o1 ZINC000628256778 346255691 /nfs/dbraw/zinc/25/56/91/346255691.db2.gz LVBBRMHOBICDDJ-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN COc1ccsc1CN1CC(C(C)C)C1 ZINC000684407602 488307568 /nfs/dbraw/zinc/30/75/68/488307568.db2.gz HDFXLMVUUUKLCZ-UHFFFAOYSA-N 0 3 225.357 2.845 20 0 BFADHN CC(C)CC[C@H](CO)N[C@@H](C)c1cccnc1 ZINC000624109899 346262448 /nfs/dbraw/zinc/26/24/48/346262448.db2.gz JREXMDOIHZGVHB-GXTWGEPZSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCCC[C@@H]2C)o1 ZINC000628265881 346265188 /nfs/dbraw/zinc/26/51/88/346265188.db2.gz VNXHENINKBTCRG-GWCFXTLKSA-N 0 3 222.332 2.994 20 0 BFADHN Cc1ncc(CN(C)[C@@H]2CCCC[C@H]2C)o1 ZINC000628265884 346265575 /nfs/dbraw/zinc/26/55/75/346265575.db2.gz VNXHENINKBTCRG-ZWNOBZJWSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CN1CC[C@@](F)(CO)C1 ZINC000628264756 346266438 /nfs/dbraw/zinc/26/64/38/346266438.db2.gz AQHGVIIEDFKOFS-MCIONIFRSA-N 0 3 243.366 2.609 20 0 BFADHN CCN(Cc1ccc(Cl)nc1)C[C@H](C)OC ZINC000291141918 346286243 /nfs/dbraw/zinc/28/62/43/346286243.db2.gz XZWGJVPXHRTHLK-JTQLQIEISA-N 0 3 242.750 2.592 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2c[nH]cn2)C1 ZINC000626163325 346275453 /nfs/dbraw/zinc/27/54/53/346275453.db2.gz IMJAQBJCLHCYKO-QAVQXKDTSA-N 0 3 219.332 2.588 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2cnc[nH]2)C1 ZINC000626163325 346275454 /nfs/dbraw/zinc/27/54/54/346275454.db2.gz IMJAQBJCLHCYKO-QAVQXKDTSA-N 0 3 219.332 2.588 20 0 BFADHN CSc1ccc(CN[C@@]2(C)CCO[C@H]2C)o1 ZINC000641748033 362675897 /nfs/dbraw/zinc/67/58/97/362675897.db2.gz SPURSCSASUQZLY-CABZTGNLSA-N 0 3 241.356 2.659 20 0 BFADHN Cc1nc(C)c(CN2CC(C)(C)[C@@H]2C2CC2)o1 ZINC000628286000 346297686 /nfs/dbraw/zinc/29/76/86/346297686.db2.gz QEGFSLQRLBGEIY-ZDUSSCGKSA-N 0 3 234.343 2.912 20 0 BFADHN CC[C@H](NCc1ccc(SC)o1)C(C)(C)O ZINC000641756824 362682903 /nfs/dbraw/zinc/68/29/03/362682903.db2.gz KJIXUWJMSIVMLD-JTQLQIEISA-N 0 3 243.372 2.641 20 0 BFADHN CSc1ccc(CNCC[C@@H](C)F)o1 ZINC000641756637 362682642 /nfs/dbraw/zinc/68/26/42/362682642.db2.gz GZHMBEQULIPEDS-MRVPVSSYSA-N 0 3 217.309 2.839 20 0 BFADHN Cc1cccc(C(C)(C)NCc2cnn(C)c2)c1 ZINC000130453534 179027890 /nfs/dbraw/zinc/02/78/90/179027890.db2.gz FIKJZLUZCZWNJM-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN FC1(CCNCc2cnc(C3CC3)o2)CCC1 ZINC000628281637 346291363 /nfs/dbraw/zinc/29/13/63/346291363.db2.gz MGNLJDSRNPEZAA-UHFFFAOYSA-N 0 3 238.306 2.924 20 0 BFADHN Cc1cccc(C)c1CNCc1ccn(C)c1 ZINC000126833785 179036901 /nfs/dbraw/zinc/03/69/01/179036901.db2.gz MLHRFUIYQZKVDR-UHFFFAOYSA-N 0 3 228.339 2.932 20 0 BFADHN Cc1cccc(C)c1CNCCOC(F)(F)F ZINC000353189692 179036910 /nfs/dbraw/zinc/03/69/10/179036910.db2.gz GHUCTBSFHIETOB-UHFFFAOYSA-N 0 3 247.260 2.929 20 0 BFADHN Cc1cccc(C)c1CN[C@@H](C)c1cn[nH]c1 ZINC000230937383 179037461 /nfs/dbraw/zinc/03/74/61/179037461.db2.gz GUUWWEFKTMXYHM-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN CCC[C@@H](CNCc1ccc(SC)o1)OC ZINC000641758147 362688606 /nfs/dbraw/zinc/68/86/06/362688606.db2.gz HYVNUUIEBLRBFZ-JTQLQIEISA-N 0 3 243.372 2.906 20 0 BFADHN CCc1ccc(CC)c(NC(=O)CNC2CC2)c1 ZINC000154608540 346329747 /nfs/dbraw/zinc/32/97/47/346329747.db2.gz ITSAMLMZKPJDEM-UHFFFAOYSA-N 0 3 246.354 2.502 20 0 BFADHN CCC1(CNCc2ccc(SC)o2)COC1 ZINC000641758744 362689686 /nfs/dbraw/zinc/68/96/86/362689686.db2.gz UGGPNFMZFRRTAU-UHFFFAOYSA-N 0 3 241.356 2.518 20 0 BFADHN CC[C@](C)(CNCc1ccc(SC)o1)OC ZINC000641758771 362690072 /nfs/dbraw/zinc/69/00/72/362690072.db2.gz VAZFHIUQAIFYHJ-GFCCVEGCSA-N 0 3 243.372 2.906 20 0 BFADHN Cc1cccc(C)c1[C@@H](C)NCc1cnn(C)c1 ZINC000354674298 179048624 /nfs/dbraw/zinc/04/86/24/179048624.db2.gz MGUXQIKSKVYDRP-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cccc(C)c1[C@H](C)NCc1c[nH]cn1 ZINC000354654048 179049126 /nfs/dbraw/zinc/04/91/26/179049126.db2.gz OFWUDEWLEYQNSU-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1cccc(C)c1[C@H](C)NCc1cnc[nH]1 ZINC000354654048 179049128 /nfs/dbraw/zinc/04/91/28/179049128.db2.gz OFWUDEWLEYQNSU-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1cccc(C2=CCN([C@@H]3CCOC3)CC2)c1 ZINC000284903990 179051020 /nfs/dbraw/zinc/05/10/20/179051020.db2.gz GUBRCECCELLVIG-MRXNPFEDSA-N 0 3 243.350 2.873 20 0 BFADHN Cc1cccc(C2=CCN([C@H]3CCOC3)CC2)c1 ZINC000284903987 179051329 /nfs/dbraw/zinc/05/13/29/179051329.db2.gz GUBRCECCELLVIG-INIZCTEOSA-N 0 3 243.350 2.873 20 0 BFADHN CSc1ccc(CN[C@@H]2CC23CC3)o1 ZINC000641765722 362698316 /nfs/dbraw/zinc/69/83/16/362698316.db2.gz OEIASDGCXHJJAR-SECBINFHSA-N 0 3 209.314 2.644 20 0 BFADHN CC[C@@H](O)CCN[C@H](C)c1cc(C)c(C)o1 ZINC000281999516 346373623 /nfs/dbraw/zinc/37/36/23/346373623.db2.gz CWLDXELGXAWLQT-ZYHUDNBSSA-N 0 3 225.332 2.708 20 0 BFADHN CC(C)CN(Cc1cc[nH]n1)CC(C)C ZINC000157360060 346380934 /nfs/dbraw/zinc/38/09/34/346380934.db2.gz UGPLGMZUOBBGRY-UHFFFAOYSA-N 0 3 209.337 2.524 20 0 BFADHN CC[C@@H](O)CN[C@H](C)c1ccccc1SC ZINC000282136871 346383735 /nfs/dbraw/zinc/38/37/35/346383735.db2.gz RRAUQKVKLTXLAW-GHMZBOCLSA-N 0 3 239.384 2.830 20 0 BFADHN Cc1cccc(CN(C)CCC(C)(C)O)c1 ZINC000086234044 179064105 /nfs/dbraw/zinc/06/41/05/179064105.db2.gz VNTIKYRUXVAAGI-UHFFFAOYSA-N 0 3 221.344 2.588 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1cc(C)ccc1C ZINC000381594761 346399572 /nfs/dbraw/zinc/39/95/72/346399572.db2.gz LBLCJSWCMBQGJS-ZIAGYGMSSA-N 0 3 219.328 2.570 20 0 BFADHN C[C@H]1C[C@@H](N[C@H]2CCC[C@@H](C)CC2)c2ncnn21 ZINC000641781925 362720613 /nfs/dbraw/zinc/72/06/13/362720613.db2.gz DWRQIKHQKGLZKF-MROQNXINSA-N 0 3 248.374 2.842 20 0 BFADHN Cc1cccc(CN(C)Cc2ccn(C)c2)c1 ZINC000271060500 179070606 /nfs/dbraw/zinc/07/06/06/179070606.db2.gz WWGDXRMGARYMKM-UHFFFAOYSA-N 0 3 228.339 2.966 20 0 BFADHN CCN(Cc1ccc(F)c(C)c1)[C@H]1CCOC1 ZINC000361237101 346408700 /nfs/dbraw/zinc/40/87/00/346408700.db2.gz NINWENMXJAVBCZ-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cccc(CN2CCC23CCC3)n1 ZINC000336432559 179074673 /nfs/dbraw/zinc/07/46/73/179074673.db2.gz RUWREMBGAIVAED-UHFFFAOYSA-N 0 3 202.301 2.518 20 0 BFADHN Cc1cccc(CN(C)C[C@@H]2CCO[C@@H](C)C2)n1 ZINC000352626528 179066362 /nfs/dbraw/zinc/06/63/62/179066362.db2.gz DTMNZFGPRMCZTR-UONOGXRCSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@H](NC[C@H]1CC2CCC1CC2)c1ncc[nH]1 ZINC000574916633 346413651 /nfs/dbraw/zinc/41/36/51/346413651.db2.gz YVJFRYVXJUHLLC-YWPUVAFDSA-N 0 3 233.359 2.887 20 0 BFADHN Cc1cccc(CN2CCC[C@H](C)C2)n1 ZINC000336343806 179075801 /nfs/dbraw/zinc/07/58/01/179075801.db2.gz HGBLDYGKSNUKMT-NSHDSACASA-N 0 3 204.317 2.622 20 0 BFADHN Cc1cccc(CN2C[C@H](C(N)=O)CC[C@@H]2C)n1 ZINC000334144026 179085107 /nfs/dbraw/zinc/08/51/07/179085107.db2.gz SIIDQXVKWQCGPT-NWDGAFQWSA-N 0 3 247.342 2.526 20 0 BFADHN Cc1cccc(CN[C@@H](C)c2cnn(C)c2)c1 ZINC000044495222 179097556 /nfs/dbraw/zinc/09/75/56/179097556.db2.gz NQJONSQDKZQBTI-LBPRGKRZSA-N 0 3 229.327 2.579 20 0 BFADHN CO[C@H]1CCCN([C@H](C)c2ccc(F)cc2)C1 ZINC000170817636 346454399 /nfs/dbraw/zinc/45/43/99/346454399.db2.gz YPBXZPRJFCPWLR-RISCZKNCSA-N 0 3 237.318 2.998 20 0 BFADHN CC[C@](C)(CNCc1cc2cccnc2o1)OC ZINC000449479800 201936479 /nfs/dbraw/zinc/93/64/79/201936479.db2.gz WFHCCUGTXMTWSD-CQSZACIVSA-N 0 3 248.326 2.733 20 0 BFADHN Cc1cccc(CNC[C@@H]2CCCCO2)c1F ZINC000296466339 179091857 /nfs/dbraw/zinc/09/18/57/179091857.db2.gz PCXHAXSYEZZMBR-ZDUSSCGKSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1cccc(CNCCc2ccncc2)c1F ZINC000295657310 179092318 /nfs/dbraw/zinc/09/23/18/179092318.db2.gz JFJJHHJNUVUGDH-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN Cc1cccc(CNCCc2ccccn2)c1F ZINC000294794174 179092794 /nfs/dbraw/zinc/09/27/94/179092794.db2.gz OWHRTJUNOYGIFO-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN Cc1cccc(CNCCOCC2CC2)c1 ZINC000163942616 179092815 /nfs/dbraw/zinc/09/28/15/179092815.db2.gz FNUVUVPCPGEBCB-UHFFFAOYSA-N 0 3 219.328 2.511 20 0 BFADHN Cc1cccc(CNC[C@H](O)c2ccccc2)c1 ZINC000035111655 179094228 /nfs/dbraw/zinc/09/42/28/179094228.db2.gz HIGWJXIRANBZJL-INIZCTEOSA-N 0 3 241.334 2.818 20 0 BFADHN Cc1cccc(CNCc2nc(C)ccc2O)c1 ZINC000103341443 179095931 /nfs/dbraw/zinc/09/59/31/179095931.db2.gz ZQIOBGKIIUGVPZ-UHFFFAOYSA-N 0 3 242.322 2.694 20 0 BFADHN CC[C@H](CN1CCC[C@@H](C(F)(F)F)C1)OC ZINC000419207145 192103818 /nfs/dbraw/zinc/10/38/18/192103818.db2.gz STQFDJLDXNBZNE-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN CC(C)(C)OCCNCc1ccc(F)cc1 ZINC000162366144 346520114 /nfs/dbraw/zinc/52/01/14/346520114.db2.gz VEPHIMVMCQFBSX-UHFFFAOYSA-N 0 3 225.307 2.730 20 0 BFADHN Cc1nc(CNC[C@H]2CCC[C@H]2C2CC2)[nH]c1C ZINC000580096740 346521954 /nfs/dbraw/zinc/52/19/54/346521954.db2.gz OOPGGJAJEIZXLE-KGLIPLIRSA-N 0 3 247.386 2.942 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NCc1cccc(Cl)c1 ZINC000163364318 346558345 /nfs/dbraw/zinc/55/83/45/346558345.db2.gz LPZJFPGQLQPDTG-NWDGAFQWSA-N 0 3 239.746 2.589 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC1CC(C)(C)C1 ZINC000580696914 346560934 /nfs/dbraw/zinc/56/09/34/346560934.db2.gz UPILCRSNOUWHMW-LLVKDONJSA-N 0 3 235.375 2.990 20 0 BFADHN Cc1cccc(CN(C)CCN(C)C2CC2)c1 ZINC000186743164 346532636 /nfs/dbraw/zinc/53/26/36/346532636.db2.gz VBXDHQNIYROLGW-UHFFFAOYSA-N 0 3 232.371 2.521 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1ccc(CO)o1 ZINC000580155403 346571600 /nfs/dbraw/zinc/57/16/00/346571600.db2.gz FDMCJUIIMHIASJ-RYUDHWBXSA-N 0 3 237.343 2.688 20 0 BFADHN C[C@@H]1C[C@H](C)N1CCc1ccc(F)c(F)c1 ZINC000563581947 323130626 /nfs/dbraw/zinc/13/06/26/323130626.db2.gz PIKIGMXODQYJFH-AOOOYVTPSA-N 0 3 225.282 2.990 20 0 BFADHN CCN1CCCC[C@@H]1CNc1ncc(C)s1 ZINC000302079665 346600703 /nfs/dbraw/zinc/60/07/03/346600703.db2.gz BLEAEWYYJNPCGF-LLVKDONJSA-N 0 3 239.388 2.738 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2ccno2)C[C@H]1C ZINC000300400574 346612440 /nfs/dbraw/zinc/61/24/40/346612440.db2.gz HFHQUSFLODWFCS-GMTAPVOTSA-N 0 3 208.305 2.589 20 0 BFADHN Cc1cccc(NC(=O)CN2CC[C@@H](C)[C@H]2C)c1 ZINC000248368405 179127557 /nfs/dbraw/zinc/12/75/57/179127557.db2.gz VDTGBOBDJOXGGJ-CHWSQXEVSA-N 0 3 246.354 2.664 20 0 BFADHN Cc1cccc(NC(=O)CNC2(C(C)C)CC2)c1 ZINC000292871734 179127946 /nfs/dbraw/zinc/12/79/46/179127946.db2.gz BKMWCEKYRGSRMT-UHFFFAOYSA-N 0 3 246.354 2.712 20 0 BFADHN Cc1cccc(NC(=O)[C@@H](C(C)C)N(C)C)c1 ZINC000106407759 179137393 /nfs/dbraw/zinc/13/73/93/179137393.db2.gz NPZQVELBVWRNGY-CYBMUJFWSA-N 0 3 234.343 2.520 20 0 BFADHN FC(F)(F)[C@@H]1CCC[C@H](NCc2ccon2)C1 ZINC000148644326 537984767 /nfs/dbraw/zinc/98/47/67/537984767.db2.gz GTSINVHELSWZSN-BDAKNGLRSA-N 0 3 248.248 2.885 20 0 BFADHN Cc1nc2ccccc2nc1CNC1CCCC1 ZINC000589065275 346662852 /nfs/dbraw/zinc/66/28/52/346662852.db2.gz ZNIJPTQSZKLCRP-UHFFFAOYSA-N 0 3 241.338 2.970 20 0 BFADHN c1coc(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)c1 ZINC000403510746 346668928 /nfs/dbraw/zinc/66/89/28/346668928.db2.gz BWAIDDVYMQOGSX-UTUOFQBUSA-N 0 3 205.301 2.805 20 0 BFADHN CCc1cc(N2CCC(SC)CC2)ccn1 ZINC000601206942 346669893 /nfs/dbraw/zinc/66/98/93/346669893.db2.gz NWWIWMVPEJMINF-UHFFFAOYSA-N 0 3 236.384 2.976 20 0 BFADHN CSC1(CN2CC[C@@H](C)[C@@H](F)C2)CCC1 ZINC000589509618 346709867 /nfs/dbraw/zinc/70/98/67/346709867.db2.gz RXSHETMAHWDFKB-MNOVXSKESA-N 0 3 231.380 2.952 20 0 BFADHN Cc1nnsc1CN(C)[C@@H]1CCCC[C@@H]1C ZINC000580648206 365843243 /nfs/dbraw/zinc/84/32/43/365843243.db2.gz DVRRHHGGTUDLMN-GXSJLCMTSA-N 0 3 239.388 2.857 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccc(F)cc2)n[nH]1 ZINC000589635554 346720046 /nfs/dbraw/zinc/72/00/46/346720046.db2.gz ZWNVDXHZFNIDDZ-JTQLQIEISA-N 0 3 233.290 2.708 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccccc2C)[nH]n1 ZINC000589636071 346721452 /nfs/dbraw/zinc/72/14/52/346721452.db2.gz GDVQMJUHTSAVFX-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN Cc1cc(CN[C@@H](C)c2ccccc2C)n[nH]1 ZINC000589636071 346721455 /nfs/dbraw/zinc/72/14/55/346721455.db2.gz GDVQMJUHTSAVFX-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN CC1(C)[C@H](O)C[C@@H]1NCc1cc(Cl)cs1 ZINC000168191587 346729462 /nfs/dbraw/zinc/72/94/62/346729462.db2.gz DFVIYUFLCWCROV-VHSXEESVSA-N 0 3 245.775 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CCc3c2cccc3F)n[nH]1 ZINC000589647791 346722958 /nfs/dbraw/zinc/72/29/58/346722958.db2.gz MUYSKNSKNMZXTP-CQSZACIVSA-N 0 3 245.301 2.634 20 0 BFADHN Cc1cc(CN[C@H]2CCc3c2cccc3F)n[nH]1 ZINC000589647788 346723119 /nfs/dbraw/zinc/72/31/19/346723119.db2.gz MUYSKNSKNMZXTP-AWEZNQCLSA-N 0 3 245.301 2.634 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1ccccc1Cl ZINC000037480467 346697059 /nfs/dbraw/zinc/69/70/59/346697059.db2.gz MTRIMVDLLQDACC-SNVBAGLBSA-N 0 3 240.734 2.796 20 0 BFADHN CC[C@@H]1CCC[C@H](N[C@@H]2CCn3ccnc32)C1 ZINC000345345461 365859129 /nfs/dbraw/zinc/85/91/29/365859129.db2.gz JCXCAMTXHCBPSX-FRRDWIJNSA-N 0 3 233.359 2.886 20 0 BFADHN c1c[nH]c(CNCCCCc2ccccc2)n1 ZINC000589832689 346741647 /nfs/dbraw/zinc/74/16/47/346741647.db2.gz LAXKPDMJPZOPPM-UHFFFAOYSA-N 0 3 229.327 2.522 20 0 BFADHN c1cnc2cc(CNCc3ccncc3)ccc2c1 ZINC000589843372 346743749 /nfs/dbraw/zinc/74/37/49/346743749.db2.gz MFWXUOIRLDPFTQ-UHFFFAOYSA-N 0 3 249.317 2.920 20 0 BFADHN C[C@@H]1CCC[C@@H]1N[C@@H]1C[C@H](C)n2ccnc21 ZINC000345346741 365858313 /nfs/dbraw/zinc/85/83/13/365858313.db2.gz CUTXKHGRJDBUQD-NOOOWODRSA-N 0 3 219.332 2.667 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N[C@@H]1CCn2ccnc21 ZINC000345349489 365858329 /nfs/dbraw/zinc/85/83/29/365858329.db2.gz MUXNXWQTUJCJSD-JHJVBQTASA-N 0 3 233.359 2.886 20 0 BFADHN CCc1nc(C)c(CNC2CCSCC2)o1 ZINC000291674894 346793949 /nfs/dbraw/zinc/79/39/49/346793949.db2.gz GZEXDSDMTFOINJ-UHFFFAOYSA-N 0 3 240.372 2.531 20 0 BFADHN CC(C)CC[C@H](C)N[C@@H]1CCn2ccnc21 ZINC000345354900 365861644 /nfs/dbraw/zinc/86/16/44/365861644.db2.gz SJTBBIRBWJABAY-NWDGAFQWSA-N 0 3 221.348 2.742 20 0 BFADHN Cc1cccc(OCCN2C[C@H](C)[C@@H]2C)c1 ZINC000339564024 179167838 /nfs/dbraw/zinc/16/78/38/179167838.db2.gz PHOZVJDGWGXEEX-STQMWFEESA-N 0 3 219.328 2.714 20 0 BFADHN CC[C@@H](N[C@@H]1CC=CCC1)c1ccn(C)n1 ZINC000309689048 347134527 /nfs/dbraw/zinc/13/45/27/347134527.db2.gz INSWCYXCDVVOMV-VXGBXAGGSA-N 0 3 219.332 2.570 20 0 BFADHN Cc1ncc(CNC(C)(C)C(C)(C)C)o1 ZINC000628293767 347166646 /nfs/dbraw/zinc/16/66/46/347166646.db2.gz RWFRZPFINHXLIR-UHFFFAOYSA-N 0 3 210.321 2.897 20 0 BFADHN c1csc(CN[C@@H]2CCCC23CC3)n1 ZINC000571179110 323137358 /nfs/dbraw/zinc/13/73/58/323137358.db2.gz HFOFWSQWICSVFN-SECBINFHSA-N 0 3 208.330 2.565 20 0 BFADHN CCc1cnccc1[C@@H](C)N[C@H]1CCSC1 ZINC000309665583 347130602 /nfs/dbraw/zinc/13/06/02/347130602.db2.gz TXBKMJFYEXIHAL-PWSUYJOCSA-N 0 3 236.384 2.800 20 0 BFADHN Cc1cccc([C@@H](C)N(C)CCn2cccn2)c1 ZINC000072631063 179178341 /nfs/dbraw/zinc/17/83/41/179178341.db2.gz SVOFVMJUUROXBS-CQSZACIVSA-N 0 3 243.354 2.885 20 0 BFADHN Cc1cccc([C@@H](C)N[C@@H]2CCOC2)c1C ZINC000167770235 179181976 /nfs/dbraw/zinc/18/19/76/179181976.db2.gz YIQRQQUMHJFEMW-CHWSQXEVSA-N 0 3 219.328 2.743 20 0 BFADHN CSCCN[C@H](C)c1ccccc1N(C)C ZINC000128465469 347200371 /nfs/dbraw/zinc/20/03/71/347200371.db2.gz JHHDVPRCAZCVIP-LLVKDONJSA-N 0 3 238.400 2.766 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(Cl)c(C)c1 ZINC000309951235 347203624 /nfs/dbraw/zinc/20/36/24/347203624.db2.gz GFPWKLMTKYIHID-CHWSQXEVSA-N 0 3 239.746 2.915 20 0 BFADHN Cc1cccc([C@@H](NC(=O)[C@H](C)N)C(C)(C)C)c1 ZINC000119306428 179187060 /nfs/dbraw/zinc/18/70/60/179187060.db2.gz UEJJRFGYKKIEBT-WCQYABFASA-N 0 3 248.370 2.546 20 0 BFADHN Cc1cccc([C@@H](C)NCC2(C)OCCO2)c1C ZINC000179576895 179180329 /nfs/dbraw/zinc/18/03/29/179180329.db2.gz DIFSBBKYZWPUPA-CYBMUJFWSA-N 0 3 249.354 2.717 20 0 BFADHN CCCC[C@@H](C)N[C@H]1CCCc2c[nH]nc21 ZINC000310018927 347219644 /nfs/dbraw/zinc/21/96/44/347219644.db2.gz MFWLVJXVPFGWKG-PWSUYJOCSA-N 0 3 221.348 2.955 20 0 BFADHN CCCC[C@@H](COC)NCc1ccoc1C ZINC000310040935 347223529 /nfs/dbraw/zinc/22/35/29/347223529.db2.gz XZJLGPRQBBZOLA-ZDUSSCGKSA-N 0 3 225.332 2.883 20 0 BFADHN CC[C@@H](CNC1(c2ccc(F)cc2)CC1)OC ZINC000419216271 192104614 /nfs/dbraw/zinc/10/46/14/192104614.db2.gz BFHQZYATEYYMKU-ZDUSSCGKSA-N 0 3 237.318 2.829 20 0 BFADHN CC[N@@H+](Cc1cc([O-])cc(F)c1)CC1CC1 ZINC000186225939 347229424 /nfs/dbraw/zinc/22/94/24/347229424.db2.gz CPSBYEIPFKTQAF-UHFFFAOYSA-N 0 3 223.291 2.763 20 0 BFADHN CC[N@H+](Cc1cc([O-])cc(F)c1)CC1CC1 ZINC000186225939 347229428 /nfs/dbraw/zinc/22/94/28/347229428.db2.gz CPSBYEIPFKTQAF-UHFFFAOYSA-N 0 3 223.291 2.763 20 0 BFADHN COc1ccc(CN2CCC23CCC3)cc1OC ZINC000353649647 187372792 /nfs/dbraw/zinc/37/27/92/187372792.db2.gz SRPIBUNVXJXAFT-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN CC(C)CC[C@H](C)NCc1cn(C(C)C)nn1 ZINC000310270287 347253398 /nfs/dbraw/zinc/25/33/98/347253398.db2.gz CZGZFUWDAMJYKE-LBPRGKRZSA-N 0 3 238.379 2.773 20 0 BFADHN CSCCNCc1ccc(SC)s1 ZINC000308303675 347242259 /nfs/dbraw/zinc/24/22/59/347242259.db2.gz MGWBALWSXLUNNF-UHFFFAOYSA-N 0 3 233.427 2.923 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)[C@@H](N)[C@H](C)CC ZINC000228508110 349975839 /nfs/dbraw/zinc/97/58/39/349975839.db2.gz JLPUBIZZYPWJGI-UPJWGTAASA-N 0 3 242.407 2.835 20 0 BFADHN c1ccc2nc(CN3CC[C@H]3C3CC3)cnc2c1 ZINC000628297570 347258363 /nfs/dbraw/zinc/25/83/63/347258363.db2.gz CSYJXUNZEFUADN-HNNXBMFYSA-N 0 3 239.322 2.614 20 0 BFADHN Cc1cccc([C@H](C)N[C@H](C)C(=O)NC(C)C)c1 ZINC000160259165 179197570 /nfs/dbraw/zinc/19/75/70/179197570.db2.gz SDOMNJICROZKRN-QWHCGFSZSA-N 0 3 248.370 2.559 20 0 BFADHN CC[C@@H]1CN(C[C@@H](CC(C)C)OC)C[C@@H](C)O1 ZINC000419210772 192105004 /nfs/dbraw/zinc/10/50/04/192105004.db2.gz VNAJFHIDTVLHDV-MGPQQGTHSA-N 0 3 243.391 2.547 20 0 BFADHN Cc1cccc2c1CC[C@@H]2NCCOCC1CC1 ZINC000358079087 179215484 /nfs/dbraw/zinc/21/54/84/179215484.db2.gz CWCVGBWYMWDONK-INIZCTEOSA-N 0 3 245.366 2.999 20 0 BFADHN Cc1ncccc1CN1CCSC[C@@H](C)C1 ZINC000190846220 347337265 /nfs/dbraw/zinc/33/72/65/347337265.db2.gz FKDYMDMSKIEYLT-NSHDSACASA-N 0 3 236.384 2.575 20 0 BFADHN CO[C@@H]([C@H](C)N[C@@H](C)c1ccco1)C1CC1 ZINC000388350382 347345821 /nfs/dbraw/zinc/34/58/21/347345821.db2.gz OFUQMEIZYHFLOL-KWBADKCTSA-N 0 3 223.316 2.744 20 0 BFADHN CO[C@H](CC(C)C)CN(C)Cc1cccnc1 ZINC000419221568 192105775 /nfs/dbraw/zinc/10/57/75/192105775.db2.gz CHLCECITLFMZDL-CQSZACIVSA-N 0 3 236.359 2.575 20 0 BFADHN COc1ccsc1CNCCOC(C)(C)C ZINC000390457733 347357220 /nfs/dbraw/zinc/35/72/20/347357220.db2.gz DMAQGZPDMWBAFR-UHFFFAOYSA-N 0 3 243.372 2.661 20 0 BFADHN CO[C@@H]([C@H](C)NCc1ccc(F)cc1)C1CC1 ZINC000388361718 347357525 /nfs/dbraw/zinc/35/75/25/347357525.db2.gz SWTIQJQASVWPLH-HZMBPMFUSA-N 0 3 237.318 2.729 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cnc(C2CC2)o1 ZINC000628299076 347410181 /nfs/dbraw/zinc/41/01/81/347410181.db2.gz BPARUIBDDFRPNG-KOLCDFICSA-N 0 3 220.316 2.688 20 0 BFADHN COc1ncccc1CN(C)CC1CCCC1 ZINC000193321667 347395441 /nfs/dbraw/zinc/39/54/41/347395441.db2.gz ZISPFJJOCVAFIE-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN OC1(CNCc2ccc(Cl)o2)CCCCC1 ZINC000082382651 347398936 /nfs/dbraw/zinc/39/89/36/347398936.db2.gz USAYXSKZRBAKHY-UHFFFAOYSA-N 0 3 243.734 2.718 20 0 BFADHN Cc1cccc2nc(CN3C[C@@H](C)C[C@H]3C)cn21 ZINC000354207713 179229951 /nfs/dbraw/zinc/22/99/51/179229951.db2.gz NDDQOBVKWSGETK-WCQYABFASA-N 0 3 243.354 2.873 20 0 BFADHN Cc1cccc2nc(CN[C@@H](C)C3CCC3)cn21 ZINC000178057687 179229956 /nfs/dbraw/zinc/22/99/56/179229956.db2.gz SUIHWVRIHKUQSW-LBPRGKRZSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1cccc2nc(CN[C@@H](C)C(C)C)cn21 ZINC000178057307 179230300 /nfs/dbraw/zinc/23/03/00/179230300.db2.gz VDGPBTRHIITPFT-LBPRGKRZSA-N 0 3 231.343 2.777 20 0 BFADHN Cc1cccc2nc(CN3C[C@H](C)[C@H](C)C3)cn21 ZINC000267723420 179230486 /nfs/dbraw/zinc/23/04/86/179230486.db2.gz YXIAYNPIRIGPHR-TXEJJXNPSA-N 0 3 243.354 2.731 20 0 BFADHN Cc1ccc(F)c(CNCc2ncccc2O)c1 ZINC000355294418 187374870 /nfs/dbraw/zinc/37/48/70/187374870.db2.gz TXWGSLBAQBYEHS-UHFFFAOYSA-N 0 3 246.285 2.525 20 0 BFADHN Cc1cccc2ncc(CN3C[C@@H](C)C[C@H]3C)n21 ZINC000353748498 179231293 /nfs/dbraw/zinc/23/12/93/179231293.db2.gz PXYQEPJTAHIBPE-WCQYABFASA-N 0 3 243.354 2.873 20 0 BFADHN Cc1nc(CNC(C2CC2)C2CC2)cs1 ZINC000020253255 347436781 /nfs/dbraw/zinc/43/67/81/347436781.db2.gz AQNARSMRYRJWPQ-UHFFFAOYSA-N 0 3 222.357 2.730 20 0 BFADHN C/C(=C/c1ccccc1)CN(C)C1CC(O)C1 ZINC000355365498 187375664 /nfs/dbraw/zinc/37/56/64/187375664.db2.gz MRDFMOUMNNOKJG-WQLSENKSSA-N 0 3 231.339 2.545 20 0 BFADHN CN(C)Cc1cccc(C(F)(F)F)c1 ZINC000014218109 362843236 /nfs/dbraw/zinc/84/32/36/362843236.db2.gz DFMDCQQACWEJAJ-UHFFFAOYSA-N 0 3 203.207 2.767 20 0 BFADHN CCCN(C)[C@@H](C)c1cc(C(=O)OC)c(C)o1 ZINC000292562720 347513160 /nfs/dbraw/zinc/51/31/60/347513160.db2.gz IGSFHPXTQIOWAE-VIFPVBQESA-N 0 3 239.315 2.777 20 0 BFADHN Cc1ccccc1C(C)(C)NCc1ccnn1C ZINC000292899210 179254882 /nfs/dbraw/zinc/25/48/82/179254882.db2.gz GLPUCHCMPXPASY-UHFFFAOYSA-N 0 3 243.354 2.753 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@H]1c2cc(C)ccc2C[C@@H]1C ZINC000577248142 365898080 /nfs/dbraw/zinc/89/80/80/365898080.db2.gz TZLJZVPFDCXJJO-DANNLKNASA-N 0 3 245.366 2.995 20 0 BFADHN CC(C)CN1CCCC[C@@H]1c1ccnn1C ZINC000334391753 347598017 /nfs/dbraw/zinc/59/80/17/347598017.db2.gz VWUJMGOXPSOKAD-CYBMUJFWSA-N 0 3 221.348 2.603 20 0 BFADHN Cc1ccccc1CN(C)Cc1cccn1C ZINC000153233769 179270776 /nfs/dbraw/zinc/27/07/76/179270776.db2.gz YDLCYIIPPRRWMG-UHFFFAOYSA-N 0 3 228.339 2.966 20 0 BFADHN Cc1cccc([C@H](C)NCc2ccc(=O)[nH]c2)c1 ZINC000392674382 347651994 /nfs/dbraw/zinc/65/19/94/347651994.db2.gz JRXOWOSXBPKWPE-LBPRGKRZSA-N 0 3 242.322 2.946 20 0 BFADHN Cc1ccccc1CNCCOC(C)C ZINC000042225135 179281120 /nfs/dbraw/zinc/28/11/20/179281120.db2.gz GPLVXWQCLOFROA-UHFFFAOYSA-N 0 3 207.317 2.510 20 0 BFADHN CCOC[C@@H](C)NCc1ccc2cccnc2c1 ZINC000591708054 347687390 /nfs/dbraw/zinc/68/73/90/347687390.db2.gz HUERMXUCWJFMPA-GFCCVEGCSA-N 0 3 244.338 2.749 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1c(C)noc1C ZINC000591836913 347703761 /nfs/dbraw/zinc/70/37/61/347703761.db2.gz QUOBSSFWBAOWHA-PPKCKEKNSA-N 0 3 240.372 2.836 20 0 BFADHN Cc1ccccc1CN[C@@H]1CCC[C@@H]1F ZINC000339590575 179283459 /nfs/dbraw/zinc/28/34/59/179283459.db2.gz QARSTQJTJDFQSJ-QWHCGFSZSA-N 0 3 207.292 2.975 20 0 BFADHN Cc1c[nH]nc1CN[C@H](C)Cc1ccccc1C ZINC000289905628 179289142 /nfs/dbraw/zinc/28/91/42/179289142.db2.gz NSBRWFPLCUATRA-CYBMUJFWSA-N 0 3 243.354 2.747 20 0 BFADHN CSCCCN1C[C@H](C)OC2(CCCC2)C1 ZINC000592192750 347749210 /nfs/dbraw/zinc/74/92/10/347749210.db2.gz KPBNPZUBDGCODL-LBPRGKRZSA-N 0 3 243.416 2.773 20 0 BFADHN CCN(CCSC)Cc1ncccc1C ZINC000592200624 347752255 /nfs/dbraw/zinc/75/22/55/347752255.db2.gz BMGKBMUNBCQLGI-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN Cc1nc(CNC23CCC(CC2)CC3)[nH]c1C ZINC000628299907 347797777 /nfs/dbraw/zinc/79/77/77/347797777.db2.gz FUECHBUIOLPZOM-UHFFFAOYSA-N 0 3 233.359 2.839 20 0 BFADHN CCCCCN(C(=O)[C@@H](C)NC)[C@@H](C)CCC ZINC000577296082 365920639 /nfs/dbraw/zinc/92/06/39/365920639.db2.gz WPUQSIBFKZLYAB-QWHCGFSZSA-N 0 3 242.407 2.802 20 0 BFADHN CC[C@@H]1CN(CCCSC)[C@@H](CC)CO1 ZINC000593056964 347847918 /nfs/dbraw/zinc/84/79/18/347847918.db2.gz BYJOXBYRLHGDOS-NWDGAFQWSA-N 0 3 231.405 2.629 20 0 BFADHN CC[C@H]1CN(CCCSC)[C@@H](CC)CO1 ZINC000593056965 347848316 /nfs/dbraw/zinc/84/83/16/347848316.db2.gz BYJOXBYRLHGDOS-RYUDHWBXSA-N 0 3 231.405 2.629 20 0 BFADHN CCN1CCCC[C@@H]1CC(=O)OC(C)(C)C ZINC000593089968 347853136 /nfs/dbraw/zinc/85/31/36/347853136.db2.gz CKTUGJAYVLXVOT-LLVKDONJSA-N 0 3 227.348 2.593 20 0 BFADHN CCCCCN1CCC[C@@H](OC(F)F)C1 ZINC000679681902 488364192 /nfs/dbraw/zinc/36/41/92/488364192.db2.gz LABWAHXVRNRDRB-SNVBAGLBSA-N 0 3 221.291 2.880 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CC[C@H]2C2CCC2)[nH]1 ZINC000577348952 365930827 /nfs/dbraw/zinc/93/08/27/365930827.db2.gz NUUNWUMNKLIUNO-NWDGAFQWSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CC[C@H]2C2CCC2)[n-]1 ZINC000577348952 365930836 /nfs/dbraw/zinc/93/08/36/365930836.db2.gz NUUNWUMNKLIUNO-NWDGAFQWSA-N 0 3 248.374 2.596 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@@H](C)C(C)(C)C ZINC000535085413 323166076 /nfs/dbraw/zinc/16/60/76/323166076.db2.gz PAPYSINOFNXSJI-JTQLQIEISA-N 0 3 209.337 2.585 20 0 BFADHN CO[C@@H](COc1cc(C)nc(C)c1C)C1CC1 ZINC000577388051 365941580 /nfs/dbraw/zinc/94/15/80/365941580.db2.gz AWZFLAVEAIPCOS-AWEZNQCLSA-N 0 3 235.327 2.811 20 0 BFADHN Cc1ncc(C[NH2+]CCC2CCCCC2)c(=O)[n-]1 ZINC000361595023 187382439 /nfs/dbraw/zinc/38/24/39/187382439.db2.gz RWQPQWCDJDUPIP-UHFFFAOYSA-N 0 3 249.358 2.551 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H]1C)c1cn2ccccc2n1 ZINC000577388479 365942989 /nfs/dbraw/zinc/94/29/89/365942989.db2.gz KACDLZPWVTZVGM-SRVKXCTJSA-N 0 3 229.327 2.783 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1ccc(OC)cc1C ZINC000577362379 365933693 /nfs/dbraw/zinc/93/36/93/365933693.db2.gz VEWRRAGWZKMRSY-TUKIKUTGSA-N 0 3 249.354 2.832 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1ccc(OC)cc1C ZINC000577362376 365933833 /nfs/dbraw/zinc/93/38/33/365933833.db2.gz VEWRRAGWZKMRSY-KCPJHIHWSA-N 0 3 249.354 2.832 20 0 BFADHN COc1cc(C)ccc1[C@H](C)NC[C@H](C)OC ZINC000223878142 323169905 /nfs/dbraw/zinc/16/99/05/323169905.db2.gz ONPNGLKZJDTOJT-RYUDHWBXSA-N 0 3 237.343 2.689 20 0 BFADHN Cc1ccccc1[C@@H](C)NCCC(=O)NC(C)C ZINC000054048278 179320092 /nfs/dbraw/zinc/32/00/92/179320092.db2.gz ZKYYGCIMDUCJBI-CYBMUJFWSA-N 0 3 248.370 2.560 20 0 BFADHN Cc1ccoc1CNC[C@H](O)c1ccccc1C ZINC000266170616 179326293 /nfs/dbraw/zinc/32/62/93/179326293.db2.gz CAFZQXFVURBAED-AWEZNQCLSA-N 0 3 245.322 2.720 20 0 BFADHN CCSCCN1CCN(C(C)(C)CC)CC1 ZINC000594021635 347991522 /nfs/dbraw/zinc/99/15/22/347991522.db2.gz XRMVJKAUXMBGDC-UHFFFAOYSA-N 0 3 244.448 2.546 20 0 BFADHN CCSCCN1CCC[C@H](OC2CCC2)C1 ZINC000594026202 347992124 /nfs/dbraw/zinc/99/21/24/347992124.db2.gz FQRLYJANVFLGIW-ZDUSSCGKSA-N 0 3 243.416 2.773 20 0 BFADHN Cc1ccccc1[C@H](C)NCC(=O)NC(C)(C)C ZINC000042213278 179336793 /nfs/dbraw/zinc/33/67/93/179336793.db2.gz FSKVKAOGFBHNAG-LBPRGKRZSA-N 0 3 248.370 2.560 20 0 BFADHN Cc1ccccc1[C@H](C)NC[C@@H](O)C(F)(F)F ZINC000068879637 179337049 /nfs/dbraw/zinc/33/70/49/179337049.db2.gz DYRSICBUGGWFSB-GXSJLCMTSA-N 0 3 247.260 2.569 20 0 BFADHN Cc1ccccc1[C@H](C)NC[C@H](O)C(F)(F)F ZINC000068879632 179337332 /nfs/dbraw/zinc/33/73/32/179337332.db2.gz DYRSICBUGGWFSB-ONGXEEELSA-N 0 3 247.260 2.569 20 0 BFADHN Cc1ccccc1[C@H](C)N[C@@H](C)Cn1cccn1 ZINC000037155364 179339561 /nfs/dbraw/zinc/33/95/61/179339561.db2.gz XEIDGNMZXQCOPF-KBPBESRZSA-N 0 3 243.354 2.931 20 0 BFADHN CC[C@@H](NC(=O)[C@@H](N)CC)c1cc(C)ccc1C ZINC000638695170 352536469 /nfs/dbraw/zinc/53/64/69/352536469.db2.gz NHWFVYFWBIPDRO-UONOGXRCSA-N 0 3 248.370 2.608 20 0 BFADHN Cc1ccccc1[C@H](NC(=O)[C@@H](C)N)C(C)(C)C ZINC000119253835 179343765 /nfs/dbraw/zinc/34/37/65/179343765.db2.gz FLXHPWPAFHZWHK-YPMHNXCESA-N 0 3 248.370 2.546 20 0 BFADHN CCCCCNCc1cnc2ccccc2n1 ZINC000037188818 348060240 /nfs/dbraw/zinc/06/02/40/348060240.db2.gz OIWQQYOQMDPLBD-UHFFFAOYSA-N 0 3 229.327 2.910 20 0 BFADHN Cc1ccccc1[C@H]1CCN(Cc2ccno2)C1 ZINC000263680423 179346904 /nfs/dbraw/zinc/34/69/04/179346904.db2.gz ICBIBKISKPCYRV-ZDUSSCGKSA-N 0 3 242.322 2.973 20 0 BFADHN CC[C@@H](CSCCN(C)C)CC(F)F ZINC000594891520 348125307 /nfs/dbraw/zinc/12/53/07/348125307.db2.gz KIXMKPVXJBYFBL-SECBINFHSA-N 0 3 225.348 2.963 20 0 BFADHN CC[C@@H](CSC)NCc1nccn1C(C)C ZINC000594934975 348141251 /nfs/dbraw/zinc/14/12/51/348141251.db2.gz LXDBYMDHFVIQMZ-NSHDSACASA-N 0 3 241.404 2.695 20 0 BFADHN Cc1ccoc1CN[C@@H](C)CSCCF ZINC000595040700 348177555 /nfs/dbraw/zinc/17/75/55/348177555.db2.gz ZLOIGUNGTAYBQU-JTQLQIEISA-N 0 3 231.336 2.769 20 0 BFADHN CS[C@@H](C)CNCc1ccc(F)c(F)c1F ZINC000594948707 348146707 /nfs/dbraw/zinc/14/67/07/348146707.db2.gz BSKXBPAPNPGYLL-ZETCQYMHSA-N 0 3 249.301 2.945 20 0 BFADHN CCSC1(CNCc2occc2C)CC1 ZINC000594986552 348166256 /nfs/dbraw/zinc/16/62/56/348166256.db2.gz GBVWSKQZXAJRTG-UHFFFAOYSA-N 0 3 225.357 2.963 20 0 BFADHN C[C@H](CSCCF)N[C@@H](C)c1cccnc1 ZINC000595003079 348169234 /nfs/dbraw/zinc/16/92/34/348169234.db2.gz CVDQEAAWBKPBNM-MNOVXSKESA-N 0 3 242.363 2.823 20 0 BFADHN Cc1cccc(CN[C@H](C)CSCCF)n1 ZINC000595016207 348170079 /nfs/dbraw/zinc/17/00/79/348170079.db2.gz ILTNCBAEJZTZPO-LLVKDONJSA-N 0 3 242.363 2.571 20 0 BFADHN Cc1ccc(CN[C@@H](C)CSCCF)cn1 ZINC000595016766 348170737 /nfs/dbraw/zinc/17/07/37/348170737.db2.gz WPYCDGFFVWWJLY-NSHDSACASA-N 0 3 242.363 2.571 20 0 BFADHN CO[C@@H](CC(C)C)CN1CCOC(C)(C)[C@H]1C ZINC000419241600 192113248 /nfs/dbraw/zinc/11/32/48/192113248.db2.gz RXSKSDCRZCSFKB-OLZOCXBDSA-N 0 3 243.391 2.547 20 0 BFADHN CC[C@@H](CN1CCc2cc(F)ccc2C1)OC ZINC000419232841 192112615 /nfs/dbraw/zinc/11/26/15/192112615.db2.gz VLQWKVJWCJWIJW-AWEZNQCLSA-N 0 3 237.318 2.609 20 0 BFADHN COc1ccncc1CN1CCC2(CCC2)CC1 ZINC000376559266 187389190 /nfs/dbraw/zinc/38/91/90/187389190.db2.gz UENRYFRJCVWKAO-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN COC(=O)[C@@H]1CCCCCN1CCC=C(C)C ZINC000595428475 348247705 /nfs/dbraw/zinc/24/77/05/348247705.db2.gz DHQUKGJLPMDMMG-ZDUSSCGKSA-N 0 3 239.359 2.760 20 0 BFADHN c1cnn(C2CCN(Cc3ccccc3)CC2)c1 ZINC000204045492 348253567 /nfs/dbraw/zinc/25/35/67/348253567.db2.gz OOAHZQPHGUQSKZ-UHFFFAOYSA-N 0 3 241.338 2.720 20 0 BFADHN CO[C@H](CC(C)C)CN1CCOC(C)(C)[C@@H]1C ZINC000419241603 192113954 /nfs/dbraw/zinc/11/39/54/192113954.db2.gz RXSKSDCRZCSFKB-QWHCGFSZSA-N 0 3 243.391 2.547 20 0 BFADHN CC[C@H](CN1CCc2cc(C)ccc2C1)OC ZINC000419248343 192115180 /nfs/dbraw/zinc/11/51/80/192115180.db2.gz CSGVWYVPDXBOFM-OAHLLOKOSA-N 0 3 233.355 2.778 20 0 BFADHN CC[C@H](CN[C@H](c1cccnc1)C1CC1)OC ZINC000419253335 192116079 /nfs/dbraw/zinc/11/60/79/192116079.db2.gz UDOPPIQHUZSIHF-KGLIPLIRSA-N 0 3 234.343 2.547 20 0 BFADHN c1c[nH]c([C@H]2CCCN2CCOCC2CCC2)c1 ZINC000419262394 192116952 /nfs/dbraw/zinc/11/69/52/192116952.db2.gz SROMXNATEIOWHG-OAHLLOKOSA-N 0 3 248.370 2.968 20 0 BFADHN Fc1cccc(CCNCc2ccco2)c1 ZINC000035137803 358454645 /nfs/dbraw/zinc/45/46/45/358454645.db2.gz ZVGAPMAIZHHVHH-UHFFFAOYSA-N 0 3 219.259 2.751 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2CCOCC2CCC2)c1 ZINC000419262393 192117349 /nfs/dbraw/zinc/11/73/49/192117349.db2.gz SROMXNATEIOWHG-HNNXBMFYSA-N 0 3 248.370 2.968 20 0 BFADHN CO[C@@H](CC(C)C)CN1CCC[C@](C)(F)C1 ZINC000419290160 192117502 /nfs/dbraw/zinc/11/75/02/192117502.db2.gz RFLIDQXEGVBYNY-STQMWFEESA-N 0 3 231.355 2.872 20 0 BFADHN CC[C@@H](CN(C)Cc1ccc(F)cc1C)OC ZINC000419313519 192121119 /nfs/dbraw/zinc/12/11/19/192121119.db2.gz SUQSTRXNGASQNC-AWEZNQCLSA-N 0 3 239.334 2.991 20 0 BFADHN CC[C@H](NCc1ncc(C)cn1)[C@H]1CC1(C)C ZINC000419322074 192121450 /nfs/dbraw/zinc/12/14/50/192121450.db2.gz RSSYNMHKBDUGOD-NEPJUHHUSA-N 0 3 233.359 2.699 20 0 BFADHN CC(C)SCCN1CC2(CCC2)OC[C@@H]1C ZINC000595679332 348311108 /nfs/dbraw/zinc/31/11/08/348311108.db2.gz DGDGLJQQZFIRAJ-LBPRGKRZSA-N 0 3 243.416 2.771 20 0 BFADHN Cc1cnc([C@H](C)CNCC2(F)CC2)s1 ZINC000309009249 323184206 /nfs/dbraw/zinc/18/42/06/323184206.db2.gz RCFSOVXCZYDIDM-MRVPVSSYSA-N 0 3 228.336 2.647 20 0 BFADHN CCN(C[C@H](O)C(F)(F)F)C1CCCCC1 ZINC000082680052 348326021 /nfs/dbraw/zinc/32/60/21/348326021.db2.gz NWCLSMLBAURNDX-JTQLQIEISA-N 0 3 239.281 2.564 20 0 BFADHN Cc1cc(Cl)cc(CNC[C@@H](O)C(C)C)c1 ZINC000339263203 187394875 /nfs/dbraw/zinc/39/48/75/187394875.db2.gz FYBUCCIREBVDON-CYBMUJFWSA-N 0 3 241.762 2.755 20 0 BFADHN CCOCCN1CCC(Oc2ccccc2)CC1 ZINC000125823956 187394704 /nfs/dbraw/zinc/39/47/04/187394704.db2.gz MPKZJLRCUHGCSP-UHFFFAOYSA-N 0 3 249.354 2.566 20 0 BFADHN C/C=C\CN[C@@H](C)c1cccc(OC)c1 ZINC000389662835 538002061 /nfs/dbraw/zinc/00/20/61/538002061.db2.gz KBQWNENDTJGKAS-WYGGZMRJSA-N 0 3 205.301 2.922 20 0 BFADHN Cc1cc(Cl)cc(CN[C@H]2CCCOC2)c1 ZINC000339243014 187394180 /nfs/dbraw/zinc/39/41/80/187394180.db2.gz TYNSXTKCADIGBZ-ZDUSSCGKSA-N 0 3 239.746 2.917 20 0 BFADHN F[C@@H]1CCC[C@@H]1NC/C=C\c1ccncc1 ZINC000339585852 187394781 /nfs/dbraw/zinc/39/47/81/187394781.db2.gz COXRPMFXNFFBRJ-FAQRPARDSA-N 0 3 220.291 2.575 20 0 BFADHN Cc1csc(CN[C@@H](C)c2cn(C)nc2C)c1 ZINC000344611644 187394329 /nfs/dbraw/zinc/39/43/29/187394329.db2.gz MAIQQDYKYUJDPF-JTQLQIEISA-N 0 3 249.383 2.949 20 0 BFADHN COc1ccccc1CNCC[C@@H](C)F ZINC000339493054 187394343 /nfs/dbraw/zinc/39/43/43/187394343.db2.gz GYMKRNGVWMUIIL-SNVBAGLBSA-N 0 3 211.280 2.533 20 0 BFADHN Cc1ccn2cc(CN[C@H]3CCC3(C)C)nc2c1 ZINC000284366348 179413421 /nfs/dbraw/zinc/41/34/21/179413421.db2.gz NZUFYJBLBMTBBJ-ZDUSSCGKSA-N 0 3 243.354 2.921 20 0 BFADHN COc1cncc(CN2C[C@H](C)[C@@H](C)[C@H]2C)c1 ZINC000419358447 192127164 /nfs/dbraw/zinc/12/71/64/192127164.db2.gz QHRJJAVTQSCSTN-QJPTWQEYSA-N 0 3 234.343 2.567 20 0 BFADHN Cc1ccc(CC(C)(C)NCCF)cc1 ZINC000419337616 192124610 /nfs/dbraw/zinc/12/46/10/192124610.db2.gz RDNICKZGCLWTHA-UHFFFAOYSA-N 0 3 209.308 2.875 20 0 BFADHN COc1cncc(CN2C[C@H](C)[C@H](C)[C@@H]2C)c1 ZINC000419358710 192126403 /nfs/dbraw/zinc/12/64/03/192126403.db2.gz QHRJJAVTQSCSTN-SRVKXCTJSA-N 0 3 234.343 2.567 20 0 BFADHN C[C@H](N[C@@H]1CC=CCC1)c1cc2n(n1)CCC2 ZINC000334810731 133751277 /nfs/dbraw/zinc/75/12/77/133751277.db2.gz MHGMIVSXSBYONV-NWDGAFQWSA-N 0 3 231.343 2.589 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CSC[C@@H]2C)c1 ZINC000309420085 179470234 /nfs/dbraw/zinc/47/02/34/179470234.db2.gz JUBFSRIMMGJXBG-GVXVVHGQSA-N 0 3 236.384 2.792 20 0 BFADHN Nc1cc(CN2CCC[C@H]3CCCC[C@H]32)ccn1 ZINC000216335217 349995155 /nfs/dbraw/zinc/99/51/55/349995155.db2.gz CTUBTFOQMVKOIB-ZIAGYGMSSA-N 0 3 245.370 2.818 20 0 BFADHN CC(C)C[C@@H]1CCCN1Cc1ccnc(C#N)c1 ZINC000396923017 349997391 /nfs/dbraw/zinc/99/73/91/349997391.db2.gz SCXHVMHXVFKIKJ-HNNXBMFYSA-N 0 3 243.354 2.964 20 0 BFADHN Cc1ccoc1CN[C@@H](C)[C@@H]1CCCCO1 ZINC000271934975 179528604 /nfs/dbraw/zinc/52/86/04/179528604.db2.gz AAVSNQDUFCIROX-RYUDHWBXSA-N 0 3 223.316 2.635 20 0 BFADHN CC[C@@H](NCc1c[nH]cn1)c1cccs1 ZINC000054765229 348601743 /nfs/dbraw/zinc/60/17/43/348601743.db2.gz CJDOTMDQKWPQKM-SNVBAGLBSA-N 0 3 221.329 2.712 20 0 BFADHN CC[C@@H](NCc1cnc[nH]1)c1cccs1 ZINC000054765229 348601744 /nfs/dbraw/zinc/60/17/44/348601744.db2.gz CJDOTMDQKWPQKM-SNVBAGLBSA-N 0 3 221.329 2.712 20 0 BFADHN Cc1ccoc1CN[C@H](C)[C@@H](O)c1ccccc1 ZINC000288710860 179531559 /nfs/dbraw/zinc/53/15/59/179531559.db2.gz ZHRDLGRPPAXBJE-IUODEOHRSA-N 0 3 245.322 2.800 20 0 BFADHN COC(=O)c1oc(CN[C@H]2CC=CCC2)cc1C ZINC000597057969 348654182 /nfs/dbraw/zinc/65/41/82/348654182.db2.gz QWLCJNHXBGNKBE-NSHDSACASA-N 0 3 249.310 2.573 20 0 BFADHN Cc1cnc(CNC[C@H]2CC[C@@H](C)C2)s1 ZINC000336757193 179606337 /nfs/dbraw/zinc/60/63/37/179606337.db2.gz JWFAPVXFIFFGAC-KOLCDFICSA-N 0 3 224.373 2.977 20 0 BFADHN CC[C@@H](C)CNCc1cc(C)c(C(=O)OC)o1 ZINC000597152472 348665555 /nfs/dbraw/zinc/66/55/55/348665555.db2.gz BDCXRDLJEBVNRP-SECBINFHSA-N 0 3 239.315 2.510 20 0 BFADHN COC(=O)c1coc(CN[C@H](C)C(C)(C)C)c1 ZINC000114473724 323194304 /nfs/dbraw/zinc/19/43/04/323194304.db2.gz JQHFOMZABRJPEN-SECBINFHSA-N 0 3 239.315 2.590 20 0 BFADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1ccc(F)cc1F ZINC000401925653 348739392 /nfs/dbraw/zinc/73/93/92/348739392.db2.gz RAQQUSOCFMXYON-FXPVBKGRSA-N 0 3 241.281 2.793 20 0 BFADHN COC(=O)c1ccc(CNCC2=CCCC2)cc1 ZINC000597224976 348695354 /nfs/dbraw/zinc/69/53/54/348695354.db2.gz WINCTIVPJPSIPS-UHFFFAOYSA-N 0 3 245.322 2.673 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)NCc1cocn1 ZINC000389964133 538009766 /nfs/dbraw/zinc/00/97/66/538009766.db2.gz WVXFMMQIUIROFY-GHMZBOCLSA-N 0 3 210.321 2.979 20 0 BFADHN Cc1cncc(CN(C)CCc2nccs2)c1 ZINC000189971638 179664470 /nfs/dbraw/zinc/66/44/70/179664470.db2.gz ILNDIDBJBBPVHX-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN Cc1ncc(CN[C@H]2CC3CCC2CC3)o1 ZINC000397886069 362932790 /nfs/dbraw/zinc/93/27/90/362932790.db2.gz JBFODPZYIHWJGR-XIVSLSHWSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)no1 ZINC000082859062 348852733 /nfs/dbraw/zinc/85/27/33/348852733.db2.gz FKFIRAXWNBVKEI-GBIKHYSHSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@H](NCc1ccc(F)cc1)[C@@H]1CC1(F)F ZINC000666445030 490213351 /nfs/dbraw/zinc/21/33/51/490213351.db2.gz OBNAUCXLASRMSD-KWQFWETISA-N 0 3 229.245 2.959 20 0 BFADHN CSCCCN(C)Cc1cncc(Cl)c1 ZINC000598622238 349002609 /nfs/dbraw/zinc/00/26/09/349002609.db2.gz ZITDQDULAIJMCV-UHFFFAOYSA-N 0 3 244.791 2.920 20 0 BFADHN Cc1cc(CNCCSCC(C)C)ccn1 ZINC000598969688 349069250 /nfs/dbraw/zinc/06/92/50/349069250.db2.gz GLXJDRKZRPDBOE-UHFFFAOYSA-N 0 3 238.400 2.869 20 0 BFADHN C[C@H](CCc1ccccc1)NCc1cnccn1 ZINC000054765649 349078634 /nfs/dbraw/zinc/07/86/34/349078634.db2.gz MXSICRRJRIMJQA-CYBMUJFWSA-N 0 3 241.338 2.588 20 0 BFADHN Cc1cnn([C@H]2CCN(Cc3cccs3)C2)c1 ZINC000289870118 179749261 /nfs/dbraw/zinc/74/92/61/179749261.db2.gz LTWSBSUZCCAMFP-LBPRGKRZSA-N 0 3 247.367 2.700 20 0 BFADHN COCCN[C@H](C)c1ccc(Cl)cc1OC ZINC000421796979 192383254 /nfs/dbraw/zinc/38/32/54/192383254.db2.gz AFSIQZRFRPELNL-SECBINFHSA-N 0 3 243.734 2.646 20 0 BFADHN CC[C@@H](C)N(CCO)Cc1cc2ccccc2[nH]1 ZINC000628301893 349088820 /nfs/dbraw/zinc/08/88/20/349088820.db2.gz JNCZUNKCTBXSPQ-GFCCVEGCSA-N 0 3 246.354 2.761 20 0 BFADHN Cc1oc2ccccc2c1CN1CCCOCC1 ZINC000335566968 538026707 /nfs/dbraw/zinc/02/67/07/538026707.db2.gz XELFNPJIMZQIRZ-UHFFFAOYSA-N 0 3 245.322 2.964 20 0 BFADHN C=Cn1cc(CN2CCC[C@@H](C)[C@@H]2C)cn1 ZINC000248832377 349142588 /nfs/dbraw/zinc/14/25/88/349142588.db2.gz HUVMJSOTMLWFJX-NEPJUHHUSA-N 0 3 219.332 2.604 20 0 BFADHN Cc1csc(CN[C@@H]2C[C@H](C)[C@H]2C)n1 ZINC000307103733 179779081 /nfs/dbraw/zinc/77/90/81/179779081.db2.gz WSZWGYUYVJFDSN-FXBDTBDDSA-N 0 3 210.346 2.586 20 0 BFADHN OC1(CCCN[C@@H]2CCCc3occc32)CCC1 ZINC000421864497 192396574 /nfs/dbraw/zinc/39/65/74/192396574.db2.gz XUXLVNZQQRQHDJ-CYBMUJFWSA-N 0 3 249.354 2.942 20 0 BFADHN COc1ncc(CNC[C@H]2CCC[C@@H]2C)s1 ZINC000309384993 490231202 /nfs/dbraw/zinc/23/12/02/490231202.db2.gz RJCRRWXODZFKIU-VHSXEESVSA-N 0 3 240.372 2.678 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1ccncc1Cl ZINC000421838984 192392143 /nfs/dbraw/zinc/39/21/43/192392143.db2.gz WBSIRYMKSYILEL-NXEZZACHSA-N 0 3 242.750 2.811 20 0 BFADHN CCSCCN1CCc2c(O)cccc2C1 ZINC000599649297 349233709 /nfs/dbraw/zinc/23/37/09/349233709.db2.gz ZNWAGKDOUJHCOK-UHFFFAOYSA-N 0 3 237.368 2.503 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3c2cccc3F)CO1 ZINC000402040409 349265353 /nfs/dbraw/zinc/26/53/53/349265353.db2.gz LJDFCRVMPDMEHU-BFVZDQMLSA-N 0 3 235.302 2.580 20 0 BFADHN Cc1c[nH]c(CN2C[C@@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)n1 ZINC000628303058 349268882 /nfs/dbraw/zinc/26/88/82/349268882.db2.gz DKAQWHKCXSWGRC-QVHKTLOISA-N 0 3 245.370 2.586 20 0 BFADHN Cc1ccc(CN2CCC(C)(O)CC2)cc1C ZINC000160863041 349332487 /nfs/dbraw/zinc/33/24/87/349332487.db2.gz IVWWOLZQRQXURC-UHFFFAOYSA-N 0 3 233.355 2.650 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1sccc1OC ZINC000186197413 349396382 /nfs/dbraw/zinc/39/63/82/349396382.db2.gz ISASPMQXTFLRFV-VHSXEESVSA-N 0 3 243.372 2.568 20 0 BFADHN Cc1nocc1CNC[C@@H](C)CC(C)C ZINC000397916368 362946890 /nfs/dbraw/zinc/94/68/90/362946890.db2.gz NJVUQFLFRJNHEY-JTQLQIEISA-N 0 3 210.321 2.755 20 0 BFADHN CCSCCN[C@@H](C)c1cnccc1C ZINC000309044979 349401739 /nfs/dbraw/zinc/40/17/39/349401739.db2.gz NWWLGYMSOLAAFV-NSHDSACASA-N 0 3 224.373 2.794 20 0 BFADHN CCC[C@H](C)NCc1cc(C)c(C(=O)OC)o1 ZINC000603658378 350009591 /nfs/dbraw/zinc/00/95/91/350009591.db2.gz HHCVBOCVUQCVSL-JTQLQIEISA-N 0 3 239.315 2.653 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000311787572 350012426 /nfs/dbraw/zinc/01/24/26/350012426.db2.gz JUMPANCRWPTIOC-GRYCIOLGSA-N 0 3 234.343 2.824 20 0 BFADHN CC(C)(CCO)NCc1ccc(Cl)s1 ZINC000127137570 191008264 /nfs/dbraw/zinc/00/82/64/191008264.db2.gz PLHPBJMWNYOKFM-UHFFFAOYSA-N 0 3 233.764 2.652 20 0 BFADHN CC[C@H](NC[C@H](C)CO)c1ccc(F)cc1F ZINC000151745408 538049069 /nfs/dbraw/zinc/04/90/69/538049069.db2.gz HFUCBELIXSLCFQ-ZANVPECISA-N 0 3 243.297 2.634 20 0 BFADHN Cc1nc([C@@H](C)NCCCCCCO)cs1 ZINC000224785923 180034490 /nfs/dbraw/zinc/03/44/90/180034490.db2.gz ASSCLMRWMREQHL-SNVBAGLBSA-N 0 3 242.388 2.655 20 0 BFADHN Cc1nc([C@@H](C)N2CC[C@@H](C)C(C)(C)C2)n[nH]1 ZINC000336282680 180034544 /nfs/dbraw/zinc/03/45/44/180034544.db2.gz ANWNOFHODGLWIS-NXEZZACHSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nc([C@@H](C)N2C[C@H](C)CC(C)(C)C2)n[nH]1 ZINC000336283290 180036331 /nfs/dbraw/zinc/03/63/31/180036331.db2.gz SVLDGABGAPAOIB-NXEZZACHSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nc([C@H](C)N2C[C@@H](C)CC(C)(C)C2)n[nH]1 ZINC000336283291 180047643 /nfs/dbraw/zinc/04/76/43/180047643.db2.gz SVLDGABGAPAOIB-UWVGGRQHSA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nc([C@H](C)NCCc2ccncc2)cs1 ZINC000048261866 180048312 /nfs/dbraw/zinc/04/83/12/180048312.db2.gz MEXRXHONYWNFJC-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN Cc1nc([C@H](C)NCCCCF)cs1 ZINC000336692119 180049910 /nfs/dbraw/zinc/04/99/10/180049910.db2.gz PXVBSJQNQUQJEA-QMMMGPOBSA-N 0 3 216.325 2.852 20 0 BFADHN Cc1nc([C@H](C)N[C@@H](C)CCCCO)cs1 ZINC000272422821 180050940 /nfs/dbraw/zinc/05/09/40/180050940.db2.gz MEIUHWBNAOGUJN-UWVGGRQHSA-N 0 3 242.388 2.653 20 0 BFADHN Cc1nc([C@H](C)N[C@@H]2CCOC[C@H]2C)cs1 ZINC000278465208 180052102 /nfs/dbraw/zinc/05/21/02/180052102.db2.gz JJJXTYYMRSKRGX-WCABBAIRSA-N 0 3 240.372 2.527 20 0 BFADHN CC[C@@H](NCCCC(C)C)c1nccn1C ZINC000132106835 191025202 /nfs/dbraw/zinc/02/52/02/191025202.db2.gz HCBVKIQVYKKJDD-GFCCVEGCSA-N 0 3 223.364 2.897 20 0 BFADHN CCC(C)(C)NCc1cc(C(=O)OC)oc1C ZINC000604513068 350142846 /nfs/dbraw/zinc/14/28/46/350142846.db2.gz ZYCJGQLGXBAHBS-UHFFFAOYSA-N 0 3 239.315 2.653 20 0 BFADHN COc1cccc(CN(C)[C@H](C)C(C)(C)C)n1 ZINC000532490266 323268999 /nfs/dbraw/zinc/26/89/99/323268999.db2.gz OPKINJRBJVJXAG-LLVKDONJSA-N 0 3 236.359 2.957 20 0 BFADHN CSCCCCN[C@H](C)c1cncs1 ZINC000133627463 191042480 /nfs/dbraw/zinc/04/24/80/191042480.db2.gz RBVJBTBGXYRQQX-SECBINFHSA-N 0 3 230.402 2.937 20 0 BFADHN CCC(C)(C)CCN(C)Cc1cnccn1 ZINC000427019351 191051396 /nfs/dbraw/zinc/05/13/96/191051396.db2.gz KMPMXBUBWXYJOY-UHFFFAOYSA-N 0 3 221.348 2.735 20 0 BFADHN Cc1ncc(CN2CCS[C@@H](C)CC2)s1 ZINC000192174640 180143335 /nfs/dbraw/zinc/14/33/35/180143335.db2.gz MITIJSXRDDDIRA-VIFPVBQESA-N 0 3 242.413 2.779 20 0 BFADHN Fc1ccc2c(c1)[C@@H](N1CCCOCC1)CCC2 ZINC000334302418 538064698 /nfs/dbraw/zinc/06/46/98/538064698.db2.gz BNHHUCRYPFOBEN-HNNXBMFYSA-N 0 3 249.329 2.925 20 0 BFADHN Cc1ncc(CN(C)[C@@H](C)C(C)C)s1 ZINC000299116659 180139294 /nfs/dbraw/zinc/13/92/94/180139294.db2.gz DQSMMLCDNIPVOT-VIFPVBQESA-N 0 3 212.362 2.928 20 0 BFADHN Cc1ncc(CN(C)[C@H]2CCCC[C@H]2C)cn1 ZINC000335710217 180141362 /nfs/dbraw/zinc/14/13/62/180141362.db2.gz NGJCTKQOMLBOTO-RISCZKNCSA-N 0 3 233.359 2.796 20 0 BFADHN Cc1ncc(CN2CCC(C)CC2)s1 ZINC000299068508 180141394 /nfs/dbraw/zinc/14/13/94/180141394.db2.gz VDFHHLQTBKPMGB-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN Cc1ncc(CN2CCSC[C@@H](C)C2)s1 ZINC000190394484 180142243 /nfs/dbraw/zinc/14/22/43/180142243.db2.gz LSDIVNHBOMUJKM-VIFPVBQESA-N 0 3 242.413 2.636 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC23CCC3)s1 ZINC000309215136 180156872 /nfs/dbraw/zinc/15/68/72/180156872.db2.gz UGFPFRFHXHRLPN-LLVKDONJSA-N 0 3 222.357 2.874 20 0 BFADHN Cc1ncc([C@@H](C)N[C@H]2CCC2(C)C)c(C)n1 ZINC000287173186 180172744 /nfs/dbraw/zinc/17/27/44/180172744.db2.gz UTPKKFFKDIVYDL-MFKMUULPSA-N 0 3 233.359 2.933 20 0 BFADHN Cc1ncc([C@H](C)NC2(C(C)C)CC2)c(C)n1 ZINC000340480279 180173980 /nfs/dbraw/zinc/17/39/80/180173980.db2.gz YWXUXCYGSGJGPP-NSHDSACASA-N 0 3 233.359 2.933 20 0 BFADHN Cc1ncc(CN2[C@@H](C)CCC2(C)C)cn1 ZINC000336305512 180148507 /nfs/dbraw/zinc/14/85/07/180148507.db2.gz QMYWCHALPNXUII-JTQLQIEISA-N 0 3 219.332 2.548 20 0 BFADHN CC(C)N(CCO)Cc1ccc(Cl)s1 ZINC000049826381 191071626 /nfs/dbraw/zinc/07/16/26/191071626.db2.gz CEHVSTDSKMJMLH-UHFFFAOYSA-N 0 3 233.764 2.604 20 0 BFADHN CCCc1nc(C)c(CN(CC)CC)o1 ZINC000428448597 191074292 /nfs/dbraw/zinc/07/42/92/191074292.db2.gz QHWLJHHOSLWQNA-UHFFFAOYSA-N 0 3 210.321 2.777 20 0 BFADHN CN(CC[C@@H]1CCCO1)Cc1ccsc1 ZINC000343500253 490382616 /nfs/dbraw/zinc/38/26/16/490382616.db2.gz VNRORAXBKKKSIK-LBPRGKRZSA-N 0 3 225.357 2.749 20 0 BFADHN COc1cc(C)ccc1[C@H](C)N[C@@H]1CO[C@H](C)C1 ZINC000402373611 350225522 /nfs/dbraw/zinc/22/55/22/350225522.db2.gz ZHSHEQAQVNQACN-AGIUHOORSA-N 0 3 249.354 2.832 20 0 BFADHN Cc1ncccc1CN1CCCO[C@@H](C(C)C)C1 ZINC000336593856 180218521 /nfs/dbraw/zinc/21/85/21/180218521.db2.gz KEBUECRCEXKRLQ-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1ncccc1CN(C)CC1CCC1 ZINC000127767099 180219159 /nfs/dbraw/zinc/21/91/59/180219159.db2.gz JLZQOZUMZFIJAQ-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1ncccc1CN(CCO)C1CCCCC1 ZINC000305213272 180219359 /nfs/dbraw/zinc/21/93/59/180219359.db2.gz QGUPXHUSNHKIIA-UHFFFAOYSA-N 0 3 248.370 2.517 20 0 BFADHN Cc1cc(N[C@@H]2CO[C@@H](C)C2)c2ccccc2n1 ZINC000402378514 350228037 /nfs/dbraw/zinc/22/80/37/350228037.db2.gz KUBYRMNYVKVWJD-RYUDHWBXSA-N 0 3 242.322 2.554 20 0 BFADHN Cc1ncccc1CNC[C@@H](C)C(F)(F)F ZINC000336742181 180220511 /nfs/dbraw/zinc/22/05/11/180220511.db2.gz NWMKFPSZFVZBNY-MRVPVSSYSA-N 0 3 232.249 2.678 20 0 BFADHN Cc1ncccc1CN1CC[C@@H]1C(C)C ZINC000336484093 180221690 /nfs/dbraw/zinc/22/16/90/180221690.db2.gz RFOBBCCSBNLTRS-CYBMUJFWSA-N 0 3 204.317 2.620 20 0 BFADHN CCCc1nc(C)c(CN(C)CC2CC2)o1 ZINC000428489773 191080586 /nfs/dbraw/zinc/08/05/86/191080586.db2.gz XGHQLYZNQBFXTH-UHFFFAOYSA-N 0 3 222.332 2.777 20 0 BFADHN Cc1ncccc1CN[C@H](C)CC(F)(F)F ZINC000309223958 180222139 /nfs/dbraw/zinc/22/21/39/180222139.db2.gz JPNXLZQQLDHTRP-MRVPVSSYSA-N 0 3 232.249 2.821 20 0 BFADHN C[C@@H](NCC1CCCCC1)c1ncc[nH]1 ZINC000124675485 490393511 /nfs/dbraw/zinc/39/35/11/490393511.db2.gz LAQBDUUMDZFFQO-SNVBAGLBSA-N 0 3 207.321 2.641 20 0 BFADHN Cc1nccn1CCCN[C@H](C)c1ccco1 ZINC000268837825 180246715 /nfs/dbraw/zinc/24/67/15/180246715.db2.gz HFJALFQGLGTNDC-LLVKDONJSA-N 0 3 233.315 2.525 20 0 BFADHN Cc1nccn1CCN(C)[C@@H](C)c1ccccc1 ZINC000270620988 180250481 /nfs/dbraw/zinc/25/04/81/180250481.db2.gz DHJIQSHPZKMYFD-ZDUSSCGKSA-N 0 3 243.354 2.885 20 0 BFADHN CC[C@@H](C)CNCc1ncc(Cl)s1 ZINC000223038994 350264001 /nfs/dbraw/zinc/26/40/01/350264001.db2.gz JEDTXOPIQYOAPU-SSDOTTSWSA-N 0 3 218.753 2.932 20 0 BFADHN CN(CCn1cccn1)Cc1cccc(Cl)c1 ZINC000049982842 350273188 /nfs/dbraw/zinc/27/31/88/350273188.db2.gz VJLFGENJWNGWKH-UHFFFAOYSA-N 0 3 249.745 2.669 20 0 BFADHN Cc1ccc(NC(=O)C2(N)CCCC2)cc1C ZINC000037616068 350274406 /nfs/dbraw/zinc/27/44/06/350274406.db2.gz CNWDIZFZMLFWQX-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN CCN[C@@H](C)C(=O)Nc1ccccc1C(C)C ZINC000606145594 350279376 /nfs/dbraw/zinc/27/93/76/350279376.db2.gz QZHIOJRHGVMNNB-NSHDSACASA-N 0 3 234.343 2.747 20 0 BFADHN CCN[C@@](C)(CO)c1cccc(C(F)(F)F)c1 ZINC000038120180 350307240 /nfs/dbraw/zinc/30/72/40/350307240.db2.gz GLHNCQGSADSMCU-NSHDSACASA-N 0 3 247.260 2.522 20 0 BFADHN Cc1nccnc1[C@@H](C)N[C@@H]1CC12CCCC2 ZINC000336298429 180281643 /nfs/dbraw/zinc/28/16/43/180281643.db2.gz LWRBGOXARUGJCS-VXGBXAGGSA-N 0 3 231.343 2.768 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@@H]1CC[C@H](C)C1 ZINC000300529263 180284441 /nfs/dbraw/zinc/28/44/41/180284441.db2.gz MUSYHULHPLUNHW-WCFLWFBJSA-N 0 3 233.359 2.872 20 0 BFADHN Cc1ncsc1CN1CC[C@@H](C)[C@@H](C)C1 ZINC000271211838 180315620 /nfs/dbraw/zinc/31/56/20/180315620.db2.gz YVSJEHGROSVJOM-ZJUUUORDSA-N 0 3 224.373 2.929 20 0 BFADHN C[C@@H]1c2ccc(F)cc2CCN1C[C@@H]1CCOC1 ZINC000606448591 350338619 /nfs/dbraw/zinc/33/86/19/350338619.db2.gz BSMQIFFSUBEYAM-NEPJUHHUSA-N 0 3 249.329 2.781 20 0 BFADHN Cc1ncsc1CN(C)[C@@H](C)C1CC1 ZINC000158102815 180313506 /nfs/dbraw/zinc/31/35/06/180313506.db2.gz DPRRXTBKBYLFNL-VIFPVBQESA-N 0 3 210.346 2.682 20 0 BFADHN CN(Cc1cnn2ccccc12)[C@H]1CC1(C)C ZINC000426554943 191096596 /nfs/dbraw/zinc/09/65/96/191096596.db2.gz JFFHVXJPXCQXCX-ZDUSSCGKSA-N 0 3 229.327 2.565 20 0 BFADHN CC(C)c1nc(CN(C(C)C)C2CCC2)n[nH]1 ZINC000428368616 191096628 /nfs/dbraw/zinc/09/66/28/191096628.db2.gz FJOZEJMLOZSXKQ-UHFFFAOYSA-N 0 3 236.363 2.691 20 0 BFADHN Cc1ncsc1CN1CCC2(CCC2)C1 ZINC000093455986 180315285 /nfs/dbraw/zinc/31/52/85/180315285.db2.gz QJFUQNLBLIBGOW-UHFFFAOYSA-N 0 3 222.357 2.828 20 0 BFADHN Cc1ccn2c(CN(C)[C@@H]3CC3(C)C)cnc2c1 ZINC000426570592 191096964 /nfs/dbraw/zinc/09/69/64/191096964.db2.gz NDDBATKEGYXIKH-CYBMUJFWSA-N 0 3 243.354 2.873 20 0 BFADHN Cc1cccn2c(CN(C)[C@@H]3CC3(C)C)cnc12 ZINC000426552709 191097249 /nfs/dbraw/zinc/09/72/49/191097249.db2.gz LVMHKLOALNABJX-CYBMUJFWSA-N 0 3 243.354 2.873 20 0 BFADHN C[C@H](Cc1cccs1)NCc1cscn1 ZINC000040436068 350363227 /nfs/dbraw/zinc/36/32/27/350363227.db2.gz QTENECRJHUWKPY-SECBINFHSA-N 0 3 238.381 2.925 20 0 BFADHN CN(Cc1ccc2c(c1)CCO2)[C@H]1CC1(C)C ZINC000426554636 191097715 /nfs/dbraw/zinc/09/77/15/191097715.db2.gz IBKMWOTZNDFOSS-AWEZNQCLSA-N 0 3 231.339 2.852 20 0 BFADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCCCF ZINC000126837000 180332235 /nfs/dbraw/zinc/33/22/35/180332235.db2.gz IRXMBSQOROSJFM-SNVBAGLBSA-N 0 3 241.354 2.957 20 0 BFADHN Cc1nn(C(C)(C)C)c(C)c1CNC1CCC1 ZINC000339491556 180332329 /nfs/dbraw/zinc/33/23/29/180332329.db2.gz IISPYSMISRUEBW-UHFFFAOYSA-N 0 3 235.375 2.897 20 0 BFADHN CCN(Cc1cnc(C)cn1)[C@H](C)C(C)C ZINC000336678534 350435446 /nfs/dbraw/zinc/43/54/46/350435446.db2.gz ORASWJWEZFFEHU-GFCCVEGCSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1nn(C)c(Cl)c1CN1CC[C@@H](C)[C@@H]1C ZINC000177909896 180349181 /nfs/dbraw/zinc/34/91/81/180349181.db2.gz YDGLMMNACVMZNN-SCZZXKLOSA-N 0 3 241.766 2.612 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2cnccc2C)C1(C)C ZINC000162697048 350416842 /nfs/dbraw/zinc/41/68/42/350416842.db2.gz JKFANWGQMUCGBA-ZIAGYGMSSA-N 0 3 248.370 2.683 20 0 BFADHN Cc1nn(C)c(C)c1CNC1CC(C(C)(C)C)C1 ZINC000339463588 180339760 /nfs/dbraw/zinc/33/97/60/180339760.db2.gz CZDBIFIHXWFIIL-UHFFFAOYSA-N 0 3 249.402 2.951 20 0 BFADHN CC1(C)CCC(CNCc2ccno2)CC1 ZINC000309437240 350459034 /nfs/dbraw/zinc/45/90/34/350459034.db2.gz KRFGJVRPXMJITG-UHFFFAOYSA-N 0 3 222.332 2.981 20 0 BFADHN Cc1nn(C)cc1CN(C)[C@H]1CCC(C)(C)C1 ZINC000336584008 180372860 /nfs/dbraw/zinc/37/28/60/180372860.db2.gz FYHLPTKYGNXKAW-ZDUSSCGKSA-N 0 3 235.375 2.739 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1nccn1C ZINC000070008009 350492127 /nfs/dbraw/zinc/49/21/27/350492127.db2.gz YQTGINSMCUHHFM-AXFHLTTASA-N 0 3 209.337 2.505 20 0 BFADHN Cc1nn(C)cc1[C@H](C)NCc1ccccc1 ZINC000020349372 180383468 /nfs/dbraw/zinc/38/34/68/180383468.db2.gz YMRCMTFKMGYQPF-NSHDSACASA-N 0 3 229.327 2.579 20 0 BFADHN COC(C)(C)CNCc1ccc(Cl)cc1F ZINC000162975458 350487469 /nfs/dbraw/zinc/48/74/69/350487469.db2.gz CDWADOXSFUABDT-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN C[C@@H](NC[C@@H](N)c1ccccc1)c1ccoc1 ZINC000577482243 365964079 /nfs/dbraw/zinc/96/40/79/365964079.db2.gz VEUUMHYPFVCNDW-BXUZGUMPSA-N 0 3 230.311 2.630 20 0 BFADHN CC[C@@H](O)CNCc1ccc(Cl)c(C)c1 ZINC000336760592 350505056 /nfs/dbraw/zinc/50/50/56/350505056.db2.gz LVMQSHVGJIWNOQ-LLVKDONJSA-N 0 3 227.735 2.509 20 0 BFADHN CCc1ncc(CNCCC(F)(F)F)s1 ZINC000063099685 350571843 /nfs/dbraw/zinc/57/18/43/350571843.db2.gz XSJGNQZKENYGDS-UHFFFAOYSA-N 0 3 238.278 2.748 20 0 BFADHN CCN(Cc1ccnn1C(C)C)C1CCC1 ZINC000337173645 350561247 /nfs/dbraw/zinc/56/12/47/350561247.db2.gz HHVFTPABIWGCHZ-UHFFFAOYSA-N 0 3 221.348 2.838 20 0 BFADHN CCCCN(C)C[C@H](O)c1ccc(F)cc1F ZINC000051087986 350603960 /nfs/dbraw/zinc/60/39/60/350603960.db2.gz BRFKKDWCCAJHSZ-ZDUSSCGKSA-N 0 3 243.297 2.730 20 0 BFADHN CO[C@@H](CNCc1ccnc(C)c1)CC(C)C ZINC000623551706 362971794 /nfs/dbraw/zinc/97/17/94/362971794.db2.gz DMGLLDSNKWEPLI-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1cc(CN[C@H](C)[C@H]2CC2(F)F)ccn1 ZINC000623550270 362970178 /nfs/dbraw/zinc/97/01/78/362970178.db2.gz HEHFZYPSLCSMSL-MWLCHTKSSA-N 0 3 226.270 2.523 20 0 BFADHN CC(=O)CCN(Cc1cccc(O)c1)CC1CC1 ZINC000155809633 191116113 /nfs/dbraw/zinc/11/61/13/191116113.db2.gz YIVCMXLFROGNPK-UHFFFAOYSA-N 0 3 247.338 2.583 20 0 BFADHN C[C@@H]1OCC[C@H]1N[C@H]1CSCc2ccccc21 ZINC000252691927 538098638 /nfs/dbraw/zinc/09/86/38/538098638.db2.gz ZLHLAFDVQYSXPX-GDLCADMTSA-N 0 3 249.379 2.742 20 0 BFADHN CC[C@@H](O)CCN[C@@H]1CCCc2ccc(O)cc21 ZINC000233909674 350687465 /nfs/dbraw/zinc/68/74/65/350687465.db2.gz DLRXMRPVKSZYAL-IUODEOHRSA-N 0 3 249.354 2.520 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCC(C)(O)CC1 ZINC000177293458 365992504 /nfs/dbraw/zinc/99/25/04/365992504.db2.gz USIIVYUALITFGH-NSHDSACASA-N 0 3 237.318 2.734 20 0 BFADHN CC(C)=CCN1CCN(CC=C(C)C)CC1 ZINC000151279927 187469527 /nfs/dbraw/zinc/46/95/27/187469527.db2.gz IWMACWYDHCPCFG-UHFFFAOYSA-N 0 3 222.376 2.536 20 0 BFADHN CC[C@@H]1CCC[C@@H](CN2CC[C@@](F)(CO)C2)C1 ZINC000339001557 350725720 /nfs/dbraw/zinc/72/57/20/350725720.db2.gz OCTWQRHKKVTLKY-MCIONIFRSA-N 0 3 243.366 2.609 20 0 BFADHN CC1(C)CN(C[C@@H]2CCCO2)Cc2ccccc21 ZINC000339058374 350729980 /nfs/dbraw/zinc/72/99/80/350729980.db2.gz BTCPSZKXMJKRFJ-AWEZNQCLSA-N 0 3 245.366 2.959 20 0 BFADHN CC[C@@H](O)CN[C@@H](CC(C)C)c1ccccn1 ZINC000339080575 350731810 /nfs/dbraw/zinc/73/18/10/350731810.db2.gz CRFYLPDSFZUTMI-OCCSQVGLSA-N 0 3 236.359 2.529 20 0 BFADHN Cc1cc(CNCC2(C3CC3)CCC2)on1 ZINC000391456754 350741679 /nfs/dbraw/zinc/74/16/79/350741679.db2.gz JWTZYUZTYCIMFA-UHFFFAOYSA-N 0 3 220.316 2.653 20 0 BFADHN CC1(C)CCC[C@H]1NCc1ccncc1F ZINC000336205297 350742694 /nfs/dbraw/zinc/74/26/94/350742694.db2.gz RGQMMVNSLVQHHQ-GFCCVEGCSA-N 0 3 222.307 2.889 20 0 BFADHN Cc1nocc1CN[C@@H]1CCCC[C@@H]1C ZINC000294097981 180509035 /nfs/dbraw/zinc/50/90/35/180509035.db2.gz MCQRCCGAXZFBQP-JOYOIKCWSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@H](CNCc1cocn1)C1CCCCC1 ZINC000309557059 350775359 /nfs/dbraw/zinc/77/53/59/350775359.db2.gz JYTPDROBMKSCLD-LLVKDONJSA-N 0 3 222.332 2.981 20 0 BFADHN Cc1ncc(CNC2(C3CCC3)CCC2)o1 ZINC000628309430 350785664 /nfs/dbraw/zinc/78/56/64/350785664.db2.gz VADMQJWDGDRTIY-UHFFFAOYSA-N 0 3 220.316 2.795 20 0 BFADHN Cc1cnc(CN2C[C@@H]3CCCC[C@H]3C2)o1 ZINC000177537588 366006999 /nfs/dbraw/zinc/00/69/99/366006999.db2.gz DSVPWEAGZAHUIA-RYUDHWBXSA-N 0 3 220.316 2.605 20 0 BFADHN c1n[nH]cc1[C@H]1CCCN1Cc1ccccc1 ZINC000616608015 350802978 /nfs/dbraw/zinc/80/29/78/350802978.db2.gz RXPVOEDUQHHDSM-CQSZACIVSA-N 0 3 227.311 2.747 20 0 BFADHN Cc1cc(CN2C[C@H]3CCCC[C@@H]3C2)no1 ZINC000177544226 366008638 /nfs/dbraw/zinc/00/86/38/366008638.db2.gz HVAZZHVVRFWHLJ-VXGBXAGGSA-N 0 3 220.316 2.605 20 0 BFADHN CC[C@@H](N[C@@H](C)COC)c1ccc(F)cc1 ZINC000019904757 350840570 /nfs/dbraw/zinc/84/05/70/350840570.db2.gz DIKXFAUPSFEVAP-GXFFZTMASA-N 0 3 225.307 2.901 20 0 BFADHN CC1(C)CCC[C@@H]1NCc1ccc(F)cn1 ZINC000269001130 350843998 /nfs/dbraw/zinc/84/39/98/350843998.db2.gz JOHJPVUQPFXZNW-LBPRGKRZSA-N 0 3 222.307 2.889 20 0 BFADHN CC[C@H](NC[C@@H](O)C(F)F)c1cccc(C)c1 ZINC000336682565 191125973 /nfs/dbraw/zinc/12/59/73/191125973.db2.gz CDXZFCUQEVOAEB-NWDGAFQWSA-N 0 3 243.297 2.662 20 0 BFADHN CC(C)[C@H](CO)CNCc1sccc1Cl ZINC000380146891 366012584 /nfs/dbraw/zinc/01/25/84/366012584.db2.gz WXAMMGNQODOOSV-VIFPVBQESA-N 0 3 247.791 2.756 20 0 BFADHN Cc1ncc(CN2CC[C@H](C3CCCC3)C2)o1 ZINC000628315839 350918103 /nfs/dbraw/zinc/91/81/03/350918103.db2.gz YMTKXLQQTVUKQJ-ZDUSSCGKSA-N 0 3 234.343 2.995 20 0 BFADHN CC[C@@H]1CCCN(Cc2cnc(N)s2)CC1 ZINC000086766308 350932013 /nfs/dbraw/zinc/93/20/13/350932013.db2.gz WVDOWSQWUZHEJV-SNVBAGLBSA-N 0 3 239.388 2.737 20 0 BFADHN COCCN(C)[C@@H](C)c1cccc(Cl)c1 ZINC000052162231 350936106 /nfs/dbraw/zinc/93/61/06/350936106.db2.gz QMDQBEYAKYNFEC-JTQLQIEISA-N 0 3 227.735 2.979 20 0 BFADHN C/C=C/CNC/C=C/c1ccccc1OC ZINC000623738920 350881994 /nfs/dbraw/zinc/88/19/94/350881994.db2.gz BMHTWJMBZVIZKC-DQTVNRMBSA-N 0 3 217.312 2.874 20 0 BFADHN C/C=C/CNCc1ccc(OCC(F)F)cc1 ZINC000623739055 350883093 /nfs/dbraw/zinc/88/30/93/350883093.db2.gz HHZXUGOBPVLQDW-NSCUHMNNSA-N 0 3 241.281 2.996 20 0 BFADHN C/C=C\CNCc1cnc2ccc(Cl)cn12 ZINC000623739016 350883415 /nfs/dbraw/zinc/88/34/15/350883415.db2.gz FSUHBLDAAHEITQ-IHWYPQMZSA-N 0 3 235.718 2.653 20 0 BFADHN C[C@H]1C[C@H](NCc2ccncc2Cl)CS1 ZINC000397809814 350977395 /nfs/dbraw/zinc/97/73/95/350977395.db2.gz GGYNMMUZMYJCST-WPRPVWTQSA-N 0 3 242.775 2.719 20 0 BFADHN C[C@@H]1CSC[C@@H]1Nc1ccnc2ccccc21 ZINC000397951329 350994966 /nfs/dbraw/zinc/99/49/66/350994966.db2.gz ZRKSSYMUYOREPO-YGRLFVJLSA-N 0 3 244.363 2.820 20 0 BFADHN COCCC(C)(C)NCc1ccncc1Cl ZINC000398046248 351002745 /nfs/dbraw/zinc/00/27/45/351002745.db2.gz BXFDWHFHECRVBR-UHFFFAOYSA-N 0 3 242.750 2.640 20 0 BFADHN CSCCCN[C@@H](C)c1c(C)noc1C ZINC000086109751 350945830 /nfs/dbraw/zinc/94/58/30/350945830.db2.gz LIQVWXFUPFPBFP-QMMMGPOBSA-N 0 3 228.361 2.695 20 0 BFADHN C[C@@H](c1ccccc1F)N1CCC[C@H](CO)C1 ZINC000177667456 366017303 /nfs/dbraw/zinc/01/73/03/366017303.db2.gz BQMMLSHEGURIBD-RYUDHWBXSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@@H](O)CN1C[C@@H](C)C[C@@H]1c1cccc(F)c1 ZINC000192730182 191129609 /nfs/dbraw/zinc/12/96/09/191129609.db2.gz PNGRRELBIHXOKM-MISXGVKJSA-N 0 3 237.318 2.589 20 0 BFADHN C/C=C/CNCc1ccc(OCC)c(F)c1 ZINC000623739915 351049199 /nfs/dbraw/zinc/04/91/99/351049199.db2.gz JQZJRKAJILZKJY-HWKANZROSA-N 0 3 223.291 2.890 20 0 BFADHN C/C=C/CNCc1cnn(-c2ccccc2C)c1 ZINC000623739886 351049228 /nfs/dbraw/zinc/04/92/28/351049228.db2.gz JFTRCANJAKXJBP-ONEGZZNKSA-N 0 3 241.338 2.846 20 0 BFADHN C/C=C\CNCc1ccc(OC)c(OC2CC2)c1 ZINC000623740061 351049461 /nfs/dbraw/zinc/04/94/61/351049461.db2.gz LTWYZMXMOYUQPX-ARJAWSKDSA-N 0 3 247.338 2.902 20 0 BFADHN C/C=C/CNCc1ccc(OC)c(OC2CC2)c1 ZINC000623740062 351050517 /nfs/dbraw/zinc/05/05/17/351050517.db2.gz LTWYZMXMOYUQPX-ONEGZZNKSA-N 0 3 247.338 2.902 20 0 BFADHN Cc1ncc(CNC[C@H]2CCCC2(F)F)o1 ZINC000398060634 362992709 /nfs/dbraw/zinc/99/27/09/362992709.db2.gz JQTDLAFLIMMCEP-SECBINFHSA-N 0 3 230.258 2.508 20 0 BFADHN Cc1ncc(CNC[C@@H]2C[C@H]2C2CCC2)o1 ZINC000628318860 351106320 /nfs/dbraw/zinc/10/63/20/351106320.db2.gz XBPQBSOGOWHOQM-AAEUAGOBSA-N 0 3 220.316 2.509 20 0 BFADHN CCc1cnc(CN[C@H]2CSC[C@@H]2C)s1 ZINC000336716041 351113589 /nfs/dbraw/zinc/11/35/89/351113589.db2.gz WXCFOYDUABOJSU-WPRPVWTQSA-N 0 3 242.413 2.547 20 0 BFADHN C[C@@H]1CN(CC2CCCCC2)C[C@@H](C)O1 ZINC000052856679 351074531 /nfs/dbraw/zinc/07/45/31/351074531.db2.gz CAWQGCBXQFJFTR-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN Clc1ccc(CNC[C@H]2CCCO2)s1 ZINC000020055658 180580388 /nfs/dbraw/zinc/58/03/88/180580388.db2.gz ZGMVVTLCTRWDMM-MRVPVSSYSA-N 0 3 231.748 2.670 20 0 BFADHN C[C@]1(CN2CCC[C@H](F)C2)CCCS1 ZINC000628326860 351261546 /nfs/dbraw/zinc/26/15/46/351261546.db2.gz YDDNMNSDHTXCGN-WDEREUQCSA-N 0 3 217.353 2.706 20 0 BFADHN Cc1nc(C)c(CNCC2CCC(F)CC2)o1 ZINC000628322605 351211733 /nfs/dbraw/zinc/21/17/33/351211733.db2.gz CSXCCHHVRLECAX-UHFFFAOYSA-N 0 3 240.322 2.909 20 0 BFADHN Cc1ncc(CNC[C@H]2CCCCC2(F)F)o1 ZINC000398126354 363016075 /nfs/dbraw/zinc/01/60/75/363016075.db2.gz ZANKKQLAICDCIA-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN Cc1nc(C)c(CNCCCC2CCC2)o1 ZINC000628339513 351282849 /nfs/dbraw/zinc/28/28/49/351282849.db2.gz PUUIATGQLHVEPC-UHFFFAOYSA-N 0 3 222.332 2.961 20 0 BFADHN Cc1ncc(CNCCc2ccc(C)c(C)c2)o1 ZINC000628338623 351285793 /nfs/dbraw/zinc/28/57/93/351285793.db2.gz QHMWNMGQVJFARJ-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccn1C)C1CC(F)(F)C1 ZINC000628352187 351315390 /nfs/dbraw/zinc/31/53/90/351315390.db2.gz ACTCBZWDYXVECI-BDAKNGLRSA-N 0 3 243.301 2.505 20 0 BFADHN C[C@@H](N[C@H](C)C1CC(F)(F)C1)c1nccn1C ZINC000628352190 351315629 /nfs/dbraw/zinc/31/56/29/351315629.db2.gz ACTCBZWDYXVECI-RKDXNWHRSA-N 0 3 243.301 2.505 20 0 BFADHN Cc1cc(CNC(C)(C2CC2)C2CC2)on1 ZINC000631176339 351317910 /nfs/dbraw/zinc/31/79/10/351317910.db2.gz AWNVTDHIHFWTGC-UHFFFAOYSA-N 0 3 220.316 2.651 20 0 BFADHN CCN(Cc1ccc(Cl)cc1)C[C@@H](C)O ZINC000265640507 351273289 /nfs/dbraw/zinc/27/32/89/351273289.db2.gz JOJPPHAEKIZNBW-SNVBAGLBSA-N 0 3 227.735 2.543 20 0 BFADHN COc1cncc(CNCC2=CCCC2)c1C ZINC000631176553 351333089 /nfs/dbraw/zinc/33/30/89/351333089.db2.gz AUDRYAAEMUJGQW-UHFFFAOYSA-N 0 3 232.327 2.599 20 0 BFADHN CC(C)n1ncnc1CN[C@@H](C)CC1CCC1 ZINC000631250714 351388758 /nfs/dbraw/zinc/38/87/58/351388758.db2.gz OQOSFGCTNUZRCE-NSHDSACASA-N 0 3 236.363 2.527 20 0 BFADHN CCN(Cc1sccc1OC)C(C)C ZINC000271050299 351398063 /nfs/dbraw/zinc/39/80/63/351398063.db2.gz VMORDTMTUFFWPA-UHFFFAOYSA-N 0 3 213.346 2.987 20 0 BFADHN CCN(Cc1ccc(COC)o1)C(C)C ZINC000271053164 351398774 /nfs/dbraw/zinc/39/87/74/351398774.db2.gz XKKHHTCQOPNLPV-UHFFFAOYSA-N 0 3 211.305 2.656 20 0 BFADHN C/C=C(\C)C(=O)N(CCN(C)C)c1ccccc1 ZINC000175901432 187478307 /nfs/dbraw/zinc/47/83/07/187478307.db2.gz GBHKKKDXXIXTRU-WLRTZDKTSA-N 0 3 246.354 2.547 20 0 BFADHN Cc1ccc(NC2CCN(C)CC2)c(F)c1 ZINC000034938922 351351169 /nfs/dbraw/zinc/35/11/69/351351169.db2.gz FOHZKJSBHGFODA-UHFFFAOYSA-N 0 3 222.307 2.640 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccc(OC)cn2)C1 ZINC000272093899 351452950 /nfs/dbraw/zinc/45/29/50/351452950.db2.gz JYSXRHQFQZSNAQ-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CC1(NCc2ccc(Br)o2)CC1 ZINC000228834124 351418323 /nfs/dbraw/zinc/41/83/23/351418323.db2.gz SBDYFIRHGNBBAJ-UHFFFAOYSA-N 0 3 230.105 2.684 20 0 BFADHN CN(C[C@@H]1CC1(Cl)Cl)[C@@H]1CCSC1 ZINC000307013829 351418921 /nfs/dbraw/zinc/41/89/21/351418921.db2.gz MEGHJYRXIPMQMG-JGVFFNPUSA-N 0 3 240.199 2.618 20 0 BFADHN CCC[C@H](CNCc1ccc(C)cn1)OCC ZINC000623599088 363036645 /nfs/dbraw/zinc/03/66/45/363036645.db2.gz XKXXCKIOUZIPKO-CQSZACIVSA-N 0 3 236.359 2.685 20 0 BFADHN C[C@H](CCc1ccco1)NCc1ccns1 ZINC000404486408 351478809 /nfs/dbraw/zinc/47/88/09/351478809.db2.gz LTQBXGLNRHRRPU-SNVBAGLBSA-N 0 3 236.340 2.847 20 0 BFADHN CC(C)C[C@H](C)CN1C[C@H](C)OC[C@@H]1C ZINC000337127444 191136195 /nfs/dbraw/zinc/13/61/95/191136195.db2.gz HFCMQBSJCXKWKW-AVGNSLFASA-N 0 3 213.365 2.778 20 0 BFADHN CSC[C@H](C)N[C@H](C)c1cnc(C)s1 ZINC000090417550 363054843 /nfs/dbraw/zinc/05/48/43/363054843.db2.gz LSQADZUNJYVWRF-JGVFFNPUSA-N 0 3 230.402 2.854 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1nc(C)c(C)[nH]1 ZINC000577651520 366032445 /nfs/dbraw/zinc/03/24/45/366032445.db2.gz JOLWAADVYVATOR-QWHCGFSZSA-N 0 3 235.375 2.942 20 0 BFADHN CC[C@@H](NC1CC(C)(C)C1)c1ccn(C)n1 ZINC000577651762 366032545 /nfs/dbraw/zinc/03/25/45/366032545.db2.gz PVEUVSSUCDBKDE-LLVKDONJSA-N 0 3 221.348 2.649 20 0 BFADHN CC[C@H]1CCC[C@H]1CNCc1nc(C)c(C)[nH]1 ZINC000577651521 366033121 /nfs/dbraw/zinc/03/31/21/366033121.db2.gz JOLWAADVYVATOR-STQMWFEESA-N 0 3 235.375 2.942 20 0 BFADHN CCCN(CC)[C@@H](c1nccn1C)C1CC1 ZINC000637811945 351647425 /nfs/dbraw/zinc/64/74/25/351647425.db2.gz ZTYBBQNHNPFAIM-GFCCVEGCSA-N 0 3 221.348 2.603 20 0 BFADHN CCCN(CC)[C@H](C)c1cnc(C)nc1C ZINC000637810529 351651214 /nfs/dbraw/zinc/65/12/14/351651214.db2.gz LUPXNVMKZNOSCZ-LLVKDONJSA-N 0 3 221.348 2.886 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2ccns2)C1 ZINC000637833746 351681130 /nfs/dbraw/zinc/68/11/30/351681130.db2.gz WAHZNRITYBWFRA-AOOOYVTPSA-N 0 3 210.346 2.621 20 0 BFADHN CC1CCN(Cc2c3c(nn2C)CCCC3)CC1 ZINC000637836540 351687162 /nfs/dbraw/zinc/68/71/62/351687162.db2.gz QFYOEQPLOXLRGK-UHFFFAOYSA-N 0 3 247.386 2.531 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2C)sn1 ZINC000637836118 351687198 /nfs/dbraw/zinc/68/71/98/351687198.db2.gz MNFKQBJNWMGCOA-JTQLQIEISA-N 0 3 210.346 2.826 20 0 BFADHN CO[C@@](C)(CN(C)Cc1cccs1)C1CC1 ZINC000637846748 351705033 /nfs/dbraw/zinc/70/50/33/351705033.db2.gz JMHSPIFBHZFRCO-ZDUSSCGKSA-N 0 3 239.384 2.995 20 0 BFADHN CC[C@H](C)[C@@H](CN(C)Cc1ccco1)OC ZINC000637862034 351722685 /nfs/dbraw/zinc/72/26/85/351722685.db2.gz VWLXHUPYUPXGGV-WCQYABFASA-N 0 3 225.332 2.773 20 0 BFADHN CC[C@H]1CCCCN1C(=O)[C@H](N)CCC(C)C ZINC000637869208 351730059 /nfs/dbraw/zinc/73/00/59/351730059.db2.gz UFQVSAQPZSHINV-QWHCGFSZSA-N 0 3 240.391 2.541 20 0 BFADHN CO[C@@](C)(CN(C)Cc1ccsc1)C1CC1 ZINC000637872913 351738860 /nfs/dbraw/zinc/73/88/60/351738860.db2.gz AVAHBKWMEKZEHC-ZDUSSCGKSA-N 0 3 239.384 2.995 20 0 BFADHN CC[C@@](C)(CN(C)Cc1ccsc1)OC ZINC000637872905 351738874 /nfs/dbraw/zinc/73/88/74/351738874.db2.gz AMPMWLAIKZFEFL-LBPRGKRZSA-N 0 3 227.373 2.995 20 0 BFADHN CC(C)CC[C@@H](N)C(=O)NC1CCCCCC1 ZINC000637873878 351741028 /nfs/dbraw/zinc/74/10/28/351741028.db2.gz HBLYUBVHTSVGPH-CYBMUJFWSA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@](C)(CN(C)Cc1ccc(C)o1)OC ZINC000637852395 351711582 /nfs/dbraw/zinc/71/15/82/351711582.db2.gz FBXHXHAMGJECHV-CYBMUJFWSA-N 0 3 225.332 2.835 20 0 BFADHN CCC[C@H](C)N(C)Cc1c2c(nn1C)CCCC2 ZINC000637911268 351784755 /nfs/dbraw/zinc/78/47/55/351784755.db2.gz JJVKEKRLYBOPON-LBPRGKRZSA-N 0 3 249.402 2.919 20 0 BFADHN CC[C@H](C)N(C)Cc1c(OC)cccc1OC ZINC000637912110 351785626 /nfs/dbraw/zinc/78/56/26/351785626.db2.gz RXNFLPIAFLZHMY-NSHDSACASA-N 0 3 237.343 2.934 20 0 BFADHN Cc1cc(C)c(/C=C/CN2CC[C@H](O)C2)c(C)c1 ZINC000637920264 351794640 /nfs/dbraw/zinc/79/46/40/351794640.db2.gz RONRQHIIQZAHNE-RGDDUWESSA-N 0 3 245.366 2.692 20 0 BFADHN CC(C)CN(Cc1ccns1)C1CC1 ZINC000637927153 351801881 /nfs/dbraw/zinc/80/18/81/351801881.db2.gz JIFGAAZXSWKNRP-UHFFFAOYSA-N 0 3 210.346 2.764 20 0 BFADHN COc1cncc(CN(C(C)C)C2CC2)c1C ZINC000637927581 351802803 /nfs/dbraw/zinc/80/28/03/351802803.db2.gz PLEJUCCBNYDDHP-UHFFFAOYSA-N 0 3 234.343 2.771 20 0 BFADHN Cc1scc(CN2CCSCC2)c1C ZINC000637890874 351763095 /nfs/dbraw/zinc/76/30/95/351763095.db2.gz YABJIFCCILLAFS-UHFFFAOYSA-N 0 3 227.398 2.914 20 0 BFADHN c1cnc2cccc(CN3CCSCC3)c2c1 ZINC000637890879 351763530 /nfs/dbraw/zinc/76/35/30/351763530.db2.gz YBPZOUHPOQEXEB-UHFFFAOYSA-N 0 3 244.363 2.784 20 0 BFADHN C[C@@]1(CN2CCCOCC2)C[C@H]1c1ccccc1 ZINC000637950435 351824998 /nfs/dbraw/zinc/82/49/98/351824998.db2.gz KOQXJRGXQCIKAE-HOTGVXAUSA-N 0 3 245.366 2.903 20 0 BFADHN CC[C@@](C)(CN(C)Cc1cnc(C)s1)OC ZINC000637950137 351826785 /nfs/dbraw/zinc/82/67/85/351826785.db2.gz BJWKBTLOIJCFFS-LBPRGKRZSA-N 0 3 242.388 2.698 20 0 BFADHN Cc1cc(CN(C)CC(C)(C)C)sn1 ZINC000637960171 351833936 /nfs/dbraw/zinc/83/39/36/351833936.db2.gz LUGQQHYATKJROU-UHFFFAOYSA-N 0 3 212.362 2.929 20 0 BFADHN CC(C)CC[C@H](O)CN[C@@H](C)c1cccnc1 ZINC000280123789 351834416 /nfs/dbraw/zinc/83/44/16/351834416.db2.gz ACNBRMSUUPFAJL-JSGCOSHPSA-N 0 3 236.359 2.529 20 0 BFADHN CC[C@H](C)CN(C)Cc1c2c(nn1C)CCCC2 ZINC000637941034 351815730 /nfs/dbraw/zinc/81/57/30/351815730.db2.gz MMZYVBKTILRUHN-LBPRGKRZSA-N 0 3 249.402 2.777 20 0 BFADHN CC[C@@H](C)CN(C)Cc1c2c(nn1C)CCCC2 ZINC000637941033 351815762 /nfs/dbraw/zinc/81/57/62/351815762.db2.gz MMZYVBKTILRUHN-GFCCVEGCSA-N 0 3 249.402 2.777 20 0 BFADHN C[C@@H]1CCCN(Cc2ccns2)CC1 ZINC000637978968 351854423 /nfs/dbraw/zinc/85/44/23/351854423.db2.gz QQSLOFOKQCJHBJ-SNVBAGLBSA-N 0 3 210.346 2.765 20 0 BFADHN C[C@@H]1CCCN([C@@H](c2nccn2C)C2CC2)CC1 ZINC000637977048 351854995 /nfs/dbraw/zinc/85/49/95/351854995.db2.gz HQSYNYCTXBLDDG-TZMCWYRMSA-N 0 3 247.386 2.993 20 0 BFADHN CC[C@@H]1CN(CC2CCSCC2)C[C@H](C)O1 ZINC000637972200 351847034 /nfs/dbraw/zinc/84/70/34/351847034.db2.gz MOGVWDNKPDZVMX-WCQYABFASA-N 0 3 243.416 2.629 20 0 BFADHN CC[C@H]1CN(CC2CCSCC2)C[C@H](C)O1 ZINC000637972197 351847180 /nfs/dbraw/zinc/84/71/80/351847180.db2.gz MOGVWDNKPDZVMX-AAEUAGOBSA-N 0 3 243.416 2.629 20 0 BFADHN c1cc(CN2CCC[C@@H]3CCC[C@@H]32)sn1 ZINC000637973407 351848685 /nfs/dbraw/zinc/84/86/85/351848685.db2.gz WGHBQOXYPKHMDM-JQWIXIFHSA-N 0 3 222.357 2.908 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@@H]2CCCOCC2)c1 ZINC000285930487 187519276 /nfs/dbraw/zinc/51/92/76/187519276.db2.gz CWTXAPZSWKXXFL-CHWSQXEVSA-N 0 3 234.343 2.610 20 0 BFADHN CCN1CCC(N2CCc3cc(F)ccc32)CC1 ZINC000282529598 351935170 /nfs/dbraw/zinc/93/51/70/351935170.db2.gz QKBFWUZCNBYAAI-UHFFFAOYSA-N 0 3 248.345 2.673 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CCC(C)(C)C1 ZINC000638070108 351942285 /nfs/dbraw/zinc/94/22/85/351942285.db2.gz WXUQUZLWAFRUDD-LBPRGKRZSA-N 0 3 233.359 2.603 20 0 BFADHN CCN(Cc1ccnn1CC1CCC1)CC1CC1 ZINC000638076142 351948734 /nfs/dbraw/zinc/94/87/34/351948734.db2.gz OLSKUGIIEYWELC-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CCCc1csc(C2(N)CCCC2)n1 ZINC000050192930 187531830 /nfs/dbraw/zinc/53/18/30/187531830.db2.gz DMJHYCXPHHEAES-UHFFFAOYSA-N 0 3 210.346 2.824 20 0 BFADHN CCN(Cc1ccoc1)C[C@@](C)(CC)OC ZINC000638014024 351890787 /nfs/dbraw/zinc/89/07/87/351890787.db2.gz BFWDVIJERCEWDJ-CYBMUJFWSA-N 0 3 225.332 2.917 20 0 BFADHN Cn1ccnc1[C@@H](C1CC1)N1CCC(C)(C)CC1 ZINC000638020295 351898877 /nfs/dbraw/zinc/89/88/77/351898877.db2.gz GXDRMPWMAKPPNC-CYBMUJFWSA-N 0 3 247.386 2.993 20 0 BFADHN COc1cccc(C)c1CN1CCCO[C@H](C)C1 ZINC000638023893 351902894 /nfs/dbraw/zinc/90/28/94/351902894.db2.gz ORJNUCPCPDEWKT-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN Cn1ccnc1[C@H](C1CC1)N1CCC[C@@H]1C1CC1 ZINC000638028144 351907367 /nfs/dbraw/zinc/90/73/67/351907367.db2.gz GVTPMVNTTPGOCD-KGLIPLIRSA-N 0 3 245.370 2.746 20 0 BFADHN Cc1scc(CN(C)[C@H]2CCOC2)c1C ZINC000638028691 351908252 /nfs/dbraw/zinc/90/82/52/351908252.db2.gz HOTORHWLSKZART-LBPRGKRZSA-N 0 3 225.357 2.586 20 0 BFADHN Fc1cccc2c1CN(CCC[C@@H]1CCO1)CC2 ZINC000638088928 351966709 /nfs/dbraw/zinc/96/67/09/351966709.db2.gz ROBXXUWMLMGDPV-CYBMUJFWSA-N 0 3 249.329 2.753 20 0 BFADHN C[C@@H]1CCN(Cc2ccns2)C[C@@H]1C ZINC000638092407 351971030 /nfs/dbraw/zinc/97/10/30/351971030.db2.gz IZRHXBUNUQBMPD-ZJUUUORDSA-N 0 3 210.346 2.621 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cnc(OC)s1 ZINC000638093556 351972564 /nfs/dbraw/zinc/97/25/64/351972564.db2.gz KTIIQONUGWPZGE-UWVGGRQHSA-N 0 3 240.372 2.772 20 0 BFADHN C[C@@H]1CC[C@H](C)N([C@@H](c2nccn2C)C2CC2)C1 ZINC000638095440 351975697 /nfs/dbraw/zinc/97/56/97/351975697.db2.gz SUKAAZMCEGJKIC-MBNYWOFBSA-N 0 3 247.386 2.992 20 0 BFADHN CCN(Cc1cncc(OC)c1C)C1CCC1 ZINC000638098828 351979019 /nfs/dbraw/zinc/97/90/19/351979019.db2.gz DJPXRJSJHLWCNL-UHFFFAOYSA-N 0 3 234.343 2.773 20 0 BFADHN CCN(Cc1cc(C)ns1)C1CCC1 ZINC000638098987 351979136 /nfs/dbraw/zinc/97/91/36/351979136.db2.gz FWXKUTQBMKFPOU-UHFFFAOYSA-N 0 3 210.346 2.826 20 0 BFADHN Cc1scc(CN2CCCOC[C@@H]2C)c1C ZINC000638101076 351981643 /nfs/dbraw/zinc/98/16/43/351981643.db2.gz NARLIZCFUJACBH-JTQLQIEISA-N 0 3 239.384 2.976 20 0 BFADHN C[C@@H]1C[C@@H](C)N([C@H](c2nccn2C)C2CC2)C1 ZINC000638108838 351985826 /nfs/dbraw/zinc/98/58/26/351985826.db2.gz BERIDZFLEPKNLJ-WZRBSPASSA-N 0 3 233.359 2.602 20 0 BFADHN CC[C@H](C)[C@H](CN(C)Cc1ccc[nH]1)OC ZINC000638149611 352013649 /nfs/dbraw/zinc/01/36/49/352013649.db2.gz BDKWOBBVRMZQQA-AAEUAGOBSA-N 0 3 224.348 2.508 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1ccnn1CC1CCC1 ZINC000638163874 352028040 /nfs/dbraw/zinc/02/80/40/352028040.db2.gz UGLVRYHATWLXMY-QWHCGFSZSA-N 0 3 247.386 2.914 20 0 BFADHN COc1cncc(CN2CC[C@@H](C)[C@@H]2C)c1C ZINC000638164123 352032366 /nfs/dbraw/zinc/03/23/66/352032366.db2.gz VYLYDNQKGOVMLA-PWSUYJOCSA-N 0 3 234.343 2.629 20 0 BFADHN COc1cncc(CN2[C@H](C)CC[C@H]2C)c1C ZINC000638170186 352034187 /nfs/dbraw/zinc/03/41/87/352034187.db2.gz DRNNMQMIWALWAT-GHMZBOCLSA-N 0 3 234.343 2.771 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1c2c(nn1C)CCCC2 ZINC000638173076 352035966 /nfs/dbraw/zinc/03/59/66/352035966.db2.gz SAJDTMPLVUDPOQ-RYUDHWBXSA-N 0 3 247.386 2.672 20 0 BFADHN Cc1cc(CN2CC[C@@H](C(C)C)C2)sn1 ZINC000638170859 352037858 /nfs/dbraw/zinc/03/78/58/352037858.db2.gz QFOJMHPAZGSQER-LLVKDONJSA-N 0 3 224.373 2.929 20 0 BFADHN Cc1cc(CN2[C@H](C)CC[C@H]2C)sn1 ZINC000638171998 352039128 /nfs/dbraw/zinc/03/91/28/352039128.db2.gz MZLJQZCIYJAVFO-NXEZZACHSA-N 0 3 210.346 2.824 20 0 BFADHN Clc1ccc(CNCCC[C@H]2CCO2)o1 ZINC000638282541 352120331 /nfs/dbraw/zinc/12/03/31/352120331.db2.gz OWUGPZRJWQFQSA-VIFPVBQESA-N 0 3 229.707 2.592 20 0 BFADHN CC(C)CCNCc1cc(C2CC2)no1 ZINC000638288849 352126641 /nfs/dbraw/zinc/12/66/41/352126641.db2.gz BZMKQAZRLXQZEE-UHFFFAOYSA-N 0 3 208.305 2.688 20 0 BFADHN c1csc(CCNCc2cc(C3CC3)no2)c1 ZINC000638298050 352130108 /nfs/dbraw/zinc/13/01/08/352130108.db2.gz NHDYQSPDHHRLQC-UHFFFAOYSA-N 0 3 248.351 2.946 20 0 BFADHN CCOc1ccccc1[C@H](C)N[C@H](CC)CO ZINC000020195487 352137292 /nfs/dbraw/zinc/13/72/92/352137292.db2.gz CXGLHZVBADMGBW-NWDGAFQWSA-N 0 3 237.343 2.507 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@@H](C)C2)c1 ZINC000092471197 352131930 /nfs/dbraw/zinc/13/19/30/352131930.db2.gz ISCCXDKGUVXATN-LLVKDONJSA-N 0 3 207.292 2.976 20 0 BFADHN Clc1ccccc1CNCCC[C@H]1CCO1 ZINC000638300681 352132056 /nfs/dbraw/zinc/13/20/56/352132056.db2.gz JOZNIMOLFHRKJD-LBPRGKRZSA-N 0 3 239.746 2.999 20 0 BFADHN CC[C@H](NCCC1CC1)c1nccs1 ZINC000083926594 352083470 /nfs/dbraw/zinc/08/34/70/352083470.db2.gz FEBSXPOBMHEEGW-JTQLQIEISA-N 0 3 210.346 2.984 20 0 BFADHN CCN1CCN(CCc2ccc(C)cc2)[C@H](C)C1 ZINC000286480723 352091403 /nfs/dbraw/zinc/09/14/03/352091403.db2.gz LGSXPQPYGAEULX-OAHLLOKOSA-N 0 3 246.398 2.564 20 0 BFADHN CCN1CCC[C@@H](Oc2ccccc2OC)C1 ZINC000286586355 352094567 /nfs/dbraw/zinc/09/45/67/352094567.db2.gz VNUBDIWHDCJQPD-GFCCVEGCSA-N 0 3 235.327 2.558 20 0 BFADHN CC(C)CC[C@H](NCCN(C)C)c1ccoc1 ZINC000286856435 352106174 /nfs/dbraw/zinc/10/61/74/352106174.db2.gz AUEKPWISTUKIHK-AWEZNQCLSA-N 0 3 238.375 2.908 20 0 BFADHN Cc1ncc([C@H](C)N2CC[C@@H]2C(C)C)c(C)n1 ZINC000638274701 352112500 /nfs/dbraw/zinc/11/25/00/352112500.db2.gz IQUUEBLGERJGEC-SMDDNHRTSA-N 0 3 233.359 2.885 20 0 BFADHN CCOCCCNCc1c(C)cccc1OC ZINC000638314839 352140625 /nfs/dbraw/zinc/14/06/25/352140625.db2.gz CSHKBWKAPJEQST-UHFFFAOYSA-N 0 3 237.343 2.520 20 0 BFADHN CCN[C@H](C)C(=O)NCC[C@H](C)CC(C)(C)C ZINC000638322046 352144641 /nfs/dbraw/zinc/14/46/41/352144641.db2.gz TYBXORJJZVVUER-NWDGAFQWSA-N 0 3 242.407 2.563 20 0 BFADHN Cc1nc(CNC[C@@H]2C[C@H]3C[C@H]3C2)cs1 ZINC000638328696 352146800 /nfs/dbraw/zinc/14/68/00/352146800.db2.gz LVPQQLAJIWAMGM-JGPRNRPPSA-N 0 3 222.357 2.587 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccccn1)C1CCCC1 ZINC000638427526 352235481 /nfs/dbraw/zinc/23/54/81/352235481.db2.gz WLLZPNGETMCOAO-WFASDCNBSA-N 0 3 248.370 2.937 20 0 BFADHN CC[C@H](N[C@H](c1nccn1C)C1CC1)C(C)C ZINC000638428189 352236509 /nfs/dbraw/zinc/23/65/09/352236509.db2.gz SMCLYOWMBVQMEZ-STQMWFEESA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@H](N[C@@H](c1nccn1C)C1CC1)C(C)C ZINC000638428188 352236541 /nfs/dbraw/zinc/23/65/41/352236541.db2.gz SMCLYOWMBVQMEZ-QWHCGFSZSA-N 0 3 235.375 2.895 20 0 BFADHN CCCC(CCC)C(=O)NC(C)(C)CN(C)C ZINC000411105097 191157975 /nfs/dbraw/zinc/15/79/75/191157975.db2.gz ZPASLVUYFGEOCP-UHFFFAOYSA-N 0 3 242.407 2.659 20 0 BFADHN CCOC[C@@H]1CCN(Cc2ccsc2)C1 ZINC000093839398 352214941 /nfs/dbraw/zinc/21/49/41/352214941.db2.gz SNDTWXMBFANOJH-LLVKDONJSA-N 0 3 225.357 2.607 20 0 BFADHN CCO[C@H]1C[C@H]1NCc1ccc(OC(C)C)cc1 ZINC000521181167 363122115 /nfs/dbraw/zinc/12/21/15/363122115.db2.gz HBWOJAFISPWKII-CABCVRRESA-N 0 3 249.354 2.741 20 0 BFADHN CCN(Cc1n[nH]cc1C)[C@@H](C)C(C)C ZINC000290420629 352223584 /nfs/dbraw/zinc/22/35/84/352223584.db2.gz MFTLBVPLRYPQBN-NSHDSACASA-N 0 3 209.337 2.585 20 0 BFADHN CC(C)N1CCN(Cc2ccccc2)[C@@H](C)C1 ZINC000411117272 191161065 /nfs/dbraw/zinc/16/10/65/191161065.db2.gz DSOMPQUBVZOODF-AWEZNQCLSA-N 0 3 232.371 2.601 20 0 BFADHN C[C@@H](CNCc1cc(C2CC2)no1)C1CC1 ZINC000638419592 352229200 /nfs/dbraw/zinc/22/92/00/352229200.db2.gz IZMOUTFLNGXEFF-VIFPVBQESA-N 0 3 220.316 2.688 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CC1(F)F)c1ccccn1 ZINC000638424660 352232024 /nfs/dbraw/zinc/23/20/24/352232024.db2.gz DPOFKNWXYRGYML-LPEHRKFASA-N 0 3 226.270 2.776 20 0 BFADHN COc1cncc(CN[C@]2(C)CC2(C)C)c1C ZINC000630074060 363157487 /nfs/dbraw/zinc/15/74/87/363157487.db2.gz LCAQWEQKBCXNSV-CQSZACIVSA-N 0 3 234.343 2.677 20 0 BFADHN Cc1ccc(CN[C@H]2C[C@@H](CO)C2)c(Cl)c1 ZINC000630073511 363157689 /nfs/dbraw/zinc/15/76/89/363157689.db2.gz GISSAJGRMIPUKC-KLPPZKSPSA-N 0 3 239.746 2.509 20 0 BFADHN CCCN(CCC)[C@@H](C)C(=O)NCCC(C)C ZINC000411164952 191166563 /nfs/dbraw/zinc/16/65/63/191166563.db2.gz ITMVAULQYJZMDF-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN CN(C[C@@H]1CCCO1)[C@H]1C[C@H]1c1cccc(F)c1 ZINC000411173401 191167210 /nfs/dbraw/zinc/16/72/10/191167210.db2.gz PVLDQZXGGHJDJP-KKUMJFAQSA-N 0 3 249.329 2.792 20 0 BFADHN C[C@@H]1C[C@H](NCc2ccc(Cl)o2)CCO1 ZINC000219925377 363142238 /nfs/dbraw/zinc/14/22/38/363142238.db2.gz IKEHCTIGAHRYNW-RKDXNWHRSA-N 0 3 229.707 2.590 20 0 BFADHN CC1(C2(NCc3cccc(F)c3N)CC2)CC1 ZINC000398221725 363143058 /nfs/dbraw/zinc/14/30/58/363143058.db2.gz PHPYALCKQGXGRO-UHFFFAOYSA-N 0 3 234.318 2.830 20 0 BFADHN CN(C[C@H]1CCCO1)[C@@H]1C[C@H]1c1cccc(F)c1 ZINC000411173402 191167876 /nfs/dbraw/zinc/16/78/76/191167876.db2.gz PVLDQZXGGHJDJP-QLFBSQMISA-N 0 3 249.329 2.792 20 0 BFADHN CC[C@H](C)c1cccc(NC(=O)[C@H](C)NC)c1 ZINC000638466645 352277686 /nfs/dbraw/zinc/27/76/86/352277686.db2.gz HLAVZTZUDCOBMN-QWRGUYRKSA-N 0 3 234.343 2.747 20 0 BFADHN CC[C@@H](C)c1cccc(NC(=O)[C@@H](N)CC)c1 ZINC000638469684 352279116 /nfs/dbraw/zinc/27/91/16/352279116.db2.gz ZDYIWIFDIOZKMK-MFKMUULPSA-N 0 3 234.343 2.876 20 0 BFADHN CC[C@@H](C)c1cccc(NC(=O)[C@H]2CCN2)c1 ZINC000638469696 352279252 /nfs/dbraw/zinc/27/92/52/352279252.db2.gz ZSUDWGGODRWDNN-ZWNOBZJWSA-N 0 3 232.327 2.501 20 0 BFADHN CCN(Cc1ccc(Cl)s1)[C@H](C)CO ZINC000292112343 352302920 /nfs/dbraw/zinc/30/29/20/352302920.db2.gz HGGFCDAVHDYYMU-MRVPVSSYSA-N 0 3 233.764 2.604 20 0 BFADHN CCCN(CC(C)C)[C@H](C)c1nnc(C)o1 ZINC000411202671 191171155 /nfs/dbraw/zinc/17/11/55/191171155.db2.gz OTGMYHRSSKSKPW-SNVBAGLBSA-N 0 3 225.336 2.807 20 0 BFADHN CO[C@@H]1CCCN([C@@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000411230305 191171174 /nfs/dbraw/zinc/17/11/74/191171174.db2.gz PHLOHQSOCMYZBJ-QLFBSQMISA-N 0 3 249.329 2.792 20 0 BFADHN Cc1nnc([C@H](C)N2CC[C@H](C)C[C@@H](C)C2)[nH]1 ZINC000411396146 191181574 /nfs/dbraw/zinc/18/15/74/191181574.db2.gz JCUPJYXCQRHNHS-AXFHLTTASA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@H](C)[N@@H+]2CC[C@H](C)C[C@@H](C)C2)[n-]1 ZINC000411396146 191181576 /nfs/dbraw/zinc/18/15/76/191181576.db2.gz JCUPJYXCQRHNHS-AXFHLTTASA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nnc([C@H](C)[N@H+]2CC[C@H](C)C[C@@H](C)C2)[n-]1 ZINC000411396146 191181579 /nfs/dbraw/zinc/18/15/79/191181579.db2.gz JCUPJYXCQRHNHS-AXFHLTTASA-N 0 3 236.363 2.542 20 0 BFADHN Cc1nc([C@H](C)N2CC[C@H](CC(C)C)C2)n[nH]1 ZINC000411297900 191176381 /nfs/dbraw/zinc/17/63/81/191176381.db2.gz ILECWIAVJFFHEO-CMPLNLGQSA-N 0 3 236.363 2.542 20 0 BFADHN CCC1(CC)CCN([C@H](C)c2n[nH]c(C)n2)C1 ZINC000411325098 191179339 /nfs/dbraw/zinc/17/93/39/191179339.db2.gz GMTHBYKLJUHWHX-SNVBAGLBSA-N 0 3 236.363 2.686 20 0 BFADHN C[C@@H]1CCCN(C/C=C\c2ccccc2)[C@H]1CO ZINC000411399207 191181272 /nfs/dbraw/zinc/18/12/72/191181272.db2.gz QPMFSENKFNHVGT-MWGCNAMGSA-N 0 3 245.366 2.793 20 0 BFADHN CCN(Cc1ccc(F)c(Cl)c1)[C@@H](C)CO ZINC000293394213 352349970 /nfs/dbraw/zinc/34/99/70/352349970.db2.gz LAGSCOKEKYDOBI-VIFPVBQESA-N 0 3 245.725 2.682 20 0 BFADHN CCN(Cc1ccc([C@H]2C[C@H]2C)o1)[C@@H](C)CO ZINC000293720692 352360518 /nfs/dbraw/zinc/36/05/18/352360518.db2.gz TXINWDKSSJQMNE-MDZLAQPJSA-N 0 3 237.343 2.606 20 0 BFADHN Fc1ccc(F)c(CNCCC[C@H]2CCO2)c1 ZINC000638542416 352372967 /nfs/dbraw/zinc/37/29/67/352372967.db2.gz WBNOWASQCRJAHU-LBPRGKRZSA-N 0 3 241.281 2.624 20 0 BFADHN CCN(Cc1cccc(C(C)=O)c1)C[C@@H](C)OC ZINC000295171709 352400586 /nfs/dbraw/zinc/40/05/86/352400586.db2.gz GOKWSWBIDDGOGS-GFCCVEGCSA-N 0 3 249.354 2.746 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCc1cncs1 ZINC000411430377 191185216 /nfs/dbraw/zinc/18/52/16/191185216.db2.gz XYUBLQOCFOVGIV-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@H](O)C1)c1ccc(Cl)cc1 ZINC000295984407 352430711 /nfs/dbraw/zinc/43/07/11/352430711.db2.gz PAFNZWMQYMPQGC-FRRDWIJNSA-N 0 3 239.746 2.904 20 0 BFADHN Oc1ccc(CCCNCc2ccns2)cc1 ZINC000638610431 352448632 /nfs/dbraw/zinc/44/86/32/352448632.db2.gz PYWFGSFJNOLQSZ-UHFFFAOYSA-N 0 3 248.351 2.571 20 0 BFADHN O[C@@H]1CCCN([C@@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000411766123 191208923 /nfs/dbraw/zinc/20/89/23/191208923.db2.gz BEKKOXVFQULPPU-QLFBSQMISA-N 0 3 249.329 2.528 20 0 BFADHN CCCC[C@H](C)N(C)Cc1cc(C)ncn1 ZINC000298218908 352496569 /nfs/dbraw/zinc/49/65/69/352496569.db2.gz FQAOKTFASXGKLE-LBPRGKRZSA-N 0 3 221.348 2.796 20 0 BFADHN CCN(Cc1cc(C)ncn1)CC(C)(C)C ZINC000298309381 352499428 /nfs/dbraw/zinc/49/94/28/352499428.db2.gz QCPBYJCHHVOYNG-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN CCCC(O)(CCC)CNCc1ccns1 ZINC000638661569 352504581 /nfs/dbraw/zinc/50/45/81/352504581.db2.gz UPQGGCPKARSUDY-UHFFFAOYSA-N 0 3 242.388 2.564 20 0 BFADHN CC[C@H](NCCC[C@H]1CCO1)c1nccs1 ZINC000638664213 352506070 /nfs/dbraw/zinc/50/60/70/352506070.db2.gz SOIQVBZKDJFCQA-QWRGUYRKSA-N 0 3 240.372 2.753 20 0 BFADHN CC[C@@H](NCCC[C@@H]1CCO1)c1nccs1 ZINC000638664211 352506346 /nfs/dbraw/zinc/50/63/46/352506346.db2.gz SOIQVBZKDJFCQA-GHMZBOCLSA-N 0 3 240.372 2.753 20 0 BFADHN CC[C@@H]1CCCN(Cc2ccccn2)C1 ZINC000298475251 352506384 /nfs/dbraw/zinc/50/63/84/352506384.db2.gz RWFHQUDTIHUHBN-GFCCVEGCSA-N 0 3 204.317 2.704 20 0 BFADHN CCC[C@@H](C)N1CCO[C@@H](C2CCC2)C1 ZINC000411844473 191216925 /nfs/dbraw/zinc/21/69/25/191216925.db2.gz JOPPAEQBXNGFLQ-DGCLKSJQSA-N 0 3 211.349 2.676 20 0 BFADHN CCCC[C@H](CC)CNC(=O)[C@@](C)(N)CCC ZINC000040806511 352604870 /nfs/dbraw/zinc/60/48/70/352604870.db2.gz KBHAFVSABIVQLM-JSGCOSHPSA-N 0 3 242.407 2.837 20 0 BFADHN CCCC[C@H](CC)CNC(=O)[C@@H](N)[C@@H](C)CC ZINC000040806337 352605047 /nfs/dbraw/zinc/60/50/47/352605047.db2.gz IAVMWZIXGBOLLO-AVGNSLFASA-N 0 3 242.407 2.692 20 0 BFADHN Cc1cnc([C@H](C)NCCC[C@@H]2CCO2)s1 ZINC000638725146 352582787 /nfs/dbraw/zinc/58/27/87/352582787.db2.gz FWFLTGLFGJLRAS-WDEREUQCSA-N 0 3 240.372 2.671 20 0 BFADHN C1=C[C@@H](N2CCO[C@@H](C3CCC3)C2)CCC1 ZINC000411872251 191225673 /nfs/dbraw/zinc/22/56/73/191225673.db2.gz JJABOLAIHYDBJH-ZIAGYGMSSA-N 0 3 221.344 2.596 20 0 BFADHN C1=C[C@@H](N2CCO[C@H](C3CCC3)C2)CCC1 ZINC000411872249 191226145 /nfs/dbraw/zinc/22/61/45/191226145.db2.gz JJABOLAIHYDBJH-KGLIPLIRSA-N 0 3 221.344 2.596 20 0 BFADHN CC[C@@H](F)CN[C@@H]1Cc2ccccc2[C@@H]1OC ZINC000411890043 191223773 /nfs/dbraw/zinc/22/37/73/191223773.db2.gz DJLSOEUDEBCAET-BNOWGMLFSA-N 0 3 237.318 2.637 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1ncc(C)n1C ZINC000341085446 352687434 /nfs/dbraw/zinc/68/74/34/352687434.db2.gz BEWQPFBZDRKFPT-OLZOCXBDSA-N 0 3 235.375 2.787 20 0 BFADHN CO[C@@](C)([C@H](C)NCc1cccc(C)n1)C1CC1 ZINC000411956509 191233382 /nfs/dbraw/zinc/23/33/82/191233382.db2.gz VNSJBJZFIFGPRG-WFASDCNBSA-N 0 3 248.370 2.683 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1cccc(C)n1)C1CC1 ZINC000411956499 191233673 /nfs/dbraw/zinc/23/36/73/191233673.db2.gz VNSJBJZFIFGPRG-DOMZBBRYSA-N 0 3 248.370 2.683 20 0 BFADHN CO[C@@](C)([C@@H](C)NCc1cncc(C)c1)C1CC1 ZINC000411956701 191233938 /nfs/dbraw/zinc/23/39/38/191233938.db2.gz AFZQOMOSVAUQKC-DOMZBBRYSA-N 0 3 248.370 2.683 20 0 BFADHN CO[C@](C)([C@@H](C)NCc1cnccc1C)C1CC1 ZINC000411970645 191236328 /nfs/dbraw/zinc/23/63/28/191236328.db2.gz NNNXSYFAQCXQRZ-IUODEOHRSA-N 0 3 248.370 2.683 20 0 BFADHN CCc1ccc(CN[C@@H](C)C2(OC)CCC2)nc1 ZINC000412021896 191238336 /nfs/dbraw/zinc/23/83/36/191238336.db2.gz OAMGLNYFNUKJLW-LBPRGKRZSA-N 0 3 248.370 2.691 20 0 BFADHN CC(C)CN(C)Cc1nc2cccnc2s1 ZINC000341595258 352713757 /nfs/dbraw/zinc/71/37/57/352713757.db2.gz CWUKAHYOQLDDBI-UHFFFAOYSA-N 0 3 235.356 2.779 20 0 BFADHN CCN(Cc1occc1C)C[C@@H](O)C(C)(C)C ZINC000412029397 191241654 /nfs/dbraw/zinc/24/16/54/191241654.db2.gz USRBBUZEEHRGGT-CYBMUJFWSA-N 0 3 239.359 2.817 20 0 BFADHN c1c(CNC[C@H]2CCCS2)onc1C1CC1 ZINC000638740890 352675248 /nfs/dbraw/zinc/67/52/48/352675248.db2.gz APNNZELLAZLBLA-LLVKDONJSA-N 0 3 238.356 2.537 20 0 BFADHN COc1cc(CN2CC(C)(C)C[C@@H]2C)ccn1 ZINC000412040094 191249047 /nfs/dbraw/zinc/24/90/47/191249047.db2.gz KCGLJSXJUJHCKR-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN Cc1nc(CN2CC[C@H](C3CC3)C2)c(C)s1 ZINC000334221466 352824225 /nfs/dbraw/zinc/82/42/25/352824225.db2.gz TZZTYQOFYLMITQ-LBPRGKRZSA-N 0 3 236.384 2.992 20 0 BFADHN Cc1n[nH]cc1CN1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000334198542 352790645 /nfs/dbraw/zinc/79/06/45/352790645.db2.gz MBAIJAUZMUVGME-RISCZKNCSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1n[nH]cc1CN1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000334198538 352790897 /nfs/dbraw/zinc/79/08/97/352790897.db2.gz MBAIJAUZMUVGME-BXUZGUMPSA-N 0 3 233.359 2.730 20 0 BFADHN Cc1cnc([C@H](C)N2CCC[C@H](C)CC2)cn1 ZINC000334211137 352808245 /nfs/dbraw/zinc/80/82/45/352808245.db2.gz PAAUUHDCYMQRLW-AAEUAGOBSA-N 0 3 233.359 2.968 20 0 BFADHN CCc1nnc(CN2CCCC23CCCCC3)o1 ZINC000334231095 352849053 /nfs/dbraw/zinc/84/90/53/352849053.db2.gz TWACHJQNAJFDAF-UHFFFAOYSA-N 0 3 249.358 2.931 20 0 BFADHN OC[C@]1(F)CCCN([C@@H]2/C=C/CCCCC2)C1 ZINC000334237780 352856321 /nfs/dbraw/zinc/85/63/21/352856321.db2.gz MBTAZFBMRTUIDZ-KMEUXCNSSA-N 0 3 241.350 2.672 20 0 BFADHN Cc1ccn2c(CN3CC[C@H]3C(C)C)cnc2c1 ZINC000342859089 352878218 /nfs/dbraw/zinc/87/82/18/352878218.db2.gz DTCCJFWIOCIACQ-AWEZNQCLSA-N 0 3 243.354 2.873 20 0 BFADHN CCc1ccc(CN2CC[C@]3(C2)CCCOC3)o1 ZINC000342843413 352872153 /nfs/dbraw/zinc/87/21/53/352872153.db2.gz RDAWQTAFHGRQHM-HNNXBMFYSA-N 0 3 249.354 2.845 20 0 BFADHN Cn1cnc2cc(CN3CCC34CCC4)ccc21 ZINC000334228840 352846232 /nfs/dbraw/zinc/84/62/32/352846232.db2.gz PAEJTFYUJNEPAT-UHFFFAOYSA-N 0 3 241.338 2.702 20 0 BFADHN c1cc(CN2CCCC23CCCCC3)n[nH]1 ZINC000334279763 352914511 /nfs/dbraw/zinc/91/45/11/352914511.db2.gz VQCRJFKUJOFFQO-UHFFFAOYSA-N 0 3 219.332 2.708 20 0 BFADHN COc1ccc(CN2CC[C@@H]2C(C)C)cc1O ZINC000342859868 352878720 /nfs/dbraw/zinc/87/87/20/352878720.db2.gz IBUHUQIZDQBLTA-GFCCVEGCSA-N 0 3 235.327 2.631 20 0 BFADHN COc1ccc(CN2CC[C@H]2C(C)C)cc1O ZINC000342859869 352878828 /nfs/dbraw/zinc/87/88/28/352878828.db2.gz IBUHUQIZDQBLTA-LBPRGKRZSA-N 0 3 235.327 2.631 20 0 BFADHN CC[C@H](C)CN(C)Cc1ccnc(OC)c1 ZINC000342863300 352880211 /nfs/dbraw/zinc/88/02/11/352880211.db2.gz HOPPTLBZAHOVJK-NSHDSACASA-N 0 3 222.332 2.568 20 0 BFADHN CCOc1cccc(CN2CC[C@@H]2C(C)C)n1 ZINC000342863024 352880406 /nfs/dbraw/zinc/88/04/06/352880406.db2.gz VMZMRZBBXZWXLV-CYBMUJFWSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc(CN2CC[C@H]2C(C)C)cc1OC ZINC000342863251 352880616 /nfs/dbraw/zinc/88/06/16/352880616.db2.gz WXUSBCMYXKKRSC-ZDUSSCGKSA-N 0 3 249.354 2.934 20 0 BFADHN COc1cc(CN(C)CC(C)(C)C)ccn1 ZINC000342866325 352882861 /nfs/dbraw/zinc/88/28/61/352882861.db2.gz ZLCLWVBGGOSEOP-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN Cc1cnc(CN2C[C@H](C)CCC[C@@H]2C)cn1 ZINC000334262851 352896550 /nfs/dbraw/zinc/89/65/50/352896550.db2.gz JEFYQDYBMHUDNK-YPMHNXCESA-N 0 3 233.359 2.796 20 0 BFADHN CCC[C@H](CN[C@@H](c1cccnc1)C1CC1)OC ZINC000638813095 352993163 /nfs/dbraw/zinc/99/31/63/352993163.db2.gz CFCKPIZRKZGYHJ-HUUCEWRRSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000334315380 352946959 /nfs/dbraw/zinc/94/69/59/352946959.db2.gz QROYVUQOIZCNCM-DOMZBBRYSA-N 0 3 247.386 2.741 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCC[C@@H]2CCC[C@H]21 ZINC000334332481 352969709 /nfs/dbraw/zinc/96/97/09/352969709.db2.gz QTXCQJZNBKMYPM-GXTWGEPZSA-N 0 3 233.359 2.791 20 0 BFADHN CN(Cc1ccc(Cl)c(F)c1)C(C)(C)CO ZINC000092508289 538107112 /nfs/dbraw/zinc/10/71/12/538107112.db2.gz DWECFZTXFYPKBG-UHFFFAOYSA-N 0 3 245.725 2.682 20 0 BFADHN COc1ccc(CN2CC3(CCC3)C[C@H]2C)nc1 ZINC000334371779 353030123 /nfs/dbraw/zinc/03/01/23/353030123.db2.gz QHFOZSIEAVIDBR-GFCCVEGCSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cc(CNC(C)(C)C)c(Cl)cc1O ZINC000638851243 353030239 /nfs/dbraw/zinc/03/02/39/353030239.db2.gz YOKGLYGLQQOPQY-UHFFFAOYSA-N 0 3 243.734 2.942 20 0 BFADHN Cc1nc(C)c([C@H](C)N[C@H](C)C[C@@H](C)O)s1 ZINC000164505786 353065759 /nfs/dbraw/zinc/06/57/59/353065759.db2.gz QJCLHIXNYAKGIQ-HLTSFMKQSA-N 0 3 242.388 2.570 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCC[C@H]1CCO1 ZINC000638922306 353081203 /nfs/dbraw/zinc/08/12/03/353081203.db2.gz CYOOHZCXTVDVJJ-STQMWFEESA-N 0 3 234.343 2.644 20 0 BFADHN CC[C@@](C)(CN(C)[C@@H](C)c1ccccn1)OC ZINC000638923771 353084620 /nfs/dbraw/zinc/08/46/20/353084620.db2.gz ZVYRUNYEFLUGAS-JSGCOSHPSA-N 0 3 236.359 2.890 20 0 BFADHN CC1(C)CN(Cc2cccc3cc[nH]c32)CCO1 ZINC000334469101 353116929 /nfs/dbraw/zinc/11/69/29/353116929.db2.gz YWCZFHCVTLZBJZ-UHFFFAOYSA-N 0 3 244.338 2.779 20 0 BFADHN Cc1ccc(CCCNCc2nccs2)cn1 ZINC000354182861 188062064 /nfs/dbraw/zinc/06/20/64/188062064.db2.gz TYPNIAVSHUQZNK-UHFFFAOYSA-N 0 3 247.367 2.569 20 0 BFADHN CC(C)[C@@H]1N(Cc2cccn2C)CC1(C)C ZINC000353749234 188062157 /nfs/dbraw/zinc/06/21/57/188062157.db2.gz VEFMHHATMPRZBB-ZDUSSCGKSA-N 0 3 220.360 2.892 20 0 BFADHN CC1(C)Cc2occc2[C@@H](NCCN2CCC2)C1 ZINC000358352591 188067241 /nfs/dbraw/zinc/06/72/41/188067241.db2.gz MTZKMCVSDCOGEG-ZDUSSCGKSA-N 0 3 248.370 2.588 20 0 BFADHN Cc1cnc(CCN[C@@H](C)c2ccsc2)nc1 ZINC000358364164 188067607 /nfs/dbraw/zinc/06/76/07/188067607.db2.gz HJKGPPVMJHBVBV-NSHDSACASA-N 0 3 247.367 2.740 20 0 BFADHN CCCC[C@@H](N)C(=O)Nc1ccc2c(c1)CCC2 ZINC000037619187 358475732 /nfs/dbraw/zinc/47/57/32/358475732.db2.gz ISLNAPROMWKVFX-CQSZACIVSA-N 0 3 246.354 2.631 20 0 BFADHN CC(=O)CCN1CC[C@H](c2ccc(F)cc2)C1 ZINC000376518663 188077739 /nfs/dbraw/zinc/07/77/39/188077739.db2.gz IXBAMZMTLPCUIF-ZDUSSCGKSA-N 0 3 235.302 2.594 20 0 BFADHN CC(C)=CCNCc1sc(C)nc1C ZINC000191102339 188081356 /nfs/dbraw/zinc/08/13/56/188081356.db2.gz LDKHMSKZEVSTDY-UHFFFAOYSA-N 0 3 210.346 2.816 20 0 BFADHN Cc1csc(CN[C@@H](C)c2cnn(C)c2)c1 ZINC000344616089 188085168 /nfs/dbraw/zinc/08/51/68/188085168.db2.gz TVODPYDFVLJTBT-JTQLQIEISA-N 0 3 235.356 2.641 20 0 BFADHN CC(C)C[C@H](C)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000345350548 188085608 /nfs/dbraw/zinc/08/56/08/188085608.db2.gz HUKXMBXLWXUDEO-TUAOUCFPSA-N 0 3 221.348 2.913 20 0 BFADHN CC[C@H](C)N(C)C(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000412177774 191266232 /nfs/dbraw/zinc/26/62/32/191266232.db2.gz MDWBDXLWFCLSTA-WUHRBBMRSA-N 0 3 240.391 2.505 20 0 BFADHN c1cn2c(n1)[C@H](NCCC1=CCCCC1)CC2 ZINC000294296811 188096828 /nfs/dbraw/zinc/09/68/28/188096828.db2.gz ZMDVVOVEKYGTNO-CYBMUJFWSA-N 0 3 231.343 2.808 20 0 BFADHN CC(C)C[C@@H](N)C(=O)Nc1ccc(Cl)cc1 ZINC000019511595 188107965 /nfs/dbraw/zinc/10/79/65/188107965.db2.gz PAGBUSMMVYCCLW-LLVKDONJSA-N 0 3 240.734 2.652 20 0 BFADHN FC(F)(F)CCCNCc1cncs1 ZINC000132436522 180881036 /nfs/dbraw/zinc/88/10/36/180881036.db2.gz XATQOCSWVPCDSD-UHFFFAOYSA-N 0 3 224.251 2.575 20 0 BFADHN Cc1nccn1CCN[C@H](C)c1ccccc1F ZINC000049529827 188124479 /nfs/dbraw/zinc/12/44/79/188124479.db2.gz MFPAZSUGSZIRMM-LLVKDONJSA-N 0 3 247.317 2.681 20 0 BFADHN CC(=O)Nc1cccc([C@H](C)N[C@@H]2C[C@H]2C)c1 ZINC000052272687 188126337 /nfs/dbraw/zinc/12/63/37/188126337.db2.gz XFNQCDABKJQSLV-ISTVAULSSA-N 0 3 232.327 2.704 20 0 BFADHN CCOC(=O)C[C@@H](C)N(C)Cc1ccsc1 ZINC000043622762 188153144 /nfs/dbraw/zinc/15/31/44/188153144.db2.gz NXDACVWCYIXYNT-SNVBAGLBSA-N 0 3 241.356 2.522 20 0 BFADHN CC(C)[C@H](NCc1cncn1C)c1ccccc1 ZINC000112339512 188153646 /nfs/dbraw/zinc/15/36/46/188153646.db2.gz UNVUVEULBNCEER-HNNXBMFYSA-N 0 3 243.354 2.907 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC[C@@](C)(O)C2)o1 ZINC000129505946 188155864 /nfs/dbraw/zinc/15/58/64/188155864.db2.gz HNPMTBKZQRAQKH-UXIGCNINSA-N 0 3 249.354 2.750 20 0 BFADHN CC(C)N(C)Cc1cccc2c1OCCCO2 ZINC000158700805 188158172 /nfs/dbraw/zinc/15/81/72/188158172.db2.gz FXDZLUGFTVVJMT-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN Cc1ccc([C@H](C)NCCOCC2CC2)o1 ZINC000157062259 188158277 /nfs/dbraw/zinc/15/82/77/188158277.db2.gz AKJOXRRBMFEWNV-NSHDSACASA-N 0 3 223.316 2.665 20 0 BFADHN C[C@@H](CN[C@H](C)c1ccc(F)cn1)C1CC1 ZINC000161434735 538111603 /nfs/dbraw/zinc/11/16/03/538111603.db2.gz FHPVWFBQCZLRJK-VHSXEESVSA-N 0 3 222.307 2.917 20 0 BFADHN CCCCN[C@@H](COC)c1ccc(F)cc1 ZINC000336672871 188164077 /nfs/dbraw/zinc/16/40/77/188164077.db2.gz AVGFSOLKLOPUJL-ZDUSSCGKSA-N 0 3 225.307 2.903 20 0 BFADHN CC(C)C[C@H](C)NCc1ccc(F)cn1 ZINC000308923646 188165319 /nfs/dbraw/zinc/16/53/19/188165319.db2.gz CRZKTTLMSNFEKV-JTQLQIEISA-N 0 3 210.296 2.745 20 0 BFADHN CC(C)N(Cc1nccn1C)C1CCCC1 ZINC000108365478 188168689 /nfs/dbraw/zinc/16/86/89/188168689.db2.gz XMIFPSRGJIFNKF-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CC/C=C/CCN(C)CCc1nccs1 ZINC000184688280 188169204 /nfs/dbraw/zinc/16/92/04/188169204.db2.gz MINRRMWDOKETBN-SNAWJCMRSA-N 0 3 224.373 2.974 20 0 BFADHN C/C=C/C[C@H](CO)N[C@H](C)c1ccc(CC)o1 ZINC000186491683 188170946 /nfs/dbraw/zinc/17/09/46/188170946.db2.gz LYBVSVUUCLLYMM-WBIIUDBISA-N 0 3 237.343 2.820 20 0 BFADHN Fc1cccc([C@@H]2CCN(C[C@@H]3CCCO3)C2)c1 ZINC000264197400 188194288 /nfs/dbraw/zinc/19/42/88/188194288.db2.gz OSCRSDKWHIWYCX-HIFRSBDPSA-N 0 3 249.329 2.794 20 0 BFADHN COc1ccc(CN([C@H](C)C2CC2)C2CC2)nc1 ZINC000271914667 188198946 /nfs/dbraw/zinc/19/89/46/188198946.db2.gz JHNMFCPMSKWISI-LLVKDONJSA-N 0 3 246.354 2.853 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@@H](C)C2)nc1 ZINC000272087181 188199178 /nfs/dbraw/zinc/19/91/78/188199178.db2.gz IJIKDJDDMOEORZ-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN CC(C)N(Cc1cccs1)[C@H]1CCOC1 ZINC000271294950 188199546 /nfs/dbraw/zinc/19/95/46/188199546.db2.gz QYWKUBPQBUFELH-NSHDSACASA-N 0 3 225.357 2.747 20 0 BFADHN CC(C)[C@H](O)C1(CN[C@H](C)c2ccccn2)CC1 ZINC000271880919 188199652 /nfs/dbraw/zinc/19/96/52/188199652.db2.gz PIBJANWAGBDVQX-OCCSQVGLSA-N 0 3 248.370 2.529 20 0 BFADHN C=Cn1cc(CNCc2ccc(C)cc2C)cn1 ZINC000194230198 188174907 /nfs/dbraw/zinc/17/49/07/188174907.db2.gz MGYQZLGATHQLDV-UHFFFAOYSA-N 0 3 241.338 2.890 20 0 BFADHN C=Cn1cc(CN[C@@H](C)c2ccccc2)cn1 ZINC000194338893 188175635 /nfs/dbraw/zinc/17/56/35/188175635.db2.gz NMJIAZMWRFUEFS-LBPRGKRZSA-N 0 3 227.311 2.834 20 0 BFADHN C1=CCC(NCc2cnc(C3CC3)s2)C1 ZINC000134413984 538112311 /nfs/dbraw/zinc/11/23/11/538112311.db2.gz ZVPGBPBQWGEPEG-UHFFFAOYSA-N 0 3 220.341 2.829 20 0 BFADHN CC(C)=CCN1CC[C@H](c2cccnc2)C1 ZINC000335417667 188184771 /nfs/dbraw/zinc/18/47/71/188184771.db2.gz KWPBUKDIVJXHSL-AWEZNQCLSA-N 0 3 216.328 2.837 20 0 BFADHN CC(C)[C@H](N)C(=O)Nc1cccc2ccccc21 ZINC000019519477 188184964 /nfs/dbraw/zinc/18/49/64/188184964.db2.gz RXJYEWKXFATCQX-AWEZNQCLSA-N 0 3 242.322 2.762 20 0 BFADHN COc1ccc(CN2CC[C@H](CC3CC3)C2)cn1 ZINC000339682759 188192567 /nfs/dbraw/zinc/19/25/67/188192567.db2.gz LLRQQFFCVUMPBX-CYBMUJFWSA-N 0 3 246.354 2.712 20 0 BFADHN CC(C)C[C@@H](C)NCc1cc(F)ncc1F ZINC000294964108 188214120 /nfs/dbraw/zinc/21/41/20/188214120.db2.gz CPMKUYJYZFEWDN-SECBINFHSA-N 0 3 228.286 2.884 20 0 BFADHN CC(=O)c1ccc(CN2C[C@@H]3[C@H](C2)C3(C)C)cc1 ZINC000294941481 188214328 /nfs/dbraw/zinc/21/43/28/188214328.db2.gz JSTYYSITDDPAAX-GASCZTMLSA-N 0 3 243.350 2.977 20 0 BFADHN COC1(CN[C@H](C)c2cnc(C)s2)CCC1 ZINC000134716679 538113652 /nfs/dbraw/zinc/11/36/52/538113652.db2.gz KREVKYMYMACGFN-SECBINFHSA-N 0 3 240.372 2.671 20 0 BFADHN OC[C@@H]1C=C[C@H](N[C@@H](c2ccccc2)C2CC2)C1 ZINC000341246466 188218189 /nfs/dbraw/zinc/21/81/89/188218189.db2.gz JLUKPAVSGAZQBA-KCXAZCMYSA-N 0 3 243.350 2.664 20 0 BFADHN CC1(C)CC[C@H]1NCc1cc(C(F)(F)F)n[nH]1 ZINC000342245854 188218838 /nfs/dbraw/zinc/21/88/38/188218838.db2.gz ZKLKXYVKISQTLB-MRVPVSSYSA-N 0 3 247.264 2.707 20 0 BFADHN Cc1nnc(CN[C@H]2CCCC[C@@H]2C)s1 ZINC000134721014 538113732 /nfs/dbraw/zinc/11/37/32/538113732.db2.gz JPSZRMNTTAPEHP-WPRPVWTQSA-N 0 3 225.361 2.515 20 0 BFADHN CC(C)N(Cc1ccno1)CC1CCC1 ZINC000277624700 188203212 /nfs/dbraw/zinc/20/32/12/188203212.db2.gz AQTKCUZBWPHSHZ-UHFFFAOYSA-N 0 3 208.305 2.685 20 0 BFADHN Cc1nc(F)ccc1CN1CC[C@@H](C)[C@@H]1C ZINC000278431198 188204117 /nfs/dbraw/zinc/20/41/17/188204117.db2.gz KAESPGRDSRFFKL-KOLCDFICSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1nc(F)ccc1CN1CCCCCC1 ZINC000278392292 188204317 /nfs/dbraw/zinc/20/43/17/188204317.db2.gz AIYLEGARKISWLB-UHFFFAOYSA-N 0 3 222.307 2.905 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccccc1SC ZINC000280598863 188204815 /nfs/dbraw/zinc/20/48/15/188204815.db2.gz XAQIJWVNJAIECX-NSHDSACASA-N 0 3 239.384 2.875 20 0 BFADHN CCCC[C@H](CCC)NCc1nccn1C ZINC000165247140 353263668 /nfs/dbraw/zinc/26/36/68/353263668.db2.gz ZQDGBXABGRJBPK-LBPRGKRZSA-N 0 3 223.364 2.869 20 0 BFADHN CCCC[C@@H](CCC)NCc1nccn1C ZINC000165247011 353263714 /nfs/dbraw/zinc/26/37/14/353263714.db2.gz ZQDGBXABGRJBPK-GFCCVEGCSA-N 0 3 223.364 2.869 20 0 BFADHN CC(C)(C)[C@H](O)CN1CCc2ccsc2C1 ZINC000290069970 188211047 /nfs/dbraw/zinc/21/10/47/188211047.db2.gz VZNWYHNIOUTUMV-GFCCVEGCSA-N 0 3 239.384 2.513 20 0 BFADHN C=Cn1cc(CN(CC)CC(C)(C)C)cn1 ZINC000291125101 188211495 /nfs/dbraw/zinc/21/14/95/188211495.db2.gz VNJQGHKQMXIFHU-UHFFFAOYSA-N 0 3 221.348 2.852 20 0 BFADHN C=Cn1cc(CN[C@H](C)c2ccccc2)cn1 ZINC000194338907 188230990 /nfs/dbraw/zinc/23/09/90/188230990.db2.gz NMJIAZMWRFUEFS-GFCCVEGCSA-N 0 3 227.311 2.834 20 0 BFADHN Cc1ncc([C@H](C)NCCOC(C)C)s1 ZINC000134948144 538114133 /nfs/dbraw/zinc/11/41/33/538114133.db2.gz IHDOYNFGOQAXQU-VIFPVBQESA-N 0 3 228.361 2.527 20 0 BFADHN CC(C)C[C@H](C)NCc1cnc([C@H](C)O)s1 ZINC000290200652 188224000 /nfs/dbraw/zinc/22/40/00/188224000.db2.gz CXIQYDICPGGVCV-UWVGGRQHSA-N 0 3 242.388 2.721 20 0 BFADHN CC(C)C[C@H](C)N1CC[S@](=O)C(C)(C)CC1 ZINC000336305268 188228609 /nfs/dbraw/zinc/22/86/09/188228609.db2.gz CXNVBPHGOLZAGB-LRDDRELGSA-N 0 3 245.432 2.654 20 0 BFADHN CC[C@@]1(O)CCN(c2ccnc3ccccc32)C1 ZINC000334501269 353334533 /nfs/dbraw/zinc/33/45/33/353334533.db2.gz MVEWUMKMYFLPRO-OAHLLOKOSA-N 0 3 242.322 2.586 20 0 BFADHN CC[C@H](C)[C@@H](CN(C)Cc1ccncc1)OC ZINC000639040343 353316015 /nfs/dbraw/zinc/31/60/15/353316015.db2.gz IHTCBJBOODZHAC-GXTWGEPZSA-N 0 3 236.359 2.575 20 0 BFADHN CC[C@@](C)(CN(C)[C@H](C)c1ccncc1)OC ZINC000639040284 353316023 /nfs/dbraw/zinc/31/60/23/353316023.db2.gz GVXGAZUWSAMYDM-OCCSQVGLSA-N 0 3 236.359 2.890 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCC[C@H]1CCO1 ZINC000639040723 353318382 /nfs/dbraw/zinc/31/83/82/353318382.db2.gz MRWNBYYNYBGZSB-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN CCCN(C)Cc1ccc(OC)cc1OC ZINC000060977671 324053076 /nfs/dbraw/zinc/05/30/76/324053076.db2.gz UIKFYTVEMVSGBP-UHFFFAOYSA-N 0 3 223.316 2.546 20 0 BFADHN CCC(C)(C)CN[C@@H](C)c1nccn1C ZINC000189993507 353342728 /nfs/dbraw/zinc/34/27/28/353342728.db2.gz AKBHJVLQMJEDKJ-JTQLQIEISA-N 0 3 209.337 2.507 20 0 BFADHN CCCC[C@@H](N)C(=O)N(C)CC1CCCCC1 ZINC000037818890 358477934 /nfs/dbraw/zinc/47/79/34/358477934.db2.gz KSAOMYKHODYRIE-CYBMUJFWSA-N 0 3 240.391 2.543 20 0 BFADHN CCc1cnc(CN[C@H]2CCC2(C)C)s1 ZINC000284068382 353349291 /nfs/dbraw/zinc/34/92/91/353349291.db2.gz ARRWTDWGCBUMGK-JTQLQIEISA-N 0 3 224.373 2.984 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1ccccc1OC ZINC000132786356 353389830 /nfs/dbraw/zinc/38/98/30/353389830.db2.gz INKFBKOWXXPQHP-NWDGAFQWSA-N 0 3 237.343 2.507 20 0 BFADHN Cc1scc(CN2CC[C@H](O)[C@@H](C)C2)c1C ZINC000639073645 353391389 /nfs/dbraw/zinc/39/13/89/353391389.db2.gz GVUJFZBNBZRERX-ZANVPECISA-N 0 3 239.384 2.568 20 0 BFADHN Cc1ccc(CNC2CCC(O)CC2)c(F)c1 ZINC000639062435 353372403 /nfs/dbraw/zinc/37/24/03/353372403.db2.gz YVGOUAZMOXEDED-UHFFFAOYSA-N 0 3 237.318 2.527 20 0 BFADHN CC(C)C1(CN2CCO[C@H](C3CC3)C2)CC1 ZINC000639059822 353373139 /nfs/dbraw/zinc/37/31/39/353373139.db2.gz CEMMCTDNIHNIEW-ZDUSSCGKSA-N 0 3 223.360 2.533 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1ccns1 ZINC000639067461 353381464 /nfs/dbraw/zinc/38/14/64/353381464.db2.gz VRIHVRSKKFXIFP-GXSJLCMTSA-N 0 3 210.346 2.764 20 0 BFADHN CC[C@@H](NCc1n[nH]cc1C)C(C)(C)C ZINC000336773951 353421420 /nfs/dbraw/zinc/42/14/20/353421420.db2.gz ZCJDEMVHMNSWKK-LLVKDONJSA-N 0 3 209.337 2.632 20 0 BFADHN CCCCCNC(=O)CN(C)C1CCCCC1 ZINC000061757238 324103332 /nfs/dbraw/zinc/10/33/32/324103332.db2.gz OVTLLVXHWAUUAZ-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN CC/C=C/CCN1CCO[C@@H](C(C)C)C1 ZINC000342635331 535232085 /nfs/dbraw/zinc/23/20/85/535232085.db2.gz QIKKWFBHCFILLZ-URWSZGRFSA-N 0 3 211.349 2.700 20 0 BFADHN c1cc(CN2CC[C@H]3CCC[C@@H]32)sn1 ZINC000639088123 353438741 /nfs/dbraw/zinc/43/87/41/353438741.db2.gz GMWFUGPCNHYQSG-KOLCDFICSA-N 0 3 208.330 2.518 20 0 BFADHN CC(C)[C@H](O)CCN[C@H]1CCCc2occc21 ZINC000351523535 133972941 /nfs/dbraw/zinc/97/29/41/133972941.db2.gz MGFRXTKMPNTXJM-QWHCGFSZSA-N 0 3 237.343 2.654 20 0 BFADHN CC[C@@](C)(CN(C)Cc1ccc(C)cn1)OC ZINC000639096540 353486564 /nfs/dbraw/zinc/48/65/64/353486564.db2.gz GVFCJBXDMKVYIM-AWEZNQCLSA-N 0 3 236.359 2.637 20 0 BFADHN Fc1ccc(CN2CCCCCC2)nc1 ZINC000276375843 180966204 /nfs/dbraw/zinc/96/62/04/180966204.db2.gz PMWKKAZIEBCIEC-UHFFFAOYSA-N 0 3 208.280 2.597 20 0 BFADHN Cc1cc(CN2CC[C@@H]3CCC[C@H]32)sn1 ZINC000639094229 353477713 /nfs/dbraw/zinc/47/77/13/353477713.db2.gz QDTVBTFLWXSRFT-CMPLNLGQSA-N 0 3 222.357 2.826 20 0 BFADHN Cc1cc(CN2CC[C@H]3CCC[C@H]32)sn1 ZINC000639094232 353477740 /nfs/dbraw/zinc/47/77/40/353477740.db2.gz QDTVBTFLWXSRFT-ZYHUDNBSSA-N 0 3 222.357 2.826 20 0 BFADHN CC[C@@H](C)NC(=O)CN(C(C)C)C1CCCC1 ZINC000170986076 353507883 /nfs/dbraw/zinc/50/78/83/353507883.db2.gz ZLXPBVWVVGBKIR-GFCCVEGCSA-N 0 3 240.391 2.554 20 0 BFADHN CC(C)[C@@H]1N(Cc2cnccn2)CC12CCCC2 ZINC000334512137 353526255 /nfs/dbraw/zinc/52/62/55/353526255.db2.gz TVRKCBDTRDZBIK-AWEZNQCLSA-N 0 3 245.370 2.877 20 0 BFADHN C[C@H](NC1Cc2ccccc2C1)c1cncs1 ZINC000231035185 353553554 /nfs/dbraw/zinc/55/35/54/353553554.db2.gz YMWRQCUSLKSANJ-JTQLQIEISA-N 0 3 244.363 2.961 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1CCOC(C)(C)C ZINC000118417488 353566804 /nfs/dbraw/zinc/56/68/04/353566804.db2.gz NJKHFXIKAFCHNW-MNOVXSKESA-N 0 3 231.405 2.627 20 0 BFADHN Cc1cc(CN2CCSC[C@H](C)C2)sn1 ZINC000639103097 353572005 /nfs/dbraw/zinc/57/20/05/353572005.db2.gz TUBFKSPSWOMSPW-SECBINFHSA-N 0 3 242.413 2.636 20 0 BFADHN Cc1cc(CN2CCSC[C@@H](C)C2)sn1 ZINC000639103098 353572093 /nfs/dbraw/zinc/57/20/93/353572093.db2.gz TUBFKSPSWOMSPW-VIFPVBQESA-N 0 3 242.413 2.636 20 0 BFADHN CN(Cc1ccc2c(n1)CCC2)C1CCCC1 ZINC000334516299 353622260 /nfs/dbraw/zinc/62/22/60/353622260.db2.gz FINGHGUKGSOVQB-UHFFFAOYSA-N 0 3 230.355 2.945 20 0 BFADHN CC[C@H]1CCCCN1Cc1cc(C)no1 ZINC000053398415 363240319 /nfs/dbraw/zinc/24/03/19/363240319.db2.gz YTYDAXYQCJSPTD-NSHDSACASA-N 0 3 208.305 2.748 20 0 BFADHN Cc1n[nH]c(C)c1CN1CC(C2CCCC2)C1 ZINC000334518351 353653602 /nfs/dbraw/zinc/65/36/02/353653602.db2.gz PWEDZFSGCFKUPW-UHFFFAOYSA-N 0 3 233.359 2.649 20 0 BFADHN CCN1C[C@H](C)N(Cc2cccs2)C[C@@H]1C ZINC000353804102 353648797 /nfs/dbraw/zinc/64/87/97/353648797.db2.gz MHXYIUSTECNTFB-RYUDHWBXSA-N 0 3 238.400 2.663 20 0 BFADHN CCOc1ccc([C@H]2CCCN2CCOC)cc1 ZINC000053402911 363248386 /nfs/dbraw/zinc/24/83/86/363248386.db2.gz QDHCLRVSCOQDQK-OAHLLOKOSA-N 0 3 249.354 2.869 20 0 BFADHN Fc1cccc2c1CC[C@@H]2NC[C@H]1CCCO1 ZINC000147591914 181004648 /nfs/dbraw/zinc/00/46/48/181004648.db2.gz IVHYZTVMCQNQFW-YGRLFVJLSA-N 0 3 235.302 2.582 20 0 BFADHN Fc1cccc2c1CCN(CC[C@H]1CCOC1)C2 ZINC000336524966 181005470 /nfs/dbraw/zinc/00/54/70/181005470.db2.gz CKWSCIVTKRNPIB-LBPRGKRZSA-N 0 3 249.329 2.610 20 0 BFADHN Fc1cccc2c1CC[C@@H]2N[C@H]1CCCOC1 ZINC000211888403 181005477 /nfs/dbraw/zinc/00/54/77/181005477.db2.gz DIUIFARUEWLXEZ-HZMBPMFUSA-N 0 3 235.302 2.582 20 0 BFADHN Fc1cccc2c1CCC[C@@H]2N[C@@H]1CCOC1 ZINC000336213088 181005837 /nfs/dbraw/zinc/00/58/37/181005837.db2.gz AICZFNMQYJSDJA-YGRLFVJLSA-N 0 3 235.302 2.582 20 0 BFADHN CC[C@H](C)[C@@H]1CCCCN1Cc1ccn(C)n1 ZINC000353807802 353710710 /nfs/dbraw/zinc/71/07/10/353710710.db2.gz RQVWQHJJFXNSKQ-JSGCOSHPSA-N 0 3 235.375 2.821 20 0 BFADHN Fc1cccc(F)c1CN[C@H]1CC[C@@H](F)C1 ZINC000335918617 181000153 /nfs/dbraw/zinc/00/01/53/181000153.db2.gz QTYNHLJFRQUWCG-BDAKNGLRSA-N 0 3 229.245 2.945 20 0 BFADHN Fc1cccc(F)c1CN[C@@H]1CC[C@@H](F)C1 ZINC000335918620 181000212 /nfs/dbraw/zinc/00/02/12/181000212.db2.gz QTYNHLJFRQUWCG-RKDXNWHRSA-N 0 3 229.245 2.945 20 0 BFADHN CC1(CNCc2cccc(F)c2F)CC1 ZINC000224185179 324214746 /nfs/dbraw/zinc/21/47/46/324214746.db2.gz CMDQWBUKWZUQFO-UHFFFAOYSA-N 0 3 211.255 2.855 20 0 BFADHN CC[C@H](C)CN1CCN(c2ccccc2)CC1 ZINC000147496299 353752834 /nfs/dbraw/zinc/75/28/34/353752834.db2.gz IWXOVVIYCNJZOM-AWEZNQCLSA-N 0 3 232.371 2.855 20 0 BFADHN Fc1ccccc1CN[C@@H]1CCC12CCOCC2 ZINC000368522375 181013329 /nfs/dbraw/zinc/01/33/29/181013329.db2.gz NPLYESPXWMMBCW-CQSZACIVSA-N 0 3 249.329 2.875 20 0 BFADHN COc1cccc(C)c1CN1[C@@H](C)C[C@@H]1C ZINC000639122190 353769988 /nfs/dbraw/zinc/76/99/88/353769988.db2.gz ZTPWPPSDFZRWGT-RYUDHWBXSA-N 0 3 219.328 2.986 20 0 BFADHN Fc1ccccc1CCN1CCCSCC1 ZINC000123739044 181010173 /nfs/dbraw/zinc/01/01/73/181010173.db2.gz ZXWFHMGZUKKZIJ-UHFFFAOYSA-N 0 3 239.359 2.807 20 0 BFADHN Fc1ccccc1CCCNCc1ccccn1 ZINC000280168698 181010375 /nfs/dbraw/zinc/01/03/75/181010375.db2.gz FTWUBRJQADGYLG-UHFFFAOYSA-N 0 3 244.313 2.943 20 0 BFADHN Fc1ccccc1C1CN(CC[C@H]2CCOC2)C1 ZINC000361253477 181010554 /nfs/dbraw/zinc/01/05/54/181010554.db2.gz SOZXFCTYNWFJNO-LBPRGKRZSA-N 0 3 249.329 2.652 20 0 BFADHN Fc1ccccc1CCNc1ccncc1 ZINC000019233276 181011144 /nfs/dbraw/zinc/01/11/44/181011144.db2.gz YQBNPYXIMIHWTP-UHFFFAOYSA-N 0 3 216.259 2.875 20 0 BFADHN C[C@H](NCCCNc1ccccn1)c1ccoc1 ZINC000178343981 366116384 /nfs/dbraw/zinc/11/63/84/366116384.db2.gz IUXBFNQYCVHVKV-LBPRGKRZSA-N 0 3 245.326 2.827 20 0 BFADHN Fc1cccnc1NC[C@@H]1CCCCN1C1CC1 ZINC000277413208 181016208 /nfs/dbraw/zinc/01/62/08/181016208.db2.gz KZAVQQNMNZBIFU-LBPRGKRZSA-N 0 3 249.333 2.650 20 0 BFADHN CCn1nccc1CN(C)[C@@H]1CCCC[C@@H]1C ZINC000343347491 353840591 /nfs/dbraw/zinc/84/05/91/353840591.db2.gz WNFXVTXBWKAJTE-GXTWGEPZSA-N 0 3 235.375 2.914 20 0 BFADHN COCC1(NCc2cccc(F)c2)CCCC1 ZINC000580347500 353819631 /nfs/dbraw/zinc/81/96/31/353819631.db2.gz YYRJSEZBRKMWFE-UHFFFAOYSA-N 0 3 237.318 2.875 20 0 BFADHN COc1ncc(CN(C)C2(C)CCCC2)s1 ZINC000639131927 353841335 /nfs/dbraw/zinc/84/13/35/353841335.db2.gz JUWQSCGLVIZIBN-UHFFFAOYSA-N 0 3 240.372 2.916 20 0 BFADHN Cc1cccc(CCCNCc2ccns2)n1 ZINC000639156866 353871625 /nfs/dbraw/zinc/87/16/25/353871625.db2.gz IIAQEJGMMCCJGA-UHFFFAOYSA-N 0 3 247.367 2.569 20 0 BFADHN c1cn2c(n1)[C@H](N[C@H]1CCC13CCCC3)CC2 ZINC000334521829 353887813 /nfs/dbraw/zinc/88/78/13/353887813.db2.gz FPWNEJICQQLUTC-NEPJUHHUSA-N 0 3 231.343 2.640 20 0 BFADHN Cc1cnc(CN[C@]2(C)CCCC[C@H]2C)cn1 ZINC000334522789 353890788 /nfs/dbraw/zinc/89/07/88/353890788.db2.gz VXRLPBAOIYGRLM-BXUZGUMPSA-N 0 3 233.359 2.843 20 0 BFADHN C[C@@H]1CCCN1CCc1cccc(F)c1F ZINC000343553195 353919954 /nfs/dbraw/zinc/91/99/54/353919954.db2.gz PECZEMMQQMDWFM-SNVBAGLBSA-N 0 3 225.282 2.992 20 0 BFADHN CC[C@H](NC[C@@H]1CCC[C@H]1C)c1ccn(C)n1 ZINC000343510987 353923970 /nfs/dbraw/zinc/92/39/70/353923970.db2.gz LNAHICKZCWIXSY-AGIUHOORSA-N 0 3 235.375 2.897 20 0 BFADHN C[C@H]1CCN(CCc2cccc(F)c2F)C1 ZINC000343515750 353925588 /nfs/dbraw/zinc/92/55/88/353925588.db2.gz UGRSOKNYLFZMFB-JTQLQIEISA-N 0 3 225.282 2.849 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCCC[C@@H]1c1ccnn1C ZINC000343635697 353949611 /nfs/dbraw/zinc/94/96/11/353949611.db2.gz HMOWWMBISZNZSU-YRGRVCCFSA-N 0 3 233.359 2.603 20 0 BFADHN CC[C@H](C(=O)N[C@H](C)[C@@H](C)CC)N(CC)CC ZINC000343573428 353937285 /nfs/dbraw/zinc/93/72/85/353937285.db2.gz ZGIGXCCFUYTHAC-YNEHKIRRSA-N 0 3 242.407 2.658 20 0 BFADHN CC[C@H](NCc1nnc(C)n1CC)C(C)(C)C ZINC000343616040 353938221 /nfs/dbraw/zinc/93/82/21/353938221.db2.gz UBEXWWKRVRCCLY-NSHDSACASA-N 0 3 238.379 2.521 20 0 BFADHN CSCCCN(C)Cc1ccc(C)nc1 ZINC000343688195 353964361 /nfs/dbraw/zinc/96/43/61/353964361.db2.gz YPEYUGUMQIKMTN-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN CSCCCN(C)Cc1ccc(C)cn1 ZINC000343699887 353966483 /nfs/dbraw/zinc/96/64/83/353966483.db2.gz LKUYTSYWHRTZAA-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN CC[C@@H](C)CN[C@@H](C)c1c(C)noc1C ZINC000086109765 491057441 /nfs/dbraw/zinc/05/74/41/491057441.db2.gz CITDMMGVJWEAFN-BDAKNGLRSA-N 0 3 210.321 2.988 20 0 BFADHN Cc1ncccc1N(C)C(=O)C(C)C(F)(F)F ZINC000334546908 353984961 /nfs/dbraw/zinc/98/49/61/353984961.db2.gz SCXDIQNTMWUXEI-SSDOTTSWSA-N 0 3 246.232 2.551 20 0 BFADHN c1nc(C2CC2)ncc1CN1CCCCCC1 ZINC000334554034 353997564 /nfs/dbraw/zinc/99/75/64/353997564.db2.gz PJYIAIWRHFZHGB-UHFFFAOYSA-N 0 3 231.343 2.730 20 0 BFADHN CCCN(CC)[C@@H](C)C(=O)NC1CCCCC1 ZINC000343644487 353951801 /nfs/dbraw/zinc/95/18/01/353951801.db2.gz IGQOJSMDXUUVIR-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1nc([C@@H](C)N2CCCCC(C)(C)C2)n[nH]1 ZINC000334556028 354002063 /nfs/dbraw/zinc/00/20/63/354002063.db2.gz REUFZQBVUPZIJZ-SNVBAGLBSA-N 0 3 236.363 2.686 20 0 BFADHN c1cc(CN2CCC3(CCC3)C2)nc2c1CCC2 ZINC000334557469 354004454 /nfs/dbraw/zinc/00/44/54/354004454.db2.gz AOAIYHJMKSAGMY-UHFFFAOYSA-N 0 3 242.366 2.946 20 0 BFADHN c1nc(C2CC2)ncc1CN1C[C@@H]2CCC[C@H]2C1 ZINC000334558562 354006960 /nfs/dbraw/zinc/00/69/60/354006960.db2.gz CZKHZSMHXLMUHU-KBPBESRZSA-N 0 3 243.354 2.586 20 0 BFADHN C[C@H](NC[C@H](O)C1CCCCC1)c1ccoc1 ZINC000178558673 366140575 /nfs/dbraw/zinc/14/05/75/366140575.db2.gz GHCSIIOVXCKUTL-FZMZJTMJSA-N 0 3 237.343 2.871 20 0 BFADHN c1cc(CNCc2cc3ccccc3[nH]2)c[nH]1 ZINC000343848424 354021715 /nfs/dbraw/zinc/02/17/15/354021715.db2.gz HKTSQQQQLVSADN-UHFFFAOYSA-N 0 3 225.295 2.786 20 0 BFADHN CN(CCO)Cc1ccc(C2CCCC2)cc1 ZINC000343993354 354064022 /nfs/dbraw/zinc/06/40/22/354064022.db2.gz VJWVWBXBQFLYOU-UHFFFAOYSA-N 0 3 233.355 2.768 20 0 BFADHN C[C@@H]1CC[C@@H](CNCc2cscn2)C1 ZINC000230403516 363307860 /nfs/dbraw/zinc/30/78/60/363307860.db2.gz KKGIRXSSRUKFIL-NXEZZACHSA-N 0 3 210.346 2.669 20 0 BFADHN CCc1cc(CN[C@@H](C)C2CC(F)(F)C2)on1 ZINC000529534881 324327987 /nfs/dbraw/zinc/32/79/87/324327987.db2.gz DOBUDDQPPVIVSK-QMMMGPOBSA-N 0 3 244.285 2.760 20 0 BFADHN CCN(Cc1ccncc1)C[C@@](C)(CC)OC ZINC000639167420 354052042 /nfs/dbraw/zinc/05/20/42/354052042.db2.gz DLZVNBMNNRWPAN-CQSZACIVSA-N 0 3 236.359 2.719 20 0 BFADHN CC(C)OC1CC(N2CCSC(C)(C)C2)C1 ZINC000334566090 354087420 /nfs/dbraw/zinc/08/74/20/354087420.db2.gz XXLMJMZUWGQCFW-UHFFFAOYSA-N 0 3 243.416 2.770 20 0 BFADHN COc1cccc(CN2C[C@H](C)CC(C)(C)C2)n1 ZINC000344066214 354130653 /nfs/dbraw/zinc/13/06/53/354130653.db2.gz PWCRHMDTDCAILW-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@H](c1ccccc1F)N1C[C@@H]2CC[C@@H](O)[C@@H]2C1 ZINC000344071512 354132217 /nfs/dbraw/zinc/13/22/17/354132217.db2.gz QSORRHFPOALGIQ-NDPMZMCLSA-N 0 3 249.329 2.589 20 0 BFADHN C[C@H](c1ccccc1F)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000344071511 354132258 /nfs/dbraw/zinc/13/22/58/354132258.db2.gz QSORRHFPOALGIQ-MPXAEWJHSA-N 0 3 249.329 2.589 20 0 BFADHN Cc1ccoc1CNCCSC(F)(F)F ZINC000230644506 363315457 /nfs/dbraw/zinc/31/54/57/363315457.db2.gz ZDHKLVLFPPIZKK-UHFFFAOYSA-N 0 3 239.262 2.931 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1cscn1 ZINC000230653482 363316109 /nfs/dbraw/zinc/31/61/09/363316109.db2.gz AKPGURHEGKYVEY-VHSXEESVSA-N 0 3 210.346 2.669 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2ccncc2F)C1 ZINC000334578188 354122053 /nfs/dbraw/zinc/12/20/53/354122053.db2.gz UVNUTTNNBYVMSY-GHMZBOCLSA-N 0 3 222.307 2.699 20 0 BFADHN Cc1occc1CN[C@@H]1[C@H]2CCCO[C@@H]2C1(C)C ZINC000344049929 354122317 /nfs/dbraw/zinc/12/23/17/354122317.db2.gz INALWCXQHNPTHR-MCIONIFRSA-N 0 3 249.354 2.881 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2ccncc2F)C1 ZINC000334578192 354122372 /nfs/dbraw/zinc/12/23/72/354122372.db2.gz UVNUTTNNBYVMSY-PHIMTYICSA-N 0 3 222.307 2.699 20 0 BFADHN COc1cc(CN2CC[C@H](C(C)(C)C)C2)ccn1 ZINC000344161250 354167429 /nfs/dbraw/zinc/16/74/29/354167429.db2.gz IYIWIKBOBHZREQ-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H]1C[C@H](CO)CCN1C/C=C/c1ccccc1 ZINC000344163630 354168127 /nfs/dbraw/zinc/16/81/27/354168127.db2.gz LHEUDHQHWUVTOZ-LTVAEWHBSA-N 0 3 245.366 2.793 20 0 BFADHN CC(C)[C@H](C)CC(=O)Nc1ccc2c(c1)CNC2 ZINC000449674341 202000633 /nfs/dbraw/zinc/00/06/33/202000633.db2.gz UDJRMCJZFAWNEJ-LLVKDONJSA-N 0 3 246.354 2.911 20 0 BFADHN C[C@@H](NCc1ccn(C(F)F)n1)C1CCCC1 ZINC000449705313 202003723 /nfs/dbraw/zinc/00/37/23/202003723.db2.gz JWJMFHVNINTOQS-SECBINFHSA-N 0 3 243.301 2.947 20 0 BFADHN Cc1cc(C)c(CNC2CC(OC(C)C)C2)cn1 ZINC000449733122 202011166 /nfs/dbraw/zinc/01/11/66/202011166.db2.gz GJWYGIJVEZXTGG-UHFFFAOYSA-N 0 3 248.370 2.744 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]C[C@@H]2C[C@H]2C2CC2)c1 ZINC000449743039 202018934 /nfs/dbraw/zinc/01/89/34/202018934.db2.gz UEXSYDAOHZJFOQ-FZMZJTMJSA-N 0 3 235.302 2.667 20 0 BFADHN Cc1cc(C)c(CN[C@@H](C)COC(C)C)cn1 ZINC000449736240 202013639 /nfs/dbraw/zinc/01/36/39/202013639.db2.gz UNKZAQODKFMMDC-ZDUSSCGKSA-N 0 3 236.359 2.602 20 0 BFADHN C[C@@H]1CCN(Cc2cnc(C3CC3)nc2)[C@H]1C ZINC000334581476 354200066 /nfs/dbraw/zinc/20/00/66/354200066.db2.gz JLZFTVRCBBZJRO-MNOVXSKESA-N 0 3 231.343 2.584 20 0 BFADHN COc1cccnc1CNC[C@H]1CC=CCC1 ZINC000449744903 202017978 /nfs/dbraw/zinc/01/79/78/202017978.db2.gz AIHOGZWUZAIREW-LBPRGKRZSA-N 0 3 232.327 2.536 20 0 BFADHN Cc1ncc(C[NH2+]CC2CCCCCC2)c(=O)[n-]1 ZINC000344323622 354247050 /nfs/dbraw/zinc/24/70/50/354247050.db2.gz ISWRZGCFCBQSCF-UHFFFAOYSA-N 0 3 249.358 2.551 20 0 BFADHN C[C@@H]1CCN(Cc2cnc(C3CC3)nc2)[C@@H]1C ZINC000334580639 354224209 /nfs/dbraw/zinc/22/42/09/354224209.db2.gz JLZFTVRCBBZJRO-GHMZBOCLSA-N 0 3 231.343 2.584 20 0 BFADHN Cc1n[nH]c(C)c1CN1CC(C(C)(C)C)C1 ZINC000334593468 354224942 /nfs/dbraw/zinc/22/49/42/354224942.db2.gz DWIFFCULZJUHHT-UHFFFAOYSA-N 0 3 221.348 2.504 20 0 BFADHN CC[C@@H]1CCC[C@H]([NH2+]Cc2cnc(C)[n-]c2=O)C1 ZINC000344330421 354227375 /nfs/dbraw/zinc/22/73/75/354227375.db2.gz UYYSZDDKFFVNBX-YPMHNXCESA-N 0 3 249.358 2.549 20 0 BFADHN CC(C)(C)C1CN(Cc2ccncc2)C1 ZINC000334595911 354229314 /nfs/dbraw/zinc/22/93/14/354229314.db2.gz PFAADJGHVZNHRR-UHFFFAOYSA-N 0 3 204.317 2.560 20 0 BFADHN CC1(C)CCC[C@H](NCc2ccno2)C1 ZINC000231882982 363357249 /nfs/dbraw/zinc/35/72/49/363357249.db2.gz TVDSSFHVMRLBGO-JTQLQIEISA-N 0 3 208.305 2.733 20 0 BFADHN C[C@@H]1CC(NCc2ccno2)C[C@@H](C)C1 ZINC000231881237 363357463 /nfs/dbraw/zinc/35/74/63/363357463.db2.gz YQZMKJIVVBOPQW-UWVGGRQHSA-N 0 3 208.305 2.589 20 0 BFADHN COc1cc(CNCCC2(F)CCC2)sn1 ZINC000639181414 354299039 /nfs/dbraw/zinc/29/90/39/354299039.db2.gz SEGFNDVPWSUPRX-UHFFFAOYSA-N 0 3 244.335 2.524 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(C)nc2C)[C@@H](C)C1 ZINC000334615242 354300845 /nfs/dbraw/zinc/30/08/45/354300845.db2.gz QQFHPXOCPQBOOU-WFASDCNBSA-N 0 3 248.370 2.698 20 0 BFADHN Cc1ccc(CN2CCC=C(C)C2)nc1 ZINC000334615911 354302408 /nfs/dbraw/zinc/30/24/08/354302408.db2.gz DLDBOPKBLAUYHN-UHFFFAOYSA-N 0 3 202.301 2.542 20 0 BFADHN CCC[C@@H](C)N(C)C(=O)CN1[C@H](C)CC[C@@H]1C ZINC000344571304 354306238 /nfs/dbraw/zinc/30/62/38/354306238.db2.gz HFASGJXXBHVVGP-UPJWGTAASA-N 0 3 240.391 2.506 20 0 BFADHN CCC[C@H](C)N(C)C(=O)CN1[C@H](C)CC[C@@H]1C ZINC000344571303 354306261 /nfs/dbraw/zinc/30/62/61/354306261.db2.gz HFASGJXXBHVVGP-RWMBFGLXSA-N 0 3 240.391 2.506 20 0 BFADHN CCc1ccc(CN[C@H](CC)C[C@H](C)CO)o1 ZINC000344579102 354310326 /nfs/dbraw/zinc/31/03/26/354310326.db2.gz MXWMSHYILFURHE-NWDGAFQWSA-N 0 3 239.359 2.729 20 0 BFADHN Cc1ccsc1CN1CC2(C1)CCCOC2 ZINC000334600801 354278350 /nfs/dbraw/zinc/27/83/50/354278350.db2.gz XPQWHZQQAULESL-UHFFFAOYSA-N 0 3 237.368 2.669 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2C(C)(C)C)n(C)n1 ZINC000334601979 354281245 /nfs/dbraw/zinc/28/12/45/354281245.db2.gz IGJHVFGCDVFRJJ-CYBMUJFWSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cc2ccncc2)o1 ZINC000344760504 354355134 /nfs/dbraw/zinc/35/51/34/354355134.db2.gz MGWNOHUQCKQIRL-NSHDSACASA-N 0 3 230.311 2.704 20 0 BFADHN Cc1ccc(CN[C@H](C)Cc2ccncc2)nc1 ZINC000344761728 354355944 /nfs/dbraw/zinc/35/59/44/354355944.db2.gz QUNXXNXWCIDEDN-CYBMUJFWSA-N 0 3 241.338 2.506 20 0 BFADHN CCOC(=O)[C@@H]1CCCN1[C@H]1CCC(C)(C)C1 ZINC000344762305 354356185 /nfs/dbraw/zinc/35/61/85/354356185.db2.gz UVOXWDNCALNSJP-RYUDHWBXSA-N 0 3 239.359 2.593 20 0 BFADHN Cc1n[nH]c(C)c1CN1CC2(CCC2)[C@H]1C1CC1 ZINC000334608431 354315568 /nfs/dbraw/zinc/31/55/68/354315568.db2.gz UVJQNCVDVHLCON-CQSZACIVSA-N 0 3 245.370 2.791 20 0 BFADHN CC[C@@H](C[C@@H](C)CO)NCc1ccccc1F ZINC000344592997 354322235 /nfs/dbraw/zinc/32/22/35/354322235.db2.gz PEAJRTLZGWCNJM-YPMHNXCESA-N 0 3 239.334 2.712 20 0 BFADHN C[C@H](CF)NCc1cc2ccccc2n1C ZINC000639182526 354336363 /nfs/dbraw/zinc/33/63/63/354336363.db2.gz GUODDXSHDNHRGB-SNVBAGLBSA-N 0 3 220.291 2.626 20 0 BFADHN C[C@H](NC[C@H](O)Cc1ccccc1)c1ccoc1 ZINC000178791761 366163767 /nfs/dbraw/zinc/16/37/67/366163767.db2.gz KQGPVAYCGYBKKR-SWLSCSKDSA-N 0 3 245.322 2.534 20 0 BFADHN C[C@H](N[C@@H]1c2ccccc2O[C@@H]1C)[C@H]1CCCO1 ZINC000639185722 354380508 /nfs/dbraw/zinc/38/05/08/354380508.db2.gz CWYXBBFJAWJKHN-MDHDOXDCSA-N 0 3 247.338 2.666 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1NCCC[C@@H]1CCO1 ZINC000639185981 354381476 /nfs/dbraw/zinc/38/14/76/354381476.db2.gz HAWZXIFAVNCBAL-YWPYICTPSA-N 0 3 247.338 2.667 20 0 BFADHN CC1(C)CCC[C@H](CNCc2ncc[nH]2)C1 ZINC000449749568 202019862 /nfs/dbraw/zinc/01/98/62/202019862.db2.gz PTJHFXHTUITXDU-NSHDSACASA-N 0 3 221.348 2.716 20 0 BFADHN CCC[C@@H](CN1CCC(F)(F)[C@H](C)C1)OC ZINC000639187718 354388566 /nfs/dbraw/zinc/38/85/66/354388566.db2.gz FCJBREPYZZUQQB-MNOVXSKESA-N 0 3 235.318 2.779 20 0 BFADHN CCC[C@H](CN1CCC(F)(F)[C@H](C)C1)OC ZINC000639187717 354388611 /nfs/dbraw/zinc/38/86/11/354388611.db2.gz FCJBREPYZZUQQB-GHMZBOCLSA-N 0 3 235.318 2.779 20 0 BFADHN C(NC[C@@H]1C[C@H]2C[C@H]2C1)c1noc2c1CCCC2 ZINC000639187834 354389249 /nfs/dbraw/zinc/38/92/49/354389249.db2.gz OIZWYNBJLHSFFD-ZSBIGDGJSA-N 0 3 246.354 2.689 20 0 BFADHN CCc1cccc(Cl)c1CN[C@H]1C[C@@H](O)C1 ZINC000449750858 202020018 /nfs/dbraw/zinc/02/00/18/202020018.db2.gz JVVGHGMBROXQHQ-PHIMTYICSA-N 0 3 239.746 2.515 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC[C@]2(CCOC2)C1 ZINC000334687487 354433623 /nfs/dbraw/zinc/43/36/23/354433623.db2.gz DCOKGGKTABYNAD-ZFWWWQNUSA-N 0 3 246.354 2.645 20 0 BFADHN NC(=O)[C@H]1CCCN1Cc1cccc2cc[nH]c21 ZINC000334679279 354424272 /nfs/dbraw/zinc/42/42/72/354424272.db2.gz NQQUCDNJNVSESH-GFCCVEGCSA-N 0 3 243.310 2.668 20 0 BFADHN Cc1ncccc1CN1CCSC[C@@H]1C1CC1 ZINC000334679955 354425892 /nfs/dbraw/zinc/42/58/92/354425892.db2.gz YMFCWKUVDQQHLG-CQSZACIVSA-N 0 3 248.395 2.717 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1ccncc1F ZINC000334653723 354447422 /nfs/dbraw/zinc/44/74/22/354447422.db2.gz NZPTWLCNLXKPKF-ZWNOBZJWSA-N 0 3 222.307 2.841 20 0 BFADHN CC1=C[C@H](C)CN(CCc2cscn2)C1 ZINC000334655736 354449543 /nfs/dbraw/zinc/44/95/43/354449543.db2.gz UDHAIIOMYBNEER-JTQLQIEISA-N 0 3 222.357 2.584 20 0 BFADHN CCc1nc(C)c(CN2C[C@H](C)[C@@H](C)C2)o1 ZINC000334694646 354485227 /nfs/dbraw/zinc/48/52/27/354485227.db2.gz GNMBHTKNWACYFW-UWVGGRQHSA-N 0 3 222.332 2.633 20 0 BFADHN CC[C@H](N[C@H](C)CCOC)c1c(C)noc1C ZINC000179119623 366206321 /nfs/dbraw/zinc/20/63/21/366206321.db2.gz PHDBPKCNJOECPB-SKDRFNHKSA-N 0 3 240.347 2.757 20 0 BFADHN C[C@H]1CCC(C)(C)N1Cc1nccs1 ZINC000334692116 354481667 /nfs/dbraw/zinc/48/16/67/354481667.db2.gz LAXCDGQGLQRVMO-VIFPVBQESA-N 0 3 210.346 2.906 20 0 BFADHN C[C@H]1CCC(C)(C)N1Cc1cncc(F)c1 ZINC000334692411 354482375 /nfs/dbraw/zinc/48/23/75/354482375.db2.gz OPKOHYPEZUMZFK-JTQLQIEISA-N 0 3 222.307 2.984 20 0 BFADHN CC1CC(NCc2ccncc2Cl)C1 ZINC000123429305 324431428 /nfs/dbraw/zinc/43/14/28/324431428.db2.gz JXMXDALDNZDBAC-UHFFFAOYSA-N 0 3 210.708 2.623 20 0 BFADHN C[C@@H]1C[C@H](CN2Cc3ccccc3C2)CCO1 ZINC000334736656 354537444 /nfs/dbraw/zinc/53/74/44/354537444.db2.gz FCGLYYNWBOEYHL-CHWSQXEVSA-N 0 3 231.339 2.817 20 0 BFADHN CO[C@@H]1CCN(Cc2ccsc2)C[C@@H]1C ZINC000334737179 354538332 /nfs/dbraw/zinc/53/83/32/354538332.db2.gz AKLVZTUUJJCSEE-CMPLNLGQSA-N 0 3 225.357 2.605 20 0 BFADHN C[C@@H]1CCCC[C@]1(C)NCc1ccno1 ZINC000334797709 354552229 /nfs/dbraw/zinc/55/22/29/354552229.db2.gz LBFLXYKSWPCISX-PWSUYJOCSA-N 0 3 208.305 2.733 20 0 BFADHN CC[C@]1(C)COCCN1CC1CC(F)(F)C1 ZINC000334797981 354552721 /nfs/dbraw/zinc/55/27/21/354552721.db2.gz NVYYVMMEOBUCDG-LLVKDONJSA-N 0 3 233.302 2.533 20 0 BFADHN CN(CC1=CCCCC1)[C@@H]1CCCOC1 ZINC000334801368 354557190 /nfs/dbraw/zinc/55/71/90/354557190.db2.gz TWFAWBUQADVUML-CYBMUJFWSA-N 0 3 209.333 2.598 20 0 BFADHN C[C@H](N[C@H]1CC=CCC1)c1cc2n(n1)CCC2 ZINC000334810734 354568095 /nfs/dbraw/zinc/56/80/95/354568095.db2.gz MHGMIVSXSBYONV-RYUDHWBXSA-N 0 3 231.343 2.589 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1ccncc1F ZINC000334755342 354576975 /nfs/dbraw/zinc/57/69/75/354576975.db2.gz DJPQXDHZDFLZPR-ZYHUDNBSSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@H](CNCc1c(C)cccc1OC)OC ZINC000639195236 354595879 /nfs/dbraw/zinc/59/58/79/354595879.db2.gz RYINSEPAUHSFFI-GFCCVEGCSA-N 0 3 237.343 2.518 20 0 BFADHN Fc1ccccc1C1CN(CCC[C@H]2CCO2)C1 ZINC000639198300 354599975 /nfs/dbraw/zinc/59/99/75/354599975.db2.gz XZFJAKYFHUDWNV-ZDUSSCGKSA-N 0 3 249.329 2.794 20 0 BFADHN CC(C)C1CC(N[C@@H]2C[C@H](C)n3ccnc32)C1 ZINC000334744119 354547589 /nfs/dbraw/zinc/54/75/89/354547589.db2.gz SRXSQUIPGYNYCB-YWPUVAFDSA-N 0 3 233.359 2.913 20 0 BFADHN Cc1cccc(CN2CC3(C2)CCOCC3)c1 ZINC000334795272 354549316 /nfs/dbraw/zinc/54/93/16/354549316.db2.gz FFSVHPBZOGFKJG-UHFFFAOYSA-N 0 3 231.339 2.607 20 0 BFADHN CN(Cc1cccn1C)C1CC(C)(C)C1 ZINC000334796157 354549825 /nfs/dbraw/zinc/54/98/25/354549825.db2.gz BHGFBAZIMCKSMP-UHFFFAOYSA-N 0 3 206.333 2.646 20 0 BFADHN C[C@H]1SCCN(C/C=C/c2ccncc2)[C@H]1C ZINC000334796709 354551205 /nfs/dbraw/zinc/55/12/05/354551205.db2.gz KMLGETRCZZKROS-HORCIZIBSA-N 0 3 248.395 2.921 20 0 BFADHN CC[C@H]1CCN([C@@H](C)c2ncc(C)o2)C1 ZINC000335091765 354628279 /nfs/dbraw/zinc/62/82/79/354628279.db2.gz BLZGKELHDSYEHJ-QWRGUYRKSA-N 0 3 208.305 2.776 20 0 BFADHN C[C@H]1[C@H](C)N(C/C=C/c2ccccc2)CCN1C ZINC000335093697 354630721 /nfs/dbraw/zinc/63/07/21/354630721.db2.gz BRPULPJJEJXDSE-IDZXHDBHSA-N 0 3 244.382 2.724 20 0 BFADHN CC[C@H]1CCN1Cc1ccc2nccnc2c1 ZINC000639203744 354633216 /nfs/dbraw/zinc/63/32/16/354633216.db2.gz YXEGMQRROWOYTL-LBPRGKRZSA-N 0 3 227.311 2.614 20 0 BFADHN CCc1nc(C)c(CN[C@H](C)[C@@H]2CC2(F)F)o1 ZINC000639202902 354609214 /nfs/dbraw/zinc/60/92/14/354609214.db2.gz NEFMTAVKIULUJG-APPZFPTMSA-N 0 3 244.285 2.679 20 0 BFADHN CC(C)n1cncc1CN1C[C@H](C)C[C@@H]1C ZINC000335082601 354615245 /nfs/dbraw/zinc/61/52/45/354615245.db2.gz FHPMYTAIXPMJIN-NEPJUHHUSA-N 0 3 221.348 2.694 20 0 BFADHN Cc1cccc2ncc(CN3CCC[C@@H]3C)n21 ZINC000335082709 354616158 /nfs/dbraw/zinc/61/61/58/354616158.db2.gz CBOMJSMMZFOYFY-NSHDSACASA-N 0 3 229.327 2.627 20 0 BFADHN CO[C@@H]1[C@H](C)[C@H](NCc2occc2C)C1(C)C ZINC000345696562 354734163 /nfs/dbraw/zinc/73/41/63/354734163.db2.gz CZBFHGFEKKONOQ-KGYLQXTDSA-N 0 3 237.343 2.737 20 0 BFADHN COc1cccc(C)c1CNC[C@H](OC)C1CC1 ZINC000639208916 354729564 /nfs/dbraw/zinc/72/95/64/354729564.db2.gz HSKIJPSYRUPNKQ-HNNXBMFYSA-N 0 3 249.354 2.518 20 0 BFADHN CO[C@@H]1[C@H](C)[C@@H](NCc2ccc(C)cn2)C1(C)C ZINC000345706096 354754048 /nfs/dbraw/zinc/75/40/48/354754048.db2.gz MJERNTLXEIYYMT-MRVWCRGKSA-N 0 3 248.370 2.539 20 0 BFADHN C[C@@H]1CN(Cc2cnc(C3CC3)nc2)C(C)(C)C1 ZINC000335138879 354791042 /nfs/dbraw/zinc/79/10/42/354791042.db2.gz LSCWBRUYECIPAS-NSHDSACASA-N 0 3 245.370 2.974 20 0 BFADHN CCOc1ccc(CN(C)C2CC2)cc1 ZINC000193055091 366232803 /nfs/dbraw/zinc/23/28/03/366232803.db2.gz SAHQBSVTLBZTHN-UHFFFAOYSA-N 0 3 205.301 2.680 20 0 BFADHN C[C@H](CCC1CC1)NCc1ccn(C(F)F)n1 ZINC000345796616 354771969 /nfs/dbraw/zinc/77/19/69/354771969.db2.gz NLZVGBYEKIKELC-SECBINFHSA-N 0 3 243.301 2.947 20 0 BFADHN CC1(CN2CCC[C@@H]2c2ccc(F)cc2)COC1 ZINC000345923893 354808478 /nfs/dbraw/zinc/80/84/78/354808478.db2.gz BLDGVZPYGKYKSN-CQSZACIVSA-N 0 3 249.329 2.999 20 0 BFADHN CC1(CN(Cc2ccc(F)cc2)C2CC2)COC1 ZINC000345926886 354810076 /nfs/dbraw/zinc/81/00/76/354810076.db2.gz QOEYWXSPPXBMRW-UHFFFAOYSA-N 0 3 249.329 2.827 20 0 BFADHN CN(Cc1cccc(Cl)c1)CC1(C)COC1 ZINC000345946043 354816787 /nfs/dbraw/zinc/81/67/87/354816787.db2.gz XSRTXUKRIMHFFU-UHFFFAOYSA-N 0 3 239.746 2.808 20 0 BFADHN CC1(CN(Cc2cccc(F)c2)C2CC2)COC1 ZINC000345922482 354826948 /nfs/dbraw/zinc/82/69/48/354826948.db2.gz MLGMOXSEFLAMBT-UHFFFAOYSA-N 0 3 249.329 2.827 20 0 BFADHN C[C@H]1CN(Cc2nc3ccccc3[nH]2)C[C@H]1C ZINC000353878506 354831705 /nfs/dbraw/zinc/83/17/05/354831705.db2.gz DPQWQZVIRWXBNK-PHIMTYICSA-N 0 3 229.327 2.651 20 0 BFADHN CCC[C@H](CN(C)Cc1occc1C)OC ZINC000639219242 354877009 /nfs/dbraw/zinc/87/70/09/354877009.db2.gz FPZQJMRWXLIWCM-GFCCVEGCSA-N 0 3 225.332 2.835 20 0 BFADHN COc1cccnc1CNC[C@H](C)CC(C)C ZINC000449750116 202020756 /nfs/dbraw/zinc/02/07/56/202020756.db2.gz SREPNNOMYSUVQN-GFCCVEGCSA-N 0 3 236.359 2.862 20 0 BFADHN CCC[C@H](CC)NCc1ncccc1OC ZINC000449748664 202020780 /nfs/dbraw/zinc/02/07/80/202020780.db2.gz NDIUSARQIOPVIE-NSHDSACASA-N 0 3 222.332 2.759 20 0 BFADHN C[C@H]1CC[C@H](C)N(Cc2cnc(C3CC3)nc2)C1 ZINC000335140598 354844545 /nfs/dbraw/zinc/84/45/45/354844545.db2.gz ULOUOHKFTBMGKD-RYUDHWBXSA-N 0 3 245.370 2.974 20 0 BFADHN CCCN(CC)CC(=O)N(C)[C@H](C)CC(C)C ZINC000346335342 354899690 /nfs/dbraw/zinc/89/96/90/354899690.db2.gz LTICGCPODKPMCK-CYBMUJFWSA-N 0 3 242.407 2.611 20 0 BFADHN COc1cccc(C)c1CN1CC[C@@H]1C1CC1 ZINC000639222188 354903826 /nfs/dbraw/zinc/90/38/26/354903826.db2.gz IGWRFLILQQLTJP-CQSZACIVSA-N 0 3 231.339 2.988 20 0 BFADHN CC(C)(C)C1=CCN(CCOCC(F)F)CC1 ZINC000346411534 354914684 /nfs/dbraw/zinc/91/46/84/354914684.db2.gz KDSNCQAJRUZVEA-UHFFFAOYSA-N 0 3 247.329 2.946 20 0 BFADHN C[C@H](NCc1ccc(Cl)o1)C1CCOCC1 ZINC000166050717 354939124 /nfs/dbraw/zinc/93/91/24/354939124.db2.gz PJVYHXHEOHEWPO-VIFPVBQESA-N 0 3 243.734 2.838 20 0 BFADHN Cc1ccsc1CN1C[C@@H]2C[C@H]1CS2 ZINC000335174366 354940714 /nfs/dbraw/zinc/94/07/14/354940714.db2.gz QTZQCMBIDLJWKF-UWVGGRQHSA-N 0 3 225.382 2.746 20 0 BFADHN Cc1cc(CN2CC[C@H](C(C)(C)C)C2)n(C)n1 ZINC000335176440 354945327 /nfs/dbraw/zinc/94/53/27/354945327.db2.gz DYAROKYFRKOADE-LBPRGKRZSA-N 0 3 235.375 2.597 20 0 BFADHN CC1(C)CC[C@H](CNCc2ccccc2)O1 ZINC000166059501 354955241 /nfs/dbraw/zinc/95/52/41/354955241.db2.gz MOVLOJIENKMQKL-CYBMUJFWSA-N 0 3 219.328 2.734 20 0 BFADHN CCc1cccc(CN2CCN(C)[C@@H](C)[C@@H]2C)c1 ZINC000346557845 354967652 /nfs/dbraw/zinc/96/76/52/354967652.db2.gz IVLLWCQBDVQWEG-KBPBESRZSA-N 0 3 246.398 2.773 20 0 BFADHN Fc1cncc(CN2CC[C@H]3CCC[C@@H]32)c1 ZINC000335960291 134026281 /nfs/dbraw/zinc/02/62/81/134026281.db2.gz YRGNXHZOZMBKHP-YPMHNXCESA-N 0 3 220.291 2.595 20 0 BFADHN CC[C@@H]1COCCN1CCc1ccc(C)cc1 ZINC000346655357 355003654 /nfs/dbraw/zinc/00/36/54/355003654.db2.gz ZDMUSDVAHRGETO-OAHLLOKOSA-N 0 3 233.355 2.648 20 0 BFADHN COc1cc(C)cc(CN[C@@H](C)[C@@H]2CCCO2)c1 ZINC000346624173 354996638 /nfs/dbraw/zinc/99/66/38/354996638.db2.gz DORUMLGJLIRKMI-WFASDCNBSA-N 0 3 249.354 2.661 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@@H](C)CCC2CC2)[n-]1 ZINC000346578227 354999593 /nfs/dbraw/zinc/99/95/93/354999593.db2.gz SLJRAAYVOQPNAV-VHSXEESVSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@@H](C)N[C@@H](C)CCC2CC2)[nH]1 ZINC000346578227 354999597 /nfs/dbraw/zinc/99/95/97/354999597.db2.gz SLJRAAYVOQPNAV-VHSXEESVSA-N 0 3 236.363 2.596 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@@](O)(C2CC2)C1 ZINC000335209476 355046087 /nfs/dbraw/zinc/04/60/87/355046087.db2.gz IVYOJWHJWDZNBH-ABAIWWIYSA-N 0 3 249.329 2.734 20 0 BFADHN CC1(C)CC[C@H](NCc2ccn(C(F)F)n2)C1 ZINC000346676164 355008946 /nfs/dbraw/zinc/00/89/46/355008946.db2.gz YEQLPEQAOVDIOM-VIFPVBQESA-N 0 3 243.301 2.947 20 0 BFADHN FC(F)n1ccc(CNCCC2=CCCC2)n1 ZINC000346681768 355011013 /nfs/dbraw/zinc/01/10/13/355011013.db2.gz BLUVDGRRHFOVJF-UHFFFAOYSA-N 0 3 241.285 2.868 20 0 BFADHN Cc1cccc(CN2CCCC[C@@H]2C)n1 ZINC000335188972 355020169 /nfs/dbraw/zinc/02/01/69/355020169.db2.gz NSXGQIPGAMASLP-LBPRGKRZSA-N 0 3 204.317 2.764 20 0 BFADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1cc(C)ccn1 ZINC000449752592 202022318 /nfs/dbraw/zinc/02/23/18/202022318.db2.gz DCBFQQPQZRBQLX-GZBFAFLISA-N 0 3 248.370 2.998 20 0 BFADHN C[C@H](NC1(c2cccc(F)c2)CC1)[C@H]1CCCO1 ZINC000346805013 355109984 /nfs/dbraw/zinc/10/99/84/355109984.db2.gz YTAIXVKWJGTLKO-SMDDNHRTSA-N 0 3 249.329 2.972 20 0 BFADHN Cc1cccc(CCN2C[C@@H](C)N(C)C[C@H]2C)c1 ZINC000335231750 355092551 /nfs/dbraw/zinc/09/25/51/355092551.db2.gz QTYIAWPPBXPTNE-HUUCEWRRSA-N 0 3 246.398 2.562 20 0 BFADHN C[C@@]12CN(Cc3ccns3)C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000639227831 355092634 /nfs/dbraw/zinc/09/26/34/355092634.db2.gz PKTZSXQQWVWMSJ-XWUBHJNHSA-N 0 3 246.379 2.787 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NCc1cccc(F)c1 ZINC000449754091 202023129 /nfs/dbraw/zinc/02/31/29/202023129.db2.gz IPJBVTKPSAZLDW-ZIAGYGMSSA-N 0 3 237.318 2.873 20 0 BFADHN CC(C)=CCN1C[C@H](O)C[C@@H]1c1ccccc1 ZINC000335246789 355161207 /nfs/dbraw/zinc/16/12/07/355161207.db2.gz BPKKYPSTISFJRQ-HUUCEWRRSA-N 0 3 231.339 2.761 20 0 BFADHN COc1ccncc1CN1C[C@@H](C)CC(C)(C)C1 ZINC000346957008 355167894 /nfs/dbraw/zinc/16/78/94/355167894.db2.gz HSAUJJRCOGVLMH-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ccncc1CN1CCC(C)(C)CC1 ZINC000346854335 355138831 /nfs/dbraw/zinc/13/88/31/355138831.db2.gz PMKJQIYUMILKGB-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN OC1CCC(N[C@H]2C[C@@]2(F)c2ccccc2)CC1 ZINC000335249759 355168867 /nfs/dbraw/zinc/16/88/67/355168867.db2.gz QWFLWFTXDXZUBB-PFSRBDOWSA-N 0 3 249.329 2.517 20 0 BFADHN CCCN(Cc1cnccc1OC)CC(C)C ZINC000346904234 355148879 /nfs/dbraw/zinc/14/88/79/355148879.db2.gz NEKZAKKRYOSODR-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1ccco1 ZINC000166077461 355155255 /nfs/dbraw/zinc/15/52/55/355155255.db2.gz ZDUJOPJALODAOH-MNOVXSKESA-N 0 3 223.316 2.888 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cnccc1OC ZINC000346970911 355207457 /nfs/dbraw/zinc/20/74/57/355207457.db2.gz AXLVXKPJMZIJNS-LLVKDONJSA-N 0 3 222.332 2.568 20 0 BFADHN CC[C@H](C[C@@H](C)CO)N[C@@H](C)c1ccccn1 ZINC000346977077 355212034 /nfs/dbraw/zinc/21/20/34/355212034.db2.gz MKBBJIANKIAPPR-FRRDWIJNSA-N 0 3 236.359 2.529 20 0 BFADHN CCC[C@@H](NCCOCC1CC1)c1ccccn1 ZINC000346970601 355174876 /nfs/dbraw/zinc/17/48/76/355174876.db2.gz IWGJAUIJSXXSCT-CQSZACIVSA-N 0 3 248.370 2.939 20 0 BFADHN CCn1cncc1CN1CC2(CCC2)C[C@H]1C ZINC000335241667 355176620 /nfs/dbraw/zinc/17/66/20/355176620.db2.gz UKYPBCPFRZHFNI-GFCCVEGCSA-N 0 3 233.359 2.668 20 0 BFADHN FC1(F)CCCC[C@@H]1CN1CCSCC1 ZINC000335242128 355177582 /nfs/dbraw/zinc/17/75/82/355177582.db2.gz NSQGSWROYRPEBY-SNVBAGLBSA-N 0 3 235.343 2.861 20 0 BFADHN FCCCN1CCC[C@@H]1c1cccnc1 ZINC000347003525 355200944 /nfs/dbraw/zinc/20/09/44/355200944.db2.gz YZAVSZJETKNPOD-GFCCVEGCSA-N 0 3 208.280 2.578 20 0 BFADHN C[C@@]1(F)CCN(C[C@@H]2Cc3ccccc32)C1 ZINC000347004277 355201828 /nfs/dbraw/zinc/20/18/28/355201828.db2.gz KANIHFKYCJANCM-GXTWGEPZSA-N 0 3 219.303 2.760 20 0 BFADHN C[C@@H](C1CCC1)N(C)Cc1cncc(F)c1 ZINC000347016340 355205614 /nfs/dbraw/zinc/20/56/14/355205614.db2.gz OAYIFXSOPGLWEA-JTQLQIEISA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H](C(=O)N(CC)C1CCCCC1)N(C)C ZINC000413125981 191358167 /nfs/dbraw/zinc/35/81/67/191358167.db2.gz RQQYOJYYIPGDFO-ZDUSSCGKSA-N 0 3 240.391 2.508 20 0 BFADHN CC(C)N(CCCC1CCCCC1)CC(N)=O ZINC000347608775 355233818 /nfs/dbraw/zinc/23/38/18/355233818.db2.gz JUKNTZZCZCSQJL-UHFFFAOYSA-N 0 3 240.391 2.543 20 0 BFADHN CC[C@H](CN(C)Cc1cccc(OC)c1)OC ZINC000412976030 191346507 /nfs/dbraw/zinc/34/65/07/191346507.db2.gz HLFRHEXYMIVDPT-CYBMUJFWSA-N 0 3 237.343 2.552 20 0 BFADHN FC1(F)CC(CCNCc2ccns2)C1 ZINC000639244764 355249290 /nfs/dbraw/zinc/24/92/90/355249290.db2.gz OYGNNLUZMDHFMR-UHFFFAOYSA-N 0 3 232.299 2.668 20 0 BFADHN CC[C@H](CN1CCc2ccc(F)cc2C1)OC ZINC000412976680 191347256 /nfs/dbraw/zinc/34/72/56/191347256.db2.gz JUZWSIOEQUTAQQ-CQSZACIVSA-N 0 3 237.318 2.609 20 0 BFADHN CC[C@H](CN(C)[C@H](C)c1ccco1)OC ZINC000412979019 191348777 /nfs/dbraw/zinc/34/87/77/191348777.db2.gz RXMBHQNHSOJCNG-GHMZBOCLSA-N 0 3 211.305 2.697 20 0 BFADHN CC[C@@H](C(=O)N1CCCCC[C@H]1CC)N(C)C ZINC000413488733 191389167 /nfs/dbraw/zinc/38/91/67/191389167.db2.gz PZCBJBYXNVOGCU-OLZOCXBDSA-N 0 3 240.391 2.508 20 0 BFADHN CCc1ccc(CN(CC)C2CC2)cn1 ZINC000347598958 355251917 /nfs/dbraw/zinc/25/19/17/355251917.db2.gz PHZYYCVVDHYYLM-UHFFFAOYSA-N 0 3 204.317 2.628 20 0 BFADHN Cc1ccc(C)c(CN2CCN(C)CC2(C)C)c1 ZINC000347602995 355252932 /nfs/dbraw/zinc/25/29/32/355252932.db2.gz OWMJWRURONNUNX-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1cnn(C)c1CN(CC(C)C)C(C)C ZINC000347603427 355254075 /nfs/dbraw/zinc/25/40/75/355254075.db2.gz CPUUWIUKBPEVIB-UHFFFAOYSA-N 0 3 223.364 2.595 20 0 BFADHN CC[C@](C)(CN1CC(CC(F)(F)F)C1)OC ZINC000639252583 355288709 /nfs/dbraw/zinc/28/87/09/355288709.db2.gz LKGRXFQTJQGFFH-SNVBAGLBSA-N 0 3 239.281 2.686 20 0 BFADHN FC1CC2(C1)CN(CC1CCSCC1)C2 ZINC000639252552 355288714 /nfs/dbraw/zinc/28/87/14/355288714.db2.gz KKKASAOALAXRSO-UHFFFAOYSA-N 0 3 229.364 2.564 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@@H]2CC2(F)F)nc1C ZINC000639256072 355297371 /nfs/dbraw/zinc/29/73/71/355297371.db2.gz CGPZCSSWGAVSAX-JQWIXIFHSA-N 0 3 240.297 2.832 20 0 BFADHN CC[C@H](N[C@H](c1nccn1C)C1CC1)[C@@H]1C[C@H]1C ZINC000414137769 191440741 /nfs/dbraw/zinc/44/07/41/191440741.db2.gz GOHYTCONIQOVEF-ZZVYKPCYSA-N 0 3 247.386 2.895 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CN1CCO[C@H](C)C1 ZINC000191044450 358496011 /nfs/dbraw/zinc/49/60/11/358496011.db2.gz HGYIHUBEKNDNKF-MGPQQGTHSA-N 0 3 223.360 2.700 20 0 BFADHN CCC[C@H](CN[C@@H]1CCCC[C@H]1F)OC ZINC000639264793 355324075 /nfs/dbraw/zinc/32/40/75/355324075.db2.gz GKYGHLJLILBSDK-IJLUTSLNSA-N 0 3 217.328 2.672 20 0 BFADHN COCC[C@H](N[C@H](C)[C@H]1C[C@H]1C)c1ccco1 ZINC000414116543 191449279 /nfs/dbraw/zinc/44/92/79/191449279.db2.gz XDNZAGMLMPJDCZ-NDBYEHHHSA-N 0 3 237.343 2.991 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@H]1F)[C@@H]1CC1(F)F ZINC000639267783 355329550 /nfs/dbraw/zinc/32/95/50/355329550.db2.gz SINWJCGCYPMAHA-AXTSPUMRSA-N 0 3 221.266 2.900 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1cc2n(n1)CCC2 ZINC000414177523 191454175 /nfs/dbraw/zinc/45/41/75/191454175.db2.gz JSNTXADNEIPKNE-UZGDPCLZSA-N 0 3 245.370 2.669 20 0 BFADHN COc1cccc(C)c1CNC[C@@H]1CC[C@H](C)O1 ZINC000639249056 355280245 /nfs/dbraw/zinc/28/02/45/355280245.db2.gz CICWYEFJTLSOGR-STQMWFEESA-N 0 3 249.354 2.661 20 0 BFADHN Cn1cc(CCCN2CC3(C2)CCCCC3)cn1 ZINC000639249797 355281962 /nfs/dbraw/zinc/28/19/62/355281962.db2.gz GWSFEHFTNZBPAT-UHFFFAOYSA-N 0 3 247.386 2.619 20 0 BFADHN CCC[C@@H](CN1CC(CC(F)(F)F)C1)OC ZINC000639252178 355286104 /nfs/dbraw/zinc/28/61/04/355286104.db2.gz AHMHQQVFGVFFAC-JTQLQIEISA-N 0 3 239.281 2.686 20 0 BFADHN C[C@@H](NCC(C)(C)OCC1CC1)c1ccccn1 ZINC000414250463 191466965 /nfs/dbraw/zinc/46/69/65/191466965.db2.gz BDNWIZZQBQYJEG-GFCCVEGCSA-N 0 3 248.370 2.937 20 0 BFADHN CCC1CCC(NCc2ccn(CC)n2)CC1 ZINC000414215779 191471622 /nfs/dbraw/zinc/47/16/22/191471622.db2.gz YQHDHZKNYBFYFM-UHFFFAOYSA-N 0 3 235.375 2.961 20 0 BFADHN CCC[C@@H](CN1CC(C)(CC(F)F)C1)OC ZINC000639282213 355377314 /nfs/dbraw/zinc/37/73/14/355377314.db2.gz BYGCJZVQNNYKPK-JTQLQIEISA-N 0 3 235.318 2.779 20 0 BFADHN CC/C=C\CNCc1ccc(C(=O)OC)cc1 ZINC000395064375 355377333 /nfs/dbraw/zinc/37/73/33/355377333.db2.gz PXDVPZQRCFTESS-PLNGDYQASA-N 0 3 233.311 2.529 20 0 BFADHN CCCC(CCC)N1CCc2n[nH]cc2C1 ZINC000623238269 355382558 /nfs/dbraw/zinc/38/25/58/355382558.db2.gz AJGDJYFKTRXKST-UHFFFAOYSA-N 0 3 221.348 2.737 20 0 BFADHN c1[nH]nc2c1CN([C@@H]1CCC[C@@H](C3CC3)C1)CC2 ZINC000623238457 355383285 /nfs/dbraw/zinc/38/32/85/355383285.db2.gz HFPCYVWVDBDCIZ-TZMCWYRMSA-N 0 3 245.370 2.737 20 0 BFADHN C[C@H](CCO)N[C@H](C)c1csc(Cl)c1 ZINC000191298505 355384703 /nfs/dbraw/zinc/38/47/03/355384703.db2.gz ZYUHVQQRZCLUKN-HTQZYQBOSA-N 0 3 233.764 2.823 20 0 BFADHN C[C@H](NCC(C)(C)O)c1csc(Cl)c1 ZINC000191277912 355384821 /nfs/dbraw/zinc/38/48/21/355384821.db2.gz YMDXCFDZAHEWCW-ZETCQYMHSA-N 0 3 233.764 2.823 20 0 BFADHN Cc1cc(CN[C@H]2CS[C@@H](C)C2)cc(C)n1 ZINC000639274226 355342550 /nfs/dbraw/zinc/34/25/50/355342550.db2.gz VNRJPBUUQDCUSS-WCQYABFASA-N 0 3 236.384 2.682 20 0 BFADHN COCCCCN(C)Cc1ccc(C)cc1F ZINC000639274791 355342591 /nfs/dbraw/zinc/34/25/91/355342591.db2.gz ZIWUITUMHMNHKZ-UHFFFAOYSA-N 0 3 239.334 2.993 20 0 BFADHN F[C@]1(c2ccccc2)C[C@@H]1NCCC[C@H]1CCO1 ZINC000639276306 355348651 /nfs/dbraw/zinc/34/86/51/355348651.db2.gz AYRMFVOHIOWGBF-KKUMJFAQSA-N 0 3 249.329 2.782 20 0 BFADHN CCOC1CC(CNCc2ccc(C)c(C)n2)C1 ZINC000639277905 355359505 /nfs/dbraw/zinc/35/95/05/355359505.db2.gz RALMQOZKKRTZPP-UHFFFAOYSA-N 0 3 248.370 2.603 20 0 BFADHN Cc1n[nH]cc1CN1CC2(CCC2)[C@H]1C(C)C ZINC000335779978 355407076 /nfs/dbraw/zinc/40/70/76/355407076.db2.gz VZNJUWDXLMHADV-CYBMUJFWSA-N 0 3 233.359 2.729 20 0 BFADHN C[C@@H]1CCC[C@H](N2CCc3n[nH]cc3C2)[C@@H]1C ZINC000623240411 355416606 /nfs/dbraw/zinc/41/66/06/355416606.db2.gz KQJLTJMXWDEYCQ-GYSYKLTISA-N 0 3 233.359 2.593 20 0 BFADHN CC[C@H](C)C[C@H](CC)N1CCc2n[nH]cc2C1 ZINC000623240891 355420696 /nfs/dbraw/zinc/42/06/96/355420696.db2.gz ZUTTZJVIKOXWDH-AAEUAGOBSA-N 0 3 235.375 2.983 20 0 BFADHN COC1CCN(C/C=C\c2ccc(F)cc2)CC1 ZINC000349764014 355437634 /nfs/dbraw/zinc/43/76/34/355437634.db2.gz LHXQVHNBUNCQTE-IHWYPQMZSA-N 0 3 249.329 2.950 20 0 BFADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1ccnn1C ZINC000335789314 355440233 /nfs/dbraw/zinc/44/02/33/355440233.db2.gz WYPTUWZAZMXRDC-VHSXEESVSA-N 0 3 243.301 2.649 20 0 BFADHN Fc1cncc(CN2C[C@H]3CCCC[C@@H]3C2)c1 ZINC000335792500 355445137 /nfs/dbraw/zinc/44/51/37/355445137.db2.gz QLQPUXDCIROUNU-CHWSQXEVSA-N 0 3 234.318 2.843 20 0 BFADHN CCc1ccc(CN[C@@H](C)C2(OC)CCC2)o1 ZINC000414522932 191528954 /nfs/dbraw/zinc/52/89/54/191528954.db2.gz HKYJBOVRTNMVOW-NSHDSACASA-N 0 3 237.343 2.889 20 0 BFADHN CC(C)=CCC[C@@H](C)N1CCc2n[nH]cc2C1 ZINC000623239239 355395150 /nfs/dbraw/zinc/39/51/50/355395150.db2.gz ARLGZALCLNJVCW-GFCCVEGCSA-N 0 3 233.359 2.903 20 0 BFADHN C[C@@H]1SCC[C@@H]1NCc1cc(C2CC2)no1 ZINC000639288138 355399051 /nfs/dbraw/zinc/39/90/51/355399051.db2.gz BPLBGPREGYCOKY-KWQFWETISA-N 0 3 238.356 2.536 20 0 BFADHN Cc1nc2ccccn2c1CN(C)CC(C)C ZINC000349837481 355490699 /nfs/dbraw/zinc/49/06/99/355490699.db2.gz FIPIERSPRZYGBK-UHFFFAOYSA-N 0 3 231.343 2.731 20 0 BFADHN CC[C@@H](CN1CCO[C@H](C)C1)c1ccccc1 ZINC000349786785 355462464 /nfs/dbraw/zinc/46/24/64/355462464.db2.gz CRQYNSGXAVFVNL-KGLIPLIRSA-N 0 3 233.355 2.901 20 0 BFADHN Cc1ccc(CN2CCN(C(C)C)CC2)cc1C ZINC000349800372 355464701 /nfs/dbraw/zinc/46/47/01/355464701.db2.gz CGLIQXHPCCEIFL-UHFFFAOYSA-N 0 3 246.398 2.829 20 0 BFADHN Cc1c(CN(C)CC2CCCCC2)cnn1C ZINC000349802053 355465648 /nfs/dbraw/zinc/46/56/48/355465648.db2.gz SOICIONUHFDFKR-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN COc1cncc(CN2C[C@@H]3CCCC[C@@H]3C2)c1 ZINC000335810669 355486981 /nfs/dbraw/zinc/48/69/81/355486981.db2.gz WCJTXGFLLAYCSR-OKILXGFUSA-N 0 3 246.354 2.712 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CN1CCc2n[nH]cc2C1 ZINC000623241376 355488719 /nfs/dbraw/zinc/48/87/19/355488719.db2.gz QXBIOGTYXZMUHY-OCCSQVGLSA-N 0 3 245.370 2.760 20 0 BFADHN CC(C)C(CN1CCc2n[nH]cc2C1)C(C)C ZINC000623242375 355530700 /nfs/dbraw/zinc/53/07/00/355530700.db2.gz YPVWOCADEQSBIB-UHFFFAOYSA-N 0 3 235.375 2.696 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC(F)(F)[C@H](C)C2)O1 ZINC000352029249 134044972 /nfs/dbraw/zinc/04/49/72/134044972.db2.gz USBDKPRCZSSVNK-MXWKQRLJSA-N 0 3 233.302 2.531 20 0 BFADHN Cc1ccc(CN2CCCC3(CC3)C2)cn1 ZINC000335821504 355539943 /nfs/dbraw/zinc/53/99/43/355539943.db2.gz ONIPGAHNYWAQMI-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN CCN(CCOC)Cc1cc(C)cc(C)c1 ZINC000349860542 355507735 /nfs/dbraw/zinc/50/77/35/355507735.db2.gz IVYAOALHUDVTJL-UHFFFAOYSA-N 0 3 221.344 2.772 20 0 BFADHN CCN(CCOC)Cc1ccc2c(c1)CCC2 ZINC000349861558 355510377 /nfs/dbraw/zinc/51/03/77/355510377.db2.gz PDDZHKMUOSDJFD-UHFFFAOYSA-N 0 3 233.355 2.644 20 0 BFADHN Cc1ccn2c(CN(C)CC(C)C)cnc2c1 ZINC000349875529 355514914 /nfs/dbraw/zinc/51/49/14/355514914.db2.gz NXMZIHMCOUOWHY-UHFFFAOYSA-N 0 3 231.343 2.731 20 0 BFADHN FC1(F)CC12CN(C[C@@H]1CC[C@@H]3C[C@@H]3C1)C2 ZINC000639297220 355602473 /nfs/dbraw/zinc/60/24/73/355602473.db2.gz PVKSTZFQPRJNCK-MXWKQRLJSA-N 0 3 227.298 2.764 20 0 BFADHN C[C@H](NCc1nccn1C)[C@@H]1CCC[C@H](C)C1 ZINC000449764357 202025706 /nfs/dbraw/zinc/02/57/06/202025706.db2.gz AOYHFGANXODGTB-RWMBFGLXSA-N 0 3 235.375 2.725 20 0 BFADHN Cc1ccc2nccc(N[C@H]3CO[C@H](C)C3)c2c1 ZINC000582178485 355611414 /nfs/dbraw/zinc/61/14/14/355611414.db2.gz BYFYYHPCUIVHDU-VXGBXAGGSA-N 0 3 242.322 2.554 20 0 BFADHN CC[C@@H](C)N(C)C(=O)Nc1cccc(CNC)c1 ZINC000415917617 191703350 /nfs/dbraw/zinc/70/33/50/191703350.db2.gz JJBXPVASKRYEMH-LLVKDONJSA-N 0 3 249.358 2.668 20 0 BFADHN C[C@H]([C@H]1CCCC[C@H]1C)N1CCc2n[nH]cc2C1 ZINC000623242850 355580416 /nfs/dbraw/zinc/58/04/16/355580416.db2.gz LETUXPWLMCVEJR-BZPMIXESSA-N 0 3 247.386 2.983 20 0 BFADHN CC[C@H](C)CN[C@H](c1ccccc1F)[C@@H](C)O ZINC000583569243 355632824 /nfs/dbraw/zinc/63/28/24/355632824.db2.gz RARNNFINZZVBDT-WDMOLILDSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1cnc(CN2C[C@@H](C)C[C@@H]2C)s1 ZINC000335868484 355633750 /nfs/dbraw/zinc/63/37/50/355633750.db2.gz OILKNWNIUUFKJF-IUCAKERBSA-N 0 3 210.346 2.682 20 0 BFADHN C[C@@]1(CNCc2cccc(F)c2N)CC1(F)F ZINC000639317910 355713630 /nfs/dbraw/zinc/71/36/30/355713630.db2.gz XCXRSYIGLZGCBQ-NSHDSACASA-N 0 3 244.260 2.543 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CS[C@H](C)C2)o1 ZINC000393979437 355713667 /nfs/dbraw/zinc/71/36/67/355713667.db2.gz ZZDZIYIJMDTTCR-PSASIEDQSA-N 0 3 240.372 2.529 20 0 BFADHN CC[C@]1(C)CCC[C@@H]1NCc1cc(OC)no1 ZINC000639323252 355716541 /nfs/dbraw/zinc/71/65/41/355716541.db2.gz AOWDRRUQOIYMEB-WCQYABFASA-N 0 3 238.331 2.742 20 0 BFADHN CC[C@]1(C)CCC[C@H]1NCc1cc(OC)no1 ZINC000639323251 355716919 /nfs/dbraw/zinc/71/69/19/355716919.db2.gz AOWDRRUQOIYMEB-DGCLKSJQSA-N 0 3 238.331 2.742 20 0 BFADHN CCCC[C@@H](C)[C@@H](C)NCc1cc(OC)no1 ZINC000639324127 355718044 /nfs/dbraw/zinc/71/80/44/355718044.db2.gz KDXDDOPFHPYYQI-GHMZBOCLSA-N 0 3 240.347 2.988 20 0 BFADHN Cc1c[nH]nc1CN1C[C@@H]2[C@@H]3CC[C@@H](C3)[C@@]2(C)C1 ZINC000449761739 202026708 /nfs/dbraw/zinc/02/67/08/202026708.db2.gz AOFNZOUWEARJSB-QVHKTLOISA-N 0 3 245.370 2.586 20 0 BFADHN CCc1nc(C)c(CN2CCCC3(CC3)C2)o1 ZINC000335892549 355685449 /nfs/dbraw/zinc/68/54/49/355685449.db2.gz IRSCPDBYKWGGFC-UHFFFAOYSA-N 0 3 234.343 2.921 20 0 BFADHN Cc1cc(Cl)cc(CN2CCC[C@H]2CO)c1 ZINC000350681768 355740492 /nfs/dbraw/zinc/74/04/92/355740492.db2.gz MGSQKVBZLYXSDP-ZDUSSCGKSA-N 0 3 239.746 2.605 20 0 BFADHN CC1(C)CC(NCc2cc(C3CC3)no2)C1 ZINC000639331997 355756086 /nfs/dbraw/zinc/75/60/86/355756086.db2.gz COMZBFOIPOOMTD-UHFFFAOYSA-N 0 3 220.316 2.830 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1ccc(Cl)nc1 ZINC000191640654 355758842 /nfs/dbraw/zinc/75/88/42/355758842.db2.gz VQOQAIJUCAUTMQ-LPEHRKFASA-N 0 3 242.750 2.809 20 0 BFADHN CCc1nocc1CN1CCC(CC)CC1 ZINC000350556723 355726098 /nfs/dbraw/zinc/72/60/98/355726098.db2.gz KGWPXIVSOOKIGS-UHFFFAOYSA-N 0 3 222.332 2.859 20 0 BFADHN COc1cc(CN[C@H]2C[C@H](C)C[C@H](C)C2)on1 ZINC000639325377 355727469 /nfs/dbraw/zinc/72/74/69/355727469.db2.gz WRFVXILCLTZVQC-URLYPYJESA-N 0 3 238.331 2.598 20 0 BFADHN C[C@H]1c2ccc(F)cc2CCN1CC1(C)COC1 ZINC000608497576 355824159 /nfs/dbraw/zinc/82/41/59/355824159.db2.gz YZQPISCPURYUKQ-NSHDSACASA-N 0 3 249.329 2.781 20 0 BFADHN c1ccc(C[C@H]2CCN2Cc2ccccn2)cc1 ZINC000353997399 355779254 /nfs/dbraw/zinc/77/92/54/355779254.db2.gz LLGLKQJTVUTYMQ-MRXNPFEDSA-N 0 3 238.334 2.899 20 0 BFADHN C[C@@H]1c2c(F)cccc2CCN1CC1(C)COC1 ZINC000608497535 355824497 /nfs/dbraw/zinc/82/44/97/355824497.db2.gz SYXHSILEWFHEQJ-LLVKDONJSA-N 0 3 249.329 2.781 20 0 BFADHN CCC[C@H](C1CCC1)N1CCc2n[nH]cc2C1 ZINC000639342748 355785072 /nfs/dbraw/zinc/78/50/72/355785072.db2.gz AULJXOJWHOPZBU-CQSZACIVSA-N 0 3 233.359 2.737 20 0 BFADHN C/C=C/CNCc1c(C)cccc1OC ZINC000639353616 355802986 /nfs/dbraw/zinc/80/29/86/355802986.db2.gz VIXUYDLBUVYPCA-SNAWJCMRSA-N 0 3 205.301 2.669 20 0 BFADHN CC[C@](C)(CN1CCc2c(O)cccc2C1)OC ZINC000639354352 355805941 /nfs/dbraw/zinc/80/59/41/355805941.db2.gz HFPRPIHOAQUOJR-OAHLLOKOSA-N 0 3 249.354 2.565 20 0 BFADHN CO[C@@H](CNCC(C)(C)F)C1CCCC1 ZINC000639359026 355813859 /nfs/dbraw/zinc/81/38/59/355813859.db2.gz XFCZPGOALHAHAE-NSHDSACASA-N 0 3 217.328 2.529 20 0 BFADHN COCC1(CN2CC(c3ccccc3)C2)CCC1 ZINC000639361852 355816160 /nfs/dbraw/zinc/81/61/60/355816160.db2.gz VFDKQIKIDZIRDE-UHFFFAOYSA-N 0 3 245.366 2.903 20 0 BFADHN C[C@H](NCc1ncc[nH]1)[C@@H]1C[C@H]1c1ccccc1 ZINC000639365048 355836632 /nfs/dbraw/zinc/83/66/32/355836632.db2.gz AKZWRLDCJRHRDV-UBHSHLNASA-N 0 3 241.338 2.692 20 0 BFADHN CCC(=O)CCCN1CCS[C@H](C(C)C)C1 ZINC000347737206 535291731 /nfs/dbraw/zinc/29/17/31/535291731.db2.gz PNDJFDYGMSQFRQ-ZDUSSCGKSA-N 0 3 243.416 2.819 20 0 BFADHN Cc1ccc(CC[C@@H](C)NCc2ncc[nH]2)cc1 ZINC000639371134 355866718 /nfs/dbraw/zinc/86/67/18/355866718.db2.gz JJHFYWQFHSYRCT-CYBMUJFWSA-N 0 3 243.354 2.829 20 0 BFADHN CC/C=C\CCN1CCCCC[C@@H]1C(=O)OC ZINC000613477196 363456466 /nfs/dbraw/zinc/45/64/66/363456466.db2.gz IAVYIRVYDQDWLD-DSYXLKISSA-N 0 3 239.359 2.760 20 0 BFADHN Cc1nn(C)c(C)c1CN1C2CCCC1CCC2 ZINC000608499549 355828215 /nfs/dbraw/zinc/82/82/15/355828215.db2.gz OWSAJBZMNGHGLI-UHFFFAOYSA-N 0 3 247.386 2.944 20 0 BFADHN C[C@@H](c1ccncc1)N1C[C@@H](C)S[C@@H](C)C1 ZINC000608502021 355829082 /nfs/dbraw/zinc/82/90/82/355829082.db2.gz HQNKVFKLPRTSSQ-TUAOUCFPSA-N 0 3 236.384 2.968 20 0 BFADHN CC1(NCCCCCOc2ccccc2)COC1 ZINC000639380587 355918688 /nfs/dbraw/zinc/91/86/88/355918688.db2.gz GOWCZNAWLJXSKZ-UHFFFAOYSA-N 0 3 249.354 2.614 20 0 BFADHN c1nc(C2CC2)oc1CN[C@]12C[C@H]1CCCC2 ZINC000639380904 355919670 /nfs/dbraw/zinc/91/96/70/355919670.db2.gz XIAPMCAZDGBXQU-BXUZGUMPSA-N 0 3 232.327 2.974 20 0 BFADHN Cc1ccnc(CN2CC(C)(C)[C@H]3CCC[C@H]32)n1 ZINC000336040959 134062146 /nfs/dbraw/zinc/06/21/46/134062146.db2.gz BYUQKNTUPBYZHI-QWHCGFSZSA-N 0 3 245.370 2.796 20 0 BFADHN CC[C@]1(O)CCCN(Cc2ccccc2F)C1 ZINC000639386255 355985186 /nfs/dbraw/zinc/98/51/86/355985186.db2.gz ZHQNRINLSJDPCC-AWEZNQCLSA-N 0 3 237.318 2.563 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCN(C)C[C@@H]1c1ccccc1 ZINC000351414386 355987593 /nfs/dbraw/zinc/98/75/93/355987593.db2.gz MYHPYNITYMBHDN-VNQPRFMTSA-N 0 3 244.382 2.631 20 0 BFADHN Cc1cnn(C)c1CN(C1CC1)C1CCCC1 ZINC000583661058 355993467 /nfs/dbraw/zinc/99/34/67/355993467.db2.gz YAHOWLUMYRIZKM-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN CCCC(=O)NC[C@H](c1ccc(C)cc1)N(C)C ZINC000036091056 355998496 /nfs/dbraw/zinc/99/84/96/355998496.db2.gz WGSZZBXVRLHQLK-CQSZACIVSA-N 0 3 248.370 2.514 20 0 BFADHN CC[C@]1(O)CCCN(Cc2ccc(F)cc2)C1 ZINC000639384028 355958336 /nfs/dbraw/zinc/95/83/36/355958336.db2.gz ANEOKQBGNVTWPJ-AWEZNQCLSA-N 0 3 237.318 2.563 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCCC[C@@H]1c1ncc[nH]1 ZINC000351265925 355970264 /nfs/dbraw/zinc/97/02/64/355970264.db2.gz DGGULRYCXJVYGL-GRYCIOLGSA-N 0 3 219.332 2.593 20 0 BFADHN Cn1nccc1CN1CCC[C@H](C2CCC2)CC1 ZINC000639391619 356045365 /nfs/dbraw/zinc/04/53/65/356045365.db2.gz HDMCLDQPQPDKGL-AWEZNQCLSA-N 0 3 247.386 2.822 20 0 BFADHN CC[C@H](CN1CCOC[C@@H]1C)c1ccccc1 ZINC000351871875 356105103 /nfs/dbraw/zinc/10/51/03/356105103.db2.gz ARVSSWYFKHKLHL-UONOGXRCSA-N 0 3 233.355 2.901 20 0 BFADHN COc1ncc(CN[C@@]23C[C@@H]2CCCC3)s1 ZINC000639395964 356107059 /nfs/dbraw/zinc/10/70/59/356107059.db2.gz SJPKFAKJFBNWAV-CABZTGNLSA-N 0 3 238.356 2.574 20 0 BFADHN C[C@@H](F)CCN1CCC[C@H]1c1ccncc1 ZINC000351733153 356073719 /nfs/dbraw/zinc/07/37/19/356073719.db2.gz YQWZGXHHDWYQCS-YPMHNXCESA-N 0 3 222.307 2.967 20 0 BFADHN COc1cc(C)nc(CN[C@@]23C[C@@H]2CCCC3)c1 ZINC000639394065 356080246 /nfs/dbraw/zinc/08/02/46/356080246.db2.gz HQQKPGYRVVKXEB-WFASDCNBSA-N 0 3 246.354 2.821 20 0 BFADHN COc1ccc2c(c1)CN(CC[C@@H](C)F)CC2 ZINC000351843365 356095910 /nfs/dbraw/zinc/09/59/10/356095910.db2.gz DNUBCOUILLWSCK-LLVKDONJSA-N 0 3 237.318 2.801 20 0 BFADHN C[C@@H](F)CCN1CCN(CCC(C)(C)C)CC1 ZINC000351894724 356162820 /nfs/dbraw/zinc/16/28/20/356162820.db2.gz DIQJJFRVCFKOSX-CYBMUJFWSA-N 0 3 244.398 2.788 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H]1C[C@@H](C)n2ccnc21 ZINC000395525073 356227881 /nfs/dbraw/zinc/22/78/81/356227881.db2.gz BMYFLUJPYOFNQX-WISYIIOYSA-N 0 3 219.332 2.525 20 0 BFADHN CN1CCCN(Cc2sccc2Cl)CC1 ZINC000336103843 134084093 /nfs/dbraw/zinc/08/40/93/134084093.db2.gz RAYFHHGUYXNSFC-UHFFFAOYSA-N 0 3 244.791 2.539 20 0 BFADHN CC1(C)CN(Cc2ccc(-n3ccnc3)cc2)C1 ZINC000639403705 356199127 /nfs/dbraw/zinc/19/91/27/356199127.db2.gz HZJSMPGDCFJZTK-UHFFFAOYSA-N 0 3 241.338 2.714 20 0 BFADHN CCCN(CC(C)=O)[C@H]1CCc2ccccc21 ZINC000639462956 356251129 /nfs/dbraw/zinc/25/11/29/356251129.db2.gz KTMXQXMKYLGFSF-HNNXBMFYSA-N 0 3 231.339 2.975 20 0 BFADHN Cc1cc(CN2CC[C@@]3(CC[C@H](C)C3)C2)ncn1 ZINC000336110418 134086458 /nfs/dbraw/zinc/08/64/58/134086458.db2.gz QYPHHSRJJNKFNO-SWLSCSKDSA-N 0 3 245.370 2.797 20 0 BFADHN CO[C@@H]1CCCN(Cc2c(C)cc(C)nc2C)C1 ZINC000639473740 356266818 /nfs/dbraw/zinc/26/68/18/356266818.db2.gz MAQZRSDBCNNTCO-CQSZACIVSA-N 0 3 248.370 2.618 20 0 BFADHN CC[C@H]1CCN1Cc1c(C)nc2ccccn21 ZINC000336122533 134091404 /nfs/dbraw/zinc/09/14/04/134091404.db2.gz YHXHRXKQZPTAAL-LBPRGKRZSA-N 0 3 229.327 2.627 20 0 BFADHN Cc1oncc1CN(C)[C@@H]1CC[C@H](C)C1 ZINC000336121123 134091533 /nfs/dbraw/zinc/09/15/33/134091533.db2.gz KGKGFNJGZWOQSL-JOYOIKCWSA-N 0 3 208.305 2.603 20 0 BFADHN CC(=O)CN1CCCC2(CCCCC2)C1 ZINC000639485482 356301427 /nfs/dbraw/zinc/30/14/27/356301427.db2.gz ALUJYBPNXNGOOY-UHFFFAOYSA-N 0 3 209.333 2.622 20 0 BFADHN CC(=O)CN1C[C@H](c2ccccc2C)C[C@@H]1C ZINC000639501778 356328754 /nfs/dbraw/zinc/32/87/54/356328754.db2.gz XKTRPJICIABWKE-GXTWGEPZSA-N 0 3 231.339 2.762 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cnoc1C ZINC000352414419 356341365 /nfs/dbraw/zinc/34/13/65/356341365.db2.gz GSJYXEYSVHGQDB-SNVBAGLBSA-N 0 3 210.321 2.994 20 0 BFADHN Cc1occc1CNC[C@@H](c1ccco1)N(C)C ZINC000320963701 259465693 /nfs/dbraw/zinc/46/56/93/259465693.db2.gz NYCRAEUUJQHLHJ-ZDUSSCGKSA-N 0 3 248.326 2.574 20 0 BFADHN Cc1cccc([C@@H](C)N[C@H](C)Cn2cccn2)c1 ZINC000037319884 356355636 /nfs/dbraw/zinc/35/56/36/356355636.db2.gz JFFHWUREAPPYCB-ZIAGYGMSSA-N 0 3 243.354 2.931 20 0 BFADHN CC1(C)CO[C@H](CN2CCC[C@@H]2c2ccc[nH]2)C1 ZINC000639522201 356369217 /nfs/dbraw/zinc/36/92/17/356369217.db2.gz DEMCFJGSNCHDEM-GXTWGEPZSA-N 0 3 248.370 2.967 20 0 BFADHN CCc1nc(C)c(CN2CCCC[C@@H]2C)o1 ZINC000336547362 356390056 /nfs/dbraw/zinc/39/00/56/356390056.db2.gz QCSSAIIJSJQOBZ-JTQLQIEISA-N 0 3 222.332 2.920 20 0 BFADHN CCc1nc(C)c(CN2CCCC[C@H]2C)o1 ZINC000336547363 356390096 /nfs/dbraw/zinc/39/00/96/356390096.db2.gz QCSSAIIJSJQOBZ-SNVBAGLBSA-N 0 3 222.332 2.920 20 0 BFADHN CC[C@@H]1CCCN1Cc1cnc(N(C)C)s1 ZINC000352652692 356395932 /nfs/dbraw/zinc/39/59/32/356395932.db2.gz QNIBPJTYCFHTSV-SNVBAGLBSA-N 0 3 239.388 2.584 20 0 BFADHN CCN1CCN(Cc2c(C)cccc2C)[C@H](C)C1 ZINC000352656861 356397094 /nfs/dbraw/zinc/39/70/94/356397094.db2.gz CWORBDIMQSPQKW-OAHLLOKOSA-N 0 3 246.398 2.829 20 0 BFADHN CCN1CCN(Cc2ccccc2C)[C@@H](C)C1 ZINC000352660270 356399132 /nfs/dbraw/zinc/39/91/32/356399132.db2.gz RJEZETXRJFVKHM-AWEZNQCLSA-N 0 3 232.371 2.521 20 0 BFADHN CCN1CCN(C/C=C\c2ccccc2)[C@H](C)C1 ZINC000352668833 356400942 /nfs/dbraw/zinc/40/09/42/356400942.db2.gz VFXDLFYZARPZSE-YYRKOSNBSA-N 0 3 244.382 2.726 20 0 BFADHN C[C@@H]1OCC[C@@H]1CN1CC=C(C(F)(F)F)CC1 ZINC000639547881 356411364 /nfs/dbraw/zinc/41/13/64/356411364.db2.gz NHIGRZPJRWNUGN-VHSXEESVSA-N 0 3 249.276 2.606 20 0 BFADHN CCN1CCN(CCCC(C)(C)C)[C@@H](C)C1 ZINC000352701982 356404845 /nfs/dbraw/zinc/40/48/45/356404845.db2.gz WYYPMUXUQUEELE-ZDUSSCGKSA-N 0 3 226.408 2.839 20 0 BFADHN CC[C@@H](NCc1[nH]ncc1C)c1c(C)noc1C ZINC000352835172 356457701 /nfs/dbraw/zinc/45/77/01/356457701.db2.gz KGAURECRIPMDLB-LLVKDONJSA-N 0 3 248.330 2.564 20 0 BFADHN C[C@@H]1OCC[C@@H]1CN1CCc2cccc(F)c2C1 ZINC000639555000 356460081 /nfs/dbraw/zinc/46/00/81/356460081.db2.gz QPZJCTMOTCNUTB-WCQYABFASA-N 0 3 249.329 2.609 20 0 BFADHN CCN(C[C@H](C)O)[C@@H](C)c1ccccc1F ZINC000352209509 134107530 /nfs/dbraw/zinc/10/75/30/134107530.db2.gz BVKJXKZCXXUZQP-QWRGUYRKSA-N 0 3 225.307 2.589 20 0 BFADHN C[C@@H]1OCC[C@H]1CN1CCc2ccc(F)cc2C1 ZINC000639558257 356462983 /nfs/dbraw/zinc/46/29/83/356462983.db2.gz TZWVTTSPXDHJJS-AAEUAGOBSA-N 0 3 249.329 2.609 20 0 BFADHN C[C@@H](c1ccncc1)N(C)C[C@@H]1CC(C)(C)CO1 ZINC000639551613 356437158 /nfs/dbraw/zinc/43/71/58/356437158.db2.gz PELOBFQFRFOAKW-JSGCOSHPSA-N 0 3 248.370 2.890 20 0 BFADHN CC[C@@H](C)[C@@H](N)C(=O)Nc1ccc(Cl)cc1 ZINC000019422495 356482702 /nfs/dbraw/zinc/48/27/02/356482702.db2.gz VJDCEQQQZSYJEV-LDYMZIIASA-N 0 3 240.734 2.652 20 0 BFADHN Cc1ccc(CN(C)[C@@H]2CCCOC2)cc1C ZINC000352231282 134112249 /nfs/dbraw/zinc/11/22/49/134112249.db2.gz QVFGAIVCPFDONT-OAHLLOKOSA-N 0 3 233.355 2.914 20 0 BFADHN CC(=O)CN[C@H]1CCCC[C@H]1Cc1ccccc1 ZINC000639589961 356512295 /nfs/dbraw/zinc/51/22/95/356512295.db2.gz RNRLRSFBGVDOKG-HOTGVXAUSA-N 0 3 245.366 2.967 20 0 BFADHN C[C@H](c1ccccc1F)N(C)C[C@H]1C[C@@H](O)C1 ZINC000420903732 192268524 /nfs/dbraw/zinc/26/85/24/192268524.db2.gz OPCFNWHVDHYITP-UTUOFQBUSA-N 0 3 237.318 2.589 20 0 BFADHN O[C@H]1C[C@@H](CN(Cc2cccc(F)c2)C2CC2)C1 ZINC000420909134 192270483 /nfs/dbraw/zinc/27/04/83/192270483.db2.gz YHMPUUBRNFEFPX-JNSHFYNHSA-N 0 3 249.329 2.561 20 0 BFADHN CC[C@@H]1CN(CC=C(C)C)C[C@@H](CC)O1 ZINC000420954875 192277666 /nfs/dbraw/zinc/27/76/66/192277666.db2.gz KMAYNWFWATVEQR-CHWSQXEVSA-N 0 3 211.349 2.842 20 0 BFADHN Cc1oncc1CN1CC[C@H](C)C2(CCC2)C1 ZINC000336181344 134119691 /nfs/dbraw/zinc/11/96/91/134119691.db2.gz TWTZMSQSIMSCBD-NSHDSACASA-N 0 3 234.343 2.995 20 0 BFADHN CCOc1ccccc1[C@H](C)NC[C@H]1C[C@@H](O)C1 ZINC000420956362 192279178 /nfs/dbraw/zinc/27/91/78/192279178.db2.gz ZKANDNDASQMZLQ-RWMBFGLXSA-N 0 3 249.354 2.507 20 0 BFADHN Cc1nsc(C)c1CN(C)CC(C)C ZINC000578111004 366264192 /nfs/dbraw/zinc/26/41/92/366264192.db2.gz HJBRJBWRHKXECM-UHFFFAOYSA-N 0 3 212.362 2.848 20 0 BFADHN CC[C@@]1(NCc2c(C)cc(C)nc2C)CCOC1 ZINC000639611062 356529091 /nfs/dbraw/zinc/52/90/91/356529091.db2.gz PWGIFRAYLOEXHE-OAHLLOKOSA-N 0 3 248.370 2.666 20 0 BFADHN C[C@H]1CCC[C@H]1NCC(=O)c1ccccc1F ZINC000639614638 356532971 /nfs/dbraw/zinc/53/29/71/356532971.db2.gz YFGIGFJHQZLEKC-GXFFZTMASA-N 0 3 235.302 2.787 20 0 BFADHN CC[C@H](O)CN[C@H](CC(F)F)c1ccccc1 ZINC000420999954 192295570 /nfs/dbraw/zinc/29/55/70/192295570.db2.gz VMBROXDPAVZZBY-NWDGAFQWSA-N 0 3 243.297 2.743 20 0 BFADHN Cc1cn[nH]c1CN[C@@H](C)c1cccc(C)c1 ZINC000449775049 202031071 /nfs/dbraw/zinc/03/10/71/202031071.db2.gz LPQOGOZVYZPICL-LBPRGKRZSA-N 0 3 229.327 2.877 20 0 BFADHN C[C@H](c1ccco1)N(C[C@H]1C[C@@H](O)C1)C1CC1 ZINC000420939492 192289271 /nfs/dbraw/zinc/28/92/71/192289271.db2.gz QJTPGTZCISFEHR-WZRBSPASSA-N 0 3 235.327 2.576 20 0 BFADHN c1cc(CNC[C@@H]2C[C@H]2C2CC2)nc2c1CCC2 ZINC000578118199 366275590 /nfs/dbraw/zinc/27/55/90/366275590.db2.gz LQLACCXVUQSQPS-ZFWWWQNUSA-N 0 3 242.366 2.706 20 0 BFADHN OCCCCN[C@@H](CC(F)F)c1ccccc1 ZINC000420997945 192294270 /nfs/dbraw/zinc/29/42/70/192294270.db2.gz SJEWYWGWGZFMEK-LBPRGKRZSA-N 0 3 243.297 2.745 20 0 BFADHN CCc1ccccc1CN1CC[C@]12CCOC2 ZINC000421016432 192304766 /nfs/dbraw/zinc/30/47/66/192304766.db2.gz JELZJRXWRMITAX-OAHLLOKOSA-N 0 3 231.339 2.614 20 0 BFADHN COC[C@@H]1CCCN(Cc2cccnc2C)CC1 ZINC000449777640 202031995 /nfs/dbraw/zinc/03/19/95/202031995.db2.gz QQXVAICNIMIRPZ-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN COC[C@@H]1CCCN(Cc2cnccc2C)CC1 ZINC000449780540 202032238 /nfs/dbraw/zinc/03/22/38/202032238.db2.gz VRLMAMRCGNADIJ-CQSZACIVSA-N 0 3 248.370 2.639 20 0 BFADHN COC[C@@H]1CCCN(Cc2ccc(C)o2)CC1 ZINC000449778325 202032307 /nfs/dbraw/zinc/03/23/07/202032307.db2.gz RVZHJJSPZJRMNQ-CYBMUJFWSA-N 0 3 237.343 2.837 20 0 BFADHN CCN(Cc1cn(C)nc1C(C)(C)C)C(C)C ZINC000179666612 366281818 /nfs/dbraw/zinc/28/18/18/366281818.db2.gz FIAXIRDVUFMDSC-UHFFFAOYSA-N 0 3 237.391 2.948 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1ccnc(C#N)c1 ZINC000384276812 356548771 /nfs/dbraw/zinc/54/87/71/356548771.db2.gz HEEHQWCMOOHEFP-BXUZGUMPSA-N 0 3 229.327 2.574 20 0 BFADHN CCc1ccc(CN2CC[C@]23CCOC3)cc1 ZINC000421008525 192300186 /nfs/dbraw/zinc/30/01/86/192300186.db2.gz AZJXNTUWNNQJRX-OAHLLOKOSA-N 0 3 231.339 2.614 20 0 BFADHN Cc1ccccc1CCCN1CC[C@@]12CCOC2 ZINC000421013203 192301790 /nfs/dbraw/zinc/30/17/90/192301790.db2.gz FZJMWHGDAHQCDY-INIZCTEOSA-N 0 3 245.366 2.792 20 0 BFADHN CC(=O)CN1C[C@H](c2ccccc2)[C@H]2CCC[C@@H]21 ZINC000639637240 356550342 /nfs/dbraw/zinc/55/03/42/356550342.db2.gz FPOHKOCAXPZXDZ-OAGGEKHMSA-N 0 3 243.350 2.844 20 0 BFADHN CC[C@]1(O)CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC000336198428 134127445 /nfs/dbraw/zinc/12/74/45/134127445.db2.gz DNUMXWOAPIGZPL-FZMZJTMJSA-N 0 3 237.318 2.734 20 0 BFADHN CC(C)[C@H]1N(Cc2ccnn2C)CC12CCCC2 ZINC000449794012 202039179 /nfs/dbraw/zinc/03/91/79/202039179.db2.gz YXUTXTLECVRCRH-CQSZACIVSA-N 0 3 247.386 2.821 20 0 BFADHN Cc1cc(C)c(CN2CC[C@@]23CCOC3)c(C)c1 ZINC000421021259 192305674 /nfs/dbraw/zinc/30/56/74/192305674.db2.gz OIDASYMLJRXCJT-INIZCTEOSA-N 0 3 245.366 2.977 20 0 BFADHN CCc1cccc(CN2CC[C@]23CCOC3)c1 ZINC000421023224 192306137 /nfs/dbraw/zinc/30/61/37/192306137.db2.gz QYDRICUSEFHTDI-OAHLLOKOSA-N 0 3 231.339 2.614 20 0 BFADHN C[C@@H](CN1CC[C@]12CCOC2)c1ccccc1 ZINC000421022508 192306640 /nfs/dbraw/zinc/30/66/40/192306640.db2.gz QKZVDUAWDQLESN-DZGCQCFKSA-N 0 3 231.339 2.655 20 0 BFADHN CCC[C@H](CC(C)C)C(=O)NCCN(C)CC ZINC000449857015 202046833 /nfs/dbraw/zinc/04/68/33/202046833.db2.gz PXZJFDAHVVWSER-CYBMUJFWSA-N 0 3 242.407 2.517 20 0 BFADHN c1cc(CCCN2CC[C@]23CCOC3)cs1 ZINC000421029729 192309604 /nfs/dbraw/zinc/30/96/04/192309604.db2.gz XWSCVMABODXRLG-CYBMUJFWSA-N 0 3 237.368 2.546 20 0 BFADHN CCc1ccccc1CCN1CC[C@]12CCOC2 ZINC000421028135 192309932 /nfs/dbraw/zinc/30/99/32/192309932.db2.gz VXCJLVASSUBHFY-MRXNPFEDSA-N 0 3 245.366 2.656 20 0 BFADHN CC(=O)CN1CC[C@@H](C(C)(C)C)C[C@H]1C ZINC000639649414 356560869 /nfs/dbraw/zinc/56/08/69/356560869.db2.gz SJBMXBKXUNBTTC-ZYHUDNBSSA-N 0 3 211.349 2.722 20 0 BFADHN CCc1cc(N2CCCO[C@@H](CC)C2)ccn1 ZINC000336201252 134130492 /nfs/dbraw/zinc/13/04/92/134130492.db2.gz BTIXXTDXHFBQLV-AWEZNQCLSA-N 0 3 234.343 2.649 20 0 BFADHN CC1(C)CN(Cc2cccc(C3CC3)c2)C[C@H]1O ZINC000421348814 192319539 /nfs/dbraw/zinc/31/95/39/192319539.db2.gz BTIMERHXNGYFJK-OAHLLOKOSA-N 0 3 245.366 2.767 20 0 BFADHN Cc1cnccc1CN1C[C@H](C(N)=O)CC[C@@H]1C ZINC000336211282 134134491 /nfs/dbraw/zinc/13/44/91/134134491.db2.gz HVQJFGPYKJLPCO-WCQYABFASA-N 0 3 247.342 2.526 20 0 BFADHN Cc1ccn2c(CN3CC[C@H]3C3CC3)cnc2c1 ZINC000336216110 134136592 /nfs/dbraw/zinc/13/65/92/134136592.db2.gz BVXKXSHBGMTOKD-AWEZNQCLSA-N 0 3 241.338 2.627 20 0 BFADHN CCN(Cc1occc1C)Cc1cc[nH]c(=O)c1 ZINC000639676981 356585735 /nfs/dbraw/zinc/58/57/35/356585735.db2.gz DWRIFWBFBWCULB-UHFFFAOYSA-N 0 3 246.310 2.711 20 0 BFADHN CC(=O)CN(C)[C@H]1CCC[C@H]1c1ccccc1 ZINC000639670364 356586567 /nfs/dbraw/zinc/58/65/67/356586567.db2.gz HTGWVWZGYDGDBP-GJZGRUSLSA-N 0 3 231.339 2.844 20 0 BFADHN CCN1[C@H](C)CN([C@H](C)c2cccnc2)C[C@@H]1C ZINC000639743065 356634838 /nfs/dbraw/zinc/63/48/38/356634838.db2.gz GJDBFOPXOSGQKF-HZSPNIEDSA-N 0 3 247.386 2.557 20 0 BFADHN COc1ccc(CN[C@@H]2CC23CCCCC3)cn1 ZINC000387153530 363521617 /nfs/dbraw/zinc/52/16/17/363521617.db2.gz PTDBTLYEHGQRGQ-CYBMUJFWSA-N 0 3 246.354 2.903 20 0 BFADHN CCc1cc(N(C)Cc2ccco2)ccn1 ZINC000450214429 202098484 /nfs/dbraw/zinc/09/84/84/202098484.db2.gz KCCKRRAVRKPYLJ-UHFFFAOYSA-N 0 3 216.284 2.873 20 0 BFADHN CCc1cc(N(C)CCc2ccccn2)ccn1 ZINC000450215010 202099113 /nfs/dbraw/zinc/09/91/13/202099113.db2.gz ZUNRYKIUHZBUBU-UHFFFAOYSA-N 0 3 241.338 2.718 20 0 BFADHN CN(CCC1=CCCCC1)Cc1cnn(C)c1 ZINC000450215765 202099178 /nfs/dbraw/zinc/09/91/78/202099178.db2.gz ODXRKCOYAXCJJM-UHFFFAOYSA-N 0 3 233.359 2.742 20 0 BFADHN C[C@@H]1CC[C@@H]1NCc1nc2c(s1)CCC2 ZINC000380079492 538464895 /nfs/dbraw/zinc/46/48/95/538464895.db2.gz GDDHGAHUERTXNN-BDAKNGLRSA-N 0 3 222.357 2.520 20 0 BFADHN CCc1cc(N(C)Cc2cc(C)on2)ccn1 ZINC000450239719 202104678 /nfs/dbraw/zinc/10/46/78/202104678.db2.gz FKGREFGVHXURHS-UHFFFAOYSA-N 0 3 231.299 2.577 20 0 BFADHN C[C@H]1CN(CC2=CCCCC2)CC(C)(C)O1 ZINC000450288955 202122121 /nfs/dbraw/zinc/12/21/21/202122121.db2.gz NSVQWNUYOBRZLE-LBPRGKRZSA-N 0 3 223.360 2.986 20 0 BFADHN COc1cc(CN(C)C2CC2)ccc1Cl ZINC000450261917 202110857 /nfs/dbraw/zinc/11/08/57/202110857.db2.gz KKFAPPMJPPPYMX-UHFFFAOYSA-N 0 3 225.719 2.943 20 0 BFADHN COCCN(C[C@@H]1CCCCC1(F)F)C1CC1 ZINC000450266219 202114761 /nfs/dbraw/zinc/11/47/61/202114761.db2.gz OSBOSPLWRMKAIZ-NSHDSACASA-N 0 3 247.329 2.923 20 0 BFADHN CCc1cc(N2C[C@H](C)OC(C)(C)C2)ccn1 ZINC000450275193 202116589 /nfs/dbraw/zinc/11/65/89/202116589.db2.gz IZSZUDYJCQGGOE-NSHDSACASA-N 0 3 234.343 2.648 20 0 BFADHN C(N1CCO[C@H]2CCC[C@@H]21)C12CCC(CC1)C2 ZINC000450281708 202118206 /nfs/dbraw/zinc/11/82/06/202118206.db2.gz DUUREZZJLQKFFL-GQKFXUNGSA-N 0 3 235.371 2.820 20 0 BFADHN C1=C(CN2CCO[C@H]3CCC[C@H]32)CCCC1 ZINC000450282559 202119040 /nfs/dbraw/zinc/11/90/40/202119040.db2.gz HRMZINMOZUAIKN-KGLIPLIRSA-N 0 3 221.344 2.740 20 0 BFADHN CN(CCC(F)(F)F)CC1CC(F)(F)C1 ZINC000450337890 202132100 /nfs/dbraw/zinc/13/21/00/202132100.db2.gz HQYHQMPJKNQIQC-UHFFFAOYSA-N 0 3 231.208 2.916 20 0 BFADHN CCc1cc(N2C[C@H](C)O[C@@H](CC)C2)ccn1 ZINC000450335932 202132216 /nfs/dbraw/zinc/13/22/16/202132216.db2.gz NBVJJEBXEOHULW-FZMZJTMJSA-N 0 3 234.343 2.648 20 0 BFADHN Fc1ccc(CNC[C@@H]2CC[C@H]3C[C@H]3C2)nc1 ZINC000628377763 356652043 /nfs/dbraw/zinc/65/20/43/356652043.db2.gz IOKZECDJFUSAKE-GRYCIOLGSA-N 0 3 234.318 2.747 20 0 BFADHN CCc1csc(N[C@H]2CCN(C)[C@H](C)C2)n1 ZINC000123970279 358510403 /nfs/dbraw/zinc/51/04/03/358510403.db2.gz LZNBHUHHBJJLFL-KOLCDFICSA-N 0 3 239.388 2.600 20 0 BFADHN Cc1cccc(CN[C@H]2CC[C@H](C)C2)n1 ZINC000070643938 325020111 /nfs/dbraw/zinc/02/01/11/325020111.db2.gz YNPQNMXWBJRSSM-JQWIXIFHSA-N 0 3 204.317 2.668 20 0 BFADHN C[C@@H](CCc1ccc(F)cc1)NCc1ccno1 ZINC000582241977 356671665 /nfs/dbraw/zinc/67/16/65/356671665.db2.gz SKRYGBINKLKLEK-NSHDSACASA-N 0 3 248.301 2.925 20 0 BFADHN CC[C@H](C)CN1CCC[C@@H]1C(=O)OC(C)(C)C ZINC000167639891 134153338 /nfs/dbraw/zinc/15/33/38/134153338.db2.gz LZNDXCPZGJAYPB-NWDGAFQWSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H](O)C[C@@H](C)NCc1cc(F)cc(Cl)c1 ZINC000168268854 134161663 /nfs/dbraw/zinc/16/16/63/134161663.db2.gz ICEUTNAHJRXYEB-RKDXNWHRSA-N 0 3 245.725 2.728 20 0 BFADHN CCc1cc(N2C[C@H]3CCC[C@@H]3C2)ccn1 ZINC000450381227 202145899 /nfs/dbraw/zinc/14/58/99/202145899.db2.gz UGTNIZLDEDGXAL-VXGBXAGGSA-N 0 3 216.328 2.880 20 0 BFADHN CCc1cc(N(C)CCC2CCOCC2)ccn1 ZINC000450379912 202146118 /nfs/dbraw/zinc/14/61/18/202146118.db2.gz PYRMRCOTMXYMQL-UHFFFAOYSA-N 0 3 248.370 2.897 20 0 BFADHN CCc1cc(N(C)CCC2CC2)ccn1 ZINC000450393376 202151086 /nfs/dbraw/zinc/15/10/86/202151086.db2.gz QWRYQTIGKDBABU-UHFFFAOYSA-N 0 3 204.317 2.880 20 0 BFADHN CCC[C@H](O)CN1Cc2ccccc2[C@@H]1C ZINC000450456261 202169634 /nfs/dbraw/zinc/16/96/34/202169634.db2.gz SOLVXKLZUMQBBQ-AAEUAGOBSA-N 0 3 219.328 2.724 20 0 BFADHN CCN(Cc1cnc(N(C)C)s1)C1CCC1 ZINC000353832872 356721699 /nfs/dbraw/zinc/72/16/99/356721699.db2.gz XZVLYLYVANYRSZ-UHFFFAOYSA-N 0 3 239.388 2.584 20 0 BFADHN Cc1cc(CNC(C)C)ncc1Br ZINC000623336958 356728304 /nfs/dbraw/zinc/72/83/04/356728304.db2.gz OVCMHUBNZTWUPB-UHFFFAOYSA-N 0 3 243.148 2.651 20 0 BFADHN CCc1cc(N(C)C[C@@H]2CCCC[C@@H]2O)ccn1 ZINC000450430248 202166167 /nfs/dbraw/zinc/16/61/67/202166167.db2.gz QGBZUXDBPJWBGH-WFASDCNBSA-N 0 3 248.370 2.631 20 0 BFADHN CCc1cc(N2CCO[C@@H](C(C)C)C2)ccn1 ZINC000450430690 202166616 /nfs/dbraw/zinc/16/66/16/202166616.db2.gz UJOQBYWDHYIGBD-CQSZACIVSA-N 0 3 234.343 2.505 20 0 BFADHN CC[C@@H](C)[C@H](CNCc1ccoc1C)OC ZINC000321123744 259469588 /nfs/dbraw/zinc/46/95/88/259469588.db2.gz WFQLEPHFBISKIW-MFKMUULPSA-N 0 3 225.332 2.739 20 0 BFADHN CC1(C)CC[C@@H]1NCc1ccc2cc[nH]c2n1 ZINC000353605523 356714929 /nfs/dbraw/zinc/71/49/29/356714929.db2.gz CDINYWATBRYKGI-LBPRGKRZSA-N 0 3 229.327 2.841 20 0 BFADHN COc1ccncc1CN1CC[C@H](CC(C)C)C1 ZINC000347070596 538565506 /nfs/dbraw/zinc/56/55/06/538565506.db2.gz HUDQENYBBYKAEL-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1c(C)cnn1C ZINC000356516644 356798440 /nfs/dbraw/zinc/79/84/40/356798440.db2.gz FFXGHDDMAHQZHS-GFCCVEGCSA-N 0 3 223.364 2.739 20 0 BFADHN Cc1cc(C)c(CN2CC[C@H]3C[C@H]3C2)c(C)n1 ZINC000639859542 356866344 /nfs/dbraw/zinc/86/63/44/356866344.db2.gz GIZJRPDQZOXLAY-KBPBESRZSA-N 0 3 230.355 2.849 20 0 BFADHN CCc1cnccc1[C@@H](C)NCc1ccn(C)c1 ZINC000358513900 356870051 /nfs/dbraw/zinc/87/00/51/356870051.db2.gz WSGLRLKDLAPLLR-GFCCVEGCSA-N 0 3 243.354 2.833 20 0 BFADHN CCc1cnccc1[C@H](C)NCC1=CCCOC1 ZINC000358504845 356870556 /nfs/dbraw/zinc/87/05/56/356870556.db2.gz IVMDZSKVWLRPLT-LBPRGKRZSA-N 0 3 246.354 2.641 20 0 BFADHN CCC[C@]1(CO)CCN(c2ccnc(CC)c2)C1 ZINC000450530924 202191527 /nfs/dbraw/zinc/19/15/27/202191527.db2.gz FZUIZBKCMIRFQR-HNNXBMFYSA-N 0 3 248.370 2.633 20 0 BFADHN CC[C@@H](C)CN1CCC[C@@H]1c1ncccn1 ZINC000639842728 356837587 /nfs/dbraw/zinc/83/75/87/356837587.db2.gz IRIDVBQHXKHKRT-VXGBXAGGSA-N 0 3 219.332 2.660 20 0 BFADHN CC[C@H](C)CN1CCC[C@H]1c1ncccn1 ZINC000639842727 356837638 /nfs/dbraw/zinc/83/76/38/356837638.db2.gz IRIDVBQHXKHKRT-RYUDHWBXSA-N 0 3 219.332 2.660 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@H](C)[C@@H](C)OC ZINC000359315372 356887821 /nfs/dbraw/zinc/88/78/21/356887821.db2.gz SRLZACHUVJPGMB-GRYCIOLGSA-N 0 3 236.359 2.718 20 0 BFADHN CC[C@@H]([NH2+][C@@H](C)c1nnc(C(C)C)[n-]1)C1CC1 ZINC000358248731 356864281 /nfs/dbraw/zinc/86/42/81/356864281.db2.gz MQXINXABPLBION-GXSJLCMTSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nnc(C(C)C)[nH]1)C1CC1 ZINC000358248731 356864284 /nfs/dbraw/zinc/86/42/84/356864284.db2.gz MQXINXABPLBION-GXSJLCMTSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1cc(C)n(C)n1 ZINC000360329592 356905947 /nfs/dbraw/zinc/90/59/47/356905947.db2.gz NUFLLQLMPVZTEV-TZMCWYRMSA-N 0 3 235.375 2.787 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1nccc(C)n1 ZINC000360340191 356905985 /nfs/dbraw/zinc/90/59/85/356905985.db2.gz XZMSMBJPCXSXSH-OLZOCXBDSA-N 0 3 233.359 2.843 20 0 BFADHN CC(C)CC[C@H](O)CN(C)Cc1ccoc1 ZINC000360688874 356910289 /nfs/dbraw/zinc/91/02/89/356910289.db2.gz IRBNRUODFACWFA-ZDUSSCGKSA-N 0 3 225.332 2.509 20 0 BFADHN CCN(Cc1cnc2cnccn12)CC(C)(C)C ZINC000361023861 356916188 /nfs/dbraw/zinc/91/61/88/356916188.db2.gz ZFVGBULXXVHKKG-UHFFFAOYSA-N 0 3 246.358 2.597 20 0 BFADHN CCN(Cc1ccc2c(c1)CCC2)[C@H]1CCOC1 ZINC000361248869 356922261 /nfs/dbraw/zinc/92/22/61/356922261.db2.gz DRTXZAQHJBWXFP-INIZCTEOSA-N 0 3 245.366 2.786 20 0 BFADHN CCN(Cc1ccc(C)c(OC)c1)[C@@H]1CCOC1 ZINC000361248254 356922299 /nfs/dbraw/zinc/92/22/99/356922299.db2.gz BQNNKSABJXUTGZ-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CCN(Cc1ccc(C)nc1C)C[C@@H](C)OC ZINC000361557486 356932440 /nfs/dbraw/zinc/93/24/40/356932440.db2.gz AQRJZCJZHGDWTF-GFCCVEGCSA-N 0 3 236.359 2.555 20 0 BFADHN c1cnc([C@H]2CCCN2C[C@@H]2C[C@H]3C[C@H]3C2)nc1 ZINC000639866361 356981044 /nfs/dbraw/zinc/98/10/44/356981044.db2.gz IPYPPHXJDCIWIX-XJFOESAGSA-N 0 3 243.354 2.660 20 0 BFADHN CCOc1cc2c(cc1CNC(C)C)O[C@H](C)C2 ZINC000019880348 356990215 /nfs/dbraw/zinc/99/02/15/356990215.db2.gz VUJRLVYYPVITQK-LLVKDONJSA-N 0 3 249.354 2.907 20 0 BFADHN CC[C@@H](C)NCc1cc(OC)c(OC)cc1F ZINC000582364744 357112124 /nfs/dbraw/zinc/11/21/24/357112124.db2.gz RXWLCWDVIFNFRX-SECBINFHSA-N 0 3 241.306 2.731 20 0 BFADHN COc1ccc(CN(C)C)cc1NC[C@H]1C[C@@H]1C ZINC000582336481 357075358 /nfs/dbraw/zinc/07/53/58/357075358.db2.gz SXSJXFKSLPGRRT-WCQYABFASA-N 0 3 248.370 2.825 20 0 BFADHN Cc1nccnc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC000639878066 357086802 /nfs/dbraw/zinc/08/68/02/357086802.db2.gz IKOULYFXAXKBDK-ZFWWWQNUSA-N 0 3 245.370 2.940 20 0 BFADHN CC(C)[C@H]1CC[C@H]1NCc1ncccc1F ZINC000390263538 357087988 /nfs/dbraw/zinc/08/79/88/357087988.db2.gz OTJKYZCMUGHGDX-ZYHUDNBSSA-N 0 3 222.307 2.745 20 0 BFADHN CC(C)O[C@H]1C[C@H](NCC2(F)CC2)C1(C)C ZINC000390326799 357091359 /nfs/dbraw/zinc/09/13/59/357091359.db2.gz JOODPJSEKVWPFI-QWRGUYRKSA-N 0 3 229.339 2.670 20 0 BFADHN Cc1nnc(CN[C@@H]2C[C@@H](C)CC[C@@H]2C)s1 ZINC000391842451 357135312 /nfs/dbraw/zinc/13/53/12/357135312.db2.gz NLTADTNCCHSIQW-ATZCPNFKSA-N 0 3 239.388 2.761 20 0 BFADHN CC[C@H](C[C@H](C)CO)N[C@@H](C)c1cscn1 ZINC000390778368 357115855 /nfs/dbraw/zinc/11/58/55/357115855.db2.gz HEXKKJUUJHZADT-GARJFASQSA-N 0 3 242.388 2.591 20 0 BFADHN FC1(CNCCOc2ccc(Cl)cc2)CC1 ZINC000390775838 357116042 /nfs/dbraw/zinc/11/60/42/357116042.db2.gz FKHXIMUZKOQBPF-UHFFFAOYSA-N 0 3 243.709 2.811 20 0 BFADHN Cc1cc(C)c(CN2CC3CC2(C)C3)c(C)n1 ZINC000639880472 357117223 /nfs/dbraw/zinc/11/72/23/357117223.db2.gz WBHCNYFTOODOPK-UHFFFAOYSA-N 0 3 230.355 2.991 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2ccn(C)n2)[C@@H]1C ZINC000582395407 357128089 /nfs/dbraw/zinc/12/80/89/357128089.db2.gz VPXUTVOQCOYEQN-JHJVBQTASA-N 0 3 235.375 2.582 20 0 BFADHN CCOc1ccc(CN2C[C@@H]3C[C@@H]3C2)cc1 ZINC000628407198 357203655 /nfs/dbraw/zinc/20/36/55/357203655.db2.gz AYSGMJRRCOXTBO-BETUJISGSA-N 0 3 217.312 2.537 20 0 BFADHN c1c(CN2C[C@@H]3C[C@@H]3C2)[nH]nc1-c1ccccc1 ZINC000628408943 357209165 /nfs/dbraw/zinc/20/91/65/357209165.db2.gz JNUZLPKZDBYJEG-BETUJISGSA-N 0 3 239.322 2.528 20 0 BFADHN Clc1cnc2oc(CN3C[C@@H]4C[C@@H]4C3)cc2c1 ZINC000628410911 357218031 /nfs/dbraw/zinc/21/80/31/357218031.db2.gz VBXGLDKOYHYOJQ-AOOOYVTPSA-N 0 3 248.713 2.933 20 0 BFADHN CC[C@@H](N[C@@H]1CCCC12CC2)c1ccn(C)n1 ZINC000631662328 357221840 /nfs/dbraw/zinc/22/18/40/357221840.db2.gz FTUVASDHUZGDNI-DGCLKSJQSA-N 0 3 233.359 2.794 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CO[C@@H](C)C2)oc1C ZINC000583693473 357181253 /nfs/dbraw/zinc/18/12/53/357181253.db2.gz SIKOYRRTUDTFPI-NHCYSSNCSA-N 0 3 223.316 2.724 20 0 BFADHN CCc1ccc(CN2CCC[C@H](O)CC2)s1 ZINC000393484581 357284535 /nfs/dbraw/zinc/28/45/35/357284535.db2.gz LWTXBECTTKTDBH-NSHDSACASA-N 0 3 239.384 2.657 20 0 BFADHN CC1(C)Cc2occc2[C@H](NCC2(CO)CC2)C1 ZINC000157330983 538820170 /nfs/dbraw/zinc/82/01/70/538820170.db2.gz PFMWSCJGNVZROA-GFCCVEGCSA-N 0 3 249.354 2.655 20 0 BFADHN CCc1cc(CN[C@H](C)C2CCC2)on1 ZINC000393617274 357290080 /nfs/dbraw/zinc/29/00/80/357290080.db2.gz WAJKQFLUNQKRPQ-SECBINFHSA-N 0 3 208.305 2.515 20 0 BFADHN Cc1sccc1CN(C)CC1(C)COC1 ZINC000628423622 357248350 /nfs/dbraw/zinc/24/83/50/357248350.db2.gz BZOYIJHBADNSPC-UHFFFAOYSA-N 0 3 225.357 2.525 20 0 BFADHN CN(C)c1ccc(CN2CCCCCC2)cn1 ZINC000157387675 538824535 /nfs/dbraw/zinc/82/45/35/538824535.db2.gz GLVCGPBDVUVDJR-UHFFFAOYSA-N 0 3 233.359 2.524 20 0 BFADHN Cc1nccnc1CN1C[C@@H]2CCCC[C@@]21C ZINC000639897854 357269794 /nfs/dbraw/zinc/26/97/94/357269794.db2.gz SVLIVUOTVUUMCG-JSGCOSHPSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1ccc(COc2ccccc2CN)c(C)n1 ZINC000582720358 357370459 /nfs/dbraw/zinc/37/04/59/357370459.db2.gz KRPSYGMNLCJOKC-UHFFFAOYSA-N 0 3 242.322 2.736 20 0 BFADHN CC(C)[C@@H](CO)CN[C@@H](C)c1ccccc1F ZINC000394064526 357325567 /nfs/dbraw/zinc/32/55/67/357325567.db2.gz VNAIKJRXGNBHIU-NWDGAFQWSA-N 0 3 239.334 2.741 20 0 BFADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1cnc(C)n1C ZINC000582497659 357327518 /nfs/dbraw/zinc/32/75/18/357327518.db2.gz AICRWVKHQIPQKM-KBPBESRZSA-N 0 3 235.375 2.643 20 0 BFADHN Cn1cc(CN[C@H]2[C@@H]3CCC[C@@H]32)c(C(C)(C)C)n1 ZINC000582497909 357327717 /nfs/dbraw/zinc/32/77/17/357327717.db2.gz HJRPOYQAJFZJKL-ITGUQSILSA-N 0 3 247.386 2.606 20 0 BFADHN CC[C@@H](N)C(=O)NC1CCC(CC)(CC)CC1 ZINC000582544985 357330483 /nfs/dbraw/zinc/33/04/83/357330483.db2.gz GSFKOSDSYPKVFN-GFCCVEGCSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1cccc2c1ccnc2NCCN(C)C ZINC000582662910 357357167 /nfs/dbraw/zinc/35/71/67/357357167.db2.gz NPNRHKILLUXQQO-UHFFFAOYSA-N 0 3 229.327 2.517 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1nc(C)c(C)[nH]1 ZINC000582737154 357377688 /nfs/dbraw/zinc/37/76/88/357377688.db2.gz SOLZGRUATCHPRL-DTWKUNHWSA-N 0 3 209.337 2.551 20 0 BFADHN CC(C)(C)C[C@@H]1CCCN1Cc1cnccn1 ZINC000582770785 357385786 /nfs/dbraw/zinc/38/57/86/357385786.db2.gz FSANEXDJCUDTAS-ZDUSSCGKSA-N 0 3 233.359 2.877 20 0 BFADHN COc1ccc(CN2[C@H](C)C[C@@H]2C)c(C)c1OC ZINC000582871669 357417217 /nfs/dbraw/zinc/41/72/17/357417217.db2.gz IHCHSSXZKCACDX-PHIMTYICSA-N 0 3 249.354 2.995 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@H](C)c1ccncn1 ZINC000395989933 357466040 /nfs/dbraw/zinc/46/60/40/357466040.db2.gz UMMGXPIRZUCERL-GHMZBOCLSA-N 0 3 247.367 2.820 20 0 BFADHN C[C@@H](N[C@@H](C)Cc1ccccc1F)c1ncc[nH]1 ZINC000158077041 538849933 /nfs/dbraw/zinc/84/99/33/538849933.db2.gz XZFLDNZISJZSDO-WDEREUQCSA-N 0 3 247.317 2.831 20 0 BFADHN c1c(CN[C@H]2CCCC23CC3)nc2ccccn12 ZINC000583095386 357479496 /nfs/dbraw/zinc/47/94/96/357479496.db2.gz IUAJULBKHKDVDB-ZDUSSCGKSA-N 0 3 241.338 2.757 20 0 BFADHN CC1(C)C[C@@H](NCc2ccc3cc[nH]c3c2)CO1 ZINC000396545058 357481606 /nfs/dbraw/zinc/48/16/06/357481606.db2.gz UAAUIXARCHYIGI-CYBMUJFWSA-N 0 3 244.338 2.825 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2ccc(N(C)C)nc2)C1 ZINC000158036554 538847385 /nfs/dbraw/zinc/84/73/85/538847385.db2.gz ANJYHSOADMYSLL-CHWSQXEVSA-N 0 3 247.386 2.626 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@H](C)c2ccoc2)CCO1 ZINC000583123822 357490884 /nfs/dbraw/zinc/49/08/84/357490884.db2.gz OLDHKUUYSVLSBI-KGYLQXTDSA-N 0 3 223.316 2.888 20 0 BFADHN CCC1(CC)CCN(Cc2c[nH]cn2)CC1 ZINC000188660557 357571740 /nfs/dbraw/zinc/57/17/40/357571740.db2.gz VFJOLRTYARXXTR-UHFFFAOYSA-N 0 3 221.348 2.812 20 0 BFADHN CCC1(CC)CCN(Cc2cnc[nH]2)CC1 ZINC000188660557 357571743 /nfs/dbraw/zinc/57/17/43/357571743.db2.gz VFJOLRTYARXXTR-UHFFFAOYSA-N 0 3 221.348 2.812 20 0 BFADHN Cc1ccccc1NC(=O)CN(C)C(C)(C)C ZINC000583201997 357518285 /nfs/dbraw/zinc/51/82/85/357518285.db2.gz GEGSWRFSWZDBEI-UHFFFAOYSA-N 0 3 234.343 2.664 20 0 BFADHN COC1CC(N[C@H]2CSc3ccccc32)C1 ZINC000230675378 357548444 /nfs/dbraw/zinc/54/84/44/357548444.db2.gz XOYOTXXYINBKPQ-CBINBANVSA-N 0 3 235.352 2.600 20 0 BFADHN COc1cc(C)nc(CNC[C@@H]2CCC[C@H]2C)c1 ZINC000230675847 357549452 /nfs/dbraw/zinc/54/94/52/357549452.db2.gz POSAMRRDHDIYLX-YPMHNXCESA-N 0 3 248.370 2.924 20 0 BFADHN CCN(C)C(=O)[C@H](C)N[C@@H](C)c1ccccc1C ZINC000188496288 357556707 /nfs/dbraw/zinc/55/67/07/357556707.db2.gz FNBCOZKMNAPLFN-STQMWFEESA-N 0 3 248.370 2.512 20 0 BFADHN CCC[C@H](NCc1cc[nH]n1)[C@H]1CC1(C)C ZINC000397590359 357623465 /nfs/dbraw/zinc/62/34/65/357623465.db2.gz KBULDJDUPKBNAK-NEPJUHHUSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1CCOCC(F)F ZINC000189250940 357624889 /nfs/dbraw/zinc/62/48/89/357624889.db2.gz HZNZKSNLHNLKNF-AOOOYVTPSA-N 0 3 221.291 2.531 20 0 BFADHN Cc1ccc(O)c(CN[C@@H](C)CC(F)(F)F)n1 ZINC000231751321 357625409 /nfs/dbraw/zinc/62/54/09/357625409.db2.gz GLCIUKOWWCPDOA-QMMMGPOBSA-N 0 3 248.248 2.526 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@@H](C)CC(F)(F)F)n1 ZINC000231751321 357625413 /nfs/dbraw/zinc/62/54/13/357625413.db2.gz GLCIUKOWWCPDOA-QMMMGPOBSA-N 0 3 248.248 2.526 20 0 BFADHN C[C@@H]1CCCCN1Cc1ccc(N(C)C)nc1 ZINC000158950523 538871331 /nfs/dbraw/zinc/87/13/31/538871331.db2.gz AWWWAPKEACPDFZ-GFCCVEGCSA-N 0 3 233.359 2.522 20 0 BFADHN Cc1cnc([C@@H](C)NC2CC(C)C2)s1 ZINC000231788747 357629568 /nfs/dbraw/zinc/62/95/68/357629568.db2.gz QQIDHCYFXJMQOR-HDDMYIMNSA-N 0 3 210.346 2.901 20 0 BFADHN CCc1ccc(CN[C@H](C)C[C@H]2CCCO2)o1 ZINC000132149733 325202140 /nfs/dbraw/zinc/20/21/40/325202140.db2.gz OLNNSEGGMSLKBT-DGCLKSJQSA-N 0 3 237.343 2.889 20 0 BFADHN CC(C)(C)CCNCc1cn(C(C)(C)C)nn1 ZINC000232493648 357663335 /nfs/dbraw/zinc/66/33/35/357663335.db2.gz QMNQWEFYHVGRGU-UHFFFAOYSA-N 0 3 238.379 2.559 20 0 BFADHN Fc1ccc(CN2CCC3(CCCC3)C2)cn1 ZINC000189349840 357634023 /nfs/dbraw/zinc/63/40/23/357634023.db2.gz DQDMXFLGBKWDJL-UHFFFAOYSA-N 0 3 234.318 2.987 20 0 BFADHN CCOC[C@@H](C)N[C@@H]1CCOc2c(C)cccc21 ZINC000189373859 357634923 /nfs/dbraw/zinc/63/49/23/357634923.db2.gz ZUFIUEUPLDMOCG-TZMCWYRMSA-N 0 3 249.354 2.833 20 0 BFADHN CC(C)n1ccc(CN[C@H](C)[C@H]2CC2(C)C)n1 ZINC000397833248 357720592 /nfs/dbraw/zinc/72/05/92/357720592.db2.gz NQCXERFWSGGFBB-DGCLKSJQSA-N 0 3 235.375 2.988 20 0 BFADHN CCCn1cc(CNC2C(C)(C)C2(C)C)cn1 ZINC000233423038 357723417 /nfs/dbraw/zinc/72/34/17/357723417.db2.gz DONBVZCUPKRMBI-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@@H](C)CC(=O)N[C@@H](CC(C)C)CN(C)C ZINC000190135601 357689503 /nfs/dbraw/zinc/68/95/03/357689503.db2.gz BZJVZABWRSJETN-OLZOCXBDSA-N 0 3 242.407 2.515 20 0 BFADHN CCC(=O)CCN1CCS[C@@H]2CCCC[C@@H]21 ZINC000190272562 357697641 /nfs/dbraw/zinc/69/76/41/357697641.db2.gz FVOWZGKGTUEDSE-QWHCGFSZSA-N 0 3 241.400 2.716 20 0 BFADHN CC(C)[C@@H](NCc1nn(C)cc1Cl)C1CC1 ZINC000583245543 357700489 /nfs/dbraw/zinc/70/04/89/357700489.db2.gz MUIXAMXLMGYPBL-GFCCVEGCSA-N 0 3 241.766 2.598 20 0 BFADHN C[C@@H]1CC[C@@H](CN(C)Cc2cccc(F)c2)O1 ZINC000190325556 357701095 /nfs/dbraw/zinc/70/10/95/357701095.db2.gz QIWRZAQEPNWNQO-RISCZKNCSA-N 0 3 237.318 2.825 20 0 BFADHN CC1=CCC[C@H](C)[C@@H]1CN1CCO[C@H](C)C1 ZINC000191044462 357745432 /nfs/dbraw/zinc/74/54/32/357745432.db2.gz HGYIHUBEKNDNKF-BFHYXJOUSA-N 0 3 223.360 2.700 20 0 BFADHN CCN(C)c1ccc(CN2CCCCC2)cn1 ZINC000191452872 357771284 /nfs/dbraw/zinc/77/12/84/357771284.db2.gz BPRHMTDRPSBNPH-UHFFFAOYSA-N 0 3 233.359 2.524 20 0 BFADHN COC[C@H](Cc1ccccc1)N(C)CCCF ZINC000191487216 357773857 /nfs/dbraw/zinc/77/38/57/357773857.db2.gz JRGTZFXXZUCCAA-AWEZNQCLSA-N 0 3 239.334 2.536 20 0 BFADHN CC(C)c1cccc2c1CCN(CC(C)(C)O)C2 ZINC000191889749 357801910 /nfs/dbraw/zinc/80/19/10/357801910.db2.gz NVEOQXSGFRLJKA-UHFFFAOYSA-N 0 3 247.382 2.939 20 0 BFADHN CC(C)N(Cc1ncccn1)C1CCCC1 ZINC000192098611 357818087 /nfs/dbraw/zinc/81/80/87/357818087.db2.gz ZBQLHBPRJFFTKM-UHFFFAOYSA-N 0 3 219.332 2.630 20 0 BFADHN CCC(C)(C)[C@H]1CCCN1Cc1ncccn1 ZINC000192123830 357823459 /nfs/dbraw/zinc/82/34/59/357823459.db2.gz IIPFUTLNQKGBJV-GFCCVEGCSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](CO)C(C)C)c(C)o1 ZINC000086122421 357855149 /nfs/dbraw/zinc/85/51/49/357855149.db2.gz FAASINPJNRXCRY-GWCFXTLKSA-N 0 3 225.332 2.564 20 0 BFADHN CC1(C)CN(C[C@H]2CCOC2)[C@H]1c1ccco1 ZINC000639927429 357862384 /nfs/dbraw/zinc/86/23/84/357862384.db2.gz RHMGPHVDBBQVDE-YPMHNXCESA-N 0 3 235.327 2.699 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1ncccn1 ZINC000192214655 357834703 /nfs/dbraw/zinc/83/47/03/357834703.db2.gz XJVRMHHZTYLCRW-OLZOCXBDSA-N 0 3 233.359 2.877 20 0 BFADHN CC(C)(O)CCN1CC(C)(C)[C@H]1c1ccco1 ZINC000639928015 357866329 /nfs/dbraw/zinc/86/63/29/357866329.db2.gz VGVCVFFCXGFJOZ-GFCCVEGCSA-N 0 3 237.343 2.824 20 0 BFADHN COCC1(CN(C)Cc2cccc(O)c2)CCC1 ZINC000583296888 357868721 /nfs/dbraw/zinc/86/87/21/357868721.db2.gz SCUISPIDKXVEFV-UHFFFAOYSA-N 0 3 249.354 2.641 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H](O)C1)c1ccccc1F ZINC000235509514 357873701 /nfs/dbraw/zinc/87/37/01/357873701.db2.gz GETZLGTVDJFPOO-QJPTWQEYSA-N 0 3 237.318 2.637 20 0 BFADHN CSCCN1CC=C(c2ccc(O)cc2)CC1 ZINC000193168810 357876933 /nfs/dbraw/zinc/87/69/33/357876933.db2.gz UKKXALXFAYUPOV-UHFFFAOYSA-N 0 3 249.379 2.844 20 0 BFADHN CC[C@H](O)CCCN[C@H]1CCCc2occc21 ZINC000398514904 357886652 /nfs/dbraw/zinc/88/66/52/357886652.db2.gz ACCNHOYVLPIRLD-AAEUAGOBSA-N 0 3 237.343 2.798 20 0 BFADHN C[C@H]1CCC[C@H](NCc2cnccn2)CC1 ZINC000235624234 357925499 /nfs/dbraw/zinc/92/54/99/357925499.db2.gz UTKRJJRSMJWQSF-RYUDHWBXSA-N 0 3 219.332 2.535 20 0 BFADHN Cc1ccccc1[C@@H](C)N(C)CCn1cccn1 ZINC000193495151 357928700 /nfs/dbraw/zinc/92/87/00/357928700.db2.gz OFCUJXBCDPJNLO-CQSZACIVSA-N 0 3 243.354 2.885 20 0 BFADHN C[C@@H](NC1CC(C)(F)C1)c1cn2ccccc2n1 ZINC000583763227 357938536 /nfs/dbraw/zinc/93/85/36/357938536.db2.gz UDUUTGMBEHCINB-CDWSIMAYSA-N 0 3 247.317 2.876 20 0 BFADHN CCC[C@@H](CCO)N[C@H]1CCCc2occc21 ZINC000631668152 357998340 /nfs/dbraw/zinc/99/83/40/357998340.db2.gz JZGPGPGWAIFAMJ-AAEUAGOBSA-N 0 3 237.343 2.798 20 0 BFADHN C[C@H](CC1CCCC1)[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000583852742 357975650 /nfs/dbraw/zinc/97/56/50/357975650.db2.gz YOFXSEVOSPADJR-SNVBAGLBSA-N 0 3 248.374 2.741 20 0 BFADHN C[C@H](CC1CCCC1)NCc1nnc(C2CC2)[nH]1 ZINC000583852742 357975655 /nfs/dbraw/zinc/97/56/55/357975655.db2.gz YOFXSEVOSPADJR-SNVBAGLBSA-N 0 3 248.374 2.741 20 0 BFADHN CCC[C@@H](O)CN1CCC[C@H]1c1cccc(C)n1 ZINC000584510567 358012075 /nfs/dbraw/zinc/01/20/75/358012075.db2.gz SAMPDKUSAFKIMM-HIFRSBDPSA-N 0 3 248.370 2.688 20 0 BFADHN CC(C)=CCCN(C)Cc1c[nH]nc1C ZINC000639971144 358020893 /nfs/dbraw/zinc/02/08/93/358020893.db2.gz BWWRCQVVRYMCGG-UHFFFAOYSA-N 0 3 207.321 2.506 20 0 BFADHN FCCC1CCN(CCc2cccnc2)CC1 ZINC000639989336 358029009 /nfs/dbraw/zinc/02/90/09/358029009.db2.gz CRZPMSPCLVZHEO-UHFFFAOYSA-N 0 3 236.334 2.696 20 0 BFADHN Cc1n[nH]cc1CN(C)C[C@@H]1CCCC[C@@H]1C ZINC000639972207 358031344 /nfs/dbraw/zinc/03/13/44/358031344.db2.gz OOWGHWQIEQYEEC-AAEUAGOBSA-N 0 3 235.375 2.976 20 0 BFADHN CCc1cccc(CN2CCN(C)C(C)(C)C2)c1 ZINC000584556672 358055654 /nfs/dbraw/zinc/05/56/54/358055654.db2.gz OXZGOVCZJREDEX-UHFFFAOYSA-N 0 3 246.398 2.775 20 0 BFADHN COc1ccc(CN(C)C)cc1N[C@H](C)C1CC1 ZINC000036972162 358057044 /nfs/dbraw/zinc/05/70/44/358057044.db2.gz DXCZYIOCWFWQSV-LLVKDONJSA-N 0 3 248.370 2.967 20 0 BFADHN CCC[C@H](NC(=O)CNC(C)C)c1ccccc1 ZINC000037394740 358079004 /nfs/dbraw/zinc/07/90/04/358079004.db2.gz JTGNCYNWDQLQDM-AWEZNQCLSA-N 0 3 248.370 2.642 20 0 BFADHN CC(C)C(CN1CCO[C@H](CCF)C1)C(C)C ZINC000628459481 358094456 /nfs/dbraw/zinc/09/44/56/358094456.db2.gz SDUQKCSSBBTCJO-CYBMUJFWSA-N 0 3 245.382 2.975 20 0 BFADHN CC(C)CN(CC(=O)N[C@@H](C)C(C)C)C(C)C ZINC000170908971 134255082 /nfs/dbraw/zinc/25/50/82/134255082.db2.gz FPXNSVMYHITMNB-ZDUSSCGKSA-N 0 3 242.407 2.514 20 0 BFADHN CO[C@@H]([C@H](C)NCc1csc(C)c1)C1CC1 ZINC000388239725 358113815 /nfs/dbraw/zinc/11/38/15/358113815.db2.gz QGKDLTZJDRZJDU-GWCFXTLKSA-N 0 3 239.384 2.960 20 0 BFADHN C[C@H](c1ccccn1)N1CCC(C)(F)CC1 ZINC000640071459 358162018 /nfs/dbraw/zinc/16/20/18/358162018.db2.gz NTNFBLJBHGWQBY-LLVKDONJSA-N 0 3 222.307 2.967 20 0 BFADHN Cc1cnccc1CCN1CCC(C)(F)CC1 ZINC000640073465 358171710 /nfs/dbraw/zinc/17/17/10/358171710.db2.gz NHMKWHISVHULHA-UHFFFAOYSA-N 0 3 236.334 2.757 20 0 BFADHN CCc1cc(N2CC[C@](C)(COC)C2)ccn1 ZINC000450622790 202216423 /nfs/dbraw/zinc/21/64/23/202216423.db2.gz ZSBMOHQBWOLVSV-AWEZNQCLSA-N 0 3 234.343 2.507 20 0 BFADHN CC1=CCN(CCN(C)Cc2ccccc2)CC1 ZINC000450625862 202216642 /nfs/dbraw/zinc/21/66/42/202216642.db2.gz UPOZORNZOGSCGX-UHFFFAOYSA-N 0 3 244.382 2.770 20 0 BFADHN Cc1ccccc1CN1CCS[C@H](C)C1 ZINC000171695584 134260263 /nfs/dbraw/zinc/26/02/63/134260263.db2.gz MKZWOBPKUCAWRG-GFCCVEGCSA-N 0 3 221.369 2.932 20 0 BFADHN CN(CCN1CCC=C(F)C1)Cc1ccccc1 ZINC000450643846 202222884 /nfs/dbraw/zinc/22/28/84/202222884.db2.gz LHXPTGQONCNOCF-UHFFFAOYSA-N 0 3 248.345 2.678 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cnc(C)nc1 ZINC000351979014 535409708 /nfs/dbraw/zinc/40/97/08/535409708.db2.gz GIWVDNJRTRDVLI-CQSZACIVSA-N 0 3 233.359 2.940 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1c(C)cnn1C ZINC000348254667 535410457 /nfs/dbraw/zinc/41/04/57/535410457.db2.gz PRKRIXSKOZBPMV-CYBMUJFWSA-N 0 3 235.375 2.883 20 0 BFADHN CC1(C)CN(Cc2cccnc2N)CC(C)(C)C1 ZINC000450749829 202256156 /nfs/dbraw/zinc/25/61/56/202256156.db2.gz ABNFFZLLIJNLAU-UHFFFAOYSA-N 0 3 247.386 2.922 20 0 BFADHN Cc1nnc(CNC[C@@H]2CCC[C@@H](C)C2)s1 ZINC000134663633 325370723 /nfs/dbraw/zinc/37/07/23/325370723.db2.gz FWCYZHXRNYJKKO-MWLCHTKSSA-N 0 3 239.388 2.762 20 0 BFADHN C[C@@H](NC[C@H]1CCC(F)(F)C1)c1ccon1 ZINC000450748150 202252432 /nfs/dbraw/zinc/25/24/32/202252432.db2.gz VUKNAMGMDBJTNT-BDAKNGLRSA-N 0 3 230.258 2.761 20 0 BFADHN O=C1C[C@H]2CC[C@@H](C1)N2C[C@H]1CCC(F)(F)C1 ZINC000450757885 202259679 /nfs/dbraw/zinc/25/96/79/202259679.db2.gz ILRZZNBBZRUSQJ-GARJFASQSA-N 0 3 243.297 2.618 20 0 BFADHN CCn1ccnc1CN1C[C@@H](C)CCC[C@@H]1C ZINC000172874189 134270084 /nfs/dbraw/zinc/27/00/84/134270084.db2.gz SBDVSXFZCPWSHW-STQMWFEESA-N 0 3 235.375 2.914 20 0 BFADHN C[C@@H]1CCCN1Cc1cn(C)nc1C(C)(C)C ZINC000180189282 366392614 /nfs/dbraw/zinc/39/26/14/366392614.db2.gz YZIZJOPXDXNJQK-LLVKDONJSA-N 0 3 235.375 2.702 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H]2CC[C@H](O)CC2)o1 ZINC000578213949 366429784 /nfs/dbraw/zinc/42/97/84/366429784.db2.gz FTDNZQXJOBBSFY-IJLUTSLNSA-N 0 3 237.343 2.796 20 0 BFADHN COc1ccc(CNCCCSC)c(F)c1 ZINC000228598241 358380897 /nfs/dbraw/zinc/38/08/97/358380897.db2.gz IBRODQNPBYABKJ-UHFFFAOYSA-N 0 3 243.347 2.677 20 0 BFADHN COC1CC(NCc2ccc([C@@H]3C[C@H]3C)o2)C1 ZINC000230688827 358409260 /nfs/dbraw/zinc/40/92/60/358409260.db2.gz CZQMRUZYJRTRHR-WIHFPFTRSA-N 0 3 235.327 2.670 20 0 BFADHN CCC[C@H](C)CN1CCOC2(CCC2)C1 ZINC000180417478 366450865 /nfs/dbraw/zinc/45/08/65/366450865.db2.gz TXQQJXUQQWYTIJ-LBPRGKRZSA-N 0 3 211.349 2.678 20 0 BFADHN CCOc1cc(CN[C@@H]2CC2(C)C)ccc1OC ZINC000044372195 358548171 /nfs/dbraw/zinc/54/81/71/358548171.db2.gz LYYDPQLHAINGDT-CQSZACIVSA-N 0 3 249.354 2.982 20 0 BFADHN Cc1noc(C)c1CN1C[C@H](C)CC[C@@H]1C ZINC000174466932 134282241 /nfs/dbraw/zinc/28/22/41/134282241.db2.gz HXWUHOJSULKHSJ-ZJUUUORDSA-N 0 3 222.332 2.912 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cccnc1OC ZINC000128944903 358583102 /nfs/dbraw/zinc/58/31/02/358583102.db2.gz YDTJXAWMACTDRL-YPMHNXCESA-N 0 3 234.343 2.759 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCCCO2)cc1 ZINC000042977489 358533630 /nfs/dbraw/zinc/53/36/30/358533630.db2.gz BFXKDUYOFDBONQ-HNNXBMFYSA-N 0 3 233.355 2.996 20 0 BFADHN CCn1nccc1CN(C(C)C)C1CCC1 ZINC000130038222 358605283 /nfs/dbraw/zinc/60/52/83/358605283.db2.gz LQKCZLWYXJIMJC-UHFFFAOYSA-N 0 3 221.348 2.666 20 0 BFADHN COC(=O)c1ccccc1CN1CCCC[C@@H]1C ZINC000130080686 358607633 /nfs/dbraw/zinc/60/76/33/358607633.db2.gz WEUIOVQGUYSVND-LBPRGKRZSA-N 0 3 247.338 2.848 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccccc1C(=O)OC ZINC000130174753 358611770 /nfs/dbraw/zinc/61/17/70/358611770.db2.gz KOELBGKSTCRMGV-CYBMUJFWSA-N 0 3 247.338 2.848 20 0 BFADHN C[C@@H](NCC1(O)CCC1)c1cc(F)cc(F)c1 ZINC000130787069 358644428 /nfs/dbraw/zinc/64/44/28/358644428.db2.gz UCIIQHROMBBWKB-SECBINFHSA-N 0 3 241.281 2.530 20 0 BFADHN C[C@@H](CC1CCCC1)N(C)[C@@H](C)C[S@](C)=O ZINC000130947256 358654940 /nfs/dbraw/zinc/65/49/40/358654940.db2.gz XBPOMWVUYKQNGB-MQIPJXDCSA-N 0 3 245.432 2.654 20 0 BFADHN CC[C@H](N[C@@H](C)c1cncs1)[C@H]1CCCO1 ZINC000131518122 358681043 /nfs/dbraw/zinc/68/10/43/358681043.db2.gz QANIGJCKGMPODA-GARJFASQSA-N 0 3 240.372 2.751 20 0 BFADHN C[C@@H](NCCOCC(F)(F)F)c1ccccc1 ZINC000049310777 358681705 /nfs/dbraw/zinc/68/17/05/358681705.db2.gz SXVZQCXUEIFLEG-SNVBAGLBSA-N 0 3 247.260 2.916 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1ccc(F)cc1F ZINC000131843223 358696970 /nfs/dbraw/zinc/69/69/70/358696970.db2.gz NQRTZGCMLPWYPS-KOLCDFICSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@H](N[C@@H](C)COC)c1cccc(OC)c1 ZINC000131881337 358698336 /nfs/dbraw/zinc/69/83/36/358698336.db2.gz WTSKGUZVYUDRIB-FZMZJTMJSA-N 0 3 237.343 2.771 20 0 BFADHN COc1cc(C)nc(CNCCCC(C)C)c1 ZINC000214089133 358753457 /nfs/dbraw/zinc/75/34/57/358753457.db2.gz XDLREHXQRQQKKS-UHFFFAOYSA-N 0 3 236.359 2.924 20 0 BFADHN CC[C@H](N[C@H](C)C(=O)NC(C)C)c1ccccc1 ZINC000051628050 358757398 /nfs/dbraw/zinc/75/73/98/358757398.db2.gz XMVPMWZTYHSMBT-OCCSQVGLSA-N 0 3 248.370 2.640 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@](C)(O)C(C)C)o1 ZINC000133548579 358758957 /nfs/dbraw/zinc/75/89/57/358758957.db2.gz UOLFHFMPMHBZEX-YPMHNXCESA-N 0 3 225.332 2.646 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCC(CO)CC1 ZINC000051853908 358765812 /nfs/dbraw/zinc/76/58/12/358765812.db2.gz QMPNLILFFVZMKM-NSHDSACASA-N 0 3 237.318 2.591 20 0 BFADHN CCn1ccnc1CN[C@H](C)CCC(C)C ZINC000051921028 358767327 /nfs/dbraw/zinc/76/73/27/358767327.db2.gz KSHDMTUWXZRISN-GFCCVEGCSA-N 0 3 223.364 2.817 20 0 BFADHN C[C@@H](N[C@H]1CCN(C2CC2)C1)c1ccsc1 ZINC000050344904 358725096 /nfs/dbraw/zinc/72/50/96/358725096.db2.gz UKNWUFGBAKRTFI-PWSUYJOCSA-N 0 3 236.384 2.635 20 0 BFADHN CCCC[C@H](CC)CN[C@@H](C)c1nncn1C ZINC000050418433 358729738 /nfs/dbraw/zinc/72/97/38/358729738.db2.gz OFZYHVQTCOJDOF-RYUDHWBXSA-N 0 3 238.379 2.682 20 0 BFADHN CCCC[C@@H](CC)CN[C@H](C)c1nncn1C ZINC000050418434 358730030 /nfs/dbraw/zinc/73/00/30/358730030.db2.gz OFZYHVQTCOJDOF-VXGBXAGGSA-N 0 3 238.379 2.682 20 0 BFADHN Cc1cc(F)ccc1CNC[C@H]1CCCCO1 ZINC000050577613 358733383 /nfs/dbraw/zinc/73/33/83/358733383.db2.gz ZFRABILQGFEGCG-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1cc(C)cc(CN(C)C[C@@H]2CCCO2)c1 ZINC000052102559 358773213 /nfs/dbraw/zinc/77/32/13/358773213.db2.gz NVBUXFVPILICQA-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN CC[C@@H](NCc1nccn1C)C1CCCCC1 ZINC000052336050 358780043 /nfs/dbraw/zinc/78/00/43/358780043.db2.gz BHJOQVFLDAXZPE-CYBMUJFWSA-N 0 3 235.375 2.869 20 0 BFADHN CCCC[C@@H](N)C(=O)N[C@@H](C)C1CCCCC1 ZINC000052342578 358780127 /nfs/dbraw/zinc/78/01/27/358780127.db2.gz HNRYOTCBOYOPAK-WCQYABFASA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@H](CCO)N[C@@H](C)c1ccccc1F ZINC000134195581 358783084 /nfs/dbraw/zinc/78/30/84/358783084.db2.gz KLTYNRSKHLLUTH-WDEREUQCSA-N 0 3 225.307 2.637 20 0 BFADHN CC(C)=CCN1CCC[C@H](c2nc(C)no2)C1 ZINC000052862108 358797680 /nfs/dbraw/zinc/79/76/80/358797680.db2.gz SQSOMKDYLRGNLM-LBPRGKRZSA-N 0 3 235.331 2.524 20 0 BFADHN CCCN(CCC)Cc1cccnc1OC ZINC000176455986 134291848 /nfs/dbraw/zinc/29/18/48/134291848.db2.gz VBMMKICXKALSCF-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC2(CO)CCCC2)o1 ZINC000053044514 358806775 /nfs/dbraw/zinc/80/67/75/358806775.db2.gz CDMJZLPHROFPSI-YPMHNXCESA-N 0 3 249.354 2.798 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C)[C@@H]2C)s1 ZINC000180531122 366496417 /nfs/dbraw/zinc/49/64/17/366496417.db2.gz ZVWIRCLBTZAKNB-SCZZXKLOSA-N 0 3 210.346 2.682 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1ccsc1 ZINC000308632649 491137605 /nfs/dbraw/zinc/13/76/05/491137605.db2.gz ZOYFLVAPBCISHD-JMJZKYOTSA-N 0 3 211.330 2.576 20 0 BFADHN CC(C)(C)CCN1CCOCC12CCC2 ZINC000135495407 358840934 /nfs/dbraw/zinc/84/09/34/358840934.db2.gz QXTSPIVXJVODCI-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN c1c2ccccc2[nH]c1CNCc1ccncc1 ZINC000058474027 358911766 /nfs/dbraw/zinc/91/17/66/358911766.db2.gz JTSWVOUSDVVFFM-UHFFFAOYSA-N 0 3 237.306 2.853 20 0 BFADHN Cc1nccc(CN[C@@H]2CCC[C@H](C)[C@@H]2C)n1 ZINC000054790073 358873434 /nfs/dbraw/zinc/87/34/34/358873434.db2.gz WIRNXMLCYWNLFD-COPLHBTASA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1ccnc(C)n1 ZINC000054790416 358873941 /nfs/dbraw/zinc/87/39/41/358873941.db2.gz OFHSDDRFGWSVCG-OCCSQVGLSA-N 0 3 233.359 2.843 20 0 BFADHN Cn1ccc(CN2CC[C@]2(C)C2CCCCC2)n1 ZINC000640218519 358875091 /nfs/dbraw/zinc/87/50/91/358875091.db2.gz GYCYGDGCWCTACX-OAHLLOKOSA-N 0 3 247.386 2.965 20 0 BFADHN c1ccc(SCCN2CCCCC2)nc1 ZINC000059457485 358920231 /nfs/dbraw/zinc/92/02/31/358920231.db2.gz PCSNHXRMNVHGBO-UHFFFAOYSA-N 0 3 222.357 2.660 20 0 BFADHN CC(C)(C)[C@H](CO)NCc1cscc1Cl ZINC000308819928 491138420 /nfs/dbraw/zinc/13/84/20/491138420.db2.gz BODDMELARMZGFC-JTQLQIEISA-N 0 3 247.791 2.898 20 0 BFADHN CC(C)[C@@H]1C[C@@H](N[C@@H](C)c2ccccn2)CCO1 ZINC000070345812 359050792 /nfs/dbraw/zinc/05/07/92/359050792.db2.gz AHWXTTMHXOUOES-YDHLFZDLSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@H]1CN(Cc2cccc(N)c2)C[C@H](C)S1 ZINC000069908085 359036049 /nfs/dbraw/zinc/03/60/49/359036049.db2.gz PEZKQWOYWQLZCN-QWRGUYRKSA-N 0 3 236.384 2.595 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)C1)c1nccn1C ZINC000070007565 359037585 /nfs/dbraw/zinc/03/75/85/359037585.db2.gz NHGMMTFLTFLQOD-GRYCIOLGSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@@H](NC1CCC(C)CC1)c1cnccn1 ZINC000070024463 359038613 /nfs/dbraw/zinc/03/86/13/359038613.db2.gz PYOGDKUTTGFZCK-MOENNCHZSA-N 0 3 219.332 2.706 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@H](C)C[C@@H]1C ZINC000070112329 359041909 /nfs/dbraw/zinc/04/19/09/359041909.db2.gz AFZBMSVIRIZVQW-RWMBFGLXSA-N 0 3 235.375 2.817 20 0 BFADHN C[C@@H]1C[C@@H](NCc2nccn2C)CC(C)(C)C1 ZINC000071138735 359072687 /nfs/dbraw/zinc/07/26/87/359072687.db2.gz HGPOHZOCXOLUGK-VXGBXAGGSA-N 0 3 235.375 2.725 20 0 BFADHN c1cnn([C@H]2CCCC[C@@H]2NCc2ccco2)c1 ZINC000072746283 359089664 /nfs/dbraw/zinc/08/96/64/359089664.db2.gz RZKYNUYBNBIYSH-KBPBESRZSA-N 0 3 245.326 2.750 20 0 BFADHN C[C@H](Cc1cccs1)N[C@@H](C)c1nccn1C ZINC000070444209 359053921 /nfs/dbraw/zinc/05/39/21/359053921.db2.gz DJZVVKMGRQDTRI-MNOVXSKESA-N 0 3 249.383 2.763 20 0 BFADHN C[C@@H](CN[C@H](C)c1nccn1C)c1ccccc1 ZINC000070443626 359054079 /nfs/dbraw/zinc/05/40/79/359054079.db2.gz QYMONVUUPPOYIR-QWHCGFSZSA-N 0 3 243.354 2.874 20 0 BFADHN Cc1cccc(CCN[C@@H](C)c2nccn2C)c1 ZINC000070445422 359055376 /nfs/dbraw/zinc/05/53/76/359055376.db2.gz SDLLTAKCQHKVQI-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN C[C@@H]1CCC[C@H](CCNCc2cc[nH]n2)C1 ZINC000070532033 359058155 /nfs/dbraw/zinc/05/81/55/359058155.db2.gz HMXWVSKOHWYQEB-VXGBXAGGSA-N 0 3 221.348 2.716 20 0 BFADHN COc1cccc(CN2CCC[C@@H](C)[C@@H]2C)n1 ZINC000246570265 359148005 /nfs/dbraw/zinc/14/80/05/359148005.db2.gz DXJAAQNSLKXTAP-NEPJUHHUSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@H]1CN(CCc2ccccc2)C[C@@H](C)O1 ZINC000247515223 359230054 /nfs/dbraw/zinc/23/00/54/359230054.db2.gz KDSGNZCGYRINCS-HIFRSBDPSA-N 0 3 233.355 2.728 20 0 BFADHN CC(C)N(C(=O)[C@@H](C)N1CCC[C@H]1C)C(C)C ZINC000247646508 359237789 /nfs/dbraw/zinc/23/77/89/359237789.db2.gz UMRXAUNBLFHCOA-CHWSQXEVSA-N 0 3 240.391 2.505 20 0 BFADHN COC(=O)c1cccc(CN2CCC[C@H](C)C2)c1 ZINC000247817375 359245509 /nfs/dbraw/zinc/24/55/09/359245509.db2.gz GOGAMISQENILOS-LBPRGKRZSA-N 0 3 247.338 2.705 20 0 BFADHN C[C@H](N[C@@H]1[C@H]2CCO[C@H]2C1(C)C)c1ccoc1 ZINC000248134561 359255664 /nfs/dbraw/zinc/25/56/64/359255664.db2.gz OWXQWHDSNKEXKY-WKSBVSIWSA-N 0 3 235.327 2.744 20 0 BFADHN CC[C@@H](C)CN1CCCC[C@H]1c1ncc[nH]1 ZINC000248159264 359256519 /nfs/dbraw/zinc/25/65/19/359256519.db2.gz RWLOWQNTWVNFNS-NEPJUHHUSA-N 0 3 221.348 2.983 20 0 BFADHN Cc1ccc(CCN2C[C@H](C)OC[C@H]2C)cc1 ZINC000247239398 359203730 /nfs/dbraw/zinc/20/37/30/359203730.db2.gz YXHLLFNGHGEGEK-KGLIPLIRSA-N 0 3 233.355 2.647 20 0 BFADHN CC[C@@H]1CN(Cc2ccccc2C)C[C@@H](C)O1 ZINC000247402466 359219243 /nfs/dbraw/zinc/21/92/43/359219243.db2.gz BKGLMBALENKWMK-UKRRQHHQSA-N 0 3 233.355 2.994 20 0 BFADHN CCn1nccc1CN1CCCC2(CC2)CC1 ZINC000628480317 359317933 /nfs/dbraw/zinc/31/79/33/359317933.db2.gz PTEOQGQZEOVANN-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN CC1(C)CC[C@@H]1NCc1cn2ccsc2n1 ZINC000284417272 359301045 /nfs/dbraw/zinc/30/10/45/359301045.db2.gz QOPYBZUOQPEDMY-JTQLQIEISA-N 0 3 235.356 2.674 20 0 BFADHN CCOCCCCNCc1nc2ccccc2o1 ZINC000613755023 363633350 /nfs/dbraw/zinc/63/33/50/363633350.db2.gz XVIHWQFJJUOZPY-UHFFFAOYSA-N 0 3 248.326 2.734 20 0 BFADHN CCc1ccc(CN(C)CC(O)(CC)CC)o1 ZINC000628480007 359315965 /nfs/dbraw/zinc/31/59/65/359315965.db2.gz UMSJZDLQLOLQKX-UHFFFAOYSA-N 0 3 239.359 2.825 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCO2)[C@H]1c1ccccc1 ZINC000248339905 359265768 /nfs/dbraw/zinc/26/57/68/359265768.db2.gz IJWQSIWDCFKRHA-VHDGCEQUSA-N 0 3 231.339 2.858 20 0 BFADHN CCOC(=O)c1ccc(CNC(CC)CC)o1 ZINC000611422638 359366571 /nfs/dbraw/zinc/36/65/71/359366571.db2.gz AAMKBMLXSZNRAP-UHFFFAOYSA-N 0 3 239.315 2.735 20 0 BFADHN CCC[C@H](N[C@@H]1CNCCC1(F)F)C(C)(C)C ZINC000423481394 192806582 /nfs/dbraw/zinc/80/65/82/192806582.db2.gz OILBXDTWSHTIAY-WDEREUQCSA-N 0 3 248.361 2.788 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CSC[C@H]2C)s1 ZINC000308977930 491141769 /nfs/dbraw/zinc/14/17/69/491141769.db2.gz HESCFVZZNIKSQK-KHQFGBGNSA-N 0 3 242.413 2.854 20 0 BFADHN Cc1csc([C@@H](C)NCC[C@@H](C)CCO)n1 ZINC000623990583 359667393 /nfs/dbraw/zinc/66/73/93/359667393.db2.gz GXPPBJGKIIXHGB-MWLCHTKSSA-N 0 3 242.388 2.511 20 0 BFADHN C/C=C\CN[C@H](C)c1cnn(C(C)C)c1 ZINC000309039177 491143123 /nfs/dbraw/zinc/14/31/23/491143123.db2.gz KCLSSSQPHWKXEU-ISALQUGTSA-N 0 3 207.321 2.691 20 0 BFADHN C/C=C\C[C@H]1CCCN(Cc2nccnc2C)C1 ZINC000628495432 359696836 /nfs/dbraw/zinc/69/68/36/359696836.db2.gz QJXNEOMOOBAMDB-NQHOJNORSA-N 0 3 245.370 2.963 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2nccnc2C)C1 ZINC000628495433 359697022 /nfs/dbraw/zinc/69/70/22/359697022.db2.gz QJXNEOMOOBAMDB-RDFMZFSFSA-N 0 3 245.370 2.963 20 0 BFADHN Cc1cccc(CN([C@H](C)CO)C2CCCC2)n1 ZINC000450807617 202272542 /nfs/dbraw/zinc/27/25/42/202272542.db2.gz GYDWOQSABQPKAU-CYBMUJFWSA-N 0 3 248.370 2.515 20 0 BFADHN COc1ccnc(NCC2(C)CCC2)c1 ZINC000309073840 491144079 /nfs/dbraw/zinc/14/40/79/491144079.db2.gz HXPILZRXCBAJQD-UHFFFAOYSA-N 0 3 206.289 2.692 20 0 BFADHN C[C@H]1COC(C)(C)CN1C[C@@H]1CCC(F)(F)C1 ZINC000450849723 202288465 /nfs/dbraw/zinc/28/84/65/202288465.db2.gz UMXGKEBNKLLWGF-WDEREUQCSA-N 0 3 247.329 2.921 20 0 BFADHN CCC[C@](C)(CO)NCc1cc(C)ccc1F ZINC000083469599 359795865 /nfs/dbraw/zinc/79/58/65/359795865.db2.gz BGSGYEDEQCMYLK-CQSZACIVSA-N 0 3 239.334 2.775 20 0 BFADHN Fc1ccc(CN[C@@H]2[C@@H]3CCC[C@@H]32)c(F)c1 ZINC000578381485 366547713 /nfs/dbraw/zinc/54/77/13/366547713.db2.gz ZLMKFZUIAZGRCC-PTEHBNRSSA-N 0 3 223.266 2.853 20 0 BFADHN C[C@H](O)CCN1CC(C)(C)[C@@H]1c1cccs1 ZINC000451042139 202337054 /nfs/dbraw/zinc/33/70/54/202337054.db2.gz UXJROEAIIWRXRU-JQWIXIFHSA-N 0 3 239.384 2.902 20 0 BFADHN CC[C@@H]1CN([C@H](C)CC(C)C)C[C@@H](C)[S@]1=O ZINC000450977779 202323923 /nfs/dbraw/zinc/32/39/23/202323923.db2.gz BGGSQZYNMPHDRF-BRXULGCHSA-N 0 3 245.432 2.652 20 0 BFADHN Cc1nnsc1CN1CC[C@@H](CC(C)C)C1 ZINC000578445561 366570909 /nfs/dbraw/zinc/57/09/09/366570909.db2.gz IQMXTZLUGLMLOF-NSHDSACASA-N 0 3 239.388 2.715 20 0 BFADHN C[C@@]1(F)CCCN(C[C@H](O)C2CCCCC2)C1 ZINC000451115634 202354601 /nfs/dbraw/zinc/35/46/01/202354601.db2.gz PBKSVHXEEILRGO-UONOGXRCSA-N 0 3 243.366 2.752 20 0 BFADHN COC(C)(C)CCN1CCC[C@@](C)(F)C1 ZINC000451112981 202353471 /nfs/dbraw/zinc/35/34/71/202353471.db2.gz JAWQPLAUNGPDMC-GFCCVEGCSA-N 0 3 217.328 2.626 20 0 BFADHN CC[C@H](CO)N(C)Cc1ccccc1SC ZINC000451159391 202366680 /nfs/dbraw/zinc/36/66/80/202366680.db2.gz QESHTJFIMQQZTI-GFCCVEGCSA-N 0 3 239.384 2.611 20 0 BFADHN CC[C@@H](CO)N(C)Cc1c(C)cccc1Cl ZINC000451160208 202368553 /nfs/dbraw/zinc/36/85/53/202368553.db2.gz UBLZQHBRCIVIED-NSHDSACASA-N 0 3 241.762 2.851 20 0 BFADHN CC(C)OCCCN1CCC[C@](C)(F)C1 ZINC000451114868 202355605 /nfs/dbraw/zinc/35/56/05/202355605.db2.gz NBDBFBANHOZENW-LBPRGKRZSA-N 0 3 217.328 2.626 20 0 BFADHN Cc1nc(C)c(CN[C@@H]2CCC[C@@H]2C)o1 ZINC000309133624 491145387 /nfs/dbraw/zinc/14/53/87/491145387.db2.gz RMXAOJXCFWDHNK-GZMMTYOYSA-N 0 3 208.305 2.570 20 0 BFADHN Cc1cc([C@H](C)NCC2(O)CCCC2)c(C)o1 ZINC000087016887 359894658 /nfs/dbraw/zinc/89/46/58/359894658.db2.gz FSLPQTHVHPKYLH-NSHDSACASA-N 0 3 237.343 2.852 20 0 BFADHN Cc1nnc(CN2CCC[C@@]2(C)CC(C)C)[nH]1 ZINC000451294851 202396864 /nfs/dbraw/zinc/39/68/64/202396864.db2.gz YKWJRMMTFFMHNT-ZDUSSCGKSA-N 0 3 236.363 2.514 20 0 BFADHN CCCC[C@H](N)C(=O)N1CCCC(C)(C)CC1 ZINC000087657712 359923392 /nfs/dbraw/zinc/92/33/92/359923392.db2.gz KSPQMRLOJWTZQU-LBPRGKRZSA-N 0 3 240.391 2.543 20 0 BFADHN Oc1cccc(CNC[C@@H]2CCCCS2)c1 ZINC000087683781 359924085 /nfs/dbraw/zinc/92/40/85/359924085.db2.gz KROPWLUNKWCWQH-ZDUSSCGKSA-N 0 3 237.368 2.768 20 0 BFADHN Cc1cccc([C@H](C)NCc2c[nH]cn2)c1 ZINC000088162174 359939152 /nfs/dbraw/zinc/93/91/52/359939152.db2.gz VUCLTYSVEZFSHQ-NSHDSACASA-N 0 3 215.300 2.569 20 0 BFADHN Cc1cccc([C@H](C)NCc2cnc[nH]2)c1 ZINC000088162174 359939154 /nfs/dbraw/zinc/93/91/54/359939154.db2.gz VUCLTYSVEZFSHQ-NSHDSACASA-N 0 3 215.300 2.569 20 0 BFADHN CSCCCCCNCc1ccns1 ZINC000404493760 359952049 /nfs/dbraw/zinc/95/20/49/359952049.db2.gz YXKOZAFZYXKKGI-UHFFFAOYSA-N 0 3 230.402 2.766 20 0 BFADHN CCC[C@@H](NCc1ncccn1)C(C)(C)C ZINC000451442420 202422401 /nfs/dbraw/zinc/42/24/01/202422401.db2.gz VYBBMFXAEYQMKM-LLVKDONJSA-N 0 3 221.348 2.781 20 0 BFADHN CC/C=C\CNCc1cccc(-n2cccn2)c1 ZINC000578954356 366678712 /nfs/dbraw/zinc/67/87/12/366678712.db2.gz LRHWBDYDAFAQNP-ARJAWSKDSA-N 0 3 241.338 2.928 20 0 BFADHN COc1ccnc(NC[C@@H]2CC=CCC2)c1 ZINC000089805704 360042503 /nfs/dbraw/zinc/04/25/03/360042503.db2.gz AOYALEOWKZLSMO-LLVKDONJSA-N 0 3 218.300 2.858 20 0 BFADHN CC[C@H](COC)N[C@H](CC)c1c(C)noc1C ZINC000090886978 360093086 /nfs/dbraw/zinc/09/30/86/360093086.db2.gz PNKUSKLMFOCZJY-VXGBXAGGSA-N 0 3 240.347 2.757 20 0 BFADHN COCc1cc(CNC2CCCCCC2)no1 ZINC000090253573 360066798 /nfs/dbraw/zinc/06/67/98/360066798.db2.gz YVZKZKLKXMDCPW-UHFFFAOYSA-N 0 3 238.331 2.633 20 0 BFADHN COC[C@H](NCc1cc(C)cc(OC)c1)C1CC1 ZINC000090723709 360085530 /nfs/dbraw/zinc/08/55/30/360085530.db2.gz KQKBXEFQLHERNV-HNNXBMFYSA-N 0 3 249.354 2.518 20 0 BFADHN CC1(C)Cc2occc2[C@@H](N[C@H]2CCOC2)C1 ZINC000090774488 360086376 /nfs/dbraw/zinc/08/63/76/360086376.db2.gz ZRGZHMYLWKDERM-JQWIXIFHSA-N 0 3 235.327 2.672 20 0 BFADHN CC1(C)Cc2occc2[C@H](N[C@H]2CCOC2)C1 ZINC000090774487 360086636 /nfs/dbraw/zinc/08/66/36/360086636.db2.gz ZRGZHMYLWKDERM-CMPLNLGQSA-N 0 3 235.327 2.672 20 0 BFADHN CC[C@@H](N[C@H]1CCOC1)c1cc(C)ccc1OC ZINC000090774138 360086793 /nfs/dbraw/zinc/08/67/93/360086793.db2.gz YESFJSUAPHWNCN-GXTWGEPZSA-N 0 3 249.354 2.833 20 0 BFADHN CC[C@H](N[C@@H]1CCOC1)c1cc(C)ccc1OC ZINC000090774135 360086848 /nfs/dbraw/zinc/08/68/48/360086848.db2.gz YESFJSUAPHWNCN-OCCSQVGLSA-N 0 3 249.354 2.833 20 0 BFADHN C[C@@H]1C[C@H](Nc2nc3ccccc3o2)CCN1C ZINC000090795268 360089137 /nfs/dbraw/zinc/08/91/37/360089137.db2.gz MIEFVQXXQIDVFU-GHMZBOCLSA-N 0 3 245.326 2.722 20 0 BFADHN CCn1cc(CN(CC(C)C)C2CC2)cn1 ZINC000092008787 360144620 /nfs/dbraw/zinc/14/46/20/360144620.db2.gz LARDAJQVMJQFGH-UHFFFAOYSA-N 0 3 221.348 2.523 20 0 BFADHN CC[C@@H](C)CN(C)Cc1c(C)nn(CC)c1C ZINC000091796323 360130300 /nfs/dbraw/zinc/13/03/00/360130300.db2.gz ONOGEZZPDNRSJM-LLVKDONJSA-N 0 3 237.391 2.998 20 0 BFADHN Cc1cccc(CN(CCO)C2CCCCC2)n1 ZINC000091873943 360132832 /nfs/dbraw/zinc/13/28/32/360132832.db2.gz FXQWBGAXRDAMHT-UHFFFAOYSA-N 0 3 248.370 2.517 20 0 BFADHN CC(C)CN1CCc2cc(F)ccc2C1 ZINC000091890818 360134227 /nfs/dbraw/zinc/13/42/27/360134227.db2.gz BXEUXCANUNZTLN-UHFFFAOYSA-N 0 3 207.292 2.840 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc(OC)cc2OC)C1 ZINC000091929095 360136265 /nfs/dbraw/zinc/13/62/65/360136265.db2.gz ZAFATRMBBQVCLB-GFCCVEGCSA-N 0 3 249.354 2.936 20 0 BFADHN CC1(C)CN(Cc2cccc3[nH]ccc32)CCO1 ZINC000091917947 360136310 /nfs/dbraw/zinc/13/63/10/360136310.db2.gz BATAFHYLUWQWQC-UHFFFAOYSA-N 0 3 244.338 2.779 20 0 BFADHN CC[C@@H]1CCN(Cc2cnn(C(C)(C)C)c2)C1 ZINC000091930398 360137562 /nfs/dbraw/zinc/13/75/62/360137562.db2.gz CEADBORRTOWZJX-GFCCVEGCSA-N 0 3 235.375 2.870 20 0 BFADHN CCc1nn(C)cc1CNCc1ccccc1C ZINC000092364530 360164800 /nfs/dbraw/zinc/16/48/00/360164800.db2.gz DNGJKLXSYKZZQJ-UHFFFAOYSA-N 0 3 243.354 2.581 20 0 BFADHN CC(C)[C@H](CO)Nc1ccnc2ccccc21 ZINC000092401412 360169764 /nfs/dbraw/zinc/16/97/64/360169764.db2.gz RFOOAYWRKAMOOR-AWEZNQCLSA-N 0 3 230.311 2.664 20 0 BFADHN CC(C)n1ccc(CN[C@H]2CC[C@@H](C)C2)n1 ZINC000122014176 360175831 /nfs/dbraw/zinc/17/58/31/360175831.db2.gz VOEDRSNFWSEVPH-NEPJUHHUSA-N 0 3 221.348 2.742 20 0 BFADHN CCOCCN(CC)Cc1ccc(F)cc1C ZINC000092537812 360177469 /nfs/dbraw/zinc/17/74/69/360177469.db2.gz UOPAUYQRKJFMRZ-UHFFFAOYSA-N 0 3 239.334 2.993 20 0 BFADHN C[C@H]1CN(Cc2cccc(O)c2)C[C@H](C)S1 ZINC000092541113 360178534 /nfs/dbraw/zinc/17/85/34/360178534.db2.gz MKZYBVDFBGWGBT-QWRGUYRKSA-N 0 3 237.368 2.718 20 0 BFADHN Cc1ncccc1CN1C[C@H](C)S[C@@H](C)C1 ZINC000092541250 360178683 /nfs/dbraw/zinc/17/86/83/360178683.db2.gz FSXPEFSBMZHPQG-QWRGUYRKSA-N 0 3 236.384 2.716 20 0 BFADHN CCc1ccc(CN(CCOC)CC(C)C)o1 ZINC000092563502 360179593 /nfs/dbraw/zinc/17/95/93/360179593.db2.gz ZAEGRXPTHKUHIU-UHFFFAOYSA-N 0 3 239.359 2.946 20 0 BFADHN CCOc1cccc(CN(C)CCSC)c1 ZINC000093509369 360254446 /nfs/dbraw/zinc/25/44/46/360254446.db2.gz UPKXVTOESGEHQI-UHFFFAOYSA-N 0 3 239.384 2.880 20 0 BFADHN c1cnn([C@H]2CCCN(Cc3ccsc3)C2)c1 ZINC000092968333 360207842 /nfs/dbraw/zinc/20/78/42/360207842.db2.gz NBPZJZFCSIJJJW-ZDUSSCGKSA-N 0 3 247.367 2.782 20 0 BFADHN Cn1cc(CNC2CC2)c(C2CCCCC2)n1 ZINC000093297253 360226212 /nfs/dbraw/zinc/22/62/12/360226212.db2.gz YAHNKUYATUIMIS-UHFFFAOYSA-N 0 3 233.359 2.720 20 0 BFADHN Clc1cccc(-c2n[nH]cc2CNC2CC2)c1 ZINC000093296317 360226652 /nfs/dbraw/zinc/22/66/52/360226652.db2.gz IPFCYLMFQOLSRY-UHFFFAOYSA-N 0 3 247.729 2.982 20 0 BFADHN Cn1nccc1CN1CCC[C@@]2(CC=CCC2)C1 ZINC000093439514 360242343 /nfs/dbraw/zinc/24/23/43/360242343.db2.gz RCXDNHFVAYZRHM-HNNXBMFYSA-N 0 3 245.370 2.742 20 0 BFADHN CCn1cc(CN2CCC[C@@](C)(CC)C2)cn1 ZINC000093458951 360245620 /nfs/dbraw/zinc/24/56/20/360245620.db2.gz YTIFEFXCYLIWJF-CQSZACIVSA-N 0 3 235.375 2.915 20 0 BFADHN CC[C@]1(C)CCCN(Cc2c[nH]nc2C)C1 ZINC000093459069 360245731 /nfs/dbraw/zinc/24/57/31/360245731.db2.gz UYOJSMBQKXTESD-CYBMUJFWSA-N 0 3 221.348 2.730 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@H](C)C2)c(OC)c1 ZINC000093503295 360249251 /nfs/dbraw/zinc/24/92/51/360249251.db2.gz XOJPWLGMBFUMRE-TXEJJXNPSA-N 0 3 249.354 2.792 20 0 BFADHN Cc1ccccc1CCN[C@H](C)c1nccn1C ZINC000094182806 360298895 /nfs/dbraw/zinc/29/88/95/360298895.db2.gz FVHDPZUFWJEYJN-CYBMUJFWSA-N 0 3 243.354 2.622 20 0 BFADHN CC1(C)[C@@H]2CN(Cc3cccc4c3OCO4)C[C@@H]21 ZINC000093661034 360267460 /nfs/dbraw/zinc/26/74/60/360267460.db2.gz HPRLSDKMXSRGPM-TXEJJXNPSA-N 0 3 245.322 2.503 20 0 BFADHN Cc1n[nH]cc1CN1CCC2(C1)CCCCC2 ZINC000093663769 360267638 /nfs/dbraw/zinc/26/76/38/360267638.db2.gz KAAYQLYXWHAINF-UHFFFAOYSA-N 0 3 233.359 2.874 20 0 BFADHN CC(C)C[C@@H]1CCCN(Cc2c[nH]cn2)C1 ZINC000093716487 360273068 /nfs/dbraw/zinc/27/30/68/360273068.db2.gz NSLZLHIAJRFPAW-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN CC(C)C[C@@H]1CCCN(Cc2cnc[nH]2)C1 ZINC000093716487 360273071 /nfs/dbraw/zinc/27/30/71/360273071.db2.gz NSLZLHIAJRFPAW-LBPRGKRZSA-N 0 3 221.348 2.668 20 0 BFADHN CCC[C@H]1CCCN(Cc2c[nH]nc2C)C1 ZINC000093704821 360273099 /nfs/dbraw/zinc/27/30/99/360273099.db2.gz JARIWWBJPQMOPS-LBPRGKRZSA-N 0 3 221.348 2.730 20 0 BFADHN Cc1nn(C)cc1CN1CCC[C@H](CC(C)C)C1 ZINC000093716442 360273323 /nfs/dbraw/zinc/27/33/23/360273323.db2.gz GICFGDLMOOJRDW-CQSZACIVSA-N 0 3 249.402 2.987 20 0 BFADHN CSC[C@@H]1CCCN1Cc1cccnc1C ZINC000093761503 360274664 /nfs/dbraw/zinc/27/46/64/360274664.db2.gz RCABNSFZPLYKDS-ZDUSSCGKSA-N 0 3 236.384 2.717 20 0 BFADHN CC(C)CN(Cc1cc[nH]n1)CC(C)(C)C ZINC000093817065 360280075 /nfs/dbraw/zinc/28/00/75/360280075.db2.gz SNXWJPFZCPTLFF-UHFFFAOYSA-N 0 3 223.364 2.914 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cccn2C)CCS1 ZINC000093869349 360284448 /nfs/dbraw/zinc/28/44/48/360284448.db2.gz FDYOCPPWABCMQA-ZDUSSCGKSA-N 0 3 238.400 2.599 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1c[nH]cn1 ZINC000093845178 360284748 /nfs/dbraw/zinc/28/47/48/360284748.db2.gz SIUONPZMMIAJGN-YPMHNXCESA-N 0 3 221.348 2.810 20 0 BFADHN CO[C@@]1(C)C[C@H](N(C)Cc2ccco2)C1(C)C ZINC000093871679 360286124 /nfs/dbraw/zinc/28/61/24/360286124.db2.gz RBIAVMDXXJBHSQ-JSGCOSHPSA-N 0 3 237.343 2.915 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@]1(C)CCCO1 ZINC000094797006 360317511 /nfs/dbraw/zinc/31/75/11/360317511.db2.gz FQOQTLAXWHZJTE-DOMZBBRYSA-N 0 3 249.354 2.915 20 0 BFADHN CCOc1ccccc1CNC[C@@]1(C)CCCO1 ZINC000094797559 360318478 /nfs/dbraw/zinc/31/84/78/360318478.db2.gz VRPXOKMZNLSMBL-OAHLLOKOSA-N 0 3 249.354 2.744 20 0 BFADHN CC(C)[C@H](CO)N[C@@H](C)c1cc(F)cc(F)c1 ZINC000094827841 360321660 /nfs/dbraw/zinc/32/16/60/360321660.db2.gz XYCFPIYAPIOTOM-ZANVPECISA-N 0 3 243.297 2.632 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cnc(C)s1)C(C)C ZINC000309433052 491148293 /nfs/dbraw/zinc/14/82/93/491148293.db2.gz GQDMQSDIFUJSJC-ONGXEEELSA-N 0 3 242.388 2.773 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2CCCOC2)cc1F ZINC000094838004 360322910 /nfs/dbraw/zinc/32/29/10/360322910.db2.gz FLIFHWDYOSMNPO-AAEUAGOBSA-N 0 3 237.318 2.964 20 0 BFADHN CC[C@H](N)C(=O)N(CCC(C)C)C1CCCC1 ZINC000094847786 360322921 /nfs/dbraw/zinc/32/29/21/360322921.db2.gz KLULJBOYCDJFNI-ZDUSSCGKSA-N 0 3 240.391 2.541 20 0 BFADHN C[C@H](CCO)CN[C@H](C)c1ccc(F)cc1F ZINC000097439379 360371943 /nfs/dbraw/zinc/37/19/43/360371943.db2.gz VHEQUMJUIHXSME-NXEZZACHSA-N 0 3 243.297 2.634 20 0 BFADHN C[C@H](CNCc1cnccn1)C1CCCCC1 ZINC000578962374 366681129 /nfs/dbraw/zinc/68/11/29/366681129.db2.gz NJURBLMULMGLKG-GFCCVEGCSA-N 0 3 233.359 2.783 20 0 BFADHN Cc1ccsc1CCN[C@@H](C)c1ncc[nH]1 ZINC000309474321 491149340 /nfs/dbraw/zinc/14/93/40/491149340.db2.gz RPAQWBRRXNEDPG-JTQLQIEISA-N 0 3 235.356 2.673 20 0 BFADHN COC[C@H](CC(C)C)NCc1ccoc1C ZINC000309461137 491149724 /nfs/dbraw/zinc/14/97/24/491149724.db2.gz NYNVZQMIBFBTDL-ZDUSSCGKSA-N 0 3 225.332 2.739 20 0 BFADHN Cc1ccc(CN[C@@H]2CCCC23CC3)nc1 ZINC000631193012 360590390 /nfs/dbraw/zinc/59/03/90/360590390.db2.gz MGWLUBNTLSAMMR-CYBMUJFWSA-N 0 3 216.328 2.812 20 0 BFADHN CC[C@H](NC[C@@H](C)C1CC1)c1ccn(C)n1 ZINC000309533753 491149987 /nfs/dbraw/zinc/14/99/87/491149987.db2.gz DQQYHNYWNLMPIR-PWSUYJOCSA-N 0 3 221.348 2.507 20 0 BFADHN CCC[C@H](CCO)NCc1ccccc1Cl ZINC000631196468 360687550 /nfs/dbraw/zinc/68/75/50/360687550.db2.gz LOSAWZKKLORBHX-GFCCVEGCSA-N 0 3 241.762 2.981 20 0 BFADHN CCC[C@H](CCO)NCc1ccc(F)cc1F ZINC000631196668 360702761 /nfs/dbraw/zinc/70/27/61/360702761.db2.gz NSQALROIOPAYEB-GFCCVEGCSA-N 0 3 243.297 2.606 20 0 BFADHN CCC[C@@H](CCO)NCc1ccc(F)cc1F ZINC000631196669 360702993 /nfs/dbraw/zinc/70/29/93/360702993.db2.gz NSQALROIOPAYEB-LBPRGKRZSA-N 0 3 243.297 2.606 20 0 BFADHN CCC[C@@H](CCO)NCc1ccc(C)cc1F ZINC000631199081 360761481 /nfs/dbraw/zinc/76/14/81/360761481.db2.gz OPQXTXCSOJYUBC-ZDUSSCGKSA-N 0 3 239.334 2.775 20 0 BFADHN CC[C@H](C)[C@H](N)C(=O)N[C@H](C)CCC(C)(C)C ZINC000155500899 360884381 /nfs/dbraw/zinc/88/43/81/360884381.db2.gz ROCQMAGIQLKMHC-TUAOUCFPSA-N 0 3 242.407 2.691 20 0 BFADHN c1cc(CN2CCCCCC2)c2c(c1)OCCO2 ZINC000064430981 360930520 /nfs/dbraw/zinc/93/05/20/360930520.db2.gz IJSNHGIHOYDYQY-UHFFFAOYSA-N 0 3 247.338 2.834 20 0 BFADHN CC1(C)CCC[C@](O)(CNCC2(F)CC2)CC1 ZINC000631205335 360976348 /nfs/dbraw/zinc/97/63/48/360976348.db2.gz MUUGQXJABMNJPC-CQSZACIVSA-N 0 3 243.366 2.800 20 0 BFADHN Cc1nc([C@H](C)N(C)C[C@H](C)C(C)(C)C)n[nH]1 ZINC000640346569 361061863 /nfs/dbraw/zinc/06/18/63/361061863.db2.gz ACEWVNCJZQOMID-UWVGGRQHSA-N 0 3 238.379 2.788 20 0 BFADHN Cc1nc([C@@H](C)N(C)C[C@H](C)C(C)(C)C)n[nH]1 ZINC000640346570 361061971 /nfs/dbraw/zinc/06/19/71/361061971.db2.gz ACEWVNCJZQOMID-VHSXEESVSA-N 0 3 238.379 2.788 20 0 BFADHN Cc1cc(CN(C)C[C@H](C)C(C)(C)C)ncn1 ZINC000640347124 361062917 /nfs/dbraw/zinc/06/29/17/361062917.db2.gz GJZMANOLRYNGTC-NSHDSACASA-N 0 3 235.375 2.899 20 0 BFADHN CC[C@H](C)NC(=O)CN(C)C[C@H](C)C(C)(C)C ZINC000640350167 361074684 /nfs/dbraw/zinc/07/46/84/361074684.db2.gz FXVPLUYKBDLIHP-RYUDHWBXSA-N 0 3 242.407 2.515 20 0 BFADHN C[C@H](CN(C)Cc1ccno1)C(C)(C)C ZINC000640350850 361076659 /nfs/dbraw/zinc/07/66/59/361076659.db2.gz UFXOYQZKSNPRLD-SNVBAGLBSA-N 0 3 210.321 2.789 20 0 BFADHN CCC[C@@H](N[C@H]1CCCOC1)c1cc(C)ccn1 ZINC000631580306 361158535 /nfs/dbraw/zinc/15/85/35/361158535.db2.gz LQWNSLCKNLTVQK-UONOGXRCSA-N 0 3 248.370 3.000 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H](C)O[C@@H]2C)c(F)c1 ZINC000631218845 361181447 /nfs/dbraw/zinc/18/14/47/361181447.db2.gz QUTWABBHROLGHB-MISXGVKJSA-N 0 3 237.318 2.790 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H](C)O[C@@H]2C)c(F)c1 ZINC000631218844 361181595 /nfs/dbraw/zinc/18/15/95/361181595.db2.gz QUTWABBHROLGHB-JTNHKYCSSA-N 0 3 237.318 2.790 20 0 BFADHN CCN(Cc1cn2ccsc2n1)C(C)C ZINC000171055780 361288511 /nfs/dbraw/zinc/28/85/11/361288511.db2.gz GFXZOLDMHNATKU-UHFFFAOYSA-N 0 3 223.345 2.626 20 0 BFADHN CCN(CC(=O)N(C)[C@H](C)CC(C)C)C(C)C ZINC000171055810 361288645 /nfs/dbraw/zinc/28/86/45/361288645.db2.gz YADGNSUJLMUWAQ-CYBMUJFWSA-N 0 3 242.407 2.610 20 0 BFADHN CC(C)c1nc(CN(C)[C@@H](C)C2CCC2)n[nH]1 ZINC000428296115 201006361 /nfs/dbraw/zinc/00/63/61/201006361.db2.gz NMVSPWLEFUEBRR-JTQLQIEISA-N 0 3 236.363 2.549 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@@H](C)[C@@H](C)C2)cn1 ZINC000428304576 201007834 /nfs/dbraw/zinc/00/78/34/201007834.db2.gz YIQOIESIZHVBBI-MDZLAQPJSA-N 0 3 233.359 2.824 20 0 BFADHN CC[C@@H](C)CN(C)[C@H](C)c1cnc(C)cn1 ZINC000428251570 201003814 /nfs/dbraw/zinc/00/38/14/201003814.db2.gz WGEOTMUXUFNROI-ZYHUDNBSSA-N 0 3 221.348 2.824 20 0 BFADHN CC(C)c1nc(CN2CCCCC[C@@H]2C)n[nH]1 ZINC000428253129 201004189 /nfs/dbraw/zinc/00/41/89/201004189.db2.gz PEHZHPJLQDSGRK-NSHDSACASA-N 0 3 236.363 2.693 20 0 BFADHN Clc1ccc(CNC[C@@H]2CCC=CO2)nc1 ZINC000194541496 361324020 /nfs/dbraw/zinc/32/40/20/361324020.db2.gz BGXYLTMJDKXFON-LBPRGKRZSA-N 0 3 238.718 2.517 20 0 BFADHN CCN(CCC1CC1)[C@@H](C)c1cnc(C)cn1 ZINC000428418981 201014153 /nfs/dbraw/zinc/01/41/53/201014153.db2.gz HFMYGKKYWKPCCM-LBPRGKRZSA-N 0 3 233.359 2.968 20 0 BFADHN CCCn1cc(CN2CC[C@H]3CCC[C@@H]32)cn1 ZINC000428453615 201020291 /nfs/dbraw/zinc/02/02/91/201020291.db2.gz GIDOINCFAUCKGG-KGLIPLIRSA-N 0 3 233.359 2.668 20 0 BFADHN CCCc1cccc(CN2CC[C@@H](O)[C@H](C)C2)c1 ZINC000428471981 201022509 /nfs/dbraw/zinc/02/25/09/201022509.db2.gz ZXVVOMXPWNPGNL-CZUORRHYSA-N 0 3 247.382 2.842 20 0 BFADHN CC(C)CCCN(C)Cc1cccn1C ZINC000428469069 201023059 /nfs/dbraw/zinc/02/30/59/201023059.db2.gz RVNOFCBCCAKYNY-UHFFFAOYSA-N 0 3 208.349 2.893 20 0 BFADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1n[nH]c(C)n1 ZINC000428485411 201024408 /nfs/dbraw/zinc/02/44/08/201024408.db2.gz RUAMOLZYZYOTOA-VXGBXAGGSA-N 0 3 236.363 2.514 20 0 BFADHN CN(C)c1ccncc1CN[C@H]1CC12CCCC2 ZINC000428553278 201027423 /nfs/dbraw/zinc/02/74/23/201027423.db2.gz WYVJZHXCWJBXLJ-AWEZNQCLSA-N 0 3 245.370 2.570 20 0 BFADHN CCCC[C@H](C(=O)N[C@@H](C)CN(C)C)C(C)C ZINC000622052819 370684688 /nfs/dbraw/zinc/68/46/88/370684688.db2.gz ATERDPDVGYPAJO-STQMWFEESA-N 0 3 242.407 2.515 20 0 BFADHN CCc1c(C)nn(CCN2CCCCC2)c1C ZINC000614190129 363751220 /nfs/dbraw/zinc/75/12/20/363751220.db2.gz SZCIGRPGOAWVOZ-UHFFFAOYSA-N 0 3 235.375 2.548 20 0 BFADHN CCOC1(C)CCN(Cc2cccnc2C)CC1 ZINC000428707773 201035488 /nfs/dbraw/zinc/03/54/88/201035488.db2.gz IGJFFSJFHZILAW-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN CC(C)(C)OCCN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000428709680 201035778 /nfs/dbraw/zinc/03/57/78/201035778.db2.gz HZZMPHFMGWHTLS-PWSUYJOCSA-N 0 3 247.329 2.779 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1ccc2c(n1)CCCC2 ZINC000446897155 370693976 /nfs/dbraw/zinc/69/39/76/370693976.db2.gz WFCKDSGUOYJKLG-DGCLKSJQSA-N 0 3 230.355 2.706 20 0 BFADHN c1coc(C2=CCCN(C[C@@H]3CCCCO3)C2)c1 ZINC000285468411 370688907 /nfs/dbraw/zinc/68/89/07/370688907.db2.gz ZYXJEKORHNGTGN-AWEZNQCLSA-N 0 3 247.338 2.938 20 0 BFADHN CC1=CCCN(CCN2CCc3ccccc32)C1 ZINC000428950686 201051049 /nfs/dbraw/zinc/05/10/49/201051049.db2.gz GPSPFEXPZZUFJC-UHFFFAOYSA-N 0 3 242.366 2.701 20 0 BFADHN CCSCCN[C@@H](C)c1c(C)noc1C ZINC000162441120 361351875 /nfs/dbraw/zinc/35/18/75/361351875.db2.gz YRYQTWQVYKGQHR-QMMMGPOBSA-N 0 3 228.361 2.695 20 0 BFADHN Cc1cc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)ncn1 ZINC000428975545 201053865 /nfs/dbraw/zinc/05/38/65/201053865.db2.gz HRTPNHDKIPANSQ-MDZLAQPJSA-N 0 3 233.359 2.651 20 0 BFADHN CC(C)CCCN1CCN(c2ccccn2)CC1 ZINC000429054455 201063302 /nfs/dbraw/zinc/06/33/02/201063302.db2.gz PUHIBWNWOXSGRD-UHFFFAOYSA-N 0 3 247.386 2.640 20 0 BFADHN CC[C@H]1CN(CCCC(C)C)C[C@@H](C)O1 ZINC000429043405 201065019 /nfs/dbraw/zinc/06/50/19/201065019.db2.gz FREPMTVNUMNFRG-OLZOCXBDSA-N 0 3 213.365 2.922 20 0 BFADHN CCCN1CCN(c2cccc(F)c2)C[C@H]1C ZINC000429264431 201086333 /nfs/dbraw/zinc/08/63/33/201086333.db2.gz MMPOPNUCKMAZRP-GFCCVEGCSA-N 0 3 236.334 2.746 20 0 BFADHN Cc1ncc(CN(C2CCC2)C2CCC2)cn1 ZINC000429167008 201075451 /nfs/dbraw/zinc/07/54/51/201075451.db2.gz WSECGINEIWLSDA-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN CC(C)(NC[C@@H]1CCCO1)c1ccccc1F ZINC000429227428 201081761 /nfs/dbraw/zinc/08/17/61/201081761.db2.gz OKMRFAGJEBXCQR-NSHDSACASA-N 0 3 237.318 2.829 20 0 BFADHN c1nc(C2CC2)sc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000579259234 366751988 /nfs/dbraw/zinc/75/19/88/366751988.db2.gz PEPOFHZGPIVIME-ZSBIGDGJSA-N 0 3 234.368 2.909 20 0 BFADHN CC[C@H](N[C@@H]1CC12CCCC2)c1nccn1C ZINC000429421999 201108678 /nfs/dbraw/zinc/10/86/78/201108678.db2.gz WLDKROZUBVLVHZ-NWDGAFQWSA-N 0 3 233.359 2.794 20 0 BFADHN CC(C)CCCN1C[C@H](C)O[C@H](C)[C@H]1C ZINC000429311465 201099539 /nfs/dbraw/zinc/09/95/39/201099539.db2.gz RCFOBOCVVXWHTN-YNEHKIRRSA-N 0 3 213.365 2.920 20 0 BFADHN CCN(CCCC(C)C)C[C@H](O)C(F)(F)F ZINC000429330081 201102437 /nfs/dbraw/zinc/10/24/37/201102437.db2.gz BNQISYXIBRYNET-JTQLQIEISA-N 0 3 241.297 2.668 20 0 BFADHN Cc1ccoc1CN(C)C[C@H]1CCO[C@@H](C)C1 ZINC000429360598 201103055 /nfs/dbraw/zinc/10/30/55/201103055.db2.gz HKYXCGSWAOBYIN-STQMWFEESA-N 0 3 237.343 2.835 20 0 BFADHN CCC(C)(C)CCN1CC[C@H](C)[S@](=O)CC1 ZINC000429563536 201116383 /nfs/dbraw/zinc/11/63/83/201116383.db2.gz NKDNYNDMNKCVPK-BLLLJJGKSA-N 0 3 245.432 2.656 20 0 BFADHN COc1cccc(CN(C)[C@@H]2CC[C@H](C)C2)n1 ZINC000429575269 201117870 /nfs/dbraw/zinc/11/78/70/201117870.db2.gz CLTZLWISBKQHMG-WCQYABFASA-N 0 3 234.343 2.711 20 0 BFADHN Cc1n[nH]cc1CNC(C)(C)CC(F)(F)F ZINC000309876353 491157781 /nfs/dbraw/zinc/15/77/81/491157781.db2.gz SUCZVITWFPYFMR-UHFFFAOYSA-N 0 3 235.253 2.539 20 0 BFADHN CCCN(CCC(C)C)[C@@H](C)C(=O)OCC ZINC000429714027 201129454 /nfs/dbraw/zinc/12/94/54/201129454.db2.gz JUWSRRTYLCXXKW-LBPRGKRZSA-N 0 3 229.364 2.696 20 0 BFADHN C[C@@H]1C[C@@H]1CN1Cc2ccccc2O[C@H](C)C1 ZINC000430151320 201148577 /nfs/dbraw/zinc/14/85/77/201148577.db2.gz RMVVNMREDLBZJP-YRGRVCCFSA-N 0 3 231.339 2.926 20 0 BFADHN COCC(C)(C)N(C)Cc1cc(C)ccc1F ZINC000430151867 201149015 /nfs/dbraw/zinc/14/90/15/201149015.db2.gz VMPTZAJMLYLQKI-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN CCC[C@@H](C)CCCN1CC[C@@H](F)[C@H](N)C1 ZINC000629547290 361430555 /nfs/dbraw/zinc/43/05/55/361430555.db2.gz LYFRFQBQPZFKKF-JHJVBQTASA-N 0 3 230.371 2.574 20 0 BFADHN CN(Cc1ccc2c(c1)CCCC2)C1CC(O)C1 ZINC000430077455 201140741 /nfs/dbraw/zinc/14/07/41/201140741.db2.gz GVQNWBOTQKJEHP-UHFFFAOYSA-N 0 3 245.366 2.521 20 0 BFADHN CC[C@H]1CCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC000430191798 201150722 /nfs/dbraw/zinc/15/07/22/201150722.db2.gz HXBCGJKFTZJEIV-JTQLQIEISA-N 0 3 231.299 2.654 20 0 BFADHN CC[C@H]1CCN1Cc1c[nH]nc1-c1ccc(C)o1 ZINC000430199444 201154178 /nfs/dbraw/zinc/15/41/78/201154178.db2.gz RJZNJNDFXMWAAF-LBPRGKRZSA-N 0 3 245.326 2.962 20 0 BFADHN C[C@H]1C[C@@H]1CN1CCCc2cccc(CN)c21 ZINC000629561437 361455913 /nfs/dbraw/zinc/45/59/13/361455913.db2.gz VOOCGHXEDZGVMB-SMDDNHRTSA-N 0 3 230.355 2.554 20 0 BFADHN NCc1cccc2c1N(CC1CCC1)CCC2 ZINC000629561085 361456423 /nfs/dbraw/zinc/45/64/23/361456423.db2.gz ZKMGCRVQTIYCFZ-UHFFFAOYSA-N 0 3 230.355 2.698 20 0 BFADHN COCCN(CC=C(C)C)[C@@H]1CCCSC1 ZINC000433199556 201167718 /nfs/dbraw/zinc/16/77/18/201167718.db2.gz DCIDLWYPCGHYLW-CYBMUJFWSA-N 0 3 243.416 2.797 20 0 BFADHN CCC(C)(C)CCN1CC[S@](=O)C[C@H](C)C1 ZINC000433275726 201175099 /nfs/dbraw/zinc/17/50/99/201175099.db2.gz ZOVZUHJQEDQHBJ-WBMJQRKESA-N 0 3 245.432 2.513 20 0 BFADHN C1=C(CN2CCN3CCCC[C@H]3C2)CCCC1 ZINC000379751916 361562459 /nfs/dbraw/zinc/56/24/59/361562459.db2.gz NUZSSVKENAYPSI-HNNXBMFYSA-N 0 3 234.387 2.657 20 0 BFADHN CCOc1cccc(CN[C@]23C[C@H]2CCCC3)n1 ZINC000631224751 361566835 /nfs/dbraw/zinc/56/68/35/361566835.db2.gz DCLIGUSBORDDAT-IUODEOHRSA-N 0 3 246.354 2.903 20 0 BFADHN COCc1ccc(CN[C@@]23C[C@@H]2CCCC3)o1 ZINC000631224868 361567030 /nfs/dbraw/zinc/56/70/30/361567030.db2.gz FGUIHGQOTKQEKY-FZMZJTMJSA-N 0 3 235.327 2.848 20 0 BFADHN COCCN[C@H]1CCSc2ccc(F)cc21 ZINC000152092539 201190419 /nfs/dbraw/zinc/19/04/19/201190419.db2.gz LVSXDTFZTIXLJQ-NSHDSACASA-N 0 3 241.331 2.599 20 0 BFADHN CSc1ccccc1[C@@H](C)NCC(C)(C)O ZINC000194888507 361552763 /nfs/dbraw/zinc/55/27/63/361552763.db2.gz RIZFHUFIQQWVPP-SNVBAGLBSA-N 0 3 239.384 2.830 20 0 BFADHN CN(C[C@@H]1CC1(Cl)Cl)C1CCC1 ZINC000306638212 361586114 /nfs/dbraw/zinc/58/61/14/361586114.db2.gz MTMYPBVCZJKOHN-ZETCQYMHSA-N 0 3 208.132 2.665 20 0 BFADHN CCCCN(C)Cc1ccc(-c2cc[nH]n2)o1 ZINC000434834959 201244270 /nfs/dbraw/zinc/24/42/70/201244270.db2.gz XFKVVMQRUJPFEH-UHFFFAOYSA-N 0 3 233.315 2.902 20 0 BFADHN CCCN(CC)Cc1cnn(CCC)c1 ZINC000434981927 201246866 /nfs/dbraw/zinc/24/68/66/201246866.db2.gz MQTAHSMBJRKCNF-UHFFFAOYSA-N 0 3 209.337 2.525 20 0 BFADHN c1coc(-c2ccc([C@H]3CNCCO3)cc2)c1 ZINC000629692470 361620435 /nfs/dbraw/zinc/62/04/35/361620435.db2.gz NRJMAGSJURYLNO-CQSZACIVSA-N 0 3 229.279 2.608 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2cnc(C)s2)CO1 ZINC000631226479 361640114 /nfs/dbraw/zinc/64/01/14/361640114.db2.gz FUDNECRARXIVDA-INTQDDNPSA-N 0 3 240.372 2.670 20 0 BFADHN c1cc2c(c(CN[C@@]34C[C@@H]3CCCC4)c1)OCO2 ZINC000631228243 361667828 /nfs/dbraw/zinc/66/78/28/361667828.db2.gz WOEGLIKVYQHERI-WFASDCNBSA-N 0 3 245.322 2.838 20 0 BFADHN CC[C@H](NCc1cn[nH]c1)c1ccccc1 ZINC000038005852 361659552 /nfs/dbraw/zinc/65/95/52/361659552.db2.gz JDFZEDDCEZCTNX-ZDUSSCGKSA-N 0 3 215.300 2.651 20 0 BFADHN Cc1ccc([C@H](NCc2cn[nH]c2)C2CC2)cc1 ZINC000038006288 361661119 /nfs/dbraw/zinc/66/11/19/361661119.db2.gz DSMHNOPJJRWLSK-HNNXBMFYSA-N 0 3 241.338 2.959 20 0 BFADHN Cc1ccc(-c2ccc([C@@H](N)CO)cc2)cc1C ZINC000629733538 361672902 /nfs/dbraw/zinc/67/29/02/361672902.db2.gz XBDQXWRAAUQIJK-INIZCTEOSA-N 0 3 241.334 2.963 20 0 BFADHN Cc1ccc(-c2ccc([C@H](N)CO)cc2)cc1C ZINC000629733539 361673299 /nfs/dbraw/zinc/67/32/99/361673299.db2.gz XBDQXWRAAUQIJK-MRXNPFEDSA-N 0 3 241.334 2.963 20 0 BFADHN Cc1cocc1-c1ccc([C@@H]2CNCCO2)cc1 ZINC000629738913 361674125 /nfs/dbraw/zinc/67/41/25/361674125.db2.gz SXABQNDSAADOOP-HNNXBMFYSA-N 0 3 243.306 2.916 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1cc2c(cccc2F)[nH]1 ZINC000436414349 201325637 /nfs/dbraw/zinc/32/56/37/201325637.db2.gz SITGZFHHNFAHCA-RNCFNFMXSA-N 0 3 248.301 2.574 20 0 BFADHN CC1=CCCN(Cc2ccccc2N(C)C)C1 ZINC000436267672 201315600 /nfs/dbraw/zinc/31/56/00/201315600.db2.gz YNHUFHDQBBFAKB-UHFFFAOYSA-N 0 3 230.355 2.905 20 0 BFADHN C[C@@H](c1ccco1)N(C)CC[C@H]1CCOC1 ZINC000437582143 201354876 /nfs/dbraw/zinc/35/48/76/201354876.db2.gz YAAGFIFLLJYEEY-RYUDHWBXSA-N 0 3 223.316 2.699 20 0 BFADHN C[C@H](c1ccco1)N(C)CC[C@@H]1CCOC1 ZINC000437582144 201355173 /nfs/dbraw/zinc/35/51/73/201355173.db2.gz YAAGFIFLLJYEEY-VXGBXAGGSA-N 0 3 223.316 2.699 20 0 BFADHN C[C@@H](CC(=O)NC[C@@H](C)N(C)C)CC(C)(C)C ZINC000614639294 363913443 /nfs/dbraw/zinc/91/34/43/363913443.db2.gz WLXCDTINQLMVOB-NWDGAFQWSA-N 0 3 242.407 2.515 20 0 BFADHN CC1(C)CCC[C@](O)(CNCc2ccccn2)C1 ZINC000438333316 201402159 /nfs/dbraw/zinc/40/21/59/201402159.db2.gz UFRCSDGRIJPBNN-OAHLLOKOSA-N 0 3 248.370 2.503 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2C[C@@H](O)C2(C)C)o1 ZINC000249530560 325654311 /nfs/dbraw/zinc/65/43/11/325654311.db2.gz AVQDQMBZVPNGAB-ZWKOPEQDSA-N 0 3 237.343 2.652 20 0 BFADHN Nc1c(F)cccc1CN1C2CCC1CC2 ZINC000398907355 364016488 /nfs/dbraw/zinc/01/64/88/364016488.db2.gz GLBVSFYWIMTLTN-UHFFFAOYSA-N 0 3 220.291 2.535 20 0 BFADHN c1sc([C@@H]2CCCCN2)nc1CC1CC1 ZINC000630381846 364096328 /nfs/dbraw/zinc/09/63/28/364096328.db2.gz YRZRZQGAHGYYOF-NSHDSACASA-N 0 3 222.357 2.910 20 0 BFADHN CSCCCN[C@@H](C)c1cn2ccccc2n1 ZINC000565214345 370704906 /nfs/dbraw/zinc/70/49/06/370704906.db2.gz FLDJCGCFQGHKAX-NSHDSACASA-N 0 3 249.383 2.738 20 0 BFADHN Cn1nc(CN[C@H]2CC=CCC2)c2ccccc21 ZINC000080122801 370728587 /nfs/dbraw/zinc/72/85/87/370728587.db2.gz XTACYDDVCDXHMG-LBPRGKRZSA-N 0 3 241.338 2.772 20 0 BFADHN Cc1noc(C)c1CNCCc1ccc(C)cc1 ZINC000116360702 370762480 /nfs/dbraw/zinc/76/24/80/370762480.db2.gz PXOJXGIWOAMQGK-UHFFFAOYSA-N 0 3 244.338 2.932 20 0 BFADHN CCC(O)(CC)CN[C@@H]1CCCc2cccnc21 ZINC000091661824 541344487 /nfs/dbraw/zinc/34/44/87/541344487.db2.gz OZKBWLCIJLACIZ-CYBMUJFWSA-N 0 3 248.370 2.600 20 0 BFADHN CCC[C@@H](N)c1cn([C@@H]2CCC(C)(C)C2)nn1 ZINC000630604242 364327349 /nfs/dbraw/zinc/32/73/49/364327349.db2.gz RCNBYQOGOCANIS-GHMZBOCLSA-N 0 3 236.363 2.829 20 0 BFADHN CC(C)[C@H](N)c1cn([C@@H]2CCC(C)(C)C2)nn1 ZINC000630602687 364318223 /nfs/dbraw/zinc/31/82/23/364318223.db2.gz DGFHFITWRQPPHU-PWSUYJOCSA-N 0 3 236.363 2.685 20 0 BFADHN Cc1ccncc1CNCc1cccs1 ZINC000135434869 364439628 /nfs/dbraw/zinc/43/96/28/364439628.db2.gz SDRKSWXSUHFQJL-UHFFFAOYSA-N 0 3 218.325 2.741 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1nnc(C)s1 ZINC000134723007 364412879 /nfs/dbraw/zinc/41/28/79/364412879.db2.gz RLQKJTIJMYZLOU-ZJUUUORDSA-N 0 3 225.361 2.515 20 0 BFADHN Cc1nnc([C@@H](C)N[C@@H](C)C(C)C)s1 ZINC000135011156 364423895 /nfs/dbraw/zinc/42/38/95/364423895.db2.gz SOBHUEWRGIQJNX-JGVFFNPUSA-N 0 3 213.350 2.542 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H]1CCc2c1cccc2F ZINC000579552408 366866375 /nfs/dbraw/zinc/86/63/75/366866375.db2.gz MMZQLWHSUTXYCY-BFHYXJOUSA-N 0 3 235.302 2.580 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H]1CCOC1(C)C ZINC000453297485 202730582 /nfs/dbraw/zinc/73/05/82/202730582.db2.gz RSQGOSXSSSDQGM-DGCLKSJQSA-N 0 3 248.370 2.862 20 0 BFADHN CCCCN(C)CCN[C@@H](C)c1ccoc1 ZINC000167542638 364795246 /nfs/dbraw/zinc/79/52/46/364795246.db2.gz UPKUWKKWOPDUAW-LBPRGKRZSA-N 0 3 224.348 2.662 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1ccc(Cl)cn1 ZINC000274884517 364757858 /nfs/dbraw/zinc/75/78/58/364757858.db2.gz IGCNQYJNBGXJEX-GXSJLCMTSA-N 0 3 242.750 2.547 20 0 BFADHN CCOc1cc(CN2[C@H](C)C[C@H]2C)ccc1O ZINC000573422867 364828103 /nfs/dbraw/zinc/82/81/03/364828103.db2.gz QRHNGSDSBIGHFC-GHMZBOCLSA-N 0 3 235.327 2.774 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2ccoc2C)C1(C)C ZINC000321527068 541388178 /nfs/dbraw/zinc/38/81/78/541388178.db2.gz OYGHEYKIIFEQBP-CHWSQXEVSA-N 0 3 237.343 2.881 20 0 BFADHN CCc1cc(CN[C@@H](C)C2CCCC2)on1 ZINC000168174983 364872210 /nfs/dbraw/zinc/87/22/10/364872210.db2.gz JLDYJAXIHGSBHF-JTQLQIEISA-N 0 3 222.332 2.905 20 0 BFADHN c1cc(-c2ccc(CNCCC3CC3)o2)n[nH]1 ZINC000433321198 541389788 /nfs/dbraw/zinc/38/97/88/541389788.db2.gz WYNNIQMEJPYMEB-UHFFFAOYSA-N 0 3 231.299 2.559 20 0 BFADHN C1=C[C@H](N2CCOC[C@@H]2C2CCC2)CCC1 ZINC000573799429 364918244 /nfs/dbraw/zinc/91/82/44/364918244.db2.gz QWEDXLVKIUDBJN-UONOGXRCSA-N 0 3 221.344 2.596 20 0 BFADHN Cc1nnsc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000574116238 364990048 /nfs/dbraw/zinc/99/00/48/364990048.db2.gz GMNQXLVOFNTVMN-QWRGUYRKSA-N 0 3 237.372 2.611 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1ccc(Cl)cn1 ZINC000574157310 364991013 /nfs/dbraw/zinc/99/10/13/364991013.db2.gz WNXZAUPWMNANOF-YWVKMMECSA-N 0 3 240.734 2.563 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CCNCc1cnccn1 ZINC000574044720 364975138 /nfs/dbraw/zinc/97/51/38/364975138.db2.gz LWMQAPFTJFMGMQ-CHWSQXEVSA-N 0 3 233.359 2.783 20 0 BFADHN CCSCC[C@@H](C)NCc1nc(C)c(C)[nH]1 ZINC000574054803 364979594 /nfs/dbraw/zinc/97/95/94/364979594.db2.gz NXRXYPKJAXBHSO-SECBINFHSA-N 0 3 241.404 2.648 20 0 BFADHN CCC(C)(C)CN[C@@H]1CCCn2ccnc21 ZINC000655759363 541444296 /nfs/dbraw/zinc/44/42/96/541444296.db2.gz MFKHGPOCPJDZMK-LLVKDONJSA-N 0 3 221.348 2.744 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCC[C@H]3C[C@H]32)c2nccn21 ZINC000572332667 541446841 /nfs/dbraw/zinc/44/68/41/541446841.db2.gz GLHFEOHTSKQWHI-RXGFPQBGSA-N 0 3 231.343 2.667 20 0 BFADHN CC1(CN2CC[C@@H](c3nc(C4CC4)no3)C2)CC1 ZINC000574470979 365070472 /nfs/dbraw/zinc/07/04/72/365070472.db2.gz NSHALPYKMXVATK-LLVKDONJSA-N 0 3 247.342 2.536 20 0 BFADHN Cc1ncc(CN[C@@H](C)Cc2ccsc2)n1C ZINC000574291784 365032467 /nfs/dbraw/zinc/03/24/67/365032467.db2.gz DPWPVKRCUOACMN-JTQLQIEISA-N 0 3 249.383 2.511 20 0 BFADHN CCc1nocc1CN[C@H]1CCC[C@@H](F)C1 ZINC000574321961 365036045 /nfs/dbraw/zinc/03/60/45/365036045.db2.gz MNNWRZDSPANDJV-MNOVXSKESA-N 0 3 226.295 2.607 20 0 BFADHN CCCn1ccc(CN2CCC2(C)C)c1 ZINC000574576545 365094893 /nfs/dbraw/zinc/09/48/93/365094893.db2.gz ROOGCBNKOYWQNY-UHFFFAOYSA-N 0 3 206.333 2.882 20 0 BFADHN Cc1cnn(C)c1CN(C)[C@H](C)C(C)(C)C ZINC000574675177 365118638 /nfs/dbraw/zinc/11/86/38/365118638.db2.gz GWZYTJWJIJCSDY-LLVKDONJSA-N 0 3 223.364 2.595 20 0 BFADHN CO[C@H](C)CN[C@@H](c1ccc(F)cn1)C(C)C ZINC000443201196 193014295 /nfs/dbraw/zinc/01/42/95/193014295.db2.gz HTVRESZYERFYJY-ZWNOBZJWSA-N 0 3 240.322 2.542 20 0 BFADHN CO[C@H](C)CNCc1ccccc1OCC1CC1 ZINC000442990436 193008666 /nfs/dbraw/zinc/00/86/66/193008666.db2.gz IOCWVPRBLBXSBZ-GFCCVEGCSA-N 0 3 249.354 2.600 20 0 BFADHN COc1cc([C@@H](C)NC2CC(F)(F)C2)ccn1 ZINC000574771276 365143476 /nfs/dbraw/zinc/14/34/76/365143476.db2.gz QXGPNNVLKDCRGN-MRVPVSSYSA-N 0 3 242.269 2.539 20 0 BFADHN Cn1ccnc1CNC[C@H]1CCCC(C)(C)C1 ZINC000443804093 193030236 /nfs/dbraw/zinc/03/02/36/193030236.db2.gz RPYRRJUMVMNXKQ-LBPRGKRZSA-N 0 3 235.375 2.726 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CN1C[C@H]2CC[C@@H](C1)O2 ZINC000443639620 193028371 /nfs/dbraw/zinc/02/83/71/193028371.db2.gz GUGPTKXYAOFPFK-APIJFGDWSA-N 0 3 235.371 2.842 20 0 BFADHN Cc1ccc(CN2CC[C@H](C)C[C@H]2[C@H](C)O)o1 ZINC000443790823 193029338 /nfs/dbraw/zinc/02/93/38/193029338.db2.gz PMJSPSCLPIVTEX-JKOKRWQUSA-N 0 3 237.343 2.569 20 0 BFADHN CCn1cccc1CN1C[C@H](C)S[C@@H](C)C1 ZINC000575208214 365243170 /nfs/dbraw/zinc/24/31/70/365243170.db2.gz PWWPZNZGQQQKOC-RYUDHWBXSA-N 0 3 238.400 2.834 20 0 BFADHN CCn1cccc1CN1C[C@@H](C)S[C@H](C)C1 ZINC000575208216 365243697 /nfs/dbraw/zinc/24/36/97/365243697.db2.gz PWWPZNZGQQQKOC-VXGBXAGGSA-N 0 3 238.400 2.834 20 0 BFADHN CC[C@H](C)CN(C)Cc1cccc2c1OCCO2 ZINC000171948344 365260273 /nfs/dbraw/zinc/26/02/73/365260273.db2.gz FURNMPFEBCERCT-LBPRGKRZSA-N 0 3 249.354 2.936 20 0 BFADHN CCCCCNC(=O)[C@H](C)N(C)C[C@@H](C)CC ZINC000171952144 365261838 /nfs/dbraw/zinc/26/18/38/365261838.db2.gz MEYWVXVGBOBDDT-STQMWFEESA-N 0 3 242.407 2.659 20 0 BFADHN CCN(Cc1c(C)nsc1C)CC1CC1 ZINC000575426797 365316404 /nfs/dbraw/zinc/31/64/04/365316404.db2.gz AFAQQSWMZRDFDZ-UHFFFAOYSA-N 0 3 224.373 2.992 20 0 BFADHN CCc1nc(C)c(CN[C@@H](CC)C2CC2)o1 ZINC000336673938 365349159 /nfs/dbraw/zinc/34/91/59/365349159.db2.gz BCOVOIFFVBEITJ-NSHDSACASA-N 0 3 222.332 2.824 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1cnns1 ZINC000336713236 365361198 /nfs/dbraw/zinc/36/11/98/365361198.db2.gz VLGWOSNBFKRYTN-KOLCDFICSA-N 0 3 225.361 2.597 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)N[C@@H]1CC12CCCC2 ZINC000336514671 365337733 /nfs/dbraw/zinc/33/77/33/365337733.db2.gz NEPHYPWBMYRTCW-ZWNOBZJWSA-N 0 3 233.359 2.712 20 0 BFADHN CC(C)CC[C@@H](NCc1ncccn1)C1CC1 ZINC000576053273 365466232 /nfs/dbraw/zinc/46/62/32/365466232.db2.gz IOWMHKNSGBYVKJ-CYBMUJFWSA-N 0 3 233.359 2.781 20 0 BFADHN OCc1ccc(CNC[C@@H]2CCC[C@@H]2C2CC2)o1 ZINC000576089507 365479512 /nfs/dbraw/zinc/47/95/12/365479512.db2.gz OYBYMCKPNACLRW-SWLSCSKDSA-N 0 3 249.354 2.688 20 0 BFADHN Cc1nc(CN2CCC[C@H]2CC2CCCC2)n[nH]1 ZINC000653892424 541470016 /nfs/dbraw/zinc/47/00/16/541470016.db2.gz IASIERAJYCNHTI-ZDUSSCGKSA-N 0 3 248.374 2.658 20 0 BFADHN CC(C)[C@@H]1CC[C@H]1NCc1cccc(N(C)C)n1 ZINC000353632146 541484513 /nfs/dbraw/zinc/48/45/13/541484513.db2.gz NLYQXWDHTFYHFK-UONOGXRCSA-N 0 3 247.386 2.672 20 0 BFADHN CC[C@H]1CCC[C@H](CN2CCN(CC)CC2)C1 ZINC000182937148 366958946 /nfs/dbraw/zinc/95/89/46/366958946.db2.gz CIYSECSCUCXLSF-GJZGRUSLSA-N 0 3 238.419 2.840 20 0 BFADHN CC(C)Cn1cc(CN2CCCC[C@H]2C)cn1 ZINC000182951982 366960807 /nfs/dbraw/zinc/96/08/07/366960807.db2.gz XZZXJKUDTYKKCI-CYBMUJFWSA-N 0 3 235.375 2.914 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NCc1cccc(C)c1 ZINC000180033044 541505873 /nfs/dbraw/zinc/50/58/73/541505873.db2.gz UEBDFJUYNPKOMH-NSHDSACASA-N 0 3 229.327 2.877 20 0 BFADHN CC(C)CCN(C)Cc1cccc2c1OCO2 ZINC000180046803 541506012 /nfs/dbraw/zinc/50/60/12/541506012.db2.gz BPGKSFRASOKHHF-UHFFFAOYSA-N 0 3 235.327 2.893 20 0 BFADHN CC(C)Cn1cc(CN(C(C)C)C2CC2)cn1 ZINC000183085653 366975231 /nfs/dbraw/zinc/97/52/31/366975231.db2.gz HCJQZRORLMFBLF-UHFFFAOYSA-N 0 3 235.375 2.912 20 0 BFADHN C[C@@H](N[C@@H](C)c1cscn1)[C@@H]1C[C@@H]1C ZINC000579732970 366998263 /nfs/dbraw/zinc/99/82/63/366998263.db2.gz FEJHVIFGKJYGLE-QCLAVDOMSA-N 0 3 210.346 2.838 20 0 BFADHN CC[C@@H]1CCN(Cc2cnn(CC(C)C)c2)C1 ZINC000183409751 367020493 /nfs/dbraw/zinc/02/04/93/367020493.db2.gz NDRWUDQXONITJT-CYBMUJFWSA-N 0 3 235.375 2.771 20 0 BFADHN CCC(CC)CN[C@@H](c1nccn1C)C1CC1 ZINC000183273000 367008269 /nfs/dbraw/zinc/00/82/69/367008269.db2.gz MHHGBPAAAOGPPE-CYBMUJFWSA-N 0 3 235.375 2.897 20 0 BFADHN CC(C)[C@@H](C)CN[C@H](c1nccn1C)C1CC1 ZINC000183283646 367009866 /nfs/dbraw/zinc/00/98/66/367009866.db2.gz GZTLRZOEZVOVTA-AAEUAGOBSA-N 0 3 235.375 2.753 20 0 BFADHN CC(C)Cn1cc(CN2C[C@@H]3CCC[C@H]3C2)cn1 ZINC000183310931 367013590 /nfs/dbraw/zinc/01/35/90/367013590.db2.gz PEVRIQTUXNBKTR-GJZGRUSLSA-N 0 3 247.386 2.771 20 0 BFADHN Cn1ccnc1[C@@H](NCC1(C2CC2)CC1)C1CC1 ZINC000183352717 367017076 /nfs/dbraw/zinc/01/70/76/367017076.db2.gz ZLQXARHXFVMDNH-ZDUSSCGKSA-N 0 3 245.370 2.651 20 0 BFADHN FC1(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)CC1 ZINC000579917414 367080388 /nfs/dbraw/zinc/08/03/88/367080388.db2.gz FTLQVBOOOZLIJM-RYUDHWBXSA-N 0 3 209.308 2.609 20 0 BFADHN CCCC[C@H](CC)CN(CC)CC(=O)NCC ZINC000183587700 367030970 /nfs/dbraw/zinc/03/09/70/367030970.db2.gz BLSNFCXMVQSSHD-ZDUSSCGKSA-N 0 3 242.407 2.661 20 0 BFADHN FC1(CNCCSc2ccccc2)CC1 ZINC000380208806 367155459 /nfs/dbraw/zinc/15/54/59/367155459.db2.gz XWAQCALMFCRYFW-UHFFFAOYSA-N 0 3 225.332 2.870 20 0 BFADHN CCN(Cc1cccnc1OC)C1CCCC1 ZINC000193309914 367165639 /nfs/dbraw/zinc/16/56/39/367165639.db2.gz WECNSHYJNBMHBQ-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN c1cnc(CN[C@@H]2CCCC[C@@H]2C2CC2)nc1 ZINC000580786141 367167539 /nfs/dbraw/zinc/16/75/39/367167539.db2.gz ZLVPZRGZUVLERJ-CHWSQXEVSA-N 0 3 231.343 2.535 20 0 BFADHN CC(C)CCC[C@@H](C)NCc1ccno1 ZINC000380269791 367168104 /nfs/dbraw/zinc/16/81/04/367168104.db2.gz VPZJGHXOOVWKSW-LLVKDONJSA-N 0 3 210.321 2.979 20 0 BFADHN CCc1ccc(CN(C)CC(C)(C)OC)cn1 ZINC000580861395 367189413 /nfs/dbraw/zinc/18/94/13/367189413.db2.gz ZZBHRIDEFNOPCX-UHFFFAOYSA-N 0 3 236.359 2.501 20 0 BFADHN COC[C@@H](C)N(C)Cc1cc(C)ccc1C ZINC000193899338 367225476 /nfs/dbraw/zinc/22/54/76/367225476.db2.gz NYLJLDVENQZQKP-CYBMUJFWSA-N 0 3 221.344 2.770 20 0 BFADHN COc1ccsc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000581082683 367258964 /nfs/dbraw/zinc/25/89/64/367258964.db2.gz MMPLLTBIYYEZIY-BZBKMWRSSA-N 0 3 223.341 2.645 20 0 BFADHN CCOCC(C)(C)CN[C@H](C)c1ccoc1 ZINC000381030386 367337946 /nfs/dbraw/zinc/33/79/46/367337946.db2.gz ZOSDAZQXDOUFNH-LLVKDONJSA-N 0 3 225.332 2.993 20 0 BFADHN CCOCC(C)(C)CN[C@@H](C)c1ccoc1 ZINC000381030388 367338531 /nfs/dbraw/zinc/33/85/31/367338531.db2.gz ZOSDAZQXDOUFNH-NSHDSACASA-N 0 3 225.332 2.993 20 0 BFADHN CC(C)[C@@H]1CCCC[C@H]1NCc1cocn1 ZINC000381155625 367357448 /nfs/dbraw/zinc/35/74/48/367357448.db2.gz PZNLVYZOBDTVQF-QWHCGFSZSA-N 0 3 222.332 2.979 20 0 BFADHN CC(C)N(Cc1cn2ccccc2n1)CC1CC1 ZINC000581323380 367349121 /nfs/dbraw/zinc/34/91/21/367349121.db2.gz FRJOBAWTOHVHIU-UHFFFAOYSA-N 0 3 243.354 2.955 20 0 BFADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1cocn1 ZINC000381155628 367357767 /nfs/dbraw/zinc/35/77/67/367357767.db2.gz PZNLVYZOBDTVQF-STQMWFEESA-N 0 3 222.332 2.979 20 0 BFADHN Cc1cc([C@@H](C)NCCn2cccn2)cs1 ZINC000381373244 367390490 /nfs/dbraw/zinc/39/04/90/367390490.db2.gz NEDYPSBAEJELJC-LLVKDONJSA-N 0 3 235.356 2.604 20 0 BFADHN CO[C@@H](C)CCNCc1cnc(C2CC2)s1 ZINC000381482745 367416173 /nfs/dbraw/zinc/41/61/73/367416173.db2.gz TYGDHPATWOYMNT-VIFPVBQESA-N 0 3 240.372 2.535 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H]1CCCC[C@H]1F ZINC000382029054 367480353 /nfs/dbraw/zinc/48/03/53/367480353.db2.gz SYWLWBHLFLCEGA-QJPTWQEYSA-N 0 3 217.328 2.670 20 0 BFADHN Cc1ccc(CCNCc2scnc2C)o1 ZINC000381586101 367433191 /nfs/dbraw/zinc/43/31/91/367433191.db2.gz HOSLVHIPVKVWOV-UHFFFAOYSA-N 0 3 236.340 2.685 20 0 BFADHN Cc1cccc(C)c1CCNCC1(F)CC1 ZINC000381604089 367436279 /nfs/dbraw/zinc/43/62/79/367436279.db2.gz PFBBQIWKALESCR-UHFFFAOYSA-N 0 3 221.319 2.938 20 0 BFADHN COC[C@@H](C)NCc1cc2c(s1)CCCC2 ZINC000381700849 367449031 /nfs/dbraw/zinc/44/90/31/367449031.db2.gz IJFBEORYFVJFDW-SNVBAGLBSA-N 0 3 239.384 2.751 20 0 BFADHN CCO[C@@H]1C[C@H]1NCc1ccc(C)c(Cl)c1 ZINC000381783830 367457903 /nfs/dbraw/zinc/45/79/03/367457903.db2.gz YIWZDCTWJXDNGF-CHWSQXEVSA-N 0 3 239.746 2.915 20 0 BFADHN CCC1(NCc2snnc2C)CCCC1 ZINC000382561669 367539855 /nfs/dbraw/zinc/53/98/55/367539855.db2.gz LXLQZBJOUCKHMF-UHFFFAOYSA-N 0 3 225.361 2.659 20 0 BFADHN CC(F)(F)CNc1ccnc2ccccc21 ZINC000382308711 367506630 /nfs/dbraw/zinc/50/66/30/367506630.db2.gz PMEHVFXJBXIEPY-UHFFFAOYSA-N 0 3 222.238 2.724 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]2C[C@H]21)c1cscn1 ZINC000382315762 367506977 /nfs/dbraw/zinc/50/69/77/367506977.db2.gz RMSYKGBEGKWLHR-UKKRHICBSA-N 0 3 222.357 2.982 20 0 BFADHN Cc1ccoc1CNC[C@H](O)CC1CCCC1 ZINC000383876246 367657010 /nfs/dbraw/zinc/65/70/10/367657010.db2.gz IRDGWUAXZZTLSR-CYBMUJFWSA-N 0 3 237.343 2.619 20 0 BFADHN COc1cc(CNCC2(C)CCCC2)sn1 ZINC000399147850 367657701 /nfs/dbraw/zinc/65/77/01/367657701.db2.gz FDUORLOVULOKJT-UHFFFAOYSA-N 0 3 240.372 2.822 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3c2cccc3O)[C@H](C)O1 ZINC000384558855 367700245 /nfs/dbraw/zinc/70/02/45/367700245.db2.gz HKCCQOKXEGLUAV-OAACRXHESA-N 0 3 247.338 2.535 20 0 BFADHN Cc1cccc(CNC[C@@]2(C)CCCO2)c1F ZINC000384579951 367702867 /nfs/dbraw/zinc/70/28/67/367702867.db2.gz WVGWKSAFJARYQT-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN Cc1nnsc1CN[C@@H]1C[C@@H](C)CC[C@@H]1C ZINC000384230212 367677715 /nfs/dbraw/zinc/67/77/15/367677715.db2.gz GYYRDFMQMAHKCH-ATZCPNFKSA-N 0 3 239.388 2.761 20 0 BFADHN Cc1nc([C@@H](C)NC[C@H](C)CCCO)cs1 ZINC000384443293 367691089 /nfs/dbraw/zinc/69/10/89/367691089.db2.gz YQKOYGOKEXNHHB-NXEZZACHSA-N 0 3 242.388 2.511 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@H](C)c1nccn1C ZINC000384514798 367695738 /nfs/dbraw/zinc/69/57/38/367695738.db2.gz IHDMGJHXXLWOBV-GHMZBOCLSA-N 0 3 223.364 2.895 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@@H]1CCn2ccnc21 ZINC000384524058 367696138 /nfs/dbraw/zinc/69/61/38/367696138.db2.gz KVBKPXHALHREMU-GHMZBOCLSA-N 0 3 221.348 2.742 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3c2cccc3O)[C@@H](C)O1 ZINC000384558853 367699786 /nfs/dbraw/zinc/69/97/86/367699786.db2.gz HKCCQOKXEGLUAV-JYILRKAJSA-N 0 3 247.338 2.535 20 0 BFADHN CC/C=C\CNCc1ccc(-n2ccnc2)cc1 ZINC000384733019 367723519 /nfs/dbraw/zinc/72/35/19/367723519.db2.gz KDPAVVPSGHAJRP-ARJAWSKDSA-N 0 3 241.338 2.928 20 0 BFADHN CC/C=C\CNCc1sc(C)nc1C ZINC000384781772 367731057 /nfs/dbraw/zinc/73/10/57/367731057.db2.gz UDKRYHCKTVYCBJ-WAYWQWQTSA-N 0 3 210.346 2.816 20 0 BFADHN CC/C=C\CNCc1cnn(-c2ccccc2)c1 ZINC000384798587 367733659 /nfs/dbraw/zinc/73/36/59/367733659.db2.gz XHIBZDJPTKUICQ-CLTKARDFSA-N 0 3 241.338 2.928 20 0 BFADHN CSCCN[C@H](C)c1sc(C)nc1C ZINC000068994674 370870195 /nfs/dbraw/zinc/87/01/95/370870195.db2.gz XWZRVWFVEBNMHW-SSDOTTSWSA-N 0 3 230.402 2.774 20 0 BFADHN CC/C=C\CNCc1ccc(NC(C)=O)cc1 ZINC000384854466 367744183 /nfs/dbraw/zinc/74/41/83/367744183.db2.gz CFAPKSPKMFQDAS-PLNGDYQASA-N 0 3 232.327 2.701 20 0 BFADHN Cc1cccc(CNC[C@@H]2C[C@@H]2C)c1F ZINC000384625325 367709085 /nfs/dbraw/zinc/70/90/85/367709085.db2.gz JEDKORJSXLRESD-JQWIXIFHSA-N 0 3 207.292 2.880 20 0 BFADHN C[C@@H](CC(C)(C)O)N[C@H]1CCCc2occc21 ZINC000385224682 367811053 /nfs/dbraw/zinc/81/10/53/367811053.db2.gz SDTSUHHHOFAMBV-JQWIXIFHSA-N 0 3 237.343 2.796 20 0 BFADHN C[C@@H](CC(C)(C)C)NCc1nnc2ccccn21 ZINC000385033776 367775999 /nfs/dbraw/zinc/77/59/99/367775999.db2.gz YOBNOEYODXXFSN-NSHDSACASA-N 0 3 246.358 2.644 20 0 BFADHN Cc1ccc(F)c(CN[C@H](C)CC(C)(C)O)c1 ZINC000385097322 367788999 /nfs/dbraw/zinc/78/89/99/367788999.db2.gz ZCRLAEFOVIROBN-LLVKDONJSA-N 0 3 239.334 2.773 20 0 BFADHN COc1cc(CN[C@@H]2CCC[C@@H](C)C2)sn1 ZINC000399178603 367851209 /nfs/dbraw/zinc/85/12/09/367851209.db2.gz NSFMMZGWYMOZDX-NXEZZACHSA-N 0 3 240.372 2.820 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H](C)c1ccnn1C ZINC000385791909 367864612 /nfs/dbraw/zinc/86/46/12/367864612.db2.gz FAPQQOKSDRBQDW-QJPTWQEYSA-N 0 3 221.348 2.505 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1N[C@@H]1CCCc2c1cnn2C ZINC000385840625 367867974 /nfs/dbraw/zinc/86/79/74/367867974.db2.gz NNLTTXYBHYAATE-MRVWCRGKSA-N 0 3 247.386 2.822 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cccc(O)c1 ZINC000385836257 367868232 /nfs/dbraw/zinc/86/82/32/367868232.db2.gz MNNRWHZRBGMAFZ-TZMCWYRMSA-N 0 3 219.328 2.916 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cn2ccccc2n1 ZINC000385853577 367871181 /nfs/dbraw/zinc/87/11/81/367871181.db2.gz QKJXNFLSNXMURG-TZMCWYRMSA-N 0 3 243.354 2.859 20 0 BFADHN COc1ccc(F)cc1CN[C@@H]1C[C@H](C)[C@@H]1C ZINC000385895620 367877893 /nfs/dbraw/zinc/87/78/93/367877893.db2.gz DVUDAQOWWSZRPI-OUJBWJOFSA-N 0 3 237.318 2.968 20 0 BFADHN COc1cc(CN[C@H](C)CC2CCC2)sn1 ZINC000399223302 367906179 /nfs/dbraw/zinc/90/61/79/367906179.db2.gz WBBGVXSFBPCOMU-SECBINFHSA-N 0 3 240.372 2.820 20 0 BFADHN COc1cc(CNC[C@@H]2CC=CCC2)sn1 ZINC000399216059 367908049 /nfs/dbraw/zinc/90/80/49/367908049.db2.gz WZBXNFJREVORPW-SNVBAGLBSA-N 0 3 238.356 2.598 20 0 BFADHN COc1cc(CNC[C@H]2CC=CCC2)sn1 ZINC000399216056 367908145 /nfs/dbraw/zinc/90/81/45/367908145.db2.gz WZBXNFJREVORPW-JTQLQIEISA-N 0 3 238.356 2.598 20 0 BFADHN C[C@H](N[C@@H](CO)C1CCCCC1)c1ccco1 ZINC000386073771 367909642 /nfs/dbraw/zinc/90/96/42/367909642.db2.gz AWYHQHRVQKFRHR-AAEUAGOBSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H](N[C@H](CO)C1CCCCC1)c1ccco1 ZINC000386073772 367909906 /nfs/dbraw/zinc/90/99/06/367909906.db2.gz AWYHQHRVQKFRHR-DGCLKSJQSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@@H]1C[C@@H](NCC(C2CC2)C2CC2)c2nccn21 ZINC000386117713 367913916 /nfs/dbraw/zinc/91/39/16/367913916.db2.gz VLCDLNZVQHRQJV-QMTHXVAHSA-N 0 3 245.370 2.915 20 0 BFADHN COc1ccccc1[C@@H](C)N[C@@H]1CCC[C@H]1OC ZINC000385922359 367883078 /nfs/dbraw/zinc/88/30/78/367883078.db2.gz JSZQTQVZUIUKNU-UXIGCNINSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)[C@@H]1C)C(=O)Nc1ccccc1 ZINC000385952205 367890319 /nfs/dbraw/zinc/89/03/19/367890319.db2.gz MXIFKMCNYDZHKH-ZJQBRPOHSA-N 0 3 246.354 2.648 20 0 BFADHN Cc1nnsc1CN1CCCCC[C@H]1C ZINC000581946480 367973260 /nfs/dbraw/zinc/97/32/60/367973260.db2.gz PCJNVOIXUWZWEH-SECBINFHSA-N 0 3 225.361 2.611 20 0 BFADHN Cc1nnsc1CN1CCC[C@@H](C(C)C)C1 ZINC000566300250 326030713 /nfs/dbraw/zinc/03/07/13/326030713.db2.gz FUFYFYNHNOIBDR-LLVKDONJSA-N 0 3 239.388 2.715 20 0 BFADHN CC[C@H](N[C@H](C)c1cc(F)ccc1F)[C@@H](C)O ZINC000386820126 368025342 /nfs/dbraw/zinc/02/53/42/368025342.db2.gz FZNCINJRJVHGNX-KKFJDGPESA-N 0 3 243.297 2.775 20 0 BFADHN CC[C@@H](NCc1cc(Cl)ccc1F)[C@@H](C)O ZINC000386831506 368025722 /nfs/dbraw/zinc/02/57/22/368025722.db2.gz VXYUDURUZMZEJB-PRHODGIISA-N 0 3 245.725 2.728 20 0 BFADHN CC[C@@H](NCc1cccc(Cl)c1F)[C@@H](C)O ZINC000386849513 368028389 /nfs/dbraw/zinc/02/83/89/368028389.db2.gz ZAVDOUJVIBFEAH-LDYMZIIASA-N 0 3 245.725 2.728 20 0 BFADHN COC(=O)c1cccc(CN2C[C@@H](C)C[C@@H]2C)c1 ZINC000581973846 368030030 /nfs/dbraw/zinc/03/00/30/368030030.db2.gz FTTBDLKGICOAOS-RYUDHWBXSA-N 0 3 247.338 2.704 20 0 BFADHN COc1cc(C)nc(CN[C@H]2CC23CCCC3)c1 ZINC000387245766 368130661 /nfs/dbraw/zinc/13/06/61/368130661.db2.gz JRJDONHBYJSGMM-AWEZNQCLSA-N 0 3 246.354 2.821 20 0 BFADHN COc1cc(CN[C@@H]2C[C@H]2CC(C)C)sn1 ZINC000399298910 368091606 /nfs/dbraw/zinc/09/16/06/368091606.db2.gz IHSDIYYFOYXUKO-MWLCHTKSSA-N 0 3 240.372 2.676 20 0 BFADHN COc1cccc(CN[C@H]2CC23CCCC3)n1 ZINC000387382237 368164497 /nfs/dbraw/zinc/16/44/97/368164497.db2.gz YSSMQKJKZYVKAO-LBPRGKRZSA-N 0 3 232.327 2.513 20 0 BFADHN CN(C)Cc1ccc(NC(=O)c2ccoc2)cc1 ZINC000029952256 368246102 /nfs/dbraw/zinc/24/61/02/368246102.db2.gz AMXQAYTYOXKGJN-UHFFFAOYSA-N 0 3 244.294 2.594 20 0 BFADHN Cc1cc([C@H](C)NC2CC(C)(C)C2)nn1C ZINC000566502941 326060315 /nfs/dbraw/zinc/06/03/15/326060315.db2.gz DNMBDXDFLJFDGX-JTQLQIEISA-N 0 3 221.348 2.568 20 0 BFADHN CC1=CC[C@H](N[C@H](C)c2ccon2)CC1 ZINC000566518407 326061333 /nfs/dbraw/zinc/06/13/33/326061333.db2.gz AGLGEHNUIXSSPB-MNOVXSKESA-N 0 3 206.289 2.824 20 0 BFADHN COCCN(C)Cc1csc2ccccc12 ZINC000046089503 368435723 /nfs/dbraw/zinc/43/57/23/368435723.db2.gz CYZMUAXDNYOUSX-UHFFFAOYSA-N 0 3 235.352 2.980 20 0 BFADHN CCCN1CCN(Cc2cc(C)ccc2C)CC1 ZINC000058887689 368524805 /nfs/dbraw/zinc/52/48/05/368524805.db2.gz WHFBBGLGJSXRON-UHFFFAOYSA-N 0 3 246.398 2.831 20 0 BFADHN CC[C@H](COC)NCc1csc(C)c1C ZINC000399769467 368563790 /nfs/dbraw/zinc/56/37/90/368563790.db2.gz LPMPCOZMWRFXSD-GFCCVEGCSA-N 0 3 227.373 2.880 20 0 BFADHN CC[C@H](C)N(C)CC(=O)N[C@@H](C)c1ccccc1 ZINC000060575152 368547244 /nfs/dbraw/zinc/54/72/44/368547244.db2.gz AXTVWDSDLYRRNH-STQMWFEESA-N 0 3 248.370 2.594 20 0 BFADHN CCN(CC(C)C)[C@H](C)C(=O)NCCC(C)C ZINC000153712168 326109676 /nfs/dbraw/zinc/10/96/76/326109676.db2.gz MHIVKUVXVPXAAQ-CYBMUJFWSA-N 0 3 242.407 2.515 20 0 BFADHN CC[C@H](C(=O)N[C@H](C)CCC(C)(C)C)N(C)C ZINC000153758791 326112166 /nfs/dbraw/zinc/11/21/66/326112166.db2.gz BYOBQVCXKVIZKE-VXGBXAGGSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1cc(CN2CCC[C@H]2C(C)C)no1 ZINC000063618599 368591509 /nfs/dbraw/zinc/59/15/09/368591509.db2.gz MSTRMFFVOHXNNK-LBPRGKRZSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1scc(CNCC2=CCCOC2)c1C ZINC000399860891 368621626 /nfs/dbraw/zinc/62/16/26/368621626.db2.gz CURXHCGFYBCNAX-UHFFFAOYSA-N 0 3 237.368 2.801 20 0 BFADHN COc1cc(C)ccc1[C@@H](C)N[C@H]1CCCOC1 ZINC000109545580 368639564 /nfs/dbraw/zinc/63/95/64/368639564.db2.gz JGZMGJKBKHXDEP-OLZOCXBDSA-N 0 3 249.354 2.833 20 0 BFADHN COc1cc(C)ccc1[C@H](C)N[C@H]1CCCOC1 ZINC000109545583 368639920 /nfs/dbraw/zinc/63/99/20/368639920.db2.gz JGZMGJKBKHXDEP-STQMWFEESA-N 0 3 249.354 2.833 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1csc(C)c1C ZINC000399870236 368643435 /nfs/dbraw/zinc/64/34/35/368643435.db2.gz TYOKZUREDYBFKS-ZJUUUORDSA-N 0 3 227.373 2.878 20 0 BFADHN c1cc(CN2CCC[C@@H]2Cc2ccccc2)on1 ZINC000066607280 368655182 /nfs/dbraw/zinc/65/51/82/368655182.db2.gz LYKCRZCYFSJHHN-CQSZACIVSA-N 0 3 242.322 2.882 20 0 BFADHN CCN(CC(=O)Nc1ccccc1)[C@@H](C)C(C)C ZINC000067661216 368694949 /nfs/dbraw/zinc/69/49/49/368694949.db2.gz GLZHYICBZVYRCW-ZDUSSCGKSA-N 0 3 248.370 2.992 20 0 BFADHN CN(CCCO)Cc1ccc(C(C)(C)C)cc1 ZINC000067129249 368679853 /nfs/dbraw/zinc/67/98/53/368679853.db2.gz GNCZGZNVKIQULG-UHFFFAOYSA-N 0 3 235.371 2.798 20 0 BFADHN CCCCCC(=O)N(C)[C@@H]1CCN(C(C)C)C1 ZINC000457105500 203007257 /nfs/dbraw/zinc/00/72/57/203007257.db2.gz COELIXJIEABXKC-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN Cc1cc(C)n(CCN(C)Cc2ccccc2)n1 ZINC000068783072 368733387 /nfs/dbraw/zinc/73/33/87/368733387.db2.gz HCZOHNQAUDMJQO-UHFFFAOYSA-N 0 3 243.354 2.632 20 0 BFADHN CC[C@H](CC(=O)NC(C)(C)CN(C)C)C(C)C ZINC000457214620 203020186 /nfs/dbraw/zinc/02/01/86/203020186.db2.gz XCWJJGJDYYGQHQ-GFCCVEGCSA-N 0 3 242.407 2.515 20 0 BFADHN CC[C@H](C)N(C)CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC000072601286 368775514 /nfs/dbraw/zinc/77/55/14/368775514.db2.gz YUYBFNNBAHWQNZ-RWMBFGLXSA-N 0 3 240.391 2.506 20 0 BFADHN CCOCCN(C)Cc1ccc(OCC)cc1 ZINC000070949459 368767821 /nfs/dbraw/zinc/76/78/21/368767821.db2.gz DTUWEOCWXKYLSN-UHFFFAOYSA-N 0 3 237.343 2.554 20 0 BFADHN Cc1nnc(CN(CC2CC2)C2CCCCC2)o1 ZINC000069079465 368745170 /nfs/dbraw/zinc/74/51/70/368745170.db2.gz DDWXIYCNRBIRJA-UHFFFAOYSA-N 0 3 249.358 2.923 20 0 BFADHN Cc1nccn1CCCNCc1ccccc1F ZINC000069754019 368757568 /nfs/dbraw/zinc/75/75/68/368757568.db2.gz WNYUVLRATNHEIM-UHFFFAOYSA-N 0 3 247.317 2.511 20 0 BFADHN CC1(C)CCC(O)(CNCc2ccncc2)CC1 ZINC000087046314 368887877 /nfs/dbraw/zinc/88/78/77/368887877.db2.gz QPKWLUQBOLRGGI-UHFFFAOYSA-N 0 3 248.370 2.503 20 0 BFADHN CCc1cccnc1[C@@H](C)N[C@@H]1CCCC[C@@H]1O ZINC000631811164 368931717 /nfs/dbraw/zinc/93/17/17/368931717.db2.gz SEAUFZRIFYDOBK-BNOWGMLFSA-N 0 3 248.370 2.598 20 0 BFADHN Cc1nnc(CN[C@@H]2CC(C)(C)C[C@H]2C)s1 ZINC000309757324 326166459 /nfs/dbraw/zinc/16/64/59/326166459.db2.gz HVDFFMVXYUSZHT-PSASIEDQSA-N 0 3 239.388 2.761 20 0 BFADHN COC(=O)c1ccc(CN[C@H](C)C(C)(C)C)o1 ZINC000114474556 368940841 /nfs/dbraw/zinc/94/08/41/368940841.db2.gz KHGRAGMFWAHAAV-SECBINFHSA-N 0 3 239.315 2.590 20 0 BFADHN Cc1cccc(NC(=O)CN[C@H](C)C(C)(C)C)c1 ZINC000114475488 368942239 /nfs/dbraw/zinc/94/22/39/368942239.db2.gz YGIWGUIKUPACSR-GFCCVEGCSA-N 0 3 248.370 2.958 20 0 BFADHN CCC[C@H](C)CN1CCO[C@]2(CCSC2)C1 ZINC000567472660 326199430 /nfs/dbraw/zinc/19/94/30/326199430.db2.gz LTDPFDFLIIFPBX-QWHCGFSZSA-N 0 3 243.416 2.631 20 0 BFADHN C[C@H]1CN(CC2CCC(F)(F)CC2)[C@@H](C)CO1 ZINC000459282261 203247547 /nfs/dbraw/zinc/24/75/47/203247547.db2.gz DHHACAQMFXPAFC-QWRGUYRKSA-N 0 3 247.329 2.921 20 0 BFADHN CCOC1CC(N(C)Cc2ccoc2C)C1 ZINC000459300429 203249385 /nfs/dbraw/zinc/24/93/85/203249385.db2.gz KCFCYTAYDCZGIL-UHFFFAOYSA-N 0 3 223.316 2.587 20 0 BFADHN COCCN(C)Cc1ccc(C2CC2)cc1 ZINC000459307730 203252081 /nfs/dbraw/zinc/25/20/81/203252081.db2.gz JLOOWHJEZLAAIC-UHFFFAOYSA-N 0 3 219.328 2.642 20 0 BFADHN CCOc1cc(CN2CCC23CCC3)ccc1O ZINC000459380690 203271627 /nfs/dbraw/zinc/27/16/27/203271627.db2.gz SZRKYWYMIBFQTN-UHFFFAOYSA-N 0 3 247.338 2.919 20 0 BFADHN COc1ccc(CNC2CC3(CCC3)C2)cc1O ZINC000459397835 203273797 /nfs/dbraw/zinc/27/37/97/203273797.db2.gz KHSVRMLNQDRMDF-UHFFFAOYSA-N 0 3 247.338 2.823 20 0 BFADHN CC(C)[C@@H](C)[N@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459314969 203255018 /nfs/dbraw/zinc/25/50/18/203255018.db2.gz WSXVGDCFUAMPCZ-SNVBAGLBSA-N 0 3 249.358 2.536 20 0 BFADHN CC(C)[C@@H](C)[N@@H+](C)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC000459314969 203255021 /nfs/dbraw/zinc/25/50/21/203255021.db2.gz WSXVGDCFUAMPCZ-SNVBAGLBSA-N 0 3 249.358 2.536 20 0 BFADHN CC1(C)CCN(CCn2cc(Cl)cn2)CC1 ZINC000459318156 203255664 /nfs/dbraw/zinc/25/56/64/203255664.db2.gz BTIVETSWLAODKJ-UHFFFAOYSA-N 0 3 241.766 2.659 20 0 BFADHN CC[C@@H]1CCCCN1CCn1cc(Cl)cn1 ZINC000459316273 203256503 /nfs/dbraw/zinc/25/65/03/203256503.db2.gz YAFMCOAJWINPIK-GFCCVEGCSA-N 0 3 241.766 2.801 20 0 BFADHN CCC(C)(C)N(C)Cc1cnc(C2CC2)nc1 ZINC000459332508 203258911 /nfs/dbraw/zinc/25/89/11/203258911.db2.gz HEUXKYUALSYWOK-UHFFFAOYSA-N 0 3 233.359 2.974 20 0 BFADHN CC(C)[C@@H]1CCN(CCn2cc(Cl)cn2)C1 ZINC000459341623 203261288 /nfs/dbraw/zinc/26/12/88/203261288.db2.gz KHSPJRDUQBPUTP-LLVKDONJSA-N 0 3 241.766 2.514 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1CCn1cc(Cl)cn1 ZINC000459355122 203266772 /nfs/dbraw/zinc/26/67/72/203266772.db2.gz UECHJAZSXTXJAO-PHIMTYICSA-N 0 3 241.766 2.799 20 0 BFADHN CC1=CCN(CCOCC2CCCC2)CC1 ZINC000459366393 203267264 /nfs/dbraw/zinc/26/72/64/203267264.db2.gz CJQHEFUYSBOMOG-UHFFFAOYSA-N 0 3 223.360 2.845 20 0 BFADHN Cc1cc(CNC[C@H]2CC[C@@H](C)C2)no1 ZINC000459535719 203297093 /nfs/dbraw/zinc/29/70/93/203297093.db2.gz WLSZNCKJRVJTDN-KOLCDFICSA-N 0 3 208.305 2.509 20 0 BFADHN CCN(CCC1CC1)Cc1cnc(C2CC2)nc1 ZINC000459480976 203290507 /nfs/dbraw/zinc/29/05/07/203290507.db2.gz OWPHFOBLWRJQER-UHFFFAOYSA-N 0 3 245.370 2.976 20 0 BFADHN OC[C@H](NC1CC2(CCC2)C1)c1ccc(F)cc1 ZINC000459416923 203278525 /nfs/dbraw/zinc/27/85/25/203278525.db2.gz UARPNETZRZCSHI-AWEZNQCLSA-N 0 3 249.329 2.781 20 0 BFADHN CCN1C[C@@H](C)N(CCSC(C)C)[C@@H](C)C1 ZINC000459456402 203283714 /nfs/dbraw/zinc/28/37/14/203283714.db2.gz HRIJHRIPYFEWRU-BETUJISGSA-N 0 3 244.448 2.543 20 0 BFADHN C[C@H](NC[C@H]1CC2CCC1CC2)c1nccn1C ZINC000400014344 369340417 /nfs/dbraw/zinc/34/04/17/369340417.db2.gz GZBQKPKMRFJWCN-GFJIZPEISA-N 0 3 247.386 2.897 20 0 BFADHN c1nc(CNC[C@H]2CC3CCC2CC3)co1 ZINC000400071024 369341824 /nfs/dbraw/zinc/34/18/24/369341824.db2.gz LRUBQOSUKVSBQJ-HTAVTVPLSA-N 0 3 220.316 2.591 20 0 BFADHN C[C@H]1CCN(Cc2ccc(C3CC3)cc2)C[C@@H]1O ZINC000459555019 203300440 /nfs/dbraw/zinc/30/04/40/203300440.db2.gz FEJGDKFUZAVFAO-LRDDRELGSA-N 0 3 245.366 2.767 20 0 BFADHN CN(C)CCN(C)Cc1ccc(C2CC2)cc1 ZINC000459555927 203301959 /nfs/dbraw/zinc/30/19/59/203301959.db2.gz GXIUSXQTXNVZMJ-UHFFFAOYSA-N 0 3 232.371 2.557 20 0 BFADHN c1coc(CN2CC(C3CCCC3)C2)c1 ZINC000459621862 203320147 /nfs/dbraw/zinc/32/01/47/203320147.db2.gz XIDQKAMTDCETSS-UHFFFAOYSA-N 0 3 205.301 2.902 20 0 BFADHN COCC1(C)CN(Cc2cccc(C)c2C)C1 ZINC000459619293 203320233 /nfs/dbraw/zinc/32/02/33/203320233.db2.gz HQZQIQMKSCLNIQ-UHFFFAOYSA-N 0 3 233.355 2.772 20 0 BFADHN COCC1(C)CN(Cc2ccc(C)s2)C1 ZINC000459624952 203320502 /nfs/dbraw/zinc/32/05/02/203320502.db2.gz WEWBPSKERWZNTP-UHFFFAOYSA-N 0 3 225.357 2.525 20 0 BFADHN CCC1(C)CN(Cc2cccc3c[nH]nc32)C1 ZINC000459633254 203322886 /nfs/dbraw/zinc/32/28/86/203322886.db2.gz LJYQHBNSYLUOED-UHFFFAOYSA-N 0 3 229.327 2.795 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cccc(C)c2F)C1 ZINC000459633484 203325089 /nfs/dbraw/zinc/32/50/89/203325089.db2.gz MZIBHCPVRRIOSH-BETUJISGSA-N 0 3 237.318 2.791 20 0 BFADHN CSCCCCNCc1cc(C)ns1 ZINC000404479694 369430462 /nfs/dbraw/zinc/43/04/62/369430462.db2.gz BVKSDYXPYZYOPW-UHFFFAOYSA-N 0 3 230.402 2.684 20 0 BFADHN C[C@@H]1C[C@H]1CN1CC(C)(CC(F)(F)F)C1 ZINC000459635547 203327319 /nfs/dbraw/zinc/32/73/19/203327319.db2.gz JGNLNBWCKNNDEV-BDAKNGLRSA-N 0 3 221.266 2.917 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccccc2Cl)C1 ZINC000459644552 203328937 /nfs/dbraw/zinc/32/89/37/203328937.db2.gz JSOINOVQNIYLNK-TXEJJXNPSA-N 0 3 239.746 2.997 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccc(F)c(C)c2)C1 ZINC000459654915 203330719 /nfs/dbraw/zinc/33/07/19/203330719.db2.gz ZHWHQUOOJGPBJG-BETUJISGSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H](NCC1CCCCC1)c1ccn(C)n1 ZINC000459739170 203349347 /nfs/dbraw/zinc/34/93/47/203349347.db2.gz DETVYGZTWRQKAW-LLVKDONJSA-N 0 3 221.348 2.651 20 0 BFADHN Cc1c[nH]nc1CNC1CCC(C(F)F)CC1 ZINC000459717079 203340319 /nfs/dbraw/zinc/34/03/19/203340319.db2.gz SYAYLIPBABNJKB-UHFFFAOYSA-N 0 3 243.301 2.632 20 0 BFADHN CC[C@@H]1OCC[C@H]1NCc1ccc(C)c(F)c1 ZINC000459734482 203348168 /nfs/dbraw/zinc/34/81/68/203348168.db2.gz CJJMRAMQXQRKML-KGLIPLIRSA-N 0 3 237.318 2.791 20 0 BFADHN C[C@@H](N[C@@H](C)CCC1CC1)c1ccn(C)n1 ZINC000459739153 203348422 /nfs/dbraw/zinc/34/84/22/203348422.db2.gz DDDYSQWGBJPSNU-WDEREUQCSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@H](N[C@H]1C[C@H](C)C[C@H](C)C1)c1ccn(C)n1 ZINC000459748186 203354168 /nfs/dbraw/zinc/35/41/68/203354168.db2.gz JWUMLAHBZFYFOV-QNWHQSFQSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H](NC1(C2CCC2)CCC1)c1cnccn1 ZINC000459754056 203355984 /nfs/dbraw/zinc/35/59/84/203355984.db2.gz LCWVSDDJVIMWOY-NSHDSACASA-N 0 3 231.343 2.850 20 0 BFADHN CCCC[C@@H](C)N[C@@H](C)c1ccn(C)n1 ZINC000459753026 203356593 /nfs/dbraw/zinc/35/65/93/203356593.db2.gz NECSHAROILSTMZ-MNOVXSKESA-N 0 3 209.337 2.649 20 0 BFADHN Cc1nn(C)c(C)c1CNCc1ccc(C)cc1 ZINC000020092153 370973566 /nfs/dbraw/zinc/97/35/66/370973566.db2.gz AOSWHTRIHQZVQZ-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN CCC[C@H](CC)N[C@H](C)c1ccn(C)n1 ZINC000459765687 203361338 /nfs/dbraw/zinc/36/13/38/203361338.db2.gz VOTUQRQFMQRDJB-MNOVXSKESA-N 0 3 209.337 2.649 20 0 BFADHN C(=C/c1ccccc1)\CN1C[C@@H]2C[C@H]1CS2 ZINC000459768036 203361596 /nfs/dbraw/zinc/36/15/96/203361596.db2.gz PYYJGQRMKPHBMQ-SZBGIYDQSA-N 0 3 231.364 2.890 20 0 BFADHN Cc1ccc(CCCN2C[C@@H]3C[C@H]2CS3)cn1 ZINC000459770280 203363922 /nfs/dbraw/zinc/36/39/22/203363922.db2.gz SAZVICJYWFBHMX-KBPBESRZSA-N 0 3 248.395 2.512 20 0 BFADHN c1c(CN[C@@H]2CCC[C@@H]3C[C@@H]32)nc2ccccn12 ZINC000459779966 203366296 /nfs/dbraw/zinc/36/62/96/203366296.db2.gz XIXHNMLEODJTLI-KWCYVHTRSA-N 0 3 241.338 2.613 20 0 BFADHN COc1ccc(CN(C)C2CC(C)(C)C2)cn1 ZINC000459743987 203350937 /nfs/dbraw/zinc/35/09/37/203350937.db2.gz XJLYSBSZELCFKJ-UHFFFAOYSA-N 0 3 234.343 2.711 20 0 BFADHN CC(C)C[C@H](C)N[C@H](C)c1ccn(C)n1 ZINC000459743042 203352232 /nfs/dbraw/zinc/35/22/32/203352232.db2.gz GPHFSZLZWFVAQA-WDEREUQCSA-N 0 3 209.337 2.505 20 0 BFADHN CC(C)n1ccc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)n1 ZINC000459791202 203371506 /nfs/dbraw/zinc/37/15/06/203371506.db2.gz STTHRJLXZQQDPK-KWCYVHTRSA-N 0 3 233.359 2.742 20 0 BFADHN COC[C@@H](N[C@@H](C)c1cncc(F)c1)C(C)C ZINC000165942781 203385597 /nfs/dbraw/zinc/38/55/97/203385597.db2.gz UMZGYULIOYASHU-GXFFZTMASA-N 0 3 240.322 2.542 20 0 BFADHN C[C@H](CN1CC[S@](=O)[C@@H](C)[C@H]1C)C(C)(C)C ZINC000619844577 369617374 /nfs/dbraw/zinc/61/73/74/369617374.db2.gz WBUIAEYILJDTNB-YMEQNVIZSA-N 0 3 245.432 2.510 20 0 BFADHN CCn1nc(C)c(CN(C)[C@H](C)C2CC2)c1C ZINC000158054401 326305997 /nfs/dbraw/zinc/30/59/97/326305997.db2.gz HWHAGZJJCSVBQS-LLVKDONJSA-N 0 3 235.375 2.750 20 0 BFADHN CN(Cc1cncc(Cl)c1)CC1CC1 ZINC000621248362 370183093 /nfs/dbraw/zinc/18/30/93/370183093.db2.gz FSTHYIODOGGRGZ-UHFFFAOYSA-N 0 3 210.708 2.577 20 0 BFADHN Cc1ncc(CNCCCC(C)(C)C)cn1 ZINC000309710498 370246570 /nfs/dbraw/zinc/24/65/70/370246570.db2.gz QMOSSKIHJIHLEQ-UHFFFAOYSA-N 0 3 221.348 2.701 20 0 BFADHN Cc1cc(CN(C)CCCOC(C)C)ccn1 ZINC000621259397 370192265 /nfs/dbraw/zinc/19/22/65/370192265.db2.gz UFOYSNIQEOHNTE-UHFFFAOYSA-N 0 3 236.359 2.637 20 0 BFADHN CN(Cc1cncc(Cl)c1)C1CCC1 ZINC000621260123 370193977 /nfs/dbraw/zinc/19/39/77/370193977.db2.gz TZGPIZGPSHYPHA-UHFFFAOYSA-N 0 3 210.708 2.719 20 0 BFADHN C[C@@H]1CCN(Cc2cncc(Cl)c2)[C@@H]1C ZINC000621261591 370194522 /nfs/dbraw/zinc/19/45/22/370194522.db2.gz WOSPBDDCZSVIAH-NXEZZACHSA-N 0 3 224.735 2.965 20 0 BFADHN CC(C)n1ccnc1CNCC[C@@H]1CC1(F)F ZINC000621376301 370317387 /nfs/dbraw/zinc/31/73/87/370317387.db2.gz XXCIPILPVCSXER-SNVBAGLBSA-N 0 3 243.301 2.599 20 0 BFADHN CCOc1cncc(CNCC=C(C)C)c1 ZINC000621347617 370282409 /nfs/dbraw/zinc/28/24/09/370282409.db2.gz ISXIXKSFGMIDLX-UHFFFAOYSA-N 0 3 220.316 2.536 20 0 BFADHN CCC1CC(NCc2ccn(C(C)C)n2)C1 ZINC000310015216 370289034 /nfs/dbraw/zinc/28/90/34/370289034.db2.gz KORDZJRQWATFRZ-UHFFFAOYSA-N 0 3 221.348 2.742 20 0 BFADHN CCOc1cncc(CNC2(CC)CC2)c1 ZINC000621358313 370292665 /nfs/dbraw/zinc/29/26/65/370292665.db2.gz DYLKAXVBGFADKR-UHFFFAOYSA-N 0 3 220.316 2.513 20 0 BFADHN CCOc1cncc(CN[C@@H]2C[C@H]2C2CCC2)c1 ZINC000621364862 370298716 /nfs/dbraw/zinc/29/87/16/370298716.db2.gz MKZAPYZXHHFLQR-LSDHHAIUSA-N 0 3 246.354 2.759 20 0 BFADHN Cc1ccc(CNCC[C@H]2CC2(F)F)o1 ZINC000621379163 370322698 /nfs/dbraw/zinc/32/26/98/370322698.db2.gz NVHNFPFPUVMLBC-VIFPVBQESA-N 0 3 215.243 2.723 20 0 BFADHN CCc1nc(CNCC[C@@H]2CC2(F)F)cs1 ZINC000621378957 370316017 /nfs/dbraw/zinc/31/60/17/370316017.db2.gz ISMUVGKPRWJQME-MRVPVSSYSA-N 0 3 246.326 2.840 20 0 BFADHN CCc1nc(CNCC[C@H]2CC2(F)F)cs1 ZINC000621378958 370316050 /nfs/dbraw/zinc/31/60/50/370316050.db2.gz ISMUVGKPRWJQME-QMMMGPOBSA-N 0 3 246.326 2.840 20 0 BFADHN c1cc2c(c(CNCCCC3CC3)c1)OCO2 ZINC000076767914 370351439 /nfs/dbraw/zinc/35/14/39/370351439.db2.gz VQYIXGGGBDCDPF-UHFFFAOYSA-N 0 3 233.311 2.695 20 0 BFADHN CCCN(CCC)C(=O)CN(C)CCC(C)C ZINC000076794197 370353940 /nfs/dbraw/zinc/35/39/40/370353940.db2.gz KJZVPWIMLJOULW-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN C[C@H]1CCC[C@H]1NCc1nn(C)c2ccccc12 ZINC000112840600 370359300 /nfs/dbraw/zinc/35/93/00/370359300.db2.gz XHJNSEJVZNKYMI-WCQYABFASA-N 0 3 243.354 2.852 20 0 BFADHN CC(C)CN1CCOc2ccccc2C1 ZINC000076849514 370360610 /nfs/dbraw/zinc/36/06/10/370360610.db2.gz CERLPFKGHPKGCW-UHFFFAOYSA-N 0 3 205.301 2.537 20 0 BFADHN CCCC[C@H](C(=O)NC[C@H](C)N(C)C)C(C)C ZINC000621539011 370383627 /nfs/dbraw/zinc/38/36/27/370383627.db2.gz CSOUYUDVCUNUAN-STQMWFEESA-N 0 3 242.407 2.515 20 0 BFADHN Cc1nc(CN[C@@H](C)C2CCCC2)[nH]c1C ZINC000568273741 326339197 /nfs/dbraw/zinc/33/91/97/326339197.db2.gz YTJABYDKIVCPHZ-NSHDSACASA-N 0 3 221.348 2.695 20 0 BFADHN CCOc1cncc(CN2CCC[C@@H](C)C2)c1 ZINC000621709557 370454336 /nfs/dbraw/zinc/45/43/36/370454336.db2.gz XJLKVMVWIFLITR-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1nccnc1C ZINC000621719371 370461092 /nfs/dbraw/zinc/46/10/92/370461092.db2.gz KRSGJKAKOCEMDI-LLVKDONJSA-N 0 3 221.348 2.653 20 0 BFADHN CCOc1cncc(CN2CCC(C)(C)C2)c1 ZINC000621715854 370463062 /nfs/dbraw/zinc/46/30/62/370463062.db2.gz IPHUUNJMEXPIOG-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@@]1(C)CCCN(Cc2nccnc2C)C1 ZINC000621716638 370464527 /nfs/dbraw/zinc/46/45/27/370464527.db2.gz HNRYCOWTRMVEQC-AWEZNQCLSA-N 0 3 233.359 2.797 20 0 BFADHN Cc1nccnc1CN1CC[C@@H](CC(C)C)C1 ZINC000621716000 370464901 /nfs/dbraw/zinc/46/49/01/370464901.db2.gz NTTWIPABZMLBNR-ZDUSSCGKSA-N 0 3 233.359 2.653 20 0 BFADHN CCOc1cncc(CN2CC[C@@H](C)[C@H](C)C2)c1 ZINC000621716559 370465168 /nfs/dbraw/zinc/46/51/68/370465168.db2.gz FLQGWXFNKUTJMU-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN Cc1nccnc1CN1CCC(C(C)C)CC1 ZINC000621718026 370467824 /nfs/dbraw/zinc/46/78/24/370467824.db2.gz WMCLIMDORJSFNC-UHFFFAOYSA-N 0 3 233.359 2.653 20 0 BFADHN CCOc1cncc(CN2CC[C@H](C)[C@H]2C)c1 ZINC000621719494 370469813 /nfs/dbraw/zinc/46/98/13/370469813.db2.gz PAPHONYWHGEGGR-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN CCOc1cncc(CN2CC[C@H](C)[C@@H]2C)c1 ZINC000621719495 370469847 /nfs/dbraw/zinc/46/98/47/370469847.db2.gz PAPHONYWHGEGGR-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN Cc1nccnc1CN1CC[C@H](C)C(C)(C)C1 ZINC000621723005 370475320 /nfs/dbraw/zinc/47/53/20/370475320.db2.gz QSHKFCOYTJPXAV-NSHDSACASA-N 0 3 233.359 2.653 20 0 BFADHN Cc1nccnc1CN1CC[C@@H](C)C(C)(C)C1 ZINC000621723004 370475698 /nfs/dbraw/zinc/47/56/98/370475698.db2.gz QSHKFCOYTJPXAV-LLVKDONJSA-N 0 3 233.359 2.653 20 0 BFADHN Oc1cccc(CN2CC(CC3CC3)C2)c1 ZINC000621741096 370500453 /nfs/dbraw/zinc/50/04/53/370500453.db2.gz BITZBCROWLQZIU-UHFFFAOYSA-N 0 3 217.312 2.624 20 0 BFADHN COc1nc(C)cc(C)c1CN(C)C[C@@H]1C[C@H]1C ZINC000621742985 370503395 /nfs/dbraw/zinc/50/33/95/370503395.db2.gz CMENMFWWONPSTK-MFKMUULPSA-N 0 3 248.370 2.795 20 0 BFADHN CCC[C@@H](NCC1(C)COC1)c1ccccn1 ZINC000159125233 326350592 /nfs/dbraw/zinc/35/05/92/326350592.db2.gz FVCRHNDFSRMMIB-GFCCVEGCSA-N 0 3 234.343 2.549 20 0 BFADHN C[C@@H](NCC[C@H]1CC1(F)F)c1ccc(F)cn1 ZINC000621768304 370510224 /nfs/dbraw/zinc/51/02/24/370510224.db2.gz MUQFPRLRNBQQKO-BDAKNGLRSA-N 0 3 244.260 2.917 20 0 BFADHN Cc1nccnc1CN1CC(C)(C)[C@H]2CCC[C@@H]21 ZINC000621729056 370481436 /nfs/dbraw/zinc/48/14/36/370481436.db2.gz HLYSYKBUGMHSQN-JSGCOSHPSA-N 0 3 245.370 2.796 20 0 BFADHN C[C@H](NCC[C@@H]1CC1(F)F)c1ccccn1 ZINC000621734825 370489825 /nfs/dbraw/zinc/48/98/25/370489825.db2.gz XHSCKURSGOFHFQ-VHSXEESVSA-N 0 3 226.270 2.778 20 0 BFADHN Cc1cnccc1CN1CC(CC2CC2)C1 ZINC000621740333 370499271 /nfs/dbraw/zinc/49/92/71/370499271.db2.gz KPGXWCDPQMRVIX-UHFFFAOYSA-N 0 3 216.328 2.622 20 0 BFADHN CN1CCN(CCC2CC2)CC12CCCCC2 ZINC000568780547 326377457 /nfs/dbraw/zinc/37/74/57/326377457.db2.gz YBHYOJBXNKBART-UHFFFAOYSA-N 0 3 236.403 2.737 20 0 BFADHN C/C=C/CNCc1ccc(F)c(F)c1N(C)C ZINC000623740269 371071691 /nfs/dbraw/zinc/07/16/91/371071691.db2.gz QYRDZUKJWGMBPM-SNAWJCMRSA-N 0 3 240.297 2.697 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CO[C@@H](C3CC3)C2)c1 ZINC000623753158 371082151 /nfs/dbraw/zinc/08/21/51/371082151.db2.gz STADANZAKLNQCH-UKRRQHHQSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1sccc1CN[C@@H]1CO[C@H](C2CC2)C1 ZINC000623754258 371082443 /nfs/dbraw/zinc/08/24/43/371082443.db2.gz LSKQXZSCMRXVHL-STQMWFEESA-N 0 3 237.368 2.714 20 0 BFADHN CCc1cccc2cc(CNCC3COC3)oc21 ZINC000623761713 371084046 /nfs/dbraw/zinc/08/40/46/371084046.db2.gz OLZMLVYNUNAXGJ-UHFFFAOYSA-N 0 3 245.322 2.731 20 0 BFADHN CO[C@@H](C)CCN[C@H]1CCCc2scnc21 ZINC000623883514 371139675 /nfs/dbraw/zinc/13/96/75/371139675.db2.gz CNJDMXIZATYLDJ-UWVGGRQHSA-N 0 3 240.372 2.535 20 0 BFADHN CO[C@H](C)CCN[C@H](C)c1nc(C)cs1 ZINC000623883506 371139803 /nfs/dbraw/zinc/13/98/03/371139803.db2.gz CGLVJSIOOLPHDG-NXEZZACHSA-N 0 3 228.361 2.527 20 0 BFADHN CO[C@H](C)CCN[C@H]1CCCc2scnc21 ZINC000623883516 371140471 /nfs/dbraw/zinc/14/04/71/371140471.db2.gz CNJDMXIZATYLDJ-ZJUUUORDSA-N 0 3 240.372 2.535 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccc(F)cc2Cl)C1 ZINC000623808387 371103648 /nfs/dbraw/zinc/10/36/48/371103648.db2.gz OWYGVLSCKULKEJ-XYPYZODXSA-N 0 3 243.709 2.746 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccsc2Cl)C1 ZINC000623808122 371103921 /nfs/dbraw/zinc/10/39/21/371103921.db2.gz DBSHUFKJXZXTHG-KYZUINATSA-N 0 3 231.748 2.669 20 0 BFADHN COc1ccc(CN[C@H]2CC[C@@H](F)C2)c(F)c1 ZINC000568890312 326381569 /nfs/dbraw/zinc/38/15/69/326381569.db2.gz SIZZGYNHCTZRRL-MNOVXSKESA-N 0 3 241.281 2.815 20 0 BFADHN Cc1csc([C@@H](C)N[C@@H](CCO)C(C)C)n1 ZINC000623899286 371146763 /nfs/dbraw/zinc/14/67/63/371146763.db2.gz DNPWYHDBMANTMR-MNOVXSKESA-N 0 3 242.388 2.509 20 0 BFADHN Cc1nc(CNC(C)(C)C(C)(C)C)[nH]c1C ZINC000569001983 326386204 /nfs/dbraw/zinc/38/62/04/326386204.db2.gz RICJMIZCPGWRHC-UHFFFAOYSA-N 0 3 223.364 2.941 20 0 BFADHN COCC[C@H](C)CN[C@@H](C)c1nc(C)cs1 ZINC000624000158 371232505 /nfs/dbraw/zinc/23/25/05/371232505.db2.gz QDJMXGBQFWDZSC-ONGXEEELSA-N 0 3 242.388 2.775 20 0 BFADHN Cc1cc(CNC[C@@H]2CCc3ccccc32)on1 ZINC000631069082 371289671 /nfs/dbraw/zinc/28/96/71/371289671.db2.gz LTHKMVILFROYBK-ZDUSSCGKSA-N 0 3 242.322 2.803 20 0 BFADHN CC[C@@H](CO)N[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000163989290 326407885 /nfs/dbraw/zinc/40/78/85/326407885.db2.gz JQWYBVXEUMGGJP-WPRPVWTQSA-N 0 3 245.725 2.901 20 0 BFADHN CCC[C@H](CN[C@H](C)c1ccccn1)OCC ZINC000624049741 371276096 /nfs/dbraw/zinc/27/60/96/371276096.db2.gz OMEYBOPQAOZYJA-CHWSQXEVSA-N 0 3 236.359 2.937 20 0 BFADHN CCC[C@H](CN[C@@H](C)c1cccnc1)OCC ZINC000624049982 371276202 /nfs/dbraw/zinc/27/62/02/371276202.db2.gz VQNWRBJTFNRIMQ-GXTWGEPZSA-N 0 3 236.359 2.937 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1ccccn1)OCC ZINC000624049742 371276382 /nfs/dbraw/zinc/27/63/82/371276382.db2.gz OMEYBOPQAOZYJA-OLZOCXBDSA-N 0 3 236.359 2.937 20 0 BFADHN c1ccc([C@H](N[C@H]2CCCOC2)C2CCC2)nc1 ZINC000624104446 371309045 /nfs/dbraw/zinc/30/90/45/371309045.db2.gz SGENAZCXIBEWOS-DZGCQCFKSA-N 0 3 246.354 2.691 20 0 BFADHN Cc1ccc(CNC[C@H](O)C(C)(C)C)c(F)c1 ZINC000631070741 371310552 /nfs/dbraw/zinc/31/05/52/371310552.db2.gz FDRFCQAGCRESDP-ZDUSSCGKSA-N 0 3 239.334 2.631 20 0 BFADHN CCC[C@@](C)(O)CNCc1ccc(C)cc1F ZINC000631070920 371312015 /nfs/dbraw/zinc/31/20/15/371312015.db2.gz HEJTVLSKHNFVQJ-CQSZACIVSA-N 0 3 239.334 2.775 20 0 BFADHN CC[C@H](C)[C@@H](O)CNCc1ccc(C)cc1F ZINC000631070967 371312437 /nfs/dbraw/zinc/31/24/37/371312437.db2.gz IQFPQDFSKUWIEX-FZMZJTMJSA-N 0 3 239.334 2.631 20 0 BFADHN CC(C)CC[C@@H](CO)N[C@@H](C)c1ccco1 ZINC000624109499 371314508 /nfs/dbraw/zinc/31/45/08/371314508.db2.gz ZPSJPLILJRXBAP-RYUDHWBXSA-N 0 3 225.332 2.727 20 0 BFADHN C/C=C\CN[C@@H](C)c1cnc(OC)c(Cl)c1 ZINC000624198918 371388798 /nfs/dbraw/zinc/38/87/98/371388798.db2.gz BWOBIJPZDPWLAP-WBSSQXGSSA-N 0 3 240.734 2.970 20 0 BFADHN CC=CC[NH2+][C@H](c1nnc[n-]1)C1CCCCC1 ZINC000624199465 371389897 /nfs/dbraw/zinc/38/98/97/371389897.db2.gz PXMAKDMPUXORRM-JDGPPOGSSA-N 0 3 234.347 2.592 20 0 BFADHN CC=CCN[C@H](c1nnc[nH]1)C1CCCCC1 ZINC000624199465 371389902 /nfs/dbraw/zinc/38/99/02/371389902.db2.gz PXMAKDMPUXORRM-JDGPPOGSSA-N 0 3 234.347 2.592 20 0 BFADHN C[C@H](NC[C@]1(C)C[C@H]2C[C@H]2C1)c1ccncn1 ZINC000624200744 371392692 /nfs/dbraw/zinc/39/26/92/371392692.db2.gz RWLYGKNHDUNZTM-FMSGJZPZSA-N 0 3 231.343 2.563 20 0 BFADHN C[C@H](NC[C@]1(C)C[C@H]2C[C@H]2C1)c1ccn(C)n1 ZINC000624201138 371392952 /nfs/dbraw/zinc/39/29/52/371392952.db2.gz ZLHIVSOPBDHHBG-FMSGJZPZSA-N 0 3 233.359 2.507 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H](O)C1)c1csc(Cl)c1 ZINC000624216579 371403722 /nfs/dbraw/zinc/40/37/22/371403722.db2.gz KFWOVOOWNRKWIP-OYNCUSHFSA-N 0 3 245.775 2.823 20 0 BFADHN CC[C@H](COC)NCc1ccc(C)cc1F ZINC000631076651 371370812 /nfs/dbraw/zinc/37/08/12/371370812.db2.gz OCOFSYDOJBNQPS-GFCCVEGCSA-N 0 3 225.307 2.649 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccccc1N(C)C ZINC000624197253 371384138 /nfs/dbraw/zinc/38/41/38/371384138.db2.gz HAULRZPEXLPEMO-FJOGCWAESA-N 0 3 218.344 2.979 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2cc(F)ccc2F)C1 ZINC000624297330 371463097 /nfs/dbraw/zinc/46/30/97/371463097.db2.gz WMVNSHJPUYUXGF-LSJOCFKGSA-N 0 3 241.281 2.793 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2ccncc2Cl)C1 ZINC000624300828 371468332 /nfs/dbraw/zinc/46/83/32/371468332.db2.gz SFILIHKBMYGPPX-GUBZILKMSA-N 0 3 240.734 2.563 20 0 BFADHN C[C@@H](NCCN(C)CC(F)F)c1ccsc1 ZINC000624322280 371481792 /nfs/dbraw/zinc/48/17/92/371481792.db2.gz KEOMPQMUNBPKHO-SECBINFHSA-N 0 3 248.342 2.596 20 0 BFADHN CS[C@@H]1CCC[C@H](NCc2nccs2)C1 ZINC000227375797 371848742 /nfs/dbraw/zinc/84/87/42/371848742.db2.gz OUIJDHKAKZWTGZ-VHSXEESVSA-N 0 3 242.413 2.907 20 0 BFADHN C[C@@H](Cc1ccc(F)cc1)NCc1ccccn1 ZINC000057993538 371944134 /nfs/dbraw/zinc/94/41/34/371944134.db2.gz XJCHCBZOCVACPV-LBPRGKRZSA-N 0 3 244.313 2.942 20 0 BFADHN CCOC[C@H](C)NCc1ccc2cc[nH]c2c1 ZINC000229645114 372175980 /nfs/dbraw/zinc/17/59/80/372175980.db2.gz ANUNJESNOAOWPT-NSHDSACASA-N 0 3 232.327 2.683 20 0 BFADHN Cc1ccc(CN[C@@H](C)CCCCO)c(F)c1 ZINC000631148112 372208370 /nfs/dbraw/zinc/20/83/70/372208370.db2.gz SFXXLMXXHBCBCW-LBPRGKRZSA-N 0 3 239.334 2.775 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1cc(C)no1 ZINC000631147646 372208724 /nfs/dbraw/zinc/20/87/24/372208724.db2.gz NNVYKFXNKINTIF-NWDGAFQWSA-N 0 3 222.332 2.899 20 0 BFADHN COc1cncc(CNC2CC(C)(C)C2)c1C ZINC000631179207 372219620 /nfs/dbraw/zinc/21/96/20/372219620.db2.gz RJEQYHPGGKLWHC-UHFFFAOYSA-N 0 3 234.343 2.677 20 0 BFADHN c1c(CN[C@@H]2CCCC23CC3)nn2c1CCCC2 ZINC000631194213 372225909 /nfs/dbraw/zinc/22/59/09/372225909.db2.gz WBXMCEOJASXHSU-CQSZACIVSA-N 0 3 245.370 2.642 20 0 BFADHN CCC(C)(C)CCNCc1conc1C ZINC000631206895 372237387 /nfs/dbraw/zinc/23/73/87/372237387.db2.gz PUWTVJAPPADZLE-UHFFFAOYSA-N 0 3 210.321 2.899 20 0 BFADHN CCC(C)(C)CCNCc1nc(C)c(C)[nH]1 ZINC000631217880 372240230 /nfs/dbraw/zinc/24/02/30/372240230.db2.gz GVWICJQTSHKQEL-UHFFFAOYSA-N 0 3 223.364 2.942 20 0 BFADHN CO[C@@H](C)[C@@H](C)NCc1ccc(C(F)F)nc1 ZINC000631140886 372206686 /nfs/dbraw/zinc/20/66/86/372206686.db2.gz RCQWABGHFLTQTP-BDAKNGLRSA-N 0 3 244.285 2.532 20 0 BFADHN Cc1nocc1CN[C@H](C)[C@@H]1C[C@H]1C1CC1 ZINC000631222281 372245247 /nfs/dbraw/zinc/24/52/47/372245247.db2.gz VENXSVIHUAKEGP-ICCXJUOJSA-N 0 3 220.316 2.507 20 0 BFADHN C[C@@H]1C[C@H](NC/C=C\c2ccc(F)cc2)[C@@H](C)O1 ZINC000631223023 372246166 /nfs/dbraw/zinc/24/61/66/372246166.db2.gz RRMIMCVJDKCMMC-QTZOFACPSA-N 0 3 249.329 2.994 20 0 BFADHN CC(C)n1ccnc1CN[C@]12C[C@H]1CCCC2 ZINC000631224532 372246712 /nfs/dbraw/zinc/24/67/12/372246712.db2.gz ABQCDUZCTAUGHH-TZMCWYRMSA-N 0 3 233.359 2.886 20 0 BFADHN COCc1ccc(CN[C@]23C[C@H]2CCCC3)o1 ZINC000631224867 372247637 /nfs/dbraw/zinc/24/76/37/372247637.db2.gz FGUIHGQOTKQEKY-BXUZGUMPSA-N 0 3 235.327 2.848 20 0 BFADHN c1ccc(CN[C@]23C[C@H]2CCCC3)nc1 ZINC000631228579 372250495 /nfs/dbraw/zinc/25/04/95/372250495.db2.gz ZTWAMBSLKYSEBI-DGCLKSJQSA-N 0 3 202.301 2.504 20 0 BFADHN FC(F)(F)[C@@H]1C[C@H]1NCc1ccccc1 ZINC000631261840 372267031 /nfs/dbraw/zinc/26/70/31/372267031.db2.gz CSSHALBUNPGNHD-NXEZZACHSA-N 0 3 215.218 2.727 20 0 BFADHN FC1(CNCc2cccc3[nH]ccc32)CC1 ZINC000631305851 372286890 /nfs/dbraw/zinc/28/68/90/372286890.db2.gz FHCCVVBKHTUZEV-UHFFFAOYSA-N 0 3 218.275 2.760 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@@H]2CCCc3ncccc32)O1 ZINC000631635600 372474075 /nfs/dbraw/zinc/47/40/75/372474075.db2.gz NVZVPEJURUXHEK-LALPHHSUSA-N 0 3 246.354 2.616 20 0 BFADHN CCC[C@@H](NC1CC1)c1cc(C)ccn1 ZINC000631560983 372424599 /nfs/dbraw/zinc/42/45/99/372424599.db2.gz AGUWQIRJJFZPGR-GFCCVEGCSA-N 0 3 204.317 2.983 20 0 BFADHN CC[C@@H](NC1CC1)c1cccc(OC)c1OC ZINC000631562225 372426002 /nfs/dbraw/zinc/42/60/02/372426002.db2.gz LWBLVEPLCTWMGE-GFCCVEGCSA-N 0 3 235.327 2.907 20 0 BFADHN CCC[C@H](NC[C@@H](C)N(C)C)c1cc(C)ccn1 ZINC000631578320 372439294 /nfs/dbraw/zinc/43/92/94/372439294.db2.gz RCBPJSMDSLQLDI-KGLIPLIRSA-N 0 3 249.402 2.771 20 0 BFADHN CCC[C@@H](NCC[C@H](C)O)c1cc(C)ccn1 ZINC000631582572 372440540 /nfs/dbraw/zinc/44/05/40/372440540.db2.gz MCANPLRQZAGOTJ-QWHCGFSZSA-N 0 3 236.359 2.592 20 0 BFADHN c1cnc2c(c1)[C@H](N[C@@H]1CCSC1)CCC2 ZINC000631596018 372448581 /nfs/dbraw/zinc/44/85/81/372448581.db2.gz DGGGTMXRGKZGDV-ZWNOBZJWSA-N 0 3 234.368 2.554 20 0 BFADHN CCC[C@@H](NCCN1CCC1)c1cc(C)ccn1 ZINC000631600376 372451519 /nfs/dbraw/zinc/45/15/19/372451519.db2.gz QZUCPIFHCVXIRA-CQSZACIVSA-N 0 3 247.386 2.527 20 0 BFADHN C/C=C/CN[C@@H]1CCCc2ncccc21 ZINC000631651210 372482124 /nfs/dbraw/zinc/48/21/24/372482124.db2.gz SQHXZQPTCHRJBX-QAVQXKDTSA-N 0 3 202.301 2.625 20 0 BFADHN CC1(C)C[C@@H]1N[C@@H]1CCCc2ncccc21 ZINC000631604580 372455648 /nfs/dbraw/zinc/45/56/48/372455648.db2.gz KEMKOJWNBJTQCY-OLZOCXBDSA-N 0 3 216.328 2.847 20 0 BFADHN CC1(C)SC[C@@H]1N[C@H]1CCCc2ncccc21 ZINC000631624876 372467386 /nfs/dbraw/zinc/46/73/86/372467386.db2.gz DCHOURGNVQKUCM-STQMWFEESA-N 0 3 248.395 2.943 20 0 BFADHN CC1(C)SC[C@H]1N[C@@H]1CCCc2ncccc21 ZINC000631624873 372467603 /nfs/dbraw/zinc/46/76/03/372467603.db2.gz DCHOURGNVQKUCM-CHWSQXEVSA-N 0 3 248.395 2.943 20 0 BFADHN CCOC[C@@H](C)N[C@@H]1CCO[C@H]1c1ccccc1 ZINC000631653525 372483132 /nfs/dbraw/zinc/48/31/32/372483132.db2.gz LDAGHYGSOOZCLJ-YUELXQCFSA-N 0 3 249.354 2.531 20 0 BFADHN Cc1ccc(CN[C@H](C)[C@@]2(C)CCCO2)o1 ZINC000631729949 372535373 /nfs/dbraw/zinc/53/53/73/372535373.db2.gz LPQFAHGTTCHBGQ-DGCLKSJQSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2CCCC23CC3)nn1C ZINC000631662193 372488483 /nfs/dbraw/zinc/48/84/83/372488483.db2.gz DQQKIAWYMMWWFP-DGCLKSJQSA-N 0 3 233.359 2.712 20 0 BFADHN CCC[C@@H](N[C@H]1C[C@@H](CO)C1)c1cc(C)ccn1 ZINC000631672143 372498854 /nfs/dbraw/zinc/49/88/54/372498854.db2.gz KJZBFDQKYNDGJN-HZSPNIEDSA-N 0 3 248.370 2.592 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1cccc(F)c1F ZINC000631725358 372523793 /nfs/dbraw/zinc/52/37/93/372523793.db2.gz CTFSSFZKHGMOFO-SECBINFHSA-N 0 3 243.297 2.868 20 0 BFADHN CC[C@@H]1CN([C@H]2C=CCCC2)C[C@H](C)O1 ZINC000171964183 372840097 /nfs/dbraw/zinc/84/00/97/372840097.db2.gz RTZRHYGOINVGIJ-RWMBFGLXSA-N 0 3 209.333 2.594 20 0 BFADHN c1sc([C@H]2CCCCN2)nc1C1CC1 ZINC000068906437 373087151 /nfs/dbraw/zinc/08/71/51/373087151.db2.gz WQDQAUQTKIHOPX-SECBINFHSA-N 0 3 208.330 2.835 20 0 BFADHN CCCc1ncc(CNC[C@H](C)CC)o1 ZINC000449614639 205494386 /nfs/dbraw/zinc/49/43/86/205494386.db2.gz WHYORDDAWMHUHI-SNVBAGLBSA-N 0 3 210.321 2.763 20 0 BFADHN CCCC[C@H](CC)CNC(=O)[C@H](N)CC(C)C ZINC000040806300 373751927 /nfs/dbraw/zinc/75/19/27/373751927.db2.gz CIFFGCTVOFCSJI-QWHCGFSZSA-N 0 3 242.407 2.692 20 0 BFADHN CC(C)(C)OC1CC(NCc2cccnc2)C1 ZINC000272337636 205764781 /nfs/dbraw/zinc/76/47/81/205764781.db2.gz XYCSRBLTEGBGQH-UHFFFAOYSA-N 0 3 234.343 2.517 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C(C)(C)C2)n(C)n1 ZINC000417980272 205970368 /nfs/dbraw/zinc/97/03/68/205970368.db2.gz BBEBIUXBSUCLEK-NSHDSACASA-N 0 3 235.375 2.597 20 0 BFADHN CCN(Cc1ccc(OC)cc1)[C@H](C)COC ZINC000193981224 206001823 /nfs/dbraw/zinc/00/18/23/206001823.db2.gz MXQJPHPMOJUAHW-GFCCVEGCSA-N 0 3 237.343 2.552 20 0 BFADHN C[C@H](N[C@@H]1CCOC1)c1ccc(Cl)s1 ZINC000070966246 374236825 /nfs/dbraw/zinc/23/68/25/374236825.db2.gz HQBFZJKOTMQKQT-JGVFFNPUSA-N 0 3 231.748 2.841 20 0 BFADHN COc1cc(CN2CCCCC2)ccc1C ZINC000194087097 206002216 /nfs/dbraw/zinc/00/22/16/206002216.db2.gz GEJKCGIEUUNVLD-UHFFFAOYSA-N 0 3 219.328 2.990 20 0 BFADHN Cc1cc(OC[C@H]2CCO[C@H]2C)c(C)c(C)n1 ZINC000634550179 374304224 /nfs/dbraw/zinc/30/42/24/374304224.db2.gz RHNOUORXPUHDJE-QWHCGFSZSA-N 0 3 235.327 2.811 20 0 BFADHN C[C@H](NCC1CCCCC1)c1ccn(C)n1 ZINC000459739173 206013127 /nfs/dbraw/zinc/01/31/27/206013127.db2.gz DETVYGZTWRQKAW-NSHDSACASA-N 0 3 221.348 2.651 20 0 BFADHN CC(C)(C)c1n[nH]cc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000424200751 206034129 /nfs/dbraw/zinc/03/41/29/206034129.db2.gz RGPSZAKWQGQPQS-QWHCGFSZSA-N 0 3 247.386 2.985 20 0 BFADHN COCC(C)(C)CN(C)CCC(F)(F)F ZINC000426418071 206047633 /nfs/dbraw/zinc/04/76/33/206047633.db2.gz VNXJIIVQQGZGEK-UHFFFAOYSA-N 0 3 227.270 2.543 20 0 BFADHN Cc1cnc([C@H](C)N(C)CC(C)(C)C)cn1 ZINC000428227702 206055785 /nfs/dbraw/zinc/05/57/85/206055785.db2.gz JPEWWDOJXKEYSO-NSHDSACASA-N 0 3 221.348 2.824 20 0 BFADHN CN(Cc1cc(O)cc(F)c1)[C@H]1CC1(C)C ZINC000426558493 206053016 /nfs/dbraw/zinc/05/30/16/206053016.db2.gz HDSQBWMWGOYBSO-LBPRGKRZSA-N 0 3 223.291 2.762 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@@H](C)[C@@H]2C)cn1 ZINC000428246957 206059264 /nfs/dbraw/zinc/05/92/64/206059264.db2.gz MIVIWQWDTFDQDN-USWWRNFRSA-N 0 3 219.332 2.576 20 0 BFADHN C(=C\c1ccncc1)\CN1CC[C@@H]1C1CC1 ZINC000449425575 206097317 /nfs/dbraw/zinc/09/73/17/206097317.db2.gz HWLATKUTAWJWRB-DDGIKZQJSA-N 0 3 214.312 2.579 20 0 BFADHN CCOCCCN1CCC=C(c2cccnc2)C1 ZINC000451026191 206106900 /nfs/dbraw/zinc/10/69/00/206106900.db2.gz ROWGCWKKLYMQHD-UHFFFAOYSA-N 0 3 246.354 2.597 20 0 BFADHN CSc1ccccc1[C@H](C)N[C@@H](C)CCO ZINC000194896158 206113326 /nfs/dbraw/zinc/11/33/26/206113326.db2.gz CUMIRGYXYXSTCF-QWRGUYRKSA-N 0 3 239.384 2.830 20 0 BFADHN c1nc(C2CC2)ncc1CNCC1=CCCCC1 ZINC000459578644 206135398 /nfs/dbraw/zinc/13/53/98/206135398.db2.gz YIBBGYGUTUDYNY-UHFFFAOYSA-N 0 3 243.354 2.944 20 0 BFADHN CCCCOCCN[C@@H](C)c1ccncc1 ZINC000040506220 168902464 /nfs/dbraw/zinc/90/24/64/168902464.db2.gz QUFVTHHNQJSKQU-LBPRGKRZSA-N 0 3 222.332 2.549 20 0 BFADHN CCCC[C@@H](C)N[C@H](CCO)c1ccco1 ZINC000186531183 168951239 /nfs/dbraw/zinc/95/12/39/168951239.db2.gz PWCFHMHLBSJHRA-VXGBXAGGSA-N 0 3 225.332 2.871 20 0 BFADHN OC1CCC(NCc2cc3ccccc3o2)CC1 ZINC000020089522 183027849 /nfs/dbraw/zinc/02/78/49/183027849.db2.gz UUPYIRYQHZGAHA-UHFFFAOYSA-N 0 3 245.322 2.826 20 0 BFADHN OC1(CNCc2ccccc2F)CCCCC1 ZINC000037880451 183019896 /nfs/dbraw/zinc/01/98/96/183019896.db2.gz XXFJABZUUPWHMT-UHFFFAOYSA-N 0 3 237.318 2.611 20 0 BFADHN OCCCCN(Cc1ccc(F)cc1)C1CC1 ZINC000264022293 183049269 /nfs/dbraw/zinc/04/92/69/183049269.db2.gz WYVHETMPUMJHEX-UHFFFAOYSA-N 0 3 237.318 2.563 20 0 BFADHN OCC1CCN(Cc2cc3ccccc3o2)CC1 ZINC000268944613 183040501 /nfs/dbraw/zinc/04/05/01/183040501.db2.gz CLDZMGXOYWAVNM-UHFFFAOYSA-N 0 3 245.322 2.637 20 0 BFADHN OCCC1(NCc2ccccc2F)CCCC1 ZINC000285535177 183042472 /nfs/dbraw/zinc/04/24/72/183042472.db2.gz PKHOFKLQYJPIDZ-UHFFFAOYSA-N 0 3 237.318 2.611 20 0 BFADHN OCC1(NCc2ccc(Cl)c(Cl)c2)CC1 ZINC000120352702 183038286 /nfs/dbraw/zinc/03/82/86/183038286.db2.gz DMECFPSIIPIIIL-UHFFFAOYSA-N 0 3 246.137 2.608 20 0 BFADHN OCCCN1CCCC[C@@H]1c1ccc(F)cc1 ZINC000267725460 183055491 /nfs/dbraw/zinc/05/54/91/183055491.db2.gz ZJUIPSVSRUDMCA-CQSZACIVSA-N 0 3 237.318 2.735 20 0 BFADHN OCCN(Cc1ccc(C(F)F)cc1)C1CC1 ZINC000183925705 183067674 /nfs/dbraw/zinc/06/76/74/183067674.db2.gz YFHKDEFUEZAJBQ-UHFFFAOYSA-N 0 3 241.281 2.581 20 0 BFADHN OCCN(Cc1ccc(Cl)o1)C1CCCC1 ZINC000127902007 183067875 /nfs/dbraw/zinc/06/78/75/183067875.db2.gz LHWNVYLIULKEQP-UHFFFAOYSA-N 0 3 243.734 2.670 20 0 BFADHN OCC[C@H](N[C@H]1C=CCCC1)c1ccccc1 ZINC000271743844 183097369 /nfs/dbraw/zinc/09/73/69/183097369.db2.gz JCHRBVADVQZOBP-GJZGRUSLSA-N 0 3 231.339 2.808 20 0 BFADHN OCC[C@H](NCCC1CCCC1)c1ccco1 ZINC000185091070 183096704 /nfs/dbraw/zinc/09/67/04/183096704.db2.gz IWFWGFMBHDEHLA-ZDUSSCGKSA-N 0 3 237.343 2.873 20 0 BFADHN OCC[C@@H](N[C@H]1C=CCCC1)c1ccccc1 ZINC000271743849 183090587 /nfs/dbraw/zinc/09/05/87/183090587.db2.gz JCHRBVADVQZOBP-LSDHHAIUSA-N 0 3 231.339 2.808 20 0 BFADHN CCCC[C@H](COC)NCc1ccoc1C ZINC000310040934 169004942 /nfs/dbraw/zinc/00/49/42/169004942.db2.gz XZJLGPRQBBZOLA-CYBMUJFWSA-N 0 3 225.332 2.883 20 0 BFADHN CCCC[C@H](N)C(=O)N(C)CC1CCCCC1 ZINC000037818889 169005911 /nfs/dbraw/zinc/00/59/11/169005911.db2.gz KSAOMYKHODYRIE-ZDUSSCGKSA-N 0 3 240.391 2.543 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1ccc(C)cc1C ZINC000037619238 169007006 /nfs/dbraw/zinc/00/70/06/169007006.db2.gz VEOQXYPUNPHHPM-LBPRGKRZSA-N 0 3 234.343 2.759 20 0 BFADHN CCCC[C@H](N)C(=O)Nc1ccc(CC)cc1 ZINC000037619262 169007198 /nfs/dbraw/zinc/00/71/98/169007198.db2.gz UWXIYNXVLDTBSZ-ZDUSSCGKSA-N 0 3 234.343 2.705 20 0 BFADHN CCCC[C@H](N)C(=O)N[C@H](C)CCCC(C)C ZINC000040858719 169007651 /nfs/dbraw/zinc/00/76/51/169007651.db2.gz RUHGKMSRZYQSCZ-OLZOCXBDSA-N 0 3 242.407 2.835 20 0 BFADHN OC[C@@H](CC1CCC1)N[C@@H]1CCCc2occc21 ZINC000281176044 183104125 /nfs/dbraw/zinc/10/41/25/183104125.db2.gz QHQHRKKZZYZFOZ-TZMCWYRMSA-N 0 3 249.354 2.798 20 0 BFADHN OC[C@@H](CNCc1sccc1Cl)C1CC1 ZINC000308855931 183106583 /nfs/dbraw/zinc/10/65/83/183106583.db2.gz OLHHZQGYOKJIAQ-SECBINFHSA-N 0 3 245.775 2.510 20 0 BFADHN OC[C@@H](N[C@H]1CCC12CCC2)c1cccc(F)c1 ZINC000337464090 183109459 /nfs/dbraw/zinc/10/94/59/183109459.db2.gz LHVUYKUHLDBTJK-KGLIPLIRSA-N 0 3 249.329 2.781 20 0 BFADHN OC[C@H](CC1CCC1)N[C@@H]1CCCc2occc21 ZINC000281176033 183135637 /nfs/dbraw/zinc/13/56/37/183135637.db2.gz QHQHRKKZZYZFOZ-GXTWGEPZSA-N 0 3 249.354 2.798 20 0 BFADHN CCCCc1ccc(N(C)C(=O)[C@@H](N)CC)cc1 ZINC000121732791 169021029 /nfs/dbraw/zinc/02/10/29/169021029.db2.gz LLVWBKOZMVXUDO-AWEZNQCLSA-N 0 3 248.370 2.729 20 0 BFADHN OC[C@H](NCCCCCF)c1ccsc1 ZINC000308913724 183139644 /nfs/dbraw/zinc/13/96/44/183139644.db2.gz GKSJZSLILSCPMX-NSHDSACASA-N 0 3 231.336 2.511 20 0 BFADHN OC[C@H]1CCCN1Cc1cccc(C(F)F)c1 ZINC000279546305 183151047 /nfs/dbraw/zinc/15/10/47/183151047.db2.gz WCPLMYQGLFSDGX-GFCCVEGCSA-N 0 3 241.281 2.581 20 0 BFADHN OCc1ccc(CN2CCC3(CCCC3)CC2)o1 ZINC000093085366 183163559 /nfs/dbraw/zinc/16/35/59/183163559.db2.gz CPEDJWLCSPYIRO-UHFFFAOYSA-N 0 3 249.354 2.928 20 0 BFADHN O[C@@H](CN1CC[C@H](C2CC2)C1)c1ccccc1F ZINC000363491027 183177046 /nfs/dbraw/zinc/17/70/46/183177046.db2.gz RMDCOMYFTMAVLZ-WFASDCNBSA-N 0 3 249.329 2.591 20 0 BFADHN O[C@@H]1CCN(Cc2ccc(-c3ccccc3)o2)C1 ZINC000271054120 183197884 /nfs/dbraw/zinc/19/78/84/183197884.db2.gz YJLNXBVQLQWZPV-CYBMUJFWSA-N 0 3 243.306 2.513 20 0 BFADHN O[C@@H]1CCN(Cc2ccccc2F)C12CCCC2 ZINC000292883207 183199817 /nfs/dbraw/zinc/19/98/17/183199817.db2.gz YCCCBJQLPMRZOS-CQSZACIVSA-N 0 3 249.329 2.705 20 0 BFADHN O[C@H]1CC[C@H](NCc2ccsc2Cl)CC1 ZINC000335621081 183202039 /nfs/dbraw/zinc/20/20/39/183202039.db2.gz XFJUFSYMQYFYQI-MGCOHNPYSA-N 0 3 245.775 2.795 20 0 BFADHN CCCN(C)CC(=O)N[C@@H](C)c1ccc(C)cc1 ZINC000359351053 169072903 /nfs/dbraw/zinc/07/29/03/169072903.db2.gz XYIRKDFOTFXGDV-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN CCCN(C)CCC(=O)c1ccccc1 ZINC000053931598 169075875 /nfs/dbraw/zinc/07/58/75/169075875.db2.gz WDDRRULESPJERD-UHFFFAOYSA-N 0 3 205.301 2.601 20 0 BFADHN O[C@@H]1CCCCC[C@H]1N[C@H]1CCCc2occc21 ZINC000252852505 183188048 /nfs/dbraw/zinc/18/80/48/183188048.db2.gz GEBVYEABSDIHTB-BFHYXJOUSA-N 0 3 249.354 2.940 20 0 BFADHN CCCN(C)C1(C(=O)OCC)CCCCC1 ZINC000357957541 169065528 /nfs/dbraw/zinc/06/55/28/169065528.db2.gz IOKHNHPPEBGGPE-UHFFFAOYSA-N 0 3 227.348 2.594 20 0 BFADHN CCCN(C)C[C@H](O)c1ccc(F)cc1Cl ZINC000186880924 169078692 /nfs/dbraw/zinc/07/86/92/169078692.db2.gz ZAXHYXLDHDVIAN-LBPRGKRZSA-N 0 3 245.725 2.854 20 0 BFADHN O[C@H](CN1CCCCC1)c1ccc(Cl)cc1 ZINC000019784942 183220283 /nfs/dbraw/zinc/22/02/83/183220283.db2.gz IJHFWDPNDNGBJP-CYBMUJFWSA-N 0 3 239.746 2.859 20 0 BFADHN O[C@H](CN1CCC2(CC2)CC1)c1ccc(F)cc1 ZINC000368424951 183220446 /nfs/dbraw/zinc/22/04/46/183220446.db2.gz ONBCNBQIWSQQTN-CQSZACIVSA-N 0 3 249.329 2.735 20 0 BFADHN O[C@H](CN1CC2(C1)CCCCC2)c1ccccc1 ZINC000228517425 183220490 /nfs/dbraw/zinc/22/04/90/183220490.db2.gz FKODPDJJPPARTH-OAHLLOKOSA-N 0 3 245.366 2.986 20 0 BFADHN O[C@H](CN1CCCCC1)c1cc2ccccc2o1 ZINC000044119600 183220671 /nfs/dbraw/zinc/22/06/71/183220671.db2.gz NHQXMBBKOWOYOT-CYBMUJFWSA-N 0 3 245.322 2.952 20 0 BFADHN CCCN(C)Cc1cnc2ccc(Cl)cn12 ZINC000271029751 169081970 /nfs/dbraw/zinc/08/19/70/169081970.db2.gz GAOFYFWVSUGXCY-UHFFFAOYSA-N 0 3 237.734 2.830 20 0 BFADHN O[C@H](CNCc1cccc(F)c1)c1ccccc1 ZINC000035111637 183224386 /nfs/dbraw/zinc/22/43/86/183224386.db2.gz MDQXSTYQGZEIBZ-OAHLLOKOSA-N 0 3 245.297 2.649 20 0 BFADHN O[C@H](CNCc1ccsc1)c1ccsc1 ZINC000164291785 183224602 /nfs/dbraw/zinc/22/46/02/183224602.db2.gz DULXRQLKYORYRV-LLVKDONJSA-N 0 3 239.365 2.633 20 0 BFADHN O[C@H]1CCN(Cc2ccc(C3CCC3)cc2)C1 ZINC000278590799 183240598 /nfs/dbraw/zinc/24/05/98/183240598.db2.gz ZIFBTFJMGCCPNW-HNNXBMFYSA-N 0 3 231.339 2.521 20 0 BFADHN CCCN(CC)C(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000338032378 169114683 /nfs/dbraw/zinc/11/46/83/169114683.db2.gz XWRDLBNIKKAGQJ-FRRDWIJNSA-N 0 3 240.391 2.506 20 0 BFADHN CCCN(CC)C(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000338032382 169116628 /nfs/dbraw/zinc/11/66/28/169116628.db2.gz XWRDLBNIKKAGQJ-XQQFMLRXSA-N 0 3 240.391 2.506 20 0 BFADHN CCCN(CC)C(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000338032376 169116744 /nfs/dbraw/zinc/11/67/44/169116744.db2.gz XWRDLBNIKKAGQJ-AVGNSLFASA-N 0 3 240.391 2.506 20 0 BFADHN [O-]c1ccc(C[NH2+]C2CCCC2)cc1F ZINC000083345790 183268429 /nfs/dbraw/zinc/26/84/29/183268429.db2.gz FYNVKCNHFXBLGA-UHFFFAOYSA-N 0 3 209.264 2.564 20 0 BFADHN Oc1ccc(CCNCc2ccccc2F)cc1 ZINC000040735545 183269817 /nfs/dbraw/zinc/26/98/17/183269817.db2.gz BOBROXDMQXMBPH-UHFFFAOYSA-N 0 3 245.297 2.864 20 0 BFADHN CCCN(CC)Cc1ccc(OC)cc1OC ZINC000337493184 169129604 /nfs/dbraw/zinc/12/96/04/169129604.db2.gz BOPLJFKNUUIVLU-UHFFFAOYSA-N 0 3 237.343 2.936 20 0 BFADHN CCCN(CC)Cc1ccc(N2CCCC2)nc1 ZINC000269358147 169129808 /nfs/dbraw/zinc/12/98/08/169129808.db2.gz NMLWOPURDQWEIX-UHFFFAOYSA-N 0 3 247.386 2.914 20 0 BFADHN CCCN(CC)Cc1c(C)onc1CC ZINC000268105346 169130173 /nfs/dbraw/zinc/13/01/73/169130173.db2.gz BGPUTXFDGSMPEV-UHFFFAOYSA-N 0 3 210.321 2.777 20 0 BFADHN CCCN(CC)Cc1cnn2ccccc12 ZINC000269373486 169130384 /nfs/dbraw/zinc/13/03/84/169130384.db2.gz UWADLTNSNNLEKB-UHFFFAOYSA-N 0 3 217.316 2.566 20 0 BFADHN CCCN(CC)Cc1cc(C(=O)OC)c(C)o1 ZINC000299373704 169130588 /nfs/dbraw/zinc/13/05/88/169130588.db2.gz LPRZYYBBYVJWSR-UHFFFAOYSA-N 0 3 239.315 2.607 20 0 BFADHN CCCN(CC)[C@H]1CCN(c2ccccc2)C1=O ZINC000336727317 169132537 /nfs/dbraw/zinc/13/25/37/169132537.db2.gz LYYWPOQAMRXPKI-AWEZNQCLSA-N 0 3 246.354 2.524 20 0 BFADHN CCCN(CC1CCC1)[C@H](CC)C(=O)OCC ZINC000361989658 169137613 /nfs/dbraw/zinc/13/76/13/169137613.db2.gz YQWXNJPDHVCHAQ-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN CCCN(CCC)C(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000120144119 169142037 /nfs/dbraw/zinc/14/20/37/169142037.db2.gz GRMJNJBXSYSQDY-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+]C2CCSCC2)c1 ZINC000159625483 183258613 /nfs/dbraw/zinc/25/86/13/183258613.db2.gz PMJBOJJPRAVHSL-UHFFFAOYSA-N 0 3 241.331 2.517 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CC[C@@H](F)C2)c1 ZINC000335617436 183259998 /nfs/dbraw/zinc/25/99/98/183259998.db2.gz JONKBGZGHFMDQL-KOLCDFICSA-N 0 3 227.254 2.512 20 0 BFADHN CCCN(CCC)CC(=O)Nc1ccccc1 ZINC000066216296 169147299 /nfs/dbraw/zinc/14/72/99/169147299.db2.gz QEECKNDSWDMNAX-UHFFFAOYSA-N 0 3 234.343 2.747 20 0 BFADHN CCCN(C[C@H](O)c1ccccc1F)C1CC1 ZINC000221539279 169173724 /nfs/dbraw/zinc/17/37/24/169173724.db2.gz VYZJHOSVJFHKOC-AWEZNQCLSA-N 0 3 237.318 2.734 20 0 BFADHN CCCN(Cc1ccc(COC)o1)C1CC1 ZINC000271028946 169175951 /nfs/dbraw/zinc/17/59/51/169175951.db2.gz BLGXRXDRFYKESN-UHFFFAOYSA-N 0 3 223.316 2.800 20 0 BFADHN Oc1cccc(CN2CCC[C@@H]2[C@H]2CCCO2)c1 ZINC000284961420 183289342 /nfs/dbraw/zinc/28/93/42/183289342.db2.gz HZTBTNKBJSIRNT-HUUCEWRRSA-N 0 3 247.338 2.536 20 0 BFADHN Oc1cccc(CN2CCC[C@@H]2[C@@H]2CCCO2)c1 ZINC000284961408 183289646 /nfs/dbraw/zinc/28/96/46/183289646.db2.gz HZTBTNKBJSIRNT-CABCVRRESA-N 0 3 247.338 2.536 20 0 BFADHN Oc1cccc(CN[C@H]2CC23CCCC3)c1 ZINC000336339988 183290721 /nfs/dbraw/zinc/29/07/21/183290721.db2.gz DZXUDDGMVHMQMF-ZDUSSCGKSA-N 0 3 217.312 2.815 20 0 BFADHN Oc1cccc2c1CCC[C@@H]2NC1CC1 ZINC000257920108 183293820 /nfs/dbraw/zinc/29/38/20/183293820.db2.gz YAUWBNSYRMOGEI-LBPRGKRZSA-N 0 3 203.285 2.522 20 0 BFADHN CCCN(CCO)Cc1coc2ccccc12 ZINC000305723588 169166569 /nfs/dbraw/zinc/16/65/69/169166569.db2.gz FATJZNNKQLIJRT-UHFFFAOYSA-N 0 3 233.311 2.637 20 0 BFADHN CCCN(CCO)Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000271021901 169167315 /nfs/dbraw/zinc/16/73/15/169167315.db2.gz CHLKZYRWXCQMLU-DGCLKSJQSA-N 0 3 237.343 2.607 20 0 BFADHN CCCN(CCO)Cc1ccc(SC)s1 ZINC000356103613 169167977 /nfs/dbraw/zinc/16/79/77/169167977.db2.gz TVHHVDLWSBXUES-UHFFFAOYSA-N 0 3 245.413 2.674 20 0 BFADHN CCCN(CCO)Cc1ccc(Cl)s1 ZINC000049826379 169168008 /nfs/dbraw/zinc/16/80/08/169168008.db2.gz YEDAWFFMAKBZSZ-UHFFFAOYSA-N 0 3 233.764 2.606 20 0 BFADHN CCCN(Cc1ccncc1)C[C@H]1CCCCO1 ZINC000120859021 169179883 /nfs/dbraw/zinc/17/98/83/169179883.db2.gz YCCSCQUSSLKQMK-OAHLLOKOSA-N 0 3 248.370 2.863 20 0 BFADHN CCC[N@@H+](Cc1nc(C)ccc1[O-])CC(C)C ZINC000310113876 169181845 /nfs/dbraw/zinc/18/18/45/169181845.db2.gz SETIAZFGZXXBDF-UHFFFAOYSA-N 0 3 236.359 2.964 20 0 BFADHN CCC[N@H+](Cc1nc(C)ccc1[O-])CC(C)C ZINC000310113876 169181846 /nfs/dbraw/zinc/18/18/46/169181846.db2.gz SETIAZFGZXXBDF-UHFFFAOYSA-N 0 3 236.359 2.964 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCC1CCCCC1 ZINC000370955302 183320842 /nfs/dbraw/zinc/32/08/42/183320842.db2.gz YONPAFOXTIYEOF-ZDUSSCGKSA-N 0 3 233.359 2.957 20 0 BFADHN CCCN1CCC(Oc2cccc(C)n2)CC1 ZINC000360792583 169191535 /nfs/dbraw/zinc/19/15/35/169191535.db2.gz LLSPIHCRMNCJDZ-UHFFFAOYSA-N 0 3 234.343 2.643 20 0 BFADHN CCCN1CCCN(c2ccccc2F)CC1 ZINC000353269301 169192883 /nfs/dbraw/zinc/19/28/83/169192883.db2.gz UKPBLONSMAYOFG-UHFFFAOYSA-N 0 3 236.334 2.748 20 0 BFADHN CCCN1CCC[C@@H]1C(=O)Nc1ccccc1C ZINC000257540591 169196665 /nfs/dbraw/zinc/19/66/65/169196665.db2.gz YZARONMSRBQATI-CQSZACIVSA-N 0 3 246.354 2.808 20 0 BFADHN c1cc(CN2CCC23CCCCC3)ccn1 ZINC000365814589 183340421 /nfs/dbraw/zinc/34/04/21/183340421.db2.gz JDPDKERDLFJVSK-UHFFFAOYSA-N 0 3 216.328 2.990 20 0 BFADHN c1cc(CN2CCC[C@@H]3CCCC[C@@H]32)n[nH]1 ZINC000336437052 183342561 /nfs/dbraw/zinc/34/25/61/183342561.db2.gz MIMPSDBFRDHFLN-AAEUAGOBSA-N 0 3 219.332 2.564 20 0 BFADHN c1cc(CN2CCOCC3(CCC3)C2)cs1 ZINC000336448955 183344042 /nfs/dbraw/zinc/34/40/42/183344042.db2.gz XIXNHMSSQVJPIB-UHFFFAOYSA-N 0 3 237.368 2.751 20 0 BFADHN c1cc(CN2CCC[C@]3(CCOC3)C2)cs1 ZINC000336223189 183344176 /nfs/dbraw/zinc/34/41/76/183344176.db2.gz JBWLGAUAHZTDBK-ZDUSSCGKSA-N 0 3 237.368 2.751 20 0 BFADHN c1cc2c(c(CN3C[C@@H]4CCC[C@H]4C3)c1)OCO2 ZINC000093443100 183346221 /nfs/dbraw/zinc/34/62/21/183346221.db2.gz QRZPTYGMIDLNDP-RYUDHWBXSA-N 0 3 245.322 2.647 20 0 BFADHN c1cc2c(cccc2CN2CCSCC2)[nH]1 ZINC000126237296 183346574 /nfs/dbraw/zinc/34/65/74/183346574.db2.gz IQWQLEJFHPFLGL-UHFFFAOYSA-N 0 3 232.352 2.717 20 0 BFADHN c1cc(CN[C@@H]2CCCc3occc32)c[nH]1 ZINC000085578932 183351581 /nfs/dbraw/zinc/35/15/81/183351581.db2.gz SIPLBRGVEIIPID-GFCCVEGCSA-N 0 3 216.284 2.775 20 0 BFADHN c1cc(CN[C@@H]2CCCC23CCCC3)n[nH]1 ZINC000328105987 183351836 /nfs/dbraw/zinc/35/18/36/183351836.db2.gz MOQYNXDDGIUALB-GFCCVEGCSA-N 0 3 219.332 2.612 20 0 BFADHN CCCNC(=O)CCN[C@@H](C)c1cccc(C)c1 ZINC000045072780 169217027 /nfs/dbraw/zinc/21/70/27/169217027.db2.gz TZWAKCJTRWSZKC-ZDUSSCGKSA-N 0 3 248.370 2.562 20 0 BFADHN CCCNC(=O)CCN[C@H](C)c1cccc(C)c1 ZINC000045072781 169217313 /nfs/dbraw/zinc/21/73/13/169217313.db2.gz TZWAKCJTRWSZKC-CYBMUJFWSA-N 0 3 248.370 2.562 20 0 BFADHN c1cc2c(o1)CCCN(C[C@H]1CCCCO1)C2 ZINC000288887732 183371430 /nfs/dbraw/zinc/37/14/30/183371430.db2.gz RORCEUZHWRILDC-CYBMUJFWSA-N 0 3 235.327 2.597 20 0 BFADHN c1cc2c(s1)CCN(CC[C@@H]1CCOC1)C2 ZINC000336563987 183373236 /nfs/dbraw/zinc/37/32/36/183373236.db2.gz BMMGMMGCHXVYBZ-LLVKDONJSA-N 0 3 237.368 2.533 20 0 BFADHN c1cc2c(s1)CCN(CC[C@@H]1CCCO1)C2 ZINC000188650940 183373614 /nfs/dbraw/zinc/37/36/14/183373614.db2.gz HQSSNWYBALORKY-LBPRGKRZSA-N 0 3 237.368 2.675 20 0 BFADHN c1cc2c(s1)CCN(C[C@@H]1CCCOC1)C2 ZINC000336556128 183373619 /nfs/dbraw/zinc/37/36/19/183373619.db2.gz JVHXJUYFYQEOBN-NSHDSACASA-N 0 3 237.368 2.533 20 0 BFADHN c1cc2ccc(CN[C@@H]3CCC34CCC4)nc2[nH]1 ZINC000353640774 183375486 /nfs/dbraw/zinc/37/54/86/183375486.db2.gz HSTMVDSNDSLMLW-CYBMUJFWSA-N 0 3 241.338 2.985 20 0 BFADHN c1cc2c(ccnc2NCCN2CCCCC2)o1 ZINC000230899802 183378369 /nfs/dbraw/zinc/37/83/69/183378369.db2.gz ROLVZUBSRYXRKI-UHFFFAOYSA-N 0 3 245.326 2.726 20 0 BFADHN CCCNC(=O)[C@H](C)N[C@@H](C)c1ccc(C)cc1 ZINC000036959712 169246466 /nfs/dbraw/zinc/24/64/66/169246466.db2.gz SRNCFGPMMKUSBL-STQMWFEESA-N 0 3 248.370 2.560 20 0 BFADHN CCCNC(=O)[C@H](C)N[C@H](C)c1ccc(C)cc1 ZINC000036959714 169247373 /nfs/dbraw/zinc/24/73/73/169247373.db2.gz SRNCFGPMMKUSBL-OLZOCXBDSA-N 0 3 248.370 2.560 20 0 BFADHN c1cc([C@@H]2CCCN2[C@H]2CCOC2)cs1 ZINC000285264939 183362203 /nfs/dbraw/zinc/36/22/03/183362203.db2.gz ZVBGYGIHBUDKFQ-RYUDHWBXSA-N 0 3 223.341 2.674 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1c(C)cccc1C ZINC000261973034 169285342 /nfs/dbraw/zinc/28/53/42/169285342.db2.gz DUWAREIHCSYQGT-GFCCVEGCSA-N 0 3 234.343 2.630 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1ccc(C)c(C)c1 ZINC000294850289 169285409 /nfs/dbraw/zinc/28/54/09/169285409.db2.gz UYSCXNXTTWKSCQ-GFCCVEGCSA-N 0 3 234.343 2.630 20 0 BFADHN CCCN[C@H](C)C(=O)Nc1c(C)cccc1CC ZINC000295222315 169285484 /nfs/dbraw/zinc/28/54/84/169285484.db2.gz RCQAEXPAUTXCCF-GFCCVEGCSA-N 0 3 248.370 2.884 20 0 BFADHN c1c[nH]c(CNCC2(c3ccccc3)CCC2)n1 ZINC000067667535 183387406 /nfs/dbraw/zinc/38/74/06/183387406.db2.gz BKBXJIGIHLLBLZ-UHFFFAOYSA-N 0 3 241.338 2.621 20 0 BFADHN CCCN[C@H](C)c1cc(OC)ccc1OC ZINC000019506077 169287497 /nfs/dbraw/zinc/28/74/97/169287497.db2.gz ORPZUJJJDGNOTM-SNVBAGLBSA-N 0 3 223.316 2.764 20 0 BFADHN CCCN[C@@H](c1cnn(C)c1)c1ccccc1 ZINC000035584141 169290774 /nfs/dbraw/zinc/29/07/74/169290774.db2.gz WTPRKELXXJLRNI-CQSZACIVSA-N 0 3 229.327 2.509 20 0 BFADHN c1nc(CCNC2(c3ccccc3)CC2)cs1 ZINC000295891324 183389739 /nfs/dbraw/zinc/38/97/39/183389739.db2.gz BREHMACNAASUQS-UHFFFAOYSA-N 0 3 244.363 2.965 20 0 BFADHN c1cn2c(n1)[C@H](NCCCc1ccccc1)CC2 ZINC000293906324 183392435 /nfs/dbraw/zinc/39/24/35/183392435.db2.gz HQQCDZFXDDXOKR-CQSZACIVSA-N 0 3 241.338 2.550 20 0 BFADHN CCCNCc1ccc(OC)cc1OC(F)F ZINC000040903890 169267036 /nfs/dbraw/zinc/26/70/36/169267036.db2.gz RNBJRCDAYNBXJW-UHFFFAOYSA-N 0 3 245.269 2.796 20 0 BFADHN c1ccc(CN2CCC23CCCCC3)nc1 ZINC000369326399 183396960 /nfs/dbraw/zinc/39/69/60/183396960.db2.gz HGXVURRQSHVGJC-UHFFFAOYSA-N 0 3 216.328 2.990 20 0 BFADHN CCCN[C@@H](C)C(=O)N(C)c1ccc(C)c(C)c1 ZINC000295553213 169273225 /nfs/dbraw/zinc/27/32/25/169273225.db2.gz YMMVSCWSPFBYAE-ZDUSSCGKSA-N 0 3 248.370 2.654 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1ccccc1CC ZINC000295215043 169274123 /nfs/dbraw/zinc/27/41/23/169274123.db2.gz AXMCPOMUNBYWEP-NSHDSACASA-N 0 3 234.343 2.576 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1ccc(C)cc1C ZINC000262011964 169274339 /nfs/dbraw/zinc/27/43/39/169274339.db2.gz ULQGUAKJONWUPQ-LBPRGKRZSA-N 0 3 234.343 2.630 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1ccc(Cl)cc1 ZINC000261548689 169274512 /nfs/dbraw/zinc/27/45/12/169274512.db2.gz NVWZWDZSMMMBOO-VIFPVBQESA-N 0 3 240.734 2.667 20 0 BFADHN CCCN[C@@H](C)C(=O)Nc1cccc(C)c1C ZINC000295223767 169275440 /nfs/dbraw/zinc/27/54/40/169275440.db2.gz RFAIFHAKDHYESG-LBPRGKRZSA-N 0 3 234.343 2.630 20 0 BFADHN CCCO[C@@H]1CCCN(Cc2ccccn2)CC1 ZINC000373953035 169311503 /nfs/dbraw/zinc/31/15/03/169311503.db2.gz BIYGPQOESNUNDJ-OAHLLOKOSA-N 0 3 248.370 2.863 20 0 BFADHN CCCN[C@H](c1ncc(C)s1)C1CC1 ZINC000045206206 169291133 /nfs/dbraw/zinc/29/11/33/169291133.db2.gz BDIDCAMKKVFHLL-JTQLQIEISA-N 0 3 210.346 2.902 20 0 BFADHN c1ccn2c(c1)nnc2CNC1CCCCCC1 ZINC000020185273 183464639 /nfs/dbraw/zinc/46/46/39/183464639.db2.gz OAQJFSBNKRFXHT-UHFFFAOYSA-N 0 3 244.342 2.542 20 0 BFADHN CCCOc1cccc(CNC[C@@H]2CCCO2)c1 ZINC000020924816 169324752 /nfs/dbraw/zinc/32/47/52/169324752.db2.gz XXYJPQLQTXNOQX-HNNXBMFYSA-N 0 3 249.354 2.744 20 0 BFADHN CCCOc1cccc(CNCCOCC)c1 ZINC000037997032 169325489 /nfs/dbraw/zinc/32/54/89/169325489.db2.gz JDRZVQVZRWGVJE-UHFFFAOYSA-N 0 3 237.343 2.602 20 0 BFADHN CCCOc1ncccc1CN[C@@H](C)CC ZINC000154717502 169328757 /nfs/dbraw/zinc/32/87/57/169328757.db2.gz ZEKHAJADCWFHKT-NSHDSACASA-N 0 3 222.332 2.759 20 0 BFADHN CCC[C@@H](C)[N@H+](C)Cc1nc(C)ccc1[O-] ZINC000132626218 169364497 /nfs/dbraw/zinc/36/44/97/169364497.db2.gz GZNUOFRTGHAMFK-LLVKDONJSA-N 0 3 222.332 2.716 20 0 BFADHN CCC[C@@H](C)[N@@H+](C)Cc1nc(C)ccc1[O-] ZINC000132626218 169364499 /nfs/dbraw/zinc/36/44/99/169364499.db2.gz GZNUOFRTGHAMFK-LLVKDONJSA-N 0 3 222.332 2.716 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cc(C(=O)OC)co1 ZINC000263824438 169364611 /nfs/dbraw/zinc/36/46/11/169364611.db2.gz RJODIARNKUADEF-SNVBAGLBSA-N 0 3 239.315 2.687 20 0 BFADHN CCC[C@@H](C)N(C)[C@H]1CCCN(C(C)C)C1=O ZINC000336715042 169366373 /nfs/dbraw/zinc/36/63/73/169366373.db2.gz SIMTYOXXJMIYLA-OLZOCXBDSA-N 0 3 240.391 2.506 20 0 BFADHN CCC[C@@H](C)N1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000289888364 169368017 /nfs/dbraw/zinc/36/80/17/169368017.db2.gz MTEZQLOUCOFITF-ZYHUDNBSSA-N 0 3 241.766 2.972 20 0 BFADHN CCC[C@@H](C)NCC(=O)Nc1ccc(F)cc1 ZINC000020040650 169369988 /nfs/dbraw/zinc/36/99/88/169369988.db2.gz KVGFIJDDPOZVJN-SNVBAGLBSA-N 0 3 238.306 2.542 20 0 BFADHN CCC[C@@H](C)C(=O)Nc1ccc2c(c1)CNCC2 ZINC000069052644 169353507 /nfs/dbraw/zinc/35/35/07/169353507.db2.gz JKKNMKZFOCBVRC-LLVKDONJSA-N 0 3 246.354 2.707 20 0 BFADHN CCC[C@@H](C)[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000337355013 169371537 /nfs/dbraw/zinc/37/15/37/169371537.db2.gz BSTHPHWEKLBWHH-YPMHNXCESA-N 0 3 244.342 2.672 20 0 BFADHN CCC[C@@H](C)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000337355013 169371539 /nfs/dbraw/zinc/37/15/39/169371539.db2.gz BSTHPHWEKLBWHH-YPMHNXCESA-N 0 3 244.342 2.672 20 0 BFADHN CCC[C@@H](C)N[C@H](COC)c1ccco1 ZINC000122303602 169371572 /nfs/dbraw/zinc/37/15/72/169371572.db2.gz WSGOQEBAZBZZPF-GHMZBOCLSA-N 0 3 211.305 2.745 20 0 BFADHN CCC[C@@H](CNCc1cccs1)OC ZINC000293735328 169385037 /nfs/dbraw/zinc/38/50/37/169385037.db2.gz RVPDQFHVEJIGRZ-JTQLQIEISA-N 0 3 213.346 2.653 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1ccccn1)OC ZINC000293584649 169385630 /nfs/dbraw/zinc/38/56/30/169385630.db2.gz FOWPFCRUENTEMT-RYUDHWBXSA-N 0 3 222.332 2.547 20 0 BFADHN CCC[C@@H](CN[C@H](C)c1ccncc1)OC ZINC000293737272 169385975 /nfs/dbraw/zinc/38/59/75/169385975.db2.gz SAPMMEKFLRAOSY-YPMHNXCESA-N 0 3 222.332 2.547 20 0 BFADHN CCC[C@@H](CN[C@@H](C)c1cncc(F)c1)OC ZINC000293801358 169386086 /nfs/dbraw/zinc/38/60/86/169386086.db2.gz YHEJLDYNAAVQOM-GWCFXTLKSA-N 0 3 240.322 2.686 20 0 BFADHN CCC[C@@H](N)C(=O)Nc1ccc2ccccc2c1 ZINC000037014761 169391733 /nfs/dbraw/zinc/39/17/33/169391733.db2.gz KLTPKRDXIAWLPJ-CQSZACIVSA-N 0 3 242.322 2.906 20 0 BFADHN CC1CCC(CN2CCO[C@@H](C)C2)CC1 ZINC000179870086 134324160 /nfs/dbraw/zinc/32/41/60/134324160.db2.gz CZKRVLLTYLAJQA-CPCZMJQVSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H]1CN(CC2CCCCCC2)CCO1 ZINC000179868476 134324487 /nfs/dbraw/zinc/32/44/87/134324487.db2.gz UCFROWCVOBQFBP-GFCCVEGCSA-N 0 3 211.349 2.678 20 0 BFADHN C[C@@H](NC[C@H]1CC1(C)C)c1nccs1 ZINC000222038490 134329472 /nfs/dbraw/zinc/32/94/72/134329472.db2.gz MWTPXEGXYVXEQQ-RKDXNWHRSA-N 0 3 210.346 2.840 20 0 BFADHN C[C@@H](NCCCOC(F)F)c1cncc(F)c1 ZINC000193662002 259608030 /nfs/dbraw/zinc/60/80/30/259608030.db2.gz GVEVGPGLLQFTKM-MRVPVSSYSA-N 0 3 248.248 2.501 20 0 BFADHN CCC[C@H](C)CNCc1ccc(F)cn1 ZINC000336661815 169483026 /nfs/dbraw/zinc/48/30/26/169483026.db2.gz CETSCLJKKQJRDN-JTQLQIEISA-N 0 3 210.296 2.747 20 0 BFADHN CCC[C@H](O)CNCc1ccc(Cl)cc1 ZINC000123281878 169519047 /nfs/dbraw/zinc/51/90/47/169519047.db2.gz ZAVRWPQWSWNOPL-LBPRGKRZSA-N 0 3 227.735 2.591 20 0 BFADHN CC[C@H](NC[C@@H]1CCSC1)c1nccs1 ZINC000224429857 134347311 /nfs/dbraw/zinc/34/73/11/134347311.db2.gz ADSQGMDENRAPCR-UWVGGRQHSA-N 0 3 242.413 2.937 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1cccc(CC)c1 ZINC000037621332 169548288 /nfs/dbraw/zinc/54/82/88/169548288.db2.gz KUOCNHIUAZAPHB-AWEZNQCLSA-N 0 3 234.343 2.705 20 0 BFADHN CC[C@@H]1CN(C[C@@H](C)CC(C)C)CCO1 ZINC000337133504 171082866 /nfs/dbraw/zinc/08/28/66/171082866.db2.gz MDPLPAKROXBIGI-QWHCGFSZSA-N 0 3 213.365 2.779 20 0 BFADHN CCCn1nc(C)c(CNCC=C(C)C)c1C ZINC000191631040 169624347 /nfs/dbraw/zinc/62/43/47/169624347.db2.gz USPCLGHPBMGQFT-UHFFFAOYSA-N 0 3 235.375 2.966 20 0 BFADHN Cc1ccc(OCCN2CCCCC2)cc1F ZINC000226441146 134363122 /nfs/dbraw/zinc/36/31/22/134363122.db2.gz OPTFVFKMQJKPPY-UHFFFAOYSA-N 0 3 237.318 2.999 20 0 BFADHN CC(C)[C@@H](NCc1cnn(C)c1)c1ccccc1 ZINC000035016313 383825913 /nfs/dbraw/zinc/82/59/13/383825913.db2.gz PQHYPTVDPGDMRX-OAHLLOKOSA-N 0 3 243.354 2.907 20 0 BFADHN CC[C@@H](NC[C@H](C)O)c1ccc(Cl)s1 ZINC000131728477 383844116 /nfs/dbraw/zinc/84/41/16/383844116.db2.gz ROTKFCFFPMOOQO-JGVFFNPUSA-N 0 3 233.764 2.823 20 0 BFADHN COC[C@H](NCc1ccc(Cl)cc1)C1CC1 ZINC000087477954 383845714 /nfs/dbraw/zinc/84/57/14/383845714.db2.gz AMKPWMMIWKMACV-ZDUSSCGKSA-N 0 3 239.746 2.855 20 0 BFADHN CC[C@H](NC[C@H](C)O)c1ccc(Cl)s1 ZINC000131728302 383846126 /nfs/dbraw/zinc/84/61/26/383846126.db2.gz ROTKFCFFPMOOQO-YUMQZZPRSA-N 0 3 233.764 2.823 20 0 BFADHN CC[C@@H](CO)N[C@H](C)c1ccc(Cl)s1 ZINC000035286315 383847517 /nfs/dbraw/zinc/84/75/17/383847517.db2.gz UXMGTHVNTHRAJM-SFYZADRCSA-N 0 3 233.764 2.823 20 0 BFADHN CC[C@@H](C)CN(C)CC(=O)N(C)c1ccccc1 ZINC000111253338 383847727 /nfs/dbraw/zinc/84/77/27/383847727.db2.gz ADUKDENKDPJATO-CYBMUJFWSA-N 0 3 248.370 2.627 20 0 BFADHN CCCCCc1ccc(NC(=O)C2(N)CC2)cc1 ZINC000088130773 383880139 /nfs/dbraw/zinc/88/01/39/383880139.db2.gz CFFNZDHYDBMAMK-UHFFFAOYSA-N 0 3 246.354 2.849 20 0 BFADHN CC(C)(CCc1ccccc1)NCc1ncc[nH]1 ZINC000111387442 383857260 /nfs/dbraw/zinc/85/72/60/383857260.db2.gz AKYRWPDVMGAXHH-UHFFFAOYSA-N 0 3 243.354 2.911 20 0 BFADHN CCCC(C)(C)[NH2+]Cc1nc(C)ccc1[O-] ZINC000111387214 383857335 /nfs/dbraw/zinc/85/73/35/383857335.db2.gz HKCPVQFDVCLJCD-UHFFFAOYSA-N 0 3 222.332 2.764 20 0 BFADHN CCO[C@@H]1C[C@@H](NCc2ccccn2)C12CCC2 ZINC000087708847 383858331 /nfs/dbraw/zinc/85/83/31/383858331.db2.gz DVQVKGSTKTYOGE-ZIAGYGMSSA-N 0 3 246.354 2.519 20 0 BFADHN CCO[C@@H]1C[C@H](NCc2ccco2)C12CCC2 ZINC000087708432 383860031 /nfs/dbraw/zinc/86/00/31/383860031.db2.gz QTKPNMDYYBHQQV-QWHCGFSZSA-N 0 3 235.327 2.717 20 0 BFADHN C[C@H](N[C@@H]1COCC1(C)C)c1ccccc1 ZINC000313089090 383865011 /nfs/dbraw/zinc/86/50/11/383865011.db2.gz RTTXKMLCQWNCLY-WCQYABFASA-N 0 3 219.328 2.762 20 0 BFADHN Cc1ccc(C(C)(C)CNCc2ncc[nH]2)cc1 ZINC000066593862 383873321 /nfs/dbraw/zinc/87/33/21/383873321.db2.gz QLOZQNAPXVFDBA-UHFFFAOYSA-N 0 3 243.354 2.786 20 0 BFADHN CC[C@H](CCO)CN[C@H](C)c1csc(C)n1 ZINC000087362444 383830928 /nfs/dbraw/zinc/83/09/28/383830928.db2.gz JXTWZEJHPGLMKD-MWLCHTKSSA-N 0 3 242.388 2.511 20 0 BFADHN CCSCCN1CCC[C@H]1c1ccncc1 ZINC000119869593 383909949 /nfs/dbraw/zinc/90/99/49/383909949.db2.gz DJZFARWEIGKXRP-ZDUSSCGKSA-N 0 3 236.384 2.972 20 0 BFADHN C[C@@H](CCc1ccccc1)NCc1ccn(C)n1 ZINC000112337315 383915823 /nfs/dbraw/zinc/91/58/23/383915823.db2.gz IGDJQBWXHMQOJB-ZDUSSCGKSA-N 0 3 243.354 2.531 20 0 BFADHN C[C@@H](N)C(=O)N[C@@H](C)c1ccc(C(C)(C)C)cc1 ZINC000037007438 383927306 /nfs/dbraw/zinc/92/73/06/383927306.db2.gz IBLLDQOYBPBHKE-MNOVXSKESA-N 0 3 248.370 2.509 20 0 BFADHN CC[C@@H](NCc1ccccc1Cl)[C@@H](O)CC ZINC000313142096 383931822 /nfs/dbraw/zinc/93/18/22/383931822.db2.gz IFQNJIWDARKUBA-OLZOCXBDSA-N 0 3 241.762 2.979 20 0 BFADHN CC(C)CCNCc1cccc2c1OCCO2 ZINC000036167721 383884244 /nfs/dbraw/zinc/88/42/44/383884244.db2.gz YCKWKXRHZMWGSN-UHFFFAOYSA-N 0 3 235.327 2.594 20 0 BFADHN Cc1cc(CN2CCC[C@H](CO)CC2)cs1 ZINC000293669776 383891955 /nfs/dbraw/zinc/89/19/55/383891955.db2.gz MFQCRQSBLDEAPH-LBPRGKRZSA-N 0 3 239.384 2.651 20 0 BFADHN C[C@H](N[C@H]1COCC1(C)C)c1ccccc1F ZINC000313109109 383894691 /nfs/dbraw/zinc/89/46/91/383894691.db2.gz DBOBVNLZVCGACS-GWCFXTLKSA-N 0 3 237.318 2.901 20 0 BFADHN C[C@H](NC1CCOCC1)c1ccccc1F ZINC000036319438 383898136 /nfs/dbraw/zinc/89/81/36/383898136.db2.gz QQEUSNMRUFBCLZ-JTQLQIEISA-N 0 3 223.291 2.655 20 0 BFADHN C[C@@H](NC[C@@H]1C[C@H]1c1ccccc1)c1ncc[nH]1 ZINC000293684585 383902762 /nfs/dbraw/zinc/90/27/62/383902762.db2.gz KPGORIXIEMHZOF-XBFCOCLRSA-N 0 3 241.338 2.864 20 0 BFADHN CC[C@@H](N[C@H]1CCCc2occc21)[C@@H](O)CC ZINC000313119974 383905147 /nfs/dbraw/zinc/90/51/47/383905147.db2.gz BCHYQUCGLRPMGA-AGIUHOORSA-N 0 3 237.343 2.796 20 0 BFADHN CC[C@H](C)CN1CCN(c2ccccc2O)CC1 ZINC000088484004 383905435 /nfs/dbraw/zinc/90/54/35/383905435.db2.gz REQRUOXUUGWSST-ZDUSSCGKSA-N 0 3 248.370 2.560 20 0 BFADHN CC[C@@H](O)[C@H](CC)NCc1cc(F)ccc1F ZINC000313117705 383905363 /nfs/dbraw/zinc/90/53/63/383905363.db2.gz RGWZAMHXOGBSBX-QWHCGFSZSA-N 0 3 243.297 2.604 20 0 BFADHN C[C@@H](CCCO)Nc1ccnc2ccccc21 ZINC000088503668 383905905 /nfs/dbraw/zinc/90/59/05/383905905.db2.gz YPOQGIFAIUDAMN-NSHDSACASA-N 0 3 230.311 2.808 20 0 BFADHN CCC[C@H](C)CNCc1cccnc1OC ZINC000037485405 383980592 /nfs/dbraw/zinc/98/05/92/383980592.db2.gz MAGVUVOMUIZFDW-NSHDSACASA-N 0 3 222.332 2.616 20 0 BFADHN CN(Cc1cccs1)C[C@H](O)c1ccccc1 ZINC000037485765 383982033 /nfs/dbraw/zinc/98/20/33/383982033.db2.gz FDUFZJWSTMCVKY-AWEZNQCLSA-N 0 3 247.363 2.914 20 0 BFADHN C[C@H]1C[C@H](NCc2cc(C3CC3)ccc2F)CO1 ZINC000647214449 383987467 /nfs/dbraw/zinc/98/74/67/383987467.db2.gz ZOKNHJZLRVXMPK-HZMBPMFUSA-N 0 3 249.329 2.970 20 0 BFADHN C[C@H]1C[C@H](N[C@@H]2C[C@@H](C)Oc3ccccc32)CO1 ZINC000647214957 383990208 /nfs/dbraw/zinc/99/02/08/383990208.db2.gz ZLWHRWJFMAXIGI-KZVDOYCCSA-N 0 3 247.338 2.666 20 0 BFADHN FC1CCC(CNCc2ccco2)CC1 ZINC000647253075 383937058 /nfs/dbraw/zinc/93/70/58/383937058.db2.gz MSCQCPDTXUORPU-UHFFFAOYSA-N 0 3 211.280 2.898 20 0 BFADHN CC(C)[C@H]1CCC[C@@H]1[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000560152452 383937612 /nfs/dbraw/zinc/93/76/12/383937612.db2.gz DYENEXUEUQVQLX-NEPJUHHUSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)[C@H]1CCC[C@@H]1NCc1nnc(C2CC2)[nH]1 ZINC000560152452 383937617 /nfs/dbraw/zinc/93/76/17/383937617.db2.gz DYENEXUEUQVQLX-NEPJUHHUSA-N 0 3 248.374 2.596 20 0 BFADHN CC1(C)CCCN(Cc2ccccn2)C1 ZINC000112701584 383940176 /nfs/dbraw/zinc/94/01/76/383940176.db2.gz LCDXLULLCXRQDV-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN FC(F)(F)[C@H]1CCC[C@@H](NCc2cc[nH]n2)C1 ZINC000037142140 383944070 /nfs/dbraw/zinc/94/40/70/383944070.db2.gz NEUHMIAIFYYTAS-DTWKUNHWSA-N 0 3 247.264 2.620 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1ccsc1 ZINC000070624408 383944312 /nfs/dbraw/zinc/94/43/12/383944312.db2.gz CJPSNBVTCCJWFG-MWLCHTKSSA-N 0 3 213.346 2.824 20 0 BFADHN Cc1noc(C)c1CNCC1CCC(F)CC1 ZINC000647253228 383944839 /nfs/dbraw/zinc/94/48/39/383944839.db2.gz ZDADWZAUQXSOLH-UHFFFAOYSA-N 0 3 240.322 2.909 20 0 BFADHN FC(F)(F)[C@@H]1CCC[C@H](NCc2cc[nH]n2)C1 ZINC000037142143 383948354 /nfs/dbraw/zinc/94/83/54/383948354.db2.gz NEUHMIAIFYYTAS-BDAKNGLRSA-N 0 3 247.264 2.620 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](C)Cn2ccnc2)o1 ZINC000037158007 383949807 /nfs/dbraw/zinc/94/98/07/383949807.db2.gz JEIGIONUIOIFMV-ZYHUDNBSSA-N 0 3 233.315 2.524 20 0 BFADHN Cc1ccc(CN[C@H](C)c2cn(C)nc2C)s1 ZINC000112837392 383953898 /nfs/dbraw/zinc/95/38/98/383953898.db2.gz KUPXPUWEEUFQCN-SNVBAGLBSA-N 0 3 249.383 2.949 20 0 BFADHN CC[C@H](CN[C@H](C)c1ccc(Cl)cn1)OC ZINC000290289900 383957204 /nfs/dbraw/zinc/95/72/04/383957204.db2.gz XVZUDMBVSHBXJH-MWLCHTKSSA-N 0 3 242.750 2.811 20 0 BFADHN CC(F)(F)CCNC/C=C/c1ccncc1 ZINC000449353546 383957465 /nfs/dbraw/zinc/95/74/65/383957465.db2.gz CUEHEVGOPOASCT-NSCUHMNNSA-N 0 3 226.270 2.730 20 0 BFADHN C[C@@H]1CC[C@H](CN[C@@H]2C[C@H](C)n3ccnc32)C1 ZINC000345356365 383962385 /nfs/dbraw/zinc/96/23/85/383962385.db2.gz UVVGUBGWXXUJHH-MROQNXINSA-N 0 3 233.359 2.915 20 0 BFADHN C[C@@H](NCc1cc(F)ccc1F)[C@@H]1CCCO1 ZINC000037233342 383962877 /nfs/dbraw/zinc/96/28/77/383962877.db2.gz FVLYWXHSCCEBCV-RNCFNFMXSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H](NCC1CC(F)(F)C1)c1ccccn1 ZINC000293856898 383963864 /nfs/dbraw/zinc/96/38/64/383963864.db2.gz XNINEEZZHPHDJW-SECBINFHSA-N 0 3 226.270 2.778 20 0 BFADHN CC[C@@H](O)[C@H](CC)NCc1cccc(C)c1F ZINC000313156734 383965438 /nfs/dbraw/zinc/96/54/38/383965438.db2.gz MVVGOYRHZUDPIT-QWHCGFSZSA-N 0 3 239.334 2.773 20 0 BFADHN Clc1ccccc1CN[C@H]1CCCOC1 ZINC000071010853 383966754 /nfs/dbraw/zinc/96/67/54/383966754.db2.gz LKTWBNURYOTYRY-NSHDSACASA-N 0 3 225.719 2.609 20 0 BFADHN CC[C@@H](C)NCc1ccc(-c2cc[nH]n2)o1 ZINC000278551839 384017970 /nfs/dbraw/zinc/01/79/70/384017970.db2.gz BKXUGJGNTIAZGW-SECBINFHSA-N 0 3 219.288 2.558 20 0 BFADHN CC(C)(C)C[C@@H]1CCN(Cc2ccno2)C1 ZINC000569426701 384018176 /nfs/dbraw/zinc/01/81/76/384018176.db2.gz FYUBRMAHSOEEGA-NSHDSACASA-N 0 3 222.332 2.933 20 0 BFADHN COc1ncncc1CN[C@@]1(C)CCCC[C@@H]1C ZINC000647216388 384019801 /nfs/dbraw/zinc/01/98/01/384019801.db2.gz HNNHPAKDNGYKCD-FZMZJTMJSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@@H]1C[C@H](NCc2cnccc2C(C)(C)C)CO1 ZINC000647217728 384044969 /nfs/dbraw/zinc/04/49/69/384044969.db2.gz BLPXJTRNTWUTHT-YPMHNXCESA-N 0 3 248.370 2.646 20 0 BFADHN CCCCN(C)Cc1cccc(Cl)n1 ZINC000075589837 384047756 /nfs/dbraw/zinc/04/77/56/384047756.db2.gz SCWGBHGFIZKQRZ-UHFFFAOYSA-N 0 3 212.724 2.967 20 0 BFADHN c1n[nH]cc1CN[C@H]1CCCc2ccccc21 ZINC000038005938 384053779 /nfs/dbraw/zinc/05/37/79/384053779.db2.gz SSOQVMPIWDJYGW-AWEZNQCLSA-N 0 3 227.311 2.577 20 0 BFADHN COC(=O)c1ccc(CNCCCC(C)C)o1 ZINC000037989621 384051424 /nfs/dbraw/zinc/05/14/24/384051424.db2.gz BLACOEOPHOMRRH-UHFFFAOYSA-N 0 3 239.315 2.592 20 0 BFADHN c1ccc2c(c1)OC[C@@H]2NC1CCSCC1 ZINC000069606629 384052010 /nfs/dbraw/zinc/05/20/10/384052010.db2.gz MNYSLEABIAXWDB-LBPRGKRZSA-N 0 3 235.352 2.605 20 0 BFADHN CCO[C@@H]1CCN(Cc2cnccc2C)C[C@@H]1C ZINC000449509681 384028826 /nfs/dbraw/zinc/02/88/26/384028826.db2.gz WRXBOVLNRDPUOZ-DZGCQCFKSA-N 0 3 248.370 2.637 20 0 BFADHN CC(C)C1(CN[C@@H](C)c2cnccn2)CC1 ZINC000090230758 384036055 /nfs/dbraw/zinc/03/60/55/384036055.db2.gz PWXBSURDELZKNF-NSHDSACASA-N 0 3 219.332 2.563 20 0 BFADHN Clc1cc(CN2C[C@@H]3CCC[C@H]3C2)ccn1 ZINC000132021931 384041152 /nfs/dbraw/zinc/04/11/52/384041152.db2.gz UXWFSPZWRZSIMP-RYUDHWBXSA-N 0 3 236.746 2.967 20 0 BFADHN Clc1cc(CN2C[C@H]3CCC[C@@H]3C2)ccn1 ZINC000132021739 384041307 /nfs/dbraw/zinc/04/13/07/384041307.db2.gz UXWFSPZWRZSIMP-VXGBXAGGSA-N 0 3 236.746 2.967 20 0 BFADHN Clc1cccc(CN2C[C@@H]3CCC[C@@H]3C2)n1 ZINC000132026886 384042223 /nfs/dbraw/zinc/04/22/23/384042223.db2.gz ODSKWGSZQSUBHY-PHIMTYICSA-N 0 3 236.746 2.967 20 0 BFADHN Clc1ncccc1CN1C[C@H]2CCC[C@@H]2C1 ZINC000132030505 384042598 /nfs/dbraw/zinc/04/25/98/384042598.db2.gz QUSLAZCLHFZDDT-GHMZBOCLSA-N 0 3 236.746 2.967 20 0 BFADHN COC(=O)C1CCN([C@H](C)c2ccccc2)CC1 ZINC000070193197 384086830 /nfs/dbraw/zinc/08/68/30/384086830.db2.gz PXMQHQUIYWGGRK-GFCCVEGCSA-N 0 3 247.338 2.633 20 0 BFADHN C[C@H](CCC(C)(C)C)NCc1ncc[nH]1 ZINC000076768575 384061722 /nfs/dbraw/zinc/06/17/22/384061722.db2.gz LFZHIAHENHFVJN-SNVBAGLBSA-N 0 3 209.337 2.714 20 0 BFADHN Nc1ccncc1-c1ccc(F)cc1F ZINC000069841286 384065666 /nfs/dbraw/zinc/06/56/66/384065666.db2.gz BISNTZPLYSGIDC-UHFFFAOYSA-N 0 3 206.195 2.609 20 0 BFADHN C[C@H](CN[C@@H](C)c1ccccc1Cl)N(C)C ZINC000038088801 384066196 /nfs/dbraw/zinc/06/61/96/384066196.db2.gz OMPHRPSJESGSCE-MNOVXSKESA-N 0 3 240.778 2.941 20 0 BFADHN CC(C)CCCNCc1nccn1C(F)F ZINC000038093915 384070156 /nfs/dbraw/zinc/07/01/56/384070156.db2.gz ASFOGVYSSOTSQI-UHFFFAOYSA-N 0 3 231.290 2.804 20 0 BFADHN c1ccc2c(c1)OC[C@@H]2N[C@@H]1CCCSC1 ZINC000070068862 384075858 /nfs/dbraw/zinc/07/58/58/384075858.db2.gz KGAMGKJMUKJYSI-PWSUYJOCSA-N 0 3 235.352 2.605 20 0 BFADHN CC(C)[C@H](O)C(C)(C)CN[C@@H](C)c1ccco1 ZINC000090811089 384075912 /nfs/dbraw/zinc/07/59/12/384075912.db2.gz DBYZSRTVCAXOCB-AAEUAGOBSA-N 0 3 239.359 2.973 20 0 BFADHN C[C@H](CC1CC1)N[C@@H](C)C(=O)Nc1ccccc1 ZINC000070102591 384080325 /nfs/dbraw/zinc/08/03/25/384080325.db2.gz RLXSBDQGAHLLBT-NEPJUHHUSA-N 0 3 246.354 2.792 20 0 BFADHN COc1ccsc1CN[C@H]1CCS[C@H]1C ZINC000647257864 384080873 /nfs/dbraw/zinc/08/08/73/384080873.db2.gz BUICBVPUBCSZEC-IUCAKERBSA-N 0 3 243.397 2.740 20 0 BFADHN Cc1cc(C)c(CNCc2ccnn2C)c(C)c1 ZINC000070141145 384081114 /nfs/dbraw/zinc/08/11/14/384081114.db2.gz CNNFQPUHXTZBKR-UHFFFAOYSA-N 0 3 243.354 2.635 20 0 BFADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1CCCF ZINC000091080674 384098377 /nfs/dbraw/zinc/09/83/77/384098377.db2.gz KJFOYKQZKOTUGW-NSHDSACASA-N 0 3 225.311 2.523 20 0 BFADHN c1coc(CNC[C@H]2COc3ccccc32)c1 ZINC000070392051 384103343 /nfs/dbraw/zinc/10/33/43/384103343.db2.gz UUQPIDCIGIHSBR-NSHDSACASA-N 0 3 229.279 2.545 20 0 BFADHN C[C@@H](NC[C@@H](C)c1ccccc1)c1cnccn1 ZINC000070477628 384112445 /nfs/dbraw/zinc/11/24/45/384112445.db2.gz MENHVFYYCIZZLQ-CHWSQXEVSA-N 0 3 241.338 2.931 20 0 BFADHN C[C@H](NC[C@H]1CCCC[C@H]1C)c1cnccn1 ZINC000070479514 384113257 /nfs/dbraw/zinc/11/32/57/384113257.db2.gz PJBSYJQLDBNHIH-FRRDWIJNSA-N 0 3 233.359 2.954 20 0 BFADHN C[C@H](NC[C@@H]1CCO[C@H](C)C1)c1nccs1 ZINC000309511439 384115900 /nfs/dbraw/zinc/11/59/00/384115900.db2.gz XKWXARHOHGXJBO-OUAUKWLOSA-N 0 3 240.372 2.609 20 0 BFADHN Fc1ccccc1CNC[C@@H]1C[C@H]1C1CC1 ZINC000309527344 384116648 /nfs/dbraw/zinc/11/66/48/384116648.db2.gz VDZJIERVCGZQLI-STQMWFEESA-N 0 3 219.303 2.961 20 0 BFADHN CCc1ccccc1CNCc1ccn(C)c1 ZINC000091008899 384092579 /nfs/dbraw/zinc/09/25/79/384092579.db2.gz QAEKIZUABUTETE-UHFFFAOYSA-N 0 3 228.339 2.877 20 0 BFADHN COC(C)(C)C[C@H](C)N[C@@H](C)c1nccs1 ZINC000070732330 384134334 /nfs/dbraw/zinc/13/43/34/384134334.db2.gz YTHQQCZNRFPICF-UWVGGRQHSA-N 0 3 242.388 2.997 20 0 BFADHN CC[C@H](COC)N[C@H]1CCCc2occc21 ZINC000070623794 384124181 /nfs/dbraw/zinc/12/41/81/384124181.db2.gz KAEDMEWPMAZSKN-PWSUYJOCSA-N 0 3 223.316 2.672 20 0 BFADHN C[C@@H](N[C@@H](C)C1CC1)c1ccc(F)cn1 ZINC000092195610 384124564 /nfs/dbraw/zinc/12/45/64/384124564.db2.gz FMQGQNZGKLSGHL-DTWKUNHWSA-N 0 3 208.280 2.670 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1ccc(C)o1 ZINC000132170454 384128396 /nfs/dbraw/zinc/12/83/96/384128396.db2.gz UQZXLIYCNFYSEQ-OUAUKWLOSA-N 0 3 211.305 2.662 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1ccc(C)o1 ZINC000132170036 384128953 /nfs/dbraw/zinc/12/89/53/384128953.db2.gz UQZXLIYCNFYSEQ-GARJFASQSA-N 0 3 211.305 2.662 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](C)[C@@H]2CCOC2)o1 ZINC000132166572 384129970 /nfs/dbraw/zinc/12/99/70/384129970.db2.gz ORNLBVYRFVWQOC-QJPTWQEYSA-N 0 3 223.316 2.664 20 0 BFADHN Cc1nn(C)cc1CN1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000336560541 384137805 /nfs/dbraw/zinc/13/78/05/384137805.db2.gz IJYORGNPNLSXGW-IUODEOHRSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@@H](N[C@H]1CCCOC1)c1ccsc1 ZINC000071011658 384149119 /nfs/dbraw/zinc/14/91/19/384149119.db2.gz KWKDASKAJDNMLD-KOLCDFICSA-N 0 3 211.330 2.578 20 0 BFADHN C[C@H](CN1CC[C@H](F)C1)c1ccccc1 ZINC000449389967 384151725 /nfs/dbraw/zinc/15/17/25/384151725.db2.gz FIQJSYYULHHAJL-YPMHNXCESA-N 0 3 207.292 2.834 20 0 BFADHN Fc1ccc(CNC[C@H]2CCCS2)cc1F ZINC000087473207 384151905 /nfs/dbraw/zinc/15/19/05/384151905.db2.gz QBOBPTARTZDIBO-SNVBAGLBSA-N 0 3 243.322 2.950 20 0 BFADHN Cc1ccc(C)c(NC(=O)[C@@H](N)C(C)(C)C)c1 ZINC000040458315 384154510 /nfs/dbraw/zinc/15/45/10/384154510.db2.gz NBRGRVPLJDPTIQ-GFCCVEGCSA-N 0 3 234.343 2.615 20 0 BFADHN C[C@@H](N[C@H]1CCOC1(C)C)c1cccc(O)c1 ZINC000296442505 384139835 /nfs/dbraw/zinc/13/98/35/384139835.db2.gz MINZTJYQKHNFCM-MFKMUULPSA-N 0 3 235.327 2.610 20 0 BFADHN CC(C)CO[C@H]1CCN(Cc2cccc(O)c2)C1 ZINC000091964587 384157569 /nfs/dbraw/zinc/15/75/69/384157569.db2.gz CJRGLBOHJORDBL-HNNXBMFYSA-N 0 3 249.354 2.639 20 0 BFADHN C[C@H]1CCCC[C@H]1CN1CCOC[C@@H]1C ZINC000449387771 384141189 /nfs/dbraw/zinc/14/11/89/384141189.db2.gz YAQKJACJCRWTKS-AVGNSLFASA-N 0 3 211.349 2.533 20 0 BFADHN C[C@H](CN1CCN(C2CC2)CC1)c1ccccc1 ZINC000116877901 384157623 /nfs/dbraw/zinc/15/76/23/384157623.db2.gz MATVAECYVOEURP-CQSZACIVSA-N 0 3 244.382 2.570 20 0 BFADHN CO[C@@H]1CCCN(Cc2sccc2C)C1 ZINC000091772678 384145336 /nfs/dbraw/zinc/14/53/36/384145336.db2.gz SSPXPBKUUGJHTM-LLVKDONJSA-N 0 3 225.357 2.667 20 0 BFADHN C[C@H](NCc1ccco1)[C@@H](O)c1ccc(F)cc1 ZINC000071690520 384172771 /nfs/dbraw/zinc/17/27/71/384172771.db2.gz CLUUZXNMOSWSNE-IINYFYTJSA-N 0 3 249.285 2.630 20 0 BFADHN CC(C)[C@@H](CO)N[C@@H](C)c1ccc(F)cc1F ZINC000092393227 384175683 /nfs/dbraw/zinc/17/56/83/384175683.db2.gz UQDRPIWQTKIKJF-TVQRCGJNSA-N 0 3 243.297 2.632 20 0 BFADHN C[C@H](C1CC1)N(Cc1cncs1)C1CC1 ZINC000092009430 384158540 /nfs/dbraw/zinc/15/85/40/384158540.db2.gz YPOJRYRSHZGLOS-SECBINFHSA-N 0 3 222.357 2.906 20 0 BFADHN CCOCCNCc1cccc(OC(C)C)c1 ZINC000040640331 384160955 /nfs/dbraw/zinc/16/09/55/384160955.db2.gz ZSHZNGOBQYOGEK-UHFFFAOYSA-N 0 3 237.343 2.600 20 0 BFADHN C[C@@H](NCCc1ccc(O)cc1)c1ccco1 ZINC000040735314 384162916 /nfs/dbraw/zinc/16/29/16/384162916.db2.gz VXONFQRTMBELKZ-LLVKDONJSA-N 0 3 231.295 2.879 20 0 BFADHN CC(C)C[C@@H](CO)N[C@H](C)c1ccccc1F ZINC000040773809 384163226 /nfs/dbraw/zinc/16/32/26/384163226.db2.gz VMNOLQZPOCGZFY-NEPJUHHUSA-N 0 3 239.334 2.883 20 0 BFADHN CS[C@H]1CCCCN(Cc2cncs2)C1 ZINC000092064016 384163205 /nfs/dbraw/zinc/16/32/05/384163205.db2.gz NAOCUUFRUACCTH-JTQLQIEISA-N 0 3 242.413 2.861 20 0 BFADHN C[C@H](NCCn1ccnc1)c1cccc(Cl)c1 ZINC000041064025 384176162 /nfs/dbraw/zinc/17/61/62/384176162.db2.gz KYNGEPXDJAJIQR-NSHDSACASA-N 0 3 249.745 2.887 20 0 BFADHN C[C@@H](NCCc1cccnc1)c1ccc(F)cn1 ZINC000092196138 384168040 /nfs/dbraw/zinc/16/80/40/384168040.db2.gz HZBLQNBZLSBARK-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN CO[C@H](CNCc1cscc1C)C1CC1 ZINC000400306980 384169722 /nfs/dbraw/zinc/16/97/22/384169722.db2.gz RZUYXLLXFVQHNX-GFCCVEGCSA-N 0 3 225.357 2.571 20 0 BFADHN CC[C@@H](CO)N(C)Cc1cccc(Cl)c1 ZINC000293988359 384170650 /nfs/dbraw/zinc/17/06/50/384170650.db2.gz WGKRKCOAEWFQFV-LBPRGKRZSA-N 0 3 227.735 2.543 20 0 BFADHN Clc1ccc(CNC[C@H]2CCSC2)nc1 ZINC000278768898 384185829 /nfs/dbraw/zinc/18/58/29/384185829.db2.gz OMJHFPVTZRDULA-SECBINFHSA-N 0 3 242.775 2.578 20 0 BFADHN Cc1nc(CNC[C@H](C)C(C)C)cs1 ZINC000081046895 384180707 /nfs/dbraw/zinc/18/07/07/384180707.db2.gz HUFWSAGNVVFRCN-VIFPVBQESA-N 0 3 212.362 2.833 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H]1CCCc2occc21 ZINC000132367480 384183818 /nfs/dbraw/zinc/18/38/18/384183818.db2.gz ZFBSHSZBKKJWLV-JFGNBEQYSA-N 0 3 223.316 2.670 20 0 BFADHN CCOCCN(Cc1cccc(F)c1)C1CC1 ZINC000076751924 384207018 /nfs/dbraw/zinc/20/70/18/384207018.db2.gz DHIGHLBCFQNDJW-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN c1csc(CN2CCC(n3ccnc3)CC2)c1 ZINC000092659254 384187605 /nfs/dbraw/zinc/18/76/05/384187605.db2.gz TUXITNVAPPJBSB-UHFFFAOYSA-N 0 3 247.367 2.782 20 0 BFADHN Cc1ccc(CN2CCC(n3ccnc3)CC2)o1 ZINC000092648506 384188709 /nfs/dbraw/zinc/18/87/09/384188709.db2.gz IVFPRVGHWFDFAI-UHFFFAOYSA-N 0 3 245.326 2.622 20 0 BFADHN CCC(CC)[C@H](O)CN[C@H](C)c1cccnc1 ZINC000092748484 384191468 /nfs/dbraw/zinc/19/14/68/384191468.db2.gz RFBNHXVEIOVIHI-BXUZGUMPSA-N 0 3 236.359 2.529 20 0 BFADHN CCN(CCC(C)(C)O)Cc1cccs1 ZINC000081648151 384197151 /nfs/dbraw/zinc/19/71/51/384197151.db2.gz NGBNDVQKOCLUDG-UHFFFAOYSA-N 0 3 227.373 2.731 20 0 BFADHN Cn1cccc1CN[C@@H]1CCc2c1cccc2O ZINC000119101971 384233407 /nfs/dbraw/zinc/23/34/07/384233407.db2.gz IOXWSZPFTSFNOZ-CQSZACIVSA-N 0 3 242.322 2.508 20 0 BFADHN CCOC[C@@H]1CCCN(Cc2cccc(O)c2)C1 ZINC000093419403 384234355 /nfs/dbraw/zinc/23/43/55/384234355.db2.gz BAJAWHFLVLENRR-CQSZACIVSA-N 0 3 249.354 2.641 20 0 BFADHN C[C@@H]1OCCN(Cc2cccc3[nH]ccc32)[C@H]1C ZINC000093418722 384235764 /nfs/dbraw/zinc/23/57/64/384235764.db2.gz OWYDYDRDSRBHPK-RYUDHWBXSA-N 0 3 244.338 2.777 20 0 BFADHN CC[C@H](C)[C@@H]1CCCCN1Cc1cnccn1 ZINC000560576369 384237057 /nfs/dbraw/zinc/23/70/57/384237057.db2.gz SBMVAOAEOQSFTQ-JSGCOSHPSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)[C@@H](C)C(C)C)n1 ZINC000132617553 384241354 /nfs/dbraw/zinc/24/13/54/384241354.db2.gz WLUMJQXQPRDJHP-NSHDSACASA-N 0 3 222.332 2.572 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)[C@@H](C)C(C)C)n1 ZINC000132617553 384241360 /nfs/dbraw/zinc/24/13/60/384241360.db2.gz WLUMJQXQPRDJHP-NSHDSACASA-N 0 3 222.332 2.572 20 0 BFADHN CSCCCN[C@@H](C)c1cnn(C(C)C)c1 ZINC000132580801 384238947 /nfs/dbraw/zinc/23/89/47/384238947.db2.gz RTIBPXYFWKYGQC-NSHDSACASA-N 0 3 241.404 2.868 20 0 BFADHN Cc1cc(C)cc([C@@H](C)NCCn2cccn2)c1 ZINC000132571972 384239562 /nfs/dbraw/zinc/23/95/62/384239562.db2.gz NMTWBALOWXOJCO-CQSZACIVSA-N 0 3 243.354 2.851 20 0 BFADHN CC[C@@H](C)CN[C@@H](COC)c1ccc(C)o1 ZINC000093078670 384209550 /nfs/dbraw/zinc/20/95/50/384209550.db2.gz ZBAHJYHZRDSQOL-PWSUYJOCSA-N 0 3 225.332 2.911 20 0 BFADHN CCCCN1CCN([C@H](C)c2cccnc2)CC1 ZINC000118835543 384214469 /nfs/dbraw/zinc/21/44/69/384214469.db2.gz FVHRUNGWSYBJBY-CQSZACIVSA-N 0 3 247.386 2.560 20 0 BFADHN CCN(CCO)[C@@H](C)c1ccc(Cl)cc1 ZINC000118835248 384214861 /nfs/dbraw/zinc/21/48/61/384214861.db2.gz NJSQZTJSXAWGNS-JTQLQIEISA-N 0 3 227.735 2.715 20 0 BFADHN CC[C@@H](C)CN(C)Cc1ncccc1C ZINC000093173261 384217186 /nfs/dbraw/zinc/21/71/86/384217186.db2.gz LKGCUHOSUPSUDY-LLVKDONJSA-N 0 3 206.333 2.868 20 0 BFADHN C[C@@H]1C[C@H]1CNCc1cccc2c1OCCCO2 ZINC000132102569 384217795 /nfs/dbraw/zinc/21/77/95/384217795.db2.gz AXMKBIBOASCANP-YPMHNXCESA-N 0 3 247.338 2.594 20 0 BFADHN C/C(=C\c1ccccc1)CN(C)[C@@H]1CCOC1 ZINC000132120560 384217866 /nfs/dbraw/zinc/21/78/66/384217866.db2.gz QQTVGBYKBSLLCD-NRMKIYEFSA-N 0 3 231.339 2.811 20 0 BFADHN CCc1ccc([C@@H](C)NCCCCOC)o1 ZINC000158326784 384218979 /nfs/dbraw/zinc/21/89/79/384218979.db2.gz CBYSEJBTBXNLSD-LLVKDONJSA-N 0 3 225.332 2.919 20 0 BFADHN CSCC[C@H](C)N(C)Cc1ncccc1C ZINC000093193646 384219954 /nfs/dbraw/zinc/21/99/54/384219954.db2.gz VMQZHIZDYVLYEK-LBPRGKRZSA-N 0 3 238.400 2.963 20 0 BFADHN CC(C)[C@H](C)NCc1ccc(Cl)cn1 ZINC000132986467 384220954 /nfs/dbraw/zinc/22/09/54/384220954.db2.gz IDNXPCPFRPYONI-VIFPVBQESA-N 0 3 212.724 2.869 20 0 BFADHN COC(C)(C)CNCc1ccc(Cl)s1 ZINC000133029559 384221487 /nfs/dbraw/zinc/22/14/87/384221487.db2.gz JOBRKAHYABOBFD-UHFFFAOYSA-N 0 3 233.764 2.916 20 0 BFADHN COc1ccc2c(c1)CN(C[C@H]1C[C@@H]1C)CCO2 ZINC000449527751 384227185 /nfs/dbraw/zinc/22/71/85/384227185.db2.gz KSTIMRBIXCVYPA-NWDGAFQWSA-N 0 3 247.338 2.546 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H]1CCCc2occc21 ZINC000132367119 384227922 /nfs/dbraw/zinc/22/79/22/384227922.db2.gz ZFBSHSZBKKJWLV-CKYFFXLPSA-N 0 3 223.316 2.670 20 0 BFADHN COC[C@@H]1CCCN(Cc2ccccc2OC)C1 ZINC000093337984 384229144 /nfs/dbraw/zinc/22/91/44/384229144.db2.gz QCASDGHJCUYLJE-CYBMUJFWSA-N 0 3 249.354 2.554 20 0 BFADHN Cc1ccoc1CN1CCS[C@H](C)[C@@H]1C ZINC000093501322 384245081 /nfs/dbraw/zinc/24/50/81/384245081.db2.gz KLINVSKUDKSORY-WDEREUQCSA-N 0 3 225.357 2.914 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)CC(C)(C)C)n1 ZINC000132698349 384245917 /nfs/dbraw/zinc/24/59/17/384245917.db2.gz QBUBOGWSICOMSB-UHFFFAOYSA-N 0 3 222.332 2.574 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)CC(C)(C)C)n1 ZINC000132698349 384245924 /nfs/dbraw/zinc/24/59/24/384245924.db2.gz QBUBOGWSICOMSB-UHFFFAOYSA-N 0 3 222.332 2.574 20 0 BFADHN C[C@H]1SCCN(Cc2cccc(O)c2)[C@H]1C ZINC000093501403 384246179 /nfs/dbraw/zinc/24/61/79/384246179.db2.gz OOPDCNTVTYWRQW-WDEREUQCSA-N 0 3 237.368 2.718 20 0 BFADHN CC(C)[C@@H]1CCCCN1Cc1ccc(CO)o1 ZINC000093444101 384246227 /nfs/dbraw/zinc/24/62/27/384246227.db2.gz NGOSFBPXBYMUEC-AWEZNQCLSA-N 0 3 237.343 2.782 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1Cc1cccc(O)c1 ZINC000093501399 384246486 /nfs/dbraw/zinc/24/64/86/384246486.db2.gz OOPDCNTVTYWRQW-MNOVXSKESA-N 0 3 237.368 2.718 20 0 BFADHN C[C@]1(NCc2cc(F)cc(F)c2)CCCOC1 ZINC000221339642 384248598 /nfs/dbraw/zinc/24/85/98/384248598.db2.gz XHLZBWMRPYCPHF-ZDUSSCGKSA-N 0 3 241.281 2.624 20 0 BFADHN Cn1ccc(CN2CCC[C@@H]2C2CCCCC2)n1 ZINC000361158868 384250842 /nfs/dbraw/zinc/25/08/42/384250842.db2.gz XJLNXFXLFFAAPM-OAHLLOKOSA-N 0 3 247.386 2.965 20 0 BFADHN CC[C@H](NCc1cnn(C)c1C)c1ccccc1 ZINC000093650225 384257208 /nfs/dbraw/zinc/25/72/08/384257208.db2.gz AOVNAGOQECLHIF-HNNXBMFYSA-N 0 3 243.354 2.969 20 0 BFADHN CSCCCNCc1scnc1C1CC1 ZINC000336733459 384259595 /nfs/dbraw/zinc/25/95/95/384259595.db2.gz MLRMXDIOOCBJOY-UHFFFAOYSA-N 0 3 242.413 2.863 20 0 BFADHN Cc1cnc(CCN(C)Cc2ccco2)s1 ZINC000093773445 384268050 /nfs/dbraw/zinc/26/80/50/384268050.db2.gz MCSFDJMAVVNMDZ-UHFFFAOYSA-N 0 3 236.340 2.719 20 0 BFADHN Cc1ccc(CNCCCC(C)(F)F)nc1 ZINC000390054876 384270323 /nfs/dbraw/zinc/27/03/23/384270323.db2.gz MFUJGZXJPKJAQT-UHFFFAOYSA-N 0 3 228.286 2.915 20 0 BFADHN CCC[C@H](N)C(=O)N(CC1CC1)c1ccccc1 ZINC000119427122 384270979 /nfs/dbraw/zinc/27/09/79/384270979.db2.gz KWSWNQHMIKTFFZ-AWEZNQCLSA-N 0 3 246.354 2.557 20 0 BFADHN CCC[C@@](C)(N)C(=O)Nc1cccc(F)c1C ZINC000043321993 384271140 /nfs/dbraw/zinc/27/11/40/384271140.db2.gz FQARQFZFUWKXDM-CYBMUJFWSA-N 0 3 238.306 2.590 20 0 BFADHN Cc1ccc(F)c(CN(C)C[C@@H]2CCOC2)c1 ZINC000093839642 384272475 /nfs/dbraw/zinc/27/24/75/384272475.db2.gz CGMFFZKKIBSMGB-LBPRGKRZSA-N 0 3 237.318 2.602 20 0 BFADHN C[C@@H]1OCC[C@H]1NCc1ccc(Cl)cc1F ZINC000133116342 384275112 /nfs/dbraw/zinc/27/51/12/384275112.db2.gz NRBKQKARLBAAJA-QPUJVOFHSA-N 0 3 243.709 2.746 20 0 BFADHN C[C@H]1C[C@H](NCc2cc3ccccc3o2)CO1 ZINC000335435258 384276200 /nfs/dbraw/zinc/27/62/00/384276200.db2.gz JYBDJRGDDVBVLM-JQWIXIFHSA-N 0 3 231.295 2.700 20 0 BFADHN COc1cc(CN(C)C2CCC2)cc(OC)c1 ZINC000093475785 384241755 /nfs/dbraw/zinc/24/17/55/384241755.db2.gz ZXFSEGBNSGIGJS-UHFFFAOYSA-N 0 3 235.327 2.688 20 0 BFADHN CSCCCN(C)[C@H](C)c1ccncc1 ZINC000119794188 384305448 /nfs/dbraw/zinc/30/54/48/384305448.db2.gz VXKSUVRLNPIGKU-LLVKDONJSA-N 0 3 224.373 2.828 20 0 BFADHN CSCCCN(C)[C@@H](C)c1ccncc1 ZINC000119794055 384306738 /nfs/dbraw/zinc/30/67/38/384306738.db2.gz VXKSUVRLNPIGKU-NSHDSACASA-N 0 3 224.373 2.828 20 0 BFADHN C[C@@H](CO)N(C)Cc1ccc(Cl)c(Cl)c1 ZINC000158866492 384306969 /nfs/dbraw/zinc/30/69/69/384306969.db2.gz YOTULVXOBZZFNY-QMMMGPOBSA-N 0 3 248.153 2.806 20 0 BFADHN COC[C@H](C)N[C@@H]1CCCOc2ccccc21 ZINC000133680827 384308013 /nfs/dbraw/zinc/30/80/13/384308013.db2.gz MFXDEAJSJKIEQZ-WCQYABFASA-N 0 3 235.327 2.525 20 0 BFADHN CCN(CCc1nccs1)Cc1cccnc1 ZINC000159229988 384311850 /nfs/dbraw/zinc/31/18/50/384311850.db2.gz NTBCSHLQWCXASY-UHFFFAOYSA-N 0 3 247.367 2.603 20 0 BFADHN CN(Cc1ccccc1F)[C@@H]1CCSC1 ZINC000119944062 384319492 /nfs/dbraw/zinc/31/94/92/384319492.db2.gz LCQVDLSTIRIPAC-LLVKDONJSA-N 0 3 225.332 2.763 20 0 BFADHN C[C@@H](N[C@@H](C)CCCO)c1cc(F)cc(F)c1 ZINC000094942588 384320120 /nfs/dbraw/zinc/32/01/20/384320120.db2.gz HKPFFEUZGOVTQK-VHSXEESVSA-N 0 3 243.297 2.776 20 0 BFADHN CCc1nnc(CN2[C@H](C)C[C@H]3CCCC[C@@H]32)o1 ZINC000173891766 384280793 /nfs/dbraw/zinc/28/07/93/384280793.db2.gz FXNXRIGSSWXTMB-UTUOFQBUSA-N 0 3 249.358 2.785 20 0 BFADHN CCCC[C@H](C)N(CCCC)CC(=O)OC ZINC000559388298 384281170 /nfs/dbraw/zinc/28/11/70/384281170.db2.gz TWBMHULKMRXUNI-LBPRGKRZSA-N 0 3 229.364 2.840 20 0 BFADHN C[C@H](NC[C@@H]1CCCO1)c1ccccc1N(C)C ZINC000093941217 384282434 /nfs/dbraw/zinc/28/24/34/384282434.db2.gz FRZFDPBJZAFTJD-STQMWFEESA-N 0 3 248.370 2.582 20 0 BFADHN CCCC[C@H](CC)[NH2+]Cc1nnc(C(C)C)[n-]1 ZINC000449406071 384287720 /nfs/dbraw/zinc/28/77/20/384287720.db2.gz SAJIZNBENNLKAM-NSHDSACASA-N 0 3 238.379 2.987 20 0 BFADHN CCCC[C@H](CC)NCc1nnc(C(C)C)[nH]1 ZINC000449406071 384287727 /nfs/dbraw/zinc/28/77/27/384287727.db2.gz SAJIZNBENNLKAM-NSHDSACASA-N 0 3 238.379 2.987 20 0 BFADHN Cc1ccc(CNC[C@@H](C)CC(F)F)o1 ZINC000647225098 384288704 /nfs/dbraw/zinc/28/87/04/384288704.db2.gz NDVFFLHUSVDEMZ-QMMMGPOBSA-N 0 3 217.259 2.969 20 0 BFADHN CCCC[C@@H](C(=O)OC)N1C[C@H](C)C[C@H](C)C1 ZINC000168672281 384290312 /nfs/dbraw/zinc/29/03/12/384290312.db2.gz JMIQYCXGXRGTOD-XQQFMLRXSA-N 0 3 241.375 2.696 20 0 BFADHN CCC[C@@H](O)CN[C@@H](C)c1ccccc1F ZINC000133557531 384297801 /nfs/dbraw/zinc/29/78/01/384297801.db2.gz JSRHCOZEQGEJNW-WDEREUQCSA-N 0 3 225.307 2.637 20 0 BFADHN COc1ccc(CNCC(C)C)c(F)c1 ZINC000083346635 384299224 /nfs/dbraw/zinc/29/92/24/384299224.db2.gz NFFCOZLECSUOAG-UHFFFAOYSA-N 0 3 211.280 2.580 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1sc(C)nc1C ZINC000133587315 384300698 /nfs/dbraw/zinc/30/06/98/384300698.db2.gz CTIUFYYVTCWPPJ-LDYMZIIASA-N 0 3 242.388 2.572 20 0 BFADHN Cc1cc(C)nc(N[C@@H](C)CCn2cccn2)c1 ZINC000159334239 384322162 /nfs/dbraw/zinc/32/21/62/384322162.db2.gz GLEGBWFWCQTCPS-LBPRGKRZSA-N 0 3 244.342 2.786 20 0 BFADHN Cc1nnsc1CN[C@H]1CCCC[C@H]1C ZINC000335571490 384335333 /nfs/dbraw/zinc/33/53/33/384335333.db2.gz GDTYWCZRFYDNMA-SCZZXKLOSA-N 0 3 225.361 2.515 20 0 BFADHN CC[C@@H](COC)NCc1cc(C)ccc1F ZINC000120197199 384337832 /nfs/dbraw/zinc/33/78/32/384337832.db2.gz NCEABDWIVCYVAD-LBPRGKRZSA-N 0 3 225.307 2.649 20 0 BFADHN Cc1cc(CN2CCCO[C@H](C)C2)cc(C)c1O ZINC000134161582 384338103 /nfs/dbraw/zinc/33/81/03/384338103.db2.gz KLGFERQURKXWTB-CYBMUJFWSA-N 0 3 249.354 2.620 20 0 BFADHN Cc1ccc(CNC2CCSCC2)nc1C ZINC000314206095 384343349 /nfs/dbraw/zinc/34/33/49/384343349.db2.gz SACUHVVYGPRBLW-UHFFFAOYSA-N 0 3 236.384 2.684 20 0 BFADHN c1ccc(CN2C[C@H](C3CC3)[C@H]2C2CC2)nc1 ZINC000449535338 384347899 /nfs/dbraw/zinc/34/78/99/384347899.db2.gz HLQKZWWJJFGJRX-HUUCEWRRSA-N 0 3 228.339 2.702 20 0 BFADHN CN(Cc1cnn(C(C)(C)C)c1)C(C)(C)C ZINC000564990945 384349871 /nfs/dbraw/zinc/34/98/71/384349871.db2.gz QGKLLTIDTSHSCL-UHFFFAOYSA-N 0 3 223.364 2.868 20 0 BFADHN C[C@H](N[C@@H]1CCO[C@@H]1C)c1ccsc1 ZINC000134391522 384351785 /nfs/dbraw/zinc/35/17/85/384351785.db2.gz NJDUEPGLKWXKIV-IQJOONFLSA-N 0 3 211.330 2.576 20 0 BFADHN CS[C@H]1CCCCN(Cc2ccncc2)C1 ZINC000134554352 384365380 /nfs/dbraw/zinc/36/53/80/384365380.db2.gz LNYVEIIOOHWCHR-ZDUSSCGKSA-N 0 3 236.384 2.799 20 0 BFADHN Cc1nocc1CN[C@H]1CCCC(F)(F)C1 ZINC000334172579 384371188 /nfs/dbraw/zinc/37/11/88/384371188.db2.gz BTLXRLLYJBTWPC-JTQLQIEISA-N 0 3 230.258 2.651 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CCC[C@H](C)CC1 ZINC000168802231 384323337 /nfs/dbraw/zinc/32/33/37/384323337.db2.gz OTNNGVSKGJUYKQ-QWHCGFSZSA-N 0 3 241.375 2.840 20 0 BFADHN CC[C@@H](CCO)CNCc1cc2ccccc2o1 ZINC000094949352 384324421 /nfs/dbraw/zinc/32/44/21/384324421.db2.gz FGKWZRJOODZQDI-LBPRGKRZSA-N 0 3 247.338 2.931 20 0 BFADHN CC[C@H](CCO)CNCc1cc2ccccc2o1 ZINC000094949353 384324909 /nfs/dbraw/zinc/32/49/09/384324909.db2.gz FGKWZRJOODZQDI-GFCCVEGCSA-N 0 3 247.338 2.931 20 0 BFADHN CC(C)CO[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC000120030967 384326972 /nfs/dbraw/zinc/32/69/72/384326972.db2.gz YTEZCJLTPOBERS-UONOGXRCSA-N 0 3 248.370 2.890 20 0 BFADHN COc1cccc(OC)c1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000584243339 384327333 /nfs/dbraw/zinc/32/73/33/384327333.db2.gz XJVIBHLCMGJBPO-QHBPRCKVSA-N 0 3 247.338 2.592 20 0 BFADHN CCCn1nc(C)c(CN2CC[C@H]2C2CC2)c1C ZINC000449425590 384327374 /nfs/dbraw/zinc/32/73/74/384327374.db2.gz HZJKLDPEBVMYKI-HNNXBMFYSA-N 0 3 247.386 2.894 20 0 BFADHN CC(C)(C)[C@@H]1CC[C@@H]1[NH2+]Cc1nnc(C2CC2)[n-]1 ZINC000517509961 384330649 /nfs/dbraw/zinc/33/06/49/384330649.db2.gz NWQMSGVVJIKFOX-MNOVXSKESA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)(C)[C@@H]1CC[C@@H]1NCc1nnc(C2CC2)[nH]1 ZINC000517509961 384330656 /nfs/dbraw/zinc/33/06/56/384330656.db2.gz NWQMSGVVJIKFOX-MNOVXSKESA-N 0 3 248.374 2.596 20 0 BFADHN COc1cccc(CN2CC[C@H](C)[C@@H](C)C2)n1 ZINC000179684029 384330748 /nfs/dbraw/zinc/33/07/48/384330748.db2.gz QPJFWCYYNVRIFS-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN COC[C@@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC000045656198 384399178 /nfs/dbraw/zinc/39/91/78/384399178.db2.gz GFBNSCRGEWHVII-VHSXEESVSA-N 0 3 243.297 2.898 20 0 BFADHN COC(=O)c1ccc(CNC[C@@H]2CC=CCC2)o1 ZINC000045629233 384399886 /nfs/dbraw/zinc/39/98/86/384399886.db2.gz PZLGCHDNNZYYPK-LLVKDONJSA-N 0 3 249.310 2.512 20 0 BFADHN Cn1cccc1CN1CCCC(C)(C)C1 ZINC000121151249 384401965 /nfs/dbraw/zinc/40/19/65/384401965.db2.gz WOTDVOBHBZDGBZ-UHFFFAOYSA-N 0 3 206.333 2.647 20 0 BFADHN CC1(C)[C@@H](O)CCN1C/C=C/c1ccccc1 ZINC000335629998 384403443 /nfs/dbraw/zinc/40/34/43/384403443.db2.gz OYMCKGRQIGGGLC-MRZGDXHCSA-N 0 3 231.339 2.545 20 0 BFADHN CCc1ccc(NC(=O)CN(C)[C@H](C)CC)cc1 ZINC000170790430 384406987 /nfs/dbraw/zinc/40/69/87/384406987.db2.gz QLHNBWMYERTTHJ-GFCCVEGCSA-N 0 3 248.370 2.918 20 0 BFADHN C[C@@H](Cc1ccsc1)NCc1nccs1 ZINC000082394378 384422418 /nfs/dbraw/zinc/42/24/18/384422418.db2.gz GYVSYIMAKKCUTN-VIFPVBQESA-N 0 3 238.381 2.925 20 0 BFADHN Cc1noc(C)c1CNC[C@]1(C)CCCS1 ZINC000087620572 384423368 /nfs/dbraw/zinc/42/33/68/384423368.db2.gz SJIUNKIXALWYSW-LBPRGKRZSA-N 0 3 240.372 2.667 20 0 BFADHN COc1ccc(CN2CC(C3CC3)C2)cc1 ZINC000643346089 384423834 /nfs/dbraw/zinc/42/38/34/384423834.db2.gz ZPNNNGWXOICQDZ-UHFFFAOYSA-N 0 3 217.312 2.537 20 0 BFADHN C[C@@H](CO)N(C)Cc1ccccc1C(F)(F)F ZINC000135218056 384424610 /nfs/dbraw/zinc/42/46/10/384424610.db2.gz NGZGMFYAMXAZMX-VIFPVBQESA-N 0 3 247.260 2.518 20 0 BFADHN COCc1ccc(CNC[C@H](C)CC(F)F)o1 ZINC000647226072 384375262 /nfs/dbraw/zinc/37/52/62/384375262.db2.gz RNRFKZVFLUOZNS-SECBINFHSA-N 0 3 247.285 2.807 20 0 BFADHN Cc1ccc(CNCC[C@H]2CCCCO2)o1 ZINC000134671395 384378744 /nfs/dbraw/zinc/37/87/44/384378744.db2.gz XKRAXYKZJMCXKS-GFCCVEGCSA-N 0 3 223.316 2.637 20 0 BFADHN Cc1ccoc1CNCC[C@@H]1CCCCO1 ZINC000134670733 384379480 /nfs/dbraw/zinc/37/94/80/384379480.db2.gz FZYITJXECYWNBT-LBPRGKRZSA-N 0 3 223.316 2.637 20 0 BFADHN COCc1cccc(CN2CC(C3CC3)C2)c1 ZINC000643345443 384381224 /nfs/dbraw/zinc/38/12/24/384381224.db2.gz YOEHOYGRTCULNS-UHFFFAOYSA-N 0 3 231.339 2.675 20 0 BFADHN C[C@@H](NCC[C@H]1CCCCO1)c1ccco1 ZINC000134728971 384381603 /nfs/dbraw/zinc/38/16/03/384381603.db2.gz ASNGCSISOLUOTI-VXGBXAGGSA-N 0 3 223.316 2.889 20 0 BFADHN C[C@@H](CO)N(C)Cc1ccc(C(F)(F)F)cc1 ZINC000135217806 384425779 /nfs/dbraw/zinc/42/57/79/384425779.db2.gz CUFNSYIZNMIXEM-VIFPVBQESA-N 0 3 247.260 2.518 20 0 BFADHN COC(C)(C)C[C@H](C)N[C@H](C)c1cncs1 ZINC000121963057 384447030 /nfs/dbraw/zinc/44/70/30/384447030.db2.gz GXNVDFWSXQPGAM-VHSXEESVSA-N 0 3 242.388 2.997 20 0 BFADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1ccncc1 ZINC000160949745 384448228 /nfs/dbraw/zinc/44/82/28/384448228.db2.gz BHIREQXKYNJDLL-IACUBPJLSA-N 0 3 234.343 2.690 20 0 BFADHN CC(C)[C@H](O)CN[C@H](C)c1ccc(F)c(F)c1 ZINC000135465523 384448842 /nfs/dbraw/zinc/44/88/42/384448842.db2.gz BZVQQWURGPKPRS-NOZJJQNGSA-N 0 3 243.297 2.632 20 0 BFADHN CCC[C@H](CCO)CN[C@H](C)c1cncs1 ZINC000122039704 384453165 /nfs/dbraw/zinc/45/31/65/384453165.db2.gz CEOHCUDZCANOJX-GHMZBOCLSA-N 0 3 242.388 2.592 20 0 BFADHN c1c2ccccc2oc1CNCCOCC1CC1 ZINC000224525397 384440239 /nfs/dbraw/zinc/44/02/39/384440239.db2.gz REDVKQUPTIIXKY-UHFFFAOYSA-N 0 3 245.322 2.949 20 0 BFADHN COc1ccccc1C1(N[C@H](C)[C@H](C)OC)CC1 ZINC000647329030 384442817 /nfs/dbraw/zinc/44/28/17/384442817.db2.gz DOOVYMGGDBJPLH-NEPJUHHUSA-N 0 3 249.354 2.697 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2ccccc2Cl)O1 ZINC000148365931 384464601 /nfs/dbraw/zinc/46/46/01/384464601.db2.gz BHNSNAGIVDDALF-JQWIXIFHSA-N 0 3 239.746 2.997 20 0 BFADHN C[C@H]1C[C@H](NCc2ccc(Cl)c(F)c2)CO1 ZINC000335662001 384466831 /nfs/dbraw/zinc/46/68/31/384466831.db2.gz AKAKLQSZBQWJOH-WPRPVWTQSA-N 0 3 243.709 2.746 20 0 BFADHN C[C@H]1CC[C@H](CNCc2ccc(Cl)cc2)O1 ZINC000148450136 384466922 /nfs/dbraw/zinc/46/69/22/384466922.db2.gz WFDHJTMWPWKSQM-GXFFZTMASA-N 0 3 239.746 2.997 20 0 BFADHN CCCCN(Cc1ccc(CO)o1)C1CC1 ZINC000161157948 384469189 /nfs/dbraw/zinc/46/91/89/384469189.db2.gz QZTRPILZJYBFKP-UHFFFAOYSA-N 0 3 223.316 2.536 20 0 BFADHN CC[C@@H](C)CN1CCC[C@H]1C(=O)OC(C)(C)C ZINC000148950822 384472300 /nfs/dbraw/zinc/47/23/00/384472300.db2.gz LZNDXCPZGJAYPB-NEPJUHHUSA-N 0 3 241.375 2.839 20 0 BFADHN COC[C@H](NC1CCCCC1)c1ccco1 ZINC000122314118 384474528 /nfs/dbraw/zinc/47/45/28/384474528.db2.gz VEBUEZQIXFGSDW-LBPRGKRZSA-N 0 3 223.316 2.889 20 0 BFADHN CSCCN(C)Cc1ccccc1C ZINC000096954010 384484495 /nfs/dbraw/zinc/48/44/95/384484495.db2.gz FMJTUEGRAGMZMA-UHFFFAOYSA-N 0 3 209.358 2.790 20 0 BFADHN C[C@@H](F)CCN1CCC[C@@H]1c1ccccn1 ZINC000556811481 384497205 /nfs/dbraw/zinc/49/72/05/384497205.db2.gz LQFLJJZLKJCNLA-DGCLKSJQSA-N 0 3 222.307 2.967 20 0 BFADHN C[C@@H](NC1CCSCC1)c1ccc(F)cn1 ZINC000161583101 384505122 /nfs/dbraw/zinc/50/51/22/384505122.db2.gz IGCANGHRAXQUEU-SECBINFHSA-N 0 3 240.347 2.767 20 0 BFADHN CN(CCc1ccc(F)cc1)Cc1cccn1C ZINC000097268650 384505871 /nfs/dbraw/zinc/50/58/71/384505871.db2.gz CZBPZGZYYKLXMV-UHFFFAOYSA-N 0 3 246.329 2.839 20 0 BFADHN COC(CN(C)[C@H](C)c1ccc(C)cc1)OC ZINC000136740690 384506602 /nfs/dbraw/zinc/50/66/02/384506602.db2.gz HTBWKPMWVKYCNL-GFCCVEGCSA-N 0 3 237.343 2.607 20 0 BFADHN CC[C@@H](COC)Nc1cccc(CN(C)C)c1 ZINC000079709319 384512024 /nfs/dbraw/zinc/51/20/24/384512024.db2.gz NDUAYKSQAWBRIQ-ZDUSSCGKSA-N 0 3 236.359 2.585 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1ccccc1OC ZINC000079706883 384508336 /nfs/dbraw/zinc/50/83/36/384508336.db2.gz CAMWTDGTILKPQI-RYUDHWBXSA-N 0 3 237.343 2.771 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1cccc(OC)c1 ZINC000079712547 384512096 /nfs/dbraw/zinc/51/20/96/384512096.db2.gz AKCKMJBLDFWHDP-DGCLKSJQSA-N 0 3 237.343 2.771 20 0 BFADHN CC[C@H](Cc1ccccc1)N[C@@H](C)CF ZINC000290252900 384487091 /nfs/dbraw/zinc/48/70/91/384487091.db2.gz VVYBDUBQWVOXGF-WCQYABFASA-N 0 3 209.308 2.955 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1n[nH]c(C(C)C)n1 ZINC000428256164 384488253 /nfs/dbraw/zinc/48/82/53/384488253.db2.gz NFEMASBIJDJTKM-QWRGUYRKSA-N 0 3 236.363 2.549 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1n[nH]c(C(C)C)n1 ZINC000428256165 384488317 /nfs/dbraw/zinc/48/83/17/384488317.db2.gz NFEMASBIJDJTKM-WDEREUQCSA-N 0 3 236.363 2.549 20 0 BFADHN CC[C@H](N[C@H](CO)C(C)C)c1ccccc1F ZINC000122558235 384492416 /nfs/dbraw/zinc/49/24/16/384492416.db2.gz IJHDQHQHWBGJEN-UONOGXRCSA-N 0 3 239.334 2.883 20 0 BFADHN C[C@@H]1C[C@H](NCc2nccc3ccccc32)CO1 ZINC000647176382 384493623 /nfs/dbraw/zinc/49/36/23/384493623.db2.gz FICFIGZWOZSPQG-YPMHNXCESA-N 0 3 242.322 2.502 20 0 BFADHN CCCc1cc(NCCO)c2ccccc2n1 ZINC000122838235 384514552 /nfs/dbraw/zinc/51/45/52/384514552.db2.gz LAXXRJDVBQBUAN-UHFFFAOYSA-N 0 3 230.311 2.592 20 0 BFADHN CCOc1ccc(CN(C)CCSC)cc1 ZINC000170696137 384514672 /nfs/dbraw/zinc/51/46/72/384514672.db2.gz SNDFPDKDHXGGDP-UHFFFAOYSA-N 0 3 239.384 2.880 20 0 BFADHN CC[C@H]1CCCCN1Cc1cccc(C(N)=O)c1 ZINC000047461399 384515873 /nfs/dbraw/zinc/51/58/73/384515873.db2.gz YHNOZFLHVOZPMY-AWEZNQCLSA-N 0 3 246.354 2.550 20 0 BFADHN c1csc(CN[C@H]2CCCC23CCC3)n1 ZINC000334758942 384516606 /nfs/dbraw/zinc/51/66/06/384516606.db2.gz XGSOHMRZGVOYCH-JTQLQIEISA-N 0 3 222.357 2.956 20 0 BFADHN CC(C)CNCc1ncc(Cl)s1 ZINC000085352201 384517073 /nfs/dbraw/zinc/51/70/73/384517073.db2.gz CNMZOUKKNYIAOS-UHFFFAOYSA-N 0 3 204.726 2.542 20 0 BFADHN C[C@H](CCCO)N[C@@H](C)c1cccnc1Cl ZINC000122886991 384519081 /nfs/dbraw/zinc/51/90/81/384519081.db2.gz WSGDUCIODDBHDN-ZJUUUORDSA-N 0 3 242.750 2.547 20 0 BFADHN C[C@@H](N[C@@H](C)CCO)c1cc2ccccc2o1 ZINC000122923677 384522460 /nfs/dbraw/zinc/52/24/60/384522460.db2.gz HOGAEOBOSWYNDZ-WDEREUQCSA-N 0 3 233.311 2.854 20 0 BFADHN c1coc(CNCCCNc2ccccc2)c1 ZINC000123137497 384536254 /nfs/dbraw/zinc/53/62/54/384536254.db2.gz VRSSEWYSCCKXNP-UHFFFAOYSA-N 0 3 230.311 2.871 20 0 BFADHN CC[C@H](C)N(C)Cc1sc(C)nc1C ZINC000172775310 384528850 /nfs/dbraw/zinc/52/88/50/384528850.db2.gz DGVOQRQLLMYWJA-QMMMGPOBSA-N 0 3 212.362 2.990 20 0 BFADHN CC(C)n1cc([C@@H](C)NCC[C@H](C)F)cn1 ZINC000309569181 384528796 /nfs/dbraw/zinc/52/87/96/384528796.db2.gz NDFZKXDPUHNDIN-WDEREUQCSA-N 0 3 227.327 2.863 20 0 BFADHN CC(C)[C@H]1C[C@H](NCc2ccoc2)CS1 ZINC000276835590 384532404 /nfs/dbraw/zinc/53/24/04/384532404.db2.gz BSCYLXJMYWPAHX-NWDGAFQWSA-N 0 3 225.357 2.899 20 0 BFADHN CCOCCN[C@@H]1CCCOc2ccccc21 ZINC000161938970 384546235 /nfs/dbraw/zinc/54/62/35/384546235.db2.gz IEFYRCYCMNFBCL-CYBMUJFWSA-N 0 3 235.327 2.526 20 0 BFADHN CSCCN(C)CCO[C@H]1CCCC[C@H]1C ZINC000151161896 384547024 /nfs/dbraw/zinc/54/70/24/384547024.db2.gz LICZMNTVIIGJLT-OLZOCXBDSA-N 0 3 245.432 2.877 20 0 BFADHN Cc1oc2ccccc2c1CNC[C@H](O)C1CC1 ZINC000123313593 384549389 /nfs/dbraw/zinc/54/93/89/384549389.db2.gz GHVRNMBPNJULGH-AWEZNQCLSA-N 0 3 245.322 2.602 20 0 BFADHN CCc1ccc([C@@H](C)NCCCn2ccnc2)o1 ZINC000151162090 384550237 /nfs/dbraw/zinc/55/02/37/384550237.db2.gz IJPPVAWLUCLQIO-GFCCVEGCSA-N 0 3 247.342 2.779 20 0 BFADHN C[C@@H](NCCN1CCCCC1)c1cccc(O)c1 ZINC000049574569 384550637 /nfs/dbraw/zinc/55/06/37/384550637.db2.gz UDIVMCATDNFRGH-CYBMUJFWSA-N 0 3 248.370 2.529 20 0 BFADHN C[C@H](N[C@@H]1CCOC(C)(C)C1)c1cscn1 ZINC000161978371 384552131 /nfs/dbraw/zinc/55/21/31/384552131.db2.gz AWTHSPOFSHIWSJ-VHSXEESVSA-N 0 3 240.372 2.751 20 0 BFADHN CC(C)CSCCCN[C@@H](C)c1cn[nH]c1 ZINC000309715838 384556083 /nfs/dbraw/zinc/55/60/83/384556083.db2.gz YTIXFRKZDFTVJU-NSHDSACASA-N 0 3 241.404 2.840 20 0 BFADHN CCC[C@@H](C)CN1CC[S@@](=O)C(C)(C)CC1 ZINC000449570338 384559542 /nfs/dbraw/zinc/55/95/42/384559542.db2.gz HCBQYVDKXIWRSP-MLGOLLRUSA-N 0 3 245.432 2.656 20 0 BFADHN Cc1ccc([C@H](C)NC2CCC(O)CC2)o1 ZINC000019918737 384559831 /nfs/dbraw/zinc/55/98/31/384559831.db2.gz RPCKBRBGBSKGQG-UNXYVOJBSA-N 0 3 223.316 2.542 20 0 BFADHN CCOCCN[C@@H](CC(C)C)c1ccccn1 ZINC000161891412 384537144 /nfs/dbraw/zinc/53/71/44/384537144.db2.gz GWLFXZISCVCXLZ-AWEZNQCLSA-N 0 3 236.359 2.795 20 0 BFADHN C[C@]1(O)CCN(Cc2ccc(C(F)F)cc2)C1 ZINC000183955409 384541631 /nfs/dbraw/zinc/54/16/31/384541631.db2.gz CFFJTRVHURCIQU-ZDUSSCGKSA-N 0 3 241.281 2.581 20 0 BFADHN c1cc2c(c(CN3CC[C@H]4CCC[C@H]43)c1)OCO2 ZINC000428452098 384568360 /nfs/dbraw/zinc/56/83/60/384568360.db2.gz JFGNJHIEVJDLGR-DGCLKSJQSA-N 0 3 245.322 2.790 20 0 BFADHN CC[C@@H](F)CN1CCC[C@H]1CSC ZINC000308293533 384571822 /nfs/dbraw/zinc/57/18/22/384571822.db2.gz IWHDMRRDEMUVJB-ZJUUUORDSA-N 0 3 205.342 2.562 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCCO2)s1 ZINC000102774601 384575905 /nfs/dbraw/zinc/57/59/05/384575905.db2.gz XCYRPPVSGVFUAI-LLVKDONJSA-N 0 3 225.357 2.667 20 0 BFADHN CC[C@H](C)C[C@H](CO)N[C@H](C)c1ccncc1 ZINC000647336702 384576884 /nfs/dbraw/zinc/57/68/84/384576884.db2.gz FADIYWAFQBMLEW-OUCADQQQSA-N 0 3 236.359 2.529 20 0 BFADHN C[C@H](CN[C@@H](C)c1cccnc1)C(F)(F)F ZINC000309747953 384576832 /nfs/dbraw/zinc/57/68/32/384576832.db2.gz JRASZRVKMCKQBK-BDAKNGLRSA-N 0 3 232.249 2.931 20 0 BFADHN CCC[C@@H](O)CNCc1cc(Cl)cs1 ZINC000183871109 384579270 /nfs/dbraw/zinc/57/92/70/384579270.db2.gz GTXCNLOXLSSWMI-SECBINFHSA-N 0 3 233.764 2.652 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CCCC(F)(F)F)n1 ZINC000097988874 384582332 /nfs/dbraw/zinc/58/23/32/384582332.db2.gz YIMUIVNWMMITHH-UHFFFAOYSA-N 0 3 248.248 2.528 20 0 BFADHN c1cc(CN[C@@H]2CCc3ccccc32)c[nH]1 ZINC000080773324 384583069 /nfs/dbraw/zinc/58/30/69/384583069.db2.gz IRIJSIHVYONESZ-CQSZACIVSA-N 0 3 212.296 2.792 20 0 BFADHN FCC1CCN(C/C=C/c2ccncc2)CC1 ZINC000449450690 384583423 /nfs/dbraw/zinc/58/34/23/384583423.db2.gz BQLFGUJTRDURRU-OWOJBTEDSA-N 0 3 234.318 2.776 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2NCc1cccnc1 ZINC000020140875 384587086 /nfs/dbraw/zinc/58/70/86/384587086.db2.gz CFQZMOQYVQNXJJ-HNNXBMFYSA-N 0 3 224.307 2.859 20 0 BFADHN CCC(CC)[C@H](O)CN[C@@H](C)c1cncs1 ZINC000162200968 384587335 /nfs/dbraw/zinc/58/73/35/384587335.db2.gz QYYORVAPMLCCKD-GXSJLCMTSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@H]1[C@@H](CO)CCN1Cc1cccc(Cl)c1 ZINC000335897407 384588861 /nfs/dbraw/zinc/58/88/61/384588861.db2.gz IGYJOHAXRSJJPS-CMPLNLGQSA-N 0 3 239.746 2.543 20 0 BFADHN c1ccc2c(c1)SCC[C@H]2NC[C@H]1CCCO1 ZINC000019963708 384563749 /nfs/dbraw/zinc/56/37/49/384563749.db2.gz STDMFUYNWMLAOE-DGCLKSJQSA-N 0 3 249.379 2.992 20 0 BFADHN C[C@@H](NC[C@H]1CCCO1)c1ccccc1F ZINC000019963572 384563984 /nfs/dbraw/zinc/56/39/84/384563984.db2.gz VCUVKGXINYZPRO-GHMZBOCLSA-N 0 3 223.291 2.655 20 0 BFADHN C[C@H](CF)NCc1cc2ccc(F)cc2[nH]1 ZINC000309719321 384564187 /nfs/dbraw/zinc/56/41/87/384564187.db2.gz BIECAKXAJAKVFL-MRVPVSSYSA-N 0 3 224.254 2.755 20 0 BFADHN COc1ncc(CN(C)CC(C)C)cc1Cl ZINC000533559136 384565438 /nfs/dbraw/zinc/56/54/38/384565438.db2.gz IBYAVEKVECAADS-UHFFFAOYSA-N 0 3 242.750 2.831 20 0 BFADHN CCC(CC)(CC)NCc1cc(C)n(C)n1 ZINC000309723326 384565758 /nfs/dbraw/zinc/56/57/58/384565758.db2.gz WXRQVEMUVNHHJG-UHFFFAOYSA-N 0 3 223.364 2.787 20 0 BFADHN COCc1ccc(CNC[C@@H]2CC[C@H](C)C2)o1 ZINC000355597731 384603974 /nfs/dbraw/zinc/60/39/74/384603974.db2.gz KWRQVOQNBKJGNL-NWDGAFQWSA-N 0 3 237.343 2.952 20 0 BFADHN c1cnc2ccc(CNC[C@@H]3CCCO3)cc2c1 ZINC000020248279 384604198 /nfs/dbraw/zinc/60/41/98/384604198.db2.gz IPXZUYBTWHURLC-AWEZNQCLSA-N 0 3 242.322 2.503 20 0 BFADHN COc1ccccc1[C@H](CO)N[C@@H]1CCC1(C)C ZINC000517976487 384604462 /nfs/dbraw/zinc/60/44/62/384604462.db2.gz PVGUDNNRCABUDR-GXTWGEPZSA-N 0 3 249.354 2.507 20 0 BFADHN COCc1ccc(CNC[C@H]2CC[C@H](C)C2)o1 ZINC000355597733 384604556 /nfs/dbraw/zinc/60/45/56/384604556.db2.gz KWRQVOQNBKJGNL-RYUDHWBXSA-N 0 3 237.343 2.952 20 0 BFADHN CC(C)[C@@H](C)N[C@H](CCO)c1ccccc1F ZINC000647346901 384607410 /nfs/dbraw/zinc/60/74/10/384607410.db2.gz PKSIOXPWGWCZGP-BXUZGUMPSA-N 0 3 239.334 2.883 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2cnn(C)c2)s1 ZINC000050225423 384609031 /nfs/dbraw/zinc/60/90/31/384609031.db2.gz LQSCNRSAESDVGD-JTQLQIEISA-N 0 3 235.356 2.641 20 0 BFADHN CC[C@@H](CSC)N[C@@H](C)c1cn(C)nc1C ZINC000162386812 384611828 /nfs/dbraw/zinc/61/18/28/384611828.db2.gz ORHRVZHPOAMHON-ONGXEEELSA-N 0 3 241.404 2.521 20 0 BFADHN CC[C@H](CSC)N[C@H](C)c1cn(C)nc1C ZINC000162387181 384611961 /nfs/dbraw/zinc/61/19/61/384611961.db2.gz ORHRVZHPOAMHON-MWLCHTKSSA-N 0 3 241.404 2.521 20 0 BFADHN C[C@H](NC[C@@H]1CCC=CO1)c1cccc(O)c1 ZINC000050269040 384613688 /nfs/dbraw/zinc/61/36/88/384613688.db2.gz QWAVAVAIIJUARR-FZMZJTMJSA-N 0 3 233.311 2.736 20 0 BFADHN C[C@H](Cn1cccn1)N[C@@H](C)c1ccsc1 ZINC000050343335 384617414 /nfs/dbraw/zinc/61/74/14/384617414.db2.gz WFXBLDNKHKXFEX-MNOVXSKESA-N 0 3 235.356 2.684 20 0 BFADHN C[C@@H](N[C@@H](C)Cn1cccn1)c1ccsc1 ZINC000050343336 384617698 /nfs/dbraw/zinc/61/76/98/384617698.db2.gz WFXBLDNKHKXFEX-WDEREUQCSA-N 0 3 235.356 2.684 20 0 BFADHN COC[C@H](C)CN1CC(C)(C)[C@H]1c1ccncc1 ZINC000556889392 384617928 /nfs/dbraw/zinc/61/79/28/384617928.db2.gz UJZWKVDBJFJSJW-TZMCWYRMSA-N 0 3 248.370 2.747 20 0 BFADHN CCC1CC(NCc2nc(C)cs2)C1 ZINC000336651760 384618751 /nfs/dbraw/zinc/61/87/51/384618751.db2.gz JYMFJBWYYDRJQO-UHFFFAOYSA-N 0 3 210.346 2.730 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2cncnc2OC)C1 ZINC000647185682 384619354 /nfs/dbraw/zinc/61/93/54/384619354.db2.gz NLKPQDDTTASNMW-DGCLKSJQSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@@H](NC[C@@H]1CCO[C@H](C)C1)c1ccco1 ZINC000271590754 384620467 /nfs/dbraw/zinc/62/04/67/384620467.db2.gz SIYVCHXDWCWCRM-IJLUTSLNSA-N 0 3 223.316 2.745 20 0 BFADHN C[C@@H]1CCC[C@H](CCN2CCN(C)C[C@@H]2C)C1 ZINC000362483949 384620758 /nfs/dbraw/zinc/62/07/58/384620758.db2.gz HHVLEDLFIQNWPR-QLFBSQMISA-N 0 3 238.419 2.839 20 0 BFADHN CC(C)CC[C@@H](C)NCc1ccc(CO)o1 ZINC000081546899 384623538 /nfs/dbraw/zinc/62/35/38/384623538.db2.gz RKTBQNNHNAUEMX-LLVKDONJSA-N 0 3 225.332 2.686 20 0 BFADHN C[C@H](CN1CCOCC1)C1CCCCC1 ZINC000336651535 384624178 /nfs/dbraw/zinc/62/41/78/384624178.db2.gz AOBFITGCPLSGCI-GFCCVEGCSA-N 0 3 211.349 2.535 20 0 BFADHN C[C@@H](NCC1CCC(F)CC1)c1ccncn1 ZINC000647350460 384624828 /nfs/dbraw/zinc/62/48/28/384624828.db2.gz YMSDLBJIUOAHEC-VOMCLLRMSA-N 0 3 237.322 2.656 20 0 BFADHN Cc1csc(CN[C@H](C)C(C)(C)C)n1 ZINC000117386123 384627850 /nfs/dbraw/zinc/62/78/50/384627850.db2.gz DYJYNCOBPDCUAT-SECBINFHSA-N 0 3 212.362 2.976 20 0 BFADHN CN(Cc1ccco1)[C@@H](CO)CC(C)(C)C ZINC000449590461 384630647 /nfs/dbraw/zinc/63/06/47/384630647.db2.gz VFQIQSOPZPDTSR-LLVKDONJSA-N 0 3 225.332 2.509 20 0 BFADHN CC[C@H](CO)N[C@@H](C)c1cc2ccccc2o1 ZINC000020195729 384595159 /nfs/dbraw/zinc/59/51/59/384595159.db2.gz GLFDLPOVEMNGSS-CMPLNLGQSA-N 0 3 233.311 2.854 20 0 BFADHN Cc1cccnc1[C@H](N[C@@H]1CO[C@@H](C)C1)C(C)C ZINC000647183655 384601561 /nfs/dbraw/zinc/60/15/61/384601561.db2.gz GYTALMVCHDXLPA-MELADBBJSA-N 0 3 248.370 2.854 20 0 BFADHN CO[C@H](CN[C@@H](C)c1cccnc1)C(C)(C)C ZINC000173210773 384663883 /nfs/dbraw/zinc/66/38/83/384663883.db2.gz QRGDOTJQWCASIM-WCQYABFASA-N 0 3 236.359 2.793 20 0 BFADHN CCC[C@H](C)CNCc1cnccc1OC ZINC000309845513 384664070 /nfs/dbraw/zinc/66/40/70/384664070.db2.gz SUFDBILKKNIENP-NSHDSACASA-N 0 3 222.332 2.616 20 0 BFADHN C[C@@H](CNCc1cc(Cl)ccc1F)N(C)C ZINC000162693322 384666932 /nfs/dbraw/zinc/66/69/32/384666932.db2.gz REPODOFJQGWRAA-VIFPVBQESA-N 0 3 244.741 2.519 20 0 BFADHN CCCCNC(=O)CN[C@H](CC)c1ccccc1 ZINC000051627661 384674760 /nfs/dbraw/zinc/67/47/60/384674760.db2.gz MLOKHYUMEXLTEY-CQSZACIVSA-N 0 3 248.370 2.644 20 0 BFADHN CC(C)C[C@H](N[C@H]1CO[C@@H](C)C1)c1ccncc1 ZINC000647351098 384636547 /nfs/dbraw/zinc/63/65/47/384636547.db2.gz MSSUCWIWTQTMEV-CFVMTHIKSA-N 0 3 248.370 2.936 20 0 BFADHN Clc1csc(CNC[C@H]2CCCO2)c1 ZINC000086332162 384640216 /nfs/dbraw/zinc/64/02/16/384640216.db2.gz BLWDIRBSNXZZEE-SECBINFHSA-N 0 3 231.748 2.670 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2CC[C@@H]3C[C@@H]3C2)no1 ZINC000647354177 384640416 /nfs/dbraw/zinc/64/04/16/384640416.db2.gz GNRXKIQBOCUYDN-IRCOFANPSA-N 0 3 220.316 2.822 20 0 BFADHN c1ccc(CN2CCOCC3(CCC3)C2)cc1 ZINC000363915147 384647303 /nfs/dbraw/zinc/64/73/03/384647303.db2.gz XWWSYLAEDXMWDN-UHFFFAOYSA-N 0 3 231.339 2.689 20 0 BFADHN C[C@@H](NCc1ccc(Cl)o1)[C@@H]1CCCOC1 ZINC000309826009 384647895 /nfs/dbraw/zinc/64/78/95/384647895.db2.gz XIZZBQFJHTWDCX-NXEZZACHSA-N 0 3 243.734 2.838 20 0 BFADHN Cc1cncc([C@H](C)N[C@H]2CCS[C@@H]2C)c1 ZINC000647359425 384652636 /nfs/dbraw/zinc/65/26/36/384652636.db2.gz HCIAJOMJRXQFFL-LOWVWBTDSA-N 0 3 236.384 2.935 20 0 BFADHN C[C@H]1c2ccsc2CCN1CCCCCO ZINC000051020051 384654317 /nfs/dbraw/zinc/65/43/17/384654317.db2.gz XKMIQFHJKVMDRC-NSHDSACASA-N 0 3 239.384 2.830 20 0 BFADHN C[C@@H]1c2ccsc2CCN1CCCCCO ZINC000051020050 384654771 /nfs/dbraw/zinc/65/47/71/384654771.db2.gz XKMIQFHJKVMDRC-LLVKDONJSA-N 0 3 239.384 2.830 20 0 BFADHN CCC[C@@H](NC[C@H]1CCCO1)c1cccnc1 ZINC000184850583 384656163 /nfs/dbraw/zinc/65/61/63/384656163.db2.gz OVJIPSKKITZILP-ZIAGYGMSSA-N 0 3 234.343 2.691 20 0 BFADHN C[C@H](NCCCCCO)c1ccccc1F ZINC000051021118 384656454 /nfs/dbraw/zinc/65/64/54/384656454.db2.gz OIAJFIWFHHQEQO-NSHDSACASA-N 0 3 225.307 2.639 20 0 BFADHN CN(Cc1cccc(Cl)c1)CC(C)(C)CO ZINC000051294234 384661002 /nfs/dbraw/zinc/66/10/02/384661002.db2.gz IONPGNDPZUHLDU-UHFFFAOYSA-N 0 3 241.762 2.790 20 0 BFADHN CC/C=C/CCN[C@@H](C)c1nnc2ccccn21 ZINC000185439672 384723459 /nfs/dbraw/zinc/72/34/59/384723459.db2.gz GZVAUHPPKPOSAV-ITKZLYELSA-N 0 3 244.342 2.736 20 0 BFADHN Cc1cc(CN(C)CC[C@H](C)O)c(C)s1 ZINC000173819471 384723965 /nfs/dbraw/zinc/72/39/65/384723965.db2.gz MTQJCQBPGHWZIY-VIFPVBQESA-N 0 3 227.373 2.568 20 0 BFADHN CSCCCN[C@H](C)c1ncc(C)s1 ZINC000125986246 384724332 /nfs/dbraw/zinc/72/43/32/384724332.db2.gz LFBYUNSAYSJUFI-SECBINFHSA-N 0 3 230.402 2.855 20 0 BFADHN CCOCCN(C)Cc1ccc2[nH]ccc2c1 ZINC000643889196 384725677 /nfs/dbraw/zinc/72/56/77/384725677.db2.gz JRUDFKBRGUQSOH-UHFFFAOYSA-N 0 3 232.327 2.636 20 0 BFADHN Oc1cccc2c1CCC[C@H]2N[C@H]1CC12CC2 ZINC000335249084 384677521 /nfs/dbraw/zinc/67/75/21/384677521.db2.gz OBHWAXDPRPNXTC-OCCSQVGLSA-N 0 3 229.323 2.912 20 0 BFADHN CCCCN(CCO)Cc1cccc(Cl)c1 ZINC000049800145 384678246 /nfs/dbraw/zinc/67/82/46/384678246.db2.gz QUATXEGXDLISGM-UHFFFAOYSA-N 0 3 241.762 2.934 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CC23CC3)c1 ZINC000335250827 384678434 /nfs/dbraw/zinc/67/84/34/384678434.db2.gz XUBJXDGCYGXAMN-CMPLNLGQSA-N 0 3 202.301 2.593 20 0 BFADHN COc1ccc2c(c1F)CC[C@H]2N[C@H]1CC12CC2 ZINC000335249771 384678595 /nfs/dbraw/zinc/67/85/95/384678595.db2.gz RKZALNANPUYCOU-YPMHNXCESA-N 0 3 247.313 2.964 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1ccncc1Cl ZINC000335249716 384679566 /nfs/dbraw/zinc/67/95/66/384679566.db2.gz PMHTZJXMWABWID-LDYMZIIASA-N 0 3 222.719 2.938 20 0 BFADHN Oc1cccc2c1CCC[C@@H]2N[C@H]1CC12CC2 ZINC000335249083 384680058 /nfs/dbraw/zinc/68/00/58/384680058.db2.gz OBHWAXDPRPNXTC-JSGCOSHPSA-N 0 3 229.323 2.912 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C2(C)CCCC2)n1 ZINC000082424863 384683272 /nfs/dbraw/zinc/68/32/72/384683272.db2.gz XOBJRLFEOQMYFN-UHFFFAOYSA-N 0 3 220.316 2.518 20 0 BFADHN Cc1csc(CN2CCC[C@H]2C2CC2)n1 ZINC000363616218 384683290 /nfs/dbraw/zinc/68/32/90/384683290.db2.gz CSLLGZRCOITXHD-NSHDSACASA-N 0 3 222.357 2.826 20 0 BFADHN CS[C@H](CNCc1ccccn1)C(C)(C)C ZINC000309873923 384684543 /nfs/dbraw/zinc/68/45/43/384684543.db2.gz RTOFOXDCHNNUDH-GFCCVEGCSA-N 0 3 238.400 2.949 20 0 BFADHN COc1ncncc1CN[C@H]1CCCCC1(C)C ZINC000647191655 384726437 /nfs/dbraw/zinc/72/64/37/384726437.db2.gz XBAQJSUJLXBUIC-LBPRGKRZSA-N 0 3 249.358 2.544 20 0 BFADHN CS[C@@H](CNCc1cccnc1)C(C)(C)C ZINC000309886671 384691745 /nfs/dbraw/zinc/69/17/45/384691745.db2.gz YRRVFQQQFFXNOT-LBPRGKRZSA-N 0 3 238.400 2.949 20 0 BFADHN C[C@@H]1C[C@H](NC[C@@H]2CC=CCC2)c2nccn21 ZINC000294109435 384692004 /nfs/dbraw/zinc/69/20/04/384692004.db2.gz NBJAEASPQDDYSS-UPJWGTAASA-N 0 3 231.343 2.835 20 0 BFADHN CCC1(CNCc2ccnn2CC(C)C)CC1 ZINC000647190277 384697515 /nfs/dbraw/zinc/69/75/15/384697515.db2.gz QOJHCKLIFWPTHK-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN Cc1cc(CN2CC(C)(C(N)=O)C2)c(C)s1 ZINC000335395655 384700310 /nfs/dbraw/zinc/70/03/10/384700310.db2.gz DEAOLRAGHLXKCN-UHFFFAOYSA-N 0 3 238.356 2.722 20 0 BFADHN C[C@@H](NC[C@@H]1C[C@H]1C1CC1)c1nccs1 ZINC000393507919 384700544 /nfs/dbraw/zinc/70/05/44/384700544.db2.gz HZTMUJWBYBBUJC-MIMYLULJSA-N 0 3 222.357 2.840 20 0 BFADHN Cn1ccc(CN[C@@H]2CCCC23CCCC3)n1 ZINC000335919437 384706117 /nfs/dbraw/zinc/70/61/17/384706117.db2.gz FGIFELUMBKCGQR-CYBMUJFWSA-N 0 3 233.359 2.623 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1ccc2c(c1)OCCO2 ZINC000335277097 384706213 /nfs/dbraw/zinc/70/62/13/384706213.db2.gz HTEIAXPRARPXTJ-IINYFYTJSA-N 0 3 245.322 2.661 20 0 BFADHN Fc1ccc2c(c1)[C@H](N[C@H]1CC13CC3)CC2 ZINC000335278103 384706487 /nfs/dbraw/zinc/70/64/87/384706487.db2.gz LSRICBMWFWKNLM-OLZOCXBDSA-N 0 3 217.287 2.955 20 0 BFADHN CCn1ccnc1CN[C@@H](C)Cc1ccsc1 ZINC000051924140 384706992 /nfs/dbraw/zinc/70/69/92/384706992.db2.gz OZKMJGYZDBXOAM-NSHDSACASA-N 0 3 249.383 2.685 20 0 BFADHN Cc1csc(CN[C@@H]2CC=CCC2)n1 ZINC000082730650 384707377 /nfs/dbraw/zinc/70/73/77/384707377.db2.gz CYBQLPMOFQUPOJ-SNVBAGLBSA-N 0 3 208.330 2.650 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1ccc(Cl)cn1 ZINC000335276648 384708384 /nfs/dbraw/zinc/70/83/84/384708384.db2.gz HEGZEDRTPJKYPH-LDYMZIIASA-N 0 3 222.719 2.938 20 0 BFADHN C[C@@H](N[C@@H]1CC12CC2)c1nc2ccccc2n1C ZINC000335280516 384709885 /nfs/dbraw/zinc/70/98/85/384709885.db2.gz UKSVHHFBJYEHQS-ZWNOBZJWSA-N 0 3 241.338 2.776 20 0 BFADHN CC[C@](C)(CO)NCc1ccc(Cl)cc1F ZINC000162965882 384711277 /nfs/dbraw/zinc/71/12/77/384711277.db2.gz AEGKWQUZEXCKQK-GFCCVEGCSA-N 0 3 245.725 2.730 20 0 BFADHN CCCc1ncc(CNC2CC(CC)C2)o1 ZINC000449610339 384711911 /nfs/dbraw/zinc/71/19/11/384711911.db2.gz GHVUITYPJXALBM-UHFFFAOYSA-N 0 3 222.332 2.905 20 0 BFADHN C[C@H](N[C@H]1CO[C@@H](C)C1)c1ccc(F)cc1F ZINC000647190836 384711883 /nfs/dbraw/zinc/71/18/83/384711883.db2.gz RAQQUSOCFMXYON-ATZCPNFKSA-N 0 3 241.281 2.793 20 0 BFADHN c1ccc2c(c1)C=C(CN1CCCC1)CO2 ZINC000102420441 384711904 /nfs/dbraw/zinc/71/19/04/384711904.db2.gz MKVPRQUCDSNAKV-UHFFFAOYSA-N 0 3 215.296 2.558 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1nc2ccccc2n1C ZINC000335280514 384712165 /nfs/dbraw/zinc/71/21/65/384712165.db2.gz UKSVHHFBJYEHQS-GXFFZTMASA-N 0 3 241.338 2.776 20 0 BFADHN CO[C@@H]1CC[C@H](N[C@H](C)c2csc(C)n2)C1 ZINC000300372944 384713318 /nfs/dbraw/zinc/71/33/18/384713318.db2.gz XPVATWHKLKHDRZ-DVVUODLYSA-N 0 3 240.372 2.670 20 0 BFADHN C[C@@H]1OCC[C@H]1NC1(c2cccc(F)c2)CCC1 ZINC000647233450 384713969 /nfs/dbraw/zinc/71/39/69/384713969.db2.gz UNVXPRWXYUCDFQ-SMDDNHRTSA-N 0 3 249.329 2.972 20 0 BFADHN CCC[C@H](N[C@H]1CCCOC1)c1cccnc1 ZINC000185386576 384713991 /nfs/dbraw/zinc/71/39/91/384713991.db2.gz WARCUQDNYRJTIQ-KBPBESRZSA-N 0 3 234.343 2.691 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2ccco2)C1(C)C ZINC000082784219 384714028 /nfs/dbraw/zinc/71/40/28/384714028.db2.gz JXDZPVACGPOWRR-NEPJUHHUSA-N 0 3 223.316 2.573 20 0 BFADHN CCC[C@H](N[C@@H]1CCCOC1)c1cccnc1 ZINC000185386542 384714733 /nfs/dbraw/zinc/71/47/33/384714733.db2.gz WARCUQDNYRJTIQ-KGLIPLIRSA-N 0 3 234.343 2.691 20 0 BFADHN Cc1nocc1CNC[C@H](C)c1ccccc1 ZINC000294274741 384714765 /nfs/dbraw/zinc/71/47/65/384714765.db2.gz VQYZSZMRWOIKFZ-NSHDSACASA-N 0 3 230.311 2.876 20 0 BFADHN CC[C@H]1CCN(Cc2cn3ccccc3n2)C1 ZINC000125417750 384714796 /nfs/dbraw/zinc/71/47/96/384714796.db2.gz IEIKJMMVCCOSGO-LBPRGKRZSA-N 0 3 229.327 2.566 20 0 BFADHN CC(C)[C@@H](O)CNCc1ccc(Cl)cc1F ZINC000162983062 384715606 /nfs/dbraw/zinc/71/56/06/384715606.db2.gz QGSBVMSDOGLZCS-LBPRGKRZSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@@H](O)C(C)(C)NCc1ccc(F)cc1Cl ZINC000162991876 384716613 /nfs/dbraw/zinc/71/66/13/384716613.db2.gz SHABKNBLHUBIHB-MRVPVSSYSA-N 0 3 245.725 2.728 20 0 BFADHN C[C@@H]1C[C@H](NCc2cccc(Cl)c2)CO1 ZINC000335426046 384719157 /nfs/dbraw/zinc/71/91/57/384719157.db2.gz PQYWUYYNHJYQLR-SKDRFNHKSA-N 0 3 225.719 2.607 20 0 BFADHN Cc1c[nH]nc1CN[C@@H]1CCC12CCCC2 ZINC000309924384 384719503 /nfs/dbraw/zinc/71/95/03/384719503.db2.gz UOIMVXDZTWZOIR-GFCCVEGCSA-N 0 3 219.332 2.531 20 0 BFADHN CC(C)C[C@@H](C)CN1CCC(=O)[C@H](C)C1 ZINC000300932627 384720267 /nfs/dbraw/zinc/72/02/67/384720267.db2.gz NYECFLSVJPSUCV-VXGBXAGGSA-N 0 3 211.349 2.580 20 0 BFADHN FCCNCCc1ccc(F)cc1Cl ZINC000308525987 384780619 /nfs/dbraw/zinc/78/06/19/384780619.db2.gz XHDUHKZGDRLVEY-UHFFFAOYSA-N 0 3 219.662 2.581 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ccc(COC)o1 ZINC000126107739 384774048 /nfs/dbraw/zinc/77/40/48/384774048.db2.gz AGJZLMOBDFYPCD-GHMZBOCLSA-N 0 3 225.332 2.950 20 0 BFADHN C/C=C/C[C@H](CO)NCc1cccc(OCC)c1 ZINC000185826121 384776122 /nfs/dbraw/zinc/77/61/22/384776122.db2.gz ROBGCDWYKHIXBW-LYKUJDHUSA-N 0 3 249.354 2.502 20 0 BFADHN Cc1ccc(CN[C@H]2CS[C@@H](C)C2)nc1C ZINC000314065682 384776805 /nfs/dbraw/zinc/77/68/05/384776805.db2.gz SMUMRSDVXPVICC-GXFFZTMASA-N 0 3 236.384 2.682 20 0 BFADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1cncs1 ZINC000306560060 384777418 /nfs/dbraw/zinc/77/74/18/384777418.db2.gz AXBSHYUCYCMTJF-VGMNWLOBSA-N 0 3 228.386 2.688 20 0 BFADHN CCOc1cc(C)ccc1CN[C@H]1CO[C@H](C)C1 ZINC000647191645 384728531 /nfs/dbraw/zinc/72/85/31/384728531.db2.gz WQZVGJJZRNVKHA-TZMCWYRMSA-N 0 3 249.354 2.661 20 0 BFADHN CCCn1c2ccccc2nc1CN[C@H]1C[C@@H]1C ZINC000052275103 384728617 /nfs/dbraw/zinc/72/86/17/384728617.db2.gz LTOBYQBKIVTQKW-AAEUAGOBSA-N 0 3 243.354 2.944 20 0 BFADHN CCOc1ccccc1CN1CCC[C@H](OC)C1 ZINC000102775463 384728850 /nfs/dbraw/zinc/72/88/50/384728850.db2.gz LJBYOGAUKAXRFZ-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN C[C@H](NCc1cc(F)cc(F)c1)[C@H]1CCCO1 ZINC000125608325 384734473 /nfs/dbraw/zinc/73/44/73/384734473.db2.gz CLZGRWLTSGQRFM-TVQRCGJNSA-N 0 3 241.281 2.622 20 0 BFADHN CCC1CCC(N[C@@H]2CCn3ccnc32)CC1 ZINC000335954640 384736567 /nfs/dbraw/zinc/73/65/67/384736567.db2.gz ZSGZETHRKMLSGP-WXRRBKDZSA-N 0 3 233.359 2.886 20 0 BFADHN CCOC[C@@H](C)N[C@H](C)c1sccc1OC ZINC000309952372 384738473 /nfs/dbraw/zinc/73/84/73/384738473.db2.gz GTGZHLUZKZVAIZ-NXEZZACHSA-N 0 3 243.372 2.832 20 0 BFADHN Cc1ccc(CNC[C@H]2CC[C@H](C)O2)cc1F ZINC000398054752 384738792 /nfs/dbraw/zinc/73/87/92/384738792.db2.gz YALXZWOUNKXVAQ-WCQYABFASA-N 0 3 237.318 2.791 20 0 BFADHN C[C@H](C1CCC1)N(C)CC(=O)Nc1ccccc1 ZINC000357026363 384741008 /nfs/dbraw/zinc/74/10/08/384741008.db2.gz QPENYVJKUCAYEF-GFCCVEGCSA-N 0 3 246.354 2.746 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1C)c1nccs1 ZINC000083143389 384743697 /nfs/dbraw/zinc/74/36/97/384743697.db2.gz MXIZVVPFARTVSR-KXUCPTDWSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@@H](CO)[C@@H](C)NCc1c(F)cccc1Cl ZINC000125725553 384744471 /nfs/dbraw/zinc/74/44/71/384744471.db2.gz BTJNNEFOWDNQBV-DTWKUNHWSA-N 0 3 245.725 2.586 20 0 BFADHN C[C@@H]1C[C@@H]([NH2+]Cc2cc([O-])cc(F)c2)CS1 ZINC000334855477 384744511 /nfs/dbraw/zinc/74/45/11/384744511.db2.gz XZSYCGZDDZIIHF-LDYMZIIASA-N 0 3 241.331 2.515 20 0 BFADHN C[C@@H](CN[C@@H](C)c1cc2n(n1)CCCC2)C1CC1 ZINC000647285558 384753293 /nfs/dbraw/zinc/75/32/93/384753293.db2.gz HUTVKDUOTWAVRH-RYUDHWBXSA-N 0 3 247.386 2.916 20 0 BFADHN CCC[C@@H](C)CN1CCN([C@H](C)CC)CC1 ZINC000449627775 384756132 /nfs/dbraw/zinc/75/61/32/384756132.db2.gz HGUVDVPMMIWLEA-ZIAGYGMSSA-N 0 3 226.408 2.839 20 0 BFADHN CC[C@@H](CN[C@H]1CCCc2occc21)OC ZINC000289579107 384756618 /nfs/dbraw/zinc/75/66/18/384756618.db2.gz AJUFYANCRQAUQL-JQWIXIFHSA-N 0 3 223.316 2.672 20 0 BFADHN C[C@H]1C[C@H]1c1ccc(CNCC2(C)COC2)o1 ZINC000083522970 384780018 /nfs/dbraw/zinc/78/00/18/384780018.db2.gz WLYMEUWXPGTFNM-CMPLNLGQSA-N 0 3 235.327 2.529 20 0 BFADHN CO[C@@H]1C[C@H](N[C@H](C)c2ccncc2)C1(C)C ZINC000300404190 384760034 /nfs/dbraw/zinc/76/00/34/384760034.db2.gz FLRHSZVJSAYOKA-KGYLQXTDSA-N 0 3 234.343 2.546 20 0 BFADHN Fc1ccc(F)c2c1CC[C@H]2NC1CC1 ZINC000126048318 384766317 /nfs/dbraw/zinc/76/63/17/384766317.db2.gz YCGZOVCTVSBNKK-LLVKDONJSA-N 0 3 209.239 2.704 20 0 BFADHN COC[C@H](N[C@@H](C)c1ccccc1OC)C1CC1 ZINC000126017214 384766494 /nfs/dbraw/zinc/76/64/94/384766494.db2.gz OFJBQZBDGVFUCK-FZMZJTMJSA-N 0 3 249.354 2.771 20 0 BFADHN CC[C@@](C)(CN[C@@H](C)c1cccc(O)c1)OC ZINC000323043986 384767183 /nfs/dbraw/zinc/76/71/83/384767183.db2.gz PAHZHDYSLJNNNS-FZMZJTMJSA-N 0 3 237.343 2.858 20 0 BFADHN C[C@@H](NCCCC(C)(C)CO)c1cncs1 ZINC000163204147 384767946 /nfs/dbraw/zinc/76/79/46/384767946.db2.gz JOFGKRVESCSFLN-SNVBAGLBSA-N 0 3 242.388 2.592 20 0 BFADHN Cc1cnc([C@H](C)NCC[C@@H]2CCCO2)s1 ZINC000126080938 384768502 /nfs/dbraw/zinc/76/85/02/384768502.db2.gz GZJXTLLRPUWIKE-QWRGUYRKSA-N 0 3 240.372 2.671 20 0 BFADHN c1cc(CN[C@H](C2CCC2)C2CCCC2)n[nH]1 ZINC000647235764 384770303 /nfs/dbraw/zinc/77/03/03/384770303.db2.gz DPJIXUPGRHMMFQ-AWEZNQCLSA-N 0 3 233.359 2.858 20 0 BFADHN C[C@@H]1C[C@H](C)[C@@H](C)N(Cc2ccno2)C1 ZINC000530552886 384770747 /nfs/dbraw/zinc/77/07/47/384770747.db2.gz RFWMWPOIDYDDNO-OUAUKWLOSA-N 0 3 208.305 2.541 20 0 BFADHN COc1cccc(CNC2(C3(C)CC3)CC2)n1 ZINC000398154528 384818647 /nfs/dbraw/zinc/81/86/47/384818647.db2.gz VWGHZSPGOYTXKA-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN Cc1ncccc1CNC1(C2(C)CC2)CC1 ZINC000398169580 384823071 /nfs/dbraw/zinc/82/30/71/384823071.db2.gz HCCWRAGTOFXCOU-UHFFFAOYSA-N 0 3 216.328 2.812 20 0 BFADHN OCc1ccc(F)c(CN2CCC3(CCC3)C2)c1 ZINC000528406126 384827015 /nfs/dbraw/zinc/82/70/15/384827015.db2.gz TUBGRXFMURTJFV-UHFFFAOYSA-N 0 3 249.329 2.694 20 0 BFADHN Cc1cc(CNC[C@@H]2CC[C@H](C)O2)cc(C)c1O ZINC000398174307 384827028 /nfs/dbraw/zinc/82/70/28/384827028.db2.gz VGARLDHSDDPUHA-JSGCOSHPSA-N 0 3 249.354 2.666 20 0 BFADHN CC1(O)CCN(c2ccnc3ccccc32)CC1 ZINC000126766047 384827464 /nfs/dbraw/zinc/82/74/64/384827464.db2.gz VMMUGAWSVSQYTK-UHFFFAOYSA-N 0 3 242.322 2.586 20 0 BFADHN Cc1sccc1CNC[C@H]1CC[C@H](C)O1 ZINC000398178836 384830605 /nfs/dbraw/zinc/83/06/05/384830605.db2.gz RTNAIKNJDYFRQK-JOYOIKCWSA-N 0 3 225.357 2.714 20 0 BFADHN C[C@@H](NCCc1cscn1)c1cccc(O)c1 ZINC000104438886 384830839 /nfs/dbraw/zinc/83/08/39/384830839.db2.gz AZSWLFHHXQCTIU-SNVBAGLBSA-N 0 3 248.351 2.742 20 0 BFADHN C[C@@H](O)C[C@H](C)NCc1ccsc1Cl ZINC000308591557 384832599 /nfs/dbraw/zinc/83/25/99/384832599.db2.gz KPONEPHUKYFEIA-JGVFFNPUSA-N 0 3 233.764 2.651 20 0 BFADHN CC[C@H](O)CCNCc1ccsc1Cl ZINC000308597491 384832877 /nfs/dbraw/zinc/83/28/77/384832877.db2.gz NCBBBXSYQWQVLY-VIFPVBQESA-N 0 3 233.764 2.652 20 0 BFADHN Cc1ccc(C)c(CN[C@@H]2CO[C@@H](C)C2)c1 ZINC000335664329 384833314 /nfs/dbraw/zinc/83/33/14/384833314.db2.gz RBAMBBZUSGNPHX-JSGCOSHPSA-N 0 3 219.328 2.570 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1cc(C)ccn1)C1CC1 ZINC000449634626 384781023 /nfs/dbraw/zinc/78/10/23/384781023.db2.gz WJOBHHZPNKKJJP-ZOWXZIJZSA-N 0 3 248.370 2.854 20 0 BFADHN CCN(C)Cc1cnn(-c2ccc(Cl)cc2)c1 ZINC000126259509 384782582 /nfs/dbraw/zinc/78/25/82/384782582.db2.gz GWCCWKYEYPEEMH-UHFFFAOYSA-N 0 3 249.745 2.977 20 0 BFADHN CCN(C)Cc1c[nH]nc1-c1ccc(C)cc1 ZINC000126247785 384784174 /nfs/dbraw/zinc/78/41/74/384784174.db2.gz MSGJBPWNNXALRN-UHFFFAOYSA-N 0 3 229.327 2.837 20 0 BFADHN CCN(C)Cc1cc(F)c(F)c(F)c1 ZINC000126291711 384787615 /nfs/dbraw/zinc/78/76/15/384787615.db2.gz QARVFZZGBMFQBZ-UHFFFAOYSA-N 0 3 203.207 2.556 20 0 BFADHN CC[C@H](C[C@H](C)CO)N[C@@H](C)c1cncs1 ZINC000310019991 384787964 /nfs/dbraw/zinc/78/79/64/384787964.db2.gz MRWATMONCNBETO-GARJFASQSA-N 0 3 242.388 2.591 20 0 BFADHN CC(C)Cc1ccc(CN2CCC[C@H](O)C2)cc1 ZINC000101543135 384791324 /nfs/dbraw/zinc/79/13/24/384791324.db2.gz YYPBBZPJPMVBQT-INIZCTEOSA-N 0 3 247.382 2.842 20 0 BFADHN CCOc1cccc(CN[C@@H](COC)C2CC2)c1 ZINC000126365636 384795677 /nfs/dbraw/zinc/79/56/77/384795677.db2.gz QFBXNTFFTLBIPH-HNNXBMFYSA-N 0 3 249.354 2.600 20 0 BFADHN COC[C@@H](NCc1ccc(Cl)s1)C1CC1 ZINC000087480741 384797301 /nfs/dbraw/zinc/79/73/01/384797301.db2.gz RPSOZIKYWWNQIA-SNVBAGLBSA-N 0 3 245.775 2.916 20 0 BFADHN COC[C@H](N[C@@H](C)c1ccsc1)C1CC1 ZINC000087480247 384797491 /nfs/dbraw/zinc/79/74/91/384797491.db2.gz LEAXJULFZIIOBE-CABZTGNLSA-N 0 3 225.357 2.824 20 0 BFADHN CCOc1ccccc1CNCC1=CCCOC1 ZINC000126725815 384798069 /nfs/dbraw/zinc/79/80/69/384798069.db2.gz RXGBBNMOTLDAGH-UHFFFAOYSA-N 0 3 247.338 2.522 20 0 BFADHN Cc1ccc(CNC[C@](C)(O)c2ccco2)cc1 ZINC000174527472 384802389 /nfs/dbraw/zinc/80/23/89/384802389.db2.gz CMBNFQKJYKZYTR-HNNXBMFYSA-N 0 3 245.322 2.585 20 0 BFADHN C[C@H](NCCOC1CCCC1)c1ccncc1 ZINC000108942640 384803910 /nfs/dbraw/zinc/80/39/10/384803910.db2.gz NULSFSZZSPNKLY-LBPRGKRZSA-N 0 3 234.343 2.691 20 0 BFADHN Cc1ncc(CNC[C@@H]2CCC[C@@H](C)C2)o1 ZINC000398100361 384805208 /nfs/dbraw/zinc/80/52/08/384805208.db2.gz MFUMIEHSPLNSRC-ZYHUDNBSSA-N 0 3 222.332 2.899 20 0 BFADHN F[C@H]1CC[C@H](NCc2ccc(Cl)o2)C1 ZINC000306221178 384807859 /nfs/dbraw/zinc/80/78/59/384807859.db2.gz PBCLBKRGBDPENT-YUMQZZPRSA-N 0 3 217.671 2.913 20 0 BFADHN C[C@@H](N[C@H]1CC=CCC1)c1nccs1 ZINC000084066777 384836893 /nfs/dbraw/zinc/83/68/93/384836893.db2.gz WHSFYSVXFBAOSI-ZJUUUORDSA-N 0 3 208.330 2.902 20 0 BFADHN c1nc2ccccn2c1CN1CC[C@H]2CCC[C@H]21 ZINC000426456485 384810482 /nfs/dbraw/zinc/81/04/82/384810482.db2.gz FDQPAJFYPRKPOO-TZMCWYRMSA-N 0 3 241.338 2.709 20 0 BFADHN CC[C@@H](C)CN[C@H](c1nccn1C)C1CC1 ZINC000310041769 384812119 /nfs/dbraw/zinc/81/21/19/384812119.db2.gz YYMHXKMBECWVDA-PWSUYJOCSA-N 0 3 221.348 2.507 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCCC[C@@H]2O)o1 ZINC000053883178 384812236 /nfs/dbraw/zinc/81/22/36/384812236.db2.gz BCJIKQSNPSDVNK-OCCSQVGLSA-N 0 3 237.343 2.571 20 0 BFADHN CC(C)(C)CCCN1CCC(F)(F)[C@@H](CO)C1 ZINC000361425367 384812904 /nfs/dbraw/zinc/81/29/04/384812904.db2.gz FFPJZZLLRXLRPK-LLVKDONJSA-N 0 3 249.345 2.762 20 0 BFADHN C[C@@H](NC[C@H](C)c1nccs1)c1ccccn1 ZINC000186743163 384871697 /nfs/dbraw/zinc/87/16/97/384871697.db2.gz DIMRIHSTATURFW-WDEREUQCSA-N 0 3 247.367 2.992 20 0 BFADHN Cc1ccc(CCNCc2c(C)noc2C)o1 ZINC000518266267 384872406 /nfs/dbraw/zinc/87/24/06/384872406.db2.gz QGKZKCSAYDFQPT-UHFFFAOYSA-N 0 3 234.299 2.525 20 0 BFADHN CC[C@@H](CNCc1cc(C)ccc1OC)OC ZINC000310174667 384873063 /nfs/dbraw/zinc/87/30/63/384873063.db2.gz QTWMBBXDABFPSS-ZDUSSCGKSA-N 0 3 237.343 2.518 20 0 BFADHN C[C@H](CN[C@H](C)c1ccccn1)c1nccs1 ZINC000186743178 384873325 /nfs/dbraw/zinc/87/33/25/384873325.db2.gz DIMRIHSTATURFW-GHMZBOCLSA-N 0 3 247.367 2.992 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403854505 384878037 /nfs/dbraw/zinc/87/80/37/384878037.db2.gz ULBCMCBDHWKOPM-IJLUTSLNSA-N 0 3 220.316 2.570 20 0 BFADHN COCc1cnc(CN[C@H]2CC[C@H](C)C2)s1 ZINC000309864911 384880554 /nfs/dbraw/zinc/88/05/54/384880554.db2.gz OBDABSVBHRFRKE-UWVGGRQHSA-N 0 3 240.372 2.568 20 0 BFADHN CCOc1ccccc1CNC[C@@H]1CC[C@@H](C)O1 ZINC000398192630 384838757 /nfs/dbraw/zinc/83/87/57/384838757.db2.gz BFFGEXABKFIFGG-OCCSQVGLSA-N 0 3 249.354 2.742 20 0 BFADHN CC(=O)CCN1CC[C@@](C)(c2ccccc2)C1 ZINC000364006558 384838835 /nfs/dbraw/zinc/83/88/35/384838835.db2.gz CYQSGRBQGDARKA-OAHLLOKOSA-N 0 3 231.339 2.629 20 0 BFADHN C[C@H](NC[C@H]1C[C@H]1C)c1nc2ccccc2n1C ZINC000163675520 384841279 /nfs/dbraw/zinc/84/12/79/384841279.db2.gz NGMWKPMEIFBYEN-GRYCIOLGSA-N 0 3 243.354 2.880 20 0 BFADHN C/C=C/C[C@@H](CO)N[C@@H](C)c1ccc(C)o1 ZINC000186514656 384847116 /nfs/dbraw/zinc/84/71/16/384847116.db2.gz KLRRIUJTLSIVGM-XMXMHJJKSA-N 0 3 223.316 2.566 20 0 BFADHN Cc1ccc(CNC2C[C@@H](C)C[C@H](C)C2)nn1 ZINC000335174348 384848770 /nfs/dbraw/zinc/84/87/70/384848770.db2.gz CUPAUVYJIQAKSG-QWRGUYRKSA-N 0 3 233.359 2.699 20 0 BFADHN C[C@@H](NCc1cnc[nH]1)c1ccc(Cl)cc1 ZINC000054763478 384848799 /nfs/dbraw/zinc/84/87/99/384848799.db2.gz TXBJHEADMUEMHJ-SECBINFHSA-N 0 3 235.718 2.914 20 0 BFADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2cccnc2)C1(C)C ZINC000084224438 384849639 /nfs/dbraw/zinc/84/96/39/384849639.db2.gz WMBSSXKRRMJHTK-FPMFFAJLSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@H](N[C@H](CCO)c1ccco1)C1CCCC1 ZINC000186521291 384852046 /nfs/dbraw/zinc/85/20/46/384852046.db2.gz GSJIKPNSJAMDJY-WCQYABFASA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H]2C[C@@H]2C1)c1nccs1 ZINC000403695090 384852210 /nfs/dbraw/zinc/85/22/10/384852210.db2.gz SFXOUMQBNAMYLG-LNFKQOIKSA-N 0 3 222.357 2.982 20 0 BFADHN CC[C@H](NCc1cncnc1)c1ccccc1 ZINC000054768219 384854616 /nfs/dbraw/zinc/85/46/16/384854616.db2.gz OPLZEJZLLVDSSZ-AWEZNQCLSA-N 0 3 227.311 2.718 20 0 BFADHN C[C@H](N[C@@H]1CSC[C@H]1C)c1ccco1 ZINC000306280960 384854766 /nfs/dbraw/zinc/85/47/66/384854766.db2.gz UYXDWLILCNZXQH-KXUCPTDWSA-N 0 3 211.330 2.682 20 0 BFADHN C[C@H](CCc1ccco1)NCc1cncs1 ZINC000054770288 384855867 /nfs/dbraw/zinc/85/58/67/384855867.db2.gz AAIGBACFYSCHMF-SNVBAGLBSA-N 0 3 236.340 2.847 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](F)C1)c1nccs1 ZINC000306291363 384859073 /nfs/dbraw/zinc/85/90/73/384859073.db2.gz QXKMSMUVEWCCII-DJLDLDEBSA-N 0 3 214.309 2.684 20 0 BFADHN c1c(CN[C@@H]2CC[C@H]3C[C@H]3C2)nc2ccccn12 ZINC000403783779 384859382 /nfs/dbraw/zinc/85/93/82/384859382.db2.gz YOHNJVJHJSAYPF-RWMBFGLXSA-N 0 3 241.338 2.613 20 0 BFADHN CC[C@@H](CNCc1ccon1)CC(F)(F)F ZINC000402488617 384861629 /nfs/dbraw/zinc/86/16/29/384861629.db2.gz BRALFUFDWATZKW-MRVPVSSYSA-N 0 3 236.237 2.743 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1cc(C)ns1)OC ZINC000404486650 384889329 /nfs/dbraw/zinc/88/93/29/384889329.db2.gz LKLYAVNEOSOOFC-JOYOIKCWSA-N 0 3 242.388 2.602 20 0 BFADHN Cc1cnc(CN2CCCC23CCC3)s1 ZINC000335523497 384866578 /nfs/dbraw/zinc/86/65/78/384866578.db2.gz VZOBHPLHBLPLLH-UHFFFAOYSA-N 0 3 222.357 2.970 20 0 BFADHN Cc1ccc(CN(C)CCCCCCO)o1 ZINC000175742573 384953381 /nfs/dbraw/zinc/95/33/81/384953381.db2.gz XCWSNDGUXQYEED-UHFFFAOYSA-N 0 3 225.332 2.573 20 0 BFADHN COc1ncncc1CN[C@H]1CCCC(C)(C)C1 ZINC000647204674 384958062 /nfs/dbraw/zinc/95/80/62/384958062.db2.gz DAPRJZWCQLYSHS-LBPRGKRZSA-N 0 3 249.358 2.544 20 0 BFADHN CC(C)CCCNCc1cn2ccsc2n1 ZINC000148675038 384899856 /nfs/dbraw/zinc/89/98/56/384899856.db2.gz VUULQWBXRZQMKQ-UHFFFAOYSA-N 0 3 237.372 2.922 20 0 BFADHN CC[C@H](C)C(=O)Nc1ccccc1CN(C)C ZINC000557292610 384904272 /nfs/dbraw/zinc/90/42/72/384904272.db2.gz SGCBFCQLCOBSOP-NSHDSACASA-N 0 3 234.343 2.733 20 0 BFADHN C[C@H](CO)NCc1ccc(C(C)(C)C)s1 ZINC000127606728 384905628 /nfs/dbraw/zinc/90/56/28/384905628.db2.gz DZOOQHVNDSFHRM-SECBINFHSA-N 0 3 227.373 2.516 20 0 BFADHN FC1(F)CC[C@@H](CN2CCO[C@H](C3CC3)C2)C1 ZINC000336268118 384907149 /nfs/dbraw/zinc/90/71/49/384907149.db2.gz VWIILJATXJVYCJ-PWSUYJOCSA-N 0 3 245.313 2.533 20 0 BFADHN CN(CCCCCO)Cc1ccc(Cl)s1 ZINC000051019948 384908271 /nfs/dbraw/zinc/90/82/71/384908271.db2.gz CQYBEROYFSRPKB-UHFFFAOYSA-N 0 3 247.791 2.996 20 0 BFADHN COCc1ccc(CN[C@@H]2CCC[C@@H]2C)o1 ZINC000127523814 384910978 /nfs/dbraw/zinc/91/09/78/384910978.db2.gz CFBSARKGNBUZSS-GXFFZTMASA-N 0 3 223.316 2.704 20 0 BFADHN CCCC[C@](C)(CO)NCc1cccc(F)c1 ZINC000647239836 384911799 /nfs/dbraw/zinc/91/17/99/384911799.db2.gz PIMWZEAHZVWWSK-CQSZACIVSA-N 0 3 239.334 2.857 20 0 BFADHN CCCC[C@](C)(CO)NCc1ccc(Cl)o1 ZINC000647239614 384913844 /nfs/dbraw/zinc/91/38/44/384913844.db2.gz GOAPUIAAXVJNSZ-GFCCVEGCSA-N 0 3 245.750 2.964 20 0 BFADHN Cc1cc(C)cc(NC(=O)[C@H](C(C)C)N(C)C)c1 ZINC000106408068 384917155 /nfs/dbraw/zinc/91/71/55/384917155.db2.gz ZMXCVQPQCKHAMP-AWEZNQCLSA-N 0 3 248.370 2.828 20 0 BFADHN C[N@H+](Cc1cc([O-])cc(F)c1)C(C)(C)C ZINC000336134897 384917764 /nfs/dbraw/zinc/91/77/64/384917764.db2.gz KIDMKRJOKOKIND-UHFFFAOYSA-N 0 3 211.280 2.762 20 0 BFADHN C[N@@H+](Cc1cc([O-])cc(F)c1)C(C)(C)C ZINC000336134897 384917767 /nfs/dbraw/zinc/91/77/67/384917767.db2.gz KIDMKRJOKOKIND-UHFFFAOYSA-N 0 3 211.280 2.762 20 0 BFADHN CCCCN(CCO)Cc1ccc(Cl)o1 ZINC000128009815 384922527 /nfs/dbraw/zinc/92/25/27/384922527.db2.gz VGYXJISKCAITMX-UHFFFAOYSA-N 0 3 231.723 2.527 20 0 BFADHN C[C@@H](CO)N[C@@H](C)c1ccc(-c2ccccc2)o1 ZINC000127629780 384925867 /nfs/dbraw/zinc/92/58/67/384925867.db2.gz HUCRPQLBBPBTNZ-RYUDHWBXSA-N 0 3 245.322 2.978 20 0 BFADHN CC(C)CN(C)Cc1ccnn1-c1ccccc1 ZINC000448222298 384931011 /nfs/dbraw/zinc/93/10/11/384931011.db2.gz HOOFCPZBHLRZBY-UHFFFAOYSA-N 0 3 243.354 2.960 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2CC23CC3)s1 ZINC000643908029 384932674 /nfs/dbraw/zinc/93/26/74/384932674.db2.gz ZPMUZANFOOOBTF-XVKPBYJWSA-N 0 3 208.330 2.655 20 0 BFADHN CSC(C)(C)CNCc1nc(C)cs1 ZINC000128208869 384933711 /nfs/dbraw/zinc/93/37/11/384933711.db2.gz JRSXZTSDQXKXAR-UHFFFAOYSA-N 0 3 230.402 2.683 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CC23CC3)s1 ZINC000643908027 384933931 /nfs/dbraw/zinc/93/39/31/384933931.db2.gz ZPMUZANFOOOBTF-OIBJUYFYSA-N 0 3 208.330 2.655 20 0 BFADHN Cc1ccc(C)c(CN(C)[C@H]2CCOC2)c1 ZINC000106884034 384936734 /nfs/dbraw/zinc/93/67/34/384936734.db2.gz WZVNHWJPVYIVNP-AWEZNQCLSA-N 0 3 219.328 2.524 20 0 BFADHN CCCCCN1CCOC[C@@H]1CC(C)C ZINC000531101431 384937976 /nfs/dbraw/zinc/93/79/76/384937976.db2.gz ZXLCAYXTLWBMBJ-ZDUSSCGKSA-N 0 3 213.365 2.924 20 0 BFADHN COC1(CN(C)Cc2cccc(C)n2)CCCC1 ZINC000361572455 384943321 /nfs/dbraw/zinc/94/33/21/384943321.db2.gz SVHAYJAICXVLNX-UHFFFAOYSA-N 0 3 248.370 2.781 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CC[C@H](C)C1 ZINC000085444772 384945201 /nfs/dbraw/zinc/94/52/01/384945201.db2.gz PVUXLNZZLXTTJH-KWQFWETISA-N 0 3 208.305 2.570 20 0 BFADHN COc1ccc(CN2CC[C@@H]3CCC[C@H]32)cc1O ZINC000336484070 384968414 /nfs/dbraw/zinc/96/84/14/384968414.db2.gz KZNQBIIKEOYQAU-QWHCGFSZSA-N 0 3 247.338 2.775 20 0 BFADHN FC1(F)CC[C@H](CNCc2ccns2)C1 ZINC000404326097 384968784 /nfs/dbraw/zinc/96/87/84/384968784.db2.gz VIABCMXOHKERAS-QMMMGPOBSA-N 0 3 232.299 2.668 20 0 BFADHN C[C@@H](NC[C@@H]1CCCC1(C)C)c1ncc[nH]1 ZINC000340519603 384976828 /nfs/dbraw/zinc/97/68/28/384976828.db2.gz NDKSSJUEVHXMND-MNOVXSKESA-N 0 3 221.348 2.887 20 0 BFADHN CCC1(NCc2nc(C)cs2)CCC1 ZINC000129064252 384978235 /nfs/dbraw/zinc/97/82/35/384978235.db2.gz IVDMVBYNTCSWON-UHFFFAOYSA-N 0 3 210.346 2.874 20 0 BFADHN Cc1nocc1CN[C@@H]1CC[C@H](C)C[C@@H]1C ZINC000339259546 384978745 /nfs/dbraw/zinc/97/87/45/384978745.db2.gz XUPQNCIRVBBYOD-OUJBWJOFSA-N 0 3 222.332 2.897 20 0 BFADHN CN(C)c1cccc(CNCCC(C)(C)C)n1 ZINC000188173171 385021872 /nfs/dbraw/zinc/02/18/72/385021872.db2.gz KVGNHSLMSUEICI-UHFFFAOYSA-N 0 3 235.375 2.673 20 0 BFADHN c1cc(CNCC2=CCCCC2)sn1 ZINC000404420826 384991809 /nfs/dbraw/zinc/99/18/09/384991809.db2.gz COWTWQSPNKLPKA-UHFFFAOYSA-N 0 3 208.330 2.733 20 0 BFADHN C[C@@H](CNCc1ccns1)CC(F)(F)F ZINC000404449670 384999389 /nfs/dbraw/zinc/99/93/89/384999389.db2.gz FTSAWOUGXZJEGB-SSDOTTSWSA-N 0 3 238.278 2.821 20 0 BFADHN C[C@@H](F)CCNCc1cc(F)c(F)cc1F ZINC000339483277 384999713 /nfs/dbraw/zinc/99/97/13/384999713.db2.gz IRXFNKQHSLHSGX-SSDOTTSWSA-N 0 3 235.224 2.942 20 0 BFADHN CCOCc1ccc(CNCc2cc[nH]c2)cc1 ZINC000086250142 385000593 /nfs/dbraw/zinc/00/05/93/385000593.db2.gz HESLSDKRQUEGPU-UHFFFAOYSA-N 0 3 244.338 2.841 20 0 BFADHN Cc1cc(CNC2Cc3ccccc3C2)sn1 ZINC000404459339 385004303 /nfs/dbraw/zinc/00/43/03/385004303.db2.gz YVWAUNILCKCECI-UHFFFAOYSA-N 0 3 244.363 2.709 20 0 BFADHN Cc1cnc(N[C@@H](C)CN2CCCCC2)s1 ZINC000302855564 385008581 /nfs/dbraw/zinc/00/85/81/385008581.db2.gz RQVBHYPYDRYJKN-JTQLQIEISA-N 0 3 239.388 2.738 20 0 BFADHN CC[C@@H](C)CN(C)Cc1scnc1C ZINC000176119104 385012821 /nfs/dbraw/zinc/01/28/21/385012821.db2.gz DYOLDONCDQDNIT-SECBINFHSA-N 0 3 212.362 2.929 20 0 BFADHN Cc1ccc(CNC[C@@H]2CSCCS2)o1 ZINC000129894975 385014282 /nfs/dbraw/zinc/01/42/82/385014282.db2.gz ALONJZQFJBHIDY-LLVKDONJSA-N 0 3 243.397 2.526 20 0 BFADHN CN(C)Cc1cccc(-c2cscn2)c1 ZINC000644614993 385017701 /nfs/dbraw/zinc/01/77/01/385017701.db2.gz DKJJZDOKMLYPKB-UHFFFAOYSA-N 0 3 218.325 2.872 20 0 BFADHN COC[C@@H](C)NCc1ccc(Cl)cc1C ZINC000128694887 384961311 /nfs/dbraw/zinc/96/13/11/384961311.db2.gz FGFGYYBHEKYSNY-SNVBAGLBSA-N 0 3 227.735 2.773 20 0 BFADHN Cc1cnc(CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)nc1 ZINC000360221393 384961547 /nfs/dbraw/zinc/96/15/47/384961547.db2.gz MOXXMMQNEYEADG-IHRRRGAJSA-N 0 3 245.370 2.796 20 0 BFADHN C[C@@H](CCC(C)(C)C)N[C@@H](C)c1nncn1C ZINC000336682692 385049093 /nfs/dbraw/zinc/04/90/93/385049093.db2.gz CFENYJUGTLZENN-QWRGUYRKSA-N 0 3 238.379 2.681 20 0 BFADHN CC(C)=CCN[C@@H](C)c1ccc(NC(N)=O)cc1 ZINC000188442497 385050971 /nfs/dbraw/zinc/05/09/71/385050971.db2.gz ZOMXKNPLMRSNSN-NSHDSACASA-N 0 3 247.342 2.794 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](F)C1)c1ccncc1F ZINC000340394274 385055680 /nfs/dbraw/zinc/05/56/80/385055680.db2.gz OQDRGUVYFIPJRK-LPEHRKFASA-N 0 3 226.270 2.762 20 0 BFADHN C[C@@H](F)CCN[C@H](C)c1cncs1 ZINC000340469692 385064783 /nfs/dbraw/zinc/06/47/83/385064783.db2.gz YFRPTPYSWKMLEV-HTQZYQBOSA-N 0 3 202.298 2.542 20 0 BFADHN Cc1ccnc([C@@H](C)NCC[C@H](C)F)c1 ZINC000340467818 385065757 /nfs/dbraw/zinc/06/57/57/385065757.db2.gz QPNKFCKNIAUZCS-WDEREUQCSA-N 0 3 210.296 2.789 20 0 BFADHN C[C@@H](CC1CC1)N[C@@H](C)c1nnc2ccccn21 ZINC000128716363 385067502 /nfs/dbraw/zinc/06/75/02/385067502.db2.gz KVOSCZQZXDDQPO-QWRGUYRKSA-N 0 3 244.342 2.569 20 0 BFADHN CO[C@@H](C)CN[C@@H](CC(C)C)c1ccncc1 ZINC000647301042 385070554 /nfs/dbraw/zinc/07/05/54/385070554.db2.gz PGXJPJSZMWVBGN-JSGCOSHPSA-N 0 3 236.359 2.793 20 0 BFADHN CCO[C@H](CCNCc1ccco1)C(C)C ZINC000165108280 385070621 /nfs/dbraw/zinc/07/06/21/385070621.db2.gz SDMTWAXOPREIMR-CYBMUJFWSA-N 0 3 225.332 2.820 20 0 BFADHN C[C@H](NC[C@H](O)CC1CCCC1)c1ccccn1 ZINC000188631054 385071067 /nfs/dbraw/zinc/07/10/67/385071067.db2.gz DZTWXVMBIWKWRB-GXTWGEPZSA-N 0 3 248.370 2.673 20 0 BFADHN CCCCCNC(=O)CN1[C@H](C)CC[C@H]1CC ZINC000357551375 385073480 /nfs/dbraw/zinc/07/34/80/385073480.db2.gz IAEUPZAIVMSQCR-CHWSQXEVSA-N 0 3 240.391 2.556 20 0 BFADHN CN(CCOCC(F)F)Cc1cccc(F)c1 ZINC000188660352 385075166 /nfs/dbraw/zinc/07/51/66/385075166.db2.gz FKEANFHBSLWCTH-UHFFFAOYSA-N 0 3 247.260 2.539 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2C)sc1C ZINC000176386873 385076917 /nfs/dbraw/zinc/07/69/17/385076917.db2.gz ROQSJKSBSZAUJC-QMMMGPOBSA-N 0 3 210.346 2.744 20 0 BFADHN C[C@H](CC1CC1)N[C@H]1CCCc2c[nH]nc21 ZINC000377248431 385024382 /nfs/dbraw/zinc/02/43/82/385024382.db2.gz GBXIBPOMLYKYJK-SKDRFNHKSA-N 0 3 219.332 2.565 20 0 BFADHN CCCCCCNCc1c(Cl)cnn1C ZINC000130213234 385027092 /nfs/dbraw/zinc/02/70/92/385027092.db2.gz FYNCKPXBBWSUFL-UHFFFAOYSA-N 0 3 229.755 2.743 20 0 BFADHN CC(C)CCN(C)Cc1cccc(F)c1N ZINC000156355247 385031303 /nfs/dbraw/zinc/03/13/03/385031303.db2.gz WQAXHDTXODEYED-UHFFFAOYSA-N 0 3 224.323 2.886 20 0 BFADHN C[C@@H](NCC1(C2CC2)CC1)c1cscn1 ZINC000131317625 385083072 /nfs/dbraw/zinc/08/30/72/385083072.db2.gz RACPGZFZLSKFRQ-SECBINFHSA-N 0 3 222.357 2.984 20 0 BFADHN Cc1nc(C)c(CNC[C@@H]2CC[C@@H]3C[C@@H]3C2)o1 ZINC000403673014 385036614 /nfs/dbraw/zinc/03/66/14/385036614.db2.gz OIQRWUMIGXKTJB-UPJWGTAASA-N 0 3 234.343 2.817 20 0 BFADHN CCO[C@H](CN[C@H]1CO[C@H](C)C1)c1ccccc1 ZINC000647206756 385036544 /nfs/dbraw/zinc/03/65/44/385036544.db2.gz FFLUQMNOQCPCLI-BPLDGKMQSA-N 0 3 249.354 2.531 20 0 BFADHN CN(C)Cc1cccc(NC(=O)/C=C\C2CC2)c1 ZINC000491914880 385036568 /nfs/dbraw/zinc/03/65/68/385036568.db2.gz NCYXGCNYCWBNHQ-HJWRWDBZSA-N 0 3 244.338 2.653 20 0 BFADHN CCC1(CN[C@H](C)c2cscn2)CC1 ZINC000130508969 385039175 /nfs/dbraw/zinc/03/91/75/385039175.db2.gz PSKNHHSILNADLP-SECBINFHSA-N 0 3 210.346 2.984 20 0 BFADHN CC(C)[C@H](CCO)NCc1ccccc1Cl ZINC000164852698 385044013 /nfs/dbraw/zinc/04/40/13/385044013.db2.gz KVXMPBJKGFIFQW-ZDUSSCGKSA-N 0 3 241.762 2.837 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2C[C@H](C)N(C3CC3)C2)o1 ZINC000128511093 385044217 /nfs/dbraw/zinc/04/42/17/385044217.db2.gz CKZGYTMAUCROKY-CYZMBNFOSA-N 0 3 248.370 2.864 20 0 BFADHN COC(C)(C)C[C@@H](C)NCc1occc1C ZINC000164820643 385046140 /nfs/dbraw/zinc/04/61/40/385046140.db2.gz ABHNLOAJFZRWLI-LLVKDONJSA-N 0 3 225.332 2.881 20 0 BFADHN C[C@@H](NC[C@H]1CC1(C)C)c1ccncc1F ZINC000340296903 385046237 /nfs/dbraw/zinc/04/62/37/385046237.db2.gz QBOBYNNOBSXYDN-NXEZZACHSA-N 0 3 222.307 2.917 20 0 BFADHN CCC[C@](C)(N)C(=O)Nc1ccc(F)c(C)c1 ZINC000061119414 385107939 /nfs/dbraw/zinc/10/79/39/385107939.db2.gz AEEFRFORDCZIKK-ZDUSSCGKSA-N 0 3 238.306 2.590 20 0 BFADHN CCOc1cccc(CN[C@H](C)[C@H](C)OC)c1 ZINC000129089705 385108131 /nfs/dbraw/zinc/10/81/31/385108131.db2.gz MPPRGMFQJWOXHK-NEPJUHHUSA-N 0 3 237.343 2.598 20 0 BFADHN COc1ccc(C)cc1CN[C@H]1CC12CC2 ZINC000424181226 385109298 /nfs/dbraw/zinc/10/92/98/385109298.db2.gz BDFPYCFWVYQCHF-ZDUSSCGKSA-N 0 3 217.312 2.646 20 0 BFADHN CC(C)c1ncc(CN[C@H]2CC23CC3)s1 ZINC000424182329 385109952 /nfs/dbraw/zinc/10/99/52/385109952.db2.gz GTBDUYVJFCGCLW-JTQLQIEISA-N 0 3 222.357 2.909 20 0 BFADHN CC1(C)COC[C@H]1NCc1coc2ccccc12 ZINC000313010330 385125266 /nfs/dbraw/zinc/12/52/66/385125266.db2.gz APHVLIGOSMDSJR-CQSZACIVSA-N 0 3 245.322 2.947 20 0 BFADHN CC[C@H](O)CNCc1ccc(C(F)(F)F)cc1 ZINC000061626769 385129503 /nfs/dbraw/zinc/12/95/03/385129503.db2.gz ZKIWEMBPXOJDDZ-NSHDSACASA-N 0 3 247.260 2.566 20 0 BFADHN C[C@H](N[C@@H]1CC2CCC1CC2)c1cnccn1 ZINC000310591068 385130065 /nfs/dbraw/zinc/13/00/65/385130065.db2.gz ZGTTZZKLYVYQLO-YWPUVAFDSA-N 0 3 231.343 2.706 20 0 BFADHN CCC1(CNCc2c(C)nn(C)c2Cl)CC1 ZINC000165455363 385130104 /nfs/dbraw/zinc/13/01/04/385130104.db2.gz ZHVROWAYDFOZOP-UHFFFAOYSA-N 0 3 241.766 2.662 20 0 BFADHN C[C@@H](CC(=O)OC(C)(C)C)NCc1ccccc1 ZINC000109075864 385135058 /nfs/dbraw/zinc/13/50/58/385135058.db2.gz MEQMCAIKCAUGFB-LBPRGKRZSA-N 0 3 249.354 2.897 20 0 BFADHN Cc1cnc([C@H](C)N2CCC(C3CC3)CC2)cn1 ZINC000644718762 385137564 /nfs/dbraw/zinc/13/75/64/385137564.db2.gz DSCMXGYHKPPXNN-LBPRGKRZSA-N 0 3 245.370 2.968 20 0 BFADHN CCN(CC)CC(=O)N[C@@H](C)c1ccccc1C ZINC000061757587 385138468 /nfs/dbraw/zinc/13/84/68/385138468.db2.gz GEIUPEVTYMENIL-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN Cc1ccc([C@@H](C)NCC2=CCCOC2)o1 ZINC000165214246 385086290 /nfs/dbraw/zinc/08/62/90/385086290.db2.gz RXHMOXSCXFCRSE-LLVKDONJSA-N 0 3 221.300 2.585 20 0 BFADHN C[C@@H](NCCCCO)c1ccccc1Cl ZINC000043445672 385086429 /nfs/dbraw/zinc/08/64/29/385086429.db2.gz FRTHLQQWEWYTJM-SNVBAGLBSA-N 0 3 227.735 2.763 20 0 BFADHN C[C@](O)(CNCc1cc(Cl)cs1)C1CC1 ZINC000336684287 385092652 /nfs/dbraw/zinc/09/26/52/385092652.db2.gz KIIZYDHYZXXQML-NSHDSACASA-N 0 3 245.775 2.652 20 0 BFADHN CC(C)c1nnc(CNC(C(C)C)C(C)C)[nH]1 ZINC000644682035 385095500 /nfs/dbraw/zinc/09/55/00/385095500.db2.gz ZCXPIWQTIIXXHX-UHFFFAOYSA-N 0 3 238.379 2.698 20 0 BFADHN CC[C@@H](NCC[C@@H](C)OC)c1ccc(F)cn1 ZINC000310509382 385096927 /nfs/dbraw/zinc/09/69/27/385096927.db2.gz UFTCLNAUJVPYDA-ZYHUDNBSSA-N 0 3 240.322 2.686 20 0 BFADHN c1c(CN[C@@H]2CC23CC3)[nH]nc1-c1ccccc1 ZINC000424185913 385099550 /nfs/dbraw/zinc/09/95/50/385099550.db2.gz REYKRYSVGBGEPK-CQSZACIVSA-N 0 3 239.322 2.719 20 0 BFADHN CC1(C)COC[C@H]1NCc1ccc(Cl)cc1 ZINC000313001423 385099534 /nfs/dbraw/zinc/09/95/34/385099534.db2.gz NSWBZWLIMFTYBW-GFCCVEGCSA-N 0 3 239.746 2.855 20 0 BFADHN CSCC[C@H](C)N(C)Cc1c(C)n[nH]c1C ZINC000129018307 385100746 /nfs/dbraw/zinc/10/07/46/385100746.db2.gz GKBFKOGRVQSVQJ-VIFPVBQESA-N 0 3 241.404 2.600 20 0 BFADHN CCOC[C@H](NCc1cccc(O)c1)C(C)C ZINC000188864995 385101073 /nfs/dbraw/zinc/10/10/73/385101073.db2.gz KJADWJRYHQSABL-AWEZNQCLSA-N 0 3 237.343 2.543 20 0 BFADHN c1cc(CN[C@@H]2CC23CC3)n(C2CCCC2)n1 ZINC000424185873 385101933 /nfs/dbraw/zinc/10/19/33/385101933.db2.gz QQXYDRYDGRRAAX-CYBMUJFWSA-N 0 3 231.343 2.640 20 0 BFADHN CCOC[C@@H](NCc1cccc(F)c1)C(C)C ZINC000188864280 385102688 /nfs/dbraw/zinc/10/26/88/385102688.db2.gz DDUPRTJTDVWYHY-CQSZACIVSA-N 0 3 239.334 2.976 20 0 BFADHN CC(C)CCC(=O)Nc1cccc(CN)c1 ZINC000020248717 385189896 /nfs/dbraw/zinc/18/98/96/385189896.db2.gz YLFCXFYLCTZAOR-UHFFFAOYSA-N 0 3 220.316 2.520 20 0 BFADHN C[C@H](N[C@@H]1CCCc2c[nH]nc21)[C@H]1CC1(C)C ZINC000487304509 385190456 /nfs/dbraw/zinc/19/04/56/385190456.db2.gz UUFYFWKWFOFTGX-MVWJERBFSA-N 0 3 233.359 2.811 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1cncc(C)c1 ZINC000189954955 385198628 /nfs/dbraw/zinc/19/86/28/385198628.db2.gz KOILMUVMXBUKML-GFCCVEGCSA-N 0 3 238.400 2.963 20 0 BFADHN CCC1(C)CCN(Cc2cccnc2N)CC1 ZINC000062956748 385198698 /nfs/dbraw/zinc/19/86/98/385198698.db2.gz HDOYSRKFSONKJH-UHFFFAOYSA-N 0 3 233.359 2.676 20 0 BFADHN Cc1cncc(CN(C)CCC2CC2)c1 ZINC000189966577 385199342 /nfs/dbraw/zinc/19/93/42/385199342.db2.gz WSEFRRMZFOWIAB-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN c1csc(CN2CCC[C@H]2C2CC2)n1 ZINC000370884081 385144620 /nfs/dbraw/zinc/14/46/20/385144620.db2.gz UVOWZWLFNYOOSJ-JTQLQIEISA-N 0 3 208.330 2.518 20 0 BFADHN COc1ncccc1CN[C@@H]1CCC(C)(C)C1 ZINC000129456222 385150073 /nfs/dbraw/zinc/15/00/73/385150073.db2.gz AXARYHAJAOMJOX-GFCCVEGCSA-N 0 3 234.343 2.759 20 0 BFADHN CCc1nc(CN[C@H](C)CCCOC)cs1 ZINC000177994700 385150427 /nfs/dbraw/zinc/15/04/27/385150427.db2.gz BKLUHIFMUQIZTI-SNVBAGLBSA-N 0 3 242.388 2.610 20 0 BFADHN Cc1ccc(CN[C@@H]2COCC2(C)C)cc1C ZINC000313015401 385151728 /nfs/dbraw/zinc/15/17/28/385151728.db2.gz AEHIVTLNIBBYQG-CQSZACIVSA-N 0 3 233.355 2.818 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H](C)C1)c1cnccn1 ZINC000152105865 385155407 /nfs/dbraw/zinc/15/54/07/385155407.db2.gz FNTQRXTYNFBUSV-AGIUHOORSA-N 0 3 233.359 2.954 20 0 BFADHN CC[C@@H](NCCOC)c1cc(F)ccc1F ZINC000152107943 385155456 /nfs/dbraw/zinc/15/54/56/385155456.db2.gz ZKMNHAVQBVVMFK-GFCCVEGCSA-N 0 3 229.270 2.652 20 0 BFADHN Cc1csc(CN2CC[C@H]3CCC[C@H]32)n1 ZINC000336359567 385156435 /nfs/dbraw/zinc/15/64/35/385156435.db2.gz RSLHHMPEVVOFPQ-GHMZBOCLSA-N 0 3 222.357 2.826 20 0 BFADHN C[C@@]1(c2ccccc2)CC[C@@H](N2CCOCC2)C1 ZINC000189496598 385158564 /nfs/dbraw/zinc/15/85/64/385158564.db2.gz MKFUJYFWNOPUCF-HZPDHXFCSA-N 0 3 245.366 2.829 20 0 BFADHN COC[C@H]1CCCCN1Cc1ccccc1F ZINC000449760277 385161451 /nfs/dbraw/zinc/16/14/51/385161451.db2.gz NHQWDJPCLLYVDV-CYBMUJFWSA-N 0 3 237.318 2.827 20 0 BFADHN COC[C@H]1CCCCN1Cc1cccs1 ZINC000449760452 385163291 /nfs/dbraw/zinc/16/32/91/385163291.db2.gz OUVKKEFITHCSAU-LLVKDONJSA-N 0 3 225.357 2.749 20 0 BFADHN Cc1ccc([C@H](C)NCCCn2ccnc2C)o1 ZINC000165637935 385163777 /nfs/dbraw/zinc/16/37/77/385163777.db2.gz IVNICMWGDVYUGS-LBPRGKRZSA-N 0 3 247.342 2.834 20 0 BFADHN Cc1ccc(CN(C)CCC2CCOCC2)o1 ZINC000129637451 385168895 /nfs/dbraw/zinc/16/88/95/385168895.db2.gz RZCKRNKRBZYPPI-UHFFFAOYSA-N 0 3 237.343 2.837 20 0 BFADHN CC[C@@H](N[C@H]1CC12CC2)c1ccc(F)cn1 ZINC000424330600 385169311 /nfs/dbraw/zinc/16/93/11/385169311.db2.gz UFNISQLJAGXTBZ-PWSUYJOCSA-N 0 3 220.291 2.814 20 0 BFADHN CC(C)=CCN1CCC(OC(C)C)CC1 ZINC000530925131 385172050 /nfs/dbraw/zinc/17/20/50/385172050.db2.gz JKWMEJZEXYUWGK-UHFFFAOYSA-N 0 3 211.349 2.842 20 0 BFADHN CC(C)c1nc(CN(C)C[C@@H]2CC=CCC2)n[nH]1 ZINC000428353081 385173382 /nfs/dbraw/zinc/17/33/82/385173382.db2.gz STSJDDUTGZGERI-GFCCVEGCSA-N 0 3 248.374 2.716 20 0 BFADHN CCOc1ccc([C@@H](C)N[C@@H]2CCCOC2)cc1 ZINC000109543224 385174700 /nfs/dbraw/zinc/17/47/00/385174700.db2.gz UDTRWEPRHITMJE-TZMCWYRMSA-N 0 3 249.354 2.915 20 0 BFADHN Fc1ccc2c(c1)CC[C@@H]2NC[C@@H]1CCCCO1 ZINC000129708084 385177271 /nfs/dbraw/zinc/17/72/71/385177271.db2.gz AQPRTBXMOZCLPV-ZFWWWQNUSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H](CO)[C@H](C)NCc1cc(F)ccc1Cl ZINC000165940646 385209749 /nfs/dbraw/zinc/20/97/49/385209749.db2.gz DHCKLAVENOLTBJ-BDAKNGLRSA-N 0 3 245.725 2.586 20 0 BFADHN CSCCNC1(c2nccs2)CCCC1 ZINC000089913122 385210785 /nfs/dbraw/zinc/21/07/85/385210785.db2.gz UKGGFVLKUBYFGI-UHFFFAOYSA-N 0 3 242.413 2.865 20 0 BFADHN Cc1ccoc1CN(C[C@@H]1CCOC1)C1CC1 ZINC000130021103 385212132 /nfs/dbraw/zinc/21/21/32/385212132.db2.gz ULLDKMOEXUKHSY-LBPRGKRZSA-N 0 3 235.327 2.589 20 0 BFADHN COC[C@H](NCc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000178246144 385215266 /nfs/dbraw/zinc/21/52/66/385215266.db2.gz WICHRHPGYIPFCB-HONMWMINSA-N 0 3 249.354 2.918 20 0 BFADHN CCOC[C@H](C)NCc1ccc(C)cc1 ZINC000044688244 385217346 /nfs/dbraw/zinc/21/73/46/385217346.db2.gz BPVXGLKEBRVNMM-LBPRGKRZSA-N 0 3 207.317 2.510 20 0 BFADHN CC1=CCN(C[C@@H]2CCC(C)(C)O2)CC1 ZINC000334152426 168114742 /nfs/dbraw/zinc/11/47/42/168114742.db2.gz VZSGNMBAZSJCFU-LBPRGKRZSA-N 0 3 209.333 2.596 20 0 BFADHN Cn1ccc(CN[C@H]2CCCC(C)(C)CC2)n1 ZINC000213120547 385218466 /nfs/dbraw/zinc/21/84/66/385218466.db2.gz NYHGHOUMEFKVJZ-LBPRGKRZSA-N 0 3 235.375 2.869 20 0 BFADHN CCOC[C@H](C)N[C@@H](C)c1cccs1 ZINC000044688743 385218442 /nfs/dbraw/zinc/21/84/42/385218442.db2.gz XVTMEVYTOZIFAL-UWVGGRQHSA-N 0 3 213.346 2.824 20 0 BFADHN CCC1(CN[C@H](C)c2ccccn2)CC1 ZINC000090219545 385219383 /nfs/dbraw/zinc/21/93/83/385219383.db2.gz YSFDQDMVAZIKHK-LLVKDONJSA-N 0 3 204.317 2.922 20 0 BFADHN c1c(CN2C[C@H]3CCC[C@@H]3C2)nc2ccccn12 ZINC000152904825 385221717 /nfs/dbraw/zinc/22/17/17/385221717.db2.gz UTWPAQFGDIAICU-CHWSQXEVSA-N 0 3 241.338 2.566 20 0 BFADHN Cc1cc(C)cc([C@@H](C)N[C@@H]2CCOC2)c1 ZINC000166126857 385223165 /nfs/dbraw/zinc/22/31/65/385223165.db2.gz BDMQACMCYZGFLU-TZMCWYRMSA-N 0 3 219.328 2.743 20 0 BFADHN CC[C@]1(CO)CCCN(Cc2cccs2)C1 ZINC000190219537 385223183 /nfs/dbraw/zinc/22/31/83/385223183.db2.gz YKUJVXOOBSMMMG-ZDUSSCGKSA-N 0 3 239.384 2.733 20 0 BFADHN CCC1(NCc2ccccc2OC)CC1 ZINC000294794219 385224854 /nfs/dbraw/zinc/22/48/54/385224854.db2.gz MFNFBBVTOATDHU-UHFFFAOYSA-N 0 3 205.301 2.727 20 0 BFADHN CC[C@H](NCc1ccccc1)c1cnn(C)c1 ZINC000152940785 385226917 /nfs/dbraw/zinc/22/69/17/385226917.db2.gz CGQVIBZQQVKICU-AWEZNQCLSA-N 0 3 229.327 2.661 20 0 BFADHN CCOC[C@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC000177366833 385227419 /nfs/dbraw/zinc/22/74/19/385227419.db2.gz QKDWUCFVGDFSNC-STQMWFEESA-N 0 3 234.343 2.501 20 0 BFADHN CC1(C)COC[C@@H]1N[C@@H]1CCc2cc(F)ccc21 ZINC000313036845 385227513 /nfs/dbraw/zinc/22/75/13/385227513.db2.gz NGLXNHCGYLADCB-KGLIPLIRSA-N 0 3 249.329 2.828 20 0 BFADHN CC1(C)COC[C@@H]1NC/C=C/c1ccccc1 ZINC000313034815 385227887 /nfs/dbraw/zinc/22/78/87/385227887.db2.gz VWYWDARZZXFYNC-MRZGDXHCSA-N 0 3 231.339 2.714 20 0 BFADHN Cc1cccc(CN[C@H]2COCC2(C)C)c1C ZINC000313032847 385228811 /nfs/dbraw/zinc/22/88/11/385228811.db2.gz JLUXMZJACKMTOA-AWEZNQCLSA-N 0 3 233.355 2.818 20 0 BFADHN C[C@H](O)CC(C)(C)CN[C@@H](C)c1cncs1 ZINC000130228951 385230021 /nfs/dbraw/zinc/23/00/21/385230021.db2.gz FQKPYQNNNBIFMT-UWVGGRQHSA-N 0 3 242.388 2.591 20 0 BFADHN Cc1nc(C(C)C)sc1[C@H](C)NCCCO ZINC000166250598 385230609 /nfs/dbraw/zinc/23/06/09/385230609.db2.gz CORGUUMCKPXDFZ-VIFPVBQESA-N 0 3 242.388 2.608 20 0 BFADHN CCC1CCN(Cc2ccncc2)CC1 ZINC000130267584 385234402 /nfs/dbraw/zinc/23/44/02/385234402.db2.gz QMYSJPYPIOKHSD-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CC[C@H](NCC(C)(C)O)c1ccccc1F ZINC000166347889 385238404 /nfs/dbraw/zinc/23/84/04/385238404.db2.gz NDXIBTPOORDLJD-LBPRGKRZSA-N 0 3 225.307 2.637 20 0 BFADHN Clc1sccc1CNC[C@@H]1CCCOC1 ZINC000308885215 385250012 /nfs/dbraw/zinc/25/00/12/385250012.db2.gz SLDGGNDLGAJIHK-VIFPVBQESA-N 0 3 245.775 2.918 20 0 BFADHN C[C@H](N[C@@H](C)C1CCOCC1)c1nccs1 ZINC000153436766 385253526 /nfs/dbraw/zinc/25/35/26/385253526.db2.gz GZJDKOFTTJHSOA-UWVGGRQHSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@@H](N[C@@H](C)C1CCOCC1)c1nccs1 ZINC000153436870 385255139 /nfs/dbraw/zinc/25/51/39/385255139.db2.gz GZJDKOFTTJHSOA-VHSXEESVSA-N 0 3 240.372 2.609 20 0 BFADHN CC(C)N(CC(=O)Nc1ccccc1)C1CCC1 ZINC000119772221 385202565 /nfs/dbraw/zinc/20/25/65/385202565.db2.gz XHUSTJFQSZKHSK-UHFFFAOYSA-N 0 3 246.354 2.888 20 0 BFADHN CO[C@@](C)(CNCc1ccccc1F)C1CC1 ZINC000291094750 385204332 /nfs/dbraw/zinc/20/43/32/385204332.db2.gz YMMWUDHIOBJZTM-AWEZNQCLSA-N 0 3 237.318 2.730 20 0 BFADHN Cc1ccc(CNC[C@@H]2C[C@H]2C2CC2)o1 ZINC000309210032 385204596 /nfs/dbraw/zinc/20/45/96/385204596.db2.gz SQHRNGLCWHDCAO-AAEUAGOBSA-N 0 3 205.301 2.724 20 0 BFADHN CCC[C@@H](O)CN[C@H](C)c1ccccc1OC ZINC000131057467 385303889 /nfs/dbraw/zinc/30/38/89/385303889.db2.gz UPGFNEVMMXXAFT-VXGBXAGGSA-N 0 3 237.343 2.507 20 0 BFADHN CC[C@](C)(CCO)NCc1cc(C)ccc1F ZINC000177900602 385304016 /nfs/dbraw/zinc/30/40/16/385304016.db2.gz NSCMMZZHGPMWMU-CQSZACIVSA-N 0 3 239.334 2.775 20 0 BFADHN C[C@@H](O)CCCN(C)[C@H](C)c1cccs1 ZINC000308933006 385304117 /nfs/dbraw/zinc/30/41/17/385304117.db2.gz RTFUZAFLMLGIAP-GHMZBOCLSA-N 0 3 227.373 2.902 20 0 BFADHN CC[C@@H](COC)NCc1cccc(Cl)c1F ZINC000131138027 385311087 /nfs/dbraw/zinc/31/10/87/385311087.db2.gz DWKMJEHNXRJUEL-JTQLQIEISA-N 0 3 245.725 2.994 20 0 BFADHN COc1ccc(CN(C)CC2CCCC2)cn1 ZINC000131222337 385319182 /nfs/dbraw/zinc/31/91/82/385319182.db2.gz UVEAMRJPJXEASB-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN CC[C@@H](C)[C@@H](CNCc1ccc(C)nc1)OC ZINC000362977842 385260916 /nfs/dbraw/zinc/26/09/16/385260916.db2.gz VDHNTVGJZNAIOQ-BXUZGUMPSA-N 0 3 236.359 2.541 20 0 BFADHN C[C@H](NCC1(C)CCOCC1)c1ccco1 ZINC000130595956 385262572 /nfs/dbraw/zinc/26/25/72/385262572.db2.gz USISGNNOQQRBFE-NSHDSACASA-N 0 3 223.316 2.747 20 0 BFADHN Cc1ncc(CNC2CCC(C)CC2)o1 ZINC000334723799 385264297 /nfs/dbraw/zinc/26/42/97/385264297.db2.gz IALSUJQYRYXCLC-UHFFFAOYSA-N 0 3 208.305 2.651 20 0 BFADHN C[C@@H](N[C@H](C)C1CCC1)c1nnc2ccccn21 ZINC000178451933 385273382 /nfs/dbraw/zinc/27/33/82/385273382.db2.gz CJVGFZWSOBTTGT-GHMZBOCLSA-N 0 3 244.342 2.569 20 0 BFADHN CN(C[C@@H]1CCCO1)[C@@H]1CCc2ccc(F)cc21 ZINC000177701701 385274022 /nfs/dbraw/zinc/27/40/22/385274022.db2.gz JSGFFFSZGBQENS-DZGCQCFKSA-N 0 3 249.329 2.924 20 0 BFADHN Cn1nccc1CN1CCCC2(CCCC2)C1 ZINC000336405751 385274416 /nfs/dbraw/zinc/27/44/16/385274416.db2.gz XNWBJJYTCYRNHS-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN C[C@@]1(NCc2ccc(Cl)cc2)CCOC1 ZINC000120245890 385275468 /nfs/dbraw/zinc/27/54/68/385275468.db2.gz AQIKUAZFHQYYAB-GFCCVEGCSA-N 0 3 225.719 2.609 20 0 BFADHN CCCCCCNCc1cc(C(=O)OC)co1 ZINC000130699749 385277742 /nfs/dbraw/zinc/27/77/42/385277742.db2.gz UMCIMFLDVBYBBA-UHFFFAOYSA-N 0 3 239.315 2.736 20 0 BFADHN c1csc(CNCc2ccc3[nH]cnc3c2)c1 ZINC000061668502 385280633 /nfs/dbraw/zinc/28/06/33/385280633.db2.gz KUVLLMXASRVHLH-UHFFFAOYSA-N 0 3 243.335 2.914 20 0 BFADHN c1csc(CNCc2ccc3nc[nH]c3c2)c1 ZINC000061668502 385280640 /nfs/dbraw/zinc/28/06/40/385280640.db2.gz KUVLLMXASRVHLH-UHFFFAOYSA-N 0 3 243.335 2.914 20 0 BFADHN Cc1c[nH]nc1CNC(C)(C)C(C)(C)C ZINC000308907111 385280763 /nfs/dbraw/zinc/28/07/63/385280763.db2.gz DVKJWYFGNXEMIW-UHFFFAOYSA-N 0 3 209.337 2.632 20 0 BFADHN CCO[C@H]1C[C@H](N(C)Cc2ccncc2)C1(C)C ZINC000130768665 385284044 /nfs/dbraw/zinc/28/40/44/385284044.db2.gz SRDABOZKOXPWTR-KBPBESRZSA-N 0 3 248.370 2.717 20 0 BFADHN CCc1ccc([C@H]2CCCCCN2CCO)o1 ZINC000177795968 385285321 /nfs/dbraw/zinc/28/53/21/385285321.db2.gz MUJDCAMSVJYAQS-CYBMUJFWSA-N 0 3 237.343 2.751 20 0 BFADHN C[C@H]1COCCN1CC1CCCCCC1 ZINC000130834300 385288239 /nfs/dbraw/zinc/28/82/39/385288239.db2.gz QYEMPVGGPYQRLV-LBPRGKRZSA-N 0 3 211.349 2.678 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H]2CCOC2)o1 ZINC000166859580 385289460 /nfs/dbraw/zinc/28/94/60/385289460.db2.gz GOGKXKMTWJMYCF-MNOVXSKESA-N 0 3 223.316 2.529 20 0 BFADHN CO[C@H](C)CNCc1cccc2c1OC(C)(C)C2 ZINC000191200574 385289637 /nfs/dbraw/zinc/28/96/37/385289637.db2.gz LJGJRCJGCHXPDQ-LLVKDONJSA-N 0 3 249.354 2.525 20 0 BFADHN CO[C@H](C)CNCc1c(C)oc2ccccc21 ZINC000191206946 385292122 /nfs/dbraw/zinc/29/21/22/385292122.db2.gz KLDNXEXMZJUKKI-SNVBAGLBSA-N 0 3 233.311 2.866 20 0 BFADHN CC(C)(C)CCN[C@H](CO)c1ccsc1 ZINC000308920415 385292433 /nfs/dbraw/zinc/29/24/33/385292433.db2.gz CAEYHKKNCIMESP-LLVKDONJSA-N 0 3 227.373 2.807 20 0 BFADHN C[C@H](CC(C)(C)O)NCc1ccccc1Cl ZINC000294947766 385323483 /nfs/dbraw/zinc/32/34/83/385323483.db2.gz CSRAQKRFJGFUSC-SNVBAGLBSA-N 0 3 241.762 2.979 20 0 BFADHN CC[C@@H](CN[C@H]1CCCc2ccc(O)cc21)OC ZINC000291194524 385294145 /nfs/dbraw/zinc/29/41/45/385294145.db2.gz LPAUHXRTQAZYRD-ZFWWWQNUSA-N 0 3 249.354 2.784 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cc(C)no1 ZINC000177853002 385294675 /nfs/dbraw/zinc/29/46/75/385294675.db2.gz QJQMVWUXGCZYJF-SNVBAGLBSA-N 0 3 210.321 2.851 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cn2cccnc2n1 ZINC000177848953 385295149 /nfs/dbraw/zinc/29/51/49/385295149.db2.gz YWUDZRMXOMQGKU-GFCCVEGCSA-N 0 3 246.358 2.597 20 0 BFADHN COCCC[C@H](C)N[C@@H](C)c1nc(C)cs1 ZINC000178544524 385295463 /nfs/dbraw/zinc/29/54/63/385295463.db2.gz ADRCIKGCGSIVRY-ONGXEEELSA-N 0 3 242.388 2.917 20 0 BFADHN CO[C@H](C)CNc1ccnc2ccccc21 ZINC000191227098 385296011 /nfs/dbraw/zinc/29/60/11/385296011.db2.gz BKJXQYKTEXEQQQ-SNVBAGLBSA-N 0 3 216.284 2.682 20 0 BFADHN C(N[C@@H]1C[C@H]2C[C@H]2C1)c1nc2c(s1)CCC2 ZINC000643808422 385297691 /nfs/dbraw/zinc/29/76/91/385297691.db2.gz ZLEBIGFQPWJSMD-ILWJIGKKSA-N 0 3 234.368 2.520 20 0 BFADHN C[C@@H](C(=O)Nc1ccccc1)N1CC[C@@H](C)[C@H]1C ZINC000177872331 385299516 /nfs/dbraw/zinc/29/95/16/385299516.db2.gz LXJSFCARDFFBIW-UPJWGTAASA-N 0 3 246.354 2.744 20 0 BFADHN CC(C)c1cccc2c1CCN(CCCCO)C2 ZINC000191894354 385331470 /nfs/dbraw/zinc/33/14/70/385331470.db2.gz ISORUQPOJRNZSW-UHFFFAOYSA-N 0 3 247.382 2.941 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@H]2CCCN2C)o1 ZINC000154654939 385344325 /nfs/dbraw/zinc/34/43/25/385344325.db2.gz CDRJJGYJEHSFRN-VXGBXAGGSA-N 0 3 236.359 2.587 20 0 BFADHN CN(C)CCSC1=Nc2ccccc2C1 ZINC000192140514 385345490 /nfs/dbraw/zinc/34/54/90/385345490.db2.gz IGLFMXUVKRGBIH-UHFFFAOYSA-N 0 3 220.341 2.568 20 0 BFADHN CC(C)C[C@H](CCO)CN[C@@H](C)c1ccco1 ZINC000154668854 385346736 /nfs/dbraw/zinc/34/67/36/385346736.db2.gz XJOOPUWQPYFFJJ-STQMWFEESA-N 0 3 239.359 2.975 20 0 BFADHN CCCCCNC(=O)[C@H](C)[C@@H](N)c1ccccc1 ZINC000131622474 385348754 /nfs/dbraw/zinc/34/87/54/385348754.db2.gz XVUZMRPIPCHNEA-TZMCWYRMSA-N 0 3 248.370 2.629 20 0 BFADHN CC1(C)C[C@@H](N[C@@H]2CCc3c2cccc3O)CO1 ZINC000335358079 385350787 /nfs/dbraw/zinc/35/07/87/385350787.db2.gz IQFSCWBPFFHMEI-ZWNOBZJWSA-N 0 3 247.338 2.537 20 0 BFADHN CC(C)c1ccc(NC(=O)[C@H]2NCC2(C)C)cc1 ZINC000643986415 385355741 /nfs/dbraw/zinc/35/57/41/385355741.db2.gz DHICEZQHAGKHGA-CYBMUJFWSA-N 0 3 246.354 2.747 20 0 BFADHN FCCCN1CC[C@@H](CC(F)(F)F)C1 ZINC000444691537 385356094 /nfs/dbraw/zinc/35/60/94/385356094.db2.gz ZVPGVMDFWVAXPU-QMMMGPOBSA-N 0 3 213.218 2.620 20 0 BFADHN CC[C@H](NCc1ccc(F)cc1)c1cnn(C)c1 ZINC000131739561 385357587 /nfs/dbraw/zinc/35/75/87/385357587.db2.gz VJVGJNODOBJSJK-AWEZNQCLSA-N 0 3 247.317 2.800 20 0 BFADHN CC[C@@H](CNCc1ccc(F)cc1Cl)OC ZINC000289744466 385358359 /nfs/dbraw/zinc/35/83/59/385358359.db2.gz HUEHNRZCPCKPKP-NSHDSACASA-N 0 3 245.725 2.994 20 0 BFADHN C[C@@H]1CN(CCC2CCCC2)C[C@H](C)O1 ZINC000158182485 385359477 /nfs/dbraw/zinc/35/94/77/385359477.db2.gz UIUSIBBOFBVWSK-TXEJJXNPSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H]1CN(CCC2CCCC2)C[C@@H](C)O1 ZINC000158182805 385360967 /nfs/dbraw/zinc/36/09/67/385360967.db2.gz UIUSIBBOFBVWSK-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN C[C@@H](O)CN1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000192692421 385367582 /nfs/dbraw/zinc/36/75/82/385367582.db2.gz PRWUPMXPEVVFSC-ZWNOBZJWSA-N 0 3 239.746 2.858 20 0 BFADHN Cc1ccnc([C@H](C)NC[C@H]2C[C@@H]2C)c1 ZINC000309025853 385368490 /nfs/dbraw/zinc/36/84/90/385368490.db2.gz ZXCOOTKGMLSBIW-SDDRHHMPSA-N 0 3 204.317 2.697 20 0 BFADHN CCc1ccc(C2=CCN(C[C@@H](C)O)CC2)cc1 ZINC000192776718 385370764 /nfs/dbraw/zinc/37/07/64/385370764.db2.gz BTQCTWJLMHAQHH-CYBMUJFWSA-N 0 3 245.366 2.719 20 0 BFADHN CC(C)[C@H](CNCCF)c1ccccc1 ZINC000280829770 385375031 /nfs/dbraw/zinc/37/50/31/385375031.db2.gz WREJGBSGRABGHN-ZDUSSCGKSA-N 0 3 209.308 2.985 20 0 BFADHN C[C@@H](O)CN(Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000192961306 385379710 /nfs/dbraw/zinc/37/97/10/385379710.db2.gz URIWUPUVFIBYQX-MISXGVKJSA-N 0 3 249.354 2.748 20 0 BFADHN Clc1ccsc1CNC1CCOCC1 ZINC000193013738 385381087 /nfs/dbraw/zinc/38/10/87/385381087.db2.gz PXMDONQOKSGMPC-UHFFFAOYSA-N 0 3 231.748 2.670 20 0 BFADHN CCc1ccc(CN[C@@H]2CSC[C@@H]2C)o1 ZINC000309054080 385386299 /nfs/dbraw/zinc/38/62/99/385386299.db2.gz SQSCXAKCUIYCBM-JOYOIKCWSA-N 0 3 225.357 2.683 20 0 BFADHN CCCc1csc(CNC[C@@H]2C[C@@H]2C)n1 ZINC000309041749 385386831 /nfs/dbraw/zinc/38/68/31/385386831.db2.gz LQCNHXVZTIYCTB-UWVGGRQHSA-N 0 3 224.373 2.841 20 0 BFADHN CSCCN(C)Cc1cccc(N(C)C)c1 ZINC000178046607 385325609 /nfs/dbraw/zinc/32/56/09/385325609.db2.gz MGPYHDNJYFHASE-UHFFFAOYSA-N 0 3 238.400 2.547 20 0 BFADHN CCC[C@@](C)(O)CN[C@H](C)c1ccc(C)o1 ZINC000167588581 385325851 /nfs/dbraw/zinc/32/58/51/385325851.db2.gz IFOLIVZIXDZDEH-DGCLKSJQSA-N 0 3 225.332 2.790 20 0 BFADHN CCC[C@H](O)CN[C@H](C)c1ccc(CC)o1 ZINC000167561801 385326762 /nfs/dbraw/zinc/32/67/62/385326762.db2.gz FXFXJXVKQZEADU-MNOVXSKESA-N 0 3 225.332 2.654 20 0 BFADHN CC(C)OCCNCc1coc2ccccc12 ZINC000168960169 385394682 /nfs/dbraw/zinc/39/46/82/385394682.db2.gz MKUNDIHEUBBABJ-UHFFFAOYSA-N 0 3 233.311 2.947 20 0 BFADHN FCCN[C@H]1CC[C@@H](c2ccccc2)C1 ZINC000281139099 385400663 /nfs/dbraw/zinc/40/06/63/385400663.db2.gz UKZHTOMGAMGVKZ-OLZOCXBDSA-N 0 3 207.292 2.882 20 0 BFADHN C[C@H]1OCC[C@H]1N[C@@H]1CCc2c1cccc2F ZINC000120649759 385401179 /nfs/dbraw/zinc/40/11/79/385401179.db2.gz UZBFBKXCLNLDRG-OWYVNGRQSA-N 0 3 235.302 2.580 20 0 BFADHN CSCCCN[C@H](C)c1ccncc1F ZINC000309072745 385403488 /nfs/dbraw/zinc/40/34/88/385403488.db2.gz GMZLXXDHQQZWJY-SECBINFHSA-N 0 3 228.336 2.624 20 0 BFADHN COc1cc(CN(C)C[C@H]2CCCO2)ccc1C ZINC000193674897 385406585 /nfs/dbraw/zinc/40/65/85/385406585.db2.gz ADEPSTGNGLDUTK-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1ccc(C[C@@H](NCCF)C2CC2)cc1 ZINC000281186498 385408337 /nfs/dbraw/zinc/40/83/37/385408337.db2.gz XGVQFEFUMFGLMF-CQSZACIVSA-N 0 3 221.319 2.875 20 0 BFADHN CCSCCN[C@@H](C)c1cccc(O)c1 ZINC000181579708 385410101 /nfs/dbraw/zinc/41/01/01/385410101.db2.gz DYTBRVKUOIRTIF-JTQLQIEISA-N 0 3 225.357 2.796 20 0 BFADHN CC(C)=CCCNCc1c(C)noc1C ZINC000309085732 385410265 /nfs/dbraw/zinc/41/02/65/385410265.db2.gz XEVRGAKFUJPJPA-UHFFFAOYSA-N 0 3 208.305 2.737 20 0 BFADHN Cc1ccc(CNCCn2cccn2)cc1Cl ZINC000193735073 385411005 /nfs/dbraw/zinc/41/10/05/385411005.db2.gz FAZMHWJLBXSEAX-UHFFFAOYSA-N 0 3 249.745 2.635 20 0 BFADHN Clc1sccc1CNCC1CC1 ZINC000308088041 385411518 /nfs/dbraw/zinc/41/15/18/385411518.db2.gz QNVARNMIPZMIEU-UHFFFAOYSA-N 0 3 201.722 2.901 20 0 BFADHN C=Cn1cc(CN2CCC[C@@H](CC)C2)cn1 ZINC000193746721 385411659 /nfs/dbraw/zinc/41/16/59/385411659.db2.gz QACZZZTWCKVUCN-GFCCVEGCSA-N 0 3 219.332 2.606 20 0 BFADHN CSC1(CN[C@H](C)c2cncc(F)c2)CC1 ZINC000193819565 385412866 /nfs/dbraw/zinc/41/28/66/385412866.db2.gz DSZCINWYFLHPEC-SECBINFHSA-N 0 3 240.347 2.767 20 0 BFADHN COc1cc(C)ccc1CNC[C@H]1CCC=CO1 ZINC000194514523 385438888 /nfs/dbraw/zinc/43/88/88/385438888.db2.gz HLGCQHRHVGCBRV-CQSZACIVSA-N 0 3 247.338 2.786 20 0 BFADHN CC[C@](C)(NC[C@@H](C)OC)c1nc(C)cs1 ZINC000182345017 385440466 /nfs/dbraw/zinc/44/04/66/385440466.db2.gz DCJGUGUROUJNAC-PWSUYJOCSA-N 0 3 242.388 2.701 20 0 BFADHN CCOc1ccccc1CN1CCCO[C@H](C)C1 ZINC000170774496 385443291 /nfs/dbraw/zinc/44/32/91/385443291.db2.gz LWAVAOANQUTJEP-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN CC[C@@H](C)CN[C@@H](C)c1ccncc1F ZINC000309166483 385446222 /nfs/dbraw/zinc/44/62/22/385446222.db2.gz JEOBXUCLJRXVNM-ZJUUUORDSA-N 0 3 210.296 2.917 20 0 BFADHN CCCN(Cc1cccc(F)c1N)C1CC1 ZINC000156340219 385446512 /nfs/dbraw/zinc/44/65/12/385446512.db2.gz XSJBHIINTTVKRT-UHFFFAOYSA-N 0 3 222.307 2.782 20 0 BFADHN CC(C)(CNCc1ccc(CO)o1)C1CCC1 ZINC000295122757 385447413 /nfs/dbraw/zinc/44/74/13/385447413.db2.gz XPPQTRZREHWVPP-UHFFFAOYSA-N 0 3 237.343 2.688 20 0 BFADHN CCCc1cccc(CN2CCN(C)C[C@@H]2C)c1 ZINC000360875307 385450845 /nfs/dbraw/zinc/45/08/45/385450845.db2.gz XFSIWZXRFMRYQK-AWEZNQCLSA-N 0 3 246.398 2.775 20 0 BFADHN CC/C=C/CNCc1cnn(-c2ccccc2)c1 ZINC000295125456 385451260 /nfs/dbraw/zinc/45/12/60/385451260.db2.gz XHIBZDJPTKUICQ-XVNBXDOJSA-N 0 3 241.338 2.928 20 0 BFADHN C/C(=C/c1ccccc1)CN1C[C@H](O)C(C)(C)C1 ZINC000448352841 385454777 /nfs/dbraw/zinc/45/47/77/385454777.db2.gz FDLMVMAZEHWWLU-CTXBLAANSA-N 0 3 245.366 2.793 20 0 BFADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1cocn1 ZINC000182077871 385428580 /nfs/dbraw/zinc/42/85/80/385428580.db2.gz JTUZBYUXKXHJHB-PWSUYJOCSA-N 0 3 222.332 2.979 20 0 BFADHN CCCCCNC(=O)[C@H](N)CC1CCCCC1 ZINC000336714721 385429878 /nfs/dbraw/zinc/42/98/78/385429878.db2.gz SGQQTNMUCIDKQQ-CYBMUJFWSA-N 0 3 240.391 2.591 20 0 BFADHN CCCCCNC(=O)[C@@H](N)CC1CCCCC1 ZINC000336714722 385429885 /nfs/dbraw/zinc/42/98/85/385429885.db2.gz SGQQTNMUCIDKQQ-ZDUSSCGKSA-N 0 3 240.391 2.591 20 0 BFADHN CCCCN(CC)Cc1cc(C(=O)OC)co1 ZINC000171031412 385464807 /nfs/dbraw/zinc/46/48/07/385464807.db2.gz NKGMTCRJLZYUSQ-UHFFFAOYSA-N 0 3 239.315 2.688 20 0 BFADHN CC(C)C[C@@H](C)CSCCN(C)C ZINC000308146642 385468047 /nfs/dbraw/zinc/46/80/47/385468047.db2.gz MBMHXSPIUJSSRE-LLVKDONJSA-N 0 3 203.395 2.963 20 0 BFADHN CCOc1cccc([C@H](C)NCc2cnc[nH]2)c1 ZINC000171133034 385469619 /nfs/dbraw/zinc/46/96/19/385469619.db2.gz ZMNMQIBCAQIIPO-NSHDSACASA-N 0 3 245.326 2.659 20 0 BFADHN CN(Cc1cccnc1)[C@H]1C=CCCC1 ZINC000179516096 385471170 /nfs/dbraw/zinc/47/11/70/385471170.db2.gz IIZLDUHPNFWORB-ZDUSSCGKSA-N 0 3 202.301 2.622 20 0 BFADHN CO[C@H](C)CCN[C@H](C)c1cc(C)c(C)o1 ZINC000282019315 385477756 /nfs/dbraw/zinc/47/77/56/385477756.db2.gz DXOBYPAIWYAXSL-GHMZBOCLSA-N 0 3 225.332 2.972 20 0 BFADHN CN(Cc1cnn2ccccc12)CC1CCCC1 ZINC000179715972 385486132 /nfs/dbraw/zinc/48/61/32/385486132.db2.gz CXSSKNSSYSOBNG-UHFFFAOYSA-N 0 3 243.354 2.956 20 0 BFADHN Cn1ccc(CN2CCC[C@@H]2c2ccc[nH]2)c1 ZINC000156605728 385460759 /nfs/dbraw/zinc/46/07/59/385460759.db2.gz HRGHTPPYHGSTLS-CQSZACIVSA-N 0 3 229.327 2.690 20 0 BFADHN CCC(CC)[C@@H](O)CN[C@H](C)c1ccncc1 ZINC000156648180 385462689 /nfs/dbraw/zinc/46/26/89/385462689.db2.gz AAYXDQGXQMGGAO-RISCZKNCSA-N 0 3 236.359 2.529 20 0 BFADHN CC(C)OCCCNCc1cc2cccnc2o1 ZINC000449062395 385492831 /nfs/dbraw/zinc/49/28/31/385492831.db2.gz JDIFGJHKIJJMGX-UHFFFAOYSA-N 0 3 248.326 2.733 20 0 BFADHN CN(C)Cc1cccc(NC(=O)[C@H]2CC2(C)C)c1 ZINC000157287466 385496603 /nfs/dbraw/zinc/49/66/03/385496603.db2.gz WMYPOFOSPFRQPC-CYBMUJFWSA-N 0 3 246.354 2.733 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1nccn1C(C)C ZINC000183040863 385500980 /nfs/dbraw/zinc/50/09/80/385500980.db2.gz HYDBRCFQEDCTCO-NEPJUHHUSA-N 0 3 223.364 2.988 20 0 BFADHN Cn1cc(CN2CCCC3(CCCCC3)C2)cn1 ZINC000111323262 385501075 /nfs/dbraw/zinc/50/10/75/385501075.db2.gz IROVJKTUJOPCPM-UHFFFAOYSA-N 0 3 247.386 2.966 20 0 BFADHN CSC(C)(C)CN[C@H](C)c1cc(C)n(C)n1 ZINC000282093386 385503767 /nfs/dbraw/zinc/50/37/67/385503767.db2.gz IHCWVPOAOHJBML-SNVBAGLBSA-N 0 3 241.404 2.521 20 0 BFADHN CCOC1CCN(Cc2cccs2)CC1 ZINC000193778531 385509260 /nfs/dbraw/zinc/50/92/60/385509260.db2.gz NLDVWLCAVNEUDU-UHFFFAOYSA-N 0 3 225.357 2.749 20 0 BFADHN Cc1ccc2ncc(CN3CCC[C@H](C)C3)n2c1 ZINC000157721206 385518431 /nfs/dbraw/zinc/51/84/31/385518431.db2.gz RDWNOMBNUFXXGZ-LBPRGKRZSA-N 0 3 243.354 2.875 20 0 BFADHN Cc1cccc(NC(=O)[C@H](N)CCC(C)C)c1 ZINC000257734187 385489114 /nfs/dbraw/zinc/48/91/14/385489114.db2.gz WTRFHAJXCZGJBR-CYBMUJFWSA-N 0 3 234.343 2.697 20 0 BFADHN CC[C@@H](O)CN[C@@H](CC)c1cc(F)ccc1F ZINC000179777489 385489723 /nfs/dbraw/zinc/48/97/23/385489723.db2.gz JBAFQWZTNZJNNY-MFKMUULPSA-N 0 3 243.297 2.776 20 0 BFADHN CCc1ccccc1NC(=O)[C@@H](N)CCC(C)C ZINC000257713780 385490315 /nfs/dbraw/zinc/49/03/15/385490315.db2.gz SLMOOFRUNDJJRD-ZDUSSCGKSA-N 0 3 248.370 2.951 20 0 BFADHN Cc1ccc(CN[C@@H]2CCO[C@H](C3CC3)C2)o1 ZINC000157157430 385490522 /nfs/dbraw/zinc/49/05/22/385490522.db2.gz AVJOJPRJIITVBE-OCCSQVGLSA-N 0 3 235.327 2.635 20 0 BFADHN Cc1cnc(CN2C[C@@H]3CCC[C@@H]3C2)s1 ZINC000180540472 385529470 /nfs/dbraw/zinc/52/94/70/385529470.db2.gz ZQKDKLHUFHQVRH-PHIMTYICSA-N 0 3 222.357 2.683 20 0 BFADHN C[C@H](CN[C@H](C)c1ccccn1)C(F)(F)F ZINC000309311979 385529592 /nfs/dbraw/zinc/52/95/92/385529592.db2.gz CBMKAJWBXMUWNS-RKDXNWHRSA-N 0 3 232.249 2.931 20 0 BFADHN Cc1cnc(CN2C[C@H]3CCC[C@@H]3C2)s1 ZINC000180540487 385529720 /nfs/dbraw/zinc/52/97/20/385529720.db2.gz ZQKDKLHUFHQVRH-GHMZBOCLSA-N 0 3 222.357 2.683 20 0 BFADHN C[C@@H]1CCCN(CCOCC(F)(F)F)[C@@H]1C ZINC000172856483 385537539 /nfs/dbraw/zinc/53/75/39/385537539.db2.gz YWVTVVPJBFGNSH-NXEZZACHSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@H]1C[C@H](C)N(Cc2cccc(F)c2N)C1 ZINC000157978691 385541793 /nfs/dbraw/zinc/54/17/93/385541793.db2.gz FGYYGJNPBCMCDR-UWVGGRQHSA-N 0 3 222.307 2.638 20 0 BFADHN C[C@H](N[C@@H](CCO)C(C)(C)C)c1nccs1 ZINC000158013341 385543808 /nfs/dbraw/zinc/54/38/08/385543808.db2.gz XQXCTILFOVQDJC-UWVGGRQHSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@@H](N[C@H](CCO)C(C)(C)C)c1nccs1 ZINC000158013773 385544011 /nfs/dbraw/zinc/54/40/11/385544011.db2.gz XQXCTILFOVQDJC-NXEZZACHSA-N 0 3 242.388 2.591 20 0 BFADHN CCc1cccc(CN2CCOCC[C@H]2C)c1 ZINC000364956081 385547889 /nfs/dbraw/zinc/54/78/89/385547889.db2.gz RPMAZYPMXKZNRO-CYBMUJFWSA-N 0 3 233.355 2.860 20 0 BFADHN CN(CCc1nccs1)Cc1ccccc1 ZINC000157730804 385518771 /nfs/dbraw/zinc/51/87/71/385518771.db2.gz LOSHCAZPNGJVRN-UHFFFAOYSA-N 0 3 232.352 2.818 20 0 BFADHN CC(C)N(CC(=O)N(C)c1ccccc1)C(C)C ZINC000180443957 385522376 /nfs/dbraw/zinc/52/23/76/385522376.db2.gz VKJNTYQWUVZFSZ-UHFFFAOYSA-N 0 3 248.370 2.768 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCC[C@H](C)[C@@H]2C)[n-]1 ZINC000392375370 385522807 /nfs/dbraw/zinc/52/28/07/385522807.db2.gz OMWKGQJEBWFJLM-QFOLPQNPSA-N 0 3 236.363 2.588 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CC[C@H](C)C[C@@H]2C)[n-]1 ZINC000392373812 385522827 /nfs/dbraw/zinc/52/28/27/385522827.db2.gz NPJGJMRLLGFTDM-QFOLPQNPSA-N 0 3 236.363 2.588 20 0 BFADHN C[C@H](NC[C@H](O)C1CCCCC1)c1cccnc1 ZINC000181410364 385575980 /nfs/dbraw/zinc/57/59/80/385575980.db2.gz NEICVSNMGTYZAO-WFASDCNBSA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@@H](CNCc1ccc2ncccc2c1)OC ZINC000289848753 385551139 /nfs/dbraw/zinc/55/11/39/385551139.db2.gz PKJDSMVTYQVTGC-AWEZNQCLSA-N 0 3 244.338 2.749 20 0 BFADHN Cc1ccnc([C@@H](C)NC[C@@H]2CCCS2)c1 ZINC000309379181 385557832 /nfs/dbraw/zinc/55/78/32/385557832.db2.gz ACIMGLBWMBRYLV-NEPJUHHUSA-N 0 3 236.384 2.936 20 0 BFADHN Cc1ccnc([C@@H](C)NC[C@H]2CCCS2)c1 ZINC000309379185 385557868 /nfs/dbraw/zinc/55/78/68/385557868.db2.gz ACIMGLBWMBRYLV-VXGBXAGGSA-N 0 3 236.384 2.936 20 0 BFADHN Cc1ccoc1CNC[C@H]1CCC(C)(C)O1 ZINC000309386100 385558895 /nfs/dbraw/zinc/55/88/95/385558895.db2.gz BFGMGSBKUXLUCQ-LLVKDONJSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1csc([C@@H](C)NCC[C@H]2CCOC2)n1 ZINC000309393776 385560958 /nfs/dbraw/zinc/56/09/58/385560958.db2.gz GGQXALZGOPNWGG-MNOVXSKESA-N 0 3 240.372 2.529 20 0 BFADHN Cc1nc(C)c(CN2C[C@@H](C3CC3)[C@H]2C2CC2)o1 ZINC000628300065 385577079 /nfs/dbraw/zinc/57/70/79/385577079.db2.gz MZBOPSXAFHRLEC-DZGCQCFKSA-N 0 3 246.354 2.912 20 0 BFADHN CC(C)(C)CCN[C@@H]1CCCc2c[nH]nc21 ZINC000309403320 385571381 /nfs/dbraw/zinc/57/13/81/385571381.db2.gz WSXHZNCWPGEFED-LLVKDONJSA-N 0 3 221.348 2.813 20 0 BFADHN c1cc(CN[C@H]2CCN(c3ccccc3)C2)co1 ZINC000592459441 385572137 /nfs/dbraw/zinc/57/21/37/385572137.db2.gz KJNLLNVDXARIND-AWEZNQCLSA-N 0 3 242.322 2.648 20 0 BFADHN CC[C@H](NCC(=O)N(C)CC)c1ccc(C)cc1 ZINC000181708563 385594977 /nfs/dbraw/zinc/59/49/77/385594977.db2.gz XJHCIAMVXRPECI-AWEZNQCLSA-N 0 3 248.370 2.514 20 0 BFADHN COC[C@H](NCC=C(C)C)c1ccccc1 ZINC000181699410 385595063 /nfs/dbraw/zinc/59/50/63/385595063.db2.gz RMWFIDQBCLYJBT-AWEZNQCLSA-N 0 3 219.328 2.930 20 0 BFADHN C[C@@H](NCCCCF)c1c(F)cncc1F ZINC000309464833 385595774 /nfs/dbraw/zinc/59/57/74/385595774.db2.gz PRBRRICNNOMOJL-MRVPVSSYSA-N 0 3 232.249 2.760 20 0 BFADHN CCc1ccc(CN(C)[C@H]2CCN(C3CC3)C2)o1 ZINC000353331161 385602503 /nfs/dbraw/zinc/60/25/03/385602503.db2.gz SUMIJXQHVJXSQN-ZDUSSCGKSA-N 0 3 248.370 2.511 20 0 BFADHN Cc1ccnc([C@H](C)N[C@H]2CSC[C@H]2C)c1 ZINC000309420087 385578783 /nfs/dbraw/zinc/57/87/83/385578783.db2.gz JUBFSRIMMGJXBG-MDZLAQPJSA-N 0 3 236.384 2.792 20 0 BFADHN COC(C)(C)C[C@H](C)NCc1ccoc1C ZINC000309431554 385580435 /nfs/dbraw/zinc/58/04/35/385580435.db2.gz GGOWZWBIKJQYGM-JTQLQIEISA-N 0 3 225.332 2.881 20 0 BFADHN CC(C(=O)Nc1ccccc1F)C(F)(F)F ZINC000334615352 385587523 /nfs/dbraw/zinc/58/75/23/385587523.db2.gz VYBKWKBSUROFDW-ZCFIWIBFSA-N 0 3 235.180 2.963 20 0 BFADHN Cn1nccc1[C@@H]1CCCCN1CCC1CC1 ZINC000337295979 385589385 /nfs/dbraw/zinc/58/93/85/385589385.db2.gz NWBXWYBGNUYRBV-AWEZNQCLSA-N 0 3 233.359 2.747 20 0 BFADHN CO[C@H](CNCc1cnccc1C)C(C)(C)C ZINC000280924582 385616424 /nfs/dbraw/zinc/61/64/24/385616424.db2.gz PWJXYLBIROSDRL-CYBMUJFWSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H]1CSC1(C)C ZINC000283373499 385619369 /nfs/dbraw/zinc/61/93/69/385619369.db2.gz ODIDJGJGGWWACF-ZYHUDNBSSA-N 0 3 236.384 2.935 20 0 BFADHN CO[C@@]1(C)C[C@@H](NCc2cnccc2C)C1(C)C ZINC000280971217 385621960 /nfs/dbraw/zinc/62/19/60/385621960.db2.gz TUIQKGHSNZZKAI-HIFRSBDPSA-N 0 3 248.370 2.683 20 0 BFADHN CC[C@@H](NCCCOC)c1nc(C)cs1 ZINC000184341446 385622535 /nfs/dbraw/zinc/62/25/35/385622535.db2.gz IYSBWBURZJNTSY-SNVBAGLBSA-N 0 3 228.361 2.529 20 0 BFADHN Cc1ccncc1CN(C)CCC1CC1 ZINC000269675869 385623858 /nfs/dbraw/zinc/62/38/58/385623858.db2.gz CDXLWDIIIZKQAC-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN CCSCCN[C@@H]1c2ccccc2O[C@H]1C ZINC000290158657 385626096 /nfs/dbraw/zinc/62/60/96/385626096.db2.gz LQAWVTPGCLFWFQ-GWCFXTLKSA-N 0 3 237.368 2.851 20 0 BFADHN CC(C)N(CC(=O)NC(C)(C)C)C1CCCC1 ZINC000108364599 385635361 /nfs/dbraw/zinc/63/53/61/385635361.db2.gz MHPRPNLSTQBWJV-UHFFFAOYSA-N 0 3 240.391 2.554 20 0 BFADHN Cc1cncc(CN[C@@H]2CC(C)(C)OC2(C)C)c1 ZINC000181834750 385611602 /nfs/dbraw/zinc/61/16/02/385611602.db2.gz JXARPOVMQWSINJ-CYBMUJFWSA-N 0 3 248.370 2.826 20 0 BFADHN Cc1oncc1CN1CCCCC[C@H]1C ZINC000335414683 385611960 /nfs/dbraw/zinc/61/19/60/385611960.db2.gz KIOFBJLHTPNNES-SNVBAGLBSA-N 0 3 208.305 2.748 20 0 BFADHN C[C@@H](CN[C@@H](C)c1ccncc1)C(F)(F)F ZINC000336724085 385613512 /nfs/dbraw/zinc/61/35/12/385613512.db2.gz BBKAMHDICLWQGP-IUCAKERBSA-N 0 3 232.249 2.931 20 0 BFADHN C[C@@H](NCCC(C)(C)O)c1cc(F)ccc1F ZINC000336681260 385636089 /nfs/dbraw/zinc/63/60/89/385636089.db2.gz DPLPLUZVXQVZDE-SECBINFHSA-N 0 3 243.297 2.776 20 0 BFADHN CCC[C@@H](C)N1CCN(c2cnccc2C)CC1 ZINC000337440463 385664286 /nfs/dbraw/zinc/66/42/86/385664286.db2.gz ZIQPQEYMGOUNDL-CQSZACIVSA-N 0 3 247.386 2.701 20 0 BFADHN CC[C@H]1CN([C@H](C)c2cccnc2)CCCO1 ZINC000281376263 385664441 /nfs/dbraw/zinc/66/44/41/385664441.db2.gz LZWZQKHCXCLHGN-OCCSQVGLSA-N 0 3 234.343 2.644 20 0 BFADHN Cc1ccc([O-])c(C[NH2+][C@H]2CC[C@H](C)CC2)n1 ZINC000252949536 385664841 /nfs/dbraw/zinc/66/48/41/385664841.db2.gz CFUSDJXBWHZOPD-UMSPYCQHSA-N 0 3 234.343 2.764 20 0 BFADHN Cc1occc1CN[C@@H]1CS[C@@H](C)C1 ZINC000306899398 385639578 /nfs/dbraw/zinc/63/95/78/385639578.db2.gz DKLPROQYUVMDCL-KWQFWETISA-N 0 3 211.330 2.572 20 0 BFADHN Cc1occc1CN[C@H]1CS[C@H](C)C1 ZINC000306899400 385639752 /nfs/dbraw/zinc/63/97/52/385639752.db2.gz DKLPROQYUVMDCL-LDYMZIIASA-N 0 3 211.330 2.572 20 0 BFADHN CO[C@H](C)CN1CCc2cc(F)cc(C)c2C1 ZINC000337390222 385641128 /nfs/dbraw/zinc/64/11/28/385641128.db2.gz GXWMLPVTFYOGJK-LLVKDONJSA-N 0 3 237.318 2.527 20 0 BFADHN C[C@@H](N[C@@H](C)c1cncs1)[C@H]1CCCCO1 ZINC000336737577 385642618 /nfs/dbraw/zinc/64/26/18/385642618.db2.gz JPIRYULLZOMCCW-OUAUKWLOSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@H]1CN(Cc2ccccc2OC)CCCO1 ZINC000281197404 385644405 /nfs/dbraw/zinc/64/44/05/385644405.db2.gz IWFYOBSDHHKFOG-AWEZNQCLSA-N 0 3 249.354 2.696 20 0 BFADHN CO[C@H](C)CN1C[C@H](C)[C@H]1c1ccccc1 ZINC000182214541 385644739 /nfs/dbraw/zinc/64/47/39/385644739.db2.gz NAYIBASYPUYWCX-SCRDCRAPSA-N 0 3 219.328 2.714 20 0 BFADHN CCC1(CNCc2ccnn2C(C)C)CC1 ZINC000336682970 385646037 /nfs/dbraw/zinc/64/60/37/385646037.db2.gz DISYTYSCLDKMMJ-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN CC[C@H](NCc1cc(C)n(C)n1)C(C)(C)C ZINC000336682969 385646806 /nfs/dbraw/zinc/64/68/06/385646806.db2.gz DIOBUMDYHPKZOB-LBPRGKRZSA-N 0 3 223.364 2.643 20 0 BFADHN Cn1ccnc1CNCC(C)(C)C(C)(C)C ZINC000336683455 385649159 /nfs/dbraw/zinc/64/91/59/385649159.db2.gz QMFWQYIFIXXLKT-UHFFFAOYSA-N 0 3 223.364 2.582 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1cncc(C)c1 ZINC000184420227 385651431 /nfs/dbraw/zinc/65/14/31/385651431.db2.gz RNPHWXRZCBBURF-MNOVXSKESA-N 0 3 224.373 2.792 20 0 BFADHN CC(C)C1CC(NCc2ccc(F)cn2)C1 ZINC000336652896 385661672 /nfs/dbraw/zinc/66/16/72/385661672.db2.gz FVAUQEIXAJGBLN-UHFFFAOYSA-N 0 3 222.307 2.745 20 0 BFADHN CCc1ccc(CN[C@H](C)C2(OC)CCC2)o1 ZINC000414522929 385662415 /nfs/dbraw/zinc/66/24/15/385662415.db2.gz HKYJBOVRTNMVOW-LLVKDONJSA-N 0 3 237.343 2.889 20 0 BFADHN COc1ccc([C@H](CCO)NCC=C(C)C)cc1 ZINC000182411476 385666142 /nfs/dbraw/zinc/66/61/42/385666142.db2.gz PRIROTUDXRLMLD-HNNXBMFYSA-N 0 3 249.354 2.675 20 0 BFADHN CCN[C@H](C)C(=O)Nc1c(C)cc(C)cc1C ZINC000643201922 385673140 /nfs/dbraw/zinc/67/31/40/385673140.db2.gz RZPKBIGUUKCVJN-GFCCVEGCSA-N 0 3 234.343 2.548 20 0 BFADHN CCC[C@@](C)(O)CNCc1cc(F)cc(F)c1 ZINC000229810357 385674885 /nfs/dbraw/zinc/67/48/85/385674885.db2.gz ABQIUKLHEZLJNI-CYBMUJFWSA-N 0 3 243.297 2.606 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC(C)(C)C[C@H]2C)n1 ZINC000414527756 385677115 /nfs/dbraw/zinc/67/71/15/385677115.db2.gz RRNWDXPSKZLFGB-LLVKDONJSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC(C)(C)C[C@H]2C)n1 ZINC000414527756 385677122 /nfs/dbraw/zinc/67/71/22/385677122.db2.gz RRNWDXPSKZLFGB-LLVKDONJSA-N 0 3 234.343 2.716 20 0 BFADHN COc1ccc(COCCN2C[C@H](C)[C@H]2C)cc1 ZINC000645218147 385679430 /nfs/dbraw/zinc/67/94/30/385679430.db2.gz MBBRDHFUSPAGEA-QWHCGFSZSA-N 0 3 249.354 2.552 20 0 BFADHN FC(F)(F)C1(CNCc2cccnc2)CC1 ZINC000309548837 385681699 /nfs/dbraw/zinc/68/16/99/385681699.db2.gz FDTHTWPQWRQCAK-UHFFFAOYSA-N 0 3 230.233 2.514 20 0 BFADHN Cc1nnc(CN[C@@H](C)C2CCCC2)n1C1CC1 ZINC000184628389 385684794 /nfs/dbraw/zinc/68/47/94/385684794.db2.gz MMHGCYCZXLCCEO-JTQLQIEISA-N 0 3 248.374 2.590 20 0 BFADHN COC(=O)CC1(CN[C@H](C)c2ccccc2)CC1 ZINC000281591467 385684899 /nfs/dbraw/zinc/68/48/99/385684899.db2.gz HBGJNMSHNOAXCB-GFCCVEGCSA-N 0 3 247.338 2.681 20 0 BFADHN C[C@H](N[C@@H](C)C1CCSCC1)c1ccon1 ZINC000309554944 385685956 /nfs/dbraw/zinc/68/59/56/385685956.db2.gz JKDHAYNNYHRTNR-UWVGGRQHSA-N 0 3 240.372 2.857 20 0 BFADHN CCC1(CN[C@@H](C)c2cc(C)n(C)n2)CCC1 ZINC000337491450 385687454 /nfs/dbraw/zinc/68/74/54/385687454.db2.gz WLSIMUAPSQMUSW-LBPRGKRZSA-N 0 3 235.375 2.959 20 0 BFADHN CN(CCCO)[C@@H]1CCCc2ccc(F)cc21 ZINC000281635503 385689447 /nfs/dbraw/zinc/68/94/47/385689447.db2.gz IHXBCMHZKSKGLK-CQSZACIVSA-N 0 3 237.318 2.517 20 0 BFADHN COCC[C@H](c1ccccc1)N1CC[C@@H](F)C1 ZINC000451197300 385691278 /nfs/dbraw/zinc/69/12/78/385691278.db2.gz NWGRZAVNZCYGTC-ZIAGYGMSSA-N 0 3 237.318 2.808 20 0 BFADHN C[C@@H](N[C@H]1CCCc2c[nH]nc21)C1CCC1 ZINC000309561027 385691578 /nfs/dbraw/zinc/69/15/78/385691578.db2.gz LALPKWFYDAASOF-SKDRFNHKSA-N 0 3 219.332 2.565 20 0 BFADHN CCN(Cc1conc1C)C1CC(C)(C)C1 ZINC000414535649 385694310 /nfs/dbraw/zinc/69/43/10/385694310.db2.gz LUYVASVCIXEYLE-UHFFFAOYSA-N 0 3 222.332 2.994 20 0 BFADHN COc1cc(C)nc(CN2CCC3(CCC3)C2)c1 ZINC000270288471 385694419 /nfs/dbraw/zinc/69/44/19/385694419.db2.gz NCPNOSVYHSMKIW-UHFFFAOYSA-N 0 3 246.354 2.775 20 0 BFADHN CO[C@H]1CCN(Cc2ccccc2F)[C@@H](C)C1 ZINC000281743339 385697027 /nfs/dbraw/zinc/69/70/27/385697027.db2.gz QPRLARWNOULKPB-AAEUAGOBSA-N 0 3 237.318 2.825 20 0 BFADHN COC1(CNCc2occc2C)CCCC1 ZINC000291779626 385697319 /nfs/dbraw/zinc/69/73/19/385697319.db2.gz UUFPITVQCZNCFH-UHFFFAOYSA-N 0 3 223.316 2.637 20 0 BFADHN C[C@H](N[C@H]1CSC[C@@H]1C)c1cncc(F)c1 ZINC000309585312 385718110 /nfs/dbraw/zinc/71/81/10/385718110.db2.gz RPKLYSVCFWZQKU-AUTRQRHGSA-N 0 3 240.347 2.623 20 0 BFADHN CC(C)(COCc1ccccc1)NCCF ZINC000307557500 385704312 /nfs/dbraw/zinc/70/43/12/385704312.db2.gz VDQHBRJZWVYLIV-UHFFFAOYSA-N 0 3 225.307 2.541 20 0 BFADHN F[C@@H]1CCN(C[C@H]2CCc3ccccc3C2)C1 ZINC000451201429 385705919 /nfs/dbraw/zinc/70/59/19/385705919.db2.gz UUMNMRLZMHMFPW-SWLSCSKDSA-N 0 3 233.330 2.835 20 0 BFADHN CCN(Cc1cnn(CC)c1)C1CC(C)(C)C1 ZINC000414540644 385707552 /nfs/dbraw/zinc/70/75/52/385707552.db2.gz USIHGRRAZPYOSM-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC000182423790 385669290 /nfs/dbraw/zinc/66/92/90/385669290.db2.gz FJWSJACLVFIKAL-IUCAKERBSA-N 0 3 229.270 2.650 20 0 BFADHN CO[C@@](C)(CN[C@H](C)c1cccnc1)C1CC1 ZINC000291805524 385728003 /nfs/dbraw/zinc/72/80/03/385728003.db2.gz HFNHGIIPUSWZMI-RISCZKNCSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1cnc(CNC(C)(C)C(C)(C)C)n1C ZINC000336691481 385729523 /nfs/dbraw/zinc/72/95/23/385729523.db2.gz WXBLPDGMGVVNRQ-UHFFFAOYSA-N 0 3 223.364 2.643 20 0 BFADHN CCc1nocc1CN[C@@H](C)CC1CCC1 ZINC000631250144 385730623 /nfs/dbraw/zinc/73/06/23/385730623.db2.gz GWXUPEMIQBZSAX-JTQLQIEISA-N 0 3 222.332 2.905 20 0 BFADHN CC[C@@H](CCO)CN[C@H](C)c1cc(C)c(C)o1 ZINC000282105688 385732050 /nfs/dbraw/zinc/73/20/50/385732050.db2.gz IUQGDIPAYSLWFS-YPMHNXCESA-N 0 3 239.359 2.956 20 0 BFADHN CC[C@H](CNCc1ccc(F)cc1C)OC ZINC000289926827 385732236 /nfs/dbraw/zinc/73/22/36/385732236.db2.gz VWYIHGWPBILEBH-CYBMUJFWSA-N 0 3 225.307 2.649 20 0 BFADHN Cc1ccsc1CN(C)C[C@@H](O)C(C)C ZINC000336693321 385736809 /nfs/dbraw/zinc/73/68/09/385736809.db2.gz CUNDBMJXGRPIPE-LLVKDONJSA-N 0 3 227.373 2.505 20 0 BFADHN Cn1ncc(Cl)c1CN[C@H]1CCC(C)(C)C1 ZINC000309604461 385738350 /nfs/dbraw/zinc/73/83/50/385738350.db2.gz XLIDLMCTGBJMDO-VIFPVBQESA-N 0 3 241.766 2.742 20 0 BFADHN Cc1ncc(CNCCC2(F)CCC2)s1 ZINC000336741784 385740283 /nfs/dbraw/zinc/74/02/83/385740283.db2.gz WCJWINKWDYRITA-UHFFFAOYSA-N 0 3 228.336 2.823 20 0 BFADHN C[C@H](CN(C)Cc1ccco1)c1nccs1 ZINC000183260332 385747834 /nfs/dbraw/zinc/74/78/34/385747834.db2.gz SDIVMKVTYDQXOG-SNVBAGLBSA-N 0 3 236.340 2.972 20 0 BFADHN CCc1ccc(CNCC2(C(F)F)CC2)o1 ZINC000309611662 385748078 /nfs/dbraw/zinc/74/80/78/385748078.db2.gz BNHJJIFCDRMQJE-UHFFFAOYSA-N 0 3 229.270 2.977 20 0 BFADHN CCc1ccc(CN2CC[C@@H](OC)C[C@@H]2C)o1 ZINC000282279226 385748241 /nfs/dbraw/zinc/74/82/41/385748241.db2.gz KXQRQAFWUDXFGN-WCQYABFASA-N 0 3 237.343 2.841 20 0 BFADHN CC[C@](C)(O)CN[C@H](C)c1sccc1OC ZINC000186157392 385750090 /nfs/dbraw/zinc/75/00/90/385750090.db2.gz YUANQTOMBIBACG-SKDRFNHKSA-N 0 3 243.372 2.568 20 0 BFADHN CCC[C@@](C)(O)CN[C@H](C)c1cccc(O)c1 ZINC000230684315 385750126 /nfs/dbraw/zinc/75/01/26/385750126.db2.gz VTGTYUQMOXSZJF-BXUZGUMPSA-N 0 3 237.343 2.594 20 0 BFADHN CC[C@H](COC)N[C@H](C)c1sccc1OC ZINC000186173209 385752162 /nfs/dbraw/zinc/75/21/62/385752162.db2.gz YYZYEXSTCNNENK-NXEZZACHSA-N 0 3 243.372 2.832 20 0 BFADHN CC[C@@H](CCO)N[C@H](C)c1sccc1OC ZINC000186175214 385752826 /nfs/dbraw/zinc/75/28/26/385752826.db2.gz BJZPIUJMWNUZSA-ZJUUUORDSA-N 0 3 243.372 2.568 20 0 BFADHN C[C@@H]1C[C@H]1CN(Cc1ccco1)C[C@@H]1CCCO1 ZINC000488345381 385755330 /nfs/dbraw/zinc/75/53/30/385755330.db2.gz HZZKXNJJXDPERE-IPYPFGDCSA-N 0 3 249.354 2.917 20 0 BFADHN CO[C@@](C)(CN[C@H](C)c1ccncc1)C1CC1 ZINC000291880694 385755433 /nfs/dbraw/zinc/75/54/33/385755433.db2.gz LMHGIXSZYHGCHC-RISCZKNCSA-N 0 3 234.343 2.547 20 0 BFADHN C[C@@H]1C[C@H]1CN(C)C[C@H]1OCCc2ccccc21 ZINC000488351381 385757721 /nfs/dbraw/zinc/75/77/21/385757721.db2.gz REXQQOWTFPGWBV-IVMMDQJWSA-N 0 3 245.366 2.888 20 0 BFADHN Cc1nn(C)cc1CNC/C=C\c1ccccc1 ZINC000336701332 385764469 /nfs/dbraw/zinc/76/44/69/385764469.db2.gz CMIAGNZPLIVWAC-TWGQIWQCSA-N 0 3 241.338 2.532 20 0 BFADHN Cc1ccoc1CN1CCCN(C(C)C)CC1 ZINC000270979910 385768262 /nfs/dbraw/zinc/76/82/62/385768262.db2.gz CMGWCRSMPFLTGI-UHFFFAOYSA-N 0 3 236.359 2.504 20 0 BFADHN COCC[C@H](NC[C@H]1C[C@@H]1C)c1ccco1 ZINC000309652623 385770161 /nfs/dbraw/zinc/77/01/61/385770161.db2.gz OQUWVNYOEYJMRK-TUAOUCFPSA-N 0 3 223.316 2.603 20 0 BFADHN FC(F)COCCNC/C=C\c1ccccc1 ZINC000336705595 385787503 /nfs/dbraw/zinc/78/75/03/385787503.db2.gz BSFOZTGARLQVDF-DAXSKMNVSA-N 0 3 241.281 2.571 20 0 BFADHN CN(C)c1ccccc1CN[C@H]1CC1(C)C ZINC000309656172 385775863 /nfs/dbraw/zinc/77/58/63/385775863.db2.gz PVVONAGIHUGOCS-ZDUSSCGKSA-N 0 3 218.344 2.641 20 0 BFADHN O=C1CCC(CN2CCc3ccccc3C2)CC1 ZINC000258635146 385776983 /nfs/dbraw/zinc/77/69/83/385776983.db2.gz LARWHYSUBIPQSC-UHFFFAOYSA-N 0 3 243.350 2.804 20 0 BFADHN c1coc(CN[C@H]2CCCC23CCOCC3)c1 ZINC000282703060 385779833 /nfs/dbraw/zinc/77/98/33/385779833.db2.gz PBDVUHUKESDZBE-ZDUSSCGKSA-N 0 3 235.327 2.719 20 0 BFADHN CCN(CCCCCOC)Cc1ccncc1 ZINC000271056051 385780010 /nfs/dbraw/zinc/78/00/10/385780010.db2.gz ZFKNPOLFJKIXIV-UHFFFAOYSA-N 0 3 236.359 2.720 20 0 BFADHN CCN(CCOC)Cc1ccc(C)s1 ZINC000271062132 385782776 /nfs/dbraw/zinc/78/27/76/385782776.db2.gz XZQXUAXIACROGH-UHFFFAOYSA-N 0 3 213.346 2.525 20 0 BFADHN CCN(C/C=C/c1ccc(F)cc1)CCOC ZINC000271062060 385783013 /nfs/dbraw/zinc/78/30/13/385783013.db2.gz XUGPKGAHSMXANT-SNAWJCMRSA-N 0 3 237.318 2.807 20 0 BFADHN CC[C@@H](C)N[C@H](COC)c1ccc(F)cc1 ZINC000336739800 385784578 /nfs/dbraw/zinc/78/45/78/385784578.db2.gz PLRCBVFMKVUVFG-ZWNOBZJWSA-N 0 3 225.307 2.901 20 0 BFADHN CC(C)n1cc(CN[C@H]2CCC(C)(C)C2)nn1 ZINC000336689806 385719382 /nfs/dbraw/zinc/71/93/82/385719382.db2.gz GMXUPYHHHXAAKH-NSHDSACASA-N 0 3 236.363 2.527 20 0 BFADHN CC/C=C/CCN[C@H](CCO)c1ccco1 ZINC000185106233 385720007 /nfs/dbraw/zinc/72/00/07/385720007.db2.gz XADLXAQJAQLNJB-AAOUONPWSA-N 0 3 223.316 2.649 20 0 BFADHN C[C@H](F)CCN[C@H](CO)c1ccc(Cl)cc1 ZINC000309597007 385724276 /nfs/dbraw/zinc/72/42/76/385724276.db2.gz WLBBZUKLFYPZRS-JOYOIKCWSA-N 0 3 245.725 2.711 20 0 BFADHN COc1ncccc1CN[C@@H]1CCC12CCC2 ZINC000282044650 385726352 /nfs/dbraw/zinc/72/63/52/385726352.db2.gz GDJAYARJEIBIRX-GFCCVEGCSA-N 0 3 232.327 2.513 20 0 BFADHN CCCc1ccc(CN2CC[C@@H](O)[C@H](C)C2)cc1 ZINC000186833248 385799600 /nfs/dbraw/zinc/79/96/00/385799600.db2.gz HMLQPSDLIIBJDP-CZUORRHYSA-N 0 3 247.382 2.842 20 0 BFADHN CSc1ccc(CNC(C)(C)[C@@H](C)O)s1 ZINC000336740246 385800646 /nfs/dbraw/zinc/80/06/46/385800646.db2.gz TZRDPWHVRSVXMJ-MRVPVSSYSA-N 0 3 245.413 2.719 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1conc1C ZINC000294071615 385800730 /nfs/dbraw/zinc/80/07/30/385800730.db2.gz YLXNNKPGKUMZEF-SKDRFNHKSA-N 0 3 208.305 2.746 20 0 BFADHN C[C@@H](c1ccccc1F)N1CC[C@H](O)[C@H](C)C1 ZINC000186821446 385801319 /nfs/dbraw/zinc/80/13/19/385801319.db2.gz YHQCGHARBHXCKZ-SUNKGSAMSA-N 0 3 237.318 2.589 20 0 BFADHN CCc1ccc(CN2CCC[C@H]2C[C@H](C)O)o1 ZINC000271195885 385803391 /nfs/dbraw/zinc/80/33/91/385803391.db2.gz RBPWOTRBEYFZCF-RYUDHWBXSA-N 0 3 237.343 2.577 20 0 BFADHN CO[C@@H]1[C@H](C)[C@H](NCc2ncccc2C)C1(C)C ZINC000271865895 385856754 /nfs/dbraw/zinc/85/67/54/385856754.db2.gz LKCYYCQCRCUTKP-KWCYVHTRSA-N 0 3 248.370 2.539 20 0 BFADHN CC(C)N(Cc1ccc(F)cc1)[C@@H]1CCOC1 ZINC000271251629 385811021 /nfs/dbraw/zinc/81/10/21/385811021.db2.gz HNGLEYWMZJPFAV-CQSZACIVSA-N 0 3 237.318 2.825 20 0 BFADHN C[C@@H](NC1CC(C(C)(C)C)C1)c1ccc(=O)[nH]n1 ZINC000340452660 385811281 /nfs/dbraw/zinc/81/12/81/385811281.db2.gz ZCRHYKDLOZTYMU-KPPDAEKUSA-N 0 3 249.358 2.658 20 0 BFADHN CCC[C@H]1CCCCN1Cc1ccc(OC)nn1 ZINC000283300063 385816123 /nfs/dbraw/zinc/81/61/23/385816123.db2.gz YLCLAWPXEPTOQV-ZDUSSCGKSA-N 0 3 249.358 2.640 20 0 BFADHN Cc1ccncc1[C@@H](C)NCCc1nccs1 ZINC000271334904 385818300 /nfs/dbraw/zinc/81/83/00/385818300.db2.gz BMTXERHEWTXQPB-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN CCC[C@@](C)(O)CN[C@@H](C)c1cnccc1C ZINC000271553642 385835740 /nfs/dbraw/zinc/83/57/40/385835740.db2.gz MWGMZHRQSNGNHT-GXTWGEPZSA-N 0 3 236.359 2.592 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1ncc(C)o1 ZINC000271532606 385835866 /nfs/dbraw/zinc/83/58/66/385835866.db2.gz MLSIRCQTQCEMAM-ONGXEEELSA-N 0 3 208.305 2.746 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1ncc(C)o1 ZINC000271532604 385836026 /nfs/dbraw/zinc/83/60/26/385836026.db2.gz MLSIRCQTQCEMAM-KOLCDFICSA-N 0 3 208.305 2.746 20 0 BFADHN CCc1cccc2c1OCC[C@@H]2N[C@H](C)COC ZINC000271548569 385836234 /nfs/dbraw/zinc/83/62/34/385836234.db2.gz ZNCKRKODVBBJPJ-RISCZKNCSA-N 0 3 249.354 2.697 20 0 BFADHN COc1nccnc1CNC1(C)CCC(C)CC1 ZINC000294312607 385836626 /nfs/dbraw/zinc/83/66/26/385836626.db2.gz WAGXBVGEJYSVKD-UHFFFAOYSA-N 0 3 249.358 2.544 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cc(C)no1 ZINC000271561196 385838368 /nfs/dbraw/zinc/83/83/68/385838368.db2.gz PQMVVACFPJOLKE-QWRGUYRKSA-N 0 3 208.305 2.746 20 0 BFADHN CS[C@@H]1CCN(Cc2sc(C)nc2C)C1 ZINC000294399452 385847061 /nfs/dbraw/zinc/84/70/61/385847061.db2.gz LBZSEXYAJMZWJM-SNVBAGLBSA-N 0 3 242.413 2.697 20 0 BFADHN CC[C@@H](NC[C@@H](O)CC)c1cc(F)ccc1F ZINC000271767554 385849312 /nfs/dbraw/zinc/84/93/12/385849312.db2.gz JBAFQWZTNZJNNY-GXFFZTMASA-N 0 3 243.297 2.776 20 0 BFADHN C[C@H](c1ccccc1)[C@H](C)NCc1ccn(C)n1 ZINC000271792805 385850632 /nfs/dbraw/zinc/85/06/32/385850632.db2.gz HFGUNGVPFCKILE-STQMWFEESA-N 0 3 243.354 2.702 20 0 BFADHN CC(C)(NC[C@@H]1CCCO1)c1cccc(F)c1 ZINC000271812427 385853450 /nfs/dbraw/zinc/85/34/50/385853450.db2.gz RCLCTNJXAAARHY-ZDUSSCGKSA-N 0 3 237.318 2.829 20 0 BFADHN C[C@@H](CN[C@@H](C)c1cccnc1)c1nccs1 ZINC000186738315 385788339 /nfs/dbraw/zinc/78/83/39/385788339.db2.gz XHLUEBDYBISGKE-QWRGUYRKSA-N 0 3 247.367 2.992 20 0 BFADHN CO[C@@H](C)CN(C)Cc1ccsc1C ZINC000294049002 385794021 /nfs/dbraw/zinc/79/40/21/385794021.db2.gz WWMFNPKRRKYWPF-VIFPVBQESA-N 0 3 213.346 2.523 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H]1C)c1ccc(F)cn1 ZINC000336706849 385794089 /nfs/dbraw/zinc/79/40/89/385794089.db2.gz ROMCQJFPVLCWCL-WCABBAIRSA-N 0 3 208.280 2.670 20 0 BFADHN Cc1nnsc1CN[C@@H]1CC[C@@H]1C(C)(C)C ZINC000336707520 385794121 /nfs/dbraw/zinc/79/41/21/385794121.db2.gz QGFFOSHNWSLTLM-VHSXEESVSA-N 0 3 239.388 2.761 20 0 BFADHN CO[C@H]1CCN(Cc2c(C)oc3ccccc32)C1 ZINC000271155191 385794968 /nfs/dbraw/zinc/79/49/68/385794968.db2.gz BDMLYIHDXXDMMA-LBPRGKRZSA-N 0 3 245.322 2.962 20 0 BFADHN CCN(Cc1cc(C)ns1)CC1CC1 ZINC000638076918 385795717 /nfs/dbraw/zinc/79/57/17/385795717.db2.gz USQJYJGLTUEAMK-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN CO[C@H]1CCN(Cc2cnccc2C)CC1(C)C ZINC000294692765 385883882 /nfs/dbraw/zinc/88/38/82/385883882.db2.gz ATMBSXLOEKNFAV-AWEZNQCLSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cc(CN2CCSC[C@H]2C)cs1 ZINC000294715149 385885183 /nfs/dbraw/zinc/88/51/83/385885183.db2.gz FVNZQNQSIKWRMJ-SECBINFHSA-N 0 3 227.398 2.994 20 0 BFADHN CCC[C@H](NC(=O)[C@H](CC)N(C)C)C(C)(C)C ZINC000413829095 385885596 /nfs/dbraw/zinc/88/55/96/385885596.db2.gz FJONHIBQRZGCQK-RYUDHWBXSA-N 0 3 242.407 2.658 20 0 BFADHN CO[C@H]1CN(Cc2ccsc2C)CC[C@@H]1C ZINC000294721228 385887926 /nfs/dbraw/zinc/88/79/26/385887926.db2.gz PLADESFGFYHZDD-GWCFXTLKSA-N 0 3 239.384 2.913 20 0 BFADHN CN(CCc1ccc(Cl)cc1)[C@@H]1CCOC1 ZINC000284886640 385889327 /nfs/dbraw/zinc/88/93/27/385889327.db2.gz FRUXFLJEUSPZQO-CYBMUJFWSA-N 0 3 239.746 2.603 20 0 BFADHN COC[C@H](C)N1CCC=C(c2ccccc2)C1 ZINC000284878568 385890015 /nfs/dbraw/zinc/89/00/15/385890015.db2.gz VQWRVEIDJSMBEL-ZDUSSCGKSA-N 0 3 231.339 2.811 20 0 BFADHN Cc1ccncc1CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000284968768 385890883 /nfs/dbraw/zinc/89/08/83/385890883.db2.gz XOUWAFXZEMUOTN-HUUCEWRRSA-N 0 3 246.354 2.533 20 0 BFADHN CCC[C@H](O)CN(Cc1cccs1)C1CC1 ZINC000294782425 385895785 /nfs/dbraw/zinc/89/57/85/385895785.db2.gz ABCITYZHFAHFEC-LBPRGKRZSA-N 0 3 239.384 2.874 20 0 BFADHN CC[C@@H](C)N[C@@H](COC)c1ccc(C)o1 ZINC000122295531 385897351 /nfs/dbraw/zinc/89/73/51/385897351.db2.gz KNATZPFBZFBUTL-KOLCDFICSA-N 0 3 211.305 2.664 20 0 BFADHN COc1c(O)cccc1CN1CC[C@@H](C)[C@@H]1C ZINC000272376250 385897808 /nfs/dbraw/zinc/89/78/08/385897808.db2.gz HDNUZSSXKKYTAI-MNOVXSKESA-N 0 3 235.327 2.631 20 0 BFADHN CS[C@H]1CCN(Cc2cccs2)C1 ZINC000294963032 385929059 /nfs/dbraw/zinc/92/90/59/385929059.db2.gz FCBFCFBYXMXLDJ-VIFPVBQESA-N 0 3 213.371 2.685 20 0 BFADHN Cc1cc(CN(C)CCOCC2CC2)cs1 ZINC000294823383 385899598 /nfs/dbraw/zinc/89/95/98/385899598.db2.gz MXMLYCANWOJVDN-UHFFFAOYSA-N 0 3 239.384 2.915 20 0 BFADHN C[C@H](NCc1cccc(C(F)(F)F)n1)C1CC1 ZINC000294833031 385902185 /nfs/dbraw/zinc/90/21/85/385902185.db2.gz QEMOIRUAWKARMM-QMMMGPOBSA-N 0 3 244.260 2.989 20 0 BFADHN Cc1ccnc([C@@H](C)N[C@H]2CCOC2(C)C)c1 ZINC000294848182 385903164 /nfs/dbraw/zinc/90/31/64/385903164.db2.gz RWBBDUNPQHJJSE-YPMHNXCESA-N 0 3 234.343 2.608 20 0 BFADHN CCC[C@@H](O)CN(C)[C@H](CC)c1ccncc1 ZINC000294874085 385906916 /nfs/dbraw/zinc/90/69/16/385906916.db2.gz DMHRUDARCJBWDX-ZIAGYGMSSA-N 0 3 236.359 2.626 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1cc(C)oc1C ZINC000218761902 385907069 /nfs/dbraw/zinc/90/70/69/385907069.db2.gz QHBVQSZNJSQYFB-JQWIXIFHSA-N 0 3 225.332 2.708 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2cc(F)cc(F)c2)C1 ZINC000285237254 385909624 /nfs/dbraw/zinc/90/96/24/385909624.db2.gz BOKQFCYLDCUIGL-BETUJISGSA-N 0 3 241.281 2.574 20 0 BFADHN CCC1(CNCc2ncc(Cl)n2C)CCC1 ZINC000233064231 385910613 /nfs/dbraw/zinc/91/06/13/385910613.db2.gz OQJOXPAIKSWPKY-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN c1ccc(C[C@@H](NC2CC2)c2cccnc2)nc1 ZINC000338177554 385910981 /nfs/dbraw/zinc/91/09/81/385910981.db2.gz HSSZOGHCHQDHNK-OAHLLOKOSA-N 0 3 239.322 2.512 20 0 BFADHN c1ccc(C[C@H](NC2CC2)c2cccnc2)nc1 ZINC000338177551 385911004 /nfs/dbraw/zinc/91/10/04/385911004.db2.gz HSSZOGHCHQDHNK-HNNXBMFYSA-N 0 3 239.322 2.512 20 0 BFADHN Cc1nnsc1CN[C@@H]1CCC[C@H]2C[C@]21C ZINC000336721567 385911634 /nfs/dbraw/zinc/91/16/34/385911634.db2.gz ZVXNBBXHRKCQIS-MVWJERBFSA-N 0 3 237.372 2.515 20 0 BFADHN Cc1cnc(CNC[C@H]2CC2(C)C)s1 ZINC000394725107 385915204 /nfs/dbraw/zinc/91/52/04/385915204.db2.gz IRDJXOSRMZQXEL-SECBINFHSA-N 0 3 210.346 2.587 20 0 BFADHN C[Si](C)(C)CN1CCCC[C@H]1c1cc[nH]n1 ZINC000285362172 385918317 /nfs/dbraw/zinc/91/83/17/385918317.db2.gz LYCLNLSIBKQDQB-LBPRGKRZSA-N 0 3 237.423 2.814 20 0 BFADHN C[C@@H](O)[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC000272620853 385918803 /nfs/dbraw/zinc/91/88/03/385918803.db2.gz USAPSYQDWMOSCO-YPMHNXCESA-N 0 3 245.322 2.636 20 0 BFADHN C[C@H](CN[C@H](C)c1cn[nH]c1)Oc1ccccc1 ZINC000285384483 385920036 /nfs/dbraw/zinc/92/00/36/385920036.db2.gz WNOYGLGYPUWGLI-VXGBXAGGSA-N 0 3 245.326 2.528 20 0 BFADHN COC(=O)c1ccc(CNCC=C(C)C)cc1 ZINC000219153248 385922939 /nfs/dbraw/zinc/92/29/39/385922939.db2.gz NQWBGBDUTMVHKT-UHFFFAOYSA-N 0 3 233.311 2.529 20 0 BFADHN CSC[C@@H](C)N[C@H](C)c1ccncc1F ZINC000336726801 385923942 /nfs/dbraw/zinc/92/39/42/385923942.db2.gz HCCQYYSOVVGYSH-RKDXNWHRSA-N 0 3 228.336 2.623 20 0 BFADHN CC[C@H](N[C@@H]1CCCOC1)c1ccccc1OC ZINC000112794155 385925150 /nfs/dbraw/zinc/92/51/50/385925150.db2.gz BOSZUXQVYLTGJY-OCCSQVGLSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccc(CN2C[C@H](C)CC2(C)C)nc1 ZINC000271924037 385860191 /nfs/dbraw/zinc/86/01/91/385860191.db2.gz KVTRDRLALILRAP-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN CCc1ccc(CN2CCC[C@H]2[C@H]2CCCO2)o1 ZINC000284307963 385861384 /nfs/dbraw/zinc/86/13/84/385861384.db2.gz BZBDGERAOCZKTA-LSDHHAIUSA-N 0 3 249.354 2.985 20 0 BFADHN COc1ccc(CN([C@@H](C)C2CC2)C2CC2)nc1 ZINC000271914668 385861648 /nfs/dbraw/zinc/86/16/48/385861648.db2.gz JHNMFCPMSKWISI-NSHDSACASA-N 0 3 246.354 2.853 20 0 BFADHN Cc1cc(CN2CCN(C(C)C)CC2)cs1 ZINC000294540647 385865710 /nfs/dbraw/zinc/86/57/10/385865710.db2.gz ITSDMXFJOMKYAE-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN CC[C@@H](F)CN(C)[C@H](CC)CSC ZINC000308325659 385867027 /nfs/dbraw/zinc/86/70/27/385867027.db2.gz FDDLHYVACFFLPA-NXEZZACHSA-N 0 3 207.358 2.808 20 0 BFADHN COc1cnccc1[C@H](C)N[C@@H]1C[C@H](C)[C@H]1C ZINC000494956936 533150355 /nfs/dbraw/zinc/15/03/55/533150355.db2.gz UNZSCOFZHXUYKZ-SRRSOLGSSA-N 0 3 234.343 2.785 20 0 BFADHN COc1cnccc1[C@H](C)N[C@@H]1C[C@@H](C)[C@@H]1C ZINC000494956932 533150434 /nfs/dbraw/zinc/15/04/34/533150434.db2.gz UNZSCOFZHXUYKZ-MPPDQPJWSA-N 0 3 234.343 2.785 20 0 BFADHN COc1cnccc1[C@H](C)N[C@@H]1C[C@@H](C)[C@H]1C ZINC000494956923 533150559 /nfs/dbraw/zinc/15/05/59/533150559.db2.gz UNZSCOFZHXUYKZ-HNCHTBHHSA-N 0 3 234.343 2.785 20 0 BFADHN COc1ccc(CN2CCC[C@@H](C)[C@H]2C)nc1 ZINC000272027872 385869403 /nfs/dbraw/zinc/86/94/03/385869403.db2.gz WDKJKHJMCAIBEA-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccc(CN(C)C2CCCCC2)nc1 ZINC000272087121 385873377 /nfs/dbraw/zinc/87/33/77/385873377.db2.gz IIYHJXPVBDDBSR-UHFFFAOYSA-N 0 3 234.343 2.855 20 0 BFADHN C[C@H](N[C@@H](CO)CC1CCCC1)c1ccco1 ZINC000338026827 385877429 /nfs/dbraw/zinc/87/74/29/385877429.db2.gz KUKVHQRLIOZWBK-WCQYABFASA-N 0 3 237.343 2.871 20 0 BFADHN CC(C)(C)OC(=O)c1cccc(CNC2CC2)c1 ZINC000272141294 385879004 /nfs/dbraw/zinc/87/90/04/385879004.db2.gz JXTJLOOWUPTCDI-UHFFFAOYSA-N 0 3 247.338 2.894 20 0 BFADHN C[C@H](N[C@@H](CO)CC1CCCC1)c1cccnc1 ZINC000338007932 385879873 /nfs/dbraw/zinc/87/98/73/385879873.db2.gz QHQLCBIILSPDBO-SWLSCSKDSA-N 0 3 248.370 2.673 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cccnc2)C1 ZINC000335017240 385975887 /nfs/dbraw/zinc/97/58/87/385975887.db2.gz QYTBAACPQCHSQG-ZDUSSCGKSA-N 0 3 204.317 2.704 20 0 BFADHN Cc1ncc(CN2CCC3(C2)CCCCC3)cn1 ZINC000335017288 385976128 /nfs/dbraw/zinc/97/61/28/385976128.db2.gz WQVQFQOOXLONQX-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN Cc1ccoc1CN[C@@]1(C)CCO[C@@H]1C1CC1 ZINC000273153825 385976306 /nfs/dbraw/zinc/97/63/06/385976306.db2.gz RVAMXUGXMJUAFP-KGLIPLIRSA-N 0 3 235.327 2.635 20 0 BFADHN COC[C@]1(C)CCN(Cc2ccccc2OC)C1 ZINC000295150902 385978151 /nfs/dbraw/zinc/97/81/51/385978151.db2.gz RDEXKUIXVVLAAH-OAHLLOKOSA-N 0 3 249.354 2.554 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@@H](C)c2cccc(O)c2)C1 ZINC000414132314 385979269 /nfs/dbraw/zinc/97/92/69/385979269.db2.gz AJQFOIZJHBCLTP-CORIIIEPSA-N 0 3 249.354 2.999 20 0 BFADHN C[C@H](c1ccccc1)[C@H](C)NCc1ccno1 ZINC000273248308 385982489 /nfs/dbraw/zinc/98/24/89/385982489.db2.gz GSASPBQERXSOCX-RYUDHWBXSA-N 0 3 230.311 2.956 20 0 BFADHN FCCCCN1CCc2sccc2C1 ZINC000285964596 385982902 /nfs/dbraw/zinc/98/29/02/385982902.db2.gz KWUFYHVKSONDLI-UHFFFAOYSA-N 0 3 213.321 2.856 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cc(OC)ccn1 ZINC000285987376 385983015 /nfs/dbraw/zinc/98/30/15/385983015.db2.gz FQGIASTVLREDIJ-SMDDNHRTSA-N 0 3 234.343 2.759 20 0 BFADHN CO[C@H](CN1CCC[C@@H]1c1cccnc1)C1CC1 ZINC000425414445 385983464 /nfs/dbraw/zinc/98/34/64/385983464.db2.gz CWPDFMQCXJLGEZ-HUUCEWRRSA-N 0 3 246.354 2.644 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCO[C@@H](C)C2)c1 ZINC000285965931 385983502 /nfs/dbraw/zinc/98/35/02/385983502.db2.gz FUENFTRZFGWLJO-RWMBFGLXSA-N 0 3 234.343 2.608 20 0 BFADHN CCc1nocc1CN1CCSCC[C@H]1C ZINC000334317137 385984167 /nfs/dbraw/zinc/98/41/67/385984167.db2.gz PWJVKODFEJSWBA-SNVBAGLBSA-N 0 3 240.372 2.564 20 0 BFADHN CO[C@@H](CN1CCC[C@H]1c1cccnc1)C1CC1 ZINC000425414442 385984649 /nfs/dbraw/zinc/98/46/49/385984649.db2.gz CWPDFMQCXJLGEZ-GJZGRUSLSA-N 0 3 246.354 2.644 20 0 BFADHN C[C@@H](CCO)NCc1ccc(Cl)cc1Cl ZINC000096811618 385986558 /nfs/dbraw/zinc/98/65/58/385986558.db2.gz JKCFNGHVGATIPA-QMMMGPOBSA-N 0 3 248.153 2.854 20 0 BFADHN COc1ncc(CNCC2(C)CCCC2)s1 ZINC000309195009 385986755 /nfs/dbraw/zinc/98/67/55/385986755.db2.gz AEVXLIICYPTCMN-UHFFFAOYSA-N 0 3 240.372 2.822 20 0 BFADHN CC(C)[C@@H]1C[C@H]1CN[C@@H](C)c1cc2n(n1)CCC2 ZINC000414140205 385987165 /nfs/dbraw/zinc/98/71/65/385987165.db2.gz GNQGCLJNSDIIID-OBJOEFQTSA-N 0 3 247.386 2.772 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1occc1C(=O)OC ZINC000273358666 385991609 /nfs/dbraw/zinc/99/16/09/385991609.db2.gz WEORMPWZJMPMRR-ZJUUUORDSA-N 0 3 239.315 2.590 20 0 BFADHN CCCCCN[C@H](CC)c1ccn(C)n1 ZINC000336746306 385931744 /nfs/dbraw/zinc/93/17/44/385931744.db2.gz BDINGTDKBDYNBI-LLVKDONJSA-N 0 3 209.337 2.651 20 0 BFADHN C[C@@H](c1ccncc1)N1CCC[C@]2(CCOC2)C1 ZINC000335041360 385932936 /nfs/dbraw/zinc/93/29/36/385932936.db2.gz RTFXZCRGILBNDA-ZFWWWQNUSA-N 0 3 246.354 2.645 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@@H]1C)c1ccn(C)n1 ZINC000414053424 385934452 /nfs/dbraw/zinc/93/44/52/385934452.db2.gz MDWQMXCREYZVRQ-MROQNXINSA-N 0 3 235.375 2.895 20 0 BFADHN CO[C@@H](C)CN(C)Cc1sccc1C ZINC000272794832 385934711 /nfs/dbraw/zinc/93/47/11/385934711.db2.gz JVOQDSJKJZRRFC-JTQLQIEISA-N 0 3 213.346 2.523 20 0 BFADHN CCCCN(CC)[C@@H]1CCN(C(C)(C)C)C1=O ZINC000425391058 385941718 /nfs/dbraw/zinc/94/17/18/385941718.db2.gz XJAXQMNGROIMHO-GFCCVEGCSA-N 0 3 240.391 2.508 20 0 BFADHN CC/C=C/CCN1CCOC(C)(C)[C@H]1C ZINC000342196154 385944696 /nfs/dbraw/zinc/94/46/96/385944696.db2.gz DTWUVPSUFQSQHU-NNNHXZLVSA-N 0 3 211.349 2.842 20 0 BFADHN Cc1ccc2nc(CN[C@H](C)[C@H]3C[C@@H]3C)cn2c1 ZINC000414068814 385945161 /nfs/dbraw/zinc/94/51/61/385945161.db2.gz ISYHKRBIVMUFNQ-SCRDCRAPSA-N 0 3 243.354 2.777 20 0 BFADHN CO[C@H](CN(Cc1ccco1)C(C)C)C1CC1 ZINC000425396899 385945226 /nfs/dbraw/zinc/94/52/26/385945226.db2.gz LCBLJGXQJPVXCS-CQSZACIVSA-N 0 3 237.343 2.915 20 0 BFADHN C[C@@H](N[C@H]1[C@H](C)CCC[C@@H]1C)c1ccn(C)n1 ZINC000414074207 385947678 /nfs/dbraw/zinc/94/76/78/385947678.db2.gz NTXCGPKKHBCNAW-CZXHOFHRSA-N 0 3 235.375 2.895 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)CC1)c1ccn(C)n1 ZINC000414072739 385947702 /nfs/dbraw/zinc/94/77/02/385947702.db2.gz FDIDHAIXWFIGFY-SRVKXCTJSA-N 0 3 221.348 2.649 20 0 BFADHN CCOC[C@@H](NCc1scnc1C)C(C)C ZINC000233737608 385993542 /nfs/dbraw/zinc/99/35/42/385993542.db2.gz CHRMAYKQAXHNQL-LLVKDONJSA-N 0 3 242.388 2.602 20 0 BFADHN C[C@H](NC(C1CC1)C1CC1)c1cc2n(n1)CCC2 ZINC000414078483 385950698 /nfs/dbraw/zinc/95/06/98/385950698.db2.gz SAQSQRUHIYCCFN-JTQLQIEISA-N 0 3 245.370 2.669 20 0 BFADHN C[C@@H](NCc1cn2ccsc2n1)[C@@H]1C[C@H]1C ZINC000414078879 385950784 /nfs/dbraw/zinc/95/07/84/385950784.db2.gz SIVZVUFVOVZDAB-FXPVBKGRSA-N 0 3 235.356 2.530 20 0 BFADHN CC[C@H]1COCCN1C[C@@H]1CCCC(F)(F)C1 ZINC000338302557 385951207 /nfs/dbraw/zinc/95/12/07/385951207.db2.gz CYXREILVQRBJNU-NEPJUHHUSA-N 0 3 247.329 2.923 20 0 BFADHN C[C@H](NC[C@H]1CC=CCC1)c1cc2n(n1)CCC2 ZINC000414080726 385952981 /nfs/dbraw/zinc/95/29/81/385952981.db2.gz USZWLWLGVYPVIU-STQMWFEESA-N 0 3 245.370 2.836 20 0 BFADHN COC[C@@H](C)N(C)Cc1ccccc1SC ZINC000272931687 385953234 /nfs/dbraw/zinc/95/32/34/385953234.db2.gz QAJXUWGQSZXWEM-LLVKDONJSA-N 0 3 239.384 2.875 20 0 BFADHN CO[C@H]1CCN(Cc2occc2C)CC1(C)C ZINC000295069557 385957140 /nfs/dbraw/zinc/95/71/40/385957140.db2.gz QWKLQZVWILMRSD-ZDUSSCGKSA-N 0 3 237.343 2.835 20 0 BFADHN CO[C@@H]1CCN(Cc2occc2C)CC1(C)C ZINC000295069554 385957978 /nfs/dbraw/zinc/95/79/78/385957978.db2.gz QWKLQZVWILMRSD-CYBMUJFWSA-N 0 3 237.343 2.835 20 0 BFADHN CC[C@@H]1CCC[C@@H]1N[C@@H](C)c1ccn(C)n1 ZINC000414095672 385959348 /nfs/dbraw/zinc/95/93/48/385959348.db2.gz GZRWXEORDCBGOJ-LOWVWBTDSA-N 0 3 221.348 2.649 20 0 BFADHN CCNCc1ccc2ccc(F)c(F)c2n1 ZINC000647924622 385961221 /nfs/dbraw/zinc/96/12/21/385961221.db2.gz VATHLIRLZJPSON-UHFFFAOYSA-N 0 3 222.238 2.623 20 0 BFADHN C[C@@H](NCc1ccc(Cl)cn1)[C@H]1C[C@H]1C ZINC000414098496 385961610 /nfs/dbraw/zinc/96/16/10/385961610.db2.gz JSUCIXLGFALNLO-LNLATYFQSA-N 0 3 224.735 2.869 20 0 BFADHN C[C@H](NCC1(C)CCC1)c1cc2n(n1)CCC2 ZINC000414099371 385961685 /nfs/dbraw/zinc/96/16/85/385961685.db2.gz ULTSQSVDCNXWBI-NSHDSACASA-N 0 3 233.359 2.670 20 0 BFADHN C[C@@H](NCC1(C2CC2)CC1)c1cc2n(n1)CCC2 ZINC000414098027 385962008 /nfs/dbraw/zinc/96/20/08/385962008.db2.gz JMPKGWVVZGFZJI-LLVKDONJSA-N 0 3 245.370 2.670 20 0 BFADHN C[C@H]1COc2ccccc2CN1CC1CC1 ZINC000273044090 385962653 /nfs/dbraw/zinc/96/26/53/385962653.db2.gz XHMZIDGOEKQGGM-NSHDSACASA-N 0 3 217.312 2.680 20 0 BFADHN Cc1cccc([C@H](C)N[C@H]2C=C[C@@H](CO)C2)c1C ZINC000345050790 385962545 /nfs/dbraw/zinc/96/25/45/385962545.db2.gz LUKHRJXCYBOZLQ-ZNMIVQPWSA-N 0 3 245.366 2.891 20 0 BFADHN Cc1cccc([C@H](C)N[C@H]2C=C[C@@H](CO)C2)c1 ZINC000345048928 385962805 /nfs/dbraw/zinc/96/28/05/385962805.db2.gz FSEAWSGEQSPTFM-GUTXKFCHSA-N 0 3 231.339 2.583 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccccc1)[C@H](O)C(F)F ZINC000295088978 385962904 /nfs/dbraw/zinc/96/29/04/385962904.db2.gz RISBFMOFZUTXKM-DLOVCJGASA-N 0 3 243.297 2.742 20 0 BFADHN Cc1cc(C)cc([C@H](C)N[C@@H]2C=C[C@@H](CO)C2)c1 ZINC000345049499 385963204 /nfs/dbraw/zinc/96/32/04/385963204.db2.gz GQEZAQKGZOHPRJ-SQWLQELKSA-N 0 3 245.366 2.891 20 0 BFADHN FC(F)[C@H]1C[C@@H]1NCc1cccc2cc[nH]c21 ZINC000342222353 385963825 /nfs/dbraw/zinc/96/38/25/385963825.db2.gz LMLPVKPRSQLJJN-QWRGUYRKSA-N 0 3 236.265 2.911 20 0 BFADHN C[C@@H](NCC1(C2CC2)CCC1)c1ccn(C)n1 ZINC000414100962 385964029 /nfs/dbraw/zinc/96/40/29/385964029.db2.gz LSNXITSNOGFGMQ-LLVKDONJSA-N 0 3 233.359 2.651 20 0 BFADHN Cc1cccc([C@H](C)N[C@H]2C=C[C@H](CO)C2)c1C ZINC000345050788 385964187 /nfs/dbraw/zinc/96/41/87/385964187.db2.gz LUKHRJXCYBOZLQ-KKUMJFAQSA-N 0 3 245.366 2.891 20 0 BFADHN Cc1ccccc1[C@H](C)N[C@H]1C=C[C@H](CO)C1 ZINC000345055375 385965600 /nfs/dbraw/zinc/96/56/00/385965600.db2.gz ZMHVSMLLKPCZGX-IHRRRGAJSA-N 0 3 231.339 2.583 20 0 BFADHN COC[C@@]1(C)CCN(Cc2cccc(F)c2)C1 ZINC000295107993 385966928 /nfs/dbraw/zinc/96/69/28/385966928.db2.gz LGFQYLWWOFURME-AWEZNQCLSA-N 0 3 237.318 2.684 20 0 BFADHN CC[C@@H](CCO)CNCc1cccc(C)c1F ZINC000295591823 385967679 /nfs/dbraw/zinc/96/76/79/385967679.db2.gz FDVXMJMAOBMGET-LBPRGKRZSA-N 0 3 239.334 2.632 20 0 BFADHN CC[C@@H](F)CN1CCC[C@]2(CCCOC2)C1 ZINC000335013512 385969236 /nfs/dbraw/zinc/96/92/36/385969236.db2.gz YQXBFMITWVSEOW-OLZOCXBDSA-N 0 3 229.339 2.627 20 0 BFADHN CC[C@@H](CO)N(C)Cc1cc(Cl)cs1 ZINC000295112566 385969455 /nfs/dbraw/zinc/96/94/55/385969455.db2.gz LXIKLTSUHLEWNY-VIFPVBQESA-N 0 3 233.764 2.604 20 0 BFADHN Cc1nnsc1CNC1(C)CCC(C)CC1 ZINC000647925107 385971046 /nfs/dbraw/zinc/97/10/46/385971046.db2.gz LYYNNABXGYZYCL-UHFFFAOYSA-N 0 3 239.388 2.905 20 0 BFADHN CC(=O)CCN1CC[C@H](c2cccc(C)c2)C1 ZINC000273122017 385972705 /nfs/dbraw/zinc/97/27/05/385972705.db2.gz RNTQQUPRLPCRHX-HNNXBMFYSA-N 0 3 231.339 2.763 20 0 BFADHN C[C@H](NCC1(C(F)F)CC1)c1cccnc1 ZINC000336747364 385972879 /nfs/dbraw/zinc/97/28/79/385972879.db2.gz RXBXXPKKIOJGPN-VIFPVBQESA-N 0 3 226.270 2.778 20 0 BFADHN C[C@@H](NC[C@H]1CC[C@@H](C)C1)c1ccn(C)n1 ZINC000414124852 385974088 /nfs/dbraw/zinc/97/40/88/385974088.db2.gz CRJYVWASFTUMND-UTUOFQBUSA-N 0 3 221.348 2.507 20 0 BFADHN Cc1ccoc1CN[C@@]1(C)CCO[C@H]1C1CC1 ZINC000273153822 385974621 /nfs/dbraw/zinc/97/46/21/385974621.db2.gz RVAMXUGXMJUAFP-KBPBESRZSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@H]1C)c1ccn(C)n1 ZINC000414156667 385997666 /nfs/dbraw/zinc/99/76/66/385997666.db2.gz VFDYIJUPCBHAIJ-GRYCIOLGSA-N 0 3 221.348 2.507 20 0 BFADHN CC[C@H](N[C@H](C)c1ccon1)[C@H]1C[C@@H]1C ZINC000414150475 385999328 /nfs/dbraw/zinc/99/93/28/385999328.db2.gz YFWOBVVWMMZMHD-VLEAKVRGSA-N 0 3 208.305 2.760 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccn(C)n1)C1CCCC1 ZINC000414150962 386000040 /nfs/dbraw/zinc/00/00/40/386000040.db2.gz PLKFMFAHPFXVEM-MNOVXSKESA-N 0 3 221.348 2.649 20 0 BFADHN CCc1ncc(CN[C@H](CC)[C@H]2C[C@@H]2C)o1 ZINC000414150524 386000707 /nfs/dbraw/zinc/00/07/07/386000707.db2.gz PDWZSQMAMVHYGS-ZMLRMANQSA-N 0 3 222.332 2.761 20 0 BFADHN CSCC[C@@H](C)N(C)Cc1conc1C ZINC000295268246 386001992 /nfs/dbraw/zinc/00/19/92/386001992.db2.gz HLUSUDMLIDARNM-SECBINFHSA-N 0 3 228.361 2.556 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H](C)n2ccnc21)[C@H]1C[C@@H]1C ZINC000414153002 386002074 /nfs/dbraw/zinc/00/20/74/386002074.db2.gz RNRXNRBQHYGGQL-PJSNJIKXSA-N 0 3 233.359 2.913 20 0 BFADHN C[C@@H](N[C@@H](C1CC1)C1CCC1)c1ccn(C)n1 ZINC000414154687 386004046 /nfs/dbraw/zinc/00/40/46/386004046.db2.gz UAFGPEDVGQWSCN-QMTHXVAHSA-N 0 3 233.359 2.649 20 0 BFADHN COc1ncc(CN[C@H]2CCC[C@@H](C)C2)s1 ZINC000309308769 386004967 /nfs/dbraw/zinc/00/49/67/386004967.db2.gz DSSPCANYCUBQGV-ZJUUUORDSA-N 0 3 240.372 2.820 20 0 BFADHN CO[C@H](C)CNC/C=C/c1ccc(F)c(F)c1 ZINC000286213201 386007996 /nfs/dbraw/zinc/00/79/96/386007996.db2.gz YKJOCUMZLIXIMS-HMDXOVGESA-N 0 3 241.281 2.603 20 0 BFADHN C[C@@H](NCC(C)(C)CCCO)c1ccco1 ZINC000221583835 386008043 /nfs/dbraw/zinc/00/80/43/386008043.db2.gz MCCLONTZYXDVKN-LLVKDONJSA-N 0 3 225.332 2.729 20 0 BFADHN CO[C@H]1CCCN(Cc2ccsc2C)C1 ZINC000295291657 386009347 /nfs/dbraw/zinc/00/93/47/386009347.db2.gz JFCNBINTQHJUPW-LBPRGKRZSA-N 0 3 225.357 2.667 20 0 BFADHN CCC1CCN(Cc2conc2C)CC1 ZINC000295338513 386015092 /nfs/dbraw/zinc/01/50/92/386015092.db2.gz MMNYKXKUPVVDJY-UHFFFAOYSA-N 0 3 208.305 2.605 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)[C@@H]1C)c1ccncc1F ZINC000336752174 386015239 /nfs/dbraw/zinc/01/52/39/386015239.db2.gz VMXIOJSNBGJVCK-KEPMVKOISA-N 0 3 222.307 2.916 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@H](C)CC1CC1 ZINC000188006039 386017565 /nfs/dbraw/zinc/01/75/65/386017565.db2.gz HPJQGWYTBXDQBQ-KOLCDFICSA-N 0 3 219.332 2.624 20 0 BFADHN CC[C@H](N[C@@H](C)c1ccn(C)n1)[C@H]1CC1(C)C ZINC000414172092 386018246 /nfs/dbraw/zinc/01/82/46/386018246.db2.gz DYBBTLVBVQLUPH-TUAOUCFPSA-N 0 3 235.375 2.895 20 0 BFADHN CCC[C@H]1CCCCN1Cc1ccnc(OC)n1 ZINC000295375813 386019205 /nfs/dbraw/zinc/01/92/05/386019205.db2.gz JZSFOYHPBSXKNG-ZDUSSCGKSA-N 0 3 249.358 2.640 20 0 BFADHN Cc1ncc(CN[C@H]2CC[C@H]2C(C)(C)C)o1 ZINC000414182242 386022581 /nfs/dbraw/zinc/02/25/81/386022581.db2.gz MVYHIBFVKSVXEO-NEPJUHHUSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@@H]1C[C@H](NC2(c3ccccc3F)CC2)[C@H](C)O1 ZINC000295407639 386025874 /nfs/dbraw/zinc/02/58/74/386025874.db2.gz MQRVLYFXXUHQQF-SUNKGSAMSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1ccsc1CN(C)CCc1cnccn1 ZINC000273720150 386027035 /nfs/dbraw/zinc/02/70/35/386027035.db2.gz ICTIPAZFBNWBBM-UHFFFAOYSA-N 0 3 247.367 2.521 20 0 BFADHN CCN(CCN[C@H](C)c1cc(C)ccn1)C1CC1 ZINC000286358607 386029436 /nfs/dbraw/zinc/02/94/36/386029436.db2.gz ZBXJJTIUEDUEGI-CYBMUJFWSA-N 0 3 247.386 2.525 20 0 BFADHN Cc1ncc(CN[C@H]2CCC[C@H]2CCF)o1 ZINC000414195063 386032873 /nfs/dbraw/zinc/03/28/73/386032873.db2.gz XGGNJVLCLNMSSU-JQWIXIFHSA-N 0 3 226.295 2.601 20 0 BFADHN Cc1cnc(CNc2cccc(N(C)C)n2)s1 ZINC000295442773 386034512 /nfs/dbraw/zinc/03/45/12/386034512.db2.gz POPZYQUUUAPBPX-UHFFFAOYSA-N 0 3 248.355 2.525 20 0 BFADHN CC[C@H](N[C@H](C)c1ccn(C)n1)[C@@H]1C[C@H]1C ZINC000414198245 386035141 /nfs/dbraw/zinc/03/51/41/386035141.db2.gz WUMYCBQREHCOHH-KKOKHZNYSA-N 0 3 221.348 2.505 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H]1C1CC1)c1nccn1C ZINC000414197667 386035457 /nfs/dbraw/zinc/03/54/57/386035457.db2.gz PSSWCINGBPOXED-IACUBPJLSA-N 0 3 247.386 2.897 20 0 BFADHN C[C@]1(NCc2ccc3occc3c2)CCOC1 ZINC000286420233 386036858 /nfs/dbraw/zinc/03/68/58/386036858.db2.gz IGYDWPNUDRRAFL-AWEZNQCLSA-N 0 3 231.295 2.701 20 0 BFADHN CCCC(CCC)NCc1ccn(CC)n1 ZINC000414200903 386037747 /nfs/dbraw/zinc/03/77/47/386037747.db2.gz BHEWFWGDJJNZNX-UHFFFAOYSA-N 0 3 223.364 2.961 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1NC1CCSCC1 ZINC000334428456 386037800 /nfs/dbraw/zinc/03/78/00/386037800.db2.gz SKUMESAMJWZUPR-HZMBPMFUSA-N 0 3 249.379 2.994 20 0 BFADHN CCn1ccc(CN[C@H]2CC[C@H]2C(C)(C)C)n1 ZINC000414203652 386040989 /nfs/dbraw/zinc/04/09/89/386040989.db2.gz FEASFTZBRZSNSH-OLZOCXBDSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccc(CN[C@@H]2CC[C@H]2C(C)(C)C)n1 ZINC000414203650 386041101 /nfs/dbraw/zinc/04/11/01/386041101.db2.gz FEASFTZBRZSNSH-CHWSQXEVSA-N 0 3 235.375 2.817 20 0 BFADHN CO[C@H](CN1CC=C(C(F)(F)F)CC1)C1CC1 ZINC000425423736 386042712 /nfs/dbraw/zinc/04/27/12/386042712.db2.gz RECDVPAOTWBSMP-LLVKDONJSA-N 0 3 249.276 2.606 20 0 BFADHN CC[C@H](N[C@H](C)c1nccn1CC)[C@@H]1C[C@H]1C ZINC000414158032 386043117 /nfs/dbraw/zinc/04/31/17/386043117.db2.gz YUTKIZPMENZGDT-LPWJVIDDSA-N 0 3 235.375 2.988 20 0 BFADHN CCn1ccc(CNC(C2CCC2)C2CCC2)n1 ZINC000414205218 386044843 /nfs/dbraw/zinc/04/48/43/386044843.db2.gz HDPKOFKQUQRHQO-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN CC[C@H](c1ccncc1)N(C)C[C@@H](OC)C1CC1 ZINC000425424817 386046865 /nfs/dbraw/zinc/04/68/65/386046865.db2.gz STJKMKSHODEBAX-HUUCEWRRSA-N 0 3 248.370 2.890 20 0 BFADHN Cc1ncc(CN[C@@H]2CCC[C@@H]2CCCF)o1 ZINC000414167780 386050074 /nfs/dbraw/zinc/05/00/74/386050074.db2.gz HECWHTHRHOYOCF-DGCLKSJQSA-N 0 3 240.322 2.991 20 0 BFADHN Cc1ccc(CN[C@@H](C)[C@@]2(C)CCCO2)nc1C ZINC000414167930 386051016 /nfs/dbraw/zinc/05/10/16/386051016.db2.gz PYNPPFWCUKRGCM-DZGCQCFKSA-N 0 3 248.370 2.746 20 0 BFADHN Fc1cccc(OCCNCc2ccccc2)c1 ZINC000032102039 386051300 /nfs/dbraw/zinc/05/13/00/386051300.db2.gz UFQYQXSAKCZFIT-UHFFFAOYSA-N 0 3 245.297 2.994 20 0 BFADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1ccncc1F ZINC000414236924 386053393 /nfs/dbraw/zinc/05/33/93/386053393.db2.gz WFJQGPRCIBGGKO-BBBLOLIVSA-N 0 3 240.347 2.765 20 0 BFADHN Cc1cc(C)nc(N[C@H]2CSC[C@@H]2C)c1 ZINC000295581411 386054499 /nfs/dbraw/zinc/05/44/99/386054499.db2.gz DPHCBCFKYQKZCC-ONGXEEELSA-N 0 3 222.357 2.862 20 0 BFADHN c1cc(CNCC23CCC(CC2)C3)sn1 ZINC000638812879 385996504 /nfs/dbraw/zinc/99/65/04/385996504.db2.gz NVNQWRJDHUUZOA-UHFFFAOYSA-N 0 3 222.357 2.813 20 0 BFADHN CC[C@@H](C)CN(C)Cc1cc(CO)ccc1F ZINC000528378414 386102058 /nfs/dbraw/zinc/10/20/58/386102058.db2.gz IBAHXRBWVBHVOA-LLVKDONJSA-N 0 3 239.334 2.796 20 0 BFADHN Cc1cc(CN(C)[C@H](CO)CC(C)C)cs1 ZINC000295920481 386105290 /nfs/dbraw/zinc/10/52/90/386105290.db2.gz DZVPCHOCOSYMQY-ZDUSSCGKSA-N 0 3 241.400 2.895 20 0 BFADHN Cc1occc1CN[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2 ZINC000340519200 386105685 /nfs/dbraw/zinc/10/56/85/386105685.db2.gz UYSPIJVGLSTEOY-MJBXVCDLSA-N 0 3 247.338 2.635 20 0 BFADHN COC1([C@H](C)N[C@@H](C)c2cccnc2)CCC1 ZINC000414297517 386106071 /nfs/dbraw/zinc/10/60/71/386106071.db2.gz GGDRRGFCTMXWHO-RYUDHWBXSA-N 0 3 234.343 2.690 20 0 BFADHN CC(C)C[C@H](C)CN1CC(C(F)F)C1 ZINC000647929627 386106774 /nfs/dbraw/zinc/10/67/74/386106774.db2.gz BNRDKYPRMMMNBG-VIFPVBQESA-N 0 3 205.292 2.866 20 0 BFADHN Cc1ncc(CN2CCCCC[C@H]2C)cn1 ZINC000334456326 386107729 /nfs/dbraw/zinc/10/77/29/386107729.db2.gz HEXYJWRTQRCDFD-LLVKDONJSA-N 0 3 219.332 2.550 20 0 BFADHN CO[C@H]1CCN([C@H](C)c2cccnc2)CC1(C)C ZINC000295939202 386109095 /nfs/dbraw/zinc/10/90/95/386109095.db2.gz IRYGENPEFRIMGE-OCCSQVGLSA-N 0 3 248.370 2.890 20 0 BFADHN CC[C@H]1CCCCN1Cc1cnccc1OC ZINC000287272745 386109318 /nfs/dbraw/zinc/10/93/18/386109318.db2.gz LKBHXIYDGLPYNW-ZDUSSCGKSA-N 0 3 234.343 2.855 20 0 BFADHN COC1([C@H](C)N[C@H](C)c2cncc(C)c2)CCC1 ZINC000414309956 386112894 /nfs/dbraw/zinc/11/28/94/386112894.db2.gz SETDREWIRRJUEC-OLZOCXBDSA-N 0 3 248.370 2.998 20 0 BFADHN COC1([C@H](C)N[C@@H](C)c2ccncc2)CCC1 ZINC000414310344 386114434 /nfs/dbraw/zinc/11/44/34/386114434.db2.gz SVPPWGGHKBIFFX-RYUDHWBXSA-N 0 3 234.343 2.690 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H]2CCCOC2)o1 ZINC000038043588 386118340 /nfs/dbraw/zinc/11/83/40/386118340.db2.gz GUKZSKJQXFFDNQ-VXGBXAGGSA-N 0 3 223.316 2.665 20 0 BFADHN Cc1nccc(CN2CCC[C@H]3CCCC[C@H]32)n1 ZINC000334462250 386119576 /nfs/dbraw/zinc/11/95/76/386119576.db2.gz XJVHUNNEVASIJA-UKRRQHHQSA-N 0 3 245.370 2.940 20 0 BFADHN c1ccc(C[C@@H]2CN(CCC3CC3)CCO2)cc1 ZINC000345834068 386119972 /nfs/dbraw/zinc/11/99/72/386119972.db2.gz ZETWSJUJAGBCFO-MRXNPFEDSA-N 0 3 245.366 2.730 20 0 BFADHN CC(F)(F)CCNCc1cccnc1Cl ZINC000296012491 386120930 /nfs/dbraw/zinc/12/09/30/386120930.db2.gz LUKJQDGOFYUNJR-UHFFFAOYSA-N 0 3 234.677 2.870 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1ccn(CC)n1 ZINC000414206553 386059205 /nfs/dbraw/zinc/05/92/05/386059205.db2.gz IPSVTUQGVTZJJX-JSGCOSHPSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1ccc(CNC2C[C@@H](C)C[C@H](C)C2)n1 ZINC000414207024 386059256 /nfs/dbraw/zinc/05/92/56/386059256.db2.gz JHKQSSKRQSYWLE-RYUDHWBXSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1NCc1ccn(CC)n1 ZINC000414206554 386060401 /nfs/dbraw/zinc/06/04/01/386060401.db2.gz IPSVTUQGVTZJJX-OCCSQVGLSA-N 0 3 235.375 2.961 20 0 BFADHN c1cc(CNC2CC=CC2)n(-c2ccccc2)n1 ZINC000643290931 386063178 /nfs/dbraw/zinc/06/31/78/386063178.db2.gz HEYIJYWRIQQDJT-UHFFFAOYSA-N 0 3 239.322 2.681 20 0 BFADHN C[C@H](O)CN1CC(C)(C)[C@H]1c1cccs1 ZINC000286655777 386063938 /nfs/dbraw/zinc/06/39/38/386063938.db2.gz AFOQQAFEKDHXDI-GXSJLCMTSA-N 0 3 225.357 2.512 20 0 BFADHN CCn1ccc(CN[C@H]2CCC[C@H]2C(C)C)n1 ZINC000414210164 386064983 /nfs/dbraw/zinc/06/49/83/386064983.db2.gz OAQLYVFMVUIGQC-KBPBESRZSA-N 0 3 235.375 2.817 20 0 BFADHN COCC[C@@H](C)CN[C@H](C)c1ccco1 ZINC000414210137 386065965 /nfs/dbraw/zinc/06/59/65/386065965.db2.gz TZHUVIULMIDRGA-GHMZBOCLSA-N 0 3 211.305 2.603 20 0 BFADHN Cc1ccoc1CN[C@@H]1CCCSC1 ZINC000129236239 386066958 /nfs/dbraw/zinc/06/69/58/386066958.db2.gz DEMVGSKQVJMMQY-SNVBAGLBSA-N 0 3 211.330 2.573 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2ccn(CC)n2)C1 ZINC000414212198 386067523 /nfs/dbraw/zinc/06/75/23/386067523.db2.gz RKWGQSIKXHSOCU-OLZOCXBDSA-N 0 3 235.375 2.961 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2CCC[C@@H]3C[C@@H]32)no1 ZINC000414212940 386067695 /nfs/dbraw/zinc/06/76/95/386067695.db2.gz QLVSERHKXMIMEX-USZNOCQGSA-N 0 3 220.316 2.822 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2ccn(CC)n2)C1 ZINC000414212200 386067773 /nfs/dbraw/zinc/06/77/73/386067773.db2.gz RKWGQSIKXHSOCU-STQMWFEESA-N 0 3 235.375 2.961 20 0 BFADHN CCn1ccc(CN[C@@H]2CC[C@H]2C2CCC2)n1 ZINC000414212766 386068140 /nfs/dbraw/zinc/06/81/40/386068140.db2.gz SNIWRHKULIIRAV-UONOGXRCSA-N 0 3 233.359 2.571 20 0 BFADHN C[C@H](CO)N(C)C/C=C\c1ccc(Cl)cc1 ZINC000338599927 386068823 /nfs/dbraw/zinc/06/88/23/386068823.db2.gz XUYIVKZDPJSZDL-DLRQAJBASA-N 0 3 239.746 2.666 20 0 BFADHN CCn1ccc(CN[C@@H]2CC[C@@H](C)[C@@H](C)C2)n1 ZINC000414214788 386070099 /nfs/dbraw/zinc/07/00/99/386070099.db2.gz WVWGPODEYCQYCU-FRRDWIJNSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@@H](NCc1ccn(CC)n1)[C@@H]1CC1(C)C ZINC000414216331 386071393 /nfs/dbraw/zinc/07/13/93/386071393.db2.gz ZOZNACWFKVSIBW-QWHCGFSZSA-N 0 3 235.375 2.817 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@@H](C)c1ccsc1 ZINC000414217256 386072083 /nfs/dbraw/zinc/07/20/83/386072083.db2.gz XWLQZUZJUIFJTJ-WCQGTBRESA-N 0 3 225.357 2.966 20 0 BFADHN CC[C@@H]1OCC[C@H]1N[C@H](C)c1cccc(O)c1 ZINC000414216893 386073491 /nfs/dbraw/zinc/07/34/91/386073491.db2.gz XGOXGCMWLWXVSG-HONMWMINSA-N 0 3 235.327 2.610 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000414216690 386073918 /nfs/dbraw/zinc/07/39/18/386073918.db2.gz WXRSBIKQMXTPFW-QNWHQSFQSA-N 0 3 231.343 2.624 20 0 BFADHN Cc1cc(CN(C)CCc2ccccc2C)on1 ZINC000295712325 386076648 /nfs/dbraw/zinc/07/66/48/386076648.db2.gz NIKPNLXAKOZRDN-UHFFFAOYSA-N 0 3 244.338 2.966 20 0 BFADHN CO[C@@](C)([C@@H](C)N[C@H](C)c1ccccn1)C1CC1 ZINC000414229086 386077638 /nfs/dbraw/zinc/07/76/38/386077638.db2.gz DZOAFCMWDGMQOE-JMSVASOKSA-N 0 3 248.370 2.936 20 0 BFADHN CC[C@H](F)CN(C)C1Cc2ccccc2C1 ZINC000440699761 386078839 /nfs/dbraw/zinc/07/88/39/386078839.db2.gz UPKPSHPICPNHFL-ZDUSSCGKSA-N 0 3 221.319 2.834 20 0 BFADHN COC1([C@@H](C)N[C@H](C)c2ccccn2)CCC1 ZINC000414302217 386079165 /nfs/dbraw/zinc/07/91/65/386079165.db2.gz KHCOLCNACQKCGE-VXGBXAGGSA-N 0 3 234.343 2.690 20 0 BFADHN CC(C)Oc1ccccc1CNc1nccn1C ZINC000414302517 386079409 /nfs/dbraw/zinc/07/94/09/386079409.db2.gz MOHHCWVVMWGHKP-UHFFFAOYSA-N 0 3 245.326 2.819 20 0 BFADHN CN(CCCC1CCOCC1)Cc1cccnc1 ZINC000274185900 386081865 /nfs/dbraw/zinc/08/18/65/386081865.db2.gz GUVAOJNULYOHEZ-UHFFFAOYSA-N 0 3 248.370 2.720 20 0 BFADHN C[C@H](NCC(C)(C)OCC1CC1)c1cccnc1 ZINC000414259737 386082824 /nfs/dbraw/zinc/08/28/24/386082824.db2.gz IARJVOCPEQAOSF-LBPRGKRZSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1occc1CNCCOc1ccc(F)cc1 ZINC000414272981 386088661 /nfs/dbraw/zinc/08/86/61/386088661.db2.gz SMUDGXQOYQNUJM-UHFFFAOYSA-N 0 3 249.285 2.896 20 0 BFADHN CN(Cc1cncc(F)c1)C1CCCCC1 ZINC000274351844 386090755 /nfs/dbraw/zinc/09/07/55/386090755.db2.gz KUEQMFVCGNPOFH-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN COC[C@@H](NCCC(F)(F)F)c1ccccc1 ZINC000223716455 386094158 /nfs/dbraw/zinc/09/41/58/386094158.db2.gz JDRVXVWMWWGBPR-LLVKDONJSA-N 0 3 247.260 2.916 20 0 BFADHN C[C@H](NC[C@H]1C[C@@H](C)O[C@H]1C)c1ccco1 ZINC000414286741 386096735 /nfs/dbraw/zinc/09/67/35/386096735.db2.gz SSVWFJMMLBEWND-NOOOWODRSA-N 0 3 223.316 2.744 20 0 BFADHN C[C@@H](NCC(C)(C)C)c1ccncc1F ZINC000336773071 386097419 /nfs/dbraw/zinc/09/74/19/386097419.db2.gz YQCHGCIWAGHTST-SECBINFHSA-N 0 3 210.296 2.917 20 0 BFADHN CC[C@H](N[C@@H](C)c1nccs1)[C@H](O)C(C)C ZINC000414287353 386098937 /nfs/dbraw/zinc/09/89/37/386098937.db2.gz DRSBMCXIJHTROD-GARJFASQSA-N 0 3 242.388 2.589 20 0 BFADHN Cc1ccsc1CN[C@H](C)c1ccnn1C ZINC000235217880 386144771 /nfs/dbraw/zinc/14/47/71/386144771.db2.gz WPCMRXJAGSLLDJ-SNVBAGLBSA-N 0 3 235.356 2.641 20 0 BFADHN CC(C)n1nccc1CN1C[C@@H](C)C[C@H]1C ZINC000334473450 386145766 /nfs/dbraw/zinc/14/57/66/386145766.db2.gz JFTNNQQYONVTBX-NWDGAFQWSA-N 0 3 221.348 2.694 20 0 BFADHN CC[C@]1(C)CN([C@H]2C=CCCC2)CCO1 ZINC000190095906 386146423 /nfs/dbraw/zinc/14/64/23/386146423.db2.gz KYGQUYAYHJNNAI-QWHCGFSZSA-N 0 3 209.333 2.596 20 0 BFADHN Cc1[nH]nc2ncc(CN3CCCC3(C)C)cc12 ZINC000335151340 386146395 /nfs/dbraw/zinc/14/63/95/386146395.db2.gz YZRHCENGENJMGU-UHFFFAOYSA-N 0 3 244.342 2.641 20 0 BFADHN C[C@@H](NCCc1ccncc1)c1ccncc1F ZINC000340261790 386147272 /nfs/dbraw/zinc/14/72/72/386147272.db2.gz BQCYNFNUPLZCJQ-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN CCc1ccc2nccc(N[C@@H](C)CO)c2c1 ZINC000296191530 386149283 /nfs/dbraw/zinc/14/92/83/386149283.db2.gz BKVUQTGJIWSGLX-JTQLQIEISA-N 0 3 230.311 2.590 20 0 BFADHN Cc1occc1CN[C@H](CO)CC1CCCC1 ZINC000414363674 386149598 /nfs/dbraw/zinc/14/95/98/386149598.db2.gz HBGXZJHELHAOOL-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN CCc1ncc(CN(C)[C@@H](C)C(C)C)cn1 ZINC000414374787 386189897 /nfs/dbraw/zinc/18/98/97/386189897.db2.gz WJJSSOPVJYOVQI-NSHDSACASA-N 0 3 221.348 2.515 20 0 BFADHN Cc1occc1CNC[C@]1(C)CCCC[C@H]1O ZINC000414366111 386152229 /nfs/dbraw/zinc/15/22/29/386152229.db2.gz POYSYGHPWLRWRD-KGLIPLIRSA-N 0 3 237.343 2.619 20 0 BFADHN Cc1sccc1CN1CC2(C1)CCOCC2 ZINC000335157368 386152329 /nfs/dbraw/zinc/15/23/29/386152329.db2.gz XWZXKLAAPDXKBD-UHFFFAOYSA-N 0 3 237.368 2.669 20 0 BFADHN Cc1occc1CNC[C@H](O)C1CCCCC1 ZINC000414350362 386152637 /nfs/dbraw/zinc/15/26/37/386152637.db2.gz ZZMUSTDMJOZARX-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN CC/C=C/CCN1CCO[C@](C)(CC)C1 ZINC000190098899 386154558 /nfs/dbraw/zinc/15/45/58/386154558.db2.gz ORTRAENSFVBALF-KTRBRXNASA-N 0 3 211.349 2.844 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1cc(C)no1 ZINC000274821727 386154645 /nfs/dbraw/zinc/15/46/45/386154645.db2.gz BKGZHBPCHRPNMX-CABZTGNLSA-N 0 3 208.305 2.603 20 0 BFADHN CCc1ncc(CN([C@@H](C)C2CC2)C2CC2)cn1 ZINC000414370070 386155069 /nfs/dbraw/zinc/15/50/69/386155069.db2.gz JSNHVJXLEADZMN-NSHDSACASA-N 0 3 245.370 2.802 20 0 BFADHN CCc1ccc(CN2CC3(C2)CCOCC3)cc1 ZINC000335159061 386155897 /nfs/dbraw/zinc/15/58/97/386155897.db2.gz UBBUTQGJRWHDJX-UHFFFAOYSA-N 0 3 245.366 2.861 20 0 BFADHN CCc1ncc(CN2CCC[C@@H](CC)C2)cn1 ZINC000414369005 386156142 /nfs/dbraw/zinc/15/61/42/386156142.db2.gz HRJABNXBEQOUDX-GFCCVEGCSA-N 0 3 233.359 2.661 20 0 BFADHN C[C@@H](NCCCOC(F)F)c1ccncc1F ZINC000340533796 386157156 /nfs/dbraw/zinc/15/71/56/386157156.db2.gz PDGGZTDNBNESRP-MRVPVSSYSA-N 0 3 248.248 2.501 20 0 BFADHN CC[C@@H](C)N(C)C(=O)Nc1ccccc1CNC ZINC000415903876 386159452 /nfs/dbraw/zinc/15/94/52/386159452.db2.gz RASNSRTWOVBIQO-LLVKDONJSA-N 0 3 249.358 2.668 20 0 BFADHN C[C@@H](NCCCNc1ccccc1)c1cn[nH]c1 ZINC000453106537 386159531 /nfs/dbraw/zinc/15/95/31/386159531.db2.gz FAGFQZAEVOAACA-GFCCVEGCSA-N 0 3 244.342 2.563 20 0 BFADHN CC[C@H](N[C@H](C)c1cccs1)[C@@H](O)C(F)F ZINC000296633547 386190237 /nfs/dbraw/zinc/19/02/37/386190237.db2.gz XOEDGOSEILJAAO-KHQFGBGNSA-N 0 3 249.326 2.803 20 0 BFADHN CCc1ncc(CN2CCCC[C@H]2CC)cn1 ZINC000414367422 386161486 /nfs/dbraw/zinc/16/14/86/386161486.db2.gz QPTWTWRGPGWUQT-CYBMUJFWSA-N 0 3 233.359 2.804 20 0 BFADHN C[C@H](CC1CCCC1)N[C@H]1CNCCC1(F)F ZINC000414993969 386161556 /nfs/dbraw/zinc/16/15/56/386161556.db2.gz CUOJGNFNLDNFLF-PWSUYJOCSA-N 0 3 246.345 2.542 20 0 BFADHN C[C@@H](N[C@@H](C)CCCO)c1cccc(F)c1F ZINC000225000374 386161641 /nfs/dbraw/zinc/16/16/41/386161641.db2.gz YGNUIEZATZPHRF-VHSXEESVSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@H](CN[C@@H](C)c1cccc(F)c1F)N(C)C ZINC000224984891 386161673 /nfs/dbraw/zinc/16/16/73/386161673.db2.gz LBTADFXWFXFUPB-ZJUUUORDSA-N 0 3 242.313 2.566 20 0 BFADHN COc1cc(CN[C@@H]2C[C@@H](C)O[C@H]2C)ccc1C ZINC000296310999 386161817 /nfs/dbraw/zinc/16/18/17/386161817.db2.gz GPCUGNPUIJGYIL-MBNYWOFBSA-N 0 3 249.354 2.659 20 0 BFADHN CN(CCCF)[C@@H](CO)c1ccc(Cl)cc1 ZINC000296340549 386163587 /nfs/dbraw/zinc/16/35/87/386163587.db2.gz PVKZJGRNGBQZLX-LBPRGKRZSA-N 0 3 245.725 2.665 20 0 BFADHN COC(=O)CN(C[C@H]1CCCC[C@H]1C)C(C)C ZINC000346140204 386166736 /nfs/dbraw/zinc/16/67/36/386166736.db2.gz XGMAMMDDZCZANO-CHWSQXEVSA-N 0 3 241.375 2.696 20 0 BFADHN CC/C=C/CN[C@@H]1COc2ccc(OC)cc2C1 ZINC000296371689 386168818 /nfs/dbraw/zinc/16/88/18/386168818.db2.gz JFIVYDUTTNFHNV-IHVVCDCBSA-N 0 3 247.338 2.555 20 0 BFADHN CC[C@H](N[C@H](C)c1ccsc1)[C@H](O)C(F)F ZINC000296404533 386171058 /nfs/dbraw/zinc/17/10/58/386171058.db2.gz KQKQJNJCSFNQSU-JEZHCXPESA-N 0 3 249.326 2.803 20 0 BFADHN CC(C)Cc1ccc(CN2CCC(O)CC2)cc1 ZINC000263744301 386171486 /nfs/dbraw/zinc/17/14/86/386171486.db2.gz RRGDLFMHFNBQPG-UHFFFAOYSA-N 0 3 247.382 2.842 20 0 BFADHN CO[C@H](CN[C@H](C)c1ccncc1F)C(C)C ZINC000340539455 386174922 /nfs/dbraw/zinc/17/49/22/386174922.db2.gz BNNOWINTXYRMOO-ZWNOBZJWSA-N 0 3 240.322 2.542 20 0 BFADHN CCc1ncc(CN(C)C[C@H](C)CC)cn1 ZINC000414373271 386175255 /nfs/dbraw/zinc/17/52/55/386175255.db2.gz RGXWPOOOWDVPPX-LLVKDONJSA-N 0 3 221.348 2.517 20 0 BFADHN C[C@@H](CN(C)C)NC(=O)[C@@H](C)CCC(C)(C)C ZINC000346229241 386175749 /nfs/dbraw/zinc/17/57/49/386175749.db2.gz RYNJEDAVQVKLRZ-RYUDHWBXSA-N 0 3 242.407 2.515 20 0 BFADHN CCCC(CCC)[NH2+]Cc1cnc(C)[n-]c1=O ZINC000346294178 386190914 /nfs/dbraw/zinc/19/09/14/386190914.db2.gz KNHZINWOIPCZQG-UHFFFAOYSA-N 0 3 237.347 2.549 20 0 BFADHN CCCCCNCc1cn2cc(C)ccc2n1 ZINC000263794970 386176723 /nfs/dbraw/zinc/17/67/23/386176723.db2.gz TYBFCQVWIQUKBZ-UHFFFAOYSA-N 0 3 231.343 2.923 20 0 BFADHN CCC1(NCc2cc(C)ncn2)CCCC1 ZINC000296476234 386177758 /nfs/dbraw/zinc/17/77/58/386177758.db2.gz YBPMLRFZJZCHAY-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN CCC[C@H](C)N(C)Cc1cc(C(=O)OC)co1 ZINC000263824437 386179401 /nfs/dbraw/zinc/17/94/01/386179401.db2.gz RJODIARNKUADEF-JTQLQIEISA-N 0 3 239.315 2.687 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@H](C(N)=O)c1ccccc1 ZINC000296550665 386181335 /nfs/dbraw/zinc/18/13/35/386181335.db2.gz UMXSIDMRKJDOID-YPMHNXCESA-N 0 3 248.370 2.627 20 0 BFADHN CCC[C@H](NC[C@@H](C)OC)c1cccnc1 ZINC000188110416 386183793 /nfs/dbraw/zinc/18/37/93/386183793.db2.gz ZQLFREPDASLLDN-YPMHNXCESA-N 0 3 222.332 2.547 20 0 BFADHN Cc1cncc([C@@H](C)N[C@@H](C)CC(C)(C)O)c1 ZINC000296577959 386183963 /nfs/dbraw/zinc/18/39/63/386183963.db2.gz UBPYKWLMRQXWTF-NWDGAFQWSA-N 0 3 236.359 2.590 20 0 BFADHN CCC=CC[NH2+][C@@H](c1nnc[n-]1)C1CCCCC1 ZINC000296556220 386184465 /nfs/dbraw/zinc/18/44/65/386184465.db2.gz RXGFMRBJFSSLEB-LPZVBRGNSA-N 0 3 248.374 2.982 20 0 BFADHN CCC[C@@H](NC[C@@H](C)OC)c1cccnc1 ZINC000188110438 386184363 /nfs/dbraw/zinc/18/43/63/386184363.db2.gz ZQLFREPDASLLDN-DGCLKSJQSA-N 0 3 222.332 2.547 20 0 BFADHN CCC=CCN[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000296556220 386184469 /nfs/dbraw/zinc/18/44/69/386184469.db2.gz RXGFMRBJFSSLEB-LPZVBRGNSA-N 0 3 248.374 2.982 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cc(F)ncc1F ZINC000296584374 386185489 /nfs/dbraw/zinc/18/54/89/386185489.db2.gz XDVXBNIRXAIDJW-BDAKNGLRSA-N 0 3 228.286 2.884 20 0 BFADHN Cn1ccc(CN(CC2CC2)C2CCCCC2)n1 ZINC000340275966 386185554 /nfs/dbraw/zinc/18/55/54/386185554.db2.gz DGCQDQMEPZDCKJ-UHFFFAOYSA-N 0 3 247.386 2.965 20 0 BFADHN Cc1ccc2nc(CN[C@H](C)C(C)C)cn2c1 ZINC000263873418 386186418 /nfs/dbraw/zinc/18/64/18/386186418.db2.gz HNFYXTBHESBPQF-GFCCVEGCSA-N 0 3 231.343 2.777 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C1)c1cccc(Cl)c1 ZINC000296018087 386124968 /nfs/dbraw/zinc/12/49/68/386124968.db2.gz RPGSDZDBCGDEAD-KPXOXKRLSA-N 0 3 225.719 2.514 20 0 BFADHN CC[C@@H](NCc1nnc(C2CC2)s1)C1CC1 ZINC000414330107 386125031 /nfs/dbraw/zinc/12/50/31/386125031.db2.gz RNHYCEJDZWHEPW-SNVBAGLBSA-N 0 3 237.372 2.694 20 0 BFADHN Cc1cc(CN(C)CCN(C)C2CC2)cs1 ZINC000296042255 386125831 /nfs/dbraw/zinc/12/58/31/386125831.db2.gz OBXGWQGWDOUGMB-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN C[C@H](CC(=O)OC(C)(C)C)N[C@@H]1CCC[C@H]1F ZINC000340525552 386126375 /nfs/dbraw/zinc/12/63/75/386126375.db2.gz NEGJAPMPYBMDEG-GMTAPVOTSA-N 0 3 245.338 2.587 20 0 BFADHN COc1ccncc1CN1CCC[C@@H](C)CC1 ZINC000287492799 386127468 /nfs/dbraw/zinc/12/74/68/386127468.db2.gz XPKWZRWRUGKTLW-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN C[C@H](CC(=O)OC(C)(C)C)N[C@H]1CCC[C@H]1F ZINC000340525556 386127905 /nfs/dbraw/zinc/12/79/05/386127905.db2.gz NEGJAPMPYBMDEG-MXWKQRLJSA-N 0 3 245.338 2.587 20 0 BFADHN C[C@H](NCCCC1CCOCC1)c1ccccn1 ZINC000274634282 386132959 /nfs/dbraw/zinc/13/29/59/386132959.db2.gz IQZRWTVZBOUMAY-ZDUSSCGKSA-N 0 3 248.370 2.939 20 0 BFADHN CC[C@@H](CSC)N(C)Cc1ccncc1C ZINC000296091327 386135213 /nfs/dbraw/zinc/13/52/13/386135213.db2.gz RFHZNEJDFYCDBA-ZDUSSCGKSA-N 0 3 238.400 2.963 20 0 BFADHN C[C@@H](N[C@@H](C)CN(C)C)c1cccc(F)c1F ZINC000235117873 386137259 /nfs/dbraw/zinc/13/72/59/386137259.db2.gz SIUKERXLXKTBIL-VHSXEESVSA-N 0 3 242.313 2.566 20 0 BFADHN C[C@H](NCC(C)(C)CCO)c1ccccc1F ZINC000224381028 386137581 /nfs/dbraw/zinc/13/75/81/386137581.db2.gz MODAUDCRPUKTQR-NSHDSACASA-N 0 3 239.334 2.885 20 0 BFADHN Cc1c(CN(C)[C@@H]2CCC[C@H](C)C2)cnn1C ZINC000334470371 386140931 /nfs/dbraw/zinc/14/09/31/386140931.db2.gz FMZJRKYIBHLTGJ-SMDDNHRTSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1c(CN(C)[C@H]2CCC[C@@H](C)C2)cnn1C ZINC000334470370 386141084 /nfs/dbraw/zinc/14/10/84/386141084.db2.gz FMZJRKYIBHLTGJ-RISCZKNCSA-N 0 3 235.375 2.739 20 0 BFADHN CC(C)=CCN1CC[C@@H](OCc2ccncc2)C1 ZINC000287672218 386141425 /nfs/dbraw/zinc/14/14/25/386141425.db2.gz HQLSKJKSYYWJGA-OAHLLOKOSA-N 0 3 246.354 2.639 20 0 BFADHN Cc1ccsc1CCN[C@H]1CCn2ccnc21 ZINC000453158995 386201832 /nfs/dbraw/zinc/20/18/32/386201832.db2.gz CHUZBXNVMVMVPH-NSHDSACASA-N 0 3 247.367 2.530 20 0 BFADHN Cc1cnccc1CN1CCSC[C@H](C)C1 ZINC000296839891 386206160 /nfs/dbraw/zinc/20/61/60/386206160.db2.gz OEDODTAOYDRSNL-LLVKDONJSA-N 0 3 236.384 2.575 20 0 BFADHN CCc1ccc(CN2CCC(C)(C)C2)nc1 ZINC000339015329 386213187 /nfs/dbraw/zinc/21/31/87/386213187.db2.gz VNOXMTZIEMVPAP-UHFFFAOYSA-N 0 3 218.344 2.876 20 0 BFADHN CCSCCCNCc1cc(F)ncc1F ZINC000296962670 386215028 /nfs/dbraw/zinc/21/50/28/386215028.db2.gz ULGCOXWBWNXHKG-UHFFFAOYSA-N 0 3 246.326 2.593 20 0 BFADHN CSCCCN(C)Cc1ccncc1C ZINC000297012616 386217096 /nfs/dbraw/zinc/21/70/96/386217096.db2.gz WSYBZMRUGNDDBI-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN COc1nccnc1CN[C@H]1CCC[C@@H](C)CC1 ZINC000296986179 386218337 /nfs/dbraw/zinc/21/83/37/386218337.db2.gz VOABLEKWPGMHQQ-NEPJUHHUSA-N 0 3 249.358 2.544 20 0 BFADHN COc1nccnc1CN[C@@H]1CCC[C@H](C)CC1 ZINC000296986185 386218488 /nfs/dbraw/zinc/21/84/88/386218488.db2.gz VOABLEKWPGMHQQ-NWDGAFQWSA-N 0 3 249.358 2.544 20 0 BFADHN CSC[C@@H](C)NCc1cccc(F)c1F ZINC000125005630 386219176 /nfs/dbraw/zinc/21/91/76/386219176.db2.gz GIPUHKSKAQPHGN-MRVPVSSYSA-N 0 3 231.311 2.806 20 0 BFADHN CCCC1(CNCc2cnc(CC)nc2)CC1 ZINC000414381899 386229335 /nfs/dbraw/zinc/22/93/35/386229335.db2.gz QJVFCEYMFLDVDG-UHFFFAOYSA-N 0 3 233.359 2.709 20 0 BFADHN CCCC1(CNCc2cc(C)n(C)n2)CCC1 ZINC000414382816 386229684 /nfs/dbraw/zinc/22/96/84/386229684.db2.gz SATNBKFOSMEVCY-UHFFFAOYSA-N 0 3 235.375 2.789 20 0 BFADHN CCCCC(=O)Nc1ccccc1CCN(C)C ZINC000275700832 386231038 /nfs/dbraw/zinc/23/10/38/386231038.db2.gz SOWOMJJFTVNDGA-UHFFFAOYSA-N 0 3 248.370 2.919 20 0 BFADHN CCC[C@@]1(CO)CCN([C@H](C)c2ccccn2)C1 ZINC000288784315 386232250 /nfs/dbraw/zinc/23/22/50/386232250.db2.gz KQBODSIMHKBVRA-UKRRQHHQSA-N 0 3 248.370 2.627 20 0 BFADHN c1ccc2c(c1)OC1(CCN(CC3CCC3)C1)O2 ZINC000288788329 386232609 /nfs/dbraw/zinc/23/26/09/386232609.db2.gz JYNOZLSZNBIOFP-UHFFFAOYSA-N 0 3 245.322 2.660 20 0 BFADHN CCc1ccc2nccc(NCC[C@@H](C)O)c2c1 ZINC000297205475 386233027 /nfs/dbraw/zinc/23/30/27/386233027.db2.gz RRDXLJNVRCQXGD-LLVKDONJSA-N 0 3 244.338 2.980 20 0 BFADHN CC(=O)c1cccc(CN2CC[C@@H](C)C2)c1 ZINC000297210223 386233121 /nfs/dbraw/zinc/23/31/21/386233121.db2.gz RXYVXJLYWKWXKV-LLVKDONJSA-N 0 3 217.312 2.731 20 0 BFADHN Cc1cnc([C@@H](C)N[C@H](C)C2CC2)s1 ZINC000125210698 386234954 /nfs/dbraw/zinc/23/49/54/386234954.db2.gz QMWRMQHLFLWZFX-RKDXNWHRSA-N 0 3 210.346 2.901 20 0 BFADHN CCc1ccc2nccc(NCC(C)(C)O)c2c1 ZINC000297227421 386235177 /nfs/dbraw/zinc/23/51/77/386235177.db2.gz TUMBHJLVEUYJIR-UHFFFAOYSA-N 0 3 244.338 2.980 20 0 BFADHN Cc1cc(C)cc(CN2CC(C)(C(N)=O)C2)c1 ZINC000335387473 386238570 /nfs/dbraw/zinc/23/85/70/386238570.db2.gz DFDMARVJZMWBJH-UHFFFAOYSA-N 0 3 232.327 2.661 20 0 BFADHN CCCN(C)CC(=O)N[C@@H](C)CCC(C)(C)C ZINC000347132019 386240462 /nfs/dbraw/zinc/24/04/62/386240462.db2.gz YTTSZTNWIZJNRW-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN CCC[C@](C)(O)CNCc1ccc(F)cc1F ZINC000226251086 386243098 /nfs/dbraw/zinc/24/30/98/386243098.db2.gz FFMCVEPIPRCZAJ-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN C[C@@H]1C[C@H](NCCCO)c2ccsc2S1 ZINC000336642703 386247475 /nfs/dbraw/zinc/24/74/75/386247475.db2.gz ARJNMHICOZNMKO-SCZZXKLOSA-N 0 3 243.397 2.646 20 0 BFADHN Cn1ccc(CN2CC3(C2)CCCCC3)c1 ZINC000297404181 386250912 /nfs/dbraw/zinc/25/09/12/386250912.db2.gz KRYKRGJXDNUKQK-UHFFFAOYSA-N 0 3 218.344 2.791 20 0 BFADHN CN(Cc1ccccc1F)[C@H]1CC[C@H](O)CC1 ZINC000275925414 386253170 /nfs/dbraw/zinc/25/31/70/386253170.db2.gz WKIFRCNCZKNSCE-JOCQHMNTSA-N 0 3 237.318 2.561 20 0 BFADHN CCc1ccc(CN2CC[C@H](C)[C@H](OC)C2)nc1 ZINC000339112886 386254882 /nfs/dbraw/zinc/25/48/82/386254882.db2.gz WMFVROZGXQAQSX-SWLSCSKDSA-N 0 3 248.370 2.501 20 0 BFADHN C[C@H](O)CN[C@H]1C[C@@H](C)Sc2sccc21 ZINC000336647357 386257203 /nfs/dbraw/zinc/25/72/03/386257203.db2.gz MGFZKEJZAJEXRK-XKSSXDPKSA-N 0 3 243.397 2.644 20 0 BFADHN CSCCCN(C)Cc1cccc(C)n1 ZINC000336647643 386257619 /nfs/dbraw/zinc/25/76/19/386257619.db2.gz MQOZYNRZDIRRMK-UHFFFAOYSA-N 0 3 224.373 2.575 20 0 BFADHN CC[C@H](N[C@@H](C)c1cccs1)[C@H](O)C(F)F ZINC000296633549 386191703 /nfs/dbraw/zinc/19/17/03/386191703.db2.gz XOEDGOSEILJAAO-NRPADANISA-N 0 3 249.326 2.803 20 0 BFADHN Cc1n[nH]cc1CN1C[C@H](C)CC(C)(C)C1 ZINC000346339378 386194581 /nfs/dbraw/zinc/19/45/81/386194581.db2.gz SHIWGDPYYAFDJS-SNVBAGLBSA-N 0 3 221.348 2.586 20 0 BFADHN CC(C)C[C@H]1CCCN(Cc2cccnc2N)C1 ZINC000296736588 386196578 /nfs/dbraw/zinc/19/65/78/386196578.db2.gz JFWJBQYLNJBUPG-CYBMUJFWSA-N 0 3 247.386 2.922 20 0 BFADHN CCc1ccc(CN(C)CC2CCC2)nc1 ZINC000338995621 386197270 /nfs/dbraw/zinc/19/72/70/386197270.db2.gz NTFPQPJXLRIIFD-UHFFFAOYSA-N 0 3 218.344 2.876 20 0 BFADHN CCc1ccc(CN(CC)CC2CC2)nc1 ZINC000338993757 386199256 /nfs/dbraw/zinc/19/92/56/386199256.db2.gz ZOFKNFLNAVWHJT-UHFFFAOYSA-N 0 3 218.344 2.876 20 0 BFADHN Fc1ccc2c(c1)C[C@H](NCc1ccco1)CO2 ZINC000288438947 386200277 /nfs/dbraw/zinc/20/02/77/386200277.db2.gz CBXUESPLVPEGES-LBPRGKRZSA-N 0 3 247.269 2.512 20 0 BFADHN CCc1ncc(CN(C(C)C)C2CCC2)cn1 ZINC000414395624 386280707 /nfs/dbraw/zinc/28/07/07/386280707.db2.gz KPSCRUYIDZTJKL-UHFFFAOYSA-N 0 3 233.359 2.802 20 0 BFADHN COc1ccncc1CN1CC[C@@H](C(C)(C)C)C1 ZINC000347198493 386281276 /nfs/dbraw/zinc/28/12/76/386281276.db2.gz LZOJQGDVSCIECN-CYBMUJFWSA-N 0 3 248.370 2.958 20 0 BFADHN CSCCCN1CCC=C(c2cccnc2)C1 ZINC000289325304 386283556 /nfs/dbraw/zinc/28/35/56/386283556.db2.gz KJAAQEWNMKPXKJ-UHFFFAOYSA-N 0 3 248.395 2.924 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)o1 ZINC000336663537 386285858 /nfs/dbraw/zinc/28/58/58/386285858.db2.gz URPJRYBMEZAWAA-CKYFFXLPSA-N 0 3 222.332 2.849 20 0 BFADHN CO[C@H]1C[C@@H](N(C)Cc2ccc(C)s2)C1 ZINC000289354065 386287941 /nfs/dbraw/zinc/28/79/41/386287941.db2.gz JMPMHZQXILVEJG-PHIMTYICSA-N 0 3 225.357 2.666 20 0 BFADHN Cn1cccc1CN1CCC=C(c2ccco2)C1 ZINC000289358313 386288913 /nfs/dbraw/zinc/28/89/13/386288913.db2.gz JYZCDYUMVPGOAN-UHFFFAOYSA-N 0 3 242.322 2.907 20 0 BFADHN Cc1noc(C)c1CN1C[C@H](C)C[C@@H](C)C1 ZINC000069874665 386290831 /nfs/dbraw/zinc/29/08/31/386290831.db2.gz ZACDONZVMJNHCC-NXEZZACHSA-N 0 3 222.332 2.769 20 0 BFADHN CCc1ncc(CN2C[C@@H](C)C[C@H](C)C2)cn1 ZINC000335263840 386294474 /nfs/dbraw/zinc/29/44/74/386294474.db2.gz WTIWSMIKJSCMHU-RYUDHWBXSA-N 0 3 233.359 2.517 20 0 BFADHN C[C@H](N)c1nc(CCc2ccccc2)cs1 ZINC000416402329 386295925 /nfs/dbraw/zinc/29/59/25/386295925.db2.gz OSZSFIQKUJZEKN-JTQLQIEISA-N 0 3 232.352 2.948 20 0 BFADHN Cc1cncc([C@@H](C)N[C@H]2CCSC2)c1 ZINC000274663951 386298614 /nfs/dbraw/zinc/29/86/14/386298614.db2.gz WVFYUUPISNIDLL-PWSUYJOCSA-N 0 3 222.357 2.546 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc2occc2c1 ZINC000289412477 386298849 /nfs/dbraw/zinc/29/88/49/386298849.db2.gz AKIMJYNLHRBUNN-GHMZBOCLSA-N 0 3 233.311 2.946 20 0 BFADHN CCc1ccc(CN(C(C)C)[C@@H]2CCOC2)nc1 ZINC000339207201 386300829 /nfs/dbraw/zinc/30/08/29/386300829.db2.gz HXIJXSWMYXYUHY-OAHLLOKOSA-N 0 3 248.370 2.643 20 0 BFADHN Cc1ccc(CNC2CCC3(CC3)CC2)nn1 ZINC000335266246 386304013 /nfs/dbraw/zinc/30/40/13/386304013.db2.gz WOXGNNLKOSNOPG-UHFFFAOYSA-N 0 3 231.343 2.597 20 0 BFADHN c1c(CNC2CCCCCC2)nc2ncccn12 ZINC000298176835 386306746 /nfs/dbraw/zinc/30/67/46/386306746.db2.gz WIEDFLGJDWJRES-UHFFFAOYSA-N 0 3 244.342 2.542 20 0 BFADHN CCOC[C@H]1CCCN([C@H](C)c2cccnc2)C1 ZINC000265104337 386307552 /nfs/dbraw/zinc/30/75/52/386307552.db2.gz YORJERFHFNSYJL-KGLIPLIRSA-N 0 3 248.370 2.891 20 0 BFADHN Fc1ccc(CN2CCC[C@@H]2C2CCC2)nc1 ZINC000276358133 386307986 /nfs/dbraw/zinc/30/79/86/386307986.db2.gz LQNUOJFDCPPYFO-CQSZACIVSA-N 0 3 234.318 2.985 20 0 BFADHN CC(C)CCCNCc1cccc(N(C)C)n1 ZINC000276347468 386308923 /nfs/dbraw/zinc/30/89/23/386308923.db2.gz ZMZKTEJWRXOEDN-UHFFFAOYSA-N 0 3 235.375 2.673 20 0 BFADHN NC(=O)[C@H]1CCCN1C[C@H]1CCCC(F)(F)C1 ZINC000334482425 386311889 /nfs/dbraw/zinc/31/18/89/386311889.db2.gz WTQPUVDUPRTTOL-VHSXEESVSA-N 0 3 246.301 2.812 20 0 BFADHN CC[C@@H](C(=O)N(C)[C@H](C)c1ccccc1)N(C)C ZINC000298238256 386312167 /nfs/dbraw/zinc/31/21/67/386312167.db2.gz LNUKVRAPEMCWIJ-OCCSQVGLSA-N 0 3 248.370 2.546 20 0 BFADHN Cc1ccc(CN2CCCCC(C)(C)C2)nn1 ZINC000335260327 386318943 /nfs/dbraw/zinc/31/89/43/386318943.db2.gz GQHAUDLTDCPTBH-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN CO[C@H]1CCN(Cc2ccc3occc3c2)C1 ZINC000289512518 386319590 /nfs/dbraw/zinc/31/95/90/386319590.db2.gz FMTSDELZUFYZEP-ZDUSSCGKSA-N 0 3 231.295 2.654 20 0 BFADHN Cc1c[nH]nc1CNC1CC(c2ccccc2)C1 ZINC000289517575 386319630 /nfs/dbraw/zinc/31/96/30/386319630.db2.gz NMWUSXOGSUOPEB-UHFFFAOYSA-N 0 3 241.338 2.754 20 0 BFADHN CCn1nccc1CN1CC(C)(C)C[C@H]1C ZINC000335261371 386320569 /nfs/dbraw/zinc/32/05/69/386320569.db2.gz IVBFQBSGUDFIOZ-LLVKDONJSA-N 0 3 221.348 2.523 20 0 BFADHN Cc1cncc(CN2CCC(C)CC2)c1 ZINC000276018671 386262604 /nfs/dbraw/zinc/26/26/04/386262604.db2.gz RQXJQEGIUAMLAP-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN C[C@@H](O)CCCN1Cc2ccccc2[C@H]1C ZINC000336654826 386271700 /nfs/dbraw/zinc/27/17/00/386271700.db2.gz KKBCJYOYTYQFQU-VXGBXAGGSA-N 0 3 219.328 2.724 20 0 BFADHN CCc1ccc(CN2CCCO[C@H](CC)C2)nc1 ZINC000339155330 386276051 /nfs/dbraw/zinc/27/60/51/386276051.db2.gz DLZIXJKXQUAGKD-OAHLLOKOSA-N 0 3 248.370 2.645 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1ccc(C)nn1 ZINC000414406982 386324076 /nfs/dbraw/zinc/32/40/76/386324076.db2.gz JWYUJIKTKSIFBH-LLVKDONJSA-N 0 3 221.348 2.653 20 0 BFADHN CSCCN1CCC=C(c2cccnc2)C1 ZINC000289244888 386278593 /nfs/dbraw/zinc/27/85/93/386278593.db2.gz BYNMLUIOKXYVRM-UHFFFAOYSA-N 0 3 234.368 2.534 20 0 BFADHN CC/C=C\CCN(CCOC)Cc1ccccn1 ZINC000347252264 386278706 /nfs/dbraw/zinc/27/87/06/386278706.db2.gz CUFJCLFFPDATIL-PLNGDYQASA-N 0 3 248.370 2.886 20 0 BFADHN CN(CC[C@H]1CCCO1)Cc1cccs1 ZINC000276132792 386279414 /nfs/dbraw/zinc/27/94/14/386279414.db2.gz LWGDZGJKWONXRN-LLVKDONJSA-N 0 3 225.357 2.749 20 0 BFADHN CCc1ncc(CN2CC[C@@H](C)C[C@@H](C)C2)cn1 ZINC000414410016 386339689 /nfs/dbraw/zinc/33/96/89/386339689.db2.gz NXCDYAIRDSYHCE-CHWSQXEVSA-N 0 3 247.386 2.907 20 0 BFADHN CCc1ncc(CN(C)CCCCOC)s1 ZINC000336683045 386341871 /nfs/dbraw/zinc/34/18/71/386341871.db2.gz SAFAHXCTFSQHTN-UHFFFAOYSA-N 0 3 242.388 2.564 20 0 BFADHN CN(C)c1cccnc1CNC1CCCCCC1 ZINC000289690148 386345110 /nfs/dbraw/zinc/34/51/10/386345110.db2.gz DDQAWODQZCRUFW-UHFFFAOYSA-N 0 3 247.386 2.960 20 0 BFADHN CO[C@H](C)CCNCc1cnc(C(C)C)s1 ZINC000336775308 386386557 /nfs/dbraw/zinc/38/65/57/386386557.db2.gz YRCXJXRZQQDPNK-SNVBAGLBSA-N 0 3 242.388 2.781 20 0 BFADHN CCN(CCOC)CCOC1CCCCCC1 ZINC000347313707 386353488 /nfs/dbraw/zinc/35/34/88/386353488.db2.gz GBZYWEQXZPXGJU-UHFFFAOYSA-N 0 3 243.391 2.694 20 0 BFADHN CC[C@H](c1ccncc1)N(C)CCC[C@H](C)O ZINC000336689169 386354925 /nfs/dbraw/zinc/35/49/25/386354925.db2.gz HECNYBLHUXWIIE-GXTWGEPZSA-N 0 3 236.359 2.626 20 0 BFADHN CCc1ncc(CN(CC)C[C@H](C)CC)cn1 ZINC000414415570 386355380 /nfs/dbraw/zinc/35/53/80/386355380.db2.gz UWWYBGOBQYSMJZ-GFCCVEGCSA-N 0 3 235.375 2.907 20 0 BFADHN CC[C@H](c1ccncc1)N(C)CCC[C@@H](C)O ZINC000336689172 386355260 /nfs/dbraw/zinc/35/52/60/386355260.db2.gz HECNYBLHUXWIIE-TZMCWYRMSA-N 0 3 236.359 2.626 20 0 BFADHN CCCCC[C@@H](C)NCc1cn2cccnc2n1 ZINC000298517695 386355578 /nfs/dbraw/zinc/35/55/78/386355578.db2.gz RGHLCIRNIXHDML-GFCCVEGCSA-N 0 3 246.358 2.788 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000289790511 386355876 /nfs/dbraw/zinc/35/58/76/386355876.db2.gz LIWMCNIPQLCBLH-WGBDABJCSA-N 0 3 237.343 2.916 20 0 BFADHN C[C@H](O)CCCN(C)[C@@H](C)c1cccc(O)c1 ZINC000336692226 386363607 /nfs/dbraw/zinc/36/36/07/386363607.db2.gz HSTBJRHGNUTZRA-RYUDHWBXSA-N 0 3 237.343 2.546 20 0 BFADHN COC(C)(C)CCN(C)[C@H](C)c1ccccn1 ZINC000336692790 386366567 /nfs/dbraw/zinc/36/65/67/386366567.db2.gz IZONYEXSDVDRNU-GFCCVEGCSA-N 0 3 236.359 2.890 20 0 BFADHN COc1ccc(CCN2CC[C@@](C)(F)C2)cc1 ZINC000347370782 386370774 /nfs/dbraw/zinc/37/07/74/386370774.db2.gz JVBICGVMYDWXJF-CQSZACIVSA-N 0 3 237.318 2.672 20 0 BFADHN CCc1cccc(C)c1NC(=O)/C=C/CN(C)C ZINC000265813596 386372197 /nfs/dbraw/zinc/37/21/97/386372197.db2.gz UAYCQUSASMRILS-JXMROGBWSA-N 0 3 246.354 2.614 20 0 BFADHN CC[C@H](CO)N[C@@H](C)c1nccc2ccccc21 ZINC000289949245 386374119 /nfs/dbraw/zinc/37/41/19/386374119.db2.gz WNGSAUQDIBGEDI-WCQYABFASA-N 0 3 244.338 2.656 20 0 BFADHN C[C@@H](NCc1cnns1)[C@H](C)c1ccccc1 ZINC000289968220 386376687 /nfs/dbraw/zinc/37/66/87/386376687.db2.gz IYRDUUHGKYJGKV-WDEREUQCSA-N 0 3 247.367 2.820 20 0 BFADHN CCC[C@H](NCC1=CCOCC1)c1ccccn1 ZINC000453301071 386379320 /nfs/dbraw/zinc/37/93/20/386379320.db2.gz XXKWTYPRHBSOIU-AWEZNQCLSA-N 0 3 246.354 2.859 20 0 BFADHN CO[C@@H]1CCC[C@H]1CN[C@H](C)c1ccco1 ZINC000336732250 386380794 /nfs/dbraw/zinc/38/07/94/386380794.db2.gz JHLRZLNCBHRXOY-NTZNESFSSA-N 0 3 223.316 2.745 20 0 BFADHN FC(F)(F)C1=CCN(CCC2CC2)CC1 ZINC000336698481 386380931 /nfs/dbraw/zinc/38/09/31/386380931.db2.gz RJRSFDXRPWQPRC-UHFFFAOYSA-N 0 3 219.250 2.981 20 0 BFADHN CCc1nocc1CN[C@H](C)[C@H](C)CC ZINC000647970474 386381019 /nfs/dbraw/zinc/38/10/19/386381019.db2.gz RJLUWWZXFKTRFV-NXEZZACHSA-N 0 3 210.321 2.761 20 0 BFADHN C[C@@H](NC[C@@H](O)C1CCC1)c1ccccc1F ZINC000315669073 259686858 /nfs/dbraw/zinc/68/68/58/259686858.db2.gz AJBYENUVAPPLJU-QMTHXVAHSA-N 0 3 237.318 2.637 20 0 BFADHN CCN(Cc1cccs1)[C@@H]1C=C[C@@H](CO)C1 ZINC000347336840 386333755 /nfs/dbraw/zinc/33/37/55/386333755.db2.gz KPUDKYNMMLQODP-VXGBXAGGSA-N 0 3 237.368 2.507 20 0 BFADHN CO[C@H]1CCCC[C@H]1NCc1ccc(Cl)o1 ZINC000164844351 386334770 /nfs/dbraw/zinc/33/47/70/386334770.db2.gz HGCOCNAXIVZXRX-MNOVXSKESA-N 0 3 243.734 2.980 20 0 BFADHN OC[C@]1(F)CCCN(CCC2CCCCC2)C1 ZINC000347338947 386335436 /nfs/dbraw/zinc/33/54/36/386335436.db2.gz CBROWCLRDJUJJS-AWEZNQCLSA-N 0 3 243.366 2.753 20 0 BFADHN C[C@H](NCC(C)(C)O)c1nccc2ccccc21 ZINC000289641398 386338758 /nfs/dbraw/zinc/33/87/58/386338758.db2.gz HTRROYXIMRTJCZ-NSHDSACASA-N 0 3 244.338 2.656 20 0 BFADHN C[C@H](NCc1ccc(F)cc1F)C1CC1 ZINC000035136735 386437155 /nfs/dbraw/zinc/43/71/55/386437155.db2.gz CKTWOFNKELXKPY-QMMMGPOBSA-N 0 3 211.255 2.853 20 0 BFADHN C[C@@H](NCc1c(F)cccc1F)C1CC1 ZINC000035136677 386437866 /nfs/dbraw/zinc/43/78/66/386437866.db2.gz RAFRMMPQSQAGRA-MRVPVSSYSA-N 0 3 211.255 2.853 20 0 BFADHN CN(C[C@@H]1CCCO1)[C@@H]1C[C@@H]1c1ccccc1 ZINC000336718227 386440277 /nfs/dbraw/zinc/44/02/77/386440277.db2.gz WXHPTXXVHFALBQ-RRFJBIMHSA-N 0 3 231.339 2.653 20 0 BFADHN Cc1cc(CNCC(C)(C)C(C)C)nn1C ZINC000414433535 386441156 /nfs/dbraw/zinc/44/11/56/386441156.db2.gz KUAJLAOYPKPJDG-UHFFFAOYSA-N 0 3 223.364 2.500 20 0 BFADHN Cc1ccoc1CNC[C@H]1CCCCN1C1CC1 ZINC000277525475 386444693 /nfs/dbraw/zinc/44/46/93/386444693.db2.gz UDGUITUFOTZATC-CQSZACIVSA-N 0 3 248.370 2.695 20 0 BFADHN C[C@H](N[C@@H]1CCC1(C)C)c1nccs1 ZINC000284128749 386445034 /nfs/dbraw/zinc/44/50/34/386445034.db2.gz CTORWRDPHMGPAY-DTWKUNHWSA-N 0 3 210.346 2.982 20 0 BFADHN COC(=O)CCCN1CCC[C@H]1c1ccccc1 ZINC000299371344 386446455 /nfs/dbraw/zinc/44/64/55/386446455.db2.gz UIXGKPNIAYXDTI-AWEZNQCLSA-N 0 3 247.338 2.777 20 0 BFADHN CCN(CCCO)[C@H](C)c1ccccc1F ZINC000299389368 386448981 /nfs/dbraw/zinc/44/89/81/386448981.db2.gz JEKRIOMAYQGEHN-LLVKDONJSA-N 0 3 225.307 2.591 20 0 BFADHN C[C@@H](F)CCNCc1cn(C)nc1C(C)(C)C ZINC000339485519 386449402 /nfs/dbraw/zinc/44/94/02/386449402.db2.gz PYFJRCMEKLAGST-SNVBAGLBSA-N 0 3 241.354 2.555 20 0 BFADHN CN(Cc1ccc(O)cc1)C[C@@H]1CCC=CO1 ZINC000290540289 386450014 /nfs/dbraw/zinc/45/00/14/386450014.db2.gz RGNVBMYWLPHJSM-AWEZNQCLSA-N 0 3 233.311 2.517 20 0 BFADHN CN(CCN1CC[C@](C)(F)C1)c1ccccc1 ZINC000347358383 386394639 /nfs/dbraw/zinc/39/46/39/386394639.db2.gz SXXQMZQXSIZAIM-AWEZNQCLSA-N 0 3 236.334 2.557 20 0 BFADHN COC1CC(N[C@@H](c2ncccc2C)C(C)C)C1 ZINC000347423976 386396632 /nfs/dbraw/zinc/39/66/32/386396632.db2.gz YWCHHUXCKYPXKR-JXQTWKCFSA-N 0 3 248.370 2.854 20 0 BFADHN Cc1ccoc1CN1CCS[C@@H](C)CC1 ZINC000276992034 386398833 /nfs/dbraw/zinc/39/88/33/386398833.db2.gz GWTSVSYKVHCYKA-NSHDSACASA-N 0 3 225.357 2.915 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@H](C3CC3)C2)n1 ZINC000290172501 386400947 /nfs/dbraw/zinc/40/09/47/386400947.db2.gz MYUZYAZWGQYVRN-ZDUSSCGKSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@H](C3CC3)C2)n1 ZINC000290172501 386400952 /nfs/dbraw/zinc/40/09/52/386400952.db2.gz MYUZYAZWGQYVRN-ZDUSSCGKSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CCC[C@@H](C3CC3)C2)n1 ZINC000290172499 386401064 /nfs/dbraw/zinc/40/10/64/386401064.db2.gz MYUZYAZWGQYVRN-CYBMUJFWSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CCC[C@@H](C3CC3)C2)n1 ZINC000290172499 386401070 /nfs/dbraw/zinc/40/10/70/386401070.db2.gz MYUZYAZWGQYVRN-CYBMUJFWSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccoc1CNCCOc1ccc(F)cc1 ZINC000266190160 386408934 /nfs/dbraw/zinc/40/89/34/386408934.db2.gz IGWHXCLGYRIGAN-UHFFFAOYSA-N 0 3 249.285 2.896 20 0 BFADHN Cc1cc(CNC2[C@@H](C)CCC[C@@H]2C)nn1C ZINC000347569748 386451713 /nfs/dbraw/zinc/45/17/13/386451713.db2.gz UWJFUBMZSQIXLI-QWRGUYRKSA-N 0 3 235.375 2.643 20 0 BFADHN CC1(C)SC[C@H]1NCc1ccsc1 ZINC000282895390 386412590 /nfs/dbraw/zinc/41/25/90/386412590.db2.gz TYQQGJVGGVYUPS-SECBINFHSA-N 0 3 213.371 2.732 20 0 BFADHN Cc1cn[nH]c1CNCc1coc2ccccc12 ZINC000339412278 386414649 /nfs/dbraw/zinc/41/46/49/386414649.db2.gz KZSMHKMFOXVHID-UHFFFAOYSA-N 0 3 241.294 2.754 20 0 BFADHN CCN(CCOC)Cc1cccc2cccnc21 ZINC000299126463 386417773 /nfs/dbraw/zinc/41/77/73/386417773.db2.gz JKHJKQITADJVQN-UHFFFAOYSA-N 0 3 244.338 2.703 20 0 BFADHN CC[C@H](NC1CC(OC)C1)c1c(C)noc1C ZINC000347415003 386418585 /nfs/dbraw/zinc/41/85/85/386418585.db2.gz GCDOGUPSXQPYPA-MCIGGMRASA-N 0 3 238.331 2.510 20 0 BFADHN CCN(CCOC)Cc1ccc(SC)cc1 ZINC000299149808 386420361 /nfs/dbraw/zinc/42/03/61/386420361.db2.gz YDBFNGXZOZVFJP-UHFFFAOYSA-N 0 3 239.384 2.877 20 0 BFADHN CC[C@@H](C)CN1CCCC[C@@H]1c1n[nH]c(C)n1 ZINC000336710001 386420918 /nfs/dbraw/zinc/42/09/18/386420918.db2.gz KKXVWRJDRZGNQW-ZYHUDNBSSA-N 0 3 236.363 2.686 20 0 BFADHN CC[C@H](CSC)N(C)Cc1cncs1 ZINC000290359839 386423096 /nfs/dbraw/zinc/42/30/96/386423096.db2.gz GUSLMFGZQNJLPB-SECBINFHSA-N 0 3 230.402 2.717 20 0 BFADHN Cc1ccnc(CN(C(C)C)C2CCCC2)n1 ZINC000336710117 386423553 /nfs/dbraw/zinc/42/35/53/386423553.db2.gz QKNVCONCGURVOA-UHFFFAOYSA-N 0 3 233.359 2.938 20 0 BFADHN Cc1ccoc1CN1CCSC[C@@H](C)C1 ZINC000277301353 386425860 /nfs/dbraw/zinc/42/58/60/386425860.db2.gz RYGXSWGJTHXOLI-JTQLQIEISA-N 0 3 225.357 2.773 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@@H](C)[C@@H]2C)nn1C ZINC000414430308 386426699 /nfs/dbraw/zinc/42/66/99/386426699.db2.gz DUMCXRZIKCPDBV-XBFCOCLRSA-N 0 3 249.402 2.890 20 0 BFADHN C[C@@]1(NC/C=C\c2ccc(F)cc2)CCOC1 ZINC000339501181 386452161 /nfs/dbraw/zinc/45/21/61/386452161.db2.gz FFIHVMGPISIZLJ-PYLYLYNFSA-N 0 3 235.302 2.608 20 0 BFADHN Cc1c[nH]nc1CN(C)C[C@@H](C)c1ccccc1 ZINC000290404636 386428216 /nfs/dbraw/zinc/42/82/16/386428216.db2.gz KQXRHGSQFTVWHD-CYBMUJFWSA-N 0 3 243.354 2.954 20 0 BFADHN CC[C@@H](C)CN1CCN(C)Cc2ccccc21 ZINC000336713308 386429815 /nfs/dbraw/zinc/42/98/15/386429815.db2.gz PERZNSPSGXBAIU-CYBMUJFWSA-N 0 3 232.371 2.985 20 0 BFADHN c1ccc([C@@H]2CCN2CCOCC2CC2)cc1 ZINC000336713712 386429965 /nfs/dbraw/zinc/42/99/65/386429965.db2.gz SUZUALGGXWZAJI-HNNXBMFYSA-N 0 3 231.339 2.860 20 0 BFADHN CCN(CCSC(C)C)C[C@H]1CCCO1 ZINC000336713585 386430323 /nfs/dbraw/zinc/43/03/23/386430323.db2.gz VPPJFQSMEKVVGE-GFCCVEGCSA-N 0 3 231.405 2.629 20 0 BFADHN c1ccc2c(c1)C[C@@H]1[C@@H](NCc3ccncc3)[C@H]21 ZINC000290414304 386431691 /nfs/dbraw/zinc/43/16/91/386431691.db2.gz KFUMLKXQUYNUCT-ARFHVFGLSA-N 0 3 236.318 2.510 20 0 BFADHN CCN(CCOC)[C@@H](C)c1cccc(F)c1 ZINC000299429200 386452438 /nfs/dbraw/zinc/45/24/38/386452438.db2.gz UOWBMZMVEJDYNM-NSHDSACASA-N 0 3 225.307 2.855 20 0 BFADHN C[C@@H](NCCC(C)(C)O)c1cc(F)cc(F)c1 ZINC000274800236 386435376 /nfs/dbraw/zinc/43/53/76/386435376.db2.gz QVOQSDLMAFMVMW-SECBINFHSA-N 0 3 243.297 2.776 20 0 BFADHN CCOc1ccccc1OCCN1C[C@@H](C)[C@H]1C ZINC000339568532 386467988 /nfs/dbraw/zinc/46/79/88/386467988.db2.gz XZNSAKMEIVWUOQ-CHWSQXEVSA-N 0 3 249.354 2.804 20 0 BFADHN Cc1occc1CN(CCN(C)C)CC(C)C ZINC000414440056 386468223 /nfs/dbraw/zinc/46/82/23/386468223.db2.gz LYGPYNFZEZLJGJ-UHFFFAOYSA-N 0 3 238.375 2.608 20 0 BFADHN Cc1cnc(CCN2CCC23CCC3)c(C)c1 ZINC000335453410 386528584 /nfs/dbraw/zinc/52/85/84/386528584.db2.gz UECKCKRESMTOQE-UHFFFAOYSA-N 0 3 230.355 2.869 20 0 BFADHN COc1ccc(CN2C[C@@H](C)[C@H]2C)cc1 ZINC000339570106 386469142 /nfs/dbraw/zinc/46/91/42/386469142.db2.gz VKUJIIQUIGIRHU-GHMZBOCLSA-N 0 3 205.301 2.535 20 0 BFADHN C[C@@H]1CN(CCCOc2ccc(F)cc2)[C@H]1C ZINC000339570676 386470625 /nfs/dbraw/zinc/47/06/25/386470625.db2.gz YWBGULAUARMJBZ-NEPJUHHUSA-N 0 3 237.318 2.935 20 0 BFADHN Cc1ccc(F)c(CN[C@H]2CCCC[C@@H]2O)c1 ZINC000227288332 386472405 /nfs/dbraw/zinc/47/24/05/386472405.db2.gz PCICSGYDUUHTJK-KBPBESRZSA-N 0 3 237.318 2.527 20 0 BFADHN C[C@@H](Cc1ccsc1)N1C[C@@H]2C[C@H]1CS2 ZINC000519525617 386473682 /nfs/dbraw/zinc/47/36/82/386473682.db2.gz RCSYJJMRNNMVFT-DLOVCJGASA-N 0 3 239.409 2.869 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cnn2ccccc12 ZINC000335427355 386481382 /nfs/dbraw/zinc/48/13/82/386481382.db2.gz NDUCYTOPLWYJDY-CYBMUJFWSA-N 0 3 229.327 2.565 20 0 BFADHN CC(C)(C)C[C@@H](O)CNCc1cccc(F)c1 ZINC000227373091 386481406 /nfs/dbraw/zinc/48/14/06/386481406.db2.gz PZIMCDAFJNGMMZ-CYBMUJFWSA-N 0 3 239.334 2.712 20 0 BFADHN Cc1cc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)nn1C ZINC000339712494 386529058 /nfs/dbraw/zinc/52/90/58/386529058.db2.gz RYCUJTKKFCKFER-ZIAGYGMSSA-N 0 3 247.386 2.739 20 0 BFADHN Cc1occc1CNC[C@@]1(O)CCCC1(C)C ZINC000414450462 386529187 /nfs/dbraw/zinc/52/91/87/386529187.db2.gz GGERIKRNSHFIJL-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN CN(CCc1ccccn1)C[C@@H]1CCC(C)(C)O1 ZINC000299728681 386485761 /nfs/dbraw/zinc/48/57/61/386485761.db2.gz VXQJFBRTXJQHPA-AWEZNQCLSA-N 0 3 248.370 2.514 20 0 BFADHN c1csc(CCNCc2ccsc2)n1 ZINC000060229981 386486811 /nfs/dbraw/zinc/48/68/11/386486811.db2.gz PUYWHHGUAAPHRE-UHFFFAOYSA-N 0 3 224.354 2.537 20 0 BFADHN COCc1ccc(CN[C@@H]2CCC[C@@H]2F)cc1 ZINC000339584565 386489520 /nfs/dbraw/zinc/48/95/20/386489520.db2.gz OCIACGIUYXSRRW-UONOGXRCSA-N 0 3 237.318 2.813 20 0 BFADHN Cc1occc1CN1CC[C@H](COC(F)F)C1 ZINC000414444604 386492733 /nfs/dbraw/zinc/49/27/33/386492733.db2.gz UXOIUVBPEUMIFH-JTQLQIEISA-N 0 3 245.269 2.649 20 0 BFADHN C[C@@H](NCCOCC1CC1)c1cccc(F)c1 ZINC000227564061 386493198 /nfs/dbraw/zinc/49/31/98/386493198.db2.gz YEVHXJUMBJWEPX-LLVKDONJSA-N 0 3 237.318 2.903 20 0 BFADHN c1c[nH]c([C@H]2CCCN2C[C@H]2CCC=CO2)c1 ZINC000278157743 386494014 /nfs/dbraw/zinc/49/40/14/386494014.db2.gz DEQFOWNPKJFXBP-TZMCWYRMSA-N 0 3 232.327 2.844 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCC23CCC3)c2nccn21 ZINC000453374167 386493829 /nfs/dbraw/zinc/49/38/29/386493829.db2.gz XBBLYJLTOQJZBY-UTUOFQBUSA-N 0 3 231.343 2.811 20 0 BFADHN c1c[nH]c([C@H]2CCCN2C[C@@H]2CCC=CO2)c1 ZINC000278157732 386494709 /nfs/dbraw/zinc/49/47/09/386494709.db2.gz DEQFOWNPKJFXBP-GXTWGEPZSA-N 0 3 232.327 2.844 20 0 BFADHN COC(=O)c1coc([C@H](C)N[C@H](C)C2CC2)c1 ZINC000278159308 386494722 /nfs/dbraw/zinc/49/47/22/386494722.db2.gz BFGLMXQOMDCRLV-BDAKNGLRSA-N 0 3 237.299 2.515 20 0 BFADHN c1c[nH]c([C@@H]2CCCN2C[C@@H]2CCC=CO2)c1 ZINC000278157736 386494971 /nfs/dbraw/zinc/49/49/71/386494971.db2.gz DEQFOWNPKJFXBP-JSGCOSHPSA-N 0 3 232.327 2.844 20 0 BFADHN CCc1cccnc1[C@@H](C)NCC1(CC)COC1 ZINC000453380845 386495802 /nfs/dbraw/zinc/49/58/02/386495802.db2.gz RKPVOVCIAVHZNO-GFCCVEGCSA-N 0 3 248.370 2.721 20 0 BFADHN CCC[C@H](NCc1cncnc1OC)C1CCC1 ZINC000648043048 386498399 /nfs/dbraw/zinc/49/83/99/386498399.db2.gz CWDYLMKEXGNTBX-ZDUSSCGKSA-N 0 3 249.358 2.544 20 0 BFADHN Cc1ccc(CNCC2C(C)(C)C2(C)C)nn1 ZINC000414445622 386498486 /nfs/dbraw/zinc/49/84/86/386498486.db2.gz MXPMNSVZJCZFGC-UHFFFAOYSA-N 0 3 233.359 2.557 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCOC2)cc1Cl ZINC000278209843 386499400 /nfs/dbraw/zinc/49/94/00/386499400.db2.gz JYQFDOLOCHQXNI-LBPRGKRZSA-N 0 3 239.746 2.869 20 0 BFADHN CCc1ccc(CN2C[C@@H](C)N(C)[C@@H](C)C2)cc1 ZINC000339631182 386500591 /nfs/dbraw/zinc/50/05/91/386500591.db2.gz QHEMWZBNAMZKIR-OKILXGFUSA-N 0 3 246.398 2.773 20 0 BFADHN Cc1ncc(CNC[C@H](C)CC(F)(F)F)o1 ZINC000402799841 386502246 /nfs/dbraw/zinc/50/22/46/386502246.db2.gz XIDVVOBTCQIPMU-SSDOTTSWSA-N 0 3 236.237 2.661 20 0 BFADHN CCCCCN[C@@H](C)c1cc(C(=O)OC)co1 ZINC000278238189 386502684 /nfs/dbraw/zinc/50/26/84/386502684.db2.gz KYYOPXGVUWLIGV-JTQLQIEISA-N 0 3 239.315 2.907 20 0 BFADHN c1ncc(CN2CC[C@@H](c3cccnc3)C2)s1 ZINC000335443267 386504154 /nfs/dbraw/zinc/50/41/54/386504154.db2.gz MMNSQFHANVJBLD-GFCCVEGCSA-N 0 3 245.351 2.528 20 0 BFADHN CC(C)c1ccc(CN(C)CCN(C)C)cc1 ZINC000340660472 386504797 /nfs/dbraw/zinc/50/47/97/386504797.db2.gz LEGVIUVGHCEBLH-UHFFFAOYSA-N 0 3 234.387 2.803 20 0 BFADHN COC[C@@H](C)N[C@H]1CCCc2sccc21 ZINC000061324413 386505546 /nfs/dbraw/zinc/50/55/46/386505546.db2.gz XWILPJHNMRFRBB-KOLCDFICSA-N 0 3 225.357 2.750 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cc(OC)ccn1 ZINC000267181963 386506659 /nfs/dbraw/zinc/50/66/59/386506659.db2.gz KOUWVDFFJKPYBH-GFCCVEGCSA-N 0 3 236.359 2.958 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)co1 ZINC000647971394 386507290 /nfs/dbraw/zinc/50/72/90/386507290.db2.gz FFRFHPHIKSSPLF-GBIKHYSHSA-N 0 3 222.332 2.897 20 0 BFADHN CCCc1nc(C)c(CNC[C@H]2C[C@H]2C)o1 ZINC000336779592 386507277 /nfs/dbraw/zinc/50/72/77/386507277.db2.gz OTTCVIVYWMKGQO-MWLCHTKSSA-N 0 3 222.332 2.681 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)co1 ZINC000647971395 386507595 /nfs/dbraw/zinc/50/75/95/386507595.db2.gz FFRFHPHIKSSPLF-GIPNMCIBSA-N 0 3 222.332 2.897 20 0 BFADHN CO[C@@](C)(CN[C@H](C)c1cc(C)ccn1)C1CC1 ZINC000291070602 386508044 /nfs/dbraw/zinc/50/80/44/386508044.db2.gz BBSUNDWQBFGNOU-DOMZBBRYSA-N 0 3 248.370 2.856 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3OCC[C@@H]3C2)cc1F ZINC000335445393 386508854 /nfs/dbraw/zinc/50/88/54/386508854.db2.gz VRQPLUGHTHAROB-HIFRSBDPSA-N 0 3 249.329 2.745 20 0 BFADHN Cc1cnn(CCN2CCC[C@@H]3CCCC[C@@H]32)c1 ZINC000336785450 386510830 /nfs/dbraw/zinc/51/08/30/386510830.db2.gz DNMMPJUONKZSAY-GJZGRUSLSA-N 0 3 247.386 2.846 20 0 BFADHN CCC1(NCc2cn(C)nc2C(F)F)CCC1 ZINC000291127452 386514567 /nfs/dbraw/zinc/51/45/67/386514567.db2.gz DPDOTJHWVHXMBH-UHFFFAOYSA-N 0 3 243.301 2.780 20 0 BFADHN Cc1nn(C(C)C)cc1CN1CCC[C@H]1C ZINC000335447897 386515399 /nfs/dbraw/zinc/51/53/99/386515399.db2.gz YNIKALNDVBEJTF-LLVKDONJSA-N 0 3 221.348 2.757 20 0 BFADHN CCc1ccc(CN(C(C)C)[C@H]2CCOC2)o1 ZINC000291165589 386518133 /nfs/dbraw/zinc/51/81/33/386518133.db2.gz DACIINFTTTXYRF-LBPRGKRZSA-N 0 3 237.343 2.841 20 0 BFADHN CC(C)C[C@H](C)CC(=O)NC(C)(C)CN(C)C ZINC000457201616 386518273 /nfs/dbraw/zinc/51/82/73/386518273.db2.gz GIWMPCQMNMGCHE-LBPRGKRZSA-N 0 3 242.407 2.515 20 0 BFADHN CN(C[C@@H](O)c1ccccc1F)CC(C)(C)C ZINC000227873130 386520831 /nfs/dbraw/zinc/52/08/31/386520831.db2.gz RTFBWXFWUMPGLB-CYBMUJFWSA-N 0 3 239.334 2.837 20 0 BFADHN Cc1occc1CN(C(C)C)[C@H]1CCOC1 ZINC000414448492 386521479 /nfs/dbraw/zinc/52/14/79/386521479.db2.gz AKWMSTFKPPWUJY-ZDUSSCGKSA-N 0 3 223.316 2.587 20 0 BFADHN C[C@H](O)CCCNCc1ccc(Cl)cc1F ZINC000227956565 386527007 /nfs/dbraw/zinc/52/70/07/386527007.db2.gz PNSBMGWWZIMKHD-VIFPVBQESA-N 0 3 245.725 2.730 20 0 BFADHN C[C@@H](CC1CCC1)NCc1ccns1 ZINC000404268205 386527164 /nfs/dbraw/zinc/52/71/64/386527164.db2.gz GLLGIPYCDAAALN-VIFPVBQESA-N 0 3 210.346 2.811 20 0 BFADHN Cc1csc(CN[C@H]2CCC2(C)C)n1 ZINC000284426828 386453745 /nfs/dbraw/zinc/45/37/45/386453745.db2.gz RBDAUBNTJNTHRI-VIFPVBQESA-N 0 3 210.346 2.730 20 0 BFADHN CCC1(O)CCN(Cc2cccc(C)c2)CC1 ZINC000266577063 386453720 /nfs/dbraw/zinc/45/37/20/386453720.db2.gz GGYKJAYVWCCUFV-UHFFFAOYSA-N 0 3 233.355 2.732 20 0 BFADHN C[C@@H]1CCN(CCC(F)(F)F)C[C@H]1C ZINC000347578818 386457354 /nfs/dbraw/zinc/45/73/54/386457354.db2.gz BIQXOSJFQFMRNA-RKDXNWHRSA-N 0 3 209.255 2.917 20 0 BFADHN Cc1cnc(CN2C[C@H](C)C[C@@H](C)[C@@H]2C)cn1 ZINC000336724010 386459233 /nfs/dbraw/zinc/45/92/33/386459233.db2.gz HBPGWTCZXGBRKF-WZRBSPASSA-N 0 3 233.359 2.651 20 0 BFADHN CC[C@](C)(CNCc1cccc(F)c1)OC ZINC000322750728 386461092 /nfs/dbraw/zinc/46/10/92/386461092.db2.gz DHLCZCWXAUBRLN-CYBMUJFWSA-N 0 3 225.307 2.730 20 0 BFADHN CCc1cc(NC(=O)[C@H](N)C2CCC2)ccc1C ZINC000399226607 386461927 /nfs/dbraw/zinc/46/19/27/386461927.db2.gz NHBDSSBZBUWZRC-CQSZACIVSA-N 0 3 246.354 2.623 20 0 BFADHN CC[C@H](NC(=O)CN(C)C(C)C)c1ccccc1 ZINC000299510068 386462418 /nfs/dbraw/zinc/46/24/18/386462418.db2.gz RZMXEHPQNYACJY-AWEZNQCLSA-N 0 3 248.370 2.594 20 0 BFADHN CO[C@H]1CCN([C@H](C)c2ccccc2F)C1 ZINC000267712010 386575564 /nfs/dbraw/zinc/57/55/64/386575564.db2.gz WUGPXGDOKIFKTQ-MNOVXSKESA-N 0 3 223.291 2.607 20 0 BFADHN COC[C@H](C)NCc1cc(F)ccc1SC ZINC000165094318 386580906 /nfs/dbraw/zinc/58/09/06/386580906.db2.gz HRYFNFUNMKTSQS-VIFPVBQESA-N 0 3 243.347 2.672 20 0 BFADHN CC(C)CCN1CCC[C@@H]1c1cc[nH]n1 ZINC000278765276 386581439 /nfs/dbraw/zinc/58/14/39/386581439.db2.gz YEELCBPQZQBUID-GFCCVEGCSA-N 0 3 207.321 2.593 20 0 BFADHN C[C@H]1OCC[C@@H]1NCc1ccc(C2CC2)cc1F ZINC000291779534 386582308 /nfs/dbraw/zinc/58/23/08/386582308.db2.gz USDAKHSSORWSNW-BMIGLBTASA-N 0 3 249.329 2.970 20 0 BFADHN C1=C(CN2CC[C@@H]3OCC[C@@H]3C2)CCCC1 ZINC000335415351 386582782 /nfs/dbraw/zinc/58/27/82/386582782.db2.gz HZZILXLNGWZINV-KGLIPLIRSA-N 0 3 221.344 2.598 20 0 BFADHN Fc1cccc(CNC[C@@H]2CCSC2)c1F ZINC000228554203 386583054 /nfs/dbraw/zinc/58/30/54/386583054.db2.gz ZDMCWSNWMNVFIY-VIFPVBQESA-N 0 3 243.322 2.808 20 0 BFADHN FC1(F)CCCC[C@@H](CNCc2cc[nH]n2)C1 ZINC000291790565 386583713 /nfs/dbraw/zinc/58/37/13/386583713.db2.gz WAGZJQOMKZGOIS-SNVBAGLBSA-N 0 3 243.301 2.715 20 0 BFADHN CCN(CC=C(C)C)Cc1ccncc1 ZINC000267902583 386598455 /nfs/dbraw/zinc/59/84/55/386598455.db2.gz LQXQBSJFARJGGZ-UHFFFAOYSA-N 0 3 204.317 2.870 20 0 BFADHN C[C@@H](N(C)Cc1ccccn1)C1(C)CC1 ZINC000267793155 386586265 /nfs/dbraw/zinc/58/62/65/386586265.db2.gz WRXRSFITALZVJK-LLVKDONJSA-N 0 3 204.317 2.702 20 0 BFADHN CCC[C@@H](N[C@@H](C)CO)c1ccsc1 ZINC000187738052 386589012 /nfs/dbraw/zinc/58/90/12/386589012.db2.gz RILSJOFCSMQIMV-GXSJLCMTSA-N 0 3 213.346 2.560 20 0 BFADHN F[C@H]1CCC[C@H](NCc2ccc3c(n2)CCC3)C1 ZINC000335420708 386591241 /nfs/dbraw/zinc/59/12/41/386591241.db2.gz DFMVFSKXSWIBKM-STQMWFEESA-N 0 3 248.345 2.941 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1ccc(C)nn1 ZINC000414460594 386595686 /nfs/dbraw/zinc/59/56/86/386595686.db2.gz CPXAAFXRCNIXBS-QWHCGFSZSA-N 0 3 233.359 2.701 20 0 BFADHN CN(Cc1ccc(Cl)cn1)C(C)(C)C ZINC000335454402 386531215 /nfs/dbraw/zinc/53/12/15/386531215.db2.gz JFBNEQSAAZTAFS-UHFFFAOYSA-N 0 3 212.724 2.965 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N1C(=O)CN(C)C(C)(C)C ZINC000335454996 386531948 /nfs/dbraw/zinc/53/19/48/386531948.db2.gz KXJATOXVUJCMOM-VXGBXAGGSA-N 0 3 240.391 2.506 20 0 BFADHN CSC1(CN[C@H](C)c2ccc(F)cn2)CC1 ZINC000228024277 386532019 /nfs/dbraw/zinc/53/20/19/386532019.db2.gz DVHJYCMDFAUHII-SECBINFHSA-N 0 3 240.347 2.767 20 0 BFADHN CN(Cc1ccccn1)CC1(C)CCC1 ZINC000278478746 386534191 /nfs/dbraw/zinc/53/41/91/386534191.db2.gz JBBMKGPHBZFGGW-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN C[C@@H](O)CCCN[C@H](C)c1cc(F)cc(F)c1 ZINC000228036322 386534988 /nfs/dbraw/zinc/53/49/88/386534988.db2.gz UWOBIFDXJCVODZ-NXEZZACHSA-N 0 3 243.297 2.776 20 0 BFADHN C[C@@H](NCCC[C@H](C)O)c1cc(F)cc(F)c1 ZINC000228036338 386535430 /nfs/dbraw/zinc/53/54/30/386535430.db2.gz UWOBIFDXJCVODZ-VHSXEESVSA-N 0 3 243.297 2.776 20 0 BFADHN COc1ccc(CN(C(C)C)[C@H]2CCOC2)cc1 ZINC000291344566 386536083 /nfs/dbraw/zinc/53/60/83/386536083.db2.gz WITVXOXJZXJTQV-AWEZNQCLSA-N 0 3 249.354 2.695 20 0 BFADHN CC(C)=CCN1CCC[C@H](c2ncc[nH]2)C1 ZINC000267411935 386539776 /nfs/dbraw/zinc/53/97/76/386539776.db2.gz IMFZUUXQEOJDJF-LBPRGKRZSA-N 0 3 219.332 2.555 20 0 BFADHN Cc1ccc(CNCC(C)(C)C(C)(C)C)nn1 ZINC000414454543 386555001 /nfs/dbraw/zinc/55/50/01/386555001.db2.gz RCVLDDBCXHXDON-UHFFFAOYSA-N 0 3 235.375 2.947 20 0 BFADHN CC[C@@H](NC[C@H](C)N(C)C)c1ccccc1F ZINC000163835754 386557015 /nfs/dbraw/zinc/55/70/15/386557015.db2.gz HILLEDIFSMVQCH-SMDDNHRTSA-N 0 3 238.350 2.817 20 0 BFADHN F[C@@H]1CCN(C[C@@H]2CCCCC2(F)F)C1 ZINC000335467342 386557044 /nfs/dbraw/zinc/55/70/44/386557044.db2.gz KPSDEHYUXMCCTL-VHSXEESVSA-N 0 3 221.266 2.856 20 0 BFADHN c1cncc(CN2CC3(CCC3)[C@@H]2C2CC2)c1 ZINC000291592272 386558661 /nfs/dbraw/zinc/55/86/61/386558661.db2.gz PVPVPAGXGXOABH-AWEZNQCLSA-N 0 3 228.339 2.846 20 0 BFADHN C[C@H](NCC1(CO)CC2(CCC2)C1)c1ccco1 ZINC000278647450 386561789 /nfs/dbraw/zinc/56/17/89/386561789.db2.gz DSUBQVKQJUKNGM-LBPRGKRZSA-N 0 3 249.354 2.873 20 0 BFADHN CC[C@H](C)CN(C)Cc1cncn1C(C)C ZINC000425323236 386562062 /nfs/dbraw/zinc/56/20/62/386562062.db2.gz IRHQPTOWLQPUGM-LBPRGKRZSA-N 0 3 223.364 2.942 20 0 BFADHN Cc1ccc2c(c1)OCCC[C@@H]2N[C@@H]1CCOC1 ZINC000182231859 386562533 /nfs/dbraw/zinc/56/25/33/386562533.db2.gz GYRJVRMKNZQKHV-OCCSQVGLSA-N 0 3 247.338 2.587 20 0 BFADHN COC(=O)c1coc([C@H](C)NCC2CCC2)c1 ZINC000278686073 386566045 /nfs/dbraw/zinc/56/60/45/386566045.db2.gz KCHGXIASZRYJKX-VIFPVBQESA-N 0 3 237.299 2.517 20 0 BFADHN CC(C)[C@H](CCO)N[C@H](C)c1ccccc1F ZINC000123492042 386567594 /nfs/dbraw/zinc/56/75/94/386567594.db2.gz WTNSXZQNMCPQSY-RISCZKNCSA-N 0 3 239.334 2.883 20 0 BFADHN COC(=O)c1coc([C@H](C)N[C@H]2CC2(C)C)c1 ZINC000278677929 386567762 /nfs/dbraw/zinc/56/77/62/386567762.db2.gz JQCDSODBMXQLKV-KWQFWETISA-N 0 3 237.299 2.515 20 0 BFADHN CC(C)c1nnc([C@@H](C)N[C@H]2CCC23CCC3)[nH]1 ZINC000328815312 386622642 /nfs/dbraw/zinc/62/26/42/386622642.db2.gz NOVJTTRWFOYZHL-MNOVXSKESA-N 0 3 248.374 2.911 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+][C@H]2CCC23CCC3)[n-]1 ZINC000328815312 386622648 /nfs/dbraw/zinc/62/26/48/386622648.db2.gz NOVJTTRWFOYZHL-MNOVXSKESA-N 0 3 248.374 2.911 20 0 BFADHN Cc1cc(CCN[C@@H]2CCCc3occc32)on1 ZINC000329166114 386623089 /nfs/dbraw/zinc/62/30/89/386623089.db2.gz HWDAXAKSTLGFPB-CYBMUJFWSA-N 0 3 246.310 2.786 20 0 BFADHN CC[C@H]1CCN1C[C@H](O)c1ccc(C)cc1 ZINC000292126444 386623662 /nfs/dbraw/zinc/62/36/62/386623662.db2.gz AXYAUVXIVNWXAW-KBPBESRZSA-N 0 3 219.328 2.513 20 0 BFADHN Cc1cc(CN2CCC[C@H]3CCCC[C@H]32)ncn1 ZINC000292161549 386628878 /nfs/dbraw/zinc/62/88/78/386628878.db2.gz KPKNOVCCFWWLSZ-UKRRQHHQSA-N 0 3 245.370 2.940 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccccn1)CC(C)C ZINC000417368046 386629691 /nfs/dbraw/zinc/62/96/91/386629691.db2.gz OMXAHODEWURYCA-QWHCGFSZSA-N 0 3 236.359 2.793 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1Cc1ccccc1 ZINC000268183743 386630065 /nfs/dbraw/zinc/63/00/65/386630065.db2.gz NVEWPPIPWAWNJS-JSGCOSHPSA-N 0 3 219.328 2.686 20 0 BFADHN CCCCN(Cc1ccccc1F)C[C@@H](C)O ZINC000337147093 386630176 /nfs/dbraw/zinc/63/01/76/386630176.db2.gz YTNDVHXTOOPLOB-GFCCVEGCSA-N 0 3 239.334 2.809 20 0 BFADHN Cc1oncc1CN1CC[C@@H](C2CCCC2)C1 ZINC000335502205 386630986 /nfs/dbraw/zinc/63/09/86/386630986.db2.gz JCXQDETUSNOLSX-CYBMUJFWSA-N 0 3 234.343 2.995 20 0 BFADHN CN(Cc1cccn1C)C1Cc2ccccc2C1 ZINC000268477381 386655868 /nfs/dbraw/zinc/65/58/68/386655868.db2.gz NJEKINPMUYYETQ-UHFFFAOYSA-N 0 3 240.350 2.624 20 0 BFADHN Cc1cc(CN[C@@H]2CCCC23CCCC3)ncn1 ZINC000645426353 386633054 /nfs/dbraw/zinc/63/30/54/386633054.db2.gz SEDBUYNOTCFFMG-CQSZACIVSA-N 0 3 245.370 2.988 20 0 BFADHN Cc1ccc2cc([C@@H](C)NCCCO)oc2c1 ZINC000425920413 386633991 /nfs/dbraw/zinc/63/39/91/386633991.db2.gz OSRLAHNQCLPTDJ-LLVKDONJSA-N 0 3 233.311 2.774 20 0 BFADHN Cc1cc(CN2CC[C@@H](C3CCCC3)C2)ncn1 ZINC000335504471 386634422 /nfs/dbraw/zinc/63/44/22/386634422.db2.gz UDDZRMSDSMINPW-CQSZACIVSA-N 0 3 245.370 2.797 20 0 BFADHN CC[C@H](N[C@H]1C=C[C@H](CO)C1)c1cccc(C)c1 ZINC000353384465 386635187 /nfs/dbraw/zinc/63/51/87/386635187.db2.gz IBAZRZDUNKOOFH-BPUTZDHNSA-N 0 3 245.366 2.973 20 0 BFADHN C[C@H](NCCCCCF)c1ccccn1 ZINC000284030377 386635737 /nfs/dbraw/zinc/63/57/37/386635737.db2.gz IJNWRDRCLKHNGV-NSHDSACASA-N 0 3 210.296 2.872 20 0 BFADHN CC(C)C[C@H](C)CN1CCO[C@@H](C)[C@H]1C ZINC000337157925 386639218 /nfs/dbraw/zinc/63/92/18/386639218.db2.gz QFOBUAGCMITTML-XQQFMLRXSA-N 0 3 213.365 2.778 20 0 BFADHN C[C@@H](NC/C=C/c1ccccc1)c1cn[nH]c1 ZINC000339793033 386639394 /nfs/dbraw/zinc/63/93/94/386639394.db2.gz WZTXZPNYJZRWFO-FZKGZDJFSA-N 0 3 227.311 2.774 20 0 BFADHN CC(C)C(CN1CCO[C@@H](CF)C1)C(C)C ZINC000414468913 386640074 /nfs/dbraw/zinc/64/00/74/386640074.db2.gz HKLNCFCMGSNYID-LBPRGKRZSA-N 0 3 231.355 2.585 20 0 BFADHN COC(C)(C)[C@H](C)N[C@@H]1COCc2ccccc21 ZINC000417368467 386642925 /nfs/dbraw/zinc/64/29/25/386642925.db2.gz QXPWARBIDOBBRH-SMDDNHRTSA-N 0 3 249.354 2.661 20 0 BFADHN COC(C)(C)[C@@H](C)N[C@H]1COCc2ccccc21 ZINC000417368466 386644700 /nfs/dbraw/zinc/64/47/00/386644700.db2.gz QXPWARBIDOBBRH-RISCZKNCSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)C[C@H](C)CN1CC[S@](=O)CC[C@@H]1C ZINC000337200805 386647254 /nfs/dbraw/zinc/64/72/54/386647254.db2.gz FHYOXWWUBBBXTA-HEHGZKQESA-N 0 3 245.432 2.512 20 0 BFADHN COCC(C)(C)CN(C)Cc1ccc(F)cc1 ZINC000426077823 386650748 /nfs/dbraw/zinc/65/07/48/386650748.db2.gz QAPPLVVAPDHKPF-UHFFFAOYSA-N 0 3 239.334 2.930 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1NCc1c(C)cnn1C ZINC000453613822 386651196 /nfs/dbraw/zinc/65/11/96/386651196.db2.gz OZSPPLFJEDJCFB-ZIAGYGMSSA-N 0 3 235.375 2.643 20 0 BFADHN CCc1ccc([C@@H](C)NCCC[C@H](C)O)o1 ZINC000336782831 386651424 /nfs/dbraw/zinc/65/14/24/386651424.db2.gz YSWXPAZEXPQCBL-WDEREUQCSA-N 0 3 225.332 2.654 20 0 BFADHN CCO[C@H]1CCN(Cc2ccoc2C)C[C@@H]1C ZINC000414461355 386601726 /nfs/dbraw/zinc/60/17/26/386601726.db2.gz DMTSCQWWZCRARV-FZMZJTMJSA-N 0 3 237.343 2.835 20 0 BFADHN C[C@H](O)C[C@H](C)N[C@@H](C)c1ccc(Cl)cn1 ZINC000336781592 386603675 /nfs/dbraw/zinc/60/36/75/386603675.db2.gz VBZNFBPFPVLINX-GUBZILKMSA-N 0 3 242.750 2.545 20 0 BFADHN CC[C@@H]1CCCCCN1Cc1ccn(C)n1 ZINC000335494059 386613127 /nfs/dbraw/zinc/61/31/27/386613127.db2.gz MYVOFSIYDKQUOY-CYBMUJFWSA-N 0 3 221.348 2.575 20 0 BFADHN Fc1ccc([C@H]2CCN(Cc3ccno3)C2)cc1 ZINC000268047956 386613600 /nfs/dbraw/zinc/61/36/00/386613600.db2.gz SQCPZHDPOYOEQN-LBPRGKRZSA-N 0 3 246.285 2.803 20 0 BFADHN CC(C)N(CC1OCCO1)[C@H](C)c1ccccc1 ZINC000292074528 386616755 /nfs/dbraw/zinc/61/67/55/386616755.db2.gz DAONBGQYPIGANO-CYBMUJFWSA-N 0 3 249.354 2.831 20 0 BFADHN C[C@H](F)CCNC(C)(C)c1nccs1 ZINC000336645775 386617007 /nfs/dbraw/zinc/61/70/07/386617007.db2.gz CAQNVKKICFPCEM-QMMMGPOBSA-N 0 3 216.325 2.716 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2ccc3c(n2)CCC3)C1 ZINC000520356587 386659900 /nfs/dbraw/zinc/65/99/00/386659900.db2.gz BGDAZWKZGVGGOS-VXGBXAGGSA-N 0 3 230.355 2.801 20 0 BFADHN CC(C)[C@@H](O)CN1CC[C@@H]1c1cccc(F)c1 ZINC000644995570 386659964 /nfs/dbraw/zinc/65/99/64/386659964.db2.gz PVUMJOAKMPMZNR-KGLIPLIRSA-N 0 3 237.318 2.589 20 0 BFADHN CC(C)C[C@H](C)CN1CC[C@@H](C)[S@](=O)CC1 ZINC000337226316 386664970 /nfs/dbraw/zinc/66/49/70/386664970.db2.gz FVCDDMQCHLLTDE-WOSRLPQWSA-N 0 3 245.432 2.512 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@@H](C)[C@H]2C)cc1 ZINC000357003191 386682280 /nfs/dbraw/zinc/68/22/80/386682280.db2.gz NXVQAWCCRSFFIH-UONOGXRCSA-N 0 3 232.371 2.519 20 0 BFADHN CC[C@H](O)CNCc1cc(C2CC2)ccc1F ZINC000520398262 386668352 /nfs/dbraw/zinc/66/83/52/386668352.db2.gz YCRHFOPFHLNGHL-ZDUSSCGKSA-N 0 3 237.318 2.564 20 0 BFADHN Cc1ccnc(C)c1NC(=O)C(C)C(F)(F)F ZINC000335527252 386670900 /nfs/dbraw/zinc/67/09/00/386670900.db2.gz HDPJGHUEJARKJT-ZETCQYMHSA-N 0 3 246.232 2.835 20 0 BFADHN CCn1ccc(CNCCC2CCCCC2)n1 ZINC000414477859 386677495 /nfs/dbraw/zinc/67/74/95/386677495.db2.gz DKCGGOGUBRLFBQ-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN CCc1ccc([C@@H](C)NCC[C@@H](C)OC)o1 ZINC000268895314 386678096 /nfs/dbraw/zinc/67/80/96/386678096.db2.gz ZVRAPYLBLUTTHG-GHMZBOCLSA-N 0 3 225.332 2.918 20 0 BFADHN Cc1ccc(CN(C)C[C@@H](O)C(C)C)s1 ZINC000292497906 386679868 /nfs/dbraw/zinc/67/98/68/386679868.db2.gz BXZHEPDAIIGZOD-GFCCVEGCSA-N 0 3 227.373 2.505 20 0 BFADHN CC[C@H](NCc1[nH]ncc1C)c1c(C)noc1C ZINC000352835174 386680067 /nfs/dbraw/zinc/68/00/67/386680067.db2.gz KGAURECRIPMDLB-NSHDSACASA-N 0 3 248.330 2.564 20 0 BFADHN CO[C@H]1C[C@H](N(C)Cc2ccoc2C)C12CCC2 ZINC000414473328 386657438 /nfs/dbraw/zinc/65/74/38/386657438.db2.gz VAMDJMFPKSQDAV-KBPBESRZSA-N 0 3 249.354 2.977 20 0 BFADHN Cc1cc(CN2CC[C@@H](C(C)(C)C)C2)on1 ZINC000335520952 386658301 /nfs/dbraw/zinc/65/83/01/386658301.db2.gz NPYGKUTZQBRUJJ-LLVKDONJSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1cc(CN2CC[C@@H](C)C[C@H](C)C2)n(C)n1 ZINC000417776213 386709665 /nfs/dbraw/zinc/70/96/65/386709665.db2.gz AERGXCJPCNKPNY-NEPJUHHUSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@@H](NCC1(CCO)CCCC1)c1ccco1 ZINC000268880104 386710357 /nfs/dbraw/zinc/71/03/57/386710357.db2.gz AVSQYQNCODMNFX-GFCCVEGCSA-N 0 3 237.343 2.873 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CN(C3CC3)C[C@H]2C)o1 ZINC000268704450 386684573 /nfs/dbraw/zinc/68/45/73/386684573.db2.gz DFBWMUKVBCFLCM-SCDSUCTJSA-N 0 3 248.370 2.721 20 0 BFADHN CC[C@H]1C[C@H](CN(C)Cc2ccoc2)CCO1 ZINC000357003636 386685444 /nfs/dbraw/zinc/68/54/44/386685444.db2.gz ZNPYTMCDMSGWOI-OCCSQVGLSA-N 0 3 237.343 2.917 20 0 BFADHN Cc1cnn(C)c1CN(C)CCC(C)(C)C ZINC000648059810 386685827 /nfs/dbraw/zinc/68/58/27/386685827.db2.gz MCWDXQHQRIRTRD-UHFFFAOYSA-N 0 3 223.364 2.597 20 0 BFADHN CO[C@@H](C)CCNCc1cc(F)c(F)cc1F ZINC000268981768 386687953 /nfs/dbraw/zinc/68/79/53/386687953.db2.gz RCETWONIAKXQDY-QMMMGPOBSA-N 0 3 247.260 2.619 20 0 BFADHN CCn1ccc(CNC[C@H]2CCC[C@H](C)C2)n1 ZINC000414487920 386711617 /nfs/dbraw/zinc/71/16/17/386711617.db2.gz WBICDPXIPHKMHO-STQMWFEESA-N 0 3 235.375 2.819 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1cncs1)CC(C)C ZINC000417381577 386688155 /nfs/dbraw/zinc/68/81/55/386688155.db2.gz VNGZQSLIZJJIIU-WDEREUQCSA-N 0 3 242.388 2.855 20 0 BFADHN CC(C)[C@](C)(O)CN[C@H]1CCCc2occc21 ZINC000192857893 386688175 /nfs/dbraw/zinc/68/81/75/386688175.db2.gz MINOPVSCXZGJDH-GXTWGEPZSA-N 0 3 237.343 2.654 20 0 BFADHN c1nc(CNC[C@H]2CCC[C@@H]2C2CC2)co1 ZINC000414481944 386689099 /nfs/dbraw/zinc/68/90/99/386689099.db2.gz LHGITJSFGGYESA-DGCLKSJQSA-N 0 3 220.316 2.591 20 0 BFADHN CCc1ncc(CN(C)[C@@H](C)CC)s1 ZINC000337260419 386692504 /nfs/dbraw/zinc/69/25/04/386692504.db2.gz XCLMOOIRHJCFSJ-VIFPVBQESA-N 0 3 212.362 2.936 20 0 BFADHN c1nc(CNC[C@@H](c2ccccc2)C2CC2)co1 ZINC000292591584 386696539 /nfs/dbraw/zinc/69/65/39/386696539.db2.gz GRXATWRZTUAHMF-HNNXBMFYSA-N 0 3 242.322 2.958 20 0 BFADHN Cc1ccc(C)c(CN2CCN(C)[C@@H](C)[C@H]2C)c1 ZINC000357036023 386697440 /nfs/dbraw/zinc/69/74/40/386697440.db2.gz JUPJJXVECZANPS-LSDHHAIUSA-N 0 3 246.398 2.828 20 0 BFADHN CCc1ccc([C@@H](C)N[C@@H](C)Cn2ccnc2)o1 ZINC000268829715 386701591 /nfs/dbraw/zinc/70/15/91/386701591.db2.gz QRPVLDHUAPKVQG-NWDGAFQWSA-N 0 3 247.342 2.778 20 0 BFADHN CCCN(CC)Cc1ccnn1CC(C)C ZINC000647998353 386701993 /nfs/dbraw/zinc/70/19/93/386701993.db2.gz WFSMNTNOIREFQY-UHFFFAOYSA-N 0 3 223.364 2.771 20 0 BFADHN C[C@H](CO)N[C@H](C)c1ccc(-c2ccccc2)o1 ZINC000268821777 386703087 /nfs/dbraw/zinc/70/30/87/386703087.db2.gz HUCRPQLBBPBTNZ-VXGBXAGGSA-N 0 3 245.322 2.978 20 0 BFADHN CCn1ccc(CNC[C@@H]2CC(C)=C[C@@H](C)C2)n1 ZINC000414487640 386706461 /nfs/dbraw/zinc/70/64/61/386706461.db2.gz VMKHULZRQXMPBR-OCCSQVGLSA-N 0 3 247.386 2.985 20 0 BFADHN CCn1ccc(CNC[C@H]2CC(C)=C[C@H](C)C2)n1 ZINC000414487349 386706815 /nfs/dbraw/zinc/70/68/15/386706815.db2.gz VMKHULZRQXMPBR-GXTWGEPZSA-N 0 3 247.386 2.985 20 0 BFADHN C/C=C\C[C@H](CO)N[C@H](C)c1ccsc1 ZINC000336760249 386707215 /nfs/dbraw/zinc/70/72/15/386707215.db2.gz MCGQBDIVRAWFHY-YZKQYGISSA-N 0 3 225.357 2.726 20 0 BFADHN Cc1cc(CN2CCC[C@@H](C(C)C)CC2)n(C)n1 ZINC000417777147 386708522 /nfs/dbraw/zinc/70/85/22/386708522.db2.gz RBLLMFFYBLNGTF-CQSZACIVSA-N 0 3 249.402 2.987 20 0 BFADHN Fc1ccc(F)c(CN[C@@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000520611300 386708672 /nfs/dbraw/zinc/70/86/72/386708672.db2.gz KLDNNSHWIFRIMA-PTEHBNRSSA-N 0 3 223.266 2.853 20 0 BFADHN CN(CCOCC1CC1)[C@H]1C[C@@H]1c1ccccc1 ZINC000268975527 386730879 /nfs/dbraw/zinc/73/08/79/386730879.db2.gz ORQCJTCEHHSCLG-CVEARBPZSA-N 0 3 245.366 2.901 20 0 BFADHN CC(C)[C@@H](O)CN([C@H](C)c1ccco1)C1CC1 ZINC000292870164 386740544 /nfs/dbraw/zinc/74/05/44/386740544.db2.gz HMRUFOQLNOGSIN-YPMHNXCESA-N 0 3 237.343 2.822 20 0 BFADHN CC(C)c1cccc(N(C)C(=O)[C@H](N)C(C)C)c1 ZINC000269044642 386740630 /nfs/dbraw/zinc/74/06/30/386740630.db2.gz CMNLJFYZRGWMBF-CQSZACIVSA-N 0 3 248.370 2.756 20 0 BFADHN C[C@@H]1[C@H](C)SCCN1Cc1cccc(N)c1 ZINC000122290880 386739813 /nfs/dbraw/zinc/73/98/13/386739813.db2.gz LFHUXHJHZXOMHE-MNOVXSKESA-N 0 3 236.384 2.595 20 0 BFADHN Fc1cccc(CN[C@@H]2CCCc3cn[nH]c32)c1 ZINC000268883865 386712682 /nfs/dbraw/zinc/71/26/82/386712682.db2.gz YMLZJXFGKZFQOT-CYBMUJFWSA-N 0 3 245.301 2.716 20 0 BFADHN CC(C)N(CCc1nccs1)CC1(F)CC1 ZINC000526970773 386714913 /nfs/dbraw/zinc/71/49/13/386714913.db2.gz HHLVXDYBXUFTMV-UHFFFAOYSA-N 0 3 242.363 2.898 20 0 BFADHN CC(C)=CCC[C@@H](C)N[C@@H](C)c1nncn1C ZINC000268905937 386716030 /nfs/dbraw/zinc/71/60/30/386716030.db2.gz NCVKPTVQJNAODM-NEPJUHHUSA-N 0 3 236.363 2.601 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cc(C)nn1C ZINC000417781686 386718190 /nfs/dbraw/zinc/71/81/90/386718190.db2.gz KKOFJCHDZDMUIJ-NSHDSACASA-N 0 3 223.364 2.597 20 0 BFADHN CC[C@H](O)CN1CC[C@@H](C)C[C@H]1c1ccco1 ZINC000247486811 386718741 /nfs/dbraw/zinc/71/87/41/386718741.db2.gz KQFICROVTNLLKK-AGIUHOORSA-N 0 3 237.343 2.824 20 0 BFADHN CC[C@H]1CCN1Cc1cc(OC)cc(OC)c1 ZINC000292757746 386720222 /nfs/dbraw/zinc/72/02/22/386720222.db2.gz JERFJXXNBWUYRM-LBPRGKRZSA-N 0 3 235.327 2.688 20 0 BFADHN C[C@@H](NCC[C@H](C)O)c1cc(F)c(F)c(F)c1 ZINC000268917657 386720659 /nfs/dbraw/zinc/72/06/59/386720659.db2.gz VLOXOMHAZMTOPB-JGVFFNPUSA-N 0 3 247.260 2.525 20 0 BFADHN Cc1nnsc1CN[C@H](C1CC1)C1CCC1 ZINC000325055688 386721406 /nfs/dbraw/zinc/72/14/06/386721406.db2.gz VGIWODUMJTZQMF-LBPRGKRZSA-N 0 3 237.372 2.515 20 0 BFADHN CCN1CCN([C@@H]2C=CCCCCC2)C[C@@H]1C ZINC000645101491 386721633 /nfs/dbraw/zinc/72/16/33/386721633.db2.gz HEANYGQXSZNWBU-LSDHHAIUSA-N 0 3 236.403 2.901 20 0 BFADHN COCCN(CC1(F)CC1)[C@H]1CC[C@H](C)C1 ZINC000526988045 386723737 /nfs/dbraw/zinc/72/37/37/386723737.db2.gz OJIGIPPRGLMZCH-RYUDHWBXSA-N 0 3 229.339 2.626 20 0 BFADHN Cc1ccc(CN2CCOC[C@@H](C3CCC3)C2)o1 ZINC000292771984 386724692 /nfs/dbraw/zinc/72/46/92/386724692.db2.gz KNXWTLSMENPTSV-AWEZNQCLSA-N 0 3 249.354 2.837 20 0 BFADHN CC[C@H]1CCN1Cc1ccc(N2CCCC2)nc1 ZINC000292796153 386726877 /nfs/dbraw/zinc/72/68/77/386726877.db2.gz MYSUYCCVIZHHHI-AWEZNQCLSA-N 0 3 245.370 2.666 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1ccc2[nH]cnc2c1 ZINC000526989384 386726984 /nfs/dbraw/zinc/72/69/84/386726984.db2.gz TZMTVXMRJNNRRH-UWVGGRQHSA-N 0 3 215.300 2.546 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1ccc2nc[nH]c2c1 ZINC000526989384 386726989 /nfs/dbraw/zinc/72/69/89/386726989.db2.gz TZMTVXMRJNNRRH-UWVGGRQHSA-N 0 3 215.300 2.546 20 0 BFADHN Cc1ccoc1CN1CCOC[C@@H](C2CCC2)C1 ZINC000292797588 386727425 /nfs/dbraw/zinc/72/74/25/386727425.db2.gz NCHWWPUHJZKVCU-AWEZNQCLSA-N 0 3 249.354 2.837 20 0 BFADHN CCOC[C@@H](C)NCc1ccc(C)cc1C ZINC000044688071 386727912 /nfs/dbraw/zinc/72/79/12/386727912.db2.gz HLMRILZRSLDMJZ-CYBMUJFWSA-N 0 3 221.344 2.818 20 0 BFADHN CC[C@H]1CCCN1Cc1nc2ccccc2n1C ZINC000340695361 386728973 /nfs/dbraw/zinc/72/89/73/386728973.db2.gz DSXATAQLKZRKCF-LBPRGKRZSA-N 0 3 243.354 2.948 20 0 BFADHN Cc1cc(CN(CC2CCC2)C(C)C)ncn1 ZINC000292931107 386750779 /nfs/dbraw/zinc/75/07/79/386750779.db2.gz TXCKPAMWLJHLTM-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN COC1(CNCc2cccnc2)CCC(C)CC1 ZINC000279899649 386752686 /nfs/dbraw/zinc/75/26/86/386752686.db2.gz VURQKOVEVWGAEC-UHFFFAOYSA-N 0 3 248.370 2.767 20 0 BFADHN CC(C(=O)Nc1cccc(O)c1)C(F)(F)F ZINC000334155023 386754454 /nfs/dbraw/zinc/75/44/54/386754454.db2.gz TXVWFLPEPYCPGG-LURJTMIESA-N 0 3 233.189 2.529 20 0 BFADHN CC(C(=O)Nc1cccc(O)c1)C(F)(F)F ZINC000334155024 386754838 /nfs/dbraw/zinc/75/48/38/386754838.db2.gz TXVWFLPEPYCPGG-ZCFIWIBFSA-N 0 3 233.189 2.529 20 0 BFADHN CC(C)[C@@H]1CCC[C@@H](NCc2cocn2)C1 ZINC000192307489 386755177 /nfs/dbraw/zinc/75/51/77/386755177.db2.gz UOQGSPADYNVZCP-VXGBXAGGSA-N 0 3 222.332 2.979 20 0 BFADHN CC[C@H]1CC[C@@H]1NCc1nc(C)cs1 ZINC000336664149 386756406 /nfs/dbraw/zinc/75/64/06/386756406.db2.gz UPUOCCNNFPQEQL-UWVGGRQHSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1ccc2c(c1)CC[C@H]2NCC1=CCCOC1 ZINC000269263684 386769789 /nfs/dbraw/zinc/76/97/89/386769789.db2.gz CSLGSHJNKQMTBW-MRXNPFEDSA-N 0 3 243.350 2.919 20 0 BFADHN C[C@@H](O)C[C@H](C)CNCc1ccsc1Cl ZINC000336761168 386757740 /nfs/dbraw/zinc/75/77/40/386757740.db2.gz MYTBAQXITUIGEY-DTWKUNHWSA-N 0 3 247.791 2.898 20 0 BFADHN COc1cccc(OC)c1CN1CCC[C@@H]1C ZINC000202499451 386760444 /nfs/dbraw/zinc/76/04/44/386760444.db2.gz CBOBLXKVTRGJER-NSHDSACASA-N 0 3 235.327 2.688 20 0 BFADHN CCCCCN(C(=O)[C@H](C)NC1CC1)C(C)C ZINC000394962657 386760886 /nfs/dbraw/zinc/76/08/86/386760886.db2.gz UHHCOMPVJHATPV-LBPRGKRZSA-N 0 3 240.391 2.554 20 0 BFADHN Cc1c[nH]nc1CNCCc1ccc(C)cc1C ZINC000352855678 386761334 /nfs/dbraw/zinc/76/13/34/386761334.db2.gz UTYSASATOFERJZ-UHFFFAOYSA-N 0 3 243.354 2.667 20 0 BFADHN COc1ccsc1CN[C@@H]1CS[C@H](C)C1 ZINC000414501793 386767870 /nfs/dbraw/zinc/76/78/70/386767870.db2.gz STKKVICMQQFICD-BDAKNGLRSA-N 0 3 243.397 2.740 20 0 BFADHN COc1ccsc1CN[C@H]1CS[C@@H](C)C1 ZINC000414501798 386768404 /nfs/dbraw/zinc/76/84/04/386768404.db2.gz STKKVICMQQFICD-DTWKUNHWSA-N 0 3 243.397 2.740 20 0 BFADHN COC[C@H](N[C@@H]1COC(C)(C)C1)c1ccccc1 ZINC000396513362 386768826 /nfs/dbraw/zinc/76/88/26/386768826.db2.gz CJKQEGLAZGZLGI-KBPBESRZSA-N 0 3 249.354 2.531 20 0 BFADHN CC(C)[C@@H]1N(Cc2cnn(C)c2)CC12CC=CC2 ZINC000648072358 386789622 /nfs/dbraw/zinc/78/96/22/386789622.db2.gz ZPRGYXDLWACNJD-AWEZNQCLSA-N 0 3 245.370 2.597 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CCCOC1)c1nccs1 ZINC000336723939 386790537 /nfs/dbraw/zinc/79/05/37/386790537.db2.gz BAPTWGQLSLKEKT-DCAQKATOSA-N 0 3 240.372 2.609 20 0 BFADHN Cc1cc(CNCc2cc(C)cc(Cl)c2)n[nH]1 ZINC000645156653 386793091 /nfs/dbraw/zinc/79/30/91/386793091.db2.gz PVTJGEIYFULAST-UHFFFAOYSA-N 0 3 249.745 2.970 20 0 BFADHN c1cncc([C@H](NC[C@@H]2CCCO2)C2CCC2)c1 ZINC000280255502 386793524 /nfs/dbraw/zinc/79/35/24/386793524.db2.gz JGDIWMVMTMBEQR-LSDHHAIUSA-N 0 3 246.354 2.691 20 0 BFADHN c1ccc(C2(NCC3=CCCOC3)CC2)cc1 ZINC000269277122 386770275 /nfs/dbraw/zinc/77/02/75/386770275.db2.gz JEGGPOCEKCTKQD-UHFFFAOYSA-N 0 3 229.323 2.612 20 0 BFADHN Cc1ccncc1CN1CCCO[C@H](C(C)C)C1 ZINC000293081980 386773491 /nfs/dbraw/zinc/77/34/91/386773491.db2.gz JTVPVEQVMKALAZ-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1sccc1CN1CC2(C1)CCCOC2 ZINC000334638343 386777434 /nfs/dbraw/zinc/77/74/34/386777434.db2.gz WECJZCYKPZJOTL-UHFFFAOYSA-N 0 3 237.368 2.669 20 0 BFADHN CCSCCCN[C@H](CC)c1nccn1C ZINC000282913635 386778626 /nfs/dbraw/zinc/77/86/26/386778626.db2.gz XMGXCBLXJVALCU-LLVKDONJSA-N 0 3 241.404 2.604 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2ccc(C)nn2)C1 ZINC000396574070 386780151 /nfs/dbraw/zinc/78/01/51/386780151.db2.gz PCLOGRXICBNFFM-QWHCGFSZSA-N 0 3 233.359 2.843 20 0 BFADHN CC[C@H](CSC)N(C)Cc1cncc(F)c1 ZINC000280131580 386781147 /nfs/dbraw/zinc/78/11/47/386781147.db2.gz AZSSXXWIAFILDP-GFCCVEGCSA-N 0 3 242.363 2.794 20 0 BFADHN COC1CC(NCc2cnccc2C(C)(C)C)C1 ZINC000414504909 386781998 /nfs/dbraw/zinc/78/19/98/386781998.db2.gz YSNAFQZUZUMTGA-UHFFFAOYSA-N 0 3 248.370 2.646 20 0 BFADHN CO[C@H](CN[C@H]1COC(C)(C)C1)c1ccccc1 ZINC000396579966 386782083 /nfs/dbraw/zinc/78/20/83/386782083.db2.gz HJIILHHNJBXOKL-ZIAGYGMSSA-N 0 3 249.354 2.531 20 0 BFADHN Cc1cc(CN[C@H](C)CC(C)(C)C)no1 ZINC000394985738 386783416 /nfs/dbraw/zinc/78/34/16/386783416.db2.gz YVLSXGGYCHRZDU-SECBINFHSA-N 0 3 210.321 2.897 20 0 BFADHN CC[C@H]1CCCC[C@@H]1NCc1ccc(C)nn1 ZINC000396598765 386784517 /nfs/dbraw/zinc/78/45/17/386784517.db2.gz ZWXJBNLFLNYQAO-JSGCOSHPSA-N 0 3 233.359 2.843 20 0 BFADHN Cc1n[nH]cc1CN1CC2(CC=CC2)[C@H]1C(C)C ZINC000648071912 386786769 /nfs/dbraw/zinc/78/67/69/386786769.db2.gz ALUODRRDQGMHHJ-CQSZACIVSA-N 0 3 245.370 2.895 20 0 BFADHN CCC[C@H]1CCCN(Cc2cc(C)nn2C)C1 ZINC000417807836 386788247 /nfs/dbraw/zinc/78/82/47/386788247.db2.gz IMFOYXYJAUTURL-ZDUSSCGKSA-N 0 3 235.375 2.741 20 0 BFADHN CCc1ccc(CN2CCC[C@](C)(OC)C2)o1 ZINC000280476161 386817177 /nfs/dbraw/zinc/81/71/77/386817177.db2.gz DASKHVJPFHZMMV-AWEZNQCLSA-N 0 3 237.343 2.843 20 0 BFADHN CCCn1cc(CN2C[C@@H](C)C[C@H]2C)cn1 ZINC000353747352 386818176 /nfs/dbraw/zinc/81/81/76/386818176.db2.gz AAALDSIFMAKPSO-NWDGAFQWSA-N 0 3 221.348 2.523 20 0 BFADHN C[C@H](O)CCNC(C)(C)c1ccc(F)c(F)c1 ZINC000411481884 386819269 /nfs/dbraw/zinc/81/92/69/386819269.db2.gz YKEVSOMQLAOEBA-VIFPVBQESA-N 0 3 243.297 2.560 20 0 BFADHN Cc1oncc1CN1CCC[C@H]2CCC[C@H]21 ZINC000248594305 386823180 /nfs/dbraw/zinc/82/31/80/386823180.db2.gz SGJYKCORMSZVDO-DGCLKSJQSA-N 0 3 220.316 2.748 20 0 BFADHN Cc1ccoc1CN(C)CCCCCCO ZINC000292312395 386823811 /nfs/dbraw/zinc/82/38/11/386823811.db2.gz NLSMGZUHBIEHAF-UHFFFAOYSA-N 0 3 225.332 2.573 20 0 BFADHN CC(C)[C@@H]1CN([C@H](C)c2cccnc2)CCCO1 ZINC000293272261 386798053 /nfs/dbraw/zinc/79/80/53/386798053.db2.gz VALOLDRBMDNPNY-HIFRSBDPSA-N 0 3 248.370 2.890 20 0 BFADHN C[C@@H](O)CCCN(C)Cc1ccc(Cl)cc1 ZINC000336669311 386798771 /nfs/dbraw/zinc/79/87/71/386798771.db2.gz RKMYKJPXIZDAHC-LLVKDONJSA-N 0 3 241.762 2.933 20 0 BFADHN Clc1ccc(CN[C@@H]2C[C@@H]3OCCC[C@H]23)o1 ZINC000336669003 386798787 /nfs/dbraw/zinc/79/87/87/386798787.db2.gz GMISTQSXELCTKT-MXWKQRLJSA-N 0 3 241.718 2.590 20 0 BFADHN CCCN(CC(=O)OC)C[C@@H]1CCCC[C@@H]1C ZINC000526074036 386801633 /nfs/dbraw/zinc/80/16/33/386801633.db2.gz FXBKMMUSEXWZBB-STQMWFEESA-N 0 3 241.375 2.698 20 0 BFADHN C[C@@H](NCC1=CCCOC1)c1ccsc1 ZINC000283091762 386803216 /nfs/dbraw/zinc/80/32/16/386803216.db2.gz WOMBIMMOEDBCGM-SNVBAGLBSA-N 0 3 223.341 2.745 20 0 BFADHN CSCCCCCNCc1conc1C ZINC000293299151 386805333 /nfs/dbraw/zinc/80/53/33/386805333.db2.gz PIJWRWFDXBJNER-UHFFFAOYSA-N 0 3 228.361 2.606 20 0 BFADHN CCc1nocc1CNC[C@@H](C)c1ccncc1 ZINC000645160848 386806737 /nfs/dbraw/zinc/80/67/37/386806737.db2.gz OMLQPRGSQNTQDN-LLVKDONJSA-N 0 3 245.326 2.525 20 0 BFADHN Cc1ccoc1CN1CCCC[C@H]1C[C@@H](C)O ZINC000280365284 386807792 /nfs/dbraw/zinc/80/77/92/386807792.db2.gz DDDZJAJRFPMDGW-OLZOCXBDSA-N 0 3 237.343 2.713 20 0 BFADHN CC[C@H](N[C@H](C)c1cc(C)oc1C)[C@H](C)O ZINC000395282087 386807937 /nfs/dbraw/zinc/80/79/37/386807937.db2.gz UMRDSDPNOAHUOZ-NRUUGDAUSA-N 0 3 225.332 2.706 20 0 BFADHN CC[C@H](NCc1ccnc(C)n1)[C@H]1CC1(C)C ZINC000397671489 386808693 /nfs/dbraw/zinc/80/86/93/386808693.db2.gz QJOUDDIHACOKQH-OLZOCXBDSA-N 0 3 233.359 2.699 20 0 BFADHN COC[C@@H](NCc1occc1C)C1CCCC1 ZINC000293380798 386809570 /nfs/dbraw/zinc/80/95/70/386809570.db2.gz IJCRUDGTAZVXNU-CYBMUJFWSA-N 0 3 237.343 2.883 20 0 BFADHN CC(C)(NCCOCC1CC1)c1ccccc1 ZINC000357600044 386811804 /nfs/dbraw/zinc/81/18/04/386811804.db2.gz VIYLYPRNMVIGKQ-UHFFFAOYSA-N 0 3 233.355 2.938 20 0 BFADHN CO[C@H](CN[C@@H](C)c1ccc(C)nc1C)C1CC1 ZINC000645497832 386812854 /nfs/dbraw/zinc/81/28/54/386812854.db2.gz VTLYYBRAQMQLFI-XHDPSFHLSA-N 0 3 248.370 2.774 20 0 BFADHN Cc1cnc(CN[C@H](C)C2CCCCC2)n1C ZINC000341051652 386828188 /nfs/dbraw/zinc/82/81/88/386828188.db2.gz RULHSBUPGGLMIN-GFCCVEGCSA-N 0 3 235.375 2.787 20 0 BFADHN CC(C)Cn1nccc1CN1CCC[C@H]1C1CC1 ZINC000648006676 386836912 /nfs/dbraw/zinc/83/69/12/386836912.db2.gz SYQXUNFXJWJIBN-HNNXBMFYSA-N 0 3 247.386 2.914 20 0 BFADHN COC[C@@H]1CCN1C[C@@H](C)c1ccccc1 ZINC000293571058 386839764 /nfs/dbraw/zinc/83/97/64/386839764.db2.gz MYJWLGHJVAAYID-OCCSQVGLSA-N 0 3 219.328 2.511 20 0 BFADHN Cc1cnc(CN[C@@H]2CCC[C@H](C)[C@H]2C)n1C ZINC000341107456 386839821 /nfs/dbraw/zinc/83/98/21/386839821.db2.gz BUKCESGWRNILPH-CYZMBNFOSA-N 0 3 235.375 2.643 20 0 BFADHN COC[C@@H]1CCN1C[C@H](C)c1ccccc1 ZINC000293571057 386840450 /nfs/dbraw/zinc/84/04/50/386840450.db2.gz MYJWLGHJVAAYID-JSGCOSHPSA-N 0 3 219.328 2.511 20 0 BFADHN c1nc([C@H](NC2CCC2)C2CCCCC2)n[nH]1 ZINC000330271827 386843670 /nfs/dbraw/zinc/84/36/70/386843670.db2.gz WPCMGROYEFUJRV-GFCCVEGCSA-N 0 3 234.347 2.568 20 0 BFADHN C[C@@]1(O)C[C@H](NCc2ccc3c(c2)CCCC3)C1 ZINC000418109617 386843971 /nfs/dbraw/zinc/84/39/71/386843971.db2.gz VAAPCKZGCUBGEJ-IYBDPMFKSA-N 0 3 245.366 2.568 20 0 BFADHN COC1(CCN(C)Cc2ccoc2C)CCC1 ZINC000293592219 386844181 /nfs/dbraw/zinc/84/41/81/386844181.db2.gz UNIBRRPKOAUZJU-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN CC1(C)COCCN1C[C@@H]1CCC(F)(F)C1 ZINC000334785894 386847633 /nfs/dbraw/zinc/84/76/33/386847633.db2.gz GUJZOBQEBQTNRC-SNVBAGLBSA-N 0 3 233.302 2.533 20 0 BFADHN CC[C@H](CNc1ccnc2ccc(C)cc21)OC ZINC000357804207 386848388 /nfs/dbraw/zinc/84/83/88/386848388.db2.gz LDEZFBWGDUHXON-GFCCVEGCSA-N 0 3 244.338 2.802 20 0 BFADHN CC(C)Cn1cc(CN2C[C@H](C)[C@H](C)C2)cn1 ZINC000341165036 386850755 /nfs/dbraw/zinc/85/07/55/386850755.db2.gz RHDJPRJDMKTABK-BETUJISGSA-N 0 3 235.375 2.627 20 0 BFADHN c1nc(CN[C@@H]2CCC[C@@H]2C2CC2)cs1 ZINC000336674444 386850900 /nfs/dbraw/zinc/85/09/00/386850900.db2.gz AFBJGRLVHJHOAL-VXGBXAGGSA-N 0 3 222.357 2.811 20 0 BFADHN COC[C@@H]1CCN1Cc1ccc(C)cc1C ZINC000293637275 386852089 /nfs/dbraw/zinc/85/20/89/386852089.db2.gz LXSYSSBSZKYVHQ-AWEZNQCLSA-N 0 3 219.328 2.524 20 0 BFADHN CC/C=C\CCN1CCOC[C@]1(C)CC ZINC000341306376 386891263 /nfs/dbraw/zinc/89/12/63/386891263.db2.gz WBNDBEQBVMLDMH-FWWRYZNZSA-N 0 3 211.349 2.844 20 0 BFADHN COC[C@@H]1CCN1C[C@@H](C)c1ccc(F)cc1 ZINC000293655716 386854400 /nfs/dbraw/zinc/85/44/00/386854400.db2.gz NIIQGVIHPNPGMY-RISCZKNCSA-N 0 3 237.318 2.650 20 0 BFADHN CS[C@@H]1CC[C@@H]1N[C@H]1CCc2c1cccc2O ZINC000425344648 386855568 /nfs/dbraw/zinc/85/55/68/386855568.db2.gz JCZHYJKOIVTSFB-SGMGOOAPSA-N 0 3 249.379 2.863 20 0 BFADHN CCn1cncc1CN1CCCCC(C)(C)C1 ZINC000418005272 386857304 /nfs/dbraw/zinc/85/73/04/386857304.db2.gz AVYZSZHHJDWTDK-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1noc(C2CN(C[C@H]3CCC[C@@H](C)C3)C2)n1 ZINC000334792383 386858097 /nfs/dbraw/zinc/85/80/97/386858097.db2.gz MYVIWDODGCZPOL-PWSUYJOCSA-N 0 3 249.358 2.604 20 0 BFADHN Cc1noc(C2CN(C[C@H]3CCC[C@H](C)C3)C2)n1 ZINC000334792382 386858112 /nfs/dbraw/zinc/85/81/12/386858112.db2.gz MYVIWDODGCZPOL-JQWIXIFHSA-N 0 3 249.358 2.604 20 0 BFADHN Cc1nn(C)c(C)c1CN1CC2(C1)CCCCC2 ZINC000334792987 386858405 /nfs/dbraw/zinc/85/84/05/386858405.db2.gz PFLVSGLNARPBMP-UHFFFAOYSA-N 0 3 247.386 2.803 20 0 BFADHN Cc1ccc(CN2CCC[C@H]3CCC[C@H]32)nn1 ZINC000334828206 386865077 /nfs/dbraw/zinc/86/50/77/386865077.db2.gz YNYROVVDHUGKKF-TZMCWYRMSA-N 0 3 231.343 2.550 20 0 BFADHN CCCC1(CNCc2ncc(C)n2C)CCC1 ZINC000341256016 386867540 /nfs/dbraw/zinc/86/75/40/386867540.db2.gz CTHQFSLIXMXZRW-UHFFFAOYSA-N 0 3 235.375 2.789 20 0 BFADHN Cc1ncc(CN2CCC[C@H]2C2CCC2)cn1 ZINC000334832828 386870417 /nfs/dbraw/zinc/87/04/17/386870417.db2.gz PBFZZJKLNNUXKS-AWEZNQCLSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]2C2CCC2)cn1 ZINC000334832830 386870763 /nfs/dbraw/zinc/87/07/63/386870763.db2.gz PBFZZJKLNNUXKS-CQSZACIVSA-N 0 3 231.343 2.550 20 0 BFADHN C[C@H](CCC1CC1)N[C@@H](C)c1cnccn1 ZINC000336739120 386871425 /nfs/dbraw/zinc/87/14/25/386871425.db2.gz KVLBSHOUSRPLGG-MNOVXSKESA-N 0 3 219.332 2.706 20 0 BFADHN CCC[C@H](N[C@@H](C)C[C@H](C)O)c1ccccn1 ZINC000341271254 386872117 /nfs/dbraw/zinc/87/21/17/386872117.db2.gz GUSHKBHLVBFTQO-OBJOEFQTSA-N 0 3 236.359 2.672 20 0 BFADHN Cc1cnc(CNCC2(C)CCCCC2)n1C ZINC000341271823 386873039 /nfs/dbraw/zinc/87/30/39/386873039.db2.gz BZDNMSPZRNXEFJ-UHFFFAOYSA-N 0 3 235.375 2.789 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@@H](C)[C@@H](C)C2)n1C ZINC000341275863 386874281 /nfs/dbraw/zinc/87/42/81/386874281.db2.gz FODJFDITDOQHHJ-NTZNESFSSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1occc1CN1CCN(C2CC2)C[C@H](C)C1 ZINC000334835619 386876564 /nfs/dbraw/zinc/87/65/64/386876564.db2.gz NYDDZVGOAPSXEX-GFCCVEGCSA-N 0 3 248.370 2.504 20 0 BFADHN CCc1cc(N[C@@H]2CCOC2)c2ccccc2n1 ZINC000301780813 386877622 /nfs/dbraw/zinc/87/76/22/386877622.db2.gz RSDJIZGIMGMZJP-GFCCVEGCSA-N 0 3 242.322 2.998 20 0 BFADHN Cc1sccc1CN(C)CCN(C)C1CC1 ZINC000293791194 386880248 /nfs/dbraw/zinc/88/02/48/386880248.db2.gz CMLUBSPSCYWIBJ-UHFFFAOYSA-N 0 3 238.400 2.583 20 0 BFADHN Cc1ncsc1NC(=O)C(C)C(F)(F)F ZINC000334839435 386882400 /nfs/dbraw/zinc/88/24/00/386882400.db2.gz BFSOPCCYMGJTQG-BYPYZUCNSA-N 0 3 238.234 2.588 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1ccc(F)cn1 ZINC000335756285 386884790 /nfs/dbraw/zinc/88/47/90/386884790.db2.gz UWWBLOFQSONCLE-GXFFZTMASA-N 0 3 222.307 2.841 20 0 BFADHN Cc1cnc(CNC[C@@H]2CCC[C@@H](C)[C@H]2C)n1C ZINC000341342354 386886022 /nfs/dbraw/zinc/88/60/22/386886022.db2.gz LQAIYWYIRHUTAW-BNOWGMLFSA-N 0 3 249.402 2.890 20 0 BFADHN CCc1ccc([C@@H](C)NC[C@@H](OC)C2CC2)o1 ZINC000293827778 386887211 /nfs/dbraw/zinc/88/72/11/386887211.db2.gz WVLNZJXOEXHJQE-QMTHXVAHSA-N 0 3 237.343 2.918 20 0 BFADHN CC[C@H](N[C@@H](C)c1cnccc1C)[C@@H]1CCCO1 ZINC000358220673 386915643 /nfs/dbraw/zinc/91/56/43/386915643.db2.gz KNXGUUZOUWZTCH-QEJZJMRPSA-N 0 3 248.370 2.998 20 0 BFADHN COCC[C@H](NCc1cscn1)C(C)(C)C ZINC000336762060 386916049 /nfs/dbraw/zinc/91/60/49/386916049.db2.gz KWGXZNIDVXWFRP-NSHDSACASA-N 0 3 242.388 2.684 20 0 BFADHN CC(C)c1nnc([C@@H](C)N[C@H]2CCC2(C)C)[nH]1 ZINC000331762902 386896227 /nfs/dbraw/zinc/89/62/27/386896227.db2.gz JONSUSRCBYVGKM-ZJUUUORDSA-N 0 3 236.363 2.767 20 0 BFADHN CC(C)c1nnc([C@@H](C)[NH2+][C@H]2CCC2(C)C)[n-]1 ZINC000331762902 386896236 /nfs/dbraw/zinc/89/62/36/386896236.db2.gz JONSUSRCBYVGKM-ZJUUUORDSA-N 0 3 236.363 2.767 20 0 BFADHN Cc1cnc(CN[C@H]2CCC[C@@H](C)CC2)n1C ZINC000341380462 386897210 /nfs/dbraw/zinc/89/72/10/386897210.db2.gz LLQJNIBNUKLITI-YPMHNXCESA-N 0 3 235.375 2.787 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1cccc2c[nH]nc21 ZINC000335760501 386899699 /nfs/dbraw/zinc/89/96/99/386899699.db2.gz RNKGALRDMFEPSV-UWVGGRQHSA-N 0 3 215.300 2.546 20 0 BFADHN Cc1cnc(CNC2CCC3(CC3)CC2)n1C ZINC000341387392 386900058 /nfs/dbraw/zinc/90/00/58/386900058.db2.gz PGJNQLVGIFANQA-UHFFFAOYSA-N 0 3 233.359 2.541 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc3c(n2)CCC3)CS1 ZINC000334852081 386903201 /nfs/dbraw/zinc/90/32/01/386903201.db2.gz FPCPNKZCHNHVCC-ZWNOBZJWSA-N 0 3 248.395 2.554 20 0 BFADHN CC(C)Cn1nccc1CN1C[C@@H](C)[C@H](C)C1 ZINC000648009418 386904469 /nfs/dbraw/zinc/90/44/69/386904469.db2.gz GVPNTVDRDMXJOS-CHWSQXEVSA-N 0 3 235.375 2.627 20 0 BFADHN Cc1ccc2nccc(N3CC[C@@](C)(O)C3)c2c1 ZINC000302167035 386909758 /nfs/dbraw/zinc/90/97/58/386909758.db2.gz RTBMAJKOZMLVNR-OAHLLOKOSA-N 0 3 242.322 2.504 20 0 BFADHN Cc1occc1CN1C[C@@H](C(N)=O)CC[C@@H]1C ZINC000334857732 386910603 /nfs/dbraw/zinc/91/06/03/386910603.db2.gz YYKCNGSQIZJDOY-CABZTGNLSA-N 0 3 236.315 2.724 20 0 BFADHN CC1(C)Cc2occc2[C@@H](N[C@H]2C[C@@](C)(O)C2)C1 ZINC000417392803 386911904 /nfs/dbraw/zinc/91/19/04/386911904.db2.gz BUZRMQXRWDGCNM-ITDIGPHOSA-N 0 3 249.354 2.796 20 0 BFADHN Cc1ccc(CN2CCOCC3(CC3)C2)cc1C ZINC000341538463 386922543 /nfs/dbraw/zinc/92/25/43/386922543.db2.gz WAAGKSKYPPAKDG-UHFFFAOYSA-N 0 3 245.366 2.916 20 0 BFADHN CC[C@H](N[C@H]1CCOC1)c1cccc(OC)c1 ZINC000358261537 386924162 /nfs/dbraw/zinc/92/41/62/386924162.db2.gz YKACTVIEYNWTRS-JSGCOSHPSA-N 0 3 235.327 2.525 20 0 BFADHN Cc1cncc(CN2C[C@H]3CCCC[C@H]32)c1 ZINC000368012120 386928912 /nfs/dbraw/zinc/92/89/12/386928912.db2.gz ONPHDXIDHCLMLO-ZIAGYGMSSA-N 0 3 216.328 2.764 20 0 BFADHN CCC1(C)CCN(Cc2ccc(C)nn2)CC1 ZINC000334869728 386929109 /nfs/dbraw/zinc/92/91/09/386929109.db2.gz YWPFWTSUOGBXLV-UHFFFAOYSA-N 0 3 233.359 2.797 20 0 BFADHN Cc1occc1CN1CC[C@H](O)CC(C)(C)C1 ZINC000334872852 386932755 /nfs/dbraw/zinc/93/27/55/386932755.db2.gz NNQUTPADCARFDH-ZDUSSCGKSA-N 0 3 237.343 2.571 20 0 BFADHN CC(C)=CCN1CCC(C)(c2nc(C)no2)CC1 ZINC000334872710 386932955 /nfs/dbraw/zinc/93/29/55/386932955.db2.gz IBASYPVGVAPADR-UHFFFAOYSA-N 0 3 249.358 2.698 20 0 BFADHN CC(C)Cn1nccc1CN1CC[C@@H](C2CC2)C1 ZINC000648011206 386936548 /nfs/dbraw/zinc/93/65/48/386936548.db2.gz JNLBMQCTYBAPAJ-CQSZACIVSA-N 0 3 247.386 2.771 20 0 BFADHN Cc1cc(O)ccc1NC(=O)C(C)C(F)(F)F ZINC000334877446 386938479 /nfs/dbraw/zinc/93/84/79/386938479.db2.gz QCOZITCVJVQHPK-SSDOTTSWSA-N 0 3 247.216 2.838 20 0 BFADHN Cc1nocc1CN1CCC(C(C)C)CC1 ZINC000334878013 386940648 /nfs/dbraw/zinc/94/06/48/386940648.db2.gz NEQSABVHFGPTJC-UHFFFAOYSA-N 0 3 222.332 2.851 20 0 BFADHN CC[C@H](COC)N[C@@H]1c2ccccc2O[C@@H]1C ZINC000368143081 386945982 /nfs/dbraw/zinc/94/59/82/386945982.db2.gz POOSZYBNTNGZGA-GYSYKLTISA-N 0 3 235.327 2.523 20 0 BFADHN CC[C@@H](COC)N[C@@H](c1cccnc1)C1CC1 ZINC000358380126 386946141 /nfs/dbraw/zinc/94/61/41/386946141.db2.gz XBCXETAJGURNMU-UONOGXRCSA-N 0 3 234.343 2.547 20 0 BFADHN Cc1noc(C)c1CN1CCC(C2CC2)CC1 ZINC000368335277 386963065 /nfs/dbraw/zinc/96/30/65/386963065.db2.gz YNXOKLYWKWEDMR-UHFFFAOYSA-N 0 3 234.343 2.913 20 0 BFADHN Cc1cncc([C@@H](C)N[C@H]2CCOC2(C)C)c1 ZINC000334894775 386967510 /nfs/dbraw/zinc/96/75/10/386967510.db2.gz HCVBFECVTCUWJB-YPMHNXCESA-N 0 3 234.343 2.608 20 0 BFADHN CC(C)[C@H]1CCN1Cc1cncn1C(C)C ZINC000425356209 386968207 /nfs/dbraw/zinc/96/82/07/386968207.db2.gz LWIHMUWXJWVGRQ-CYBMUJFWSA-N 0 3 221.348 2.694 20 0 BFADHN Cc1sccc1CN1C[C@@H](C)N(C)C[C@@H]1C ZINC000352962206 386977536 /nfs/dbraw/zinc/97/75/36/386977536.db2.gz KSCCHPRDKIEGHV-MNOVXSKESA-N 0 3 238.400 2.581 20 0 BFADHN CS[C@H]1CC[C@@H]1N[C@@H](C)c1cccnc1 ZINC000425360650 386977973 /nfs/dbraw/zinc/97/79/73/386977973.db2.gz SOWHWIDXXKBAFI-DLOVCJGASA-N 0 3 222.357 2.626 20 0 BFADHN Fc1cccc(CN2CC[C@]3(C2)CCCOC3)c1 ZINC000368504786 386981496 /nfs/dbraw/zinc/98/14/96/386981496.db2.gz RSKQXQUVFITLSE-HNNXBMFYSA-N 0 3 249.329 2.828 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCC[C@H](C)C2)n(C)n1 ZINC000334911118 386984673 /nfs/dbraw/zinc/98/46/73/386984673.db2.gz DGMWWLPZBZVPSU-AAEUAGOBSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cncc(CN2CCCC[C@H]2CC(N)=O)c1 ZINC000331285779 386996188 /nfs/dbraw/zinc/99/61/88/386996188.db2.gz XYHHPYZDNWUJDB-ZDUSSCGKSA-N 0 3 247.342 2.670 20 0 BFADHN C[C@H](N[C@@H](c1nccn1C)C1CC1)[C@H]1CC1(C)C ZINC000353034793 387005097 /nfs/dbraw/zinc/00/50/97/387005097.db2.gz MVMCHIFLWBPJFH-CYZMBNFOSA-N 0 3 247.386 2.895 20 0 BFADHN C[C@@H](c1cccnc1)N1CCC[C@@]2(CCOC2)C1 ZINC000334820866 386949463 /nfs/dbraw/zinc/94/94/63/386949463.db2.gz NKSHLIUUBUWFMC-DZGCQCFKSA-N 0 3 246.354 2.645 20 0 BFADHN CCc1nc(C)c(CN[C@H]2CC[C@@H]2SC)o1 ZINC000425377392 387030108 /nfs/dbraw/zinc/03/01/08/387030108.db2.gz GTSIZEWUVKIIIV-ONGXEEELSA-N 0 3 240.372 2.529 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CC[C@H]2SC)o1 ZINC000425377391 387030262 /nfs/dbraw/zinc/03/02/62/387030262.db2.gz GTSIZEWUVKIIIV-MWLCHTKSSA-N 0 3 240.372 2.529 20 0 BFADHN CO[C@@H](CN(C)Cc1cccs1)C1CC1 ZINC000425379095 387031167 /nfs/dbraw/zinc/03/11/67/387031167.db2.gz AROOQXNMLMGJEN-LBPRGKRZSA-N 0 3 225.357 2.605 20 0 BFADHN CO[C@H](CN(C)Cc1ccc(F)cc1)C1CC1 ZINC000425381530 387034160 /nfs/dbraw/zinc/03/41/60/387034160.db2.gz GYIAAZMVTODWEC-CQSZACIVSA-N 0 3 237.318 2.683 20 0 BFADHN COc1ccccc1CN(C)C[C@@H](OC)C1CC1 ZINC000425381063 387034704 /nfs/dbraw/zinc/03/47/04/387034704.db2.gz FGHVAEQLTQCPGF-OAHLLOKOSA-N 0 3 249.354 2.552 20 0 BFADHN CO[C@H](CN(C)Cc1ccccc1)C1CC1 ZINC000425382217 387034734 /nfs/dbraw/zinc/03/47/34/387034734.db2.gz HJYRHWMOWVDRFE-CQSZACIVSA-N 0 3 219.328 2.543 20 0 BFADHN Fc1cnccc1CNC[C@H]1Cc2ccccc21 ZINC000648447449 387036136 /nfs/dbraw/zinc/03/61/36/387036136.db2.gz QFZRVCKXLUEDKD-CYBMUJFWSA-N 0 3 242.297 2.650 20 0 BFADHN Cc1n[nH]cc1CN1CCC12CCCCC2 ZINC000369326366 387038606 /nfs/dbraw/zinc/03/86/06/387038606.db2.gz GQCRKOAUKHTKSO-UHFFFAOYSA-N 0 3 219.332 2.627 20 0 BFADHN CCC[C@@H](C(=O)OCC)N1CCC(C)(C)CC1 ZINC000096593516 387042662 /nfs/dbraw/zinc/04/26/62/387042662.db2.gz DTDGHOWVZLVDAP-LBPRGKRZSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H](NC1CCCCCC1)c1nccn1C ZINC000070007373 387044221 /nfs/dbraw/zinc/04/42/21/387044221.db2.gz KDYWELGZMSLBGF-NSHDSACASA-N 0 3 221.348 2.794 20 0 BFADHN CC(C)c1cc(CN[C@H]2CSC[C@@H]2C)on1 ZINC000648452355 387045358 /nfs/dbraw/zinc/04/53/58/387045358.db2.gz YOTMYIVKUKFRHL-CABZTGNLSA-N 0 3 240.372 2.639 20 0 BFADHN O[C@@H]1CCN(Cc2cccs2)C2(CCC2)C1 ZINC000334967097 387049702 /nfs/dbraw/zinc/04/97/02/387049702.db2.gz LRSJEBZFDQQMDX-LLVKDONJSA-N 0 3 237.368 2.628 20 0 BFADHN Cc1cnccc1CN1CC(C2CCC2)C1 ZINC000336228966 387067203 /nfs/dbraw/zinc/06/72/03/387067203.db2.gz DMDTXVMGVNQDAM-UHFFFAOYSA-N 0 3 216.328 2.622 20 0 BFADHN Cc1ccc(F)c(CN(C)CC2CC2)c1 ZINC000352302545 387059438 /nfs/dbraw/zinc/05/94/38/387059438.db2.gz MWABKENQWDPQEN-UHFFFAOYSA-N 0 3 207.292 2.976 20 0 BFADHN Cc1cnccc1CN1CCC(C(F)F)CC1 ZINC000336221156 387060068 /nfs/dbraw/zinc/06/00/68/387060068.db2.gz JTXSARPKQWHSRS-UHFFFAOYSA-N 0 3 240.297 2.867 20 0 BFADHN C[C@H]1CN(Cc2cccc(C3CC3)c2)CC[C@H]1O ZINC000334981268 387067412 /nfs/dbraw/zinc/06/74/12/387067412.db2.gz FDBDARHWHFCKLU-BLLLJJGKSA-N 0 3 245.366 2.767 20 0 BFADHN Cc1cnccc1CN1C[C@@H](C)S[C@H](C)C1 ZINC000336222318 387062514 /nfs/dbraw/zinc/06/25/14/387062514.db2.gz VXAOUPPSRRGSDU-VXGBXAGGSA-N 0 3 236.384 2.716 20 0 BFADHN COc1cccc(CN2C[C@H](C)[C@@H](C)[C@H]2C)n1 ZINC000418118602 387062667 /nfs/dbraw/zinc/06/26/67/387062667.db2.gz FEWVEOBKCIFESH-QJPTWQEYSA-N 0 3 234.343 2.567 20 0 BFADHN C[C@H](O)C[C@H](C)NCc1cc2ccccc2o1 ZINC000228069793 387063447 /nfs/dbraw/zinc/06/34/47/387063447.db2.gz OPXLZOPXDDDLAJ-QWRGUYRKSA-N 0 3 233.311 2.682 20 0 BFADHN CCCC[C@@H](CCC)NC(=O)C1(N)CCCC1 ZINC000226075933 387010335 /nfs/dbraw/zinc/01/03/35/387010335.db2.gz PDCNSILPRZVJTB-GFCCVEGCSA-N 0 3 240.391 2.733 20 0 BFADHN C[C@H](O)C[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC000228079342 387065832 /nfs/dbraw/zinc/06/58/32/387065832.db2.gz ILWVVBBOQZRAGU-GUBZILKMSA-N 0 3 243.297 2.775 20 0 BFADHN CC(C)CCCN1CCS[C@H]2COCC[C@@H]21 ZINC000368889343 387018798 /nfs/dbraw/zinc/01/87/98/387018798.db2.gz QGIQOTWCFMQDIR-STQMWFEESA-N 0 3 243.416 2.629 20 0 BFADHN c1cncc([C@@H](NC[C@@H]2CCCCO2)C2CC2)c1 ZINC000527324511 387022075 /nfs/dbraw/zinc/02/20/75/387022075.db2.gz NAQFVBPSZZCWSZ-GJZGRUSLSA-N 0 3 246.354 2.691 20 0 BFADHN CCc1ccccc1CN1CCN(C(C)C)CC1 ZINC000527324724 387022778 /nfs/dbraw/zinc/02/27/78/387022778.db2.gz KLVTXFPCMHPPLV-UHFFFAOYSA-N 0 3 246.398 2.775 20 0 BFADHN C[C@@H](CSc1ccc(F)cc1)N(C)C ZINC000358853300 387023404 /nfs/dbraw/zinc/02/34/04/387023404.db2.gz LXCZYDIZLHSUFB-VIFPVBQESA-N 0 3 213.321 2.868 20 0 BFADHN C[C@@H](CSc1ncccc1Cl)N(C)C ZINC000358856422 387024014 /nfs/dbraw/zinc/02/40/14/387024014.db2.gz VUMDIBIDBDUYCM-QMMMGPOBSA-N 0 3 230.764 2.777 20 0 BFADHN CCc1ccccc1CN1C[C@H](C)N(C)C[C@H]1C ZINC000527325129 387024882 /nfs/dbraw/zinc/02/48/82/387024882.db2.gz KCMQLUFNFZVTRS-UONOGXRCSA-N 0 3 246.398 2.773 20 0 BFADHN CS[C@H]1CC[C@H]1NCc1cc(C)nc(C)c1 ZINC000425369884 387026046 /nfs/dbraw/zinc/02/60/46/387026046.db2.gz NFJWMTCOJMXBQL-OLZOCXBDSA-N 0 3 236.384 2.682 20 0 BFADHN CCCc1csc(CN[C@H](C)C2CC2)n1 ZINC000336763989 387029008 /nfs/dbraw/zinc/02/90/08/387029008.db2.gz PMKQVISIYUFOSG-SECBINFHSA-N 0 3 224.373 2.984 20 0 BFADHN c1ccc(CN2CCSC[C@H]2C2CCC2)nc1 ZINC000334952603 387029437 /nfs/dbraw/zinc/02/94/37/387029437.db2.gz RGEDZQSOEGOPQR-AWEZNQCLSA-N 0 3 248.395 2.799 20 0 BFADHN C/C(=C\c1ccccc1)CN[C@H]1C[C@@](C)(O)C1 ZINC000418120099 387072208 /nfs/dbraw/zinc/07/22/08/387072208.db2.gz VPTONKXEFARHTK-JTRIDAQISA-N 0 3 231.339 2.593 20 0 BFADHN COc1cc(C)c(CN(C)C(C)C)c(C)n1 ZINC000359232458 387072710 /nfs/dbraw/zinc/07/27/10/387072710.db2.gz VFCWIGCWTFVYOI-UHFFFAOYSA-N 0 3 222.332 2.547 20 0 BFADHN C[C@@H](NCc1cc[nH]c1)c1cccc(O)c1 ZINC000085579242 387073121 /nfs/dbraw/zinc/07/31/21/387073121.db2.gz ANJSLRADTNPRTO-SNVBAGLBSA-N 0 3 216.284 2.571 20 0 BFADHN CC[C@H](N[C@@H]1CCO[C@H]1C)c1c(C)noc1C ZINC000246303646 387073820 /nfs/dbraw/zinc/07/38/20/387073820.db2.gz DGKROXBLOAMDQX-ZMLRMANQSA-N 0 3 238.331 2.510 20 0 BFADHN CO[C@@H]1CC[C@@H](NCc2c(F)cccc2F)C1 ZINC000190940122 387075106 /nfs/dbraw/zinc/07/51/06/387075106.db2.gz XHPFTZFITGNKBK-NXEZZACHSA-N 0 3 241.281 2.622 20 0 BFADHN CCn1cncc1CN1CCC[C@@H](C2CC2)C1 ZINC000418056652 387077760 /nfs/dbraw/zinc/07/77/60/387077760.db2.gz DVRQNMBWTKGBRN-CYBMUJFWSA-N 0 3 233.359 2.525 20 0 BFADHN CCC[C@H](C)N[C@@H](C)c1ccncc1OC ZINC000188773130 387080375 /nfs/dbraw/zinc/08/03/75/387080375.db2.gz NGLFVQKQBLSVFW-QWRGUYRKSA-N 0 3 222.332 2.929 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@@H]1N[C@H]1COC(C)(C)C1 ZINC000418063146 387081194 /nfs/dbraw/zinc/08/11/94/387081194.db2.gz HSRDSZCUUYDFKX-MISXGVKJSA-N 0 3 247.338 2.666 20 0 BFADHN CCc1ncc(CN2C[C@H](C)[C@H](C)[C@H]2C)cn1 ZINC000418121910 387081817 /nfs/dbraw/zinc/08/18/17/387081817.db2.gz JFBBCGHYZYEOMV-SDDRHHMPSA-N 0 3 233.359 2.515 20 0 BFADHN COc1cnccc1[C@H](C)N[C@H](C)CC1CC1 ZINC000188773880 387081814 /nfs/dbraw/zinc/08/18/14/387081814.db2.gz ZEZYRFLEZBLFDD-MNOVXSKESA-N 0 3 234.343 2.929 20 0 BFADHN CCc1ncc(CN2C[C@H](C)[C@H](C)[C@@H]2C)cn1 ZINC000418121912 387082006 /nfs/dbraw/zinc/08/20/06/387082006.db2.gz JFBBCGHYZYEOMV-SRVKXCTJSA-N 0 3 233.359 2.515 20 0 BFADHN CCc1ncc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)cn1 ZINC000418121915 387082564 /nfs/dbraw/zinc/08/25/64/387082564.db2.gz JFBBCGHYZYEOMV-TUAOUCFPSA-N 0 3 233.359 2.515 20 0 BFADHN CC(C)[C@@H](NCCOCC1CC1)c1cccnc1 ZINC000359332131 387084169 /nfs/dbraw/zinc/08/41/69/387084169.db2.gz NSVWAAGFPJQXRN-OAHLLOKOSA-N 0 3 248.370 2.795 20 0 BFADHN CCn1cncc1CNC1CC(C(C)(C)C)C1 ZINC000418071406 387085564 /nfs/dbraw/zinc/08/55/64/387085564.db2.gz WKXNGXKCWKWVOO-UHFFFAOYSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1cnn(C)c1CN1CC[C@H](C2CCCC2)C1 ZINC000334995591 387086207 /nfs/dbraw/zinc/08/62/07/387086207.db2.gz QJCHAAXCGLAWIP-AWEZNQCLSA-N 0 3 247.386 2.741 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(C)nc2C)C[C@@H]1C ZINC000336257595 387086836 /nfs/dbraw/zinc/08/68/36/387086836.db2.gz OYEGRJMUQXUTMM-XHDPSFHLSA-N 0 3 248.370 2.555 20 0 BFADHN CCn1cncc1CN1CC[C@H](CC2CC2)C1 ZINC000418077626 387087141 /nfs/dbraw/zinc/08/71/41/387087141.db2.gz MHUORIPFBBFAJY-CYBMUJFWSA-N 0 3 233.359 2.525 20 0 BFADHN CC[C@@H](C)[C@H](O)CNCc1cc(Cl)cs1 ZINC000193100838 387087253 /nfs/dbraw/zinc/08/72/53/387087253.db2.gz JGPUKTLAOKQIPG-LDYMZIIASA-N 0 3 247.791 2.898 20 0 BFADHN CC(C)[C@H]1CCCN(Cc2cccnc2N)C1 ZINC000336256027 387087932 /nfs/dbraw/zinc/08/79/32/387087932.db2.gz LAEYSKRWSDRQSO-LBPRGKRZSA-N 0 3 233.359 2.532 20 0 BFADHN CC(C)CN(Cc1cnn(C)c1)[C@H]1C=CCCC1 ZINC000459591756 387092689 /nfs/dbraw/zinc/09/26/89/387092689.db2.gz LMAACTQFQBVDBP-HNNXBMFYSA-N 0 3 247.386 2.987 20 0 BFADHN COC[C@H](CC(C)C)NCc1ccccc1F ZINC000359366322 387092942 /nfs/dbraw/zinc/09/29/42/387092942.db2.gz VCRCXQUKSWLOED-ZDUSSCGKSA-N 0 3 239.334 2.976 20 0 BFADHN Cc1occc1CNCCC(F)(F)F ZINC000321297581 387093586 /nfs/dbraw/zinc/09/35/86/387093586.db2.gz VCKWFQOUFSALDS-UHFFFAOYSA-N 0 3 207.195 2.630 20 0 BFADHN Cn1cccc1CN1CCC(OC2CCC2)CC1 ZINC000418089824 387096293 /nfs/dbraw/zinc/09/62/93/387096293.db2.gz JWIRYTUGTQFSBE-UHFFFAOYSA-N 0 3 248.370 2.559 20 0 BFADHN C[C@H]1CN(CCCCc2ccccc2)CCO1 ZINC000186443826 387096466 /nfs/dbraw/zinc/09/64/66/387096466.db2.gz WBXVANHEEYGRPI-AWEZNQCLSA-N 0 3 233.355 2.730 20 0 BFADHN CO[C@@H](CNCc1cccs1)CC(C)C ZINC000418091199 387097668 /nfs/dbraw/zinc/09/76/68/387097668.db2.gz DNFWSBSWNUKBSF-LLVKDONJSA-N 0 3 227.373 2.899 20 0 BFADHN COCC1(C)CN(C[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000418090573 387097860 /nfs/dbraw/zinc/09/78/60/387097860.db2.gz NZMFRPAYXRZBMA-GJZGRUSLSA-N 0 3 245.366 2.758 20 0 BFADHN Fc1cccc(F)c1CNC[C@H]1CC12CC2 ZINC000418090697 387098443 /nfs/dbraw/zinc/09/84/43/387098443.db2.gz FALOFULNRPUERC-SECBINFHSA-N 0 3 223.266 2.855 20 0 BFADHN COc1ccc(CNC[C@@H]2C[C@H]2C)c(F)c1 ZINC000228601039 387099057 /nfs/dbraw/zinc/09/90/57/387099057.db2.gz BCSARWMWUCCEQB-KOLCDFICSA-N 0 3 223.291 2.580 20 0 BFADHN COc1ccc(F)cc1CNC[C@@H]1CC12CC2 ZINC000418093337 387099750 /nfs/dbraw/zinc/09/97/50/387099750.db2.gz JAJOMNBRLHLODA-NSHDSACASA-N 0 3 235.302 2.724 20 0 BFADHN C[C@@]1(F)CCCN(CC2CCC(=O)CC2)C1 ZINC000336266686 387100085 /nfs/dbraw/zinc/10/00/85/387100085.db2.gz CGNBBWYZTOHPGL-CYBMUJFWSA-N 0 3 227.323 2.570 20 0 BFADHN CN(Cc1ccc(Cl)o1)[C@@H]1CCCC[C@H]1O ZINC000336267211 387102708 /nfs/dbraw/zinc/10/27/08/387102708.db2.gz SBUGFYZTKZNWKH-GHMZBOCLSA-N 0 3 243.734 2.668 20 0 BFADHN CC[C@H](NC[C@H](O)C(F)(F)F)c1ccccc1 ZINC000068879873 387108852 /nfs/dbraw/zinc/10/88/52/387108852.db2.gz UWLNQYPKELZDPB-QWRGUYRKSA-N 0 3 247.260 2.651 20 0 BFADHN CCCN(C)[C@H](C)C(=O)Nc1ccc(CC)cc1 ZINC000069093160 387112447 /nfs/dbraw/zinc/11/24/47/387112447.db2.gz QWJHSIMXWVIPKO-GFCCVEGCSA-N 0 3 248.370 2.918 20 0 BFADHN CCCCN(C)CCNC(=O)C(C)(C)C(C)C ZINC000352367288 387113837 /nfs/dbraw/zinc/11/38/37/387113837.db2.gz NALLAGSDFFCULI-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN CCN(Cc1ccc(C)cc1)C[C@H]1CCCO1 ZINC000069070954 387113915 /nfs/dbraw/zinc/11/39/15/387113915.db2.gz LVPWZIJQIHTIKE-OAHLLOKOSA-N 0 3 233.355 2.996 20 0 BFADHN C[C@@H](NC1CCC1)c1ccncc1Cl ZINC000335024237 387115220 /nfs/dbraw/zinc/11/52/20/387115220.db2.gz BFSQEQKCWCHYPB-MRVPVSSYSA-N 0 3 210.708 2.938 20 0 BFADHN COC[C@H](CC(C)C)N[C@H](C)c1cccnc1 ZINC000359519938 387116403 /nfs/dbraw/zinc/11/64/03/387116403.db2.gz BURYRLUUIRSNQL-OCCSQVGLSA-N 0 3 236.359 2.793 20 0 BFADHN c1cc(CN2CCCC3(CC3)C2)cc2c1OCC2 ZINC000186622177 387116773 /nfs/dbraw/zinc/11/67/73/387116773.db2.gz NPZCJGRYEJHTPK-UHFFFAOYSA-N 0 3 243.350 2.998 20 0 BFADHN Cc1ncccc1CN1CCCC2(CC2)C1 ZINC000186611360 387117233 /nfs/dbraw/zinc/11/72/33/387117233.db2.gz URRIJFVEJUIKQL-UHFFFAOYSA-N 0 3 216.328 2.766 20 0 BFADHN COc1ccc(CNCCOC2CCCC2)cc1 ZINC000070167988 387119959 /nfs/dbraw/zinc/11/99/59/387119959.db2.gz NONAHLJTTUAPOE-UHFFFAOYSA-N 0 3 249.354 2.744 20 0 BFADHN C[C@@H](NCc1sccc1Cl)[C@H](C)CO ZINC000193120763 387121066 /nfs/dbraw/zinc/12/10/66/387121066.db2.gz GKGIKQCYIICTPV-HTQZYQBOSA-N 0 3 233.764 2.508 20 0 BFADHN C[C@@H](N)C(=O)N1C[C@H](C(C)(C)C)c2ccccc21 ZINC000335029963 387124145 /nfs/dbraw/zinc/12/41/45/387124145.db2.gz LSFYFADLHNBXPK-PWSUYJOCSA-N 0 3 246.354 2.510 20 0 BFADHN C[C@@H](N)C(=O)N1C[C@@H](C(C)(C)C)c2ccccc21 ZINC000335029966 387125608 /nfs/dbraw/zinc/12/56/08/387125608.db2.gz LSFYFADLHNBXPK-ZYHUDNBSSA-N 0 3 246.354 2.510 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@@H](CO)[C@H]2C)o1 ZINC000425988750 387128066 /nfs/dbraw/zinc/12/80/66/387128066.db2.gz OWVZOPMWTNAIQU-NMKXLXIOSA-N 0 3 249.354 2.606 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@H](CO)[C@H]2C)o1 ZINC000425988748 387128116 /nfs/dbraw/zinc/12/81/16/387128116.db2.gz OWVZOPMWTNAIQU-BYNQJWBRSA-N 0 3 249.354 2.606 20 0 BFADHN Cc1cc(CN2CCCCC[C@H]2C)ncn1 ZINC000336316604 387141949 /nfs/dbraw/zinc/14/19/49/387141949.db2.gz NFTCWOWTIFBDTG-GFCCVEGCSA-N 0 3 219.332 2.550 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@H](CO)C2CC2)o1 ZINC000353146738 387145349 /nfs/dbraw/zinc/14/53/49/387145349.db2.gz FNUDHBVNWCAFCW-OSMZGAPFSA-N 0 3 249.354 2.511 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cnc(C)nc1 ZINC000336319692 387145649 /nfs/dbraw/zinc/14/56/49/387145649.db2.gz VKNUIWLFLLXTGB-MFKMUULPSA-N 0 3 219.332 2.548 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1cnc(C)nc1 ZINC000336319690 387146407 /nfs/dbraw/zinc/14/64/07/387146407.db2.gz VKNUIWLFLLXTGB-GWCFXTLKSA-N 0 3 219.332 2.548 20 0 BFADHN CC[C@H](F)CN[C@H](C)c1ccc2n[nH]cc2c1 ZINC000353185434 387149466 /nfs/dbraw/zinc/14/94/66/387149466.db2.gz UCVURBTVOCZUOV-SKDRFNHKSA-N 0 3 235.306 2.962 20 0 BFADHN c1nc2ccccn2c1CN1CC[C@@H](C2CC2)C1 ZINC000336324098 387152136 /nfs/dbraw/zinc/15/21/36/387152136.db2.gz WXNVMRZBMPHACY-CYBMUJFWSA-N 0 3 241.338 2.566 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2cncc(F)c2)C[C@H]1C ZINC000418128321 387157879 /nfs/dbraw/zinc/15/78/79/387157879.db2.gz SJTCLXYLTQWVDT-GMTAPVOTSA-N 0 3 222.307 2.697 20 0 BFADHN c1coc(CN2CCSC[C@@H]2C2CCC2)c1 ZINC000418132212 387158640 /nfs/dbraw/zinc/15/86/40/387158640.db2.gz YAUJWVSGZSZAQI-CYBMUJFWSA-N 0 3 237.368 2.997 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@H](C)[C@H]2C)cn1 ZINC000418131599 387159078 /nfs/dbraw/zinc/15/90/78/387159078.db2.gz XWAAYJOLMMIITH-SDDRHHMPSA-N 0 3 234.343 2.567 20 0 BFADHN c1coc(CN2CCSC[C@H]2C2CCC2)c1 ZINC000418132215 387159175 /nfs/dbraw/zinc/15/91/75/387159175.db2.gz YAUJWVSGZSZAQI-ZDUSSCGKSA-N 0 3 237.368 2.997 20 0 BFADHN OC[C@H](CC1CCCCC1)NCc1ccncc1 ZINC000418130826 387159815 /nfs/dbraw/zinc/15/98/15/387159815.db2.gz VSAWOZCSAVWGSV-HNNXBMFYSA-N 0 3 248.370 2.503 20 0 BFADHN OC[C@@H](CC1CCCCC1)NCc1ccncc1 ZINC000418130828 387160059 /nfs/dbraw/zinc/16/00/59/387160059.db2.gz VSAWOZCSAVWGSV-OAHLLOKOSA-N 0 3 248.370 2.503 20 0 BFADHN CC(C)n1cc(CN2C[C@@H](C)[C@@H](C)[C@@H]2C)cn1 ZINC000418133688 387161532 /nfs/dbraw/zinc/16/15/32/387161532.db2.gz NNTVEKUDXNFIIU-UPJWGTAASA-N 0 3 235.375 2.940 20 0 BFADHN CC[C@@H](C(=O)N(C)C(C)(C)CC)N(CC)CC ZINC000359799108 387165383 /nfs/dbraw/zinc/16/53/83/387165383.db2.gz OLOMDCNDSZWACI-LBPRGKRZSA-N 0 3 242.407 2.754 20 0 BFADHN C[C@H](F)CCN1CC(C)(C)O[C@@H]2CCC[C@H]21 ZINC000352409359 387168826 /nfs/dbraw/zinc/16/88/26/387168826.db2.gz YZUYZDNUYBDPET-QJPTWQEYSA-N 0 3 229.339 2.766 20 0 BFADHN CCc1ccc(CN(C)CCCCOC)o1 ZINC000418135465 387168896 /nfs/dbraw/zinc/16/88/96/387168896.db2.gz PKGJZPBQWZXLMX-UHFFFAOYSA-N 0 3 225.332 2.700 20 0 BFADHN Cc1ccncc1CN1C[C@H](C(N)=O)CC[C@H]1C ZINC000336340443 387171101 /nfs/dbraw/zinc/17/11/01/387171101.db2.gz UEDWWUDISPFVOW-VXGBXAGGSA-N 0 3 247.342 2.526 20 0 BFADHN CC[C@@H](C(=O)NC1(CC)CCC1)N(CC)CC ZINC000359887099 387183561 /nfs/dbraw/zinc/18/35/61/387183561.db2.gz YLLKDGYMVJHQNS-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN CC[C@H](COC)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000251121693 387184352 /nfs/dbraw/zinc/18/43/52/387184352.db2.gz WMTZBHYMEBEQBZ-NQBHXWOUSA-N 0 3 237.343 2.918 20 0 BFADHN CO[C@@H](CNCc1occc1C)CC(C)C ZINC000418104401 387133366 /nfs/dbraw/zinc/13/33/66/387133366.db2.gz UTMVCOKDGCZOPK-GFCCVEGCSA-N 0 3 225.332 2.739 20 0 BFADHN Cc1ncc(CNC[C@@H](C)Cc2ccccc2)o1 ZINC000459667266 387192198 /nfs/dbraw/zinc/19/21/98/387192198.db2.gz AAPCDDPPSIZNDV-LBPRGKRZSA-N 0 3 244.338 2.951 20 0 BFADHN Fc1cc(F)c(CNC[C@@H]2CC23CC3)cc1F ZINC000418104078 387140057 /nfs/dbraw/zinc/14/00/57/387140057.db2.gz WQGUNVCQAMVHEK-VIFPVBQESA-N 0 3 241.256 2.994 20 0 BFADHN Cc1cnc(CNC(C2CCC2)C2CCC2)n1C ZINC000353105315 387140289 /nfs/dbraw/zinc/14/02/89/387140289.db2.gz ARHDPZDZIYCXFE-UHFFFAOYSA-N 0 3 247.386 2.787 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1ccnn1CC ZINC000187578082 387205864 /nfs/dbraw/zinc/20/58/64/387205864.db2.gz JHYYBYCUWNFJKU-AAEUAGOBSA-N 0 3 221.348 2.523 20 0 BFADHN c1n[nH]cc1[C@@H]1CCCN1CC[C@@H]1CCCCO1 ZINC000639775368 387252580 /nfs/dbraw/zinc/25/25/80/387252580.db2.gz AMXNNTUANNZMBP-KBPBESRZSA-N 0 3 249.358 2.506 20 0 BFADHN CC[C@@H](O)CN[C@@H](CC)c1ccccc1F ZINC000229966972 387207744 /nfs/dbraw/zinc/20/77/44/387207744.db2.gz MZPFMJCZLGKYNB-MFKMUULPSA-N 0 3 225.307 2.637 20 0 BFADHN C[C@]1(NCc2ccc(Cl)s2)CCOC1 ZINC000120204209 387210321 /nfs/dbraw/zinc/21/03/21/387210321.db2.gz JZBNVDHCNBWNRP-JTQLQIEISA-N 0 3 231.748 2.670 20 0 BFADHN Cc1ccc(CN2CCN(C3CCC3)CC2)cc1 ZINC000353481656 387213329 /nfs/dbraw/zinc/21/33/29/387213329.db2.gz KIFBLKNKHMWLII-UHFFFAOYSA-N 0 3 244.382 2.665 20 0 BFADHN CC(C)=CCC[C@H](C)N(C)[C@@H](C)C[S@](C)=O ZINC000187832436 387214545 /nfs/dbraw/zinc/21/45/45/387214545.db2.gz ZYRPTIUXBASFHH-XEZPLFJOSA-N 0 3 245.432 2.820 20 0 BFADHN Cc1nocc1CN(C)[C@H](C)C1CCC1 ZINC000353514212 387219624 /nfs/dbraw/zinc/21/96/24/387219624.db2.gz BPZRXYGVMVOQOH-SNVBAGLBSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1ccnc([C@H](C)N[C@@H]2CCC[C@@]2(C)CO)c1 ZINC000353517173 387220006 /nfs/dbraw/zinc/22/00/06/387220006.db2.gz IQFHNVPCIKTAIF-CFVMTHIKSA-N 0 3 248.370 2.592 20 0 BFADHN Cc1ccc(C(C)(C)NCCOC(C)C)cn1 ZINC000353565679 387230137 /nfs/dbraw/zinc/23/01/37/387230137.db2.gz BQSJTPYCWSQEOJ-UHFFFAOYSA-N 0 3 236.359 2.640 20 0 BFADHN c1c[nH]c([C@H]2CCCN2C[C@H]2CC=CCC2)n1 ZINC000336401667 387230933 /nfs/dbraw/zinc/23/09/33/387230933.db2.gz QXUSCDNVLOJTAN-QWHCGFSZSA-N 0 3 231.343 2.903 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](C)[C@@H](C)OC)o1 ZINC000290047006 387232352 /nfs/dbraw/zinc/23/23/52/387232352.db2.gz DVGOQZIYMAQSMH-GMTAPVOTSA-N 0 3 225.332 2.916 20 0 BFADHN Cc1ccc(C(C)(C)NC[C@@H]2CCCCO2)cn1 ZINC000353575160 387232271 /nfs/dbraw/zinc/23/22/71/387232271.db2.gz YNXGPOMLPGBQOU-AWEZNQCLSA-N 0 3 248.370 2.784 20 0 BFADHN CC[C@@H](CN[C@@H](C)c1cnn(C(C)C)c1)OC ZINC000353575289 387232306 /nfs/dbraw/zinc/23/23/06/387232306.db2.gz KOHNZQGKZKYUNR-AAEUAGOBSA-N 0 3 239.363 2.540 20 0 BFADHN C[C@H]1CN(C)CCN1Cc1coc2ccccc12 ZINC000353587888 387235782 /nfs/dbraw/zinc/23/57/82/387235782.db2.gz BZFBWRXEFSFYRT-LBPRGKRZSA-N 0 3 244.338 2.569 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2CC[C@H](O)CC2)o1 ZINC000252705380 387236383 /nfs/dbraw/zinc/23/63/83/387236383.db2.gz QBYLTUKFEJDOPF-BYNQJWBRSA-N 0 3 249.354 2.796 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNCC[C@@H]2CCOC2)o1 ZINC000230165849 387237788 /nfs/dbraw/zinc/23/77/88/387237788.db2.gz KELHJXCTXNSWKV-BZPMIXESSA-N 0 3 249.354 2.919 20 0 BFADHN Cc1ccc(F)c(CNCC[C@@H]2CCOC2)c1 ZINC000230163219 387238475 /nfs/dbraw/zinc/23/84/75/387238475.db2.gz WWRLFKZMEVVVNK-GFCCVEGCSA-N 0 3 237.318 2.650 20 0 BFADHN C[C@H](O)C(C)(C)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000252750061 387241114 /nfs/dbraw/zinc/24/11/14/387241114.db2.gz VJRSAVRNDHCWLI-SCVCMEIPSA-N 0 3 237.343 2.652 20 0 BFADHN Cc1ccc(CCN[C@H](C)c2cncs2)cn1 ZINC000161571766 387249099 /nfs/dbraw/zinc/24/90/99/387249099.db2.gz XYCXTDBNCAUEAF-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN C[C@@H]1CCCCN(CCOCC(F)(F)F)C1 ZINC000187977714 387249757 /nfs/dbraw/zinc/24/97/57/387249757.db2.gz UPTDOPIGKRYYTF-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN CCCCCNC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000120482144 387250132 /nfs/dbraw/zinc/25/01/32/387250132.db2.gz CZYVTFINMITCBU-FRRDWIJNSA-N 0 3 240.391 2.554 20 0 BFADHN Cc1ccc(CCN[C@@H](C)c2ccco2)cn1 ZINC000161608563 387250462 /nfs/dbraw/zinc/25/04/62/387250462.db2.gz GZKDZTUSZBIFPK-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN CC(C)=CCC[C@H](C)N[C@H]1CNCCC1(F)F ZINC000423467196 387315785 /nfs/dbraw/zinc/31/57/85/387315785.db2.gz DIAUWOBGJKPKIZ-RYUDHWBXSA-N 0 3 246.345 2.708 20 0 BFADHN C[C@@H]1Cc2ccccc2CN1Cc1ccn(C)c1 ZINC000353663625 387254102 /nfs/dbraw/zinc/25/41/02/387254102.db2.gz DKOJRNZNRVUOPB-CYBMUJFWSA-N 0 3 240.350 2.972 20 0 BFADHN CO[C@H]1CCN(Cc2coc3ccccc23)C1 ZINC000336431424 387259456 /nfs/dbraw/zinc/25/94/56/387259456.db2.gz LCDMLCQBXUEHOA-LBPRGKRZSA-N 0 3 231.295 2.654 20 0 BFADHN Cc1ccc(CN[C@@H]2CCO[C@@H]2C2CC2)cc1F ZINC000230316084 387261158 /nfs/dbraw/zinc/26/11/58/387261158.db2.gz HCXQHLXYJQBMIG-HUUCEWRRSA-N 0 3 249.329 2.791 20 0 BFADHN CO[C@@H](CNCc1ccc(F)c(C)c1)C1CC1 ZINC000292898968 387262569 /nfs/dbraw/zinc/26/25/69/387262569.db2.gz DNUGDWMCWCZBIH-AWEZNQCLSA-N 0 3 237.318 2.649 20 0 BFADHN CCc1ccc(CNCc2c(C)cnn2C)s1 ZINC000285365150 387263573 /nfs/dbraw/zinc/26/35/73/387263573.db2.gz FNTRLXLAEPBDDI-UHFFFAOYSA-N 0 3 249.383 2.642 20 0 BFADHN CCC[C@H](C(=O)OCC)N(CC)CC1CCC1 ZINC000230322672 387263739 /nfs/dbraw/zinc/26/37/39/387263739.db2.gz VWOFJGIJBJXIAK-CYBMUJFWSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H](N[C@H](C)C1CC1)c1c(F)cncc1F ZINC000285372875 387263701 /nfs/dbraw/zinc/26/37/01/387263701.db2.gz CDCIJCKMEWSYOE-HTQZYQBOSA-N 0 3 226.270 2.809 20 0 BFADHN Cc1occc1CNC1Cc2ccccc2C1 ZINC000321493341 387264206 /nfs/dbraw/zinc/26/42/06/387264206.db2.gz KNUWYWIKJDEDCU-UHFFFAOYSA-N 0 3 227.307 2.845 20 0 BFADHN Cc1ccnc(CN[C@@H]2CCC[C@@H](C)[C@H]2C)n1 ZINC000360340431 387267439 /nfs/dbraw/zinc/26/74/39/387267439.db2.gz ZQEBFBXZTUUFKO-RAIGVLPGSA-N 0 3 233.359 2.699 20 0 BFADHN CCC1(O)CCN(C/C=C\c2ccccc2)CC1 ZINC000353748083 387268104 /nfs/dbraw/zinc/26/81/04/387268104.db2.gz PHNIQFRWEWJZPQ-TWGQIWQCSA-N 0 3 245.366 2.937 20 0 BFADHN CC(C)[C@H]1N(Cc2cccn2C)CC1(C)C ZINC000353749233 387270417 /nfs/dbraw/zinc/27/04/17/387270417.db2.gz VEFMHHATMPRZBB-CYBMUJFWSA-N 0 3 220.360 2.892 20 0 BFADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1c[nH]cn1 ZINC000353812251 387279275 /nfs/dbraw/zinc/27/92/75/387279275.db2.gz XNCIWBJQDUINFH-YPMHNXCESA-N 0 3 221.348 2.810 20 0 BFADHN Cc1ccc(CCCN2CC[C@](C)(F)C2)cn1 ZINC000353822411 387279638 /nfs/dbraw/zinc/27/96/38/387279638.db2.gz FFQZTDUKWNJPLZ-AWEZNQCLSA-N 0 3 236.334 2.757 20 0 BFADHN CC[C@@H](C)[C@H]1CCCCN1Cc1c[nH]cn1 ZINC000353812249 387279714 /nfs/dbraw/zinc/27/97/14/387279714.db2.gz XNCIWBJQDUINFH-DGCLKSJQSA-N 0 3 221.348 2.810 20 0 BFADHN CCC[C@@H](NC[C@H](CO)C1CC1)c1ccccn1 ZINC000353847500 387284584 /nfs/dbraw/zinc/28/45/84/387284584.db2.gz DTVPVJGYMNROQI-ZIAGYGMSSA-N 0 3 248.370 2.531 20 0 BFADHN Cn1ccnc1[C@@H](N[C@H]1CCC1(C)C)C1CC1 ZINC000353867836 387287860 /nfs/dbraw/zinc/28/78/60/387287860.db2.gz OFTHKNLWKFKGSC-RYUDHWBXSA-N 0 3 233.359 2.649 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCC[C@@H](CO)C2)o1 ZINC000302604257 387287880 /nfs/dbraw/zinc/28/78/80/387287880.db2.gz LSOLOCLDTCSNTD-YNEHKIRRSA-N 0 3 237.343 2.790 20 0 BFADHN CCc1noc(C)c1CN1CCC[C@@H](C)C1 ZINC000161990956 387293778 /nfs/dbraw/zinc/29/37/78/387293778.db2.gz PFWXDSZBPHYOSE-SNVBAGLBSA-N 0 3 222.332 2.777 20 0 BFADHN Cc1cnc(CN2CCC[C@H]2C2CCC2)nc1 ZINC000336467015 387294562 /nfs/dbraw/zinc/29/45/62/387294562.db2.gz IXVJREGWLFMXOD-ZDUSSCGKSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1ccc(CN2CCC(OCC3CC3)CC2)o1 ZINC000372399422 387298431 /nfs/dbraw/zinc/29/84/31/387298431.db2.gz KHFNTDCKXPBSMV-UHFFFAOYSA-N 0 3 249.354 2.979 20 0 BFADHN Cc1nnc(CN2CCC[C@H]2C2CCCCC2)o1 ZINC000121127208 387300412 /nfs/dbraw/zinc/30/04/12/387300412.db2.gz NBEODSLGFNRGJV-ZDUSSCGKSA-N 0 3 249.358 2.923 20 0 BFADHN c1ccc2c(c1)OCC[C@@H]2CN1CCSCC1 ZINC000372442992 387302477 /nfs/dbraw/zinc/30/24/77/387302477.db2.gz DBDUEWRUMIRMDM-GFCCVEGCSA-N 0 3 249.379 2.602 20 0 BFADHN Cc1ccc(CN2CC[C@H](O)C(C)(C)C2)cc1 ZINC000258955384 387302754 /nfs/dbraw/zinc/30/27/54/387302754.db2.gz ZJBOQCFXEYRBJH-AWEZNQCLSA-N 0 3 233.355 2.588 20 0 BFADHN CCc1ccc(CN2CC[C@H](O)C(C)(C)C2)cc1 ZINC000258952727 387304265 /nfs/dbraw/zinc/30/42/65/387304265.db2.gz ZATDUMNBAZYPEW-HNNXBMFYSA-N 0 3 247.382 2.842 20 0 BFADHN C[C@@H](O)CCCN1CCC=C(c2ccco2)C1 ZINC000352544088 387307405 /nfs/dbraw/zinc/30/74/05/387307405.db2.gz NPLUELUKGFQIQH-GFCCVEGCSA-N 0 3 235.327 2.530 20 0 BFADHN CO[C@H](C)CCN[C@H](C)c1cnn(C(C)C)c1 ZINC000360850730 387357723 /nfs/dbraw/zinc/35/77/23/387357723.db2.gz COTDSLXQONCYLP-VXGBXAGGSA-N 0 3 239.363 2.540 20 0 BFADHN Cc1cccc(CN2CC(C3CC3)C2)c1F ZINC000648592292 387361920 /nfs/dbraw/zinc/36/19/20/387361920.db2.gz YQPKQOSIYVQXML-UHFFFAOYSA-N 0 3 219.303 2.976 20 0 BFADHN CCOCCN1C[C@@H](C)C[C@H]1c1cccnc1 ZINC000373412704 387363326 /nfs/dbraw/zinc/36/33/26/387363326.db2.gz MUYMRBUXXVKPPE-JSGCOSHPSA-N 0 3 234.343 2.501 20 0 BFADHN CCCCCN1CCC[C@@](O)(C(F)(F)F)C1 ZINC000354396699 387369496 /nfs/dbraw/zinc/36/94/96/387369496.db2.gz MFSVPDMLAOKMMW-JTQLQIEISA-N 0 3 239.281 2.566 20 0 BFADHN c1ccc([C@@H]2CCN2C[C@@H]2CCCO2)cc1 ZINC000336553415 387376098 /nfs/dbraw/zinc/37/60/98/387376098.db2.gz OFILAQAERSMANU-KBPBESRZSA-N 0 3 217.312 2.612 20 0 BFADHN CCCC[C@@H](NCc1cnccn1)C1CCC1 ZINC000515430469 387377682 /nfs/dbraw/zinc/37/76/82/387377682.db2.gz MMNODHKHIBPICQ-CQSZACIVSA-N 0 3 233.359 2.925 20 0 BFADHN C[C@@H](CCC(C)(C)C)N[C@@H]1CNCCC1(F)F ZINC000423472386 387319718 /nfs/dbraw/zinc/31/97/18/387319718.db2.gz FUUXIHDYHIJVRT-WDEREUQCSA-N 0 3 248.361 2.788 20 0 BFADHN C[C@@H](NCCC1=CCCCC1)c1ccnn1C ZINC000192245889 387321726 /nfs/dbraw/zinc/32/17/26/387321726.db2.gz SVAUZUICYAKQOK-GFCCVEGCSA-N 0 3 233.359 2.961 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@@H]1CCC(C)(C)C1 ZINC000336496984 387323112 /nfs/dbraw/zinc/32/31/12/387323112.db2.gz BTGSZXVXXLAOAT-GFCCVEGCSA-N 0 3 221.348 2.729 20 0 BFADHN CCCn1cc(CN2CC[C@@](C)(CC)C2)cn1 ZINC000354074169 387327599 /nfs/dbraw/zinc/32/75/99/387327599.db2.gz SZJGVQOIGGAXOH-CQSZACIVSA-N 0 3 235.375 2.915 20 0 BFADHN Cc1oc2ccccc2c1CN1CCC(O)CC1 ZINC000162256211 387331762 /nfs/dbraw/zinc/33/17/62/387331762.db2.gz BDYMTCSYZPURCA-UHFFFAOYSA-N 0 3 245.322 2.698 20 0 BFADHN CCC[C@H]1CCCN(Cc2cnn(C)c2C)C1 ZINC000354115160 387332736 /nfs/dbraw/zinc/33/27/36/387332736.db2.gz BSFKYHXORDYIEH-ZDUSSCGKSA-N 0 3 235.375 2.741 20 0 BFADHN CCC[C@@H](N[C@H]1CNCCC1(F)F)C1CCC1 ZINC000423490714 387337102 /nfs/dbraw/zinc/33/71/02/387337102.db2.gz YBQIUSIVGKAASF-NEPJUHHUSA-N 0 3 246.345 2.542 20 0 BFADHN CC[C@@H](C)CN1CCC[C@@H](c2noc(C)n2)C1 ZINC000372982732 387337732 /nfs/dbraw/zinc/33/77/32/387337732.db2.gz SGIDXOZWLVERRC-ZYHUDNBSSA-N 0 3 237.347 2.604 20 0 BFADHN CC[C@@H](C)CN1CCC[C@H](c2noc(C)n2)C1 ZINC000372982731 387339022 /nfs/dbraw/zinc/33/90/22/387339022.db2.gz SGIDXOZWLVERRC-PWSUYJOCSA-N 0 3 237.347 2.604 20 0 BFADHN Cc1ccc(CN[C@H](C)Cc2ccoc2)cn1 ZINC000360729088 387342116 /nfs/dbraw/zinc/34/21/16/387342116.db2.gz NHDVBZCIIZYJKM-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN COC[C@@H](C)N[C@H]1CCc2c1c(F)ccc2F ZINC000354204732 387345159 /nfs/dbraw/zinc/34/51/59/387345159.db2.gz DUCNIUYZVWDPJL-PELKAZGASA-N 0 3 241.281 2.577 20 0 BFADHN CC(C)C[C@H]1CCCN(Cc2cnccn2)C1 ZINC000515213543 387349027 /nfs/dbraw/zinc/34/90/27/387349027.db2.gz GYMSJLSNAGFTSF-CYBMUJFWSA-N 0 3 233.359 2.735 20 0 BFADHN CC[C@H](N[C@@H](C)C(=O)N(C)C)c1cccc(C)c1 ZINC000286955556 387349309 /nfs/dbraw/zinc/34/93/09/387349309.db2.gz BTAVQDJBIMDVAN-JSGCOSHPSA-N 0 3 248.370 2.512 20 0 BFADHN C[C@@H](NCc1cnccn1)[C@H](C)c1ccccc1 ZINC000515213701 387349646 /nfs/dbraw/zinc/34/96/46/387349646.db2.gz DTENBCURJPAQCB-QWHCGFSZSA-N 0 3 241.338 2.758 20 0 BFADHN Cc1cnc(CNC[C@H](C)c2ccncc2)s1 ZINC000648465978 387351655 /nfs/dbraw/zinc/35/16/55/387351655.db2.gz ATGDSIAITIEEMK-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN C[C@H](CF)N[C@@H](C)CCc1ccc(O)cc1 ZINC000290090845 387352068 /nfs/dbraw/zinc/35/20/68/387352068.db2.gz HAAUHEOKYAMTDI-WDEREUQCSA-N 0 3 225.307 2.661 20 0 BFADHN C[C@@H](CNCc1ccncc1F)c1ccncc1 ZINC000648465981 387352483 /nfs/dbraw/zinc/35/24/83/387352483.db2.gz ATPVUEYCECSIOC-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN C[C@H](NC[C@H]1CCCSC1)c1cscn1 ZINC000287002912 387352434 /nfs/dbraw/zinc/35/24/34/387352434.db2.gz KEIBKCWMQBHTFW-VHSXEESVSA-N 0 3 242.413 2.937 20 0 BFADHN C[C@@H](CF)N[C@@H](C)CCc1ccc(O)cc1 ZINC000290090844 387352783 /nfs/dbraw/zinc/35/27/83/387352783.db2.gz HAAUHEOKYAMTDI-QWRGUYRKSA-N 0 3 225.307 2.661 20 0 BFADHN Cc1ccncc1[C@H](C)NCc1ccncc1C ZINC000648494133 387425957 /nfs/dbraw/zinc/42/59/57/387425957.db2.gz YIPWBRVQEWWZSI-ZDUSSCGKSA-N 0 3 241.338 2.944 20 0 BFADHN Cc1ccncc1[C@@H](C)NCc1ccncc1C ZINC000648494132 387426224 /nfs/dbraw/zinc/42/62/24/387426224.db2.gz YIPWBRVQEWWZSI-CYBMUJFWSA-N 0 3 241.338 2.944 20 0 BFADHN CC(C)COCCN1CCCC2(CC2)C1 ZINC000374205185 387431697 /nfs/dbraw/zinc/43/16/97/387431697.db2.gz NGUJTDADGVGYAB-UHFFFAOYSA-N 0 3 211.349 2.535 20 0 BFADHN CCC[C@@H](C)[C@@H]1CCCN1C(=O)[C@@H](N)CCC ZINC000423848068 387435243 /nfs/dbraw/zinc/43/52/43/387435243.db2.gz NEKSIKZBOLPCSE-AGIUHOORSA-N 0 3 240.391 2.541 20 0 BFADHN C[C@H](O)CCCN(C)Cc1ccc(F)c(F)c1 ZINC000354860982 387438201 /nfs/dbraw/zinc/43/82/01/387438201.db2.gz FYUQQOBPMZSUHZ-JTQLQIEISA-N 0 3 243.297 2.558 20 0 BFADHN Cc1occc1CNCC1(O)CCC(C)CC1 ZINC000321494534 387443208 /nfs/dbraw/zinc/44/32/08/387443208.db2.gz KQZXQFCDWCLOTO-UHFFFAOYSA-N 0 3 237.343 2.619 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@H]1CCCC[C@H]1O ZINC000516140982 387461915 /nfs/dbraw/zinc/46/19/15/387461915.db2.gz OSSNTROPMFLBBK-JHJVBQTASA-N 0 3 237.343 2.824 20 0 BFADHN Cc1cc(CN2CCC3(CCO3)CC2)ccc1F ZINC000374373758 387448731 /nfs/dbraw/zinc/44/87/31/387448731.db2.gz TYQUGHBLHHHVOV-UHFFFAOYSA-N 0 3 249.329 2.889 20 0 BFADHN CCC[C@@H](N)C(=O)NCC[C@H](C)CC(C)(C)C ZINC000423922284 387451078 /nfs/dbraw/zinc/45/10/78/387451078.db2.gz JKSXGQGPUQAFPM-NWDGAFQWSA-N 0 3 242.407 2.692 20 0 BFADHN CO[C@H]1CCN(CCc2ccccc2Cl)C1 ZINC000361385429 387452062 /nfs/dbraw/zinc/45/20/62/387452062.db2.gz PTZORBRYYBAUPF-LBPRGKRZSA-N 0 3 239.746 2.603 20 0 BFADHN CO[C@@H]1CCN(CCc2ccccc2Cl)C1 ZINC000361385428 387452192 /nfs/dbraw/zinc/45/21/92/387452192.db2.gz PTZORBRYYBAUPF-GFCCVEGCSA-N 0 3 239.746 2.603 20 0 BFADHN CC(C)[C@@H](N)C(=O)NCC[C@H](C)CC(C)(C)C ZINC000423931602 387452838 /nfs/dbraw/zinc/45/28/38/387452838.db2.gz YYSJAIFMMJNJLO-NWDGAFQWSA-N 0 3 242.407 2.548 20 0 BFADHN Cc1ccc(CN(C(C)C)[C@@H]2CCOC2)c(C)n1 ZINC000361410061 387456771 /nfs/dbraw/zinc/45/67/71/387456771.db2.gz ZOJRADXVXFLZIC-OAHLLOKOSA-N 0 3 248.370 2.698 20 0 BFADHN CCC[C@@](C)(CO)NCc1ccc(F)c(F)c1 ZINC000304279750 387457406 /nfs/dbraw/zinc/45/74/06/387457406.db2.gz YRGGFRBOUSQTKD-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H](C2CC2)C2CCC2)[n-]1 ZINC000515462627 387385382 /nfs/dbraw/zinc/38/53/82/387385382.db2.gz PXGLRYGBGBHPKF-CYBMUJFWSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H](C2CC2)C2CCC2)[nH]1 ZINC000515462627 387385387 /nfs/dbraw/zinc/38/53/87/387385387.db2.gz PXGLRYGBGBHPKF-CYBMUJFWSA-N 0 3 248.374 2.596 20 0 BFADHN CO[C@@H](CNCc1sccc1Cl)C1CC1 ZINC000293016149 387386395 /nfs/dbraw/zinc/38/63/95/387386395.db2.gz OKZAZXAGPWWWJJ-JTQLQIEISA-N 0 3 245.775 2.916 20 0 BFADHN CCc1cccc(F)c1CN[C@@H](C)CCCO ZINC000354517696 387386948 /nfs/dbraw/zinc/38/69/48/387386948.db2.gz YJPKJRGIUYPASL-NSHDSACASA-N 0 3 239.334 2.639 20 0 BFADHN Cc1cc(CN[C@H]2CCCC23CCC3)ncn1 ZINC000648489750 387388912 /nfs/dbraw/zinc/38/89/12/387388912.db2.gz MZJKDWKUGGTJJB-ZDUSSCGKSA-N 0 3 231.343 2.597 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+]2CC[C@@H](CC3CC3)C2)n1 ZINC000354529260 387390036 /nfs/dbraw/zinc/39/00/36/387390036.db2.gz SZTRQBONANVUFY-ZDUSSCGKSA-N 0 3 246.354 2.718 20 0 BFADHN Cc1ccc([O-])c(C[N@H+]2CC[C@@H](CC3CC3)C2)n1 ZINC000354529260 387390040 /nfs/dbraw/zinc/39/00/40/387390040.db2.gz SZTRQBONANVUFY-ZDUSSCGKSA-N 0 3 246.354 2.718 20 0 BFADHN COC1(CN2CCC[C@@H]2CF)CCCCC1 ZINC000354523376 387390310 /nfs/dbraw/zinc/39/03/10/387390310.db2.gz UDBWNTCAPPUQIU-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN CN(CCCc1ccsc1)Cc1cnn(C)c1 ZINC000361013599 387390555 /nfs/dbraw/zinc/39/05/55/387390555.db2.gz KAHURNUTUDFDGR-UHFFFAOYSA-N 0 3 249.383 2.546 20 0 BFADHN Cc1ccc2nccc(N[C@@H](C)C[C@H](C)O)c2c1 ZINC000354560849 387395335 /nfs/dbraw/zinc/39/53/35/387395335.db2.gz DRORLAVBJDCWKH-RYUDHWBXSA-N 0 3 244.338 2.536 20 0 BFADHN CC[C@H](O)CCNCc1coc2ccccc12 ZINC000121769751 387406600 /nfs/dbraw/zinc/40/66/00/387406600.db2.gz RUJYSFHNIYQTJX-LBPRGKRZSA-N 0 3 233.311 2.683 20 0 BFADHN Cc1ccn2cc(CN[C@@H](C)C3(C)CC3)nc2c1 ZINC000361155547 387406876 /nfs/dbraw/zinc/40/68/76/387406876.db2.gz UOHFIENKSGUWRR-LBPRGKRZSA-N 0 3 243.354 2.921 20 0 BFADHN Cc1ccsc1CN1C[C@@H](C)N(C)C[C@H]1C ZINC000361156943 387408084 /nfs/dbraw/zinc/40/80/84/387408084.db2.gz ZTUHIAMJHDFHDC-VXGBXAGGSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1ccsc1CN1C[C@H](C)N(C)C[C@H]1C ZINC000361156941 387408174 /nfs/dbraw/zinc/40/81/74/387408174.db2.gz ZTUHIAMJHDFHDC-NWDGAFQWSA-N 0 3 238.400 2.581 20 0 BFADHN Cc1ccc(CN2CCN(C)[C@H](C)[C@H]2C)cc1C ZINC000361164690 387408760 /nfs/dbraw/zinc/40/87/60/387408760.db2.gz GVPRPDGMQQLDFS-HUUCEWRRSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1cc(C)c(CN2CCCOCC2)c(C)c1 ZINC000374027584 387411023 /nfs/dbraw/zinc/41/10/23/387411023.db2.gz RJRUEFSVMZEGKS-UHFFFAOYSA-N 0 3 233.355 2.834 20 0 BFADHN C[C@H](O)CCCN1Cc2ccccc2C(C)(C)C1 ZINC000354667761 387415136 /nfs/dbraw/zinc/41/51/36/387415136.db2.gz HFZXOSCKMLHHMO-ZDUSSCGKSA-N 0 3 247.382 2.941 20 0 BFADHN C[C@@H](NC1CCC(F)(F)CC1)c1nccn1C ZINC000336588143 387415260 /nfs/dbraw/zinc/41/52/60/387415260.db2.gz RAPIRHGDYUMJCT-SECBINFHSA-N 0 3 243.301 2.649 20 0 BFADHN CCCCN(C)CCNC(=O)[C@@H](C)CC(C)C ZINC000361220410 387417370 /nfs/dbraw/zinc/41/73/70/387417370.db2.gz KCJADMZNEJDGOL-ZDUSSCGKSA-N 0 3 242.407 2.517 20 0 BFADHN CCCCN(C)CCNC(=O)[C@H](C)CC(C)C ZINC000361220407 387418549 /nfs/dbraw/zinc/41/85/49/387418549.db2.gz KCJADMZNEJDGOL-CYBMUJFWSA-N 0 3 242.407 2.517 20 0 BFADHN Cc1ccc([C@@H](C)N[C@H](C)CC(C)(C)O)o1 ZINC000269220438 387419740 /nfs/dbraw/zinc/41/97/40/387419740.db2.gz CVKOJXIPMUGRTB-MWLCHTKSSA-N 0 3 225.332 2.788 20 0 BFADHN CCN(Cc1c(C)cccc1C)[C@H]1CCOC1 ZINC000361237809 387421891 /nfs/dbraw/zinc/42/18/91/387421891.db2.gz QKOORCMBMJHTKZ-AWEZNQCLSA-N 0 3 233.355 2.914 20 0 BFADHN CCCCCN1CCC[C@@H]1c1ncc[nH]1 ZINC000374862089 387495489 /nfs/dbraw/zinc/49/54/89/387495489.db2.gz DHRXCPOBPPSUJO-LLVKDONJSA-N 0 3 207.321 2.737 20 0 BFADHN CCC1(NCc2cn3cccc(F)c3n2)CC1 ZINC000648684230 387497615 /nfs/dbraw/zinc/49/76/15/387497615.db2.gz TVZSRIPDWUHOTK-UHFFFAOYSA-N 0 3 233.290 2.506 20 0 BFADHN CC(C)n1cc(CN[C@H](C2CC2)C2CCC2)nn1 ZINC000324675538 387499355 /nfs/dbraw/zinc/49/93/55/387499355.db2.gz MFFAIGPUAIGZIF-AWEZNQCLSA-N 0 3 248.374 2.527 20 0 BFADHN Cc1ccc2[nH]c(CN[C@@H](C)C3CC3)nc2c1 ZINC000361584570 387502905 /nfs/dbraw/zinc/50/29/05/387502905.db2.gz NXJJZJRBKGJTHA-JTQLQIEISA-N 0 3 229.327 2.759 20 0 BFADHN Cc1ccc2nc(CN[C@@H](C)C3CC3)[nH]c2c1 ZINC000361584570 387502909 /nfs/dbraw/zinc/50/29/09/387502909.db2.gz NXJJZJRBKGJTHA-JTQLQIEISA-N 0 3 229.327 2.759 20 0 BFADHN CCc1ccccc1CN[C@H]1COC(C)(C)C1 ZINC000396857539 387503985 /nfs/dbraw/zinc/50/39/85/387503985.db2.gz OTCJCADCUCBVAV-CQSZACIVSA-N 0 3 233.355 2.906 20 0 BFADHN Cc1cccc(CN[C@H]2COC(C)(C)C2)c1 ZINC000396852849 387504132 /nfs/dbraw/zinc/50/41/32/387504132.db2.gz PIOLCUMCEMCWBN-CYBMUJFWSA-N 0 3 219.328 2.652 20 0 BFADHN COc1ccc(CN2C[C@@H](C)CC[C@H]2C)cn1 ZINC000085824078 387504380 /nfs/dbraw/zinc/50/43/80/387504380.db2.gz GIRSMHPUVLMZHN-NWDGAFQWSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1ccc2c(n1)CCC2 ZINC000648077038 387504873 /nfs/dbraw/zinc/50/48/73/387504873.db2.gz XGPMNFLYIXWZKA-GXFFZTMASA-N 0 3 248.395 2.554 20 0 BFADHN CCc1cccc(CN(CC)[C@H]2CCOC2)c1 ZINC000361592440 387506038 /nfs/dbraw/zinc/50/60/38/387506038.db2.gz BPGBSHFINRQINE-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN Cc1cc(C)cc(CN2CCOCC[C@@H]2C)c1 ZINC000374996552 387506068 /nfs/dbraw/zinc/50/60/68/387506068.db2.gz HMLBBAOSGQJQMQ-AWEZNQCLSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H]2CCCC[C@@H]2O)o1 ZINC000085979367 387509237 /nfs/dbraw/zinc/50/92/37/387509237.db2.gz GAWBTGZUTXMJRY-UPJWGTAASA-N 0 3 237.343 2.790 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CN2C[C@@H](O)CC[C@@H]2C)o1 ZINC000648078120 387509254 /nfs/dbraw/zinc/50/92/54/387509254.db2.gz FTXNCZQBXPPDKT-OWTLIXCDSA-N 0 3 249.354 2.748 20 0 BFADHN Cc1cc(C)nc(N[C@@H]2CCOC[C@H]2C)c1 ZINC000192646208 387512208 /nfs/dbraw/zinc/51/22/08/387512208.db2.gz MHBYLPHEZLVGPA-ZYHUDNBSSA-N 0 3 220.316 2.535 20 0 BFADHN C[C@H](Cc1ccsc1)NCc1cncs1 ZINC000054788343 387513231 /nfs/dbraw/zinc/51/32/31/387513231.db2.gz PYWMYLLRSNGLKY-SECBINFHSA-N 0 3 238.381 2.925 20 0 BFADHN Cc1cc(C)nc(N[C@H]2CCOC[C@@H]2C)c1 ZINC000192646195 387513501 /nfs/dbraw/zinc/51/35/01/387513501.db2.gz MHBYLPHEZLVGPA-JQWIXIFHSA-N 0 3 220.316 2.535 20 0 BFADHN C[C@H]1CCN(Cc2ccc3c(n2)CCC3)[C@H]1C ZINC000516547742 387520760 /nfs/dbraw/zinc/52/07/60/387520760.db2.gz RXFBCPFAYVBBCA-RYUDHWBXSA-N 0 3 230.355 2.801 20 0 BFADHN FCCCCCN1CCOCC12CCCC2 ZINC000375295481 387524000 /nfs/dbraw/zinc/52/40/00/387524000.db2.gz IKIUXYCYOKFPGD-UHFFFAOYSA-N 0 3 229.339 2.771 20 0 BFADHN C[C@H](NCCc1ccccc1F)c1ccncn1 ZINC000396058890 387530268 /nfs/dbraw/zinc/53/02/68/387530268.db2.gz XCOCCEKFTOAFGE-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN CCC[C@H](C)CN1CCC[C@@H]1c1ncc[nH]1 ZINC000375355833 387531933 /nfs/dbraw/zinc/53/19/33/387531933.db2.gz XTMZSTISQHKCSP-NWDGAFQWSA-N 0 3 221.348 2.983 20 0 BFADHN CCC[C@H](O)CNCc1cc2ccccc2o1 ZINC000112377096 387544630 /nfs/dbraw/zinc/54/46/30/387544630.db2.gz BCETWRICUYYDSX-LBPRGKRZSA-N 0 3 233.311 2.683 20 0 BFADHN CN(CCc1ccc(F)cc1)CC1(F)CC1 ZINC000527218823 387539518 /nfs/dbraw/zinc/53/95/18/387539518.db2.gz UBOPQOGMBHUDAE-UHFFFAOYSA-N 0 3 225.282 2.802 20 0 BFADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1ncccn1 ZINC000361830011 387540859 /nfs/dbraw/zinc/54/08/59/387540859.db2.gz PNICORMNFPGMNB-OLZOCXBDSA-N 0 3 233.359 2.877 20 0 BFADHN Cc1cn[nH]c1CNC1(c2ccc(F)cc2)CC1 ZINC000352829255 387541595 /nfs/dbraw/zinc/54/15/95/387541595.db2.gz GCQDFUOHRGRCOK-UHFFFAOYSA-N 0 3 245.301 2.636 20 0 BFADHN CC[C@H](CC(F)(F)F)NCc1c(C)cnn1C ZINC000352738130 387464960 /nfs/dbraw/zinc/46/49/60/387464960.db2.gz SEEYTOFWUTUQRX-SECBINFHSA-N 0 3 249.280 2.549 20 0 BFADHN OCC1(CCNCc2ccccc2Cl)CC1 ZINC000395822823 387469085 /nfs/dbraw/zinc/46/90/85/387469085.db2.gz RPCOQWDKPFVXFS-UHFFFAOYSA-N 0 3 239.746 2.592 20 0 BFADHN CCCCN(CC)C(=O)CN(C(C)C)C(C)C ZINC000352767352 387470142 /nfs/dbraw/zinc/47/01/42/387470142.db2.gz AHXLDPACBUKXRS-UHFFFAOYSA-N 0 3 242.407 2.754 20 0 BFADHN CC(C)[C@H]1CCN(Cc2cnn(C(C)C)c2)C1 ZINC000355077517 387475606 /nfs/dbraw/zinc/47/56/06/387475606.db2.gz GHIDSSWOIFHADF-AWEZNQCLSA-N 0 3 235.375 2.942 20 0 BFADHN CC/C=C\CCN(CCO)Cc1ccc(C)o1 ZINC000361523769 387479634 /nfs/dbraw/zinc/47/96/34/387479634.db2.gz GKXCXPPUBDHTKZ-PLNGDYQASA-N 0 3 237.343 2.739 20 0 BFADHN CC(C)n1cc(CNCC2(C(F)F)CC2)cn1 ZINC000355148953 387487883 /nfs/dbraw/zinc/48/78/83/387487883.db2.gz FNTRGTDVCJFBJX-UHFFFAOYSA-N 0 3 243.301 2.599 20 0 BFADHN CCOCCNC/C=C\c1ccc(F)c(F)c1 ZINC000352799435 387489085 /nfs/dbraw/zinc/48/90/85/387489085.db2.gz YJWZINVKQCUOLJ-ARJAWSKDSA-N 0 3 241.281 2.604 20 0 BFADHN Cc1ccc2c(c1)CCN(C[C@@H]1CC[C@@H](C)O1)C2 ZINC000361840957 387542635 /nfs/dbraw/zinc/54/26/35/387542635.db2.gz ACNQZLJCBBTQQL-CJNGLKHVSA-N 0 3 245.366 2.921 20 0 BFADHN Cc1ccc(CN[C@@H](C)c2cnn(C)c2)c(C)c1 ZINC000336789836 387582226 /nfs/dbraw/zinc/58/22/26/387582226.db2.gz UYEPBBOUEJEUDB-ZDUSSCGKSA-N 0 3 243.354 2.888 20 0 BFADHN CCc1ccccc1C1=CCN(C)CC1 ZINC000005956756 387584388 /nfs/dbraw/zinc/58/43/88/387584388.db2.gz MEWZYEZVAPPYOR-UHFFFAOYSA-N 0 3 201.313 2.968 20 0 BFADHN CCOC[C@@H]1CCCN(CCC(F)(F)F)C1 ZINC000355877095 387587637 /nfs/dbraw/zinc/58/76/37/387587637.db2.gz CUAUBXRMSGDZRL-SNVBAGLBSA-N 0 3 239.281 2.687 20 0 BFADHN CC(C)[C@H]1CN(CC2(F)CC2)CCS1 ZINC000527511214 387590286 /nfs/dbraw/zinc/59/02/86/387590286.db2.gz XMUMSUYKXCTOAM-SNVBAGLBSA-N 0 3 217.353 2.562 20 0 BFADHN CC(C)[C@@H](CNCC1(F)CC1)c1cccnc1 ZINC000527522338 387594799 /nfs/dbraw/zinc/59/47/99/387594799.db2.gz GRLONNPYSZSYFE-CYBMUJFWSA-N 0 3 236.334 2.913 20 0 BFADHN Cc1cc(C)c(CNCCn2cccn2)c(C)c1 ZINC000042351734 387628747 /nfs/dbraw/zinc/62/87/47/387628747.db2.gz XUTXRSZCAAGYTG-UHFFFAOYSA-N 0 3 243.354 2.598 20 0 BFADHN COc1cc(C)c(CN2[C@@H](C)C[C@@H]2C)cc1OC ZINC000527529409 387599024 /nfs/dbraw/zinc/59/90/24/387599024.db2.gz KHDNFGPGRDRRKU-RYUDHWBXSA-N 0 3 249.354 2.995 20 0 BFADHN Cc1ccc(CCN2C[C@H](C)OC[C@@H]2C)cc1 ZINC000123866419 387599289 /nfs/dbraw/zinc/59/92/89/387599289.db2.gz YXHLLFNGHGEGEK-KBPBESRZSA-N 0 3 233.355 2.647 20 0 BFADHN CC(C)C[C@H](CO)N[C@@H]1CCCc2occc21 ZINC000123999257 387599869 /nfs/dbraw/zinc/59/98/69/387599869.db2.gz MOVJYMCGQCEYIO-DGCLKSJQSA-N 0 3 237.343 2.654 20 0 BFADHN CCC[C@@](C)(CO)NCc1cc(F)cc(F)c1 ZINC000305360629 387601039 /nfs/dbraw/zinc/60/10/39/387601039.db2.gz MLVUDUXBLPOPRE-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN Cc1cc(CN2C3CCC2CC3)ccn1 ZINC000527531410 387601541 /nfs/dbraw/zinc/60/15/41/387601541.db2.gz AQXVJGBJVMPQTF-UHFFFAOYSA-N 0 3 202.301 2.517 20 0 BFADHN Cc1cc(CN2CCC[C@@H]2[C@H]2CCCO2)ccn1 ZINC000527539794 387608257 /nfs/dbraw/zinc/60/82/57/387608257.db2.gz PJBOHIDCIIPVQD-HUUCEWRRSA-N 0 3 246.354 2.533 20 0 BFADHN Cc1cc(CN2CC(C)=C[C@H](C)C2)ccn1 ZINC000527539248 387608450 /nfs/dbraw/zinc/60/84/50/387608450.db2.gz LSZYCIPPZJQSEU-NSHDSACASA-N 0 3 216.328 2.788 20 0 BFADHN Cc1cc(CN2CCC[C@H]2[C@H]2CCCO2)ccn1 ZINC000527539796 387609440 /nfs/dbraw/zinc/60/94/40/387609440.db2.gz PJBOHIDCIIPVQD-LSDHHAIUSA-N 0 3 246.354 2.533 20 0 BFADHN Cc1noc(C)c1CN1CCC2(CCC2)C1 ZINC000122915585 387617412 /nfs/dbraw/zinc/61/74/12/387617412.db2.gz WOKFEGWGAPBUPI-UHFFFAOYSA-N 0 3 220.316 2.667 20 0 BFADHN CSC1(CN(C)Cc2ccc[nH]2)CCC1 ZINC000356135435 387620877 /nfs/dbraw/zinc/62/08/77/387620877.db2.gz ZVZMIZKYDPCQII-UHFFFAOYSA-N 0 3 224.373 2.732 20 0 BFADHN CCOC[C@H]1CCCN(Cc2ccnc(C)c2)C1 ZINC000527533302 387625024 /nfs/dbraw/zinc/62/50/24/387625024.db2.gz IHXLQZIAYUXKFC-HNNXBMFYSA-N 0 3 248.370 2.639 20 0 BFADHN CCc1ccc(CNC[C@@](C)(O)[C@@H](C)CC)o1 ZINC000305080076 387547059 /nfs/dbraw/zinc/54/70/59/387547059.db2.gz MDNWAODAIOAIQH-SMDDNHRTSA-N 0 3 239.359 2.729 20 0 BFADHN CCN(CCC1CC1)Cc1cnn(CC)c1 ZINC000355528671 387547546 /nfs/dbraw/zinc/54/75/46/387547546.db2.gz ZXNUUVZJROXDFF-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN Cc1cnc(CN[C@H](C)[C@@H]2C[C@@H]2C)s1 ZINC000321924558 387549048 /nfs/dbraw/zinc/54/90/48/387549048.db2.gz RNSXLAWYYDHVIU-FXBDTBDDSA-N 0 3 210.346 2.586 20 0 BFADHN C=Cn1cc(CN(C)[C@H]2CCC(C)(C)C2)cn1 ZINC000355578100 387552871 /nfs/dbraw/zinc/55/28/71/387552871.db2.gz FIQZGGFFJQNQGA-ZDUSSCGKSA-N 0 3 233.359 2.994 20 0 BFADHN C[C@H](NCCc1ccco1)c1ccncc1 ZINC000035661715 387553417 /nfs/dbraw/zinc/55/34/17/387553417.db2.gz MEOPAKAQTCAHJB-NSHDSACASA-N 0 3 216.284 2.568 20 0 BFADHN CSCCN1CCC(c2ccccn2)CC1 ZINC000361954336 387553609 /nfs/dbraw/zinc/55/36/09/387553609.db2.gz DVDZQAZUZMYTCF-UHFFFAOYSA-N 0 3 236.384 2.624 20 0 BFADHN CCCNC(=O)CN[C@H](CCC)c1ccccc1 ZINC000035139280 387555102 /nfs/dbraw/zinc/55/51/02/387555102.db2.gz QYPNSWXERWWONO-CQSZACIVSA-N 0 3 248.370 2.644 20 0 BFADHN CCCNC(=O)CN[C@@H](CCC)c1ccccc1 ZINC000035139279 387555401 /nfs/dbraw/zinc/55/54/01/387555401.db2.gz QYPNSWXERWWONO-AWEZNQCLSA-N 0 3 248.370 2.644 20 0 BFADHN CC[N@@H+](Cc1nc(C)ccc1[O-])CC1CCC1 ZINC000355607953 387556296 /nfs/dbraw/zinc/55/62/96/387556296.db2.gz ONLWCNJPRPGEDP-UHFFFAOYSA-N 0 3 234.343 2.718 20 0 BFADHN CC[N@H+](Cc1nc(C)ccc1[O-])CC1CCC1 ZINC000355607953 387556301 /nfs/dbraw/zinc/55/63/01/387556301.db2.gz ONLWCNJPRPGEDP-UHFFFAOYSA-N 0 3 234.343 2.718 20 0 BFADHN CCN(Cc1c(C)nn(C)c1C)CC1CCC1 ZINC000355611952 387556555 /nfs/dbraw/zinc/55/65/55/387556555.db2.gz TVJYKAJQSHQVKM-UHFFFAOYSA-N 0 3 235.375 2.659 20 0 BFADHN CCN(Cc1c(C)n[nH]c1C)CC1CCC1 ZINC000355608051 387557068 /nfs/dbraw/zinc/55/70/68/387557068.db2.gz OWBYYPOTWXJWCC-UHFFFAOYSA-N 0 3 221.348 2.649 20 0 BFADHN COCC(C)(C)CN(C)Cc1ccoc1C ZINC000426415844 387566985 /nfs/dbraw/zinc/56/69/85/387566985.db2.gz FKFHUPGQFPVTKY-UHFFFAOYSA-N 0 3 225.332 2.692 20 0 BFADHN CC(C)(C)CCN1CCC[C@H]1c1cc[nH]n1 ZINC000375725339 387574827 /nfs/dbraw/zinc/57/48/27/387574827.db2.gz BWTKJAGVOXAMIS-LBPRGKRZSA-N 0 3 221.348 2.983 20 0 BFADHN CCC[C@@H](NCc1ccno1)C(C)(C)C ZINC000572472736 387579402 /nfs/dbraw/zinc/57/94/02/387579402.db2.gz HDBVWWQPBQUQCB-LLVKDONJSA-N 0 3 210.321 2.979 20 0 BFADHN Cc1cc(CN2CC[C@@H](OCC(C)C)C2)ccn1 ZINC000527535702 387631260 /nfs/dbraw/zinc/63/12/60/387631260.db2.gz SENKYIVGWWZQPX-OAHLLOKOSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1cc(CN2CCCC[C@@H]2C)ccn1 ZINC000527535726 387631551 /nfs/dbraw/zinc/63/15/51/387631551.db2.gz VNRZEIQASDYBHZ-LBPRGKRZSA-N 0 3 204.317 2.764 20 0 BFADHN COC(=O)[C@@H]1CCCN1CC1CCCCCC1 ZINC000124819091 387633164 /nfs/dbraw/zinc/63/31/64/387633164.db2.gz GBCQKRFGIVSMHP-ZDUSSCGKSA-N 0 3 239.359 2.594 20 0 BFADHN CC/C=C\CCN(C)[C@@H](C)C(=O)NC(C)(C)C ZINC000362583793 387634392 /nfs/dbraw/zinc/63/43/92/387634392.db2.gz NRNVCGIWLXEAGT-LAUAKBEESA-N 0 3 240.391 2.578 20 0 BFADHN C[C@@H]1CC(F)(F)CCN1CCOCC1CCC1 ZINC000528768307 387634414 /nfs/dbraw/zinc/63/44/14/387634414.db2.gz JIDTVKGJTMKODW-LLVKDONJSA-N 0 3 247.329 2.923 20 0 BFADHN CCOC[C@H](C)N[C@@H]1CCc2c1cccc2F ZINC000211887987 387634479 /nfs/dbraw/zinc/63/44/79/387634479.db2.gz AYFHGDUJDJTNSP-IINYFYTJSA-N 0 3 237.318 2.828 20 0 BFADHN Cc1ccc(CN[C@]23C[C@H]2COC3(C)C)cc1C ZINC000527758195 387694784 /nfs/dbraw/zinc/69/47/84/387694784.db2.gz IVNXVSYWFLPJRT-GOEBONIOSA-N 0 3 245.366 2.961 20 0 BFADHN CCc1ccc([C@H](C)NCCn2cccn2)s1 ZINC000042539660 387634662 /nfs/dbraw/zinc/63/46/62/387634662.db2.gz GDTLQBAJJPQPOF-NSHDSACASA-N 0 3 249.383 2.858 20 0 BFADHN COc1cncc(CN2[C@H](C)CCC2(C)C)c1 ZINC000452005656 387695040 /nfs/dbraw/zinc/69/50/40/387695040.db2.gz KFDUUOLSMGOHTL-LLVKDONJSA-N 0 3 234.343 2.853 20 0 BFADHN CCC[C@H](N[C@@H](CC)CCO)c1ccccn1 ZINC000305746745 387644735 /nfs/dbraw/zinc/64/47/35/387644735.db2.gz VZZGVWGDHXEKSJ-JSGCOSHPSA-N 0 3 236.359 2.673 20 0 BFADHN CCC[C@@H](N[C@H](CC)CCO)c1ccccn1 ZINC000305746747 387645318 /nfs/dbraw/zinc/64/53/18/387645318.db2.gz VZZGVWGDHXEKSJ-TZMCWYRMSA-N 0 3 236.359 2.673 20 0 BFADHN CCC[C@@H](O)CN[C@@H](CCC)c1ccccn1 ZINC000305746118 387646143 /nfs/dbraw/zinc/64/61/43/387646143.db2.gz VSFDJKJERHQMBO-OLZOCXBDSA-N 0 3 236.359 2.673 20 0 BFADHN CCC[C@H](CC)NC(=O)[C@@H]1CCCN1CCC ZINC000362698578 387649773 /nfs/dbraw/zinc/64/97/73/387649773.db2.gz JNZUGGIAKLJMFP-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN CCN(CC)[C@H](C)C(=O)N1[C@@H](C)CCC[C@@H]1C ZINC000123111093 387654883 /nfs/dbraw/zinc/65/48/83/387654883.db2.gz LERUZFMVMYFMIT-RWMBFGLXSA-N 0 3 240.391 2.506 20 0 BFADHN COC(=O)c1cccc(CN2[C@H](C)CC[C@H]2C)c1 ZINC000123102404 387655346 /nfs/dbraw/zinc/65/53/46/387655346.db2.gz CPPUVUZDWXFMGC-VXGBXAGGSA-N 0 3 247.338 2.846 20 0 BFADHN CC1=C[C@H](C)CN(Cc2cnn(CC(C)C)c2)C1 ZINC000430873983 387655499 /nfs/dbraw/zinc/65/54/99/387655499.db2.gz XQLIIKKOHMGLBA-ZDUSSCGKSA-N 0 3 247.386 2.937 20 0 BFADHN CCn1ccnc1[C@@H]1CCCCN1CC1CC1 ZINC000430880664 387656869 /nfs/dbraw/zinc/65/68/69/387656869.db2.gz YZJSGQXSFJLVIT-ZDUSSCGKSA-N 0 3 233.359 2.840 20 0 BFADHN CC1=C[C@H](C)CN(Cc2cccc3nccn32)C1 ZINC000430878320 387657414 /nfs/dbraw/zinc/65/74/14/387657414.db2.gz IHXUEYSCLJAYJL-LBPRGKRZSA-N 0 3 241.338 2.732 20 0 BFADHN Cc1cnc(CN[C@@H](C)[C@@H]2C[C@H]2C2CC2)s1 ZINC000527664193 387665517 /nfs/dbraw/zinc/66/55/17/387665517.db2.gz BXIYOAOXSZLNKB-DLOVCJGASA-N 0 3 236.384 2.976 20 0 BFADHN Cc1cc(CN[C@H]2C[C@@](C)(O)C2)ccc1Cl ZINC000418107999 387667238 /nfs/dbraw/zinc/66/72/38/387667238.db2.gz QLLTVJGGFJGTQQ-BJHJDKERSA-N 0 3 239.746 2.651 20 0 BFADHN C[C@H](CF)NCc1ccc2cc[nH]c2c1 ZINC000527711389 387669852 /nfs/dbraw/zinc/66/98/52/387669852.db2.gz ZSRSMIRABVPJKC-SECBINFHSA-N 0 3 206.264 2.616 20 0 BFADHN CCc1ncc(CN[C@@H](C)[C@@H]2C[C@H]2C2CC2)o1 ZINC000527720788 387674422 /nfs/dbraw/zinc/67/44/22/387674422.db2.gz XXRFCTBHNHPBLQ-XDTLVQLUSA-N 0 3 234.343 2.761 20 0 BFADHN CCC[C@H](C)N(C)Cc1cccnc1OC ZINC000362939329 387676993 /nfs/dbraw/zinc/67/69/93/387676993.db2.gz YZXDSBZCJFLPMF-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN CSC[C@H]1CCCN1Cc1cccc(C)n1 ZINC000362963961 387680075 /nfs/dbraw/zinc/68/00/75/387680075.db2.gz QXKOGCSVVXLEPC-CYBMUJFWSA-N 0 3 236.384 2.717 20 0 BFADHN CCO[C@@H]1COC[C@H]1N[C@H](CC)c1ccccc1 ZINC000451930737 387680689 /nfs/dbraw/zinc/68/06/89/387680689.db2.gz AHTCZANQYPFULV-RBSFLKMASA-N 0 3 249.354 2.531 20 0 BFADHN c1c[nH]c(CNCC(C2CCC2)C2CCC2)n1 ZINC000527735041 387682426 /nfs/dbraw/zinc/68/24/26/387682426.db2.gz KSFKFHUQCZGEFF-UHFFFAOYSA-N 0 3 233.359 2.716 20 0 BFADHN CC(C)Cc1ccccc1CN[C@@H]1CCOC1 ZINC000527732446 387683152 /nfs/dbraw/zinc/68/31/52/387683152.db2.gz GOSCPEMCCGCOKW-OAHLLOKOSA-N 0 3 233.355 2.764 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1ccc(C)cc1F ZINC000631119114 387685722 /nfs/dbraw/zinc/68/57/22/387685722.db2.gz TVPHSOHGHVBKNF-WDEREUQCSA-N 0 3 225.307 2.647 20 0 BFADHN CC[C@H](F)CN1CCCC[C@@H](SC)C1 ZINC000440761946 387687153 /nfs/dbraw/zinc/68/71/53/387687153.db2.gz GVBVNWWSZIZXSP-WDEREUQCSA-N 0 3 219.369 2.952 20 0 BFADHN CCOC[C@@H](C)NCc1ccc(SC)cc1 ZINC000044690249 387688819 /nfs/dbraw/zinc/68/88/19/387688819.db2.gz SIFRKJUPJJIJFW-LLVKDONJSA-N 0 3 239.384 2.923 20 0 BFADHN CC[C@H](F)CN1CCS[C@@H](C(C)C)C1 ZINC000440774801 387694044 /nfs/dbraw/zinc/69/40/44/387694044.db2.gz ZBCSSZWQXLLDBO-WDEREUQCSA-N 0 3 219.369 2.808 20 0 BFADHN CCC(C)(C)CCN(C)Cc1cnn(C)c1 ZINC000441260398 387742640 /nfs/dbraw/zinc/74/26/40/387742640.db2.gz SRWNVBVZZXMUJV-UHFFFAOYSA-N 0 3 223.364 2.678 20 0 BFADHN FCCCCN1CC2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000377761385 387747297 /nfs/dbraw/zinc/74/72/97/387747297.db2.gz PQLVGBWVCCKRSL-OLZOCXBDSA-N 0 3 241.350 2.770 20 0 BFADHN CCSCCN1CC(C)(C)[C@H]1[C@H]1CCCO1 ZINC000367729377 387748006 /nfs/dbraw/zinc/74/80/06/387748006.db2.gz TUAKZJCVMLKUIT-VXGBXAGGSA-N 0 3 243.416 2.629 20 0 BFADHN CC(C)=CCN1CC2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000377783643 387748823 /nfs/dbraw/zinc/74/88/23/387748823.db2.gz OTSKXHSNYSHYSW-UONOGXRCSA-N 0 3 235.371 2.986 20 0 BFADHN Cc1cc(CN(C)[C@H]2CCOC2)ccc1Cl ZINC000356966392 387753759 /nfs/dbraw/zinc/75/37/59/387753759.db2.gz MTSQDTXYBSYSID-LBPRGKRZSA-N 0 3 239.746 2.869 20 0 BFADHN Cc1ccc(CN[C@@]23C[C@@H]2COC3(C)C)c(C)c1 ZINC000527758840 387698969 /nfs/dbraw/zinc/69/89/69/387698969.db2.gz MDKAERSRIHKHJL-ZBFHGGJFSA-N 0 3 245.366 2.961 20 0 BFADHN CCC(C)(C)NC(=O)CN1[C@@H](C)CCC1(C)C ZINC000452006033 387699712 /nfs/dbraw/zinc/69/97/12/387699712.db2.gz NLPMTNADCHYVJQ-NSHDSACASA-N 0 3 240.391 2.554 20 0 BFADHN COc1ncccc1CN1[C@@H](C)CCC1(C)C ZINC000452019008 387701435 /nfs/dbraw/zinc/70/14/35/387701435.db2.gz YJZONIZHPSHURC-NSHDSACASA-N 0 3 234.343 2.853 20 0 BFADHN CCC(NCc1cc(C)n(C)n1)(C1CC1)C1CC1 ZINC000527765900 387702894 /nfs/dbraw/zinc/70/28/94/387702894.db2.gz UOPHNPKEMWRQIM-UHFFFAOYSA-N 0 3 247.386 2.787 20 0 BFADHN CC[C@@H](F)CN1CCC(OCC2CC2)CC1 ZINC000440795723 387703602 /nfs/dbraw/zinc/70/36/02/387703602.db2.gz UUNLFDRTQBPAAB-GFCCVEGCSA-N 0 3 229.339 2.626 20 0 BFADHN COC[C@@H](C)N[C@H](c1ccccn1)C1CCC1 ZINC000527770537 387704696 /nfs/dbraw/zinc/70/46/96/387704696.db2.gz FSXZATCPHNABKX-RISCZKNCSA-N 0 3 234.343 2.547 20 0 BFADHN Clc1ccc(CNCC2COC2)c(Cl)c1 ZINC000527817154 387706780 /nfs/dbraw/zinc/70/67/80/387706780.db2.gz FUYQDHWBMBPSCF-UHFFFAOYSA-N 0 3 246.137 2.729 20 0 BFADHN CCOCCN[C@H]1CCc2ccc(Cl)cc21 ZINC000127156378 387706768 /nfs/dbraw/zinc/70/67/68/387706768.db2.gz YQEMXCWZTQRQRR-ZDUSSCGKSA-N 0 3 239.746 2.953 20 0 BFADHN Cc1cc(C)cc(CN[C@H](C)c2cnn(C)c2)c1 ZINC000301479771 177902323 /nfs/dbraw/zinc/90/23/23/177902323.db2.gz JAQAYZKTXYHHMG-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN CCOc1cccc(CN[C@@H]2C[C@]2(C)OCC)c1 ZINC000527783661 387711862 /nfs/dbraw/zinc/71/18/62/387711862.db2.gz PCIYZFZIHSHUJB-CABCVRRESA-N 0 3 249.354 2.742 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNCC23CC(C2)CO3)o1 ZINC000527786518 387712988 /nfs/dbraw/zinc/71/29/88/387712988.db2.gz DHGAEVVTWPSHFR-BCEZMXFNSA-N 0 3 247.338 2.672 20 0 BFADHN Cc1cc(CN2C[C@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)on1 ZINC000452050100 387714616 /nfs/dbraw/zinc/71/46/16/387714616.db2.gz VULQXWBTXJYSLM-PAPYEOQZSA-N 0 3 246.354 2.851 20 0 BFADHN CCn1ccnc1CNCC[C@@H]1CC=CCC1 ZINC000527789661 387714749 /nfs/dbraw/zinc/71/47/49/387714749.db2.gz MZCXXZRPSLABGA-CYBMUJFWSA-N 0 3 233.359 2.739 20 0 BFADHN C[C@H](NCc1cnns1)[C@H]1CCC[C@@H](C)C1 ZINC000452056076 387717636 /nfs/dbraw/zinc/71/76/36/387717636.db2.gz DAADXENDSVQKPD-VWYCJHECSA-N 0 3 239.388 2.843 20 0 BFADHN CC(C)O[C@@]1(C)C[C@H]1NCc1ccsc1 ZINC000527802017 387721385 /nfs/dbraw/zinc/72/13/85/387721385.db2.gz YLAAJKLEWWOOMU-NEPJUHHUSA-N 0 3 225.357 2.794 20 0 BFADHN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1NCc1ccc(Cl)o1 ZINC000527801852 387722381 /nfs/dbraw/zinc/72/23/81/387722381.db2.gz LBHWUUGFMQUQGI-UOKLYIGXSA-N 0 3 243.734 2.835 20 0 BFADHN COc1ccccc1CN[C@H]1[C@@H](C)[C@H](C)O[C@H]1C ZINC000527803316 387724854 /nfs/dbraw/zinc/72/48/54/387724854.db2.gz XPUCUERYYGUFIE-ASHKBJFXSA-N 0 3 249.354 2.597 20 0 BFADHN COc1ccccc1CN[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C ZINC000527803319 387726164 /nfs/dbraw/zinc/72/61/64/387726164.db2.gz XPUCUERYYGUFIE-YXMPFFBPSA-N 0 3 249.354 2.597 20 0 BFADHN Cc1cc(CN[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)cs1 ZINC000527804456 387727145 /nfs/dbraw/zinc/72/71/45/387727145.db2.gz PSZQYONNQRXRMQ-NOHGZBONSA-N 0 3 239.384 2.958 20 0 BFADHN CCc1cccnc1[C@@H](C)NC[C@@H]1CCCCO1 ZINC000356846097 387729604 /nfs/dbraw/zinc/72/96/04/387729604.db2.gz NJACUSWUOUOEAL-OCCSQVGLSA-N 0 3 248.370 2.864 20 0 BFADHN Fc1ccccc1N1CCN(CC2CCC2)CC1 ZINC000441177294 387731982 /nfs/dbraw/zinc/73/19/82/387731982.db2.gz IXVPUKNPMJYJAZ-UHFFFAOYSA-N 0 3 248.345 2.748 20 0 BFADHN CCc1ccc(CN[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)cn1 ZINC000527807406 387732101 /nfs/dbraw/zinc/73/21/01/387732101.db2.gz ZMHLZIVEPZGQLK-OXIQGZBJSA-N 0 3 248.370 2.546 20 0 BFADHN CCc1cccnc1[C@H](C)NC1CCC(O)CC1 ZINC000356848908 387732473 /nfs/dbraw/zinc/73/24/73/387732473.db2.gz PHHSCDIQGHNQAN-XGNXJENSSA-N 0 3 248.370 2.598 20 0 BFADHN CC[C@@H]1CN(CCC(C)(C)CC)CCO1 ZINC000441198180 387735184 /nfs/dbraw/zinc/73/51/84/387735184.db2.gz OIIUTFVALYFZKA-GFCCVEGCSA-N 0 3 213.365 2.924 20 0 BFADHN CCc1nc(CN[C@@H](C)[C@@H]2CC23CC3)cs1 ZINC000527862300 387736469 /nfs/dbraw/zinc/73/64/69/387736469.db2.gz OGGFGAIUKSAXIK-ONGXEEELSA-N 0 3 236.384 2.984 20 0 BFADHN CCCN(CCC(C)(C)CC)CC(=O)N(C)C ZINC000441223755 387738171 /nfs/dbraw/zinc/73/81/71/387738171.db2.gz COERENIXEVGHTM-UHFFFAOYSA-N 0 3 242.407 2.613 20 0 BFADHN CCOc1cccc(CNC[C@@H]2CC[C@@H](C)O2)c1 ZINC000128292977 387742518 /nfs/dbraw/zinc/74/25/18/387742518.db2.gz IWHHLZNDVYYJJA-DOMZBBRYSA-N 0 3 249.354 2.742 20 0 BFADHN C[C@H](CC[S@@](C)=O)N[C@@H](C)c1cccs1 ZINC000161842050 387762653 /nfs/dbraw/zinc/76/26/53/387762653.db2.gz KLIWNMFVJCLDLI-FTGAXOIBSA-N 0 3 245.413 2.556 20 0 BFADHN C[C@@H](NCC1(O)CCC1)c1ccccc1Cl ZINC000129374725 387767105 /nfs/dbraw/zinc/76/71/05/387767105.db2.gz JJGQKVREMRVONI-SNVBAGLBSA-N 0 3 239.746 2.906 20 0 BFADHN CC(C)[C@]1(CO)CCN(Cc2ccsc2)C1 ZINC000378131517 387767303 /nfs/dbraw/zinc/76/73/03/387767303.db2.gz PHDIFFPBCQAULW-CYBMUJFWSA-N 0 3 239.384 2.589 20 0 BFADHN CN(CC1=CCCC1)C[C@@H](O)Cc1ccccc1 ZINC000645922180 387768121 /nfs/dbraw/zinc/76/81/21/387768121.db2.gz HHLIUYNMFKNEKQ-INIZCTEOSA-N 0 3 245.366 2.632 20 0 BFADHN CC[C@@H](C)NC(=O)C(C)(C)[C@H](N)c1ccccc1 ZINC000422910900 387768475 /nfs/dbraw/zinc/76/84/75/387768475.db2.gz RUDNGGMRDWGSCR-DGCLKSJQSA-N 0 3 248.370 2.627 20 0 BFADHN CCN(CCSC)Cc1ccc(OC)cc1 ZINC000193910264 387812347 /nfs/dbraw/zinc/81/23/47/387812347.db2.gz ITECJQBNHORYGV-UHFFFAOYSA-N 0 3 239.384 2.880 20 0 BFADHN CCO[C@@]1(C)C[C@H]1N[C@H](C)c1ccc(OC)cc1 ZINC000527972666 387812548 /nfs/dbraw/zinc/81/25/48/387812548.db2.gz CESKIUDJUIRBSP-DFBGVHRSSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H](N[C@@H]1CCOC1)c1cnc2ccsc2c1 ZINC000131254961 387784804 /nfs/dbraw/zinc/78/48/04/387784804.db2.gz YJKZADKEDJBMLN-MWLCHTKSSA-N 0 3 248.351 2.736 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCC2(CCO)CC2)o1 ZINC000157321169 387784797 /nfs/dbraw/zinc/78/47/97/387784797.db2.gz UJNVPMZZHFYLAP-DGCLKSJQSA-N 0 3 249.354 2.655 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)NC(C)C)cc1 ZINC000049556768 387785371 /nfs/dbraw/zinc/78/53/71/387785371.db2.gz GWYDXBIOGKNPTH-OLZOCXBDSA-N 0 3 248.370 2.559 20 0 BFADHN CCc1cc(CN[C@@H](C)[C@H]2CC2(C)C)on1 ZINC000309451786 387792542 /nfs/dbraw/zinc/79/25/42/387792542.db2.gz LITZJSHQWIMOFS-JOYOIKCWSA-N 0 3 222.332 2.761 20 0 BFADHN CC[C@H](NC[C@@H]1CC[C@H]2C[C@H]2C1)c1ccn(C)n1 ZINC000527928503 387793050 /nfs/dbraw/zinc/79/30/50/387793050.db2.gz QNEIJJDQZGXSPB-RQJABVFESA-N 0 3 247.386 2.897 20 0 BFADHN COC[C@H]1CCN1CC(C)(C)c1ccccc1 ZINC000646235585 387794316 /nfs/dbraw/zinc/79/43/16/387794316.db2.gz XLLNDSOOXDQSTO-CQSZACIVSA-N 0 3 233.355 2.685 20 0 BFADHN CC[C@H](CSC)NCc1cncn1C(C)C ZINC000217328565 387795091 /nfs/dbraw/zinc/79/50/91/387795091.db2.gz JWTMINZZELDMBQ-LLVKDONJSA-N 0 3 241.404 2.695 20 0 BFADHN CCC[C@@H](C)CN1CCCC[C@@H]1C(=O)OCC ZINC000157427970 387796025 /nfs/dbraw/zinc/79/60/25/387796025.db2.gz YYUZUIBGCCBSJK-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@H](NCC[C@H]1CCCOC1)c1ccco1 ZINC000527933964 387796235 /nfs/dbraw/zinc/79/62/35/387796235.db2.gz ZCKDGUJAIRDYQM-NWDGAFQWSA-N 0 3 223.316 2.747 20 0 BFADHN C[C@@H](NCC12CC(C1)CO2)c1ccsc1 ZINC000527979955 387797402 /nfs/dbraw/zinc/79/74/02/387797402.db2.gz NQDUTSARBJZPSD-GRZMOONWSA-N 0 3 223.341 2.578 20 0 BFADHN C[C@H](NCC12CC(C1)CO2)c1ccc(F)cc1 ZINC000527981025 387798358 /nfs/dbraw/zinc/79/83/58/387798358.db2.gz RANWAMCJZGYIPJ-IFQILLTASA-N 0 3 235.302 2.655 20 0 BFADHN C[C@H](NCC[C@@H]1CC=CCC1)c1nccn1C ZINC000527979472 387798882 /nfs/dbraw/zinc/79/88/82/387798882.db2.gz FUBMDKPKIZHNLO-QWHCGFSZSA-N 0 3 233.359 2.817 20 0 BFADHN Fc1ccccc1CNCCCOC(F)F ZINC000193661829 387799520 /nfs/dbraw/zinc/79/95/20/387799520.db2.gz KUCUYUZBUSGIAD-UHFFFAOYSA-N 0 3 233.233 2.545 20 0 BFADHN c1cn2c(n1)[C@H](NCC[C@H]1CC=CCC1)CC2 ZINC000527981750 387799761 /nfs/dbraw/zinc/79/97/61/387799761.db2.gz RLTOLYCGBGMXML-QWHCGFSZSA-N 0 3 231.343 2.664 20 0 BFADHN CSC1(CNCc2ccc(C)o2)CC1 ZINC000193662204 387800137 /nfs/dbraw/zinc/80/01/37/387800137.db2.gz OZUAJNLEIFBNEU-UHFFFAOYSA-N 0 3 211.330 2.573 20 0 BFADHN CCOCCNCc1ccc(C)c(Cl)c1 ZINC000193731612 387801244 /nfs/dbraw/zinc/80/12/44/387801244.db2.gz XTVHAVQHTWSXGM-UHFFFAOYSA-N 0 3 227.735 2.775 20 0 BFADHN COc1cccc(CN[C@@H]2C=CCCC2)c1 ZINC000151139546 387802741 /nfs/dbraw/zinc/80/27/41/387802741.db2.gz PRKNJSVBVZSCDO-CYBMUJFWSA-N 0 3 217.312 2.894 20 0 BFADHN C[C@H](NCC[C@@H]1CC=CCC1)c1cnccn1 ZINC000527987246 387804136 /nfs/dbraw/zinc/80/41/36/387804136.db2.gz SNUQKYQCFYSOEJ-QWHCGFSZSA-N 0 3 231.343 2.874 20 0 BFADHN C[C@H]1C[C@@H](O)CCN1C/C=C\c1ccc(F)cc1 ZINC000564029234 387805703 /nfs/dbraw/zinc/80/57/03/387805703.db2.gz ZYVNGASEMQDMSK-IWAUEBOVSA-N 0 3 249.329 2.684 20 0 BFADHN CCC[C@H](CC)N[C@H]1Cc2ccccc2NC1=O ZINC000528000177 387807324 /nfs/dbraw/zinc/80/73/24/387807324.db2.gz NMGYPSXZOTWTHX-JSGCOSHPSA-N 0 3 246.354 2.718 20 0 BFADHN CN(Cc1ccc2c(n1)CCC2)[C@@H]1CC1(C)C ZINC000564054939 387809847 /nfs/dbraw/zinc/80/98/47/387809847.db2.gz KTGZATDCQIJKMR-CQSZACIVSA-N 0 3 230.355 2.801 20 0 BFADHN C[C@H](NCc1ncccn1)[C@H]1CCCC[C@H]1C ZINC000564043708 387810117 /nfs/dbraw/zinc/81/01/17/387810117.db2.gz GFBVTWXDHKALDY-AGIUHOORSA-N 0 3 233.359 2.781 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](c1ccccn1)C1CCC1 ZINC000527966108 387811515 /nfs/dbraw/zinc/81/15/15/387811515.db2.gz KPBMJALIVSOTHZ-ZOWXZIJZSA-N 0 3 248.370 2.936 20 0 BFADHN C[C@H](CC[S@](C)=O)N[C@@H](C)c1cccs1 ZINC000161841774 387759575 /nfs/dbraw/zinc/75/95/75/387759575.db2.gz KLIWNMFVJCLDLI-HFBDOXOYSA-N 0 3 245.413 2.556 20 0 BFADHN CC1(CN2CCc3ncsc3C2)CCC1 ZINC000432791338 387820095 /nfs/dbraw/zinc/82/00/95/387820095.db2.gz ZRCNLMWFHPOCSP-UHFFFAOYSA-N 0 3 222.357 2.691 20 0 BFADHN CN(CCC(=O)c1ccccc1)[C@@H]1CCSC1 ZINC000053931619 387822584 /nfs/dbraw/zinc/82/25/84/387822584.db2.gz PQXCWGOOONRFBR-CYBMUJFWSA-N 0 3 249.379 2.697 20 0 BFADHN C[C@H](NC[C@H]1CCN1C1CCCC1)c1ccoc1 ZINC000528009246 387823258 /nfs/dbraw/zinc/82/32/58/387823258.db2.gz HOHZUSZGTVABDL-SWLSCSKDSA-N 0 3 248.370 2.947 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1ncccn1 ZINC000054459467 387826394 /nfs/dbraw/zinc/82/63/94/387826394.db2.gz FWSPQVXMESZSGA-NWDGAFQWSA-N 0 3 219.332 2.535 20 0 BFADHN CC[C@H](NCC1COC1)c1ccccc1F ZINC000528025488 387829680 /nfs/dbraw/zinc/82/96/80/387829680.db2.gz NAOKTQNJMKDQRI-ZDUSSCGKSA-N 0 3 223.291 2.513 20 0 BFADHN C[C@@H](c1cnn(C)c1)N(C)CC1(C)CCC1 ZINC000432856305 387830571 /nfs/dbraw/zinc/83/05/71/387830571.db2.gz WCIJZODSLJUBRP-NSHDSACASA-N 0 3 221.348 2.603 20 0 BFADHN CC(C)[C@H]1CN(CC2(C)CCC2)CCO1 ZINC000432861537 387833918 /nfs/dbraw/zinc/83/39/18/387833918.db2.gz WOYRXPUOYFNRPO-GFCCVEGCSA-N 0 3 211.349 2.533 20 0 BFADHN CC(C)(C)[C@H]1CCN(Cc2cocn2)C1 ZINC000432918591 387841316 /nfs/dbraw/zinc/84/13/16/387841316.db2.gz QBNGVUZSAUMTDK-JTQLQIEISA-N 0 3 208.305 2.543 20 0 BFADHN Cc1cnc(CN[C@H](C)C2CCCCC2)cn1 ZINC000061320585 387842449 /nfs/dbraw/zinc/84/24/49/387842449.db2.gz WDOPJARKARKQQB-GFCCVEGCSA-N 0 3 233.359 2.843 20 0 BFADHN COC(=O)c1cc([C@@H](C)N2CCCC2)oc1C ZINC000432936377 387842741 /nfs/dbraw/zinc/84/27/41/387842741.db2.gz HUVYMWSGBZUPFT-SECBINFHSA-N 0 3 237.299 2.531 20 0 BFADHN COC(=O)c1cc([C@H](C)N2CCCC2)oc1C ZINC000432936379 387843238 /nfs/dbraw/zinc/84/32/38/387843238.db2.gz HUVYMWSGBZUPFT-VIFPVBQESA-N 0 3 237.299 2.531 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]C[C@@H]2C[C@H]2C2CCC2)n1 ZINC000424164098 387845647 /nfs/dbraw/zinc/84/56/47/387845647.db2.gz BRUATMPFYPAWHF-STQMWFEESA-N 0 3 246.354 2.621 20 0 BFADHN COc1ccnc(CN[C@@H]2CC3CCC2CC3)c1 ZINC000433008126 387849116 /nfs/dbraw/zinc/84/91/16/387849116.db2.gz XHXLLILJENHTCI-KOHJWAIASA-N 0 3 246.354 2.759 20 0 BFADHN OCC[C@@H](NCC1=CCCC1)c1ccccc1 ZINC000645846707 387852723 /nfs/dbraw/zinc/85/27/23/387852723.db2.gz UKKOXVIXJMYZQT-OAHLLOKOSA-N 0 3 231.339 2.810 20 0 BFADHN CCCN(C)CC(=O)N[C@H](C)CCC=C(C)C ZINC000452554912 387855374 /nfs/dbraw/zinc/85/53/74/387855374.db2.gz YCYFVURRNFWRPF-CYBMUJFWSA-N 0 3 240.391 2.579 20 0 BFADHN Cc1cnc(CCN2CC=CCC2)c(C)c1 ZINC000645847905 387857688 /nfs/dbraw/zinc/85/76/88/387857688.db2.gz QKSIJXMHLNFNTB-UHFFFAOYSA-N 0 3 216.328 2.503 20 0 BFADHN Cc1nnc([C@@H](C)N[C@H](C)C(C)C)s1 ZINC000135011199 134730230 /nfs/dbraw/zinc/73/02/30/134730230.db2.gz SOBHUEWRGIQJNX-HTQZYQBOSA-N 0 3 213.350 2.542 20 0 BFADHN c1nc(CNC[C@@H]2C[C@H]2C2CCC2)cs1 ZINC000424169885 387863837 /nfs/dbraw/zinc/86/38/37/387863837.db2.gz KHPSCJYFXAOFFC-JQWIXIFHSA-N 0 3 222.357 2.669 20 0 BFADHN Cc1ccc(CN2CCC[C@H]2CO)c(Cl)c1 ZINC000235879698 387864975 /nfs/dbraw/zinc/86/49/75/387864975.db2.gz MZGGGVSFVVJLSD-LBPRGKRZSA-N 0 3 239.746 2.605 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc3ccccc3[nH]2)[C@@H](C)O1 ZINC000648438106 387867466 /nfs/dbraw/zinc/86/74/66/387867466.db2.gz NOVAKBYPCQOZEY-UEKVPHQBSA-N 0 3 244.338 2.823 20 0 BFADHN CC[C@H](NCc1nc(C)cs1)[C@@H]1C[C@H]1C ZINC000322048921 387870776 /nfs/dbraw/zinc/87/07/76/387870776.db2.gz PECVDSXZHKYUIE-IEBDPFPHSA-N 0 3 224.373 2.976 20 0 BFADHN c1ccc(NC[C@@H]2CCN2C2CCCC2)nc1 ZINC000528209549 387871801 /nfs/dbraw/zinc/87/18/01/387871801.db2.gz NHBUWFCPXYBHQL-ZDUSSCGKSA-N 0 3 231.343 2.510 20 0 BFADHN Cc1cc([C@@H](C)NCC23CC(C2)CO3)c(C)o1 ZINC000527978560 387817642 /nfs/dbraw/zinc/81/76/42/387817642.db2.gz DQARMOYZQURBHY-PWQPVHBWSA-N 0 3 235.327 2.726 20 0 BFADHN Cc1ccc(CN(C)C)cc1NC(=O)C1(C)CC1 ZINC000152502697 387818639 /nfs/dbraw/zinc/81/86/39/387818639.db2.gz BZVCDJLKIDSBHV-UHFFFAOYSA-N 0 3 246.354 2.795 20 0 BFADHN CC1(C)CC(CN2CCOC(C)(C)C2)C1 ZINC000528335707 387894453 /nfs/dbraw/zinc/89/44/53/387894453.db2.gz DGRBQDACDORHGW-UHFFFAOYSA-N 0 3 211.349 2.533 20 0 BFADHN Fc1ccc2nc(NCc3cccnc3)[nH]c2c1 ZINC000236189922 387876243 /nfs/dbraw/zinc/87/62/43/387876243.db2.gz RPRROMWDBBMSTR-UHFFFAOYSA-N 0 3 242.257 2.709 20 0 BFADHN CCC[C@@H](NC(=O)CN(C)C(C)C)C(C)(C)C ZINC000452657007 387884047 /nfs/dbraw/zinc/88/40/47/387884047.db2.gz BJSXHKKWRYCASB-GFCCVEGCSA-N 0 3 242.407 2.658 20 0 BFADHN CC(C)CC1(CNCc2ccc(CO)o2)CC1 ZINC000152866085 387887264 /nfs/dbraw/zinc/88/72/64/387887264.db2.gz UCRRFGKEJAPFQP-UHFFFAOYSA-N 0 3 237.343 2.688 20 0 BFADHN CSCCCNC(C)(C)c1nccs1 ZINC000173421087 387888085 /nfs/dbraw/zinc/88/80/85/387888085.db2.gz MVBZNHVRSSHULX-UHFFFAOYSA-N 0 3 230.402 2.721 20 0 BFADHN Cc1ccncc1CNCCSC(C)C ZINC000290121482 387888806 /nfs/dbraw/zinc/88/88/06/387888806.db2.gz MBTSRCTTXPXYFP-UHFFFAOYSA-N 0 3 224.373 2.621 20 0 BFADHN CCCC[C@@H](N)C(=O)N1CCC[C@@](C)(CC)C1 ZINC000236698670 387900591 /nfs/dbraw/zinc/90/05/91/387900591.db2.gz QQTMJOUQEVYBHT-TZMCWYRMSA-N 0 3 240.391 2.543 20 0 BFADHN CCN(Cc1cc(CO)ccc1F)C(C)C ZINC000528353874 387907725 /nfs/dbraw/zinc/90/77/25/387907725.db2.gz PDNOURHNKSDYFA-UHFFFAOYSA-N 0 3 225.307 2.548 20 0 BFADHN Cc1ccc(F)c(CN(C)CC2(C)COC2)c1 ZINC000444743984 387919743 /nfs/dbraw/zinc/91/97/43/387919743.db2.gz KTQAXIUVFQYMPD-UHFFFAOYSA-N 0 3 237.318 2.602 20 0 BFADHN CS[C@@H](C)CN[C@H](C)c1cncs1 ZINC000161945920 387916151 /nfs/dbraw/zinc/91/61/51/387916151.db2.gz FIQGSMXJTKNTNI-JGVFFNPUSA-N 0 3 216.375 2.545 20 0 BFADHN CS[C@H](C)CN[C@H](C)c1cncs1 ZINC000161946046 387916719 /nfs/dbraw/zinc/91/67/19/387916719.db2.gz FIQGSMXJTKNTNI-HTQZYQBOSA-N 0 3 216.375 2.545 20 0 BFADHN CS[C@H](C)CN[C@@H](C)c1cncs1 ZINC000161945825 387916918 /nfs/dbraw/zinc/91/69/18/387916918.db2.gz FIQGSMXJTKNTNI-SFYZADRCSA-N 0 3 216.375 2.545 20 0 BFADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCCO ZINC000444777672 387930726 /nfs/dbraw/zinc/93/07/26/387930726.db2.gz SYQNPROQBAPZRL-LLVKDONJSA-N 0 3 247.260 2.570 20 0 BFADHN C[C@@H](NCC[C@H]1CCCCO1)c1ccccn1 ZINC000180250595 387933131 /nfs/dbraw/zinc/93/31/31/387933131.db2.gz MMHHRXHQQRCHAP-CHWSQXEVSA-N 0 3 234.343 2.691 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@H](C)C(C)C)nn1C ZINC000393785357 387935845 /nfs/dbraw/zinc/93/58/45/387935845.db2.gz UAFFXJZMDYVQDS-ZYHUDNBSSA-N 0 3 223.364 2.671 20 0 BFADHN CCn1nccc1CN1CCC[C@H](C(C)C)C1 ZINC000438323808 387921733 /nfs/dbraw/zinc/92/17/33/387921733.db2.gz DHXZPURJJLDPCX-ZDUSSCGKSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1cnc(CN(CC2CCC2)C(C)C)cn1 ZINC000444768827 387925187 /nfs/dbraw/zinc/92/51/87/387925187.db2.gz VJXHBNWLXVFTLZ-UHFFFAOYSA-N 0 3 233.359 2.796 20 0 BFADHN CC[C@H](C)N(C)CC(=O)NC1CCCCCC1 ZINC000533046761 387926794 /nfs/dbraw/zinc/92/67/94/387926794.db2.gz MXGCHASCEKWAEH-LBPRGKRZSA-N 0 3 240.391 2.556 20 0 BFADHN Cc1ccccc1CN1C[C@H](C)O[C@@H](C)[C@H]1C ZINC000438352172 387926844 /nfs/dbraw/zinc/92/68/44/387926844.db2.gz HKPKUSDTTHDEGB-MJBXVCDLSA-N 0 3 233.355 2.993 20 0 BFADHN Cc1cnc(CN[C@@H]2C[C@H]2C2CCC2)s1 ZINC000424200055 387928410 /nfs/dbraw/zinc/92/84/10/387928410.db2.gz QUSHINVARMHSTD-WDEREUQCSA-N 0 3 222.357 2.730 20 0 BFADHN CCC[C@@]1(C(=O)OCC)CCCN1CC1CC1 ZINC000444776892 387929006 /nfs/dbraw/zinc/92/90/06/387929006.db2.gz DGJDMIQEJZMWIQ-AWEZNQCLSA-N 0 3 239.359 2.594 20 0 BFADHN Cc1ncccc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000424202835 387929138 /nfs/dbraw/zinc/92/91/38/387929138.db2.gz TVJIIHNJRBPZRH-UONOGXRCSA-N 0 3 216.328 2.668 20 0 BFADHN C[C@@H](NC[C@@H]1C[C@H]1C1CCC1)c1nccn1C ZINC000424286720 387953210 /nfs/dbraw/zinc/95/32/10/387953210.db2.gz GLNVFEOQFXGZJG-WXHSDQCUSA-N 0 3 233.359 2.507 20 0 BFADHN C[C@@H](O)CN[C@]1(c2ccc(Cl)cc2)C[C@@H]1C ZINC000459439231 387940605 /nfs/dbraw/zinc/94/06/05/387940605.db2.gz VVLRVLVMUBNYSQ-OPQQBVKSSA-N 0 3 239.746 2.546 20 0 BFADHN Cc1oncc1CN1C[C@H](C)CC[C@H]1C ZINC000249091914 387950885 /nfs/dbraw/zinc/95/08/85/387950885.db2.gz DIOMADWQWUSMTD-NXEZZACHSA-N 0 3 208.305 2.603 20 0 BFADHN C[C@H](O)CCN1CC[C@H](C)C[C@H]1c1ccco1 ZINC000438991563 387971987 /nfs/dbraw/zinc/97/19/87/387971987.db2.gz OGPYXCADTINPCA-AVGNSLFASA-N 0 3 237.343 2.824 20 0 BFADHN C[C@@H](NC[C@@H]1C[C@H]1C1CCC1)c1ccncn1 ZINC000424298020 387958711 /nfs/dbraw/zinc/95/87/11/387958711.db2.gz RUJMYLIGNOSLQQ-WXHSDQCUSA-N 0 3 231.343 2.563 20 0 BFADHN C[C@H](N[C@H]1c2ccccc2C[C@@H]1C)c1cn[nH]c1 ZINC000438835462 387959066 /nfs/dbraw/zinc/95/90/66/387959066.db2.gz NHKQZSZRZYBWKU-ZIBATOQPSA-N 0 3 241.338 2.994 20 0 BFADHN CCn1cc(CN2CCC[C@H]3CCC[C@H]32)cn1 ZINC000245558402 387960708 /nfs/dbraw/zinc/96/07/08/387960708.db2.gz KTHDUKHMKUVHHZ-ZIAGYGMSSA-N 0 3 233.359 2.668 20 0 BFADHN CN(CCc1c(F)cccc1F)C1CCC1 ZINC000645808538 387960874 /nfs/dbraw/zinc/96/08/74/387960874.db2.gz VYSCPCQOZUDKGF-UHFFFAOYSA-N 0 3 225.282 2.992 20 0 BFADHN CCC1(C)CN(C[C@H](O)[C@@H](C)c2ccccc2)C1 ZINC000453017254 387962183 /nfs/dbraw/zinc/96/21/83/387962183.db2.gz MXHDBBSDZSFAJP-ZFWWWQNUSA-N 0 3 247.382 2.883 20 0 BFADHN CC(C)(C)OCCN[C@H](c1cccnc1)C1CC1 ZINC000445763175 387963971 /nfs/dbraw/zinc/96/39/71/387963971.db2.gz DXMNMHNYWQVARC-AWEZNQCLSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@@H]1COCC[C@@H]1N[C@@H]1C[C@@]1(F)c1ccccc1 ZINC000424313777 387965620 /nfs/dbraw/zinc/96/56/20/387965620.db2.gz BNZBSBPAZZEFCJ-FAAHXZRKSA-N 0 3 249.329 2.638 20 0 BFADHN CC[C@@]1(C)CN(CC2=CCCC2)CCO1 ZINC000645882689 387966191 /nfs/dbraw/zinc/96/61/91/387966191.db2.gz XBTPZSRMVYRYSQ-ZDUSSCGKSA-N 0 3 209.333 2.598 20 0 BFADHN Cn1ncc2c1CCC[C@@H]2N[C@@H]1C[C@H]1C1CCC1 ZINC000424317815 387968155 /nfs/dbraw/zinc/96/81/55/387968155.db2.gz CIFVVLSMVSODQQ-FPMFFAJLSA-N 0 3 245.370 2.576 20 0 BFADHN c1cncc([C@@H](NCCOCC2CC2)C2CC2)c1 ZINC000445816520 387970626 /nfs/dbraw/zinc/97/06/26/387970626.db2.gz WMDMKTXFVKEMNP-HNNXBMFYSA-N 0 3 246.354 2.549 20 0 BFADHN C[C@H](O)CCN1CC[C@@H](C)C[C@@H]1c1ccco1 ZINC000438991564 387970754 /nfs/dbraw/zinc/97/07/54/387970754.db2.gz OGPYXCADTINPCA-FRRDWIJNSA-N 0 3 237.343 2.824 20 0 BFADHN Cn1ccnc1[C@@H](N[C@@H]1C[C@H]1C1CCC1)C1CC1 ZINC000424323833 387972001 /nfs/dbraw/zinc/97/20/01/387972001.db2.gz JKKLNOVTDXUADW-MJBXVCDLSA-N 0 3 245.370 2.649 20 0 BFADHN CC(C)(C)C[C@@H](O)CNCc1ccc(F)cc1 ZINC000227373484 387956927 /nfs/dbraw/zinc/95/69/27/387956927.db2.gz HGXJHOTVJNHRGZ-CYBMUJFWSA-N 0 3 239.334 2.712 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@H](C)c1cnccc1N ZINC000423171121 387957537 /nfs/dbraw/zinc/95/75/37/387957537.db2.gz ZJSPZISPLHBEOS-KCPJHIHWSA-N 0 3 247.386 2.969 20 0 BFADHN C[C@H](CF)NCc1cccc2cccnc21 ZINC000288349777 387978610 /nfs/dbraw/zinc/97/86/10/387978610.db2.gz BXIWCCKBUHCHME-SNVBAGLBSA-N 0 3 218.275 2.682 20 0 BFADHN Cc1ccc2cc([C@@H](C)N[C@@H]3CC[C@H]3O)oc2c1 ZINC000424337698 387979923 /nfs/dbraw/zinc/97/99/23/387979923.db2.gz ZUJJEHHUPXQJNI-RAIGVLPGSA-N 0 3 245.322 2.915 20 0 BFADHN CO[C@H]1C[C@H](NCc2ccoc2C)C12CCC2 ZINC000453087559 387980519 /nfs/dbraw/zinc/98/05/19/387980519.db2.gz MJOXGTCIWWGXNN-STQMWFEESA-N 0 3 235.327 2.635 20 0 BFADHN CCC[C@H]1CN(C[C@@H]2CC=CCC2)CCO1 ZINC000245768228 387981646 /nfs/dbraw/zinc/98/16/46/387981646.db2.gz REFQWPLWJPWBFH-KGLIPLIRSA-N 0 3 223.360 2.844 20 0 BFADHN CCOC(=O)[C@@H](CC)N1CCCCC(C)(C)C1 ZINC000446058403 387987956 /nfs/dbraw/zinc/98/79/56/387987956.db2.gz FFZLTPSUHCUKMM-GFCCVEGCSA-N 0 3 241.375 2.840 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2C[C@H](O)C2(C)C)o1 ZINC000245872786 387989649 /nfs/dbraw/zinc/98/96/49/387989649.db2.gz ADJPOEUFSRUSGT-OJDJGZDQSA-N 0 3 249.354 2.652 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@@H]1CC1(C)C ZINC000453130385 387993092 /nfs/dbraw/zinc/99/30/92/387993092.db2.gz CFSABJUNPBRAMH-MNOVXSKESA-N 0 3 221.348 2.600 20 0 BFADHN CC[C@H](C)N(C)CC(=O)N(C)[C@H](C)CC(C)C ZINC000245931680 387995278 /nfs/dbraw/zinc/99/52/78/387995278.db2.gz YUPRZEVIKPYUEC-QWHCGFSZSA-N 0 3 242.407 2.610 20 0 BFADHN CCCn1cc(CN2C[C@H](C)CC[C@H]2C)cn1 ZINC000245809678 387983716 /nfs/dbraw/zinc/98/37/16/387983716.db2.gz ZDUOFSYMUDPBDI-CHWSQXEVSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCCCSC ZINC000453104929 387983900 /nfs/dbraw/zinc/98/39/00/387983900.db2.gz AEAMWLSOXAXZQB-LLVKDONJSA-N 0 3 241.404 2.697 20 0 BFADHN CO[C@H]1C[C@H]2C[C@@H](NCc3occc3C)C[C@H]2C1 ZINC000424353731 387986542 /nfs/dbraw/zinc/98/65/42/387986542.db2.gz RQJPALAKGIGHJZ-KPWCQOOUSA-N 0 3 249.354 2.881 20 0 BFADHN C[C@@H]1CCN(Cc2cccc3nccn32)C[C@H]1C ZINC000245856812 387987011 /nfs/dbraw/zinc/98/70/11/387987011.db2.gz NTVKFDGBEMXBMQ-CHWSQXEVSA-N 0 3 243.354 2.812 20 0 BFADHN CCn1ccnc1[C@H](C)NCC1(C(C)C)CC1 ZINC000453146836 387998289 /nfs/dbraw/zinc/99/82/89/387998289.db2.gz NDNXJSVUZQYWPL-LBPRGKRZSA-N 0 3 235.375 2.990 20 0 BFADHN CCn1ccnc1[C@@H](C)NCC1(C2CC2)CC1 ZINC000453172348 388001637 /nfs/dbraw/zinc/00/16/37/388001637.db2.gz NCIGMLDNAOZCPU-LLVKDONJSA-N 0 3 233.359 2.744 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](CO)CC(F)F)cs1 ZINC000453214072 388011096 /nfs/dbraw/zinc/01/10/96/388011096.db2.gz OZKCVEYNZLLSBX-PSASIEDQSA-N 0 3 249.326 2.723 20 0 BFADHN C[C@H]1CCN(Cc2ccccn2)C[C@H]1C ZINC000246060926 388005833 /nfs/dbraw/zinc/00/58/33/388005833.db2.gz YIMYJQVUTZJJKP-NWDGAFQWSA-N 0 3 204.317 2.560 20 0 BFADHN CS[C@H](CN[C@@H](C)c1cn[nH]c1)C(C)(C)C ZINC000453198780 388008938 /nfs/dbraw/zinc/00/89/38/388008938.db2.gz WJQNXHXHLAOOOK-GXSJLCMTSA-N 0 3 241.404 2.838 20 0 BFADHN CCOC[C@@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC000246095376 388008970 /nfs/dbraw/zinc/00/89/70/388008970.db2.gz AEGWERYXRWUQDC-ZIAGYGMSSA-N 0 3 248.370 2.891 20 0 BFADHN CC[C@H](N[C@@H](CCO)c1ccco1)C1CCC1 ZINC000453205747 388009537 /nfs/dbraw/zinc/00/95/37/388009537.db2.gz XCSXJSAMZCXDCP-STQMWFEESA-N 0 3 237.343 2.871 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](CO)CC(F)F)cs1 ZINC000453214097 388011194 /nfs/dbraw/zinc/01/11/94/388011194.db2.gz OZKCVEYNZLLSBX-SCZZXKLOSA-N 0 3 249.326 2.723 20 0 BFADHN OCC[C@H](N[C@@H](C1CC1)C1CCC1)c1ccco1 ZINC000453202727 388009689 /nfs/dbraw/zinc/00/96/89/388009689.db2.gz UGYYSCWLMCULKR-DZGCQCFKSA-N 0 3 249.354 2.871 20 0 BFADHN COC[C@@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC000246261333 388025561 /nfs/dbraw/zinc/02/55/61/388025561.db2.gz UTZTYSXQYXQFJG-CHWSQXEVSA-N 0 3 234.343 2.501 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H]1CCC1(C)C ZINC000453212646 388012058 /nfs/dbraw/zinc/01/20/58/388012058.db2.gz CFRZBSUPIVDRQA-MNOVXSKESA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@@H]1CN([C@H](C)c2ccccn2)CCS1 ZINC000246120314 388012743 /nfs/dbraw/zinc/01/27/43/388012743.db2.gz DBTUPRDXVOFTSA-VXGBXAGGSA-N 0 3 236.384 2.970 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCC1(C)CC1 ZINC000453233955 388019357 /nfs/dbraw/zinc/01/93/57/388019357.db2.gz XZFVDUFJBUZGKV-LLVKDONJSA-N 0 3 221.348 2.744 20 0 BFADHN COc1ccccc1C(C)(C)NCC1(C)COC1 ZINC000439779990 388020832 /nfs/dbraw/zinc/02/08/32/388020832.db2.gz JDWXJLBWTSAUIO-UHFFFAOYSA-N 0 3 249.354 2.556 20 0 BFADHN CC[C@H](N[C@H]1C[C@@H]1C(F)F)c1ccncc1 ZINC000453236647 388021031 /nfs/dbraw/zinc/02/10/31/388021031.db2.gz URXXFVWRKRCETE-DCAQKATOSA-N 0 3 226.270 2.776 20 0 BFADHN Cc1ccc([C@H](C)NC[C@H](N)c2ccccc2)o1 ZINC000423250095 388032371 /nfs/dbraw/zinc/03/23/71/388032371.db2.gz UPCVNTPOPLMBRP-JSGCOSHPSA-N 0 3 244.338 2.939 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@H]1CCn2ccnc21 ZINC000453280179 388032603 /nfs/dbraw/zinc/03/26/03/388032603.db2.gz FZOGPVPVWFLQGU-UPJWGTAASA-N 0 3 233.359 2.744 20 0 BFADHN CCC[C@H](NCc1nnc(C2CC2)[nH]1)C1CCC1 ZINC000453283581 388032782 /nfs/dbraw/zinc/03/27/82/388032782.db2.gz VQKBHTNVVKRBQO-LBPRGKRZSA-N 0 3 248.374 2.741 20 0 BFADHN CC[C@@H]1CCC[C@H]1CN[C@H](C)c1ccncn1 ZINC000453293783 388037144 /nfs/dbraw/zinc/03/71/44/388037144.db2.gz VQYHSVCLCRBZRZ-UPJWGTAASA-N 0 3 233.359 2.954 20 0 BFADHN COc1ccnc(CN(C)[C@H](C)C(C)(C)C)c1 ZINC000533249733 388039571 /nfs/dbraw/zinc/03/95/71/388039571.db2.gz QQNSIJJVBOMCQG-LLVKDONJSA-N 0 3 236.359 2.957 20 0 BFADHN C[C@@H](NCC1(CF)CCOCC1)c1ccco1 ZINC000453302402 388039949 /nfs/dbraw/zinc/03/99/49/388039949.db2.gz BQGKSJWDANDDOE-LLVKDONJSA-N 0 3 241.306 2.697 20 0 BFADHN CC[C@@H](NC[C@@H]1C[C@H]1CC)c1nccn1C ZINC000453310524 388042497 /nfs/dbraw/zinc/04/24/97/388042497.db2.gz RMWADZZVVILBFT-GRYCIOLGSA-N 0 3 221.348 2.507 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@@H](c1nccn1C)C1CC1 ZINC000453312656 388042588 /nfs/dbraw/zinc/04/25/88/388042588.db2.gz VQFHNENFKXNMHH-KGYLQXTDSA-N 0 3 233.359 2.507 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ccn(C(C)C)n1 ZINC000082866399 388043038 /nfs/dbraw/zinc/04/30/38/388043038.db2.gz YYPIDIGPIDCKJV-VXGBXAGGSA-N 0 3 223.364 2.988 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1ccn(C(C)C)n1 ZINC000082866402 388043210 /nfs/dbraw/zinc/04/32/10/388043210.db2.gz YYPIDIGPIDCKJV-RYUDHWBXSA-N 0 3 223.364 2.988 20 0 BFADHN C[C@@H](NCc1cccs1)[C@H](O)c1ccccc1 ZINC000082311266 388027528 /nfs/dbraw/zinc/02/75/28/388027528.db2.gz VUBUIPNYRJGLNP-RISCZKNCSA-N 0 3 247.363 2.960 20 0 BFADHN C[C@H](CO[C@H]1CCOC1)N[C@H](C)c1ccccc1 ZINC000246283406 388027910 /nfs/dbraw/zinc/02/79/10/388027910.db2.gz KERHDLLNXLRODN-NFAWXSAZSA-N 0 3 249.354 2.531 20 0 BFADHN CC[C@@H](N[C@@H](C)c1nccn1CC)C1CC1 ZINC000453343699 388052484 /nfs/dbraw/zinc/05/24/84/388052484.db2.gz ILSPRFZNWYAEFW-CMPLNLGQSA-N 0 3 221.348 2.742 20 0 BFADHN Clc1ccccc1CN[C@@H]1CCCOCC1 ZINC000083424332 388052499 /nfs/dbraw/zinc/05/24/99/388052499.db2.gz IMDBACRHCGYSEX-GFCCVEGCSA-N 0 3 239.746 2.999 20 0 BFADHN Fc1cccc(CCNCc2ccco2)c1F ZINC000083442752 388053338 /nfs/dbraw/zinc/05/33/38/388053338.db2.gz GHWAZHYAKWORGP-UHFFFAOYSA-N 0 3 237.249 2.890 20 0 BFADHN COc1cccnc1CN[C@H](C)C(C)(C)C ZINC000453351736 388055113 /nfs/dbraw/zinc/05/51/13/388055113.db2.gz FJUULPFHGDWSKR-SNVBAGLBSA-N 0 3 222.332 2.614 20 0 BFADHN Cc1cccc([C@@H](C)NCC(=O)N(C)C(C)C)c1 ZINC000160234047 388055184 /nfs/dbraw/zinc/05/51/84/388055184.db2.gz XXXMDZSFJPAFLA-CYBMUJFWSA-N 0 3 248.370 2.512 20 0 BFADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1cncc(C)c1)C1CC1 ZINC000453356799 388058771 /nfs/dbraw/zinc/05/87/71/388058771.db2.gz IUUKIDSGGJRYQC-ZOWXZIJZSA-N 0 3 248.370 2.854 20 0 BFADHN CC[C@H](N[C@H]1COCC1(C)C)c1ccncc1 ZINC000453364351 388059284 /nfs/dbraw/zinc/05/92/84/388059284.db2.gz LJKWJDCPQNLYBZ-STQMWFEESA-N 0 3 234.343 2.547 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](CC)[C@@H](C)O)o1 ZINC000453366005 388060569 /nfs/dbraw/zinc/06/05/69/388060569.db2.gz WTDAHSDVVLFTBY-UMNHJUIQSA-N 0 3 225.332 2.652 20 0 BFADHN CC[C@@H](NCc1cnc(C2CC2)o1)C1CC1 ZINC000453374230 388062359 /nfs/dbraw/zinc/06/23/59/388062359.db2.gz VYRAMZKNIZDRSC-GFCCVEGCSA-N 0 3 220.316 2.830 20 0 BFADHN CCC[C@H](NC[C@@H](O)C1CCC1)c1ccccn1 ZINC000453370179 388062499 /nfs/dbraw/zinc/06/24/99/388062499.db2.gz FRJCRVIGMMCWSB-DZGCQCFKSA-N 0 3 248.370 2.673 20 0 BFADHN C[C@@H]1CC[C@@H](NCc2cc(C(F)(F)F)n[nH]2)C1 ZINC000440633415 388063000 /nfs/dbraw/zinc/06/30/00/388063000.db2.gz FFCKQFVRFLOMSP-HTQZYQBOSA-N 0 3 247.264 2.707 20 0 BFADHN CC[C@H](NCc1cnc(C2CC2)o1)C1CC1 ZINC000453374231 388063321 /nfs/dbraw/zinc/06/33/21/388063321.db2.gz VYRAMZKNIZDRSC-LBPRGKRZSA-N 0 3 220.316 2.830 20 0 BFADHN COc1cccnc1CN[C@@H](C1CC1)C1CCC1 ZINC000453379034 388064462 /nfs/dbraw/zinc/06/44/62/388064462.db2.gz WFBSWVANOYUPRM-OAHLLOKOSA-N 0 3 246.354 2.759 20 0 BFADHN c1nc(C2CC2)oc1CN[C@@H]1CCC12CCC2 ZINC000453380499 388065445 /nfs/dbraw/zinc/06/54/45/388065445.db2.gz ZMVWREZUMCCZRL-GFCCVEGCSA-N 0 3 232.327 2.974 20 0 BFADHN CCc1cccc(NC(=O)[C@@H]2CCCCCN2)c1 ZINC000083896415 388065432 /nfs/dbraw/zinc/06/54/32/388065432.db2.gz NXKKNCOBEICTGN-AWEZNQCLSA-N 0 3 246.354 2.720 20 0 BFADHN Cc1cc(C)c(CN[C@H](C)[C@@]2(C)CCCO2)cn1 ZINC000453383636 388065837 /nfs/dbraw/zinc/06/58/37/388065837.db2.gz ZENSMSAPEZYZCI-UKRRQHHQSA-N 0 3 248.370 2.746 20 0 BFADHN CCn1ccnc1[C@@H](C)NC[C@@H]1C[C@H]1C1CC1 ZINC000453387952 388067930 /nfs/dbraw/zinc/06/79/30/388067930.db2.gz QFZYUXWAGPHMNW-WXHSDQCUSA-N 0 3 233.359 2.600 20 0 BFADHN C[C@@H](NCCC1CC(F)(F)C1)c1ccncn1 ZINC000453400355 388072278 /nfs/dbraw/zinc/07/22/78/388072278.db2.gz BHFHAJWOZOTSOK-SECBINFHSA-N 0 3 241.285 2.563 20 0 BFADHN COC(=O)c1coc([C@H](C)NCCC(C)C)c1 ZINC000447508446 388074432 /nfs/dbraw/zinc/07/44/32/388074432.db2.gz KHEYWQMOYGWYNB-JTQLQIEISA-N 0 3 239.315 2.763 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@H]1O)c1cc2ccccc2o1 ZINC000453405574 388075040 /nfs/dbraw/zinc/07/50/40/388075040.db2.gz JHTBOYXGYLTJML-RAIGVLPGSA-N 0 3 245.322 2.997 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](C2CC2)C2CCC2)nn1C ZINC000453409740 388075895 /nfs/dbraw/zinc/07/58/95/388075895.db2.gz GGAUNNNHPAOSPL-XHDPSFHLSA-N 0 3 247.386 2.958 20 0 BFADHN CC(C)[C@@H](NC[C@@H]1CC[C@@H](C)O1)c1cccnc1 ZINC000453410660 388077093 /nfs/dbraw/zinc/07/70/93/388077093.db2.gz QDDMHEHIXWUUNE-VHDGCEQUSA-N 0 3 248.370 2.936 20 0 BFADHN Cc1ccccc1C1(NC[C@H](O)C(C)C)CC1 ZINC000645980800 388114857 /nfs/dbraw/zinc/11/48/57/388114857.db2.gz LVIPKWMPDJJRDK-AWEZNQCLSA-N 0 3 233.355 2.591 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@H]1O)c1cccc(F)c1F ZINC000453420825 388080014 /nfs/dbraw/zinc/08/00/14/388080014.db2.gz XXKLNEJVKMKGAV-GGZOMVNGSA-N 0 3 241.281 2.529 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](C)[C@@H](C)C1)c1cnccn1 ZINC000085040466 388082971 /nfs/dbraw/zinc/08/29/71/388082971.db2.gz CRSNOSBWJHNJKY-ZDEQEGDKSA-N 0 3 233.359 2.952 20 0 BFADHN CC[C@]1(C(C)C)C[C@H]1N[C@H](C)c1cn[nH]c1 ZINC000453469103 388083637 /nfs/dbraw/zinc/08/36/37/388083637.db2.gz ZODWKMALIXVRKB-RAIGVLPGSA-N 0 3 221.348 2.885 20 0 BFADHN CCC[C@H](O)CNCc1ccsc1Cl ZINC000336659078 388084241 /nfs/dbraw/zinc/08/42/41/388084241.db2.gz QRLOPYIOTCJUQY-VIFPVBQESA-N 0 3 233.764 2.652 20 0 BFADHN Cc1cnc(CN[C@@H](C)CCC(C)C)n1C ZINC000336659398 388086081 /nfs/dbraw/zinc/08/60/81/388086081.db2.gz JFFZQYNZQBPKBM-NSHDSACASA-N 0 3 223.364 2.643 20 0 BFADHN c1ncc(CNCC2(C3CCC3)CCC2)cn1 ZINC000453582663 388086510 /nfs/dbraw/zinc/08/65/10/388086510.db2.gz OCMPJJWTPFSQNJ-UHFFFAOYSA-N 0 3 231.343 2.537 20 0 BFADHN CCC1CN(Cc2ccc(OCCOC)cc2)C1 ZINC000453599159 388089797 /nfs/dbraw/zinc/08/97/97/388089797.db2.gz BWOQUVWCNYLOHR-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN CCC1CN(Cc2cc(F)ccc2C)C1 ZINC000453603419 388090998 /nfs/dbraw/zinc/09/09/98/388090998.db2.gz LEWGIMYHFOVNSF-UHFFFAOYSA-N 0 3 207.292 2.976 20 0 BFADHN Cc1cnc(CN[C@@H](C)CC(C)(C)C)n1C ZINC000453607016 388091622 /nfs/dbraw/zinc/09/16/22/388091622.db2.gz WMVYMKTVZKSZEA-JTQLQIEISA-N 0 3 223.364 2.643 20 0 BFADHN CCC1CN(Cc2ccc(-n3cccn3)cc2)C1 ZINC000453608091 388092302 /nfs/dbraw/zinc/09/23/02/388092302.db2.gz SWDPCLARRFNUQO-UHFFFAOYSA-N 0 3 241.338 2.714 20 0 BFADHN Cc1ccc(CN[C@@H]2[C@H]3CCCO[C@@H]3C2(C)C)o1 ZINC000085676254 388092934 /nfs/dbraw/zinc/09/29/34/388092934.db2.gz OKQQVQNPIUOMTJ-MCIONIFRSA-N 0 3 249.354 2.881 20 0 BFADHN CCC(C)(C)OCCNC/C=C\c1ccncc1 ZINC000453618611 388093144 /nfs/dbraw/zinc/09/31/44/388093144.db2.gz SROGXZNCAPWJHY-WAYWQWQTSA-N 0 3 248.370 2.890 20 0 BFADHN C=Cn1cc(CN[C@H]2CCCC(F)(F)C2)cn1 ZINC000447810714 388093361 /nfs/dbraw/zinc/09/33/61/388093361.db2.gz ALCZMOUZQDFHBI-NSHDSACASA-N 0 3 241.285 2.651 20 0 BFADHN CC(C)(C)[C@@H]1CN([C@@H]2C=CCCC2)CCO1 ZINC000447829814 388094683 /nfs/dbraw/zinc/09/46/83/388094683.db2.gz HHNAYBFUWAWFAD-OLZOCXBDSA-N 0 3 223.360 2.842 20 0 BFADHN COc1cc(C)ccc1CNC[C@H]1CC[C@H](C)O1 ZINC000453637465 388095633 /nfs/dbraw/zinc/09/56/33/388095633.db2.gz YHNUMQYBQIJEFO-GXTWGEPZSA-N 0 3 249.354 2.661 20 0 BFADHN COc1cc(CNC[C@H]2CC[C@H](C)O2)ccc1C ZINC000453631061 388095706 /nfs/dbraw/zinc/09/57/06/388095706.db2.gz NCAFTFFUSLBKLK-GXTWGEPZSA-N 0 3 249.354 2.661 20 0 BFADHN CC[C@@H](C)CN1CCO[C@@H](C(C)(C)C)C1 ZINC000447835036 388096448 /nfs/dbraw/zinc/09/64/48/388096448.db2.gz SLJFXOBNSRXARY-VXGBXAGGSA-N 0 3 213.365 2.779 20 0 BFADHN CS[C@@H]1CCC[C@@H](NCc2ccns2)C1 ZINC000404471506 388096819 /nfs/dbraw/zinc/09/68/19/388096819.db2.gz LWZVCWBXRPDLAJ-NXEZZACHSA-N 0 3 242.413 2.907 20 0 BFADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1cc[nH]n1 ZINC000086662519 388105486 /nfs/dbraw/zinc/10/54/86/388105486.db2.gz RETSSPITDXQINJ-JQWIXIFHSA-N 0 3 221.348 2.714 20 0 BFADHN CC[C@H](C)N1CCN(CC2=CCCC2)CC1 ZINC000645925637 388107884 /nfs/dbraw/zinc/10/78/84/388107884.db2.gz HTCRCOWANMVLBW-ZDUSSCGKSA-N 0 3 222.376 2.513 20 0 BFADHN CCSCCCN[C@H](C)c1ccccn1 ZINC000163960519 388112377 /nfs/dbraw/zinc/11/23/77/388112377.db2.gz KQAMKWRXXZZIEA-LLVKDONJSA-N 0 3 224.373 2.875 20 0 BFADHN CCOC(=O)CCN(C)[C@@H](C)c1ccccc1 ZINC000057159111 388112380 /nfs/dbraw/zinc/11/23/80/388112380.db2.gz NWWKUUFGMHSLRK-LBPRGKRZSA-N 0 3 235.327 2.633 20 0 BFADHN CC[C@@H](O)CNC1(c2ccccc2Cl)CC1 ZINC000645976997 388113176 /nfs/dbraw/zinc/11/31/76/388113176.db2.gz ISBWZIAEMPUDCT-SNVBAGLBSA-N 0 3 239.746 2.690 20 0 BFADHN CCn1ccnc1CN[C@H]1CC[C@H]1C(C)(C)C ZINC000453323877 388047024 /nfs/dbraw/zinc/04/70/24/388047024.db2.gz QHLRVXWUNWAVOK-NEPJUHHUSA-N 0 3 235.375 2.817 20 0 BFADHN Cc1ccncc1CN(C)CCC[C@H]1CCOC1 ZINC000645896381 388049071 /nfs/dbraw/zinc/04/90/71/388049071.db2.gz OUAHWITZCWHVNM-AWEZNQCLSA-N 0 3 248.370 2.639 20 0 BFADHN FC1(F)CCN(CC2=CCCC2)CC1 ZINC000645896302 388049303 /nfs/dbraw/zinc/04/93/03/388049303.db2.gz AQZSTXHSOCUUOI-UHFFFAOYSA-N 0 3 201.260 2.828 20 0 BFADHN Cc1ccncc1CN(C)CC1=CCCC1 ZINC000645896410 388049902 /nfs/dbraw/zinc/04/99/02/388049902.db2.gz SNCZHNWXCWONHG-UHFFFAOYSA-N 0 3 216.328 2.932 20 0 BFADHN Cc1cccc(CCNCc2ccc(F)cn2)c1 ZINC000449192376 388155537 /nfs/dbraw/zinc/15/55/37/388155537.db2.gz LPLSEKCRWSCBRF-UHFFFAOYSA-N 0 3 244.313 2.861 20 0 BFADHN COc1nccnc1CN[C@@H]1CCCCC1(C)C ZINC000449191528 388155779 /nfs/dbraw/zinc/15/57/79/388155779.db2.gz KJWBSKCUYFLCDM-GFCCVEGCSA-N 0 3 249.358 2.544 20 0 BFADHN COc1cc(C)ccc1CN[C@@]1(C)CCCOC1 ZINC000449222348 388159358 /nfs/dbraw/zinc/15/93/58/388159358.db2.gz MAKMSOLEDPVNPD-HNNXBMFYSA-N 0 3 249.354 2.662 20 0 BFADHN Cc1cccc(CCN2CCOCC3(CC3)C2)c1 ZINC000449235897 388160015 /nfs/dbraw/zinc/16/00/15/388160015.db2.gz WGRFMGSLZBBLBF-UHFFFAOYSA-N 0 3 245.366 2.650 20 0 BFADHN C[C@@H](NC1CCSCC1)c1nccs1 ZINC000070650459 388162413 /nfs/dbraw/zinc/16/24/13/388162413.db2.gz JJXHBFJNTQIFOG-MRVPVSSYSA-N 0 3 228.386 2.689 20 0 BFADHN COc1cc(F)cc(CNC2CC(F)(F)C2)c1 ZINC000449282871 388167364 /nfs/dbraw/zinc/16/73/64/388167364.db2.gz JNBNXKQVDSHDCD-UHFFFAOYSA-N 0 3 245.244 2.722 20 0 BFADHN c1oc2ccccc2c1CNC1CSC1 ZINC000646226997 388168447 /nfs/dbraw/zinc/16/84/47/388168447.db2.gz WLQOPGIRPPSWIR-UHFFFAOYSA-N 0 3 219.309 2.638 20 0 BFADHN Cc1cccc(CN2CCC[C@@]23CCOC3)c1F ZINC000449313630 388171397 /nfs/dbraw/zinc/17/13/97/388171397.db2.gz XBZGGWIZLHRGSI-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN CCN(Cc1cccc(C)c1F)C[C@@H](C)OC ZINC000449335472 388175406 /nfs/dbraw/zinc/17/54/06/388175406.db2.gz IRUCQXRMEJQLPG-GFCCVEGCSA-N 0 3 239.334 2.991 20 0 BFADHN C[C@H](F)CCNCc1cc2cccnc2o1 ZINC000449342652 388176607 /nfs/dbraw/zinc/17/66/07/388176607.db2.gz DALBHTVNXXVSHP-VIFPVBQESA-N 0 3 222.263 2.666 20 0 BFADHN Cc1cccc(CNCC[C@@H](C)F)c1F ZINC000449345929 388177806 /nfs/dbraw/zinc/17/78/06/388177806.db2.gz XUISUMORKJDNSH-SNVBAGLBSA-N 0 3 213.271 2.972 20 0 BFADHN O[C@@H]1CCCN(Cc2cc3ccccc3[nH]2)CC1 ZINC000646298355 388178430 /nfs/dbraw/zinc/17/84/30/388178430.db2.gz JFUFQBHWTFAREB-CQSZACIVSA-N 0 3 244.338 2.515 20 0 BFADHN C[C@@H]1OCC[C@@H]1N[C@@H]1CCCc2c(F)cccc21 ZINC000449350173 388178885 /nfs/dbraw/zinc/17/88/85/388178885.db2.gz LGQGRUVUXADWHM-NZVBXONLSA-N 0 3 249.329 2.970 20 0 BFADHN CC(C)C1CC(N[C@@H](CCO)c2ccco2)C1 ZINC000488468236 388181056 /nfs/dbraw/zinc/18/10/56/388181056.db2.gz XOIREEUOESWGBD-BPCQOVAHSA-N 0 3 237.343 2.727 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCO[C@H](Cc2ccccc2)C1 ZINC000488459519 388181363 /nfs/dbraw/zinc/18/13/63/388181363.db2.gz LQPQPAGYHRXZKE-VNQPRFMTSA-N 0 3 245.366 2.586 20 0 BFADHN COc1ncccc1CN1C[C@H](C)CC1(C)C ZINC000488462134 388181593 /nfs/dbraw/zinc/18/15/93/388181593.db2.gz QWJJHVWYHFWOTG-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)C2CC2)[n-]1 ZINC000488496339 388182932 /nfs/dbraw/zinc/18/29/32/388182932.db2.gz DPPOGVRTTUFPCL-IVZWLZJFSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@H](C)c1nnc([C@@H](C)N[C@H](C)C2CC2)[nH]1 ZINC000488496339 388182935 /nfs/dbraw/zinc/18/29/35/388182935.db2.gz DPPOGVRTTUFPCL-IVZWLZJFSA-N 0 3 236.363 2.767 20 0 BFADHN COCc1cccc(CNC2CC(C)(F)C2)c1 ZINC000449369241 388184197 /nfs/dbraw/zinc/18/41/97/388184197.db2.gz BDEZRDKHRYVSQR-UHFFFAOYSA-N 0 3 237.318 2.813 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NC(CF)CF)C1 ZINC000449377961 388184209 /nfs/dbraw/zinc/18/42/09/388184209.db2.gz CCUNVZXDOQBNPZ-YWVKMMECSA-N 0 3 205.292 2.708 20 0 BFADHN CCc1ccc(CNC2CC(C)(F)C2)o1 ZINC000449369590 388184354 /nfs/dbraw/zinc/18/43/54/388184354.db2.gz FBJRDUBQSXUHER-UHFFFAOYSA-N 0 3 211.280 2.822 20 0 BFADHN COc1ccccc1CNC1CC(C)(F)C1 ZINC000449370279 388184540 /nfs/dbraw/zinc/18/45/40/388184540.db2.gz JANVKTYCRKZZMB-UHFFFAOYSA-N 0 3 223.291 2.675 20 0 BFADHN CCC[C@H]1CCCN([C@@H](CC)C(=O)OCC)C1 ZINC000466463819 388118929 /nfs/dbraw/zinc/11/89/29/388118929.db2.gz HXPJPPVQDIIYPD-STQMWFEESA-N 0 3 241.375 2.840 20 0 BFADHN CO[C@H](C)CN(Cc1ccc(C)nc1)CC1CC1 ZINC000646020295 388125493 /nfs/dbraw/zinc/12/54/93/388125493.db2.gz TWVBGMPAYUUCRZ-CYBMUJFWSA-N 0 3 248.370 2.637 20 0 BFADHN C[C@@H](O)CCNC1(c2ccccc2F)CCC1 ZINC000646024244 388126305 /nfs/dbraw/zinc/12/63/05/388126305.db2.gz YFULBSVKNDVKGS-LLVKDONJSA-N 0 3 237.318 2.565 20 0 BFADHN Fc1ccccc1C1(NC[C@@H]2CCOC2)CCC1 ZINC000646024812 388127047 /nfs/dbraw/zinc/12/70/47/388127047.db2.gz QBHKDGAQSZJRNN-LBPRGKRZSA-N 0 3 249.329 2.831 20 0 BFADHN Cc1cccc(CCN(C)C[C@@H]2CCCO2)c1 ZINC000449011522 388128122 /nfs/dbraw/zinc/12/81/22/388128122.db2.gz QSVXRAVQFUCEIT-HNNXBMFYSA-N 0 3 233.355 2.648 20 0 BFADHN COc1cc(C)ccc1CN1CCC[C@@H](OC)C1 ZINC000449012794 388128419 /nfs/dbraw/zinc/12/84/19/388128419.db2.gz HCLBRTYVQMCUDW-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1cccc(CCN2CCO[C@H]3CCC[C@@H]32)c1 ZINC000449017018 388130728 /nfs/dbraw/zinc/13/07/28/388130728.db2.gz OVQVLCRYRSIHKR-HOTGVXAUSA-N 0 3 245.366 2.791 20 0 BFADHN COc1cc(C)ccc1CN1CCC[C@H]1C ZINC000449027270 388131964 /nfs/dbraw/zinc/13/19/64/388131964.db2.gz LJKHJAYAPLNLGI-GFCCVEGCSA-N 0 3 219.328 2.988 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)[NH2+]C[C@H]2C[C@H]2C)[n-]1 ZINC000488546290 388186330 /nfs/dbraw/zinc/18/63/30/388186330.db2.gz QJTWGFTWBJLYTM-ZRUFSTJUSA-N 0 3 236.363 2.625 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)NC[C@H]2C[C@H]2C)[nH]1 ZINC000488546290 388186333 /nfs/dbraw/zinc/18/63/33/388186333.db2.gz QJTWGFTWBJLYTM-ZRUFSTJUSA-N 0 3 236.363 2.625 20 0 BFADHN CC[C@H](C)CN[C@@H](CCO)c1ccccc1F ZINC000646068690 388136329 /nfs/dbraw/zinc/13/63/29/388136329.db2.gz ZDBIQCHQAHZBHZ-FZMZJTMJSA-N 0 3 239.334 2.885 20 0 BFADHN OCC[C@H](NC1CCCC1)c1ccccc1F ZINC000646069451 388136396 /nfs/dbraw/zinc/13/63/96/388136396.db2.gz BMRPHNTYDAJEKE-AWEZNQCLSA-N 0 3 237.318 2.781 20 0 BFADHN Cc1nn(C(C)C)cc1CNC1CC(C)(F)C1 ZINC000449376153 388186532 /nfs/dbraw/zinc/18/65/32/388186532.db2.gz LFBYRQSZIOLZQM-UHFFFAOYSA-N 0 3 239.338 2.753 20 0 BFADHN CCSCC[C@H](C)N[C@@H](C)c1nccn1C ZINC000162294787 388144681 /nfs/dbraw/zinc/14/46/81/388144681.db2.gz WVOCTRZQYIHQIS-QWRGUYRKSA-N 0 3 241.404 2.602 20 0 BFADHN COc1cc(C)ccc1CN[C@@H](C)CSC ZINC000449162394 388151053 /nfs/dbraw/zinc/15/10/53/388151053.db2.gz RPODBLAQGGCBEM-NSHDSACASA-N 0 3 239.384 2.845 20 0 BFADHN C[C@@H]1CC[C@@H](C)[C@@H](NC(CF)CF)C1 ZINC000449377957 388187235 /nfs/dbraw/zinc/18/72/35/388187235.db2.gz CCUNVZXDOQBNPZ-KKZNHRDASA-N 0 3 205.292 2.708 20 0 BFADHN C[C@@H]1CN(CCCCF)CC2(CCCC2)O1 ZINC000646135570 388152534 /nfs/dbraw/zinc/15/25/34/388152534.db2.gz SJBKPTFXFYAZKJ-GFCCVEGCSA-N 0 3 229.339 2.770 20 0 BFADHN Cc1ccc(F)c(CNC[C@H]2C[C@H]2C)c1 ZINC000164108685 388153069 /nfs/dbraw/zinc/15/30/69/388153069.db2.gz KZZKHCBXWUFEEK-GHMZBOCLSA-N 0 3 207.292 2.880 20 0 BFADHN CC[C@@H](C)C[C@H](C)NCc1c(C)nnn1CC ZINC000449176679 388153424 /nfs/dbraw/zinc/15/34/24/388153424.db2.gz RAYZZGNZBXPVRS-MNOVXSKESA-N 0 3 238.379 2.521 20 0 BFADHN CO[C@H](CNCc1cc2cccnc2o1)C(C)C ZINC000449175254 388153458 /nfs/dbraw/zinc/15/34/58/388153458.db2.gz OAUNSCXXYGFAGH-CYBMUJFWSA-N 0 3 248.326 2.588 20 0 BFADHN C[C@@H](NC[C@H](O)C(C)(C)C)c1ccsc1 ZINC000167645465 388256094 /nfs/dbraw/zinc/25/60/94/388256094.db2.gz XYZFJTHJVFMEON-KOLCDFICSA-N 0 3 227.373 2.806 20 0 BFADHN CC1(C)CN(CC2=CCCC2)[C@H]1[C@H]1CCCO1 ZINC000645918028 388257350 /nfs/dbraw/zinc/25/73/50/388257350.db2.gz HCTKHTZPSXIGMI-KGLIPLIRSA-N 0 3 235.371 2.986 20 0 BFADHN CC1=C[C@@H](C)C[C@@H](CN2CCOC[C@@H]2C)C1 ZINC000449388442 388191732 /nfs/dbraw/zinc/19/17/32/388191732.db2.gz ZUTJLJIIUNLDNC-KWCYVHTRSA-N 0 3 223.360 2.700 20 0 BFADHN Cc1ccc(CN[C@@H](C)CC2CC2)nc1 ZINC000087782494 256532159 /nfs/dbraw/zinc/53/21/59/256532159.db2.gz YQAQAFURMWFLHB-NSHDSACASA-N 0 3 204.317 2.668 20 0 BFADHN C[C@H](Cc1ccccc1)CN1CC[C@H](F)C1 ZINC000449391860 388193433 /nfs/dbraw/zinc/19/34/33/388193433.db2.gz IQJULBGFLFZPRO-OCCSQVGLSA-N 0 3 221.319 2.909 20 0 BFADHN F[C@@H]1CCN(C[C@H]2C[C@@H]2c2ccccc2)C1 ZINC000449397214 388194370 /nfs/dbraw/zinc/19/43/70/388194370.db2.gz UPIFXYWLEDSYPI-MGPQQGTHSA-N 0 3 219.303 2.834 20 0 BFADHN CC(C)(CNCc1cccnc1)c1ccccn1 ZINC000449397650 388194654 /nfs/dbraw/zinc/19/46/54/388194654.db2.gz REUYUJWOYHOWQC-UHFFFAOYSA-N 0 3 241.338 2.544 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@H]2CCC(C)(C)C2)[n-]1 ZINC000449404201 388195334 /nfs/dbraw/zinc/19/53/34/388195334.db2.gz ATIDQUVNTAAKGZ-JTQLQIEISA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@H]2CCC(C)(C)C2)[nH]1 ZINC000449404201 388195336 /nfs/dbraw/zinc/19/53/36/388195336.db2.gz ATIDQUVNTAAKGZ-JTQLQIEISA-N 0 3 236.363 2.596 20 0 BFADHN CCCC[C@@H](COC)NCc1ccnc(C)c1 ZINC000449403349 388195495 /nfs/dbraw/zinc/19/54/95/388195495.db2.gz PUTDBLGTLAPFSX-AWEZNQCLSA-N 0 3 236.359 2.685 20 0 BFADHN CC[C@@H]([NH2+]Cc1nnc(C(C)C)[n-]1)C1CCC1 ZINC000449405150 388195983 /nfs/dbraw/zinc/19/59/83/388195983.db2.gz IJHPCCTXHWKVRD-LLVKDONJSA-N 0 3 236.363 2.596 20 0 BFADHN CC[C@@H](NCc1nnc(C(C)C)[nH]1)C1CCC1 ZINC000449405150 388195985 /nfs/dbraw/zinc/19/59/85/388195985.db2.gz IJHPCCTXHWKVRD-LLVKDONJSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CCC[C@@H](C)C2)[n-]1 ZINC000449405001 388196077 /nfs/dbraw/zinc/19/60/77/388196077.db2.gz HNSXHSPGQWSUNJ-GHMZBOCLSA-N 0 3 236.363 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2CCC[C@@H](C)C2)[nH]1 ZINC000449405001 388196079 /nfs/dbraw/zinc/19/60/79/388196079.db2.gz HNSXHSPGQWSUNJ-GHMZBOCLSA-N 0 3 236.363 2.596 20 0 BFADHN CC[C@@H]1CCC[C@@H]([NH2+]Cc2nnc(C3CC3)[n-]2)C1 ZINC000449406158 388196098 /nfs/dbraw/zinc/19/60/98/388196098.db2.gz TUEJCHQYEGRMJP-ZYHUDNBSSA-N 0 3 248.374 2.741 20 0 BFADHN CC[C@@H]1CCC[C@@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC000449406158 388196100 /nfs/dbraw/zinc/19/61/00/388196100.db2.gz TUEJCHQYEGRMJP-ZYHUDNBSSA-N 0 3 248.374 2.741 20 0 BFADHN CC[C@H]1CCC[C@H]([NH2+]Cc2nnc(C3CC3)[n-]2)C1 ZINC000449406156 388196449 /nfs/dbraw/zinc/19/64/49/388196449.db2.gz TUEJCHQYEGRMJP-JQWIXIFHSA-N 0 3 248.374 2.741 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC000449406156 388196450 /nfs/dbraw/zinc/19/64/50/388196450.db2.gz TUEJCHQYEGRMJP-JQWIXIFHSA-N 0 3 248.374 2.741 20 0 BFADHN COc1ccc(C)cc1CN1CC[C@@H]1C1CC1 ZINC000449422816 388200563 /nfs/dbraw/zinc/20/05/63/388200563.db2.gz FTWVRHJNTCTHNP-CQSZACIVSA-N 0 3 231.339 2.988 20 0 BFADHN COc1ncccc1CN1CCC[C@H](C(C)C)C1 ZINC000489022375 388201509 /nfs/dbraw/zinc/20/15/09/388201509.db2.gz WELGCUZEGCFATA-ZDUSSCGKSA-N 0 3 248.370 2.958 20 0 BFADHN COc1ncccc1CN1C[C@H](C)C[C@H](C)[C@H]1C ZINC000489012227 388202061 /nfs/dbraw/zinc/20/20/61/388202061.db2.gz OIBDGKAULCUPGD-FRRDWIJNSA-N 0 3 248.370 2.957 20 0 BFADHN COc1ccc(CN2CC[C@@H]2C2CC2)cc1OC ZINC000449429974 388204132 /nfs/dbraw/zinc/20/41/32/388204132.db2.gz XYWZRIMZAHNHGA-CYBMUJFWSA-N 0 3 247.338 2.688 20 0 BFADHN CC1CC(NCc2ccnc(C(F)(F)F)c2)C1 ZINC000449435781 388205405 /nfs/dbraw/zinc/20/54/05/388205405.db2.gz BSLFXALKTVWDOF-UHFFFAOYSA-N 0 3 244.260 2.989 20 0 BFADHN C[C@@H](NCc1nccn1C)[C@@H]1CCCC[C@H]1C ZINC000449434830 388205486 /nfs/dbraw/zinc/20/54/86/388205486.db2.gz SVLZQWAKMUGYOI-JHJVBQTASA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@H](NCc1nccn1C)[C@H]1CCCC[C@H]1C ZINC000449434831 388205720 /nfs/dbraw/zinc/20/57/20/388205720.db2.gz SVLZQWAKMUGYOI-UPJWGTAASA-N 0 3 235.375 2.725 20 0 BFADHN C[C@@]12CN(Cc3nccs3)C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000449451681 388209345 /nfs/dbraw/zinc/20/93/45/388209345.db2.gz RZARZCZATFGKLD-UHXUPSOCSA-N 0 3 246.379 2.787 20 0 BFADHN CC/C=C/CNCc1ccc(CC)nc1 ZINC000449452231 388209430 /nfs/dbraw/zinc/20/94/30/388209430.db2.gz FRMPRKYAWNPVEQ-AATRIKPKSA-N 0 3 204.317 2.700 20 0 BFADHN CC/C=C\CNCc1ccccc1OCCOC ZINC000449452466 388209538 /nfs/dbraw/zinc/20/95/38/388209538.db2.gz FYNDNOQCTZFUPN-DAXSKMNVSA-N 0 3 249.354 2.768 20 0 BFADHN CC/C=C\CNCc1cn(C(C)C)nc1C ZINC000449455880 388209985 /nfs/dbraw/zinc/20/99/85/388209985.db2.gz LZJKWMKLPAHBBS-SREVYHEPSA-N 0 3 221.348 2.828 20 0 BFADHN CN(CCOc1ccccc1)[C@H]1CC1(C)C ZINC000489405220 388211843 /nfs/dbraw/zinc/21/18/43/388211843.db2.gz NVCGYUZAQVJVIV-ZDUSSCGKSA-N 0 3 219.328 2.796 20 0 BFADHN CN(CCOc1ccccc1F)[C@H]1CC1(C)C ZINC000489448862 388215411 /nfs/dbraw/zinc/21/54/11/388215411.db2.gz LDMYFPYTXDNBLC-ZDUSSCGKSA-N 0 3 237.318 2.935 20 0 BFADHN Cc1csc(CN[C@H](C)COC(C)C)c1 ZINC000449480425 388215491 /nfs/dbraw/zinc/21/54/91/388215491.db2.gz OFPMJQWUFBSRMG-LLVKDONJSA-N 0 3 227.373 2.960 20 0 BFADHN COC(=O)c1ccc(CN(C)[C@H]2CC2(C)C)cc1 ZINC000489469248 388217466 /nfs/dbraw/zinc/21/74/66/388217466.db2.gz ZOPXBILCTFPFHJ-ZDUSSCGKSA-N 0 3 247.338 2.704 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(C)nc2)C[C@H]1C ZINC000449493322 388217547 /nfs/dbraw/zinc/21/75/47/388217547.db2.gz DQCSBKHJWYVUAP-DOMZBBRYSA-N 0 3 248.370 2.637 20 0 BFADHN CCc1ccc(CN2CC[C@H](OC)[C@@H](C)C2)o1 ZINC000449494212 388217939 /nfs/dbraw/zinc/21/79/39/388217939.db2.gz GGRKLKUEHHBQJA-FZMZJTMJSA-N 0 3 237.343 2.699 20 0 BFADHN CCO[C@@H]1CCN(Cc2ccc(C)nc2)C[C@@H]1C ZINC000449493324 388218228 /nfs/dbraw/zinc/21/82/28/388218228.db2.gz DQCSBKHJWYVUAP-SWLSCSKDSA-N 0 3 248.370 2.637 20 0 BFADHN COc1ccc(CN2CC[C@@H](OC)[C@@H](C)C2)cc1 ZINC000449496421 388218761 /nfs/dbraw/zinc/21/87/61/388218761.db2.gz IZTCYMKHQSYIHZ-SWLSCSKDSA-N 0 3 249.354 2.552 20 0 BFADHN COc1ccc(CN2CC[C@@H](OC)[C@H](C)C2)cc1 ZINC000449496420 388219018 /nfs/dbraw/zinc/21/90/18/388219018.db2.gz IZTCYMKHQSYIHZ-IUODEOHRSA-N 0 3 249.354 2.552 20 0 BFADHN CC(C)[C@@H](CO)[C@H](NCc1ccco1)C(C)C ZINC000449513289 388221661 /nfs/dbraw/zinc/22/16/61/388221661.db2.gz VTJRLAIHOBWHTM-ZIAGYGMSSA-N 0 3 239.359 2.658 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H](C)c1cc(C)ccn1 ZINC000449524446 388224457 /nfs/dbraw/zinc/22/44/57/388224457.db2.gz QXXJVXRXGKIMGO-OUCADQQQSA-N 0 3 234.343 2.608 20 0 BFADHN C[C@H](N[C@@H]1COc2ccccc2C1)c1ccco1 ZINC000164330553 388224773 /nfs/dbraw/zinc/22/47/73/388224773.db2.gz YODIOHPCZPRBAH-AAEUAGOBSA-N 0 3 243.306 2.934 20 0 BFADHN c1cncc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)c1 ZINC000449532201 388225668 /nfs/dbraw/zinc/22/56/68/388225668.db2.gz DBSKSSWKFCFQLD-CABCVRRESA-N 0 3 228.339 2.702 20 0 BFADHN CCn1cc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)cn1 ZINC000449545211 388228140 /nfs/dbraw/zinc/22/81/40/388228140.db2.gz YEANGMMGAKXFAP-GJZGRUSLSA-N 0 3 245.370 2.523 20 0 BFADHN CC(C)[C@@H]1CN(Cc2cc[nH]n2)[C@@H]1C(C)C ZINC000449559946 388231808 /nfs/dbraw/zinc/23/18/08/388231808.db2.gz ZVYNQZHHNWBTQW-QWHCGFSZSA-N 0 3 221.348 2.522 20 0 BFADHN CCn1ccnc1[C@H](C)NCC(C)(C)CC ZINC000449561782 388233140 /nfs/dbraw/zinc/23/31/40/388233140.db2.gz FDNKYIVLXCEKAQ-NSHDSACASA-N 0 3 223.364 2.990 20 0 BFADHN CC[C@H](C)CN[C@H](C)c1nccn1CC ZINC000449561512 388233146 /nfs/dbraw/zinc/23/31/46/388233146.db2.gz COOTUOMAZXAWJX-WDEREUQCSA-N 0 3 209.337 2.600 20 0 BFADHN CC[C@@H](N[C@H](C)c1nccn1CC)C(C)C ZINC000449562750 388233151 /nfs/dbraw/zinc/23/31/51/388233151.db2.gz NRVDJNWWGPBEDU-VXGBXAGGSA-N 0 3 223.364 2.988 20 0 BFADHN CCn1ccnc1[C@@H](C)NC1CC(C)(C)C1 ZINC000449566724 388234954 /nfs/dbraw/zinc/23/49/54/388234954.db2.gz QXWXKMSEAHGDPO-SNVBAGLBSA-N 0 3 221.348 2.742 20 0 BFADHN CC[C@@H]1CN(CC)CCN1Cc1ccc(C)o1 ZINC000449567507 388235002 /nfs/dbraw/zinc/23/50/02/388235002.db2.gz WNCQKFHPGBDZCI-CYBMUJFWSA-N 0 3 236.359 2.504 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H]1C[C@H](C)n2ccnc21 ZINC000449570457 388235585 /nfs/dbraw/zinc/23/55/85/388235585.db2.gz JOXINJPBRXHQRC-USZNOCQGSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CC[C@@H]2C2CC2)c2nccn21 ZINC000449574501 388237064 /nfs/dbraw/zinc/23/70/64/388237064.db2.gz UXVCNJKULRXUDU-XEZLXBQYSA-N 0 3 231.343 2.667 20 0 BFADHN CC[C@H](NCc1csc(COC)n1)C(C)C ZINC000164448901 388238579 /nfs/dbraw/zinc/23/85/79/388238579.db2.gz JIIQCQCENBOWHB-NSHDSACASA-N 0 3 242.388 2.814 20 0 BFADHN CN(Cc1ccsc1)[C@@H](CO)CC(C)(C)C ZINC000449592421 388239513 /nfs/dbraw/zinc/23/95/13/388239513.db2.gz YHWDPCJTHNZOCQ-GFCCVEGCSA-N 0 3 241.400 2.977 20 0 BFADHN Cc1ccnc([C@@H](C)NC[C@@H]2CCCC[C@H]2O)c1 ZINC000449606490 388240711 /nfs/dbraw/zinc/24/07/11/388240711.db2.gz YTVPACABOYSUEK-VNHYZAJKSA-N 0 3 248.370 2.592 20 0 BFADHN CCCC[C@H](C)NCc1cnc(CC)o1 ZINC000449607410 388241149 /nfs/dbraw/zinc/24/11/49/388241149.db2.gz ARKRGQLJPHTPHB-JTQLQIEISA-N 0 3 210.321 2.905 20 0 BFADHN C[C@H](C[C@H](C)O)NCc1cc(Cl)cs1 ZINC000164613365 388241278 /nfs/dbraw/zinc/24/12/78/388241278.db2.gz SRENLYFWBOUQNO-SFYZADRCSA-N 0 3 233.764 2.651 20 0 BFADHN CCCc1ncc(CN[C@H](C)C2CCC2)o1 ZINC000449610033 388242332 /nfs/dbraw/zinc/24/23/32/388242332.db2.gz DXNOIIPCHPMDEJ-SNVBAGLBSA-N 0 3 222.332 2.905 20 0 BFADHN CCCc1ncc(CN[C@H](C)Cc2ccoc2)o1 ZINC000449612466 388243137 /nfs/dbraw/zinc/24/31/37/388243137.db2.gz MHWJCGGPXAYUJT-LLVKDONJSA-N 0 3 248.326 2.941 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@@H](C)C2)o1 ZINC000449611938 388243630 /nfs/dbraw/zinc/24/36/30/388243630.db2.gz ZSDUSEUTGPNDSQ-NXEZZACHSA-N 0 3 208.305 2.515 20 0 BFADHN CCc1ncc(CNCC2CCCCC2)o1 ZINC000449611823 388243791 /nfs/dbraw/zinc/24/37/91/388243791.db2.gz YTCPYIXMRZLBMY-UHFFFAOYSA-N 0 3 222.332 2.907 20 0 BFADHN CO[C@H]1C[C@H](N(C)Cc2ccc(C)o2)C12CCC2 ZINC000449628179 388245367 /nfs/dbraw/zinc/24/53/67/388245367.db2.gz BPEBRFAOGIPZLS-KBPBESRZSA-N 0 3 249.354 2.977 20 0 BFADHN CC(C)(C)OC(=O)CCNCc1ccsc1 ZINC000164687151 388245405 /nfs/dbraw/zinc/24/54/05/388245405.db2.gz CWQMLKZYCKONSJ-UHFFFAOYSA-N 0 3 241.356 2.570 20 0 BFADHN CO[C@@H]1C[C@H](N(C)Cc2ccco2)C12CCC2 ZINC000449630351 388246398 /nfs/dbraw/zinc/24/63/98/388246398.db2.gz HMKAKRMJAWIVAI-QWHCGFSZSA-N 0 3 235.327 2.669 20 0 BFADHN CCc1ccc(CN[C@@H](C)[C@@H](OC)C2CC2)cn1 ZINC000449631301 388246619 /nfs/dbraw/zinc/24/66/19/388246619.db2.gz OVEQLEJUQOEVRB-XHDPSFHLSA-N 0 3 248.370 2.547 20 0 BFADHN CC[C@@H](N[C@@H](C)c1cc(C)ccn1)[C@@H](O)CC ZINC000449645398 388247721 /nfs/dbraw/zinc/24/77/21/388247721.db2.gz LSVSLQRDZGEZLV-SCRDCRAPSA-N 0 3 236.359 2.590 20 0 BFADHN CCSCCNCc1ccc(F)cc1 ZINC000164786538 388248269 /nfs/dbraw/zinc/24/82/69/388248269.db2.gz QJVZEUSBWYBJPI-UHFFFAOYSA-N 0 3 213.321 2.668 20 0 BFADHN CC[C@H]1CN(CC)CCN1CCSC(C)C ZINC000451742337 388292500 /nfs/dbraw/zinc/29/25/00/388292500.db2.gz RCEKEWDSZGGUQP-ZDUSSCGKSA-N 0 3 244.448 2.544 20 0 BFADHN CC[C@@H](C)N1CCN(CCCCCF)CC1 ZINC000451834398 388299530 /nfs/dbraw/zinc/29/95/30/388299530.db2.gz FBIPEIVOODZHPV-CYBMUJFWSA-N 0 3 230.371 2.542 20 0 BFADHN OCCCCCN1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451838601 388299998 /nfs/dbraw/zinc/29/99/98/388299998.db2.gz NJEMCOLSZQXTSJ-AWEZNQCLSA-N 0 3 249.354 2.969 20 0 BFADHN CC(C)[C@H](O)CN1CC2(CCC2)[C@H]1c1ccco1 ZINC000451857746 388301052 /nfs/dbraw/zinc/30/10/52/388301052.db2.gz QYONGLGQCZSNTM-TZMCWYRMSA-N 0 3 249.354 2.824 20 0 BFADHN CC(C)(C)CC[C@@H](CO)NCc1ccco1 ZINC000336663660 388262303 /nfs/dbraw/zinc/26/23/03/388262303.db2.gz KWBFKEQDHTWJHO-NSHDSACASA-N 0 3 225.332 2.556 20 0 BFADHN CCc1ccc(CN2CC[C@H](SC)C2)nc1 ZINC000451326241 388262898 /nfs/dbraw/zinc/26/28/98/388262898.db2.gz PZVROVXMNRGFHN-ZDUSSCGKSA-N 0 3 236.384 2.581 20 0 BFADHN Fc1ccccc1OCCN1CC[C@H](C2CC2)C1 ZINC000491143524 388272552 /nfs/dbraw/zinc/27/25/52/388272552.db2.gz VRFYBYBWVZQJMB-ZDUSSCGKSA-N 0 3 249.329 2.936 20 0 BFADHN COC[C@H](NCc1ccco1)C1CCCC1 ZINC000275794209 388346427 /nfs/dbraw/zinc/34/64/27/388346427.db2.gz YTTKCGKYJPIFEA-ZDUSSCGKSA-N 0 3 223.316 2.574 20 0 BFADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1ccc(Cl)cn1 ZINC000276162586 388347244 /nfs/dbraw/zinc/34/72/44/388347244.db2.gz RBAUMESTVRHSMJ-AEJSXWLSSA-N 0 3 242.750 2.809 20 0 BFADHN CC(C)=CCCNCc1c(C)nn(C)c1Cl ZINC000276576650 388349653 /nfs/dbraw/zinc/34/96/53/388349653.db2.gz AGHOBAKJGPTEGJ-UHFFFAOYSA-N 0 3 241.766 2.828 20 0 BFADHN Cc1ccc(F)c(CNCCC(C)(C)CO)c1 ZINC000294833094 388404696 /nfs/dbraw/zinc/40/46/96/388404696.db2.gz QFTPTDDIAUDZJM-UHFFFAOYSA-N 0 3 239.334 2.632 20 0 BFADHN CCC[C@H](CNCc1cc(F)cc(F)c1)OC ZINC000293711642 388406967 /nfs/dbraw/zinc/40/69/67/388406967.db2.gz PSYQAVVBSKZSPT-CYBMUJFWSA-N 0 3 243.297 2.870 20 0 BFADHN CC(C)N(Cc1ccccn1)C[C@H]1CCCCO1 ZINC000524301531 388354951 /nfs/dbraw/zinc/35/49/51/388354951.db2.gz YEVHOEWXHUMWKR-OAHLLOKOSA-N 0 3 248.370 2.861 20 0 BFADHN CCC[C@H](C)NCc1c(CC)noc1C ZINC000293694805 388359726 /nfs/dbraw/zinc/35/97/26/388359726.db2.gz LLTQGLXUGAQEKL-VIFPVBQESA-N 0 3 210.321 2.824 20 0 BFADHN CO[C@H](C)[C@@H](C)NCc1ccc(F)cc1Cl ZINC000289851000 388364280 /nfs/dbraw/zinc/36/42/80/388364280.db2.gz PQWONVHCCAPZOU-RKDXNWHRSA-N 0 3 245.725 2.992 20 0 BFADHN Cc1ccc(CNCCSC(C)C)o1 ZINC000289941755 388365235 /nfs/dbraw/zinc/36/52/35/388365235.db2.gz BFAFXXMLRIAFTO-UHFFFAOYSA-N 0 3 213.346 2.819 20 0 BFADHN CCOC[C@H](C)N[C@@H](CC)c1ccncc1 ZINC000163756078 388367417 /nfs/dbraw/zinc/36/74/17/388367417.db2.gz AXOPICTUODKEFE-AAEUAGOBSA-N 0 3 222.332 2.547 20 0 BFADHN C[C@H](c1ccc(Cl)cc1)N(C)C[C@H](C)O ZINC000235549534 388372065 /nfs/dbraw/zinc/37/20/65/388372065.db2.gz HILCEOJHWZOXJQ-VHSXEESVSA-N 0 3 227.735 2.714 20 0 BFADHN COc1ccccc1[C@H](C)NC[C@@H](O)C1CCC1 ZINC000316078394 388405598 /nfs/dbraw/zinc/40/55/98/388405598.db2.gz APUKGKDNYIFROV-SMDDNHRTSA-N 0 3 249.354 2.507 20 0 BFADHN CCc1nc(C)c(CN(C)[C@@H](C)C2CC2)o1 ZINC000572830727 388379903 /nfs/dbraw/zinc/37/99/03/388379903.db2.gz DSJOBYXNMIQKFW-JTQLQIEISA-N 0 3 222.332 2.776 20 0 BFADHN COc1ccccc1[C@@H](C)NC[C@@H](O)C1CCC1 ZINC000316078388 388405853 /nfs/dbraw/zinc/40/58/53/388405853.db2.gz APUKGKDNYIFROV-BXUZGUMPSA-N 0 3 249.354 2.507 20 0 BFADHN CCCC[C@@H](N)C(=O)N[C@H](C)CCC(C)(C)C ZINC000236802055 388381295 /nfs/dbraw/zinc/38/12/95/388381295.db2.gz NLICGZIMPQUAPW-VXGBXAGGSA-N 0 3 242.407 2.835 20 0 BFADHN c1ccc(CN2CC[C@@H]3CCCO[C@@H]3C2)cc1 ZINC000306631263 388385986 /nfs/dbraw/zinc/38/59/86/388385986.db2.gz NAONCAAJZIXQIV-LSDHHAIUSA-N 0 3 231.339 2.688 20 0 BFADHN C[C@H]1CC[C@@H](CC(=O)Nc2cccc(CN)c2)C1 ZINC000646578247 388387823 /nfs/dbraw/zinc/38/78/23/388387823.db2.gz UNDWFAHPBCEHNQ-NWDGAFQWSA-N 0 3 246.354 2.910 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2cocn2)C1 ZINC000237059674 388388634 /nfs/dbraw/zinc/38/86/34/388388634.db2.gz IEKQOYPGNKVMKO-QWRGUYRKSA-N 0 3 208.305 2.733 20 0 BFADHN CC[C@H]1CCC[C@@H](NCc2cocn2)C1 ZINC000237056905 388388684 /nfs/dbraw/zinc/38/86/84/388388684.db2.gz IEKQOYPGNKVMKO-WDEREUQCSA-N 0 3 208.305 2.733 20 0 BFADHN C[C@H]1CCCC[C@H]1C(=O)Nc1cccc(CN)c1 ZINC000646578913 388388726 /nfs/dbraw/zinc/38/87/26/388388726.db2.gz JFXJSOPQHPLZTL-SMDDNHRTSA-N 0 3 246.354 2.910 20 0 BFADHN CC(F)(F)CCNCc1ccsc1 ZINC000294256257 388389451 /nfs/dbraw/zinc/38/94/51/388389451.db2.gz QJJSJVIRXJXFOX-UHFFFAOYSA-N 0 3 205.273 2.883 20 0 BFADHN CO[C@H](CNCc1csc(C)c1)C1CC1 ZINC000293547144 388389746 /nfs/dbraw/zinc/38/97/46/388389746.db2.gz BFNHSDZHGIBLPE-GFCCVEGCSA-N 0 3 225.357 2.571 20 0 BFADHN COC1(CCN[C@H](C)c2cncs2)CCC1 ZINC000293574217 388390355 /nfs/dbraw/zinc/39/03/55/388390355.db2.gz BORSCYUASMCENA-SNVBAGLBSA-N 0 3 240.372 2.753 20 0 BFADHN C[C@H](NCC1CC(F)(F)C1)c1ccc(F)cn1 ZINC000293772832 388391821 /nfs/dbraw/zinc/39/18/21/388391821.db2.gz STZVJSYMABTORH-QMMMGPOBSA-N 0 3 244.260 2.917 20 0 BFADHN CC[C@@H](CO)N(C)Cc1ccc(Cl)cc1 ZINC000293868753 388392559 /nfs/dbraw/zinc/39/25/59/388392559.db2.gz LBTQVMQKUIMDHT-LBPRGKRZSA-N 0 3 227.735 2.543 20 0 BFADHN C[C@@H]1C[C@H](NCCCC(F)(F)F)c2nccn21 ZINC000294215314 388396276 /nfs/dbraw/zinc/39/62/76/388396276.db2.gz UOJNNZRDNSPDFO-BDAKNGLRSA-N 0 3 247.264 2.821 20 0 BFADHN CCC1(NCc2cc(C)no2)CCCC1 ZINC000294448848 388400507 /nfs/dbraw/zinc/40/05/07/388400507.db2.gz RPVHXBBMCNDUFI-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN CCCCN(CCO)Cc1csc(C)c1 ZINC000294567179 388402406 /nfs/dbraw/zinc/40/24/06/388402406.db2.gz NNBZPHSYJZHKKM-UHFFFAOYSA-N 0 3 227.373 2.651 20 0 BFADHN C[C@H]([C@H]1Cc2ccccc2O1)N(C)CC1(F)CC1 ZINC000525979595 388443880 /nfs/dbraw/zinc/44/38/80/388443880.db2.gz LXTVMDJUEKXQHK-BXUZGUMPSA-N 0 3 249.329 2.813 20 0 BFADHN C[C@H]([C@@H]1Cc2ccccc2O1)N(C)CC1(F)CC1 ZINC000525979597 388444156 /nfs/dbraw/zinc/44/41/56/388444156.db2.gz LXTVMDJUEKXQHK-RISCZKNCSA-N 0 3 249.329 2.813 20 0 BFADHN Cc1cccnc1CCNCc1ccsc1 ZINC000404954255 388448014 /nfs/dbraw/zinc/44/80/14/388448014.db2.gz XAYIUDDOKZNMTN-UHFFFAOYSA-N 0 3 232.352 2.784 20 0 BFADHN CCn1ccnc1CN[C@@]1(C)CCCC[C@H]1C ZINC000308724466 388448261 /nfs/dbraw/zinc/44/82/61/388448261.db2.gz TWDGNDYGPHSTKI-OCCSQVGLSA-N 0 3 235.375 2.961 20 0 BFADHN COc1ccc(CN[C@@]2(C)CCCC[C@@H]2C)nn1 ZINC000308707058 388448312 /nfs/dbraw/zinc/44/83/12/388448312.db2.gz IVJZSEFEMFUQCH-FZMZJTMJSA-N 0 3 249.358 2.544 20 0 BFADHN CCC1(CN[C@@H](C)c2ccc(C)o2)COC1 ZINC000393993510 388448325 /nfs/dbraw/zinc/44/83/25/388448325.db2.gz YTMAIIJUJOQNSI-NSHDSACASA-N 0 3 223.316 2.665 20 0 BFADHN FC1(CNCCc2ccc3ccccc3n2)CC1 ZINC000525987115 388451843 /nfs/dbraw/zinc/45/18/43/388451843.db2.gz ZSNFWZSIPVPPED-UHFFFAOYSA-N 0 3 244.313 2.869 20 0 BFADHN CCN(CC)CC(=O)NCC(C)(C)CC(C)C ZINC000176355815 388452576 /nfs/dbraw/zinc/45/25/76/388452576.db2.gz TYFGAVZTKWHERW-UHFFFAOYSA-N 0 3 242.407 2.517 20 0 BFADHN C[C@H](CNCc1ccco1)c1nccs1 ZINC000134987341 388480918 /nfs/dbraw/zinc/48/09/18/388480918.db2.gz IBSRPTMYXSLKEZ-SECBINFHSA-N 0 3 222.313 2.629 20 0 BFADHN CCN(Cc1cnc2ccccn12)CC(C)C ZINC000177323242 388480972 /nfs/dbraw/zinc/48/09/72/388480972.db2.gz DIMRQLOVERZKHY-UHFFFAOYSA-N 0 3 231.343 2.812 20 0 BFADHN Cc1ccc(CN[C@@H]2CCOC3(CCC3)C2)nc1 ZINC000335811226 388455742 /nfs/dbraw/zinc/45/57/42/388455742.db2.gz YOQYRXKXAHZGSN-CYBMUJFWSA-N 0 3 246.354 2.581 20 0 BFADHN Cc1nn(C)c(C)c1CN(C)CCC(C)C ZINC000195095115 388459405 /nfs/dbraw/zinc/45/94/05/388459405.db2.gz SMFXCPNZGSBLCY-UHFFFAOYSA-N 0 3 223.364 2.515 20 0 BFADHN Cc1c[nH]nc1CNCC(C)(C)CC(C)C ZINC000336656308 388459752 /nfs/dbraw/zinc/45/97/52/388459752.db2.gz HSJRUGVVLSDJCN-UHFFFAOYSA-N 0 3 223.364 2.880 20 0 BFADHN Cc1cn[nH]c1CNC(C)(C)c1cccs1 ZINC000336659438 388460245 /nfs/dbraw/zinc/46/02/45/388460245.db2.gz BWVOSUPABYKYQL-UHFFFAOYSA-N 0 3 235.356 2.805 20 0 BFADHN CC[C@H](NCc1conc1C)C(C)(C)C ZINC000339228760 388481256 /nfs/dbraw/zinc/48/12/56/388481256.db2.gz AZLRFXJCVSDFFC-NSHDSACASA-N 0 3 210.321 2.897 20 0 BFADHN CN(Cc1ccsc1)CC1CCC(=O)CC1 ZINC000649231597 388463875 /nfs/dbraw/zinc/46/38/75/388463875.db2.gz QJRYBBYMGDSWLK-UHFFFAOYSA-N 0 3 237.368 2.939 20 0 BFADHN O[C@]1(C(F)F)CCN([C@@H]2C=CCCCCC2)C1 ZINC000515595944 388467264 /nfs/dbraw/zinc/46/72/64/388467264.db2.gz SXNUSYCWBFBXLD-DGCLKSJQSA-N 0 3 245.313 2.577 20 0 BFADHN CC(C)(O)CCNCc1coc2ccccc12 ZINC000336720501 388467849 /nfs/dbraw/zinc/46/78/49/388467849.db2.gz RZRNVEWRAHKYAB-UHFFFAOYSA-N 0 3 233.311 2.683 20 0 BFADHN C[C@@](O)(CNCc1cc2ccccc2o1)C1CC1 ZINC000336795360 388471247 /nfs/dbraw/zinc/47/12/47/388471247.db2.gz JXJPDEWWVOWFCY-OAHLLOKOSA-N 0 3 245.322 2.683 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1ccn(CC)n1 ZINC000515801163 256757244 /nfs/dbraw/zinc/75/72/44/256757244.db2.gz SOHGMKJNWYXMNO-YPMHNXCESA-N 0 3 221.348 2.571 20 0 BFADHN CC/C=C/CNCc1ccc(OC)cc1OC ZINC000295141015 388408647 /nfs/dbraw/zinc/40/86/47/388408647.db2.gz ZSEJYVNKDZKPAK-AATRIKPKSA-N 0 3 235.327 2.760 20 0 BFADHN O[C@@H](CNc1ccnc2ccccc21)C1CCC1 ZINC000316315313 388408913 /nfs/dbraw/zinc/40/89/13/388408913.db2.gz NGAJAUOEPWYSLV-HNNXBMFYSA-N 0 3 242.322 2.808 20 0 BFADHN C[C@@H](CC(C)(C)O)NCc1cc(F)ccc1F ZINC000295140842 388408963 /nfs/dbraw/zinc/40/89/63/388408963.db2.gz ZOXVUMSEDRDSAK-VIFPVBQESA-N 0 3 243.297 2.604 20 0 BFADHN C[C@H](N(C)Cc1cc(F)cc(F)c1)C(C)(C)O ZINC000295231445 388410469 /nfs/dbraw/zinc/41/04/69/388410469.db2.gz WWUPMEPFAFGWQG-VIFPVBQESA-N 0 3 243.297 2.556 20 0 BFADHN FC(F)OC1CCC(NCc2ccco2)CC1 ZINC000648817160 388411228 /nfs/dbraw/zinc/41/12/28/388411228.db2.gz GSMHHNLRNPOGDV-UHFFFAOYSA-N 0 3 245.269 2.920 20 0 BFADHN Cc1cnc(CNC[C@@]2(C)CCCS2)s1 ZINC000295840854 388414263 /nfs/dbraw/zinc/41/42/63/388414263.db2.gz VXRLBKJAPOWFJY-LLVKDONJSA-N 0 3 242.413 2.827 20 0 BFADHN Cc1cnc(CNCCCC(F)(F)F)s1 ZINC000295806155 388414313 /nfs/dbraw/zinc/41/43/13/388414313.db2.gz TUCYZPJJBFFDKJ-UHFFFAOYSA-N 0 3 238.278 2.884 20 0 BFADHN O[C@@H](CNCc1ccccc1Cl)C1CCC1 ZINC000316492283 388414904 /nfs/dbraw/zinc/41/49/04/388414904.db2.gz VSRNGNOHLLOJFR-ZDUSSCGKSA-N 0 3 239.746 2.591 20 0 BFADHN CCc1ccc(CNCC2(OC)CCCC2)o1 ZINC000296106597 388417416 /nfs/dbraw/zinc/41/74/16/388417416.db2.gz SDNGYIBSEXDWRZ-UHFFFAOYSA-N 0 3 237.343 2.891 20 0 BFADHN CCCC[C@H](CCC)NC(=O)CN(C)C(C)C ZINC000177280726 388479266 /nfs/dbraw/zinc/47/92/66/388479266.db2.gz SDKFVBFYSVRREK-ZDUSSCGKSA-N 0 3 242.407 2.802 20 0 BFADHN CCC1CCC(NCc2ccnc(OC)n2)CC1 ZINC000296291027 388420954 /nfs/dbraw/zinc/42/09/54/388420954.db2.gz FPUSTLGBDSATQZ-UHFFFAOYSA-N 0 3 249.358 2.544 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@H](O)C2CCC2)o1 ZINC000316868126 388422517 /nfs/dbraw/zinc/42/25/17/388422517.db2.gz ZNIMDWGCEOHHET-LERXQTSPSA-N 0 3 249.354 2.654 20 0 BFADHN CC(C)c1cccc(NC(=O)[C@@H](C)NC2CC2)c1 ZINC000296410263 388422742 /nfs/dbraw/zinc/42/27/42/388422742.db2.gz MAIZONZVTXFMBU-LLVKDONJSA-N 0 3 246.354 2.889 20 0 BFADHN COc1nccc(CN[C@@H]2CCC[C@@H](C)CC2)n1 ZINC000296654040 388425042 /nfs/dbraw/zinc/42/50/42/388425042.db2.gz DNGANIYYNQOQLX-VXGBXAGGSA-N 0 3 249.358 2.544 20 0 BFADHN CC/C=C/CN[C@@H](C)c1cnn(CC)c1 ZINC000296579526 388425205 /nfs/dbraw/zinc/42/52/05/388425205.db2.gz UGAVDJIXCUQNAC-MLRMMBSGSA-N 0 3 207.321 2.520 20 0 BFADHN CC(C)CCC[C@@H](C)NC(=O)[C@@H](N)CC(C)C ZINC000040858509 388427388 /nfs/dbraw/zinc/42/73/88/388427388.db2.gz VZSWECMKELKGKG-OLZOCXBDSA-N 0 3 242.407 2.691 20 0 BFADHN CCOC[C@@H](NCc1ccncc1C)C(C)C ZINC000296977243 388427646 /nfs/dbraw/zinc/42/76/46/388427646.db2.gz VCYPXCHCRJDTNT-CQSZACIVSA-N 0 3 236.359 2.541 20 0 BFADHN Cc1ccc([C@H](C)NCC[C@H](C)CCO)o1 ZINC000297446064 388429190 /nfs/dbraw/zinc/42/91/90/388429190.db2.gz WQDVHCNWKUFMOL-JQWIXIFHSA-N 0 3 225.332 2.647 20 0 BFADHN CC[C@H](NCc1cc(C)no1)[C@H]1C[C@@H]1C ZINC000322317090 388431336 /nfs/dbraw/zinc/43/13/36/388431336.db2.gz FKZJXGLLHYQEKX-UWJYBYFXSA-N 0 3 208.305 2.507 20 0 BFADHN C[C@@H]1c2c(F)cccc2CCN1C[C@H]1C[C@@H](O)C1 ZINC000573233713 388434285 /nfs/dbraw/zinc/43/42/85/388434285.db2.gz FYNZCBYEKOTQHF-WZRBSPASSA-N 0 3 249.329 2.516 20 0 BFADHN CO[C@H]1C[C@@H](NCc2cccc(F)c2)C12CCC2 ZINC000308341061 388435611 /nfs/dbraw/zinc/43/56/11/388435611.db2.gz BSMDXESJWMJATF-KGLIPLIRSA-N 0 3 249.329 2.873 20 0 BFADHN CC[C@H](NCc1nccs1)C1CCC1 ZINC000322509884 388437511 /nfs/dbraw/zinc/43/75/11/388437511.db2.gz GNNQKDFYZVOZDY-JTQLQIEISA-N 0 3 210.346 2.811 20 0 BFADHN C/C(Cl)=C\CN1CCC[C@@](CO)(C(C)C)C1 ZINC000528512525 388537250 /nfs/dbraw/zinc/53/72/50/388537250.db2.gz LYRKYKVRTHECDA-YQJFHSMKSA-N 0 3 245.794 2.860 20 0 BFADHN C/C(Cl)=C\CN1CC[C@H](O)C12CCCC2 ZINC000528513172 388538564 /nfs/dbraw/zinc/53/85/64/388538564.db2.gz SQUKNQNNKLOQTD-INJWIWPUSA-N 0 3 229.751 2.508 20 0 BFADHN C/C(Cl)=C\CN1CCC[C@](CO)(C(C)C)C1 ZINC000528512524 388538708 /nfs/dbraw/zinc/53/87/08/388538708.db2.gz LYRKYKVRTHECDA-YFTXEMMHSA-N 0 3 245.794 2.860 20 0 BFADHN CC(C)[C@@](C)(CO)NCc1cc2ccccc2o1 ZINC000352886664 388539438 /nfs/dbraw/zinc/53/94/38/388539438.db2.gz WEYZYQUILIKECR-OAHLLOKOSA-N 0 3 247.338 2.929 20 0 BFADHN CC(C)[C@](C)(CO)NCc1cc2ccccc2o1 ZINC000352886663 388539651 /nfs/dbraw/zinc/53/96/51/388539651.db2.gz WEYZYQUILIKECR-HNNXBMFYSA-N 0 3 247.338 2.929 20 0 BFADHN CCO[C@@H]1CCC[C@H]1NC/C=C(\C)Cl ZINC000528514901 388539763 /nfs/dbraw/zinc/53/97/63/388539763.db2.gz CIGJXZZVXCPQOU-JKWVPGAPSA-N 0 3 217.740 2.676 20 0 BFADHN CO[C@@H]1C[C@H](NC/C=C(\C)Cl)C1(C)C ZINC000528525260 388541953 /nfs/dbraw/zinc/54/19/53/388541953.db2.gz ZWIGHLATGMHOPH-AIYFBYTFSA-N 0 3 217.740 2.532 20 0 BFADHN CC(C)c1ncc(CN[C@@H]2CO[C@H](C)C2)s1 ZINC000517235177 388544379 /nfs/dbraw/zinc/54/43/79/388544379.db2.gz FGGBTXVRQGVBIC-ZJUUUORDSA-N 0 3 240.372 2.534 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2c3cc(F)ccc3C[C@H]2C)CO1 ZINC000517235384 388544572 /nfs/dbraw/zinc/54/45/72/388544572.db2.gz JSJUTOZNYZHJMU-NRWDQBFYSA-N 0 3 249.329 2.826 20 0 BFADHN CCC[C@H](CN[C@H](C)c1cncs1)OC ZINC000356345630 388547016 /nfs/dbraw/zinc/54/70/16/388547016.db2.gz YFWSHRWNIRUZHW-NXEZZACHSA-N 0 3 228.361 2.609 20 0 BFADHN CCc1ccc(CN[C@@H](C)[C@@H]2CCCOC2)o1 ZINC000355768990 388547152 /nfs/dbraw/zinc/54/71/52/388547152.db2.gz QESYGBJYMLIHNN-NWDGAFQWSA-N 0 3 237.343 2.747 20 0 BFADHN Cc1sccc1CN[C@H]1C[C@@H]1C(F)F ZINC000339398163 388482423 /nfs/dbraw/zinc/48/24/23/388482423.db2.gz BOPRHFYQUOKHIG-IUCAKERBSA-N 0 3 217.284 2.800 20 0 BFADHN COC[C@H](C)NCc1cc(C)cc(Cl)c1 ZINC000339333645 388482995 /nfs/dbraw/zinc/48/29/95/388482995.db2.gz XUOUOJHKWXHHDF-JTQLQIEISA-N 0 3 227.735 2.773 20 0 BFADHN CCC[C@H](NCc1ccn(C)n1)[C@@H]1CC1(C)C ZINC000397619456 388484268 /nfs/dbraw/zinc/48/42/68/388484268.db2.gz KWJCGVDOHUCZIK-STQMWFEESA-N 0 3 235.375 2.725 20 0 BFADHN C[C@H](F)CCNCc1cc(F)cc(F)c1 ZINC000339487828 388484392 /nfs/dbraw/zinc/48/43/92/388484392.db2.gz XHQJTXWJUSTZKM-QMMMGPOBSA-N 0 3 217.234 2.803 20 0 BFADHN CO[C@@H](CNCc1ccsc1Cl)C1CC1 ZINC000339526222 388485256 /nfs/dbraw/zinc/48/52/56/388485256.db2.gz FOEMCYYQCRADRB-JTQLQIEISA-N 0 3 245.775 2.916 20 0 BFADHN C[C@H](NCC1=CCOCC1)c1ccsc1 ZINC000397727585 388494809 /nfs/dbraw/zinc/49/48/09/388494809.db2.gz AQTQIAWWYNAYNU-JTQLQIEISA-N 0 3 223.341 2.745 20 0 BFADHN C[C@@H]1CSC[C@@H]1N[C@H]1COCc2ccccc21 ZINC000397826169 388502643 /nfs/dbraw/zinc/50/26/43/388502643.db2.gz LKZIFENJBJTKJO-SWHYSGLUSA-N 0 3 249.379 2.599 20 0 BFADHN Clc1csc(CNCC2=CCOCC2)c1 ZINC000397823453 388502764 /nfs/dbraw/zinc/50/27/64/388502764.db2.gz ONVAHKMLZDEYPG-UHFFFAOYSA-N 0 3 243.759 2.838 20 0 BFADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1ccc(F)cn1 ZINC000397841040 388504663 /nfs/dbraw/zinc/50/46/63/388504663.db2.gz QNXKEVKRKNODBT-WCABBAIRSA-N 0 3 240.347 2.765 20 0 BFADHN CC[C@@H]1CCC[C@@H](CNCc2ccn(C)n2)C1 ZINC000344339561 388505684 /nfs/dbraw/zinc/50/56/84/388505684.db2.gz YEXILJKQHOJPSI-CHWSQXEVSA-N 0 3 235.375 2.726 20 0 BFADHN Cc1ccc([C@@H](C)NCC2=CCN(C)CC2)o1 ZINC000344298241 388506392 /nfs/dbraw/zinc/50/63/92/388506392.db2.gz NDSKXQFOPJMXND-GFCCVEGCSA-N 0 3 234.343 2.501 20 0 BFADHN COc1ccc(C)cc1CNC[C@@]1(C)CCOC1 ZINC000344375997 388506837 /nfs/dbraw/zinc/50/68/37/388506837.db2.gz WXNVMLNAOSHDOH-OAHLLOKOSA-N 0 3 249.354 2.520 20 0 BFADHN Clc1cccc(CNCC2=CCOCC2)c1 ZINC000397881729 388508952 /nfs/dbraw/zinc/50/89/52/388508952.db2.gz OBPAXGAXZFGMME-UHFFFAOYSA-N 0 3 237.730 2.776 20 0 BFADHN Cc1ncc(CN[C@@H]2CC[C@@H](C)[C@@H](C)C2)o1 ZINC000398047249 388515446 /nfs/dbraw/zinc/51/54/46/388515446.db2.gz CRGHSXYTSOYHAZ-JFGNBEQYSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1ccc(F)c(CNC[C@@H]2CC[C@H](C)O2)c1 ZINC000398054800 388516882 /nfs/dbraw/zinc/51/68/82/388516882.db2.gz YFIILGJMYAAENF-AAEUAGOBSA-N 0 3 237.318 2.791 20 0 BFADHN CCn1cc(CN(C)CC2CC(C)(C)C2)cn1 ZINC000528383624 388518361 /nfs/dbraw/zinc/51/83/61/388518361.db2.gz SNOXMJORTFEYMP-UHFFFAOYSA-N 0 3 235.375 2.771 20 0 BFADHN CC[C@H](O)[C@H]1CCCCN1C/C=C(/C)Cl ZINC000528386805 388519179 /nfs/dbraw/zinc/51/91/79/388519179.db2.gz JRJBVAOGNRTMFK-GZDUMXDUSA-N 0 3 231.767 2.754 20 0 BFADHN CC(C)CN(C(=O)CN(C)C(C)(C)C)C(C)C ZINC000516865338 388521024 /nfs/dbraw/zinc/52/10/24/388521024.db2.gz CORJMUMHYNEADO-UHFFFAOYSA-N 0 3 242.407 2.610 20 0 BFADHN C[C@H](Cc1ccsc1)N[C@H](C)c1ccn(C)n1 ZINC000516852494 388521272 /nfs/dbraw/zinc/52/12/72/388521272.db2.gz PNZDVEYFPXCFGK-GHMZBOCLSA-N 0 3 249.383 2.763 20 0 BFADHN CO[C@H](Cc1ccc(F)cc1)CN1CC=CCC1 ZINC000528436557 388525892 /nfs/dbraw/zinc/52/58/92/388525892.db2.gz ALULFHODQNVNJP-OAHLLOKOSA-N 0 3 249.329 2.645 20 0 BFADHN COC1(C)CCN(C/C=C(/C)Cl)CC1 ZINC000528437089 388526410 /nfs/dbraw/zinc/52/64/10/388526410.db2.gz JBIKVMHOVDAAFM-WMZJFQQLSA-N 0 3 217.740 2.630 20 0 BFADHN Cc1cc(C(=O)CN2C[C@H](C)CC2(C)C)c(C)[nH]1 ZINC000348176599 388526565 /nfs/dbraw/zinc/52/65/65/388526565.db2.gz BBFLLWRTQOESLK-SNVBAGLBSA-N 0 3 248.370 2.935 20 0 BFADHN Cc1ccc2c(c1)CN(C[C@H]1C[C@H]1C)CCO2 ZINC000516215303 256769903 /nfs/dbraw/zinc/76/99/03/256769903.db2.gz OSICOYWCPOYXIM-CHWSQXEVSA-N 0 3 231.339 2.845 20 0 BFADHN COc1cccc([C@@H](C)N2CCSCC2)c1 ZINC000081666035 388588840 /nfs/dbraw/zinc/58/88/40/388588840.db2.gz GUPJSECYCXOSBB-LLVKDONJSA-N 0 3 237.368 2.805 20 0 BFADHN C[C@H](CN[C@@H]1C[C@@H](C)n2ccnc21)C1CC1 ZINC000379202607 388597511 /nfs/dbraw/zinc/59/75/11/388597511.db2.gz ZBSNEPIQWJHNOA-CKYFFXLPSA-N 0 3 219.332 2.525 20 0 BFADHN C[C@@H]1CSCCN1CCc1ccccc1F ZINC000186346446 388598485 /nfs/dbraw/zinc/59/84/85/388598485.db2.gz FXSRTZMFXVMAEX-LLVKDONJSA-N 0 3 239.359 2.806 20 0 BFADHN CC[C@@H](C)CN(CC)[C@H](C)C(=O)NCC(C)C ZINC000245810772 388606410 /nfs/dbraw/zinc/60/64/10/388606410.db2.gz QUHSGHCWYMEADY-CHWSQXEVSA-N 0 3 242.407 2.515 20 0 BFADHN CCC[C@H](C)CN1CCOC[C@H]1C1CC1 ZINC000245864121 388607980 /nfs/dbraw/zinc/60/79/80/388607980.db2.gz PRBKVBBQWBNHIH-AAEUAGOBSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)CC1)c1nccn1C ZINC000246059252 388614531 /nfs/dbraw/zinc/61/45/31/388614531.db2.gz QWVRRXFQZLAXGV-SRVKXCTJSA-N 0 3 221.348 2.649 20 0 BFADHN COc1ccc(OCCN2[C@H](C)C[C@@H]2C)cc1 ZINC000548754245 388557128 /nfs/dbraw/zinc/55/71/28/388557128.db2.gz KMNHMCDYPAYKHU-TXEJJXNPSA-N 0 3 235.327 2.557 20 0 BFADHN Cn1ccnc1CN[C@H]1CCCC12CCCC2 ZINC000328159017 388558235 /nfs/dbraw/zinc/55/82/35/388558235.db2.gz HNAYZHQLZVNELM-LBPRGKRZSA-N 0 3 233.359 2.623 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+][C@@H](C)C2CCCCC2)[n-]1 ZINC000362307804 388561402 /nfs/dbraw/zinc/56/14/02/388561402.db2.gz ARJDYIDTTHXABC-VHSXEESVSA-N 0 3 236.363 2.733 20 0 BFADHN Cc1cc([C@@H](C)NCC(C2CC2)C2CC2)nn1C ZINC000548791680 388563592 /nfs/dbraw/zinc/56/35/92/388563592.db2.gz BFNZLFJOJSRNQG-LLVKDONJSA-N 0 3 247.386 2.815 20 0 BFADHN CC[C@H](C)CN1CCc2nc(C3CC3)ncc2C1 ZINC000528736123 388563610 /nfs/dbraw/zinc/56/36/10/388563610.db2.gz JXHOISBRQRFWLK-NSHDSACASA-N 0 3 245.370 2.758 20 0 BFADHN Clc1cccc2c1OC[C@H]2NCC1CC1 ZINC000363971514 388564258 /nfs/dbraw/zinc/56/42/58/388564258.db2.gz SEKHWMIZTMHNDQ-LLVKDONJSA-N 0 3 223.703 2.773 20 0 BFADHN CCCN(Cc1ccc(OC)cc1)[C@@H]1CCOC1 ZINC000528738226 388564853 /nfs/dbraw/zinc/56/48/53/388564853.db2.gz JVDGWCYILUXRFY-CQSZACIVSA-N 0 3 249.354 2.696 20 0 BFADHN CCn1nccc1CNCC(C)(C)C(F)(F)F ZINC000548858741 388565411 /nfs/dbraw/zinc/56/54/11/388565411.db2.gz MZEKGWKKUUJODD-UHFFFAOYSA-N 0 3 249.280 2.581 20 0 BFADHN CCN(CCn1cccn1)Cc1ccc(C)cc1 ZINC000521221402 388565945 /nfs/dbraw/zinc/56/59/45/388565945.db2.gz CAOMORYOBUMALE-UHFFFAOYSA-N 0 3 243.354 2.714 20 0 BFADHN CC(C)OCCCN1CCC(F)(F)C[C@H]1C ZINC000528769117 388570149 /nfs/dbraw/zinc/57/01/49/388570149.db2.gz QRMWOWGOHMJEBS-LLVKDONJSA-N 0 3 235.318 2.921 20 0 BFADHN C[C@H]1CC(F)(F)CCN1CCc1cccnc1 ZINC000528771876 388570872 /nfs/dbraw/zinc/57/08/72/388570872.db2.gz VBCCCCOIIILEBH-NSHDSACASA-N 0 3 240.297 2.744 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC(F)(F)C[C@@H]2C)O1 ZINC000528772613 388571316 /nfs/dbraw/zinc/57/13/16/388571316.db2.gz MJENQZXZDHQNTB-AXFHLTTASA-N 0 3 233.302 2.674 20 0 BFADHN Cc1cccc(CN2CC[C@H](OC(F)F)C2)c1 ZINC000549082254 388572392 /nfs/dbraw/zinc/57/23/92/388572392.db2.gz RGAXWOVOFPOGOZ-LBPRGKRZSA-N 0 3 241.281 2.809 20 0 BFADHN CC[C@H](N[C@@H](C)c1cncc(C)c1)[C@H]1CCCO1 ZINC000184422303 388578127 /nfs/dbraw/zinc/57/81/27/388578127.db2.gz BZNMDCZPZMBXEH-AEGPPILISA-N 0 3 248.370 2.998 20 0 BFADHN CC/C=C/CCN1CCc2ccccc2[C@@H]1CO ZINC000184672651 388581116 /nfs/dbraw/zinc/58/11/16/388581116.db2.gz WHCBEGBDRYZFRT-CWDCEQMOSA-N 0 3 245.366 2.934 20 0 BFADHN CC[C@H](NCc1ccc(Cl)s1)[C@@H](C)O ZINC000387442993 388581773 /nfs/dbraw/zinc/58/17/73/388581773.db2.gz PTCSVFGSDBHNLN-APPZFPTMSA-N 0 3 233.764 2.651 20 0 BFADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1ccco1 ZINC000037260557 388616858 /nfs/dbraw/zinc/61/68/58/388616858.db2.gz XMONSYJOCCTGRM-MDZLAQPJSA-N 0 3 223.316 2.888 20 0 BFADHN CCO[C@H]1C[C@H](NCc2cccc(C)n2)C1(C)C ZINC000085398226 388668119 /nfs/dbraw/zinc/66/81/19/388668119.db2.gz WBZHZDLRMWKTGK-KBPBESRZSA-N 0 3 248.370 2.683 20 0 BFADHN CC(C)C(CN1CCO[C@H](C)C1)C(C)C ZINC000552123126 388670382 /nfs/dbraw/zinc/67/03/82/388670382.db2.gz COLBHLAXMIQOPG-GFCCVEGCSA-N 0 3 213.365 2.635 20 0 BFADHN CC(C)OC(=O)[C@@H]1CCCN1CCC(C)(C)C ZINC000125300259 388671059 /nfs/dbraw/zinc/67/10/59/388671059.db2.gz FMHZTDRRTKFRBS-LBPRGKRZSA-N 0 3 241.375 2.839 20 0 BFADHN CC[C@H]1CCN(CCOc2ccccc2F)C1 ZINC000125305153 388671167 /nfs/dbraw/zinc/67/11/67/388671167.db2.gz VOSFUMFBOMLBNW-LBPRGKRZSA-N 0 3 237.318 2.936 20 0 BFADHN CC[C@@H]1CN(C)CCN1C/C=C\c1ccccc1 ZINC000552131855 388671509 /nfs/dbraw/zinc/67/15/09/388671509.db2.gz CZAKWMSRGRLLOB-BSDKJSHOSA-N 0 3 244.382 2.726 20 0 BFADHN O[C@H]1CCCN(C/C=C\c2ccc(F)cc2)CC1 ZINC000552146331 388673804 /nfs/dbraw/zinc/67/38/04/388673804.db2.gz AOCYEWQAWXHSLH-BGGTVIOKSA-N 0 3 249.329 2.686 20 0 BFADHN CCOc1cc(C)ccc1CNC(C)C ZINC000125768514 388677264 /nfs/dbraw/zinc/67/72/64/388677264.db2.gz AEXKMKUAMVNWAN-UHFFFAOYSA-N 0 3 207.317 2.892 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1C)c1cscn1 ZINC000161591013 388678626 /nfs/dbraw/zinc/67/86/26/388678626.db2.gz ICLWUDPZOXEHOT-KXUCPTDWSA-N 0 3 210.346 2.982 20 0 BFADHN CC[C@H](NCC(C1CC1)C1CC1)c1nccn1C ZINC000538217669 388681393 /nfs/dbraw/zinc/68/13/93/388681393.db2.gz HHGQZDUBWXTVOZ-AWEZNQCLSA-N 0 3 247.386 2.897 20 0 BFADHN Cc1cccc(CN[C@@H](C)CC2CC2)n1 ZINC000086136284 388681461 /nfs/dbraw/zinc/68/14/61/388681461.db2.gz NEEJVSYLEJIPEV-NSHDSACASA-N 0 3 204.317 2.668 20 0 BFADHN CC[C@H](NCc1ccn(C)c1)c1ccncc1 ZINC000125897730 388681715 /nfs/dbraw/zinc/68/17/15/388681715.db2.gz MFFUSVLGOMUURF-AWEZNQCLSA-N 0 3 229.327 2.661 20 0 BFADHN Cc1nnc([C@H](C)N2C[C@@H]3CCCC[C@H]3C2)o1 ZINC000246135854 388618707 /nfs/dbraw/zinc/61/87/07/388618707.db2.gz FWIHEHYIFZDYQN-DLOVCJGASA-N 0 3 235.331 2.561 20 0 BFADHN CC[C@@H]1CCN([C@H](C)C(=O)Nc2ccccc2)C1 ZINC000246160310 388621064 /nfs/dbraw/zinc/62/10/64/388621064.db2.gz DFSZRDDDZNZXKP-CHWSQXEVSA-N 0 3 246.354 2.746 20 0 BFADHN CCc1cccc(CN2CCC[C@H](OC)C2)c1 ZINC000189089211 388621789 /nfs/dbraw/zinc/62/17/89/388621789.db2.gz VXUPQJFWDVNVTM-HNNXBMFYSA-N 0 3 233.355 2.860 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H]2CCC[C@@H]2F)C12CCC2 ZINC000551309311 388625330 /nfs/dbraw/zinc/62/53/30/388625330.db2.gz RPQWNJOBFCNPDB-LOWDOPEQSA-N 0 3 241.350 2.814 20 0 BFADHN C[C@@H](CF)N[C@@H](C)Cc1ccc(F)cc1 ZINC000288445736 388627262 /nfs/dbraw/zinc/62/72/62/388627262.db2.gz MKEOERGQHOPGJQ-UWVGGRQHSA-N 0 3 213.271 2.704 20 0 BFADHN CCC[C@H]1CCCN(CCOCC(F)F)C1 ZINC000189544961 388637172 /nfs/dbraw/zinc/63/71/72/388637172.db2.gz GWBRCJSKPVMYNN-NSHDSACASA-N 0 3 235.318 2.780 20 0 BFADHN C[C@@H]1CCN(Cc2cnn(C(C)(C)C)c2)[C@H]1C ZINC000246471958 388638131 /nfs/dbraw/zinc/63/81/31/388638131.db2.gz OMRGPPOPYWCLFX-NEPJUHHUSA-N 0 3 235.375 2.868 20 0 BFADHN C[C@@H](c1ccc(F)cc1)N1CCC[C@@H](CO)C1 ZINC000246488521 388639153 /nfs/dbraw/zinc/63/91/53/388639153.db2.gz AYDVWVWCUOYJDH-NWDGAFQWSA-N 0 3 237.318 2.591 20 0 BFADHN COC(=O)[C@@H]1CCCN1CC(C(C)C)C(C)C ZINC000551802791 388641468 /nfs/dbraw/zinc/64/14/68/388641468.db2.gz SCNQTKQFPMRWKG-ZDUSSCGKSA-N 0 3 241.375 2.552 20 0 BFADHN Cc1nccnc1[C@@H](C)NCC(C1CC1)C1CC1 ZINC000219170584 388655183 /nfs/dbraw/zinc/65/51/83/388655183.db2.gz LIZYQLIEOPKSEZ-LLVKDONJSA-N 0 3 245.370 2.872 20 0 BFADHN CCN1CCCC[C@H]1CN[C@H](C)c1ccoc1 ZINC000247126212 388659690 /nfs/dbraw/zinc/65/96/90/388659690.db2.gz APKGFWSORNFANX-OCCSQVGLSA-N 0 3 236.359 2.805 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@H]2CCSC2)o1 ZINC000224331850 388662674 /nfs/dbraw/zinc/66/26/74/388662674.db2.gz ZYNSMXYNFSEUBL-MNOVXSKESA-N 0 3 225.357 2.992 20 0 BFADHN C[C@@H](NC[C@@H]1CCSC1)c1ccco1 ZINC000224316651 388662922 /nfs/dbraw/zinc/66/29/22/388662922.db2.gz RHOWCCQBKLRUMW-ZJUUUORDSA-N 0 3 211.330 2.683 20 0 BFADHN CCc1nnc(CN2[C@H](C)C[C@H]3CCCC[C@H]32)o1 ZINC000247338089 388663316 /nfs/dbraw/zinc/66/33/16/388663316.db2.gz FXNXRIGSSWXTMB-IJLUTSLNSA-N 0 3 249.358 2.785 20 0 BFADHN Cc1ncc(CN2C[C@H](C)C[C@H](C)C2)s1 ZINC000128022163 388736830 /nfs/dbraw/zinc/73/68/30/388736830.db2.gz NGIZECGFDHZRFH-AOOOYVTPSA-N 0 3 224.373 2.929 20 0 BFADHN CCN(Cc1cc(C)no1)[C@@H](C)C(C)C ZINC000067661255 388738174 /nfs/dbraw/zinc/73/81/74/388738174.db2.gz MGDCWBJLERIEMA-NSHDSACASA-N 0 3 210.321 2.849 20 0 BFADHN CCOC(=O)[C@@H](CC)N1CCC[C@@H](CC)CC1 ZINC000227092388 388740878 /nfs/dbraw/zinc/74/08/78/388740878.db2.gz AILCGDNFHVYKDR-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN Cc1nc(CCN[C@@H](C)c2cccnc2)c(C)o1 ZINC000538984640 388743684 /nfs/dbraw/zinc/74/36/84/388743684.db2.gz OOMQPUOEYAFOPR-JTQLQIEISA-N 0 3 245.326 2.580 20 0 BFADHN CCCCN(CC(=O)NC)[C@@H](C)c1ccccc1 ZINC000128278896 388744015 /nfs/dbraw/zinc/74/40/15/388744015.db2.gz QWCCVTVANYUTCQ-ZDUSSCGKSA-N 0 3 248.370 2.596 20 0 BFADHN CC[C@@H]1C[C@H]1CNCc1cn2cccc(F)c2n1 ZINC000648731988 388753523 /nfs/dbraw/zinc/75/35/23/388753523.db2.gz CLGNTVCBSWEADP-MNOVXSKESA-N 0 3 247.317 2.609 20 0 BFADHN CC/C=C/CCN1C[C@H](C)O[C@@H](CC)C1 ZINC000248619395 388687373 /nfs/dbraw/zinc/68/73/73/388687373.db2.gz LZYVWZGPXVRMNR-XKZLPGLHSA-N 0 3 211.349 2.842 20 0 BFADHN CCN(C)Cc1cc(Cl)c(OC)c(OC)c1 ZINC000126277829 388693381 /nfs/dbraw/zinc/69/33/81/388693381.db2.gz VMUZEZXIEOOZPY-UHFFFAOYSA-N 0 3 243.734 2.809 20 0 BFADHN CCN(Cc1c[nH]nc1C(C)(C)C)C(C)C ZINC000126377998 388694610 /nfs/dbraw/zinc/69/46/10/388694610.db2.gz BKFBJUFDTVSWRN-UHFFFAOYSA-N 0 3 223.364 2.938 20 0 BFADHN CCN(Cc1cnn(C(C)(C)C)c1)C(C)C ZINC000126392755 388694739 /nfs/dbraw/zinc/69/47/39/388694739.db2.gz IEYREVDAJJVQGP-UHFFFAOYSA-N 0 3 223.364 2.868 20 0 BFADHN CCN(Cc1nc2ccccc2[nH]1)C(C)C ZINC000126380327 388695494 /nfs/dbraw/zinc/69/54/94/388695494.db2.gz FOAPYZPSSIPTSK-UHFFFAOYSA-N 0 3 217.316 2.793 20 0 BFADHN CC(C)(C)CN1CCO[C@H](Cc2ccccc2)C1 ZINC000538493621 388697034 /nfs/dbraw/zinc/69/70/34/388697034.db2.gz VVDASDQPDUNQFE-OAHLLOKOSA-N 0 3 247.382 2.976 20 0 BFADHN Cc1cncc(CN2CC[C@@H](C)[C@@H](C)C2)c1 ZINC000248889649 388697571 /nfs/dbraw/zinc/69/75/71/388697571.db2.gz FNCGPXXQQXUSEA-OLZOCXBDSA-N 0 3 218.344 2.868 20 0 BFADHN COc1cccc(CN2C[C@H](C)C[C@@H]2C)c1OC ZINC000538717557 388710995 /nfs/dbraw/zinc/71/09/95/388710995.db2.gz JJUDTLCCYXPTGW-NEPJUHHUSA-N 0 3 249.354 2.934 20 0 BFADHN Cc1ccc(F)c(CNCCCOC(F)F)c1 ZINC000193665604 388712877 /nfs/dbraw/zinc/71/28/77/388712877.db2.gz RATLXQQDTSKMMO-UHFFFAOYSA-N 0 3 247.260 2.853 20 0 BFADHN C[C@@H]1C[C@H](C)CCN(CC(=O)c2cccn2C)C1 ZINC000127172293 388717016 /nfs/dbraw/zinc/71/70/16/388717016.db2.gz ANLAYRBSVKHOPP-CHWSQXEVSA-N 0 3 248.370 2.576 20 0 BFADHN CCN(Cc1cc(OC)ccc1OC)C(C)C ZINC000194094490 388722562 /nfs/dbraw/zinc/72/25/62/388722562.db2.gz CZECTHDAUWWAMU-UHFFFAOYSA-N 0 3 237.343 2.934 20 0 BFADHN CCc1ccccc1NC(=O)CN(CC)C(C)C ZINC000194084026 388723007 /nfs/dbraw/zinc/72/30/07/388723007.db2.gz NKYOWPGPLSQAKR-UHFFFAOYSA-N 0 3 248.370 2.918 20 0 BFADHN CCCN(C)Cc1c(C)nn(CCC)c1C ZINC000127521180 388725927 /nfs/dbraw/zinc/72/59/27/388725927.db2.gz DCAQXHZOUPOAON-UHFFFAOYSA-N 0 3 223.364 2.752 20 0 BFADHN C[C@@H](NCC(C1CC1)C1CC1)c1cnccn1 ZINC000088455692 388730772 /nfs/dbraw/zinc/73/07/72/388730772.db2.gz RDXDEPJKMFLIKD-SNVBAGLBSA-N 0 3 231.343 2.563 20 0 BFADHN CCC(CC)CN1CCN(c2ccccn2)CC1 ZINC000127866711 388733068 /nfs/dbraw/zinc/73/30/68/388733068.db2.gz SHBBJFBOXTZPBP-UHFFFAOYSA-N 0 3 247.386 2.640 20 0 BFADHN COc1ccc(C)cc1[C@@H](C)N[C@H]1CCC[C@@H]1O ZINC000193206369 388807983 /nfs/dbraw/zinc/80/79/83/388807983.db2.gz URPBMBAOSCNPFY-XBFCOCLRSA-N 0 3 249.354 2.568 20 0 BFADHN CCCC[C@@H](C(=O)OC)N(C)C[C@H](C)CC ZINC000253185275 388808233 /nfs/dbraw/zinc/80/82/33/388808233.db2.gz HXWRNGBVWSOJCL-NEPJUHHUSA-N 0 3 229.364 2.696 20 0 BFADHN Cc1ccc(CN2C[C@@H](C)S[C@H](C)C2)o1 ZINC000092540526 388810669 /nfs/dbraw/zinc/81/06/69/388810669.db2.gz YDWQUFFFXZFSLC-GHMZBOCLSA-N 0 3 225.357 2.914 20 0 BFADHN C[C@@H](CF)NC/C=C/c1ccc(F)cc1 ZINC000288515011 388760702 /nfs/dbraw/zinc/76/07/02/388760702.db2.gz UBUSHLCKDCRUMR-PBKGFPTLSA-N 0 3 211.255 2.787 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC[C@@H](C)C1 ZINC000128483140 388764481 /nfs/dbraw/zinc/76/44/81/388764481.db2.gz UISVYDCGSSVIAO-NEPJUHHUSA-N 0 3 204.317 2.875 20 0 BFADHN CSC1(CN[C@H](C)c2cncs2)CC1 ZINC000228023587 388765594 /nfs/dbraw/zinc/76/55/94/388765594.db2.gz PPDRJPCQWJEZNX-MRVPVSSYSA-N 0 3 228.386 2.689 20 0 BFADHN CCO[C@H]1CCN(Cc2ccncc2C)C[C@H]1C ZINC000648741409 388772242 /nfs/dbraw/zinc/77/22/42/388772242.db2.gz AWBRSXKMXVJFAP-HIFRSBDPSA-N 0 3 248.370 2.637 20 0 BFADHN CN(Cc1cc2c(c(Cl)c1)OCO2)C1CC1 ZINC000642636019 388776793 /nfs/dbraw/zinc/77/67/93/388776793.db2.gz JVUOSAUBDMNWJM-UHFFFAOYSA-N 0 3 239.702 2.663 20 0 BFADHN COc1c(O)cccc1CNC(C)(C)C1CC1 ZINC000539813895 388778767 /nfs/dbraw/zinc/77/87/67/388778767.db2.gz CMRLWJFQPGBDRB-UHFFFAOYSA-N 0 3 235.327 2.679 20 0 BFADHN CCc1ccc(CN2CCCO[C@H](C)C2)s1 ZINC000539839721 388783818 /nfs/dbraw/zinc/78/38/18/388783818.db2.gz AKWQITUFVSMTMC-LLVKDONJSA-N 0 3 239.384 2.921 20 0 BFADHN C[C@H](NCc1ncc[nH]1)[C@H]1CCC[C@@H](C)C1 ZINC000229324165 388785864 /nfs/dbraw/zinc/78/58/64/388785864.db2.gz KAOBXRSDMFYVRK-WOPDTQHZSA-N 0 3 221.348 2.714 20 0 BFADHN Cc1cc(Cl)ccc1CNC[C@@H]1CCCO1 ZINC000128711637 388786968 /nfs/dbraw/zinc/78/69/68/388786968.db2.gz UUFGHAUEFKMLCC-ZDUSSCGKSA-N 0 3 239.746 2.917 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1ccsc1 ZINC000229380510 388787369 /nfs/dbraw/zinc/78/73/69/388787369.db2.gz JUXFTSAMSVFZBL-KXUCPTDWSA-N 0 3 213.346 2.822 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCCC[C@H]2C)no1 ZINC000253356235 388814670 /nfs/dbraw/zinc/81/46/70/388814670.db2.gz FBYGQHQVKRTDEU-ZWNOBZJWSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@H](NCc1cscc1Cl)[C@H](C)O ZINC000648754257 388814854 /nfs/dbraw/zinc/81/48/54/388814854.db2.gz PCGHQVRZSBXLRK-OIBJUYFYSA-N 0 3 233.764 2.651 20 0 BFADHN Cc1nc(C)c(CN[C@H]2CC2(C)C)s1 ZINC000080694004 135384321 /nfs/dbraw/zinc/38/43/21/135384321.db2.gz AOYQIZTYHMCZKY-JTQLQIEISA-N 0 3 210.346 2.648 20 0 BFADHN Cc1oncc1CN(C(C)C)C1CCC1 ZINC000129092008 388816830 /nfs/dbraw/zinc/81/68/30/388816830.db2.gz NRQKXOCIKMHHDM-UHFFFAOYSA-N 0 3 208.305 2.746 20 0 BFADHN CCC[C@@H](N[C@@H](C)CC)c1nnc2ccccn21 ZINC000129269409 388827370 /nfs/dbraw/zinc/82/73/70/388827370.db2.gz JYMRCMAUTYIDMS-NWDGAFQWSA-N 0 3 246.358 2.959 20 0 BFADHN c1ncc(CN2C[C@@H]3CCCC[C@H]3C2)s1 ZINC000093441852 388838601 /nfs/dbraw/zinc/83/86/01/388838601.db2.gz OTLGEHCLBPMOQF-QWRGUYRKSA-N 0 3 222.357 2.765 20 0 BFADHN COc1cccc2c1CN(C[C@H]1C[C@H]1C)CC2 ZINC000648769876 388857135 /nfs/dbraw/zinc/85/71/35/388857135.db2.gz HCOOQHVPJNABJT-DGCLKSJQSA-N 0 3 231.339 2.709 20 0 BFADHN COc1cccc2c1CN(C[C@@H]1C[C@@H]1C)CC2 ZINC000648769873 388857517 /nfs/dbraw/zinc/85/75/17/388857517.db2.gz HCOOQHVPJNABJT-AAEUAGOBSA-N 0 3 231.339 2.709 20 0 BFADHN C[C@@H](CN1CCO[C@@H](C)C1)C1CCCCC1 ZINC000555648471 388858525 /nfs/dbraw/zinc/85/85/25/388858525.db2.gz NHVJJQKYCOJXRU-STQMWFEESA-N 0 3 225.376 2.924 20 0 BFADHN CC1(C)[C@@H](O)C[C@@H]1NCc1coc2ccccc12 ZINC000129640278 388861760 /nfs/dbraw/zinc/86/17/60/388861760.db2.gz RUGFKOZNBWTCRA-KBPBESRZSA-N 0 3 245.322 2.682 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@@H]1CCC[C@H]2C[C@H]21 ZINC000648775108 388862049 /nfs/dbraw/zinc/86/20/49/388862049.db2.gz FJTKHYDFGSVVMN-YVECIDJPSA-N 0 3 233.359 2.742 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H]1CCC[C@H]2C[C@H]21 ZINC000648775106 388863306 /nfs/dbraw/zinc/86/33/06/388863306.db2.gz FJTKHYDFGSVVMN-RVMXOQNASA-N 0 3 233.359 2.742 20 0 BFADHN CC[C@H](CSC)N(C)Cc1cnoc1C ZINC000129677128 388864534 /nfs/dbraw/zinc/86/45/34/388864534.db2.gz HNLHMNQGIDSDKA-LLVKDONJSA-N 0 3 228.361 2.556 20 0 BFADHN CC[C@@H](NC[C@H]1CC=CCC1)c1ccn(C)n1 ZINC000541743349 388866254 /nfs/dbraw/zinc/86/62/54/388866254.db2.gz IVPIEXXIVOUFJA-QWHCGFSZSA-N 0 3 233.359 2.817 20 0 BFADHN FC1(CN2CCCc3ccccc3CC2)CC1 ZINC000556158365 388869000 /nfs/dbraw/zinc/86/90/00/388869000.db2.gz HNJPZKYFCHVUPC-UHFFFAOYSA-N 0 3 233.330 2.979 20 0 BFADHN C[C@@H](NC[C@@H](c1ccco1)N(C)C)c1ccoc1 ZINC000094867553 388874101 /nfs/dbraw/zinc/87/41/01/388874101.db2.gz QTYLJKHEVAIPMN-YPMHNXCESA-N 0 3 248.326 2.826 20 0 BFADHN CCCN(C(=O)[C@H](CC)N(CC)CC)C(C)C ZINC000564585702 388880890 /nfs/dbraw/zinc/88/08/90/388880890.db2.gz RAMZXPYSDLYANE-ZDUSSCGKSA-N 0 3 242.407 2.754 20 0 BFADHN C[C@@H]1C[C@H]1c1ccc(CNCC2CCOCC2)o1 ZINC000070161053 388876621 /nfs/dbraw/zinc/87/66/21/388876621.db2.gz OBYREKDYLWJVPE-BXUZGUMPSA-N 0 3 249.354 2.919 20 0 BFADHN Clc1cnccc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000153015258 388919362 /nfs/dbraw/zinc/91/93/62/388919362.db2.gz ZTXXYGQFDMAMJC-PHIMTYICSA-N 0 3 236.746 2.967 20 0 BFADHN CCC[C@H](NCc1ncccn1)[C@@H]1CC1(C)C ZINC000565245888 388932176 /nfs/dbraw/zinc/93/21/76/388932176.db2.gz LUKDIPUAQKFORX-RYUDHWBXSA-N 0 3 233.359 2.781 20 0 BFADHN CCOC1CC(N(C)Cc2ccc(CC)nc2)C1 ZINC000565273211 388933837 /nfs/dbraw/zinc/93/38/37/388933837.db2.gz RLRXMENHUZCGKV-UHFFFAOYSA-N 0 3 248.370 2.643 20 0 BFADHN C[C@H](CNCc1ccno1)c1ccc(F)cc1 ZINC000564987174 388904964 /nfs/dbraw/zinc/90/49/64/388904964.db2.gz SVXGMVJKDMJALA-SNVBAGLBSA-N 0 3 234.274 2.707 20 0 BFADHN c1ccc(CCN2CCOC[C@@H]2C2CCC2)cc1 ZINC000565653785 388960206 /nfs/dbraw/zinc/96/02/06/388960206.db2.gz JIMVLZGZDFACJE-MRXNPFEDSA-N 0 3 245.366 2.730 20 0 BFADHN CC[C@H](F)CN1CC[C@H](c2ccncc2)C1 ZINC000565429536 388944912 /nfs/dbraw/zinc/94/49/12/388944912.db2.gz BBDFUVZNFUGKPV-STQMWFEESA-N 0 3 222.307 2.619 20 0 BFADHN CCn1nccc1CN1CCC[C@H]1CC(C)C ZINC000153451240 388975133 /nfs/dbraw/zinc/97/51/33/388975133.db2.gz WFHRATAVQBDZNO-ZDUSSCGKSA-N 0 3 235.375 2.914 20 0 BFADHN COC(=O)c1coc(CNC(C)(C)C(C)C)c1 ZINC000565903924 388978402 /nfs/dbraw/zinc/97/84/02/388978402.db2.gz RTAXGGSPLVMMRC-UHFFFAOYSA-N 0 3 239.315 2.590 20 0 BFADHN CCc1noc(C)c1COc1ccccc1CN ZINC000566103619 388987207 /nfs/dbraw/zinc/98/72/07/388987207.db2.gz CEWGPPOPXZJSAN-UHFFFAOYSA-N 0 3 246.310 2.583 20 0 BFADHN Cc1ccoc1CN[C@H]1COc2ccccc2C1 ZINC000266188088 388995356 /nfs/dbraw/zinc/99/53/56/388995356.db2.gz HWUCSPFWWMUWPM-CYBMUJFWSA-N 0 3 243.306 2.681 20 0 BFADHN Cc1ccoc1CNC[C@](C)(O)c1ccccc1 ZINC000266211360 388995674 /nfs/dbraw/zinc/99/56/74/388995674.db2.gz OGUGPOGHNHDHCX-HNNXBMFYSA-N 0 3 245.322 2.585 20 0 BFADHN CO[C@@H](CNC/C=C/c1ccccc1)C(C)C ZINC000266624785 388996910 /nfs/dbraw/zinc/99/69/10/388996910.db2.gz NAUKYZLLMVFIDP-VSGCLNPGSA-N 0 3 233.355 2.960 20 0 BFADHN CCOCCN[C@@H]1C[C@H](C)c2ccccc21 ZINC000289136765 388998924 /nfs/dbraw/zinc/99/89/24/388998924.db2.gz FIRFRJKKWKVLSO-SMDDNHRTSA-N 0 3 219.328 2.861 20 0 BFADHN COC[C@@H](C)CN1CC(C)(C)[C@H]1c1cccnc1 ZINC000565825452 388971206 /nfs/dbraw/zinc/97/12/06/388971206.db2.gz LDVMSWPQUHGYOF-GXTWGEPZSA-N 0 3 248.370 2.747 20 0 BFADHN COC[C@@H](C)CN1CC(C)(C)[C@@H]1c1cccnc1 ZINC000565825459 388971496 /nfs/dbraw/zinc/97/14/96/388971496.db2.gz LDVMSWPQUHGYOF-JSGCOSHPSA-N 0 3 248.370 2.747 20 0 BFADHN CCc1ccc(CN[C@@H](C)[C@H]2CCCCO2)o1 ZINC000272044401 389028653 /nfs/dbraw/zinc/02/86/53/389028653.db2.gz WNSNFBOTMNIRSB-SMDDNHRTSA-N 0 3 237.343 2.889 20 0 BFADHN Cc1nc([C@@H](C)N[C@H](C)CCCCO)cs1 ZINC000272422820 389031634 /nfs/dbraw/zinc/03/16/34/389031634.db2.gz MEIUHWBNAOGUJN-NXEZZACHSA-N 0 3 242.388 2.653 20 0 BFADHN CO[C@H](C)CCN[C@@H](C)c1cccnc1Cl ZINC000268822397 389004557 /nfs/dbraw/zinc/00/45/57/389004557.db2.gz HMERSYLEAGONPM-ZJUUUORDSA-N 0 3 242.750 2.811 20 0 BFADHN C[C@H](NCCC1CCOCC1)c1ccccn1 ZINC000270883670 389014953 /nfs/dbraw/zinc/01/49/53/389014953.db2.gz FVSPPSYQSTXHHH-LBPRGKRZSA-N 0 3 234.343 2.549 20 0 BFADHN C[C@H](NC[C@@H]1CCO[C@H](C)C1)c1cscn1 ZINC000271511109 389021282 /nfs/dbraw/zinc/02/12/82/389021282.db2.gz IANBZIOIFLNMNZ-OUAUKWLOSA-N 0 3 240.372 2.609 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CCCCO1)c1ccncc1 ZINC000271960318 389027158 /nfs/dbraw/zinc/02/71/58/389027158.db2.gz QZTAUIVHUYCYOI-SGMGOOAPSA-N 0 3 234.343 2.690 20 0 BFADHN CCSc1cccc(CN[C@@H](C)COC)c1 ZINC000274380708 389046429 /nfs/dbraw/zinc/04/64/29/389046429.db2.gz AEGACFQMCSAUMM-NSHDSACASA-N 0 3 239.384 2.923 20 0 BFADHN Cc1ccoc1CNC1CC(OC(C)C)C1 ZINC000274590244 389046790 /nfs/dbraw/zinc/04/67/90/389046790.db2.gz NGFLMFAMSIXNBW-UHFFFAOYSA-N 0 3 223.316 2.634 20 0 BFADHN C[C@]1(NCc2ccsc2)CCO[C@@H]1C1CC1 ZINC000273086604 389036954 /nfs/dbraw/zinc/03/69/54/389036954.db2.gz KCJDCCXYVPIAEY-OLZOCXBDSA-N 0 3 237.368 2.795 20 0 BFADHN CCSCCN[C@@H](CCOC)c1ccco1 ZINC000273302672 389039814 /nfs/dbraw/zinc/03/98/14/389039814.db2.gz RPFVLAMSRABDTJ-NSHDSACASA-N 0 3 243.372 2.700 20 0 BFADHN CCSCCNCc1sc(C)nc1C ZINC000273713851 389042530 /nfs/dbraw/zinc/04/25/30/389042530.db2.gz KYXPBHAWQZAQRU-UHFFFAOYSA-N 0 3 230.402 2.603 20 0 BFADHN CCSCCNc1cccc(CN(C)C)c1 ZINC000273749593 389043321 /nfs/dbraw/zinc/04/33/21/389043321.db2.gz OTOZPEBBMSAAPJ-UHFFFAOYSA-N 0 3 238.400 2.913 20 0 BFADHN CCSc1cccc(CN[C@H](C)COC)c1 ZINC000274380706 389045531 /nfs/dbraw/zinc/04/55/31/389045531.db2.gz AEGACFQMCSAUMM-LLVKDONJSA-N 0 3 239.384 2.923 20 0 BFADHN CCN(C)C(=O)CCN[C@@H](C)c1ccc(C)cc1 ZINC000182334177 169666546 /nfs/dbraw/zinc/66/65/46/169666546.db2.gz KULXVZFQIDFBAP-ZDUSSCGKSA-N 0 3 248.370 2.514 20 0 BFADHN CO[C@H]1C[C@@H](N(C)C/C=C\c2ccccc2)C1 ZINC000510133734 533289124 /nfs/dbraw/zinc/28/91/24/533289124.db2.gz OLODHUBVOFUJRP-VUEDLFODSA-N 0 3 231.339 2.809 20 0 BFADHN COCC[C@H](N[C@@H]1C[C@H](C)[C@@H]1C)c1ccco1 ZINC000494963260 533314449 /nfs/dbraw/zinc/31/44/49/533314449.db2.gz XWCCLDLJCYTIDE-ZDEQEGDKSA-N 0 3 237.343 2.991 20 0 BFADHN C/C(=C/c1ccccc1)CN1CCC(C)(O)CC1 ZINC000255199451 533391325 /nfs/dbraw/zinc/39/13/25/533391325.db2.gz IJCFQXXAHLSOSA-OWBHPGMISA-N 0 3 245.366 2.937 20 0 BFADHN CCCCCN1CCN(c2cnccc2C)CC1 ZINC000340600527 132222435 /nfs/dbraw/zinc/22/24/35/132222435.db2.gz ZSUBEZMTVRVYJD-UHFFFAOYSA-N 0 3 247.386 2.702 20 0 BFADHN Cc1ccc2nccc(N3CCC[C@H](O)C3)c2c1 ZINC000302146913 132260981 /nfs/dbraw/zinc/26/09/81/132260981.db2.gz ILBBXJUAMWTAEI-LBPRGKRZSA-N 0 3 242.322 2.504 20 0 BFADHN OCCCCCNCc1ccccc1Cl ZINC000013718172 133450944 /nfs/dbraw/zinc/45/09/44/133450944.db2.gz PBZLUUIKNLNSJQ-UHFFFAOYSA-N 0 3 227.735 2.592 20 0 BFADHN CC1(C)CN(Cc2cnccn2)CC(C)(C)C1 ZINC000334732552 133742405 /nfs/dbraw/zinc/74/24/05/133742405.db2.gz IVVBTMGMGAJAEM-UHFFFAOYSA-N 0 3 233.359 2.735 20 0 BFADHN Cc1ccc(CCN2C[C@@H](C)N(C)C[C@H]2C)cc1 ZINC000335938718 134013618 /nfs/dbraw/zinc/01/36/18/134013618.db2.gz NVKPPQNRCWLAGW-HUUCEWRRSA-N 0 3 246.398 2.562 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]3CCC[C@H]32)cn1 ZINC000335938712 134013800 /nfs/dbraw/zinc/01/38/00/134013800.db2.gz NJAZUFFIOIOTRB-UONOGXRCSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1cc(C)cc(CN2C[C@H](C)N(C)C[C@H]2C)c1 ZINC000351943875 134015203 /nfs/dbraw/zinc/01/52/03/134015203.db2.gz IKOXYGQZQZKQGH-LSDHHAIUSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1cc(C)cc(CN2C[C@H](C)N(C)C[C@@H]2C)c1 ZINC000351943873 134015512 /nfs/dbraw/zinc/01/55/12/134015512.db2.gz IKOXYGQZQZKQGH-GJZGRUSLSA-N 0 3 246.398 2.828 20 0 BFADHN Cc1nccc(CN2CCC[C@@H](C(C)C)C2)n1 ZINC000335945377 134020153 /nfs/dbraw/zinc/02/01/53/134020153.db2.gz IIIPYTFCCMLKEF-CYBMUJFWSA-N 0 3 233.359 2.653 20 0 BFADHN NC(=O)[C@@H]1CCN(c2ccnc3ccccc32)C1 ZINC000335959209 134024158 /nfs/dbraw/zinc/02/41/58/134024158.db2.gz RTORAILSRLASFE-SNVBAGLBSA-N 0 3 241.294 2.596 20 0 BFADHN CCN(CCO)Cc1cc2c(ccc(C)c2C)[nH]1 ZINC000351994981 134033269 /nfs/dbraw/zinc/03/32/69/134033269.db2.gz IJFRKDQWEHHOLP-UHFFFAOYSA-N 0 3 246.354 2.599 20 0 BFADHN Cc1ncc(CN2CC[C@@H](CC(C)C)C2)cn1 ZINC000352015634 134036039 /nfs/dbraw/zinc/03/60/39/134036039.db2.gz QXHZLGLYVQIVJV-ZDUSSCGKSA-N 0 3 233.359 2.653 20 0 BFADHN C[C@H](F)CCN1CCCc2occc2C1 ZINC000335993771 134041698 /nfs/dbraw/zinc/04/16/98/134041698.db2.gz XRSZKXQSORBYGY-JTQLQIEISA-N 0 3 211.280 2.776 20 0 BFADHN Cc1cc(CN(C)[C@@H]2CCC(C)(C)C2)on1 ZINC000336007299 134049419 /nfs/dbraw/zinc/04/94/19/134049419.db2.gz WEBSDJXBUNGRSI-LLVKDONJSA-N 0 3 222.332 2.994 20 0 BFADHN CC[C@@H](C(N)=O)N1CC[C@H](C)C(C)(C)C1 ZINC000336010710 134050999 /nfs/dbraw/zinc/05/09/99/134050999.db2.gz IIKWCUBSVCDBTA-UWVGGRQHSA-N 0 3 212.337 2.668 20 0 BFADHN C[C@@H](c1ccccc1F)N1CCOC[C@@H](C)C1 ZINC000336039227 134060491 /nfs/dbraw/zinc/06/04/91/134060491.db2.gz BFXMPJJOTGVNRX-RYUDHWBXSA-N 0 3 237.318 2.855 20 0 BFADHN CO[C@@H]1CCN([C@@H](C)c2cccc(F)c2)C1 ZINC000336090049 134077838 /nfs/dbraw/zinc/07/78/38/134077838.db2.gz AQAJHAZHBCQGCL-GXFFZTMASA-N 0 3 223.291 2.607 20 0 BFADHN C[C@@H]1[C@H](CO)CCN1Cc1cc2ccccc2o1 ZINC000336096428 134080728 /nfs/dbraw/zinc/08/07/28/134080728.db2.gz ARGNVYTWNKHAHJ-YPMHNXCESA-N 0 3 245.322 2.636 20 0 BFADHN CC(C)c1nc(CN2CCC[C@H]3CCC[C@@H]32)n[nH]1 ZINC000336110011 134085929 /nfs/dbraw/zinc/08/59/29/134085929.db2.gz HVKREMCKUFUHQC-NEPJUHHUSA-N 0 3 248.374 2.693 20 0 BFADHN Cc1cc(CN2CC[C@]3(CC[C@@H](C)C3)C2)ncn1 ZINC000336110414 134086160 /nfs/dbraw/zinc/08/61/60/134086160.db2.gz QYPHHSRJJNKFNO-DOMZBBRYSA-N 0 3 245.370 2.797 20 0 BFADHN Cc1nc(C(C)C)oc1CN1CC[C@@H](C)C1 ZINC000336111236 134086844 /nfs/dbraw/zinc/08/68/44/134086844.db2.gz YHHOPUQHHTYUQS-SNVBAGLBSA-N 0 3 222.332 2.948 20 0 BFADHN Cc1oncc1CN(C)[C@H]1CC[C@H](C)C1 ZINC000336121119 134091673 /nfs/dbraw/zinc/09/16/73/134091673.db2.gz KGKGFNJGZWOQSL-CABZTGNLSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1nccc(CN2CC[C@@H](C)C3(CCC3)C2)n1 ZINC000336125992 134093162 /nfs/dbraw/zinc/09/31/62/134093162.db2.gz SKJCIFOJLLVFNZ-GFCCVEGCSA-N 0 3 245.370 2.797 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCCN(C)CC1 ZINC000336134080 134095434 /nfs/dbraw/zinc/09/54/34/134095434.db2.gz CXWNQQAFUIZZPR-GFCCVEGCSA-N 0 3 236.334 2.524 20 0 BFADHN CCN(C[C@H](C)O)[C@H](C)c1nc2ccccc2o1 ZINC000352220985 134108985 /nfs/dbraw/zinc/10/89/85/134108985.db2.gz KQTDWHODFPEHIS-WDEREUQCSA-N 0 3 248.326 2.592 20 0 BFADHN Cc1ccc(CN(C)[C@H]2CCCOC2)cc1C ZINC000352231281 134112404 /nfs/dbraw/zinc/11/24/04/134112404.db2.gz QVFGAIVCPFDONT-HNNXBMFYSA-N 0 3 233.355 2.914 20 0 BFADHN CC[C@H]1CN(CC2CC(F)(F)C2)C[C@@H](C)O1 ZINC000336195470 134125520 /nfs/dbraw/zinc/12/55/20/134125520.db2.gz QWIQYMZILPOEAK-KOLCDFICSA-N 0 3 233.302 2.531 20 0 BFADHN C[C@@H]1CCCC[C@H]1NCc1ccncc1F ZINC000336207971 134132587 /nfs/dbraw/zinc/13/25/87/134132587.db2.gz JPXMDTYWRRCWIO-ZWNOBZJWSA-N 0 3 222.307 2.889 20 0 BFADHN CC1CC(NCc2cc3cccnc3o2)C1 ZINC000336208432 134133111 /nfs/dbraw/zinc/13/31/11/134133111.db2.gz KFIQZHFNZBKGPS-UHFFFAOYSA-N 0 3 216.284 2.716 20 0 BFADHN C[C@H]1CC2(CCC2)CN1Cc1ccncc1F ZINC000336209920 134133690 /nfs/dbraw/zinc/13/36/90/134133690.db2.gz NNZNIXSZVWZEDW-NSHDSACASA-N 0 3 234.318 2.985 20 0 BFADHN CC[C@H]1CCN1Cc1cc2cccnc2o1 ZINC000336212197 134135051 /nfs/dbraw/zinc/13/50/51/134135051.db2.gz LBZDJOMIYXPMIV-NSHDSACASA-N 0 3 216.284 2.812 20 0 BFADHN c1[nH]nc2cc(CN3CC[C@H]3C3CC3)ccc12 ZINC000336216391 134137264 /nfs/dbraw/zinc/13/72/64/134137264.db2.gz YADIZZJEDNLUDA-AWEZNQCLSA-N 0 3 227.311 2.547 20 0 BFADHN CC[C@H]1CCCN(Cc2cccc(OC)n2)C1 ZINC000171824226 134261015 /nfs/dbraw/zinc/26/10/15/134261015.db2.gz MIKXKPVFFWJIGF-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN CN(Cc1ccc2c(c1)CCC2)C[C@@H]1CCCO1 ZINC000172289212 134265430 /nfs/dbraw/zinc/26/54/30/134265430.db2.gz CDWNMBMCQUMLJS-INIZCTEOSA-N 0 3 245.366 2.786 20 0 BFADHN COc1ccc(CN2C[C@@H]3[C@H](C2)C3(C)C)cc1F ZINC000172950875 134271049 /nfs/dbraw/zinc/27/10/49/134271049.db2.gz VORDOGKOCVJXCU-TXEJJXNPSA-N 0 3 249.329 2.922 20 0 BFADHN Cc1cccn2cc(CN[C@@H]3CC[C@@H](C)C3)nc12 ZINC000174412880 134281285 /nfs/dbraw/zinc/28/12/85/134281285.db2.gz DZCDWEZTISAOLQ-DGCLKSJQSA-N 0 3 243.354 2.921 20 0 BFADHN OCCCCCN1CCS[C@@H]2CCCC[C@H]21 ZINC000177196473 134296265 /nfs/dbraw/zinc/29/62/65/134296265.db2.gz SNZFMDPEFVBUQK-CHWSQXEVSA-N 0 3 243.416 2.509 20 0 BFADHN Cn1cncc1CN1CCC[C@@]2(CC=CCC2)C1 ZINC000177076013 134297476 /nfs/dbraw/zinc/29/74/76/134297476.db2.gz UCSMSNVKCGZYON-HNNXBMFYSA-N 0 3 245.370 2.742 20 0 BFADHN Cc1cc(CN2C[C@@H]3CCCC[C@@H]3C2)no1 ZINC000177544212 134299142 /nfs/dbraw/zinc/29/91/42/134299142.db2.gz HVAZZHVVRFWHLJ-TXEJJXNPSA-N 0 3 220.316 2.605 20 0 BFADHN CCOc1ccc2c(c1)[C@H](NCC1CC1)CCO2 ZINC000177834463 134302980 /nfs/dbraw/zinc/30/29/80/134302980.db2.gz VCDRLFUVUHSXPG-CQSZACIVSA-N 0 3 247.338 2.909 20 0 BFADHN COc1c(C)cnc(CN2CC[C@H](C)[C@@H]2C)c1C ZINC000177887814 134303924 /nfs/dbraw/zinc/30/39/24/134303924.db2.gz IUFUKHTZYLJQCX-GWCFXTLKSA-N 0 3 248.370 2.937 20 0 BFADHN COC(=O)c1cccc(CN2CC[C@H](C)[C@H]2C)c1 ZINC000177890116 134303944 /nfs/dbraw/zinc/30/39/44/134303944.db2.gz JTRRWSOJXMOIDL-NWDGAFQWSA-N 0 3 247.338 2.704 20 0 BFADHN COc1ccc(C)cc1CN[C@@]1(C)CCCOC1 ZINC000221352598 134320693 /nfs/dbraw/zinc/32/06/93/134320693.db2.gz WZLFIFATJBOVEX-HNNXBMFYSA-N 0 3 249.354 2.662 20 0 BFADHN C[C@@H]1CCN(Cc2cnn3ccccc23)C[C@@H]1C ZINC000179756166 134323274 /nfs/dbraw/zinc/32/32/74/134323274.db2.gz PASMFQVEULXQGF-OLZOCXBDSA-N 0 3 243.354 2.812 20 0 BFADHN c1nc(CN2CCC[C@@H]3CCCC[C@@H]32)c[nH]1 ZINC000179680240 134323479 /nfs/dbraw/zinc/32/34/79/134323479.db2.gz GQQKUUNZIJJZGJ-AAEUAGOBSA-N 0 3 219.332 2.564 20 0 BFADHN c1ncc(CN2CCC[C@@H]3CCCC[C@@H]32)[nH]1 ZINC000179680240 134323480 /nfs/dbraw/zinc/32/34/80/134323480.db2.gz GQQKUUNZIJJZGJ-AAEUAGOBSA-N 0 3 219.332 2.564 20 0 BFADHN CCCn1cc(CN2CCC[C@@H](C)[C@@H]2C)cn1 ZINC000179798941 134323715 /nfs/dbraw/zinc/32/37/15/134323715.db2.gz PISYTWMQZSEHEL-OLZOCXBDSA-N 0 3 235.375 2.914 20 0 BFADHN Fc1cc(F)cc(CN[C@@H]2CCSC2)c1 ZINC000221876250 134328280 /nfs/dbraw/zinc/32/82/80/134328280.db2.gz MCQXRPQAHXIUMN-LLVKDONJSA-N 0 3 229.295 2.560 20 0 BFADHN CC1CC(N[C@H]2COc3ccccc32)C1 ZINC000222168961 134329992 /nfs/dbraw/zinc/32/99/92/134329992.db2.gz CZMDHEKUFSKNST-CBINBANVSA-N 0 3 203.285 2.508 20 0 BFADHN CC1CC(N[C@@H]2COc3ccccc32)C1 ZINC000222168905 134330114 /nfs/dbraw/zinc/33/01/14/134330114.db2.gz CZMDHEKUFSKNST-RTYFJBAXSA-N 0 3 203.285 2.508 20 0 BFADHN Cc1ccc([C@H](C)N[C@@H]2CCO[C@@H]2C2CC2)o1 ZINC000222616769 134333654 /nfs/dbraw/zinc/33/36/54/134333654.db2.gz BLNQDXRBUHIYED-ZKYQVNSYSA-N 0 3 235.327 2.806 20 0 BFADHN CCOCCN(C)Cc1coc2ccccc12 ZINC000181489014 134336993 /nfs/dbraw/zinc/33/69/93/134336993.db2.gz ZDCMBECNGMBZLD-UHFFFAOYSA-N 0 3 233.311 2.901 20 0 BFADHN CS[C@@H]1CCCCN(Cc2cnoc2C)C1 ZINC000181772187 134339649 /nfs/dbraw/zinc/33/96/49/134339649.db2.gz WLZYZSIYFUXZDA-GFCCVEGCSA-N 0 3 240.372 2.701 20 0 BFADHN c1nc(CNC2C3CC4CC(C3)CC2C4)co1 ZINC000182069159 134341413 /nfs/dbraw/zinc/34/14/13/134341413.db2.gz FGBZFVPIXKQTPG-UHFFFAOYSA-N 0 3 232.327 2.589 20 0 BFADHN FCCCN[C@@H]1CCCOc2cc(F)ccc21 ZINC000182155465 134342578 /nfs/dbraw/zinc/34/25/78/134342578.db2.gz GCWRMBRISNNQPX-GFCCVEGCSA-N 0 3 241.281 2.989 20 0 BFADHN C[C@H]1CN(Cc2ccon2)[C@H]2CCCC[C@H]12 ZINC000182498269 134345508 /nfs/dbraw/zinc/34/55/08/134345508.db2.gz FXKFLCZTBXDEJZ-UHTWSYAYSA-N 0 3 220.316 2.685 20 0 BFADHN Cc1cc(C)cc([C@@H](C)NC[C@H](O)C(F)F)c1 ZINC000224440197 134347061 /nfs/dbraw/zinc/34/70/61/134347061.db2.gz KOOLQQXCJFJIGR-PWSUYJOCSA-N 0 3 243.297 2.580 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCC[C@H]2C)CCO1 ZINC000182971939 134349999 /nfs/dbraw/zinc/34/99/99/134349999.db2.gz KTYUSGSMKCYBHX-JHJVBQTASA-N 0 3 211.349 2.533 20 0 BFADHN CC1(C)C[C@@H]1NCc1cc(F)c(F)cc1F ZINC000225261290 134352119 /nfs/dbraw/zinc/35/21/19/134352119.db2.gz IGRAVUWMNXEFCK-NSHDSACASA-N 0 3 229.245 2.992 20 0 BFADHN CC[C@@H]1CN(CC)CCN1Cc1ccccc1 ZINC000183754769 134353917 /nfs/dbraw/zinc/35/39/17/134353917.db2.gz XAGSEZRPFDEPGI-OAHLLOKOSA-N 0 3 232.371 2.603 20 0 BFADHN COC[C@H](N[C@H]1COCc2ccccc21)C(C)C ZINC000226002314 134357915 /nfs/dbraw/zinc/35/79/15/134357915.db2.gz XBNHELLYEZCUGR-GJZGRUSLSA-N 0 3 249.354 2.519 20 0 BFADHN CCC[C@H](C(=O)OCC)N1CCC[C@@H](C)CC1 ZINC000226151789 134359503 /nfs/dbraw/zinc/35/95/03/134359503.db2.gz GYZXSQDDCMXMND-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN CCc1nc(CNC[C@H]2CC2(C)C)cs1 ZINC000226254185 134362265 /nfs/dbraw/zinc/36/22/65/134362265.db2.gz QGYQFCPRTTYMGV-SECBINFHSA-N 0 3 224.373 2.841 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2C[C@@H](O)C2(C)C)o1 ZINC000226685966 134368607 /nfs/dbraw/zinc/36/86/07/134368607.db2.gz ADJPOEUFSRUSGT-QEGRKFQGSA-N 0 3 249.354 2.652 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1CC(=O)Nc1ccccc1 ZINC000185648499 134388051 /nfs/dbraw/zinc/38/80/51/134388051.db2.gz UBXCMDNWGFNYCX-JSGCOSHPSA-N 0 3 246.354 2.746 20 0 BFADHN CC[C@H]1[C@H](C)CCN1CC(=O)Nc1ccccc1 ZINC000185648464 134388102 /nfs/dbraw/zinc/38/81/02/134388102.db2.gz UBXCMDNWGFNYCX-OCCSQVGLSA-N 0 3 246.354 2.746 20 0 BFADHN CO[C@@H]1C[C@H](NCc2cccc(F)c2)C1(C)C ZINC000227826092 134389367 /nfs/dbraw/zinc/38/93/67/134389367.db2.gz NFQMBJDWYSSLRG-QWHCGFSZSA-N 0 3 237.318 2.729 20 0 BFADHN CO[C@H]1C[C@H](NCc2cccc(F)c2)C1(C)C ZINC000227826098 134389480 /nfs/dbraw/zinc/38/94/80/134389480.db2.gz NFQMBJDWYSSLRG-STQMWFEESA-N 0 3 237.318 2.729 20 0 BFADHN Cc1ccc2nccc(NC3(CO)CCC3)c2c1 ZINC000185822167 134391533 /nfs/dbraw/zinc/39/15/33/134391533.db2.gz AYAHYHBRYDELNE-UHFFFAOYSA-N 0 3 242.322 2.870 20 0 BFADHN C[C@@H](O)C[C@H](C)NCc1cc2ccccc2o1 ZINC000228069781 134402174 /nfs/dbraw/zinc/40/21/74/134402174.db2.gz OPXLZOPXDDDLAJ-WDEREUQCSA-N 0 3 233.311 2.682 20 0 BFADHN Fc1cncc(CNCCc2ccccc2)c1 ZINC000230938060 134535499 /nfs/dbraw/zinc/53/54/99/134535499.db2.gz ITNFOTBSHQKKJA-UHFFFAOYSA-N 0 3 230.286 2.553 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1ccccc1OC ZINC000131857498 134635127 /nfs/dbraw/zinc/63/51/27/134635127.db2.gz XXNMKLDKFXIHKE-RYUDHWBXSA-N 0 3 237.343 2.507 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](C)COC)o1 ZINC000132004931 134639080 /nfs/dbraw/zinc/63/90/80/134639080.db2.gz LPUCWSGVIBXDNK-UWVGGRQHSA-N 0 3 211.305 2.528 20 0 BFADHN CCOC[C@H](C)NCc1ccc(Cl)cc1F ZINC000133117373 134675782 /nfs/dbraw/zinc/67/57/82/134675782.db2.gz HJWQODSLHKLOTI-VIFPVBQESA-N 0 3 245.725 2.994 20 0 BFADHN C[C@@H](NCc1nccn1C(F)F)C1CCCC1 ZINC000070306512 134915352 /nfs/dbraw/zinc/91/53/52/134915352.db2.gz VLOQBPJHRBAITD-SECBINFHSA-N 0 3 243.301 2.947 20 0 BFADHN CC[C@@H](N[C@H](C)C1CC1)c1nccs1 ZINC000070633876 135000646 /nfs/dbraw/zinc/00/06/46/135000646.db2.gz UQBZRQGITWULDV-PSASIEDQSA-N 0 3 210.346 2.982 20 0 BFADHN Cc1cnc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)o1 ZINC000248558935 135003611 /nfs/dbraw/zinc/00/36/11/135003611.db2.gz MACDAXIOKIQIRA-CKYFFXLPSA-N 0 3 222.332 2.897 20 0 BFADHN C[C@@H]1SCCN(C[C@H]2CCC(C)(C)O2)[C@H]1C ZINC000248571103 135004647 /nfs/dbraw/zinc/00/46/47/135004647.db2.gz MBVOEYKGIWGIOJ-SDDRHHMPSA-N 0 3 243.416 2.770 20 0 BFADHN COc1ccc([C@@H](C)N(C)C[C@H](C)OC)cc1 ZINC000248412404 135006301 /nfs/dbraw/zinc/00/63/01/135006301.db2.gz FIDFDXJOZUUDCE-NWDGAFQWSA-N 0 3 237.343 2.723 20 0 BFADHN Cc1cc(CN2C[C@H](C)[C@@H]3CCCC[C@H]32)no1 ZINC000248408047 135006650 /nfs/dbraw/zinc/00/66/50/135006650.db2.gz BXZDXLRJPCBFPD-LEWSCRJBSA-N 0 3 234.343 2.994 20 0 BFADHN CC[C@H](NC[C@@H]1CCCOC1)c1nccs1 ZINC000070717376 135009147 /nfs/dbraw/zinc/00/91/47/135009147.db2.gz YNVFRNLJDWSUIM-QWRGUYRKSA-N 0 3 240.372 2.610 20 0 BFADHN CC[C@H]1CCCN([C@H](C)c2cnccn2)C1 ZINC000248632430 135016619 /nfs/dbraw/zinc/01/66/19/135016619.db2.gz SLSBZXXQVHUNQP-NEPJUHHUSA-N 0 3 219.332 2.660 20 0 BFADHN C[C@H](c1ccccn1)N(C)CC[C@H]1CCCO1 ZINC000248794752 135021888 /nfs/dbraw/zinc/02/18/88/135021888.db2.gz DYVBIPJJQOCTPK-CHWSQXEVSA-N 0 3 234.343 2.644 20 0 BFADHN C[C@H](N[C@H]1CCO[C@@H](C2CC2)C1)c1ccoc1 ZINC000248836502 135022809 /nfs/dbraw/zinc/02/28/09/135022809.db2.gz NDNODDRABPVWQK-LEWSCRJBSA-N 0 3 235.327 2.888 20 0 BFADHN C[C@H](c1cccs1)N(C)C[C@H]1CCCO1 ZINC000248923933 135026315 /nfs/dbraw/zinc/02/63/15/135026315.db2.gz WYGQHTRICTYORA-GHMZBOCLSA-N 0 3 225.357 2.920 20 0 BFADHN Cc1cncc(CN2CCS[C@H](C)[C@H]2C)c1 ZINC000248986652 135029794 /nfs/dbraw/zinc/02/97/94/135029794.db2.gz WLKYBSVOFOMOLT-VXGBXAGGSA-N 0 3 236.384 2.716 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CC[C@@H](C)O2)cc1 ZINC000249057993 135031963 /nfs/dbraw/zinc/03/19/63/135031963.db2.gz JDDHPXVKQSXPFO-UKRRQHHQSA-N 0 3 233.355 2.994 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC[C@H]2c2cccn2C)O1 ZINC000249138952 135034832 /nfs/dbraw/zinc/03/48/32/135034832.db2.gz VWKYQIJZIANIAF-IPYPFGDCSA-N 0 3 248.370 2.730 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)[C@H](OC)C2)cc1 ZINC000249208740 135035753 /nfs/dbraw/zinc/03/57/53/135035753.db2.gz SDQTUCACVSWLCR-IUODEOHRSA-N 0 3 249.354 2.552 20 0 BFADHN CCc1ccc(CN2CC[C@H](C)[C@@H](OC)C2)o1 ZINC000249260985 135035899 /nfs/dbraw/zinc/03/58/99/135035899.db2.gz ZATYYBOFEVCFBL-FZMZJTMJSA-N 0 3 237.343 2.699 20 0 BFADHN C[C@@H](O)CN1CCC[C@H]1c1cccc(Cl)c1 ZINC000249638029 135042616 /nfs/dbraw/zinc/04/26/16/135042616.db2.gz XDTYOMXEAUKYIZ-MFKMUULPSA-N 0 3 239.746 2.858 20 0 BFADHN CCCN(C)[C@@H](C)C(=O)N[C@H](C)c1ccccc1 ZINC000249838897 135046726 /nfs/dbraw/zinc/04/67/26/135046726.db2.gz UKVQVANYVLNAMV-OLZOCXBDSA-N 0 3 248.370 2.594 20 0 BFADHN Cc1noc(CN2CCC[C@@H]2C2CCCCC2)n1 ZINC000076749315 135048397 /nfs/dbraw/zinc/04/83/97/135048397.db2.gz SYLFHWCWTWPYTA-CYBMUJFWSA-N 0 3 249.358 2.923 20 0 BFADHN Cc1occc1CN(C)C[C@H]1CCCC[C@H]1O ZINC000250894067 135060497 /nfs/dbraw/zinc/06/04/97/135060497.db2.gz RZURDQIYIFFMJY-ZIAGYGMSSA-N 0 3 237.343 2.571 20 0 BFADHN C[C@H](c1ccccc1F)N(C)CCC[C@H](C)O ZINC000251282445 135068146 /nfs/dbraw/zinc/06/81/46/135068146.db2.gz ZSXLKMXQFZFXDJ-NWDGAFQWSA-N 0 3 239.334 2.980 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)[C@H](C)C1)c1cnccn1 ZINC000251600912 135072400 /nfs/dbraw/zinc/07/24/00/135072400.db2.gz CRSNOSBWJHNJKY-FVCCEPFGSA-N 0 3 233.359 2.952 20 0 BFADHN CCN[C@@H](COC)c1cccc(Cl)c1F ZINC000086525202 135088570 /nfs/dbraw/zinc/08/85/70/135088570.db2.gz AGTNQYONIJOWGS-JTQLQIEISA-N 0 3 231.698 2.776 20 0 BFADHN CC[C@@H]1CC[C@H](NCc2cccnc2OC)C1 ZINC000078005459 135089790 /nfs/dbraw/zinc/08/97/90/135089790.db2.gz QDSMFKPXAMUNFS-YPMHNXCESA-N 0 3 234.343 2.759 20 0 BFADHN Cc1noc(C)c1CN[C@@H]1CCCC1(C)C ZINC000086865443 135099191 /nfs/dbraw/zinc/09/91/91/135099191.db2.gz WBZOPIGGUXPQAO-GFCCVEGCSA-N 0 3 222.332 2.960 20 0 BFADHN C[C@H]1C[C@H](NCc2ccccc2F)CS1 ZINC000086934297 135103016 /nfs/dbraw/zinc/10/30/16/135103016.db2.gz TWZZJSKRDHDQAF-ONGXEEELSA-N 0 3 225.332 2.809 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1nccn1C ZINC000252732266 135104696 /nfs/dbraw/zinc/10/46/96/135104696.db2.gz UISUAKPYGIASNF-IJLUTSLNSA-N 0 3 221.348 2.649 20 0 BFADHN CN(Cc1ccc(Cl)o1)C[C@@H]1CCC[C@H]1O ZINC000252740913 135105718 /nfs/dbraw/zinc/10/57/18/135105718.db2.gz PENKRYGDEIALJS-GXSJLCMTSA-N 0 3 243.734 2.526 20 0 BFADHN C[C@H](C[C@H](C)O)N[C@H](C)c1cc(F)cc(F)c1 ZINC000252750511 135107577 /nfs/dbraw/zinc/10/75/77/135107577.db2.gz FXZUVHRQDNCNPW-KXUCPTDWSA-N 0 3 243.297 2.775 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H](C)C[C@H](C)O ZINC000252768524 135108338 /nfs/dbraw/zinc/10/83/38/135108338.db2.gz RWTHJKUGEAGXMK-SRVKXCTJSA-N 0 3 237.343 2.505 20 0 BFADHN CC[C@H](NC[C@@H]1C[C@H]1C)c1ccc(F)cn1 ZINC000252787038 135109437 /nfs/dbraw/zinc/10/94/37/135109437.db2.gz WATMDSORRLOWAH-SCVCMEIPSA-N 0 3 222.307 2.917 20 0 BFADHN Cc1nnc(CN[C@H]2[C@H](C)CCC[C@@H]2C)s1 ZINC000252793243 135111168 /nfs/dbraw/zinc/11/11/68/135111168.db2.gz WVYZQCAQJQFPOW-GDGBQDQQSA-N 0 3 239.388 2.761 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1nnc(C)s1 ZINC000252809529 135112109 /nfs/dbraw/zinc/11/21/09/135112109.db2.gz LSEMAJWJIXXNPM-DJLDLDEBSA-N 0 3 227.377 2.932 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@@]2(C)CCCO2)o1 ZINC000087234035 135114499 /nfs/dbraw/zinc/11/44/99/135114499.db2.gz XUOUAZQHQUQOQA-DGCLKSJQSA-N 0 3 223.316 2.808 20 0 BFADHN C[C@H](N[C@@H]1CC[C@@H](C)[C@H](C)C1)c1ncc[nH]1 ZINC000252982425 135118395 /nfs/dbraw/zinc/11/83/95/135118395.db2.gz WIACHKBOMQFABE-WISYIIOYSA-N 0 3 221.348 2.885 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1ncc[nH]1 ZINC000252981494 135118936 /nfs/dbraw/zinc/11/89/36/135118936.db2.gz RIUOQGPWCWLCQF-KKOKHZNYSA-N 0 3 221.348 2.885 20 0 BFADHN Cc1cc(N(C)CC[C@@H](C)O)c2ccccc2n1 ZINC000078417273 135123395 /nfs/dbraw/zinc/12/33/95/135123395.db2.gz DCTIQIHAXACKRF-GFCCVEGCSA-N 0 3 244.338 2.750 20 0 BFADHN C[C@H](CCCO)N[C@@H](C)c1ccccc1F ZINC000087358239 135124246 /nfs/dbraw/zinc/12/42/46/135124246.db2.gz COOXSFPSFYVFGY-MNOVXSKESA-N 0 3 225.307 2.637 20 0 BFADHN CN(C/C=C\c1ccccc1)CCn1cccn1 ZINC000255491339 135129845 /nfs/dbraw/zinc/12/98/45/135129845.db2.gz FZDFGYFODIZICA-UITAMQMPSA-N 0 3 241.338 2.528 20 0 BFADHN CC1(C)[C@H](O)CCN1Cc1ccc(Cl)cc1 ZINC000258867480 135135507 /nfs/dbraw/zinc/13/55/07/135135507.db2.gz RSFWGKBDEZUFQY-GFCCVEGCSA-N 0 3 239.746 2.685 20 0 BFADHN Cc1ccccc1CNCCOC(F)(F)F ZINC000084177805 135189494 /nfs/dbraw/zinc/18/94/94/135189494.db2.gz QGHYGJBMXGGQIV-UHFFFAOYSA-N 0 3 233.233 2.621 20 0 BFADHN C[C@H](NCCOC(F)(F)F)c1ccsc1 ZINC000084177989 135189748 /nfs/dbraw/zinc/18/97/48/135189748.db2.gz DVSCFEACSIRFBZ-ZETCQYMHSA-N 0 3 239.262 2.935 20 0 BFADHN CC[C@H](O)CN[C@@H](CC)c1ccccc1OC ZINC000163913054 135208427 /nfs/dbraw/zinc/20/84/27/135208427.db2.gz LUGCOSDLOOFFJM-AAEUAGOBSA-N 0 3 237.343 2.507 20 0 BFADHN CC[C@@H](N[C@H](C)COC)c1cccc(F)c1 ZINC000164071937 135213191 /nfs/dbraw/zinc/21/31/91/135213191.db2.gz DSNLQUVUPIEURS-ZWNOBZJWSA-N 0 3 225.307 2.901 20 0 BFADHN CC1(C)CCCCN(Cc2cnc(N)s2)C1 ZINC000261575479 135216369 /nfs/dbraw/zinc/21/63/69/135216369.db2.gz JUDDBJUGNYFQHL-UHFFFAOYSA-N 0 3 239.388 2.737 20 0 BFADHN C[C@H]1CN(Cc2cnc(N)s2)CC(C)(C)C1 ZINC000261575014 135216679 /nfs/dbraw/zinc/21/66/79/135216679.db2.gz JMECFBLDBGUPCO-SECBINFHSA-N 0 3 239.388 2.593 20 0 BFADHN CC(C)[C@H]1CCCC[C@@H]1NCc1ncccn1 ZINC000215018756 135217809 /nfs/dbraw/zinc/21/78/09/135217809.db2.gz DYGKPXBJFZZTMI-OLZOCXBDSA-N 0 3 233.359 2.781 20 0 BFADHN CCC[C@@H]1CCCN(Cc2cnc(N)s2)C1 ZINC000261599715 135237442 /nfs/dbraw/zinc/23/74/42/135237442.db2.gz ZYXCPFFTFSPOJH-SNVBAGLBSA-N 0 3 239.388 2.737 20 0 BFADHN CCOc1cccc(CN[C@H]2C[C@H]2C(F)F)c1 ZINC000342227771 135253853 /nfs/dbraw/zinc/25/38/53/135253853.db2.gz LBISPRMAWIMZEU-NEPJUHHUSA-N 0 3 241.281 2.829 20 0 BFADHN FCCN[C@H](C[C@H]1CCOC1)c1ccccc1 ZINC000342232656 135254967 /nfs/dbraw/zinc/25/49/67/135254967.db2.gz PTVXNYDHFNNUAW-TZMCWYRMSA-N 0 3 237.318 2.713 20 0 BFADHN Nc1ncc(CN[C@@H]2CCc3ccccc32)s1 ZINC000261866019 135258158 /nfs/dbraw/zinc/25/81/58/135258158.db2.gz XKDNMGLPHRESHV-GFCCVEGCSA-N 0 3 245.351 2.502 20 0 BFADHN Cc1ccc(CNC2CC(C(F)(F)F)C2)nc1 ZINC000342308949 135258338 /nfs/dbraw/zinc/25/83/38/135258338.db2.gz SGXDKBFDRWLBDY-UHFFFAOYSA-N 0 3 244.260 2.821 20 0 BFADHN CCc1cccc(F)c1CN[C@H]1CCCOC1 ZINC000342312781 135263576 /nfs/dbraw/zinc/26/35/76/135263576.db2.gz LWYVPVBDIJICAP-LBPRGKRZSA-N 0 3 237.318 2.657 20 0 BFADHN CC(C)Cn1cc(CN[C@H]2CC[C@H](F)C2)cn1 ZINC000342322965 135264229 /nfs/dbraw/zinc/26/42/29/135264229.db2.gz UGZPOKQUMJKPSX-STQMWFEESA-N 0 3 239.338 2.519 20 0 BFADHN Cn1cc(CN2CC(C)(C)CC(C)(C)C2)cn1 ZINC000342337181 135264929 /nfs/dbraw/zinc/26/49/29/135264929.db2.gz KTVDLXXSOYLHPI-UHFFFAOYSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@@H]1Oc2ccccc2[C@H]1NC[C@H]1CCCOC1 ZINC000342410675 135265961 /nfs/dbraw/zinc/26/59/61/135265961.db2.gz BHRBABPRMDJIFN-ZOWXZIJZSA-N 0 3 247.338 2.525 20 0 BFADHN CCCN1CCC[C@H]1C(=O)N(C)c1ccccc1 ZINC000342533738 135281184 /nfs/dbraw/zinc/28/11/84/135281184.db2.gz BPCMKIALMZPVJZ-AWEZNQCLSA-N 0 3 246.354 2.524 20 0 BFADHN CCCN1CCC[C@@H]1C(=O)N(C)c1ccccc1 ZINC000342533750 135281297 /nfs/dbraw/zinc/28/12/97/135281297.db2.gz BPCMKIALMZPVJZ-CQSZACIVSA-N 0 3 246.354 2.524 20 0 BFADHN CCc1ccc(CN(C)CCn2ccnc2)cc1 ZINC000342559158 135288841 /nfs/dbraw/zinc/28/88/41/135288841.db2.gz XXXYUHVOCXTCKM-UHFFFAOYSA-N 0 3 243.354 2.578 20 0 BFADHN CCCCOc1ccc([C@@H](C)NCCO)cc1 ZINC000342622133 135296836 /nfs/dbraw/zinc/29/68/36/135296836.db2.gz CPSJXMGTJMJWFT-GFCCVEGCSA-N 0 3 237.343 2.508 20 0 BFADHN Cc1ccc(CN(C)CC[C@@H]2CCCO2)c(C)n1 ZINC000342603444 135301685 /nfs/dbraw/zinc/30/16/85/135301685.db2.gz MMQPYJGJDKWCCB-HNNXBMFYSA-N 0 3 248.370 2.699 20 0 BFADHN COCCN(Cc1c(C)cccc1C)C1CC1 ZINC000342680476 135304452 /nfs/dbraw/zinc/30/44/52/135304452.db2.gz KAXOZAKKODGHCV-UHFFFAOYSA-N 0 3 233.355 2.914 20 0 BFADHN Cc1cnc(CN2C[C@H](C)CCC[C@@H]2C)n1C ZINC000342779320 135317747 /nfs/dbraw/zinc/31/77/47/135317747.db2.gz BWIQKXSFPXLRFY-NEPJUHHUSA-N 0 3 235.375 2.739 20 0 BFADHN Cc1cnc(CN2CC[C@@H](C(C)(C)C)C2)n1C ZINC000342768982 135326552 /nfs/dbraw/zinc/32/65/52/135326552.db2.gz PCWIDGAODGJYML-GFCCVEGCSA-N 0 3 235.375 2.597 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cncc(F)c1 ZINC000334225400 135329463 /nfs/dbraw/zinc/32/94/63/135329463.db2.gz AUVGGJAUAFWCQG-UWVGGRQHSA-N 0 3 208.280 2.594 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1cncc(F)c1 ZINC000334225384 135329714 /nfs/dbraw/zinc/32/97/14/135329714.db2.gz AUVGGJAUAFWCQG-AOOOYVTPSA-N 0 3 208.280 2.594 20 0 BFADHN Cc1[nH]c2ccc(CN[C@@H]3CCOC3)cc2c1C ZINC000334273728 135331705 /nfs/dbraw/zinc/33/17/05/135331705.db2.gz ZTGZVHRLOLWNDL-CYBMUJFWSA-N 0 3 244.338 2.663 20 0 BFADHN CCc1ccc(CN2CCC[C@H]2C)nc1 ZINC000334293869 135341471 /nfs/dbraw/zinc/34/14/71/135341471.db2.gz RIRHFEJDYWQBHI-LLVKDONJSA-N 0 3 204.317 2.628 20 0 BFADHN CCc1nocc1CN1CC[C@H](C)[C@@H](C)C1 ZINC000334305566 135348007 /nfs/dbraw/zinc/34/80/07/135348007.db2.gz GITVGUGTIKCEJE-QWRGUYRKSA-N 0 3 222.332 2.715 20 0 BFADHN CCc1nocc1CN1CC[C@@H](C)[C@@H](C)C1 ZINC000334305561 135348031 /nfs/dbraw/zinc/34/80/31/135348031.db2.gz GITVGUGTIKCEJE-MNOVXSKESA-N 0 3 222.332 2.715 20 0 BFADHN Cc1nccc(CN2CCC[C@H]3CCC[C@H]32)n1 ZINC000334304884 135348159 /nfs/dbraw/zinc/34/81/59/135348159.db2.gz CPNPWZBHGXEERW-TZMCWYRMSA-N 0 3 231.343 2.550 20 0 BFADHN Cc1sccc1CN1CCOCC2(CC2)C1 ZINC000334329357 135354837 /nfs/dbraw/zinc/35/48/37/135354837.db2.gz NUYMNXKPQUZIGA-UHFFFAOYSA-N 0 3 237.368 2.669 20 0 BFADHN CC[C@H](COC)NCc1ccc2ccccc2n1 ZINC000079742803 135356496 /nfs/dbraw/zinc/35/64/96/135356496.db2.gz TULUBZCABYSIRW-CYBMUJFWSA-N 0 3 244.338 2.749 20 0 BFADHN C[C@H](c1ccccn1)N(C)C[C@H]1CCCC[C@@H]1O ZINC000272486239 135365511 /nfs/dbraw/zinc/36/55/11/135365511.db2.gz HCZKXHBLKDCURW-NFAWXSAZSA-N 0 3 248.370 2.626 20 0 BFADHN CC(C)n1nccc1CN1CCCC[C@@H]1C ZINC000334377381 135377970 /nfs/dbraw/zinc/37/79/70/135377970.db2.gz WOJSTWLAFZXJSJ-LBPRGKRZSA-N 0 3 221.348 2.838 20 0 BFADHN CC1CN(Cc2cccc3cccnc32)C1 ZINC000334379571 135379559 /nfs/dbraw/zinc/37/95/59/135379559.db2.gz HSJPUMUXEWSIJW-UHFFFAOYSA-N 0 3 212.296 2.687 20 0 BFADHN COCc1cccc(CNCCC(F)(F)F)c1 ZINC000080937002 135385145 /nfs/dbraw/zinc/38/51/45/135385145.db2.gz INWIECVPSJVEOF-UHFFFAOYSA-N 0 3 247.260 2.875 20 0 BFADHN CC[C@H](F)CN1CCC2(CCOCC2)CC1 ZINC000334394011 135385416 /nfs/dbraw/zinc/38/54/16/135385416.db2.gz BHCNAZXPDLFMPN-LBPRGKRZSA-N 0 3 229.339 2.627 20 0 BFADHN CSC[C@@H](C)NCc1sc(C)nc1C ZINC000076892118 135391000 /nfs/dbraw/zinc/39/10/00/135391000.db2.gz HESMRTZAMBXXJX-SSDOTTSWSA-N 0 3 230.402 2.601 20 0 BFADHN Cc1ncc(CN2CC[C@@]3(CC[C@H](C)C3)C2)cn1 ZINC000334415534 135394742 /nfs/dbraw/zinc/39/47/42/135394742.db2.gz PULOAHSVZCUMDZ-SWLSCSKDSA-N 0 3 245.370 2.797 20 0 BFADHN C[C@H](C[C@H](C)O)NCc1ccccc1Cl ZINC000164562248 135397700 /nfs/dbraw/zinc/39/77/00/135397700.db2.gz CYKZZVRYYPHHKV-ZJUUUORDSA-N 0 3 227.735 2.589 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccsc2)CS1 ZINC000086935954 135499214 /nfs/dbraw/zinc/49/92/14/135499214.db2.gz FATDUKYMQNWVDH-PSASIEDQSA-N 0 3 213.371 2.732 20 0 BFADHN CCn1cncc1CNCc1ccccc1C ZINC000090172187 135546330 /nfs/dbraw/zinc/54/63/30/135546330.db2.gz OXSZMBZYIATBIH-UHFFFAOYSA-N 0 3 229.327 2.501 20 0 BFADHN C[C@@H](NC[C@@]1(C)CCCO1)c1cccc(O)c1 ZINC000094797435 135618124 /nfs/dbraw/zinc/61/81/24/135618124.db2.gz XYHJXQAYWMVOCZ-BXUZGUMPSA-N 0 3 235.327 2.612 20 0 BFADHN Cc1ccc(CN2CCC3(CCCC3)CC2)nn1 ZINC000335160992 135923947 /nfs/dbraw/zinc/92/39/47/135923947.db2.gz QVRQGTFFDGIIAQ-UHFFFAOYSA-N 0 3 245.370 2.941 20 0 BFADHN CCCCN(C)Cc1ccc(CC)nc1 ZINC000347646321 136000074 /nfs/dbraw/zinc/00/00/74/136000074.db2.gz FKNYEMFQLFCSOD-UHFFFAOYSA-N 0 3 206.333 2.876 20 0 BFADHN Cc1cc(CN[C@@H]2CC23CC3)ccc1F ZINC000335284102 136001350 /nfs/dbraw/zinc/00/13/50/136001350.db2.gz BMPXULIUXLEPKN-GFCCVEGCSA-N 0 3 205.276 2.776 20 0 BFADHN Fc1cccc(CN[C@H]2CC23CC3)c1F ZINC000335284128 136001417 /nfs/dbraw/zinc/00/14/17/136001417.db2.gz CSZFHVYWOXTLNR-JTQLQIEISA-N 0 3 209.239 2.607 20 0 BFADHN CC1CCC(CN2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000347652856 136001525 /nfs/dbraw/zinc/00/15/25/136001525.db2.gz RTUVMSQEUWXSNJ-AKJUYKBHSA-N 0 3 225.376 2.922 20 0 BFADHN COc1cc([C@@H](C)NCc2ccccc2)ccn1 ZINC000347654879 136001739 /nfs/dbraw/zinc/00/17/39/136001739.db2.gz UINQEDXVWSQJQO-GFCCVEGCSA-N 0 3 242.322 2.941 20 0 BFADHN Cc1cc(F)ccc1CN[C@H]1CC12CC2 ZINC000335284450 136001920 /nfs/dbraw/zinc/00/19/20/136001920.db2.gz IZCHFECUOWHCSQ-LBPRGKRZSA-N 0 3 205.276 2.776 20 0 BFADHN C[C@@H]1CN(CC23CCC(CC2)C3)C[C@@H](C)O1 ZINC000347734676 136004728 /nfs/dbraw/zinc/00/47/28/136004728.db2.gz ZAIFRRFLPVOXIF-IWMBGFJWSA-N 0 3 223.360 2.676 20 0 BFADHN CCN(Cc1ccn(C(F)F)n1)CC(C)C ZINC000347698777 136007066 /nfs/dbraw/zinc/00/70/66/136007066.db2.gz JDUZIHKDITUYND-UHFFFAOYSA-N 0 3 231.290 2.756 20 0 BFADHN COc1ccc(CN2CCC[C@H]2C)c(C)c1OC ZINC000347767004 136008264 /nfs/dbraw/zinc/00/82/64/136008264.db2.gz FJIHDTDBRFUEDR-LLVKDONJSA-N 0 3 249.354 2.997 20 0 BFADHN C[C@@H]1CN(C)CCN1Cc1ccc2occc2c1 ZINC000347813519 136013600 /nfs/dbraw/zinc/01/36/00/136013600.db2.gz LTZJVYZSNOLUQO-GFCCVEGCSA-N 0 3 244.338 2.569 20 0 BFADHN Cc1cc(C[NH2+]Cc2ncccc2[O-])ccc1F ZINC000347915199 136018431 /nfs/dbraw/zinc/01/84/31/136018431.db2.gz AKDDIDNERZMBJY-UHFFFAOYSA-N 0 3 246.285 2.525 20 0 BFADHN Oc1cccnc1CNCc1ccccc1Cl ZINC000347931517 136018888 /nfs/dbraw/zinc/01/88/88/136018888.db2.gz ZEQMRVCXLBJCOI-UHFFFAOYSA-N 0 3 248.713 2.730 20 0 BFADHN CCc1nnc([C@H](C)[NH2+]C[C@@H](C)CC(C)C)[n-]1 ZINC000348138044 136038873 /nfs/dbraw/zinc/03/88/73/136038873.db2.gz ROZIBFHXYWPAAY-QWRGUYRKSA-N 0 3 238.379 2.700 20 0 BFADHN CCc1nnc([C@H](C)NC[C@@H](C)CC(C)C)[nH]1 ZINC000348138044 136038874 /nfs/dbraw/zinc/03/88/74/136038874.db2.gz ROZIBFHXYWPAAY-QWRGUYRKSA-N 0 3 238.379 2.700 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]1C(F)F)c1ccccc1 ZINC000348148018 136039253 /nfs/dbraw/zinc/03/92/53/136039253.db2.gz HQXHUFVYUKCCRX-INTQDDNPSA-N 0 3 211.255 2.991 20 0 BFADHN c1cc([C@@H]2CCCN2CC[C@@H]2CCCCO2)n[nH]1 ZINC000348160401 136041255 /nfs/dbraw/zinc/04/12/55/136041255.db2.gz PBHPDGUCAJNJNW-JSGCOSHPSA-N 0 3 249.358 2.506 20 0 BFADHN CC1(C)CC[C@H](CNCc2ccsc2)O1 ZINC000166105933 136047136 /nfs/dbraw/zinc/04/71/36/136047136.db2.gz CHPAQBZXRPPWCT-LLVKDONJSA-N 0 3 225.357 2.795 20 0 BFADHN Cc1ccc(F)cc1CN[C@H]1C[C@H]1C(F)F ZINC000348192160 136049051 /nfs/dbraw/zinc/04/90/51/136049051.db2.gz XFVJFPNWBPSVKB-MNOVXSKESA-N 0 3 229.245 2.877 20 0 BFADHN C[C@H]1C[C@H](C)N1CC1=Cc2ccccc2OC1 ZINC000335328036 136052515 /nfs/dbraw/zinc/05/25/15/136052515.db2.gz AXKGFFPQCISHQA-RYUDHWBXSA-N 0 3 229.323 2.945 20 0 BFADHN Cc1ccc(CNC[C@@H]2CCC(C)(C)O2)o1 ZINC000166102944 136053171 /nfs/dbraw/zinc/05/31/71/136053171.db2.gz FZCPHCCCNLXACS-LBPRGKRZSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2[C@H](C)C[C@@H]2C)o1 ZINC000335329193 136053240 /nfs/dbraw/zinc/05/32/40/136053240.db2.gz XQAKZRYKSAYUBK-AOOOYVTPSA-N 0 3 245.326 2.961 20 0 BFADHN CCc1cccnc1[C@@H](C)NCC1=CCCOC1 ZINC000348208739 136055438 /nfs/dbraw/zinc/05/54/38/136055438.db2.gz USAMUZLUCAWRIR-GFCCVEGCSA-N 0 3 246.354 2.641 20 0 BFADHN Cc1cc(CNC[C@H]2C[C@H]2c2ccccc2)on1 ZINC000348247260 136057003 /nfs/dbraw/zinc/05/70/03/136057003.db2.gz QPNBBFBBFGYTKI-HIFRSBDPSA-N 0 3 242.322 2.876 20 0 BFADHN CSCCN1CC[C@H](C(F)(F)F)[C@H]1C ZINC000348249963 136057017 /nfs/dbraw/zinc/05/70/17/136057017.db2.gz XTQIDUJWFRRPFJ-SFYZADRCSA-N 0 3 227.295 2.622 20 0 BFADHN CCCCCN1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000348228304 136060251 /nfs/dbraw/zinc/06/02/51/136060251.db2.gz MEAQZBXVSHAFJA-DGCLKSJQSA-N 0 3 211.349 2.676 20 0 BFADHN CC(C)CCN1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000348231760 136060810 /nfs/dbraw/zinc/06/08/10/136060810.db2.gz PKTNTPBGWYLJPB-AAEUAGOBSA-N 0 3 211.349 2.532 20 0 BFADHN CC(C)CCCN1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000348234425 136060900 /nfs/dbraw/zinc/06/09/00/136060900.db2.gz KRLYXQOFSIOGIX-JSGCOSHPSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@H]1[C@@H](C(F)(F)F)CCN1CCCF ZINC000348288538 136061850 /nfs/dbraw/zinc/06/18/50/136061850.db2.gz WMXHWOZTNQKRHZ-YUMQZZPRSA-N 0 3 213.218 2.619 20 0 BFADHN Cn1ccnc1[C@H](N[C@@H]1CC[C@@H]1C1CC1)C1CC1 ZINC000348289708 136062276 /nfs/dbraw/zinc/06/22/76/136062276.db2.gz LCXYHPJILDDZHX-MGPQQGTHSA-N 0 3 245.370 2.649 20 0 BFADHN CCc1ccc(CN2CCCO[C@@H](CC)C2)cn1 ZINC000348288938 136062313 /nfs/dbraw/zinc/06/23/13/136062313.db2.gz HJZJVJFEMXCREG-HNNXBMFYSA-N 0 3 248.370 2.645 20 0 BFADHN Cc1cnc([C@@H](C)N2CCCC(C)(C)C2)cn1 ZINC000335341414 136064358 /nfs/dbraw/zinc/06/43/58/136064358.db2.gz KXAFHMWWIXZTLM-GFCCVEGCSA-N 0 3 233.359 2.968 20 0 BFADHN CCN(Cc1ccc(F)c(F)c1)[C@H]1CCOC1 ZINC000348352610 136071898 /nfs/dbraw/zinc/07/18/98/136071898.db2.gz KZLGHVDPGUOZQT-NSHDSACASA-N 0 3 241.281 2.576 20 0 BFADHN C[C@@H]1CCC[C@@H](CN(C)Cc2ccnn2C)C1 ZINC000348369959 136073389 /nfs/dbraw/zinc/07/33/89/136073389.db2.gz LNXUCMJOBCOWKL-CHWSQXEVSA-N 0 3 235.375 2.678 20 0 BFADHN C[C@]1(F)CCCN(C[C@H]2CCSC2)C1 ZINC000335357598 136074474 /nfs/dbraw/zinc/07/44/74/136074474.db2.gz KPNXTADLHLQSRU-MNOVXSKESA-N 0 3 217.353 2.564 20 0 BFADHN Cc1nn(C)cc1[C@@H](C)NCCC1(F)CCC1 ZINC000348389742 136075723 /nfs/dbraw/zinc/07/57/23/136075723.db2.gz HJDDTRYLVOLZLO-SNVBAGLBSA-N 0 3 239.338 2.661 20 0 BFADHN Cc1ccc([C@H](NCCN(C)C)C2CCC2)o1 ZINC000348407989 136077098 /nfs/dbraw/zinc/07/70/98/136077098.db2.gz NOBRQRQABSKREA-CQSZACIVSA-N 0 3 236.359 2.580 20 0 BFADHN CCc1ccc(CN2CCC[C@@](C)(OC)C2)cn1 ZINC000348416500 136077354 /nfs/dbraw/zinc/07/73/54/136077354.db2.gz ZDXUSOWAXPHDLI-OAHLLOKOSA-N 0 3 248.370 2.645 20 0 BFADHN CCC(C)(C)CCN(C)Cc1ccnn1C ZINC000348412873 136077742 /nfs/dbraw/zinc/07/77/42/136077742.db2.gz VVBYPYGMZYJLMC-UHFFFAOYSA-N 0 3 223.364 2.678 20 0 BFADHN Cc1cnc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)o1 ZINC000335367929 136082235 /nfs/dbraw/zinc/08/22/35/136082235.db2.gz CEURAOZXECMVBA-NEPJUHHUSA-N 0 3 234.343 2.994 20 0 BFADHN Cc1ccc(CN[C@@H]2CCC[C@H](F)C2)o1 ZINC000335372766 136084457 /nfs/dbraw/zinc/08/44/57/136084457.db2.gz PEYKASIJVIZNBY-WDEREUQCSA-N 0 3 211.280 2.958 20 0 BFADHN C[C@@H](NC1CC(C)(F)C1)c1ccccn1 ZINC000335374835 136085195 /nfs/dbraw/zinc/08/51/95/136085195.db2.gz VNELNFXCSWIYLE-GRZMOONWSA-N 0 3 208.280 2.623 20 0 BFADHN C[C@H]1C[C@@H](O)CN1Cc1cccc(C(F)F)c1 ZINC000335383479 136088127 /nfs/dbraw/zinc/08/81/27/136088127.db2.gz QHFKNUHRJHMSTM-JOYOIKCWSA-N 0 3 241.281 2.579 20 0 BFADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CCC[C@@H](F)C2)c1 ZINC000335384889 136088565 /nfs/dbraw/zinc/08/85/65/136088565.db2.gz HGHNHTBNAKJXGH-PWSUYJOCSA-N 0 3 241.281 2.902 20 0 BFADHN C[C@H](c1ccccc1)N1CC(C)(C(N)=O)C1 ZINC000335388904 136090021 /nfs/dbraw/zinc/09/00/21/136090021.db2.gz LVYLUEWQVRGIJO-SNVBAGLBSA-N 0 3 218.300 2.605 20 0 BFADHN CC1(C(N)=O)CN(C[C@H]2CCCCC2(F)F)C1 ZINC000335394747 136092087 /nfs/dbraw/zinc/09/20/87/136092087.db2.gz IPMHXDNIVYSXRE-SECBINFHSA-N 0 3 246.301 2.669 20 0 BFADHN Cc1cccc([C@H](C)N2CC(C)(C(N)=O)C2)c1 ZINC000335395610 136092392 /nfs/dbraw/zinc/09/23/92/136092392.db2.gz BOBRDFTYKAAZHC-NSHDSACASA-N 0 3 232.327 2.913 20 0 BFADHN Cc1oncc1CN1C[C@@H](C)CC(C)(C)C1 ZINC000335400025 136093747 /nfs/dbraw/zinc/09/37/47/136093747.db2.gz RIMKWHMUQUXBBW-JTQLQIEISA-N 0 3 222.332 2.851 20 0 BFADHN CC1(C(N)=O)CN([C@H]2CCCc3ccccc32)C1 ZINC000335399022 136093942 /nfs/dbraw/zinc/09/39/42/136093942.db2.gz ZOVFBIJZUIETNZ-ZDUSSCGKSA-N 0 3 244.338 2.921 20 0 BFADHN CC(C)OC(=O)CCN1CC[C@H]1c1ccccc1 ZINC000348539646 136095506 /nfs/dbraw/zinc/09/55/06/136095506.db2.gz AFTVEKKGYGODSS-AWEZNQCLSA-N 0 3 247.338 2.775 20 0 BFADHN CN(C)CCSc1ccnc2ccccc21 ZINC000034416767 136100945 /nfs/dbraw/zinc/10/09/45/136100945.db2.gz CGWMVBJNPRXCCH-UHFFFAOYSA-N 0 3 232.352 2.889 20 0 BFADHN C[C@@H]1C[C@@H](O)CN1Cc1cccc(C2CC2)c1 ZINC000335560502 136105926 /nfs/dbraw/zinc/10/59/26/136105926.db2.gz UICPLTFWKUHBQO-IAQYHMDHSA-N 0 3 231.339 2.519 20 0 BFADHN C[C@H]1C[C@@H](c2nc(-c3ccoc3)no2)CCN1C ZINC000335566874 136107770 /nfs/dbraw/zinc/10/77/70/136107770.db2.gz GUAVCEYSQQBRJV-UWVGGRQHSA-N 0 3 247.298 2.527 20 0 BFADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1cn[nH]c1 ZINC000335573122 136110307 /nfs/dbraw/zinc/11/03/07/136110307.db2.gz YTNYVJYFLQFSBC-WCBMZHEXSA-N 0 3 229.274 2.638 20 0 BFADHN c1cc(CN2CCC[C@]3(CCSC3)C2)ccn1 ZINC000335579901 136112034 /nfs/dbraw/zinc/11/20/34/136112034.db2.gz LLUNGIFOHBQTEM-AWEZNQCLSA-N 0 3 248.395 2.801 20 0 BFADHN F[C@@H]1CC[C@H](NCc2cc3cccnc3o2)C1 ZINC000335582247 136112999 /nfs/dbraw/zinc/11/29/99/136112999.db2.gz SJIJWGSUYQDRFB-MNOVXSKESA-N 0 3 234.274 2.808 20 0 BFADHN CCN(Cc1ccc(C)c(Cl)c1)C[C@H](C)O ZINC000348710697 136116650 /nfs/dbraw/zinc/11/66/50/136116650.db2.gz PKBUVHAGEDMHCD-NSHDSACASA-N 0 3 241.762 2.851 20 0 BFADHN CCN(Cc1cc(Cl)cs1)C[C@H](C)O ZINC000348711043 136116943 /nfs/dbraw/zinc/11/69/43/136116943.db2.gz QAQODHWTGWOIGO-QMMMGPOBSA-N 0 3 233.764 2.604 20 0 BFADHN COC[C@H](C)CN[C@@H](C)c1c(F)cccc1F ZINC000045655992 322844168 /nfs/dbraw/zinc/84/41/68/322844168.db2.gz BYRFCXUZCIZGAO-ZJUUUORDSA-N 0 3 243.297 2.898 20 0 BFADHN C[C@H]1CCCCN1Cc1cccc(F)c1N ZINC000156332168 397758186 /nfs/dbraw/zinc/75/81/86/397758186.db2.gz ZJKWWHJLIXCOLS-JTQLQIEISA-N 0 3 222.307 2.782 20 0 BFADHN Cc1cnc(C(C)(C)NC[C@@H]2C[C@H]2C)s1 ZINC000309101813 397851119 /nfs/dbraw/zinc/85/11/19/397851119.db2.gz GEPJGYHRBQYRRE-SCZZXKLOSA-N 0 3 224.373 2.932 20 0 BFADHN CC(C)[C@@H](O)CNCc1cc(Cl)cs1 ZINC000183869106 397868157 /nfs/dbraw/zinc/86/81/57/397868157.db2.gz PEWHNXLIBZVSEE-JTQLQIEISA-N 0 3 233.764 2.508 20 0 BFADHN CO[C@@H]1CN(Cc2ccc(C)s2)CC[C@@H]1C ZINC000188326710 397882096 /nfs/dbraw/zinc/88/20/96/397882096.db2.gz WIMCYLIQASREJS-GXFFZTMASA-N 0 3 239.384 2.913 20 0 BFADHN CCCCOCCN1CCC(OC(C)C)CC1 ZINC000531165088 397821847 /nfs/dbraw/zinc/82/18/47/397821847.db2.gz ULJWMBUHICITEA-UHFFFAOYSA-N 0 3 243.391 2.693 20 0 BFADHN CC1(C)CN(CC2=CCCC2)[C@@H]1[C@@H]1CCCO1 ZINC000645918029 397965846 /nfs/dbraw/zinc/96/58/46/397965846.db2.gz HCTKHTZPSXIGMI-UONOGXRCSA-N 0 3 235.371 2.986 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)[C@H](C)C2)nn1C ZINC000414441331 397939781 /nfs/dbraw/zinc/93/97/81/397939781.db2.gz ZYOXMDBGCARSRA-DMDPSCGWSA-N 0 3 235.375 2.643 20 0 BFADHN Cc1nc(C)c(CN[C@H](C)CC(C)C)o1 ZINC000382371341 397940595 /nfs/dbraw/zinc/94/05/95/397940595.db2.gz MNUXBGUAIBVRIT-SECBINFHSA-N 0 3 210.321 2.816 20 0 BFADHN C[C@H](COCC1CC1)N[C@H](C)c1cccnc1 ZINC000650135579 397940971 /nfs/dbraw/zinc/94/09/71/397940971.db2.gz WALUKODFTBTTNP-VXGBXAGGSA-N 0 3 234.343 2.547 20 0 BFADHN COC(=O)CCCNC1(c2ccccc2C)CC1 ZINC000592139863 397945974 /nfs/dbraw/zinc/94/59/74/397945974.db2.gz KXSXAVZXJHOQAX-UHFFFAOYSA-N 0 3 247.338 2.527 20 0 BFADHN Cc1cc(CN[C@@H](C)CC(C)(C)C)nn1C ZINC000414456803 397949683 /nfs/dbraw/zinc/94/96/83/397949683.db2.gz IJTDERKEAUKUEL-JTQLQIEISA-N 0 3 223.364 2.643 20 0 BFADHN CC(C)[C@H]1CN(Cc2ccnn2C)[C@H]1C(C)C ZINC000449554659 397955238 /nfs/dbraw/zinc/95/52/38/397955238.db2.gz OUKBDZRVOJNBAR-KGLIPLIRSA-N 0 3 235.375 2.533 20 0 BFADHN C[C@@H](N[C@H](C)c1ccnn1C)c1cccs1 ZINC000192246974 397893071 /nfs/dbraw/zinc/89/30/71/397893071.db2.gz BTINFCWZEOCDTH-NXEZZACHSA-N 0 3 235.356 2.893 20 0 BFADHN CC(C)[C@@H](N)c1cn(CCC2CCCC2)nn1 ZINC000382665969 397968570 /nfs/dbraw/zinc/96/85/70/397968570.db2.gz DXHCBFVGRVRWER-CYBMUJFWSA-N 0 3 236.363 2.514 20 0 BFADHN C[C@H](NCc1cc2n(n1)CCC2)C1CCCCC1 ZINC000649573664 397962945 /nfs/dbraw/zinc/96/29/45/397962945.db2.gz LTUKVICMRQIVCC-LBPRGKRZSA-N 0 3 247.386 2.888 20 0 BFADHN C[C@H]1CCC[C@H](C)C1NCc1cc2n(n1)CCC2 ZINC000649573547 397962977 /nfs/dbraw/zinc/96/29/77/397962977.db2.gz HFWVFDMJUILCDK-RYUDHWBXSA-N 0 3 247.386 2.744 20 0 BFADHN CC1=C(C)CN(Cc2cccc(F)c2N)CC1 ZINC000382941703 397909941 /nfs/dbraw/zinc/90/99/41/397909941.db2.gz WSKKJBLEKGFMEA-UHFFFAOYSA-N 0 3 234.318 2.950 20 0 BFADHN COc1c(O)cccc1CNC[C@H]1CC[C@@H](C)C1 ZINC000355602155 397915264 /nfs/dbraw/zinc/91/52/64/397915264.db2.gz UHVNRKBXCZGWMG-NEPJUHHUSA-N 0 3 249.354 2.927 20 0 BFADHN Cc1ccc([O-])c(C[N@@H+](C)[C@@H]2CC[C@H](C)C2)n1 ZINC000433045777 397916879 /nfs/dbraw/zinc/91/68/79/397916879.db2.gz RUGHRSIKCJSPQL-CMPLNLGQSA-N 0 3 234.343 2.716 20 0 BFADHN Cc1ccc([O-])c(C[N@H+](C)[C@@H]2CC[C@H](C)C2)n1 ZINC000433045777 397916883 /nfs/dbraw/zinc/91/68/83/397916883.db2.gz RUGHRSIKCJSPQL-CMPLNLGQSA-N 0 3 234.343 2.716 20 0 BFADHN CCN(Cc1ccoc1C)C[C@H]1CCCO1 ZINC000414381752 397918209 /nfs/dbraw/zinc/91/82/09/397918209.db2.gz NBXSVHFZNXAKGD-CYBMUJFWSA-N 0 3 223.316 2.589 20 0 BFADHN COc1cc(CN2C[C@H](C)C[C@H](C)[C@@H]2C)ccn1 ZINC000355785296 397922782 /nfs/dbraw/zinc/92/27/82/397922782.db2.gz RCJYDMWGRKOPAW-AGIUHOORSA-N 0 3 248.370 2.957 20 0 BFADHN CC[C@@H]1CC[C@@H](C)N1Cc1cc2n(n1)CCC2 ZINC000649572797 397964609 /nfs/dbraw/zinc/96/46/09/397964609.db2.gz JKKDBQTVXUXVGM-DGCLKSJQSA-N 0 3 233.359 2.592 20 0 BFADHN Cc1cccc([C@H]2CCN(Cc3ccno3)C2)c1 ZINC000273089220 397990738 /nfs/dbraw/zinc/99/07/38/397990738.db2.gz MKSVJCSJBNLRQR-AWEZNQCLSA-N 0 3 242.322 2.973 20 0 BFADHN C[C@H](NCC[C@H]1CCO[C@H](C)C1)c1ccccn1 ZINC000273204270 397994794 /nfs/dbraw/zinc/99/47/94/397994794.db2.gz JGHLWMCUZAKIAM-RDBSUJKOSA-N 0 3 248.370 2.937 20 0 BFADHN C[C@H]1CCC(C)(C)N1Cc1cc2n(n1)CCC2 ZINC000649624227 398005101 /nfs/dbraw/zinc/00/51/01/398005101.db2.gz VZWGBCOITMZLRS-NSHDSACASA-N 0 3 233.359 2.592 20 0 BFADHN Cc1ccnc(CN2CCCO[C@H](C(C)C)C2)c1 ZINC000645273092 398007776 /nfs/dbraw/zinc/00/77/76/398007776.db2.gz MSWWEEVEGSZGFM-HNNXBMFYSA-N 0 3 248.370 2.637 20 0 BFADHN c1c(CN2CC[C@@H]3CCCC[C@@H]32)nn2c1CCC2 ZINC000649635432 398016766 /nfs/dbraw/zinc/01/67/66/398016766.db2.gz SRTPCWYYLCZFSY-WFASDCNBSA-N 0 3 245.370 2.594 20 0 BFADHN Cc1ccncc1CN1CCC12CCCC2 ZINC000649657697 398025202 /nfs/dbraw/zinc/02/52/02/398025202.db2.gz JZGRGIPYANVDAT-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN CCOc1cccc(CN2CCC23CCCC3)n1 ZINC000649658072 398025286 /nfs/dbraw/zinc/02/52/86/398025286.db2.gz WXLAPFWTPLBZCD-UHFFFAOYSA-N 0 3 246.354 2.999 20 0 BFADHN Cc1cccc(CN2CCC23CCCC3)n1 ZINC000649656304 398026063 /nfs/dbraw/zinc/02/60/63/398026063.db2.gz HZIYXCSYLPSYIT-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN CCC[C@H](CN(C)Cc1ccsc1)OC ZINC000637873155 398035790 /nfs/dbraw/zinc/03/57/90/398035790.db2.gz HDELFJOJVCIRSC-GFCCVEGCSA-N 0 3 227.373 2.995 20 0 BFADHN C=Cn1cc(CN2CC[C@H](C)C[C@H]2C)cn1 ZINC000278274870 398038046 /nfs/dbraw/zinc/03/80/46/398038046.db2.gz SFTSWXPPUPWCNG-NWDGAFQWSA-N 0 3 219.332 2.604 20 0 BFADHN C[C@H](NC[C@@H]1CCCCN1C1CC1)c1ccco1 ZINC000278586639 398039759 /nfs/dbraw/zinc/03/97/59/398039759.db2.gz YMJXXFCDUOJQDA-JSGCOSHPSA-N 0 3 248.370 2.947 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cnc(OC)s1 ZINC000388585493 398041598 /nfs/dbraw/zinc/04/15/98/398041598.db2.gz HSAMHWBGJQESBF-GXSJLCMTSA-N 0 3 240.372 2.820 20 0 BFADHN CCn1ccc(CN[C@@H]2CCCCC[C@H]2C)n1 ZINC000649587495 397970948 /nfs/dbraw/zinc/97/09/48/397970948.db2.gz KQMCHCOWHPIHQQ-TZMCWYRMSA-N 0 3 235.375 2.961 20 0 BFADHN COC1(C)CCN(Cc2cccc(F)c2)CC1 ZINC000271717615 397971435 /nfs/dbraw/zinc/97/14/35/397971435.db2.gz KKPGYIFSAIPVBL-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN CCC[C@@H]1CCCCN1Cc1cc2n(n1)CCC2 ZINC000649586167 397976016 /nfs/dbraw/zinc/97/60/16/397976016.db2.gz UKFVEPMSXCTTKG-CQSZACIVSA-N 0 3 247.386 2.984 20 0 BFADHN CC1(C)CCCC[C@@H]1NCc1cc2n(n1)CCC2 ZINC000649587135 397976909 /nfs/dbraw/zinc/97/69/09/397976909.db2.gz BASZFLCGYCVFRE-AWEZNQCLSA-N 0 3 247.386 2.888 20 0 BFADHN CC[C@@H](COC)NC1(c2cccc(OC)c2)CC1 ZINC000272297507 397977719 /nfs/dbraw/zinc/97/77/19/397977719.db2.gz QTWFWJBZESVKPF-ZDUSSCGKSA-N 0 3 249.354 2.699 20 0 BFADHN C[C@@H]1CCCC[C@H]1N(C)Cc1cc2n(n1)CCC2 ZINC000649591451 397978094 /nfs/dbraw/zinc/97/80/94/397978094.db2.gz XPHPPPOECIDMJF-IUODEOHRSA-N 0 3 247.386 2.840 20 0 BFADHN CC(C)N(Cc1cc2n(n1)CCC2)CC1CCC1 ZINC000649593928 397981912 /nfs/dbraw/zinc/98/19/12/397981912.db2.gz GVNHGTVYQDCISH-UHFFFAOYSA-N 0 3 247.386 2.840 20 0 BFADHN Cc1ccc(CNCC2(C(F)F)CC2)nc1 ZINC000336734953 398061811 /nfs/dbraw/zinc/06/18/11/398061811.db2.gz LHQLLZBQECTQNI-UHFFFAOYSA-N 0 3 226.270 2.525 20 0 BFADHN COc1ccc(CN2CC[C@@H](OC)C[C@@H]2C)cc1 ZINC000281836396 398064923 /nfs/dbraw/zinc/06/49/23/398064923.db2.gz YDVSTGLRELMZMV-SWLSCSKDSA-N 0 3 249.354 2.695 20 0 BFADHN CC[C@@H]1CN(Cc2ccccc2C)[C@H](C)CO1 ZINC000649729929 398067992 /nfs/dbraw/zinc/06/79/92/398067992.db2.gz IBGZEIJMOSWUIB-UKRRQHHQSA-N 0 3 233.355 2.994 20 0 BFADHN CCc1ccc([C@H](COC)NC[C@@H]2C[C@@H]2C)o1 ZINC000488438390 398072378 /nfs/dbraw/zinc/07/23/78/398072378.db2.gz GEOPJFHJUZOYGI-GVXVVHGQSA-N 0 3 237.343 2.775 20 0 BFADHN C[C@@H]1SCC[C@H]1NCc1cccc(F)c1F ZINC000379466497 398094021 /nfs/dbraw/zinc/09/40/21/398094021.db2.gz XYHIOUOIHYZEAA-GZMMTYOYSA-N 0 3 243.322 2.948 20 0 BFADHN CCCC(=O)c1ccc(O[C@@H](C)CN(C)C)cc1 ZINC000584616359 398078393 /nfs/dbraw/zinc/07/83/93/398078393.db2.gz FNQZNCZJAZFOFF-LBPRGKRZSA-N 0 3 249.354 2.998 20 0 BFADHN CC[C@@H]1CN(CCCCCF)[C@H](C)CO1 ZINC000649736969 398078863 /nfs/dbraw/zinc/07/88/63/398078863.db2.gz NRRRZRYTEXIRSZ-VXGBXAGGSA-N 0 3 217.328 2.626 20 0 BFADHN CSCC[C@@H](C)N(C)CCc1cscn1 ZINC000340292307 398083864 /nfs/dbraw/zinc/08/38/64/398083864.db2.gz TZJVSCLYHAYXHP-SNVBAGLBSA-N 0 3 244.429 2.759 20 0 BFADHN Cc1ncccc1CN1CCC[C@@H](OC(C)C)C1 ZINC000649704101 398051834 /nfs/dbraw/zinc/05/18/34/398051834.db2.gz WSCQYBHIIJZLRG-OAHLLOKOSA-N 0 3 248.370 2.779 20 0 BFADHN Cc1ncccc1CN1CCC[C@H](OC(C)C)C1 ZINC000649704100 398052037 /nfs/dbraw/zinc/05/20/37/398052037.db2.gz WSCQYBHIIJZLRG-HNNXBMFYSA-N 0 3 248.370 2.779 20 0 BFADHN CC[C@H](N)c1cn(C[C@@H]2CCC[C@@H](C)C2)nn1 ZINC000383927762 398052059 /nfs/dbraw/zinc/05/20/59/398052059.db2.gz SBNYRPUGQVSTIE-UTUOFQBUSA-N 0 3 236.363 2.514 20 0 BFADHN CC[C@H]1COC(C)(C)CN1[C@@H]1C=CCCC1 ZINC000649715791 398056333 /nfs/dbraw/zinc/05/63/33/398056333.db2.gz LRYSMAIOTGSMHP-QWHCGFSZSA-N 0 3 223.360 2.985 20 0 BFADHN CC[C@H]1COC(C)(C)CN1CCc1cccnc1 ZINC000649716742 398058135 /nfs/dbraw/zinc/05/81/35/398058135.db2.gz MEPNLBJAXQAUPZ-AWEZNQCLSA-N 0 3 248.370 2.514 20 0 BFADHN CCCCOCCN1CCC2(CC2(F)F)CC1 ZINC000649776762 398098261 /nfs/dbraw/zinc/09/82/61/398098261.db2.gz WHJFOZHYNGCNEV-UHFFFAOYSA-N 0 3 247.329 2.924 20 0 BFADHN Cc1cccc(CNC2CC=CC2)c1F ZINC000384668067 398101306 /nfs/dbraw/zinc/10/13/06/398101306.db2.gz QBGARUXFQALGEX-UHFFFAOYSA-N 0 3 205.276 2.942 20 0 BFADHN CC[C@@H](C(=O)OC(C)(C)C)N1CC[C@H](CC)C1 ZINC000192066706 398105116 /nfs/dbraw/zinc/10/51/16/398105116.db2.gz WETLZIZBNUSNFF-RYUDHWBXSA-N 0 3 241.375 2.839 20 0 BFADHN Cc1cccc(CNC2(C3CCC3)CC2)n1 ZINC000649808715 398115533 /nfs/dbraw/zinc/11/55/33/398115533.db2.gz NPKAPALEWPRSRH-UHFFFAOYSA-N 0 3 216.328 2.812 20 0 BFADHN Cc1cc(CNC[C@H]2CCC[C@@H]2C)on1 ZINC000309119416 398115522 /nfs/dbraw/zinc/11/55/22/398115522.db2.gz SJVBYUUCANRUKP-GXSJLCMTSA-N 0 3 208.305 2.509 20 0 BFADHN Cc1noc(C)c1CN1CCC(C)(C)C1 ZINC000266620668 398115855 /nfs/dbraw/zinc/11/58/55/398115855.db2.gz ZRDNQFWKXFGBQC-UHFFFAOYSA-N 0 3 208.305 2.523 20 0 BFADHN COc1ccncc1CNC1(C2CCC2)CC1 ZINC000649811339 398118860 /nfs/dbraw/zinc/11/88/60/398118860.db2.gz NXIRYZWGQNZYEL-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN CC(C)(C)c1nnc(C[NH2+]C2(C3CCC3)CC2)[n-]1 ZINC000649811232 398119159 /nfs/dbraw/zinc/11/91/59/398119159.db2.gz JZNFLWLKUQHWBW-UHFFFAOYSA-N 0 3 248.374 2.525 20 0 BFADHN CCCN(C[C@@H](O)c1ccccc1F)C1CC1 ZINC000221539229 398119881 /nfs/dbraw/zinc/11/98/81/398119881.db2.gz VYZJHOSVJFHKOC-CQSZACIVSA-N 0 3 237.318 2.734 20 0 BFADHN Cc1cc(CNCC(C2CC2)C2CC2)on1 ZINC000309390300 398120027 /nfs/dbraw/zinc/12/00/27/398120027.db2.gz HOLOCFUWBSZFBS-UHFFFAOYSA-N 0 3 220.316 2.509 20 0 BFADHN C[C@H](CCNCc1nccn1C)c1ccccc1 ZINC000061910105 398109298 /nfs/dbraw/zinc/10/92/98/398109298.db2.gz WRPZHIMWMKQGJC-CYBMUJFWSA-N 0 3 243.354 2.704 20 0 BFADHN C[C@H](c1ccccc1F)N(C)[C@@H]1CCC[C@@H]1O ZINC000062016087 398110432 /nfs/dbraw/zinc/11/04/32/398110432.db2.gz UACBSGDGAKKKSR-HONMWMINSA-N 0 3 237.318 2.732 20 0 BFADHN CN(Cc1ccccc1)C[C@H]1CCCCO1 ZINC000069537236 398113658 /nfs/dbraw/zinc/11/36/58/398113658.db2.gz BXNGRXRFRZLMRI-CQSZACIVSA-N 0 3 219.328 2.688 20 0 BFADHN C[C@H](NCC1(C2CC2)CC1)c1nccs1 ZINC000090772848 398113928 /nfs/dbraw/zinc/11/39/28/398113928.db2.gz MIQWOQAYYFOHFD-VIFPVBQESA-N 0 3 222.357 2.984 20 0 BFADHN O=C(CNC1(C2CCC2)CC1)Nc1ccccc1 ZINC000649807222 398114459 /nfs/dbraw/zinc/11/44/59/398114459.db2.gz NZGLGBZUQGODIU-UHFFFAOYSA-N 0 3 244.338 2.547 20 0 BFADHN C[C@H]1CN(CCCCCF)C2(CCC2)CO1 ZINC000649822032 398123596 /nfs/dbraw/zinc/12/35/96/398123596.db2.gz XDGHBEZKTBWBQL-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN CO[C@@H](CN[C@@H]1CCc2c1cccc2F)C1CC1 ZINC000293780713 398123962 /nfs/dbraw/zinc/12/39/62/398123962.db2.gz SXNLETQCLWHPOK-CABCVRRESA-N 0 3 249.329 2.828 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1cnc(C)o1 ZINC000397919283 398124241 /nfs/dbraw/zinc/12/42/41/398124241.db2.gz MMTLPYPMYMWTIR-PWSUYJOCSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@H](C)N1CCN(C/C=C(/C)Cl)CC1 ZINC000528516834 398124523 /nfs/dbraw/zinc/12/45/23/398124523.db2.gz FSJQDDPEGPLATI-QJGQKNTRSA-N 0 3 230.783 2.545 20 0 BFADHN C[C@H](NC1CC(C(C)(C)C)C1)c1nccn1C ZINC000340454230 398124559 /nfs/dbraw/zinc/12/45/59/398124559.db2.gz LZSAZVZZFRBKCC-UNXYVOJBSA-N 0 3 235.375 2.895 20 0 BFADHN CC[C@@](C)(O)CN1CC(C)(C)[C@@H]1c1ccncc1 ZINC000644855246 398124715 /nfs/dbraw/zinc/12/47/15/398124715.db2.gz ZJBBDPUIIKBYSS-DZGCQCFKSA-N 0 3 248.370 2.626 20 0 BFADHN CO[C@H](C)CCNCc1c(F)cccc1Cl ZINC000263808109 398125122 /nfs/dbraw/zinc/12/51/22/398125122.db2.gz LSUKRIJLAFENBO-SECBINFHSA-N 0 3 245.725 2.994 20 0 BFADHN Cc1cnccc1CN1CCC(C)CC1 ZINC000294626158 398129107 /nfs/dbraw/zinc/12/91/07/398129107.db2.gz ZGXRRHRCTHCENF-UHFFFAOYSA-N 0 3 204.317 2.622 20 0 BFADHN Cc1nc(F)ccc1CNCCC(C)(F)F ZINC000294659228 398129915 /nfs/dbraw/zinc/12/99/15/398129915.db2.gz AHQYTHPBPAZPIE-UHFFFAOYSA-N 0 3 232.249 2.664 20 0 BFADHN CN(Cc1nc2ccccc2n1C)C1CCCC1 ZINC000195042444 398130435 /nfs/dbraw/zinc/13/04/35/398130435.db2.gz ZFYCXASRLFOENY-UHFFFAOYSA-N 0 3 243.354 2.948 20 0 BFADHN C[C@H](C[C@H]1CCCO1)N[C@H](C)c1nccs1 ZINC000336742187 398131249 /nfs/dbraw/zinc/13/12/49/398131249.db2.gz NXNJXNQZPRGONE-GMTAPVOTSA-N 0 3 240.372 2.751 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@H](O)CC2)o1 ZINC000294111241 398122785 /nfs/dbraw/zinc/12/27/85/398122785.db2.gz SQSPXJYVFOETMT-DYEKYZERSA-N 0 3 249.354 2.750 20 0 BFADHN C[C@@H](CCC1CC1)N[C@@H](C)c1ccncn1 ZINC000398135365 322865266 /nfs/dbraw/zinc/86/52/66/322865266.db2.gz XPHRTTYKSBRJHV-QWRGUYRKSA-N 0 3 219.332 2.706 20 0 BFADHN COCC(C)(C)NCc1ccsc1C ZINC000308693645 174081850 /nfs/dbraw/zinc/08/18/50/174081850.db2.gz SGFANIMISFVALT-UHFFFAOYSA-N 0 3 213.346 2.571 20 0 BFADHN C[C@@H](CO)CN1CCC[C@@H]1c1cccc(F)c1 ZINC000120676466 490067286 /nfs/dbraw/zinc/06/72/86/490067286.db2.gz NNZYHNNCLWYGKG-BXUZGUMPSA-N 0 3 237.318 2.591 20 0 BFADHN CC(C)N[C@@H]1COc2ccc(Cl)cc21 ZINC000035652632 167137188 /nfs/dbraw/zinc/13/71/88/167137188.db2.gz YIFAUFAMSPDOOV-SNVBAGLBSA-N 0 3 211.692 2.772 20 0 BFADHN CC[C@@H](C)[C@H](C)N(Cc1cncnc1)C1CC1 ZINC000119552460 487557244 /nfs/dbraw/zinc/55/72/44/487557244.db2.gz WCHMVFBKNAXVJV-NEPJUHHUSA-N 0 3 233.359 2.876 20 0 BFADHN C[C@H]1CCCC[C@H]1CN(C)Cc1cn[nH]c1 ZINC000680329269 487563239 /nfs/dbraw/zinc/56/32/39/487563239.db2.gz NSIZGXJBCHUFEO-AAEUAGOBSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN(C)Cc1cn[nH]c1 ZINC000680329275 487562855 /nfs/dbraw/zinc/56/28/55/487562855.db2.gz NSIZGXJBCHUFEO-YPMHNXCESA-N 0 3 221.348 2.668 20 0 BFADHN Cc1c[nH]nc1CNC[C@@H](C)c1cccc(C)c1 ZINC000685616999 487565481 /nfs/dbraw/zinc/56/54/81/487565481.db2.gz GAPFVTFAZLYKIF-GFCCVEGCSA-N 0 3 243.354 2.920 20 0 BFADHN C[C@H]1CCCN(Cc2c(C3CCC3)cnn2C)C1 ZINC000667471531 487566001 /nfs/dbraw/zinc/56/60/01/487566001.db2.gz BFSPYLJPOCRJOM-LBPRGKRZSA-N 0 3 247.386 2.920 20 0 BFADHN CCCn1nccc1CN1CCC[C@@H](C)C1 ZINC000667472039 487565901 /nfs/dbraw/zinc/56/59/01/487565901.db2.gz VJAITIUQDCUAAI-GFCCVEGCSA-N 0 3 221.348 2.525 20 0 BFADHN Cc1cccnc1C(C)(C)CNCC1(F)CC1 ZINC000685631715 487568454 /nfs/dbraw/zinc/56/84/54/487568454.db2.gz QMKZEWSJPDIHRC-UHFFFAOYSA-N 0 3 236.334 2.759 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1ccc(C)nc1C ZINC000685664025 487572623 /nfs/dbraw/zinc/57/26/23/487572623.db2.gz YHQCZMIOJTXYBV-WXHSDQCUSA-N 0 3 236.359 2.772 20 0 BFADHN CC(C)OCCCN(C)[C@@H](C)c1ccncc1 ZINC000172662742 167208783 /nfs/dbraw/zinc/20/87/83/167208783.db2.gz YPVQSHFEYZUXOT-ZDUSSCGKSA-N 0 3 236.359 2.890 20 0 BFADHN CC(C)OCCCN(C)Cc1ccoc1 ZINC000336643901 167209089 /nfs/dbraw/zinc/20/90/89/167209089.db2.gz FBCDMZAROGNAMX-UHFFFAOYSA-N 0 3 211.305 2.527 20 0 BFADHN CC(C)OCCCN(C)[C@@H](C)c1ccccn1 ZINC000177268275 167210242 /nfs/dbraw/zinc/21/02/42/167210242.db2.gz QKLQKRCZJWDBKL-ZDUSSCGKSA-N 0 3 236.359 2.890 20 0 BFADHN C[C@@H](Cc1ccccc1)CN(C)Cc1cn[nH]c1 ZINC000680369811 487576381 /nfs/dbraw/zinc/57/63/81/487576381.db2.gz WIJYQQVOXUNSPO-ZDUSSCGKSA-N 0 3 243.354 2.720 20 0 BFADHN CC(C)OCCCN1CCCc2occc2C1 ZINC000288910520 167212965 /nfs/dbraw/zinc/21/29/65/167212965.db2.gz UCAMKKUQENDNNF-UHFFFAOYSA-N 0 3 237.343 2.843 20 0 BFADHN CN(Cc1cnc(C2CC2)nc1)C[C@H]1CC1(C)C ZINC000668814341 487581183 /nfs/dbraw/zinc/58/11/83/487581183.db2.gz HBKHNBBWRIJDFB-CYBMUJFWSA-N 0 3 245.370 2.832 20 0 BFADHN C[C@@H]1CCN(CC[C@H]2CCCCO2)C[C@@H]1F ZINC000680387878 487582766 /nfs/dbraw/zinc/58/27/66/487582766.db2.gz GCBISNCVRXSLCO-UPJWGTAASA-N 0 3 229.339 2.626 20 0 BFADHN CC(C)n1cc(CN(C)C[C@@H]2CC2(C)C)cn1 ZINC000668815274 487583704 /nfs/dbraw/zinc/58/37/04/487583704.db2.gz JHUOBSKHCFTKED-ZDUSSCGKSA-N 0 3 235.375 2.942 20 0 BFADHN CC(C)OCCNC/C=C/c1ccccc1 ZINC000134124049 167241962 /nfs/dbraw/zinc/24/19/62/167241962.db2.gz BIIWTKYKMKCJQX-RMKNXTFCSA-N 0 3 219.328 2.714 20 0 BFADHN CCCn1cc(CN(C)C[C@@H]2CC2(C)C)cn1 ZINC000668815977 487584910 /nfs/dbraw/zinc/58/49/10/487584910.db2.gz ZUACQCHDNHYMSH-ZDUSSCGKSA-N 0 3 235.375 2.771 20 0 BFADHN CC1(C)SC[C@H]1N[C@@H]1CCCc2cccnc21 ZINC000393846230 487585705 /nfs/dbraw/zinc/58/57/05/487585705.db2.gz FDYMCCGCBMROCG-VXGBXAGGSA-N 0 3 248.395 2.943 20 0 BFADHN Cc1cccn2cc(CN3CC[C@H](C)[C@H]3C)nc12 ZINC000674499748 487588567 /nfs/dbraw/zinc/58/85/67/487588567.db2.gz ZBHRVBTXUWAQTD-WCQYABFASA-N 0 3 243.354 2.873 20 0 BFADHN C[C@H]1CCC[C@@H](N(C)Cc2cnc3n2CCC3)C1 ZINC000667499466 487597540 /nfs/dbraw/zinc/59/75/40/487597540.db2.gz KURQKCNVEMEAEW-QWHCGFSZSA-N 0 3 247.386 2.840 20 0 BFADHN Cc1ccc([C@H](C)NCCC2(O)CCC2)c(C)n1 ZINC000671303150 487599284 /nfs/dbraw/zinc/59/92/84/487599284.db2.gz ARDBSQLWUHRIAC-LBPRGKRZSA-N 0 3 248.370 2.654 20 0 BFADHN Cc1ccc([C@H](N[C@@H](C)CCO)C2CCC2)o1 ZINC000680463860 487599647 /nfs/dbraw/zinc/59/96/47/487599647.db2.gz IBZKJTMJVWHYIL-IINYFYTJSA-N 0 3 237.343 2.790 20 0 BFADHN Cc1ccc([C@@H](N[C@@H](C)CCO)C2CCC2)o1 ZINC000680463857 487600127 /nfs/dbraw/zinc/60/01/27/487600127.db2.gz IBZKJTMJVWHYIL-HZMBPMFUSA-N 0 3 237.343 2.790 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CSC2(C)C)s1 ZINC000308996677 487604919 /nfs/dbraw/zinc/60/49/19/487604919.db2.gz MTDFWGSHDGFZQP-OIBJUYFYSA-N 0 3 242.413 2.996 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCCc3ccc(O)cc32)CO1 ZINC000402308417 487607326 /nfs/dbraw/zinc/60/73/26/487607326.db2.gz KRWAMSBJPRTSSJ-HCKVZZMMSA-N 0 3 247.338 2.537 20 0 BFADHN CO[C@H](CC(C)C)CN(C)CC1=CCSC1 ZINC000662267607 409568825 /nfs/dbraw/zinc/56/88/25/409568825.db2.gz WAOZAORALLGCQQ-CYBMUJFWSA-N 0 3 243.416 2.653 20 0 BFADHN FC1(CN2CCC3(CC3(F)F)CC2)CC1 ZINC000651952877 409572835 /nfs/dbraw/zinc/57/28/35/409572835.db2.gz GROBETPCPQRDLR-UHFFFAOYSA-N 0 3 219.250 2.610 20 0 BFADHN Cc1cc(CN2[C@H](C)CCC2(C)C)nc(C)n1 ZINC000660753588 409709256 /nfs/dbraw/zinc/70/92/56/409709256.db2.gz ANTOFCOBYLZVFZ-LLVKDONJSA-N 0 3 233.359 2.856 20 0 BFADHN CCC[C@@H](C)NCc1nn(C)c2ccccc12 ZINC000112337136 409732630 /nfs/dbraw/zinc/73/26/30/409732630.db2.gz JCQRPZNNJFCMOK-LLVKDONJSA-N 0 3 231.343 2.852 20 0 BFADHN CCc1nc([C@H](C)N2CC(C)(C)C[C@H]2C)n[nH]1 ZINC000660806287 409773480 /nfs/dbraw/zinc/77/34/80/409773480.db2.gz RVZJILFUIPIVKQ-ZJUUUORDSA-N 0 3 236.363 2.549 20 0 BFADHN COc1cc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)sn1 ZINC000660825918 409804358 /nfs/dbraw/zinc/80/43/58/409804358.db2.gz YIRSRPIGBACTGH-AEJSXWLSSA-N 0 3 240.372 2.628 20 0 BFADHN C[C@@H]1CCN(CCO[C@H]2CC2(F)F)[C@H](C)C1 ZINC000660860924 409880218 /nfs/dbraw/zinc/88/02/18/409880218.db2.gz DXBUEJUIJCXTJC-MXWKQRLJSA-N 0 3 233.302 2.531 20 0 BFADHN CCC1(C)CCN(Cc2ccc(N)nc2)CC1 ZINC000062956730 409986988 /nfs/dbraw/zinc/98/69/88/409986988.db2.gz FTKBLTJPFNDPBZ-UHFFFAOYSA-N 0 3 233.359 2.676 20 0 BFADHN CCc1ncc(CN[C@@H]2CCS[C@@H]2C)s1 ZINC000308909269 409938267 /nfs/dbraw/zinc/93/82/67/409938267.db2.gz FNHFPYFOFNDPIX-PSASIEDQSA-N 0 3 242.413 2.689 20 0 BFADHN Cc1ccc(CN[C@H]2CCOC(C)(C)C2)o1 ZINC000069890920 410012910 /nfs/dbraw/zinc/01/29/10/410012910.db2.gz VCKLJMIOZWIMEN-NSHDSACASA-N 0 3 223.316 2.635 20 0 BFADHN CC(C)(CO)CN[C@H]1CCCOc2ccccc21 ZINC000678602688 487613439 /nfs/dbraw/zinc/61/34/39/487613439.db2.gz SCNLYZYTPNGLCC-ZDUSSCGKSA-N 0 3 249.354 2.508 20 0 BFADHN Brc1ccc(CNCCC2CC2)o1 ZINC000083893351 166097923 /nfs/dbraw/zinc/09/79/23/166097923.db2.gz NILYLKPCWCTSGO-UHFFFAOYSA-N 0 3 244.132 2.932 20 0 BFADHN C[C@H](COCC1CC1)NCc1ccccc1 ZINC000651981401 410120557 /nfs/dbraw/zinc/12/05/57/410120557.db2.gz LAQGZJMMOXHMTR-GFCCVEGCSA-N 0 3 219.328 2.591 20 0 BFADHN Cc1ccc(CN[C@H](C)COCC2CC2)cc1 ZINC000651981613 410121624 /nfs/dbraw/zinc/12/16/24/410121624.db2.gz UULKLSSVEXDFIU-CYBMUJFWSA-N 0 3 233.355 2.900 20 0 BFADHN Cc1ccsc1CN[C@@H](C)COCC1CC1 ZINC000651981644 410121937 /nfs/dbraw/zinc/12/19/37/410121937.db2.gz VTDGJZJRDZFORS-NSHDSACASA-N 0 3 239.384 2.961 20 0 BFADHN CC[C@@H](C)N(CC)Cc1c(C)noc1C ZINC000661103131 410215338 /nfs/dbraw/zinc/21/53/38/410215338.db2.gz DVIULJCPDKXPQA-SECBINFHSA-N 0 3 210.321 2.912 20 0 BFADHN CC[C@H](C)N(CC)CC(=O)N1CCCC[C@@H]1C ZINC000661106706 410251919 /nfs/dbraw/zinc/25/19/19/410251919.db2.gz NOOFQRNZMOHKCF-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H](C)N(CC)CC(=O)Nc1ccccc1 ZINC000661108484 410260025 /nfs/dbraw/zinc/26/00/25/410260025.db2.gz ZOQAOQNUJXITKT-LBPRGKRZSA-N 0 3 234.343 2.746 20 0 BFADHN CC[C@H](C)N(CC)Cc1cc(C)nc(C)n1 ZINC000661103575 410221746 /nfs/dbraw/zinc/22/17/46/410221746.db2.gz HFFDGVHFAXCLQC-NSHDSACASA-N 0 3 221.348 2.714 20 0 BFADHN CC[C@@H](C)N(CC)Cc1n[nH]c(C(C)C)n1 ZINC000661104215 410227745 /nfs/dbraw/zinc/22/77/45/410227745.db2.gz JTMBPAYGJLCCAG-SNVBAGLBSA-N 0 3 224.352 2.549 20 0 BFADHN C[C@H](N[C@@H]1CNc2ccccc2C1)c1ccoc1 ZINC000650750701 410392509 /nfs/dbraw/zinc/39/25/09/410392509.db2.gz JWMPIIPFWCBYEI-FZMZJTMJSA-N 0 3 242.322 2.967 20 0 BFADHN C[C@@H](N[C@@H]1CNc2ccccc2C1)c1ccco1 ZINC000650751912 410394483 /nfs/dbraw/zinc/39/44/83/410394483.db2.gz FCPQJXNTNJIPJA-YPMHNXCESA-N 0 3 242.322 2.967 20 0 BFADHN C[C@H](c1ccncc1)N1CCOCC2(CCC2)C1 ZINC000680582493 487617918 /nfs/dbraw/zinc/61/79/18/487617918.db2.gz AOXBUIZCRLCYDC-CYBMUJFWSA-N 0 3 246.354 2.645 20 0 BFADHN Fc1ccc([C@@H]2CCN2C[C@@H]2CCOC2)cc1 ZINC000661507779 410716819 /nfs/dbraw/zinc/71/68/19/410716819.db2.gz BNQYRGSIZFVMDQ-FZMZJTMJSA-N 0 3 235.302 2.609 20 0 BFADHN CC(C)C(CN(C)Cc1cn[nH]c1)C(C)C ZINC000671305963 487618526 /nfs/dbraw/zinc/61/85/26/487618526.db2.gz WCXRKRUWTMYSDR-UHFFFAOYSA-N 0 3 223.364 2.770 20 0 BFADHN Cc1cnc([C@H](C)N2CC[C@H](C3CCC3)C2)cn1 ZINC000665290081 410776774 /nfs/dbraw/zinc/77/67/74/410776774.db2.gz IPVUJZWASOIRSH-JSGCOSHPSA-N 0 3 245.370 2.968 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1ccccn1 ZINC000651176215 410849578 /nfs/dbraw/zinc/84/95/78/410849578.db2.gz LKPSGJFDQGWMMW-LLVKDONJSA-N 0 3 206.333 2.996 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1ccnc(C)n1 ZINC000651195808 410857211 /nfs/dbraw/zinc/85/72/11/410857211.db2.gz GIGGCAGRWLMOBY-SNVBAGLBSA-N 0 3 221.348 2.699 20 0 BFADHN CC(C)CNCC(=O)Nc1ccc(Cl)cc1 ZINC000020036889 167002545 /nfs/dbraw/zinc/00/25/45/167002545.db2.gz CBFNOMURNSMOBZ-UHFFFAOYSA-N 0 3 240.734 2.524 20 0 BFADHN CC(C)CN[C@@H]1c2ccc(F)cc2O[C@H]1C ZINC000336647709 167012616 /nfs/dbraw/zinc/01/26/16/167012616.db2.gz BYSRBXTWCUUHGY-ZANVPECISA-N 0 3 223.291 2.893 20 0 BFADHN CC(C)NCC(=O)Nc1ccccc1C(C)C ZINC000037386029 167097314 /nfs/dbraw/zinc/09/73/14/167097314.db2.gz WCEAKNNOOUOXSL-UHFFFAOYSA-N 0 3 234.343 2.747 20 0 BFADHN CC(C)NCc1cc(F)c(F)c(F)c1 ZINC000062705469 167117810 /nfs/dbraw/zinc/11/78/10/167117810.db2.gz ZJSANNUNYBSVEG-UHFFFAOYSA-N 0 3 203.207 2.602 20 0 BFADHN CC(C)NCc1ccnn1-c1ccc(F)cc1 ZINC000279821501 167121640 /nfs/dbraw/zinc/12/16/40/167121640.db2.gz GKKPZUGKQPAGCT-UHFFFAOYSA-N 0 3 233.290 2.509 20 0 BFADHN Cn1ccc(CN2CC[C@H](C3CCCCC3)C2)n1 ZINC000661578482 410906899 /nfs/dbraw/zinc/90/68/99/410906899.db2.gz NXJHUIJXOFPMMK-AWEZNQCLSA-N 0 3 247.386 2.822 20 0 BFADHN CC(C)OC(=O)c1ccc(CN(C)C2CC2)cc1 ZINC000134989745 167172748 /nfs/dbraw/zinc/17/27/48/167172748.db2.gz RHRPTANPHURQTC-UHFFFAOYSA-N 0 3 247.338 2.846 20 0 BFADHN CC(C)OC1CC(N[C@@H](C)c2ccccn2)C1 ZINC000186560131 167175789 /nfs/dbraw/zinc/17/57/89/167175789.db2.gz QSWAKEZTCVOCDK-HIFPTAJRSA-N 0 3 234.343 2.688 20 0 BFADHN CC(C)OCCCCN[C@@H](C)c1ccccn1 ZINC000180464257 167207675 /nfs/dbraw/zinc/20/76/75/167207675.db2.gz HROSZCIFPQFVHN-ZDUSSCGKSA-N 0 3 236.359 2.937 20 0 BFADHN CC(C)OCCCN[C@H](C)c1ccco1 ZINC000020198050 167223102 /nfs/dbraw/zinc/22/31/02/167223102.db2.gz JGJYLURTPLKAKO-LLVKDONJSA-N 0 3 211.305 2.745 20 0 BFADHN CC(C)OCCCN[C@@H](C)c1cncs1 ZINC000132100292 167223321 /nfs/dbraw/zinc/22/33/21/167223321.db2.gz SSKWHWRIQBRUDV-JTQLQIEISA-N 0 3 228.361 2.609 20 0 BFADHN CC(C)OCCN(C)CCc1ccc(F)cc1 ZINC000055023548 167227226 /nfs/dbraw/zinc/22/72/26/167227226.db2.gz VNYNOFHCNGDWAX-UHFFFAOYSA-N 0 3 239.334 2.725 20 0 BFADHN CC(C)OCCN(C)Cc1cccc(F)c1 ZINC000052928035 167229487 /nfs/dbraw/zinc/22/94/87/167229487.db2.gz ALFBZMOQHKEHSN-UHFFFAOYSA-N 0 3 225.307 2.683 20 0 BFADHN CC(C)OCCN1C[C@@H](C)C[C@H]1c1cccnc1 ZINC000368418840 167233652 /nfs/dbraw/zinc/23/36/52/167233652.db2.gz GZXYMAYUGAGOND-ZFWWWQNUSA-N 0 3 248.370 2.890 20 0 BFADHN CC(C)OCCN[C@H](c1cccnc1)C1CCC1 ZINC000280820511 167243883 /nfs/dbraw/zinc/24/38/83/167243883.db2.gz KBJDMFMUSDIGKO-HNNXBMFYSA-N 0 3 248.370 2.937 20 0 BFADHN CC(C)OCCN[C@H](C)c1ccc2c(c1)CCO2 ZINC000163946262 167244826 /nfs/dbraw/zinc/24/48/26/167244826.db2.gz VOLKHKMJLDWOOG-GFCCVEGCSA-N 0 3 249.354 2.697 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H](C)c1cccnc1 ZINC000309338288 167258825 /nfs/dbraw/zinc/25/88/25/167258825.db2.gz JONQPKJCKZUTAW-VXGBXAGGSA-N 0 3 222.332 2.546 20 0 BFADHN CC(C)OC[C@H](C)N[C@H](C)CC(F)(F)F ZINC000308892543 167265993 /nfs/dbraw/zinc/26/59/93/167265993.db2.gz YDLKMLDDRNZGNT-BDAKNGLRSA-N 0 3 227.270 2.730 20 0 BFADHN CC(C)OC[C@H](C)N[C@@H](C)c1cccnc1 ZINC000309338287 167266175 /nfs/dbraw/zinc/26/61/75/167266175.db2.gz JONQPKJCKZUTAW-RYUDHWBXSA-N 0 3 222.332 2.546 20 0 BFADHN CC(C)OC[C@H](C)N[C@H](C)c1cccc(O)c1 ZINC000337234520 167267332 /nfs/dbraw/zinc/26/73/32/167267332.db2.gz BHCJULMRWDPTFU-NWDGAFQWSA-N 0 3 237.343 2.856 20 0 BFADHN CC(C)O[C@@H]1C[C@@H](NCc2ccoc2)C1(C)C ZINC000185203757 167280665 /nfs/dbraw/zinc/28/06/65/167280665.db2.gz VQYMORSNUROAGN-CHWSQXEVSA-N 0 3 237.343 2.961 20 0 BFADHN CC(C)O[C@@H]1C[C@H](NCc2ccco2)C1(C)C ZINC000185186714 167281811 /nfs/dbraw/zinc/28/18/11/167281811.db2.gz VQJSRAGKHVZPIV-QWHCGFSZSA-N 0 3 237.343 2.961 20 0 BFADHN CC(C)O[C@H]1C[C@H](NCc2cccnc2)C1(C)C ZINC000185185792 167282315 /nfs/dbraw/zinc/28/23/15/167282315.db2.gz FGPCPXCPXZMZJK-KBPBESRZSA-N 0 3 248.370 2.763 20 0 BFADHN CC(C)O[C@H]1C[C@@H](NCc2ccncc2)C1(C)C ZINC000274241076 167283281 /nfs/dbraw/zinc/28/32/81/167283281.db2.gz UBEYNGKGARNLFB-KGLIPLIRSA-N 0 3 248.370 2.763 20 0 BFADHN CC(C)Oc1cccc([C@@H](C)NC[C@@H](C)O)c1 ZINC000192779481 167308389 /nfs/dbraw/zinc/30/83/89/167308389.db2.gz ITJLZEWFXKCQNX-VXGBXAGGSA-N 0 3 237.343 2.505 20 0 BFADHN CC(C)Oc1ccccc1CNC1CCOCC1 ZINC000037269409 167311228 /nfs/dbraw/zinc/31/12/28/167311228.db2.gz WQEQEIYDAKLGDT-UHFFFAOYSA-N 0 3 249.354 2.742 20 0 BFADHN CC(C)SCCN[C@@H](C)c1ccccn1 ZINC000283193270 167331492 /nfs/dbraw/zinc/33/14/92/167331492.db2.gz QXWRAMVCDKWBAE-NSHDSACASA-N 0 3 224.373 2.874 20 0 BFADHN CC(C)SCCNCc1cncn1C(C)C ZINC000336663812 167332688 /nfs/dbraw/zinc/33/26/88/167332688.db2.gz OYNAHXCPDPDEGC-UHFFFAOYSA-N 0 3 241.404 2.695 20 0 BFADHN CC(C)[C@@H](C)N(C)CC(=O)Nc1ccccc1 ZINC000298455579 167368075 /nfs/dbraw/zinc/36/80/75/167368075.db2.gz WNZJFHNOZYVRLX-GFCCVEGCSA-N 0 3 234.343 2.601 20 0 BFADHN CC(C)[C@@H](C)NC(=O)c1ccc(CN(C)C)cc1 ZINC000073041657 167378613 /nfs/dbraw/zinc/37/86/13/167378613.db2.gz MQCBRQXYFFPOAW-GFCCVEGCSA-N 0 3 248.370 2.523 20 0 BFADHN CC(C)[C@@H](C)N[C@H](C)c1ccncc1F ZINC000308859926 167386079 /nfs/dbraw/zinc/38/60/79/167386079.db2.gz RBUWXITUYFBMLW-NXEZZACHSA-N 0 3 210.296 2.916 20 0 BFADHN CC(C)[C@@H](CNCc1cc[nH]n1)c1ccccc1 ZINC000066971370 167398734 /nfs/dbraw/zinc/39/87/34/167398734.db2.gz FQFBOPUFSSARTF-OAHLLOKOSA-N 0 3 243.354 2.939 20 0 BFADHN Cc1ccc([C@H](C)NCC2=CCOCC2)c(C)n1 ZINC000651389653 410973322 /nfs/dbraw/zinc/97/33/22/410973322.db2.gz NEGUWZDMCDWKMC-LBPRGKRZSA-N 0 3 246.354 2.696 20 0 BFADHN CCCCCN[C@@H](CO)c1cccc(F)c1 ZINC000310013221 410996142 /nfs/dbraw/zinc/99/61/42/410996142.db2.gz JOZQFYPQBROTPQ-ZDUSSCGKSA-N 0 3 225.307 2.639 20 0 BFADHN CCc1ncc(CN[C@@H]2CCC[C@@H]2CC)o1 ZINC000651462437 411045516 /nfs/dbraw/zinc/04/55/16/411045516.db2.gz FRJSMYSTUZHWCE-CMPLNLGQSA-N 0 3 222.332 2.905 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H]2COCC2(C)C)s1 ZINC000651463747 411048529 /nfs/dbraw/zinc/04/85/29/411048529.db2.gz ZTCJHPRNLJCBIE-LDYMZIIASA-N 0 3 240.372 2.527 20 0 BFADHN Cc1ccc([C@H](C)N[C@H]2COCC2(C)C)c(C)n1 ZINC000651463737 411048560 /nfs/dbraw/zinc/04/85/60/411048560.db2.gz XIMKNFSGTRDFBI-JSGCOSHPSA-N 0 3 248.370 2.774 20 0 BFADHN CC[C@@H](C)NCc1ccc(Br)o1 ZINC000019881946 411067855 /nfs/dbraw/zinc/06/78/55/411067855.db2.gz NYDXKLUJCGFWDV-SSDOTTSWSA-N 0 3 232.121 2.930 20 0 BFADHN Cc1ncc([C@H](C)NC[C@@H]2CC[C@@H](C)O2)s1 ZINC000651499439 411073512 /nfs/dbraw/zinc/07/35/12/411073512.db2.gz TZCCLELSULXQFN-YWVKMMECSA-N 0 3 240.372 2.670 20 0 BFADHN C[C@@H]1CN(C/C=C\c2ccccc2)C[C@H](C)C1O ZINC000661625191 411083559 /nfs/dbraw/zinc/08/35/59/411083559.db2.gz SDOZOLULMIZREJ-FDZHUFOGSA-N 0 3 245.366 2.649 20 0 BFADHN C[C@@H]1CN(C/C=C/c2ccccc2)C[C@@H](C)C1O ZINC000661625194 411083883 /nfs/dbraw/zinc/08/38/83/411083883.db2.gz SDOZOLULMIZREJ-VAIDBEGPSA-N 0 3 245.366 2.649 20 0 BFADHN CCn1ccnc1[C@@H](C)N[C@H](C(C)C)C1CC1 ZINC000651455066 411038445 /nfs/dbraw/zinc/03/84/45/411038445.db2.gz WVRZDEZYGAHQJS-DGCLKSJQSA-N 0 3 235.375 2.988 20 0 BFADHN CN(C/C=C/c1ccccc1)CC1=CCCOC1 ZINC000661656937 411133253 /nfs/dbraw/zinc/13/32/53/411133253.db2.gz LAQMSLFHZJQUSX-WEVVVXLNSA-N 0 3 243.350 2.978 20 0 BFADHN Cc1nn(-c2cccc(C)c2)cc1CNC(C)C ZINC000651671606 411134239 /nfs/dbraw/zinc/13/42/39/411134239.db2.gz YDSGLDQGVNZKJN-UHFFFAOYSA-N 0 3 243.354 2.987 20 0 BFADHN CC(C)OCCCNCc1cc2cnccc2o1 ZINC000651667669 411134415 /nfs/dbraw/zinc/13/44/15/411134415.db2.gz MODGXOXHOGROGA-UHFFFAOYSA-N 0 3 248.326 2.733 20 0 BFADHN CC(C)c1nc(CN[C@@H]2C[C@@H]2C)c2ccccn21 ZINC000651705307 411137266 /nfs/dbraw/zinc/13/72/66/411137266.db2.gz ONSGOHBWDOCCRF-NWDGAFQWSA-N 0 3 243.354 2.956 20 0 BFADHN CC[C@@]1(C)CCC[C@H]1NCc1cocn1 ZINC000651716461 411138199 /nfs/dbraw/zinc/13/81/99/411138199.db2.gz YSVCCNRVTBHRIP-NEPJUHHUSA-N 0 3 208.305 2.733 20 0 BFADHN COC(C)(C)CN[C@H](C)c1cc2cnccc2o1 ZINC000657906770 411163490 /nfs/dbraw/zinc/16/34/90/411163490.db2.gz ZODDZCIGDNUUBZ-SNVBAGLBSA-N 0 3 248.326 2.903 20 0 BFADHN C[C@H](NCc1cccc2c1CCC2)c1cn[nH]c1 ZINC000651746477 411140895 /nfs/dbraw/zinc/14/08/95/411140895.db2.gz RLMATKNUJDWDKC-NSHDSACASA-N 0 3 241.338 2.749 20 0 BFADHN FC1(F)CC(NCc2cc3cnccc3o2)C1 ZINC000651786000 411143903 /nfs/dbraw/zinc/14/39/03/411143903.db2.gz FOOFMLCSXISWPN-UHFFFAOYSA-N 0 3 238.237 2.715 20 0 BFADHN CC1(C)SC[C@H]1NCc1cc2cnccc2o1 ZINC000651796548 411144451 /nfs/dbraw/zinc/14/44/51/411144451.db2.gz OHNKXLJGODNQFO-GFCCVEGCSA-N 0 3 248.351 2.811 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cccc2c1CCC2 ZINC000651806731 411144703 /nfs/dbraw/zinc/14/47/03/411144703.db2.gz YHLSEUFLXPTOIL-LBPRGKRZSA-N 0 3 233.355 2.642 20 0 BFADHN CCN(Cc1cccc2c1CCC2)[C@H]1CCOC1 ZINC000651815525 411145134 /nfs/dbraw/zinc/14/51/34/411145134.db2.gz WMOGSQZHUKRGNA-HNNXBMFYSA-N 0 3 245.366 2.786 20 0 BFADHN C[C@@H](F)CCNCc1cc2cnccc2o1 ZINC000651817691 411145306 /nfs/dbraw/zinc/14/53/06/411145306.db2.gz WWLHGFMCFUXNDK-SECBINFHSA-N 0 3 222.263 2.666 20 0 BFADHN COC[C@@H](CC(C)(C)C)NCc1cnc(C)o1 ZINC000651829526 411146941 /nfs/dbraw/zinc/14/69/41/411146941.db2.gz FNHAQJOBRYSPAA-LLVKDONJSA-N 0 3 240.347 2.524 20 0 BFADHN Cc1nc(C)c(CN2CCC[C@H](CCF)C2)o1 ZINC000661689988 411150969 /nfs/dbraw/zinc/15/09/69/411150969.db2.gz MREKQNUVDNTIMX-GFCCVEGCSA-N 0 3 240.322 2.863 20 0 BFADHN COc1cc(CN2CC[C@@H](CC(C)C)C2)on1 ZINC000659975923 411152677 /nfs/dbraw/zinc/15/26/77/411152677.db2.gz SOCPSPAXXKPRQD-NSHDSACASA-N 0 3 238.331 2.551 20 0 BFADHN CC(C)c1cccc(CN2CC[C@@](C)(O)C2)c1 ZINC000659985257 411155867 /nfs/dbraw/zinc/15/58/67/411155867.db2.gz FVNDSIQOWOYBGR-OAHLLOKOSA-N 0 3 233.355 2.767 20 0 BFADHN CCN(Cc1ccc(C)o1)[C@@H]1CCCOC1 ZINC000651948300 411159608 /nfs/dbraw/zinc/15/96/08/411159608.db2.gz IDIPRDYGUZYRBI-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN C[C@H](CCCO)N[C@H](C)c1cc2cnccc2o1 ZINC000657903357 411160856 /nfs/dbraw/zinc/16/08/56/411160856.db2.gz BNPNLJXPSPZIHG-GHMZBOCLSA-N 0 3 248.326 2.639 20 0 BFADHN CC(C)Cn1nccc1CNC1CC2(CCC2)C1 ZINC000652042272 411092806 /nfs/dbraw/zinc/09/28/06/411092806.db2.gz VEBOBTPYHRKMFV-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN CCc1ccc(CN(C)[C@@H](C)CCO)s1 ZINC000652048353 411098208 /nfs/dbraw/zinc/09/82/08/411098208.db2.gz KUTLQFHPZIOILC-JTQLQIEISA-N 0 3 227.373 2.513 20 0 BFADHN CC(C)(CCN1CCOCC12CC2)C1CC1 ZINC000653664240 411161641 /nfs/dbraw/zinc/16/16/41/411161641.db2.gz NBSVDGZXLBXQCL-UHFFFAOYSA-N 0 3 223.360 2.678 20 0 BFADHN C[C@H](Cc1ccoc1)N[C@H]1CCCn2nccc21 ZINC000657905459 411162392 /nfs/dbraw/zinc/16/23/92/411162392.db2.gz YXHMACUPTRDUMS-YPMHNXCESA-N 0 3 245.326 2.532 20 0 BFADHN C[C@@H]1SCC[C@@H]1N[C@H]1CCCc2cccnc21 ZINC000310573099 411242406 /nfs/dbraw/zinc/24/24/06/411242406.db2.gz ORGWJYVSDHEYGP-DRZSPHRISA-N 0 3 248.395 2.943 20 0 BFADHN C[C@@H]1SCC[C@H]1N[C@H]1CCCc2cccnc21 ZINC000310573102 411242606 /nfs/dbraw/zinc/24/26/06/411242606.db2.gz ORGWJYVSDHEYGP-UHTWSYAYSA-N 0 3 248.395 2.943 20 0 BFADHN CCCCC[C@H]1CCCN1Cc1cn(C)cn1 ZINC000660013918 411174982 /nfs/dbraw/zinc/17/49/82/411174982.db2.gz SFANKHXJQNYPGG-AWEZNQCLSA-N 0 3 235.375 2.965 20 0 BFADHN Cc1nc(CN2CCC[C@H]2C(C)(C)C)co1 ZINC000659880702 411180713 /nfs/dbraw/zinc/18/07/13/411180713.db2.gz SFCSCICJVDVVJZ-LBPRGKRZSA-N 0 3 222.332 2.994 20 0 BFADHN COCC1(N[C@H](C)c2cc3cnccc3o2)CC1 ZINC000657954029 411180826 /nfs/dbraw/zinc/18/08/26/411180826.db2.gz TYLMEOXHNIHPMO-SNVBAGLBSA-N 0 3 246.310 2.657 20 0 BFADHN CCCN(Cc1ccncc1F)C(C)C ZINC000653799425 411184018 /nfs/dbraw/zinc/18/40/18/411184018.db2.gz YEKDAHSNXVZWFV-UHFFFAOYSA-N 0 3 210.296 2.841 20 0 BFADHN C[C@@H](COCC1CC1)N[C@@H](C)c1cccc(O)c1 ZINC000652287261 411187497 /nfs/dbraw/zinc/18/74/97/411187497.db2.gz SBPJKRXXVHOKJT-RYUDHWBXSA-N 0 3 249.354 2.858 20 0 BFADHN Cc1ccncc1[C@@H](C)N[C@@H](C)COCC1CC1 ZINC000652286911 411187681 /nfs/dbraw/zinc/18/76/81/411187681.db2.gz IYTLVGXVAYPBNK-QWHCGFSZSA-N 0 3 248.370 2.856 20 0 BFADHN CC(C)C(C)(C)CN[C@H]1CCCn2ccnc21 ZINC000655757795 411188708 /nfs/dbraw/zinc/18/87/08/411188708.db2.gz LYBRMEHGFWZLNN-LBPRGKRZSA-N 0 3 235.375 2.990 20 0 BFADHN CC(C)C(C)(C)CN[C@@H]1CCCn2ccnc21 ZINC000655757794 411188819 /nfs/dbraw/zinc/18/88/19/411188819.db2.gz LYBRMEHGFWZLNN-GFCCVEGCSA-N 0 3 235.375 2.990 20 0 BFADHN CO[C@@H](C)CN[C@@H](C)c1ccc(C(F)F)nc1 ZINC000657984218 411189112 /nfs/dbraw/zinc/18/91/12/411189112.db2.gz CFEXEDVOLDMMFF-IUCAKERBSA-N 0 3 244.285 2.705 20 0 BFADHN CCC[C@H](C)N(C)Cc1cncn1CC ZINC000417714082 411189714 /nfs/dbraw/zinc/18/97/14/411189714.db2.gz GZAWWYRTNVRXOR-NSHDSACASA-N 0 3 209.337 2.523 20 0 BFADHN CC[C@@H]1CCN1CCc1noc2ccccc21 ZINC000653696256 411190264 /nfs/dbraw/zinc/19/02/64/411190264.db2.gz VZVOGONRDPZTNG-LLVKDONJSA-N 0 3 230.311 2.855 20 0 BFADHN CC(C)=CCCN[C@H]1CCCn2ccnc21 ZINC000655759720 411190367 /nfs/dbraw/zinc/19/03/67/411190367.db2.gz GIKDPNFVSACSFQ-LBPRGKRZSA-N 0 3 219.332 2.664 20 0 BFADHN c1cn2c(n1)[C@@H](N[C@H]1CC13CCCC3)CCC2 ZINC000655760047 411190977 /nfs/dbraw/zinc/19/09/77/411190977.db2.gz VOMYHCCQSMKONE-RYUDHWBXSA-N 0 3 231.343 2.640 20 0 BFADHN C[C@H]1CC[C@H](NCc2ccoc2)CS1 ZINC000655697612 411244339 /nfs/dbraw/zinc/24/43/39/411244339.db2.gz SBSULMGCTISQAZ-ONGXEEELSA-N 0 3 211.330 2.653 20 0 BFADHN C[C@H](N[C@@H]1C[C@H](C)n2ncnc21)C1CCCCC1 ZINC000658097825 411244318 /nfs/dbraw/zinc/24/43/18/411244318.db2.gz JOVZNFGSBRCSIP-GMXVVIOVSA-N 0 3 248.374 2.842 20 0 BFADHN CCC[C@H](N[C@@H](CO)CCF)c1ccsc1 ZINC000652302412 411193114 /nfs/dbraw/zinc/19/31/14/411193114.db2.gz XPSZRJPYFAEZJH-NEPJUHHUSA-N 0 3 245.363 2.899 20 0 BFADHN C[C@@H](NCCF)c1cc2ccncc2s1 ZINC000658004946 411195128 /nfs/dbraw/zinc/19/51/28/411195128.db2.gz YFOWOVRAZZKRLN-MRVPVSSYSA-N 0 3 224.304 2.916 20 0 BFADHN Cc1cc([C@H](C)N[C@H](CO)CCF)c(C)s1 ZINC000652305437 411195378 /nfs/dbraw/zinc/19/53/78/411195378.db2.gz BZHYDTNKZPWEDC-ONGXEEELSA-N 0 3 245.363 2.736 20 0 BFADHN C[C@@H]1CN(CCc2noc3ccccc32)[C@H]1C ZINC000653705105 411196064 /nfs/dbraw/zinc/19/60/64/411196064.db2.gz PYQZLSGCEIVNIG-MNOVXSKESA-N 0 3 230.311 2.711 20 0 BFADHN Cc1cc(C)cc([C@@H](C)N[C@@H](CO)CCF)c1 ZINC000652306156 411196254 /nfs/dbraw/zinc/19/62/54/411196254.db2.gz PKHJPAIBWCLUDI-TZMCWYRMSA-N 0 3 239.334 2.675 20 0 BFADHN C[C@@H]1C[C@H](NC2CCCCCC2)c2ncnn21 ZINC000658098828 411244802 /nfs/dbraw/zinc/24/48/02/411244802.db2.gz QTAGFYJKQOBMAL-PWSUYJOCSA-N 0 3 234.347 2.596 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H](CO)CCF)s1 ZINC000652306461 411196339 /nfs/dbraw/zinc/19/63/39/411196339.db2.gz WKKFKUXOJLHUPZ-VHSXEESVSA-N 0 3 245.363 2.682 20 0 BFADHN CC[C@@H](CN[C@H](C)c1cc2cnccc2o1)OC ZINC000658018334 411198767 /nfs/dbraw/zinc/19/87/67/411198767.db2.gz APMRQIBYCVJMKP-PWSUYJOCSA-N 0 3 248.326 2.903 20 0 BFADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1cc(F)cc(F)c1 ZINC000402160619 411199213 /nfs/dbraw/zinc/19/92/13/411199213.db2.gz QOMHBQBUAYJZSX-KKFJDGPESA-N 0 3 241.281 2.793 20 0 BFADHN Cc1nnsc1CN[C@H](C)C(C)(C)C(F)F ZINC000658020964 411199703 /nfs/dbraw/zinc/19/97/03/411199703.db2.gz MEXYZMCPPNWAMA-SSDOTTSWSA-N 0 3 249.330 2.616 20 0 BFADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1cc2cnccc2o1 ZINC000658020052 411199841 /nfs/dbraw/zinc/19/98/41/411199841.db2.gz SHCSYEGFCAUSMM-OUAUKWLOSA-N 0 3 248.326 2.902 20 0 BFADHN CC[C@H](NC1CC2(CCC2)C1)c1ccn(C)n1 ZINC000652328127 411201127 /nfs/dbraw/zinc/20/11/27/411201127.db2.gz VYBLNKDJKONUOI-LBPRGKRZSA-N 0 3 233.359 2.794 20 0 BFADHN CC[C@@H](NC1CC2(CCC2)C1)c1ccn(C)n1 ZINC000652328126 411201195 /nfs/dbraw/zinc/20/11/95/411201195.db2.gz VYBLNKDJKONUOI-GFCCVEGCSA-N 0 3 233.359 2.794 20 0 BFADHN CC[C@@H](NC1CC2(CCC2)C1)c1nccn1C ZINC000652327960 411202442 /nfs/dbraw/zinc/20/24/42/411202442.db2.gz PJEMSXQBVNDBCS-GFCCVEGCSA-N 0 3 233.359 2.794 20 0 BFADHN Cc1csc(CN[C@H]2COC3(CCC3)C2)c1 ZINC000658027456 411204434 /nfs/dbraw/zinc/20/44/34/411204434.db2.gz MQQAGBGKFNZWCD-LLVKDONJSA-N 0 3 237.368 2.858 20 0 BFADHN CC[C@@H]1CCCN1Cc1ccc2c(n1)CCC2 ZINC000656422705 411245358 /nfs/dbraw/zinc/24/53/58/411245358.db2.gz SJEISLIYYUCAKO-CQSZACIVSA-N 0 3 230.355 2.945 20 0 BFADHN CC[C@@H](NCc1ccncc1)[C@@H]1CCCCO1 ZINC000653876503 411205844 /nfs/dbraw/zinc/20/58/44/411205844.db2.gz GJTWNUQSOXYBOB-KGLIPLIRSA-N 0 3 234.343 2.519 20 0 BFADHN CC[C@H](N[C@@H](C)c1cc2cnccc2o1)[C@@H](C)O ZINC000658029198 411206187 /nfs/dbraw/zinc/20/61/87/411206187.db2.gz HTCCSTNOCWQSSF-UMNHJUIQSA-N 0 3 248.326 2.638 20 0 BFADHN CC[C@H]1CCCN1Cc1ccc2c(n1)CCC2 ZINC000656422701 411245910 /nfs/dbraw/zinc/24/59/10/411245910.db2.gz SJEISLIYYUCAKO-AWEZNQCLSA-N 0 3 230.355 2.945 20 0 BFADHN C[C@H]1C[C@@H](N[C@H]2CCC[C@@H](C)[C@@H]2C)c2ncnn21 ZINC000658102969 411245967 /nfs/dbraw/zinc/24/59/67/411245967.db2.gz SPEOBSCDRSDRLX-QNWJLWSRSA-N 0 3 248.374 2.698 20 0 BFADHN Cc1ncc(CN[C@@H](C)C(C)(C)C(F)F)o1 ZINC000658036129 411212291 /nfs/dbraw/zinc/21/22/91/411212291.db2.gz UUNYGFSMOPIPNH-ZETCQYMHSA-N 0 3 232.274 2.752 20 0 BFADHN Fc1ccc(N[C@H]2CCCN3CCCC[C@H]23)nc1 ZINC000656226696 411213323 /nfs/dbraw/zinc/21/33/23/411213323.db2.gz NJDBYPAEQCTWOK-QWHCGFSZSA-N 0 3 249.333 2.650 20 0 BFADHN CC1CC(NCc2cccnc2N2CCCC2)C1 ZINC000658102678 411246211 /nfs/dbraw/zinc/24/62/11/411246211.db2.gz CZWZAANXNNXWCW-UHFFFAOYSA-N 0 3 245.370 2.570 20 0 BFADHN C[C@H]1C[C@@H](NC2CCC3(CC3)CC2)c2ncnn21 ZINC000658103209 411246220 /nfs/dbraw/zinc/24/62/20/411246220.db2.gz UCAHLMRVQNPFCP-CMPLNLGQSA-N 0 3 246.358 2.596 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H]2C[C@H](C)CC[C@@H]2C)c2ncnn21 ZINC000658102230 411246235 /nfs/dbraw/zinc/24/62/35/411246235.db2.gz OYQDBWLDFTUCKE-NZEXEKPDSA-N 0 3 248.374 2.698 20 0 BFADHN C[C@H]1CN(CCCF)CC2(CCCCC2)O1 ZINC000653906088 411220643 /nfs/dbraw/zinc/22/06/43/411220643.db2.gz PLFQUTYYHODXNC-LBPRGKRZSA-N 0 3 229.339 2.770 20 0 BFADHN C[C@@H](NC[C@H]1CCN1C(C)(C)C)c1ccoc1 ZINC000658053924 411221148 /nfs/dbraw/zinc/22/11/48/411221148.db2.gz BYVXNWMSUZAZLY-DGCLKSJQSA-N 0 3 236.359 2.803 20 0 BFADHN Cc1cc(OCc2ccno2)c(C)c(C)n1 ZINC000655676667 411221327 /nfs/dbraw/zinc/22/13/27/411221327.db2.gz BZQOPUPGQWEXCI-UHFFFAOYSA-N 0 3 218.256 2.574 20 0 BFADHN C[C@H](NC[C@H]1CCN1C(C)(C)C)c1ccco1 ZINC000658053949 411223471 /nfs/dbraw/zinc/22/34/71/411223471.db2.gz AHTXDIQXVBWAJV-NWDGAFQWSA-N 0 3 236.359 2.803 20 0 BFADHN c1cn2c(n1)[C@H](NCCc1ccccc1)CCC2 ZINC000655683935 411224933 /nfs/dbraw/zinc/22/49/33/411224933.db2.gz JEZKZBSZAQOUKP-CQSZACIVSA-N 0 3 241.338 2.550 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2COC(C)(C)C2)c(C)n1 ZINC000658059150 411225101 /nfs/dbraw/zinc/22/51/01/411225101.db2.gz RGPFBUZBIKTEOS-OLZOCXBDSA-N 0 3 248.370 2.917 20 0 BFADHN COc1ccc2c(c1)CN(C[C@@H]1C[C@H]1C)C2 ZINC000656274987 411225499 /nfs/dbraw/zinc/22/54/99/411225499.db2.gz IKRSMKWKQWLDAE-PWSUYJOCSA-N 0 3 217.312 2.667 20 0 BFADHN CC[C@@H](CC(F)F)CN(C)Cc1cn[nH]c1 ZINC000660090784 411226918 /nfs/dbraw/zinc/22/69/18/411226918.db2.gz DWZGGRLTAYGJIN-VIFPVBQESA-N 0 3 231.290 2.523 20 0 BFADHN Cc1cncc([C@@H](C)N[C@@H]2COC(C)(C)C2)c1 ZINC000658059716 411226942 /nfs/dbraw/zinc/22/69/42/411226942.db2.gz UDZOAMXYENPRRA-YPMHNXCESA-N 0 3 234.343 2.608 20 0 BFADHN C[C@@H](O)CN(Cc1ccc2occc2c1)C1CC1 ZINC000656280062 411227167 /nfs/dbraw/zinc/22/71/67/411227167.db2.gz SPXOYHORLVVFLS-LLVKDONJSA-N 0 3 245.322 2.778 20 0 BFADHN Cc1cncc([C@@H](C)N[C@H]2COC(C)(C)C2)c1 ZINC000658059712 411227218 /nfs/dbraw/zinc/22/72/18/411227218.db2.gz UDZOAMXYENPRRA-DGCLKSJQSA-N 0 3 234.343 2.608 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H]2COC(C)(C)C2)s1 ZINC000658057586 411227867 /nfs/dbraw/zinc/22/78/67/411227867.db2.gz IRCBXSJWFNYCBK-SCZZXKLOSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2COC(C)(C)C2)s1 ZINC000658057590 411228280 /nfs/dbraw/zinc/22/82/80/411228280.db2.gz IRCBXSJWFNYCBK-WPRPVWTQSA-N 0 3 240.372 2.670 20 0 BFADHN Cc1ncc([C@H](C)N[C@H]2COC(C)(C)C2)s1 ZINC000658057588 411228286 /nfs/dbraw/zinc/22/82/86/411228286.db2.gz IRCBXSJWFNYCBK-WCBMZHEXSA-N 0 3 240.372 2.670 20 0 BFADHN CCC(C)(C)CNCc1cc2n(n1)CCCC2 ZINC000655766204 411248495 /nfs/dbraw/zinc/24/84/95/411248495.db2.gz NRFCTDIZHSFXEW-UHFFFAOYSA-N 0 3 235.375 2.745 20 0 BFADHN CCC(CC)(CNCc1ccc(C)nc1)OC ZINC000310425033 411236491 /nfs/dbraw/zinc/23/64/91/411236491.db2.gz RITGIDAHIHWALU-UHFFFAOYSA-N 0 3 236.359 2.685 20 0 BFADHN CC[C@@H](CC(F)F)CN1CCOCC12CCC2 ZINC000660116689 411237493 /nfs/dbraw/zinc/23/74/93/411237493.db2.gz JRLHZCWWVWHHBZ-NSHDSACASA-N 0 3 247.329 2.923 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](CO)C2CCC2)c(C)o1 ZINC000658088201 411239342 /nfs/dbraw/zinc/23/93/42/411239342.db2.gz PBWVOSAVQHXQIV-QMTHXVAHSA-N 0 3 237.343 2.708 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H](CO)C1CCC1 ZINC000658088484 411239436 /nfs/dbraw/zinc/23/94/36/411239436.db2.gz UOQSMKRPXQGFHI-FZMZJTMJSA-N 0 3 249.354 2.507 20 0 BFADHN Cc1nnsc1CNCCCc1ccccc1 ZINC000310550581 411240915 /nfs/dbraw/zinc/24/09/15/411240915.db2.gz WHZIINSGCUQOBB-UHFFFAOYSA-N 0 3 247.367 2.569 20 0 BFADHN CC[C@H](COCC1CC1)N[C@H](C)c1cccnc1 ZINC000658090656 411241988 /nfs/dbraw/zinc/24/19/88/411241988.db2.gz LVGYPXBSLYVFPN-IUODEOHRSA-N 0 3 248.370 2.937 20 0 BFADHN COc1cc(CN2[C@H](C)CC[C@H]2C)sn1 ZINC000660000175 411165055 /nfs/dbraw/zinc/16/50/55/411165055.db2.gz PHUQEZFUJJOSMC-RKDXNWHRSA-N 0 3 226.345 2.525 20 0 BFADHN CCc1nc([C@H](C)N(CC)CC2CCC2)n[nH]1 ZINC000660453040 411166372 /nfs/dbraw/zinc/16/63/72/411166372.db2.gz KSCXNHMCMJDMNA-JTQLQIEISA-N 0 3 236.363 2.550 20 0 BFADHN C[C@H](N[C@]1(C)CC1(C)C)c1cc2n(n1)CCC2 ZINC000658301731 411327126 /nfs/dbraw/zinc/32/71/26/411327126.db2.gz JQTAXONCXZFBTD-IINYFYTJSA-N 0 3 233.359 2.669 20 0 BFADHN Cc1ccc(C)c2c1CCC[C@H]2NC/C=C/CO ZINC000658140985 411264622 /nfs/dbraw/zinc/26/46/22/411264622.db2.gz UFPKAKFTGSFEDX-NHZBNJEXSA-N 0 3 245.366 2.819 20 0 BFADHN Cc1ccc2cc([C@@H](C)NC/C=C/CO)oc2c1 ZINC000658142789 411265932 /nfs/dbraw/zinc/26/59/32/411265932.db2.gz YWUKMZUWXGILLP-AAOUONPWSA-N 0 3 245.322 2.940 20 0 BFADHN CCC[C@H](CC)N[C@@H]1CCCn2ccnc21 ZINC000655725724 411327331 /nfs/dbraw/zinc/32/73/31/411327331.db2.gz XULZWZAHIJLSDM-NWDGAFQWSA-N 0 3 221.348 2.886 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](C)C2(CO)CC2)c(C)o1 ZINC000658156860 411270003 /nfs/dbraw/zinc/27/00/03/411270003.db2.gz WOQWWPTUHGXERW-ZYHUDNBSSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H](C)C2(CO)CC2)oc1C ZINC000658156872 411270392 /nfs/dbraw/zinc/27/03/92/411270392.db2.gz WRUUYZPXMSHESZ-PWSUYJOCSA-N 0 3 237.343 2.708 20 0 BFADHN Cc1n[nH]cc1[C@H](C)NC1(CC(C)C)CC1 ZINC000658321405 411333091 /nfs/dbraw/zinc/33/30/91/411333091.db2.gz QGANRHPATKZFRO-JTQLQIEISA-N 0 3 221.348 2.947 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H](C)C1(CO)CC1 ZINC000658159144 411272463 /nfs/dbraw/zinc/27/24/63/411272463.db2.gz HIMUSFGGYWFUFK-RYUDHWBXSA-N 0 3 249.354 2.507 20 0 BFADHN Cc1ccccc1-n1cc([C@@H](N)C(C)(C)C)nn1 ZINC000656452284 411273375 /nfs/dbraw/zinc/27/33/75/411273375.db2.gz LURSAFLDARJQJF-CYBMUJFWSA-N 0 3 244.342 2.622 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H](C)c2ccc(C)o2)C1 ZINC000658161248 411274167 /nfs/dbraw/zinc/27/41/67/411274167.db2.gz JBKYKDUPZOVGQS-IJLUTSLNSA-N 0 3 223.316 2.806 20 0 BFADHN C[C@@H](N[C@H](C)C1(CO)CC1)c1ccsc1 ZINC000658161686 411275363 /nfs/dbraw/zinc/27/53/63/411275363.db2.gz UGKZHMDIYKVYIF-NXEZZACHSA-N 0 3 225.357 2.560 20 0 BFADHN Fc1cccc([C@H]2CCN2CCc2cn[nH]c2)c1 ZINC000656453919 411275863 /nfs/dbraw/zinc/27/58/63/411275863.db2.gz BYDJMTOJTIGDKX-CQSZACIVSA-N 0 3 245.301 2.538 20 0 BFADHN CCO[C@H]1C[C@H](N[C@H](C)c2ccsc2)C1 ZINC000658163238 411276159 /nfs/dbraw/zinc/27/61/59/411276159.db2.gz MXTZWEOWDOFABR-YUSALJHKSA-N 0 3 225.357 2.966 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H](C)C2(CO)CC2)o1 ZINC000658162724 411276341 /nfs/dbraw/zinc/27/63/41/411276341.db2.gz YKQOMSXCACPUJU-GHMZBOCLSA-N 0 3 237.343 2.654 20 0 BFADHN Cc1nn(C)cc1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000245503925 411277503 /nfs/dbraw/zinc/27/75/03/411277503.db2.gz JDDSKHMOXGRCHJ-BXUZGUMPSA-N 0 3 235.375 2.739 20 0 BFADHN COc1cc([C@H](C)N[C@]2(C)CC2(C)C)ccn1 ZINC000658304876 411328396 /nfs/dbraw/zinc/32/83/96/411328396.db2.gz QNDOTUBKYBHIQU-IINYFYTJSA-N 0 3 234.343 2.929 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@]1(C)CC1(C)C ZINC000658304422 411328404 /nfs/dbraw/zinc/32/84/04/411328404.db2.gz PETSABUHMRRCLE-GXFFZTMASA-N 0 3 219.332 2.624 20 0 BFADHN CCC[C@@H](C)NCc1cnc(C2CC2)nc1 ZINC000656469323 411281660 /nfs/dbraw/zinc/28/16/60/411281660.db2.gz OEGOVCTYNFEQBJ-SNVBAGLBSA-N 0 3 219.332 2.632 20 0 BFADHN CCn1cc(CN[C@H](C)CCC=C(C)C)nn1 ZINC000658175663 411282112 /nfs/dbraw/zinc/28/21/12/411282112.db2.gz FMAYISHUQMOTPO-GFCCVEGCSA-N 0 3 236.363 2.523 20 0 BFADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1cccnc1 ZINC000656686566 411328645 /nfs/dbraw/zinc/32/86/45/411328645.db2.gz HOPMUOVEJAVGNL-MWLCHTKSSA-N 0 3 226.270 2.778 20 0 BFADHN c1c(CNC[C@@H]2CC=CCC2)nn2c1CCCC2 ZINC000655719838 411285082 /nfs/dbraw/zinc/28/50/82/411285082.db2.gz YNCVMCLRASJKNE-CYBMUJFWSA-N 0 3 245.370 2.665 20 0 BFADHN Cc1nn(C)cc1[C@H](C)N[C@@]1(C)CC1(C)C ZINC000658305783 411328841 /nfs/dbraw/zinc/32/88/41/411328841.db2.gz AVXMYODINSOPRI-ZANVPECISA-N 0 3 221.348 2.568 20 0 BFADHN CC[C@H](NCCC(C)(C)F)c1ccn(C)n1 ZINC000658191196 411286795 /nfs/dbraw/zinc/28/67/95/411286795.db2.gz MXZCTSXUQPZBRE-JTQLQIEISA-N 0 3 227.327 2.599 20 0 BFADHN Cc1cc([C@H](C)NCCC(C)(C)F)nn1C ZINC000658190923 411286842 /nfs/dbraw/zinc/28/68/42/411286842.db2.gz IAPHHSKLVNPRDX-JTQLQIEISA-N 0 3 227.327 2.517 20 0 BFADHN COCc1cc(CNC(C(C)C)C(C)C)no1 ZINC000656507381 411287994 /nfs/dbraw/zinc/28/79/94/411287994.db2.gz VGWPDYYROCXNPJ-UHFFFAOYSA-N 0 3 240.347 2.591 20 0 BFADHN CCC[C@H](NC1COCCOC1)c1ccccc1 ZINC000310864411 411288036 /nfs/dbraw/zinc/28/80/36/411288036.db2.gz DIWTZAFTJYUVDM-HNNXBMFYSA-N 0 3 249.354 2.533 20 0 BFADHN C[C@H](NCCC(C)(C)F)c1ccccn1 ZINC000658193706 411288171 /nfs/dbraw/zinc/28/81/71/411288171.db2.gz XRAVOOVUSHFZCK-JTQLQIEISA-N 0 3 210.296 2.870 20 0 BFADHN FC(F)(F)CC1CN(C[C@H]2CCSC2)C1 ZINC000656688780 411329066 /nfs/dbraw/zinc/32/90/66/411329066.db2.gz UNHHYJFHJLUPST-MRVPVSSYSA-N 0 3 239.306 2.624 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H](C)O1)c1cccnc1 ZINC000656686572 411329083 /nfs/dbraw/zinc/32/90/83/411329083.db2.gz HSEFFSJTPXWAQS-MBNYWOFBSA-N 0 3 234.343 2.690 20 0 BFADHN C[C@@H](NCCC(C)(C)F)c1nccs1 ZINC000658193659 411288538 /nfs/dbraw/zinc/28/85/38/411288538.db2.gz WXLMRBXAJQRFOV-MRVPVSSYSA-N 0 3 216.325 2.932 20 0 BFADHN CC(C)(C)c1cccc(CN(CCO)C2CC2)c1 ZINC000659841196 411329297 /nfs/dbraw/zinc/32/92/97/411329297.db2.gz MOWZUHCXSABXTE-UHFFFAOYSA-N 0 3 247.382 2.941 20 0 BFADHN C[C@@H](NCC[C@]1(C)CC1(F)F)c1cnccn1 ZINC000656689559 411329397 /nfs/dbraw/zinc/32/93/97/411329397.db2.gz RLXGJTNZMNBFJD-MWLCHTKSSA-N 0 3 241.285 2.563 20 0 BFADHN C/C=C/C[C@@H](CO)NCc1cccc(Cl)c1 ZINC000185822796 411292148 /nfs/dbraw/zinc/29/21/48/411292148.db2.gz UTCYKGSVTVPXGD-IBUXWKBASA-N 0 3 239.746 2.757 20 0 BFADHN CCN1CC[C@](C)(c2cccc(F)c2)C1 ZINC000649663244 411292466 /nfs/dbraw/zinc/29/24/66/411292466.db2.gz BAWXZEKHJXNCFX-ZDUSSCGKSA-N 0 3 207.292 2.809 20 0 BFADHN C[C@H](NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1ccncn1 ZINC000658205521 411292652 /nfs/dbraw/zinc/29/26/52/411292652.db2.gz HXAOXINMEXMYSA-RNJOBUHISA-N 0 3 231.343 2.563 20 0 BFADHN Cc1cc([C@H](C)NC[C@@H]2CCC[C@H]3C[C@H]32)nn1C ZINC000658206722 411293320 /nfs/dbraw/zinc/29/33/20/411293320.db2.gz PKEMRRWAXJXOSV-XDQVBPFNSA-N 0 3 247.386 2.815 20 0 BFADHN CC(C)[C@@H](C)CN[C@H]1CCCn2ccnc21 ZINC000655723095 411296193 /nfs/dbraw/zinc/29/61/93/411296193.db2.gz GXCGBXLFDSFRDT-RYUDHWBXSA-N 0 3 221.348 2.600 20 0 BFADHN C[C@@H](NCC[C@@]1(C)CC1(F)F)c1nccn1C ZINC000658218410 411297828 /nfs/dbraw/zinc/29/78/28/411297828.db2.gz DFODGQSMTAWRMM-KOLCDFICSA-N 0 3 243.301 2.506 20 0 BFADHN C[C@@H](NC[C@H]1CCC[C@@H](C)O1)c1ccco1 ZINC000658218012 411298020 /nfs/dbraw/zinc/29/80/20/411298020.db2.gz GNTIPXDITXWXEJ-IJLUTSLNSA-N 0 3 223.316 2.888 20 0 BFADHN C[C@H]1CN(CC[C@@H]2CCc3ccccc32)CCO1 ZINC000659810961 411298296 /nfs/dbraw/zinc/29/82/96/411298296.db2.gz FUELGOIPRIWHQS-ZFWWWQNUSA-N 0 3 245.366 2.827 20 0 BFADHN C[C@H](CN1CC(C(F)F)C1)c1ccccc1 ZINC000656690290 411330234 /nfs/dbraw/zinc/33/02/34/411330234.db2.gz HUMPDBRGYZQMHL-SNVBAGLBSA-N 0 3 225.282 2.987 20 0 BFADHN c1cn2c(n1)[C@H](NCCCC1CCC1)CCC2 ZINC000658224296 411303626 /nfs/dbraw/zinc/30/36/26/411303626.db2.gz SCWMMAZVWPGLDR-CYBMUJFWSA-N 0 3 233.359 2.888 20 0 BFADHN Cn1ncc2c1CCC[C@@H]2N[C@@]1(C)CC1(C)C ZINC000658309746 411330811 /nfs/dbraw/zinc/33/08/11/411330811.db2.gz YJXFXGJYVUWRNU-FZMZJTMJSA-N 0 3 233.359 2.576 20 0 BFADHN C[C@@H](N[C@@]1(C)CC1(C)C)c1ccccn1 ZINC000658309003 411330854 /nfs/dbraw/zinc/33/08/54/411330854.db2.gz RVQCIIWKDOVACG-MFKMUULPSA-N 0 3 204.317 2.921 20 0 BFADHN CCC[C@@H](C)NCc1nccc(OC)c1F ZINC000658227811 411304900 /nfs/dbraw/zinc/30/49/00/411304900.db2.gz JYABUZUFEDEIOD-SECBINFHSA-N 0 3 226.295 2.508 20 0 BFADHN C[C@@H](N[C@]1(C)CC1(C)C)c1cccnc1 ZINC000658309539 411330922 /nfs/dbraw/zinc/33/09/22/411330922.db2.gz WZXJGJAEPHWRJU-ZWNOBZJWSA-N 0 3 204.317 2.921 20 0 BFADHN C[C@H](N[C@H]1CCc2ccc(O)cc21)[C@H]1CCCO1 ZINC000658235398 411305464 /nfs/dbraw/zinc/30/54/64/411305464.db2.gz DBQGHHKISRGHMX-NZVBXONLSA-N 0 3 247.338 2.537 20 0 BFADHN CC[C@H](N[C@@H](CC)C1CC1)c1ccn(C)n1 ZINC000658233376 411305527 /nfs/dbraw/zinc/30/55/27/411305527.db2.gz KQCOFTYXUUOKNB-RYUDHWBXSA-N 0 3 221.348 2.649 20 0 BFADHN C[C@H](N[C@@]1(C)CC1(C)C)c1ccccn1 ZINC000658309001 411330997 /nfs/dbraw/zinc/33/09/97/411330997.db2.gz RVQCIIWKDOVACG-GWCFXTLKSA-N 0 3 204.317 2.921 20 0 BFADHN CN(Cc1cccc(F)c1)[C@H]1COC(C)(C)C1 ZINC000659825447 411307740 /nfs/dbraw/zinc/30/77/40/411307740.db2.gz CBGFUDLEMWTQJX-CYBMUJFWSA-N 0 3 237.318 2.825 20 0 BFADHN CC(C)(C)c1cccc(CN2CCC[C@H](O)C2)c1 ZINC000659827786 411309958 /nfs/dbraw/zinc/30/99/58/411309958.db2.gz CFGXNHHXTJDFIC-HNNXBMFYSA-N 0 3 247.382 2.941 20 0 BFADHN C[C@H](N[C@H]1C[C@@H](O)C12CCC2)c1ccccc1 ZINC000658252198 411314141 /nfs/dbraw/zinc/31/41/41/411314141.db2.gz HQIPFOIUMRRFNP-FPMFFAJLSA-N 0 3 231.339 2.641 20 0 BFADHN FC(F)C1CN(C[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000656692007 411331712 /nfs/dbraw/zinc/33/17/12/411331712.db2.gz WCDLCJJYWNEYIJ-AAEUAGOBSA-N 0 3 237.293 2.987 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C12CCC2)c1ccsc1 ZINC000658251440 411314606 /nfs/dbraw/zinc/31/46/06/411314606.db2.gz HHGIXPXCKILUDF-DLOVCJGASA-N 0 3 237.368 2.702 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@H](CO)CC2CC2)o1 ZINC000658251543 411314613 /nfs/dbraw/zinc/31/46/13/411314613.db2.gz XMPGNDQGAFQOFK-AAEUAGOBSA-N 0 3 237.343 2.647 20 0 BFADHN Cc1ccc([C@@H](C)NC[C@H](CO)CC2CC2)o1 ZINC000658251544 411314707 /nfs/dbraw/zinc/31/47/07/411314707.db2.gz XMPGNDQGAFQOFK-DGCLKSJQSA-N 0 3 237.343 2.647 20 0 BFADHN CCOC1(C)CCN(Cc2ccc(O)cc2)CC1 ZINC000311103047 411314865 /nfs/dbraw/zinc/31/48/65/411314865.db2.gz KRAHSRMOBQOGLX-UHFFFAOYSA-N 0 3 249.354 2.783 20 0 BFADHN COCCN(Cc1ccco1)CC1CC=CC1 ZINC000659837374 411316256 /nfs/dbraw/zinc/31/62/56/411316256.db2.gz DHPJUIPMMQESOA-UHFFFAOYSA-N 0 3 235.327 2.694 20 0 BFADHN Cc1cc([C@H](C)N[C@@H]2C[C@H](O)C23CCC3)oc1C ZINC000658254025 411316375 /nfs/dbraw/zinc/31/63/75/411316375.db2.gz XPLABZZRUDRGAR-GDLCADMTSA-N 0 3 249.354 2.851 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2C[C@@H](O)C23CCC3)o1 ZINC000658254420 411316402 /nfs/dbraw/zinc/31/64/02/411316402.db2.gz NKUZSEQIVAFAHN-LEWSCRJBSA-N 0 3 249.354 2.796 20 0 BFADHN CCCc1nc(C)c(CN2CC[C@@H]3C[C@@H]3C2)o1 ZINC000661965974 411333413 /nfs/dbraw/zinc/33/34/13/411333413.db2.gz CPPUQPYRIXYRQT-VXGBXAGGSA-N 0 3 234.343 2.777 20 0 BFADHN C[C@H](N[C@H]1C[C@H](O)C1(C)C)c1cccs1 ZINC000163320881 411324387 /nfs/dbraw/zinc/32/43/87/411324387.db2.gz VTGGJYXRLZAVOZ-LSJOCFKGSA-N 0 3 225.357 2.558 20 0 BFADHN CC[C@H](NCC1=CCCC1)c1cnn(C)c1 ZINC000658292900 411325660 /nfs/dbraw/zinc/32/56/60/411325660.db2.gz OKESDSSVYASBPA-ZDUSSCGKSA-N 0 3 219.332 2.571 20 0 BFADHN CC[C@@H](C)CCN[C@H](C)c1nccnc1C ZINC000658121381 411250468 /nfs/dbraw/zinc/25/04/68/411250468.db2.gz PNWFPEFHFWBCGW-GHMZBOCLSA-N 0 3 221.348 2.872 20 0 BFADHN Cc1noc(C)c1CN[C@H]1CC[C@@H](C)SC1 ZINC000655700001 411250594 /nfs/dbraw/zinc/25/05/94/411250594.db2.gz IZSHCGNHZMJPMY-KCJUWKMLSA-N 0 3 240.372 2.665 20 0 BFADHN Cc1ccncc1CCN[C@H](C)c1ccco1 ZINC000658126045 411253637 /nfs/dbraw/zinc/25/36/37/411253637.db2.gz ONGWHOQABLCIMF-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN C[C@H]1CC(CN[C@H]2CCn3ccnc32)C[C@H](C)C1 ZINC000658128396 411254206 /nfs/dbraw/zinc/25/42/06/411254206.db2.gz UTZPDDRZTVVONU-BZPMIXESSA-N 0 3 247.386 2.990 20 0 BFADHN C[C@@H]1CCCC[C@H]1CN1CCc2n[nH]cc2C1 ZINC000660137235 411254367 /nfs/dbraw/zinc/25/43/67/411254367.db2.gz PPTZCCJBQRIJOT-NEPJUHHUSA-N 0 3 233.359 2.594 20 0 BFADHN Cc1cc(CN[C@@H]2CC[C@H](C)SC2)ccn1 ZINC000655772416 411254815 /nfs/dbraw/zinc/25/48/15/411254815.db2.gz HHQRCBNGFLVSMN-WCQYABFASA-N 0 3 236.384 2.764 20 0 BFADHN CCc1ccc([C@@H](C)NC/C=C/CO)s1 ZINC000658131839 411254866 /nfs/dbraw/zinc/25/48/66/411254866.db2.gz DWDBYJLSKSQTGV-ORAHPGNNSA-N 0 3 225.357 2.510 20 0 BFADHN CCc1ccc([C@H](C)NC/C=C\CO)s1 ZINC000658131837 411254881 /nfs/dbraw/zinc/25/48/81/411254881.db2.gz DWDBYJLSKSQTGV-LWTINBJPSA-N 0 3 225.357 2.510 20 0 BFADHN C[C@@H](NC/C=C\CO)c1cccc(Cl)c1 ZINC000658131758 411255412 /nfs/dbraw/zinc/25/54/12/411255412.db2.gz GKCLHEHRMAMIHS-MXQLGCADSA-N 0 3 225.719 2.539 20 0 BFADHN C[C@@H](NC/C=C/CO)c1ccc(F)c(Cl)c1 ZINC000658132593 411256461 /nfs/dbraw/zinc/25/64/61/411256461.db2.gz IVZKBTZVLUXAPO-GKQMSVHHSA-N 0 3 243.709 2.678 20 0 BFADHN C[C@H](NC/C=C\CO)c1ccc(Cl)s1 ZINC000658132473 411256482 /nfs/dbraw/zinc/25/64/82/411256482.db2.gz MCDODTCYILVCQA-KZUAUGPASA-N 0 3 231.748 2.601 20 0 BFADHN C[C@@H](NC/C=C\CO)c1ccc(F)c(Cl)c1 ZINC000658132595 411256686 /nfs/dbraw/zinc/25/66/86/411256686.db2.gz IVZKBTZVLUXAPO-PKRMOACSSA-N 0 3 243.709 2.678 20 0 BFADHN Cc1ccc(C)c([C@H](C)NC/C=C\CO)c1 ZINC000658132224 411256918 /nfs/dbraw/zinc/25/69/18/411256918.db2.gz JOLPWFBAIFWRSJ-ZFDPJTLLSA-N 0 3 219.328 2.503 20 0 BFADHN CCCOc1ccc([C@@H](C)NC/C=C\CO)cc1 ZINC000658132391 411256933 /nfs/dbraw/zinc/25/69/33/411256933.db2.gz HVIJGCWNWFIIJE-DSYXLKISSA-N 0 3 249.354 2.675 20 0 BFADHN Cc1ccc([C@H](NC/C=C/CO)C2CCC2)cc1 ZINC000658133845 411258343 /nfs/dbraw/zinc/25/83/43/411258343.db2.gz GJUBOIWRMBPHTP-WOMSROEHSA-N 0 3 245.366 2.974 20 0 BFADHN CCCc1ccc([C@H](C)NC/C=C/CO)cc1 ZINC000658133829 411258379 /nfs/dbraw/zinc/25/83/79/411258379.db2.gz ORODLSOJJGKWNB-IHVVCDCBSA-N 0 3 233.355 2.838 20 0 BFADHN Cc1cccc([C@H](C)NC/C=C/CO)c1C ZINC000658134112 411259432 /nfs/dbraw/zinc/25/94/32/411259432.db2.gz PMWRODGMCAWLHA-IHVVCDCBSA-N 0 3 219.328 2.503 20 0 BFADHN CC[C@@H](NC/C=C\CO)c1cccc(C)c1 ZINC000658134493 411260208 /nfs/dbraw/zinc/26/02/08/411260208.db2.gz ABLVKGGVXKNLKF-ZRUQZJFASA-N 0 3 219.328 2.584 20 0 BFADHN CC1(C)CC[C@H](NC/C=C/CO)c2ccccc21 ZINC000658136162 411260806 /nfs/dbraw/zinc/26/08/06/411260806.db2.gz GSOFRARZGGURGR-NFAHFFEMSA-N 0 3 245.366 2.937 20 0 BFADHN OC/C=C/CN[C@H]1CCCCc2ccccc21 ZINC000658135242 411261036 /nfs/dbraw/zinc/26/10/36/411261036.db2.gz TZDXEIRDEXGPPT-NFAHFFEMSA-N 0 3 231.339 2.592 20 0 BFADHN FC1(F)CC2(CN(CCC3CCC3)C2)C1 ZINC000660146006 411261012 /nfs/dbraw/zinc/26/10/12/411261012.db2.gz CXXDPWLJAPAYQU-UHFFFAOYSA-N 0 3 215.287 2.908 20 0 BFADHN Cc1ccc(F)cc1CN1CC[C@H]2COC[C@H]2C1 ZINC000662034107 411350910 /nfs/dbraw/zinc/35/09/10/411350910.db2.gz LSOLDXJKAARHCI-GXTWGEPZSA-N 0 3 249.329 2.602 20 0 BFADHN CCCN(Cc1coc(C)n1)CC(C)C ZINC000659860262 411351259 /nfs/dbraw/zinc/35/12/59/411351259.db2.gz VLZCBJKPTKURCN-UHFFFAOYSA-N 0 3 210.321 2.851 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2C[C@H](O)C2)cc(C)c1F ZINC000658351260 411353338 /nfs/dbraw/zinc/35/33/38/411353338.db2.gz VNMSZWSAMQRMKB-RAIGVLPGSA-N 0 3 237.318 2.616 20 0 BFADHN CCOC[C@@H](C)NCc1cccc(OC)c1C ZINC000658351108 411353472 /nfs/dbraw/zinc/35/34/72/411353472.db2.gz BJCVUAWJEDDENT-LLVKDONJSA-N 0 3 237.343 2.518 20 0 BFADHN CCN(Cc1cnn(C)c1)C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000659869051 411354828 /nfs/dbraw/zinc/35/48/28/411354828.db2.gz UDKQEIVMNHNZAM-YUELXQCFSA-N 0 3 247.386 2.678 20 0 BFADHN CC[C@@H](COC)NCc1cccc(OC)c1C ZINC000658352723 411355024 /nfs/dbraw/zinc/35/50/24/411355024.db2.gz JWFCGLGCUXHNGJ-ZDUSSCGKSA-N 0 3 237.343 2.518 20 0 BFADHN CC(C)c1ccc([C@H](C)N[C@H]2C[C@H](O)C2)cc1 ZINC000658352891 411356631 /nfs/dbraw/zinc/35/66/31/411356631.db2.gz ZTMQEUDLQVIPCR-CQDKDKBSSA-N 0 3 233.355 2.984 20 0 BFADHN COc1ccc(CNC2(CC(C)C)CC2)nc1 ZINC000662072607 411356803 /nfs/dbraw/zinc/35/68/03/411356803.db2.gz SWGSPMAQUVNXNK-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN CCc1nnc([C@@H](C)[NH2+][C@@H]2CC3CCC2CC3)[n-]1 ZINC000660626830 411360247 /nfs/dbraw/zinc/36/02/47/411360247.db2.gz ZPFDKODOQKJDDY-HBIQZDMRSA-N 0 3 248.374 2.596 20 0 BFADHN CNCc1cccc(NC(=O)C2CC3(CC3)C2)c1 ZINC000658928092 411362538 /nfs/dbraw/zinc/36/25/38/411362538.db2.gz XCSPXZSAVDFOGC-UHFFFAOYSA-N 0 3 244.338 2.535 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN1CCc2nccnc2C1 ZINC000662118876 411363197 /nfs/dbraw/zinc/36/31/97/411363197.db2.gz GHQPDNRHHZODNI-CHWSQXEVSA-N 0 3 245.370 2.661 20 0 BFADHN CC[C@H](C)CNc1ccc([C@H]2CNCCO2)cc1 ZINC000656794212 411364254 /nfs/dbraw/zinc/36/42/54/411364254.db2.gz XRDKFOCKIJNCSG-SWLSCSKDSA-N 0 3 248.370 2.806 20 0 BFADHN C[C@@H]1CN(CCC(C)(C)C2CC2)[C@@H](C)CO1 ZINC000653484071 411373713 /nfs/dbraw/zinc/37/37/13/411373713.db2.gz PEFKFNFVUFNVKS-NWDGAFQWSA-N 0 3 225.376 2.922 20 0 BFADHN CCCC[C@H](C)N[C@@H](C)c1nccn1C ZINC000070008238 411365946 /nfs/dbraw/zinc/36/59/46/411365946.db2.gz IIHSTLDDURIBPM-QWRGUYRKSA-N 0 3 209.337 2.649 20 0 BFADHN CCCC[C@H](C)N[C@H](C)c1nccn1C ZINC000070008245 411366612 /nfs/dbraw/zinc/36/66/12/411366612.db2.gz IIHSTLDDURIBPM-WDEREUQCSA-N 0 3 209.337 2.649 20 0 BFADHN CC1(NCc2cc3n(n2)CCCC3)CCCC1 ZINC000655728301 411366851 /nfs/dbraw/zinc/36/68/51/411366851.db2.gz WSFPXSWHSZPIBN-UHFFFAOYSA-N 0 3 233.359 2.642 20 0 BFADHN CC(C)N(C[C@@H]1CC[C@H]2C[C@H]2C1)C1COC1 ZINC000660647501 411371014 /nfs/dbraw/zinc/37/10/14/411371014.db2.gz MBMFTMBTGAJEOC-FRRDWIJNSA-N 0 3 223.360 2.532 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCCc1ccco1 ZINC000658325342 411335056 /nfs/dbraw/zinc/33/50/56/411335056.db2.gz LXNYLSDKRGOZEK-LLVKDONJSA-N 0 3 245.326 2.661 20 0 BFADHN CC(C)(CCN1CCOC(C)(C)C1)C1CC1 ZINC000653478558 411337393 /nfs/dbraw/zinc/33/73/93/411337393.db2.gz HVFZQCVMLSHDMO-UHFFFAOYSA-N 0 3 225.376 2.924 20 0 BFADHN COc1ccc([C@@H](C)N[C@@H]2C[C@]2(C)OC)c(C)c1 ZINC000658330421 411337988 /nfs/dbraw/zinc/33/79/88/411337988.db2.gz JGYWGXISTYTXCA-DFBGVHRSSA-N 0 3 249.354 2.832 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](c1cccnc1)C(C)C ZINC000658332181 411339075 /nfs/dbraw/zinc/33/90/75/411339075.db2.gz OQLAHCSTOVWQSL-RDBSUJKOSA-N 0 3 234.343 2.546 20 0 BFADHN C[C@@H](NC[C@@H]1CCOC1(C)C)c1nccs1 ZINC000658332122 411339511 /nfs/dbraw/zinc/33/95/11/411339511.db2.gz RMEOZZDOWAUGFY-ZJUUUORDSA-N 0 3 240.372 2.609 20 0 BFADHN CO[C@@]1(C)C[C@H]1N[C@H](c1cccnc1)C1CCC1 ZINC000658333569 411342030 /nfs/dbraw/zinc/34/20/30/411342030.db2.gz ZRPOMDMQSHMSAO-ILXRZTDVSA-N 0 3 246.354 2.690 20 0 BFADHN COc1cccc([C@H](C)N[C@@H]2C[C@]2(C)OC)c1 ZINC000658334535 411342120 /nfs/dbraw/zinc/34/21/20/411342120.db2.gz MAMCGAFWAYTQAW-GDLCADMTSA-N 0 3 235.327 2.523 20 0 BFADHN COc1cccc([C@@H](C)N[C@@H]2C[C@]2(C)OC)c1 ZINC000658334536 411342679 /nfs/dbraw/zinc/34/26/79/411342679.db2.gz MAMCGAFWAYTQAW-HONMWMINSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccc(F)cc1CN1CC[C@H]2C[C@H]2C1 ZINC000661979192 411343002 /nfs/dbraw/zinc/34/30/02/411343002.db2.gz UASABKRKNQMCJN-QWRGUYRKSA-N 0 3 235.302 2.676 20 0 BFADHN C[C@H](CCC(F)(F)F)N[C@H](C)c1nccn1C ZINC000658335535 411343706 /nfs/dbraw/zinc/34/37/06/411343706.db2.gz BGMPYZNULDYIDS-RKDXNWHRSA-N 0 3 249.280 2.802 20 0 BFADHN CC1(C)[C@H](NCc2sccc2Cl)C[C@@H]1O ZINC000278612284 168001420 /nfs/dbraw/zinc/00/14/20/168001420.db2.gz CZLZVGVSERMKKJ-ZJUUUORDSA-N 0 3 245.775 2.651 20 0 BFADHN CC1(C)[C@H](NCc2cccc(C3CC3)c2)C[C@@H]1O ZINC000128079849 168001969 /nfs/dbraw/zinc/00/19/69/168001969.db2.gz IYPZVJDGWVBZPF-CABCVRRESA-N 0 3 245.366 2.813 20 0 BFADHN CC1(C)[C@H](NCc2cc(Cl)cs2)C[C@@H]1O ZINC000168191279 168002292 /nfs/dbraw/zinc/00/22/92/168002292.db2.gz DFVIYUFLCWCROV-ZJUUUORDSA-N 0 3 245.775 2.651 20 0 BFADHN CO[C@H](CN(C)Cc1ccoc1C)C1CCC1 ZINC000659853864 411344831 /nfs/dbraw/zinc/34/48/31/411344831.db2.gz UPEBARJCKDNKJJ-CQSZACIVSA-N 0 3 237.343 2.835 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NCc1cc(Cl)cs1 ZINC000168191487 168009267 /nfs/dbraw/zinc/00/92/67/168009267.db2.gz DFVIYUFLCWCROV-NXEZZACHSA-N 0 3 245.775 2.651 20 0 BFADHN CC1(C2CC2)CCN(Cc2cccnc2N)CC1 ZINC000336256970 168015537 /nfs/dbraw/zinc/01/55/37/168015537.db2.gz VUPFNIVSHWJVGG-UHFFFAOYSA-N 0 3 245.370 2.676 20 0 BFADHN CC1(CCNCc2cc(F)ncc2F)CC1 ZINC000296999382 168020634 /nfs/dbraw/zinc/02/06/34/168020634.db2.gz WEABJNSMSFQINQ-UHFFFAOYSA-N 0 3 226.270 2.640 20 0 BFADHN CC1(CN2Cc3ccccc3C3(CC3)C2)COC1 ZINC000360703322 168026642 /nfs/dbraw/zinc/02/66/42/168026642.db2.gz MTDXZQDAFAMWLI-UHFFFAOYSA-N 0 3 243.350 2.570 20 0 BFADHN CC1(CNCc2cncnc2)CCCCC1 ZINC000229586869 168043763 /nfs/dbraw/zinc/04/37/63/168043763.db2.gz QTUKKJFUIIYPNG-UHFFFAOYSA-N 0 3 219.332 2.537 20 0 BFADHN CC1(CN[C@H](c2nc[nH]n2)C2CCCCC2)CC1 ZINC000330269544 168046519 /nfs/dbraw/zinc/04/65/19/168046519.db2.gz SBESAOITOBNINB-LBPRGKRZSA-N 0 3 248.374 2.816 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@H]3COC[C@@H]3C2)c1 ZINC000662031754 411348452 /nfs/dbraw/zinc/34/84/52/411348452.db2.gz URSLRKIVRXPHRB-JSGCOSHPSA-N 0 3 249.329 2.602 20 0 BFADHN CC1(O)CCN(Cc2cc3ccccc3o2)CC1 ZINC000178546502 168066714 /nfs/dbraw/zinc/06/67/14/168066714.db2.gz FHAMUVIUCIQWJP-UHFFFAOYSA-N 0 3 245.322 2.780 20 0 BFADHN CC1=C(C)CN(CCc2ccccn2)CC1 ZINC000280486652 168084670 /nfs/dbraw/zinc/08/46/70/168084670.db2.gz AVJUAWWIFZIHDR-UHFFFAOYSA-N 0 3 216.328 2.666 20 0 BFADHN CC1=C(C)CN(Cc2cccc3c2OCO3)CC1 ZINC000361123467 168085316 /nfs/dbraw/zinc/08/53/16/168085316.db2.gz AKRBJJUXYPKQEZ-UHFFFAOYSA-N 0 3 245.322 2.957 20 0 BFADHN Cc1ccc([C@H](O)CN2CCC=C(C)C2)cc1 ZINC000280710183 168099395 /nfs/dbraw/zinc/09/93/95/168099395.db2.gz DLEOPXRKFRCEDR-OAHLLOKOSA-N 0 3 231.339 2.680 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CN1CCOCC12CC2 ZINC000338994853 168102128 /nfs/dbraw/zinc/10/21/28/168102128.db2.gz CWKCALUFRPBNDJ-KGLIPLIRSA-N 0 3 235.371 2.844 20 0 BFADHN CC1=CCN(Cc2ccccc2N(C)C)CC1 ZINC000339657280 168114265 /nfs/dbraw/zinc/11/42/65/168114265.db2.gz DPBSOFSIYZOVDI-UHFFFAOYSA-N 0 3 230.355 2.905 20 0 BFADHN Cc1nc(C)c(CN2CC=C(C)CC2)s1 ZINC000270137525 168116295 /nfs/dbraw/zinc/11/62/95/168116295.db2.gz HXWXGTDDNYEECB-UHFFFAOYSA-N 0 3 222.357 2.912 20 0 BFADHN CC1=CCN([C@H](C)c2cccnc2)CC1 ZINC000270391683 168117563 /nfs/dbraw/zinc/11/75/63/168117563.db2.gz YFLNRKRFILMBFC-GFCCVEGCSA-N 0 3 202.301 2.795 20 0 BFADHN CC1=CC[C@@H](N[C@H]2CCCc3c[nH]nc32)CC1 ZINC000285314845 168118942 /nfs/dbraw/zinc/11/89/42/168118942.db2.gz SUGXPJDFDWLNFR-OLZOCXBDSA-N 0 3 231.343 2.876 20 0 BFADHN CC1=CC[C@H](NCc2nccs2)CC1 ZINC000294271719 168121418 /nfs/dbraw/zinc/12/14/18/168121418.db2.gz OMJLTNBDFDIGMH-JTQLQIEISA-N 0 3 208.330 2.732 20 0 BFADHN CC1=CC[C@H](N[C@H]2CCCc3c[nH]nc32)CC1 ZINC000285314848 168121595 /nfs/dbraw/zinc/12/15/95/168121595.db2.gz SUGXPJDFDWLNFR-STQMWFEESA-N 0 3 231.343 2.876 20 0 BFADHN CC1=C[C@@H](C)CN(Cc2cnn(C(C)C)c2)C1 ZINC000336316346 168123569 /nfs/dbraw/zinc/12/35/69/168123569.db2.gz QXLAZXCBTJBYPJ-GFCCVEGCSA-N 0 3 233.359 2.862 20 0 BFADHN Cc1cncc(CN2CC(C)=C[C@H](C)C2)c1 ZINC000336600012 168127720 /nfs/dbraw/zinc/12/77/20/168127720.db2.gz YXRPVOFXDUYURE-LBPRGKRZSA-N 0 3 216.328 2.788 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN(C)Cc2cnccn2)C1 ZINC000290486283 168128052 /nfs/dbraw/zinc/12/80/52/168128052.db2.gz RIPPRHHQUHITSV-GXTWGEPZSA-N 0 3 245.370 2.901 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000356124574 168130642 /nfs/dbraw/zinc/13/06/42/168130642.db2.gz JMIJKWPWOYCYSY-CYUUQNCZSA-N 0 3 235.371 2.842 20 0 BFADHN c1ccc2c(CCN3C4CCC3CC4)noc2c1 ZINC000653491132 411381914 /nfs/dbraw/zinc/38/19/14/411381914.db2.gz LZJKJEJTXKSGOW-UHFFFAOYSA-N 0 3 242.322 2.997 20 0 BFADHN CC1CC(N[C@H]2COc3ccc(F)cc32)C1 ZINC000309443359 168147816 /nfs/dbraw/zinc/14/78/16/168147816.db2.gz KQICANDMYNDQGP-VCJLRHCSSA-N 0 3 221.275 2.647 20 0 BFADHN CC1CCC(C)(NCc2cocn2)CC1 ZINC000309157931 168151635 /nfs/dbraw/zinc/15/16/35/168151635.db2.gz XWBDOKYEIIKOHO-UHFFFAOYSA-N 0 3 208.305 2.733 20 0 BFADHN CC1CCC(C)(NCc2ccc(CO)o2)CC1 ZINC000174375124 168152247 /nfs/dbraw/zinc/15/22/47/168152247.db2.gz INTXKKNNTZMHHM-UHFFFAOYSA-N 0 3 237.343 2.830 20 0 BFADHN CC1CCC(CN2C[C@H]3CC[C@@H](C2)O3)CC1 ZINC000353692327 168153214 /nfs/dbraw/zinc/15/32/14/168153214.db2.gz VKOQVFMRFLGBSG-LLZFXZEUSA-N 0 3 223.360 2.676 20 0 BFADHN CC1CCC(CNCc2cocn2)CC1 ZINC000179187916 168156019 /nfs/dbraw/zinc/15/60/19/168156019.db2.gz QMASOXVVVCJWIB-UHFFFAOYSA-N 0 3 208.305 2.591 20 0 BFADHN CC1CCC(NCc2nccn2C(F)F)CC1 ZINC000036804925 168164661 /nfs/dbraw/zinc/16/46/61/168164661.db2.gz ASHCSPOSWHGSHI-UHFFFAOYSA-N 0 3 243.301 2.947 20 0 BFADHN CC1CCN(C[C@H](O)c2ccccc2F)CC1 ZINC000033466102 168182401 /nfs/dbraw/zinc/18/24/01/168182401.db2.gz CLMMEIQLWSABRU-AWEZNQCLSA-N 0 3 237.318 2.591 20 0 BFADHN CC1CCN(CCOc2ccccc2F)CC1 ZINC000041278728 168183591 /nfs/dbraw/zinc/18/35/91/168183591.db2.gz YSAKLNDOLAUZSM-UHFFFAOYSA-N 0 3 237.318 2.936 20 0 BFADHN CC1CN(Cc2c[nH]nc2-c2ccccc2)C1 ZINC000336451185 168190214 /nfs/dbraw/zinc/19/02/14/168190214.db2.gz IAWKLRNVMHMUFU-UHFFFAOYSA-N 0 3 227.311 2.528 20 0 BFADHN CCC(=O)CCN(C)CCOc1ccc(C)cc1 ZINC000190175260 168200392 /nfs/dbraw/zinc/20/03/92/168200392.db2.gz DGTYDGWUNPROQY-UHFFFAOYSA-N 0 3 249.354 2.675 20 0 BFADHN CCC(=O)CCN1CCc2cccc(C)c2C1 ZINC000277057069 168203633 /nfs/dbraw/zinc/20/36/33/168203633.db2.gz CWXGLPUJZIKJCZ-UHFFFAOYSA-N 0 3 231.339 2.722 20 0 BFADHN CCC(=O)CCN1CCC[C@H]1c1ccc[nH]1 ZINC000276869263 168203711 /nfs/dbraw/zinc/20/37/11/168203711.db2.gz LIXRGIONBLFVIV-ZDUSSCGKSA-N 0 3 220.316 2.521 20 0 BFADHN CCC(=O)N(CC)Cc1ccc(CN(C)C)cc1 ZINC000066726766 168212510 /nfs/dbraw/zinc/21/25/10/168212510.db2.gz ZNMUOOLSDSAFKX-UHFFFAOYSA-N 0 3 248.370 2.507 20 0 BFADHN CC[C@H]1CN(CCC=C(C)C)C[C@@H](C)O1 ZINC000659919030 411382794 /nfs/dbraw/zinc/38/27/94/411382794.db2.gz NXOORIFKQRAHTA-OLZOCXBDSA-N 0 3 211.349 2.842 20 0 BFADHN CCn1cc(CN(C)CCC=C(C)C)cn1 ZINC000659929625 411385209 /nfs/dbraw/zinc/38/52/09/411385209.db2.gz UCSSJAFRVRDFRX-UHFFFAOYSA-N 0 3 221.348 2.691 20 0 BFADHN Cc1nc(CN2CCCC[C@H]2C(C)C)co1 ZINC000659935785 411386422 /nfs/dbraw/zinc/38/64/22/411386422.db2.gz NATWFKHGZIRUAK-ZDUSSCGKSA-N 0 3 222.332 2.994 20 0 BFADHN CCC(C)(C)CNCc1cnc([C@H](C)O)s1 ZINC000289717324 168282223 /nfs/dbraw/zinc/28/22/23/168282223.db2.gz OGEKJPSWTVPOFU-VIFPVBQESA-N 0 3 242.388 2.722 20 0 BFADHN CCC(C)(C)N(C)Cc1cc(C(=O)OC)co1 ZINC000358452839 168286226 /nfs/dbraw/zinc/28/62/26/168286226.db2.gz VMYWVAWYJMENJB-UHFFFAOYSA-N 0 3 239.315 2.687 20 0 BFADHN CCC(C)(C)N(C)Cc1cnn(CC(F)F)c1 ZINC000295254579 168288570 /nfs/dbraw/zinc/28/85/70/168288570.db2.gz GHNAJWMJWSBLJM-UHFFFAOYSA-N 0 3 245.317 2.769 20 0 BFADHN CC[C@@H]1C[C@@H](NCc2cc(C)ccc2OC)CO1 ZINC000657298547 411455619 /nfs/dbraw/zinc/45/56/19/411455619.db2.gz SFOQMOWZRUUGOS-ZIAGYGMSSA-N 0 3 249.354 2.661 20 0 BFADHN CC(C)n1ccnc1CNCCC(C)(C)C ZINC000657301222 411455775 /nfs/dbraw/zinc/45/57/75/411455775.db2.gz WDYPTSDMIHDGQG-UHFFFAOYSA-N 0 3 223.364 2.990 20 0 BFADHN CCC(C)(CC)NC(=O)c1ccc2c(c1)CNC2 ZINC000221304563 168309372 /nfs/dbraw/zinc/30/93/72/168309372.db2.gz XCZAAMQHEYFOBO-UHFFFAOYSA-N 0 3 246.354 2.598 20 0 BFADHN c1c(CNCCC2CCCC2)nnn1C1CCC1 ZINC000657299024 411456017 /nfs/dbraw/zinc/45/60/17/411456017.db2.gz RQRBRMPBGXNZNC-UHFFFAOYSA-N 0 3 248.374 2.673 20 0 BFADHN CC[C@H]1CCCC[C@H]1NCc1nc(C)c[nH]1 ZINC000657305297 411456872 /nfs/dbraw/zinc/45/68/72/411456872.db2.gz MQWWZLFXAVZLHN-NWDGAFQWSA-N 0 3 221.348 2.777 20 0 BFADHN CC/C=C/CCN1CC=C(c2ccnn2C)CC1 ZINC000285777599 411457016 /nfs/dbraw/zinc/45/70/16/411457016.db2.gz YLYUEZMRJXNXRE-SNAWJCMRSA-N 0 3 245.370 2.866 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H]2CCCc3occc32)CO1 ZINC000657306931 411458320 /nfs/dbraw/zinc/45/83/20/411458320.db2.gz CRGNHVPZCJRJLN-DMDPSCGWSA-N 0 3 235.327 2.814 20 0 BFADHN CCc1nocc1CN1CCC[C@H](C)[C@H]1C ZINC000659950477 411392985 /nfs/dbraw/zinc/39/29/85/411392985.db2.gz NZLNIXLNXVKSSS-WDEREUQCSA-N 0 3 222.332 2.857 20 0 BFADHN CC[C@@H]1CCCC[C@H]1NCc1cc(OC)no1 ZINC000660306405 411399353 /nfs/dbraw/zinc/39/93/53/411399353.db2.gz PMURXLYZFKZJGO-ZYHUDNBSSA-N 0 3 238.331 2.742 20 0 BFADHN CCc1nc([C@H](C)N2CCCC[C@@H](C)C2)n[nH]1 ZINC000660309401 411403328 /nfs/dbraw/zinc/40/33/28/411403328.db2.gz GCIQDDBMEJKAQP-MNOVXSKESA-N 0 3 236.363 2.550 20 0 BFADHN CCc1nnc([C@H](C)[N@@H+]2CCCC[C@@H](C)C2)[n-]1 ZINC000660309401 411403332 /nfs/dbraw/zinc/40/33/32/411403332.db2.gz GCIQDDBMEJKAQP-MNOVXSKESA-N 0 3 236.363 2.550 20 0 BFADHN CCc1nnc([C@H](C)[N@H+]2CCCC[C@@H](C)C2)[n-]1 ZINC000660309401 411403333 /nfs/dbraw/zinc/40/33/33/411403333.db2.gz GCIQDDBMEJKAQP-MNOVXSKESA-N 0 3 236.363 2.550 20 0 BFADHN C[C@@H]1CCCN1Cc1cnc2ccccc2n1 ZINC000659969667 411403843 /nfs/dbraw/zinc/40/38/43/411403843.db2.gz FUYIGSZWVAIZIG-LLVKDONJSA-N 0 3 227.311 2.614 20 0 BFADHN CCOCCNCc1cc2ccc(C)cc2[nH]1 ZINC000657314669 411461741 /nfs/dbraw/zinc/46/17/41/411461741.db2.gz ZIPPDQACJFAYFQ-UHFFFAOYSA-N 0 3 232.327 2.602 20 0 BFADHN CCCCN(C)Cc1cnc2ccccc2n1 ZINC000659814245 411461835 /nfs/dbraw/zinc/46/18/35/411461835.db2.gz OYNOYWVQNUVMID-UHFFFAOYSA-N 0 3 229.327 2.862 20 0 BFADHN CO[C@@H](CN(C)Cc1ccco1)C1CCC1 ZINC000659815295 411462013 /nfs/dbraw/zinc/46/20/13/411462013.db2.gz BYOTUTPNBPNUSC-ZDUSSCGKSA-N 0 3 223.316 2.527 20 0 BFADHN CC[C@@H](NCc1ccoc1C)[C@@H]1CCCO1 ZINC000414371023 411412839 /nfs/dbraw/zinc/41/28/39/411412839.db2.gz XSIWOEXFKOLNCS-OLZOCXBDSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1ccc(CN2CCC[C@@H]3COC[C@@H]32)cc1 ZINC000657208843 411418744 /nfs/dbraw/zinc/41/87/44/411418744.db2.gz FCRHFONGWNFVPU-CABCVRRESA-N 0 3 231.339 2.606 20 0 BFADHN CCCCN(CC)[C@H](C)c1n[nH]c(CC)n1 ZINC000659816838 411462355 /nfs/dbraw/zinc/46/23/55/411462355.db2.gz VNNDGRFPJLTIJV-SNVBAGLBSA-N 0 3 224.352 2.550 20 0 BFADHN CC[C@@H]1C[C@H](N[C@H](C)c2cccc(OC)c2)CO1 ZINC000657318191 411462414 /nfs/dbraw/zinc/46/24/14/411462414.db2.gz MLTSUNQLBYBYHH-KWCYVHTRSA-N 0 3 249.354 2.913 20 0 BFADHN C[C@@H](NC[C@@H](C)C(C)(C)C)c1ccn(C)n1 ZINC000657227257 411421325 /nfs/dbraw/zinc/42/13/25/411421325.db2.gz FRNQIHLTNIQQPB-GHMZBOCLSA-N 0 3 223.364 2.753 20 0 BFADHN CC(C)(CCN1CCc2n[nH]cc2C1)C1CC1 ZINC000653592996 411426860 /nfs/dbraw/zinc/42/68/60/411426860.db2.gz BCLFWWMALYDTJA-UHFFFAOYSA-N 0 3 233.359 2.594 20 0 BFADHN Cc1cc(CN2CCC[C@H](C)[C@H]2CO)cs1 ZINC000653587597 411427858 /nfs/dbraw/zinc/42/78/58/411427858.db2.gz JTYYIWNOWWDGSF-GXFFZTMASA-N 0 3 239.384 2.649 20 0 BFADHN CC[C@H]1CC[C@H](C)N1Cc1nccs1 ZINC000271589930 411431664 /nfs/dbraw/zinc/43/16/64/411431664.db2.gz SXHOPKYBMHJWRB-UWVGGRQHSA-N 0 3 210.346 2.906 20 0 BFADHN CN(Cc1ccsc1)[C@H]1COC(C)(C)C1 ZINC000659820631 411463057 /nfs/dbraw/zinc/46/30/57/411463057.db2.gz ISCXCZYQWOJINE-LLVKDONJSA-N 0 3 225.357 2.747 20 0 BFADHN COc1ccc(CN2[C@H](C)CCC2(C)C)cn1 ZINC000452005006 411438054 /nfs/dbraw/zinc/43/80/54/411438054.db2.gz ITXZWETXCOXIHK-LLVKDONJSA-N 0 3 234.343 2.853 20 0 BFADHN CCC[C@@H](C)NCc1cc(C(F)(F)F)nn1C ZINC000657284562 411442950 /nfs/dbraw/zinc/44/29/50/411442950.db2.gz QDHBZVUGSZFANZ-MRVPVSSYSA-N 0 3 249.280 2.717 20 0 BFADHN C[C@H]1CCCC[C@H]1NCc1cn(C2CCC2)nn1 ZINC000657285675 411444099 /nfs/dbraw/zinc/44/40/99/411444099.db2.gz MNKBQBOGZRLWSB-SMDDNHRTSA-N 0 3 248.374 2.671 20 0 BFADHN CC[C@H]1C[C@@H](NCc2cccc(Cl)c2)CO1 ZINC000657285285 411444722 /nfs/dbraw/zinc/44/47/22/411444722.db2.gz BDMNMBFKWDLDOP-OLZOCXBDSA-N 0 3 239.746 2.997 20 0 BFADHN Cc1cncc(CN[C@H]2CC[C@H](C)SC2)c1 ZINC000655738589 411444793 /nfs/dbraw/zinc/44/47/93/411444793.db2.gz YIDQTSFCVXPLPY-AAEUAGOBSA-N 0 3 236.384 2.764 20 0 BFADHN CO[C@@H](CN(C)Cc1cccs1)C1CCC1 ZINC000659825306 411463736 /nfs/dbraw/zinc/46/37/36/411463736.db2.gz AORDQYSNSCYCBA-ZDUSSCGKSA-N 0 3 239.384 2.995 20 0 BFADHN CCCCCNCc1ccnn1CC1CC1 ZINC000657287496 411447058 /nfs/dbraw/zinc/44/70/58/411447058.db2.gz ONCBMFXNAIZYHL-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN C[C@@H](N[C@@H]1CC1(C)C)c1cn2ccccc2n1 ZINC000655742670 411447446 /nfs/dbraw/zinc/44/74/46/411447446.db2.gz VLURPZBMPPYZFL-ZYHUDNBSSA-N 0 3 229.327 2.783 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@@H]1CCC(F)(F)C1 ZINC000655745677 411447555 /nfs/dbraw/zinc/44/75/55/411447555.db2.gz BSKPOSDTTQTHRP-VHSXEESVSA-N 0 3 241.285 2.623 20 0 BFADHN CC[C@@H]1C[C@H](NCCOc2ccc(C)cc2)CO1 ZINC000657288895 411449962 /nfs/dbraw/zinc/44/99/62/411449962.db2.gz LHOJJOYCOOWACQ-UONOGXRCSA-N 0 3 249.354 2.531 20 0 BFADHN C[C@H](NCc1cnc2n1CCC2)c1ccccc1 ZINC000657289373 411450164 /nfs/dbraw/zinc/45/01/64/411450164.db2.gz GGXZWROHAMMYBQ-LBPRGKRZSA-N 0 3 241.338 2.680 20 0 BFADHN CC[C@@H]1C[C@H](N[C@@H](C)c2ccccc2OC)CO1 ZINC000657288749 411450802 /nfs/dbraw/zinc/45/08/02/411450802.db2.gz FBVVHUIITWEHEH-RWMBFGLXSA-N 0 3 249.354 2.913 20 0 BFADHN Cc1ccc2cc(CNC[C@H]3CCCO3)[nH]c2c1 ZINC000657291167 411451575 /nfs/dbraw/zinc/45/15/75/411451575.db2.gz UWVNDSMCDGZPDZ-CQSZACIVSA-N 0 3 244.338 2.745 20 0 BFADHN CC[C@H]1C[C@H](NCc2ccc(Cl)cc2)CO1 ZINC000657291042 411451586 /nfs/dbraw/zinc/45/15/86/411451586.db2.gz RINPUOWRUBCFHB-STQMWFEESA-N 0 3 239.746 2.997 20 0 BFADHN Cc1cccc2[nH]c(CNC[C@@H]3CCCO3)cc21 ZINC000657291495 411451747 /nfs/dbraw/zinc/45/17/47/411451747.db2.gz VQIGATZURLKQKH-ZDUSSCGKSA-N 0 3 244.338 2.745 20 0 BFADHN COC[C@@H](C)Oc1ccc(CN2CCCC2)cc1 ZINC000657295188 411454496 /nfs/dbraw/zinc/45/44/96/411454496.db2.gz KHORJVGCNZARQW-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN CCc1cccc(CN2CCC[C@@H]3COC[C@@H]32)c1 ZINC000657295029 411454685 /nfs/dbraw/zinc/45/46/85/411454685.db2.gz UERZQZMLXFKBAQ-CVEARBPZSA-N 0 3 245.366 2.860 20 0 BFADHN Cc1ccc(CN(C)[C@H]2COC(C)(C)C2)cc1 ZINC000659828086 411466954 /nfs/dbraw/zinc/46/69/54/411466954.db2.gz WXCMJUHEBGGHAM-CQSZACIVSA-N 0 3 233.355 2.994 20 0 BFADHN CCc1cccc2cc(CNC[C@@H](C)CO)oc21 ZINC000657328563 411467098 /nfs/dbraw/zinc/46/70/98/411467098.db2.gz ZXCONWCRXSMTQD-LLVKDONJSA-N 0 3 247.338 2.713 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1cn(C2CCC2)nn1 ZINC000657328818 411467127 /nfs/dbraw/zinc/46/71/27/411467127.db2.gz FBSWSYCYNZFTHH-GHMZBOCLSA-N 0 3 236.363 2.527 20 0 BFADHN C[C@H]1CCC[C@H](CNCc2cc3n(n2)CCC3)C1 ZINC000657328520 411467323 /nfs/dbraw/zinc/46/73/23/411467323.db2.gz YHJQVKWPADWMCF-STQMWFEESA-N 0 3 247.386 2.745 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cn(C2CCC2)nn1 ZINC000657328820 411467743 /nfs/dbraw/zinc/46/77/43/411467743.db2.gz FBSWSYCYNZFTHH-QWRGUYRKSA-N 0 3 236.363 2.527 20 0 BFADHN CC[C@H]1C[C@@H](NCc2sccc2C)CO1 ZINC000657331479 411468268 /nfs/dbraw/zinc/46/82/68/411468268.db2.gz DODKPBDMHGVEJG-MNOVXSKESA-N 0 3 225.357 2.714 20 0 BFADHN Cc1c[nH]c(CN[C@@]2(C)CCCC[C@H]2C)n1 ZINC000657489294 411532640 /nfs/dbraw/zinc/53/26/40/411532640.db2.gz YIHWOBRDJUTEBP-MFKMUULPSA-N 0 3 221.348 2.777 20 0 BFADHN CC(C)=CCCN1CCN(C2CCCC2)CC1 ZINC000659835939 411469065 /nfs/dbraw/zinc/46/90/65/411469065.db2.gz JWQLVPGKYUOQQC-UHFFFAOYSA-N 0 3 236.403 2.903 20 0 BFADHN CC[C@H]1CCN1Cc1ccc2c[nH]nc2c1 ZINC000292707478 411470781 /nfs/dbraw/zinc/47/07/81/411470781.db2.gz CZDWIKYGWIKHKD-LBPRGKRZSA-N 0 3 215.300 2.547 20 0 BFADHN CC[C@@H]1C[C@@H](N[C@@H]2CCc3ccc(F)cc32)CO1 ZINC000657353916 411474084 /nfs/dbraw/zinc/47/40/84/411474084.db2.gz LBPQKRVXKRXWOJ-UMVBOHGHSA-N 0 3 249.329 2.970 20 0 BFADHN CCC(CC)CNCc1nccn1C(C)C ZINC000657354577 411474209 /nfs/dbraw/zinc/47/42/09/411474209.db2.gz SNVZGIYPGYXQJS-UHFFFAOYSA-N 0 3 223.364 2.990 20 0 BFADHN Cc1cccc2[nH]c(CN[C@@H]3CCCOC3)cc21 ZINC000657353642 411474396 /nfs/dbraw/zinc/47/43/96/411474396.db2.gz SSUCMPLTZRCYFJ-GFCCVEGCSA-N 0 3 244.338 2.745 20 0 BFADHN Cc1c[nH]c(CNCC(C)(C)c2cccs2)n1 ZINC000657361327 411477806 /nfs/dbraw/zinc/47/78/06/411477806.db2.gz LIXXMRHJBVLYPF-UHFFFAOYSA-N 0 3 249.383 2.847 20 0 BFADHN C[C@H]1CN(CCC2CCC2)CC(C)(C)O1 ZINC000659855485 411478786 /nfs/dbraw/zinc/47/87/86/411478786.db2.gz FSMYQILEGKBWFV-NSHDSACASA-N 0 3 211.349 2.676 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2CCc3ccccc32)n1 ZINC000657358416 411479060 /nfs/dbraw/zinc/47/90/60/411479060.db2.gz WFMVZZWKNHUDHX-CYBMUJFWSA-N 0 3 241.338 2.538 20 0 BFADHN CC(C)(C)c1cccc(CN2CCC[C@@H]2CO)c1 ZINC000659857227 411479503 /nfs/dbraw/zinc/47/95/03/411479503.db2.gz LVWLNJCULHTMSP-OAHLLOKOSA-N 0 3 247.382 2.941 20 0 BFADHN CCc1cccc2cc(CN[C@H](C)CCO)oc21 ZINC000657368071 411480212 /nfs/dbraw/zinc/48/02/12/411480212.db2.gz NARGCHHXRVLWPC-LLVKDONJSA-N 0 3 247.338 2.856 20 0 BFADHN CCc1ccc([C@H](C)NCCC(C)(C)CO)o1 ZINC000296382285 411480577 /nfs/dbraw/zinc/48/05/77/411480577.db2.gz JQVDSHYQFMVBGM-NSHDSACASA-N 0 3 239.359 2.901 20 0 BFADHN CCC(C)(CC)NCc1cn(C2CCC2)nn1 ZINC000657365892 411481122 /nfs/dbraw/zinc/48/11/22/411481122.db2.gz KHTXCRIIWLLYTQ-UHFFFAOYSA-N 0 3 236.363 2.671 20 0 BFADHN Cc1c[nH]c(CNC[C@H](C)c2ccc(C)cc2)n1 ZINC000657369138 411484027 /nfs/dbraw/zinc/48/40/27/411484027.db2.gz XGQMZPSFWKZINK-LBPRGKRZSA-N 0 3 243.354 2.920 20 0 BFADHN Cc1c[nH]c(CN[C@H]2C[C@H](C)C[C@H](C)C2)n1 ZINC000657372668 411486424 /nfs/dbraw/zinc/48/64/24/411486424.db2.gz WHNJMEWATCFJTB-IAZYJMLFSA-N 0 3 221.348 2.632 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2C2CCC2)co1 ZINC000659875285 411487613 /nfs/dbraw/zinc/48/76/13/411487613.db2.gz LRMRQGFEIDTXJR-CYBMUJFWSA-N 0 3 220.316 2.748 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)[C@@H](C)c2ccccc2)n1 ZINC000657385763 411488892 /nfs/dbraw/zinc/48/88/92/411488892.db2.gz ZFCDYIBYIWRJHO-CHWSQXEVSA-N 0 3 243.354 3.000 20 0 BFADHN CC(C)C1(CNCc2cn(C3CCC3)nn2)CC1 ZINC000657392528 411492834 /nfs/dbraw/zinc/49/28/34/411492834.db2.gz YBBKVLVWRWLAIH-UHFFFAOYSA-N 0 3 248.374 2.529 20 0 BFADHN CCCC1(CNCc2cc3n(n2)CCC3)CCC1 ZINC000657395107 411493617 /nfs/dbraw/zinc/49/36/17/411493617.db2.gz ZUPBTLXXWWGONP-UHFFFAOYSA-N 0 3 247.386 2.889 20 0 BFADHN Cc1c[nH]c(CNCC2(C)CCCCC2)n1 ZINC000657394847 411494013 /nfs/dbraw/zinc/49/40/13/411494013.db2.gz OGAMICMHDWBXBS-UHFFFAOYSA-N 0 3 221.348 2.778 20 0 BFADHN CC[C@H]1C[C@@H](NCc2ccc(C)cc2OC)CO1 ZINC000657395281 411494067 /nfs/dbraw/zinc/49/40/67/411494067.db2.gz TWHYVIIIUBTYNG-KGLIPLIRSA-N 0 3 249.354 2.661 20 0 BFADHN Cc1cc(CCNC/C=C\c2ccccc2)on1 ZINC000353097271 411494977 /nfs/dbraw/zinc/49/49/77/411494977.db2.gz OORDYZWAGQDZFH-YVMONPNESA-N 0 3 242.322 2.829 20 0 BFADHN Cc1nc(CNc2cc(C)cc(C)n2)[nH]c1C ZINC000657412967 411497260 /nfs/dbraw/zinc/49/72/60/411497260.db2.gz DZCVBEYLHMUNDJ-UHFFFAOYSA-N 0 3 230.315 2.650 20 0 BFADHN COc1cc(CN(C(C)C)C2CCC2)sn1 ZINC000660079290 411534048 /nfs/dbraw/zinc/53/40/48/411534048.db2.gz GCXWJDCHBJFKPC-UHFFFAOYSA-N 0 3 240.372 2.915 20 0 BFADHN CCCn1nccc1CNc1cc(C)cc(C)n1 ZINC000657414067 411499127 /nfs/dbraw/zinc/49/91/27/411499127.db2.gz UIIWANBDZIEEKE-UHFFFAOYSA-N 0 3 244.342 2.917 20 0 BFADHN CCC(CC)(CC)NCc1cc(OC)no1 ZINC000660080782 411534164 /nfs/dbraw/zinc/53/41/64/411534164.db2.gz FKNCMIQPAMYGNF-UHFFFAOYSA-N 0 3 226.320 2.742 20 0 BFADHN CCCN(C)C[C@@H]1CN(CC)c2ccccc2O1 ZINC000060976766 411504449 /nfs/dbraw/zinc/50/44/49/411504449.db2.gz BTKMHSWCEOBMTQ-CYBMUJFWSA-N 0 3 248.370 2.616 20 0 BFADHN CCc1cnccc1[C@H](C)N[C@@H]1CO[C@H](CC)C1 ZINC000657425759 411504621 /nfs/dbraw/zinc/50/46/21/411504621.db2.gz ONSIQUAXXBLKTC-FPMFFAJLSA-N 0 3 248.370 2.862 20 0 BFADHN CC1(C)CC[C@H](NCc2cn(C3CCC3)nn2)C1 ZINC000657423678 411504927 /nfs/dbraw/zinc/50/49/27/411504927.db2.gz LIPJKZMCKTUGOP-NSHDSACASA-N 0 3 248.374 2.671 20 0 BFADHN Cc1nc(CN2C[C@@H](C)C[C@H]2C)c(C)o1 ZINC000659949358 411508608 /nfs/dbraw/zinc/50/86/08/411508608.db2.gz UGUQYJXRDQNDJS-DTWKUNHWSA-N 0 3 208.305 2.522 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CC[C@H](C)[C@@H](C)C2)n1 ZINC000657436569 411509313 /nfs/dbraw/zinc/50/93/13/411509313.db2.gz WDIGMSDEGMFRNP-JBLDHEPKSA-N 0 3 221.348 2.632 20 0 BFADHN c1cc(CN[C@H]2CC23CCCC3)n(CC2CC2)n1 ZINC000657449486 411511278 /nfs/dbraw/zinc/51/12/78/411511278.db2.gz ZJXBMCHRTJPFGT-AWEZNQCLSA-N 0 3 245.370 2.715 20 0 BFADHN Cc1c[nH]c(CN[C@@H]2CCC[C@H](C)CC2)n1 ZINC000657441742 411511498 /nfs/dbraw/zinc/51/14/98/411511498.db2.gz HBOLCQOZGJUZNP-CMPLNLGQSA-N 0 3 221.348 2.777 20 0 BFADHN CSc1cccc(CN(C)CC[C@H](C)O)c1 ZINC000659957484 411512577 /nfs/dbraw/zinc/51/25/77/411512577.db2.gz TWLMLKSNCUZSDP-NSHDSACASA-N 0 3 239.384 2.611 20 0 BFADHN CC(C)=CCCNCc1ccnn1CC1CC1 ZINC000657446349 411513543 /nfs/dbraw/zinc/51/35/43/411513543.db2.gz DOENRPVZWQOCST-UHFFFAOYSA-N 0 3 233.359 2.739 20 0 BFADHN CC(C)=CCCN1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000660443652 411515341 /nfs/dbraw/zinc/51/53/41/411515341.db2.gz JXXHITQJXWKMPG-TZMCWYRMSA-N 0 3 223.360 2.842 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1CCC[C@@H]1C ZINC000657454966 411515624 /nfs/dbraw/zinc/51/56/24/411515624.db2.gz CYJWLARDFOXMBC-STQMWFEESA-N 0 3 235.375 2.990 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1nc(C)oc1C ZINC000659975701 411516221 /nfs/dbraw/zinc/51/62/21/411516221.db2.gz ZKWDJLLDHASJTL-JOYOIKCWSA-N 0 3 222.332 2.912 20 0 BFADHN Cn1ncc(C2CC2)c1CN[C@@H]1CCC1(C)C ZINC000657465169 411518805 /nfs/dbraw/zinc/51/88/05/411518805.db2.gz HNOVTAYJTHEAFG-CYBMUJFWSA-N 0 3 233.359 2.576 20 0 BFADHN C[C@@H]1CCN(Cc2cnc3ccccc3n2)[C@@H]1C ZINC000659984858 411518966 /nfs/dbraw/zinc/51/89/66/411518966.db2.gz WNAVWOURSFMRNW-VXGBXAGGSA-N 0 3 241.338 2.860 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1c(C2CCC2)cnn1C ZINC000657466548 411519732 /nfs/dbraw/zinc/51/97/32/411519732.db2.gz ZILZJHPTNSJWCN-ZWNOBZJWSA-N 0 3 233.359 2.576 20 0 BFADHN CCc1nc([C@@H](C)N(CC)C[C@H](C)CC)n[nH]1 ZINC000659984228 411519888 /nfs/dbraw/zinc/51/98/88/411519888.db2.gz FZGHTUXRKFMDHT-GHMZBOCLSA-N 0 3 238.379 2.796 20 0 BFADHN CCc1nnc([C@@H](C)[N@@H+](CC)C[C@H](C)CC)[n-]1 ZINC000659984228 411519890 /nfs/dbraw/zinc/51/98/90/411519890.db2.gz FZGHTUXRKFMDHT-GHMZBOCLSA-N 0 3 238.379 2.796 20 0 BFADHN CCc1nnc([C@@H](C)[N@H+](CC)C[C@H](C)CC)[n-]1 ZINC000659984228 411519891 /nfs/dbraw/zinc/51/98/91/411519891.db2.gz FZGHTUXRKFMDHT-GHMZBOCLSA-N 0 3 238.379 2.796 20 0 BFADHN Cc1cccc2[nH]c(CN[C@@H](C)CF)cc21 ZINC000657466977 411519906 /nfs/dbraw/zinc/51/99/06/411519906.db2.gz UMRCVUYVOHKURB-JTQLQIEISA-N 0 3 220.291 2.924 20 0 BFADHN CC(C)n1ccnc1CNC[C@H]1CC[C@@H](C)C1 ZINC000657457618 411521031 /nfs/dbraw/zinc/52/10/31/411521031.db2.gz OJRCBBNFYFCPGK-OLZOCXBDSA-N 0 3 235.375 2.990 20 0 BFADHN COc1c(C)cccc1CN[C@@H]1CCOC1(C)C ZINC000655810010 411522507 /nfs/dbraw/zinc/52/25/07/411522507.db2.gz APLUFMUZTWAQCK-CYBMUJFWSA-N 0 3 249.354 2.661 20 0 BFADHN CC1(NCc2cccc3c2OCCO3)CC=CC1 ZINC000655814592 411523971 /nfs/dbraw/zinc/52/39/71/411523971.db2.gz NYFDTBXVSUFART-UHFFFAOYSA-N 0 3 245.322 2.656 20 0 BFADHN COc1cc(CN2CC[C@H](C(C)C)C2)sn1 ZINC000659997195 411524252 /nfs/dbraw/zinc/52/42/52/411524252.db2.gz RGWACIQJGWKZMY-JTQLQIEISA-N 0 3 240.372 2.630 20 0 BFADHN CCc1nocc1CN1[C@@H](C)CC[C@@H]1C ZINC000660000080 411525556 /nfs/dbraw/zinc/52/55/56/411525556.db2.gz MGYOPUMZTBMDBH-UWVGGRQHSA-N 0 3 208.305 2.610 20 0 BFADHN CC[C@@H]1CCC[C@H]1CNCc1nc(C)c[nH]1 ZINC000657478019 411525583 /nfs/dbraw/zinc/52/55/83/411525583.db2.gz CAMQPRMZIUCWAY-NEPJUHHUSA-N 0 3 221.348 2.634 20 0 BFADHN CCC[C@@H]1CCCN(CCO[C@H]2CC2(F)F)C1 ZINC000660000104 411525631 /nfs/dbraw/zinc/52/56/31/411525631.db2.gz NCLULQLBTWUHAT-NEPJUHHUSA-N 0 3 247.329 2.923 20 0 BFADHN Cn1ncc(C2CC2)c1CNCC1=CCCCC1 ZINC000657478053 411525766 /nfs/dbraw/zinc/52/57/66/411525766.db2.gz WWCPSPKAECCUSN-UHFFFAOYSA-N 0 3 245.370 2.888 20 0 BFADHN COCC1(CN[C@@H](C)c2ccccc2F)CC1 ZINC000655820812 411526003 /nfs/dbraw/zinc/52/60/03/411526003.db2.gz LYZPUWZIXVCHBH-NSHDSACASA-N 0 3 237.318 2.903 20 0 BFADHN COCC1(CN[C@@H]2CCCc3occc32)CC1 ZINC000655816311 411527109 /nfs/dbraw/zinc/52/71/09/411527109.db2.gz UNCOABXSYWNFIN-GFCCVEGCSA-N 0 3 235.327 2.673 20 0 BFADHN CC/C=C\CNCc1cc(COC)cs1 ZINC000657480145 411527498 /nfs/dbraw/zinc/52/74/98/411527498.db2.gz RMKLEMITGSHFDU-PLNGDYQASA-N 0 3 225.357 2.950 20 0 BFADHN CC/C=C\CNCc1c(C2CCC2)cnn1C ZINC000657480021 411528291 /nfs/dbraw/zinc/52/82/91/411528291.db2.gz PPBLJHKMJCZBFX-PLNGDYQASA-N 0 3 233.359 2.743 20 0 BFADHN CC(C)N(Cc1cn(C)cn1)C1CCCC1 ZINC000660060801 411532224 /nfs/dbraw/zinc/53/22/24/411532224.db2.gz CMXVXJHSTRNESA-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CO[C@H](CN(C)Cc1ccccc1)C1CCC1 ZINC000659827592 411464892 /nfs/dbraw/zinc/46/48/92/411464892.db2.gz SHLNDQXANFJNSL-OAHLLOKOSA-N 0 3 233.355 2.934 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2CCC[C@H](C)C2)n1 ZINC000657328464 411466514 /nfs/dbraw/zinc/46/65/14/411466514.db2.gz WPGZUOCKIAYZDG-JQWIXIFHSA-N 0 3 221.348 2.634 20 0 BFADHN CCOc1ccc(CN[C@@H]2CO[C@H](C)C2)c(C)c1 ZINC000657501403 411538585 /nfs/dbraw/zinc/53/85/85/411538585.db2.gz XSGVNXAGVBOJPU-OCCSQVGLSA-N 0 3 249.354 2.661 20 0 BFADHN CCN1C[C@@H](C)N(Cc2ccsc2)C[C@@H]1C ZINC000353893175 170027769 /nfs/dbraw/zinc/02/77/69/170027769.db2.gz ZMIVSYUXSPJGOW-NWDGAFQWSA-N 0 3 238.400 2.663 20 0 BFADHN CCCn1nccc1CNC1CC2(CCC2)C1 ZINC000657503806 411539676 /nfs/dbraw/zinc/53/96/76/411539676.db2.gz WYTWQXQLXKHDKG-UHFFFAOYSA-N 0 3 233.359 2.715 20 0 BFADHN FC1(CNC[C@@H]2CCC3(CCCC3)O2)CC1 ZINC000655863056 411540193 /nfs/dbraw/zinc/54/01/93/411540193.db2.gz GIVBMRUIGQOQPC-NSHDSACASA-N 0 3 227.323 2.570 20 0 BFADHN CCN1C[C@H](C)N(CCSC(C)C)C[C@H]1C ZINC000338290142 170033849 /nfs/dbraw/zinc/03/38/49/170033849.db2.gz VLKIIRRKQHIIJX-OLZOCXBDSA-N 0 3 244.448 2.543 20 0 BFADHN Cn1cccc1CNC[C@H]1CCC2(CCCC2)O1 ZINC000655860868 411540273 /nfs/dbraw/zinc/54/02/73/411540273.db2.gz JHGVMAOUZHEULW-CQSZACIVSA-N 0 3 248.370 2.607 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C[C@@H](C)C2)nc(C)n1 ZINC000660120575 411540384 /nfs/dbraw/zinc/54/03/84/411540384.db2.gz DIWWISFVNWNZKP-NWDGAFQWSA-N 0 3 247.386 2.961 20 0 BFADHN Cc1occc1CNC[C@H]1CCN1C(C)(C)C ZINC000657527050 411544136 /nfs/dbraw/zinc/54/41/36/411544136.db2.gz PROYRJVBPMPXEZ-CYBMUJFWSA-N 0 3 236.359 2.550 20 0 BFADHN C[C@H](N[C@@H]1CCCN2CCCC[C@@H]12)c1ccoc1 ZINC000655849331 411535877 /nfs/dbraw/zinc/53/58/77/411535877.db2.gz UINICABDGMDWDJ-CFVMTHIKSA-N 0 3 248.370 2.947 20 0 BFADHN CCNC(=O)[C@H](C)N[C@H](CC)c1ccc(C)cc1 ZINC000035138549 170095827 /nfs/dbraw/zinc/09/58/27/170095827.db2.gz CELSSGMQDCUSLG-GXTWGEPZSA-N 0 3 248.370 2.560 20 0 BFADHN Cc1ccc2cc(CN[C@H]3CO[C@@H](C)C3)[nH]c2c1 ZINC000657500215 411537132 /nfs/dbraw/zinc/53/71/32/411537132.db2.gz LRJIBCMPDIROSC-SMDDNHRTSA-N 0 3 244.338 2.743 20 0 BFADHN CCc1cccc(CN[C@@H]2CO[C@@H](CC)C2)c1 ZINC000657502138 411537518 /nfs/dbraw/zinc/53/75/18/411537518.db2.gz FSSHRXYUBIWSPV-GJZGRUSLSA-N 0 3 233.355 2.906 20 0 BFADHN CC[C@@H](NCc1cncs1)[C@@H]1CCCCO1 ZINC000655855433 411537919 /nfs/dbraw/zinc/53/79/19/411537919.db2.gz DNPUVZCPKDCIGK-NEPJUHHUSA-N 0 3 240.372 2.580 20 0 BFADHN C[C@@H]1C[C@H](NCc2cc3ccncc3s2)CO1 ZINC000657501316 411538154 /nfs/dbraw/zinc/53/81/54/411538154.db2.gz XBCVSJWWPRCHMK-KOLCDFICSA-N 0 3 248.351 2.563 20 0 BFADHN OC[C@@H](NCc1sccc1Cl)C1CCC1 ZINC000657559749 411550658 /nfs/dbraw/zinc/55/06/58/411550658.db2.gz PXMANXIVDSOINC-SNVBAGLBSA-N 0 3 245.775 2.652 20 0 BFADHN CC[C@@H](COCC1CC1)NCc1cccc(O)c1 ZINC000657560271 411551732 /nfs/dbraw/zinc/55/17/32/411551732.db2.gz FPDOIOQRLNAMHM-AWEZNQCLSA-N 0 3 249.354 2.687 20 0 BFADHN COc1cc(F)cc(CN[C@]23C[C@H]2CCC3)c1 ZINC000657550627 411551848 /nfs/dbraw/zinc/55/18/48/411551848.db2.gz DNPJGSCBSPYEKD-BXUZGUMPSA-N 0 3 235.302 2.867 20 0 BFADHN C[C@@H]1C[C@@H](NCC2CCC(C)CC2)c2ncnn21 ZINC000657568028 411553035 /nfs/dbraw/zinc/55/30/35/411553035.db2.gz BMPZYIOEZYGDKJ-POOIEITISA-N 0 3 248.374 2.700 20 0 BFADHN CCNCc1cnc(-c2ccsc2)s1 ZINC000040996640 170129700 /nfs/dbraw/zinc/12/97/00/170129700.db2.gz OKKRLPCTONPPHY-UHFFFAOYSA-N 0 3 224.354 2.981 20 0 BFADHN CCC(C)(C)[C@@H](C)NCc1nc(C)c(C)[nH]1 ZINC000657579529 411554261 /nfs/dbraw/zinc/55/42/61/411554261.db2.gz QLFRNGWWEFKWJU-LLVKDONJSA-N 0 3 223.364 2.941 20 0 BFADHN Cc1nc(CNC(C(C)C)C(C)C)co1 ZINC000660212686 411554269 /nfs/dbraw/zinc/55/42/69/411554269.db2.gz LRJLEXCYVHFEEQ-UHFFFAOYSA-N 0 3 210.321 2.753 20 0 BFADHN CCN[C@@H](C)c1cnn(C2CCCC2)c1 ZINC000054721131 170142881 /nfs/dbraw/zinc/14/28/81/170142881.db2.gz QVFVKXWWLVAYLQ-JTQLQIEISA-N 0 3 207.321 2.669 20 0 BFADHN Cc1cc(CNC[C@H]2C[C@H](C)C[C@H](C)C2)nn1C ZINC000657589136 411555474 /nfs/dbraw/zinc/55/54/74/411555474.db2.gz FYCUBQHEMUEXBP-IMRBUKKESA-N 0 3 249.402 2.890 20 0 BFADHN C[C@H]1CC(CNCc2ccn(C)n2)C[C@H](C)C1 ZINC000657589629 411555683 /nfs/dbraw/zinc/55/56/83/411555683.db2.gz NENITOGZSTWUNG-VXGBXAGGSA-N 0 3 235.375 2.582 20 0 BFADHN CCN[C@@H]1CCCOc2c(OC)cccc21 ZINC000035652556 170150674 /nfs/dbraw/zinc/15/06/74/170150674.db2.gz XJWRNTHKWVXSNO-LLVKDONJSA-N 0 3 221.300 2.518 20 0 BFADHN C[C@H]1C[C@H](CNCc2ncc[nH]2)C[C@@H](C)C1 ZINC000657590011 411555853 /nfs/dbraw/zinc/55/58/53/411555853.db2.gz UMYMALVUWQBWMG-GDNZZTSVSA-N 0 3 221.348 2.572 20 0 BFADHN CCN[C@@H]1CCSc2c(F)cccc21 ZINC000035149329 170153329 /nfs/dbraw/zinc/15/33/29/170153329.db2.gz XVEIBMQVXRQBNV-SNVBAGLBSA-N 0 3 211.305 2.972 20 0 BFADHN CCN[C@H](C)c1cnn(-c2ccccc2)c1 ZINC000035556311 170160138 /nfs/dbraw/zinc/16/01/38/170160138.db2.gz KPGVQYNXAHUFOO-LLVKDONJSA-N 0 3 215.300 2.543 20 0 BFADHN Cc1ccoc1CNC[C@H](C)c1ccccn1 ZINC000657533946 411545330 /nfs/dbraw/zinc/54/53/30/411545330.db2.gz UWOXJTWRPJELNR-LBPRGKRZSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1cnc(CNC[C@@H](C)c2ccccn2)s1 ZINC000657536347 411545893 /nfs/dbraw/zinc/54/58/93/411545893.db2.gz WDAHRAGTRXLIGF-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN CC[C@@H](Cc1ccc(F)cc1)NCc1ncc[nH]1 ZINC000657547439 411546030 /nfs/dbraw/zinc/54/60/30/411546030.db2.gz HNIILJXHADDRQM-ZDUSSCGKSA-N 0 3 247.317 2.660 20 0 BFADHN COc1ccc(CN[C@]23C[C@H]2CCC3)c(OC)c1 ZINC000657549027 411546720 /nfs/dbraw/zinc/54/67/20/411546720.db2.gz ZEAXIXBSPXZTGO-IUODEOHRSA-N 0 3 247.338 2.736 20 0 BFADHN COc1ccccc1CN[C@@]12C[C@@H]1CCC2 ZINC000657548991 411546757 /nfs/dbraw/zinc/54/67/57/411546757.db2.gz WQFTWQSFEXWOEC-JSGCOSHPSA-N 0 3 217.312 2.727 20 0 BFADHN c1c2cnccc2oc1CN[C@]12C[C@H]1CCC2 ZINC000657551358 411547928 /nfs/dbraw/zinc/54/79/28/411547928.db2.gz HEAJQRWYCHWYLJ-BXUZGUMPSA-N 0 3 228.295 2.860 20 0 BFADHN c1c(CN2CC[C@H](C3CC3)C2)onc1C1CC1 ZINC000660153027 411548080 /nfs/dbraw/zinc/54/80/80/411548080.db2.gz HIPFQUDMDXBIBT-LBPRGKRZSA-N 0 3 232.327 2.784 20 0 BFADHN CC(C)=CCCN1CCOC2(CCC2)C1 ZINC000660157666 411548715 /nfs/dbraw/zinc/54/87/15/411548715.db2.gz UBBVELJDIJJQBC-UHFFFAOYSA-N 0 3 209.333 2.598 20 0 BFADHN CCOC(=O)CCN(C)Cc1ccc(CC)cc1 ZINC000046437901 170203618 /nfs/dbraw/zinc/20/36/18/170203618.db2.gz LSLFQCXCLFCOAB-UHFFFAOYSA-N 0 3 249.354 2.634 20 0 BFADHN CCOC(=O)CCN1CC=C(C(C)(C)C)CC1 ZINC000340188972 170204205 /nfs/dbraw/zinc/20/42/05/170204205.db2.gz MJFDWVUSJWCNEG-UHFFFAOYSA-N 0 3 239.359 2.618 20 0 BFADHN COc1cc(CN[C@@H]2CCCCC[C@@H]2C)on1 ZINC000660304629 411566196 /nfs/dbraw/zinc/56/61/96/411566196.db2.gz GBLVGLVQJNAKNF-CMPLNLGQSA-N 0 3 238.331 2.742 20 0 BFADHN COc1cc(CN[C@H]2CCCCC2(C)C)on1 ZINC000660306055 411566373 /nfs/dbraw/zinc/56/63/73/411566373.db2.gz NQUDZJQCIIZCAB-NSHDSACASA-N 0 3 238.331 2.742 20 0 BFADHN Cc1cccc(CN[C@@H]2COC3(CCC3)C2)c1 ZINC000657629842 411566441 /nfs/dbraw/zinc/56/64/41/411566441.db2.gz MBAQGTXMXURELT-AWEZNQCLSA-N 0 3 231.339 2.796 20 0 BFADHN OC/C=C\CNCc1ccc(Cl)c(Cl)c1 ZINC000657592563 411556508 /nfs/dbraw/zinc/55/65/08/411556508.db2.gz WGLYBEVPSXFZCH-UPHRSURJSA-N 0 3 246.137 2.632 20 0 BFADHN OC/C=C/CNCc1ccc(Cl)c(Cl)c1 ZINC000657592562 411556617 /nfs/dbraw/zinc/55/66/17/411556617.db2.gz WGLYBEVPSXFZCH-OWOJBTEDSA-N 0 3 246.137 2.632 20 0 BFADHN CCCCCN(CCCC1CCC1)CC(N)=O ZINC000660231224 411556852 /nfs/dbraw/zinc/55/68/52/411556852.db2.gz ANVCIHOICRCDQB-UHFFFAOYSA-N 0 3 240.391 2.544 20 0 BFADHN CC[C@H](C)CCNCc1cn(C(C)(C)C)nn1 ZINC000657586484 411557240 /nfs/dbraw/zinc/55/72/40/411557240.db2.gz ITVJJJTYAZLCKN-NSHDSACASA-N 0 3 238.379 2.559 20 0 BFADHN Cc1ccncc1[C@H](C)NCc1cccc(N)c1 ZINC000660241359 411558245 /nfs/dbraw/zinc/55/82/45/411558245.db2.gz FZDKNAUMFMSXGV-LBPRGKRZSA-N 0 3 241.338 2.823 20 0 BFADHN C[C@@H](NC/C=C/CO)c1ccc(Cl)cc1 ZINC000657595236 411560684 /nfs/dbraw/zinc/56/06/84/411560684.db2.gz YVRCBGFKENXXAZ-VMZHVLLKSA-N 0 3 225.719 2.539 20 0 BFADHN C[C@@H](NCc1sccc1Cl)C1(CO)CC1 ZINC000657607180 411561071 /nfs/dbraw/zinc/56/10/71/411561071.db2.gz GMYOLVFEUJMXLZ-MRVPVSSYSA-N 0 3 245.775 2.652 20 0 BFADHN C[C@@H](NCCC1CCC1)c1nnc2ccccn21 ZINC000660258002 411561612 /nfs/dbraw/zinc/56/16/12/411561612.db2.gz XARNYKQUQGPOMX-LLVKDONJSA-N 0 3 244.342 2.570 20 0 BFADHN CCO[C@H]1C[C@H](NCc2cc(F)ccc2F)C1 ZINC000657609753 411562809 /nfs/dbraw/zinc/56/28/09/411562809.db2.gz HDTOMSGLBSXYAH-HAQNSBGRSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@H]1c2ccccc2C[C@H]1NCc1cncs1 ZINC000657615609 411563618 /nfs/dbraw/zinc/56/36/18/411563618.db2.gz BTWOOFCVQDUCCM-IINYFYTJSA-N 0 3 244.363 2.961 20 0 BFADHN CCOC(=O)c1ccc(CNC(C)(C)CC)o1 ZINC000180563102 170295500 /nfs/dbraw/zinc/29/55/00/170295500.db2.gz BCFFXGFDYZHSFA-UHFFFAOYSA-N 0 3 239.315 2.735 20 0 BFADHN COc1ccc(F)cc1CNCCc1ccoc1 ZINC000657653762 411570426 /nfs/dbraw/zinc/57/04/26/411570426.db2.gz OVERFWKPTOKAHO-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000657656415 411571366 /nfs/dbraw/zinc/57/13/66/411571366.db2.gz OIGCWTWFJISHLI-AGIUHOORSA-N 0 3 234.343 2.817 20 0 BFADHN CC(C)n1ccnc1CNC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000657656888 411571458 /nfs/dbraw/zinc/57/14/58/411571458.db2.gz MDQHAAJICKSFGJ-RDBSUJKOSA-N 0 3 247.386 2.990 20 0 BFADHN Cc1nc(CNC[C@@H]2CCC[C@@H]3C[C@@H]32)cs1 ZINC000657656115 411571479 /nfs/dbraw/zinc/57/14/79/411571479.db2.gz LFZLBXNNZGCNNB-MDZLAQPJSA-N 0 3 236.384 2.977 20 0 BFADHN Cc1nc(CNC[C@@H]2CCC[C@H]3C[C@H]32)cs1 ZINC000657656112 411571545 /nfs/dbraw/zinc/57/15/45/411571545.db2.gz LFZLBXNNZGCNNB-GMXVVIOVSA-N 0 3 236.384 2.977 20 0 BFADHN CCOC(=O)c1coc(CN(C)CC(C)C)c1 ZINC000353381829 170304331 /nfs/dbraw/zinc/30/43/31/170304331.db2.gz OYBRVWPADHUUOQ-UHFFFAOYSA-N 0 3 239.315 2.544 20 0 BFADHN CCc1nocc1CNC[C@@H]1CCC[C@H]2C[C@H]21 ZINC000657658220 411571954 /nfs/dbraw/zinc/57/19/54/411571954.db2.gz WBZPBQJGAIXYAK-GMXVVIOVSA-N 0 3 234.343 2.763 20 0 BFADHN CCN(Cc1cn(C)cn1)[C@@H]1CCCC[C@H]1C ZINC000660368580 411572201 /nfs/dbraw/zinc/57/22/01/411572201.db2.gz TYUYZZFZWXTOMM-TZMCWYRMSA-N 0 3 235.375 2.821 20 0 BFADHN Cc1nc(CN(C)[C@@H]2CCCC[C@@H]2C)co1 ZINC000660367465 411572291 /nfs/dbraw/zinc/57/22/91/411572291.db2.gz IXPBEEBVWBUZKN-GXFFZTMASA-N 0 3 222.332 2.994 20 0 BFADHN CCOC(C)(C)CNCc1ccc(CC)nc1 ZINC000352742969 170312615 /nfs/dbraw/zinc/31/26/15/170312615.db2.gz RVLBIBCPLCKDSP-UHFFFAOYSA-N 0 3 236.359 2.549 20 0 BFADHN CCOC(C)(C)CN[C@@H](C)c1cccnc1 ZINC000166948415 170313242 /nfs/dbraw/zinc/31/32/42/170313242.db2.gz MOZSECBMTXUCBE-NSHDSACASA-N 0 3 222.332 2.547 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2ccc(Cl)o2)O1 ZINC000657667416 411573013 /nfs/dbraw/zinc/57/30/13/411573013.db2.gz VVHHBBUVLQMBNU-NXEZZACHSA-N 0 3 243.734 2.980 20 0 BFADHN CCOC1(C)CCN(CCOCC(C)C)CC1 ZINC000336729772 170317637 /nfs/dbraw/zinc/31/76/37/170317637.db2.gz XMHYQARZRURAMU-UHFFFAOYSA-N 0 3 243.391 2.550 20 0 BFADHN CCn1nccc1CNCCCC1CCC1 ZINC000657666987 411573109 /nfs/dbraw/zinc/57/31/09/411573109.db2.gz PMMBTSFSGMUBAN-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CCOC1(C)CCN(Cc2cccc(O)c2)CC1 ZINC000292752591 170318590 /nfs/dbraw/zinc/31/85/90/170318590.db2.gz IOSWKVPYOGHIQM-UHFFFAOYSA-N 0 3 249.354 2.783 20 0 BFADHN CCOC1(CNCc2ccncc2)CCCC1 ZINC000293106982 170318879 /nfs/dbraw/zinc/31/88/79/170318879.db2.gz YEFMQJFENALIQB-UHFFFAOYSA-N 0 3 234.343 2.521 20 0 BFADHN CCc1ccc(CNC[C@@H]2CCC[C@H](C)O2)o1 ZINC000657667231 411573250 /nfs/dbraw/zinc/57/32/50/411573250.db2.gz PYMPUPBXEOWNQP-AAEUAGOBSA-N 0 3 237.343 2.889 20 0 BFADHN CCOC1CC(CN(C)Cc2cnccc2C)C1 ZINC000352422482 170324109 /nfs/dbraw/zinc/32/41/09/170324109.db2.gz RZSLLWGJULYVME-UHFFFAOYSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1ccc(CNC[C@]2(C)CC2(F)F)o1 ZINC000657668707 411573983 /nfs/dbraw/zinc/57/39/83/411573983.db2.gz HLNGRPJEUCQUQS-JTQLQIEISA-N 0 3 215.243 2.723 20 0 BFADHN CCOC1CC(N[C@H]2CCc3c2cccc3O)C1 ZINC000224387494 170329782 /nfs/dbraw/zinc/32/97/82/170329782.db2.gz ORNFXHHUQHTHLQ-MGULZYLOSA-N 0 3 247.338 2.537 20 0 BFADHN CCOC1CC(N[C@H]2c3ccccc3O[C@@H]2C)C1 ZINC000289222502 170330459 /nfs/dbraw/zinc/33/04/59/170330459.db2.gz ABOFDHQVWBIWDM-TVRADYJESA-N 0 3 247.338 2.666 20 0 BFADHN CCOC1CC(N[C@H]2CCCc3occc32)C1 ZINC000124460473 170330509 /nfs/dbraw/zinc/33/05/09/170330509.db2.gz WWQZAYDDYPURMW-XIVSLSHWSA-N 0 3 235.327 2.814 20 0 BFADHN C[C@@H]1CN(CCC2CCC2)[C@@H](C)[C@H](C)O1 ZINC000660373466 411574277 /nfs/dbraw/zinc/57/42/77/411574277.db2.gz AJUZWTIDLVOMGA-WOPDTQHZSA-N 0 3 211.349 2.674 20 0 BFADHN C[C@@]1(CNCc2ccsc2)CC1(F)F ZINC000657670293 411574703 /nfs/dbraw/zinc/57/47/03/411574703.db2.gz SOGALRGKLRICKI-VIFPVBQESA-N 0 3 217.284 2.883 20 0 BFADHN Cc1occc1CNC[C@H]1CCC[C@H](C)O1 ZINC000657670607 411574791 /nfs/dbraw/zinc/57/47/91/411574791.db2.gz VNXQURNCRUAODG-GXFFZTMASA-N 0 3 223.316 2.635 20 0 BFADHN CCOCC(C)(C)CN[C@H](C)c1ccco1 ZINC000290167824 170351974 /nfs/dbraw/zinc/35/19/74/170351974.db2.gz DQIJGEJTQZKFAT-LLVKDONJSA-N 0 3 225.332 2.993 20 0 BFADHN COc1ccnc(CN[C@@H]2CCC[C@H]2C)c1F ZINC000657672847 411575550 /nfs/dbraw/zinc/57/55/50/411575550.db2.gz JVFOUSIUCDDGEO-NXEZZACHSA-N 0 3 238.306 2.508 20 0 BFADHN CCOCC(C)(C)NCc1cccc(F)c1 ZINC000293397959 170354657 /nfs/dbraw/zinc/35/46/57/170354657.db2.gz XSUSDJNRTZAQCW-UHFFFAOYSA-N 0 3 225.307 2.730 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1coc(C)n1 ZINC000660317177 411568002 /nfs/dbraw/zinc/56/80/02/411568002.db2.gz NTPHWXWVYDEXPN-SKDRFNHKSA-N 0 3 208.305 2.603 20 0 BFADHN Cc1occc1CNCCCOC(C)(C)C ZINC000657638948 411568046 /nfs/dbraw/zinc/56/80/46/411568046.db2.gz TZQFBACTMMQCNV-UHFFFAOYSA-N 0 3 225.332 2.883 20 0 BFADHN CC(C)(F)CCNCc1cn2ccccc2n1 ZINC000657644217 411568088 /nfs/dbraw/zinc/56/80/88/411568088.db2.gz AGIXPPUTUIPMOM-UHFFFAOYSA-N 0 3 235.306 2.562 20 0 BFADHN COc1ccc(CNCCC(C)(C)F)cc1O ZINC000657644419 411568162 /nfs/dbraw/zinc/56/81/62/411568162.db2.gz JGHHRSBUFRCVRI-UHFFFAOYSA-N 0 3 241.306 2.629 20 0 BFADHN CC(C)(F)CCNCc1cccc(O)c1 ZINC000657644378 411568241 /nfs/dbraw/zinc/56/82/41/411568241.db2.gz HKCBRSFQEDWFBY-UHFFFAOYSA-N 0 3 211.280 2.620 20 0 BFADHN CC(C)(F)CCNCc1c[nH]nc1C(C)(C)C ZINC000657644314 411568358 /nfs/dbraw/zinc/56/83/58/411568358.db2.gz FIJGZTMSABPYHB-UHFFFAOYSA-N 0 3 241.354 2.935 20 0 BFADHN CCOCCCCN[C@@H](C)c1csc(C)n1 ZINC000269437787 170369333 /nfs/dbraw/zinc/36/93/33/170369333.db2.gz RSCVISBQLOLDBA-JTQLQIEISA-N 0 3 242.388 2.919 20 0 BFADHN CCn1nc(C)c(CNCCC(C)(C)F)c1C ZINC000657644818 411568715 /nfs/dbraw/zinc/56/87/15/411568715.db2.gz URIWSZQMMWENBR-UHFFFAOYSA-N 0 3 241.354 2.748 20 0 BFADHN CCOCCCNC(C)(C)c1nc(C)cs1 ZINC000336779448 170380212 /nfs/dbraw/zinc/38/02/12/170380212.db2.gz OHMOIYOKSVHNTC-UHFFFAOYSA-N 0 3 242.388 2.703 20 0 BFADHN CCOCCCNC(C)(C)c1ncc(C)s1 ZINC000336775905 170380291 /nfs/dbraw/zinc/38/02/91/170380291.db2.gz DBOPGADKVSXHPV-UHFFFAOYSA-N 0 3 242.388 2.703 20 0 BFADHN Cc1nc(CN2CC[C@@H]3CCC[C@H]32)c(C)o1 ZINC000660332237 411570120 /nfs/dbraw/zinc/57/01/20/411570120.db2.gz JFZWLQDKARWRSM-WCQYABFASA-N 0 3 220.316 2.666 20 0 BFADHN CCOCCN(C)C/C=C/c1ccccc1 ZINC000093317425 170388212 /nfs/dbraw/zinc/38/82/12/170388212.db2.gz WMLDMPLXTGHKJN-JXMROGBWSA-N 0 3 219.328 2.668 20 0 BFADHN CCOCCN(C)CCSc1ccccc1 ZINC000127395859 170388711 /nfs/dbraw/zinc/38/87/11/170388711.db2.gz BZOWNIGQMVRTEF-UHFFFAOYSA-N 0 3 239.384 2.747 20 0 BFADHN CCOCCN(C)CCc1ccc(C)cc1 ZINC000093313336 170389015 /nfs/dbraw/zinc/38/90/15/170389015.db2.gz MWVDBQPYJXHLOS-UHFFFAOYSA-N 0 3 221.344 2.506 20 0 BFADHN CCOCCN(C)Cc1ccccc1SC ZINC000272917939 170389151 /nfs/dbraw/zinc/38/91/51/170389151.db2.gz NLCZUBIYWBKKHC-UHFFFAOYSA-N 0 3 239.384 2.877 20 0 BFADHN CCOCCN(C)Cc1ccc(F)c(Cl)c1 ZINC000181489562 170389548 /nfs/dbraw/zinc/38/95/48/170389548.db2.gz ZOKRMBUNBHFSRZ-UHFFFAOYSA-N 0 3 245.725 2.947 20 0 BFADHN CC(C)c1cccc(CNC2(CO)CCC2)c1 ZINC000663317196 411623388 /nfs/dbraw/zinc/62/33/88/411623388.db2.gz AIHYOGUMOYBCTJ-UHFFFAOYSA-N 0 3 233.355 2.815 20 0 BFADHN CCOCCN(CC)Cc1ccsc1C ZINC000295422736 170395658 /nfs/dbraw/zinc/39/56/58/170395658.db2.gz SJPWXYWWDGJRCU-UHFFFAOYSA-N 0 3 227.373 2.915 20 0 BFADHN CCOCCN(CC)Cc1sccc1C ZINC000092537856 170396141 /nfs/dbraw/zinc/39/61/41/170396141.db2.gz TTXHUIDCPVWXPR-UHFFFAOYSA-N 0 3 227.373 2.915 20 0 BFADHN CCOCCN(CC)Cc1cccc2c1NCC2 ZINC000130561794 170396660 /nfs/dbraw/zinc/39/66/60/170396660.db2.gz BMAPGOIXBKRUJT-UHFFFAOYSA-N 0 3 248.370 2.513 20 0 BFADHN C/C(=C\c1ccccc1)CNCCOCCF ZINC000663340267 411629266 /nfs/dbraw/zinc/62/92/66/411629266.db2.gz MTQZUMCNOGDIMC-ACCUITESSA-N 0 3 237.318 2.666 20 0 BFADHN C/C(=C/c1ccccc1)CNCCOCCF ZINC000663340269 411629628 /nfs/dbraw/zinc/62/96/28/411629628.db2.gz MTQZUMCNOGDIMC-QBFSEMIESA-N 0 3 237.318 2.666 20 0 BFADHN FCCOCCNCc1ccccc1C1CC1 ZINC000663339997 411629779 /nfs/dbraw/zinc/62/97/79/411629779.db2.gz HHQIHCBSIQMSKI-UHFFFAOYSA-N 0 3 237.318 2.640 20 0 BFADHN C[C@H]1CC(C)(C)CN1CCO[C@H]1CC1(F)F ZINC000660806048 411640453 /nfs/dbraw/zinc/64/04/53/411640453.db2.gz RFAWGFOJQAUCRY-UWVGGRQHSA-N 0 3 233.302 2.531 20 0 BFADHN CCc1nc([C@H](C)N2CC[C@@H](C3CCC3)C2)n[nH]1 ZINC000660813355 411645258 /nfs/dbraw/zinc/64/52/58/411645258.db2.gz IJSUXACLADCLOU-CMPLNLGQSA-N 0 3 248.374 2.550 20 0 BFADHN c1csc(CNCCCC2CCC2)n1 ZINC000657664855 411576977 /nfs/dbraw/zinc/57/69/77/411576977.db2.gz AEEUXROZOBYNBB-UHFFFAOYSA-N 0 3 210.346 2.813 20 0 BFADHN Cc1ccncc1CNC[C@]1(C)CC1(F)F ZINC000657666644 411577687 /nfs/dbraw/zinc/57/76/87/411577687.db2.gz RGXDJIBKXXXHNB-NSHDSACASA-N 0 3 226.270 2.525 20 0 BFADHN Cc1nc(CN2C[C@H](C)C[C@@H](C)[C@H]2C)co1 ZINC000660391698 411578064 /nfs/dbraw/zinc/57/80/64/411578064.db2.gz BAWKVNPBKIYHQK-GMTAPVOTSA-N 0 3 222.332 2.849 20 0 BFADHN CCCn1nccc1CNCCCC1CCC1 ZINC000657666433 411578071 /nfs/dbraw/zinc/57/80/71/411578071.db2.gz KNKKKFKAIJJWJN-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN C[C@H](NC[C@]1(C)CC1(F)F)c1cncc(F)c1 ZINC000657665538 411578179 /nfs/dbraw/zinc/57/81/79/411578179.db2.gz NHJWQFBSQHOIDU-KWQFWETISA-N 0 3 244.260 2.917 20 0 BFADHN CC[C@@](C)(NCc1cscn1)C1CC1 ZINC000657690586 411579334 /nfs/dbraw/zinc/57/93/34/411579334.db2.gz WLXSEZDTUZDFGC-LLVKDONJSA-N 0 3 210.346 2.811 20 0 BFADHN CC[C@](C)(NCc1conc1C)C1CC1 ZINC000657689475 411579377 /nfs/dbraw/zinc/57/93/77/411579377.db2.gz PIQPCRKYQNLAQQ-LBPRGKRZSA-N 0 3 208.305 2.651 20 0 BFADHN CCOc1cccc(CN[C@H](CC)C2CC2)n1 ZINC000657679747 411579845 /nfs/dbraw/zinc/57/98/45/411579845.db2.gz DUBYOAZHIJDSBI-CYBMUJFWSA-N 0 3 234.343 2.759 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H]1CCc2ccc(O)cc21 ZINC000657692789 411580037 /nfs/dbraw/zinc/58/00/37/411580037.db2.gz DNXGNMNVRVMEQK-ABAIWWIYSA-N 0 3 249.354 2.783 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3ccc(O)cc32)[C@@H](C)O1 ZINC000657694050 411580205 /nfs/dbraw/zinc/58/02/05/411580205.db2.gz RBCHLNFXFXJALR-RPVFDAQASA-N 0 3 247.338 2.535 20 0 BFADHN CCc1nocc1CN[C@H]1CCC[C@H]1CC ZINC000660409101 411580700 /nfs/dbraw/zinc/58/07/00/411580700.db2.gz BDECESUXTQLXCM-MFKMUULPSA-N 0 3 222.332 2.905 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1cc(C2CC2)no1 ZINC000660409875 411580849 /nfs/dbraw/zinc/58/08/49/411580849.db2.gz INYRSLZSKAWHGU-SKDRFNHKSA-N 0 3 220.316 2.830 20 0 BFADHN CCOC[C@@H](N[C@H](C)c1ccccn1)C(C)C ZINC000189361504 170434784 /nfs/dbraw/zinc/43/47/84/170434784.db2.gz GMOXRDJIMNLIBA-TZMCWYRMSA-N 0 3 236.359 2.793 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@H]2CCC[C@@H]2CC)[n-]1 ZINC000660411227 411581421 /nfs/dbraw/zinc/58/14/21/411581421.db2.gz VRBBHSLQBUSTEO-DCAQKATOSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@H](C)N[C@H]2CCC[C@@H]2CC)[nH]1 ZINC000660411227 411581423 /nfs/dbraw/zinc/58/14/23/411581423.db2.gz VRBBHSLQBUSTEO-DCAQKATOSA-N 0 3 236.363 2.596 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@@H](O)C23CCC3)cc1C ZINC000657702358 411583417 /nfs/dbraw/zinc/58/34/17/411583417.db2.gz FGNOCFRZDPBBTE-HUUCEWRRSA-N 0 3 245.366 2.697 20 0 BFADHN Cc1ccc(F)cc1CN[C@@H]1C[C@H](O)C12CCC2 ZINC000657704919 411583788 /nfs/dbraw/zinc/58/37/88/411583788.db2.gz JQCVKFIEDGHIHA-KGLIPLIRSA-N 0 3 249.329 2.527 20 0 BFADHN CC(C)=CCCN1CCC[C@@H]1c1cc[nH]n1 ZINC000660431468 411583784 /nfs/dbraw/zinc/58/37/84/411583784.db2.gz KHXINELTLOQIRI-CYBMUJFWSA-N 0 3 219.332 2.903 20 0 BFADHN Cc1cc(C)cc(CN[C@H]2C[C@H](O)C23CCC3)c1 ZINC000657705445 411584675 /nfs/dbraw/zinc/58/46/75/411584675.db2.gz YRYVITFXRPAGPJ-GJZGRUSLSA-N 0 3 245.366 2.697 20 0 BFADHN CCc1cccc(CN[C@H]2C[C@@H](O)C23CCC3)c1 ZINC000657709034 411585252 /nfs/dbraw/zinc/58/52/52/411585252.db2.gz OCOYCDMBZYPZIQ-LSDHHAIUSA-N 0 3 245.366 2.642 20 0 BFADHN C[C@@H]1C[C@@H](C)N1CCOCc1ccccc1 ZINC000660444593 411585980 /nfs/dbraw/zinc/58/59/80/411585980.db2.gz DCPGMYSCZRUYQP-CHWSQXEVSA-N 0 3 219.328 2.686 20 0 BFADHN Cc1cccc(CN[C@H]2C[C@@H](O)C23CCC3)c1F ZINC000657709856 411586187 /nfs/dbraw/zinc/58/61/87/411586187.db2.gz RWQBVTWZTCKBEW-QWHCGFSZSA-N 0 3 249.329 2.527 20 0 BFADHN C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1nc2c(s1)CCCC2 ZINC000657733491 411586992 /nfs/dbraw/zinc/58/69/92/411586992.db2.gz CBUBVNWGZBETTK-WDAIWFPHSA-N 0 3 248.395 2.910 20 0 BFADHN C(N[C@@H]1[C@@H]2CCC[C@@H]21)c1nc2c(s1)CCC2 ZINC000657733504 411587350 /nfs/dbraw/zinc/58/73/50/411587350.db2.gz DSZYIUQNWWCYQF-YFKFIEJBSA-N 0 3 234.368 2.520 20 0 BFADHN Cn1cnc(CN2CCC[C@@H](C(C)(C)C)C2)c1 ZINC000660453332 411587558 /nfs/dbraw/zinc/58/75/58/411587558.db2.gz JYDLVFDXMAJCFT-GFCCVEGCSA-N 0 3 235.375 2.678 20 0 BFADHN Cc1ccc(CNC[C@H]2C(C)(C)C2(F)F)o1 ZINC000657735905 411587752 /nfs/dbraw/zinc/58/77/52/411587752.db2.gz DCMFEEPKKSUPML-JTQLQIEISA-N 0 3 229.270 2.969 20 0 BFADHN Cc1occc1CNC[C@H]1C(C)(C)C1(F)F ZINC000657739370 411588914 /nfs/dbraw/zinc/58/89/14/411588914.db2.gz RXEREHULSOXPMJ-JTQLQIEISA-N 0 3 229.270 2.969 20 0 BFADHN Cc1nc(CN[C@H](C(C)C)C2CC2)[nH]c1C ZINC000657757761 411590118 /nfs/dbraw/zinc/59/01/18/411590118.db2.gz WBXLCLMWOBDOLT-CYBMUJFWSA-N 0 3 221.348 2.551 20 0 BFADHN CC(C)[C@@H](NCc1nccn1C(C)C)C1CC1 ZINC000657757071 411590356 /nfs/dbraw/zinc/59/03/56/411590356.db2.gz LYLZZWYCIMINLP-CQSZACIVSA-N 0 3 235.375 2.988 20 0 BFADHN Cc1nc(CN[C@@H](C(C)C)C2CC2)[nH]c1C ZINC000657757763 411590555 /nfs/dbraw/zinc/59/05/55/411590555.db2.gz WBXLCLMWOBDOLT-ZDUSSCGKSA-N 0 3 221.348 2.551 20 0 BFADHN CC(C)n1cncc1CN[C@@]1(C)CC1(C)C ZINC000657761227 411590676 /nfs/dbraw/zinc/59/06/76/411590676.db2.gz GMVAHLYXOPIBNL-ZDUSSCGKSA-N 0 3 221.348 2.742 20 0 BFADHN COc1cc(CN[C@@]2(C)CC2(C)C)cc(OC)c1 ZINC000657760653 411590710 /nfs/dbraw/zinc/59/07/10/411590710.db2.gz OCAKOUHFJPXTJQ-HNNXBMFYSA-N 0 3 249.354 2.982 20 0 BFADHN Cc1c[nH]c(CN[C@H](C)C2CCC(F)CC2)n1 ZINC000657748478 411592305 /nfs/dbraw/zinc/59/23/05/411592305.db2.gz UYZQOKDXCGRXHD-VOMCLLRMSA-N 0 3 239.338 2.725 20 0 BFADHN c1cc(-c2ccc(CNCC3=CCCC3)o2)n[nH]1 ZINC000657749886 411592398 /nfs/dbraw/zinc/59/23/98/411592398.db2.gz RXEPFFOBOVZBJP-UHFFFAOYSA-N 0 3 243.310 2.870 20 0 BFADHN COc1ccsc1CNCC1=CCCC1 ZINC000657749987 411592717 /nfs/dbraw/zinc/59/27/17/411592717.db2.gz WHCDWXIZZJPFOW-UHFFFAOYSA-N 0 3 223.341 2.957 20 0 BFADHN CO[C@H](CNCc1cnccc1C)C1CCCC1 ZINC000657766458 411592846 /nfs/dbraw/zinc/59/28/46/411592846.db2.gz BQKADOVNPFHWCD-OAHLLOKOSA-N 0 3 248.370 2.685 20 0 BFADHN FC(F)O[C@H]1CCN(CCCC2CCC2)C1 ZINC000660488525 411593232 /nfs/dbraw/zinc/59/32/32/411593232.db2.gz GQYXRGPDETUQLW-NSHDSACASA-N 0 3 233.302 2.880 20 0 BFADHN CC(C)CC1(NCc2ccnn2CC2CC2)CC1 ZINC000657774909 411594185 /nfs/dbraw/zinc/59/41/85/411594185.db2.gz NSMPVUQZPSCSBJ-UHFFFAOYSA-N 0 3 247.386 2.961 20 0 BFADHN CC(C)CC1(NCc2nccn2C(F)F)CC1 ZINC000657775613 411594291 /nfs/dbraw/zinc/59/42/91/411594291.db2.gz SHANOINHIKYUBT-UHFFFAOYSA-N 0 3 243.301 2.947 20 0 BFADHN CCn1cc(CNC2(CC(C)C)CC2)cn1 ZINC000657774840 411594361 /nfs/dbraw/zinc/59/43/61/411594361.db2.gz MACPYABAARLAHP-UHFFFAOYSA-N 0 3 221.348 2.571 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc2ncccc2c1 ZINC000657787442 411596019 /nfs/dbraw/zinc/59/60/19/411596019.db2.gz BNIZARKVTFODFE-CABCVRRESA-N 0 3 242.322 2.502 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc(C)c(C)c1 ZINC000657789585 411596691 /nfs/dbraw/zinc/59/66/91/411596691.db2.gz QLWXLZYRPXZRKJ-KGLIPLIRSA-N 0 3 219.328 2.570 20 0 BFADHN CO[C@@]1(C)C[C@H]1NCc1ccc(Cl)cc1 ZINC000657789015 411596778 /nfs/dbraw/zinc/59/67/78/411596778.db2.gz MXMSAOQMZWQVNB-NEPJUHHUSA-N 0 3 225.719 2.607 20 0 BFADHN CO[C@@H](CNCc1cccnc1)C1CCCCC1 ZINC000657781611 411597658 /nfs/dbraw/zinc/59/76/58/411597658.db2.gz FDUYQVGYQQCLKK-HNNXBMFYSA-N 0 3 248.370 2.767 20 0 BFADHN C[C@H](N[C@H]1CC(C)(C)C[C@@H]1C)c1nccn1C ZINC000657792425 411598037 /nfs/dbraw/zinc/59/80/37/411598037.db2.gz AYUWJHMBCMXVKE-SRVKXCTJSA-N 0 3 235.375 2.895 20 0 BFADHN FC1(F)C[C@H]1OCCN1CCC2(CCC2)CC1 ZINC000660545518 411598040 /nfs/dbraw/zinc/59/80/40/411598040.db2.gz WJFZIJXNBFJBHL-LLVKDONJSA-N 0 3 245.313 2.677 20 0 BFADHN CN(Cc1ccc(C2CC2)cc1)C1(CO)CC1 ZINC000660545124 411598431 /nfs/dbraw/zinc/59/84/31/411598431.db2.gz YYTHVXHCXDHYTI-UHFFFAOYSA-N 0 3 231.339 2.521 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@H](C)O[C@H]2C)c1C ZINC000657802975 411599531 /nfs/dbraw/zinc/59/95/31/411599531.db2.gz GXEGYODKXDATFN-VHRBIJSZSA-N 0 3 249.354 2.659 20 0 BFADHN COc1cccc(CN[C@@H]2C[C@@H](C)O[C@@H]2C)c1C ZINC000657802973 411599743 /nfs/dbraw/zinc/59/97/43/411599743.db2.gz GXEGYODKXDATFN-MPKXVKKWSA-N 0 3 249.354 2.659 20 0 BFADHN CC(C)=CCCN[C@](C)(CO)c1cccc(O)c1 ZINC000660556010 411599939 /nfs/dbraw/zinc/59/99/39/411599939.db2.gz DANWMUUKVCUYSV-OAHLLOKOSA-N 0 3 249.354 2.546 20 0 BFADHN C[C@H](O)[C@H](NCc1cccs1)c1ccccc1 ZINC000657817124 411605913 /nfs/dbraw/zinc/60/59/13/411605913.db2.gz MLWDXOFBGNTMJN-FZMZJTMJSA-N 0 3 247.363 2.960 20 0 BFADHN C[C@@H](NC1CC1)c1ccc(C(F)F)nc1 ZINC000657819569 411606451 /nfs/dbraw/zinc/60/64/51/411606451.db2.gz XLQICZQNOSLYIJ-SSDOTTSWSA-N 0 3 212.243 2.832 20 0 BFADHN Cc1ccc([C@@H](C)N[C@@H]2COC3(CCC3)C2)o1 ZINC000657827132 411608545 /nfs/dbraw/zinc/60/85/45/411608545.db2.gz YNBHEPUDNLDQGM-NEPJUHHUSA-N 0 3 235.327 2.950 20 0 BFADHN Cc1nc(CN[C@@H]2CC3CCC2CC3)c(C)o1 ZINC000660625967 411609037 /nfs/dbraw/zinc/60/90/37/411609037.db2.gz UDYUMPGAXGDQJX-WXRRBKDZSA-N 0 3 234.343 2.960 20 0 BFADHN Cc1nc(CN[C@@H]2CCCSCC2)cs1 ZINC000657844321 411610436 /nfs/dbraw/zinc/61/04/36/411610436.db2.gz QIRANJJTZUFQHP-SNVBAGLBSA-N 0 3 242.413 2.827 20 0 BFADHN Cc1cc(CN[C@H](C)C(C)(C)C(F)F)on1 ZINC000657870558 411613822 /nfs/dbraw/zinc/61/38/22/411613822.db2.gz FISXOHQLDDFMRN-MRVPVSSYSA-N 0 3 232.274 2.752 20 0 BFADHN Cc1cc(CN[C@@H]2[C@@H]3CCC[C@@H]3C2(C)C)on1 ZINC000657869895 411613864 /nfs/dbraw/zinc/61/38/64/411613864.db2.gz BOWFMJOYDXDPMJ-FRRDWIJNSA-N 0 3 234.343 2.897 20 0 BFADHN COc1cccc(CN[C@H]2CCCC23CC3)n1 ZINC000657873995 411615219 /nfs/dbraw/zinc/61/52/19/411615219.db2.gz XKHROYSUVDTFJM-LBPRGKRZSA-N 0 3 232.327 2.513 20 0 BFADHN CC(C)C[C@]1(C)CCCN1Cc1cn(C)cn1 ZINC000660672538 411616631 /nfs/dbraw/zinc/61/66/31/411616631.db2.gz UXKBJZQTQSJWQU-AWEZNQCLSA-N 0 3 235.375 2.821 20 0 BFADHN CO[C@@H](CN1CC[C@@H](F)C1)C1CCCCC1 ZINC000660692292 411617838 /nfs/dbraw/zinc/61/78/38/411617838.db2.gz NFXDSJPZOKDKTQ-OLZOCXBDSA-N 0 3 229.339 2.626 20 0 BFADHN CCc1nnc([C@H](C)[N@H+]2C[C@@H]3CCCC[C@@H]3C2)[n-]1 ZINC000660725214 411619222 /nfs/dbraw/zinc/61/92/22/411619222.db2.gz ZKTSXNMSUGJTFS-SDDRHHMPSA-N 0 3 248.374 2.550 20 0 BFADHN CCc1nnc([C@H](C)[N@@H+]2C[C@@H]3CCCC[C@@H]3C2)[n-]1 ZINC000660725214 411619223 /nfs/dbraw/zinc/61/92/23/411619223.db2.gz ZKTSXNMSUGJTFS-SDDRHHMPSA-N 0 3 248.374 2.550 20 0 BFADHN CC[C@@H](C)N1CCN(CCCC2CCC2)CC1 ZINC000660735151 411619853 /nfs/dbraw/zinc/61/98/53/411619853.db2.gz BSAOQBFWFOQCAU-CQSZACIVSA-N 0 3 238.419 2.983 20 0 BFADHN CN(CC/C=C\c1ccccc1)Cc1cnc[nH]1 ZINC000661091548 411703833 /nfs/dbraw/zinc/70/38/33/411703833.db2.gz ZMFUACDDATVYNS-UITAMQMPSA-N 0 3 241.338 2.945 20 0 BFADHN CC[C@@H](C)N(CC)CC(=O)N(C)c1ccccc1 ZINC000661105793 411710931 /nfs/dbraw/zinc/71/09/31/411710931.db2.gz IXGLKPISSWTQHF-CYBMUJFWSA-N 0 3 248.370 2.770 20 0 BFADHN CC[C@H](C)N(CC)CC(=O)N(C(C)C)C(C)C ZINC000661105857 411711163 /nfs/dbraw/zinc/71/11/63/411711163.db2.gz JYBINEMCCLTUNM-ZDUSSCGKSA-N 0 3 242.407 2.752 20 0 BFADHN Cc1cc([C@@H](C)NCCOCCF)oc1C ZINC000663459366 411661875 /nfs/dbraw/zinc/66/18/75/411661875.db2.gz BJUXHTJVQXRUAG-SNVBAGLBSA-N 0 3 229.295 2.533 20 0 BFADHN Cc1ccc([C@@H](C)NCCOCCF)c(C)c1 ZINC000663464463 411665362 /nfs/dbraw/zinc/66/53/62/411665362.db2.gz QXFGGDBEVCPWQN-CYBMUJFWSA-N 0 3 239.334 2.940 20 0 BFADHN CC[C@@H](NCCOCCF)c1cccs1 ZINC000663465136 411665840 /nfs/dbraw/zinc/66/58/40/411665840.db2.gz VBFXFTFIEHTVKZ-SNVBAGLBSA-N 0 3 231.336 2.775 20 0 BFADHN Cc1ccc([C@@H](C)NCCOCCF)cc1 ZINC000663465640 411666168 /nfs/dbraw/zinc/66/61/68/411666168.db2.gz ZDQIVFVFJLUSEQ-GFCCVEGCSA-N 0 3 225.307 2.632 20 0 BFADHN CC(C)=CCCN1CCOC[C@@H]1C1CCC1 ZINC000660904664 411666781 /nfs/dbraw/zinc/66/67/81/411666781.db2.gz OUIHRDSLQGIRTR-CQSZACIVSA-N 0 3 223.360 2.844 20 0 BFADHN CC[C@@H]1CN(CCC2CCC2)[C@@H](C)CO1 ZINC000660912353 411668418 /nfs/dbraw/zinc/66/84/18/411668418.db2.gz JWVQPQSERUWYAF-WCQYABFASA-N 0 3 211.349 2.676 20 0 BFADHN CCOc1ccc(CNC[C@@H]2CCCCO2)cc1 ZINC000042811743 170545976 /nfs/dbraw/zinc/54/59/76/170545976.db2.gz WRNKOQRWXZHVFU-HNNXBMFYSA-N 0 3 249.354 2.744 20 0 BFADHN CCC(=O)CCCN1C[C@@H]2CCCC[C@@H]21 ZINC000660930513 411681778 /nfs/dbraw/zinc/68/17/78/411681778.db2.gz IYOPJSOOJDVQHV-AAEUAGOBSA-N 0 3 209.333 2.620 20 0 BFADHN C[C@@H]1CCC[C@H](CN(C)Cc2c[nH]cn2)C1 ZINC000661089087 411699309 /nfs/dbraw/zinc/69/93/09/411699309.db2.gz XCEILYYOIGWLFV-NEPJUHHUSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@@H]1CCC[C@H](CN(C)Cc2cnc[nH]2)C1 ZINC000661089087 411699311 /nfs/dbraw/zinc/69/93/11/411699311.db2.gz XCEILYYOIGWLFV-NEPJUHHUSA-N 0 3 221.348 2.668 20 0 BFADHN Cc1ccc(CCCN(C)Cc2cnc[nH]2)cc1 ZINC000661087790 411699373 /nfs/dbraw/zinc/69/93/73/411699373.db2.gz ATCDRFILVQQBHL-UHFFFAOYSA-N 0 3 243.354 2.783 20 0 BFADHN CN(Cc1c[nH]cn1)CC1CCCCCC1 ZINC000661088998 411700882 /nfs/dbraw/zinc/70/08/82/411700882.db2.gz SQZMGNALRYLGFQ-UHFFFAOYSA-N 0 3 221.348 2.812 20 0 BFADHN CN(Cc1cnc[nH]1)CC1CCCCCC1 ZINC000661088998 411700886 /nfs/dbraw/zinc/70/08/86/411700886.db2.gz SQZMGNALRYLGFQ-UHFFFAOYSA-N 0 3 221.348 2.812 20 0 BFADHN CCOc1cccc([C@H](C)NC[C@@H](C)OC)c1 ZINC000182429652 170585535 /nfs/dbraw/zinc/58/55/35/170585535.db2.gz YEWNZLCFGKKROP-NEPJUHHUSA-N 0 3 237.343 2.771 20 0 BFADHN c1n[nH]cc1CN1CCCC2(CCCC2)CC1 ZINC000661230485 411736085 /nfs/dbraw/zinc/73/60/85/411736085.db2.gz AUTKTJFDJWFSHF-UHFFFAOYSA-N 0 3 233.359 2.956 20 0 BFADHN Cc1cc(CN2CCC(C3CCC3)CC2)on1 ZINC000661374714 411759007 /nfs/dbraw/zinc/75/90/07/411759007.db2.gz OUXRSOONXFTUHZ-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN CC[C@H](O)CN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661434236 411772977 /nfs/dbraw/zinc/77/29/77/411772977.db2.gz KKOJYNJEGJXSBS-JSGCOSHPSA-N 0 3 249.354 2.968 20 0 BFADHN CC/C=C/CCN1CC2(CCC2)OC[C@H]1C ZINC000661468539 411782921 /nfs/dbraw/zinc/78/29/21/411782921.db2.gz JCKRYYZIOGUDPN-MUBLQREKSA-N 0 3 223.360 2.986 20 0 BFADHN CC(C)[C@@H](NC[C@H](C)CO)c1ccccc1F ZINC000661483203 411785404 /nfs/dbraw/zinc/78/54/04/411785404.db2.gz GINIXHCYIZHMQK-SMDDNHRTSA-N 0 3 239.334 2.741 20 0 BFADHN CC[C@@H](C(=O)N[C@H](C)c1ccc(C)cc1)N(C)C ZINC000268785057 170666458 /nfs/dbraw/zinc/66/64/58/170666458.db2.gz ZQDIQDSTNQUHDC-OCCSQVGLSA-N 0 3 248.370 2.512 20 0 BFADHN C[C@@]1(C2CC2)CN([C@H]2C=CCCC2)CCO1 ZINC000661169666 411727330 /nfs/dbraw/zinc/72/73/30/411727330.db2.gz FZUNCAMALGREDL-KBPBESRZSA-N 0 3 221.344 2.596 20 0 BFADHN Fc1ccc([C@H]2CCN2CC2CC2)cc1 ZINC000661509967 411791523 /nfs/dbraw/zinc/79/15/23/411791523.db2.gz FLECGNBIJMXSCO-CYBMUJFWSA-N 0 3 205.276 2.983 20 0 BFADHN Cn1cnc(CN2CC[C@@H](C3CCCCC3)C2)c1 ZINC000661577395 411806943 /nfs/dbraw/zinc/80/69/43/411806943.db2.gz AELYQOJEUZSZHE-CQSZACIVSA-N 0 3 247.386 2.822 20 0 BFADHN Cc1cc(CN2C[C@@H](C)[C@H](O)[C@@H](C)C2)cs1 ZINC000661621767 411820120 /nfs/dbraw/zinc/82/01/20/411820120.db2.gz OUOGZKSHDVRMCB-IWIIMEHWSA-N 0 3 239.384 2.505 20 0 BFADHN O[C@]1(C2CC2)CCCN(Cc2ccc(F)cc2)C1 ZINC000661628529 411823653 /nfs/dbraw/zinc/82/36/53/411823653.db2.gz VDJIAOOYROYFTQ-OAHLLOKOSA-N 0 3 249.329 2.563 20 0 BFADHN CCC1(CC)CCCN(Cc2cnn(C)c2)C1 ZINC000661675781 411835272 /nfs/dbraw/zinc/83/52/72/411835272.db2.gz CBVSWUPWKNRTON-UHFFFAOYSA-N 0 3 235.375 2.822 20 0 BFADHN Cc1ccc(CN2CCC[C@H](CCF)C2)nc1 ZINC000661691711 411841723 /nfs/dbraw/zinc/84/17/23/411841723.db2.gz WWNUJXIMHCUNND-CYBMUJFWSA-N 0 3 236.334 2.962 20 0 BFADHN CC[C@@H](C)[C@@H](C)NCc1ncc(C)s1 ZINC000122861835 170773528 /nfs/dbraw/zinc/77/35/28/170773528.db2.gz SZYYULYRKXKXHY-PSASIEDQSA-N 0 3 212.362 2.976 20 0 BFADHN CCc1cc(N[C@H](C)c2cscn2)ccn1 ZINC000664261431 411902600 /nfs/dbraw/zinc/90/26/00/411902600.db2.gz AHMCALAAJYMCLG-SECBINFHSA-N 0 3 233.340 2.696 20 0 BFADHN CC[C@@H](C)[C@H](N)C(=O)N[C@@H](C)c1ccc(C)cc1 ZINC000037764939 170794962 /nfs/dbraw/zinc/79/49/62/170794962.db2.gz OLJFGWIDIULQMV-DYEKYZERSA-N 0 3 248.370 2.546 20 0 BFADHN Cc1cc(CN2CC[C@H]3C[C@H]3C2)cs1 ZINC000661966552 411919981 /nfs/dbraw/zinc/91/99/81/411919981.db2.gz JNLOQKWNHIXQOS-RYUDHWBXSA-N 0 3 207.342 2.898 20 0 BFADHN Cc1ccc(C(=O)CCN2CC[C@H]3C[C@H]3C2)cc1 ZINC000661969216 411927504 /nfs/dbraw/zinc/92/75/04/411927504.db2.gz IZOJBNQATFPYQQ-GJZGRUSLSA-N 0 3 243.350 2.910 20 0 BFADHN CC[C@@H](CCO)N[C@@H](C)c1ccc(Cl)cn1 ZINC000309937044 170820283 /nfs/dbraw/zinc/82/02/83/170820283.db2.gz AHCSXFZPLJXOMN-ONGXEEELSA-N 0 3 242.750 2.547 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H]3C[C@@H]3C2)s1 ZINC000661977832 411931919 /nfs/dbraw/zinc/93/19/19/411931919.db2.gz ZXKAVARGOQHKRM-GHMZBOCLSA-N 0 3 222.357 2.602 20 0 BFADHN CC[C@@H](CNC/C=C/c1ccccc1)OC ZINC000289869337 170827875 /nfs/dbraw/zinc/82/78/75/170827875.db2.gz RBCJMZAGQWBEFS-RNVIBTMRSA-N 0 3 219.328 2.714 20 0 BFADHN Cc1cc(CN[C@@H](C)C2CCC(F)CC2)no1 ZINC000661998535 411937842 /nfs/dbraw/zinc/93/78/42/411937842.db2.gz LDCHRXSQQFLNCO-UNXYVOJBSA-N 0 3 240.322 2.989 20 0 BFADHN FCCCCN1CCOC(C2CC2)(C2CC2)C1 ZINC000661993477 411938105 /nfs/dbraw/zinc/93/81/05/411938105.db2.gz LJFXGLRTXBXENZ-UHFFFAOYSA-N 0 3 241.350 2.627 20 0 BFADHN c1oc2ccccc2c1CN[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000132429772 411939394 /nfs/dbraw/zinc/93/93/94/411939394.db2.gz WNWUCGJIJYSKOJ-KYOSRNDESA-N 0 3 243.306 2.842 20 0 BFADHN Cc1ccnc(NC[C@@H]2CCN2C(C)(C)C)c1 ZINC000664142339 411870217 /nfs/dbraw/zinc/87/02/17/411870217.db2.gz IRSUUKFPITWKFL-LBPRGKRZSA-N 0 3 233.359 2.675 20 0 BFADHN CC(C)CC1([NH2+]Cc2nnc(C(C)C)[n-]2)CC1 ZINC000662066865 411967875 /nfs/dbraw/zinc/96/78/75/411967875.db2.gz SNXFOOOYBMHRKU-UHFFFAOYSA-N 0 3 236.363 2.596 20 0 BFADHN CCCN1CC[C@@H](Nc2ncc(Cl)s2)C1 ZINC000664529504 411979223 /nfs/dbraw/zinc/97/92/23/411979223.db2.gz JRZDECGBTQTRFW-MRVPVSSYSA-N 0 3 245.779 2.693 20 0 BFADHN CC[C@@H](NCCC(C)(F)F)c1cnn(C)c1 ZINC000297462895 170892777 /nfs/dbraw/zinc/89/27/77/170892777.db2.gz XXWHAUSGPXAOAW-SNVBAGLBSA-N 0 3 231.290 2.506 20 0 BFADHN C[C@@H]1CCC[C@H](CN2CCc3nccnc3C2)C1 ZINC000662121620 411992440 /nfs/dbraw/zinc/99/24/40/411992440.db2.gz QAMYWPIMNNAHEB-OLZOCXBDSA-N 0 3 245.370 2.661 20 0 BFADHN CC[C@@H](NC[C@H]1CCOC1)c1nc(C)cs1 ZINC000128910310 170901473 /nfs/dbraw/zinc/90/14/73/170901473.db2.gz MUGAOVUSGIJBTP-GHMZBOCLSA-N 0 3 240.372 2.529 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1nccn1C ZINC000662157486 412010644 /nfs/dbraw/zinc/01/06/44/412010644.db2.gz KGWRSFGIIQMLCZ-NWDGAFQWSA-N 0 3 221.348 2.573 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1cncn1C ZINC000662156632 412011089 /nfs/dbraw/zinc/01/10/89/412011089.db2.gz BJBNEHLAOPNFEM-NWDGAFQWSA-N 0 3 221.348 2.573 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1ccc(OC)nn1 ZINC000662161011 412012562 /nfs/dbraw/zinc/01/25/62/412012562.db2.gz PPINQKHSOYPFNR-WCQYABFASA-N 0 3 249.358 2.638 20 0 BFADHN CC[C@@H]1CCC[C@H](C)N1Cc1cc[nH]n1 ZINC000662161628 412013638 /nfs/dbraw/zinc/01/36/38/412013638.db2.gz VKMPGCKNBVGJQN-CMPLNLGQSA-N 0 3 207.321 2.563 20 0 BFADHN CCCCCN[C@H](CO)c1ccc(F)cc1F ZINC000662166365 412014623 /nfs/dbraw/zinc/01/46/23/412014623.db2.gz QMBPGHTYEQLLEA-CYBMUJFWSA-N 0 3 243.297 2.778 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CC[C@H]2COC[C@@H]2C1 ZINC000662038321 411955483 /nfs/dbraw/zinc/95/54/83/411955483.db2.gz SNBANFWPRCNQRA-XBFCOCLRSA-N 0 3 249.329 2.855 20 0 BFADHN Cc1ncc(CN2CCC[C@@H](C3CCC3)C2)cn1 ZINC000662042499 411959714 /nfs/dbraw/zinc/95/97/14/411959714.db2.gz DRGPBHDTZGQEGD-OAHLLOKOSA-N 0 3 245.370 2.797 20 0 BFADHN Nc1ncccc1CN1CCC[C@H](C2CCC2)C1 ZINC000662042435 411960289 /nfs/dbraw/zinc/96/02/89/411960289.db2.gz GSHZLPYWSRFOOU-ZDUSSCGKSA-N 0 3 245.370 2.676 20 0 BFADHN Cc1nn(C)cc1CN1CCC[C@H](C2CCC2)C1 ZINC000662041900 411960669 /nfs/dbraw/zinc/96/06/69/411960669.db2.gz DRMFRDMTWMAEQL-AWEZNQCLSA-N 0 3 247.386 2.741 20 0 BFADHN CCn1cc(CN2CCC[C@H](C3CCC3)C2)cn1 ZINC000662045543 411962181 /nfs/dbraw/zinc/96/21/81/411962181.db2.gz YMDHJWHRXKUALC-HNNXBMFYSA-N 0 3 247.386 2.915 20 0 BFADHN CC1(CN2CC(C)(C)[C@H]2c2ccncc2)COC1 ZINC000664830624 412029403 /nfs/dbraw/zinc/02/94/03/412029403.db2.gz XVNCEVJJFXEIGO-CYBMUJFWSA-N 0 3 246.354 2.501 20 0 BFADHN CC1(C)CN(C[C@@H]2CCOC2)[C@H]1c1ccncc1 ZINC000664832340 412030085 /nfs/dbraw/zinc/03/00/85/412030085.db2.gz IQNPVPOVLNTIOH-JSGCOSHPSA-N 0 3 246.354 2.501 20 0 BFADHN c1[nH]nc2c1CN(CC1CCCCC1)CCC2 ZINC000664862417 412033734 /nfs/dbraw/zinc/03/37/34/412033734.db2.gz ILWGINHARICHEH-UHFFFAOYSA-N 0 3 233.359 2.738 20 0 BFADHN CC(C)=CCN1Cc2c[nH]nc2CC(C)(C)C1 ZINC000664864909 412033908 /nfs/dbraw/zinc/03/39/08/412033908.db2.gz YUPCRLRUOHUABI-UHFFFAOYSA-N 0 3 233.359 2.760 20 0 BFADHN CCC[C@@H](C)NC(=O)c1ccc(CN(C)C)cc1 ZINC000073525481 412040374 /nfs/dbraw/zinc/04/03/74/412040374.db2.gz CIOZHPVMPDNUGG-GFCCVEGCSA-N 0 3 248.370 2.667 20 0 BFADHN C1=CCC(CN2CCNCc3ccccc32)C1 ZINC000662247546 412044524 /nfs/dbraw/zinc/04/45/24/412044524.db2.gz XFXRHTLXUUJRBL-UHFFFAOYSA-N 0 3 228.339 2.562 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1cn(C(C)C)nn1 ZINC000342167698 171000797 /nfs/dbraw/zinc/00/07/97/171000797.db2.gz OWCBBPCFABSDDB-DGCLKSJQSA-N 0 3 236.363 2.527 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@@H](C)c1ncc[nH]1 ZINC000124699842 171003264 /nfs/dbraw/zinc/00/32/64/171003264.db2.gz QTLSDZAIFCGWFQ-HBNTYKKESA-N 0 3 207.321 2.639 20 0 BFADHN CC[C@@H]1CCN(Cc2cnc(C)s2)C1 ZINC000125681491 171012036 /nfs/dbraw/zinc/01/20/36/171012036.db2.gz PBTDIESILGWKQF-SNVBAGLBSA-N 0 3 210.346 2.683 20 0 BFADHN CN(Cc1ccno1)C[C@H]1CCc2ccccc21 ZINC000662197058 412022602 /nfs/dbraw/zinc/02/26/02/412022602.db2.gz HKGFLWSGXFWWRV-CYBMUJFWSA-N 0 3 242.322 2.836 20 0 BFADHN CC[C@@H]1CN(CCCCOC(C)(C)C)CCO1 ZINC000354870692 171078299 /nfs/dbraw/zinc/07/82/99/171078299.db2.gz KFADJKHZKXOGPQ-CYBMUJFWSA-N 0 3 243.391 2.693 20 0 BFADHN CC[C@@H]1CN(Cc2ccc(F)cc2)CCCO1 ZINC000281188166 171089782 /nfs/dbraw/zinc/08/97/82/171089782.db2.gz ICKYVLGUDLIDMP-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN CCOc1ccccc1CNC1CC=CC1 ZINC000132553506 412063475 /nfs/dbraw/zinc/06/34/75/412063475.db2.gz ZOHAADYUBKHFRH-UHFFFAOYSA-N 0 3 217.312 2.894 20 0 BFADHN CC[C@@H]1CO[C@H](C)CN1CC1(SC)CCC1 ZINC000356105526 171112205 /nfs/dbraw/zinc/11/22/05/171112205.db2.gz XJSFKNAZLSHSNU-VXGBXAGGSA-N 0 3 243.416 2.771 20 0 BFADHN CCCn1nccc1CN1CCC[C@H](C)CC1 ZINC000667560886 487621718 /nfs/dbraw/zinc/62/17/18/487621718.db2.gz FFRRVFYXIMOXMG-ZDUSSCGKSA-N 0 3 235.375 2.915 20 0 BFADHN CC[C@@H]1Cc2ccccc2CN1CCC(C)=O ZINC000185784240 171143453 /nfs/dbraw/zinc/14/34/53/171143453.db2.gz BCVLOSJJSJARMR-OAHLLOKOSA-N 0 3 231.339 2.802 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cc(C)on1 ZINC000185590987 171155677 /nfs/dbraw/zinc/15/56/77/171155677.db2.gz VNLKFMYVDYFELG-JOYOIKCWSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cn2ccccc2n1 ZINC000185647902 171160048 /nfs/dbraw/zinc/16/00/48/171160048.db2.gz JEBJWVXRWUPORF-TZMCWYRMSA-N 0 3 243.354 2.955 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cncc(OC)c1 ZINC000290117045 171160660 /nfs/dbraw/zinc/16/06/60/171160660.db2.gz YSNVCGWHAVPZRC-BXUZGUMPSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1ccc(F)cn1 ZINC000276293708 171161198 /nfs/dbraw/zinc/16/11/98/171161198.db2.gz VYYYZXSGMJWIEH-ZWNOBZJWSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H]1[C@H](C)CCN1Cc1cc(C)on1 ZINC000185590949 171161237 /nfs/dbraw/zinc/16/12/37/171161237.db2.gz VNLKFMYVDYFELG-BXKDBHETSA-N 0 3 208.305 2.603 20 0 BFADHN CC[C@@H]1c2ccsc2CCN1CCCCO ZINC000170871353 171162356 /nfs/dbraw/zinc/16/23/56/171162356.db2.gz KGWAMJHIKPNKAI-GFCCVEGCSA-N 0 3 239.384 2.830 20 0 BFADHN CC[C@@](C)(CCO)NCc1cccc(F)c1F ZINC000177899540 171165125 /nfs/dbraw/zinc/16/51/25/171165125.db2.gz CRZVQVLCPNSXDL-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN CC[C@@](C)(CCO)NCc1cc(C)ccc1F ZINC000177900589 171165590 /nfs/dbraw/zinc/16/55/90/171165590.db2.gz NSCMMZZHGPMWMU-AWEZNQCLSA-N 0 3 239.334 2.775 20 0 BFADHN CC[C@@](C)(CO)NCc1cccc(Cl)c1F ZINC000131127106 171170748 /nfs/dbraw/zinc/17/07/48/171170748.db2.gz HLKNJHZCAAQQIG-LBPRGKRZSA-N 0 3 245.725 2.730 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1ccc(F)cc1F ZINC000087013061 171184151 /nfs/dbraw/zinc/18/41/51/171184151.db2.gz SMXMQPKXUALFKF-TVQRCGJNSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1cc(C)oc1C ZINC000083463311 171185130 /nfs/dbraw/zinc/18/51/30/171185130.db2.gz RVNQNODIEGALQZ-GXFFZTMASA-N 0 3 225.332 2.708 20 0 BFADHN CC[C@@](C)(O)CN[C@@H](C)c1ccccc1F ZINC000083462489 171185326 /nfs/dbraw/zinc/18/53/26/171185326.db2.gz PSZGALKNKPGEAG-GXFFZTMASA-N 0 3 225.307 2.637 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1cc(F)cc(F)c1 ZINC000094827715 171185445 /nfs/dbraw/zinc/18/54/45/171185445.db2.gz JRGCQERPAALSMK-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1cc(F)ccc1F ZINC000087011349 171186539 /nfs/dbraw/zinc/18/65/39/171186539.db2.gz NZGMMJRREKQULV-NOZJJQNGSA-N 0 3 243.297 2.776 20 0 BFADHN CC[C@@](C)(O)CN[C@H](C)c1cc(C)oc1C ZINC000083463315 171186630 /nfs/dbraw/zinc/18/66/30/171186630.db2.gz RVNQNODIEGALQZ-ZWNOBZJWSA-N 0 3 225.332 2.708 20 0 BFADHN CC[C@@]1(C)CCN(Cc2cncc(F)c2)C1 ZINC000336359658 171201922 /nfs/dbraw/zinc/20/19/22/171201922.db2.gz LPHUINWYUZRKJP-ZDUSSCGKSA-N 0 3 222.307 2.843 20 0 BFADHN CC[C@@]1(C)COCCN1CCSC(C)C ZINC000336672723 171205409 /nfs/dbraw/zinc/20/54/09/171205409.db2.gz GLDWTGYJZNUGOD-LBPRGKRZSA-N 0 3 231.405 2.629 20 0 BFADHN CC[C@@]1(C)COCCN1[C@@H]1C=CCCC1 ZINC000340888978 171206265 /nfs/dbraw/zinc/20/62/65/171206265.db2.gz SVQZPRZKGUQVAO-OLZOCXBDSA-N 0 3 209.333 2.596 20 0 BFADHN CC[C@@]1(C)C[C@@H]1c1nc(C(C)(C)OC)no1 ZINC000341400591 171207099 /nfs/dbraw/zinc/20/70/99/171207099.db2.gz RLVIXWMIMVXCTD-PELKAZGASA-N 0 3 224.304 2.855 20 0 BFADHN CC[C@@]1(C)C[C@H]1C(=O)N(C)CCCOC(C)C ZINC000341310846 171207141 /nfs/dbraw/zinc/20/71/41/171207141.db2.gz KKDVIXSGBFQSDB-JSGCOSHPSA-N 0 3 241.375 2.696 20 0 BFADHN CC[C@@]1(CO)CCCN(Cc2ccc(C)o2)C1 ZINC000190231878 171210163 /nfs/dbraw/zinc/21/01/63/171210163.db2.gz VQOUKCSZWAIRJD-CQSZACIVSA-N 0 3 237.343 2.573 20 0 BFADHN CC[C@H](C(=O)N(C)C1CCCC1)N(CC)CC ZINC000361458614 171220160 /nfs/dbraw/zinc/22/01/60/171220160.db2.gz HRTKAFGNPMTVIY-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H](C(=O)N(CC)C1CCC1)N(CC)CC ZINC000359798412 171222043 /nfs/dbraw/zinc/22/20/43/171222043.db2.gz PPOGXCMSMPLGMQ-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN CC[C@H](C(=O)N[C@H](C)c1ccc(C)cc1)N(C)C ZINC000268785058 171233398 /nfs/dbraw/zinc/23/33/98/171233398.db2.gz ZQDIQDSTNQUHDC-TZMCWYRMSA-N 0 3 248.370 2.512 20 0 BFADHN CC[C@H](C)CN(C)CC(=O)N(C(C)C)C(C)C ZINC000111253293 171272283 /nfs/dbraw/zinc/27/22/83/171272283.db2.gz VHXYGCPTQNJORO-ZDUSSCGKSA-N 0 3 242.407 2.610 20 0 BFADHN CC[C@H](C)CN(C)[C@@H](C)C(=O)Nc1ccccc1 ZINC000111253551 171274391 /nfs/dbraw/zinc/27/43/91/171274391.db2.gz NOQGHZQZWPLGHG-STQMWFEESA-N 0 3 248.370 2.992 20 0 BFADHN CC[C@H](C)CN(C)Cc1ncccc1C ZINC000093173262 171274506 /nfs/dbraw/zinc/27/45/06/171274506.db2.gz LKGCUHOSUPSUDY-NSHDSACASA-N 0 3 206.333 2.868 20 0 BFADHN CC[C@H](C)CN(C)Cc1cnc([C@@H](C)O)s1 ZINC000289140021 171275085 /nfs/dbraw/zinc/27/50/85/171275085.db2.gz PINDSJPKDKHPTE-VHSXEESVSA-N 0 3 242.388 2.674 20 0 BFADHN CC[C@H](C)CN(C)Cc1ccc(OC)nc1 ZINC000085796088 171275133 /nfs/dbraw/zinc/27/51/33/171275133.db2.gz AWTXETGXLZRRGX-NSHDSACASA-N 0 3 222.332 2.568 20 0 BFADHN CC[C@H](C)CN1CCCC[C@@H]1c1n[nH]c(C)n1 ZINC000336709699 171279480 /nfs/dbraw/zinc/27/94/80/171279480.db2.gz KKXVWRJDRZGNQW-CMPLNLGQSA-N 0 3 236.363 2.686 20 0 BFADHN CC[C@H](C)CN1CCN(Cc2ccccc2)CC1 ZINC000309774912 171280769 /nfs/dbraw/zinc/28/07/69/171280769.db2.gz XSMZJYAITRSYGP-HNNXBMFYSA-N 0 3 246.398 2.850 20 0 BFADHN CC[C@H](C)CN[C@@H](C)C(=O)Nc1ccccc1 ZINC000054471763 171285637 /nfs/dbraw/zinc/28/56/37/171285637.db2.gz KFDMYDYKXAUKRJ-RYUDHWBXSA-N 0 3 234.343 2.649 20 0 BFADHN CC[C@H](C)CNCc1noc2c1CCCC2 ZINC000310009252 171285984 /nfs/dbraw/zinc/28/59/84/171285984.db2.gz HYVVKQSSSONFCK-JTQLQIEISA-N 0 3 222.332 2.689 20 0 BFADHN CC[C@H](C)C[NH2+][C@H](C)c1nnc(C(C)C)[n-]1 ZINC000129142275 171287067 /nfs/dbraw/zinc/28/70/67/171287067.db2.gz WOLBEFZOIBIJLR-VHSXEESVSA-N 0 3 224.352 2.625 20 0 BFADHN CC[C@H](C)CN[C@H](C)c1nnc(C(C)C)[nH]1 ZINC000129142275 171287071 /nfs/dbraw/zinc/28/70/71/171287071.db2.gz WOLBEFZOIBIJLR-VHSXEESVSA-N 0 3 224.352 2.625 20 0 BFADHN CC[C@H](C)N(CCO)Cc1cc(F)cc(F)c1 ZINC000287408316 171313204 /nfs/dbraw/zinc/31/32/04/171313204.db2.gz OJGDMDVKPUGWBN-JTQLQIEISA-N 0 3 243.297 2.558 20 0 BFADHN CC[C@H](C)N(CCO)Cc1ccccc1Cl ZINC000336649921 171313882 /nfs/dbraw/zinc/31/38/82/171313882.db2.gz HEJFPOXHQUKHRZ-NSHDSACASA-N 0 3 241.762 2.933 20 0 BFADHN CC[C@H](C)N1CC=C(C(F)(F)F)CC1 ZINC000335702036 171317444 /nfs/dbraw/zinc/31/74/44/171317444.db2.gz ITVQNMLWZCUWMT-QMMMGPOBSA-N 0 3 207.239 2.979 20 0 BFADHN CC[C@H](C)NCc1nccn1-c1ccccc1 ZINC000190674112 171344229 /nfs/dbraw/zinc/34/42/29/171344229.db2.gz UZYRLDKGURPPHX-LBPRGKRZSA-N 0 3 229.327 2.760 20 0 BFADHN CC[C@H](C)N[C@@H](C)c1cc(OC)ncc1F ZINC000336671354 171345843 /nfs/dbraw/zinc/34/58/43/171345843.db2.gz AKTQFKUUUZEUSO-IUCAKERBSA-N 0 3 226.295 2.678 20 0 BFADHN CC[C@H](C)[C@@H](C)NCC(=O)Nc1ccccc1C ZINC000042556247 171364358 /nfs/dbraw/zinc/36/43/58/171364358.db2.gz LABFNNVIDUMQRX-WCQYABFASA-N 0 3 248.370 2.958 20 0 BFADHN CC[C@H](C)[C@@H](C)NCC(=O)N(C)c1ccccc1 ZINC000183033419 171365055 /nfs/dbraw/zinc/36/50/55/171365055.db2.gz HMTUBGQHPRNYGZ-QWHCGFSZSA-N 0 3 248.370 2.674 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cccnc1OC ZINC000037485408 171365210 /nfs/dbraw/zinc/36/52/10/171365210.db2.gz UFPJYLKILQAONK-WDEREUQCSA-N 0 3 222.332 2.614 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cc(F)ncc1F ZINC000296584376 171365687 /nfs/dbraw/zinc/36/56/87/171365687.db2.gz XDVXBNIRXAIDJW-DTWKUNHWSA-N 0 3 228.286 2.884 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cccc(OC)n1 ZINC000069637599 171365980 /nfs/dbraw/zinc/36/59/80/171365980.db2.gz GOIFZTBVSAMSFC-WDEREUQCSA-N 0 3 222.332 2.614 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1c(C)noc1C ZINC000088423879 171366095 /nfs/dbraw/zinc/36/60/95/171366095.db2.gz PUNDDTZDOXGIRZ-DTWKUNHWSA-N 0 3 210.321 2.816 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@H](C)c1ccccn1)OC ZINC000294152806 171368680 /nfs/dbraw/zinc/36/86/80/171368680.db2.gz HQKAROFAJOXROS-OUCADQQQSA-N 0 3 236.359 2.793 20 0 BFADHN CC(C)(C)n1cc(CN2CC3CC2(C)C3)cn1 ZINC000662385728 412137636 /nfs/dbraw/zinc/13/76/36/412137636.db2.gz CREDIDPCDVBKKZ-UHFFFAOYSA-N 0 3 233.359 2.622 20 0 BFADHN CC[C@H](C)[C@@H]1CCCCN1Cc1ncccn1 ZINC000361830013 171382230 /nfs/dbraw/zinc/38/22/30/171382230.db2.gz PNICORMNFPGMNB-STQMWFEESA-N 0 3 233.359 2.877 20 0 BFADHN CC[C@H](C)[C@@H]1CCCCN1Cc1c[nH]cn1 ZINC000353812248 171382279 /nfs/dbraw/zinc/38/22/79/171382279.db2.gz XNCIWBJQDUINFH-AAEUAGOBSA-N 0 3 221.348 2.810 20 0 BFADHN COc1cccc(OCCN2CC3CC2(C)C3)c1 ZINC000662385209 412138541 /nfs/dbraw/zinc/13/85/41/412138541.db2.gz NLEIAPANADPIOV-UHFFFAOYSA-N 0 3 247.338 2.558 20 0 BFADHN CC[C@H](C)[C@H](C)NCC(=O)Nc1ccccc1 ZINC000042556110 171388860 /nfs/dbraw/zinc/38/88/60/171388860.db2.gz ZUFOLZQZWGNNEC-RYUDHWBXSA-N 0 3 234.343 2.649 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1cc(OC)ccn1 ZINC000273357021 171389942 /nfs/dbraw/zinc/38/99/42/171389942.db2.gz VZIWGERSBSXQCV-QWRGUYRKSA-N 0 3 222.332 2.614 20 0 BFADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1cnc(C)cn1 ZINC000336742731 171390107 /nfs/dbraw/zinc/39/01/07/171390107.db2.gz SHEQIYDWWGYBOM-DLOVCJGASA-N 0 3 221.348 2.870 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1occc1C(=O)OC ZINC000273358661 171390522 /nfs/dbraw/zinc/39/05/22/171390522.db2.gz WEORMPWZJMPMRR-UWVGGRQHSA-N 0 3 239.315 2.590 20 0 BFADHN CC[C@H](C)[C@H](CNCc1ccncc1C)OC ZINC000294705006 171392526 /nfs/dbraw/zinc/39/25/26/171392526.db2.gz DHHSWEUNHYMRON-FZMZJTMJSA-N 0 3 236.359 2.541 20 0 BFADHN CC[C@H](C)[C@H](CNCc1ccc(F)cc1)OC ZINC000362976502 171392548 /nfs/dbraw/zinc/39/25/48/171392548.db2.gz KRLWXTKGASTQFP-FZMZJTMJSA-N 0 3 239.334 2.976 20 0 BFADHN CC[C@@H](O)CN[C@@]1(c2ccccc2)CC1(C)C ZINC000662340935 412110249 /nfs/dbraw/zinc/11/02/49/412110249.db2.gz QDYRESJIODMXHJ-UKRRQHHQSA-N 0 3 233.355 2.672 20 0 BFADHN COc1cc(C)nc(CN(C)C[C@H]2CC2(C)C)c1 ZINC000662343691 412111981 /nfs/dbraw/zinc/11/19/81/412111981.db2.gz CHBHAAUWRNESGG-GFCCVEGCSA-N 0 3 248.370 2.877 20 0 BFADHN Cc1noc(C)c1CN(C)C[C@H]1CC1(C)C ZINC000662345076 412114442 /nfs/dbraw/zinc/11/44/42/412114442.db2.gz VVAXFFFGFDCNPF-LLVKDONJSA-N 0 3 222.332 2.769 20 0 BFADHN CCc1nocc1CN(C)C[C@@H]1CC1(C)C ZINC000662343996 412114577 /nfs/dbraw/zinc/11/45/77/412114577.db2.gz OHVAZHJLRQSXKQ-NSHDSACASA-N 0 3 222.332 2.715 20 0 BFADHN CN(Cc1cccnc1)C[C@@H]1CC1(C)C ZINC000662345584 412117345 /nfs/dbraw/zinc/11/73/45/412117345.db2.gz JQOJXHVEVOTAGF-LBPRGKRZSA-N 0 3 204.317 2.560 20 0 BFADHN C[C@H]1CN(CCC2CC2)C[C@@](C)(C(F)F)O1 ZINC000662359888 412122728 /nfs/dbraw/zinc/12/27/28/412122728.db2.gz OJBZFBKJJLXPEF-CABZTGNLSA-N 0 3 233.302 2.531 20 0 BFADHN c1n[nH]cc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC000662377315 412130181 /nfs/dbraw/zinc/13/01/81/412130181.db2.gz WEMKRQIACPMEMS-STQMWFEESA-N 0 3 219.332 2.564 20 0 BFADHN CC12CC(C1)CN2CCOc1ccc(F)cc1 ZINC000662379790 412131510 /nfs/dbraw/zinc/13/15/10/412131510.db2.gz AMECSJXKOFKWQX-UHFFFAOYSA-N 0 3 235.302 2.689 20 0 BFADHN CC12CC(C1)CN2CCOc1ccccc1F ZINC000662380484 412132409 /nfs/dbraw/zinc/13/24/09/412132409.db2.gz MYNCDUSQGDQSRC-UHFFFAOYSA-N 0 3 235.302 2.689 20 0 BFADHN CC[C@H](CSC)NCc1cn2ccccc2n1 ZINC000163865123 171466599 /nfs/dbraw/zinc/46/65/99/171466599.db2.gz YUFSQUCCKSBCQA-LLVKDONJSA-N 0 3 249.383 2.566 20 0 BFADHN CC[C@H](NC)C(=O)N(C)c1cccc(C(C)C)c1 ZINC000662536349 412209108 /nfs/dbraw/zinc/20/91/08/412209108.db2.gz UWKQWCILMUWDAO-AWEZNQCLSA-N 0 3 248.370 2.771 20 0 BFADHN Cc1ccccc1NC(=O)C1(NC2CC2)CCC1 ZINC000662432052 412170039 /nfs/dbraw/zinc/17/00/39/412170039.db2.gz NJOGCNXMMIAOCJ-UHFFFAOYSA-N 0 3 244.338 2.608 20 0 BFADHN CC[C@H](O)CCN[C@@H](C)c1ccc(F)cc1F ZINC000132775263 171561208 /nfs/dbraw/zinc/56/12/08/171561208.db2.gz PBPRZGPVOUBKFI-ONGXEEELSA-N 0 3 243.297 2.776 20 0 BFADHN Fc1cnccc1CN1CC[C@H](C2CCC2)C1 ZINC000665290009 412236103 /nfs/dbraw/zinc/23/61/03/412236103.db2.gz FJWSIOUWJUDHBK-LBPRGKRZSA-N 0 3 234.318 2.843 20 0 BFADHN CC[C@H]1CC[C@H]1NCc1nccn1C(F)F ZINC000309611821 171648730 /nfs/dbraw/zinc/64/87/30/171648730.db2.gz AKGHRXIPHIOABO-DTWKUNHWSA-N 0 3 229.274 2.556 20 0 BFADHN CC[C@](C)(CN)c1nc(-c2ccccc2F)no1 ZINC000211559329 171693006 /nfs/dbraw/zinc/69/30/06/171693006.db2.gz ZISGKNWHWSSHTH-CYBMUJFWSA-N 0 3 249.289 2.502 20 0 BFADHN CCc1ccc(CN2CC[C@@H](CC)C2)nc1 ZINC000339017859 171801212 /nfs/dbraw/zinc/80/12/12/171801212.db2.gz RMZZDLZEZALCMA-CYBMUJFWSA-N 0 3 218.344 2.876 20 0 BFADHN CCc1ccc([C@H](C)NCC[C@@H](O)CC)o1 ZINC000123420056 171839194 /nfs/dbraw/zinc/83/91/94/171839194.db2.gz UTEZROPOYCVXPK-QWRGUYRKSA-N 0 3 225.332 2.654 20 0 BFADHN CCc1ccc([C@H](C)N[C@H]2CCCOC2)o1 ZINC000167419101 171840448 /nfs/dbraw/zinc/84/04/48/171840448.db2.gz MVUCMBVCGVNLLD-QWRGUYRKSA-N 0 3 223.316 2.672 20 0 BFADHN CCc1nnc([C@H](C)[NH2+][C@@H](C)C2CCCC2)[n-]1 ZINC000358252245 172005702 /nfs/dbraw/zinc/00/57/02/172005702.db2.gz SRMSTYGKOXVFSC-UWVGGRQHSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1nnc([C@H](C)N[C@@H](C)C2CCCC2)[nH]1 ZINC000358252245 172005705 /nfs/dbraw/zinc/00/57/05/172005705.db2.gz SRMSTYGKOXVFSC-UWVGGRQHSA-N 0 3 236.363 2.596 20 0 BFADHN CCc1ncc(CN[C@@H]2CC[C@@H](F)C2)s1 ZINC000336759933 172018645 /nfs/dbraw/zinc/01/86/45/172018645.db2.gz LHJCSDSJHOVCJP-RKDXNWHRSA-N 0 3 228.336 2.686 20 0 BFADHN CCc1ncc(CN[C@H]2CC[C@H]2C)s1 ZINC000308531360 172020085 /nfs/dbraw/zinc/02/00/85/172020085.db2.gz KUEUGXUXGUQJGQ-SCZZXKLOSA-N 0 3 210.346 2.594 20 0 BFADHN CCc1nnc(CN[C@H](C)[C@H](C)CC)s1 ZINC000308963943 172054114 /nfs/dbraw/zinc/05/41/14/172054114.db2.gz TZTQNSVCQGPPNX-RKDXNWHRSA-N 0 3 227.377 2.625 20 0 BFADHN CCc1noc(C)c1CN(C)CC(C)C ZINC000268197327 172075220 /nfs/dbraw/zinc/07/52/20/172075220.db2.gz WUGFVSAYCPCZQH-UHFFFAOYSA-N 0 3 210.321 2.633 20 0 BFADHN CCc1noc(C)c1CN1[C@@H](C)CC[C@@H]1C ZINC000123327657 172077445 /nfs/dbraw/zinc/07/74/45/172077445.db2.gz HCUHOARYTUNSRY-UWVGGRQHSA-N 0 3 222.332 2.918 20 0 BFADHN CCc1noc(C)c1CN[C@@H](CC)C1CC1 ZINC000340440080 172078793 /nfs/dbraw/zinc/07/87/93/172078793.db2.gz NTKNKVCUCNNZHU-LBPRGKRZSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1noc(C)c1CNC1CC(CC)C1 ZINC000340440944 172079468 /nfs/dbraw/zinc/07/94/68/172079468.db2.gz VRLMFZYAXULYHY-UHFFFAOYSA-N 0 3 222.332 2.824 20 0 BFADHN CCc1nocc1CNCCC1(C)CC1 ZINC000339377250 172097644 /nfs/dbraw/zinc/09/76/44/172097644.db2.gz IIBYGDMIIJGQLV-UHFFFAOYSA-N 0 3 208.305 2.517 20 0 BFADHN CCc1nocc1CNCC1(C2CC2)CC1 ZINC000339319285 172097690 /nfs/dbraw/zinc/09/76/90/172097690.db2.gz RZGIGJJVCUGMPH-UHFFFAOYSA-N 0 3 220.316 2.517 20 0 BFADHN CCc1nocc1CNCC1(C(C)C)CC1 ZINC000339293028 172097811 /nfs/dbraw/zinc/09/78/11/172097811.db2.gz DDJCDDRXJQYHHF-UHFFFAOYSA-N 0 3 222.332 2.763 20 0 BFADHN CCc1nocc1CNCCc1ccsc1 ZINC000339179283 172099160 /nfs/dbraw/zinc/09/91/60/172099160.db2.gz OYIGWIDJZLJQPG-UHFFFAOYSA-N 0 3 236.340 2.631 20 0 BFADHN CCc1nocc1CNCCCCOC(C)C ZINC000339342799 172099254 /nfs/dbraw/zinc/09/92/54/172099254.db2.gz ITHWUKOANLDTAX-UHFFFAOYSA-N 0 3 240.347 2.532 20 0 BFADHN CCc1nocc1CNCCc1ccccc1C ZINC000339169364 172099745 /nfs/dbraw/zinc/09/97/45/172099745.db2.gz FZKDETGAYATEHQ-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN CCc1nocc1CNCCCC(C)C ZINC000339177716 172099807 /nfs/dbraw/zinc/09/98/07/172099807.db2.gz BGVPNGCKELOTSZ-UHFFFAOYSA-N 0 3 210.321 2.763 20 0 BFADHN CCc1nocc1CNC[C@@H]1CC[C@@H](C)C1 ZINC000339386318 172100277 /nfs/dbraw/zinc/10/02/77/172100277.db2.gz XYWXOVMQKXJKPM-GHMZBOCLSA-N 0 3 222.332 2.763 20 0 BFADHN CCc1oc2ccccc2c1CNCCOC ZINC000002003884 172112888 /nfs/dbraw/zinc/11/28/88/172112888.db2.gz AXNOCWBCQVKMMQ-UHFFFAOYSA-N 0 3 233.311 2.731 20 0 BFADHN CCc1oc2ccccc2c1CN[C@@H](CC)CO ZINC000035017763 172113009 /nfs/dbraw/zinc/11/30/09/172113009.db2.gz YLXIVOIOMIUOPE-NSHDSACASA-N 0 3 247.338 2.856 20 0 BFADHN CCn1cc(CN(C)CCc2cccs2)cn1 ZINC000113943204 172159888 /nfs/dbraw/zinc/15/98/88/172159888.db2.gz DZOYMSZXGKLJTA-UHFFFAOYSA-N 0 3 249.383 2.639 20 0 BFADHN CCn1cc(CN2CC[C@H](CC3CC3)C2)cn1 ZINC000354527278 172164084 /nfs/dbraw/zinc/16/40/84/172164084.db2.gz QBIMWYRCTHMLHT-CYBMUJFWSA-N 0 3 233.359 2.525 20 0 BFADHN CCn1cc(CN2CC[C@]3(CC[C@@H](C)C3)C2)cn1 ZINC000360011956 172164334 /nfs/dbraw/zinc/16/43/34/172164334.db2.gz AAUJWPACBGJRNJ-HIFRSBDPSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1cc(CN2CC[C@@H](CC3CC3)C2)cn1 ZINC000354527279 172165765 /nfs/dbraw/zinc/16/57/65/172165765.db2.gz QBIMWYRCTHMLHT-ZDUSSCGKSA-N 0 3 233.359 2.525 20 0 BFADHN CCn1cc(CNC(C)(C)c2ccccc2)cn1 ZINC000264968128 172169994 /nfs/dbraw/zinc/16/99/94/172169994.db2.gz YISCCMVUJWJWLY-UHFFFAOYSA-N 0 3 243.354 2.928 20 0 BFADHN CCn1cc(CNC/C=C\c2ccccc2)cn1 ZINC000353104925 172170285 /nfs/dbraw/zinc/17/02/85/172170285.db2.gz YJZLNEQNLATFNG-TWGQIWQCSA-N 0 3 241.338 2.706 20 0 BFADHN CCn1cc(CN[C@@H]2CCc3ccccc32)cn1 ZINC000050539546 172174520 /nfs/dbraw/zinc/17/45/20/172174520.db2.gz WVWBZCXHGHYECR-OAHLLOKOSA-N 0 3 241.338 2.680 20 0 BFADHN CCn1ccc(CN[C@@H](C)CCc2ccco2)n1 ZINC000556890081 322930301 /nfs/dbraw/zinc/93/03/01/322930301.db2.gz HBXXHOSNLOXECT-LBPRGKRZSA-N 0 3 247.342 2.607 20 0 BFADHN CCn1cc([C@@H](C)NCCc2ccsc2)cn1 ZINC000044500432 172192781 /nfs/dbraw/zinc/19/27/81/172192781.db2.gz KFTKDCORHPJZGS-LLVKDONJSA-N 0 3 249.383 2.858 20 0 BFADHN CCn1cc([C@@H](C)N[C@H](C)Cc2ccoc2)cn1 ZINC000361928604 172194240 /nfs/dbraw/zinc/19/42/40/172194240.db2.gz WVTFPFYZVXHHEE-VXGBXAGGSA-N 0 3 247.342 2.778 20 0 BFADHN CCn1cc([C@H](C)NCCc2ccsc2)cn1 ZINC000044500434 172195862 /nfs/dbraw/zinc/19/58/62/172195862.db2.gz KFTKDCORHPJZGS-NSHDSACASA-N 0 3 249.383 2.858 20 0 BFADHN CCn1cccc1CN(C)CCC1CC1 ZINC000336700090 172202347 /nfs/dbraw/zinc/20/23/47/172202347.db2.gz YXZANPNIJCLPBK-UHFFFAOYSA-N 0 3 206.333 2.740 20 0 BFADHN CCn1ccnc1CNC1[C@@H](C)CCC[C@@H]1C ZINC000343788141 172223552 /nfs/dbraw/zinc/22/35/52/172223552.db2.gz UTIDASDEBYXLHW-RYUDHWBXSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1ccnc1CN[C@@H]1CCC[C@@H](C2CC2)C1 ZINC000344631178 172224246 /nfs/dbraw/zinc/22/42/46/172224246.db2.gz OURGJDAUQCDYCA-ZIAGYGMSSA-N 0 3 247.386 2.961 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCC=C(C)C ZINC000296609692 172228452 /nfs/dbraw/zinc/22/84/52/172228452.db2.gz YKBSDXPPPKMMFM-GFCCVEGCSA-N 0 3 221.348 2.910 20 0 BFADHN CCn1ccnc1[C@H](C)NC[C@H]1CC=CCC1 ZINC000295200006 172229013 /nfs/dbraw/zinc/22/90/13/172229013.db2.gz KCBRGWZMTGIURL-STQMWFEESA-N 0 3 233.359 2.910 20 0 BFADHN CCn1ccnc1[C@H](C)NCC1CC(F)(F)C1 ZINC000297201743 172229892 /nfs/dbraw/zinc/22/98/92/172229892.db2.gz GABBZVQWGWSZOC-VIFPVBQESA-N 0 3 243.301 2.599 20 0 BFADHN CCn1cncc1CNC(C)(C)c1ccccc1 ZINC000336789194 172233637 /nfs/dbraw/zinc/23/36/37/172233637.db2.gz RTPWREFFAPAMNP-UHFFFAOYSA-N 0 3 243.354 2.928 20 0 BFADHN CCn1nccc1CN1CCCC[C@H]1C(C)C ZINC000126990053 172266126 /nfs/dbraw/zinc/26/61/26/172266126.db2.gz PWKCOFRITMOZGX-AWEZNQCLSA-N 0 3 235.375 2.914 20 0 BFADHN CCn1nccc1CN1CC[C@]2(CC[C@H](C)C2)C1 ZINC000359314779 172268995 /nfs/dbraw/zinc/26/89/95/172268995.db2.gz XIGXYTAZMNGAHD-ZFWWWQNUSA-N 0 3 247.386 2.915 20 0 BFADHN CCn1nccc1CN1CC[C@H](CC(C)C)C1 ZINC000124784874 172269368 /nfs/dbraw/zinc/26/93/68/172269368.db2.gz NZFFIIKEWILNQC-CYBMUJFWSA-N 0 3 235.375 2.771 20 0 BFADHN CCn1nccc1CN[C@H](C)CCc1ccco1 ZINC000125113032 172273352 /nfs/dbraw/zinc/27/33/52/172273352.db2.gz UASYCZDEOYHELQ-GFCCVEGCSA-N 0 3 247.342 2.607 20 0 BFADHN CN(C(=O)C(C)(C)N)c1ccc(C(C)(C)C)cc1 ZINC000077424786 172295166 /nfs/dbraw/zinc/29/51/66/172295166.db2.gz DZXJTSIKHCNOBJ-UHFFFAOYSA-N 0 3 248.370 2.684 20 0 BFADHN CN(C(=O)CN1CCCC1(C)C)c1ccccc1 ZINC000077382780 172307539 /nfs/dbraw/zinc/30/75/39/172307539.db2.gz JMLVSZPDBWCNTP-UHFFFAOYSA-N 0 3 246.354 2.524 20 0 BFADHN CN(CC(=O)N(C)c1ccccc1)C1CCCC1 ZINC000053435558 172307882 /nfs/dbraw/zinc/30/78/82/172307882.db2.gz UZFOVCMYGWVPTG-UHFFFAOYSA-N 0 3 246.354 2.524 20 0 BFADHN CN(C)Cc1cccc(NCC2CC2)c1 ZINC000036979981 172502957 /nfs/dbraw/zinc/50/29/57/172502957.db2.gz LCZOIFFWYMBPDY-UHFFFAOYSA-N 0 3 204.317 2.570 20 0 BFADHN CN1CCCN(Cc2cc(Cl)cs2)CC1 ZINC000279402699 173016996 /nfs/dbraw/zinc/01/69/96/173016996.db2.gz DCTVNDKGFYEZQI-UHFFFAOYSA-N 0 3 244.791 2.539 20 0 BFADHN CN(Cc1ccccc1C1CC1)C1CC(O)C1 ZINC000680649932 487627208 /nfs/dbraw/zinc/62/72/08/487627208.db2.gz GPJSVLITSTZBAF-UHFFFAOYSA-N 0 3 231.339 2.519 20 0 BFADHN COC(C)(C)CCN[C@@H](C)c1ccncc1F ZINC000338354548 173938721 /nfs/dbraw/zinc/93/87/21/173938721.db2.gz JWVNFMVIYKMBJJ-JTQLQIEISA-N 0 3 240.322 2.686 20 0 BFADHN COC1(CN[C@H]2CCC[C@@H]2F)CCCCC1 ZINC000339589385 174005021 /nfs/dbraw/zinc/00/50/21/174005021.db2.gz GGEJBTQDIKYIFS-RYUDHWBXSA-N 0 3 229.339 2.816 20 0 BFADHN COC1(CN[C@H](C)c2cncs2)CCCC1 ZINC000297465876 174005368 /nfs/dbraw/zinc/00/53/68/174005368.db2.gz YGAWYHOSXXUCQN-SNVBAGLBSA-N 0 3 240.372 2.753 20 0 BFADHN COC1CCN(Cc2cc(C)ccc2F)CC1 ZINC000271038463 174028919 /nfs/dbraw/zinc/02/89/19/174028919.db2.gz KVUWFGGOUCWSAO-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN COC1CCN(Cc2cccc(C)c2F)CC1 ZINC000294549617 174030866 /nfs/dbraw/zinc/03/08/66/174030866.db2.gz KHQKLTNJJQWKGO-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN COC1CCN(Cc2ccc(C)c(F)c2)CC1 ZINC000335983590 174031111 /nfs/dbraw/zinc/03/11/11/174031111.db2.gz TWQMJFIXKPLENB-UHFFFAOYSA-N 0 3 237.318 2.745 20 0 BFADHN COCC(C)(C)CCCNCc1cncs1 ZINC000290137578 174068349 /nfs/dbraw/zinc/06/83/49/174068349.db2.gz NOAUYAKINADFCS-UHFFFAOYSA-N 0 3 242.388 2.686 20 0 BFADHN COCC(C)(C)CN[C@@H](C)c1ccc(C)o1 ZINC000293676546 174074208 /nfs/dbraw/zinc/07/42/08/174074208.db2.gz MXKAIOLZXLMPJH-NSHDSACASA-N 0 3 225.332 2.911 20 0 BFADHN COCC(C)(C)N(C)Cc1ccc(F)cc1 ZINC000292114839 174077745 /nfs/dbraw/zinc/07/77/45/174077745.db2.gz JLRXOPLOLFBFIO-UHFFFAOYSA-N 0 3 225.307 2.683 20 0 BFADHN COCC(C)(C)N(C)Cc1cc(F)cc(F)c1 ZINC000292145942 174078447 /nfs/dbraw/zinc/07/84/47/174078447.db2.gz MYDNSPUGZJAJQN-UHFFFAOYSA-N 0 3 243.297 2.822 20 0 BFADHN COCC1(C)CCN(Cc2ccc(C)cn2)CC1 ZINC000358738003 174093358 /nfs/dbraw/zinc/09/33/58/174093358.db2.gz QQDVUPHBUYEHOV-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN COCC1(CCNCc2occc2C)CCC1 ZINC000292868065 174095125 /nfs/dbraw/zinc/09/51/25/174095125.db2.gz CXCJHQUXXKATQN-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN COCC1=CCN(Cc2sccc2C)CC1 ZINC000187619726 174116852 /nfs/dbraw/zinc/11/68/52/174116852.db2.gz ZMQNRLRYLWRLPE-UHFFFAOYSA-N 0 3 237.368 2.835 20 0 BFADHN COCCC1(CN[C@@H](C)c2ccco2)CC1 ZINC000090536915 174155636 /nfs/dbraw/zinc/15/56/36/174155636.db2.gz XZLNCOOPKRVJGA-NSHDSACASA-N 0 3 223.316 2.747 20 0 BFADHN COCCC1(CNCc2occc2C)CCC1 ZINC000151963638 174156714 /nfs/dbraw/zinc/15/67/14/174156714.db2.gz KFOLBRPWVHMNKX-UHFFFAOYSA-N 0 3 237.343 2.884 20 0 BFADHN COCCC1(CN[C@H](C)c2ccccn2)CC1 ZINC000090536033 174156738 /nfs/dbraw/zinc/15/67/38/174156738.db2.gz NZQIYHJWKVNZIR-GFCCVEGCSA-N 0 3 234.343 2.549 20 0 BFADHN COCCCCCN(C)[C@@H](C)c1cccnc1 ZINC000127842596 174174880 /nfs/dbraw/zinc/17/48/80/174174880.db2.gz SXVUMRDAGJKWAA-ZDUSSCGKSA-N 0 3 236.359 2.891 20 0 BFADHN COCCCCCNCc1c(F)cccc1F ZINC000119776276 174182908 /nfs/dbraw/zinc/18/29/08/174182908.db2.gz BQKYUNAXIBSPKV-UHFFFAOYSA-N 0 3 243.297 2.871 20 0 BFADHN COCCCCCN[C@@H](C)c1ccncc1F ZINC000338359954 174182992 /nfs/dbraw/zinc/18/29/92/174182992.db2.gz QTEBYTYGEKVUNH-NSHDSACASA-N 0 3 240.322 2.688 20 0 BFADHN COCCCCN1CCc2cc(C)ccc2C1 ZINC000352401828 174194590 /nfs/dbraw/zinc/19/45/90/174194590.db2.gz JJUVMKXMKPDZBZ-UHFFFAOYSA-N 0 3 233.355 2.780 20 0 BFADHN COCCCCN1Cc2ccccc2O[C@H](C)C1 ZINC000292347729 174196646 /nfs/dbraw/zinc/19/66/46/174196646.db2.gz HGLWFZRZQZVCAO-CYBMUJFWSA-N 0 3 249.354 2.696 20 0 BFADHN COCCCCNCc1cc(F)c(F)c(F)c1 ZINC000062708593 174199681 /nfs/dbraw/zinc/19/96/81/174199681.db2.gz SOLKJZIPRSFUGL-UHFFFAOYSA-N 0 3 247.260 2.620 20 0 BFADHN COCCCCNCc1cccc(Cl)c1F ZINC000131122315 174200953 /nfs/dbraw/zinc/20/09/53/174200953.db2.gz FJNHSBFSLVJESK-UHFFFAOYSA-N 0 3 245.725 2.995 20 0 BFADHN COCCCCNCc1ccc(F)cc1Cl ZINC000044349812 174200973 /nfs/dbraw/zinc/20/09/73/174200973.db2.gz ANESLOIZPFNITL-UHFFFAOYSA-N 0 3 245.725 2.995 20 0 BFADHN COCCCN(C)Cc1ccccc1SC ZINC000272973319 174218428 /nfs/dbraw/zinc/21/84/28/174218428.db2.gz ZNXFZQYYYSHUFN-UHFFFAOYSA-N 0 3 239.384 2.877 20 0 BFADHN COCCCN([C@H](C)c1ccco1)C1CC1 ZINC000119176884 174221853 /nfs/dbraw/zinc/22/18/53/174221853.db2.gz IXEOHTYFQFFSFD-LLVKDONJSA-N 0 3 223.316 2.842 20 0 BFADHN COCCCNCc1cnc(C(C)(C)C)s1 ZINC000059180729 174248027 /nfs/dbraw/zinc/24/80/27/174248027.db2.gz GLIBYYZOSCEASG-UHFFFAOYSA-N 0 3 242.388 2.567 20 0 BFADHN COCCCNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000020142249 174248424 /nfs/dbraw/zinc/24/84/24/174248424.db2.gz CFDZIWKQWDPJGU-PWSUYJOCSA-N 0 3 223.316 2.529 20 0 BFADHN COCCCN[C@@H](c1ccc(F)cn1)C(C)C ZINC000353342476 174249180 /nfs/dbraw/zinc/24/91/80/174249180.db2.gz AAMMWODZRIVKNW-CYBMUJFWSA-N 0 3 240.322 2.544 20 0 BFADHN COCCCN[C@@H](C)c1cc(F)ccc1OC ZINC000151672004 174249398 /nfs/dbraw/zinc/24/93/98/174249398.db2.gz OJXVSKPLSYLMBV-JTQLQIEISA-N 0 3 241.306 2.521 20 0 BFADHN COCCC[C@@H](C)NCc1ccc(F)cc1F ZINC000268986937 174261431 /nfs/dbraw/zinc/26/14/31/174261431.db2.gz IYUXHAYWSFFSSS-SNVBAGLBSA-N 0 3 243.297 2.870 20 0 BFADHN COCCC[C@H](C)NCc1cc(F)ccc1F ZINC000177837940 174264165 /nfs/dbraw/zinc/26/41/65/174264165.db2.gz BOJHSRCPVJWQPE-JTQLQIEISA-N 0 3 243.297 2.870 20 0 BFADHN COCCN(C)CCc1c(F)cccc1Cl ZINC000299309381 174279768 /nfs/dbraw/zinc/27/97/68/174279768.db2.gz DKRYUXLTFYDQQJ-UHFFFAOYSA-N 0 3 245.725 2.600 20 0 BFADHN COCCN(C)Cc1cc(C)c2ncccc2c1 ZINC000346160321 174280041 /nfs/dbraw/zinc/28/00/41/174280041.db2.gz WCSBLLWZHTZLLT-UHFFFAOYSA-N 0 3 244.338 2.621 20 0 BFADHN COCCN(C)Cc1cc2cc(OC)ccc2o1 ZINC000127181109 174281413 /nfs/dbraw/zinc/28/14/13/174281413.db2.gz KDCBKOFLIUVFAN-UHFFFAOYSA-N 0 3 249.310 2.520 20 0 BFADHN COCCN(C)[C@@H](C)c1cc(C)ccc1C ZINC000338736429 174283038 /nfs/dbraw/zinc/28/30/38/174283038.db2.gz QHJSKAAWCZADBB-ZDUSSCGKSA-N 0 3 221.344 2.943 20 0 BFADHN COCCN(C)[C@@H](C)c1ccc(C)cc1C ZINC000298874745 174283090 /nfs/dbraw/zinc/28/30/90/174283090.db2.gz ZOHVTORJFKSMFP-ZDUSSCGKSA-N 0 3 221.344 2.943 20 0 BFADHN COCCN(C)c1c(C)c(C)nc2ccccc21 ZINC000302099574 174283735 /nfs/dbraw/zinc/28/37/35/174283735.db2.gz UPNLMVIGZXWULL-UHFFFAOYSA-N 0 3 244.338 2.934 20 0 BFADHN COCCN(Cc1cccnc1)[C@H]1CC[C@@H](C)C1 ZINC000276788653 174300600 /nfs/dbraw/zinc/30/06/00/174300600.db2.gz KRPOHHCALHNJGL-HIFRSBDPSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN(Cc1ccco1)CC1(C)CCC1 ZINC000278221193 174300991 /nfs/dbraw/zinc/30/09/91/174300991.db2.gz LNWUPUWYYIVHLZ-UHFFFAOYSA-N 0 3 237.343 2.918 20 0 BFADHN COCCN1C[C@@H](C)C[C@@H]1c1cccc(F)c1 ZINC000075842889 174316898 /nfs/dbraw/zinc/31/68/98/174316898.db2.gz AANMVQNQUZGEFY-SMDDNHRTSA-N 0 3 237.318 2.855 20 0 BFADHN COCCN1C[C@@H](C)C[C@H]1c1cccc(F)c1 ZINC000075842891 174317051 /nfs/dbraw/zinc/31/70/51/174317051.db2.gz AANMVQNQUZGEFY-FZMZJTMJSA-N 0 3 237.318 2.855 20 0 BFADHN COCCN1C[C@H](C)C[C@@H]1c1cccc(F)c1 ZINC000075842888 174318841 /nfs/dbraw/zinc/31/88/41/174318841.db2.gz AANMVQNQUZGEFY-BXUZGUMPSA-N 0 3 237.318 2.855 20 0 BFADHN COCCNCc1ccc(CC(C)C)cc1 ZINC000057514340 174335416 /nfs/dbraw/zinc/33/54/16/174335416.db2.gz OGBMDGBBDQIEBG-UHFFFAOYSA-N 0 3 221.344 2.621 20 0 BFADHN COCCNCc1ccc2ccccc2c1 ZINC000019881098 174335583 /nfs/dbraw/zinc/33/55/83/174335583.db2.gz LONSXKBCQXDBAL-UHFFFAOYSA-N 0 3 215.296 2.576 20 0 BFADHN COCCN[C@@H](C)c1ccc2ncsc2c1 ZINC000309667622 174337665 /nfs/dbraw/zinc/33/76/65/174337665.db2.gz UVJHCRLXNUGHOY-VIFPVBQESA-N 0 3 236.340 2.593 20 0 BFADHN COCCN[C@@H](C)c1cc(C)ccc1C ZINC000019881601 174337669 /nfs/dbraw/zinc/33/76/69/174337669.db2.gz DTXJDGVYXZMSKX-LBPRGKRZSA-N 0 3 207.317 2.600 20 0 BFADHN COCCN[C@@H](C)c1cc2ccccc2o1 ZINC000019881723 174338484 /nfs/dbraw/zinc/33/84/84/174338484.db2.gz NASQYFHOBFGAKG-JTQLQIEISA-N 0 3 219.284 2.730 20 0 BFADHN COCCN[C@H](C)c1cc(C)ccc1C ZINC000019881602 174338674 /nfs/dbraw/zinc/33/86/74/174338674.db2.gz DTXJDGVYXZMSKX-GFCCVEGCSA-N 0 3 207.317 2.600 20 0 BFADHN COCCNc1ccnc2ccc(C)cc21 ZINC000302138618 174342193 /nfs/dbraw/zinc/34/21/93/174342193.db2.gz COCKZPZVGYLBJT-UHFFFAOYSA-N 0 3 216.284 2.602 20 0 BFADHN COCCOc1ccccc1CN[C@H]1CC[C@@H]1C ZINC000353123026 174369472 /nfs/dbraw/zinc/36/94/72/174369472.db2.gz WMAHIKRLLMZMQQ-JSGCOSHPSA-N 0 3 249.354 2.600 20 0 BFADHN COCCOc1ccccc1CN[C@@H]1CC1(C)C ZINC000267654149 174369850 /nfs/dbraw/zinc/36/98/50/174369850.db2.gz YIPXDLKAJWQGOK-CQSZACIVSA-N 0 3 249.354 2.600 20 0 BFADHN COCC[C@@H](C)NC(C)(C)c1ncc(C)s1 ZINC000134276972 174382585 /nfs/dbraw/zinc/38/25/85/174382585.db2.gz CNVDXOJOLJPHFD-SECBINFHSA-N 0 3 242.388 2.701 20 0 BFADHN COCC[C@@H](C)N[C@@H](C)c1ccc(Cl)cn1 ZINC000336762142 174384649 /nfs/dbraw/zinc/38/46/49/174384649.db2.gz LDRQXJFLATXBNT-ZJUUUORDSA-N 0 3 242.750 2.811 20 0 BFADHN COCC[C@@H](C)N[C@@H](C)c1cccnc1Cl ZINC000152722297 174385756 /nfs/dbraw/zinc/38/57/56/174385756.db2.gz MKFRKWLCUKEUCH-ZJUUUORDSA-N 0 3 242.750 2.811 20 0 BFADHN COCC[C@@H](NCc1nccs1)C(C)(C)C ZINC000336724107 174393545 /nfs/dbraw/zinc/39/35/45/174393545.db2.gz BAXYYCTVPWBHFZ-SNVBAGLBSA-N 0 3 242.388 2.684 20 0 BFADHN COCC[C@@H](NCC(C)C)c1ccco1 ZINC000272601004 174393705 /nfs/dbraw/zinc/39/37/05/174393705.db2.gz SFBIOLXGJKJEPZ-LLVKDONJSA-N 0 3 211.305 2.603 20 0 BFADHN COC[C@@H](CC(C)C)N[C@H](C)c1cccnc1 ZINC000359519939 174468378 /nfs/dbraw/zinc/46/83/78/174468378.db2.gz BURYRLUUIRSNQL-TZMCWYRMSA-N 0 3 236.359 2.793 20 0 BFADHN COC[C@H](C)NCc1ccc(OCC2CC2)cc1 ZINC000359706151 174548902 /nfs/dbraw/zinc/54/89/02/174548902.db2.gz LJCWCTQMVCGPJF-LBPRGKRZSA-N 0 3 249.354 2.600 20 0 BFADHN COC[C@]1(C)CCN(Cc2csc(C)c2)C1 ZINC000295098011 174616922 /nfs/dbraw/zinc/61/69/22/174616922.db2.gz KBEJAYDBXWOKJC-CYBMUJFWSA-N 0 3 239.384 2.915 20 0 BFADHN COCc1nc(CN[C@@H](C)CC2CC2)cs1 ZINC000164565703 174641632 /nfs/dbraw/zinc/64/16/32/174641632.db2.gz DTENLDLMYSEHLO-VIFPVBQESA-N 0 3 240.372 2.568 20 0 BFADHN COc1cc([C@@H](C)NCC=C(C)C)ccn1 ZINC000336666988 175014198 /nfs/dbraw/zinc/01/41/98/175014198.db2.gz FIVZOJWNKITTBD-LLVKDONJSA-N 0 3 220.316 2.707 20 0 BFADHN COc1ccc(C)cc1CN[C@@H]1CCOC1(C)C ZINC000336904770 175076266 /nfs/dbraw/zinc/07/62/66/175076266.db2.gz WGLNDXVEZGOGSP-CQSZACIVSA-N 0 3 249.354 2.661 20 0 BFADHN COc1ccc(CCNCc2ccoc2)cc1 ZINC000062103275 175091692 /nfs/dbraw/zinc/09/16/92/175091692.db2.gz QKXTWTNCUXCOIW-UHFFFAOYSA-N 0 3 231.295 2.621 20 0 BFADHN COc1ccc(CN(C)C[C@@H]2CCC=CO2)cc1 ZINC000193604267 175095121 /nfs/dbraw/zinc/09/51/21/175095121.db2.gz RDZJQWQBLTZHPM-HNNXBMFYSA-N 0 3 247.338 2.820 20 0 BFADHN COc1ccc(CN(C)C[C@H]2CCCCO2)cc1 ZINC000042976119 175095690 /nfs/dbraw/zinc/09/56/90/175095690.db2.gz YTGHMTJRAHDVFR-OAHLLOKOSA-N 0 3 249.354 2.696 20 0 BFADHN COc1ccc(CN2CCCC[C@H](C)C2)cn1 ZINC000360600406 175098854 /nfs/dbraw/zinc/09/88/54/175098854.db2.gz UIATXRJFETUJDR-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)[C@H](C)C2)nc1 ZINC000271998981 175102366 /nfs/dbraw/zinc/10/23/66/175102366.db2.gz HWNJZYZVSWDLAA-VXGBXAGGSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccc(CNC2CC(F)(F)C2)cc1 ZINC000277743125 175105192 /nfs/dbraw/zinc/10/51/92/175105192.db2.gz VNGSWZMLPKISIP-UHFFFAOYSA-N 0 3 227.254 2.583 20 0 BFADHN COc1ccc(CNCc2ccncc2C)cc1 ZINC000228662278 175108328 /nfs/dbraw/zinc/10/83/28/175108328.db2.gz CVQWTRMYTNSZPY-UHFFFAOYSA-N 0 3 242.322 2.688 20 0 BFADHN COc1ccc(F)c(CNCC2CCC2)c1 ZINC000293601810 175124071 /nfs/dbraw/zinc/12/40/71/175124071.db2.gz PBKLRVHBEGEHHQ-UHFFFAOYSA-N 0 3 223.291 2.724 20 0 BFADHN COc1ccc(F)c(CNCC[C@@H](C)F)c1 ZINC000339498724 175124352 /nfs/dbraw/zinc/12/43/52/175124352.db2.gz RZPFUZSBFYDPQB-SECBINFHSA-N 0 3 229.270 2.672 20 0 BFADHN COc1ccc(F)c(CNC[C@H]2C[C@H]2C)c1 ZINC000294086721 175125786 /nfs/dbraw/zinc/12/57/86/175125786.db2.gz LNFPJGVGTISKGE-NXEZZACHSA-N 0 3 223.291 2.580 20 0 BFADHN COc1ccc(F)c(CN[C@H]2CC2(C)C)c1 ZINC000339203916 175125798 /nfs/dbraw/zinc/12/57/98/175125798.db2.gz WJWIXEIZWFUASK-LBPRGKRZSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)c(CNC[C@@H]2C[C@@H]2C)c1 ZINC000294086724 175125871 /nfs/dbraw/zinc/12/58/71/175125871.db2.gz LNFPJGVGTISKGE-UWVGGRQHSA-N 0 3 223.291 2.580 20 0 BFADHN COc1ccc(F)c(CNCCc2ccco2)c1 ZINC000339165929 175126000 /nfs/dbraw/zinc/12/60/00/175126000.db2.gz PYYVVKAJQYCTOY-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN COc1ccc(F)cc1CN(C)C1CCC1 ZINC000361187377 175129114 /nfs/dbraw/zinc/12/91/14/175129114.db2.gz ILFVEVTYIQDOEV-UHFFFAOYSA-N 0 3 223.291 2.819 20 0 BFADHN COc1ccc(F)cc1CN[C@H](C)C1CC1 ZINC000237175285 175130063 /nfs/dbraw/zinc/13/00/63/175130063.db2.gz OTAHNMGEGJRTSG-SECBINFHSA-N 0 3 223.291 2.722 20 0 BFADHN COc1ccc(F)cc1CNCCC(C)C ZINC000237172295 175130137 /nfs/dbraw/zinc/13/01/37/175130137.db2.gz OAGUEBQPKANMJC-UHFFFAOYSA-N 0 3 225.307 2.970 20 0 BFADHN COc1ccc(F)cc1CNCCc1ccco1 ZINC000310812688 175130495 /nfs/dbraw/zinc/13/04/95/175130495.db2.gz BIEVLJDHVJFLJL-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN COc1ccc(OC(F)F)c(CNC2CC2)c1 ZINC000034656230 175162741 /nfs/dbraw/zinc/16/27/41/175162741.db2.gz KLHQFHBHVCTBOC-UHFFFAOYSA-N 0 3 243.253 2.549 20 0 BFADHN COc1ccc(OC)c(CN[C@@H]2CC=CCC2)c1 ZINC000080123476 175165778 /nfs/dbraw/zinc/16/57/78/175165778.db2.gz BKQDOGQJJIRYON-CYBMUJFWSA-N 0 3 247.338 2.902 20 0 BFADHN COc1ccc(OC)c([C@@H](C)NC(C)C)c1 ZINC000019880664 175166926 /nfs/dbraw/zinc/16/69/26/175166926.db2.gz JQCSXAGHFTZAFA-SNVBAGLBSA-N 0 3 223.316 2.763 20 0 BFADHN COc1ccc(OCCCN2C[C@H](C)[C@H]2C)cc1 ZINC000339571606 175172645 /nfs/dbraw/zinc/17/26/45/175172645.db2.gz KTQRPDKKPGVASQ-QWHCGFSZSA-N 0 3 249.354 2.804 20 0 BFADHN COc1ccc([C@@H](C)NC[C@@H]2CCCCO2)cc1 ZINC000042812192 175177457 /nfs/dbraw/zinc/17/74/57/175177457.db2.gz PTOVNFURPBANBF-DOMZBBRYSA-N 0 3 249.354 2.915 20 0 BFADHN COc1ccc([C@H](C)NC2CC2)cc1OC ZINC000022221423 175188219 /nfs/dbraw/zinc/18/82/19/175188219.db2.gz JWTSLKVJXFUOJT-VIFPVBQESA-N 0 3 221.300 2.517 20 0 BFADHN COc1ccc([C@H](C)NCC[C@@H](C)F)cc1O ZINC000340467846 175188377 /nfs/dbraw/zinc/18/83/77/175188377.db2.gz QXFNVBLQCWOFMP-ZJUUUORDSA-N 0 3 241.306 2.800 20 0 BFADHN COc1ccc([C@H](C)NCc2cc[nH]c2)cc1 ZINC000090994810 175189873 /nfs/dbraw/zinc/18/98/73/175189873.db2.gz CGUOWCZBYIJZNJ-NSHDSACASA-N 0 3 230.311 2.874 20 0 BFADHN COc1ccc2c(c1)OCCN(CC1CCC1)C2 ZINC000338638528 175212432 /nfs/dbraw/zinc/21/24/32/175212432.db2.gz VKNMNAIIAVLNHX-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN COc1ccc2c(c1)[C@H](NC1CC1)CCCO2 ZINC000035652778 175215847 /nfs/dbraw/zinc/21/58/47/175215847.db2.gz HRHCHYSZECKELJ-CYBMUJFWSA-N 0 3 233.311 2.661 20 0 BFADHN COc1ccc2c(c1F)CC[C@@H]2NC1CC1 ZINC000289329556 175221417 /nfs/dbraw/zinc/22/14/17/175221417.db2.gz VMVMBIPOBHSDRF-NSHDSACASA-N 0 3 221.275 2.574 20 0 BFADHN COc1cccc(C2(NCCOC(C)C)CC2)c1 ZINC000271723991 175248627 /nfs/dbraw/zinc/24/86/27/175248627.db2.gz QPQIQQDDYIEEOG-UHFFFAOYSA-N 0 3 249.354 2.699 20 0 BFADHN COc1cccc(CN(CC2CC2)C2CCC2)n1 ZINC000355727537 175257235 /nfs/dbraw/zinc/25/72/35/175257235.db2.gz IVMHCEDHQPRHEW-UHFFFAOYSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cccc(CN2CCC[C@H]2C2CCC2)n1 ZINC000171860084 175258391 /nfs/dbraw/zinc/25/83/91/175258391.db2.gz AKBSXAISJXSGCA-AWEZNQCLSA-N 0 3 246.354 2.855 20 0 BFADHN COc1cccc(CN2CC[C@@H](C)C[C@H](C)C2)n1 ZINC000361554367 175259576 /nfs/dbraw/zinc/25/95/76/175259576.db2.gz SSLMJFXTIJDFAX-OLZOCXBDSA-N 0 3 248.370 2.958 20 0 BFADHN COc1cccc(CN2CC[C@H](C)C(C)(C)C2)n1 ZINC000354001292 175259665 /nfs/dbraw/zinc/25/96/65/175259665.db2.gz HHRUNHREXVAOKZ-LBPRGKRZSA-N 0 3 248.370 2.958 20 0 BFADHN COc1cccc(CN2CC[C@@H](C)[C@@H](OC)C2)c1 ZINC000189266755 175260559 /nfs/dbraw/zinc/26/05/59/175260559.db2.gz NIOGZUOCXZMNTK-DOMZBBRYSA-N 0 3 249.354 2.552 20 0 BFADHN COc1cccc(CN2CC[C@H](C)[C@H]2C)c1OC ZINC000091859896 175260709 /nfs/dbraw/zinc/26/07/09/175260709.db2.gz SFXRJGAVGJOZLE-NWDGAFQWSA-N 0 3 249.354 2.934 20 0 BFADHN COc1cccc(CNCCC2CCCC2)n1 ZINC000070093318 175262972 /nfs/dbraw/zinc/26/29/72/175262972.db2.gz FFKWDZAIJLOAIA-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN COc1cccc(CNCC2(C(F)F)CC2)c1 ZINC000355144539 175263311 /nfs/dbraw/zinc/26/33/11/175263311.db2.gz CZLSMHZAZFZAJC-UHFFFAOYSA-N 0 3 241.281 2.830 20 0 BFADHN COc1cccc(CNC2CC(C)C2)c1OC ZINC000135562743 175263746 /nfs/dbraw/zinc/26/37/46/175263746.db2.gz SGAAIFLTVLJJPG-UHFFFAOYSA-N 0 3 235.327 2.592 20 0 BFADHN COc1cccc(CNCC(C)(C)C(C)C)n1 ZINC000336708802 175263912 /nfs/dbraw/zinc/26/39/12/175263912.db2.gz ZBWQFXQHPQCKFI-UHFFFAOYSA-N 0 3 236.359 2.862 20 0 BFADHN COc1cccc(CNC[C@@H]2CC=CCC2)n1 ZINC000070093516 175264095 /nfs/dbraw/zinc/26/40/95/175264095.db2.gz NACXNSWKQGONFW-GFCCVEGCSA-N 0 3 232.327 2.536 20 0 BFADHN COc1cccc(CNCc2ccc(O)cc2)c1 ZINC000037486626 175265347 /nfs/dbraw/zinc/26/53/47/175265347.db2.gz ZBTLWJZIUQOUDL-UHFFFAOYSA-N 0 3 243.306 2.691 20 0 BFADHN COc1cccc(F)c1[C@@H](C)NCCCF ZINC000126959317 175274247 /nfs/dbraw/zinc/27/42/47/175274247.db2.gz RABXMORWZRBXEU-SECBINFHSA-N 0 3 229.270 2.845 20 0 BFADHN COc1cccc(OC)c1CNCC1(C)CC1 ZINC000352764788 175281529 /nfs/dbraw/zinc/28/15/29/175281529.db2.gz JGFVBIOLBVCZFN-UHFFFAOYSA-N 0 3 235.327 2.594 20 0 BFADHN COc1cccc(OC)c1CNCC1CCCC1 ZINC000352783305 175282059 /nfs/dbraw/zinc/28/20/59/175282059.db2.gz XOTLUDLLPJOODA-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN COc1cccc([C@@H](C)NCc2cc[nH]c2)c1 ZINC000090994709 175286360 /nfs/dbraw/zinc/28/63/60/175286360.db2.gz NTAREKWRHSTCCC-LLVKDONJSA-N 0 3 230.311 2.874 20 0 BFADHN COc1cccc([C@@H](O)CN2CCCC[C@H]2C)c1 ZINC000044116306 175288694 /nfs/dbraw/zinc/28/86/94/175288694.db2.gz MXKTXMAHRIYAHS-DOMZBBRYSA-N 0 3 249.354 2.603 20 0 BFADHN COc1cccc([C@H](C)NC[C@H](OC)C2CC2)c1 ZINC000295092751 175293110 /nfs/dbraw/zinc/29/31/10/175293110.db2.gz UZLPPEHSGWBEII-NHYWBVRUSA-N 0 3 249.354 2.771 20 0 BFADHN COc1cccc2c1CC[C@H](N[C@@H](C)CF)C2 ZINC000290275276 175303062 /nfs/dbraw/zinc/30/30/62/175303062.db2.gz YLCIDJNWSJDYQC-JQWIXIFHSA-N 0 3 237.318 2.500 20 0 BFADHN COc1ccccc1/C=C/CN(C)C[C@@H](C)OC ZINC000289270400 175313725 /nfs/dbraw/zinc/31/37/25/175313725.db2.gz GHXFFFGXMNOXAP-BUUCAEBMSA-N 0 3 249.354 2.675 20 0 BFADHN COc1ccccc1CN(C[C@@H](C)OC)C1CC1 ZINC000182072047 175326577 /nfs/dbraw/zinc/32/65/77/175326577.db2.gz ZKVMNRVBPSFFFP-GFCCVEGCSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccccc1CN[C@@H]1CC[C@@H]1SC ZINC000336709181 175329712 /nfs/dbraw/zinc/32/97/12/175329712.db2.gz RBSXEWNUCMDKDI-YPMHNXCESA-N 0 3 237.368 2.679 20 0 BFADHN COc1ccccc1CN[C@H]1CC[C@@H]1C ZINC000308790126 175331346 /nfs/dbraw/zinc/33/13/46/175331346.db2.gz HBTHVMTZOLFGGJ-JQWIXIFHSA-N 0 3 205.301 2.583 20 0 BFADHN COc1ccccc1SC[C@H](C)N(C)C ZINC000358856416 175343366 /nfs/dbraw/zinc/34/33/66/175343366.db2.gz VTYFXWIOCAWCBS-JTQLQIEISA-N 0 3 225.357 2.737 20 0 BFADHN COc1ccccc1[C@H](C)NCCSC ZINC000070184487 175351008 /nfs/dbraw/zinc/35/10/08/175351008.db2.gz KXWCRONIYDQGQT-JTQLQIEISA-N 0 3 225.357 2.709 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1CCOC1(C)C ZINC000296589231 175352152 /nfs/dbraw/zinc/35/21/52/175352152.db2.gz UWTYXNYYIAXFEX-FZMZJTMJSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccccc1[C@H](C)N[C@H]1CCO[C@@H]1C ZINC000135296284 175352318 /nfs/dbraw/zinc/35/23/18/175352318.db2.gz GFFIHQIVLKHHEV-LOWVWBTDSA-N 0 3 235.327 2.523 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000296672424 175352353 /nfs/dbraw/zinc/35/23/53/175352353.db2.gz HBONSDDBMWVOTK-CIQGVGRVSA-N 0 3 249.354 2.912 20 0 BFADHN COc1ccccc1[C@H](C)N[C@@H](C)[C@H]1CCCO1 ZINC000248528454 175352438 /nfs/dbraw/zinc/35/24/38/175352438.db2.gz JDCJOYJUMCUMGC-SGMGOOAPSA-N 0 3 249.354 2.913 20 0 BFADHN COc1ccnc(CN2CCCCC[C@H]2C)c1 ZINC000358580864 175357684 /nfs/dbraw/zinc/35/76/84/175357684.db2.gz PQTUBTXZSNPKIC-GFCCVEGCSA-N 0 3 234.343 2.855 20 0 BFADHN COc1ccnc(CN2CC[C@@H](C)[C@@H](C)C2)c1 ZINC000122481968 175358858 /nfs/dbraw/zinc/35/88/58/175358858.db2.gz SGWMYRIJBMMKPV-NEPJUHHUSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccnc(CN2CCCC[C@H](C)C2)c1 ZINC000274873363 175359021 /nfs/dbraw/zinc/35/90/21/175359021.db2.gz LHSBXWSZIHQEHI-LBPRGKRZSA-N 0 3 234.343 2.712 20 0 BFADHN COc1ccncc1CN1C[C@@H](C)C[C@H](C)C1 ZINC000286756011 175369539 /nfs/dbraw/zinc/36/95/39/175369539.db2.gz GOYDYIYVIWZTOZ-RYUDHWBXSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccncc1CN1CC[C@H](C)[C@H](C)C1 ZINC000287916586 175370134 /nfs/dbraw/zinc/37/01/34/175370134.db2.gz VVDTXWCUAMBRII-NWDGAFQWSA-N 0 3 234.343 2.568 20 0 BFADHN COc1ccncc1CN1C[C@H](C)CC[C@H]1C ZINC000287629908 175370924 /nfs/dbraw/zinc/37/09/24/175370924.db2.gz FQYGNDOHNMZINS-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1ccncc1CN[C@H](C)[C@@H]1CC1(C)C ZINC000340403507 175372958 /nfs/dbraw/zinc/37/29/58/175372958.db2.gz MWJXGLUNFAUARI-PWSUYJOCSA-N 0 3 234.343 2.614 20 0 BFADHN COc1ccncc1CN[C@H]1CCC(C)(C)C1 ZINC000294463388 175373313 /nfs/dbraw/zinc/37/33/13/175373313.db2.gz WHBIUGFSYBCIML-LBPRGKRZSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccsc1CN1CCC(C)CC1 ZINC000271004790 175377013 /nfs/dbraw/zinc/37/70/13/175377013.db2.gz MPBLRYSCDVQLMA-UHFFFAOYSA-N 0 3 225.357 2.989 20 0 BFADHN COc1ccsc1[C@H](C)NC[C@H]1CCCO1 ZINC000186078367 175379771 /nfs/dbraw/zinc/37/97/71/175379771.db2.gz BHZHVJGMOSHYNY-VHSXEESVSA-N 0 3 241.356 2.586 20 0 BFADHN COc1ccsc1CN[C@H]1CSC1(C)C ZINC000282694481 175380098 /nfs/dbraw/zinc/38/00/98/175380098.db2.gz LKNHQECUOSTIQH-JTQLQIEISA-N 0 3 243.397 2.740 20 0 BFADHN COc1ccsc1[C@H](C)N[C@@H]1CCSC1 ZINC000274578737 175380559 /nfs/dbraw/zinc/38/05/59/175380559.db2.gz KKXSBGGOFBLQQC-DTWKUNHWSA-N 0 3 243.397 2.913 20 0 BFADHN COc1cncc(CN(C)[C@@H](C)C2(C)CC2)c1 ZINC000290142646 175383929 /nfs/dbraw/zinc/38/39/29/175383929.db2.gz CGJGGFZBEDUFCO-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN(C)[C@H](C)C2(C)CC2)c1 ZINC000290142644 175384233 /nfs/dbraw/zinc/38/42/33/175384233.db2.gz CGJGGFZBEDUFCO-LLVKDONJSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN(C)CC(C)(C)C)c1 ZINC000290262946 175384605 /nfs/dbraw/zinc/38/46/05/175384605.db2.gz KVPJRJXHPGNGHQ-UHFFFAOYSA-N 0 3 222.332 2.568 20 0 BFADHN COc1cncc(CN(C)[C@@H](C)C(C)C)c1 ZINC000290350177 175384748 /nfs/dbraw/zinc/38/47/48/175384748.db2.gz QBQOTGPLJHWBAQ-NSHDSACASA-N 0 3 222.332 2.567 20 0 BFADHN COc1cncc(CN2CCC[C@@H]2C(C)C)c1 ZINC000290257613 175385627 /nfs/dbraw/zinc/38/56/27/175385627.db2.gz KHWMUXXDMULDJN-CQSZACIVSA-N 0 3 234.343 2.711 20 0 BFADHN COc1cncc(CN2CC[C@@H](C)C[C@H]2C)c1 ZINC000290269200 175385803 /nfs/dbraw/zinc/38/58/03/175385803.db2.gz LHFAOVCRJUQZCX-VXGBXAGGSA-N 0 3 234.343 2.711 20 0 BFADHN COc1nc(C)cc(C)c1CN1CCC[C@H]1C ZINC000287842619 175390084 /nfs/dbraw/zinc/39/00/84/175390084.db2.gz QROFWPFTWNZERI-GFCCVEGCSA-N 0 3 234.343 2.691 20 0 BFADHN COc1ncc(CN2[C@@H](C)CCC[C@@H]2C)c(C)n1 ZINC000337194914 175398842 /nfs/dbraw/zinc/39/88/42/175398842.db2.gz VRCWLPZRPKRBHI-QWRGUYRKSA-N 0 3 249.358 2.557 20 0 BFADHN COc1ncc([C@@H](C)NC2CCC2)cc1Cl ZINC000336673512 175401103 /nfs/dbraw/zinc/40/11/03/175401103.db2.gz HVRLWZQZGPPYAF-MRVPVSSYSA-N 0 3 240.734 2.947 20 0 BFADHN CS[C@H]1CC[C@@H]1NCc1cccc(F)c1 ZINC000308951746 175570270 /nfs/dbraw/zinc/57/02/70/175570270.db2.gz PISSNNJEWFMBMP-RYUDHWBXSA-N 0 3 225.332 2.809 20 0 BFADHN C[C@@H](NCC1(C(F)F)CC1)c1cccnc1 ZINC000336747363 175866808 /nfs/dbraw/zinc/86/68/08/175866808.db2.gz RXBXXPKKIOJGPN-SECBINFHSA-N 0 3 226.270 2.778 20 0 BFADHN C[C@@H](NC[C@@](C)(O)C1CC1)c1ccccc1F ZINC000310126467 175890530 /nfs/dbraw/zinc/89/05/30/175890530.db2.gz JJQKHVCWEQXHJP-QMTHXVAHSA-N 0 3 237.318 2.637 20 0 BFADHN C[C@@H](O)CCN[C@H](C)c1ccc(F)cc1Cl ZINC000082993434 175960903 /nfs/dbraw/zinc/96/09/03/175960903.db2.gz FPFKFLNZBOAPAE-RKDXNWHRSA-N 0 3 245.725 2.901 20 0 BFADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)CCO ZINC000267133917 176014084 /nfs/dbraw/zinc/01/40/84/176014084.db2.gz FMBQUGDVDUDSNI-VIFPVBQESA-N 0 3 247.260 2.691 20 0 BFADHN C[C@@H](c1cccc(F)c1)N1C[C@H](O)C(C)(C)C1 ZINC000279669064 176018207 /nfs/dbraw/zinc/01/82/07/176018207.db2.gz DGTLXPDWLCUVFE-GWCFXTLKSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)CCOCC1CC1 ZINC000119593226 176018760 /nfs/dbraw/zinc/01/87/60/176018760.db2.gz NXSSECQKMCHMMM-LBPRGKRZSA-N 0 3 249.354 2.812 20 0 BFADHN C[C@@H](c1cccc(O)c1)N(C)C[C@H]1CCCCO1 ZINC000247495621 176019312 /nfs/dbraw/zinc/01/93/12/176019312.db2.gz HJQWFHLRQYIYMN-SWLSCSKDSA-N 0 3 249.354 2.954 20 0 BFADHN C[C@@H](c1ccccc1)N1CCN(CC2CC2)CC1 ZINC000338996477 176021820 /nfs/dbraw/zinc/02/18/20/176021820.db2.gz AUNUFCZHLVJQHZ-AWEZNQCLSA-N 0 3 244.382 2.775 20 0 BFADHN C[C@@H](c1ccccc1F)N(CCO)CC1CC1 ZINC000352450806 176024497 /nfs/dbraw/zinc/02/44/97/176024497.db2.gz UCVITHGURQQUGO-NSHDSACASA-N 0 3 237.318 2.591 20 0 BFADHN C[C@@H](c1ccccc1F)N1C[C@H](O)C(C)(C)C1 ZINC000279678605 176024977 /nfs/dbraw/zinc/02/49/77/176024977.db2.gz FAUGXRKTBQIMCU-GWCFXTLKSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@H](c1ccccc1F)N(C)CCc1cn[nH]c1 ZINC000290106514 176025175 /nfs/dbraw/zinc/02/51/75/176025175.db2.gz SKUFLLQHKUWUJJ-NSHDSACASA-N 0 3 247.317 2.784 20 0 BFADHN C[C@@H](c1ccccn1)N(C)CCC1CC1 ZINC000135255904 176026083 /nfs/dbraw/zinc/02/60/83/176026083.db2.gz HVPVQLQILCPJDJ-NSHDSACASA-N 0 3 204.317 2.875 20 0 BFADHN C[C@@H](c1ccccn1)N1CCC(CF)CC1 ZINC000295202775 176028389 /nfs/dbraw/zinc/02/83/89/176028389.db2.gz VMCBZHNTTRDGQD-NSHDSACASA-N 0 3 222.307 2.824 20 0 BFADHN C[C@@H](c1cccnc1)N(C)C[C@@H]1CC[C@@H](C)O1 ZINC000188565725 176029343 /nfs/dbraw/zinc/02/93/43/176029343.db2.gz FEDLEBBPRAKTLC-DYEKYZERSA-N 0 3 234.343 2.642 20 0 BFADHN C[C@@H](c1ccco1)N(C)CCCn1ccnc1 ZINC000183168477 176031658 /nfs/dbraw/zinc/03/16/58/176031658.db2.gz KOKZUQPBCPCCEP-LBPRGKRZSA-N 0 3 233.315 2.559 20 0 BFADHN C[C@@H](c1ccco1)N(CCC(C)(C)O)C1CC1 ZINC000267925056 176032090 /nfs/dbraw/zinc/03/20/90/176032090.db2.gz KDVRWUFMZBDICU-NSHDSACASA-N 0 3 237.343 2.966 20 0 BFADHN C[C@@H](c1ccco1)N(CCCCCO)C1CC1 ZINC000119185724 176032240 /nfs/dbraw/zinc/03/22/40/176032240.db2.gz XPSCADIZYAYEGF-LBPRGKRZSA-N 0 3 237.343 2.968 20 0 BFADHN C[C@@H](c1cccs1)N(C)CCCCO ZINC000049728086 176033483 /nfs/dbraw/zinc/03/34/83/176033483.db2.gz OSZPQXBQRPUCMH-JTQLQIEISA-N 0 3 213.346 2.513 20 0 BFADHN C[C@@H](c1cnccn1)N1C[C@H](C)C[C@@H](C)C1 ZINC000184421504 176036668 /nfs/dbraw/zinc/03/66/68/176036668.db2.gz ZLSGTRPPYPZMRL-UTUOFQBUSA-N 0 3 219.332 2.516 20 0 BFADHN C[C@@H]1CC(NCc2ccc(Cl)o2)C[C@@H](C)O1 ZINC000335150316 176055077 /nfs/dbraw/zinc/05/50/77/176055077.db2.gz WBYPBYUMHWEXSQ-RKDXNWHRSA-N 0 3 243.734 2.979 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CN(C)Cc1cnccn1 ZINC000183021133 176075788 /nfs/dbraw/zinc/07/57/88/176075788.db2.gz GPGDJXARLWWTDB-CHWSQXEVSA-N 0 3 233.359 2.735 20 0 BFADHN C[C@@H]1CCCC[C@@H]1CCNCc1ncc[nH]1 ZINC000309446779 176075907 /nfs/dbraw/zinc/07/59/07/176075907.db2.gz LNOJULJGDXTZEU-VXGBXAGGSA-N 0 3 221.348 2.716 20 0 BFADHN C[C@@H]1CCCC[C@@H]1OCCNCc1cc[nH]c1 ZINC000086251387 176082456 /nfs/dbraw/zinc/08/24/56/176082456.db2.gz SGCMLVAWZJCUAD-OCCSQVGLSA-N 0 3 236.359 2.700 20 0 BFADHN C[C@@H]1CCCC[C@H]1OCCNCc1cccnc1 ZINC000048329493 176090477 /nfs/dbraw/zinc/09/04/77/176090477.db2.gz KSLWNLARAYJSBL-UKRRQHHQSA-N 0 3 248.370 2.767 20 0 BFADHN C[C@@H]1CCCN(Cc2ccccn2)[C@@H]1C ZINC000172856800 176101842 /nfs/dbraw/zinc/10/18/42/176101842.db2.gz VQMWHLDWAGQMLU-VXGBXAGGSA-N 0 3 204.317 2.702 20 0 BFADHN C[C@@H]1CCCN1C[C@@H](O)c1cccc(Cl)c1 ZINC000247523158 176108444 /nfs/dbraw/zinc/10/84/44/176108444.db2.gz NPCABEQJIXRJGT-ZWNOBZJWSA-N 0 3 239.746 2.858 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1cn2ccsc2n1 ZINC000088693841 176131762 /nfs/dbraw/zinc/13/17/62/176131762.db2.gz BFJGDFUFUDBZNL-KOLCDFICSA-N 0 3 235.356 2.674 20 0 BFADHN C[C@@H]1CCC[C@@H]1N[C@@H](c1nccn1C)C1CC1 ZINC000353883804 176132242 /nfs/dbraw/zinc/13/22/42/176132242.db2.gz UXQNFZJHSZZWAU-KGYLQXTDSA-N 0 3 233.359 2.649 20 0 BFADHN C[C@@H]1CCC[C@@H]1NCc1nnc(-c2ccco2)o1 ZINC000279176161 176132336 /nfs/dbraw/zinc/13/23/36/176132336.db2.gz CHJZKFHLUJKLAE-ZJUUUORDSA-N 0 3 247.298 2.608 20 0 BFADHN C[C@@H]1CCC[C@H](CCN2CCN(C)C[C@H]2C)C1 ZINC000362483950 176136211 /nfs/dbraw/zinc/13/62/11/176136211.db2.gz HHVLEDLFIQNWPR-RBSFLKMASA-N 0 3 238.419 2.839 20 0 BFADHN C[C@@H]1CCN(CCc2cscn2)C[C@@H]1C ZINC000296427328 176169358 /nfs/dbraw/zinc/16/93/58/176169358.db2.gz YCAPDGNACQDFFW-MNOVXSKESA-N 0 3 224.373 2.664 20 0 BFADHN C[C@@H]1CCN(Cc2cc(Cl)cs2)[C@@H]1CO ZINC000194508766 176173707 /nfs/dbraw/zinc/17/37/07/176173707.db2.gz RTTDIHDCJYRHJG-LDYMZIIASA-N 0 3 245.775 2.604 20 0 BFADHN C[C@@H]1CCN(Cc2ccco2)CCS1 ZINC000190687826 176175421 /nfs/dbraw/zinc/17/54/21/176175421.db2.gz CEWHDAKSDPFSIR-SNVBAGLBSA-N 0 3 211.330 2.607 20 0 BFADHN C[C@@H]1CCN([C@H]2CCc3ccc(F)cc32)C[C@H]1O ZINC000357565769 176180277 /nfs/dbraw/zinc/18/02/77/176180277.db2.gz FAVONNCWTGIFHX-WKPIXPDZSA-N 0 3 249.329 2.516 20 0 BFADHN C[C@@H]1CCSCCN1CCc1cscn1 ZINC000296083665 176193625 /nfs/dbraw/zinc/19/36/25/176193625.db2.gz SPLBGVMWUJHELO-SNVBAGLBSA-N 0 3 242.413 2.513 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC=C(c3ccco3)C2)O1 ZINC000285525166 176203452 /nfs/dbraw/zinc/20/34/52/176203452.db2.gz GYPJSGUDABUIFM-OCCSQVGLSA-N 0 3 247.338 2.936 20 0 BFADHN C[C@@H]1CC[C@@H](CN2CCC[C@H]2c2ccc[nH]2)O1 ZINC000276447160 176203886 /nfs/dbraw/zinc/20/38/86/176203886.db2.gz JSNJXFFCDDBYRH-DYEKYZERSA-N 0 3 234.343 2.719 20 0 BFADHN C[C@@H](NC[C@@H]1CC[C@@H](C)O1)c1cccc(O)c1 ZINC000191427133 176206100 /nfs/dbraw/zinc/20/61/00/176206100.db2.gz KOUDVUKSRCUYNW-GYSYKLTISA-N 0 3 235.327 2.610 20 0 BFADHN C[C@@H]1CC[C@@H](CNC2(c3ccccc3)CC2)O1 ZINC000276361158 176206188 /nfs/dbraw/zinc/20/61/88/176206188.db2.gz APHXYGGRSWQOBD-OCCSQVGLSA-N 0 3 231.339 2.833 20 0 BFADHN C[C@@H](N[C@@H]1CC[C@@H](C)CC1)c1cnccn1 ZINC000252731450 176214323 /nfs/dbraw/zinc/21/43/23/176214323.db2.gz PYOGDKUTTGFZCK-IJLUTSLNSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@@H]1CC[C@H](CN2CCC[C@H]2c2cccnc2)O1 ZINC000336784777 176224630 /nfs/dbraw/zinc/22/46/30/176224630.db2.gz XEWOULUMFPVRQH-YUELXQCFSA-N 0 3 246.354 2.786 20 0 BFADHN C[C@@H]1CC[C@H](CNCc2c(Cl)cnn2C)C1 ZINC000309776211 176226829 /nfs/dbraw/zinc/22/68/29/176226829.db2.gz LTDQOSWQFOTZQO-ZJUUUORDSA-N 0 3 241.766 2.599 20 0 BFADHN C[C@@H](NC[C@H]1CC[C@@H](C)O1)c1cccc(O)c1 ZINC000191427095 176227410 /nfs/dbraw/zinc/22/74/10/176227410.db2.gz KOUDVUKSRCUYNW-JTNHKYCSSA-N 0 3 235.327 2.610 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1cnc(C(C)(C)C)nc1 ZINC000310149273 176236883 /nfs/dbraw/zinc/23/68/83/176236883.db2.gz FGLOWCBCIFJIEY-ZYHUDNBSSA-N 0 3 233.359 2.662 20 0 BFADHN C[C@@H]1CN(CCCCCF)CCS1 ZINC000308133708 176288283 /nfs/dbraw/zinc/28/82/83/176288283.db2.gz CTMJIVYFGPNIFI-SNVBAGLBSA-N 0 3 205.342 2.564 20 0 BFADHN C[C@H](CCN1CCO[C@H](C)C1)c1ccccc1 ZINC000193653011 176293126 /nfs/dbraw/zinc/29/31/26/176293126.db2.gz OAXYFXMMOGYDFC-ZIAGYGMSSA-N 0 3 233.355 2.901 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCC(F)(F)C2)[C@H](C)CO1 ZINC000338252103 176300493 /nfs/dbraw/zinc/30/04/93/176300493.db2.gz FJAHVTHURHVCRX-UTUOFQBUSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1CN(Cc2ccc3occc3c2)CC[C@H]1O ZINC000336568357 176304345 /nfs/dbraw/zinc/30/43/45/176304345.db2.gz ODCQOAQUMOMVTR-BXUZGUMPSA-N 0 3 245.322 2.636 20 0 BFADHN C[C@@H]1CN(Cc2cnc(C(C)(C)C)nc2)C[C@H]1C ZINC000284446855 176309038 /nfs/dbraw/zinc/30/90/38/176309038.db2.gz TYTNNJXIILWKCX-VXGBXAGGSA-N 0 3 247.386 2.862 20 0 BFADHN C[C@@H]1COCC[C@H]1NCc1sccc1Cl ZINC000278766904 176344995 /nfs/dbraw/zinc/34/49/95/176344995.db2.gz OIEFUMVZHMOKTA-PSASIEDQSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@@H]1COC[C@@H](C)N1C[C@H]1CCCC(F)(F)C1 ZINC000338313498 176346650 /nfs/dbraw/zinc/34/66/50/176346650.db2.gz KKBUNPQJRFVUKW-UTUOFQBUSA-N 0 3 247.329 2.921 20 0 BFADHN C[C@@H]1CSCCN(Cc2cccc(O)c2)C1 ZINC000190845265 176350335 /nfs/dbraw/zinc/35/03/35/176350335.db2.gz ZBWDYIVYWLGGFL-NSHDSACASA-N 0 3 237.368 2.577 20 0 BFADHN C[C@@H]1CSCCN1Cc1cccs1 ZINC000179662413 176350975 /nfs/dbraw/zinc/35/09/75/176350975.db2.gz PHQJNNAHFQQLPJ-SECBINFHSA-N 0 3 213.371 2.685 20 0 BFADHN C[C@@H]1CSCCN1CCc1ccccc1 ZINC000172588301 176351991 /nfs/dbraw/zinc/35/19/91/176351991.db2.gz JVWJIPZJOPNKGK-GFCCVEGCSA-N 0 3 221.369 2.666 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1cc(F)ccc1F ZINC000285392942 176355820 /nfs/dbraw/zinc/35/58/20/176355820.db2.gz AMRZEKNYSSHXOP-PRHODGIISA-N 0 3 243.322 2.806 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1ccc(F)cc1F ZINC000285773269 176356138 /nfs/dbraw/zinc/35/61/38/176356138.db2.gz DNEXPKXTBLNYIF-PRHODGIISA-N 0 3 243.322 2.806 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cn3ccccc3n2)C1 ZINC000014194377 176361757 /nfs/dbraw/zinc/36/17/57/176361757.db2.gz UGFHLXOSWYCZKA-CHWSQXEVSA-N 0 3 243.354 2.812 20 0 BFADHN C[C@@H]1C[C@@H](NCC2(C)COC2)c2ccccc2O1 ZINC000296770617 176375629 /nfs/dbraw/zinc/37/56/29/176375629.db2.gz PTHZJUIHNLWHAD-DGCLKSJQSA-N 0 3 247.338 2.525 20 0 BFADHN C[C@@H]1C[C@@H](NCc2cc[nH]n2)CC(C)(C)C1 ZINC000041014533 176377890 /nfs/dbraw/zinc/37/78/90/176377890.db2.gz BAKUSLGSLFSBCM-ZYHUDNBSSA-N 0 3 221.348 2.714 20 0 BFADHN C[C@@H]1C[C@@H](NCc2ccc(F)cc2F)[C@@H](C)O1 ZINC000295220585 176378333 /nfs/dbraw/zinc/37/83/33/176378333.db2.gz QZXJSHCHEUERPR-JRKPZEMJSA-N 0 3 241.281 2.620 20 0 BFADHN C[C@@H]1C[C@@H](Nc2ccncc2Cl)CCN1C ZINC000094267089 176379984 /nfs/dbraw/zinc/37/99/84/176379984.db2.gz CVMYRFYAVBZSJI-ZJUUUORDSA-N 0 3 239.750 2.630 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCN(C)Cc2ccccc21 ZINC000353587331 176391331 /nfs/dbraw/zinc/39/13/31/176391331.db2.gz HKOHRYVWRKHCTA-TZMCWYRMSA-N 0 3 230.355 2.594 20 0 BFADHN C[C@@H]1C[C@@H]1CNCc1nc(C2CC2)cs1 ZINC000336769588 176396853 /nfs/dbraw/zinc/39/68/53/176396853.db2.gz VUFGCCVHLZWHLZ-PSASIEDQSA-N 0 3 222.357 2.766 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CCc3c2cccc3F)[C@@H](C)O1 ZINC000295395716 176426170 /nfs/dbraw/zinc/42/61/70/176426170.db2.gz LOQCZOYGPYLXSK-RPVFDAQASA-N 0 3 249.329 2.969 20 0 BFADHN C[C@@]1(NCc2ccccc2F)CCO[C@@H]1C1CC1 ZINC000273033610 176539017 /nfs/dbraw/zinc/53/90/17/176539017.db2.gz GTJRSXCGTJTNSL-HUUCEWRRSA-N 0 3 249.329 2.873 20 0 BFADHN C[C@@]1(NCc2coc3ccccc23)CCOC1 ZINC000120230464 176540723 /nfs/dbraw/zinc/54/07/23/176540723.db2.gz USCFOKGCGCTRES-CQSZACIVSA-N 0 3 231.295 2.701 20 0 BFADHN Cc1cc(CN[C@H](C)CC(F)(F)F)ccn1 ZINC000648660278 487635703 /nfs/dbraw/zinc/63/57/03/487635703.db2.gz JFHQLTRCUGVXGV-SECBINFHSA-N 0 3 232.249 2.821 20 0 BFADHN C[C@@H](O)CN[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000035601602 176856794 /nfs/dbraw/zinc/85/67/94/176856794.db2.gz CHATZFDMSRWLAG-BDAKNGLRSA-N 0 3 247.260 2.737 20 0 BFADHN C[C@H](NC[C@H](O)C1CCCCC1)c1ccco1 ZINC000163148279 176866511 /nfs/dbraw/zinc/86/65/11/176866511.db2.gz DXTAGJMEOMKYQF-AAEUAGOBSA-N 0 3 237.343 2.871 20 0 BFADHN C[C@H](NCc1cccnc1)c1cccs1 ZINC000020140821 176878588 /nfs/dbraw/zinc/87/85/88/176878588.db2.gz BCRZOFNCNCRDBR-JTQLQIEISA-N 0 3 218.325 2.994 20 0 BFADHN COc1ccc(CN2C[C@@H]3CCCC[C@@H]32)cc1O ZINC000668299935 487636026 /nfs/dbraw/zinc/63/60/26/487636026.db2.gz XODAYNDBBBPQTG-STQMWFEESA-N 0 3 247.338 2.775 20 0 BFADHN C[C@H](NCc1cn2ccsc2n1)C(C)(C)C ZINC000132895651 176881163 /nfs/dbraw/zinc/88/11/63/176881163.db2.gz XCGSPRYRUOTYPM-VIFPVBQESA-N 0 3 237.372 2.920 20 0 BFADHN C[C@H](N[C@@H]1CCC1(C)C)c1cncs1 ZINC000287238425 176894611 /nfs/dbraw/zinc/89/46/11/176894611.db2.gz YRTIUKHDLKPBDU-WCBMZHEXSA-N 0 3 210.346 2.982 20 0 BFADHN C[C@@H](N[C@@H](C)c1cccs1)c1cn[nH]c1 ZINC000222504108 176915595 /nfs/dbraw/zinc/91/55/95/176915595.db2.gz NJBWEEALCJYFAA-BDAKNGLRSA-N 0 3 221.329 2.883 20 0 BFADHN C[C@H](N[C@H]1CCCSC1)c1cncs1 ZINC000157118648 176921819 /nfs/dbraw/zinc/92/18/19/176921819.db2.gz FTGZCJCHQKMMAZ-IUCAKERBSA-N 0 3 228.386 2.689 20 0 BFADHN C[C@H](O)CCCNc1ccnc2ccccc21 ZINC000227998038 176956031 /nfs/dbraw/zinc/95/60/31/176956031.db2.gz MBUCUAXUUQNZKU-NSHDSACASA-N 0 3 230.311 2.808 20 0 BFADHN CC(C)N(Cc1ccccc1F)C[C@@H](C)CO ZINC000678043563 487636554 /nfs/dbraw/zinc/63/65/54/487636554.db2.gz BKICMOSHRMASKT-GFCCVEGCSA-N 0 3 239.334 2.665 20 0 BFADHN C[C@H](O)[C@@H]1CCN([C@@H](C)c2ccc(F)cc2)C1 ZINC000356450293 177009110 /nfs/dbraw/zinc/00/91/10/177009110.db2.gz XJHHUSXYWMRRES-GMXVVIOVSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](O)[C@@H]1CCN(C/C=C\c2ccc(F)cc2)C1 ZINC000356939860 177009802 /nfs/dbraw/zinc/00/98/02/177009802.db2.gz VJDGFILGPDPDQQ-SZESAMFPSA-N 0 3 249.329 2.542 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CC[C@H]([C@H](C)O)C1 ZINC000356450298 177016198 /nfs/dbraw/zinc/01/61/98/177016198.db2.gz XJHHUSXYWMRRES-MDZLAQPJSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H](O)[C@H]1CCN(Cc2ccccc2Cl)C1 ZINC000166083254 177016231 /nfs/dbraw/zinc/01/62/31/177016231.db2.gz DORPJJJJJNZFMI-QWRGUYRKSA-N 0 3 239.746 2.543 20 0 BFADHN C[C@H](c1ccc(Cl)cc1)N1CC[C@](C)(O)C1 ZINC000267322612 177033336 /nfs/dbraw/zinc/03/33/36/177033336.db2.gz HKNXTVNHOKTNQM-MFKMUULPSA-N 0 3 239.746 2.858 20 0 BFADHN C[C@H](c1cccc(F)c1)N(C)CC1(CO)CC1 ZINC000190038957 177036265 /nfs/dbraw/zinc/03/62/65/177036265.db2.gz KBBVTWXBCBFSEW-LLVKDONJSA-N 0 3 237.318 2.591 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCC[C@@H](O)CC1 ZINC000293050720 177036571 /nfs/dbraw/zinc/03/65/71/177036571.db2.gz HUDIOHPXYFQZQJ-BXUZGUMPSA-N 0 3 237.318 2.734 20 0 BFADHN C[C@H](c1ccccc1)N1CCN(C2CCC2)CC1 ZINC000264952050 177039478 /nfs/dbraw/zinc/03/94/78/177039478.db2.gz CAEISTJBZHGWQO-CQSZACIVSA-N 0 3 244.382 2.918 20 0 BFADHN C[C@H](c1ccccc1F)N(C)C[C@@H]1CCOC1 ZINC000052074494 177041569 /nfs/dbraw/zinc/04/15/69/177041569.db2.gz PSBMMEKGLGLKMN-NEPJUHHUSA-N 0 3 237.318 2.855 20 0 BFADHN C[C@H](c1ccccn1)N(C)C[C@@H]1CCSC1 ZINC000278391528 177043218 /nfs/dbraw/zinc/04/32/18/177043218.db2.gz ANWOEKICHPLWOV-NEPJUHHUSA-N 0 3 236.384 2.828 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@@H]1CCCC[C@@H]1O ZINC000272514022 177044684 /nfs/dbraw/zinc/04/46/84/177044684.db2.gz KMKVJAVZUWVTRU-SNPRPXQTSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@H]1CCCC[C@H]1O ZINC000272514019 177044929 /nfs/dbraw/zinc/04/49/29/177044929.db2.gz KMKVJAVZUWVTRU-BPLDGKMQSA-N 0 3 248.370 2.626 20 0 BFADHN C[C@H](c1cccnc1)N(C)C[C@@H]1CCCOC1 ZINC000266704560 177045041 /nfs/dbraw/zinc/04/50/41/177045041.db2.gz DMPBXZCFXZEEOL-OLZOCXBDSA-N 0 3 234.343 2.501 20 0 BFADHN C[C@H](c1cccnc1)N(C)CCc1cscn1 ZINC000283646718 177045137 /nfs/dbraw/zinc/04/51/37/177045137.db2.gz CGXVGWTZGLDJNP-LLVKDONJSA-N 0 3 247.367 2.774 20 0 BFADHN C[C@H](c1ccco1)N(CCCCO)C1CC1 ZINC000268019079 177046992 /nfs/dbraw/zinc/04/69/92/177046992.db2.gz UVSDEHASAKUJQB-LLVKDONJSA-N 0 3 223.316 2.578 20 0 BFADHN C[C@H](c1ccco1)N(C)CCN1CCCCC1 ZINC000077173246 177047371 /nfs/dbraw/zinc/04/73/71/177047371.db2.gz ZVINNYGIJCYKPB-CYBMUJFWSA-N 0 3 236.359 2.758 20 0 BFADHN C[C@H](c1ccco1)N(C)C[C@H]1CCCOC1 ZINC000336710508 177047984 /nfs/dbraw/zinc/04/79/84/177047984.db2.gz ZHCDJYSYZYJUHN-VXGBXAGGSA-N 0 3 223.316 2.699 20 0 BFADHN C[C@H](c1ccncc1)N(C)CCOC(C)(C)C ZINC000135489344 177049850 /nfs/dbraw/zinc/04/98/50/177049850.db2.gz RMSJNVRBIYWJLH-GFCCVEGCSA-N 0 3 236.359 2.890 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@@H](C)C(C)(C)C1 ZINC000274489347 177051347 /nfs/dbraw/zinc/05/13/47/177051347.db2.gz SGDNWLVZTGHDAM-VXGBXAGGSA-N 0 3 233.359 2.906 20 0 BFADHN C[C@H](c1cnccn1)N1CCC2(CCC2)CC1 ZINC000370738747 177051799 /nfs/dbraw/zinc/05/17/99/177051799.db2.gz IHOKYALHLHRIHL-GFCCVEGCSA-N 0 3 231.343 2.804 20 0 BFADHN C[C@H](c1cnccn1)N1CC[C@H](CC2CC2)C1 ZINC000339680422 177052145 /nfs/dbraw/zinc/05/21/45/177052145.db2.gz UVXBFQGAOZJDSJ-DGCLKSJQSA-N 0 3 231.343 2.660 20 0 BFADHN C[C@H]1CC([NH2+]Cc2nnc(C3CC3)[n-]2)C[C@H](C)C1 ZINC000331463982 177065186 /nfs/dbraw/zinc/06/51/86/177065186.db2.gz HEDYFPJGCIQDIH-NXEZZACHSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H]1CC(NCc2nnc(C3CC3)[nH]2)C[C@H](C)C1 ZINC000331463982 177065187 /nfs/dbraw/zinc/06/51/87/177065187.db2.gz HEDYFPJGCIQDIH-NXEZZACHSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@H]1CCCCN1Cc1cnc(N(C)C)s1 ZINC000271008204 177077027 /nfs/dbraw/zinc/07/70/27/177077027.db2.gz OFEKURQEHNAYBH-JTQLQIEISA-N 0 3 239.388 2.584 20 0 BFADHN C[C@H]1CCCC[C@@H]1NCc1nccn1C(F)F ZINC000034938362 177083708 /nfs/dbraw/zinc/08/37/08/177083708.db2.gz SVPHTKLRSITRMB-UWVGGRQHSA-N 0 3 243.301 2.947 20 0 BFADHN C[C@H]1CCCC[C@@H]1OCCNCc1cccnc1 ZINC000048329492 177084631 /nfs/dbraw/zinc/08/46/31/177084631.db2.gz KSLWNLARAYJSBL-ZFWWWQNUSA-N 0 3 248.370 2.767 20 0 BFADHN C[C@H]1CCCC[C@]1(C)NCc1cnccn1 ZINC000308759954 177090340 /nfs/dbraw/zinc/09/03/40/177090340.db2.gz WVTGMCYPVXZVII-AAEUAGOBSA-N 0 3 219.332 2.535 20 0 BFADHN C[C@H](NCCN1CCC[C@H](C)C1)c1ccco1 ZINC000053343819 177098132 /nfs/dbraw/zinc/09/81/32/177098132.db2.gz HPVYYMFPTUAJBU-STQMWFEESA-N 0 3 236.359 2.662 20 0 BFADHN C[C@@H]1[C@@H](C)CCCN1Cc1cncs1 ZINC000092737195 177101866 /nfs/dbraw/zinc/10/18/66/177101866.db2.gz WJIJLJLKIKQRHX-VHSXEESVSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@H]1CCCN1Cc1ccc(F)c(F)c1 ZINC000174407245 177111103 /nfs/dbraw/zinc/11/11/03/177111103.db2.gz BOWFALMHAKONRL-VIFPVBQESA-N 0 3 211.255 2.949 20 0 BFADHN C[C@@H]1CCC[C@H](C)CN1CC(=O)c1cccn1C ZINC000125385844 177114967 /nfs/dbraw/zinc/11/49/67/177114967.db2.gz UOWPZJFRIGRYHS-QWHCGFSZSA-N 0 3 248.370 2.718 20 0 BFADHN C[C@@H]1CCC[C@H](C)N1Cc1cccnc1 ZINC000001619770 177117191 /nfs/dbraw/zinc/11/71/91/177117191.db2.gz JDNPBYIOUAUZBG-TXEJJXNPSA-N 0 3 204.317 2.845 20 0 BFADHN C[C@@H]1CCC[C@H](C)[C@H]1NCc1ccon1 ZINC000247979574 177118216 /nfs/dbraw/zinc/11/82/16/177118216.db2.gz MBNUPUWIOKRPRC-IAZYJMLFSA-N 0 3 208.305 2.589 20 0 BFADHN C[C@H]1CCC[C@@H](NCc2ncccc2N(C)C)C1 ZINC000353256057 177123009 /nfs/dbraw/zinc/12/30/09/177123009.db2.gz WUHNSJZVBGWTOR-QWHCGFSZSA-N 0 3 247.386 2.816 20 0 BFADHN C[C@H]1CCC[C@@H]1CNCc1ccn(C(F)F)n1 ZINC000341468985 177124147 /nfs/dbraw/zinc/12/41/47/177124147.db2.gz AXVNZYHDAOWFRU-VHSXEESVSA-N 0 3 243.301 2.804 20 0 BFADHN C[C@H]1CCC[C@H](C)N1Cc1ccccn1 ZINC000066665452 177132149 /nfs/dbraw/zinc/13/21/49/177132149.db2.gz FUBISUFEXDSKMJ-RYUDHWBXSA-N 0 3 204.317 2.845 20 0 BFADHN C[C@H]1CCC[C@H](CN2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000357011226 177133624 /nfs/dbraw/zinc/13/36/24/177133624.db2.gz UKUWAJJMTVLYQM-XDQVBPFNSA-N 0 3 223.360 2.676 20 0 BFADHN C[C@H]1CCC[C@H](NCc2nccs2)C1 ZINC000040444057 177136858 /nfs/dbraw/zinc/13/68/58/177136858.db2.gz JYHCECGXDUPDOM-UWVGGRQHSA-N 0 3 210.346 2.811 20 0 BFADHN C[C@H]1CCC[C@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000088727674 177143079 /nfs/dbraw/zinc/14/30/79/177143079.db2.gz YSRWUFCCILKNNP-JOYOIKCWSA-N 0 3 223.291 2.810 20 0 BFADHN C[C@H]1CCC[C@]1(O)CNCc1ccc(Cl)o1 ZINC000328262120 177144567 /nfs/dbraw/zinc/14/45/67/177144567.db2.gz LNLYGISVQNLOFF-CABZTGNLSA-N 0 3 243.734 2.574 20 0 BFADHN C[C@H]1CCN(CCC(=O)c2ccccc2)C1 ZINC000053931717 177158045 /nfs/dbraw/zinc/15/80/45/177158045.db2.gz GQKCATFHOJZEJY-LBPRGKRZSA-N 0 3 217.312 2.601 20 0 BFADHN C[C@H]1CCN(CCOc2ccccc2F)C1 ZINC000338254992 177161043 /nfs/dbraw/zinc/16/10/43/177161043.db2.gz GCNGHQFOMKXIDM-NSHDSACASA-N 0 3 223.291 2.546 20 0 BFADHN C[C@H]1CCN(Cc2ccc(N)cc2Cl)C1 ZINC000049963149 177163420 /nfs/dbraw/zinc/16/34/20/177163420.db2.gz QWAQAEJJFDMERV-VIFPVBQESA-N 0 3 224.735 2.764 20 0 BFADHN C[C@H]1CCN(Cc2cccc3nccn32)[C@@H](C)C1 ZINC000271747843 177165476 /nfs/dbraw/zinc/16/54/76/177165476.db2.gz UFZYHJWQWSMCAS-STQMWFEESA-N 0 3 243.354 2.955 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2cn3ccccc3n2)C1 ZINC000174469416 177183806 /nfs/dbraw/zinc/18/38/06/177183806.db2.gz AZSDCSBYLGKQLJ-OLZOCXBDSA-N 0 3 243.354 2.955 20 0 BFADHN C[C@H](C(=O)Nc1ccccc1)N1[C@H](C)CC[C@@H]1C ZINC000226566413 177186836 /nfs/dbraw/zinc/18/68/36/177186836.db2.gz DESBGULDWNTCSO-FRRDWIJNSA-N 0 3 246.354 2.886 20 0 BFADHN C[C@H]1CC[C@@H](CN2CCc3ccccc3C2)O1 ZINC000188567464 177189667 /nfs/dbraw/zinc/18/96/67/177189667.db2.gz OOBHACUYUIMVJW-WFASDCNBSA-N 0 3 231.339 2.612 20 0 BFADHN C[C@H]1CC[C@@H](CNC2(c3cccc(F)c3)CC2)O1 ZINC000191055823 177190487 /nfs/dbraw/zinc/19/04/87/177190487.db2.gz FKWRZEXGGYZJOM-FZMZJTMJSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@H]1CC[C@H]1NCc1cn2cc(Cl)ccc2n1 ZINC000334305595 177207670 /nfs/dbraw/zinc/20/76/70/177207670.db2.gz GOZDLRNJXGKJLX-JOYOIKCWSA-N 0 3 249.745 2.876 20 0 BFADHN C[C@H]1CN(CC2(C)CCC2)C[C@H](C2CC2)O1 ZINC000355219308 177244643 /nfs/dbraw/zinc/24/46/43/177244643.db2.gz MASZZQMKQPENPX-WCQYABFASA-N 0 3 223.360 2.676 20 0 BFADHN C[C@H]1CN(CCCOc2ccccc2)[C@H]1C ZINC000293444536 177249744 /nfs/dbraw/zinc/24/97/44/177249744.db2.gz OVIAOLNRVYVCAD-STQMWFEESA-N 0 3 219.328 2.796 20 0 BFADHN C[C@H]1CN(CCc2ccncc2)CCC1(F)F ZINC000336392990 177255285 /nfs/dbraw/zinc/25/52/85/177255285.db2.gz RVFZJCBNEZUFHT-NSHDSACASA-N 0 3 240.297 2.601 20 0 BFADHN C[C@@H]1CCC[C@H](CN2C[C@H](C)OC[C@@H]2C)C1 ZINC000356954167 177258921 /nfs/dbraw/zinc/25/89/21/177258921.db2.gz BNUKXONICIELLG-RFGFWPKPSA-N 0 3 225.376 2.922 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1cccc(-n2cccn2)c1 ZINC000339576646 177263795 /nfs/dbraw/zinc/26/37/95/177263795.db2.gz SGTQVSIOFRNOCG-QWHCGFSZSA-N 0 3 241.338 2.713 20 0 BFADHN C[C@H]1CN(Cc2cncs2)C(C)(C)C1 ZINC000271182895 177268968 /nfs/dbraw/zinc/26/89/68/177268968.db2.gz LPUCKFPDIXHVMJ-SECBINFHSA-N 0 3 210.346 2.764 20 0 BFADHN C[C@@H]1CCC[C@H](CCN2CCOC[C@@H]2C)C1 ZINC000362463497 177292957 /nfs/dbraw/zinc/29/29/57/177292957.db2.gz HWPNCTSZRRCVIP-HZSPNIEDSA-N 0 3 225.376 2.924 20 0 BFADHN C[C@H]1COCC[C@@H]1NCc1sccc1Cl ZINC000278766911 177295277 /nfs/dbraw/zinc/29/52/77/177295277.db2.gz OIEFUMVZHMOKTA-WPRPVWTQSA-N 0 3 245.775 2.916 20 0 BFADHN C[C@@H]1CN(CCCC(C)(C)C)[C@@H](C)CO1 ZINC000337141672 177301519 /nfs/dbraw/zinc/30/15/19/177301519.db2.gz VVLZYPXUBMJCIP-NWDGAFQWSA-N 0 3 213.365 2.922 20 0 BFADHN C[C@@H]1C[C@H](C)CN(Cc2cncs2)C1 ZINC000157993826 177314642 /nfs/dbraw/zinc/31/46/42/177314642.db2.gz SZKUOLSPBSGFLY-AOOOYVTPSA-N 0 3 210.346 2.621 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cccc(C(N)=O)c2)C1 ZINC000336600941 177317370 /nfs/dbraw/zinc/31/73/70/177317370.db2.gz SPEQTHXHWJTCPK-MNOVXSKESA-N 0 3 232.327 2.800 20 0 BFADHN C[C@H]1C[C@@H](NCC2CC(F)(F)C2)c2nccn21 ZINC000297191971 177330886 /nfs/dbraw/zinc/33/08/86/177330886.db2.gz FKQVGHZWLVVDJU-WCBMZHEXSA-N 0 3 241.285 2.524 20 0 BFADHN C[C@H]1C[C@@H](NCCc2ccccc2)c2nccn21 ZINC000345366329 177332673 /nfs/dbraw/zinc/33/26/73/177332673.db2.gz ZPQJJXIAZRFORO-GXTWGEPZSA-N 0 3 241.338 2.721 20 0 BFADHN C[C@H]1C[C@@H](O)CCN1Cc1ccc2c(c1)CCC2 ZINC000292366231 177336078 /nfs/dbraw/zinc/33/60/78/177336078.db2.gz INNRFHNAOCKDCG-LRDDRELGSA-N 0 3 245.366 2.521 20 0 BFADHN C[C@@H](O)CN1C[C@@H](C)C[C@H]1c1cccc(F)c1 ZINC000192730210 177338262 /nfs/dbraw/zinc/33/82/62/177338262.db2.gz PNGRRELBIHXOKM-WDMOLILDSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@H]1C[C@@H]1NCc1ccccc1OC(F)F ZINC000052271685 177349968 /nfs/dbraw/zinc/34/99/68/177349968.db2.gz KVOXEELGCKDQLN-WPRPVWTQSA-N 0 3 227.254 2.786 20 0 BFADHN C[C@H]1C[C@@H]1NCc1ccccc1Br ZINC000052271817 177350359 /nfs/dbraw/zinc/35/03/59/177350359.db2.gz MRQNVQQWRARNAT-KWQFWETISA-N 0 3 240.144 2.947 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CNC[C@@H]2CCCO2)o1 ZINC000019963451 177352024 /nfs/dbraw/zinc/35/20/24/177352024.db2.gz MGOIYRDLYCYBSH-GVXVVHGQSA-N 0 3 235.327 2.672 20 0 BFADHN CCOc1ccc(CN2CC[C@H](C)[C@@H]2C)nc1 ZINC000674866624 487638113 /nfs/dbraw/zinc/63/81/13/487638113.db2.gz CYLQSTZJBBFATH-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H]1C[C@H](NCC2(C)OCCO2)c2ccccc21 ZINC000290358887 177369609 /nfs/dbraw/zinc/36/96/09/177369609.db2.gz QQTHHQAXGQKTAN-FZMZJTMJSA-N 0 3 247.338 2.588 20 0 BFADHN C[C@H]1C[C@H](NCc2c(F)cccc2F)CCO1 ZINC000219921357 177371096 /nfs/dbraw/zinc/37/10/96/177371096.db2.gz LFWCFOKIHWRFLU-VHSXEESVSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H]1CC[C@H](N[C@H]2C[C@H](C)n3ccnc32)C1 ZINC000345355522 177372213 /nfs/dbraw/zinc/37/22/13/177372213.db2.gz MIQZSQHDOBPQGP-RHYQMDGZSA-N 0 3 219.332 2.667 20 0 BFADHN C[C@]1(Br)C[C@H]1CN1CC[C@@H]1C1CC1 ZINC000894690968 583508503 /nfs/dbraw/zinc/50/85/03/583508503.db2.gz WCVLDPISIJOINT-AXFHLTTASA-N 0 3 244.176 2.644 20 0 BFADHN CC[C@@H]1CCN(C[C@H]2COc3ccccc3O2)C1 ZINC000674875798 487640322 /nfs/dbraw/zinc/64/03/22/487640322.db2.gz SUSJVUGTFAUWPN-OLZOCXBDSA-N 0 3 247.338 2.558 20 0 BFADHN CC[C@H]1CCN(C[C@H]2COc3ccccc3O2)C1 ZINC000674875800 487640478 /nfs/dbraw/zinc/64/04/78/487640478.db2.gz SUSJVUGTFAUWPN-STQMWFEESA-N 0 3 247.338 2.558 20 0 BFADHN COCCNCc1cc2c(ccc(C)c2C)[nH]1 ZINC000471982454 225091437 /nfs/dbraw/zinc/09/14/37/225091437.db2.gz YBDXLTHEQXEDPW-UHFFFAOYSA-N 0 3 232.327 2.521 20 0 BFADHN Cc1ccc(CNC/C=C\c2ccncc2)cn1 ZINC000486926284 225271678 /nfs/dbraw/zinc/27/16/78/225271678.db2.gz IIUXNOGZJSOHDI-IHWYPQMZSA-N 0 3 239.322 2.588 20 0 BFADHN COC[C@H](N[C@@H](C)[C@@H]1CC1(C)C)c1ccco1 ZINC000487320320 225297975 /nfs/dbraw/zinc/29/79/75/225297975.db2.gz IJCOQSHMLYIJBI-SRVKXCTJSA-N 0 3 237.343 2.991 20 0 BFADHN CO[C@@H](CNC/C=C/c1ccncc1)C(C)(C)C ZINC000487330231 225299507 /nfs/dbraw/zinc/29/95/07/225299507.db2.gz UUQSSXDDWUVFPV-GJBLVYBDSA-N 0 3 248.370 2.746 20 0 BFADHN COCCN(Cc1cccs1)C[C@H]1C[C@@H]1C ZINC000488314846 225369323 /nfs/dbraw/zinc/36/93/23/225369323.db2.gz CTNQCNJABLCJMV-NWDGAFQWSA-N 0 3 239.384 2.853 20 0 BFADHN COc1ncccc1CN1CCC(C)(C)CC1 ZINC000487910532 225331550 /nfs/dbraw/zinc/33/15/50/225331550.db2.gz KTHJTRCKRUJURB-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COCCN(Cc1cccnc1)[C@H]1CCC[C@@H]1C ZINC000488002283 225339838 /nfs/dbraw/zinc/33/98/38/225339838.db2.gz XHGBWRFDXUPZMA-ZFWWWQNUSA-N 0 3 248.370 2.719 20 0 BFADHN COCCN(Cc1ccccn1)[C@H]1CCC[C@@H]1C ZINC000488072633 225346722 /nfs/dbraw/zinc/34/67/22/225346722.db2.gz JZQRQCHZKNVOPI-ZFWWWQNUSA-N 0 3 248.370 2.719 20 0 BFADHN CCn1ccc(CN[C@@H](C)Cc2cccs2)n1 ZINC000666195583 487645250 /nfs/dbraw/zinc/64/52/50/487645250.db2.gz YKSWOEPSHWBFEI-NSHDSACASA-N 0 3 249.383 2.685 20 0 BFADHN C[C@@H]1CSCCN1CCCOC(C)(C)C ZINC000674908380 487646999 /nfs/dbraw/zinc/64/69/99/487646999.db2.gz ALWJAPWFYBOFAR-LLVKDONJSA-N 0 3 231.405 2.629 20 0 BFADHN Cc1cc(CN[C@@H]2CC(C)(C)C[C@H]2C)ncn1 ZINC000683436041 487647524 /nfs/dbraw/zinc/64/75/24/487647524.db2.gz NZQBKKFMHHXDRS-ZWNOBZJWSA-N 0 3 233.359 2.699 20 0 BFADHN CC[C@H]1CO[C@@H](C)CN1CCCOC(C)(C)C ZINC000674902644 487648336 /nfs/dbraw/zinc/64/83/36/487648336.db2.gz KRTBQBTVXJUEIC-STQMWFEESA-N 0 3 243.391 2.691 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CCCOC(C)(C)C ZINC000674902643 487647962 /nfs/dbraw/zinc/64/79/62/487647962.db2.gz KRTBQBTVXJUEIC-QWHCGFSZSA-N 0 3 243.391 2.691 20 0 BFADHN Cc1cc(CN)cc(NC(=O)/C=C/C(C)(C)C)c1 ZINC000493322733 226185883 /nfs/dbraw/zinc/18/58/83/226185883.db2.gz NNDBZJXHRLNWGD-AATRIKPKSA-N 0 3 246.354 2.995 20 0 BFADHN CCOc1ncccc1CN[C@@H]1C[C@H](C)[C@H]1C ZINC000494916894 226271285 /nfs/dbraw/zinc/27/12/85/226271285.db2.gz VUJJJMGFKMKXFE-DMDPSCGWSA-N 0 3 234.343 2.614 20 0 BFADHN CCc1noc(CC)c1CN[C@@H]1C[C@H](C)[C@H]1C ZINC000495050379 226275304 /nfs/dbraw/zinc/27/53/04/226275304.db2.gz TXKDQVJLLUNLFU-OPQQBVKSSA-N 0 3 236.359 2.934 20 0 BFADHN C[C@H](NCCC[C@H]1CCOC1)c1ccco1 ZINC000400561904 226346276 /nfs/dbraw/zinc/34/62/76/226346276.db2.gz MBHBJEPLPIJIQR-RYUDHWBXSA-N 0 3 223.316 2.747 20 0 BFADHN Cc1nc2ccccn2c1CN1CCC[C@@H](C)C1 ZINC000505307375 226353686 /nfs/dbraw/zinc/35/36/86/226353686.db2.gz DWFNKCFSGIQNGD-GFCCVEGCSA-N 0 3 243.354 2.875 20 0 BFADHN CCc1nocc1CN1C[C@H](C)C[C@@H]1CC ZINC000508638725 226373571 /nfs/dbraw/zinc/37/35/71/226373571.db2.gz SISGAQBJWTYWRC-PWSUYJOCSA-N 0 3 222.332 2.857 20 0 BFADHN COC[C@H](N[C@H](C)c1cnccc1C)C(C)C ZINC000557147616 322934658 /nfs/dbraw/zinc/93/46/58/322934658.db2.gz CMFPETIDPGXDHF-OCCSQVGLSA-N 0 3 236.359 2.712 20 0 BFADHN COC(=O)[C@H]1CCCCCN1CC1(C)CCC1 ZINC000590113169 487655772 /nfs/dbraw/zinc/65/57/72/487655772.db2.gz XQYHSPDDSLBCBO-GFCCVEGCSA-N 0 3 239.359 2.594 20 0 BFADHN CCn1cc([C@H](C)N[C@@H](C)[C@H]2CC2(F)F)cn1 ZINC000666228058 487655805 /nfs/dbraw/zinc/65/58/05/487655805.db2.gz LUELLQRGMNHPQU-ATZCPNFKSA-N 0 3 243.301 2.597 20 0 BFADHN CCO[C@@H]1C[C@@H](N[C@@H]2CCC[C@@H]2F)C1(C)C ZINC000393437231 487660759 /nfs/dbraw/zinc/66/07/59/487660759.db2.gz LPSKYBOIKBNJHA-IRCOFANPSA-N 0 3 229.339 2.670 20 0 BFADHN C[C@H](NCCOCC1CCC1)c1ccncc1 ZINC000680882410 487663070 /nfs/dbraw/zinc/66/30/70/487663070.db2.gz RQMVYDLOUWKTBM-LBPRGKRZSA-N 0 3 234.343 2.549 20 0 BFADHN CCCCC(=O)N1CCN(C(C)(C)CC)CC1 ZINC000655273474 487663637 /nfs/dbraw/zinc/66/36/37/487663637.db2.gz UWKULUYTPUPSGP-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN C[C@@H](NCCOCC1CCC1)c1ccncc1 ZINC000680882409 487664003 /nfs/dbraw/zinc/66/40/03/487664003.db2.gz RQMVYDLOUWKTBM-GFCCVEGCSA-N 0 3 234.343 2.549 20 0 BFADHN CCCNCc1ccc(F)c(F)c1F ZINC000069049559 487666966 /nfs/dbraw/zinc/66/69/66/487666966.db2.gz OBWGEALYIIXRLM-UHFFFAOYSA-N 0 3 203.207 2.604 20 0 BFADHN C[C@H]1OCCN(CC2CC(C)(C)C2)[C@@H]1C ZINC000528405094 262744253 /nfs/dbraw/zinc/74/42/53/262744253.db2.gz PNTGNGQJZIWOII-GHMZBOCLSA-N 0 3 211.349 2.532 20 0 BFADHN C[C@H]1C[C@H]1CN(C)Cc1ccccc1F ZINC000488290544 229180156 /nfs/dbraw/zinc/18/01/56/229180156.db2.gz CAUCBOPGHGVJGI-JQWIXIFHSA-N 0 3 207.292 2.914 20 0 BFADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2ccccn2)C12CCC2 ZINC000308383647 229232541 /nfs/dbraw/zinc/23/25/41/229232541.db2.gz YSLZBGJHARJELP-IACUBPJLSA-N 0 3 246.354 2.690 20 0 BFADHN CCc1ccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)s1 ZINC000087689793 487679350 /nfs/dbraw/zinc/67/93/50/487679350.db2.gz FGZRGCDQQNTEDU-WQAKAFBOSA-N 0 3 237.368 2.720 20 0 BFADHN COCCN(Cc1ccoc1C)C1CCCC1 ZINC000666295425 487683273 /nfs/dbraw/zinc/68/32/73/487683273.db2.gz RCRLFRQLINEANW-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN Cc1ccc(F)cc1CNC/C=C\Cl ZINC000898431980 584135131 /nfs/dbraw/zinc/13/51/31/584135131.db2.gz DOJKAXIAIDUKJM-DJWKRKHSSA-N 0 3 213.683 2.976 20 0 BFADHN COc1ccc(CN[C@@H]2CC2(C)C)c(F)c1 ZINC000228597446 487684761 /nfs/dbraw/zinc/68/47/61/487684761.db2.gz ZZPSWMCTJURDFM-GFCCVEGCSA-N 0 3 223.291 2.722 20 0 BFADHN Cc1ncncc1[C@H](C)N[C@@H]1C[C@H]1CC(C)C ZINC000655777255 487684809 /nfs/dbraw/zinc/68/48/09/487684809.db2.gz QYDAZVPXBMBVCT-OUCADQQQSA-N 0 3 233.359 2.870 20 0 BFADHN CCCn1nccc1CN1C[C@@H]2CCC[C@H]2C1 ZINC000667642599 487689564 /nfs/dbraw/zinc/68/95/64/487689564.db2.gz DHRFYOAWBNGUID-STQMWFEESA-N 0 3 233.359 2.525 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2ccncc2F)C1 ZINC000336214270 487691858 /nfs/dbraw/zinc/69/18/58/487691858.db2.gz CFKSLNZXKYKIOO-PWSUYJOCSA-N 0 3 222.307 2.889 20 0 BFADHN COC[C@@H](N[C@@H]1CCC12CCC2)c1ccco1 ZINC000669700448 487696748 /nfs/dbraw/zinc/69/67/48/487696748.db2.gz IDNKFJJUHOWXQL-DGCLKSJQSA-N 0 3 235.327 2.889 20 0 BFADHN Cc1cc([C@@H](C)N[C@H](CO)CC2CC2)oc1C ZINC000669701538 487697803 /nfs/dbraw/zinc/69/78/03/487697803.db2.gz BOOUZQPSJIFFTP-MFKMUULPSA-N 0 3 237.343 2.708 20 0 BFADHN COc1cccc(CNC2CC(C)(C)C2)c1OC ZINC000395162404 262766557 /nfs/dbraw/zinc/76/65/57/262766557.db2.gz AAIPVRUMIKDKEW-UHFFFAOYSA-N 0 3 249.354 2.982 20 0 BFADHN C[C@H](NCCc1cccc(F)c1)c1ccncn1 ZINC000396052505 262795974 /nfs/dbraw/zinc/79/59/74/262795974.db2.gz VLMAGJDIUBKISN-NSHDSACASA-N 0 3 245.301 2.509 20 0 BFADHN Clc1cccc(CNCC23CC(C2)CO3)c1 ZINC000527789886 262882169 /nfs/dbraw/zinc/88/21/69/262882169.db2.gz PCPVPNIIXDVOID-UHFFFAOYSA-N 0 3 237.730 2.609 20 0 BFADHN C[C@@H](NCC[C@@H]1CCCOC1)c1cscn1 ZINC000527929114 262895576 /nfs/dbraw/zinc/89/55/76/262895576.db2.gz OIVBMYOMQVHEGM-MNOVXSKESA-N 0 3 240.372 2.610 20 0 BFADHN C[C@H]1CC[C@@H](CNc2ccnc3ccccc32)O1 ZINC000397908682 262940028 /nfs/dbraw/zinc/94/00/28/262940028.db2.gz XUEHOUZMCNVLAU-RYUDHWBXSA-N 0 3 242.322 2.636 20 0 BFADHN CC[C@@H](C)CN1CCc2nc(C3CC3)ncc2C1 ZINC000528736121 262968880 /nfs/dbraw/zinc/96/88/80/262968880.db2.gz JXHOISBRQRFWLK-LLVKDONJSA-N 0 3 245.370 2.758 20 0 BFADHN CC[C@H](CNCc1ccon1)c1ccccc1 ZINC000678937376 487701829 /nfs/dbraw/zinc/70/18/29/487701829.db2.gz ZWSIVOKLNXBONG-GFCCVEGCSA-N 0 3 230.311 2.958 20 0 BFADHN Cc1cccc(CCCNCc2nccs2)n1 ZINC000671724255 487708292 /nfs/dbraw/zinc/70/82/92/487708292.db2.gz GQCKBUYIQJJUDK-UHFFFAOYSA-N 0 3 247.367 2.569 20 0 BFADHN C[C@@H](NCc1cn(C)cn1)[C@@H](C)c1ccccc1 ZINC000683899742 487711766 /nfs/dbraw/zinc/71/17/66/487711766.db2.gz JHFIYTAORIYOTA-CHWSQXEVSA-N 0 3 243.354 2.702 20 0 BFADHN CCCn1nccc1CNCC1(C(C)C)CC1 ZINC000233405578 487713886 /nfs/dbraw/zinc/71/38/86/487713886.db2.gz JRNCNWMPIXGFLM-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN CCCn1nccc1CN[C@H](C)CC(F)(F)F ZINC000233412381 487714485 /nfs/dbraw/zinc/71/44/85/487714485.db2.gz RJGVHYJKLWOXCB-SECBINFHSA-N 0 3 249.280 2.724 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@H]1CCn2ccnc21 ZINC000651370680 487716214 /nfs/dbraw/zinc/71/62/14/487716214.db2.gz JMPDAAAVDHFGMK-QWRGUYRKSA-N 0 3 221.348 2.742 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CN(C)Cc1c[nH]cn1 ZINC000668422178 487726983 /nfs/dbraw/zinc/72/69/83/487726983.db2.gz SHSRYNOEXCMMTD-OCCSQVGLSA-N 0 3 233.359 2.834 20 0 BFADHN CC1=CCC[C@@H](C)[C@H]1CN(C)Cc1cnc[nH]1 ZINC000668422178 487726986 /nfs/dbraw/zinc/72/69/86/487726986.db2.gz SHSRYNOEXCMMTD-OCCSQVGLSA-N 0 3 233.359 2.834 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN(C)Cc2c[nH]cn2)C1 ZINC000668422319 487727287 /nfs/dbraw/zinc/72/72/87/487727287.db2.gz YBLLJAPUAPUGCY-WCQYABFASA-N 0 3 233.359 2.834 20 0 BFADHN CC1=C[C@H](C)C[C@@H](CN(C)Cc2cnc[nH]2)C1 ZINC000668422319 487727293 /nfs/dbraw/zinc/72/72/93/487727293.db2.gz YBLLJAPUAPUGCY-WCQYABFASA-N 0 3 233.359 2.834 20 0 BFADHN C[C@H](CC1CCC1)N[C@H](CCO)c1ccco1 ZINC000681108628 487728585 /nfs/dbraw/zinc/72/85/85/487728585.db2.gz HYOLZZQNFLCWBR-DGCLKSJQSA-N 0 3 237.343 2.871 20 0 BFADHN CC1(C)c2ccccc2CCN1Cc1cn[nH]c1 ZINC000660170182 487730245 /nfs/dbraw/zinc/73/02/45/487730245.db2.gz DVULDUBERQQHHV-UHFFFAOYSA-N 0 3 241.338 2.703 20 0 BFADHN CCOc1ccc(CN2C[C@@H]3CCC[C@H]3C2)nc1 ZINC000674912214 487731224 /nfs/dbraw/zinc/73/12/24/487731224.db2.gz SNWUCIURLPGPQO-STQMWFEESA-N 0 3 246.354 2.712 20 0 BFADHN CC1(C)CN(Cc2cccc(N)c2)CC(C)(C)O1 ZINC000226411560 487738876 /nfs/dbraw/zinc/73/88/76/487738876.db2.gz UFQQBDJTTKGLRI-UHFFFAOYSA-N 0 3 248.370 2.658 20 0 BFADHN CC(C)n1ncnc1CN[C@H]1CCC[C@@H](C)C1 ZINC000088589173 487738678 /nfs/dbraw/zinc/73/86/78/487738678.db2.gz LOCGJFGKYHZFFR-NEPJUHHUSA-N 0 3 236.363 2.527 20 0 BFADHN Cn1nc(CNC2(C3CC3)CC2)c2ccccc21 ZINC000675477961 487739482 /nfs/dbraw/zinc/73/94/82/487739482.db2.gz CQMLKJKGMSJLTR-UHFFFAOYSA-N 0 3 241.338 2.606 20 0 BFADHN C[C@@]1(CO)CCCN1Cc1cc2ccccc2[nH]1 ZINC000684024299 487742741 /nfs/dbraw/zinc/74/27/41/487742741.db2.gz RPMOALCIFBAMJX-HNNXBMFYSA-N 0 3 244.338 2.515 20 0 BFADHN CCC[C@@H]1CCCC[C@@H]1NCc1cnns1 ZINC000649451010 487748727 /nfs/dbraw/zinc/74/87/27/487748727.db2.gz MPFHHBBEUGEABR-PWSUYJOCSA-N 0 3 239.388 2.987 20 0 BFADHN CC[C@H](O)CCNCc1ccc(C)cc1Cl ZINC000234032417 487757811 /nfs/dbraw/zinc/75/78/11/487757811.db2.gz PSPOYCIAQVBMQJ-LBPRGKRZSA-N 0 3 241.762 2.899 20 0 BFADHN CC[C@@H]1CN(Cc2cccnc2C)CCS1 ZINC000093502378 487771847 /nfs/dbraw/zinc/77/18/47/487771847.db2.gz AMUURBUIVONMTH-CYBMUJFWSA-N 0 3 236.384 2.717 20 0 BFADHN COc1nc(C)cc(C)c1CN[C@H](C)C1CCC1 ZINC000675807681 487781253 /nfs/dbraw/zinc/78/12/53/487781253.db2.gz AXGSFJDXHSBAFN-GFCCVEGCSA-N 0 3 248.370 2.985 20 0 BFADHN CCC[C@@H](N[C@H](CC)COC)c1ccccn1 ZINC000675815780 487781365 /nfs/dbraw/zinc/78/13/65/487781365.db2.gz FEFQHLFTGGKRAG-TZMCWYRMSA-N 0 3 236.359 2.937 20 0 BFADHN CC(C)C[C@H](C)CN[C@@H]1C[C@@H](C)n2ncnc21 ZINC000668467989 487791805 /nfs/dbraw/zinc/79/18/05/487791805.db2.gz BFUNGTCNLSVANZ-QJPTWQEYSA-N 0 3 236.363 2.556 20 0 BFADHN C[C@@H](CN[C@@H](C)c1cncs1)c1ccncc1 ZINC000675928458 487792944 /nfs/dbraw/zinc/79/29/44/487792944.db2.gz UGRGWNHNKDYBOT-QWRGUYRKSA-N 0 3 247.367 2.992 20 0 BFADHN CCc1ncc(CN2CCC3(CCC3)CC2)cn1 ZINC000666371514 487795522 /nfs/dbraw/zinc/79/55/22/487795522.db2.gz QWBJGGPZHOQEDI-UHFFFAOYSA-N 0 3 245.370 2.805 20 0 BFADHN Cc1c(CN2C3CCCC2CCC3)cnn1C ZINC000675966002 487797099 /nfs/dbraw/zinc/79/70/99/487797099.db2.gz FQPVBWCACKPPGZ-UHFFFAOYSA-N 0 3 233.359 2.636 20 0 BFADHN CCOc1cccc(CN2CC(CCOC)C2)c1 ZINC000668473747 487798561 /nfs/dbraw/zinc/79/85/61/487798561.db2.gz ACCDIYOCMGKVMT-UHFFFAOYSA-N 0 3 249.354 2.554 20 0 BFADHN CCc1ccc(CN2CC(CCOC)C2)s1 ZINC000668472651 487798941 /nfs/dbraw/zinc/79/89/41/487798941.db2.gz OCSLIURKVAUMRK-UHFFFAOYSA-N 0 3 239.384 2.779 20 0 BFADHN C[C@H](N[C@@H]1CC1(C)C)c1ccc2[nH]c(=O)oc2c1 ZINC000671756051 487801143 /nfs/dbraw/zinc/80/11/43/487801143.db2.gz WFPUTAIWMVJICU-QPUJVOFHSA-N 0 3 246.310 2.983 20 0 BFADHN CCCN(C)Cc1cccc(OC)c1F ZINC000192939298 487803446 /nfs/dbraw/zinc/80/34/46/487803446.db2.gz APOKMQNJWPVKLR-UHFFFAOYSA-N 0 3 211.280 2.676 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]2CC(C)C)cn1 ZINC000385779234 487803727 /nfs/dbraw/zinc/80/37/27/487803727.db2.gz BXBNLWYGUMQGIC-ZIAGYGMSSA-N 0 3 218.344 2.914 20 0 BFADHN Fc1cccc([C@@H]2CCN2C[C@H]2CCCOC2)c1 ZINC000676081946 487811137 /nfs/dbraw/zinc/81/11/37/487811137.db2.gz AMDBTUYHWHACJQ-DOMZBBRYSA-N 0 3 249.329 2.999 20 0 BFADHN C[C@H]1CC(C)(C)CN1Cc1ccc(N(C)C)nc1 ZINC000666455067 487812814 /nfs/dbraw/zinc/81/28/14/487812814.db2.gz ZVZUDKCJTXUIDC-LBPRGKRZSA-N 0 3 247.386 2.768 20 0 BFADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1ccoc1 ZINC000187864202 487814985 /nfs/dbraw/zinc/81/49/85/487814985.db2.gz JSZCPGPPPTUIKE-JQWIXIFHSA-N 0 3 223.316 2.888 20 0 BFADHN CC(C)(CNCc1nccs1)c1cccnc1 ZINC000666474451 487815816 /nfs/dbraw/zinc/81/58/16/487815816.db2.gz ZUZWVUBHGMNLIL-UHFFFAOYSA-N 0 3 247.367 2.606 20 0 BFADHN C=Cn1cc(CN2CC[C@@H](C3CCC3)C2)cn1 ZINC000666487705 487818839 /nfs/dbraw/zinc/81/88/39/487818839.db2.gz HYPCHYSCHMVYQP-CQSZACIVSA-N 0 3 231.343 2.606 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@H]1CCCc2cccnc21 ZINC000252563373 487827093 /nfs/dbraw/zinc/82/70/93/487827093.db2.gz XIRMEZYRJDFFOW-HZSPNIEDSA-N 0 3 246.354 2.616 20 0 BFADHN C[C@@H]1CN([C@H]2CCc3ccccc32)C[C@H](C)O1 ZINC000681639936 487828959 /nfs/dbraw/zinc/82/89/59/487828959.db2.gz MYFUFIIFPRHQDD-ZOWXZIJZSA-N 0 3 231.339 2.783 20 0 BFADHN CCCCCCNCc1ccncc1F ZINC000823585449 587232146 /nfs/dbraw/zinc/23/21/46/587232146.db2.gz YNUDNSDFYTYSBY-UHFFFAOYSA-N 0 3 210.296 2.891 20 0 BFADHN CC(C)(C)NCc1ccnc(Cl)c1F ZINC000824086508 587254577 /nfs/dbraw/zinc/25/45/77/587254577.db2.gz XEMUDJNYKSOCHC-UHFFFAOYSA-N 0 3 216.687 2.762 20 0 BFADHN Cc1nccnc1[C@H](C)NC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403327409 322946390 /nfs/dbraw/zinc/94/63/90/322946390.db2.gz AUMUGNJKPJFRCG-DGAVXFQQSA-N 0 3 245.370 2.872 20 0 BFADHN CCc1noc(C)c1[C@H](C)N[C@H](C)CC ZINC000834487574 587756730 /nfs/dbraw/zinc/75/67/30/587756730.db2.gz HQVGAALZYDWHED-BDAKNGLRSA-N 0 3 210.321 2.995 20 0 BFADHN CCn1ccc(CN[C@H]2CCC[C@]2(C)CC)n1 ZINC000651830540 487838662 /nfs/dbraw/zinc/83/86/62/487838662.db2.gz XGDOVEYJLNHXRN-KBPBESRZSA-N 0 3 235.375 2.961 20 0 BFADHN CC1CCN(CCSC2=NCCS2)CC1 ZINC000121708620 589526998 /nfs/dbraw/zinc/52/69/98/589526998.db2.gz XVKQXIMBPBTKDG-UHFFFAOYSA-N 0 3 244.429 2.554 20 0 BFADHN C(c1noc2c1CCCC2)N1CC[C@@H]2CCC[C@H]21 ZINC000681709222 487844985 /nfs/dbraw/zinc/84/49/85/487844985.db2.gz DZHMXENHJQKXAC-SMDDNHRTSA-N 0 3 246.354 2.928 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cnn(CC(F)F)c1 ZINC000507192213 528959064 /nfs/dbraw/zinc/95/90/64/528959064.db2.gz RJDIOIGZUBSUJF-JTQLQIEISA-N 0 3 245.317 2.625 20 0 BFADHN CCc1ccc(-c2ccc([C@@H](N)CO)cc2)cc1 ZINC000224513949 487845322 /nfs/dbraw/zinc/84/53/22/487845322.db2.gz VKQXNORKMGCTKF-INIZCTEOSA-N 0 3 241.334 2.908 20 0 BFADHN FC1(F)CCC[C@H]1CCN1CCSCC1 ZINC000877968004 590461184 /nfs/dbraw/zinc/46/11/84/590461184.db2.gz SRNLYIZKGBGOLU-JTQLQIEISA-N 0 3 235.343 2.861 20 0 BFADHN CCC[C@H](C)N1CC[C@](OC)(C(F)(F)F)C1 ZINC000880307659 591000753 /nfs/dbraw/zinc/00/07/53/591000753.db2.gz SCRJHSYSIJGFFV-VHSXEESVSA-N 0 3 239.281 2.828 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1CNCc1cc[nH]n1 ZINC000401775963 529277394 /nfs/dbraw/zinc/27/73/94/529277394.db2.gz YZIJNDWEUNJXBU-VXGBXAGGSA-N 0 3 221.348 2.716 20 0 BFADHN CCOC[C@H](C)N[C@H](C)c1ccc(C)nc1C ZINC000681744037 487851122 /nfs/dbraw/zinc/85/11/22/487851122.db2.gz SPOFWQWERLDNNU-WCQYABFASA-N 0 3 236.359 2.774 20 0 BFADHN CO[C@@H](CNc1cc(C)cc(C)n1)C1CC1 ZINC000638788731 487853433 /nfs/dbraw/zinc/85/34/33/487853433.db2.gz ROAJVOUUFWMEPR-LBPRGKRZSA-N 0 3 220.316 2.535 20 0 BFADHN CO[C@@](C)(CNc1cc(C)cc(C)n1)C1CC1 ZINC000638788740 487853500 /nfs/dbraw/zinc/85/35/00/487853500.db2.gz SNWQBBVPNCVKBE-AWEZNQCLSA-N 0 3 234.343 2.925 20 0 BFADHN Fc1cncc(CN2CCC[C@H]2C2CC2)c1 ZINC000681751620 487854694 /nfs/dbraw/zinc/85/46/94/487854694.db2.gz JPMTVBMXRQZOLG-ZDUSSCGKSA-N 0 3 220.291 2.595 20 0 BFADHN C[C@H](N[C@H](CO)CC1CCCC1)c1ccoc1 ZINC000558697551 322953908 /nfs/dbraw/zinc/95/39/08/322953908.db2.gz IZFCSXOBKQNRGM-FZMZJTMJSA-N 0 3 237.343 2.871 20 0 BFADHN CCc1cc(CN[C@H]2CC[C@H]2C2CCC2)on1 ZINC000558699582 322954081 /nfs/dbraw/zinc/95/40/81/322954081.db2.gz SZIQPANYOCMCBU-KBPBESRZSA-N 0 3 234.343 2.905 20 0 BFADHN CSc1cc(C)ccc1CN[C@H](C)[C@H](C)O ZINC000566112346 322957633 /nfs/dbraw/zinc/95/76/33/322957633.db2.gz MYNKOWYSBAWGMZ-MNOVXSKESA-N 0 3 239.384 2.576 20 0 BFADHN Cc1ccnc(CN2CCC[C@H](CCF)C2)c1 ZINC000661687309 487865617 /nfs/dbraw/zinc/86/56/17/487865617.db2.gz ABASMQGOSDSXMF-CYBMUJFWSA-N 0 3 236.334 2.962 20 0 BFADHN Cc1ccc(CN(C[C@H]2CCCN2C)C2CC2)o1 ZINC000681919590 487867763 /nfs/dbraw/zinc/86/77/63/487867763.db2.gz BQBWUUIADNXKNB-CQSZACIVSA-N 0 3 248.370 2.647 20 0 BFADHN CC(C)CN[C@@H](C)c1nc2c(s1)CCC2 ZINC000127392513 322960748 /nfs/dbraw/zinc/96/07/48/322960748.db2.gz GWNISVWTKVATBK-VIFPVBQESA-N 0 3 224.373 2.938 20 0 BFADHN Cc1nc(CN[C@@H](C)C2CCCC2)c(C)o1 ZINC000660266364 487872852 /nfs/dbraw/zinc/87/28/52/487872852.db2.gz AQJRRGKBDRTEIP-VIFPVBQESA-N 0 3 222.332 2.960 20 0 BFADHN CCN(C[C@@H]1CC1(Cl)Cl)C1CC1 ZINC000307969851 642327634 /nfs/dbraw/zinc/32/76/34/642327634.db2.gz GDJAIGHUDWAJLC-ZETCQYMHSA-N 0 3 208.132 2.665 20 0 BFADHN CCn1nccc1CNC(C)(C)CC(F)(F)F ZINC000681985395 487874561 /nfs/dbraw/zinc/87/45/61/487874561.db2.gz YTBNADZOKNTEFT-UHFFFAOYSA-N 0 3 249.280 2.724 20 0 BFADHN CN(CCCCCF)Cc1cccc(O)c1 ZINC000671241871 487874431 /nfs/dbraw/zinc/87/44/31/487874431.db2.gz LEFGOHHYOQAHOY-UHFFFAOYSA-N 0 3 225.307 2.964 20 0 BFADHN Cc1ccnc(NC2CCN(C)CC2)c1C1CC1 ZINC000668513752 487875214 /nfs/dbraw/zinc/87/52/14/487875214.db2.gz XIKLPGDRPJGEMW-UHFFFAOYSA-N 0 3 245.370 2.774 20 0 BFADHN CCc1nnc([C@H](C)[NH2+]C[C@H](C)C(C)(C)C)[n-]1 ZINC000670268089 487876874 /nfs/dbraw/zinc/87/68/74/487876874.db2.gz HCXIKRLUXHFNDU-UWVGGRQHSA-N 0 3 238.379 2.700 20 0 BFADHN CCc1nnc([C@H](C)NC[C@H](C)C(C)(C)C)[nH]1 ZINC000670268089 487876879 /nfs/dbraw/zinc/87/68/79/487876879.db2.gz HCXIKRLUXHFNDU-UWVGGRQHSA-N 0 3 238.379 2.700 20 0 BFADHN CCCn1nccc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000668215330 487878902 /nfs/dbraw/zinc/87/89/02/487878902.db2.gz ARRKFUDGHNXYPJ-OKILXGFUSA-N 0 3 247.386 2.915 20 0 BFADHN Cc1ccsc1CN1CC([C@@H]2CCOC2)C1 ZINC000684415321 487884320 /nfs/dbraw/zinc/88/43/20/487884320.db2.gz JACWEXZGYMXWEW-LLVKDONJSA-N 0 3 237.368 2.525 20 0 BFADHN C/C=C/CN[C@@H](C)c1ccccc1OC ZINC000234900295 487889423 /nfs/dbraw/zinc/88/94/23/487889423.db2.gz AIUXIGIOBHRWFY-ZWNMCFTASA-N 0 3 205.301 2.922 20 0 BFADHN Cc1cccn2cc(CN3C[C@@H](C)[C@H](C)C3)nc12 ZINC000674492797 487896554 /nfs/dbraw/zinc/89/65/54/487896554.db2.gz ALZMPGBVSCXYSA-CHWSQXEVSA-N 0 3 243.354 2.731 20 0 BFADHN Cc1cc(CN2CCCOC[C@H]2C)c(C)s1 ZINC000676710430 487897892 /nfs/dbraw/zinc/89/78/92/487897892.db2.gz DJQBABVTFSKMIQ-SNVBAGLBSA-N 0 3 239.384 2.976 20 0 BFADHN Cc1ncc([C@@H](C)N2CCCCC2)c(C)n1 ZINC000682076361 487900610 /nfs/dbraw/zinc/90/06/10/487900610.db2.gz JUHGHESOGKYBRO-LLVKDONJSA-N 0 3 219.332 2.640 20 0 BFADHN CCc1ccc(CN2CCCOC[C@@H]2C)cc1 ZINC000676712595 487900928 /nfs/dbraw/zinc/90/09/28/487900928.db2.gz ATHAYNXCWSGRJO-ZDUSSCGKSA-N 0 3 233.355 2.860 20 0 BFADHN Cc1cc(C)n(CCN[C@@H](C)c2ccsc2)n1 ZINC000386951806 487903171 /nfs/dbraw/zinc/90/31/71/487903171.db2.gz XQVSKZHDLQQFFI-LBPRGKRZSA-N 0 3 249.383 2.912 20 0 BFADHN CCCn1nccc1CNCCCC1CC1 ZINC000164874340 487905110 /nfs/dbraw/zinc/90/51/10/487905110.db2.gz IKOCARHWFIYALX-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CC[C@H](N[C@H](CO)CCF)c1ccc(F)cc1 ZINC000652307110 487907435 /nfs/dbraw/zinc/90/74/35/487907435.db2.gz ODRZXWKYWDHBRX-STQMWFEESA-N 0 3 243.297 2.587 20 0 BFADHN CC[C@H](N[C@@H](CO)CCF)c1ccc(F)cc1 ZINC000652307108 487907896 /nfs/dbraw/zinc/90/78/96/487907896.db2.gz ODRZXWKYWDHBRX-OLZOCXBDSA-N 0 3 243.297 2.587 20 0 BFADHN C[C@H](N[C@@H](CO)CCF)c1ccccc1Cl ZINC000652306906 487907510 /nfs/dbraw/zinc/90/75/10/487907510.db2.gz IKYOSQKUYGWLOB-VHSXEESVSA-N 0 3 245.725 2.711 20 0 BFADHN c1ncc(CNC[C@@H]2Cc3ccccc32)s1 ZINC000054788113 487910716 /nfs/dbraw/zinc/91/07/16/487910716.db2.gz SYLKIFGSYPJBDV-NSHDSACASA-N 0 3 230.336 2.573 20 0 BFADHN CCN(CC[C@H]1CCOC1)Cc1ccoc1 ZINC000682153760 487915807 /nfs/dbraw/zinc/91/58/07/487915807.db2.gz CVHXEXREUUPAGX-LBPRGKRZSA-N 0 3 223.316 2.528 20 0 BFADHN Fc1cccc([C@H]2CCN2CC[C@@H]2CCOC2)c1 ZINC000682155697 487916837 /nfs/dbraw/zinc/91/68/37/487916837.db2.gz CITUPKNWVRJFHQ-IUODEOHRSA-N 0 3 249.329 2.999 20 0 BFADHN CC(C)CC[C@@H](NCc1cocn1)C1CC1 ZINC000309398122 487919047 /nfs/dbraw/zinc/91/90/47/487919047.db2.gz VRNSRJJRHMYZKO-CYBMUJFWSA-N 0 3 222.332 2.979 20 0 BFADHN C(=C\c1ccccc1)\CN[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000255197832 487921506 /nfs/dbraw/zinc/92/15/06/487921506.db2.gz FQFJYSBXSAGLBD-GHKASPMYSA-N 0 3 229.323 2.609 20 0 BFADHN CC[C@@H](C)NCc1nc2c(s1)CCCC2 ZINC000049819826 487921977 /nfs/dbraw/zinc/92/19/77/487921977.db2.gz GAQHBRWNZWCSHS-SECBINFHSA-N 0 3 224.373 2.910 20 0 BFADHN C[C@H](c1ccccc1)N1CCc2cn[nH]c2C1 ZINC000676793664 487928704 /nfs/dbraw/zinc/92/87/04/487928704.db2.gz QBYNYSDTWLNJNM-LLVKDONJSA-N 0 3 227.311 2.529 20 0 BFADHN Cc1cccc([C@@H](C)N2CCc3cn[nH]c3C2)c1 ZINC000676792657 487929385 /nfs/dbraw/zinc/92/93/85/487929385.db2.gz FQGLEXSRZQIULX-GFCCVEGCSA-N 0 3 241.338 2.837 20 0 BFADHN Cc1ncc([C@H](C)N[C@@H]2CS[C@H](C)C2)s1 ZINC000666207452 487940242 /nfs/dbraw/zinc/94/02/42/487940242.db2.gz KFKFTMMPYKDOII-WEDXCCLWSA-N 0 3 242.413 2.996 20 0 BFADHN C[C@@H]1COCCCN1CCCCC(F)(F)F ZINC000676893282 487941910 /nfs/dbraw/zinc/94/19/10/487941910.db2.gz CWTGLUAVOLFDPI-SNVBAGLBSA-N 0 3 239.281 2.830 20 0 BFADHN CC(C)NCc1cccc(F)c1OC(F)F ZINC000165255495 487943420 /nfs/dbraw/zinc/94/34/20/487943420.db2.gz PBYWEZVNXUELJK-UHFFFAOYSA-N 0 3 233.233 2.925 20 0 BFADHN Cc1cc(CN(CCC(C)C)C2CC2)n(C)n1 ZINC000417698775 487946479 /nfs/dbraw/zinc/94/64/79/487946479.db2.gz JGEHEGAJABTYJM-UHFFFAOYSA-N 0 3 235.375 2.739 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1C[C@H]2COC[C@@]2(C)C1 ZINC000661704564 487948711 /nfs/dbraw/zinc/94/87/11/487948711.db2.gz XMIRTBHPIADTHB-OSAQELSMSA-N 0 3 249.329 2.855 20 0 BFADHN C[C@H](NCCCCn1ccnc1)c1ccoc1 ZINC000094869210 322977710 /nfs/dbraw/zinc/97/77/10/322977710.db2.gz WILDYVNUQSAVBS-LBPRGKRZSA-N 0 3 233.315 2.607 20 0 BFADHN CC[C@H](NCc1ccn(C(C)C)n1)[C@H]1C[C@H]1C ZINC000560880293 322993107 /nfs/dbraw/zinc/99/31/07/322993107.db2.gz HOEJPQVBHMAKKE-XBFCOCLRSA-N 0 3 235.375 2.988 20 0 BFADHN OCc1ccc(CN[C@@H]2CC[C@@H]3CCC[C@H]3C2)o1 ZINC000525181910 323001158 /nfs/dbraw/zinc/00/11/58/323001158.db2.gz FAXJKDHWCNDRKN-RWMBFGLXSA-N 0 3 249.354 2.830 20 0 BFADHN F[C@]1(c2ccccc2)CCN(C[C@@H]2CCOC2)C1 ZINC000561487601 323002828 /nfs/dbraw/zinc/00/28/28/323002828.db2.gz UECYOXXSQWNSGX-DZGCQCFKSA-N 0 3 249.329 2.594 20 0 BFADHN COC[C@H](NC1CC2(CCC2)C1)c1ccco1 ZINC000448058138 323004809 /nfs/dbraw/zinc/00/48/09/323004809.db2.gz JNCZSHDDJHJLIY-LBPRGKRZSA-N 0 3 235.327 2.889 20 0 BFADHN COCc1ccc(CNCCCCSC)o1 ZINC000128396483 323006838 /nfs/dbraw/zinc/00/68/38/323006838.db2.gz XJFXQYZVWINKIY-UHFFFAOYSA-N 0 3 243.372 2.659 20 0 BFADHN c1ccc([C@H]2CCCN2CCOC2CCC2)nc1 ZINC000561865862 323008104 /nfs/dbraw/zinc/00/81/04/323008104.db2.gz UASMLUSLYCBHFA-OAHLLOKOSA-N 0 3 246.354 2.788 20 0 BFADHN C[C@@H](NCCOC[C@@H]1CCCO1)c1ccccc1 ZINC000129511989 323008777 /nfs/dbraw/zinc/00/87/77/323008777.db2.gz BEJKLUOFMKHBSR-HIFRSBDPSA-N 0 3 249.354 2.533 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCC2(C1)Oc1ccccc1O2 ZINC000561986556 323009995 /nfs/dbraw/zinc/00/99/95/323009995.db2.gz KETBFSYEERGQLE-VXGBXAGGSA-N 0 3 245.322 2.516 20 0 BFADHN CCn1cc(CN(C)[C@@H]2CCC[C@H](C)C2)cn1 ZINC000130650202 323011706 /nfs/dbraw/zinc/01/17/06/323011706.db2.gz SBMPFAOMCTWXAF-GXTWGEPZSA-N 0 3 235.375 2.914 20 0 BFADHN CN(C)Cc1ccccc1NC(=O)C1=CCCC1 ZINC000562302916 323015444 /nfs/dbraw/zinc/01/54/44/323015444.db2.gz USVBRLQNYBRYDQ-UHFFFAOYSA-N 0 3 244.338 2.797 20 0 BFADHN CC1(CN2CCN(c3ccccc3)CC2)CC1 ZINC000562338424 323016866 /nfs/dbraw/zinc/01/68/66/323016866.db2.gz KIXAJIHPPMBQSR-UHFFFAOYSA-N 0 3 230.355 2.609 20 0 BFADHN COCC(C)(C)N(C)Cc1ccc(F)cc1C ZINC000562380413 323018692 /nfs/dbraw/zinc/01/86/92/323018692.db2.gz LEEPAFKBXXFZRM-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN CCCOc1ccc(CN[C@@H]2C[C@H]2OCC)cc1 ZINC000562467982 323020851 /nfs/dbraw/zinc/02/08/51/323020851.db2.gz XVVVGSYSCKPGRC-HUUCEWRRSA-N 0 3 249.354 2.742 20 0 BFADHN Cc1ccc(CN2C[C@@H](O)C[C@@H]2C)cc1Cl ZINC000562488756 323021820 /nfs/dbraw/zinc/02/18/20/323021820.db2.gz SPWZQKBTFSFIDG-JQWIXIFHSA-N 0 3 239.746 2.604 20 0 BFADHN Cc1ccc(CN2C[C@H](O)C[C@H]2C)cc1Cl ZINC000562488758 323021826 /nfs/dbraw/zinc/02/18/26/323021826.db2.gz SPWZQKBTFSFIDG-ZYHUDNBSSA-N 0 3 239.746 2.604 20 0 BFADHN CCc1cc(CN[C@H](CC)C2CCC2)on1 ZINC000562515675 323022509 /nfs/dbraw/zinc/02/25/09/323022509.db2.gz OQFOVHJXZLPUMW-CYBMUJFWSA-N 0 3 222.332 2.905 20 0 BFADHN C[C@H](c1ccoc1)N1CCC[C@@]2(CCOC2)C1 ZINC000562569130 323024651 /nfs/dbraw/zinc/02/46/51/323024651.db2.gz OHPLEPPUGVAUQW-TZMCWYRMSA-N 0 3 235.327 2.843 20 0 BFADHN CC(C)[C@@H]1CN(Cc2ccn(C)n2)[C@@H]1C(C)C ZINC000562661709 323026690 /nfs/dbraw/zinc/02/66/90/323026690.db2.gz JTYXPGVXNUXSMO-UONOGXRCSA-N 0 3 235.375 2.533 20 0 BFADHN Cc1occc1CNCCc1ccc(C)nc1 ZINC000562737408 323029583 /nfs/dbraw/zinc/02/95/83/323029583.db2.gz ULCGKSOFEAVSQJ-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCOc3ccccc32)CO1 ZINC000566973220 323029912 /nfs/dbraw/zinc/02/99/12/323029912.db2.gz QJBFBULRYVUCCY-MBNYWOFBSA-N 0 3 247.338 2.667 20 0 BFADHN CCC[C@@H](C)CC(=O)N(CCN(C)C)C(C)C ZINC000151851234 323030637 /nfs/dbraw/zinc/03/06/37/323030637.db2.gz UTIKGFIVIXBPKT-CYBMUJFWSA-N 0 3 242.407 2.611 20 0 BFADHN C[C@H](NC[C@@H]1CC12CC2)c1cscn1 ZINC000562797797 323030751 /nfs/dbraw/zinc/03/07/51/323030751.db2.gz IYXSGYQEIUTONB-IUCAKERBSA-N 0 3 208.330 2.594 20 0 BFADHN CCOc1ncccc1CN[C@H]1CCCC12CC2 ZINC000570058291 323048742 /nfs/dbraw/zinc/04/87/42/323048742.db2.gz PZXWRBGVQMEGAO-ZDUSSCGKSA-N 0 3 246.354 2.903 20 0 BFADHN CCC[C@H](C)[C@H](CO)N[C@@H](C)c1ccco1 ZINC000570100154 323049742 /nfs/dbraw/zinc/04/97/42/323049742.db2.gz JMVBNTFMKKLGJD-SRVKXCTJSA-N 0 3 225.332 2.727 20 0 BFADHN Cc1nc(CNC[C@H]2C[C@@H]2C)nc2ccccc12 ZINC000570142809 323050789 /nfs/dbraw/zinc/05/07/89/323050789.db2.gz QIFXHWSWSGFVFU-CMPLNLGQSA-N 0 3 241.338 2.684 20 0 BFADHN CC1(CN2CCOC[C@H]2C2CCC2)CCC1 ZINC000570159595 323051309 /nfs/dbraw/zinc/05/13/09/323051309.db2.gz KAFXPCRZLIPOPT-ZDUSSCGKSA-N 0 3 223.360 2.678 20 0 BFADHN CCc1ccc(CN(CC)[C@H]2CCOC2)s1 ZINC000570375940 323057721 /nfs/dbraw/zinc/05/77/21/323057721.db2.gz KDXANSLMTDQQFO-NSHDSACASA-N 0 3 239.384 2.921 20 0 BFADHN CCC[C@H](C)[C@H](CO)N[C@@H](C)c1cncs1 ZINC000570521556 323061902 /nfs/dbraw/zinc/06/19/02/323061902.db2.gz VNIXZHLTWRTELI-DCAQKATOSA-N 0 3 242.388 2.591 20 0 BFADHN Cn1cc(CNC2CC(C)(C)C2)c(C(F)F)n1 ZINC000570583661 323064005 /nfs/dbraw/zinc/06/40/05/323064005.db2.gz JUVLGUGUELEVCZ-UHFFFAOYSA-N 0 3 243.301 2.636 20 0 BFADHN C[C@@H](NCCCC1CC1)c1cncs1 ZINC000161722170 323068908 /nfs/dbraw/zinc/06/89/08/323068908.db2.gz AQZBHFVQPDSYCE-SECBINFHSA-N 0 3 210.346 2.984 20 0 BFADHN CCc1noc(C)c1CN[C@@H]1CCCC12CC2 ZINC000570741063 323069201 /nfs/dbraw/zinc/06/92/01/323069201.db2.gz UKNVRBVXMZHFRH-CYBMUJFWSA-N 0 3 234.343 2.968 20 0 BFADHN Cc1nc(CN[C@@H](C)CCC(C)C)[nH]c1C ZINC000570740717 323069284 /nfs/dbraw/zinc/06/92/84/323069284.db2.gz PJGJDHWMWZYSPE-JTQLQIEISA-N 0 3 223.364 2.941 20 0 BFADHN C[C@@H]1C[C@@H](N[C@@H](c2cccnc2)C2CCC2)CO1 ZINC000570801155 323070736 /nfs/dbraw/zinc/07/07/36/323070736.db2.gz BZYUROOSXYGEHI-KCPJHIHWSA-N 0 3 246.354 2.690 20 0 BFADHN CC/C=C\CCN1C[C@H](C)O[C@H](C)[C@@H]1C ZINC000446060285 323087307 /nfs/dbraw/zinc/08/73/07/323087307.db2.gz MFGOHOYAQJITFW-LECYDYFVSA-N 0 3 211.349 2.840 20 0 BFADHN CN(Cc1cccc(F)c1F)C1CCOCC1 ZINC000531343699 323087859 /nfs/dbraw/zinc/08/78/59/323087859.db2.gz WOJDEXKAXJURQF-UHFFFAOYSA-N 0 3 241.281 2.576 20 0 BFADHN F[C@H]1CCC[C@@H](NCc2cnc(C3CC3)nc2)C1 ZINC000567853690 323092995 /nfs/dbraw/zinc/09/29/95/323092995.db2.gz KTDDLVKVVWJUFG-QWHCGFSZSA-N 0 3 249.333 2.724 20 0 BFADHN CC(C)n1nccc1CN[C@@H]1CCC[C@@H](F)C1 ZINC000563121826 323093622 /nfs/dbraw/zinc/09/36/22/323093622.db2.gz GRBGWSUAOMGZPX-VXGBXAGGSA-N 0 3 239.338 2.834 20 0 BFADHN COc1ccsc1[C@H](C)N[C@H]1CO[C@H](C)C1 ZINC000563122938 323094048 /nfs/dbraw/zinc/09/40/48/323094048.db2.gz AXQROXVYTFGELZ-KXUCPTDWSA-N 0 3 241.356 2.585 20 0 BFADHN COc1cc(F)c([C@H](C)NC(C)C)cc1OC ZINC000152015197 323099675 /nfs/dbraw/zinc/09/96/75/323099675.db2.gz XCSPJVWAXCZQJO-VIFPVBQESA-N 0 3 241.306 2.902 20 0 BFADHN C[C@@H]1CN(CC2Cc3ccccc3C2)C[C@H](C)O1 ZINC000570983966 323102573 /nfs/dbraw/zinc/10/25/73/323102573.db2.gz RTJUSPXXUDQHIQ-BETUJISGSA-N 0 3 245.366 2.511 20 0 BFADHN Cc1cccc(CN[C@H]2COCC2(C)C)c1 ZINC000313071680 323105445 /nfs/dbraw/zinc/10/54/45/323105445.db2.gz FJZCPUINHQUSGO-ZDUSSCGKSA-N 0 3 219.328 2.510 20 0 BFADHN COC(C)(C)CN(C)Cc1cccc(F)c1 ZINC000531829445 323115133 /nfs/dbraw/zinc/11/51/33/323115133.db2.gz XQQVHRBVJMWQMI-UHFFFAOYSA-N 0 3 225.307 2.683 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1ccco1 ZINC000245746109 323123145 /nfs/dbraw/zinc/12/31/45/323123145.db2.gz WLHHNDLUJQCVPS-USZNOCQGSA-N 0 3 223.316 2.886 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@@H](C)C2)[nH]c1C ZINC000563442191 323126671 /nfs/dbraw/zinc/12/66/71/323126671.db2.gz YKBCPKSMURLGLY-BXKDBHETSA-N 0 3 221.348 2.695 20 0 BFADHN C[C@@H]1CCCN1CCc1ccc(F)c(F)c1 ZINC000152130675 323128959 /nfs/dbraw/zinc/12/89/59/323128959.db2.gz MEMMVCFXLJYYEO-SNVBAGLBSA-N 0 3 225.282 2.992 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H](CC)c1ccc(OC)cc1 ZINC000571389288 323143437 /nfs/dbraw/zinc/14/34/37/323143437.db2.gz LZNFKUXHWBLZKQ-RBSFLKMASA-N 0 3 249.354 2.913 20 0 BFADHN CCCN(CC(=O)NC1CCCCC1)C(C)C ZINC000571414000 323143921 /nfs/dbraw/zinc/14/39/21/323143921.db2.gz CSZCVJIGVPFVMS-UHFFFAOYSA-N 0 3 240.391 2.556 20 0 BFADHN COc1cc(C)nc(CN2C[C@H](C)C[C@H]2C)c1 ZINC000534544575 323153346 /nfs/dbraw/zinc/15/33/46/323153346.db2.gz FOORWBXPSDKQBO-ZYHUDNBSSA-N 0 3 234.343 2.629 20 0 BFADHN Cc1cncc([C@@H](C)NC[C@@H]2CCC(C)(C)O2)c1 ZINC000187860480 323154480 /nfs/dbraw/zinc/15/44/80/323154480.db2.gz DSYWKVSPEHPZFW-OCCSQVGLSA-N 0 3 248.370 2.998 20 0 BFADHN CCC[C@@](C)(CO)NCc1cccc(F)c1F ZINC000304267026 323164173 /nfs/dbraw/zinc/16/41/73/323164173.db2.gz VODMDRWETCSCHP-ZDUSSCGKSA-N 0 3 243.297 2.606 20 0 BFADHN Cc1cc2cc(CNCC[C@@H](C)O)oc2cc1C ZINC000304736699 323165165 /nfs/dbraw/zinc/16/51/65/323165165.db2.gz WJXGXGWGSQTOJZ-GFCCVEGCSA-N 0 3 247.338 2.910 20 0 BFADHN CN(CCn1cccn1)[C@@H]1CCc2ccccc21 ZINC000049983216 323165759 /nfs/dbraw/zinc/16/57/59/323165759.db2.gz ORYSYLAFHVNZQE-OAHLLOKOSA-N 0 3 241.338 2.502 20 0 BFADHN Cc1n[nH]cc1CN(C)[C@H](C)C(C)(C)C ZINC000535085414 323166064 /nfs/dbraw/zinc/16/60/64/323166064.db2.gz PAPYSINOFNXSJI-SNVBAGLBSA-N 0 3 209.337 2.585 20 0 BFADHN CCCN(C)CC(=O)N[C@@H](C)CCCC(C)C ZINC000063761806 323166247 /nfs/dbraw/zinc/16/62/47/323166247.db2.gz ZTJKATUNQXJGBW-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN COc1cc(C)ccc1[C@@H](C)NC[C@@H](C)OC ZINC000223877962 323169914 /nfs/dbraw/zinc/16/99/14/323169914.db2.gz ONPNGLKZJDTOJT-VXGBXAGGSA-N 0 3 237.343 2.689 20 0 BFADHN CCC[C@@]1(C)CCCN(Cc2cnccn2)C1 ZINC000563793429 323177954 /nfs/dbraw/zinc/17/79/54/323177954.db2.gz YOCKTAYQIYWKQR-AWEZNQCLSA-N 0 3 233.359 2.879 20 0 BFADHN COC(C)(C)CNCc1cccc(C)c1F ZINC000395019136 323185105 /nfs/dbraw/zinc/18/51/05/323185105.db2.gz XXSKUOSOCDCOKI-UHFFFAOYSA-N 0 3 225.307 2.649 20 0 BFADHN CCCN(CC(=O)OC)CC1CCCCCC1 ZINC000526074165 323185228 /nfs/dbraw/zinc/18/52/28/323185228.db2.gz UALTUQBUPFNGKS-UHFFFAOYSA-N 0 3 241.375 2.842 20 0 BFADHN Cc1cccc(CN[C@]2(C)CCCOC2)c1F ZINC000395103932 323187157 /nfs/dbraw/zinc/18/71/57/323187157.db2.gz OAUNNUKJZWFPRP-CQSZACIVSA-N 0 3 237.318 2.793 20 0 BFADHN C[C@@H]1C[C@H](N[C@H]2CSc3ccccc32)[C@H](C)O1 ZINC000395137686 323188769 /nfs/dbraw/zinc/18/87/69/323188769.db2.gz HQOFGZWYALTEPX-URBCHYCLSA-N 0 3 249.379 2.989 20 0 BFADHN C[C@H]1CN(Cc2ccc3[nH]cnc3c2)CCS1 ZINC000535834919 323193285 /nfs/dbraw/zinc/19/32/85/323193285.db2.gz COSIKGFMDCVLBF-JTQLQIEISA-N 0 3 247.367 2.500 20 0 BFADHN C[C@H]1CN(Cc2ccc3nc[nH]c3c2)CCS1 ZINC000535834919 323193286 /nfs/dbraw/zinc/19/32/86/323193286.db2.gz COSIKGFMDCVLBF-JTQLQIEISA-N 0 3 247.367 2.500 20 0 BFADHN CC(C)N(Cc1cnn(C)c1)Cc1ccccc1 ZINC000052877340 323195666 /nfs/dbraw/zinc/19/56/66/323195666.db2.gz JBRIWJONIFGRSY-UHFFFAOYSA-N 0 3 243.354 2.831 20 0 BFADHN CCCCN(CC)CC(=O)N1CCCCCC1 ZINC000052877604 323195733 /nfs/dbraw/zinc/19/57/33/323195733.db2.gz YZHZSKNPVDDPDT-UHFFFAOYSA-N 0 3 240.391 2.511 20 0 BFADHN CCCOc1ccc(CNC[C@@H](C)OC)cc1 ZINC000223965683 323195963 /nfs/dbraw/zinc/19/59/63/323195963.db2.gz RYQPHUUJAJCKTH-GFCCVEGCSA-N 0 3 237.343 2.600 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1Cc1ccc2c(c1)CCC2 ZINC000399169273 323198533 /nfs/dbraw/zinc/19/85/33/323198533.db2.gz CCEYMHZTGRLIMI-MLGOLLRUSA-N 0 3 245.366 2.521 20 0 BFADHN CC[C@@H](COC)NCc1cc(C)sc1C ZINC000070657919 323215539 /nfs/dbraw/zinc/21/55/39/323215539.db2.gz UWDRQFFUWCNFNI-LBPRGKRZSA-N 0 3 227.373 2.880 20 0 BFADHN CSCC1CCC(NCc2cocn2)CC1 ZINC000395224449 323222011 /nfs/dbraw/zinc/22/20/11/323222011.db2.gz UAIDXENJNFIYSZ-UHFFFAOYSA-N 0 3 240.372 2.686 20 0 BFADHN CCC[C@H](C)N(C)CC(=O)NCCC(C)(C)C ZINC000532411404 323262441 /nfs/dbraw/zinc/26/24/41/323262441.db2.gz CNKDKQIISAQEGE-LBPRGKRZSA-N 0 3 242.407 2.659 20 0 BFADHN CCC[C@H](CC)N[C@@H](C)c1ccncn1 ZINC000395911611 323262788 /nfs/dbraw/zinc/26/27/88/323262788.db2.gz PGATUHGWCQQGKB-QWRGUYRKSA-N 0 3 207.321 2.706 20 0 BFADHN C[C@@H](N[C@@H]1CCC[C@@H](C)C1)c1ccncn1 ZINC000395914745 323263125 /nfs/dbraw/zinc/26/31/25/323263125.db2.gz QSXRCNOJZGXOBI-IJLUTSLNSA-N 0 3 219.332 2.706 20 0 BFADHN C[C@H](N[C@@H](C1CC1)C1CCC1)c1nccn1C ZINC000324711498 323265878 /nfs/dbraw/zinc/26/58/78/323265878.db2.gz CEKGRDJIMAPTSW-GXFFZTMASA-N 0 3 233.359 2.649 20 0 BFADHN C[C@H](N[C@@H]1CC[C@H](C)[C@@H](C)C1)c1ccncn1 ZINC000395929122 323266026 /nfs/dbraw/zinc/26/60/26/323266026.db2.gz WZSCZCKNCALKKF-ZDEQEGDKSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1ccncn1 ZINC000395922481 323267219 /nfs/dbraw/zinc/26/72/19/323267219.db2.gz UJNYCPRQAUPMNC-LPWJVIDDSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@H](N(C)Cc1ccccn1)C(C)(C)C ZINC000532489139 323268542 /nfs/dbraw/zinc/26/85/42/323268542.db2.gz NNIBVNGDTJWGKJ-NSHDSACASA-N 0 3 206.333 2.948 20 0 BFADHN CCOC(=O)CN(CC1CCCC1)C(C)(C)C ZINC000532724620 323291936 /nfs/dbraw/zinc/29/19/36/323291936.db2.gz SLIZMZFXWLOLMT-UHFFFAOYSA-N 0 3 241.375 2.840 20 0 BFADHN CC(C)(C)CCN1CCN(CC2(C)CC2)CC1 ZINC000563929570 323323466 /nfs/dbraw/zinc/32/34/66/323323466.db2.gz ZOCJOEOAQHYENE-UHFFFAOYSA-N 0 3 238.419 2.840 20 0 BFADHN CC[C@H](CCO)NCc1sccc1Cl ZINC000193121822 323350087 /nfs/dbraw/zinc/35/00/87/323350087.db2.gz IDYRIAVHSWQVCQ-MRVPVSSYSA-N 0 3 233.764 2.652 20 0 BFADHN CCCC[C@H](C(=O)OC)N1CCC[C@@H](C)CC1 ZINC000228675232 323366051 /nfs/dbraw/zinc/36/60/51/323366051.db2.gz OTNNGVSKGJUYKQ-CHWSQXEVSA-N 0 3 241.375 2.840 20 0 BFADHN CCC1(N[C@H](C)c2ccnc(OC)c2)CC1 ZINC000571776086 323479341 /nfs/dbraw/zinc/47/93/41/323479341.db2.gz QZIRVSPLXNIUQA-SNVBAGLBSA-N 0 3 220.316 2.683 20 0 BFADHN Cc1ccc(CNCCOCCF)cc1Cl ZINC000663342073 487959262 /nfs/dbraw/zinc/95/92/62/487959262.db2.gz WKMLDXBYKJPDRC-UHFFFAOYSA-N 0 3 245.725 2.724 20 0 BFADHN CC[C@H](O)CNCc1ccc(C)cc1SC ZINC000572356701 323606711 /nfs/dbraw/zinc/60/67/11/323606711.db2.gz SDRAFUZGHSHHSB-LBPRGKRZSA-N 0 3 239.384 2.577 20 0 BFADHN COc1cc(C)c(CN2[C@H](C)C[C@H]2C)cc1OC ZINC000527529411 323672893 /nfs/dbraw/zinc/67/28/93/323672893.db2.gz KHDNFGPGRDRRKU-VXGBXAGGSA-N 0 3 249.354 2.995 20 0 BFADHN Cc1cc(C)cc(CN[C@]23C[C@H]2COC3(C)C)c1 ZINC000527761961 323682678 /nfs/dbraw/zinc/68/26/78/323682678.db2.gz CRCQIGRWZHALCI-GOEBONIOSA-N 0 3 245.366 2.961 20 0 BFADHN CC[C@H](CCc1ccccc1)NCc1ncc[nH]1 ZINC000527761500 323682769 /nfs/dbraw/zinc/68/27/69/323682769.db2.gz KHUQGVWMRZIOPT-CQSZACIVSA-N 0 3 243.354 2.911 20 0 BFADHN CC(C)n1cc(CNCC[C@H]2CC=CCC2)nn1 ZINC000527787813 323693937 /nfs/dbraw/zinc/69/39/37/323693937.db2.gz IPRBUJCXXXCSNK-ZDUSSCGKSA-N 0 3 248.374 2.695 20 0 BFADHN C[C@H](NCc1cn2ccsc2n1)[C@@H]1CC12CC2 ZINC000527850784 323707055 /nfs/dbraw/zinc/70/70/55/323707055.db2.gz KTWOTTADUVVOMH-ONGXEEELSA-N 0 3 247.367 2.674 20 0 BFADHN C[C@@H](NCc1cn2ccsc2n1)[C@H]1CC12CC2 ZINC000527850781 323707300 /nfs/dbraw/zinc/70/73/00/323707300.db2.gz KTWOTTADUVVOMH-MWLCHTKSSA-N 0 3 247.367 2.674 20 0 BFADHN CCc1noc(C)c1CN[C@H](C)[C@@H]1CC12CC2 ZINC000527904926 323713982 /nfs/dbraw/zinc/71/39/82/323713982.db2.gz XOUWMVQACKHNJD-SKDRFNHKSA-N 0 3 234.343 2.824 20 0 BFADHN CCc1ncc(CN[C@@H](C)[C@H]2CC23CC3)o1 ZINC000527907398 323717076 /nfs/dbraw/zinc/71/70/76/323717076.db2.gz XNCGXAUGVHPRQS-GXSJLCMTSA-N 0 3 220.316 2.515 20 0 BFADHN C[C@H](NCc1cnc(C2CC2)o1)[C@@H]1CC12CC2 ZINC000527907209 323717161 /nfs/dbraw/zinc/71/71/61/323717161.db2.gz UKXUGVBTGKIKJC-CABZTGNLSA-N 0 3 232.327 2.830 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@H](C)[C@H]1C)c1ccco1 ZINC000527998095 323734932 /nfs/dbraw/zinc/73/49/32/323734932.db2.gz AUJZWOXMAPQFRS-OQEOFVATSA-N 0 3 223.316 2.742 20 0 BFADHN C[C@@H](NCC[C@@H]1CC=CCC1)c1ccncn1 ZINC000527975909 323738069 /nfs/dbraw/zinc/73/80/69/323738069.db2.gz BMKMVWBURRFPMD-CHWSQXEVSA-N 0 3 231.343 2.874 20 0 BFADHN CCC[C@H](N)C(=O)Nc1cc(CC)ccc1CC ZINC000121646171 324018757 /nfs/dbraw/zinc/01/87/57/324018757.db2.gz GNHRTALXZDTAQZ-ZDUSSCGKSA-N 0 3 248.370 2.877 20 0 BFADHN OCC1(NCc2ccc(C(F)F)cc2)CCC1 ZINC000122797021 324058478 /nfs/dbraw/zinc/05/84/78/324058478.db2.gz YDQRGOPJYLNETC-UHFFFAOYSA-N 0 3 241.281 2.629 20 0 BFADHN Cc1cnc(CN[C@@H](C)C(C)(C)C)s1 ZINC000122997310 324074280 /nfs/dbraw/zinc/07/42/80/324074280.db2.gz MDXDTADUMVPNNA-VIFPVBQESA-N 0 3 212.362 2.976 20 0 BFADHN COCc1ccc(CN2CC[C@@H](C(C)C)C2)o1 ZINC000537709678 324098782 /nfs/dbraw/zinc/09/87/82/324098782.db2.gz JIQGVJRRWQPXIK-GFCCVEGCSA-N 0 3 237.343 2.904 20 0 BFADHN C[C@@H]1C[C@H](C)N(Cc2cc(CO)ccc2F)C1 ZINC000528391151 324219050 /nfs/dbraw/zinc/21/90/50/324219050.db2.gz YNEOVJWFJQYAEA-MNOVXSKESA-N 0 3 237.318 2.548 20 0 BFADHN C[C@@H]1C[C@@H](C)N(Cc2cc(CO)ccc2F)C1 ZINC000528391149 324219244 /nfs/dbraw/zinc/21/92/44/324219244.db2.gz YNEOVJWFJQYAEA-GHMZBOCLSA-N 0 3 237.318 2.548 20 0 BFADHN Cc1cc(CN[C@@H](C)C2CC(F)(F)C2)no1 ZINC000529531984 324327005 /nfs/dbraw/zinc/32/70/05/324327005.db2.gz NYNVLMLDVLKVBZ-QMMMGPOBSA-N 0 3 230.258 2.506 20 0 BFADHN Fc1ccc(CNCC(C2CC2)C2CC2)nc1 ZINC000529541588 324331530 /nfs/dbraw/zinc/33/15/30/324331530.db2.gz HSZRETKFLOILBZ-UHFFFAOYSA-N 0 3 234.318 2.747 20 0 BFADHN CCC1(CNCc2ccc(F)cn2)CC1 ZINC000529547208 324335930 /nfs/dbraw/zinc/33/59/30/324335930.db2.gz VWWOHKNIFJVMEY-UHFFFAOYSA-N 0 3 208.280 2.501 20 0 BFADHN CC1(C2(NCc3ccc(F)cn3)CC2)CC1 ZINC000529594404 324357314 /nfs/dbraw/zinc/35/73/14/324357314.db2.gz AFCDKZHPDMUZBL-UHFFFAOYSA-N 0 3 220.291 2.643 20 0 BFADHN c1ccc2c(c1)CC[C@H]2N1C[C@H]2CC[C@@H](C1)O2 ZINC000682438910 487963974 /nfs/dbraw/zinc/96/39/74/487963974.db2.gz SMHGLHWPNGUPDX-VNHYZAJKSA-N 0 3 229.323 2.537 20 0 BFADHN Cc1nc(SCCN2CCCCC2)oc1C ZINC000125342189 324638905 /nfs/dbraw/zinc/63/89/05/324638905.db2.gz PQPMACFIGAQGBJ-UHFFFAOYSA-N 0 3 240.372 2.869 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1ccc(C)nc1C ZINC000682437042 487964149 /nfs/dbraw/zinc/96/41/49/487964149.db2.gz YHQCZMIOJTXYBV-CYZMBNFOSA-N 0 3 236.359 2.772 20 0 BFADHN COCCCCN[C@@H](C)c1nc(C)cs1 ZINC000126688074 324709539 /nfs/dbraw/zinc/70/95/39/324709539.db2.gz FKOYTFZDHLYPHP-JTQLQIEISA-N 0 3 228.361 2.529 20 0 BFADHN COCC1(N[C@@H]2CCc3c2cccc3F)CC1 ZINC000538550818 324715975 /nfs/dbraw/zinc/71/59/75/324715975.db2.gz GRTAIOUFMZVPJQ-CYBMUJFWSA-N 0 3 235.302 2.582 20 0 BFADHN COCC(C)(C)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000066996030 324776417 /nfs/dbraw/zinc/77/64/17/324776417.db2.gz XXJQALVULLYMDH-PWSUYJOCSA-N 0 3 237.343 2.918 20 0 BFADHN CCN(CC)C(=O)[C@H](NC(C)C)c1ccccc1 ZINC000127896640 324793559 /nfs/dbraw/zinc/79/35/59/324793559.db2.gz MBYBNRHSUMJUIS-CQSZACIVSA-N 0 3 248.370 2.594 20 0 BFADHN C[C@H](NC[C@H]1CC12CC2)c1cn2ccccc2n1 ZINC000564225566 324876070 /nfs/dbraw/zinc/87/60/70/324876070.db2.gz IGUARFSWRQKYBI-NWDGAFQWSA-N 0 3 241.338 2.785 20 0 BFADHN C[C@H](Cc1ccsc1)N1CCSCC1 ZINC000542130852 325009748 /nfs/dbraw/zinc/00/97/48/325009748.db2.gz VMMBJMURDBSAPL-SNVBAGLBSA-N 0 3 227.398 2.728 20 0 BFADHN CC[C@@H]1CN(C[C@H]2CCCC[C@H]2C)CCO1 ZINC000542466947 325029125 /nfs/dbraw/zinc/02/91/25/325029125.db2.gz PDKORMUJGUOUIP-MGPQQGTHSA-N 0 3 225.376 2.924 20 0 BFADHN Cc1ccc2nc(CNC3(C4CC4)CC3)cn2c1 ZINC000542521319 325033479 /nfs/dbraw/zinc/03/34/79/325033479.db2.gz CXDSFHVKQXZYMW-UHFFFAOYSA-N 0 3 241.338 2.675 20 0 BFADHN CCCCCN[C@@H](CO)c1ccccc1OC ZINC000542910830 325062462 /nfs/dbraw/zinc/06/24/62/325062462.db2.gz MRIALFKUUYOMOS-ZDUSSCGKSA-N 0 3 237.343 2.508 20 0 BFADHN CC[C@@H](NCCc1ccsc1)c1ccn(C)n1 ZINC000543628639 325098798 /nfs/dbraw/zinc/09/87/98/325098798.db2.gz VDUXRPGATCJQGW-GFCCVEGCSA-N 0 3 249.383 2.765 20 0 BFADHN CCOC(=O)[C@@H](CC(C)C)N1C[C@H](C)[C@H](C)C1 ZINC000543856242 325118307 /nfs/dbraw/zinc/11/83/07/325118307.db2.gz BEDBBTZWOBGYNV-FRRDWIJNSA-N 0 3 241.375 2.552 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1ncccc1F ZINC000131249310 325129866 /nfs/dbraw/zinc/12/98/66/325129866.db2.gz XHEDDCOALKGCBF-CMPLNLGQSA-N 0 3 222.307 2.889 20 0 BFADHN CCC(CC)(CO)CN[C@@H](C)c1ccoc1 ZINC000131391636 325144966 /nfs/dbraw/zinc/14/49/66/325144966.db2.gz RYYUTQCPNKWBIL-NSHDSACASA-N 0 3 225.332 2.729 20 0 BFADHN CC[C@@H](C)C[C@@H](C)NC(=O)[C@@H](C(C)C)N(C)C ZINC000132095552 325198542 /nfs/dbraw/zinc/19/85/42/325198542.db2.gz LOYQMEUOESHISU-JHJVBQTASA-N 0 3 242.407 2.514 20 0 BFADHN Cc1ccc(CN2CCCCCC2)nc1 ZINC000132431770 325216657 /nfs/dbraw/zinc/21/66/57/325216657.db2.gz HOJPINLGRIRGPA-UHFFFAOYSA-N 0 3 204.317 2.766 20 0 BFADHN CCCCN(CC)[C@@H](C)C(=O)NCCC(C)C ZINC000132606377 325228940 /nfs/dbraw/zinc/22/89/40/325228940.db2.gz HKQNIDLREGLEFE-ZDUSSCGKSA-N 0 3 242.407 2.659 20 0 BFADHN CCC[C@@H](C)CC(=O)N(CCC)CCN(C)C ZINC000133396947 325293293 /nfs/dbraw/zinc/29/32/93/325293293.db2.gz YACRCNZXCXBGMW-CYBMUJFWSA-N 0 3 242.407 2.613 20 0 BFADHN CCc1ccc(CNCCc2ccc(C)nc2)o1 ZINC000133532269 325299510 /nfs/dbraw/zinc/29/95/10/325299510.db2.gz BMACQOMOAXZCQK-UHFFFAOYSA-N 0 3 244.338 2.878 20 0 BFADHN CC/C=C\CCN[C@H](CO)c1ccccc1OC ZINC000547346687 325345359 /nfs/dbraw/zinc/34/53/59/325345359.db2.gz ZTFIFJGHEIVMKF-ZRUQZJFASA-N 0 3 249.354 2.675 20 0 BFADHN COC1(CNCc2cccc(Cl)c2)CCC1 ZINC000134661598 325370569 /nfs/dbraw/zinc/37/05/69/325370569.db2.gz KBQFOJYPIVLMBF-UHFFFAOYSA-N 0 3 239.746 2.999 20 0 BFADHN Cc1nnc(CNC[C@@H]2CCC[C@H](C)C2)s1 ZINC000134663591 325370745 /nfs/dbraw/zinc/37/07/45/325370745.db2.gz FWCYZHXRNYJKKO-GXSJLCMTSA-N 0 3 239.388 2.762 20 0 BFADHN CC1(C)CC(CNCc2cn3ccccc3n2)C1 ZINC000547717302 325387628 /nfs/dbraw/zinc/38/76/28/325387628.db2.gz BAYKIKGCKRRFIR-UHFFFAOYSA-N 0 3 243.354 2.860 20 0 BFADHN COc1ccc(C)cc1CN1[C@H](C)C[C@H]1C ZINC000547768490 325391634 /nfs/dbraw/zinc/39/16/34/325391634.db2.gz QUEJBYAWENTSFI-VXGBXAGGSA-N 0 3 219.328 2.986 20 0 BFADHN c1csc(CN2C[C@@H]3CCCC[C@H]3C2)n1 ZINC000135131139 325396616 /nfs/dbraw/zinc/39/66/16/325396616.db2.gz YRDOECWSZXTSSH-QWRGUYRKSA-N 0 3 222.357 2.765 20 0 BFADHN CCCc1ccc([C@@H](C)N[C@H](C)CC(N)=O)cc1 ZINC000135727082 325424705 /nfs/dbraw/zinc/42/47/05/325424705.db2.gz QQFNNWQROCOCGY-VXGBXAGGSA-N 0 3 248.370 2.554 20 0 BFADHN COc1cc(C)nc(CNCC2CC(C)(C)C2)c1 ZINC000548372970 325451613 /nfs/dbraw/zinc/45/16/13/325451613.db2.gz GDPSQZKHOPOWAN-UHFFFAOYSA-N 0 3 248.370 2.924 20 0 BFADHN CC1=CC[C@H](N[C@H](CO)c2cccc(F)c2)CC1 ZINC000552118003 325597372 /nfs/dbraw/zinc/59/73/72/325597372.db2.gz UFRJVEGPXPFUPY-LSDHHAIUSA-N 0 3 249.329 2.948 20 0 BFADHN Cc1csc([C@H](C)N[C@@H]2CCOC[C@H]2C)n1 ZINC000248835743 325623144 /nfs/dbraw/zinc/62/31/44/325623144.db2.gz GRKFDDPEANCQJY-DVVUODLYSA-N 0 3 240.372 2.527 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H]1O)c1ccc(F)cc1F ZINC000249112977 325637728 /nfs/dbraw/zinc/63/77/28/325637728.db2.gz OXICZEMBWMJPQE-ZEGGKSINSA-N 0 3 241.281 2.529 20 0 BFADHN COc1ccc(CNC[C@@H]2CC23CC3)c(F)c1 ZINC000564578157 325797071 /nfs/dbraw/zinc/79/70/71/325797071.db2.gz UMNRBWWYVSJEGS-NSHDSACASA-N 0 3 235.302 2.724 20 0 BFADHN Cc1nc(CN[C@@H](C)Cc2ccsc2)[nH]c1C ZINC000565239692 325884158 /nfs/dbraw/zinc/88/41/58/325884158.db2.gz YZNVBSKXBJNFKO-VIFPVBQESA-N 0 3 249.383 2.809 20 0 BFADHN Cc1cnn(C)c1CNCCCc1cccs1 ZINC000565790614 325958166 /nfs/dbraw/zinc/95/81/66/325958166.db2.gz CNPCBXZHSUBCSH-UHFFFAOYSA-N 0 3 249.383 2.512 20 0 BFADHN Cc1cccc(CCN2CC(C)=C[C@@H](C)C2)n1 ZINC000566162722 326005346 /nfs/dbraw/zinc/00/53/46/326005346.db2.gz FKFBUGUIEKPJQK-GFCCVEGCSA-N 0 3 230.355 2.831 20 0 BFADHN Cc1nc(CNC[C@H](C)CC(F)(F)F)[nH]c1C ZINC000566498935 326058866 /nfs/dbraw/zinc/05/88/66/326058866.db2.gz JXMOLMPYASPRGX-SSDOTTSWSA-N 0 3 249.280 2.705 20 0 BFADHN Cc1nc(CNCCC2CC(F)(F)C2)[nH]c1C ZINC000566499535 326059212 /nfs/dbraw/zinc/05/92/12/326059212.db2.gz WYINGJFDBBDXSW-UHFFFAOYSA-N 0 3 243.301 2.552 20 0 BFADHN CCCN(Cc1cccnc1OC)C(C)C ZINC000566627908 326069953 /nfs/dbraw/zinc/06/99/53/326069953.db2.gz AXCAMLLSECEPDU-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CCCCN1CCN(C)C2(CCCCC2)C1 ZINC000566629106 326070147 /nfs/dbraw/zinc/07/01/47/326070147.db2.gz YXVYTKHKEXUBBM-UHFFFAOYSA-N 0 3 224.392 2.737 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@@H](C)[C@@H]2C)[nH]c1C ZINC000566749464 326080138 /nfs/dbraw/zinc/08/01/38/326080138.db2.gz SRIRTPFOAUAHKY-GBIKHYSHSA-N 0 3 235.375 2.941 20 0 BFADHN CC(C)c1nc(CN(C2CC2)C2CCCC2)n[nH]1 ZINC000572579265 326102535 /nfs/dbraw/zinc/10/25/35/326102535.db2.gz LKBADRQOOKXMCO-UHFFFAOYSA-N 0 3 248.374 2.835 20 0 BFADHN CCn1ccnc1CNC[C@@H]1CCC[C@@H]1C1CC1 ZINC000567220399 326143645 /nfs/dbraw/zinc/14/36/45/326143645.db2.gz PJUQLYRYBPRHKE-UONOGXRCSA-N 0 3 247.386 2.819 20 0 BFADHN C[C@H](NC1(C2CC2)CC1)c1ccc(F)cn1 ZINC000309560592 326159270 /nfs/dbraw/zinc/15/92/70/326159270.db2.gz KXPRGVMYXOMHNF-VIFPVBQESA-N 0 3 220.291 2.814 20 0 BFADHN Fc1ccc2nc(NCC3(F)CC3)[nH]c2c1 ZINC000309566724 326159865 /nfs/dbraw/zinc/15/98/65/326159865.db2.gz LBSGMFOEWODBSX-UHFFFAOYSA-N 0 3 223.226 2.616 20 0 BFADHN CC(C)n1ccc(CN[C@H]2C[C@@H](C)[C@H]2C)n1 ZINC000309583515 326160344 /nfs/dbraw/zinc/16/03/44/326160344.db2.gz RBPZBXBCBSKTDX-WZRBSPASSA-N 0 3 221.348 2.598 20 0 BFADHN CCO[C@@H]1C[C@H]1N[C@H]1CCCc2ccc(O)cc21 ZINC000567341302 326166802 /nfs/dbraw/zinc/16/68/02/326166802.db2.gz KIWKFTBWXZOWCR-RRFJBIMHSA-N 0 3 247.338 2.537 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@@H]2C2CC2)no1 ZINC000309878003 326172990 /nfs/dbraw/zinc/17/29/90/326172990.db2.gz UDXZSRSEUXNSAG-OLZOCXBDSA-N 0 3 220.316 2.651 20 0 BFADHN COC[C@@H](C)CN[C@@H]1CSc2ccccc21 ZINC000156095472 326218666 /nfs/dbraw/zinc/21/86/66/326218666.db2.gz IPNOOFALTBHIGA-CMPLNLGQSA-N 0 3 237.368 2.706 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C2)c(F)c1 ZINC000567618562 326230736 /nfs/dbraw/zinc/23/07/36/326230736.db2.gz OWERZNKIEMFQMS-SNVBAGLBSA-N 0 3 223.291 2.676 20 0 BFADHN C[C@@H](c1ccccc1F)N1CC[C@@H]2OCC[C@@H]2C1 ZINC000567796205 326259011 /nfs/dbraw/zinc/25/90/11/326259011.db2.gz KEMYPUWDHOHVLV-ZOWXZIJZSA-N 0 3 249.329 2.998 20 0 BFADHN COCC1(CCN[C@@H](C)c2ccco2)CC1 ZINC000567856112 326271688 /nfs/dbraw/zinc/27/16/88/326271688.db2.gz CAOIPUMGKMPQNM-NSHDSACASA-N 0 3 223.316 2.747 20 0 BFADHN CC(C)[C@H](N[C@H]1CO[C@H](C)C1)c1cccnc1 ZINC000567857833 326272103 /nfs/dbraw/zinc/27/21/03/326272103.db2.gz NYBFDRSGWSXXHU-BNOWGMLFSA-N 0 3 234.343 2.546 20 0 BFADHN CN(C)CCSCc1ncc(C(C)(C)C)o1 ZINC000157756627 326294503 /nfs/dbraw/zinc/29/45/03/326294503.db2.gz QNNHMVXHQWZUMJ-UHFFFAOYSA-N 0 3 242.388 2.767 20 0 BFADHN CSCCCN1CCc2cccc(F)c2C1 ZINC000157913457 326300338 /nfs/dbraw/zinc/30/03/38/326300338.db2.gz FWTYKKKRDFKLOD-UHFFFAOYSA-N 0 3 239.359 2.937 20 0 BFADHN CC[C@H]1CCCC[C@H]1N(C)Cc1ccn(C)n1 ZINC000568140742 326312201 /nfs/dbraw/zinc/31/22/01/326312201.db2.gz VWPTXLSPIPRDNZ-GXTWGEPZSA-N 0 3 235.375 2.821 20 0 BFADHN CCCN(CC(=O)N1CCCC[C@H]1C)C(C)C ZINC000568182983 326316471 /nfs/dbraw/zinc/31/64/71/326316471.db2.gz FJDHLHPPUQLTJH-CYBMUJFWSA-N 0 3 240.391 2.508 20 0 BFADHN CC1(C)CC[C@@H]1NCc1cccc(F)c1C#N ZINC000568181303 326321464 /nfs/dbraw/zinc/32/14/64/326321464.db2.gz PKQCHRLUMFMLFM-ZDUSSCGKSA-N 0 3 232.302 2.976 20 0 BFADHN C[C@@H]1CCCCN1Cc1cccc2c1OCO2 ZINC000158928407 326346157 /nfs/dbraw/zinc/34/61/57/326346157.db2.gz WFCYKMPZXDQQCQ-LLVKDONJSA-N 0 3 233.311 2.790 20 0 BFADHN CO[C@H](C)CN(C)Cc1ccnc2ccccc12 ZINC000568447049 326357212 /nfs/dbraw/zinc/35/72/12/326357212.db2.gz ZYRMQUDWHHKXQU-GFCCVEGCSA-N 0 3 244.338 2.702 20 0 BFADHN COc1cccc(CN2CC[C@@H]3CCCC[C@@H]32)n1 ZINC000568506285 326360636 /nfs/dbraw/zinc/36/06/36/326360636.db2.gz QXROTUCKKWVNFA-JSGCOSHPSA-N 0 3 246.354 2.855 20 0 BFADHN CC[C@@H](NCc1nc(C)c(C)[nH]1)[C@@H]1CC1(C)C ZINC000568533648 326364365 /nfs/dbraw/zinc/36/43/65/326364365.db2.gz OLRRCXZUUULHKI-NWDGAFQWSA-N 0 3 235.375 2.941 20 0 BFADHN Cc1nccnc1[C@H](C)N[C@@H]1CCC[C@@H](F)C1 ZINC000568627412 326368389 /nfs/dbraw/zinc/36/83/89/326368389.db2.gz XMTOAWGEWPDOJI-QJPTWQEYSA-N 0 3 237.322 2.716 20 0 BFADHN CN(C)c1cccc(CN[C@H]2CCCC23CC3)n1 ZINC000568648191 326370835 /nfs/dbraw/zinc/37/08/35/326370835.db2.gz DENZNYNCOPEVPQ-ZDUSSCGKSA-N 0 3 245.370 2.570 20 0 BFADHN CN(C)c1cccc(CN[C@@H]2CCCC23CC3)n1 ZINC000568648187 326370859 /nfs/dbraw/zinc/37/08/59/326370859.db2.gz DENZNYNCOPEVPQ-CYBMUJFWSA-N 0 3 245.370 2.570 20 0 BFADHN CSC[C@H]1CCCN(CC2(F)CC2)C1 ZINC000568825314 326378165 /nfs/dbraw/zinc/37/81/65/326378165.db2.gz NZIUWUCWJWUSGC-JTQLQIEISA-N 0 3 217.353 2.564 20 0 BFADHN CCCN(Cc1cncc(OC)c1)C(C)C ZINC000568996482 326385671 /nfs/dbraw/zinc/38/56/71/326385671.db2.gz BRNJRZRPTBKCGK-UHFFFAOYSA-N 0 3 222.332 2.711 20 0 BFADHN CCCCCN(C)C1(C(=O)OC)CCCC1 ZINC000159232669 326392417 /nfs/dbraw/zinc/39/24/17/326392417.db2.gz XSXYTCSEMLHIPK-UHFFFAOYSA-N 0 3 227.348 2.594 20 0 BFADHN CC[C@@H]1CCC[C@H]1NCc1ncccc1OC ZINC000573061397 326427496 /nfs/dbraw/zinc/42/74/96/326427496.db2.gz OPBGWXARUPKNSW-VXGBXAGGSA-N 0 3 234.343 2.759 20 0 BFADHN CCN(CC[C@H]1CCCO1)Cc1ccoc1 ZINC000682523763 487976070 /nfs/dbraw/zinc/97/60/70/487976070.db2.gz ZZLHSBPGTIDCBQ-CYBMUJFWSA-N 0 3 223.316 2.671 20 0 BFADHN CCN(CC[C@@H]1CCCO1)CCC(F)(F)F ZINC000682524158 487976545 /nfs/dbraw/zinc/97/65/45/487976545.db2.gz CWEJRNSFBBXQKR-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN FCCC[C@H]1CCC[C@@H]1NCc1cocn1 ZINC000309575595 487987313 /nfs/dbraw/zinc/98/73/13/487987313.db2.gz ORBCANATVHURKE-PWSUYJOCSA-N 0 3 226.295 2.683 20 0 BFADHN CCN1CCN(C[C@H](C)c2ccccc2)C[C@@H]1C ZINC000677191658 487996180 /nfs/dbraw/zinc/99/61/80/487996180.db2.gz DTPVTBQJVPMDAD-GJZGRUSLSA-N 0 3 246.398 2.816 20 0 BFADHN COc1ccc2c(c1)CCN(CC1(C)CC1)C2 ZINC000668604556 487997510 /nfs/dbraw/zinc/99/75/10/487997510.db2.gz QMSONAKSMLXQMS-UHFFFAOYSA-N 0 3 231.339 2.853 20 0 BFADHN COc1cc(CN2CCCCC[C@H]2C)sn1 ZINC000659925081 488002751 /nfs/dbraw/zinc/00/27/51/488002751.db2.gz GKSKOVYHVWGYII-SNVBAGLBSA-N 0 3 240.372 2.916 20 0 BFADHN CCC[C@@H](N)c1cn(CC2CCCCC2)nn1 ZINC000229953370 488008930 /nfs/dbraw/zinc/00/89/30/488008930.db2.gz XIDBESFFHAWRNA-GFCCVEGCSA-N 0 3 236.363 2.658 20 0 BFADHN CC1(NCc2ccc(-c3cc[nH]n3)o2)CC=CC1 ZINC000655816039 488009221 /nfs/dbraw/zinc/00/92/21/488009221.db2.gz NBXSCOWXHKXHIH-UHFFFAOYSA-N 0 3 243.310 2.868 20 0 BFADHN COc1ccc(CNC2(C)CC=CC2)c(OC)c1 ZINC000655813623 488009807 /nfs/dbraw/zinc/00/98/07/488009807.db2.gz FFGRHDMRWYAVJH-UHFFFAOYSA-N 0 3 247.338 2.902 20 0 BFADHN Cc1nc(C)c(CN[C@@H](C)[C@@H]2CC2(F)F)s1 ZINC000666445405 488012821 /nfs/dbraw/zinc/01/28/21/488012821.db2.gz SZCLCFMROXJMKM-RCOVLWMOSA-N 0 3 246.326 2.893 20 0 BFADHN CCCN(CCC)Cc1ccnn1CC1CC1 ZINC000667472819 488018927 /nfs/dbraw/zinc/01/89/27/488018927.db2.gz RASXLARMGQCQBC-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCCc1ccc(CN[C@H]2CO[C@@H](C)C2)cc1 ZINC000647253449 488018986 /nfs/dbraw/zinc/01/89/86/488018986.db2.gz YDWZOQNPALHCQI-SWLSCSKDSA-N 0 3 233.355 2.906 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2ccnn2CC2CC2)C1 ZINC000667472387 488019280 /nfs/dbraw/zinc/01/92/80/488019280.db2.gz FYWFCQTWOPVTAW-CHWSQXEVSA-N 0 3 247.386 2.771 20 0 BFADHN CCCCN(CC)Cc1ccnn1CC1CC1 ZINC000667473953 488020175 /nfs/dbraw/zinc/02/01/75/488020175.db2.gz ZVHVGIAXNZSLIU-UHFFFAOYSA-N 0 3 235.375 2.915 20 0 BFADHN CCCN(CCO)Cc1cc2c(cccc2C)[nH]1 ZINC000667478003 488021690 /nfs/dbraw/zinc/02/16/90/488021690.db2.gz XDGPRQOMLFQIHE-UHFFFAOYSA-N 0 3 246.354 2.681 20 0 BFADHN Cc1ccc(CN[C@@H]2CCOC2)c(Cl)c1 ZINC000235856410 488025296 /nfs/dbraw/zinc/02/52/96/488025296.db2.gz VYLCJAUXPQYWGJ-LLVKDONJSA-N 0 3 225.719 2.527 20 0 BFADHN C[C@H](NCc1cc[nH]n1)C1CCC(F)(F)CC1 ZINC000682731500 488027703 /nfs/dbraw/zinc/02/77/03/488027703.db2.gz AZPHZFQPKLKZLA-VIFPVBQESA-N 0 3 243.301 2.713 20 0 BFADHN CCN(CCOC)Cc1cc2ccc(C)cc2[nH]1 ZINC000667497148 488028541 /nfs/dbraw/zinc/02/85/41/488028541.db2.gz UVIMPPWXJZZQRZ-UHFFFAOYSA-N 0 3 246.354 2.945 20 0 BFADHN Cc1noc(C)c1[C@@H](C)N[C@H]1COC(C)(C)C1 ZINC000396827151 488028142 /nfs/dbraw/zinc/02/81/42/488028142.db2.gz CVRXZLIVXWPCNY-LDYMZIIASA-N 0 3 238.331 2.510 20 0 BFADHN C[C@@H]1CCC[C@@H](N(C)Cc2cnn3c2CCC3)C1 ZINC000667499849 488029670 /nfs/dbraw/zinc/02/96/70/488029670.db2.gz WMTZWTLITWYADP-TZMCWYRMSA-N 0 3 247.386 2.840 20 0 BFADHN CCC[C@@H](C)N(C)Cc1c(C2CC2)cnn1C ZINC000667506633 488033339 /nfs/dbraw/zinc/03/33/39/488033339.db2.gz KHIIWJOFFIOHRW-LLVKDONJSA-N 0 3 235.375 2.918 20 0 BFADHN CC[C@@H]1CCC[C@H](NCc2cc(C)ncn2)C1 ZINC000677425478 488034219 /nfs/dbraw/zinc/03/42/19/488034219.db2.gz UMXKWEYHILXAQS-OLZOCXBDSA-N 0 3 233.359 2.843 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1c(C2CC2)cnn1C ZINC000667511244 488035107 /nfs/dbraw/zinc/03/51/07/488035107.db2.gz HFPMHRSJXAQIGX-JTQLQIEISA-N 0 3 233.359 2.528 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1ccnn1CC1CC1 ZINC000667511470 488035181 /nfs/dbraw/zinc/03/51/81/488035181.db2.gz NDEASCZGWIQBPZ-LLVKDONJSA-N 0 3 233.359 2.523 20 0 BFADHN CCCn1nccc1CN(CC(C)C)C1CC1 ZINC000667517908 488036979 /nfs/dbraw/zinc/03/69/79/488036979.db2.gz IYLTYNOUZSELHX-UHFFFAOYSA-N 0 3 235.375 2.914 20 0 BFADHN C[C@H](C1CC1)N(Cc1cnn2c1CCC2)C1CC1 ZINC000667517774 488037085 /nfs/dbraw/zinc/03/70/85/488037085.db2.gz FUAQYIYMQGNITG-LLVKDONJSA-N 0 3 245.370 2.592 20 0 BFADHN Cc1ccc(CN(C)C[C@@H]2CCO[C@@H](C)C2)nc1 ZINC000682787595 488040552 /nfs/dbraw/zinc/04/05/52/488040552.db2.gz JTFQTXLUIBUYKN-UONOGXRCSA-N 0 3 248.370 2.637 20 0 BFADHN Cc1ccc(CN(C)C[C@H]2CCO[C@@H](C)C2)nc1 ZINC000682787592 488041175 /nfs/dbraw/zinc/04/11/75/488041175.db2.gz JTFQTXLUIBUYKN-KBPBESRZSA-N 0 3 248.370 2.637 20 0 BFADHN COCc1csc(CN2CC[C@@H](C)C2)c1 ZINC000667553966 488043531 /nfs/dbraw/zinc/04/35/31/488043531.db2.gz GSCBUAUBJKKMCN-SNVBAGLBSA-N 0 3 225.357 2.736 20 0 BFADHN COc1cccc(CNCCc2ccco2)c1F ZINC000165812716 488044031 /nfs/dbraw/zinc/04/40/31/488044031.db2.gz FGCGBBYNGHZPBM-UHFFFAOYSA-N 0 3 249.285 2.760 20 0 BFADHN COCc1csc(CN2CC[C@H](C)C2)c1 ZINC000667553965 488044229 /nfs/dbraw/zinc/04/42/29/488044229.db2.gz GSCBUAUBJKKMCN-JTQLQIEISA-N 0 3 225.357 2.736 20 0 BFADHN CC(C)=CCCN1CC=C(c2ccnn2C)CC1 ZINC000660537681 488044235 /nfs/dbraw/zinc/04/42/35/488044235.db2.gz WDBYVJPQBILVGC-UHFFFAOYSA-N 0 3 245.370 2.866 20 0 BFADHN C[C@@H]1CCCN(Cc2c(C3CC3)cnn2C)CC1 ZINC000667561403 488046353 /nfs/dbraw/zinc/04/63/53/488046353.db2.gz VUNZMYAZEFHIJV-GFCCVEGCSA-N 0 3 247.386 2.920 20 0 BFADHN C[C@H](N[C@H]1COC(C)(C)C1)c1ccsc1 ZINC000396878511 488046509 /nfs/dbraw/zinc/04/65/09/488046509.db2.gz NPTBQBFCCVSLCP-GXSJLCMTSA-N 0 3 225.357 2.966 20 0 BFADHN CC(C)SCCN1CC[C@H](C)[C@H](F)C1 ZINC000619781311 488051002 /nfs/dbraw/zinc/05/10/02/488051002.db2.gz SDPKUWHLOHNOBG-WDEREUQCSA-N 0 3 219.369 2.808 20 0 BFADHN Cn1ncc(C2CC2)c1CN1CCC(C)(C)CC1 ZINC000667589206 488053482 /nfs/dbraw/zinc/05/34/82/488053482.db2.gz QEQKHPJARJXTFU-UHFFFAOYSA-N 0 3 247.386 2.920 20 0 BFADHN CCOc1ccc(CN(C)[C@@H]2CCOC2)c(C)c1 ZINC000667597115 488054950 /nfs/dbraw/zinc/05/49/50/488054950.db2.gz BKRQNFJDBQVKQX-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN CC(C)N1CCN([C@@H](C)c2ccccc2)CC1 ZINC000075635013 488064170 /nfs/dbraw/zinc/06/41/70/488064170.db2.gz LMUOEXBZUBOWNP-AWEZNQCLSA-N 0 3 232.371 2.774 20 0 BFADHN C[C@@H]1CCCCCN1Cc1cnc2n1CCC2 ZINC000667631624 488064237 /nfs/dbraw/zinc/06/42/37/488064237.db2.gz HQMVVBWCAAOBLH-GFCCVEGCSA-N 0 3 233.359 2.594 20 0 BFADHN C[C@@H](NC[C@@H](C)C1CC1)c1cc2n(n1)CCC2 ZINC000666085352 488064846 /nfs/dbraw/zinc/06/48/46/488064846.db2.gz HFHORIKWLXHNSZ-GHMZBOCLSA-N 0 3 233.359 2.526 20 0 BFADHN CC[C@]1(C)CCCN(Cc2cnc(C)n2C)C1 ZINC000667645159 488068992 /nfs/dbraw/zinc/06/89/92/488068992.db2.gz GXOSTBMVXUGMHK-CQSZACIVSA-N 0 3 235.375 2.741 20 0 BFADHN CCC(C)(C)N1CCN(c2cccc(C)n2)CC1 ZINC000656215476 488069239 /nfs/dbraw/zinc/06/92/39/488069239.db2.gz YRRKCSRIKBYFNF-UHFFFAOYSA-N 0 3 247.386 2.701 20 0 BFADHN Cc1cccc2[nH]c(CN(C)CC[C@H](C)O)cc21 ZINC000667649016 488070969 /nfs/dbraw/zinc/07/09/69/488070969.db2.gz GXUVCQHUVMBMHS-LBPRGKRZSA-N 0 3 246.354 2.679 20 0 BFADHN C[C@@H]1CCN(Cc2ccnn2CC2CC2)C[C@H]1C ZINC000667649407 488071141 /nfs/dbraw/zinc/07/11/41/488071141.db2.gz SJPAYNNRYDNOGW-CHWSQXEVSA-N 0 3 247.386 2.771 20 0 BFADHN CO[C@H]1CCN(Cc2cc3ccncc3s2)C1 ZINC000667648922 488071365 /nfs/dbraw/zinc/07/13/65/488071365.db2.gz DOQUIRDADSTHGN-NSHDSACASA-N 0 3 248.351 2.517 20 0 BFADHN C[C@@H]1CCN(Cc2ccnn2CC2CC2)C[C@@H]1C ZINC000667649408 488071910 /nfs/dbraw/zinc/07/19/10/488071910.db2.gz SJPAYNNRYDNOGW-OLZOCXBDSA-N 0 3 247.386 2.771 20 0 BFADHN C[C@H]1CCCC[C@H]1CN1CCc2c[nH]nc2C1 ZINC000680330421 488081206 /nfs/dbraw/zinc/08/12/06/488081206.db2.gz ZIGSQWCGRREDJC-AAEUAGOBSA-N 0 3 233.359 2.594 20 0 BFADHN CCN(CCCO)Cc1cc2ccc(C)cc2[nH]1 ZINC000667668713 488081748 /nfs/dbraw/zinc/08/17/48/488081748.db2.gz XGTRJEBHFDNHPF-UHFFFAOYSA-N 0 3 246.354 2.681 20 0 BFADHN CC(C)C1CCN(Cc2cnn3c2CCC3)CC1 ZINC000667670528 488082203 /nfs/dbraw/zinc/08/22/03/488082203.db2.gz ROENEFCRCZMMNV-UHFFFAOYSA-N 0 3 247.386 2.697 20 0 BFADHN CC(C)C[C@@H](N)C(=O)NCC(C)(C)CC(C)C ZINC000236708398 488082355 /nfs/dbraw/zinc/08/23/55/488082355.db2.gz WSSYAJHKYCZRSH-GFCCVEGCSA-N 0 3 242.407 2.548 20 0 BFADHN CCCN(Cc1cc(C)ccn1)C1CC1 ZINC000683006910 488087841 /nfs/dbraw/zinc/08/78/41/488087841.db2.gz LVQYDZRFENXECF-UHFFFAOYSA-N 0 3 204.317 2.764 20 0 BFADHN Cc1ccnc(CN2CC[C@@H](c3ccco3)C2)c1 ZINC000683009291 488089667 /nfs/dbraw/zinc/08/96/67/488089667.db2.gz IBOMAWTXXBIGGG-CYBMUJFWSA-N 0 3 242.322 2.973 20 0 BFADHN CC[C@@H]1CCN(Cc2cc(C)ccn2)C1 ZINC000683010780 488090224 /nfs/dbraw/zinc/09/02/24/488090224.db2.gz GTXGCEHTTCVNQL-GFCCVEGCSA-N 0 3 204.317 2.622 20 0 BFADHN C[C@@H]1[C@@H](C)CCN1Cc1ccnn1CC1CC1 ZINC000667693693 488090289 /nfs/dbraw/zinc/09/02/89/488090289.db2.gz SHIBCNDDNSYCLL-NWDGAFQWSA-N 0 3 233.359 2.523 20 0 BFADHN C[C@@H]1CCN(Cc2ccnn2CC2CC2)[C@H]1C ZINC000667693692 488090314 /nfs/dbraw/zinc/09/03/14/488090314.db2.gz SHIBCNDDNSYCLL-NEPJUHHUSA-N 0 3 233.359 2.523 20 0 BFADHN C[C@H]1CCN(Cc2cnc3n2CCC3)C[C@@H](C)C1 ZINC000667694839 488090567 /nfs/dbraw/zinc/09/05/67/488090567.db2.gz AGRAVXBSQOMCBE-STQMWFEESA-N 0 3 247.386 2.697 20 0 BFADHN CC(C)[C@H]1CCN(Cc2ccnn2CC2CC2)C1 ZINC000667696744 488092172 /nfs/dbraw/zinc/09/21/72/488092172.db2.gz GAODWUUDPUCTTF-AWEZNQCLSA-N 0 3 247.386 2.771 20 0 BFADHN CCc1cccc(CN(CCCO)C2CC2)c1 ZINC000682527737 488094021 /nfs/dbraw/zinc/09/40/21/488094021.db2.gz IPIIFVYEJOJXCV-UHFFFAOYSA-N 0 3 233.355 2.596 20 0 BFADHN COc1cc(CN2CC[C@@H]3C[C@@H]3C2)cc(OC)c1 ZINC000668675985 488095657 /nfs/dbraw/zinc/09/56/57/488095657.db2.gz KRQPWUSMRRPLJZ-CHWSQXEVSA-N 0 3 247.338 2.546 20 0 BFADHN COc1ccc(CN2CC[C@H]3C[C@H]3C2)c(OC)c1 ZINC000668676282 488096752 /nfs/dbraw/zinc/09/67/52/488096752.db2.gz PADBWUDWFHNYQS-AAEUAGOBSA-N 0 3 247.338 2.546 20 0 BFADHN COc1ccc(CNC2CC=CC2)c(F)c1 ZINC000228601169 488097755 /nfs/dbraw/zinc/09/77/55/488097755.db2.gz BRDHLVABNUHXDS-UHFFFAOYSA-N 0 3 221.275 2.643 20 0 BFADHN CCn1ccc(CN(C)C[C@@H]2CC[C@H](C)O2)c1 ZINC000683031160 488101968 /nfs/dbraw/zinc/10/19/68/488101968.db2.gz KCLFWZPWAGGYQP-JSGCOSHPSA-N 0 3 236.359 2.507 20 0 BFADHN C[C@@H]1CC[C@H](C)N1C(=O)Nc1cccc(CN)c1 ZINC000667725310 488102150 /nfs/dbraw/zinc/10/21/50/488102150.db2.gz CNVWDZMSAROPIP-PHIMTYICSA-N 0 3 247.342 2.550 20 0 BFADHN COc1cc(C)ccc1CN1CC[C@H]2C[C@H]2C1 ZINC000668678082 488102778 /nfs/dbraw/zinc/10/27/78/488102778.db2.gz DAQFUQZBCONMCC-JSGCOSHPSA-N 0 3 231.339 2.845 20 0 BFADHN CO[C@@H]1CCC[C@H]1N[C@@H](C)c1cnc(C)s1 ZINC000378770667 488104578 /nfs/dbraw/zinc/10/45/78/488104578.db2.gz FQFBBEIZBGTZFP-JMJZKYOTSA-N 0 3 240.372 2.670 20 0 BFADHN Fc1cccc(CN2CC[C@@H](C(F)F)C2)c1 ZINC000677729330 488107107 /nfs/dbraw/zinc/10/71/07/488107107.db2.gz CUMHUPADFUWETL-SNVBAGLBSA-N 0 3 229.245 2.913 20 0 BFADHN CC(C)CCN1CC2(C1)CC(F)(F)C2 ZINC000677730864 488108094 /nfs/dbraw/zinc/10/80/94/488108094.db2.gz HGLVOCLDTAEYON-UHFFFAOYSA-N 0 3 203.276 2.764 20 0 BFADHN C[C@H](c1ccncc1)N1CCSC[C@@H](C)C1 ZINC000683101908 488109363 /nfs/dbraw/zinc/10/93/63/488109363.db2.gz PLLCWHGCNQIYAB-NWDGAFQWSA-N 0 3 236.384 2.828 20 0 BFADHN CCO[C@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC000674250482 487537040 /nfs/dbraw/zinc/53/70/40/487537040.db2.gz AOWYZTAPFSJGNN-STQMWFEESA-N 0 3 234.343 2.644 20 0 BFADHN FC(F)[C@H]1CCN(CCOc2ccccc2)C1 ZINC000677736139 488114286 /nfs/dbraw/zinc/11/42/86/488114286.db2.gz YAYIIAOYGFPUJH-NSHDSACASA-N 0 3 241.281 2.652 20 0 BFADHN Cc1ccc(CN[C@@H]2CO[C@H](C3CC3)C2)cc1F ZINC000667769929 488115787 /nfs/dbraw/zinc/11/57/87/488115787.db2.gz KAKRKSBIUSWTIZ-ZFWWWQNUSA-N 0 3 249.329 2.791 20 0 BFADHN CC(C)COc1ccc(CN[C@@H]2CCOC2)cc1 ZINC000643802462 488118044 /nfs/dbraw/zinc/11/80/44/488118044.db2.gz BOVDZBQQUONVCD-CQSZACIVSA-N 0 3 249.354 2.600 20 0 BFADHN CCCc1ccc(CNC2([C@H](C)O)CC2)cc1 ZINC000668695381 488124801 /nfs/dbraw/zinc/12/48/01/488124801.db2.gz FQCCFACPDRQTQQ-LBPRGKRZSA-N 0 3 233.355 2.642 20 0 BFADHN C[C@@H](O)C1(NCc2ccc3ccccc3c2)CC1 ZINC000668696651 488125625 /nfs/dbraw/zinc/12/56/25/488125625.db2.gz SIOPEFIPSPUZHV-GFCCVEGCSA-N 0 3 241.334 2.843 20 0 BFADHN COc1cccc(CNC[C@H]2C[C@@H]2C)c1F ZINC000166961288 488126140 /nfs/dbraw/zinc/12/61/40/488126140.db2.gz UFLCKWFDRDDRBS-GXSJLCMTSA-N 0 3 223.291 2.580 20 0 BFADHN COc1cccc(CNC[C@@H]2C[C@@H]2C)c1F ZINC000166961506 488126011 /nfs/dbraw/zinc/12/60/11/488126011.db2.gz UFLCKWFDRDDRBS-ONGXEEELSA-N 0 3 223.291 2.580 20 0 BFADHN Cc1csc(CN[C@H]2CCC[C@H]3C[C@H]32)n1 ZINC000382272146 487537559 /nfs/dbraw/zinc/53/75/59/487537559.db2.gz ZMAVPUIVINOHPK-AXFHLTTASA-N 0 3 222.357 2.730 20 0 BFADHN CCc1ccc(CN[C@H]2CO[C@H](C3CC3)C2)cc1 ZINC000667817535 488127640 /nfs/dbraw/zinc/12/76/40/488127640.db2.gz YYYWYCVCTJGNPA-CVEARBPZSA-N 0 3 245.366 2.906 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccn1C)[C@H]1CC1(C)C ZINC000397658780 488128826 /nfs/dbraw/zinc/12/88/26/488128826.db2.gz KQFGOLUCOXOMMM-OUAUKWLOSA-N 0 3 221.348 2.505 20 0 BFADHN C[C@H](N[C@@H](C)[C@H]1CC1(C)C)c1nccn1C ZINC000397658776 488128960 /nfs/dbraw/zinc/12/89/60/488128960.db2.gz KQFGOLUCOXOMMM-GARJFASQSA-N 0 3 221.348 2.505 20 0 BFADHN C[C@@H](N[C@@H](C)c1nccn1C)[C@@H]1CC1(C)C ZINC000397658783 488129022 /nfs/dbraw/zinc/12/90/22/488129022.db2.gz KQFGOLUCOXOMMM-VWYCJHECSA-N 0 3 221.348 2.505 20 0 BFADHN C[C@H](O)C1(NCc2ccc(C3CC3)cc2)CC1 ZINC000668699304 488130304 /nfs/dbraw/zinc/13/03/04/488130304.db2.gz ACVOXRLKFFRDPV-NSHDSACASA-N 0 3 231.339 2.567 20 0 BFADHN C[C@H](O)C1(N[C@@H](C)c2cc3ccccc3o2)CC1 ZINC000668698728 488131087 /nfs/dbraw/zinc/13/10/87/488131087.db2.gz GLEGYYYRVXPLTF-QWRGUYRKSA-N 0 3 245.322 2.997 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC[C@@H]2C)ncn1 ZINC000680133444 487538776 /nfs/dbraw/zinc/53/87/76/487538776.db2.gz BJSZEIMFDDNKDZ-WCQYABFASA-N 0 3 233.359 2.701 20 0 BFADHN CCc1cc(NC(=O)[C@@H](C)NC2CC2)ccc1C ZINC000394839004 487542098 /nfs/dbraw/zinc/54/20/98/487542098.db2.gz CIHMSNNSMCEXFY-LLVKDONJSA-N 0 3 246.354 2.636 20 0 BFADHN CCN(Cc1oc(C)nc1C)C1CCC1 ZINC000685565387 487546817 /nfs/dbraw/zinc/54/68/17/487546817.db2.gz WIFYPVNEGWGKJT-UHFFFAOYSA-N 0 3 208.305 2.666 20 0 BFADHN CC[C@H](O)CN1CCc2ccc(C(C)C)cc2C1 ZINC000661376528 487547901 /nfs/dbraw/zinc/54/79/01/487547901.db2.gz XYGXZBVSAMVXCR-INIZCTEOSA-N 0 3 247.382 2.939 20 0 BFADHN Fc1ccc2c(c1)[C@H](NC[C@H]1CCCO1)CC2 ZINC000174978333 487550073 /nfs/dbraw/zinc/55/00/73/487550073.db2.gz MCNOJEKLCOANHJ-TZMCWYRMSA-N 0 3 235.302 2.582 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H](C3CC3)C2)o1 ZINC000685570359 487551141 /nfs/dbraw/zinc/55/11/41/487551141.db2.gz OYMLQRWYAQGQSX-GFCCVEGCSA-N 0 3 220.316 2.523 20 0 BFADHN c1cnc2c(c1)cccc2CN1CC2(CC2)C1 ZINC000334741772 487553120 /nfs/dbraw/zinc/55/31/20/487553120.db2.gz IIYTYLVNXRZMGL-UHFFFAOYSA-N 0 3 224.307 2.831 20 0 BFADHN Fc1ccccc1[C@H]1CCN([C@@H]2CCCOC2)C1 ZINC000674303380 487556020 /nfs/dbraw/zinc/55/60/20/487556020.db2.gz WDSCYAXQSYFGPN-QWHCGFSZSA-N 0 3 249.329 2.794 20 0 BFADHN COc1cccc(CN[C@@H]2CC2(C)C)c1F ZINC000160463706 488136215 /nfs/dbraw/zinc/13/62/15/488136215.db2.gz QWIQDUCFRSHKNC-LLVKDONJSA-N 0 3 223.291 2.722 20 0 BFADHN CC(C)C[C@H](C)CN[C@@H](C)c1nccn1C ZINC000668746976 487470822 /nfs/dbraw/zinc/47/08/22/487470822.db2.gz ACWZWJGIPIVILJ-RYUDHWBXSA-N 0 3 223.364 2.753 20 0 BFADHN CCn1nccc1CN(CCC1CC1)CC1CC1 ZINC000668746965 487470897 /nfs/dbraw/zinc/47/08/97/487470897.db2.gz ALRWAEYMBAYNOQ-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN CC(C)C1CCN(Cc2ccncc2)CC1 ZINC000145601429 487472521 /nfs/dbraw/zinc/47/25/21/487472521.db2.gz IAPSSUNWXFXFDP-UHFFFAOYSA-N 0 3 218.344 2.950 20 0 BFADHN CO[C@H](C)CN1CCCc2sccc2C1 ZINC000679853224 487472699 /nfs/dbraw/zinc/47/26/99/487472699.db2.gz KWYSKSQHHJMYOM-SNVBAGLBSA-N 0 3 225.357 2.531 20 0 BFADHN CC[C@H](c1ccccc1)N(C)Cc1cn[nH]c1 ZINC000673927463 487481921 /nfs/dbraw/zinc/48/19/21/487481921.db2.gz HCRNAYXKPWRDGJ-CQSZACIVSA-N 0 3 229.327 2.993 20 0 BFADHN c1cn2c(n1)[C@H](NCCC1CCCC1)CCC2 ZINC000655696460 487485791 /nfs/dbraw/zinc/48/57/91/487485791.db2.gz PYVVZMIGOWUGQC-CYBMUJFWSA-N 0 3 233.359 2.888 20 0 BFADHN CC[C@@H]1CN([C@H](C)c2ccncc2)CCCO1 ZINC000685006898 487491632 /nfs/dbraw/zinc/49/16/32/487491632.db2.gz FNPGVNXSIUEVAY-TZMCWYRMSA-N 0 3 234.343 2.644 20 0 BFADHN CC(C)OCCN[C@@H](C)c1cc2cnccc2o1 ZINC000657972180 487495074 /nfs/dbraw/zinc/49/50/74/487495074.db2.gz ANJPKBTZAWHFIN-NSHDSACASA-N 0 3 248.326 2.903 20 0 BFADHN CCCCN(CCO)[C@@H](C)c1ccc(C)o1 ZINC000674005282 487496820 /nfs/dbraw/zinc/49/68/20/487496820.db2.gz CEFXRDZMHHPVQO-LBPRGKRZSA-N 0 3 225.332 2.743 20 0 BFADHN CC(C)CCN(Cc1ccnc(N)c1)C1CC1 ZINC000111484070 487497346 /nfs/dbraw/zinc/49/73/46/487497346.db2.gz PKZJJKMAJOOKBF-UHFFFAOYSA-N 0 3 233.359 2.674 20 0 BFADHN C[C@@H](N[C@H](C)C1CCC1)c1cc2n(n1)CCC2 ZINC000666114677 487497762 /nfs/dbraw/zinc/49/77/62/487497762.db2.gz QLJPTGZBTFLRRO-GHMZBOCLSA-N 0 3 233.359 2.669 20 0 BFADHN CCC[C@@]1(CO)CCN([C@@H](C)c2ccncc2)C1 ZINC000685056795 487498322 /nfs/dbraw/zinc/49/83/22/487498322.db2.gz PSGXUMKCDLRCFX-DZGCQCFKSA-N 0 3 248.370 2.627 20 0 BFADHN C[C@@H](CC(F)(F)F)N[C@@H]1COC2(CCC2)C1 ZINC000657975947 487501491 /nfs/dbraw/zinc/50/14/91/487501491.db2.gz GKKFYKJLIRKRTD-IUCAKERBSA-N 0 3 237.265 2.629 20 0 BFADHN C[C@H](Cc1ccsc1)N1CCCOC[C@H]1C ZINC000679988743 487510266 /nfs/dbraw/zinc/51/02/66/487510266.db2.gz BTELPIPHAZOYMK-VXGBXAGGSA-N 0 3 239.384 2.790 20 0 BFADHN Cc1csc(CCN[C@H](C)c2ccccn2)n1 ZINC000063132407 487514325 /nfs/dbraw/zinc/51/43/25/487514325.db2.gz YKIJRTYHSIYNQA-LLVKDONJSA-N 0 3 247.367 2.740 20 0 BFADHN C[C@H]1CC[C@H](NCc2ccncc2F)CC1 ZINC000334574441 487523679 /nfs/dbraw/zinc/52/36/79/487523679.db2.gz COVPPZDCKCGDCJ-UMSPYCQHSA-N 0 3 222.307 2.889 20 0 BFADHN Cc1cc(CN2[C@@H](CO)CCC[C@@H]2C)cs1 ZINC000518995961 488158771 /nfs/dbraw/zinc/15/87/71/488158771.db2.gz PCYSVPCBUYYYMQ-GXFFZTMASA-N 0 3 239.384 2.792 20 0 BFADHN CC1(CN2CCC(c3ccon3)CC2)CC1 ZINC000668718555 488160545 /nfs/dbraw/zinc/16/05/45/488160545.db2.gz QGNZOWMYPZMXFJ-UHFFFAOYSA-N 0 3 220.316 2.654 20 0 BFADHN C[C@@H]1C[C@H]1c1nc(C2C[C@H]3CC[C@@H](C2)N3C)no1 ZINC000680778994 487647262 /nfs/dbraw/zinc/64/72/62/487647262.db2.gz YVZKJONZZLOCMI-RIEIUKCASA-N 0 3 247.342 2.533 20 0 BFADHN Cc1ccc(CN(C[C@H]2CCCOC2)C2CC2)o1 ZINC000676082732 487812119 /nfs/dbraw/zinc/81/21/19/487812119.db2.gz QMIMERMVMSIQLO-CYBMUJFWSA-N 0 3 249.354 2.979 20 0 BFADHN Cc1ncc([C@@H](C)N2CCC(C)(C)C2)c(C)n1 ZINC000682069231 487890928 /nfs/dbraw/zinc/89/09/28/487890928.db2.gz DHOZVGOVLJULST-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN CCC1CCC(NCc2cc(C)ncn2)CC1 ZINC000677425371 488033573 /nfs/dbraw/zinc/03/35/73/488033573.db2.gz RAOMBMFUDUOICX-UHFFFAOYSA-N 0 3 233.359 2.843 20 0 BFADHN C[C@H](N[C@@H]1CO[C@@H](C2CC2)C1)c1cccc(O)c1 ZINC000667853568 488139614 /nfs/dbraw/zinc/13/96/14/488139614.db2.gz HDCGREQKKOUZMC-VZJVUDMVSA-N 0 3 247.338 2.610 20 0 BFADHN Cc1ccc(CNC2([C@H](C)O)CC2)cc1Cl ZINC000668702754 488139979 /nfs/dbraw/zinc/13/99/79/488139979.db2.gz PNEZIDDHBAXYSE-JTQLQIEISA-N 0 3 239.746 2.651 20 0 BFADHN C(=C\c1ccccc1)\CN[C@@H]1CO[C@H](C2CC2)C1 ZINC000667865884 488141505 /nfs/dbraw/zinc/14/15/05/488141505.db2.gz LWMSJGPPFQGOAZ-GTEGOMCXSA-N 0 3 243.350 2.857 20 0 BFADHN COCC[C@@H](C)N(C)Cc1ccsc1 ZINC000677882595 488145524 /nfs/dbraw/zinc/14/55/24/488145524.db2.gz XDIPBOCKPAXRBH-SNVBAGLBSA-N 0 3 213.346 2.605 20 0 BFADHN CCC[C@@H](NCc1cocn1)[C@H]1CC1(C)C ZINC000397793841 488149771 /nfs/dbraw/zinc/14/97/71/488149771.db2.gz JDDVFEHKURRJOY-VXGBXAGGSA-N 0 3 222.332 2.979 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H](C)[C@H]1C[C@@H]1C ZINC000321739602 488151278 /nfs/dbraw/zinc/15/12/78/488151278.db2.gz ZAFCSTBIWQBPMC-NHRVJRKFSA-N 0 3 222.332 2.987 20 0 BFADHN Fc1ccccc1CCN1CC[C@H](C(F)F)C1 ZINC000683368550 488153634 /nfs/dbraw/zinc/15/36/34/488153634.db2.gz HWWSGZYWJPFQOC-NSHDSACASA-N 0 3 243.272 2.955 20 0 BFADHN CC[C@@H](COC)N[C@@H](C)c1cc2cnccc2o1 ZINC000657887576 488166375 /nfs/dbraw/zinc/16/63/75/488166375.db2.gz DGGMRMBDAISLRB-JQWIXIFHSA-N 0 3 248.326 2.903 20 0 BFADHN C[C@@H](NCC(C1CC1)C1CC1)c1ccn(C)n1 ZINC000666094187 488175104 /nfs/dbraw/zinc/17/51/04/488175104.db2.gz MNWIYUAZMHNNLU-SNVBAGLBSA-N 0 3 233.359 2.507 20 0 BFADHN C[C@H](CN[C@@H](C)c1ccccn1)c1ccncc1 ZINC000678029868 488176020 /nfs/dbraw/zinc/17/60/20/488176020.db2.gz FAYLHKYDDHZVPQ-OLZOCXBDSA-N 0 3 241.338 2.931 20 0 BFADHN C[C@H]1CCN(Cc2cnn3c2CCC3)CC1(C)C ZINC000667987968 488176823 /nfs/dbraw/zinc/17/68/23/488176823.db2.gz WWCJTOVNYWIFLD-LBPRGKRZSA-N 0 3 247.386 2.697 20 0 BFADHN CCCn1nccc1CN1CCCC[C@@H](C)C1 ZINC000668001451 488180786 /nfs/dbraw/zinc/18/07/86/488180786.db2.gz QBUUUQRPSXPUCY-CYBMUJFWSA-N 0 3 235.375 2.915 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](C)[C@@H](C)C2)nc1 ZINC000678045467 488181032 /nfs/dbraw/zinc/18/10/32/488181032.db2.gz HTWHLRTUJCADBH-OLZOCXBDSA-N 0 3 248.370 2.958 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](C)[C@H](C)C2)nc1 ZINC000678045460 488181158 /nfs/dbraw/zinc/18/11/58/488181158.db2.gz HTWHLRTUJCADBH-CHWSQXEVSA-N 0 3 248.370 2.958 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@H]2CCc3ccc(F)cc32)O1 ZINC000453398460 488190832 /nfs/dbraw/zinc/19/08/32/488190832.db2.gz ABCNTGFBQSEGDS-DGFSRKRXSA-N 0 3 249.329 2.970 20 0 BFADHN CC1CCN(Cc2n[nH]c3ccccc32)CC1 ZINC000678121186 488198154 /nfs/dbraw/zinc/19/81/54/488198154.db2.gz VNXKKADQYYWUQT-UHFFFAOYSA-N 0 3 229.327 2.795 20 0 BFADHN CC1CCN(Cc2[nH]nc3ccccc32)CC1 ZINC000678121186 488198155 /nfs/dbraw/zinc/19/81/55/488198155.db2.gz VNXKKADQYYWUQT-UHFFFAOYSA-N 0 3 229.327 2.795 20 0 BFADHN C[C@H](CN[C@@H](C)c1cscn1)c1ccncc1 ZINC000678116071 488198442 /nfs/dbraw/zinc/19/84/42/488198442.db2.gz MLEPWQROIKOZFZ-MNOVXSKESA-N 0 3 247.367 2.992 20 0 BFADHN C[C@H]1CCC[C@H](C)N1Cc1cncs1 ZINC000678120156 488199676 /nfs/dbraw/zinc/19/96/76/488199676.db2.gz AQIBVPDVVYCBPQ-UWVGGRQHSA-N 0 3 210.346 2.906 20 0 BFADHN C[C@H](N[C@@H]1CO[C@H](C2CC2)C1)c1ccsc1 ZINC000668109503 488204944 /nfs/dbraw/zinc/20/49/44/488204944.db2.gz FGKMENVFZNIWRP-XDTLVQLUSA-N 0 3 237.368 2.966 20 0 BFADHN CC[C@H](C)N(C)Cc1cn2cccc(F)c2n1 ZINC000678140838 488205381 /nfs/dbraw/zinc/20/53/81/488205381.db2.gz OAFIADJABCXLIR-JTQLQIEISA-N 0 3 235.306 2.704 20 0 BFADHN Cn1ccc(CN(C2CC2)C2CCCCC2)n1 ZINC000678141588 488206132 /nfs/dbraw/zinc/20/61/32/488206132.db2.gz LLMBFPFSIAILHQ-UHFFFAOYSA-N 0 3 233.359 2.717 20 0 BFADHN C[C@H](C1CC1)N(C)Cc1nn(C)c2ccccc12 ZINC000678144139 488206807 /nfs/dbraw/zinc/20/68/07/488206807.db2.gz DPLFIKYESCAFLU-LLVKDONJSA-N 0 3 243.354 2.804 20 0 BFADHN Cc1cc([C@H](C)NCC2(CO)CCC2)c(C)o1 ZINC000683691244 488207002 /nfs/dbraw/zinc/20/70/02/488207002.db2.gz ACDVCULLDGFOEH-NSHDSACASA-N 0 3 237.343 2.710 20 0 BFADHN CCO[C@@H]1C[C@@H]1N[C@@H]1CCc2ccc(C)cc21 ZINC000683693566 488207711 /nfs/dbraw/zinc/20/77/11/488207711.db2.gz FJHLYHRBUJUUIW-QLFBSQMISA-N 0 3 231.339 2.749 20 0 BFADHN Cc1cc(CNC[C@@H]2CCC[C@H](C)C2)ncn1 ZINC000678156618 488209054 /nfs/dbraw/zinc/20/90/54/488209054.db2.gz HMKRQVUNVWOHPA-WCQYABFASA-N 0 3 233.359 2.701 20 0 BFADHN c1nc2n(c1CN1CCC3(CCC3)CC1)CCC2 ZINC000668147575 488209284 /nfs/dbraw/zinc/20/92/84/488209284.db2.gz MTQZRUKPCWRMGY-UHFFFAOYSA-N 0 3 245.370 2.595 20 0 BFADHN CO[C@H](C)CN(C)Cc1cc2c(cccc2C)[nH]1 ZINC000668151295 488210012 /nfs/dbraw/zinc/21/00/12/488210012.db2.gz ZWKKFHHDAKMEQX-GFCCVEGCSA-N 0 3 246.354 2.943 20 0 BFADHN CC[C@@]1(NCc2ccc(C)c(OC)c2)CCOC1 ZINC000683704621 488210808 /nfs/dbraw/zinc/21/08/08/488210808.db2.gz CEFLPNYHTQQYAB-OAHLLOKOSA-N 0 3 249.354 2.662 20 0 BFADHN CC(C)c1cccc(CN(C)CCN(C)C)c1 ZINC000668155887 488211015 /nfs/dbraw/zinc/21/10/15/488211015.db2.gz ARGVUNJCEFNYCQ-UHFFFAOYSA-N 0 3 234.387 2.803 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cnc(C)n1C ZINC000668182076 488215778 /nfs/dbraw/zinc/21/57/78/488215778.db2.gz YJWUPIZJACPBTP-LLVKDONJSA-N 0 3 223.364 2.739 20 0 BFADHN CCCC[C@@H](C)N(C)Cc1cnc2n1CCC2 ZINC000668180927 488215925 /nfs/dbraw/zinc/21/59/25/488215925.db2.gz CPLCCDRHKRMALM-GFCCVEGCSA-N 0 3 235.375 2.840 20 0 BFADHN CCC(CC)N[C@H](CO)c1ccc(F)cc1F ZINC000668746612 488217329 /nfs/dbraw/zinc/21/73/29/488217329.db2.gz RIQDQOZFXQVQJT-CYBMUJFWSA-N 0 3 243.297 2.776 20 0 BFADHN CC(C)Oc1ncccc1CNCC1(C)CC1 ZINC000683766060 488217766 /nfs/dbraw/zinc/21/77/66/488217766.db2.gz UHLRLQMIPFTZKR-UHFFFAOYSA-N 0 3 234.343 2.759 20 0 BFADHN CC(C)[C@@H]1N(Cc2cnc3n2CCC3)CC1(C)C ZINC000668190402 488218837 /nfs/dbraw/zinc/21/88/37/488218837.db2.gz CHLBBTLKCKSPIM-AWEZNQCLSA-N 0 3 247.386 2.696 20 0 BFADHN Cc1ccccc1[C@H]1CCN(Cc2cn[nH]c2)C1 ZINC000678225674 488220892 /nfs/dbraw/zinc/22/08/92/488220892.db2.gz PNWHIQMVULTIKJ-AWEZNQCLSA-N 0 3 241.338 2.708 20 0 BFADHN c1n[nH]cc1CN1CCC2(CCCCC2)CC1 ZINC000678234642 488222765 /nfs/dbraw/zinc/22/27/65/488222765.db2.gz HZQCTWSIVYJZLQ-UHFFFAOYSA-N 0 3 233.359 2.956 20 0 BFADHN CC[C@H](CO)N(C)Cc1cc2ccc(C)cc2[nH]1 ZINC000668202114 488222873 /nfs/dbraw/zinc/22/28/73/488222873.db2.gz SNMHKGOADRPZKX-CQSZACIVSA-N 0 3 246.354 2.679 20 0 BFADHN COCc1ccc(CN2CCC[C@@H]2C2CC2)o1 ZINC000678239514 488224580 /nfs/dbraw/zinc/22/45/80/488224580.db2.gz HDLIMQAXWKEBDF-CQSZACIVSA-N 0 3 235.327 2.800 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1cc(OC)ns1 ZINC000399092723 488227547 /nfs/dbraw/zinc/22/75/47/488227547.db2.gz XMQNDDVISOIDLS-DTWKUNHWSA-N 0 3 228.361 2.676 20 0 BFADHN CCN1CCN(Cc2cccc(C)c2C)C[C@@H]1C ZINC000678262089 488228531 /nfs/dbraw/zinc/22/85/31/488228531.db2.gz YREQYAFHGUQQEA-AWEZNQCLSA-N 0 3 246.398 2.829 20 0 BFADHN CCN(Cc1ccoc1)C[C@H]1CCSC1 ZINC000683830275 488228839 /nfs/dbraw/zinc/22/88/39/488228839.db2.gz SZDDEZHEBUWLSB-GFCCVEGCSA-N 0 3 225.357 2.855 20 0 BFADHN CC1(CN2CC(c3nc4ccccc4[nH]3)C2)CC1 ZINC000683831222 488229882 /nfs/dbraw/zinc/22/98/82/488229882.db2.gz NIHSKKXAUOLCEE-UHFFFAOYSA-N 0 3 241.338 2.762 20 0 BFADHN COc1ccc(CN2CCCOC[C@@H]2C)cc1C ZINC000678275402 488231784 /nfs/dbraw/zinc/23/17/84/488231784.db2.gz XJLFYEPQYPIIFZ-ZDUSSCGKSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1cc(F)ccc1CN1CCCOC[C@@H]1C ZINC000678272087 488233669 /nfs/dbraw/zinc/23/36/69/488233669.db2.gz BGXXBODXWPPYNR-LBPRGKRZSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1ccc(C[C@H](C)N(C)Cc2cn[nH]c2)cc1 ZINC000678274842 488234526 /nfs/dbraw/zinc/23/45/26/488234526.db2.gz KCYXOPJDDFKYKT-ZDUSSCGKSA-N 0 3 243.354 2.781 20 0 BFADHN Cc1cccc(CN2CCc3cn[nH]c3C2)c1C ZINC000678275815 488235822 /nfs/dbraw/zinc/23/58/22/488235822.db2.gz DDZJATBFKKGZHR-UHFFFAOYSA-N 0 3 241.338 2.585 20 0 BFADHN C[C@@H](c1cccnc1)N(C)CCC[C@@H]1CCOC1 ZINC000683888735 488236927 /nfs/dbraw/zinc/23/69/27/488236927.db2.gz HBVSHYGHHKQALD-UONOGXRCSA-N 0 3 248.370 2.891 20 0 BFADHN C[C@@H]1CC[C@@H](C)N1Cc1nn(C)c2ccccc12 ZINC000678336221 488242198 /nfs/dbraw/zinc/24/21/98/488242198.db2.gz BGFMFJIDKLWUAY-VXGBXAGGSA-N 0 3 243.354 2.946 20 0 BFADHN Cc1ccc([C@H](C)NC[C@@]2(C)CCCO2)c(C)n1 ZINC000678429123 488255876 /nfs/dbraw/zinc/25/58/76/488255876.db2.gz UVFMKSSULJOMIP-SWLSCSKDSA-N 0 3 248.370 2.918 20 0 BFADHN C[C@H]1CCC[C@H](CN(C)Cc2cn[nH]c2)C1 ZINC000678466506 488257558 /nfs/dbraw/zinc/25/75/58/488257558.db2.gz GXGKLRBAJYLXIG-RYUDHWBXSA-N 0 3 221.348 2.668 20 0 BFADHN C[C@H](CC(=O)N[C@@H](C)CN(C)C)CC(C)(C)C ZINC000672516610 488258483 /nfs/dbraw/zinc/25/84/83/488258483.db2.gz HDXMYXRZLAQGET-NEPJUHHUSA-N 0 3 242.407 2.515 20 0 BFADHN CCC1(O)CCC(N[C@@H](C)c2ccccn2)CC1 ZINC000678547650 488266116 /nfs/dbraw/zinc/26/61/16/488266116.db2.gz HPTBLHSJRXDXAE-OPFPJEHXSA-N 0 3 248.370 2.816 20 0 BFADHN CCC1(O)CCC(N[C@H](C)c2ccccn2)CC1 ZINC000678547648 488266233 /nfs/dbraw/zinc/26/62/33/488266233.db2.gz HPTBLHSJRXDXAE-DNOWBOINSA-N 0 3 248.370 2.816 20 0 BFADHN COc1cccc2c1OCC[C@@H]2NC1CC(C)C1 ZINC000678594033 488269357 /nfs/dbraw/zinc/26/93/57/488269357.db2.gz AVENNAVIFIVFSS-XIVSLSHWSA-N 0 3 247.338 2.907 20 0 BFADHN C[C@@H](CC1CCC1)N[C@@H]1CCCc2c[nH]nc21 ZINC000678599252 488269868 /nfs/dbraw/zinc/26/98/68/488269868.db2.gz YTFTUQCXFCLHFS-GXFFZTMASA-N 0 3 233.359 2.955 20 0 BFADHN Cc1cc(CN[C@H](C)C2C(C)(C)C2(C)C)ncn1 ZINC000678605348 488271496 /nfs/dbraw/zinc/27/14/96/488271496.db2.gz PPKGKZJMHXFTKH-LLVKDONJSA-N 0 3 247.386 2.945 20 0 BFADHN C[C@@H]1C[C@H](C)N1Cc1cccc(N(C)C)c1 ZINC000684209135 488277508 /nfs/dbraw/zinc/27/75/08/488277508.db2.gz ZFZPAYWVOSARJR-TXEJJXNPSA-N 0 3 218.344 2.735 20 0 BFADHN CCc1nn(C)cc1CN1CC[C@@](C)(CC)C1 ZINC000678837006 488290264 /nfs/dbraw/zinc/29/02/64/488290264.db2.gz LUVQYEHUIWOFCW-CQSZACIVSA-N 0 3 235.375 2.605 20 0 BFADHN C[C@@H]1CCC[C@H](CNCc2cn(C)cn2)[C@@H]1C ZINC000673608167 488297450 /nfs/dbraw/zinc/29/74/50/488297450.db2.gz PEVBHXNYQTZGBZ-JHJVBQTASA-N 0 3 235.375 2.582 20 0 BFADHN c1ccc2c(c1)CCCN2CCN1CC=CCC1 ZINC000679322774 488336603 /nfs/dbraw/zinc/33/66/03/488336603.db2.gz OCTHACMFCAFBOG-UHFFFAOYSA-N 0 3 242.366 2.701 20 0 BFADHN CCc1cccnc1N[C@H]1CCN(C)[C@H](C)C1 ZINC000679151988 488313738 /nfs/dbraw/zinc/31/37/38/488313738.db2.gz WYRVVUPLQTXSED-YPMHNXCESA-N 0 3 233.359 2.539 20 0 BFADHN CC1=C(C)CN(Cc2ccc(N(C)C)nc2)CC1 ZINC000684614288 488332297 /nfs/dbraw/zinc/33/22/97/488332297.db2.gz AUNRZZQXAULUNT-UHFFFAOYSA-N 0 3 245.370 2.690 20 0 BFADHN Cc1ccc(F)cc1CN1CCCOC[C@H]1C ZINC000679333192 488340620 /nfs/dbraw/zinc/34/06/20/488340620.db2.gz GEGRSHYAGDTTJC-GFCCVEGCSA-N 0 3 237.318 2.745 20 0 BFADHN COC[C@H]1CCCN1Cc1cc(F)ccc1C ZINC000679336059 488341203 /nfs/dbraw/zinc/34/12/03/488341203.db2.gz VSPFYGZIFOBTRS-CQSZACIVSA-N 0 3 237.318 2.745 20 0 BFADHN CC(C)CO[C@H]1CCN(Cc2ccc(O)cc2)C1 ZINC000679391936 488348561 /nfs/dbraw/zinc/34/85/61/488348561.db2.gz AYIFDEIXDFROCA-HNNXBMFYSA-N 0 3 249.354 2.639 20 0 BFADHN CC[C@H](C)CN1CCN(c2cc(C)ccn2)CC1 ZINC000166345499 490090590 /nfs/dbraw/zinc/09/05/90/490090590.db2.gz MNHUPKZERHEOAW-ZDUSSCGKSA-N 0 3 247.386 2.558 20 0 BFADHN CCc1ccc(CN(CCO)CC(C)(C)C)o1 ZINC000678240749 490105475 /nfs/dbraw/zinc/10/54/75/490105475.db2.gz KCOVEMYTTGKOQH-UHFFFAOYSA-N 0 3 239.359 2.682 20 0 BFADHN CN(C(=O)Nc1cccc(CN)c1)C1CCCC1 ZINC000037093051 490107136 /nfs/dbraw/zinc/10/71/36/490107136.db2.gz YDAIBDINGPQTPA-UHFFFAOYSA-N 0 3 247.342 2.552 20 0 BFADHN CCCC[C@@H](CC)CNCc1ncnn1CC ZINC000233036451 490117356 /nfs/dbraw/zinc/11/73/56/490117356.db2.gz CEWVODGEBJOOIU-GFCCVEGCSA-N 0 3 238.379 2.604 20 0 BFADHN C[C@H](NCC(C)(C)CO)c1cc(F)cc(F)c1 ZINC000122045535 490121270 /nfs/dbraw/zinc/12/12/70/490121270.db2.gz XTFWGFCCFKCTNR-VIFPVBQESA-N 0 3 243.297 2.634 20 0 BFADHN c1c[nH]c([C@@H]2CCCCN2C[C@@H]2C[C@H]3C[C@H]3C2)n1 ZINC000660006552 490131699 /nfs/dbraw/zinc/13/16/99/490131699.db2.gz AGRGXFKWDBGKKE-CRWXNKLISA-N 0 3 245.370 2.983 20 0 BFADHN C[C@H](c1ccccc1F)N(C)C[C@H](O)C1CC1 ZINC000069092147 490142395 /nfs/dbraw/zinc/14/23/95/490142395.db2.gz LWIUGOBLRFPTBW-YGRLFVJLSA-N 0 3 237.318 2.589 20 0 BFADHN C[C@@]1(O)CCCN(Cc2coc3ccccc23)C1 ZINC000129442046 490142504 /nfs/dbraw/zinc/14/25/04/490142504.db2.gz QVKXPPRRCBDPFI-OAHLLOKOSA-N 0 3 245.322 2.780 20 0 BFADHN Cc1occc1CN[C@@H](CO)C1CCCCC1 ZINC000320971524 490145664 /nfs/dbraw/zinc/14/56/64/490145664.db2.gz GHNGUQVQTXNLFU-AWEZNQCLSA-N 0 3 237.343 2.619 20 0 BFADHN CC[C@@H](O)[C@@H]1CCCCN1Cc1cc(C)ccn1 ZINC000683011972 490153839 /nfs/dbraw/zinc/15/38/39/490153839.db2.gz WFIIUVNUUUUYSB-LSDHHAIUSA-N 0 3 248.370 2.515 20 0 BFADHN CCCN1C[C@H](C)OC2(CCCCC2)C1 ZINC000653907847 490157068 /nfs/dbraw/zinc/15/70/68/490157068.db2.gz CIZJURLFNIQUBW-LBPRGKRZSA-N 0 3 211.349 2.820 20 0 BFADHN CCCCCN(CC(=O)NC(C)(C)C)C(C)C ZINC000046061019 490163460 /nfs/dbraw/zinc/16/34/60/490163460.db2.gz CKETZJYXDXXUQH-UHFFFAOYSA-N 0 3 242.407 2.802 20 0 BFADHN CSc1ccc([C@H](C)NCc2cnc[nH]2)cc1 ZINC000054764505 490178563 /nfs/dbraw/zinc/17/85/63/490178563.db2.gz SHXHOGGFPWBRLH-JTQLQIEISA-N 0 3 247.367 2.982 20 0 BFADHN CCC(CC)N(CC(C)C)C(=O)CNC(C)C ZINC000093310512 490207203 /nfs/dbraw/zinc/20/72/03/490207203.db2.gz VXCJJRMNEMIQAK-UHFFFAOYSA-N 0 3 242.407 2.658 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC1CCSCC1 ZINC000078679802 490217149 /nfs/dbraw/zinc/21/71/49/490217149.db2.gz WZHWVIALOBXFLZ-QMMMGPOBSA-N 0 3 240.372 2.838 20 0 BFADHN COc1c(C)cccc1CN[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000655812476 490258921 /nfs/dbraw/zinc/25/89/21/490258921.db2.gz PRUFNZZNZAETQS-YRGRVCCFSA-N 0 3 249.354 2.659 20 0 BFADHN Cc1ccc(CN[C@@H](C)Cc2ccccn2)nc1 ZINC000655843229 490260619 /nfs/dbraw/zinc/26/06/19/490260619.db2.gz HROFNLRYAGRRMF-ZDUSSCGKSA-N 0 3 241.338 2.506 20 0 BFADHN CCc1nc(C)c(CN[C@@H]2CC[C@H]2C2CC2)o1 ZINC000426323983 490288358 /nfs/dbraw/zinc/28/83/58/490288358.db2.gz ORKUTEZJBBKEKP-NWDGAFQWSA-N 0 3 234.343 2.824 20 0 BFADHN CC[C@@H](O)CCCN[C@@H](C)c1cnc(C)s1 ZINC000130214703 490289894 /nfs/dbraw/zinc/28/98/94/490289894.db2.gz CSQXRLHPLPQUPO-GXSJLCMTSA-N 0 3 242.388 2.653 20 0 BFADHN C=Cn1cc(CN2CCC(C3CCC3)CC2)cn1 ZINC000668494460 490334220 /nfs/dbraw/zinc/33/42/20/490334220.db2.gz QDPGWKNBCFYFLF-UHFFFAOYSA-N 0 3 245.370 2.996 20 0 BFADHN CCN(Cc1cc2ccc(C)cc2[nH]1)[C@H](C)CO ZINC000668184776 490336941 /nfs/dbraw/zinc/33/69/41/490336941.db2.gz QPRKPAWUCSCDMC-GFCCVEGCSA-N 0 3 246.354 2.679 20 0 BFADHN CCn1ccnc1CN[C@H](C)CC1CCC1 ZINC000395515979 490340149 /nfs/dbraw/zinc/34/01/49/490340149.db2.gz SHESSNGWMFTFCM-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN CO[C@@H](C)CN[C@@H]1CCc2ccc(Cl)cc21 ZINC000336775248 490359424 /nfs/dbraw/zinc/35/94/24/490359424.db2.gz YLBBZSFZCQSBQJ-TVQRCGJNSA-N 0 3 239.746 2.952 20 0 BFADHN C[C@H](NC[C@H]1CCC[C@@H](C)C1)c1ncc[nH]1 ZINC000124729545 490400870 /nfs/dbraw/zinc/40/08/70/490400870.db2.gz OJZMNHZUDWFYCO-WOPDTQHZSA-N 0 3 221.348 2.887 20 0 BFADHN C[C@H](N[C@@H]1CCC(C)(C)C1)c1ncc[nH]1 ZINC000124788472 490403205 /nfs/dbraw/zinc/40/32/05/490403205.db2.gz HHIMFOJTFKKKNF-VHSXEESVSA-N 0 3 207.321 2.639 20 0 BFADHN COc1cccc(CNC2CC=CC2)c1OC ZINC000125244316 490421732 /nfs/dbraw/zinc/42/17/32/490421732.db2.gz UEOWQHUJJWEONP-UHFFFAOYSA-N 0 3 233.311 2.512 20 0 BFADHN O[C@H](CC1CCCC1)CN1CCC(F)(F)CC1 ZINC000405189026 490449235 /nfs/dbraw/zinc/44/92/35/490449235.db2.gz ROIIWRUNIMFMAC-GFCCVEGCSA-N 0 3 247.329 2.659 20 0 BFADHN CN(Cc1ccc(Cl)s1)C[C@@H](O)C1CC1 ZINC000079771023 490459679 /nfs/dbraw/zinc/45/96/79/490459679.db2.gz ZBMLYDZFJQQXIF-SNVBAGLBSA-N 0 3 245.775 2.604 20 0 BFADHN C[C@H](CNCc1nccs1)CC(F)(F)F ZINC000396931054 490483062 /nfs/dbraw/zinc/48/30/62/490483062.db2.gz NYENVEYZWVHYKG-ZETCQYMHSA-N 0 3 238.278 2.821 20 0 BFADHN COC[C@H](N[C@H](C)c1cnc(C)s1)C(C)C ZINC000126984839 490511292 /nfs/dbraw/zinc/51/12/92/490511292.db2.gz QQTRZDYRFJJPHE-KOLCDFICSA-N 0 3 242.388 2.773 20 0 BFADHN COc1ccsc1CN1CC[C@@H]2C[C@@H]2C1 ZINC000668677599 490516108 /nfs/dbraw/zinc/51/61/08/490516108.db2.gz TYUHFEJYLAWVTF-NXEZZACHSA-N 0 3 223.341 2.599 20 0 BFADHN Cc1ncc([C@H](C)NC[C@H]2CCCCO2)s1 ZINC000126959821 490528870 /nfs/dbraw/zinc/52/88/70/490528870.db2.gz SKMHSFSQCNFXRU-GXSJLCMTSA-N 0 3 240.372 2.671 20 0 BFADHN COC[C@@H](N[C@@H](C)c1cnc(C)s1)C(C)C ZINC000126985527 490531727 /nfs/dbraw/zinc/53/17/27/490531727.db2.gz QQTRZDYRFJJPHE-GXSJLCMTSA-N 0 3 242.388 2.773 20 0 BFADHN OCC[C@H](NCc1ccccn1)C1CCCCC1 ZINC000305753212 490541779 /nfs/dbraw/zinc/54/17/79/490541779.db2.gz UJVFLQFBXGUGBG-HNNXBMFYSA-N 0 3 248.370 2.503 20 0 BFADHN CCC[C@@H](C)N[C@@H](C)c1nccnc1C ZINC000219072469 490551804 /nfs/dbraw/zinc/55/18/04/490551804.db2.gz IKYXNEJLDQWTIH-KOLCDFICSA-N 0 3 207.321 2.624 20 0 BFADHN CC1(C)[C@H](O)C[C@H]1NCc1ccccc1C1CC1 ZINC000128169697 490573794 /nfs/dbraw/zinc/57/37/94/490573794.db2.gz UMGYKEOXRBSVIE-HUUCEWRRSA-N 0 3 245.366 2.813 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@H]1CC[C@H](C)O1 ZINC000128521209 490580935 /nfs/dbraw/zinc/58/09/35/490580935.db2.gz FKZFONCLFLWSQS-HOTUBEGUSA-N 0 3 238.331 2.510 20 0 BFADHN CC(C)NCc1ccnn1CCc1ccccc1 ZINC000080092905 490619752 /nfs/dbraw/zinc/61/97/52/490619752.db2.gz DSGINTUQSYZFCA-UHFFFAOYSA-N 0 3 243.354 2.624 20 0 BFADHN Cc1occc1CN[C@H]1CCS[C@H]1C ZINC000307298001 490659387 /nfs/dbraw/zinc/65/93/87/490659387.db2.gz QCNVPYWRMWKQAC-ONGXEEELSA-N 0 3 211.330 2.572 20 0 BFADHN C[C@H]1COC2(CCC2)CN1CC1=CCCC1 ZINC000661463199 490682821 /nfs/dbraw/zinc/68/28/21/490682821.db2.gz OAMDQVMHDFCELT-LBPRGKRZSA-N 0 3 221.344 2.740 20 0 BFADHN C[C@H](NCc1sccc1Cl)C(C)(C)O ZINC000308524144 491136860 /nfs/dbraw/zinc/13/68/60/491136860.db2.gz QEZZURGRILSXIX-ZETCQYMHSA-N 0 3 233.764 2.651 20 0 BFADHN Cc1ncc(CN[C@@H]2C[C@H]2CC(C)(C)C)cn1 ZINC000651911959 491078557 /nfs/dbraw/zinc/07/85/57/491078557.db2.gz FLXOJSYZKDAXSY-QWHCGFSZSA-N 0 3 233.359 2.699 20 0 BFADHN Cc1cnc(CN[C@@H]2CCCC[C@H]2C)o1 ZINC000184590766 491086249 /nfs/dbraw/zinc/08/62/49/491086249.db2.gz MNDLLEFHWQFNFC-MWLCHTKSSA-N 0 3 208.305 2.651 20 0 BFADHN COC[C@H](NCc1ccc(F)c(C)c1)C1CC1 ZINC000119418101 491088195 /nfs/dbraw/zinc/08/81/95/491088195.db2.gz VWHGBTBIKPWWMH-AWEZNQCLSA-N 0 3 237.318 2.649 20 0 BFADHN C[C@@H](N[C@H](C)C(C)(C)O)c1ccc(F)cc1F ZINC000087817648 491092625 /nfs/dbraw/zinc/09/26/25/491092625.db2.gz KJYXOHMSGDFROG-RKDXNWHRSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2CCCc3cc(F)ccc32)CO1 ZINC000573322785 491092690 /nfs/dbraw/zinc/09/26/90/491092690.db2.gz BJGFJTKNLKPZFU-RIEGTJTDSA-N 0 3 249.329 2.970 20 0 BFADHN Cc1nc([C@@H](C)NCCCCCO)c(C)s1 ZINC000228734794 491103134 /nfs/dbraw/zinc/10/31/34/491103134.db2.gz LBHZXOAJQCRCIE-SECBINFHSA-N 0 3 242.388 2.573 20 0 BFADHN CC[C@H](C)CNCc1nn(C)c2ccccc12 ZINC000089174368 491109632 /nfs/dbraw/zinc/10/96/32/491109632.db2.gz BAYITZFALOWEOQ-NSHDSACASA-N 0 3 231.343 2.709 20 0 BFADHN C[C@H]1SCC[C@@H]1NCc1cc(F)ccc1F ZINC000220681615 491110970 /nfs/dbraw/zinc/11/09/70/491110970.db2.gz WGXRJBQHSBQONI-PELKAZGASA-N 0 3 243.322 2.948 20 0 BFADHN CC[C@H](O)CN[C@H](C)c1ccc2ccccc2n1 ZINC000293659034 491114259 /nfs/dbraw/zinc/11/42/59/491114259.db2.gz LTOFNIKCSXTQKP-YPMHNXCESA-N 0 3 244.338 2.656 20 0 BFADHN C[C@H]1C[C@H](C)N1Cc1ccc2nccnc2c1 ZINC000639122043 491121692 /nfs/dbraw/zinc/12/16/92/491121692.db2.gz YFQIUNMQZXUSTO-QWRGUYRKSA-N 0 3 227.311 2.613 20 0 BFADHN C[C@H](NCCOCC1CCCC1)c1cccnc1 ZINC000313298782 491122830 /nfs/dbraw/zinc/12/28/30/491122830.db2.gz MWSALLFZTNHSDS-ZDUSSCGKSA-N 0 3 248.370 2.939 20 0 BFADHN COCCN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000073432260 491123223 /nfs/dbraw/zinc/12/32/23/491123223.db2.gz MLHFHBSZKHYBAA-VIFPVBQESA-N 0 3 229.270 2.604 20 0 BFADHN Cc1ncc([C@@H](C)NCCc2ccccn2)s1 ZINC000090399095 491124785 /nfs/dbraw/zinc/12/47/85/491124785.db2.gz SPGQIRSYQPSUES-SNVBAGLBSA-N 0 3 247.367 2.740 20 0 BFADHN COCC[C@H](C)N[C@H](C)c1cnc(C)s1 ZINC000090406800 491126144 /nfs/dbraw/zinc/12/61/44/491126144.db2.gz OEKZGWQHZOCDMC-DTWKUNHWSA-N 0 3 228.361 2.527 20 0 BFADHN Cc1ncc([C@H](C)NCCc2ccncc2)s1 ZINC000090419534 491126745 /nfs/dbraw/zinc/12/67/45/491126745.db2.gz ZVBQMSQLTXVHBK-JTQLQIEISA-N 0 3 247.367 2.740 20 0 BFADHN Cc1cccc(CN[C@@H]2COC(C)(C)C2)c1C ZINC000396522764 491127682 /nfs/dbraw/zinc/12/76/82/491127682.db2.gz FAWDEPPKKDMHHY-AWEZNQCLSA-N 0 3 233.355 2.961 20 0 BFADHN COC(C)(C)CCN[C@H](C)c1c(C)noc1C ZINC000230417028 491130085 /nfs/dbraw/zinc/13/00/85/491130085.db2.gz XHFFHUOQCUBPGA-SECBINFHSA-N 0 3 240.347 2.757 20 0 BFADHN COC1CC(N[C@H]2CSCc3ccccc32)C1 ZINC000230675565 491130515 /nfs/dbraw/zinc/13/05/15/491130515.db2.gz LUJSDDMDGLPLTO-YIZWMMSDSA-N 0 3 249.379 2.742 20 0 BFADHN CC(C)[C@H]1CCC[C@H](NCc2ccon2)C1 ZINC000230995904 491131206 /nfs/dbraw/zinc/13/12/06/491131206.db2.gz CEYIIYAQBSKDGP-RYUDHWBXSA-N 0 3 222.332 2.979 20 0 BFADHN COC[C@@H]1CCCN1Cc1ccc(C)c(F)c1 ZINC000091862936 491131780 /nfs/dbraw/zinc/13/17/80/491131780.db2.gz MSZPRKDAFKAXEY-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN C[C@@H]1CC[C@H](C)N(Cc2ccc(N(C)C)nc2)C1 ZINC000127783463 491132751 /nfs/dbraw/zinc/13/27/51/491132751.db2.gz CQLDSTFDICGFQN-OLZOCXBDSA-N 0 3 247.386 2.768 20 0 BFADHN CC(C)(C)OCCN[C@@H]1CCCc2cccnc21 ZINC000308715899 491137866 /nfs/dbraw/zinc/13/78/66/491137866.db2.gz BEIHLKXZJKMIGO-CYBMUJFWSA-N 0 3 248.370 2.864 20 0 BFADHN C[C@H](N[C@H]1CC2CCC1CC2)c1ncc[nH]1 ZINC000309443537 491148341 /nfs/dbraw/zinc/14/83/41/491148341.db2.gz KRTDIQXZSGQAKO-XKGSQUFQSA-N 0 3 219.332 2.639 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@@H]1CCSC1 ZINC000224442350 491155032 /nfs/dbraw/zinc/15/50/32/491155032.db2.gz PJOHFHGZCBDUKE-KWQFWETISA-N 0 3 240.372 2.695 20 0 BFADHN COc1ccc(CN[C@@H]2CCC(F)(F)C2)cc1 ZINC000310584578 491162091 /nfs/dbraw/zinc/16/20/91/491162091.db2.gz UEHBKMIYBNNOTR-LLVKDONJSA-N 0 3 241.281 2.973 20 0 BFADHN CCOc1ccc(CN2CCC[C@@H](C)C2)nc1 ZINC000083595654 491162289 /nfs/dbraw/zinc/16/22/89/491162289.db2.gz HTNPFKQARCBEIG-GFCCVEGCSA-N 0 3 234.343 2.712 20 0 BFADHN CCCCN(C)Cc1ccc(OCC)cn1 ZINC000083595671 491162300 /nfs/dbraw/zinc/16/23/00/491162300.db2.gz DURRSNMATCHQFE-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN CCOc1ccc(CN(C)[C@@H](C)C2CC2)nc1 ZINC000083596420 491162327 /nfs/dbraw/zinc/16/23/27/491162327.db2.gz HWSPODPYNKPBAI-NSHDSACASA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H](Nc1cccc(CN(C)C)c1)[C@H]1CCCO1 ZINC000310836475 491162955 /nfs/dbraw/zinc/16/29/55/491162955.db2.gz GVUHQRMXVFGSGM-SWLSCSKDSA-N 0 3 248.370 2.728 20 0 BFADHN CC(C)OC(=O)CC[C@H](C)N1CCC[C@@H]1CF ZINC001172769941 974936513 /nfs/dbraw/zinc/93/65/13/974936513.db2.gz IANUXTFRUCYCJW-NWDGAFQWSA-N 0 3 245.338 2.541 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2COc3ccc(F)cc32)[C@@H]1C ZINC000494930639 533963462 /nfs/dbraw/zinc/96/34/62/533963462.db2.gz AERGJCXKRGEHNK-MNUQUWEESA-N 0 3 235.302 2.893 20 0 BFADHN C[C@@H]1C[C@H](N[C@H](c2nccn2C)C2CC2)[C@@H]1C ZINC000495016850 533967137 /nfs/dbraw/zinc/96/71/37/533967137.db2.gz KTUILUNZNKAYHM-AAXDQBDMSA-N 0 3 233.359 2.505 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnn(CCC)c1 ZINC000350277378 535631994 /nfs/dbraw/zinc/63/19/94/535631994.db2.gz DIYGHEQSWGJYQP-LBPRGKRZSA-N 0 3 223.364 2.914 20 0 BFADHN C[C@@H]1C[C@H]1CN1CCc2c(F)cccc2C1 ZINC000488327389 534023837 /nfs/dbraw/zinc/02/38/37/534023837.db2.gz HJLLAYSDAHGZOH-PWSUYJOCSA-N 0 3 219.303 2.840 20 0 BFADHN CCN(CCO)Cc1cc(C(C)(C)C)oc1C ZINC000891450550 582689503 /nfs/dbraw/zinc/68/95/03/582689503.db2.gz ILDMSXLWRZGCOQ-UHFFFAOYSA-N 0 3 239.359 2.700 20 0 BFADHN CCC(C)(C)N(C)Cc1c2c(nn1C)CCC2 ZINC000892004417 582759420 /nfs/dbraw/zinc/75/94/20/582759420.db2.gz JMVOYSGIQBFTGD-UHFFFAOYSA-N 0 3 235.375 2.529 20 0 BFADHN CCOc1ccc(CN2CCC(C)(C)C2)o1 ZINC000892022486 582763387 /nfs/dbraw/zinc/76/33/87/582763387.db2.gz ZGYXCOGDRZNQRT-UHFFFAOYSA-N 0 3 223.316 2.910 20 0 BFADHN Cc1cc(F)ncc1CN1C[C@H](C)[C@@H](C)C1 ZINC000892068644 582784147 /nfs/dbraw/zinc/78/41/47/582784147.db2.gz RNFVABQXKJFSNM-QWRGUYRKSA-N 0 3 222.307 2.617 20 0 BFADHN CCn1cc(CNCc2ccccc2C)c(C)n1 ZINC000020349212 523383284 /nfs/dbraw/zinc/38/32/84/523383284.db2.gz XJCIJDUFJIFDIC-UHFFFAOYSA-N 0 3 243.354 2.810 20 0 BFADHN Cc1cc(F)ncc1CNCc1cccs1 ZINC000892413631 582881909 /nfs/dbraw/zinc/88/19/09/582881909.db2.gz JFYKACYLOXYFNP-UHFFFAOYSA-N 0 3 236.315 2.880 20 0 BFADHN COc1ccc(CNCCc2cccs2)o1 ZINC000892440833 582887570 /nfs/dbraw/zinc/88/75/70/582887570.db2.gz HNRSUSLNPPCNLC-UHFFFAOYSA-N 0 3 237.324 2.682 20 0 BFADHN COc1ccc(CNCCC2CCCC2)nc1 ZINC000892506302 582900914 /nfs/dbraw/zinc/90/09/14/582900914.db2.gz BATILBWMVOTLCH-UHFFFAOYSA-N 0 3 234.343 2.760 20 0 BFADHN C/C=C/c1ccc(NC(=O)/C=C/CN(C)C)cc1 ZINC000493727990 526299926 /nfs/dbraw/zinc/29/99/26/526299926.db2.gz RDFXDELFDRLZQS-YDFGWWAZSA-N 0 3 244.338 2.776 20 0 BFADHN CC(C)(NCc1ccnc(N)c1)c1cccs1 ZINC000488282757 527293524 /nfs/dbraw/zinc/29/35/24/527293524.db2.gz VJYCONIMANWJAJ-UHFFFAOYSA-N 0 3 247.367 2.581 20 0 BFADHN C(=C/c1ccncc1)\CNCCOC1CCCC1 ZINC000486971841 527469883 /nfs/dbraw/zinc/46/98/83/527469883.db2.gz UQPHUATYSIWHQO-ONEGZZNKSA-N 0 3 246.354 2.644 20 0 BFADHN CC(C)(C)/C=C\C(=O)Nc1ccc2c(c1)CNC2 ZINC000493051486 527556835 /nfs/dbraw/zinc/55/68/35/527556835.db2.gz OWYKSAWQOMLPSM-SREVYHEPSA-N 0 3 244.338 2.831 20 0 BFADHN CCC1CCC(N(C)Cc2cnn(C)c2)CC1 ZINC000505790862 527843963 /nfs/dbraw/zinc/84/39/63/527843963.db2.gz QAHWWVQLJCYRGK-UHFFFAOYSA-N 0 3 235.375 2.821 20 0 BFADHN CCN(C/C=C/c1ccncc1)C[C@@H]1CCCO1 ZINC000495150573 527871626 /nfs/dbraw/zinc/87/16/26/527871626.db2.gz KLIIEABBWJWJGY-UJAVWCLSSA-N 0 3 246.354 2.596 20 0 BFADHN CCCN(Cc1ccnc(C)n1)CC(C)C ZINC000507313105 528149579 /nfs/dbraw/zinc/14/95/79/528149579.db2.gz WAHCPSGKAKRZFZ-UHFFFAOYSA-N 0 3 221.348 2.653 20 0 BFADHN CC(C)C[C@@H](C)CN1CCO[C@H]2CCC[C@H]21 ZINC000499784127 528252606 /nfs/dbraw/zinc/25/26/06/528252606.db2.gz DCRBULUZNMSVJZ-MCIONIFRSA-N 0 3 225.376 2.922 20 0 BFADHN CCCCN(CC)[C@H](CC(C)C)C(=O)OC ZINC000509845891 528396119 /nfs/dbraw/zinc/39/61/19/528396119.db2.gz IEOHELVZGNZZRT-GFCCVEGCSA-N 0 3 229.364 2.696 20 0 BFADHN CC(C)[C@@H](CO)CNCc1ccsc1Cl ZINC000308931153 528472722 /nfs/dbraw/zinc/47/27/22/528472722.db2.gz FIRKXSXJUAKTGC-SNVBAGLBSA-N 0 3 247.791 2.756 20 0 BFADHN CC(C)C[C@H](CO)N[C@H](C)c1ccccc1F ZINC000040773810 528550393 /nfs/dbraw/zinc/55/03/93/528550393.db2.gz VMNOLQZPOCGZFY-VXGBXAGGSA-N 0 3 239.334 2.883 20 0 BFADHN CCC(C)(C)OCCN[C@@H](C)c1cncs1 ZINC000308548794 528592698 /nfs/dbraw/zinc/59/26/98/528592698.db2.gz SCVBMFIUZDBDGZ-JTQLQIEISA-N 0 3 242.388 2.999 20 0 BFADHN CCC(C)(C)OCCN[C@@H](C)c1ccncc1 ZINC000308550105 528592884 /nfs/dbraw/zinc/59/28/84/528592884.db2.gz VPFFHOIQRUWYJO-LBPRGKRZSA-N 0 3 236.359 2.937 20 0 BFADHN CCOc1cccc(CN(C)[C@@H](C)C(C)C)n1 ZINC000507188137 528642757 /nfs/dbraw/zinc/64/27/57/528642757.db2.gz NMDBESKYDOKYCS-LBPRGKRZSA-N 0 3 236.359 2.957 20 0 BFADHN CCOc1cccc(CN(CC)CC(C)C)n1 ZINC000507131286 528643628 /nfs/dbraw/zinc/64/36/28/528643628.db2.gz GOGPCFFPWNCGOY-UHFFFAOYSA-N 0 3 236.359 2.958 20 0 BFADHN CC(C)[C@@H]1CN(CC2CCCCC2)CCO1 ZINC000481463746 528745860 /nfs/dbraw/zinc/74/58/60/528745860.db2.gz ZIRPKGHTOFPSQN-AWEZNQCLSA-N 0 3 225.376 2.924 20 0 BFADHN CCCC[C@@H](C)C(=O)NCCN(C)CCCC ZINC000503599273 528752790 /nfs/dbraw/zinc/75/27/90/528752790.db2.gz IDPJGARSKJRMIQ-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN CC(C)[C@H](C)N(C)Cc1cnn(C(C)C)c1 ZINC000507194162 528959688 /nfs/dbraw/zinc/95/96/88/528959688.db2.gz QFFANHVEIOVCLZ-LBPRGKRZSA-N 0 3 223.364 2.940 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cccnc1OC ZINC000488171092 529249510 /nfs/dbraw/zinc/24/95/10/529249510.db2.gz XVNNZOHPJOESQW-YPMHNXCESA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H]1CCCCCN1C(=O)CN(CC)CC ZINC000173256482 529267213 /nfs/dbraw/zinc/26/72/13/529267213.db2.gz QTOMGBAZLFZQCJ-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@H]1C[C@H](C)CN1Cc1cccc(OC)n1 ZINC000466926365 529325763 /nfs/dbraw/zinc/32/57/63/529325763.db2.gz KOVQEJHAUVWUTK-AAEUAGOBSA-N 0 3 234.343 2.711 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cccnc1OC ZINC000488171091 529343549 /nfs/dbraw/zinc/34/35/49/529343549.db2.gz XVNNZOHPJOESQW-WCQYABFASA-N 0 3 234.343 2.853 20 0 BFADHN CC[C@@H](C)N(C)Cc1sccc1OC ZINC000507188762 529344568 /nfs/dbraw/zinc/34/45/68/529344568.db2.gz RFRRHGMMXHHEAB-SECBINFHSA-N 0 3 213.346 2.987 20 0 BFADHN COC[C@@H](C)NCc1cccc2c1OC(C)(C)C2 ZINC000080506470 536551526 /nfs/dbraw/zinc/55/15/26/536551526.db2.gz ORDTUNVIMOLGAE-LLVKDONJSA-N 0 3 249.354 2.525 20 0 BFADHN CC(C)n1cc(CNCCC2(F)CCC2)cn1 ZINC000342227286 535061700 /nfs/dbraw/zinc/06/17/00/535061700.db2.gz YJMOVNKBZXPBTC-UHFFFAOYSA-N 0 3 239.338 2.836 20 0 BFADHN CC1(C)[C@H](Oc2ccccc2)C[C@@H]1NCCF ZINC000342571009 535094471 /nfs/dbraw/zinc/09/44/71/535094471.db2.gz BIMDRUQAHFXDOV-QWHCGFSZSA-N 0 3 237.318 2.792 20 0 BFADHN CC(C)CN1CCN(c2ccc(F)cc2)CC1 ZINC000041271338 535105377 /nfs/dbraw/zinc/10/53/77/535105377.db2.gz NFOLVYNDCJSMMU-UHFFFAOYSA-N 0 3 236.334 2.604 20 0 BFADHN CCC(CC)[C@@H](O)CNCc1ccc(Cl)o1 ZINC000163964254 535119516 /nfs/dbraw/zinc/11/95/16/535119516.db2.gz GTQRURGIMPTFTP-NSHDSACASA-N 0 3 245.750 2.820 20 0 BFADHN CC1(C[NH2+]Cc2ccc([O-])c(F)c2)CCC1 ZINC000232938763 535152800 /nfs/dbraw/zinc/15/28/00/535152800.db2.gz VXKRMCVXVKKMQV-UHFFFAOYSA-N 0 3 223.291 2.811 20 0 BFADHN CC1(CNCc2cn3cc(Cl)ccc3n2)CC1 ZINC000224180155 535153624 /nfs/dbraw/zinc/15/36/24/535153624.db2.gz QIULJSDUOQXYET-UHFFFAOYSA-N 0 3 249.745 2.877 20 0 BFADHN CC(C)N1CCN([C@H]2/C=C/CCCCC2)CC1 ZINC000334301098 535201407 /nfs/dbraw/zinc/20/14/07/535201407.db2.gz WNVWCRAYRGMJBT-VFADXPBXSA-N 0 3 236.403 2.901 20 0 BFADHN CC(C)[C@H]1CCN1Cc1c[nH]nc1C(C)(C)C ZINC000342863462 535202309 /nfs/dbraw/zinc/20/23/09/535202309.db2.gz XGMTTWNDGGYXEA-GFCCVEGCSA-N 0 3 235.375 2.938 20 0 BFADHN CCCCN(C)CC[S@](=O)c1ccccc1 ZINC000078512594 535226104 /nfs/dbraw/zinc/22/61/04/535226104.db2.gz PLSUCDGWTUQPDR-MRXNPFEDSA-N 0 3 239.384 2.526 20 0 BFADHN CC(C)=CCC[C@H](C)N(C)[C@H](C)C[S@](C)=O ZINC000252006100 535365280 /nfs/dbraw/zinc/36/52/80/535365280.db2.gz ZYRPTIUXBASFHH-ZENOOKHLSA-N 0 3 245.432 2.820 20 0 BFADHN CCCc1csc(CNCC(C)C)n1 ZINC000061800217 535449740 /nfs/dbraw/zinc/44/97/40/535449740.db2.gz GOYSJJUPCVOQLD-UHFFFAOYSA-N 0 3 212.362 2.841 20 0 BFADHN CC[C@@H](C)CC(=O)Nc1ccc2c(c1)CNCC2 ZINC000167567273 535480387 /nfs/dbraw/zinc/48/03/87/535480387.db2.gz KJEGFSXSXXYHNF-LLVKDONJSA-N 0 3 246.354 2.707 20 0 BFADHN CC[C@@H](C)CN(CC)CC(=O)N[C@@H](C)C(C)C ZINC000177858664 535507179 /nfs/dbraw/zinc/50/71/79/535507179.db2.gz GXWKRWMNXFHOMU-OLZOCXBDSA-N 0 3 242.407 2.515 20 0 BFADHN CC[C@@H](C)CN(CC)Cc1cccc(OC)n1 ZINC000177859159 535509364 /nfs/dbraw/zinc/50/93/64/535509364.db2.gz QULCXHJUTSQOQD-GFCCVEGCSA-N 0 3 236.359 2.958 20 0 BFADHN CC[C@@H](C)CN(CCN(C)C)Cc1ccco1 ZINC000349272781 535511148 /nfs/dbraw/zinc/51/11/48/535511148.db2.gz DPVSIMUOTMOWCP-CYBMUJFWSA-N 0 3 238.375 2.689 20 0 BFADHN CCN[C@H](COC)c1cccc(Cl)c1F ZINC000086525203 535516832 /nfs/dbraw/zinc/51/68/32/535516832.db2.gz AGTNQYONIJOWGS-SNVBAGLBSA-N 0 3 231.698 2.776 20 0 BFADHN CC[C@@H](C)CNCC(=O)Nc1c(C)cccc1C ZINC000133515061 535523400 /nfs/dbraw/zinc/52/34/00/535523400.db2.gz VIWXEXSOVWRVTI-LLVKDONJSA-N 0 3 248.370 2.878 20 0 BFADHN CCOCCN(C)[C@@H](C)c1ccc(OC)cc1 ZINC000076191631 535572406 /nfs/dbraw/zinc/57/24/06/535572406.db2.gz PQMMVEXDJOUXDS-LBPRGKRZSA-N 0 3 237.343 2.725 20 0 BFADHN CCN(Cc1ccccc1SC)C[C@H](C)O ZINC000352213125 535790110 /nfs/dbraw/zinc/79/01/10/535790110.db2.gz TVKIJTNLWZDHBG-NSHDSACASA-N 0 3 239.384 2.611 20 0 BFADHN CC[C@@H](NC[C@@H](O)CC)c1ccccc1OC ZINC000163913270 535804581 /nfs/dbraw/zinc/80/45/81/535804581.db2.gz LUGCOSDLOOFFJM-WCQYABFASA-N 0 3 237.343 2.507 20 0 BFADHN CC[C@@H]1CC[C@@H]1[NH2+][C@H](C)c1nnc(C(C)C)[n-]1 ZINC000348422021 535823815 /nfs/dbraw/zinc/82/38/15/535823815.db2.gz RNJNDPRGJBBHPM-MXWKQRLJSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@@H]1CC[C@@H]1N[C@H](C)c1nnc(C(C)C)[nH]1 ZINC000348422021 535823816 /nfs/dbraw/zinc/82/38/16/535823816.db2.gz RNJNDPRGJBBHPM-MXWKQRLJSA-N 0 3 236.363 2.767 20 0 BFADHN CCc1ccc2nccc(N3CCOCC3)c2c1 ZINC000295618851 535833672 /nfs/dbraw/zinc/83/36/72/535833672.db2.gz ZMSXGWKSTAMCIN-UHFFFAOYSA-N 0 3 242.322 2.634 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1CC1CC(F)(F)C1 ZINC000336197788 535841298 /nfs/dbraw/zinc/84/12/98/535841298.db2.gz SXMUUNOHNPSGMS-GXSJLCMTSA-N 0 3 233.302 2.531 20 0 BFADHN CC[C@@H]1CO[C@@H](C)CN1[C@H]1C=CCCC1 ZINC000343037526 535844292 /nfs/dbraw/zinc/84/42/92/535844292.db2.gz KAWSULNDWLPOIH-XQQFMLRXSA-N 0 3 209.333 2.594 20 0 BFADHN CC[C@H](NC[C@H]1CCCO1)c1c(C)noc1C ZINC000348278624 535913006 /nfs/dbraw/zinc/91/30/06/535913006.db2.gz AIRHWVLEQHJOJP-NEPJUHHUSA-N 0 3 238.331 2.511 20 0 BFADHN CN(C)CCSCCCCC1CCOCC1 ZINC000347774178 535920155 /nfs/dbraw/zinc/92/01/55/535920155.db2.gz IWRDZAZMAAZUCU-UHFFFAOYSA-N 0 3 245.432 2.878 20 0 BFADHN CC[C@@]1(C)CCN([C@H](C)c2cnc(C)cn2)C1 ZINC000336109815 536051338 /nfs/dbraw/zinc/05/13/38/536051338.db2.gz NMYLCNSYFMUPRK-OCCSQVGLSA-N 0 3 233.359 2.968 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1ccncc1F ZINC000335582240 536092980 /nfs/dbraw/zinc/09/29/80/536092980.db2.gz SBEWWESGQJRHEX-PWSUYJOCSA-N 0 3 222.307 2.984 20 0 BFADHN CC[C@H]1CC[C@@H](NCc2c(C)noc2C)C1 ZINC000085444786 536094027 /nfs/dbraw/zinc/09/40/27/536094027.db2.gz QZVUIIWOBSDWBN-NWDGAFQWSA-N 0 3 222.332 2.960 20 0 BFADHN CCn1ccnc1CN[C@H]1CCCC1(C)C ZINC000086831091 536110909 /nfs/dbraw/zinc/11/09/09/536110909.db2.gz WPUUANAHXBVTIV-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN CCn1ccnc1CN[C@H]1CCCC(C)(C)C1 ZINC000149502037 536111024 /nfs/dbraw/zinc/11/10/24/536111024.db2.gz NVDPPBFJATUJMI-LBPRGKRZSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1ccnc1CN[C@@H]1CC[C@@H](C)C[C@H]1C ZINC000250326788 536111564 /nfs/dbraw/zinc/11/15/64/536111564.db2.gz AFZBMSVIRIZVQW-JHJVBQTASA-N 0 3 235.375 2.817 20 0 BFADHN COc1cc(CN(C)C2CC(OC)C2)ccc1C ZINC000348709906 536746266 /nfs/dbraw/zinc/74/62/66/536746266.db2.gz CCHXHGVDDRQMDG-UHFFFAOYSA-N 0 3 249.354 2.613 20 0 BFADHN CO[C@H]1CCN(Cc2ccc(C)cn2)CC1(C)C ZINC000336207300 536749171 /nfs/dbraw/zinc/74/91/71/536749171.db2.gz ZUNIJOONYZFEBA-AWEZNQCLSA-N 0 3 248.370 2.637 20 0 BFADHN COCC(C)(C)NCc1cc(F)cc(Cl)c1 ZINC000168271084 536795705 /nfs/dbraw/zinc/79/57/05/536795705.db2.gz JNWDBQMRPXDENE-UHFFFAOYSA-N 0 3 245.725 2.994 20 0 BFADHN COCCNc1c(C)cnc2c(C)cccc21 ZINC000185245007 536895286 /nfs/dbraw/zinc/89/52/86/536895286.db2.gz NKQHHHOJVABAKV-UHFFFAOYSA-N 0 3 230.311 2.910 20 0 BFADHN COc1cccc(CN2[C@H](C)CCC[C@@H]2C)n1 ZINC000171860212 537107622 /nfs/dbraw/zinc/10/76/22/537107622.db2.gz SZFSYEPROWLECA-TXEJJXNPSA-N 0 3 234.343 2.853 20 0 BFADHN COc1cccc(CN2[C@@H](C)CCC[C@@H]2C)n1 ZINC000171860214 537107722 /nfs/dbraw/zinc/10/77/22/537107722.db2.gz SZFSYEPROWLECA-RYUDHWBXSA-N 0 3 234.343 2.853 20 0 BFADHN CC(C)C(N[C@@H](C)c1nccn1C)C(C)C ZINC000309711292 566322784 /nfs/dbraw/zinc/32/27/84/566322784.db2.gz XDNZOAQTCFJLNN-NSHDSACASA-N 0 3 223.364 2.751 20 0 BFADHN COCc1cccc(CN[C@H]2CCC[C@@H]2F)c1 ZINC000339582637 566373484 /nfs/dbraw/zinc/37/34/84/566373484.db2.gz GZCVANFTKVKVCU-KBPBESRZSA-N 0 3 237.318 2.813 20 0 BFADHN CC(C)CCN(C)Cc1cnc2ccccn12 ZINC000158553843 566480523 /nfs/dbraw/zinc/48/05/23/566480523.db2.gz FZHUNPWGSLVHJY-UHFFFAOYSA-N 0 3 231.343 2.812 20 0 BFADHN CSC(C)(C)CNCc1cnc(F)c(C)c1 ZINC000893073643 583041991 /nfs/dbraw/zinc/04/19/91/583041991.db2.gz NCRWXCIPFKXGQR-UHFFFAOYSA-N 0 3 242.363 2.760 20 0 BFADHN COc1nccc(CNCCC2CCC2)c1F ZINC000893149601 583057633 /nfs/dbraw/zinc/05/76/33/583057633.db2.gz KDUAMJOWUBFGLG-UHFFFAOYSA-N 0 3 238.306 2.509 20 0 BFADHN Cc1coc(CN2CCCN(C(C)C)CC2)c1 ZINC000893870963 583236413 /nfs/dbraw/zinc/23/64/13/583236413.db2.gz IKMXOMKPIAVSRT-UHFFFAOYSA-N 0 3 236.359 2.504 20 0 BFADHN Cc1coc(CN2C[C@@H](C)S[C@@H](C)C2)c1 ZINC000893978724 583266695 /nfs/dbraw/zinc/26/66/95/583266695.db2.gz VOZBXQUUDBFSBW-PHIMTYICSA-N 0 3 225.357 2.914 20 0 BFADHN Cc1coc(CN(CCCO)CC(C)(C)C)c1 ZINC000894005489 583273583 /nfs/dbraw/zinc/27/35/83/583273583.db2.gz HSPTVKOOSSLHKX-UHFFFAOYSA-N 0 3 239.359 2.819 20 0 BFADHN CN(C)Cc1ccc(-c2cnsc2)cc1 ZINC000854174285 588778041 /nfs/dbraw/zinc/77/80/41/588778041.db2.gz YIEDYFSTQAXGSR-UHFFFAOYSA-N 0 3 218.325 2.872 20 0 BFADHN Cn1ccc(CNC2CCCCCCC2)n1 ZINC000112337469 588794459 /nfs/dbraw/zinc/79/44/59/588794459.db2.gz ACWPBSJCWVCILD-UHFFFAOYSA-N 0 3 221.348 2.623 20 0 BFADHN CO[C@H]1C[C@H](N[C@@H](C)c2ccc(Cl)nc2)C1 ZINC000858583455 582468947 /nfs/dbraw/zinc/46/89/47/582468947.db2.gz BWFJZXVKSOXCFT-LSJOCFKGSA-N 0 3 240.734 2.563 20 0 BFADHN CO[C@@H](CN[C@@H](C)c1ccns1)C1CCC1 ZINC000860059776 582499837 /nfs/dbraw/zinc/49/98/37/582499837.db2.gz OYDMPLGPPKBCLG-ONGXEEELSA-N 0 3 240.372 2.609 20 0 BFADHN Cc1c[nH]nc1CNCC[C@]1(C)C[C@@]1(F)Cl ZINC000846039181 582643737 /nfs/dbraw/zinc/64/37/37/582643737.db2.gz RSGMVZOBDSEYEU-MNOVXSKESA-N 0 3 245.729 2.512 20 0 BFADHN Cc1c[nH]nc1CNCC[C@@]1(C)C[C@@]1(F)Cl ZINC000846039182 582643935 /nfs/dbraw/zinc/64/39/35/582643935.db2.gz RSGMVZOBDSEYEU-QWRGUYRKSA-N 0 3 245.729 2.512 20 0 BFADHN O=c1[nH]c2cccc(CN3CCCCCC3)c2o1 ZINC000891445558 582686847 /nfs/dbraw/zinc/68/68/47/582686847.db2.gz WRMXODYTTGSGFG-UHFFFAOYSA-N 0 3 246.310 2.909 20 0 BFADHN CCOc1ccc(CN2CCC[C@H](C)C2)o1 ZINC000891447753 582687459 /nfs/dbraw/zinc/68/74/59/582687459.db2.gz VQNRVYPPNSCQBI-NSHDSACASA-N 0 3 223.316 2.910 20 0 BFADHN C[C@@H]1C[C@@H](C)CN(Cc2cn(C)nc2C2CC2)C1 ZINC000891448727 582687850 /nfs/dbraw/zinc/68/78/50/582687850.db2.gz CBESKIXCYWNGGO-VXGBXAGGSA-N 0 3 247.386 2.775 20 0 BFADHN CCCN(CCC)Cc1cn(CC)nc1C ZINC000891448962 582688156 /nfs/dbraw/zinc/68/81/56/582688156.db2.gz HJFQLMNBHZYBGD-UHFFFAOYSA-N 0 3 223.364 2.833 20 0 BFADHN C[C@H]1CCCCN1Cc1cn2ccnc2s1 ZINC000891456867 582690382 /nfs/dbraw/zinc/69/03/82/582690382.db2.gz LFWVPVRWUDGHPB-JTQLQIEISA-N 0 3 235.356 2.770 20 0 BFADHN CC(C)CN(C)Cc1cccc2[nH]c(=O)oc21 ZINC000891577845 582698224 /nfs/dbraw/zinc/69/82/24/582698224.db2.gz HCYWZTOCDPAXOG-UHFFFAOYSA-N 0 3 234.299 2.621 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnn(C)c1C1CC1 ZINC000891626124 582703130 /nfs/dbraw/zinc/70/31/30/582703130.db2.gz UBMCPKHCCRDKPI-LLVKDONJSA-N 0 3 235.375 2.918 20 0 BFADHN C[C@@H](C1CC1)N(C)Cc1cn(C)nc1C1CC1 ZINC000891642670 582704673 /nfs/dbraw/zinc/70/46/73/582704673.db2.gz BLXDMJVIEOYSAA-JTQLQIEISA-N 0 3 233.359 2.528 20 0 BFADHN COc1ccc(CN(CC2CC2)C(C)C)o1 ZINC000891683731 582709916 /nfs/dbraw/zinc/70/99/16/582709916.db2.gz HPUBXKJLUWPTHM-UHFFFAOYSA-N 0 3 223.316 2.909 20 0 BFADHN CC[C@H]1CCCN(Cc2c3c(nn2C)CCC3)C1 ZINC000891705860 582711476 /nfs/dbraw/zinc/71/14/76/582711476.db2.gz JWXPPQOSASCVCA-LBPRGKRZSA-N 0 3 247.386 2.531 20 0 BFADHN CN(Cc1nccc2c1CCCC2)CC1CC1 ZINC000891736726 582715219 /nfs/dbraw/zinc/71/52/19/582715219.db2.gz PJGLFTAYSNTGCK-UHFFFAOYSA-N 0 3 230.355 2.802 20 0 BFADHN CC[C@H]1CCCN1Cc1cnn(C2CCC2)c1 ZINC000891779436 582719340 /nfs/dbraw/zinc/71/93/40/582719340.db2.gz KSYMAAGTZMVKGH-ZDUSSCGKSA-N 0 3 233.359 2.983 20 0 BFADHN CCn1cc(CN2CCC[C@H]3CCC[C@@H]32)c(C)n1 ZINC000891804088 582723932 /nfs/dbraw/zinc/72/39/32/582723932.db2.gz NRNSGZDMSPIXFI-HIFRSBDPSA-N 0 3 247.386 2.976 20 0 BFADHN CN(Cc1c2c(nn1C)CCC2)CC1CCCC1 ZINC000891846293 582728898 /nfs/dbraw/zinc/72/88/98/582728898.db2.gz LMVAIOMTFYUHTD-UHFFFAOYSA-N 0 3 247.386 2.531 20 0 BFADHN COc1ccc(CN2CCC[C@H](C)[C@H]2C)o1 ZINC000891862592 582731538 /nfs/dbraw/zinc/73/15/38/582731538.db2.gz REDKFEGTAZMRGE-WDEREUQCSA-N 0 3 223.316 2.909 20 0 BFADHN CC1(C)CCCN1Cc1ccnn1C1CCC1 ZINC000891868940 582732418 /nfs/dbraw/zinc/73/24/18/582732418.db2.gz IZRBLPUEKXUJJE-UHFFFAOYSA-N 0 3 233.359 2.983 20 0 BFADHN Cc1cc(CN2CCC[C@H]2C2CC2)cnc1F ZINC000891934156 582739974 /nfs/dbraw/zinc/73/99/74/582739974.db2.gz XCZYTGXMGYSEIJ-ZDUSSCGKSA-N 0 3 234.318 2.904 20 0 BFADHN C[C@H]1CCCN1Cc1cnn(CC2CCC2)c1 ZINC000892019260 582762462 /nfs/dbraw/zinc/76/24/62/582762462.db2.gz JHNPFKDDFXWVSC-LBPRGKRZSA-N 0 3 233.359 2.668 20 0 BFADHN CCN(Cc1ccc(OC)o1)CC1CC1 ZINC000892035254 582768763 /nfs/dbraw/zinc/76/87/63/582768763.db2.gz CFZKEBXCJYAQHK-UHFFFAOYSA-N 0 3 209.289 2.520 20 0 BFADHN CCN(Cc1cnc(F)c(C)c1)CC1CC1 ZINC000892036658 582768940 /nfs/dbraw/zinc/76/89/40/582768940.db2.gz YIGCDIPYFBGIOQ-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN CC(C)CCCCN1CCN(C2CCC2)CC1 ZINC000892038569 582770109 /nfs/dbraw/zinc/77/01/09/582770109.db2.gz FFSLNLRPJLZFGF-UHFFFAOYSA-N 0 3 238.419 2.983 20 0 BFADHN Cn1cc(CN2CCC3(CCC3)C2)c(C2CC2)n1 ZINC000892055079 582777960 /nfs/dbraw/zinc/77/79/60/582777960.db2.gz FXSIEAFONGUQSD-UHFFFAOYSA-N 0 3 245.370 2.674 20 0 BFADHN COc1ccc(CN2C[C@@H](C)CC[C@@H]2C)o1 ZINC000892067589 582783260 /nfs/dbraw/zinc/78/32/60/582783260.db2.gz SADGRHYNBRTNGI-QWRGUYRKSA-N 0 3 223.316 2.909 20 0 BFADHN C[C@H]1CN(Cc2ccnn2C2CCC2)C[C@H]1C ZINC000892068854 582784746 /nfs/dbraw/zinc/78/47/46/582784746.db2.gz UXVGQAZCGOJMOJ-TXEJJXNPSA-N 0 3 233.359 2.696 20 0 BFADHN C[C@@H]1C[C@H](C)CN1Cc1ccnn1C1CCC1 ZINC000892104971 582792229 /nfs/dbraw/zinc/79/22/29/582792229.db2.gz WJBCUAGHMQGKRY-NWDGAFQWSA-N 0 3 233.359 2.838 20 0 BFADHN COc1ccc(CN2C[C@H](C)C[C@@H]2C)o1 ZINC000892104562 582792842 /nfs/dbraw/zinc/79/28/42/582792842.db2.gz UAWIMVDKAVCPFY-ZJUUUORDSA-N 0 3 209.289 2.519 20 0 BFADHN CCOc1ccc(CN2C[C@@H]3[C@H](C2)C3(C)C)o1 ZINC000892116023 582794755 /nfs/dbraw/zinc/79/47/55/582794755.db2.gz YEZICCIUHOSXEO-TXEJJXNPSA-N 0 3 235.327 2.766 20 0 BFADHN Cc1cc(F)ncc1CN1CC[C@H](C2CC2)C1 ZINC000892144815 582799425 /nfs/dbraw/zinc/79/94/25/582799425.db2.gz OKMIAEZKLVKXLV-LBPRGKRZSA-N 0 3 234.318 2.761 20 0 BFADHN c1cc(CN[C@H](C2CC2)[C@@H]2CCCCO2)co1 ZINC000848559575 582803653 /nfs/dbraw/zinc/80/36/53/582803653.db2.gz FUCCHXGJSRCVAT-UONOGXRCSA-N 0 3 235.327 2.717 20 0 BFADHN c1cc(CN[C@H](C2CC2)[C@H]2CCCCO2)co1 ZINC000848559576 582803693 /nfs/dbraw/zinc/80/36/93/582803693.db2.gz FUCCHXGJSRCVAT-ZIAGYGMSSA-N 0 3 235.327 2.717 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1cnn(C2CCC2)c1 ZINC000892224051 582809849 /nfs/dbraw/zinc/80/98/49/582809849.db2.gz PKOHNYMQIRJZKY-RYUDHWBXSA-N 0 3 233.359 2.981 20 0 BFADHN C[C@@H]1CC[C@H](C)N1Cc1ccnn1C1CCC1 ZINC000892224089 582810269 /nfs/dbraw/zinc/81/02/69/582810269.db2.gz QJNJPOCLTZMDRA-TXEJJXNPSA-N 0 3 233.359 2.981 20 0 BFADHN Cc1noc(C)c1[C@H](C)N[C@H]1CCCOCC1 ZINC000092328441 582855174 /nfs/dbraw/zinc/85/51/74/582855174.db2.gz DLJGWCUAWZIVPO-CABZTGNLSA-N 0 3 238.331 2.511 20 0 BFADHN COCc1cccc(CN[C@@H]2C=CCC2)c1 ZINC000829347172 582856952 /nfs/dbraw/zinc/85/69/52/582856952.db2.gz GOAYKWILCOUQQJ-CQSZACIVSA-N 0 3 217.312 2.641 20 0 BFADHN COc1ccc(CNC2CCCCC2)o1 ZINC000892403233 582877666 /nfs/dbraw/zinc/87/76/66/582877666.db2.gz ZODWWZQLHFUWAV-UHFFFAOYSA-N 0 3 209.289 2.711 20 0 BFADHN CC(C)[C@@H]1CCN1Cc1cn(C)nc1C1CC1 ZINC000892403899 582877770 /nfs/dbraw/zinc/87/77/70/582877770.db2.gz UCDXXSDRLRWFEQ-ZDUSSCGKSA-N 0 3 233.359 2.528 20 0 BFADHN C[C@]1(Br)C[C@H]1CNCc1ccco1 ZINC000892430066 582885216 /nfs/dbraw/zinc/88/52/16/582885216.db2.gz ZRGMYCVPMJEQSG-WPRPVWTQSA-N 0 3 244.132 2.543 20 0 BFADHN CC(C)Cc1ccc(CNC[C@@H]2CCCO2)cn1 ZINC000892432202 582886338 /nfs/dbraw/zinc/88/63/38/582886338.db2.gz LDUVIBGNPJQMRN-HNNXBMFYSA-N 0 3 248.370 2.549 20 0 BFADHN CC[C@@H](CO)NCc1ccc(C(F)F)c(F)c1 ZINC000892478242 582894875 /nfs/dbraw/zinc/89/48/75/582894875.db2.gz FLVNBYJABJUWSW-VIFPVBQESA-N 0 3 247.260 2.624 20 0 BFADHN COc1ccc(CN[C@H]2CCC[C@@H](C)C2)o1 ZINC000892510290 582901749 /nfs/dbraw/zinc/90/17/49/582901749.db2.gz JGIGHDUIOOLFCK-MNOVXSKESA-N 0 3 223.316 2.957 20 0 BFADHN Cc1ccc(CN(C)CCC(C)C)nc1 ZINC000156253109 582905573 /nfs/dbraw/zinc/90/55/73/582905573.db2.gz NARISYVLIYXHLI-UHFFFAOYSA-N 0 3 206.333 2.868 20 0 BFADHN CC(C)n1ccnc1CNCC1CCCC1 ZINC000393799383 582924989 /nfs/dbraw/zinc/92/49/89/582924989.db2.gz OBKIXXLTLZOMIB-UHFFFAOYSA-N 0 3 221.348 2.744 20 0 BFADHN Cc1ccc2[nH]c(CN[C@H]3CC[C@H]3C)nc2c1 ZINC000783243005 582952819 /nfs/dbraw/zinc/95/28/19/582952819.db2.gz IXVXJXBMRSXVPV-MNOVXSKESA-N 0 3 229.327 2.759 20 0 BFADHN Cc1ccc2nc(CN[C@H]3CC[C@H]3C)[nH]c2c1 ZINC000783243005 582952822 /nfs/dbraw/zinc/95/28/22/582952822.db2.gz IXVXJXBMRSXVPV-MNOVXSKESA-N 0 3 229.327 2.759 20 0 BFADHN CCc1ccc([C@H](COC)N[C@H]2CC[C@H]2C)o1 ZINC000783243901 582953066 /nfs/dbraw/zinc/95/30/66/582953066.db2.gz PIZUPRSKHHIXPK-WXHSDQCUSA-N 0 3 237.343 2.918 20 0 BFADHN CC1(C)CCN(c2cc(N)ncc2Cl)C1 ZINC000892720984 582967869 /nfs/dbraw/zinc/96/78/69/582967869.db2.gz IBEHVHDXNDUNIM-UHFFFAOYSA-N 0 3 225.723 2.554 20 0 BFADHN COc1ccc(CNC[C@@H]2CC=CCC2)o1 ZINC000892745334 582974023 /nfs/dbraw/zinc/97/40/23/582974023.db2.gz NSLTUEHUUFSZMI-LLVKDONJSA-N 0 3 221.300 2.734 20 0 BFADHN Fc1cccc(OCCN2CC[C@@H]3C[C@@H]3C2)c1 ZINC000852633386 583015010 /nfs/dbraw/zinc/01/50/10/583015010.db2.gz AVEXPPVOQYFQRE-VXGBXAGGSA-N 0 3 235.302 2.546 20 0 BFADHN CCOc1ccc(CN[C@@H]2CCC[C@H]2C)o1 ZINC000892946181 583015399 /nfs/dbraw/zinc/01/53/99/583015399.db2.gz VJBFIIJXSWKETD-ZYHUDNBSSA-N 0 3 223.316 2.957 20 0 BFADHN C[C@H](O)CCNCc1ccc(C(F)F)c(F)c1 ZINC000892969326 583023549 /nfs/dbraw/zinc/02/35/49/583023549.db2.gz RJAOGQGPQOLQLU-QMMMGPOBSA-N 0 3 247.260 2.624 20 0 BFADHN Cc1cc(F)ncc1CNC[C@H]1CCCS1 ZINC000893112044 583051226 /nfs/dbraw/zinc/05/12/26/583051226.db2.gz WEUVZVRNBDFPNG-LLVKDONJSA-N 0 3 240.347 2.514 20 0 BFADHN CCc1onc(C)c1CNCCC1CCC1 ZINC000893150694 583057680 /nfs/dbraw/zinc/05/76/80/583057680.db2.gz NYZWBFTZJBFFPO-UHFFFAOYSA-N 0 3 222.332 2.825 20 0 BFADHN COc1ccc(CN[C@H](C)C2CCC2)o1 ZINC000893256181 583078995 /nfs/dbraw/zinc/07/89/95/583078995.db2.gz RAUCQPDKXIQCAN-SECBINFHSA-N 0 3 209.289 2.566 20 0 BFADHN CCC(C)(CC)NCc1cn(C)nc1Cl ZINC000856769941 588876640 /nfs/dbraw/zinc/87/66/40/588876640.db2.gz IMRDUDZGPYSYGY-UHFFFAOYSA-N 0 3 229.755 2.742 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cn(C)nc1Cl ZINC000856773183 588876975 /nfs/dbraw/zinc/87/69/75/588876975.db2.gz SEICBFDGQRBDLE-GXSJLCMTSA-N 0 3 241.766 2.742 20 0 BFADHN Cn1cc(CN[C@H]2CCCC2(C)C)c(Cl)n1 ZINC000856771728 588877220 /nfs/dbraw/zinc/87/72/20/588877220.db2.gz LPQCSLCYSZZEDC-JTQLQIEISA-N 0 3 241.766 2.742 20 0 BFADHN CC(C)=CCCNCc1cn(C)nc1Cl ZINC000856779939 588877640 /nfs/dbraw/zinc/87/76/40/588877640.db2.gz HEIPILUTBONIHL-UHFFFAOYSA-N 0 3 227.739 2.519 20 0 BFADHN Cn1cc(CNCCCC2CCC2)c(Cl)n1 ZINC000856794928 588878161 /nfs/dbraw/zinc/87/81/61/588878161.db2.gz XPWIZDBLKOEJCW-UHFFFAOYSA-N 0 3 241.766 2.743 20 0 BFADHN CC[C@H](N[C@@H](C)[C@@H](C)OC)c1ccncc1 ZINC000168212120 583185054 /nfs/dbraw/zinc/18/50/54/583185054.db2.gz MTONXYSUBFGNKC-LOWVWBTDSA-N 0 3 222.332 2.546 20 0 BFADHN COc1ccc(CN[C@@H](C)CCC2CC2)o1 ZINC000893648272 583186687 /nfs/dbraw/zinc/18/66/87/583186687.db2.gz FFSXXXKREHYSNN-JTQLQIEISA-N 0 3 223.316 2.957 20 0 BFADHN Cn1ncc(CN2CCCC3(CC3)C2)c1C1CC1 ZINC000893663607 583191267 /nfs/dbraw/zinc/19/12/67/583191267.db2.gz JNFOGRNGAKXYTC-UHFFFAOYSA-N 0 3 245.370 2.674 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1ccc(OC)o1 ZINC000893675953 583196523 /nfs/dbraw/zinc/19/65/23/583196523.db2.gz IRUSYMMWUDHPSV-CMPLNLGQSA-N 0 3 223.316 2.909 20 0 BFADHN C[C@@H]1CCCCN(Cc2c3c(nn2C)CCC3)C1 ZINC000893679316 583196464 /nfs/dbraw/zinc/19/64/64/583196464.db2.gz QRUCIJGESYUKGC-GFCCVEGCSA-N 0 3 247.386 2.531 20 0 BFADHN C[C@H]1CCCCN(Cc2nccn2C2CC2)C1 ZINC000893678620 583197714 /nfs/dbraw/zinc/19/77/14/583197714.db2.gz MSAULSQTKWTOEU-LBPRGKRZSA-N 0 3 233.359 2.840 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1cn(C)nc1Cl ZINC000857046738 588884327 /nfs/dbraw/zinc/88/43/27/588884327.db2.gz QEQLILDZSOPUOP-KOLCDFICSA-N 0 3 241.766 2.836 20 0 BFADHN Cc1noc2ncc(CN(C)C3CCCC3)cc12 ZINC000893914695 583245849 /nfs/dbraw/zinc/24/58/49/583245849.db2.gz LNJDBNJPLDNJKY-UHFFFAOYSA-N 0 3 245.326 2.906 20 0 BFADHN Cc1ccnc(N)c1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000893929597 583250104 /nfs/dbraw/zinc/25/01/04/583250104.db2.gz UZIRLUCKNRARLV-YPMHNXCESA-N 0 3 247.386 2.983 20 0 BFADHN CCN(Cc1ccc(SC)o1)C1CC1 ZINC000893940084 583254882 /nfs/dbraw/zinc/25/48/82/583254882.db2.gz OTESAKWOBFNTLF-UHFFFAOYSA-N 0 3 211.330 2.986 20 0 BFADHN Cc1ncoc1CN(CC(C)C)C1CC1 ZINC000893952121 583260310 /nfs/dbraw/zinc/26/03/10/583260310.db2.gz BJISXCSDNZOBEV-UHFFFAOYSA-N 0 3 208.305 2.603 20 0 BFADHN CC(C)N(Cc1ccc2oc(=O)oc2c1)C1CC1 ZINC000893954489 583261116 /nfs/dbraw/zinc/26/11/16/583261116.db2.gz NFNQVKQIJKCFJM-UHFFFAOYSA-N 0 3 247.294 2.759 20 0 BFADHN CO[C@@H]1CCCN(C/C=C/c2cccc(F)c2)C1 ZINC000893958494 583262710 /nfs/dbraw/zinc/26/27/10/583262710.db2.gz BAYIFKCORXHKOR-YFCVBGDOSA-N 0 3 249.329 2.950 20 0 BFADHN CN(Cc1ccc2oc(=O)oc2c1)CC(C)(C)C ZINC000893968738 583264128 /nfs/dbraw/zinc/26/41/28/583264128.db2.gz LQEBUYSPDSOBJR-UHFFFAOYSA-N 0 3 249.310 2.864 20 0 BFADHN Cc1coc(CN2CCC(n3ccnc3)CC2)c1 ZINC000893979854 583265844 /nfs/dbraw/zinc/26/58/44/583265844.db2.gz GHYAYNRQOGZNNB-UHFFFAOYSA-N 0 3 245.326 2.622 20 0 BFADHN Cc1n[nH]c(CN2CCC[C@H](C)CC2)c1C ZINC000893978456 583266467 /nfs/dbraw/zinc/26/64/67/583266467.db2.gz STNLCSOGAZAJRL-JTQLQIEISA-N 0 3 221.348 2.649 20 0 BFADHN Cc1coc(CN2CCC[C@H](c3cc[nH]n3)C2)c1 ZINC000893994834 583267941 /nfs/dbraw/zinc/26/79/41/583267941.db2.gz KMZXCYMXAPLBMZ-LBPRGKRZSA-N 0 3 245.326 2.691 20 0 BFADHN Cc1coc(CN2CCC[C@@H](c3cc[nH]n3)C2)c1 ZINC000893994833 583268202 /nfs/dbraw/zinc/26/82/02/583268202.db2.gz KMZXCYMXAPLBMZ-GFCCVEGCSA-N 0 3 245.326 2.691 20 0 BFADHN COc1ccc(CN2CCSCC[C@@H]2C)o1 ZINC000893998941 583271325 /nfs/dbraw/zinc/27/13/25/583271325.db2.gz JGKFPEVTRDNNAA-JTQLQIEISA-N 0 3 241.356 2.616 20 0 BFADHN CCCN(Cc1cc(C)co1)CC(C)(C)O ZINC000894003482 583271888 /nfs/dbraw/zinc/27/18/88/583271888.db2.gz KXLYFVPFNOHDFN-UHFFFAOYSA-N 0 3 225.332 2.571 20 0 BFADHN CSc1ccc(CN2CCC[C@@H]2C)o1 ZINC000894019652 583273378 /nfs/dbraw/zinc/27/33/78/583273378.db2.gz AAHNCZJAKRYYDQ-VIFPVBQESA-N 0 3 211.330 2.986 20 0 BFADHN COc1ccc2nccc(N3CC[C@H](F)C3)c2c1 ZINC000894022769 583275182 /nfs/dbraw/zinc/27/51/82/583275182.db2.gz CZQBQIJKFDWYNR-JTQLQIEISA-N 0 3 246.285 2.792 20 0 BFADHN COc1ccc2nccc(N3CC[C@@H](F)C3)c2c1 ZINC000894022770 583275241 /nfs/dbraw/zinc/27/52/41/583275241.db2.gz CZQBQIJKFDWYNR-SNVBAGLBSA-N 0 3 246.285 2.792 20 0 BFADHN Cc1noc2ncc(CN3C[C@@H](C)[C@H](C)C3)cc12 ZINC000894033967 583278720 /nfs/dbraw/zinc/27/87/20/583278720.db2.gz KRUJJRCHIHZZLT-NXEZZACHSA-N 0 3 245.326 2.619 20 0 BFADHN Cc1cc(CN[C@H]2CC23CCCC3)cnc1F ZINC000894035434 583279717 /nfs/dbraw/zinc/27/97/17/583279717.db2.gz VNPJIYRKMDTFBW-LBPRGKRZSA-N 0 3 234.318 2.951 20 0 BFADHN Cc1ncoc1CN1CCCCC[C@H]1C ZINC000894025280 583280467 /nfs/dbraw/zinc/28/04/67/583280467.db2.gz UXZXVANNUPSKGC-SNVBAGLBSA-N 0 3 208.305 2.748 20 0 BFADHN CSCC[C@H](C)N(C)Cc1ocnc1C ZINC000894037890 583282550 /nfs/dbraw/zinc/28/25/50/583282550.db2.gz AAIZTQSAODRCMU-VIFPVBQESA-N 0 3 228.361 2.556 20 0 BFADHN CSCC[C@H](C)N(C)Cc1[nH]nc(C)c1C ZINC000894040590 583284537 /nfs/dbraw/zinc/28/45/37/583284537.db2.gz VXYPZOKNTITOFO-VIFPVBQESA-N 0 3 241.404 2.600 20 0 BFADHN CSCC[C@H](C)N(C)Cc1n[nH]c(C)c1C ZINC000894040590 583284538 /nfs/dbraw/zinc/28/45/38/583284538.db2.gz VXYPZOKNTITOFO-VIFPVBQESA-N 0 3 241.404 2.600 20 0 BFADHN Cc1noc2ncc(CN3C[C@H](C)C[C@@H]3C)cc12 ZINC000894045433 583287012 /nfs/dbraw/zinc/28/70/12/583287012.db2.gz OQPFMBGWSFINGM-ZJUUUORDSA-N 0 3 245.326 2.762 20 0 BFADHN CCN(C/C=C\c1cccc(F)c1)CCCO ZINC000894052416 583289511 /nfs/dbraw/zinc/28/95/11/583289511.db2.gz BDYLAONNNYKCME-DAXSKMNVSA-N 0 3 237.318 2.543 20 0 BFADHN Cc1ncoc1CN(C)CCc1cccs1 ZINC000894060308 583292985 /nfs/dbraw/zinc/29/29/85/583292985.db2.gz YABRNWGNGUAAGQ-UHFFFAOYSA-N 0 3 236.340 2.719 20 0 BFADHN Cc1noc2ncc(CN3CC[C@H](C)[C@H]3C)cc12 ZINC000894086351 583295111 /nfs/dbraw/zinc/29/51/11/583295111.db2.gz VVEGJOSUXZAUSM-GXSJLCMTSA-N 0 3 245.326 2.762 20 0 BFADHN COC(C)(C)CN(C)Cc1ccc(SC)o1 ZINC000894095270 583301104 /nfs/dbraw/zinc/30/11/04/583301104.db2.gz QOYKFBBFFPNUMO-UHFFFAOYSA-N 0 3 243.372 2.858 20 0 BFADHN COc1cc(C)cc(CN2CCCCC2)c1OC ZINC000894097308 583302314 /nfs/dbraw/zinc/30/23/14/583302314.db2.gz IYWGTAARYOQZOT-UHFFFAOYSA-N 0 3 249.354 2.998 20 0 BFADHN CC(C)C1CN(Cc2cccc3[nH]c(=O)oc32)C1 ZINC000894136005 583313862 /nfs/dbraw/zinc/31/38/62/583313862.db2.gz ZONYWROOYOGLJX-UHFFFAOYSA-N 0 3 246.310 2.621 20 0 BFADHN Cc1cc(CN2CC(C)C2)c2c(n1)CCCC2 ZINC000894157945 583320695 /nfs/dbraw/zinc/32/06/95/583320695.db2.gz IWIYTXAGPAGLMQ-UHFFFAOYSA-N 0 3 230.355 2.721 20 0 BFADHN CO[C@](C)(CN1CCC[C@](C)(F)C1)C1CC1 ZINC000877557101 583330108 /nfs/dbraw/zinc/33/01/08/583330108.db2.gz FXZVAGCLZWQKCG-QWHCGFSZSA-N 0 3 229.339 2.626 20 0 BFADHN Oc1cccc(CN2CC[C@@H]3CSC[C@@H]3C2)c1 ZINC000877737929 583345147 /nfs/dbraw/zinc/34/51/47/583345147.db2.gz IYYRTWPRFITULK-OLZOCXBDSA-N 0 3 249.379 2.577 20 0 BFADHN FC(F)[C@@H]1CCN(C[C@@H]2C[C@@H]3CCC[C@H]3O2)C1 ZINC000878319837 583369131 /nfs/dbraw/zinc/36/91/31/583369131.db2.gz BURUZKCWEKOSEZ-WHOHXGKFSA-N 0 3 245.313 2.531 20 0 BFADHN CCC(C)(C)NCc1cn(-c2ccccc2)nn1 ZINC000726788752 583374503 /nfs/dbraw/zinc/37/45/03/583374503.db2.gz HKWQZAXUEKMSOT-UHFFFAOYSA-N 0 3 244.342 2.546 20 0 BFADHN C=C/C=C/CCN1CC(C)(C)OC[C@@H]1C ZINC000878506491 583378315 /nfs/dbraw/zinc/37/83/15/583378315.db2.gz CZZZNPSCFNQKTM-SYTKJHMZSA-N 0 3 209.333 2.618 20 0 BFADHN C=C/C=C\CCN1CC(C)(C)OC[C@@H]1C ZINC000878506490 583378374 /nfs/dbraw/zinc/37/83/74/583378374.db2.gz CZZZNPSCFNQKTM-DGMVEKRQSA-N 0 3 209.333 2.618 20 0 BFADHN CC[C@@H](N[C@H](C)c1ncc[nH]1)C(C)(C)C ZINC000336729240 583403525 /nfs/dbraw/zinc/40/35/25/583403525.db2.gz IVWAVDWUHHHJRF-NXEZZACHSA-N 0 3 209.337 2.885 20 0 BFADHN CC(C)(C)[C@@H](NCN1CCC1=O)c1ccccc1 ZINC000857231316 588897036 /nfs/dbraw/zinc/89/70/36/588897036.db2.gz DOBFDMPDOROORQ-AWEZNQCLSA-N 0 3 246.354 2.553 20 0 BFADHN Cc1ncoc1CN[C@@H]1CC2CCC1CC2 ZINC000894331966 583425691 /nfs/dbraw/zinc/42/56/91/583425691.db2.gz KZJDNQBXTQBJAY-HTAVTVPLSA-N 0 3 220.316 2.651 20 0 BFADHN Cc1ncoc1CN[C@@H]1CCC(C)(C)C1 ZINC000894360316 583436510 /nfs/dbraw/zinc/43/65/10/583436510.db2.gz YWMCZJBBDVDILP-SNVBAGLBSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1ncoc1CN[C@H]1CCC(C)(C)C1 ZINC000894360315 583436757 /nfs/dbraw/zinc/43/67/57/583436757.db2.gz YWMCZJBBDVDILP-JTQLQIEISA-N 0 3 208.305 2.651 20 0 BFADHN CC1(C)COC[C@@H]1N[C@@H](c1cccnc1)C1CC1 ZINC000894367610 583439766 /nfs/dbraw/zinc/43/97/66/583439766.db2.gz HGRCIIZJHLNFDI-UONOGXRCSA-N 0 3 246.354 2.547 20 0 BFADHN CC[C@@H](CN1CC[C@@](C)(C(F)(F)F)C1)OC ZINC000880004025 583453439 /nfs/dbraw/zinc/45/34/39/583453439.db2.gz PSNHVMWLBUKYJM-VHSXEESVSA-N 0 3 239.281 2.686 20 0 BFADHN C[C@H](O)[C@H]1CCN(C/C=C\c2cccc(F)c2)C1 ZINC000894430435 583458053 /nfs/dbraw/zinc/45/80/53/583458053.db2.gz FOPTZNLNKQGNCC-GSBHKFGHSA-N 0 3 249.329 2.542 20 0 BFADHN CO[C@@H](C)CN(C)Cc1cc(O)cc(Cl)c1 ZINC000894435389 583458602 /nfs/dbraw/zinc/45/86/02/583458602.db2.gz OGDGIMFCONJZRU-VIFPVBQESA-N 0 3 243.734 2.512 20 0 BFADHN Nc1cc(N2C[C@@H]3CCCC[C@@H]32)c(Cl)cn1 ZINC000894439188 583459777 /nfs/dbraw/zinc/45/97/77/583459777.db2.gz BZLUUJDPCAPFGY-WPRPVWTQSA-N 0 3 237.734 2.696 20 0 BFADHN COc1ccc(CNCCSC(C)C)o1 ZINC000894444163 583460839 /nfs/dbraw/zinc/46/08/39/583460839.db2.gz VXDWNYYTBGCWBR-UHFFFAOYSA-N 0 3 229.345 2.519 20 0 BFADHN Cc1coc(CN2CCC([C@H]3CCOC3)CC2)c1 ZINC000894444203 583460934 /nfs/dbraw/zinc/46/09/34/583460934.db2.gz FUKJJGPQCJXVLT-AWEZNQCLSA-N 0 3 249.354 2.837 20 0 BFADHN Cc1n[nH]c(CN2CC[C@@H](C)C(C)(C)C2)c1C ZINC000894464560 583466616 /nfs/dbraw/zinc/46/66/16/583466616.db2.gz RRSYGBVBPVHSAQ-SNVBAGLBSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1[nH]nc(CN2CC[C@@H](C)C(C)(C)C2)c1C ZINC000894464560 583466617 /nfs/dbraw/zinc/46/66/17/583466617.db2.gz RRSYGBVBPVHSAQ-SNVBAGLBSA-N 0 3 235.375 2.895 20 0 BFADHN Cc1ncoc1CN[C@@H]1CC[C@H](C)[C@H](C)C1 ZINC000894461026 583467186 /nfs/dbraw/zinc/46/71/86/583467186.db2.gz JTFUAEPXIHGWPX-HOSYDEDBSA-N 0 3 222.332 2.897 20 0 BFADHN CCn1cc(CN2CCC[C@H](C3CC3)C2)c(C)n1 ZINC000894523119 583477849 /nfs/dbraw/zinc/47/78/49/583477849.db2.gz TVOSHPILWXUONH-AWEZNQCLSA-N 0 3 247.386 2.833 20 0 BFADHN c1ncc(CNCc2ccc(C3CCC3)cc2)[nH]1 ZINC000894560217 583483019 /nfs/dbraw/zinc/48/30/19/583483019.db2.gz WGEIVLSNEPXMMH-UHFFFAOYSA-N 0 3 241.338 2.967 20 0 BFADHN Cc1ccc(CCN[C@@H](C)CF)cc1C ZINC000894601197 583491136 /nfs/dbraw/zinc/49/11/36/583491136.db2.gz ROMUTUVVYUUXGW-LBPRGKRZSA-N 0 3 209.308 2.794 20 0 BFADHN Cc1coc(CN2CCCC[C@@H]2C[C@H](C)O)c1 ZINC000894596253 583492808 /nfs/dbraw/zinc/49/28/08/583492808.db2.gz OWABMVNEOLDSLK-QWHCGFSZSA-N 0 3 237.343 2.713 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@@H]2C)c(C)c1 ZINC000894628621 583496761 /nfs/dbraw/zinc/49/67/61/583496761.db2.gz PQRVFDVOEHVFOY-RYUDHWBXSA-N 0 3 219.328 2.844 20 0 BFADHN Cc1ncoc1CNC[C@@H]1CCC[C@@H]1C ZINC000894651672 583500078 /nfs/dbraw/zinc/50/00/78/583500078.db2.gz HJJFOYCXXWBJQZ-ONGXEEELSA-N 0 3 208.305 2.509 20 0 BFADHN CC[C@@H]1CN(Cc2cc(C)co2)CCCO1 ZINC000894652108 583501160 /nfs/dbraw/zinc/50/11/60/583501160.db2.gz FPPALZLFTZATAN-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN c1ccc2c(c1)CC[C@@H]2CN1CC2(CC2)C1 ZINC000894663923 583501931 /nfs/dbraw/zinc/50/19/31/583501931.db2.gz OEKRVBGMPSMXAZ-CYBMUJFWSA-N 0 3 213.324 2.812 20 0 BFADHN COc1ccc(CNCC2=CCCCC2)nc1 ZINC000894663323 583502349 /nfs/dbraw/zinc/50/23/49/583502349.db2.gz ICAYJBOYPUQEQO-UHFFFAOYSA-N 0 3 232.327 2.680 20 0 BFADHN COCCCC1(CN2CC[C@H](F)C2)CCC1 ZINC000894670644 583503690 /nfs/dbraw/zinc/50/36/90/583503690.db2.gz JOLPCFAOGPMCPP-LBPRGKRZSA-N 0 3 229.339 2.627 20 0 BFADHN Cc1cc(CNCCC(C)(F)F)cnc1F ZINC000894659683 583504417 /nfs/dbraw/zinc/50/44/17/583504417.db2.gz ZNRVOVBBFXIOMD-UHFFFAOYSA-N 0 3 232.249 2.664 20 0 BFADHN Cc1coc(CN2CCC[C@H]2[C@@H]2CCCO2)c1 ZINC000894689225 583508929 /nfs/dbraw/zinc/50/89/29/583508929.db2.gz CURJDMOIOZXHCK-KBPBESRZSA-N 0 3 235.327 2.731 20 0 BFADHN CC[C@H]1CCC[C@@H]1CNCc1nnc(C)s1 ZINC000894690746 583509000 /nfs/dbraw/zinc/50/90/00/583509000.db2.gz SPRPLNBXFPMCDF-WDEREUQCSA-N 0 3 239.388 2.762 20 0 BFADHN Cc1nocc1CN[C@@H]1C[C@H]2CCC[C@H]2C1 ZINC000894697073 583510102 /nfs/dbraw/zinc/51/01/02/583510102.db2.gz IHMUYXVZYOHKCD-PTEHBNRSSA-N 0 3 220.316 2.651 20 0 BFADHN CC/C=C/CNCc1cnc(F)c(C)c1 ZINC000894704269 583510543 /nfs/dbraw/zinc/51/05/43/583510543.db2.gz RJKLFBXOBDTCLO-SNAWJCMRSA-N 0 3 208.280 2.585 20 0 BFADHN CC(C)CCCCN1CC[C@@](O)(C(F)F)C1 ZINC000894705656 583512189 /nfs/dbraw/zinc/51/21/89/583512189.db2.gz AVKFILOXVGPICV-LBPRGKRZSA-N 0 3 235.318 2.515 20 0 BFADHN CCOc1ccc(CNC[C@](C)(CC)OC)o1 ZINC000894711436 583512872 /nfs/dbraw/zinc/51/28/72/583512872.db2.gz JFXILIOKMGVJST-ZDUSSCGKSA-N 0 3 241.331 2.583 20 0 BFADHN Cc1ncoc1CN1CCC2(CCC2)CC1 ZINC000894749850 583516269 /nfs/dbraw/zinc/51/62/69/583516269.db2.gz MEBKPRUBQMKQFR-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN COc1ccc(CNC2(C3(C)CC3)CC2)o1 ZINC000894761733 583518040 /nfs/dbraw/zinc/51/80/40/583518040.db2.gz WHCVKGYINUJCOI-UHFFFAOYSA-N 0 3 221.300 2.711 20 0 BFADHN CN(C/C=C/c1cccc(F)c1)C[C@@H](O)C1CC1 ZINC000894776792 583518907 /nfs/dbraw/zinc/51/89/07/583518907.db2.gz KMRYROGTNPOLPK-SJDLMVEWSA-N 0 3 249.329 2.542 20 0 BFADHN Cc1coc(CN(C)CCCn2ccnc2C)c1 ZINC000894776666 583518930 /nfs/dbraw/zinc/51/89/30/583518930.db2.gz JIOQQWQAPNIBJM-UHFFFAOYSA-N 0 3 247.342 2.615 20 0 BFADHN CC[C@H](CSC)N(C)Cc1ocnc1C ZINC000894781242 583520599 /nfs/dbraw/zinc/52/05/99/583520599.db2.gz DQXYXLRVUYRZES-SNVBAGLBSA-N 0 3 228.361 2.556 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCc1c[nH]cn1)CCC2 ZINC000894784163 583521371 /nfs/dbraw/zinc/52/13/71/583521371.db2.gz GGJZZIJIVGDRSM-HNNXBMFYSA-N 0 3 241.338 2.885 20 0 BFADHN Cc1ccc2c(c1)[C@@H](NCc1cnc[nH]1)CCC2 ZINC000894784163 583521374 /nfs/dbraw/zinc/52/13/74/583521374.db2.gz GGJZZIJIVGDRSM-HNNXBMFYSA-N 0 3 241.338 2.885 20 0 BFADHN O=c1cc(CN2CC3(C2)CCCCC3)cc[nH]1 ZINC000894821038 583525006 /nfs/dbraw/zinc/52/50/06/583525006.db2.gz AQLPEOANAIRIDG-UHFFFAOYSA-N 0 3 232.327 2.553 20 0 BFADHN CCN(Cc1ccc(SC)o1)C[C@H](C)OC ZINC000894820732 583525092 /nfs/dbraw/zinc/52/50/92/583525092.db2.gz KYGUHXCNSIFQQT-JTQLQIEISA-N 0 3 243.372 2.858 20 0 BFADHN CCOC1(C)CCN(Cc2cc(C)co2)CC1 ZINC000894829360 583527121 /nfs/dbraw/zinc/52/71/21/583527121.db2.gz RIIIGHWGPGEBNO-UHFFFAOYSA-N 0 3 237.343 2.979 20 0 BFADHN Cc1coc(CN2CCOC[C@@H](C3CCC3)C2)c1 ZINC000894849414 583532034 /nfs/dbraw/zinc/53/20/34/583532034.db2.gz KOHMNAPSCZCENB-AWEZNQCLSA-N 0 3 249.354 2.837 20 0 BFADHN COc1ccc(CN[C@@H]2C[C@H]2CC(C)C)nc1 ZINC000894855082 583532410 /nfs/dbraw/zinc/53/24/10/583532410.db2.gz PMVUTYSRCVDKJH-BXUZGUMPSA-N 0 3 234.343 2.614 20 0 BFADHN COc1cccc(C)c1CN1C[C@H](C)[C@@H]1C ZINC000894860287 583534740 /nfs/dbraw/zinc/53/47/40/583534740.db2.gz JLRJZVKWUWXHKU-RYUDHWBXSA-N 0 3 219.328 2.844 20 0 BFADHN CCOc1cc(CN2C[C@H](C)[C@H]2C)ccc1O ZINC000894860685 583534747 /nfs/dbraw/zinc/53/47/47/583534747.db2.gz VEZUWACCTWPBLI-WDEREUQCSA-N 0 3 235.327 2.631 20 0 BFADHN CCOc1ccc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000894864589 583537703 /nfs/dbraw/zinc/53/77/03/583537703.db2.gz AGLBOHNIRDPHBG-KGYLQXTDSA-N 0 3 235.327 2.957 20 0 BFADHN O[C@H]1CCCN(C/C=C/c2cccc(F)c2)CC1 ZINC000894866551 583538007 /nfs/dbraw/zinc/53/80/07/583538007.db2.gz WDYJERCPLALVNU-IJJSQOHJSA-N 0 3 249.329 2.686 20 0 BFADHN Cc1ccnc(N)c1CNCC1=CCCCC1 ZINC000894873546 583541198 /nfs/dbraw/zinc/54/11/98/583541198.db2.gz NHKRWANHBBCPQR-UHFFFAOYSA-N 0 3 231.343 2.562 20 0 BFADHN Cc1ncoc1CNC(C)(C)C1CCC1 ZINC000894900704 583552089 /nfs/dbraw/zinc/55/20/89/583552089.db2.gz MGBNEYJMIJXTDM-UHFFFAOYSA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CN[C@H]2CS[C@H](C)C2)cnc1F ZINC000894903475 583552314 /nfs/dbraw/zinc/55/23/14/583552314.db2.gz LJROUSDYWIMUOG-MWLCHTKSSA-N 0 3 240.347 2.513 20 0 BFADHN CCc1onc(C)c1CN[C@H]1CS[C@@H](C)C1 ZINC000894902788 583552350 /nfs/dbraw/zinc/55/23/50/583552350.db2.gz HOIYNISIKZIYQO-WCBMZHEXSA-N 0 3 240.372 2.529 20 0 BFADHN Cc1cc(F)ncc1CN[C@@H]1CS[C@H](C)C1 ZINC000894902670 583552367 /nfs/dbraw/zinc/55/23/67/583552367.db2.gz HALFWLFXWNVEOE-KOLCDFICSA-N 0 3 240.347 2.513 20 0 BFADHN Cc1cc(F)ncc1CN[C@H]1CS[C@@H](C)C1 ZINC000894902663 583552468 /nfs/dbraw/zinc/55/24/68/583552468.db2.gz HALFWLFXWNVEOE-GXSJLCMTSA-N 0 3 240.347 2.513 20 0 BFADHN CCOc1ccc(CN[C@H]2CS[C@@H](C)C2)o1 ZINC000894904535 583552833 /nfs/dbraw/zinc/55/28/33/583552833.db2.gz SPKDQQHJZUUWSJ-VHSXEESVSA-N 0 3 241.356 2.662 20 0 BFADHN CO[C@H]1CCN(Cc2cc(C)co2)CC1(C)C ZINC000894904462 583553132 /nfs/dbraw/zinc/55/31/32/583553132.db2.gz OSWFMAIBSBNNOF-ZDUSSCGKSA-N 0 3 237.343 2.835 20 0 BFADHN C[C@@H]1CC(C)(C)CN1Cc1cn(C)nc1C1CC1 ZINC000894921667 583556130 /nfs/dbraw/zinc/55/61/30/583556130.db2.gz KUPQXQUVCYWJOP-LLVKDONJSA-N 0 3 247.386 2.918 20 0 BFADHN COC[C@H]1CCCCN1Cc1cc(C)co1 ZINC000894944975 583557367 /nfs/dbraw/zinc/55/73/67/583557367.db2.gz GJTWKUJCOVNXQE-GFCCVEGCSA-N 0 3 223.316 2.589 20 0 BFADHN CC(C)[C@@H]1N(Cc2c[nH]cn2)CC12CCCC2 ZINC000894958092 583558863 /nfs/dbraw/zinc/55/88/63/583558863.db2.gz OYIPHLSNUAWDNY-ZDUSSCGKSA-N 0 3 233.359 2.810 20 0 BFADHN CC(C)[C@@H]1N(Cc2cnc[nH]2)CC12CCCC2 ZINC000894958092 583558865 /nfs/dbraw/zinc/55/88/65/583558865.db2.gz OYIPHLSNUAWDNY-ZDUSSCGKSA-N 0 3 233.359 2.810 20 0 BFADHN COc1ccc(CN2C[C@H](C)[C@H](C)[C@@H]2C)o1 ZINC000894956537 583559049 /nfs/dbraw/zinc/55/90/49/583559049.db2.gz AEQNFZBLZHWMMU-DCAQKATOSA-N 0 3 223.316 2.765 20 0 BFADHN Cc1ncoc1CN[C@@]1(C)CC=CCC1 ZINC000894986589 583565448 /nfs/dbraw/zinc/56/54/48/583565448.db2.gz XVGRKVCVCWABAF-LBPRGKRZSA-N 0 3 206.289 2.571 20 0 BFADHN Cc1ccnc(N)c1CN(C)C1CC(C)(C)C1 ZINC000894987363 583565512 /nfs/dbraw/zinc/56/55/12/583565512.db2.gz YXPCXXOMZBBBCB-UHFFFAOYSA-N 0 3 233.359 2.593 20 0 BFADHN COc1ccc(CN2CC[C@@H](C)C[C@H]2C)o1 ZINC000895009950 583567409 /nfs/dbraw/zinc/56/74/09/583567409.db2.gz LQXNRKKQNJBEPP-GHMZBOCLSA-N 0 3 223.316 2.909 20 0 BFADHN C[C@@H]1CCN(Cc2c3c(nn2C)CCC3)[C@H](C)C1 ZINC000895009682 583567500 /nfs/dbraw/zinc/56/75/00/583567500.db2.gz HBPGIJAPAIHAPN-VXGBXAGGSA-N 0 3 247.386 2.529 20 0 BFADHN Cc1cc(F)ncc1CN[C@@H]1C[C@H]1C1CCC1 ZINC000895010407 583567529 /nfs/dbraw/zinc/56/75/29/583567529.db2.gz QREWQQWZCXDEIB-QWHCGFSZSA-N 0 3 234.318 2.807 20 0 BFADHN Cc1ncoc1CN1CC[C@H](C2CCC2)C1 ZINC000895017072 583568756 /nfs/dbraw/zinc/56/87/56/583568756.db2.gz UGGLUYDUHLVBQE-LBPRGKRZSA-N 0 3 220.316 2.605 20 0 BFADHN Cc1ncoc1CN1CC[C@@H](C)C[C@H]1C ZINC000895059437 583576052 /nfs/dbraw/zinc/57/60/52/583576052.db2.gz UUZQWEFWZURHGL-NXEZZACHSA-N 0 3 208.305 2.603 20 0 BFADHN CN(Cc1ccnn1C1CCC1)C(C)(C)C ZINC000895115035 583591632 /nfs/dbraw/zinc/59/16/32/583591632.db2.gz FURRVUFJXJOQID-UHFFFAOYSA-N 0 3 221.348 2.838 20 0 BFADHN COc1ccc(CNCC2CCC(F)CC2)o1 ZINC000895122825 583597095 /nfs/dbraw/zinc/59/70/95/583597095.db2.gz GTOVKYZUCXAOPF-UHFFFAOYSA-N 0 3 241.306 2.906 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@H]2CCCc3c[nH]nc32)C1 ZINC000857864977 588914956 /nfs/dbraw/zinc/91/49/56/588914956.db2.gz LRUGUFIFEMAHOO-WZRBSPASSA-N 0 3 233.359 2.813 20 0 BFADHN CC1(CCN[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000857864606 588915120 /nfs/dbraw/zinc/91/51/20/588915120.db2.gz JMGQSPBFUYJHEX-LLVKDONJSA-N 0 3 219.332 2.567 20 0 BFADHN C[C@@H]1CC[C@@H](CN[C@@H]2CCCc3c[nH]nc32)C1 ZINC000857864975 588915223 /nfs/dbraw/zinc/91/52/23/588915223.db2.gz LRUGUFIFEMAHOO-NQBHXWOUSA-N 0 3 233.359 2.813 20 0 BFADHN CC[C@H](CSC)N[C@@H]1CCCc2c[nH]nc21 ZINC000857860991 588915288 /nfs/dbraw/zinc/91/52/88/588915288.db2.gz OSZGUVLFRWTPNU-GHMZBOCLSA-N 0 3 239.388 2.518 20 0 BFADHN C[C@@H](N[C@H]1CCCc2c[nH]nc21)C1(C)CC1 ZINC000857862120 588915299 /nfs/dbraw/zinc/91/52/99/588915299.db2.gz YQDGAIUUHHJWMS-KOLCDFICSA-N 0 3 219.332 2.565 20 0 BFADHN Cc1ncoc1CN[C@@H]1C[C@H]1CC(C)(C)C ZINC000895135176 583601774 /nfs/dbraw/zinc/60/17/74/583601774.db2.gz RNPFMRIFPPRENV-WDEREUQCSA-N 0 3 222.332 2.897 20 0 BFADHN Cc1coc(CN2CCC[C@@H](OC(C)C)C2)c1 ZINC000895134772 583601983 /nfs/dbraw/zinc/60/19/83/583601983.db2.gz MTSXKDXNVXFEIK-CYBMUJFWSA-N 0 3 237.343 2.977 20 0 BFADHN Cc1ncoc1CNCC[C@]1(C)C[C@]1(F)Cl ZINC000895135262 583602110 /nfs/dbraw/zinc/60/21/10/583602110.db2.gz UKKLYNASSXEMPJ-GHMZBOCLSA-N 0 3 246.713 2.777 20 0 BFADHN CC(C)=CCCN[C@H]1CCCc2c[nH]nc21 ZINC000857866328 588915471 /nfs/dbraw/zinc/91/54/71/588915471.db2.gz VHUZKXBNTDSGJH-LBPRGKRZSA-N 0 3 219.332 2.733 20 0 BFADHN COc1c(C)cccc1CN[C@@H]1COCC1(C)C ZINC000895188250 583619569 /nfs/dbraw/zinc/61/95/69/583619569.db2.gz PUHPSFUHISPEDF-CYBMUJFWSA-N 0 3 249.354 2.518 20 0 BFADHN C[C@H](O)CN(C/C=C/c1cccc(F)c1)C1CC1 ZINC000895192212 583621645 /nfs/dbraw/zinc/62/16/45/583621645.db2.gz DIOQDRZQRMBPTE-PYEVWLCESA-N 0 3 249.329 2.684 20 0 BFADHN C[C@]1(CN[C@H]2CCCc3c[nH]nc32)C[C@H]2C[C@H]2C1 ZINC000857871116 588917570 /nfs/dbraw/zinc/91/75/70/588917570.db2.gz LYZZIMUVGUVUTL-SFDCQRBFSA-N 0 3 245.370 2.813 20 0 BFADHN c1cn2cc(CN3C[C@@H]4CCCC[C@@H]43)sc2n1 ZINC000895235998 583629958 /nfs/dbraw/zinc/62/99/58/583629958.db2.gz NZESNFUDSZKOHV-JQWIXIFHSA-N 0 3 247.367 2.770 20 0 BFADHN Cc1ncoc1CNCC[C@]1(C)CC1(F)F ZINC000895276858 583635307 /nfs/dbraw/zinc/63/53/07/583635307.db2.gz ZZSZMVLCIYCMNA-SNVBAGLBSA-N 0 3 230.258 2.508 20 0 BFADHN CC1=NO[C@H](CNC/C=C/c2cccc(F)c2)C1 ZINC000895300119 583642086 /nfs/dbraw/zinc/64/20/86/583642086.db2.gz SNNFTNZKRFEMMH-KQIUPUNMSA-N 0 3 248.301 2.593 20 0 BFADHN CC1=NO[C@H](CN[C@@H](C)Cc2ccccc2C)C1 ZINC000895304672 583642625 /nfs/dbraw/zinc/64/26/25/583642625.db2.gz PEKONEKTISOGIZ-WFASDCNBSA-N 0 3 246.354 2.680 20 0 BFADHN CC(C)CCCCN(C)Cc1cnc[nH]1 ZINC000895303948 583645578 /nfs/dbraw/zinc/64/55/78/583645578.db2.gz ZBEHMMWSCIRRPT-UHFFFAOYSA-N 0 3 209.337 2.668 20 0 BFADHN CC1=NO[C@H](CNCc2cc(C)ccc2C)C1 ZINC000895310427 583647238 /nfs/dbraw/zinc/64/72/38/583647238.db2.gz HBLPDGKSUYDDMS-AWEZNQCLSA-N 0 3 232.327 2.558 20 0 BFADHN C[C@@H](CC(C)(C)C)N1CCc2n[nH]cc2C1 ZINC000857877580 588918594 /nfs/dbraw/zinc/91/85/94/588918594.db2.gz NHFUPWYZBVMYEH-JTQLQIEISA-N 0 3 221.348 2.593 20 0 BFADHN CC1=NO[C@H](CNCc2ccc(Cl)cc2)C1 ZINC000895312186 583647615 /nfs/dbraw/zinc/64/76/15/583647615.db2.gz WCCGYXDBWWJSCN-LBPRGKRZSA-N 0 3 238.718 2.594 20 0 BFADHN COc1ccc(CNC[C@H](C)c2ccccn2)o1 ZINC000895307160 583647692 /nfs/dbraw/zinc/64/76/92/583647692.db2.gz UJJABBXSDDCMBU-NSHDSACASA-N 0 3 246.310 2.577 20 0 BFADHN CC[C@H](C)CCNCc1ccc(OC)o1 ZINC000895333578 583652280 /nfs/dbraw/zinc/65/22/80/583652280.db2.gz JBWWBOIUPHMVDT-JTQLQIEISA-N 0 3 211.305 2.814 20 0 BFADHN CCc1onc(C)c1CNCCC(C)(C)F ZINC000895370945 583661345 /nfs/dbraw/zinc/66/13/45/583661345.db2.gz SSCOMCZAGUGUSH-UHFFFAOYSA-N 0 3 228.311 2.773 20 0 BFADHN CCOc1ccc(CNCCc2ccoc2)o1 ZINC000895373506 583661508 /nfs/dbraw/zinc/66/15/08/583661508.db2.gz LZURBCCIUUUYGQ-UHFFFAOYSA-N 0 3 235.283 2.604 20 0 BFADHN CCOc1ccc(CNC[C@@]2(C)CC2(F)F)o1 ZINC000895379911 583662071 /nfs/dbraw/zinc/66/20/71/583662071.db2.gz GMSMXYSTYVOYQU-LLVKDONJSA-N 0 3 245.269 2.813 20 0 BFADHN COc1ccc(CN2CCC[C@@H](CCF)C2)o1 ZINC000895383916 583663033 /nfs/dbraw/zinc/66/30/33/583663033.db2.gz RKBNAFHNWBOOEZ-NSHDSACASA-N 0 3 241.306 2.860 20 0 BFADHN CC[C@@](C)(NCc1ccc(OC)o1)C1CC1 ZINC000895394690 583664749 /nfs/dbraw/zinc/66/47/49/583664749.db2.gz BTZGZLYTFBJZGE-CYBMUJFWSA-N 0 3 223.316 2.957 20 0 BFADHN c1cc2c(c(CN3CC[C@H]4C[C@H]4C3)n1)CCCC2 ZINC000895432933 583671337 /nfs/dbraw/zinc/67/13/37/583671337.db2.gz GKQSBWMHBRUQLE-KBPBESRZSA-N 0 3 242.366 2.802 20 0 BFADHN CC(C)c1cnc(CNCC2CSC2)s1 ZINC000895421362 583672903 /nfs/dbraw/zinc/67/29/03/583672903.db2.gz HOOGVPVISYJMER-UHFFFAOYSA-N 0 3 242.413 2.719 20 0 BFADHN COc1ccc(CNC(C)(C2CC2)C2CC2)o1 ZINC000895440887 583675067 /nfs/dbraw/zinc/67/50/67/583675067.db2.gz JIIOARDUJYYDJY-UHFFFAOYSA-N 0 3 235.327 2.957 20 0 BFADHN Cc1coc(CN2CC[C@@H]3CSC[C@@H]3C2)c1 ZINC000895460465 583677634 /nfs/dbraw/zinc/67/76/34/583677634.db2.gz GUXYNFXYMAXDPA-NEPJUHHUSA-N 0 3 237.368 2.773 20 0 BFADHN Cn1cc(CNC2CC(C)(C)C2)c(C2CC2)n1 ZINC000895468539 583678656 /nfs/dbraw/zinc/67/86/56/583678656.db2.gz VGYGYWVYMDYRQV-UHFFFAOYSA-N 0 3 233.359 2.576 20 0 BFADHN Fc1c(Cl)nccc1CNC[C@@H]1C=CCC1 ZINC000895527168 583684279 /nfs/dbraw/zinc/68/42/79/583684279.db2.gz IDUVJODHKUPPBK-SECBINFHSA-N 0 3 240.709 2.930 20 0 BFADHN Cc1coc(CN2CCC[C@@H](CF)C2)c1 ZINC000895544250 583689058 /nfs/dbraw/zinc/68/90/58/583689058.db2.gz GOYOSBIVVYZYFX-NSHDSACASA-N 0 3 211.280 2.770 20 0 BFADHN Cc1ccoc1CN1CCC[C@@H](CF)C1 ZINC000895544179 583689379 /nfs/dbraw/zinc/68/93/79/583689379.db2.gz JEDPBBYIZWNETG-NSHDSACASA-N 0 3 211.280 2.770 20 0 BFADHN FC[C@H]1CCCN(Cc2ccc3c(n2)CCC3)C1 ZINC000895545312 583689600 /nfs/dbraw/zinc/68/96/00/583689600.db2.gz RZWCKAUCGAWOQZ-GFCCVEGCSA-N 0 3 248.345 2.752 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000895554908 583690610 /nfs/dbraw/zinc/69/06/10/583690610.db2.gz BXVAXRQXVUKCBB-IJLUTSLNSA-N 0 3 234.343 2.824 20 0 BFADHN COc1ccccc1/C=C\CN1CC[C@@H](CF)C1 ZINC000895572523 583692244 /nfs/dbraw/zinc/69/22/44/583692244.db2.gz GDLXSMBZJWPRFW-AGLBCWCQSA-N 0 3 249.329 3.000 20 0 BFADHN FC[C@H]1CCN(CC2=Cc3ccccc3OC2)C1 ZINC000895572852 583692559 /nfs/dbraw/zinc/69/25/59/583692559.db2.gz HDDIJPCUBMGVNL-GFCCVEGCSA-N 0 3 247.313 2.754 20 0 BFADHN COc1ccc(CN[C@H]2CCCC23CC3)nc1 ZINC000895691284 583707588 /nfs/dbraw/zinc/70/75/88/583707588.db2.gz DTPRCUREGSFBGO-ZDUSSCGKSA-N 0 3 232.327 2.513 20 0 BFADHN C/C=C\CN[C@H]1CCc2ccc(Cl)nc21 ZINC000857916130 588923913 /nfs/dbraw/zinc/92/39/13/588923913.db2.gz GNABHAVEEYDPKW-ZMWSMAPVSA-N 0 3 222.719 2.888 20 0 BFADHN Cn1ncc(CN[C@]23C[C@H]2CCCC3)c1C1CC1 ZINC000895753501 583720154 /nfs/dbraw/zinc/72/01/54/583720154.db2.gz OWVRZZHVVUBVCH-UKRRQHHQSA-N 0 3 245.370 2.720 20 0 BFADHN C[C@@H]1CCN(c2ccnc3ccccc32)CCO1 ZINC000895757820 583721418 /nfs/dbraw/zinc/72/14/18/583721418.db2.gz GFHYMPONBVDXJG-GFCCVEGCSA-N 0 3 242.322 2.850 20 0 BFADHN C[C@H](N[C@@H]1CCCC[C@@H]1C)c1ncco1 ZINC000895764753 583723019 /nfs/dbraw/zinc/72/30/19/583723019.db2.gz IUPVVVKVVPZAHP-GARJFASQSA-N 0 3 208.305 2.904 20 0 BFADHN COc1ccc(CN[C@H](C)CC2CCC2)o1 ZINC000895778316 583726768 /nfs/dbraw/zinc/72/67/68/583726768.db2.gz UZAQVJILFAFGJN-SNVBAGLBSA-N 0 3 223.316 2.957 20 0 BFADHN CC1(F)CCN(Cc2cccc3c2OCC3)CC1 ZINC000895777888 583726825 /nfs/dbraw/zinc/72/68/25/583726825.db2.gz QTFDEEYOJZJCOA-UHFFFAOYSA-N 0 3 249.329 2.946 20 0 BFADHN C[C@]1(Br)C[C@H]1CN1CC(C)(C)C1 ZINC000895825779 583734974 /nfs/dbraw/zinc/73/49/74/583734974.db2.gz MPBBRLMQXDGYDR-WPRPVWTQSA-N 0 3 232.165 2.502 20 0 BFADHN Cc1cc(CN2C[C@@H]3CC=CC[C@@H]3C2)cnc1F ZINC000895829756 583735535 /nfs/dbraw/zinc/73/55/35/583735535.db2.gz UEPYCQFBDIJRGI-OKILXGFUSA-N 0 3 246.329 2.927 20 0 BFADHN c1cn(C2CC2)c(CN2C[C@@H]3CC=CC[C@@H]3C2)n1 ZINC000895830177 583735547 /nfs/dbraw/zinc/73/55/47/583735547.db2.gz WIEAWSWLSLRHTK-BETUJISGSA-N 0 3 243.354 2.616 20 0 BFADHN Cc1occc1CNCC1(C2(O)CCC2)CCC1 ZINC000895868964 583738475 /nfs/dbraw/zinc/73/84/75/583738475.db2.gz SSKVZYFTRAOWSZ-UHFFFAOYSA-N 0 3 249.354 2.763 20 0 BFADHN Cc1ncoc1CNC1CCC=CCC1 ZINC000895894887 583738798 /nfs/dbraw/zinc/73/87/98/583738798.db2.gz OEHMLFFDJPGZAI-UHFFFAOYSA-N 0 3 206.289 2.571 20 0 BFADHN Cc1sccc1CN(C)CCCF ZINC000895895720 583743856 /nfs/dbraw/zinc/74/38/56/583743856.db2.gz DLIPICURFSXXJQ-UHFFFAOYSA-N 0 3 201.310 2.848 20 0 BFADHN COc1cc(C)ccc1CN(C)CCCF ZINC000895895835 583743859 /nfs/dbraw/zinc/74/38/59/583743859.db2.gz FEMFAJDLQQIXDL-UHFFFAOYSA-N 0 3 225.307 2.795 20 0 BFADHN CO[C@H]1CC[C@@H](C)N(Cc2occc2C)C1 ZINC000895926608 583747725 /nfs/dbraw/zinc/74/77/25/583747725.db2.gz ISKOURBPTUDXLH-NEPJUHHUSA-N 0 3 223.316 2.587 20 0 BFADHN CO[C@@H]1CC[C@@H](C)N(Cc2occc2C)C1 ZINC000895926611 583748680 /nfs/dbraw/zinc/74/86/80/583748680.db2.gz ISKOURBPTUDXLH-VXGBXAGGSA-N 0 3 223.316 2.587 20 0 BFADHN CO[C@@H]1CC[C@@H](C)N(Cc2ccoc2C)C1 ZINC000895929344 583748792 /nfs/dbraw/zinc/74/87/92/583748792.db2.gz YJVPCIIIEBYVME-ZWNOBZJWSA-N 0 3 223.316 2.587 20 0 BFADHN CO[C@@H]1CC[C@H](C)N(Cc2ccoc2C)C1 ZINC000895929342 583748884 /nfs/dbraw/zinc/74/88/84/583748884.db2.gz YJVPCIIIEBYVME-GXFFZTMASA-N 0 3 223.316 2.587 20 0 BFADHN COCCCCN(C)Cc1cc(C)oc1C ZINC000841894119 583752263 /nfs/dbraw/zinc/75/22/63/583752263.db2.gz NVNYSEHKRMERRD-UHFFFAOYSA-N 0 3 225.332 2.755 20 0 BFADHN COCC1CN(Cc2cc3ccccc3s2)C1 ZINC000895971385 583756108 /nfs/dbraw/zinc/75/61/08/583756108.db2.gz OZLLVQJJFGINQL-UHFFFAOYSA-N 0 3 247.363 2.980 20 0 BFADHN C=C/C=C\CCNCc1cnn(C)c1C1CC1 ZINC000895995877 583761651 /nfs/dbraw/zinc/76/16/51/583761651.db2.gz MHUBYXLKYFRYDM-PLNGDYQASA-N 0 3 231.343 2.519 20 0 BFADHN CC1(C)C[C@]1(C)CN[C@H]1CCCn2ccnc21 ZINC000883259861 583767930 /nfs/dbraw/zinc/76/79/30/583767930.db2.gz BHAMGRRQSBAOFK-SMDDNHRTSA-N 0 3 233.359 2.744 20 0 BFADHN CC1=CC[C@H](NCc2cc(N(C)C)ccn2)CC1 ZINC000896298251 583789007 /nfs/dbraw/zinc/78/90/07/583789007.db2.gz HMJBEJPGWFEOLB-ZDUSSCGKSA-N 0 3 245.370 2.736 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NCc1cn2ccnc2s1 ZINC000896397953 583799496 /nfs/dbraw/zinc/79/94/96/583799496.db2.gz HQSKTLJDHWSGQS-KXGXSXBTSA-N 0 3 247.367 2.530 20 0 BFADHN Cc1ccc(O[C@H]2CCN(CC3(C)CC3)C2)nc1 ZINC000858012051 588930488 /nfs/dbraw/zinc/93/04/88/588930488.db2.gz BRBHDNJNBHNCPZ-ZDUSSCGKSA-N 0 3 246.354 2.643 20 0 BFADHN CCN1CCC[C@@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858016268 588930952 /nfs/dbraw/zinc/93/09/52/588930952.db2.gz KEIZDADBUZBLAO-CQSZACIVSA-N 0 3 246.354 2.822 20 0 BFADHN C[C@@H]1CCN(C/C=C/c2ccc(F)cc2)CCO1 ZINC000896583947 583815078 /nfs/dbraw/zinc/81/50/78/583815078.db2.gz JYHVMFGFAHNQKM-YWVDXFKGSA-N 0 3 249.329 2.950 20 0 BFADHN CCc1ccc(CN2CCO[C@@H](C)CC2)s1 ZINC000896584323 583815211 /nfs/dbraw/zinc/81/52/11/583815211.db2.gz NEGWKAZCCADDBI-NSHDSACASA-N 0 3 239.384 2.921 20 0 BFADHN C[C@H](Cc1ccsc1)N1CCO[C@H](C)CC1 ZINC000896583042 583815317 /nfs/dbraw/zinc/81/53/17/583815317.db2.gz CNGBRDAISYGDNG-VXGBXAGGSA-N 0 3 239.384 2.790 20 0 BFADHN CCC[C@@H](CN[C@H](c1ccccn1)C1CC1)OC ZINC000896608135 583817141 /nfs/dbraw/zinc/81/71/41/583817141.db2.gz CGOHVJZXPNPURM-ZFWWWQNUSA-N 0 3 248.370 2.937 20 0 BFADHN COC(=O)C(C)(C)CCNC(C)(C)/C=C/Cl ZINC000896746290 583829565 /nfs/dbraw/zinc/82/95/65/583829565.db2.gz BMBKVFPYFGRBTP-SOFGYWHQSA-N 0 3 247.766 2.696 20 0 BFADHN COC(=O)C(C)(C)CCNC(C)(C)/C=C\Cl ZINC000896746291 583829709 /nfs/dbraw/zinc/82/97/09/583829709.db2.gz BMBKVFPYFGRBTP-VURMDHGXSA-N 0 3 247.766 2.696 20 0 BFADHN CCC[C@@H](CNC(C)(C)/C=C\Cl)OC ZINC000896747618 583830123 /nfs/dbraw/zinc/83/01/23/583830123.db2.gz NQXWXKCXGNPKMY-DMEOUFDRSA-N 0 3 219.756 2.922 20 0 BFADHN c1cc2n(c1)CCN(C[C@H]1CC=CCC1)C2 ZINC000896760771 583831489 /nfs/dbraw/zinc/83/14/89/583831489.db2.gz OGUAICJRUYDDIW-ZDUSSCGKSA-N 0 3 216.328 2.660 20 0 BFADHN CC(C)C1CC(NCc2ccnc(F)c2)C1 ZINC000858160307 588936319 /nfs/dbraw/zinc/93/63/19/588936319.db2.gz HZEQRZFNCUCRKK-UHFFFAOYSA-N 0 3 222.307 2.745 20 0 BFADHN CCc1nc(CN[C@H](C)[C@@H]2C[C@H]2C2CC2)co1 ZINC000858171718 588936871 /nfs/dbraw/zinc/93/68/71/588936871.db2.gz BFLWRGOGNTURCT-ICCXJUOJSA-N 0 3 234.343 2.761 20 0 BFADHN OCc1cc(N[C@H]2CCCC3(CC3)C2)ccn1 ZINC000897043267 583874021 /nfs/dbraw/zinc/87/40/21/583874021.db2.gz SFTFWKYHUBFCGI-LBPRGKRZSA-N 0 3 232.327 2.709 20 0 BFADHN CCO[C@H]1CCN(C/C=C\c2cccc(F)c2)C1 ZINC000897061330 583875275 /nfs/dbraw/zinc/87/52/75/583875275.db2.gz IHWWBZUXMLJDOI-VOKGJARPSA-N 0 3 249.329 2.950 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(OC)cc2C)C1 ZINC000897062296 583876562 /nfs/dbraw/zinc/87/65/62/583876562.db2.gz RIENQEBXXDDWBD-HNNXBMFYSA-N 0 3 249.354 2.614 20 0 BFADHN CCO[C@H]1CCN(Cc2ccc(F)c(C)c2)C1 ZINC000897069487 583877395 /nfs/dbraw/zinc/87/73/95/583877395.db2.gz VLOCVVDMSQTINX-ZDUSSCGKSA-N 0 3 237.318 2.745 20 0 BFADHN Cc1nnc(CNCC2CCC=CCC2)s1 ZINC000897244869 583901817 /nfs/dbraw/zinc/90/18/17/583901817.db2.gz QPRAPJPCDYGPBC-UHFFFAOYSA-N 0 3 237.372 2.683 20 0 BFADHN c1cc(CNCC2CCC=CCC2)no1 ZINC000897245213 583901834 /nfs/dbraw/zinc/90/18/34/583901834.db2.gz ZHYHTWSJSFTTSE-UHFFFAOYSA-N 0 3 206.289 2.511 20 0 BFADHN Cc1cc(CN[C@@H]2CCCSC2)c(C)o1 ZINC000121308437 583912616 /nfs/dbraw/zinc/91/26/16/583912616.db2.gz LFIDYMVSBZKPJA-GFCCVEGCSA-N 0 3 225.357 2.882 20 0 BFADHN CC[C@@H]([NH2+]Cc1cncc([O-])c1)C1CCCC1 ZINC000897315966 583918053 /nfs/dbraw/zinc/91/80/53/583918053.db2.gz UXVGDWPLLAZXIQ-CQSZACIVSA-N 0 3 234.343 2.846 20 0 BFADHN C[C@@H](C[NH2+]Cc1cncc([O-])c1)C(C)(C)C ZINC000897314917 583918174 /nfs/dbraw/zinc/91/81/74/583918174.db2.gz DFTOCOHXYAIDHQ-JTQLQIEISA-N 0 3 222.332 2.559 20 0 BFADHN [O-]c1cncc(C[NH2+][C@H]2CCC[C@H](C3CC3)C2)c1 ZINC000897316148 583918728 /nfs/dbraw/zinc/91/87/28/583918728.db2.gz WNZGFOYQCODRCS-KBPBESRZSA-N 0 3 246.354 2.846 20 0 BFADHN C[C@@H]1CCCC[C@@H]1Nc1ccnc(CO)c1 ZINC000858312007 588944224 /nfs/dbraw/zinc/94/42/24/588944224.db2.gz RXJWUEHOFFASAM-MFKMUULPSA-N 0 3 220.316 2.565 20 0 BFADHN CO[C@H](C)[C@H](C)N[C@H](C)c1cccc(O)c1F ZINC000872064914 583944857 /nfs/dbraw/zinc/94/48/57/583944857.db2.gz PCUXKQSGZOKGHG-IVZWLZJFSA-N 0 3 241.306 2.605 20 0 BFADHN COc1ccc(CNCC(C)(C)C2CC2)o1 ZINC000897435244 583976223 /nfs/dbraw/zinc/97/62/23/583976223.db2.gz JLDQLKNNZATVKO-UHFFFAOYSA-N 0 3 223.316 2.814 20 0 BFADHN C[C@H](Cc1cccs1)Nc1ccnc(CO)c1 ZINC000858344621 588947652 /nfs/dbraw/zinc/94/76/52/588947652.db2.gz ROINRBDVVJNGTD-SNVBAGLBSA-N 0 3 248.351 2.679 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1Nc1ccnc(CO)c1 ZINC000858346266 588947719 /nfs/dbraw/zinc/94/77/19/588947719.db2.gz HEALYAYHSZNBFC-RISCZKNCSA-N 0 3 234.343 2.955 20 0 BFADHN CC(C)c1cccc([C@@H](C)N[C@@H]2CC[C@H]2O)c1 ZINC000858427917 588953674 /nfs/dbraw/zinc/95/36/74/588953674.db2.gz LGZZQJSAOWKLGW-KCPJHIHWSA-N 0 3 233.355 2.984 20 0 BFADHN CCc1ccc(CNCC2(C3(O)CCC3)CC2)o1 ZINC000897530456 584007328 /nfs/dbraw/zinc/00/73/28/584007328.db2.gz KGRWXWCYGWUIGO-UHFFFAOYSA-N 0 3 249.354 2.627 20 0 BFADHN C[C@@H](O)CN(C/C=C\Cl)C1CCCCC1 ZINC000729545293 584017972 /nfs/dbraw/zinc/01/79/72/584017972.db2.gz SAOLESILVHKBBI-UIJKISKDSA-N 0 3 231.767 2.754 20 0 BFADHN CSCCN1CCc2c(C)cccc2C1 ZINC000729543042 584018105 /nfs/dbraw/zinc/01/81/05/584018105.db2.gz CSHBJELWYFAWRP-UHFFFAOYSA-N 0 3 221.369 2.716 20 0 BFADHN Cc1csc([C@H](C)Nc2ccnc(CO)c2)n1 ZINC000858388529 588950560 /nfs/dbraw/zinc/95/05/60/588950560.db2.gz RWFOJXHAZREKSD-VIFPVBQESA-N 0 3 249.339 2.512 20 0 BFADHN CCCc1ccc(CN2C[C@H](C)[C@H](O)C2)s1 ZINC000897679732 584036951 /nfs/dbraw/zinc/03/69/51/584036951.db2.gz LGIPHDFQIAULOJ-GXFFZTMASA-N 0 3 239.384 2.513 20 0 BFADHN CCCc1ccc(CN2C[C@@H](C)[C@@H](O)C2)s1 ZINC000897679733 584037643 /nfs/dbraw/zinc/03/76/43/584037643.db2.gz LGIPHDFQIAULOJ-MFKMUULPSA-N 0 3 239.384 2.513 20 0 BFADHN C[C@H](CNc1ccnc(CO)c1)C(C)(C)C ZINC000858412122 588952512 /nfs/dbraw/zinc/95/25/12/588952512.db2.gz RNLJXUVCBNMVKD-SNVBAGLBSA-N 0 3 222.332 2.668 20 0 BFADHN CC[C@@H]1CCC[C@H](Nc2ccnc(CO)c2)C1 ZINC000858419453 588952791 /nfs/dbraw/zinc/95/27/91/588952791.db2.gz MIOJEJCMMNUXHB-NEPJUHHUSA-N 0 3 234.343 2.955 20 0 BFADHN CC[C@H]1CCC[C@H](Nc2ccnc(CO)c2)C1 ZINC000858419455 588952849 /nfs/dbraw/zinc/95/28/49/588952849.db2.gz MIOJEJCMMNUXHB-RYUDHWBXSA-N 0 3 234.343 2.955 20 0 BFADHN CC[C@@H]1CCC[C@@H](Nc2ccnc(CO)c2)C1 ZINC000858419456 588952917 /nfs/dbraw/zinc/95/29/17/588952917.db2.gz MIOJEJCMMNUXHB-VXGBXAGGSA-N 0 3 234.343 2.955 20 0 BFADHN Cc1ccoc1CN[C@H](C)Cc1cccnc1 ZINC000897970323 584061231 /nfs/dbraw/zinc/06/12/31/584061231.db2.gz SAQXLVUICASUGE-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN Cc1ccoc1CN[C@@H](C)Cc1cccnc1 ZINC000897970325 584061543 /nfs/dbraw/zinc/06/15/43/584061543.db2.gz SAQXLVUICASUGE-LBPRGKRZSA-N 0 3 230.311 2.704 20 0 BFADHN CC(C)(C)CCCNc1ccnc(CO)c1 ZINC000858420951 588953336 /nfs/dbraw/zinc/95/33/36/588953336.db2.gz WSSHITMVIIPGKA-UHFFFAOYSA-N 0 3 222.332 2.812 20 0 BFADHN Cc1nc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)cs1 ZINC000897952690 584063652 /nfs/dbraw/zinc/06/36/52/584063652.db2.gz IVYMIISQDNZNHL-MVWJERBFSA-N 0 3 222.357 2.730 20 0 BFADHN OCc1cc(N[C@@H]2C[C@@H]2C2CCCCC2)ccn1 ZINC000858424202 588953378 /nfs/dbraw/zinc/95/33/78/588953378.db2.gz VTVXKNUORFZGLK-HUUCEWRRSA-N 0 3 246.354 2.955 20 0 BFADHN CC(C)n1nccc1CN[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000897954169 584064388 /nfs/dbraw/zinc/06/43/88/584064388.db2.gz BJKWOCIXVWLEHJ-IACUBPJLSA-N 0 3 233.359 2.742 20 0 BFADHN CCc1cnc(CN[C@@H]2C[C@H]3CCC[C@H]32)s1 ZINC000897955744 584065308 /nfs/dbraw/zinc/06/53/08/584065308.db2.gz YDKPZPJVRIKVNV-YUSALJHKSA-N 0 3 236.384 2.984 20 0 BFADHN CCCn1nccc1CN[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897957658 584065681 /nfs/dbraw/zinc/06/56/81/584065681.db2.gz DIKNIOSTWQIMLR-FPMFFAJLSA-N 0 3 233.359 2.571 20 0 BFADHN CCc1onc(C)c1CN[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897959960 584067586 /nfs/dbraw/zinc/06/75/86/584067586.db2.gz MKJORWAPVDTEQC-GMXVVIOVSA-N 0 3 234.343 2.824 20 0 BFADHN c1nc(C2CC2)ncc1CN[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000897960111 584068169 /nfs/dbraw/zinc/06/81/69/584068169.db2.gz ZCPMWZGESCFAPB-MELADBBJSA-N 0 3 243.354 2.632 20 0 BFADHN CCOc1ccc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)o1 ZINC000897960239 584068225 /nfs/dbraw/zinc/06/82/25/584068225.db2.gz PGWDTHACXSNVSO-CYZMBNFOSA-N 0 3 235.327 2.957 20 0 BFADHN Cc1coc(CN[C@H](C)Cc2cccnc2)c1 ZINC000897965899 584069143 /nfs/dbraw/zinc/06/91/43/584069143.db2.gz YINOSLTYWIPNJM-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN CCc1ccc(CN[C@@H](C)Cc2cccnc2)o1 ZINC000897969113 584069964 /nfs/dbraw/zinc/06/99/64/584069964.db2.gz FBUGIUZFMRRYIV-LBPRGKRZSA-N 0 3 244.338 2.958 20 0 BFADHN C[C@@H]1C[C@@H]1c1ccc(CNC2CC(CCO)C2)o1 ZINC000898008303 584071081 /nfs/dbraw/zinc/07/10/81/584071081.db2.gz RJUHFKYCIQQQMR-NPZBDFSRSA-N 0 3 249.354 2.654 20 0 BFADHN Cc1ncoc1CNCC[C@H]1CCC(F)(F)C1 ZINC000898014135 584074241 /nfs/dbraw/zinc/07/42/41/584074241.db2.gz ODVNKXMXLOHARD-SNVBAGLBSA-N 0 3 244.285 2.898 20 0 BFADHN CCC(CC)NCc1cc(C(F)(F)F)n(C)n1 ZINC000898021376 584075647 /nfs/dbraw/zinc/07/56/47/584075647.db2.gz FBPZKDWUFDYTRQ-UHFFFAOYSA-N 0 3 249.280 2.717 20 0 BFADHN CC[C@H](C)CNCc1cc(C(F)(F)F)n(C)n1 ZINC000898021285 584075751 /nfs/dbraw/zinc/07/57/51/584075751.db2.gz AOXWMDLEKOKLQU-QMMMGPOBSA-N 0 3 249.280 2.575 20 0 BFADHN Cc1c[nH]c(CNC[C@@H]2CCC=CCCC2)n1 ZINC000898032619 584077933 /nfs/dbraw/zinc/07/79/33/584077933.db2.gz VFPLCGLFWNOFEM-CYBMUJFWSA-N 0 3 233.359 2.944 20 0 BFADHN Cn1ccnc1CNC[C@@H]1CCC=CCCC1 ZINC000898032493 584078035 /nfs/dbraw/zinc/07/80/35/584078035.db2.gz SEBASLYOUDBEIV-CYBMUJFWSA-N 0 3 233.359 2.646 20 0 BFADHN Cc1cnc([C@H](C)Nc2ccnc(CO)c2)s1 ZINC000858438067 588954986 /nfs/dbraw/zinc/95/49/86/588954986.db2.gz QXCLUZWRRXLMGT-VIFPVBQESA-N 0 3 249.339 2.512 20 0 BFADHN CCn1ncc(CNC[C@@H]2CCC=CCCC2)n1 ZINC000898032839 584078271 /nfs/dbraw/zinc/07/82/71/584078271.db2.gz YATPUQZVPVCMSI-CYBMUJFWSA-N 0 3 248.374 2.524 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2cn(C)cn2)CC1 ZINC000898042586 584080230 /nfs/dbraw/zinc/08/02/30/584080230.db2.gz SLROLWZSEMQPFM-STQMWFEESA-N 0 3 235.375 2.869 20 0 BFADHN CC[C@@H](Cc1cccs1)NCc1cn(C)cn1 ZINC000898043753 584081524 /nfs/dbraw/zinc/08/15/24/584081524.db2.gz ZTOOKKUCZLSGQB-NSHDSACASA-N 0 3 249.383 2.593 20 0 BFADHN CC[C@H](C)C[C@H](CC)NCc1cn(C)cn1 ZINC000898043661 584081538 /nfs/dbraw/zinc/08/15/38/584081538.db2.gz XDKQZXGKCKKEFF-RYUDHWBXSA-N 0 3 223.364 2.725 20 0 BFADHN Cc1occc1CNC[C@]12C[C@H]1COC21CCC1 ZINC000898061701 584081546 /nfs/dbraw/zinc/08/15/46/584081546.db2.gz GWXGKOSAJJNSEK-UONOGXRCSA-N 0 3 247.338 2.637 20 0 BFADHN c1nn(C2CCC2)cc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000898071115 584082230 /nfs/dbraw/zinc/08/22/30/584082230.db2.gz FOSLWIFSCYVNTC-OKILXGFUSA-N 0 3 245.370 2.840 20 0 BFADHN c1csc(C[C@@H]2CCN(Cc3ccoc3)C2)n1 ZINC000898071237 584082520 /nfs/dbraw/zinc/08/25/20/584082520.db2.gz HVHHJRIAXOWJDG-NSHDSACASA-N 0 3 248.351 2.801 20 0 BFADHN CC[C@H](C[C@@H](C)CC)NCc1cnn(CC)n1 ZINC000858444549 588955582 /nfs/dbraw/zinc/95/55/82/588955582.db2.gz YVVYDMXKDGZUQI-NWDGAFQWSA-N 0 3 238.379 2.602 20 0 BFADHN CC(C)C[C@H]1CCCCN1c1ccnc(CO)c1 ZINC000858442905 588955651 /nfs/dbraw/zinc/95/56/51/588955651.db2.gz CNKUKSVPKKANHP-CQSZACIVSA-N 0 3 248.370 2.979 20 0 BFADHN OCc1cc(NCC2(C3CC3)CCC2)ccn1 ZINC000858455714 588956403 /nfs/dbraw/zinc/95/64/03/588956403.db2.gz SRTAJSBQJJDVFD-UHFFFAOYSA-N 0 3 232.327 2.566 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCc1nccn1C1CC1 ZINC000898223569 584101980 /nfs/dbraw/zinc/10/19/80/584101980.db2.gz ZFIBTWPPTNBOLL-DGCLKSJQSA-N 0 3 231.343 2.662 20 0 BFADHN C[C@@H]1CC=CC[C@H]1NCc1cc(F)ncc1F ZINC000898223946 584102115 /nfs/dbraw/zinc/10/21/15/584102115.db2.gz KODYOZWSKSCUEQ-BXKDBHETSA-N 0 3 238.281 2.804 20 0 BFADHN COC1(CNCc2cccnc2)CCCCCC1 ZINC000898263082 584103580 /nfs/dbraw/zinc/10/35/80/584103580.db2.gz DHTALDIRHARSDO-UHFFFAOYSA-N 0 3 248.370 2.911 20 0 BFADHN CC1(C)C[C@H](N[C@@H](c2ccncc2)C2CC2)CO1 ZINC000898321323 584107138 /nfs/dbraw/zinc/10/71/38/584107138.db2.gz QPJWOAWZQGUWFV-UONOGXRCSA-N 0 3 246.354 2.690 20 0 BFADHN CC(C)OC[C@H](C)N[C@H](c1ccncc1)C1CC1 ZINC000898319243 584111031 /nfs/dbraw/zinc/11/10/31/584111031.db2.gz BALRHGPFPOPWIB-WFASDCNBSA-N 0 3 248.370 2.936 20 0 BFADHN CO[C@H](C)CN[C@@H]1CCCc2c(F)cccc21 ZINC000866345383 584118134 /nfs/dbraw/zinc/11/81/34/584118134.db2.gz ONCMHKIFEKRWGX-QMTHXVAHSA-N 0 3 237.318 2.828 20 0 BFADHN COC(=O)C1=CC[C@@H](N[C@@H](C)c2ccccc2)C1 ZINC000858477089 588958925 /nfs/dbraw/zinc/95/89/25/588958925.db2.gz UMJAFBQJXKYAPI-SMDDNHRTSA-N 0 3 245.322 2.599 20 0 BFADHN C[C@H](Cc1ccc(O)cc1)NC/C=C\Cl ZINC000898427698 584133261 /nfs/dbraw/zinc/13/32/61/584133261.db2.gz DNFYREIRKFHSER-RQVRIRCRSA-N 0 3 225.719 2.665 20 0 BFADHN Cc1ccc(CN2C[C@@H]3CSC[C@]3(C)C2)o1 ZINC000898422682 584133456 /nfs/dbraw/zinc/13/34/56/584133456.db2.gz MTWGLXUBKJLZFS-YPMHNXCESA-N 0 3 237.368 2.773 20 0 BFADHN COc1ccccc1C[C@H](C)NC/C=C/Cl ZINC000898431930 584134229 /nfs/dbraw/zinc/13/42/29/584134229.db2.gz DEJWKVYWQXTTIT-BWNDKRECSA-N 0 3 239.746 2.968 20 0 BFADHN COc1cc(CNC/C=C/Cl)ccc1C ZINC000898434084 584135334 /nfs/dbraw/zinc/13/53/34/584135334.db2.gz SPJGHAMPCLZBJV-ZZXKWVIFSA-N 0 3 225.719 2.846 20 0 BFADHN Cl/C=C/CN[C@H]1CCN(c2ccccc2)C1 ZINC000898434303 584135953 /nfs/dbraw/zinc/13/59/53/584135953.db2.gz VFCJXTPJZAUPMW-GXWOQWCDSA-N 0 3 236.746 2.607 20 0 BFADHN C[C@@H](Nc1ccnc(CO)c1)c1ccsc1 ZINC000858531214 588963138 /nfs/dbraw/zinc/96/31/38/588963138.db2.gz AUPICWBODHZIJJ-SECBINFHSA-N 0 3 234.324 2.809 20 0 BFADHN C/C=C/CN[C@@H]1CCc2nc(Cl)ccc21 ZINC000858537550 588963631 /nfs/dbraw/zinc/96/36/31/588963631.db2.gz OHPLZXGJEORRBC-VMZHVLLKSA-N 0 3 222.719 2.888 20 0 BFADHN CC[C@H]1CC[C@H](Nc2ccnc(CO)c2)CC1 ZINC000858554916 588965024 /nfs/dbraw/zinc/96/50/24/588965024.db2.gz QALJDLQPFSHLOF-HAQNSBGRSA-N 0 3 234.343 2.955 20 0 BFADHN C[C@@H](CC(C)(C)C)Nc1ccnc(CO)c1 ZINC000858566197 588966995 /nfs/dbraw/zinc/96/69/95/588966995.db2.gz NJSXHXVAVQKNCC-JTQLQIEISA-N 0 3 222.332 2.811 20 0 BFADHN CCC[C@@H](N)c1cn(CC2CCC=CCC2)nn1 ZINC000899742831 584236287 /nfs/dbraw/zinc/23/62/87/584236287.db2.gz JTLAEXCULIJTMX-CYBMUJFWSA-N 0 3 248.374 2.824 20 0 BFADHN O=C(Nc1ccccc1)[C@@H]1CC[C@H]2CCCCN21 ZINC000899911218 584252732 /nfs/dbraw/zinc/25/27/32/584252732.db2.gz PAQUJACDRTZUGK-KGLIPLIRSA-N 0 3 244.338 2.642 20 0 BFADHN Fc1cccc(NC[C@@H]2CCN2C2CCCC2)n1 ZINC000858780995 588976180 /nfs/dbraw/zinc/97/61/80/588976180.db2.gz UZIZGUAXVSAJRZ-LBPRGKRZSA-N 0 3 249.333 2.650 20 0 BFADHN CN(C)CCc1cc(-c2ccccc2F)no1 ZINC000901399711 584434584 /nfs/dbraw/zinc/43/45/84/584434584.db2.gz KCUQZROVUJJBKB-UHFFFAOYSA-N 0 3 234.274 2.585 20 0 BFADHN CC(C)NCc1cc(-c2ccc(F)cc2)no1 ZINC000901386111 584436253 /nfs/dbraw/zinc/43/62/53/584436253.db2.gz XLFKIAXNSQRBMN-UHFFFAOYSA-N 0 3 234.274 2.979 20 0 BFADHN CCNCc1cc(-c2ccccc2OCC)no1 ZINC000901396670 584438212 /nfs/dbraw/zinc/43/82/12/584438212.db2.gz VUPUKGIWLPOFSD-UHFFFAOYSA-N 0 3 246.310 2.850 20 0 BFADHN CCn1cnc(CN[C@@H]2CCCC[C@@H]2C)c1 ZINC000902025597 584512773 /nfs/dbraw/zinc/51/27/73/584512773.db2.gz HIFCAYALFFEVPF-WCQYABFASA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cnc(CNCCC2CCCC2)c1 ZINC000902051011 584517429 /nfs/dbraw/zinc/51/74/29/584517429.db2.gz JVHSLVTYRWPXMC-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CCC1CCC(NCc2cn(CC)cn2)CC1 ZINC000902079451 584520626 /nfs/dbraw/zinc/52/06/26/584520626.db2.gz WJBOBOOQUXEACV-UHFFFAOYSA-N 0 3 235.375 2.961 20 0 BFADHN CNc1ccccc1CNCCc1ccc(C)o1 ZINC000902066240 584523247 /nfs/dbraw/zinc/52/32/47/584523247.db2.gz NQACZZGWIWQFMF-UHFFFAOYSA-N 0 3 244.338 2.962 20 0 BFADHN CCn1cnc(CNC2[C@H](C)CCC[C@H]2C)c1 ZINC000902110539 584526715 /nfs/dbraw/zinc/52/67/15/584526715.db2.gz BUTSJVPRXQHZIY-VXGBXAGGSA-N 0 3 235.375 2.817 20 0 BFADHN CCn1cnc(CNCC2(C)CCCC2)c1 ZINC000902223160 584540429 /nfs/dbraw/zinc/54/04/29/584540429.db2.gz GYTTWHBFFPZRBH-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CCn1cnc(CN[C@@H](C)C2CCCC2)c1 ZINC000902244787 584546496 /nfs/dbraw/zinc/54/64/96/584546496.db2.gz ZMGUDEXEZOYXBZ-NSHDSACASA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cnc(CN[C@@H]2CC[C@H]3CCC[C@H]3C2)c1 ZINC000902280194 584547427 /nfs/dbraw/zinc/54/74/27/584547427.db2.gz YLEMJQIPSVGIJR-HZSPNIEDSA-N 0 3 247.386 2.961 20 0 BFADHN Cc1[nH]ncc1CNc1cccnc1C1CC1 ZINC000902288287 584548056 /nfs/dbraw/zinc/54/80/56/584548056.db2.gz GZPZVOUARKJOCQ-UHFFFAOYSA-N 0 3 228.299 2.603 20 0 BFADHN CCn1cnc(CN[C@H]2C[C@@H]2C2CCCCC2)c1 ZINC000902276723 584551035 /nfs/dbraw/zinc/55/10/35/584551035.db2.gz YIQVSPYYENWNJL-CABCVRRESA-N 0 3 247.386 2.961 20 0 BFADHN CNc1ccccc1CN[C@H]1C[C@H](OC)C1(C)C ZINC000902306648 584554516 /nfs/dbraw/zinc/55/45/16/584554516.db2.gz WVWVEJXOYQGLMJ-KBPBESRZSA-N 0 3 248.370 2.631 20 0 BFADHN CCCC1(CNCc2cn(CC)cn2)CCC1 ZINC000902313368 584554782 /nfs/dbraw/zinc/55/47/82/584554782.db2.gz QTNIOCVYLUIZFK-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1cn(CC)cn1 ZINC000902310965 584555128 /nfs/dbraw/zinc/55/51/28/584555128.db2.gz HTALFLNNLNZIRM-WCQYABFASA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cnc(CN[C@@H]2CCCCC2(C)C)c1 ZINC000902310583 584555168 /nfs/dbraw/zinc/55/51/68/584555168.db2.gz FIADWFYZPZCAEZ-CYBMUJFWSA-N 0 3 235.375 2.961 20 0 BFADHN CCn1cnc(CN[C@H]2CC3CCC2CC3)c1 ZINC000902337222 584565377 /nfs/dbraw/zinc/56/53/77/584565377.db2.gz PTWAHDOECFPJTF-YIZWMMSDSA-N 0 3 233.359 2.571 20 0 BFADHN CN(Cc1ccnc(F)c1)C1CCCC1 ZINC000859060106 588995351 /nfs/dbraw/zinc/99/53/51/588995351.db2.gz OIJUCQNHNBUSBC-UHFFFAOYSA-N 0 3 208.280 2.595 20 0 BFADHN CNc1ccc(C)cc1CN[C@@H](COC)C1CC1 ZINC000902402357 584587338 /nfs/dbraw/zinc/58/73/38/584587338.db2.gz BYHSJQVMLJUCLD-HNNXBMFYSA-N 0 3 248.370 2.551 20 0 BFADHN Cc1nnsc1COc1cc(C)nc(C)c1C ZINC000902416751 584590638 /nfs/dbraw/zinc/59/06/38/584590638.db2.gz HTVCRORBJVRHOG-UHFFFAOYSA-N 0 3 249.339 2.746 20 0 BFADHN Cc1cc(OC[C@@H]2C[C@]23CCOC3)c(C)c(C)n1 ZINC000902418690 584591621 /nfs/dbraw/zinc/59/16/21/584591621.db2.gz QRHZCBADHBEEQS-ZFWWWQNUSA-N 0 3 247.338 2.812 20 0 BFADHN Cc1cc(OC[C@H]2C[C@]23CCOC3)c(C)c(C)n1 ZINC000902418686 584591752 /nfs/dbraw/zinc/59/17/52/584591752.db2.gz QRHZCBADHBEEQS-HIFRSBDPSA-N 0 3 247.338 2.812 20 0 BFADHN C[C@@H]1CCC[C@@H](C)C1NCc1csc(N)n1 ZINC000902490471 584617672 /nfs/dbraw/zinc/61/76/72/584617672.db2.gz OIDDDDPAWRHRPN-RKDXNWHRSA-N 0 3 239.388 2.640 20 0 BFADHN C[C@@H]1CCC[C@H](NCc2csc(N)n2)[C@H]1C ZINC000902499687 584619873 /nfs/dbraw/zinc/61/98/73/584619873.db2.gz QGALNPJZMDGMFU-YWVKMMECSA-N 0 3 239.388 2.640 20 0 BFADHN CC1(C)CCCC[C@H]1NCc1csc(N)n1 ZINC000902487181 584626125 /nfs/dbraw/zinc/62/61/25/584626125.db2.gz BZEMRGAZURIHSP-SNVBAGLBSA-N 0 3 239.388 2.784 20 0 BFADHN C[C@H]1CCCN(Cc2ccnc(F)c2)CC1 ZINC000859094638 589000905 /nfs/dbraw/zinc/00/09/05/589000905.db2.gz HFPYERMYKUZNKD-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN CCO[C@H]1C[C@@H](NCc2cc(C)ccc2NC)C1 ZINC000902594331 584635665 /nfs/dbraw/zinc/63/56/65/584635665.db2.gz JXXUCCSCBJLTLY-OKILXGFUSA-N 0 3 248.370 2.694 20 0 BFADHN CCn1cnc(CNCCC(C)(C)C2CC2)c1 ZINC000902574510 584637369 /nfs/dbraw/zinc/63/73/69/584637369.db2.gz ITTTVQDEAFZELD-UHFFFAOYSA-N 0 3 235.375 2.819 20 0 BFADHN CCC[C@@H](CNCc1ccccc1NC)OC ZINC000902577760 584637995 /nfs/dbraw/zinc/63/79/95/584637995.db2.gz GOKUSQWBBYCUSR-ZDUSSCGKSA-N 0 3 236.359 2.633 20 0 BFADHN CCn1cnc(CNCC2CCCCCC2)c1 ZINC000902585107 584638986 /nfs/dbraw/zinc/63/89/86/584638986.db2.gz QNRGNTGQYIKECR-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN CCn1cnc(CN[C@@H]2CCC[C@H](C3CC3)C2)c1 ZINC000902609283 584643201 /nfs/dbraw/zinc/64/32/01/584643201.db2.gz XQTMHJOPCFMHBO-UONOGXRCSA-N 0 3 247.386 2.961 20 0 BFADHN CCCN(Cc1cc(Cl)n(C)n1)CC(C)C ZINC000859099267 589001753 /nfs/dbraw/zinc/00/17/53/589001753.db2.gz ZORANJZBUVIGBT-UHFFFAOYSA-N 0 3 243.782 2.942 20 0 BFADHN CCNc1ccccc1CN[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000902631200 584646899 /nfs/dbraw/zinc/64/68/99/584646899.db2.gz VOJMUBRYBYSVSW-LALPHHSUSA-N 0 3 248.370 2.774 20 0 BFADHN CCn1cnc(CN[C@H](C)CC2CCC2)c1 ZINC000902631031 584647103 /nfs/dbraw/zinc/64/71/03/584647103.db2.gz FFFDGPWRIKSTFQ-LLVKDONJSA-N 0 3 221.348 2.571 20 0 BFADHN CCn1cnc(CNCCCCC2CC2)c1 ZINC000902634926 584648680 /nfs/dbraw/zinc/64/86/80/584648680.db2.gz WEHXMEXMYWABSN-UHFFFAOYSA-N 0 3 221.348 2.573 20 0 BFADHN CCn1cnc(CNCC2CCC=CCC2)c1 ZINC000902658732 584650266 /nfs/dbraw/zinc/65/02/66/584650266.db2.gz AVZALXFUMJEMOP-UHFFFAOYSA-N 0 3 233.359 2.739 20 0 BFADHN CCn1cnc(CN[C@@H]2CCCC3(CC3)C2)c1 ZINC000902681867 584655399 /nfs/dbraw/zinc/65/53/99/584655399.db2.gz KFOMHVMZBKWKEO-GFCCVEGCSA-N 0 3 233.359 2.715 20 0 BFADHN COC(=O)c1ccc([C@H](C)NC2CC2)c(C)c1 ZINC000902721048 584659733 /nfs/dbraw/zinc/65/97/33/584659733.db2.gz RIHJMDQVOCGSFS-JTQLQIEISA-N 0 3 233.311 2.595 20 0 BFADHN CCN(Cc1ccnc(F)c1)CC(C)C ZINC000859074179 588997713 /nfs/dbraw/zinc/99/77/13/588997713.db2.gz ZHJMXOWYFMFXOD-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN Fc1cccnc1CNC1C[C@H]2CCC[C@@H]2C1 ZINC000902885646 584689267 /nfs/dbraw/zinc/68/92/67/584689267.db2.gz CXHLRLCEGGGNCC-GHMZBOCLSA-N 0 3 234.318 2.889 20 0 BFADHN CC1(C)CCN(Cc2ccnc(F)c2)CC1 ZINC000859115973 589004324 /nfs/dbraw/zinc/00/43/24/589004324.db2.gz LSUZJYZFUFKDDS-UHFFFAOYSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H](N[C@@H]1Cc2ccccc2[C@H]1O)c1ccco1 ZINC000902966593 584702414 /nfs/dbraw/zinc/70/24/14/584702414.db2.gz YKIIEBDCIVEBRA-WDBKCZKBSA-N 0 3 243.306 2.589 20 0 BFADHN OCC[C@H](NC1C[C@H]2CCC[C@@H]2C1)c1ccco1 ZINC000902956658 584705713 /nfs/dbraw/zinc/70/57/13/584705713.db2.gz LYAYLYNKNFRKEJ-BZPMIXESSA-N 0 3 249.354 2.871 20 0 BFADHN COc1ccc2c(c1)C[C@@H](C)C[C@@H]2NCCF ZINC000902978621 584707861 /nfs/dbraw/zinc/70/78/61/584707861.db2.gz JCOBMAYMZLDAOV-YGRLFVJLSA-N 0 3 237.318 2.878 20 0 BFADHN CCCn1cc([C@@H](C)N[C@@H]2CCC[C@@H]3C[C@@H]32)nn1 ZINC000903017637 584713330 /nfs/dbraw/zinc/71/33/30/584713330.db2.gz QNAUBCOTWAQYRS-FVCCEPFGSA-N 0 3 248.374 2.527 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2C[C@H]3CCC[C@H]3C2)[n-]1 ZINC000903005064 584716843 /nfs/dbraw/zinc/71/68/43/584716843.db2.gz NPXWIMYVXAECNQ-ZSBIGDGJSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)c1nnc(CN[C@@H]2C[C@H]3CCC[C@H]3C2)[nH]1 ZINC000903005064 584716844 /nfs/dbraw/zinc/71/68/44/584716844.db2.gz NPXWIMYVXAECNQ-ZSBIGDGJSA-N 0 3 248.374 2.596 20 0 BFADHN CC(C)=CCC[C@H](C)N[C@@H](C)c1cn(C)nn1 ZINC000903007405 584717550 /nfs/dbraw/zinc/71/75/50/584717550.db2.gz YPKZPPQMUWHBME-RYUDHWBXSA-N 0 3 236.363 2.601 20 0 BFADHN COc1cccnc1CN[C@@H]1C[C@H]2CCC[C@H]2C1 ZINC000903013813 584718085 /nfs/dbraw/zinc/71/80/85/584718085.db2.gz JHTUNIQGSJZICU-CLLJXQQHSA-N 0 3 246.354 2.759 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCC1(CC2CC2)CC1 ZINC000903087521 584725203 /nfs/dbraw/zinc/72/52/03/584725203.db2.gz MCHHOIDYXUFIFQ-ZDUSSCGKSA-N 0 3 245.370 2.957 20 0 BFADHN CCCn1cc([C@H](C)NC2(C3CC3)CCC2)nn1 ZINC000903095101 584729152 /nfs/dbraw/zinc/72/91/52/584729152.db2.gz TZFRFNDHSOOGCF-NSHDSACASA-N 0 3 248.374 2.671 20 0 BFADHN CO[C@@H]1CCC[C@H]1NC1(c2ccccc2)CC1 ZINC000903135262 584730237 /nfs/dbraw/zinc/73/02/37/584730237.db2.gz IEOFLFGQKIEMPZ-ZIAGYGMSSA-N 0 3 231.339 2.833 20 0 BFADHN CO[C@H]1CCC[C@@H]1NC1(c2ccccc2F)CC1 ZINC000903137481 584730275 /nfs/dbraw/zinc/73/02/75/584730275.db2.gz MPXQZMBWVIERBR-KBPBESRZSA-N 0 3 249.329 2.972 20 0 BFADHN CO[C@@H]1CCC[C@H]1NC1(c2cccc(F)c2)CC1 ZINC000903138572 584730310 /nfs/dbraw/zinc/73/03/10/584730310.db2.gz XBTXCKJPSCOJSK-ZIAGYGMSSA-N 0 3 249.329 2.972 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](NCc2cocn2)[C@H]1C ZINC000903138516 584730384 /nfs/dbraw/zinc/73/03/84/584730384.db2.gz WCUILHPRYFSHIP-BLFANLJRSA-N 0 3 222.332 2.835 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2cocn2)[C@@H]1C ZINC000903138517 584730411 /nfs/dbraw/zinc/73/04/11/584730411.db2.gz WCUILHPRYFSHIP-LSCVPOLPSA-N 0 3 222.332 2.835 20 0 BFADHN CO[C@H]1CCC[C@@H]1NC1(c2ccccc2)CC1 ZINC000903135257 584730549 /nfs/dbraw/zinc/73/05/49/584730549.db2.gz IEOFLFGQKIEMPZ-KBPBESRZSA-N 0 3 231.339 2.833 20 0 BFADHN FC1(F)CC(N[C@H](c2ccccn2)C2CC2)C1 ZINC000903159816 584731051 /nfs/dbraw/zinc/73/10/51/584731051.db2.gz ITLVJJVWHMZSHS-LBPRGKRZSA-N 0 3 238.281 2.920 20 0 BFADHN CC[C@@H](NCC[C@@H]1C[C@H]1C1CC1)c1nccn1C ZINC000903161491 584731547 /nfs/dbraw/zinc/73/15/47/584731547.db2.gz LBCYOFDYMKPWQT-HZSPNIEDSA-N 0 3 247.386 2.897 20 0 BFADHN c1[nH]nc2c1CCC[C@@H]2NCC[C@@H]1C[C@H]1C1CC1 ZINC000903162024 584731579 /nfs/dbraw/zinc/73/15/79/584731579.db2.gz TVOSCJJGZREYIM-XBFCOCLRSA-N 0 3 245.370 2.813 20 0 BFADHN CCn1ccnc1[C@H](C)NCC[C@@H]1CC[C@H]2C[C@H]21 ZINC000903165525 584732211 /nfs/dbraw/zinc/73/22/11/584732211.db2.gz VYDZFKPVYSUCNG-XUXIUFHCSA-N 0 3 247.386 2.990 20 0 BFADHN COc1c(C)cccc1CN[C@H]1CCC[C@@H]1OC ZINC000903196015 584734278 /nfs/dbraw/zinc/73/42/78/584734278.db2.gz FLDPNRVHFOOZAR-KBPBESRZSA-N 0 3 249.354 2.661 20 0 BFADHN COc1c(C)cccc1CN[C@H]1CCC[C@H]1OC ZINC000903196017 584734298 /nfs/dbraw/zinc/73/42/98/584734298.db2.gz FLDPNRVHFOOZAR-UONOGXRCSA-N 0 3 249.354 2.661 20 0 BFADHN COCC1(CN[C@@H](c2ccccn2)C2CC2)CC1 ZINC000903196305 584734495 /nfs/dbraw/zinc/73/44/95/584734495.db2.gz MDZTWOAALJZUEY-CQSZACIVSA-N 0 3 246.354 2.549 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2ncccn2)[C@H]1C ZINC000903204976 584734532 /nfs/dbraw/zinc/73/45/32/584734532.db2.gz ZVTCLBJBHFVSSP-OWTLIXCDSA-N 0 3 233.359 2.637 20 0 BFADHN C/C=C\CN[C@@H](C)c1cccc(COC(C)=O)c1 ZINC000903207924 584736302 /nfs/dbraw/zinc/73/63/02/584736302.db2.gz WRZFNGLNYLTXJX-RXNFCKPNSA-N 0 3 247.338 2.976 20 0 BFADHN CC[C@H](C[C@@H](C)O)N[C@@H](c1ccccn1)C1CC1 ZINC000903211788 584737027 /nfs/dbraw/zinc/73/70/27/584737027.db2.gz FGTHKYALRXSXLW-UXIGCNINSA-N 0 3 248.370 2.672 20 0 BFADHN c1ccc([C@H](NCC[C@H]2CCCO2)C2CC2)nc1 ZINC000903221530 584737749 /nfs/dbraw/zinc/73/77/49/584737749.db2.gz SDVHODYQBCCASU-UKRRQHHQSA-N 0 3 246.354 2.691 20 0 BFADHN Cc1nccnc1[C@@H](C)NCC(C)(C)C1CC1 ZINC000903243354 584738119 /nfs/dbraw/zinc/73/81/19/584738119.db2.gz APQUHTNJEPIAEF-LLVKDONJSA-N 0 3 233.359 2.872 20 0 BFADHN C[C@H]1C[C@H](NCC(C)(C)C2CC2)c2nccn21 ZINC000903239214 584738157 /nfs/dbraw/zinc/73/81/57/584738157.db2.gz BELXUQFHTGCJRY-JQWIXIFHSA-N 0 3 233.359 2.915 20 0 BFADHN CC[C@H](N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C)c1cnn(C)c1 ZINC000903254917 584739446 /nfs/dbraw/zinc/73/94/46/584739446.db2.gz ULWGPHGEHSJNJB-QKGWFMCXSA-N 0 3 233.359 2.505 20 0 BFADHN CC[C@@H](N[C@@H]1CCO[C@H]1C1CC1)c1ccncc1 ZINC000903261533 584740404 /nfs/dbraw/zinc/74/04/04/584740404.db2.gz ZIXPEZPTJXENEI-KFWWJZLASA-N 0 3 246.354 2.690 20 0 BFADHN C[C@@H](N[C@@H]1CCO[C@H]1C1CC1)c1cccc(O)c1 ZINC000903265903 584740993 /nfs/dbraw/zinc/74/09/93/584740993.db2.gz RTRBUVCCABRDMP-KMUNFCNLSA-N 0 3 247.338 2.610 20 0 BFADHN C[C@H]1C[C@@H](Nc2cccc(C3CC3)n2)CCN1C ZINC000903267533 584741523 /nfs/dbraw/zinc/74/15/23/584741523.db2.gz CPQHOQFVFFHNAF-AAEUAGOBSA-N 0 3 245.370 2.854 20 0 BFADHN C[C@@H]1C[C@@H](Nc2cccc(C3CC3)n2)CCN1C ZINC000903267536 584741606 /nfs/dbraw/zinc/74/16/06/584741606.db2.gz CPQHOQFVFFHNAF-YPMHNXCESA-N 0 3 245.370 2.854 20 0 BFADHN CCC[C@H](N[C@@H]1CCN(C)[C@H]1C)c1ccccn1 ZINC000903301582 584745163 /nfs/dbraw/zinc/74/51/63/584745163.db2.gz SCXGRPYGSUOWHQ-GUTXKFCHSA-N 0 3 247.386 2.605 20 0 BFADHN C[C@@H](N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1ccc(F)cc1 ZINC000903287158 584745656 /nfs/dbraw/zinc/74/56/56/584745656.db2.gz AVLTVJYWOQNYCV-AACJMNEGSA-N 0 3 247.313 2.652 20 0 BFADHN c1cnc([C@H](N[C@@H]2CC[C@@H]2C2CC2)C2CC2)nc1 ZINC000903322013 584747023 /nfs/dbraw/zinc/74/70/23/584747023.db2.gz FVLRNTVZNMYENA-MGPQQGTHSA-N 0 3 243.354 2.706 20 0 BFADHN CC[C@H](N[C@H](c1ncccn1)C1CC1)[C@H]1C[C@H]1C ZINC000903323956 584747314 /nfs/dbraw/zinc/74/73/14/584747314.db2.gz PDMMYDFLRGHUEE-SAXRGWBVSA-N 0 3 245.370 2.952 20 0 BFADHN CCCCC1(N[C@@H](C)c2ccnn2C)CC1 ZINC000903308685 584747869 /nfs/dbraw/zinc/74/78/69/584747869.db2.gz BECZAZTVNQLOIS-NSHDSACASA-N 0 3 221.348 2.794 20 0 BFADHN CCCCC1(N[C@H](C)c2ccc(=O)[nH]n2)CC1 ZINC000903310842 584748162 /nfs/dbraw/zinc/74/81/62/584748162.db2.gz LTGXOXWAFDDZJT-SNVBAGLBSA-N 0 3 235.331 2.556 20 0 BFADHN C[C@H](N[C@@H]1C[C@@H]2CCC[C@H]12)c1nccs1 ZINC000903314570 584748998 /nfs/dbraw/zinc/74/89/98/584748998.db2.gz APUPKIUNKYRFQH-XWLWVQCSSA-N 0 3 222.357 2.982 20 0 BFADHN CC[C@H](C)[C@@H](C)N[C@@H](c1ncccn1)C1CC1 ZINC000903333128 584750954 /nfs/dbraw/zinc/75/09/54/584750954.db2.gz PROGJLJBESHZLH-DMDPSCGWSA-N 0 3 233.359 2.952 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H](c2ccccn2)C2CC2)[C@@H](C)O1 ZINC000903335379 584751253 /nfs/dbraw/zinc/75/12/53/584751253.db2.gz OOAGGODOCKNLCF-HKCMKHECSA-N 0 3 246.354 2.688 20 0 BFADHN C[C@@H]1C[C@H](N[C@H](c2ccccn2)C2CC2)[C@H](C)O1 ZINC000903335380 584751327 /nfs/dbraw/zinc/75/13/27/584751327.db2.gz OOAGGODOCKNLCF-PKIAMQTDSA-N 0 3 246.354 2.688 20 0 BFADHN Fc1cc(CN2CC[C@H](c3ccco3)C2)ccn1 ZINC000859135534 589007668 /nfs/dbraw/zinc/00/76/68/589007668.db2.gz HDWHHHRKCGHQEZ-LBPRGKRZSA-N 0 3 246.285 2.803 20 0 BFADHN CC1(C)C[C@@H](N[C@@H](c2ccccn2)C2CC2)CO1 ZINC000903334755 584751430 /nfs/dbraw/zinc/75/14/30/584751430.db2.gz FWEMTVBIYFJFQK-TZMCWYRMSA-N 0 3 246.354 2.690 20 0 BFADHN c1ccc([C@@H](N[C@@H]2CCSC2)C2CC2)nc1 ZINC000903337329 584751779 /nfs/dbraw/zinc/75/17/79/584751779.db2.gz CQTUNCQFAJOVLU-YPMHNXCESA-N 0 3 234.368 2.628 20 0 BFADHN CC[C@H](COC)N[C@@H](c1ccccn1)C1CC1 ZINC000903341107 584752133 /nfs/dbraw/zinc/75/21/33/584752133.db2.gz WFGYBCPWAQMDNK-TZMCWYRMSA-N 0 3 234.343 2.547 20 0 BFADHN CC[C@H](COC)N[C@H](c1ccccn1)C1CC1 ZINC000903341106 584752186 /nfs/dbraw/zinc/75/21/86/584752186.db2.gz WFGYBCPWAQMDNK-OCCSQVGLSA-N 0 3 234.343 2.547 20 0 BFADHN COC[C@@H](N[C@H](c1ccccn1)C1CC1)C1CC1 ZINC000903340674 584752276 /nfs/dbraw/zinc/75/22/76/584752276.db2.gz RUGDJCNXZMWHHK-CABCVRRESA-N 0 3 246.354 2.547 20 0 BFADHN CC[C@@H]1CC[C@H]1N[C@@H](c1ncccn1)C1CC1 ZINC000903326575 584753620 /nfs/dbraw/zinc/75/36/20/584753620.db2.gz YMFDSGYVAJWPCD-RAIGVLPGSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@@H]1CC[C@H](N[C@H](c2ncccn2)C2CC2)C1 ZINC000903329392 584754102 /nfs/dbraw/zinc/75/41/02/584754102.db2.gz CFEODAQRYXMCMI-WXHSDQCUSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@@H]1CC[C@H](N[C@@H](c2ncccn2)C2CC2)C1 ZINC000903329143 584754135 /nfs/dbraw/zinc/75/41/35/584754135.db2.gz CFEODAQRYXMCMI-KGYLQXTDSA-N 0 3 231.343 2.706 20 0 BFADHN C[C@H](CC1CC1)N[C@H](c1ncccn1)C1CC1 ZINC000903332883 584754860 /nfs/dbraw/zinc/75/48/60/584754860.db2.gz PBJCJOOLQPIPKE-MFKMUULPSA-N 0 3 231.343 2.706 20 0 BFADHN CC[C@@H]1CC[C@H](C)C[C@@H]1NCc1cn(C)cn1 ZINC000903350345 584754868 /nfs/dbraw/zinc/75/48/68/584754868.db2.gz ARBJAQCRJGWXLU-SCRDCRAPSA-N 0 3 235.375 2.725 20 0 BFADHN Cn1cnc(CN[C@@H]2[C@@H]3CCCC[C@@H]3C2(C)C)c1 ZINC000903350499 584754948 /nfs/dbraw/zinc/75/49/48/584754948.db2.gz CAKHRENBCFDUPZ-HZSPNIEDSA-N 0 3 247.386 2.725 20 0 BFADHN C[C@@H](CC1CC1)N[C@H](c1ncccn1)C1CC1 ZINC000903332881 584754971 /nfs/dbraw/zinc/75/49/71/584754971.db2.gz PBJCJOOLQPIPKE-GWCFXTLKSA-N 0 3 231.343 2.706 20 0 BFADHN CC[C@@H]1C[C@@H](C)CC[C@H]1NCc1cn(C)cn1 ZINC000903353135 584755871 /nfs/dbraw/zinc/75/58/71/584755871.db2.gz ZJDVVBJJIXDAMJ-OUCADQQQSA-N 0 3 235.375 2.725 20 0 BFADHN C[C@H](N[C@H]1COC[C@@H]1C)c1cc2cnccc2o1 ZINC000903393034 584756142 /nfs/dbraw/zinc/75/61/42/584756142.db2.gz DXAPMCZJPCQPCF-NHCYSSNCSA-N 0 3 246.310 2.513 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2COC[C@H]2C)oc1C ZINC000903393735 584756509 /nfs/dbraw/zinc/75/65/09/584756509.db2.gz SEAPBBVNDMDKQY-SCVCMEIPSA-N 0 3 223.316 2.582 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@H]1COC[C@@H]1C ZINC000903393889 584756523 /nfs/dbraw/zinc/75/65/23/584756523.db2.gz VIZREJSAIDNKLI-MJVIPROJSA-N 0 3 237.318 2.820 20 0 BFADHN CCOc1cccc([C@H](C)N[C@@H]2COC[C@H]2C)c1 ZINC000903396680 584757615 /nfs/dbraw/zinc/75/76/15/584757615.db2.gz RNMWDSSOXWKETC-TYNCELHUSA-N 0 3 249.354 2.771 20 0 BFADHN Cc1cc([C@H](C)NCC[C@H](O)C2CC2)oc1C ZINC000903404961 584758394 /nfs/dbraw/zinc/75/83/94/584758394.db2.gz BEZFMIVNJIYPID-GWCFXTLKSA-N 0 3 237.343 2.708 20 0 BFADHN COc1ccccc1[C@H](C)NCC[C@H](O)C1CC1 ZINC000903404153 584760829 /nfs/dbraw/zinc/76/08/29/584760829.db2.gz ISERYIZAJGIVSH-FZMZJTMJSA-N 0 3 249.354 2.507 20 0 BFADHN Cc1cc([C@H](C)N[C@H]2COC[C@H]2C2CC2)c(C)o1 ZINC000903439207 584762786 /nfs/dbraw/zinc/76/27/86/584762786.db2.gz ZUHZOPGXYASACK-LKTVYLICSA-N 0 3 249.354 2.972 20 0 BFADHN C[C@H](NC1(C)C(C)(C)C1(C)C)c1ccnnc1 ZINC000903442740 584768131 /nfs/dbraw/zinc/76/81/31/584768131.db2.gz SOPLERRLLDMWQB-JTQLQIEISA-N 0 3 233.359 2.952 20 0 BFADHN [O-]c1cccc2c1[C@H]([NH2+]C/C=C/Cl)CC2 ZINC000903443968 584768598 /nfs/dbraw/zinc/76/85/98/584768598.db2.gz MJSGDQSKZRAYRB-CYZOPGGISA-N 0 3 223.703 2.722 20 0 BFADHN C[C@H](NC/C=C/Cl)C1(Br)CC1 ZINC000903446264 584770143 /nfs/dbraw/zinc/77/01/43/584770143.db2.gz XICMKRVFDWCDEB-KNQJERJVSA-N 0 3 238.556 2.645 20 0 BFADHN C[C@@H]1CCN(Cc2ccc3cc[nH]c3c2)CCO1 ZINC000903569394 584783339 /nfs/dbraw/zinc/78/33/39/584783339.db2.gz BLMJJKKFMPXTKT-GFCCVEGCSA-N 0 3 244.338 2.779 20 0 BFADHN C[C@@H]1CC[C@H](C)CN1Cc1ccnc(F)c1 ZINC000859151640 589009860 /nfs/dbraw/zinc/00/98/60/589009860.db2.gz KPIGUMBDFSEWNK-WDEREUQCSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1ccnc(F)c1 ZINC000859163500 589012304 /nfs/dbraw/zinc/01/23/04/589012304.db2.gz NGYUJQOOILVLGH-UWVGGRQHSA-N 0 3 208.280 2.594 20 0 BFADHN CCNCC(=O)Nc1cccc([C@H](C)CC)c1 ZINC000876992692 584888523 /nfs/dbraw/zinc/88/85/23/584888523.db2.gz HLVMMWIGEAPNGG-LLVKDONJSA-N 0 3 234.343 2.748 20 0 BFADHN CC1(C)C[C@@H]1CNCc1nc(Cl)cs1 ZINC000877028267 584888891 /nfs/dbraw/zinc/88/88/91/584888891.db2.gz WXESGKVPHJCNDG-SSDOTTSWSA-N 0 3 230.764 2.932 20 0 BFADHN FCCN1CCC[C@@H]1Cc1ccc(F)cc1 ZINC000759328412 584906579 /nfs/dbraw/zinc/90/65/79/584906579.db2.gz RQCSCVPWFRLJSX-CYBMUJFWSA-N 0 3 225.282 2.802 20 0 BFADHN C[C@@H]1CCN(Cn2ccc(-c3ccccc3)n2)C1 ZINC000731660474 584928117 /nfs/dbraw/zinc/92/81/17/584928117.db2.gz YSQTXCTZNRWLPV-CYBMUJFWSA-N 0 3 241.338 2.849 20 0 BFADHN CCCCCCN(C)CC(=O)N(C)CCCC ZINC000731866782 584930673 /nfs/dbraw/zinc/93/06/73/584930673.db2.gz XZGAQOCQQISAJF-UHFFFAOYSA-N 0 3 242.407 2.757 20 0 BFADHN CCCCN(CN1C[C@H](C)CC1=O)[C@H](C)CC ZINC000733291096 584945909 /nfs/dbraw/zinc/94/59/09/584945909.db2.gz CIVDPODRWYKTRU-CHWSQXEVSA-N 0 3 240.391 2.713 20 0 BFADHN Cc1cc(NCC[C@H]2CCCC[C@H]2C)nc(N)n1 ZINC000733993165 584956401 /nfs/dbraw/zinc/95/64/01/584956401.db2.gz HEDZEMADYPTMHT-ZYHUDNBSSA-N 0 3 248.374 2.996 20 0 BFADHN Cc1nonc1CN1CC[C@H](C)[C@H]2CCCC[C@@H]21 ZINC000734151736 584957713 /nfs/dbraw/zinc/95/77/13/584957713.db2.gz LKLPSWDRNSILJG-SUHUHFCYSA-N 0 3 249.358 2.779 20 0 BFADHN Cc1nonc1CN(CC1CC1)C1CCCCC1 ZINC000734148708 584957842 /nfs/dbraw/zinc/95/78/42/584957842.db2.gz IFZFDKWVDCESTG-UHFFFAOYSA-N 0 3 249.358 2.923 20 0 BFADHN COC(=O)CCCN1Cc2ccccc2[C@@H](C)C1 ZINC000735305590 584975288 /nfs/dbraw/zinc/97/52/88/584975288.db2.gz AKIAFGMMJIVWIG-LBPRGKRZSA-N 0 3 247.338 2.559 20 0 BFADHN C[C@H]1CN(Cc2cn(C)nc2C(C)(C)C)[C@H]1C ZINC000816648964 584981392 /nfs/dbraw/zinc/98/13/92/584981392.db2.gz RDNSQOPPJALGSW-QWRGUYRKSA-N 0 3 235.375 2.558 20 0 BFADHN CCCC(CCC)NCc1nn(CC)nc1C ZINC000818343338 584995547 /nfs/dbraw/zinc/99/55/47/584995547.db2.gz ABQGXWGKOXGGQE-UHFFFAOYSA-N 0 3 238.379 2.665 20 0 BFADHN CC/C=C\CNC[C@@H](O)c1ccc(F)cc1C ZINC000819344690 585002164 /nfs/dbraw/zinc/00/21/64/585002164.db2.gz LAFLDRRVPZZAQE-ZRUQZJFASA-N 0 3 237.318 2.723 20 0 BFADHN c1ccc2c(c1)SC[C@@H]2N[C@@H]1CCCOC1 ZINC000156281504 585021736 /nfs/dbraw/zinc/02/17/36/585021736.db2.gz MPSAZLIJTMYLKD-PWSUYJOCSA-N 0 3 235.352 2.602 20 0 BFADHN c1cc(CN2CCCCCCCC2)n[nH]1 ZINC000824983467 585029118 /nfs/dbraw/zinc/02/91/18/585029118.db2.gz YGAPQJKMRKQLTH-UHFFFAOYSA-N 0 3 207.321 2.566 20 0 BFADHN C[C@@H]1CO[C@@H](C)CN1CC[C@@H]1C[C@H]1C1CC1 ZINC000885940359 585030997 /nfs/dbraw/zinc/03/09/97/585030997.db2.gz HLXWHTINJGCXNU-WVWOOGAGSA-N 0 3 223.360 2.532 20 0 BFADHN C(CN1CCOCC12CCC2)[C@@H]1C[C@H]1C1CC1 ZINC000886081871 585036895 /nfs/dbraw/zinc/03/68/95/585036895.db2.gz ZZVWDSBSWOXQCJ-KGLIPLIRSA-N 0 3 235.371 2.678 20 0 BFADHN C[C@@H]1CCCCCN1CN1CCSC1=S ZINC000738225998 585041860 /nfs/dbraw/zinc/04/18/60/585041860.db2.gz ILHFEKPGBUIORG-SNVBAGLBSA-N 0 3 244.429 2.542 20 0 BFADHN O[C@@H](CN(Cc1cccc(F)c1)C1CC1)C1CC1 ZINC000838794079 585068666 /nfs/dbraw/zinc/06/86/66/585068666.db2.gz SKKRQSJLUMSBNS-HNNXBMFYSA-N 0 3 249.329 2.561 20 0 BFADHN O[C@H](CN1CCC[C@H]1c1cccc(F)c1)C1CC1 ZINC000838797912 585069112 /nfs/dbraw/zinc/06/91/12/585069112.db2.gz DOLLHBYQESPIAX-LSDHHAIUSA-N 0 3 249.329 2.734 20 0 BFADHN CCn1ncc(CN2CCCC23CCCCC3)n1 ZINC000838850175 585074710 /nfs/dbraw/zinc/07/47/10/585074710.db2.gz OCBLKDBFNZIUHJ-UHFFFAOYSA-N 0 3 248.374 2.597 20 0 BFADHN C[C@@H]1CCN(C[C@H](O)C2CC2)[C@@H](c2ccco2)C1 ZINC000838861681 585075801 /nfs/dbraw/zinc/07/58/01/585075801.db2.gz MQVLSCAGIXSWNV-BNOWGMLFSA-N 0 3 249.354 2.824 20 0 BFADHN C[C@H](NCc1cnc(C2CC2)nc1)C1(C)CC1 ZINC000838911636 585078037 /nfs/dbraw/zinc/07/80/37/585078037.db2.gz AVSXLSQMCSJEOX-JTQLQIEISA-N 0 3 231.343 2.632 20 0 BFADHN O[C@@H](CNC1(c2ccc(F)cc2)CCC1)C1CC1 ZINC000838889614 585078567 /nfs/dbraw/zinc/07/85/67/585078567.db2.gz OZSRRIRLJMQUKO-AWEZNQCLSA-N 0 3 249.329 2.565 20 0 BFADHN CCOC(=O)CC(C)(C)CN1CC(C)(CC)C1 ZINC000839142378 585094884 /nfs/dbraw/zinc/09/48/84/585094884.db2.gz FDEGPNJZFFHHSR-UHFFFAOYSA-N 0 3 241.375 2.698 20 0 BFADHN C[C@]1(NCc2cc(F)ncc2F)CC=CCC1 ZINC000839190789 585097509 /nfs/dbraw/zinc/09/75/09/585097509.db2.gz BTRDMHRBOGEVKA-ZDUSSCGKSA-N 0 3 238.281 2.948 20 0 BFADHN CC(C)C[C@@H]1C[C@H]1NCc1cc(F)ncc1F ZINC000839163338 585098291 /nfs/dbraw/zinc/09/82/91/585098291.db2.gz OKKVAQBYEOWQDH-BXKDBHETSA-N 0 3 240.297 2.884 20 0 BFADHN Cc1ccc(CNC2CC(C(F)F)C2)nc1C ZINC000839163745 585098367 /nfs/dbraw/zinc/09/83/67/585098367.db2.gz KRGMTTXEHFHCFK-UHFFFAOYSA-N 0 3 240.297 2.832 20 0 BFADHN CCCCCCN[C@@H](C)c1ccn(C)n1 ZINC000839195730 585098588 /nfs/dbraw/zinc/09/85/88/585098588.db2.gz GHHMIYMAJJYDEW-NSHDSACASA-N 0 3 209.337 2.651 20 0 BFADHN C[C@@H](CN(C)C/C=C\Cl)C(=O)OC(C)(C)C ZINC000839198457 585099099 /nfs/dbraw/zinc/09/90/99/585099099.db2.gz CBZZWNWXNVSQGM-GFVADAIESA-N 0 3 247.766 2.649 20 0 BFADHN CCN1CCC(Oc2ccc(Cl)cn2)CC1 ZINC000839203260 585099699 /nfs/dbraw/zinc/09/96/99/585099699.db2.gz XVBBJXYYPVKOBD-UHFFFAOYSA-N 0 3 240.734 2.598 20 0 BFADHN CCCOC(=O)[C@@H](C)N1CCC[C@H]1CC(C)C ZINC000741777542 585118333 /nfs/dbraw/zinc/11/83/33/585118333.db2.gz JKOQUJKFLQMDOA-OLZOCXBDSA-N 0 3 241.375 2.839 20 0 BFADHN CCCCOC(=O)[C@H](C)N1CCC[C@@H]1C(C)C ZINC000741784815 585118420 /nfs/dbraw/zinc/11/84/20/585118420.db2.gz VIZGUAYPOKUOJN-QWHCGFSZSA-N 0 3 241.375 2.839 20 0 BFADHN CCCOC(=O)[C@H](C)N(C(C)C)C1CCCC1 ZINC000741780230 585118744 /nfs/dbraw/zinc/11/87/44/585118744.db2.gz RSTBWBXKVTVRQZ-LBPRGKRZSA-N 0 3 241.375 2.981 20 0 BFADHN CCCOC(=O)[C@@H](C)N1CCCCC[C@H]1CC ZINC000741794165 585119484 /nfs/dbraw/zinc/11/94/84/585119484.db2.gz GMEFXHBAHQQGNJ-CHWSQXEVSA-N 0 3 241.375 2.983 20 0 BFADHN C[C@@H]1CCC[C@@H](C)N(CN2CCSC2=O)C1 ZINC000742156675 585122505 /nfs/dbraw/zinc/12/25/05/585122505.db2.gz ZNQJREIRNVXPAJ-GHMZBOCLSA-N 0 3 242.388 2.623 20 0 BFADHN Cc1cc(N[C@@H]2CC[C@@H]3CCC[C@H]3C2)nc(N)n1 ZINC000742418192 585125945 /nfs/dbraw/zinc/12/59/45/585125945.db2.gz MBYZLUSUQXMDJT-SDDRHHMPSA-N 0 3 246.358 2.748 20 0 BFADHN CCC[C@H]1CC(=O)N(CN(C)[C@H](C)C(C)C)C1 ZINC000743353900 585135784 /nfs/dbraw/zinc/13/57/84/585135784.db2.gz JLKAPWKAWYNLSI-OLZOCXBDSA-N 0 3 240.391 2.569 20 0 BFADHN CC1(C)CCN(C[C@H](O)c2cccc(F)c2)C1 ZINC000743862737 585141281 /nfs/dbraw/zinc/14/12/81/585141281.db2.gz JTEJFFSEPBAMSD-ZDUSSCGKSA-N 0 3 237.318 2.591 20 0 BFADHN CCCCCC[C@H](C)NC(=O)CN(C)CCC ZINC000746172997 585164484 /nfs/dbraw/zinc/16/44/84/585164484.db2.gz XFUXBJFUKGPBLT-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN COc1ccc(CCCN(C)CCF)cc1 ZINC000746503431 585169395 /nfs/dbraw/zinc/16/93/95/585169395.db2.gz NJYGERZKWVXCRI-UHFFFAOYSA-N 0 3 225.307 2.529 20 0 BFADHN Cc1cc(F)ccc1[C@@H](O)CN1C2CCC1CC2 ZINC000746653102 585171568 /nfs/dbraw/zinc/17/15/68/585171568.db2.gz BGJJEIZJMRGXBE-PIMMBPRGSA-N 0 3 249.329 2.794 20 0 BFADHN CC(=O)CCN1CCC[C@@H]1c1ccc(O)cc1 ZINC000747671027 585182300 /nfs/dbraw/zinc/18/23/00/585182300.db2.gz ZHXUXXJWNLKRQD-CQSZACIVSA-N 0 3 233.311 2.508 20 0 BFADHN CCCOC(=O)[C@H](C)N(CC)C[C@H](C)CC ZINC000748829443 585196867 /nfs/dbraw/zinc/19/68/67/585196867.db2.gz YSFLUPHWXAKBTC-NEPJUHHUSA-N 0 3 229.364 2.696 20 0 BFADHN CCC[C@H](C)CC(=O)N[C@@H](CN(C)C)C(C)C ZINC000748848140 585197264 /nfs/dbraw/zinc/19/72/64/585197264.db2.gz UTVIVRRIEOEPPT-STQMWFEESA-N 0 3 242.407 2.515 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2ccnc(N(C)C)c2)C1 ZINC000754052817 585276375 /nfs/dbraw/zinc/27/63/75/585276375.db2.gz ZZABATJAZUCWMV-CHWSQXEVSA-N 0 3 247.386 2.768 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H]2CC[C@H]2C)[n-]1 ZINC000754100304 585278199 /nfs/dbraw/zinc/27/81/99/585278199.db2.gz CUGLVPRAOGTOKM-VLEAKVRGSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)N[C@H]2CC[C@H]2C)[nH]1 ZINC000754100304 585278200 /nfs/dbraw/zinc/27/82/00/585278200.db2.gz CUGLVPRAOGTOKM-VLEAKVRGSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H]2CC[C@H]2C)[n-]1 ZINC000754100307 585278305 /nfs/dbraw/zinc/27/83/05/585278305.db2.gz CUGLVPRAOGTOKM-ZRUFSTJUSA-N 0 3 236.363 2.767 20 0 BFADHN CC[C@H](C)c1nnc([C@H](C)N[C@@H]2CC[C@H]2C)[nH]1 ZINC000754100307 585278306 /nfs/dbraw/zinc/27/83/06/585278306.db2.gz CUGLVPRAOGTOKM-ZRUFSTJUSA-N 0 3 236.363 2.767 20 0 BFADHN CCCCCCN1CCN(CC)[C@H](C)C1 ZINC000754384595 585283742 /nfs/dbraw/zinc/28/37/42/585283742.db2.gz DUCQLMACGCABGC-CYBMUJFWSA-N 0 3 212.381 2.593 20 0 BFADHN c1ccc([C@@H]2CCN2CCC2OCCCO2)cc1 ZINC000754649903 585288639 /nfs/dbraw/zinc/28/86/39/585288639.db2.gz NCXFFOYSDFDCGE-AWEZNQCLSA-N 0 3 247.338 2.587 20 0 BFADHN CCN(C(=O)CN[C@H](C)C(C)C)c1ccccc1 ZINC000756200185 585315831 /nfs/dbraw/zinc/31/58/31/585315831.db2.gz NCVBLOUTVQWGEI-CYBMUJFWSA-N 0 3 248.370 2.674 20 0 BFADHN CO[C@H]1c2ccccc2C[C@H]1NC/C=C/Cl ZINC000839628312 585332819 /nfs/dbraw/zinc/33/28/19/585332819.db2.gz NRYLZCRIWJOLKF-GPEUJJIWSA-N 0 3 237.730 2.641 20 0 BFADHN CCN(C/C=C(\C)C(=O)OC)C1CC(C)(C)C1 ZINC000839664960 585334740 /nfs/dbraw/zinc/33/47/40/585334740.db2.gz YAMFFMAFZXYALF-YRNVUSSQSA-N 0 3 239.359 2.616 20 0 BFADHN CO[C@@](C)([C@@H](C)NC/C=C\Cl)C1CC1 ZINC000839638435 585335121 /nfs/dbraw/zinc/33/51/21/585335121.db2.gz IRYNGJDRYAXPKR-JNFNLFETSA-N 0 3 217.740 2.532 20 0 BFADHN Cc1ccc2c(c1)CC[C@@H]2NCCOC(C)C ZINC000757687893 585344095 /nfs/dbraw/zinc/34/40/95/585344095.db2.gz TXPMNPLJZKSKIV-HNNXBMFYSA-N 0 3 233.355 2.997 20 0 BFADHN Cc1cc(CN2CCCSCC2)c(C)o1 ZINC000757876274 585346255 /nfs/dbraw/zinc/34/62/55/585346255.db2.gz PQSFEJVAMRHZOO-UHFFFAOYSA-N 0 3 225.357 2.835 20 0 BFADHN COC1CCC(CCNCc2ccoc2)CC1 ZINC000757971493 585347572 /nfs/dbraw/zinc/34/75/72/585347572.db2.gz UMFFXGIZPJSKSU-UHFFFAOYSA-N 0 3 237.343 2.965 20 0 BFADHN COC(C)(C)CN(C)C[C@@H]1CC1(Cl)Cl ZINC000759017328 585363694 /nfs/dbraw/zinc/36/36/94/585363694.db2.gz CBZBWZYVIRSTSL-QMMMGPOBSA-N 0 3 240.174 2.537 20 0 BFADHN CC(C)[C@H]1CCN1C[C@H](O)c1cccc(F)c1 ZINC000759070205 585363832 /nfs/dbraw/zinc/36/38/32/585363832.db2.gz LQLVPGITSBGMNK-KGLIPLIRSA-N 0 3 237.318 2.589 20 0 BFADHN Cc1ccccc1[C@@H]1CCN(CCF)C1 ZINC000759339355 585368728 /nfs/dbraw/zinc/36/87/28/585368728.db2.gz MXIFVWLLPJWRTN-GFCCVEGCSA-N 0 3 207.292 2.754 20 0 BFADHN C[C@H]1C[C@@H](c2ccccc2)CN1CCF ZINC000759341484 585369043 /nfs/dbraw/zinc/36/90/43/585369043.db2.gz GYLLRWDMEDZZMK-WCQYABFASA-N 0 3 207.292 2.834 20 0 BFADHN Cc1ccc(C[C@@H]2CCCN2CCF)cc1 ZINC000759341499 585369149 /nfs/dbraw/zinc/36/91/49/585369149.db2.gz HDACKIATSLPNFU-AWEZNQCLSA-N 0 3 221.319 2.971 20 0 BFADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1CCF ZINC000759343844 585369706 /nfs/dbraw/zinc/36/97/06/585369706.db2.gz AXXZJKFYPFTVAA-TZMCWYRMSA-N 0 3 221.319 2.909 20 0 BFADHN C/C=C/C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000759744752 585379849 /nfs/dbraw/zinc/37/98/49/585379849.db2.gz JJEVPPWPCBYEMN-AATRIKPKSA-N 0 3 232.327 2.571 20 0 BFADHN Cc1cc(N2CCC(CC(C)C)CC2)nc(N)n1 ZINC000760495997 585393291 /nfs/dbraw/zinc/39/32/91/585393291.db2.gz MGANBJSWHPVTLV-UHFFFAOYSA-N 0 3 248.374 2.630 20 0 BFADHN CC(C)(C)OCCN1CC[C@H](C(F)(F)F)C1 ZINC000761049340 585404225 /nfs/dbraw/zinc/40/42/25/585404225.db2.gz HCUGZMAFYNXZBS-VIFPVBQESA-N 0 3 239.281 2.686 20 0 BFADHN CC[C@@H](c1ccccc1)N1CCN(C)[C@@H](C)C1 ZINC000761070860 585405619 /nfs/dbraw/zinc/40/56/19/585405619.db2.gz AMKUYFFBZIYGIQ-ZFWWWQNUSA-N 0 3 232.371 2.774 20 0 BFADHN Cc1ccccc1CCCN1CCN(C)[C@H](C)C1 ZINC000761078298 585406285 /nfs/dbraw/zinc/40/62/85/585406285.db2.gz BJCVADCJHACBGJ-OAHLLOKOSA-N 0 3 246.398 2.564 20 0 BFADHN O=c1[nH]c2ccccc2cc1CN1CC[C@H]2C[C@H]21 ZINC000761089004 585407668 /nfs/dbraw/zinc/40/76/68/585407668.db2.gz FSEIAXSBXQDIJP-SMDDNHRTSA-N 0 3 240.306 2.535 20 0 BFADHN CSc1ccc(OCCN2CC[C@@H]3C[C@@H]32)cc1 ZINC000761087301 585407698 /nfs/dbraw/zinc/40/76/98/585407698.db2.gz FOKNYGOVTKHGHN-RISCZKNCSA-N 0 3 249.379 2.882 20 0 BFADHN C[C@H](c1ccccc1F)N1CC[C@H]2C[C@H]21 ZINC000761097847 585408891 /nfs/dbraw/zinc/40/88/91/585408891.db2.gz VXOFVONLCRONOE-GBIKHYSHSA-N 0 3 205.276 2.981 20 0 BFADHN Cc1cc(CN2CCOCC3(CCC3)C2)c(C)o1 ZINC000761227289 585412726 /nfs/dbraw/zinc/41/27/26/585412726.db2.gz ZNMYZQUIYDGQJR-UHFFFAOYSA-N 0 3 249.354 2.899 20 0 BFADHN COc1ccc(C[C@H](C)CN(C)CCF)cc1 ZINC000761744509 585418374 /nfs/dbraw/zinc/41/83/74/585418374.db2.gz XKEARIPAKJDZMJ-LBPRGKRZSA-N 0 3 239.334 2.775 20 0 BFADHN Cc1nonc1CN(CC(C)C)CC(C)(C)C ZINC000761972739 585423405 /nfs/dbraw/zinc/42/34/05/585423405.db2.gz FGOFYYFWTZZCCC-UHFFFAOYSA-N 0 3 239.363 2.882 20 0 BFADHN C[C@@H]1CCN(Cn2cnnc2)CC12CCCCC2 ZINC000764954706 585478093 /nfs/dbraw/zinc/47/80/93/585478093.db2.gz NMSNVKCMBYXDDL-CYBMUJFWSA-N 0 3 248.374 2.528 20 0 BFADHN Cl/C=C\CN1CC[C@@H](Cc2ccncc2)C1 ZINC000765001362 585479087 /nfs/dbraw/zinc/47/90/87/585479087.db2.gz VHWNBQTULYQLDN-RBVVKQATSA-N 0 3 236.746 2.699 20 0 BFADHN CCc1cc(CN[C@@H](C)c2ccc(F)cc2)n[nH]1 ZINC000766003902 585495442 /nfs/dbraw/zinc/49/54/42/585495442.db2.gz QCTJYQASPXUWGW-JTQLQIEISA-N 0 3 247.317 2.962 20 0 BFADHN CCc1cc(CN[C@H](C)c2ccc(F)cc2)n[nH]1 ZINC000766003903 585495898 /nfs/dbraw/zinc/49/58/98/585495898.db2.gz QCTJYQASPXUWGW-SNVBAGLBSA-N 0 3 247.317 2.962 20 0 BFADHN c1cc2nsnc2c(CN2C3CCC2CC3)c1 ZINC000766004559 585495906 /nfs/dbraw/zinc/49/59/06/585495906.db2.gz FNEGOMKHRYOLQU-UHFFFAOYSA-N 0 3 245.351 2.818 20 0 BFADHN CCCNc1ccc(CNCc2cn[nH]c2)cc1 ZINC000766214187 585499090 /nfs/dbraw/zinc/49/90/90/585499090.db2.gz YFIXWXUVMGTJPN-UHFFFAOYSA-N 0 3 244.342 2.521 20 0 BFADHN Cc1ccc([C@@H](C)NCCN2CCC[C@@H]2C)o1 ZINC000766267682 585499976 /nfs/dbraw/zinc/49/99/76/585499976.db2.gz HRUYSAUDWCUSNF-WCQYABFASA-N 0 3 236.359 2.723 20 0 BFADHN C[C@@]1(CNCc2ccoc2)CCO[C@@H]1C1CC1 ZINC000767195530 585515306 /nfs/dbraw/zinc/51/53/06/585515306.db2.gz KHHUMLAEPCZWTL-KGLIPLIRSA-N 0 3 235.327 2.574 20 0 BFADHN Cc1cc(CNCCCn2ccnc2C)c(C)o1 ZINC000767354228 585517426 /nfs/dbraw/zinc/51/74/26/585517426.db2.gz GQBWZOPWUFACLB-UHFFFAOYSA-N 0 3 247.342 2.581 20 0 BFADHN Cc1cc(CN[C@H]2CCCc3cn[nH]c32)c(C)o1 ZINC000767381466 585518732 /nfs/dbraw/zinc/51/87/32/585518732.db2.gz AKCMCDYDIHPFJM-ZDUSSCGKSA-N 0 3 245.326 2.787 20 0 BFADHN O=C(CCN1CCC[C@H]1c1ccc[nH]1)C1CC1 ZINC000767419948 585519898 /nfs/dbraw/zinc/51/98/98/585519898.db2.gz FNQXWZBNLJYPBF-ZDUSSCGKSA-N 0 3 232.327 2.521 20 0 BFADHN COCCN(C)Cc1cc2cccc(OC)c2o1 ZINC000768240839 585534422 /nfs/dbraw/zinc/53/44/22/585534422.db2.gz HONPGLFHCIRSCZ-UHFFFAOYSA-N 0 3 249.310 2.520 20 0 BFADHN COc1ccc(CN2CC[C@@H](C(F)F)C2)cc1 ZINC000769767910 585563068 /nfs/dbraw/zinc/56/30/68/585563068.db2.gz CXGLIAWVRCKGCW-LLVKDONJSA-N 0 3 241.281 2.782 20 0 BFADHN Cc1cc(CNCC2(CO)CCCC2)c(C)o1 ZINC000769935423 585566464 /nfs/dbraw/zinc/56/64/64/585566464.db2.gz OIRCAMSPEYUFRP-UHFFFAOYSA-N 0 3 237.343 2.539 20 0 BFADHN C[C@H]1CCN(Cc2ccoc2)C[C@H]1n1ccnc1 ZINC000770325333 585572727 /nfs/dbraw/zinc/57/27/27/585572727.db2.gz NRRIXPJBADIDCQ-GXTWGEPZSA-N 0 3 245.326 2.559 20 0 BFADHN C[C@@H](C(=O)OCCN1CCCCC1)C(C)(C)C ZINC000770376015 585573366 /nfs/dbraw/zinc/57/33/66/585573366.db2.gz URNYVHHCLUNSGT-LBPRGKRZSA-N 0 3 241.375 2.698 20 0 BFADHN c1cc(CN2CCCCC2)cc2c1COC2 ZINC000770394265 585573862 /nfs/dbraw/zinc/57/38/62/585573862.db2.gz GQXBACRGIWXUIZ-UHFFFAOYSA-N 0 3 217.312 2.703 20 0 BFADHN CC(C)C(NCc1cc(Cl)n(C)n1)C(C)C ZINC000859238086 589023602 /nfs/dbraw/zinc/02/36/02/589023602.db2.gz QNSPIBSPOGEXQM-UHFFFAOYSA-N 0 3 243.782 2.844 20 0 BFADHN CCN(CC)CCOC(=O)C[C@H]1CC[C@H](C)C1 ZINC000772185388 585609568 /nfs/dbraw/zinc/60/95/68/585609568.db2.gz GUSVNLANQAGCOV-STQMWFEESA-N 0 3 241.375 2.698 20 0 BFADHN CCN(CC)CCOC(=O)/C=C1/CC[C@@H](C)C1 ZINC000772184980 585609790 /nfs/dbraw/zinc/60/97/90/585609790.db2.gz QZIIZWGXRDJJNL-NPRUJURCSA-N 0 3 239.359 2.618 20 0 BFADHN C/C=C(/C=C/C(=O)OCCN(CC)CC)CC ZINC000772192978 585610052 /nfs/dbraw/zinc/61/00/52/585610052.db2.gz UQWUZUKIOZMGTK-WLQVBUEZSA-N 0 3 239.359 2.784 20 0 BFADHN CCN(CC)CCOC(=O)C[C@@H]1CCC[C@H]1C ZINC000772365117 585613441 /nfs/dbraw/zinc/61/34/41/585613441.db2.gz ZKXYUCQTSKYGSF-OLZOCXBDSA-N 0 3 241.375 2.698 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1cccc2c1OCCO2 ZINC000806147216 585618445 /nfs/dbraw/zinc/61/84/45/585618445.db2.gz VIFUACKJGACXHW-DGCLKSJQSA-N 0 3 247.338 2.736 20 0 BFADHN CC1(C)CCC[C@@H]1N[C@H]1CCCc2c[nH]nc21 ZINC000773065283 585630332 /nfs/dbraw/zinc/63/03/32/585630332.db2.gz OKGSHJZMMPUXIR-RYUDHWBXSA-N 0 3 233.359 2.955 20 0 BFADHN C[C@H](NC[C@H](O)c1cccnc1)c1ccsc1 ZINC000773080510 585630993 /nfs/dbraw/zinc/63/09/93/585630993.db2.gz GBOWWPLUHHYOOY-GWCFXTLKSA-N 0 3 248.351 2.527 20 0 BFADHN Cc1ccc(F)cc1CN(C)CCCF ZINC000773915292 585646575 /nfs/dbraw/zinc/64/65/75/585646575.db2.gz DBAYMLMBOIRHNK-UHFFFAOYSA-N 0 3 213.271 2.926 20 0 BFADHN Cc1cc([C@H](C)N[C@@H](CCO)C2CC2)c(C)o1 ZINC000774249722 585654842 /nfs/dbraw/zinc/65/48/42/585654842.db2.gz CLIPVJHPOHDZNW-HZMBPMFUSA-N 0 3 237.343 2.708 20 0 BFADHN CN(Cc1cccc(O)c1)C[C@H]1CCC=CO1 ZINC000774816166 585666675 /nfs/dbraw/zinc/66/66/75/585666675.db2.gz YYSQVYCAZZPCEJ-CQSZACIVSA-N 0 3 233.311 2.517 20 0 BFADHN c1ccc([C@@H]2CCCN2C[C@@H]2CCC=CO2)nc1 ZINC000774832770 585666905 /nfs/dbraw/zinc/66/69/05/585666905.db2.gz KZSUUTZFNLVXMB-ZFWWWQNUSA-N 0 3 244.338 2.911 20 0 BFADHN c1ccc([C@H]2CCCN2C[C@H]2CCC=CO2)nc1 ZINC000774832765 585666947 /nfs/dbraw/zinc/66/69/47/585666947.db2.gz KZSUUTZFNLVXMB-UKRRQHHQSA-N 0 3 244.338 2.911 20 0 BFADHN CC(C)N(Cc1ccccn1)C[C@@H]1CCC=CO1 ZINC000774846148 585667242 /nfs/dbraw/zinc/66/72/42/585667242.db2.gz ODPBUHHKXMBVDN-HNNXBMFYSA-N 0 3 246.354 2.985 20 0 BFADHN Cc1cc([C@H]2CCCN2C[C@@H]2CCC=CO2)on1 ZINC000774841715 585667246 /nfs/dbraw/zinc/66/72/46/585667246.db2.gz WKVWREDOWHQPHI-QWHCGFSZSA-N 0 3 248.326 2.813 20 0 BFADHN CN(CCc1ccccc1F)C[C@@H]1CCC=CO1 ZINC000774852397 585667516 /nfs/dbraw/zinc/66/75/16/585667516.db2.gz JIVSCLQMTHCZLV-AWEZNQCLSA-N 0 3 249.329 2.993 20 0 BFADHN CCN(CCC(F)(F)F)C[C@H]1CCC=CO1 ZINC000774869884 585668259 /nfs/dbraw/zinc/66/82/59/585668259.db2.gz OLAFLHIVWXKWOY-SNVBAGLBSA-N 0 3 237.265 2.953 20 0 BFADHN FC(F)(F)C[C@@H]1CCN(C[C@H]2CCC=CO2)C1 ZINC000775135700 585672527 /nfs/dbraw/zinc/67/25/27/585672527.db2.gz KZYVWODDVXMBIJ-WDEREUQCSA-N 0 3 249.276 2.953 20 0 BFADHN CC(C)OCCN[C@@H](c1ccccn1)C(C)C ZINC000775447302 585677847 /nfs/dbraw/zinc/67/78/47/585677847.db2.gz ZQUHWMKNZYNYCY-CQSZACIVSA-N 0 3 236.359 2.793 20 0 BFADHN CC(C)[C@@H](NC[C@@H]1CCCO1)c1ccccn1 ZINC000775447025 585678197 /nfs/dbraw/zinc/67/81/97/585678197.db2.gz VQOAMMWUZFMEMV-GXTWGEPZSA-N 0 3 234.343 2.547 20 0 BFADHN CC(C)[C@@H](NC[C@@H]1CCCCO1)c1ccccn1 ZINC000775447176 585678233 /nfs/dbraw/zinc/67/82/33/585678233.db2.gz XWGGADWESBTHNJ-DZGCQCFKSA-N 0 3 248.370 2.937 20 0 BFADHN COC[C@H](C)N[C@H](C)c1cc2ccccc2[nH]1 ZINC000775624080 585681813 /nfs/dbraw/zinc/68/18/13/585681813.db2.gz XKDSOMHDOWGGHB-WDEREUQCSA-N 0 3 232.327 2.853 20 0 BFADHN CCCCC[C@H](CC)C(=O)NC[C@H](C)N(C)C ZINC000775656618 585681970 /nfs/dbraw/zinc/68/19/70/585681970.db2.gz XOHSWEZDVRJDMM-STQMWFEESA-N 0 3 242.407 2.659 20 0 BFADHN C[C@@H]1CN(CCCCc2ccccc2)CCN1C ZINC000775647739 585682227 /nfs/dbraw/zinc/68/22/27/585682227.db2.gz XJUUAFIOPOLDQE-OAHLLOKOSA-N 0 3 246.398 2.645 20 0 BFADHN CCCCC[C@@H](CC)C(=O)NC[C@@H](C)N(C)C ZINC000775656605 585682439 /nfs/dbraw/zinc/68/24/39/585682439.db2.gz XOHSWEZDVRJDMM-CHWSQXEVSA-N 0 3 242.407 2.659 20 0 BFADHN Cc1nonc1CN[C@H]1CCC(C)(C)C[C@@H]1C ZINC000775706839 585683529 /nfs/dbraw/zinc/68/35/29/585683529.db2.gz IWLRUOOFMXYNFP-ONGXEEELSA-N 0 3 237.347 2.682 20 0 BFADHN Cc1nonc1CN[C@@H]1CCC(C)(C)C[C@@H]1C ZINC000775706836 585683594 /nfs/dbraw/zinc/68/35/94/585683594.db2.gz IWLRUOOFMXYNFP-GXSJLCMTSA-N 0 3 237.347 2.682 20 0 BFADHN CCCCC[C@H](CC)C(=O)N(C)CCN(C)C ZINC000775767736 585684437 /nfs/dbraw/zinc/68/44/37/585684437.db2.gz IIUFJEOXVZSTEQ-ZDUSSCGKSA-N 0 3 242.407 2.613 20 0 BFADHN CCCCOC(=O)[C@H](C)N1CC[C@@H](C)[C@@H]1CC ZINC000775784798 585684838 /nfs/dbraw/zinc/68/48/38/585684838.db2.gz HABPYRYGIGRACG-AGIUHOORSA-N 0 3 241.375 2.839 20 0 BFADHN C[C@@H](N[C@@H](C)c1ccc[nH]1)c1cccc(CO)c1 ZINC000776309512 585695645 /nfs/dbraw/zinc/69/56/45/585695645.db2.gz KQVIRKCSPKJLKO-NEPJUHHUSA-N 0 3 244.338 2.919 20 0 BFADHN C[C@H](C1CC1)N1CCN(Cc2ccccc2)CC1 ZINC000777402483 585711635 /nfs/dbraw/zinc/71/16/35/585711635.db2.gz YPINSBBZTJLIEO-CQSZACIVSA-N 0 3 244.382 2.603 20 0 BFADHN CO[C@H]1CCCN(Cc2ccc(CF)cc2)C1 ZINC000777576062 585715703 /nfs/dbraw/zinc/71/57/03/585715703.db2.gz UUAGOZDOJUYUGD-AWEZNQCLSA-N 0 3 237.318 2.767 20 0 BFADHN COCC1(N(C)Cc2ccc(CF)cc2)CC1 ZINC000777713732 585719005 /nfs/dbraw/zinc/71/90/05/585719005.db2.gz UBGOFNQFZXWDOH-UHFFFAOYSA-N 0 3 237.318 2.767 20 0 BFADHN CN(C)CCSCc1ccc2c(c1)COC2 ZINC000777932204 585723899 /nfs/dbraw/zinc/72/38/99/585723899.db2.gz DCIHXDNXFLYEBZ-UHFFFAOYSA-N 0 3 237.368 2.512 20 0 BFADHN C1=CCN(CCOC2CCCCCC2)CC1 ZINC000778969342 585743228 /nfs/dbraw/zinc/74/32/28/585743228.db2.gz JYYJOTVLBATIET-UHFFFAOYSA-N 0 3 223.360 2.988 20 0 BFADHN CC(C)[C@@H](Cc1ccccc1)NCc1cc[nH]n1 ZINC000779024501 585744308 /nfs/dbraw/zinc/74/43/08/585744308.db2.gz OASPOCWLQKRQNL-OAHLLOKOSA-N 0 3 243.354 2.767 20 0 BFADHN CC(C)COC(=O)C(C)(C)N(C)C1CCCC1 ZINC000779121875 585745205 /nfs/dbraw/zinc/74/52/05/585745205.db2.gz DQCPMGGHGLEPRF-UHFFFAOYSA-N 0 3 241.375 2.839 20 0 BFADHN CCC[C@@H](C)N(C)Cc1ccc(F)nc1 ZINC000779154403 585746084 /nfs/dbraw/zinc/74/60/84/585746084.db2.gz UZRGXBYDQMRZFS-SNVBAGLBSA-N 0 3 210.296 2.841 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1ccc(F)nc1 ZINC000779158387 585746220 /nfs/dbraw/zinc/74/62/20/585746220.db2.gz PYZMJAQEAYBZBY-PWSUYJOCSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@@H]1C[C@@H](C)CN1Cc1ccc(F)nc1 ZINC000779158389 585746400 /nfs/dbraw/zinc/74/64/00/585746400.db2.gz PYZMJAQEAYBZBY-ZYHUDNBSSA-N 0 3 222.307 2.841 20 0 BFADHN CC[C@H]1[C@@H](C)CCN1Cc1ccc(F)nc1 ZINC000779170973 585746536 /nfs/dbraw/zinc/74/65/36/585746536.db2.gz FICOLCRKXJKRGY-JQWIXIFHSA-N 0 3 222.307 2.841 20 0 BFADHN CC(C)[C@H](N[C@H]1C[C@@H](O)C1(C)C)c1ccccn1 ZINC000779347681 585752378 /nfs/dbraw/zinc/75/23/78/585752378.db2.gz MJANBNZSBWSWFU-MJBXVCDLSA-N 0 3 248.370 2.528 20 0 BFADHN CC[C@H]1CN(C[C@@H]2CCc3ccccc32)CCO1 ZINC000780288159 585776779 /nfs/dbraw/zinc/77/67/79/585776779.db2.gz SITRHXBRQHQRSE-GJZGRUSLSA-N 0 3 245.366 2.827 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCc3ccccc32)C[C@H](C)O1 ZINC000780288573 585777036 /nfs/dbraw/zinc/77/70/36/585777036.db2.gz PBSCPPNYFGBHAO-GUTXKFCHSA-N 0 3 245.366 2.826 20 0 BFADHN CCc1cccc(NC(=O)CN[C@@H](C)C2CC2)c1 ZINC000781001878 585788402 /nfs/dbraw/zinc/78/84/02/585788402.db2.gz QNUQVJHDIQYGMS-NSHDSACASA-N 0 3 246.354 2.576 20 0 BFADHN COC(CN(C)[C@@H]1CCCc2ccccc21)OC ZINC000781885516 585804650 /nfs/dbraw/zinc/80/46/50/585804650.db2.gz QUWFITBYKPMXJO-CQSZACIVSA-N 0 3 249.354 2.615 20 0 BFADHN CO[C@H](C)CN(C)[C@@H]1C[C@H]1c1ccccc1 ZINC000782487237 585818902 /nfs/dbraw/zinc/81/89/02/585818902.db2.gz ZDTUKZSCWPBAHO-KWCYVHTRSA-N 0 3 219.328 2.509 20 0 BFADHN CC[C@H](c1ccc(F)cc1)N1C[C@@H]2C[C@]2(CO)C1 ZINC000782611787 585822184 /nfs/dbraw/zinc/82/21/84/585822184.db2.gz DXQSVMSYSFVXFR-NWANDNLSSA-N 0 3 249.329 2.591 20 0 BFADHN C[C@@H]1CN(CCc2cccs2)[C@H](C)[C@@H](C)O1 ZINC000782619855 585822623 /nfs/dbraw/zinc/82/26/23/585822623.db2.gz FVABJGNOQJEJDX-IJLUTSLNSA-N 0 3 239.384 2.788 20 0 BFADHN Cc1cccc2nc(CN[C@@H]3CC[C@@H]3C)cn21 ZINC000783239733 585831796 /nfs/dbraw/zinc/83/17/96/585831796.db2.gz MIEXTVUOALUAFZ-GXFFZTMASA-N 0 3 229.327 2.531 20 0 BFADHN C[C@H]1CC[C@H]1NC1(C(=O)Nc2ccccc2)CC1 ZINC000783292680 585833052 /nfs/dbraw/zinc/83/30/52/585833052.db2.gz BWPQHTOCQSOLOK-WCQYABFASA-N 0 3 244.338 2.546 20 0 BFADHN CCOCOc1ccc(CN(C)C2CC2)cc1 ZINC000783411274 585834672 /nfs/dbraw/zinc/83/46/72/585834672.db2.gz WSRBQPRTZMUQPX-UHFFFAOYSA-N 0 3 235.327 2.654 20 0 BFADHN Cc1ccc2c(c1)nc(CN[C@H]1CC[C@@H]1C)n2C ZINC000783677135 585839776 /nfs/dbraw/zinc/83/97/76/585839776.db2.gz UFJRFHCALIJMRV-RYUDHWBXSA-N 0 3 243.354 2.770 20 0 BFADHN Cc1nc(F)ccc1CN[C@H]1CC12CCCC2 ZINC000785519568 585875276 /nfs/dbraw/zinc/87/52/76/585875276.db2.gz DHZBJOHPBBOPGG-LBPRGKRZSA-N 0 3 234.318 2.951 20 0 BFADHN CCCOC(=O)[C@@H](C)N(C)C1(C)CCCC1 ZINC000786214292 585888756 /nfs/dbraw/zinc/88/87/56/585888756.db2.gz MQRNNWBEXMAIRO-LLVKDONJSA-N 0 3 227.348 2.593 20 0 BFADHN CC[C@H]1CCCN1Cc1ccc(F)nc1C ZINC000787128139 585902075 /nfs/dbraw/zinc/90/20/75/585902075.db2.gz KKIKXWCIGDEZMR-LBPRGKRZSA-N 0 3 222.307 2.904 20 0 BFADHN Cc1nc(F)ccc1CN1[C@H](C)CC[C@H]1C ZINC000787209862 585903147 /nfs/dbraw/zinc/90/31/47/585903147.db2.gz SVVMNSSISRNAPC-NXEZZACHSA-N 0 3 222.307 2.902 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCC=CO2)C[C@@H](C)S1 ZINC000788446017 585924072 /nfs/dbraw/zinc/92/40/72/585924072.db2.gz NKDFGRBLUYDIHS-IJLUTSLNSA-N 0 3 227.373 2.505 20 0 BFADHN c1c2ccccc2[nH]c1CNCCOC1CCC1 ZINC000788521368 585926309 /nfs/dbraw/zinc/92/63/09/585926309.db2.gz PPLLQHKFTBTING-UHFFFAOYSA-N 0 3 244.338 2.827 20 0 BFADHN C[C@H](NC1CCCCCCC1)c1ncnn1C ZINC000788983315 585932967 /nfs/dbraw/zinc/93/29/67/585932967.db2.gz LHNCPRLLKLSKPH-NSHDSACASA-N 0 3 236.363 2.579 20 0 BFADHN CC(C)[C@H](NC[C@@H]1CC[C@@H](C)O1)c1ccccn1 ZINC000789361309 585941506 /nfs/dbraw/zinc/94/15/06/585941506.db2.gz GNJKYOSDTDCENV-IPYPFGDCSA-N 0 3 248.370 2.936 20 0 BFADHN CC(C)C1CCC(CNCc2cn(C)cn2)CC1 ZINC000808396621 585943081 /nfs/dbraw/zinc/94/30/81/585943081.db2.gz SXLPSNUEESUHJP-UHFFFAOYSA-N 0 3 249.402 2.972 20 0 BFADHN C[C@@](O)(CNCc1ccoc1)C1CCCCC1 ZINC000790997406 585971633 /nfs/dbraw/zinc/97/16/33/585971633.db2.gz NWLNXSKWCWPCAU-CQSZACIVSA-N 0 3 237.343 2.701 20 0 BFADHN CCCCCCN(C)CC(=O)NCC=C(C)C ZINC000791011673 585972069 /nfs/dbraw/zinc/97/20/69/585972069.db2.gz WEOHIQIRQPUNNV-UHFFFAOYSA-N 0 3 240.391 2.581 20 0 BFADHN Cc1cc(C)cc([C@@H](O)CN2[C@H](C)C[C@@H]2C)c1 ZINC000791578528 585984614 /nfs/dbraw/zinc/98/46/14/585984614.db2.gz VQYZQYPIPBEUIO-GUTXKFCHSA-N 0 3 233.355 2.820 20 0 BFADHN C[C@H]1[C@H](C(F)(F)F)CCN1CCC(=O)C1CC1 ZINC000791585142 585984927 /nfs/dbraw/zinc/98/49/27/585984927.db2.gz RIUSNHASAZQDOH-WCBMZHEXSA-N 0 3 249.276 2.628 20 0 BFADHN FCCCCCN1CCC(F)(F)CC1 ZINC000792882342 586006555 /nfs/dbraw/zinc/00/65/55/586006555.db2.gz SQPFSGLOQUFTSV-UHFFFAOYSA-N 0 3 209.255 2.857 20 0 BFADHN CC(C)C1CN(Cc2ccc3c[nH]nc3c2)C1 ZINC000793192414 586013814 /nfs/dbraw/zinc/01/38/14/586013814.db2.gz PMAJWNVGWHHYNS-UHFFFAOYSA-N 0 3 229.327 2.651 20 0 BFADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1C[C@@H]1CCC=CO1 ZINC000793230059 586014815 /nfs/dbraw/zinc/01/48/15/586014815.db2.gz DBOPKPGAZLZOPV-VWYCJHECSA-N 0 3 249.276 2.952 20 0 BFADHN Cc1cc(CN[C@@H](CO)CC2CCC2)c(C)o1 ZINC000793321211 586016807 /nfs/dbraw/zinc/01/68/07/586016807.db2.gz HZENAKQWSDZNRZ-CQSZACIVSA-N 0 3 237.343 2.537 20 0 BFADHN Cc1cc([C@@H](C)NCc2ccc(C)cc2)n(C)n1 ZINC000793514986 586021302 /nfs/dbraw/zinc/02/13/02/586021302.db2.gz GVJNAUWMAPIAGG-CYBMUJFWSA-N 0 3 243.354 2.888 20 0 BFADHN Cc1cc([C@@H](C)N[C@H]2CC23CCCC3)n(C)n1 ZINC000793559305 586023062 /nfs/dbraw/zinc/02/30/62/586023062.db2.gz ZYXDSCXZWYMAER-YPMHNXCESA-N 0 3 233.359 2.712 20 0 BFADHN Cc1cc(C)cc([C@H](O)CN2CC(C(C)C)C2)c1 ZINC000795545944 586084990 /nfs/dbraw/zinc/08/49/90/586084990.db2.gz JHHDXXMYDVDUPA-MRXNPFEDSA-N 0 3 247.382 2.925 20 0 BFADHN Cc1ccc([C@@H](O)CN2CC(C(C)C)C2)cc1 ZINC000795548104 586085059 /nfs/dbraw/zinc/08/50/59/586085059.db2.gz UYUWIHRPUUSVDV-HNNXBMFYSA-N 0 3 233.355 2.616 20 0 BFADHN Cc1ccccc1[C@@H](NCc1c[nH]cn1)C1CC1 ZINC000796447732 586103199 /nfs/dbraw/zinc/10/31/99/586103199.db2.gz BYKPWHJIBWMDKU-HNNXBMFYSA-N 0 3 241.338 2.959 20 0 BFADHN Cc1ccccc1[C@@H](NCc1cnc[nH]1)C1CC1 ZINC000796447732 586103200 /nfs/dbraw/zinc/10/32/00/586103200.db2.gz BYKPWHJIBWMDKU-HNNXBMFYSA-N 0 3 241.338 2.959 20 0 BFADHN CCc1ccc([C@H](O)CNCc2ccoc2)cc1 ZINC000796449966 586103429 /nfs/dbraw/zinc/10/34/29/586103429.db2.gz IGWNAALXQWHICZ-OAHLLOKOSA-N 0 3 245.322 2.665 20 0 BFADHN CCC(CC)N(Cc1c[nH]cn1)C1CC1 ZINC000796457975 586104125 /nfs/dbraw/zinc/10/41/25/586104125.db2.gz SEGYBRRVDVBIOO-UHFFFAOYSA-N 0 3 207.321 2.563 20 0 BFADHN CCC(CC)N(Cc1cnc[nH]1)C1CC1 ZINC000796457975 586104126 /nfs/dbraw/zinc/10/41/26/586104126.db2.gz SEGYBRRVDVBIOO-UHFFFAOYSA-N 0 3 207.321 2.563 20 0 BFADHN Cc1ccc([C@@H](O)[C@@H](C)NCc2ccoc2)cc1 ZINC000796486618 586105125 /nfs/dbraw/zinc/10/51/25/586105125.db2.gz PNOCKGNIKQSPEA-DOMZBBRYSA-N 0 3 245.322 2.800 20 0 BFADHN c1cc(CNCC2(c3ccccn3)CCC2)co1 ZINC000796515008 586105660 /nfs/dbraw/zinc/10/56/60/586105660.db2.gz LYXZGMHJOSMXJF-UHFFFAOYSA-N 0 3 242.322 2.886 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1c(Cl)nc2ccccn21 ZINC000796526188 586106130 /nfs/dbraw/zinc/10/61/30/586106130.db2.gz KAFSGDFMMVHOCS-NXEZZACHSA-N 0 3 249.745 2.876 20 0 BFADHN CC1=C[C@@H](C)CN(C[C@H](O)c2cccc(F)c2)C1 ZINC000797526488 586128414 /nfs/dbraw/zinc/12/84/14/586128414.db2.gz RIDTYCKEHJJOSS-ABAIWWIYSA-N 0 3 249.329 2.757 20 0 BFADHN CC(=O)OC[C@H](C)NCc1ccc(C(C)C)cc1 ZINC000798181221 586138685 /nfs/dbraw/zinc/13/86/85/586138685.db2.gz RIBYSJTVKOGERV-LBPRGKRZSA-N 0 3 249.354 2.851 20 0 BFADHN CC(=O)OC[C@@H](C)N[C@@H](c1ccccc1)C(C)C ZINC000798179711 586138711 /nfs/dbraw/zinc/13/87/11/586138711.db2.gz KNYBTUIAGLMLFX-IUODEOHRSA-N 0 3 249.354 2.925 20 0 BFADHN OC[C@@H](CNCc1ccoc1)CC1CCCC1 ZINC000798503476 586144407 /nfs/dbraw/zinc/14/44/07/586144407.db2.gz JHLIDZLTCNZLHX-CQSZACIVSA-N 0 3 237.343 2.558 20 0 BFADHN c1ncc(CN2CC[C@H](c3ccccn3)C2)s1 ZINC000798964144 586154285 /nfs/dbraw/zinc/15/42/85/586154285.db2.gz HZWYXEWLAAQQJQ-NSHDSACASA-N 0 3 245.351 2.528 20 0 BFADHN CC[C@@H]1CN(Cc2cc(C)oc2C)CCCO1 ZINC000798965134 586154288 /nfs/dbraw/zinc/15/42/88/586154288.db2.gz TWVABAOCOPDKEN-CQSZACIVSA-N 0 3 237.343 2.897 20 0 BFADHN c1cc(CN2CC[C@@H](c3ccccn3)C2)co1 ZINC000798963068 586154568 /nfs/dbraw/zinc/15/45/68/586154568.db2.gz WJEITLBLGSQACI-CYBMUJFWSA-N 0 3 228.295 2.664 20 0 BFADHN CO[C@@H]1CCN(Cc2cc(C)oc2C)[C@@H](C)C1 ZINC000799736539 586195811 /nfs/dbraw/zinc/19/58/11/586195811.db2.gz WBRXSRVKSKMJHK-IINYFYTJSA-N 0 3 237.343 2.896 20 0 BFADHN CN(Cc1ccnc(F)c1)C[C@H]1CC1(C)C ZINC000859361562 589033978 /nfs/dbraw/zinc/03/39/78/589033978.db2.gz ZRBWHJZWBDKCTJ-LLVKDONJSA-N 0 3 222.307 2.699 20 0 BFADHN CC(C)COCCN1CC[C@H](C(F)(F)F)C1 ZINC000800367517 586214378 /nfs/dbraw/zinc/21/43/78/586214378.db2.gz WLFKAZPUAHKOGG-JTQLQIEISA-N 0 3 239.281 2.543 20 0 BFADHN CC(C)COCCN1CC[C@@H](C(F)(F)F)C1 ZINC000800367518 586214646 /nfs/dbraw/zinc/21/46/46/586214646.db2.gz WLFKAZPUAHKOGG-SNVBAGLBSA-N 0 3 239.281 2.543 20 0 BFADHN O=C(O[C@@H]1C=CCCC1)C1CCN(C2CC2)CC1 ZINC000801874258 586275622 /nfs/dbraw/zinc/27/56/22/586275622.db2.gz OBEYNZGEPWTLFL-CQSZACIVSA-N 0 3 249.354 2.513 20 0 BFADHN C[C@H]1CN(CCSC(C)(C)C)[C@@H](C)CN1C ZINC000801939141 586280514 /nfs/dbraw/zinc/28/05/14/586280514.db2.gz AQYAWFOLCUYEMU-RYUDHWBXSA-N 0 3 244.448 2.543 20 0 BFADHN CC1CN(Cc2cncc3ccccc32)C1 ZINC000802651256 586308043 /nfs/dbraw/zinc/30/80/43/586308043.db2.gz LAGHBZWNMOPFTA-UHFFFAOYSA-N 0 3 212.296 2.687 20 0 BFADHN CCOCOc1ccc(CN2CC(C)C2)cc1 ZINC000802651386 586308199 /nfs/dbraw/zinc/30/81/99/586308199.db2.gz OBAYFJCUZZEHHK-UHFFFAOYSA-N 0 3 235.327 2.511 20 0 BFADHN CC[C@@H](C)COC(=O)CC[C@@H]1CCCCN1C ZINC000803858329 586377163 /nfs/dbraw/zinc/37/71/63/586377163.db2.gz ONLMZPWDCAKIKE-OLZOCXBDSA-N 0 3 241.375 2.840 20 0 BFADHN CCC(CC)OC(=O)CC[C@H]1CCCCN1C ZINC000803858164 586377871 /nfs/dbraw/zinc/37/78/71/586377871.db2.gz CKOMIIMUIXLPLG-GFCCVEGCSA-N 0 3 241.375 2.983 20 0 BFADHN CN1CCCC[C@H]1CCC(=O)OC/C=C/Cl ZINC000803854979 586378081 /nfs/dbraw/zinc/37/80/81/586378081.db2.gz BIAXHAIGAUYDNF-VUQUXZKVSA-N 0 3 245.750 2.547 20 0 BFADHN CCCCCCN1CCO[C@@H]2CCC[C@@H]21 ZINC000859636544 589050807 /nfs/dbraw/zinc/05/08/07/589050807.db2.gz MHXRGZZXXBLWBL-QWHCGFSZSA-N 0 3 211.349 2.820 20 0 BFADHN CCCCCCC(=O)N(CCN(C)C)C1CC1 ZINC000807265860 586430551 /nfs/dbraw/zinc/43/05/51/586430551.db2.gz LXGADDSHLOSFRX-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN CCOCCN1Cc2ccccc2[C@H](C)C1 ZINC000807263798 586431220 /nfs/dbraw/zinc/43/12/20/586431220.db2.gz UAXUOPUPTCKNFH-GFCCVEGCSA-N 0 3 219.328 2.642 20 0 BFADHN C[C@H]1CCCCCN1CN1C(=O)CCC1(C)C ZINC000808162647 586465618 /nfs/dbraw/zinc/46/56/18/586465618.db2.gz MMVNPUBNQIPXDC-LBPRGKRZSA-N 0 3 238.375 2.609 20 0 BFADHN Cn1cnc(CNCCCCc2ccccc2)c1 ZINC000808376883 586470164 /nfs/dbraw/zinc/47/01/64/586470164.db2.gz DVHTYGYLLARPHN-UHFFFAOYSA-N 0 3 243.354 2.533 20 0 BFADHN CC1=C(C)CN(CCC(=O)C(C)C)CC1 ZINC000808756315 586489160 /nfs/dbraw/zinc/48/91/60/586489160.db2.gz HTHZSYFLLMJFAP-UHFFFAOYSA-N 0 3 209.333 2.644 20 0 BFADHN CCCOC(=O)[C@H](C)N(CC)C(CC)CC ZINC000808782267 586489577 /nfs/dbraw/zinc/48/95/77/586489577.db2.gz MHKHXGIQKNZBNO-NSHDSACASA-N 0 3 229.364 2.839 20 0 BFADHN C1CC1N1CCC(c2nc(C3CCC3)no2)CC1 ZINC000809303477 586503097 /nfs/dbraw/zinc/50/30/97/586503097.db2.gz NSKYMGJLPQYAFU-UHFFFAOYSA-N 0 3 247.342 2.679 20 0 BFADHN CCC[C@H](C)N(C)Cc1cnc([C@@H](C)O)s1 ZINC000809545534 586506625 /nfs/dbraw/zinc/50/66/25/586506625.db2.gz MORZTZUIRJHVNJ-VHSXEESVSA-N 0 3 242.388 2.817 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnc([C@H](C)O)s1 ZINC000809545536 586506688 /nfs/dbraw/zinc/50/66/88/586506688.db2.gz MORZTZUIRJHVNJ-ZJUUUORDSA-N 0 3 242.388 2.817 20 0 BFADHN CCC[C@@H](C)N(C)Cc1cnc([C@@H](C)O)s1 ZINC000809545531 586506734 /nfs/dbraw/zinc/50/67/34/586506734.db2.gz MORZTZUIRJHVNJ-NXEZZACHSA-N 0 3 242.388 2.817 20 0 BFADHN Cc1ccncc1CCCN1CC=CCC1 ZINC000809635472 586510295 /nfs/dbraw/zinc/51/02/95/586510295.db2.gz XSVQVGFNNGIMKH-UHFFFAOYSA-N 0 3 216.328 2.585 20 0 BFADHN C[C@@H]1C[C@H](c2ccccc2)N(Cc2cn[nH]c2)C1 ZINC000809716515 586514622 /nfs/dbraw/zinc/51/46/22/586514622.db2.gz WGGXSNBDASLXTM-IUODEOHRSA-N 0 3 241.338 2.993 20 0 BFADHN c1cncc([C@@H]2CCCN2C[C@@H]2CCC=CO2)c1 ZINC000809728752 586515277 /nfs/dbraw/zinc/51/52/77/586515277.db2.gz GVCABDBPRVYGJA-GJZGRUSLSA-N 0 3 244.338 2.911 20 0 BFADHN Cc1nonc1CNC(CC(C)C)CC(C)C ZINC000809745441 586516394 /nfs/dbraw/zinc/51/63/94/586516394.db2.gz UEYHCVZKCDFEKE-UHFFFAOYSA-N 0 3 239.363 2.928 20 0 BFADHN CC[C@H]1CC[C@@H](C)N1Cc1ccc(F)nc1 ZINC000809768199 586518339 /nfs/dbraw/zinc/51/83/39/586518339.db2.gz DVBUNAOFNRXILD-PWSUYJOCSA-N 0 3 222.307 2.984 20 0 BFADHN C[C@@H]1CN(CCC(=O)C(C)(C)C)CCC1(F)F ZINC000810045467 586532656 /nfs/dbraw/zinc/53/26/56/586532656.db2.gz PQQUIWRJKAVRFS-SNVBAGLBSA-N 0 3 247.329 2.969 20 0 BFADHN CC(C)C(=O)CCN1CCC(F)(F)[C@H](C)C1 ZINC000810044501 586532781 /nfs/dbraw/zinc/53/27/81/586532781.db2.gz BRCXHDNXTQJORZ-SNVBAGLBSA-N 0 3 233.302 2.579 20 0 BFADHN Cc1cc(F)ccc1[C@@H](O)CN1CC(C2CC2)C1 ZINC000812312961 586573176 /nfs/dbraw/zinc/57/31/76/586573176.db2.gz LIEAXNWUNOZYJD-HNNXBMFYSA-N 0 3 249.329 2.509 20 0 BFADHN O=C(CCCC1CC1)OCCN1CCCCC1 ZINC000812600488 586580094 /nfs/dbraw/zinc/58/00/94/586580094.db2.gz APYGJISNWPBMKD-UHFFFAOYSA-N 0 3 239.359 2.596 20 0 BFADHN CCN(Cc1cc(C)oc1C)C[C@@H](C)OC ZINC000812807340 586586901 /nfs/dbraw/zinc/58/69/01/586586901.db2.gz KKDSRQCSAZXYBQ-LLVKDONJSA-N 0 3 225.332 2.753 20 0 BFADHN CCN(C[C@H](C)OC)C[C@@H]1CC1(Cl)Cl ZINC000812908490 586588604 /nfs/dbraw/zinc/58/86/04/586588604.db2.gz LTGNQFGYLFKPPU-IUCAKERBSA-N 0 3 240.174 2.537 20 0 BFADHN CN(CCCC1CC1)Cc1ccccn1 ZINC000813337519 586600831 /nfs/dbraw/zinc/60/08/31/586600831.db2.gz QHXYNQHTUDFFAI-UHFFFAOYSA-N 0 3 204.317 2.704 20 0 BFADHN CCC(CC)(CNCc1ccc(F)nc1)OC ZINC000813793788 586606523 /nfs/dbraw/zinc/60/65/23/586606523.db2.gz ZULGSSNIXIOIRO-UHFFFAOYSA-N 0 3 240.322 2.516 20 0 BFADHN C[C@@H]1[C@@H](C)CN1C[C@@H](O)c1ccc(Cl)cc1 ZINC000815161718 586670783 /nfs/dbraw/zinc/67/07/83/586670783.db2.gz AYZVLCDYUJSEKY-OPQQBVKSSA-N 0 3 239.746 2.714 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1C[C@@H](C)[C@@H]1C ZINC000815163264 586671242 /nfs/dbraw/zinc/67/12/42/586671242.db2.gz POFJXZBXXATBJR-UHIISALHSA-N 0 3 237.318 2.508 20 0 BFADHN C[C@@H]1CN(C[C@@H](O)c2ccc(Cl)cc2)[C@H]1C ZINC000815161713 586671252 /nfs/dbraw/zinc/67/12/52/586671252.db2.gz AYZVLCDYUJSEKY-GBIKHYSHSA-N 0 3 239.746 2.714 20 0 BFADHN Cc1cc(F)ccc1[C@@H](C)NCCn1ccnc1 ZINC000815553749 586687711 /nfs/dbraw/zinc/68/77/11/586687711.db2.gz JDSICGCGBWBNDF-GFCCVEGCSA-N 0 3 247.317 2.681 20 0 BFADHN CC(=O)O[C@H]1CCCN(Cc2ccccc2)[C@H]1C ZINC000816468721 586734786 /nfs/dbraw/zinc/73/47/86/586734786.db2.gz LUGPYOHYVXVWKA-WFASDCNBSA-N 0 3 247.338 2.603 20 0 BFADHN CC(=O)O[C@@H]1CCCN(Cc2ccccc2)[C@@H]1C ZINC000816468719 586735017 /nfs/dbraw/zinc/73/50/17/586735017.db2.gz LUGPYOHYVXVWKA-IUODEOHRSA-N 0 3 247.338 2.603 20 0 BFADHN CC[C@@H]1CC[C@H](C)N1Cc1cnn(C)c1Cl ZINC000816612362 586744440 /nfs/dbraw/zinc/74/44/40/586744440.db2.gz NCLFLPLXCJBUDL-GXSJLCMTSA-N 0 3 241.766 2.836 20 0 BFADHN CCOc1cccc(CN2C[C@H](C)[C@@H]2C)c1 ZINC000816649119 586751231 /nfs/dbraw/zinc/75/12/31/586751231.db2.gz UFLSTLSKWNLLER-RYUDHWBXSA-N 0 3 219.328 2.926 20 0 BFADHN C[C@@H]1[C@@H](C)CN1Cc1cc(-n2ccnc2)cs1 ZINC000816649083 586751422 /nfs/dbraw/zinc/75/14/22/586751422.db2.gz SXNLPMJKLJIMIX-WDEREUQCSA-N 0 3 247.367 2.774 20 0 BFADHN C[C@H]1CN(Cc2c[nH]nc2-c2ccccc2)[C@H]1C ZINC000816649404 586752224 /nfs/dbraw/zinc/75/22/24/586752224.db2.gz YRPHEARCDBTHRB-RYUDHWBXSA-N 0 3 241.338 2.917 20 0 BFADHN C[C@@H]1CC[C@@H](C)C1NCc1nn(C)cc1Cl ZINC000817276737 586792564 /nfs/dbraw/zinc/79/25/64/586792564.db2.gz NWKQBVKQYFXUMO-RKDXNWHRSA-N 0 3 241.766 2.598 20 0 BFADHN C[C@@H]1CC[C@@H](O)CN1Cc1ccc(CF)cc1 ZINC000817288981 586793297 /nfs/dbraw/zinc/79/32/97/586793297.db2.gz QODSJRXJBNUJSZ-BXUZGUMPSA-N 0 3 237.318 2.501 20 0 BFADHN CC[C@@H](C)c1nc(-c2ccc(CN)cc2F)no1 ZINC000817865976 586831513 /nfs/dbraw/zinc/83/15/13/586831513.db2.gz QLJUXZUSJNRIDJ-MRVPVSSYSA-N 0 3 249.289 2.848 20 0 BFADHN COC(OC)c1ccc(F)c(CNC(C)C)c1 ZINC000818173856 586878484 /nfs/dbraw/zinc/87/84/84/586878484.db2.gz BTNPQVAVRYQGDC-UHFFFAOYSA-N 0 3 241.306 2.615 20 0 BFADHN Cc1cc(CN)cc(NC(=O)C=C2CCC2)c1 ZINC000818288536 586884046 /nfs/dbraw/zinc/88/40/46/586884046.db2.gz ATRPKVABABSJAY-UHFFFAOYSA-N 0 3 230.311 2.503 20 0 BFADHN CCC/C(C)=C\C(=O)Nc1ccc2c(c1)CNC2 ZINC000818597109 586904756 /nfs/dbraw/zinc/90/47/56/586904756.db2.gz PUBVPHQEJJVUEU-XFFZJAGNSA-N 0 3 244.338 2.975 20 0 BFADHN Cc1ccc([C@@H](O)CN[C@H](C)c2ccc[nH]2)cc1 ZINC000819194426 586937312 /nfs/dbraw/zinc/93/73/12/586937312.db2.gz RCSSFCQMWOBJKM-DOMZBBRYSA-N 0 3 244.338 2.707 20 0 BFADHN CC/C=C/CNC[C@H](O)c1cccc(Cl)c1 ZINC000819343979 586954735 /nfs/dbraw/zinc/95/47/35/586954735.db2.gz FCVMGLUCRKRDSI-OOPCZODUSA-N 0 3 239.746 2.929 20 0 BFADHN CC/C=C/CNC[C@@H](O)c1ccc(F)cc1C ZINC000819344687 586955343 /nfs/dbraw/zinc/95/53/43/586955343.db2.gz LAFLDRRVPZZAQE-ISZGNANSSA-N 0 3 237.318 2.723 20 0 BFADHN CC/C=C/CNC[C@@H](O)c1cc(C)cc(C)c1 ZINC000819344951 586955369 /nfs/dbraw/zinc/95/53/69/586955369.db2.gz RLZHLORHTYYCHN-LLYBFZRZSA-N 0 3 233.355 2.893 20 0 BFADHN CC/C=C\CNC[C@@H](O)c1ccccc1C ZINC000819345148 586955386 /nfs/dbraw/zinc/95/53/86/586955386.db2.gz WYAFCSLENHQQRP-HJHHMULASA-N 0 3 219.328 2.584 20 0 BFADHN C[C@H](NCCCCCCCO)c1ccns1 ZINC000860054754 589077083 /nfs/dbraw/zinc/07/70/83/589077083.db2.gz UGAHZRJXJUKHDC-NSHDSACASA-N 0 3 242.388 2.737 20 0 BFADHN CC(C)CC[C@H](O)CN[C@H](C)c1ccns1 ZINC000860061937 589078216 /nfs/dbraw/zinc/07/82/16/589078216.db2.gz OQRSCBXKXZNPHZ-MNOVXSKESA-N 0 3 242.388 2.591 20 0 BFADHN Cc1ccc([C@@H](C)N(C)C[C@H]2CCC(=O)O2)cc1 ZINC000821354425 587111202 /nfs/dbraw/zinc/11/12/02/587111202.db2.gz FXPFKJNAYHXMJC-TZMCWYRMSA-N 0 3 247.338 2.693 20 0 BFADHN CC/C=C/COC(=O)c1cccc(CN(C)C)c1 ZINC000821621181 587132326 /nfs/dbraw/zinc/13/23/26/587132326.db2.gz JBIIFWZBEMKIQJ-AATRIKPKSA-N 0 3 247.338 2.871 20 0 BFADHN CO[C@@H](CN[C@H](c1ccccn1)C(C)C)C1CC1 ZINC000822817881 587187462 /nfs/dbraw/zinc/18/74/62/587187462.db2.gz BAYFAZBDMOQJHG-GJZGRUSLSA-N 0 3 248.370 2.793 20 0 BFADHN Cc1ccc(F)c(-c2noc([C@H]3CCCN3)n2)c1 ZINC000823064087 587200738 /nfs/dbraw/zinc/20/07/38/587200738.db2.gz GQQHADYJCOTXIV-LLVKDONJSA-N 0 3 247.273 2.609 20 0 BFADHN CCCCC[C@H](C)NCc1c(C)nnn1CC ZINC000823609474 587232799 /nfs/dbraw/zinc/23/27/99/587232799.db2.gz XMDTWQQFTSSGID-NSHDSACASA-N 0 3 238.379 2.665 20 0 BFADHN Cc1cc(CN[C@@H]2C[C@@H](C)O[C@@H]2C)c(C)o1 ZINC000823866447 587244646 /nfs/dbraw/zinc/24/46/46/587244646.db2.gz HZDXCJXMATZOET-IRUJWGPZSA-N 0 3 223.316 2.552 20 0 BFADHN C[C@@H](Cc1ccoc1)NCc1cccc(F)n1 ZINC000824026999 587248999 /nfs/dbraw/zinc/24/89/99/587248999.db2.gz BNZHJSUZCDSDCR-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN Cc1cnc(CNC23CCC(CC2)C3)s1 ZINC000824148325 587258661 /nfs/dbraw/zinc/25/86/61/587258661.db2.gz ZNSBQXHEBDXIDG-UHFFFAOYSA-N 0 3 222.357 2.874 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2cc(F)ncc2F)C1 ZINC000824320112 587266026 /nfs/dbraw/zinc/26/60/26/587266026.db2.gz DGFFTGBBRJJBNW-VHSXEESVSA-N 0 3 240.297 2.886 20 0 BFADHN Cn1nc(C2CC2)cc1CN[C@@H]1CC[C@@H]1C1CC1 ZINC000824332427 587266322 /nfs/dbraw/zinc/26/63/22/587266322.db2.gz LHSVZUZWBQYJPP-ZIAGYGMSSA-N 0 3 245.370 2.576 20 0 BFADHN CC1=C[C@H](C)C[C@H](CN[C@@H]2CCn3ccnc32)C1 ZINC000824526226 587273124 /nfs/dbraw/zinc/27/31/24/587273124.db2.gz JEYYFLGJYIIGCI-FPMFFAJLSA-N 0 3 245.370 2.910 20 0 BFADHN C[C@@H](CCC(F)(F)F)N[C@@H]1CCn2ccnc21 ZINC000824525826 587273221 /nfs/dbraw/zinc/27/32/21/587273221.db2.gz DRMKHHZACRMHBY-DTWKUNHWSA-N 0 3 247.264 2.649 20 0 BFADHN CCCC[C@H](CC)N[C@@H]1CCn2ccnc21 ZINC000824525991 587273245 /nfs/dbraw/zinc/27/32/45/587273245.db2.gz GOWSDUMJKDUTCW-NWDGAFQWSA-N 0 3 221.348 2.886 20 0 BFADHN CCC1(NCc2ccnc(Cl)c2F)CC1 ZINC000824620373 587279615 /nfs/dbraw/zinc/27/96/15/587279615.db2.gz MDANNCHVCBAWDH-UHFFFAOYSA-N 0 3 228.698 2.906 20 0 BFADHN CC[C@](C)(CNCc1cc(C)oc1C)OC ZINC000824743842 587285149 /nfs/dbraw/zinc/28/51/49/587285149.db2.gz QBYFPQOHMLHFOJ-CYBMUJFWSA-N 0 3 225.332 2.801 20 0 BFADHN C[C@@]1(CNCc2ccco2)CC1(Cl)Cl ZINC000824765307 587286540 /nfs/dbraw/zinc/28/65/40/587286540.db2.gz JVGKTDUXRFESMC-VIFPVBQESA-N 0 3 234.126 2.953 20 0 BFADHN CCOc1ccc(CN2CC[C@@H](OC)C2)c(C)c1 ZINC000824831500 587288973 /nfs/dbraw/zinc/28/89/73/587288973.db2.gz UTUNZJLPSAEHOA-OAHLLOKOSA-N 0 3 249.354 2.614 20 0 BFADHN c1cc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)co1 ZINC000824941096 587293906 /nfs/dbraw/zinc/29/39/06/587293906.db2.gz INVRKSPQMAIOBQ-KBPBESRZSA-N 0 3 217.312 2.900 20 0 BFADHN Cc1c[nH]nc1CN1CCCCCCCC1 ZINC000824983393 587297282 /nfs/dbraw/zinc/29/72/82/587297282.db2.gz VOAOOOYGAYBESR-UHFFFAOYSA-N 0 3 221.348 2.874 20 0 BFADHN CCc1nc(CNCC(CC)CC)co1 ZINC000825055940 587300639 /nfs/dbraw/zinc/30/06/39/587300639.db2.gz CJWHJVWPVGTWCQ-UHFFFAOYSA-N 0 3 210.321 2.763 20 0 BFADHN CCc1nc(CN[C@@H](C)C2CCC2)co1 ZINC000825061567 587301516 /nfs/dbraw/zinc/30/15/16/587301516.db2.gz IBZJKESLGJUCGS-VIFPVBQESA-N 0 3 208.305 2.515 20 0 BFADHN CCc1nc(CN[C@H](CC)C2CCC2)co1 ZINC000825062243 587301785 /nfs/dbraw/zinc/30/17/85/587301785.db2.gz QTSPHUGHUCJIOK-GFCCVEGCSA-N 0 3 222.332 2.905 20 0 BFADHN CCc1nc(CN[C@H](C)[C@@H]2CC2(C)C)co1 ZINC000825062349 587301892 /nfs/dbraw/zinc/30/18/92/587301892.db2.gz TVCXQRPGBKOWRK-KOLCDFICSA-N 0 3 222.332 2.761 20 0 BFADHN CCCc1ncc(CN[C@H]2CC[C@@H]2C)o1 ZINC000825084072 587303250 /nfs/dbraw/zinc/30/32/50/587303250.db2.gz BVVNLIZFAWQRFI-ONGXEEELSA-N 0 3 208.305 2.515 20 0 BFADHN c1cc(CN2CCC[C@]3(CCSC3)C2)co1 ZINC000825214941 587309634 /nfs/dbraw/zinc/30/96/34/587309634.db2.gz BHUUFJBOEYYXDE-ZDUSSCGKSA-N 0 3 237.368 2.999 20 0 BFADHN Cc1cccc(F)c1CN[C@@H]1CO[C@H](C2CC2)C1 ZINC000825319800 587314902 /nfs/dbraw/zinc/31/49/02/587314902.db2.gz CAVIGPKXQQDHEF-WFASDCNBSA-N 0 3 249.329 2.791 20 0 BFADHN Cc1cccc(F)c1CN[C@H]1CO[C@@H](C2CC2)C1 ZINC000825319798 587314910 /nfs/dbraw/zinc/31/49/10/587314910.db2.gz CAVIGPKXQQDHEF-IUODEOHRSA-N 0 3 249.329 2.791 20 0 BFADHN CCc1cc(N2CCC(F)(F)CC2)ccn1 ZINC000828061635 587418769 /nfs/dbraw/zinc/41/87/69/587418769.db2.gz GYNCDJXVFSIKHA-UHFFFAOYSA-N 0 3 226.270 2.880 20 0 BFADHN CC(C)=CCC[C@@H](C)Nc1cc(C)nc(N)n1 ZINC000828669910 587456336 /nfs/dbraw/zinc/45/63/36/587456336.db2.gz UOQFVPKMNRRQAQ-SNVBAGLBSA-N 0 3 234.347 2.914 20 0 BFADHN CCCCCCN1CCO[C@]2(CCSC2)C1 ZINC000829293124 587491841 /nfs/dbraw/zinc/49/18/41/587491841.db2.gz HNSXKDCCYKIONU-CYBMUJFWSA-N 0 3 243.416 2.775 20 0 BFADHN C[C@@H]1C[C@@H](C(C)(C)C)CCN1CC[S@](C)=O ZINC000829906573 587527274 /nfs/dbraw/zinc/52/72/74/587527274.db2.gz NDPHOQXBQCSJID-WQGACYEGSA-N 0 3 245.432 2.512 20 0 BFADHN CC(C)N(C[C@@H]1CCc2ccccc21)C1COC1 ZINC000830221022 587541645 /nfs/dbraw/zinc/54/16/45/587541645.db2.gz JTKJDMCAJGDGFH-AWEZNQCLSA-N 0 3 245.366 2.826 20 0 BFADHN CN(C[C@H](O)c1ccc(F)cc1F)C(C)(C)C ZINC000830545020 587556890 /nfs/dbraw/zinc/55/68/90/587556890.db2.gz CAQPNOZHJOGTGY-LBPRGKRZSA-N 0 3 243.297 2.729 20 0 BFADHN C[C@H]1CC2(CN1C/C=C/Cl)CCOCC2 ZINC000830617966 587560621 /nfs/dbraw/zinc/56/06/21/587560621.db2.gz XAJCEDGFKCRLSD-DGXRNCNBSA-N 0 3 229.751 2.630 20 0 BFADHN CCC[C@@H](NCc1nonc1C)C(C)(C)C ZINC000831170246 587589068 /nfs/dbraw/zinc/58/90/68/587589068.db2.gz PBAUOVRIOQSNFN-LLVKDONJSA-N 0 3 225.336 2.682 20 0 BFADHN COc1ccc(CN2CCCCCCCC2)nn1 ZINC000831936905 587637024 /nfs/dbraw/zinc/63/70/24/587637024.db2.gz JLNDISUKOJOWAI-UHFFFAOYSA-N 0 3 249.358 2.641 20 0 BFADHN Cl/C=C\CN1CCC[C@]2(CCSC2)C1 ZINC000832505217 587664138 /nfs/dbraw/zinc/66/41/38/587664138.db2.gz DGTYHLOAWLEHOB-SROOVFNMSA-N 0 3 231.792 2.958 20 0 BFADHN C[C@@H]1CCC(C)(C)N1Cc1cscn1 ZINC000832565866 587666546 /nfs/dbraw/zinc/66/65/46/587666546.db2.gz XAKJPDYJAAFDKR-SECBINFHSA-N 0 3 210.346 2.906 20 0 BFADHN C[C@H]1CCC(C)(C)N1Cc1cscn1 ZINC000832565870 587666607 /nfs/dbraw/zinc/66/66/07/587666607.db2.gz XAKJPDYJAAFDKR-VIFPVBQESA-N 0 3 210.346 2.906 20 0 BFADHN Cc1nonc1CN[C@@H](C)[C@H]1CCC[C@@H](C)C1 ZINC000832690861 587677805 /nfs/dbraw/zinc/67/78/05/587677805.db2.gz LRBDSNXDSZMOKY-SCVCMEIPSA-N 0 3 237.347 2.682 20 0 BFADHN Cc1cc(C)cc([C@@H](O)CN2CC[C@H]2C2CC2)c1 ZINC000834382194 587753673 /nfs/dbraw/zinc/75/36/73/587753673.db2.gz GERIDPZGLQSLQN-HOTGVXAUSA-N 0 3 245.366 2.821 20 0 BFADHN Cc1cc(F)ccc1[C@@H](O)CN1CC[C@@H]1C1CC1 ZINC000834384099 587754142 /nfs/dbraw/zinc/75/41/42/587754142.db2.gz ZOXLREJZTNBDFJ-CABCVRRESA-N 0 3 249.329 2.652 20 0 BFADHN CCn1ccnc1[C@H](C)N[C@H](C)CCSC ZINC000834594049 587760265 /nfs/dbraw/zinc/76/02/65/587760265.db2.gz OXYYQVIKEVVPQM-MNOVXSKESA-N 0 3 241.404 2.695 20 0 BFADHN CO[C@H]1C[C@@H](NCc2cc(C)oc2C)C12CCC2 ZINC000834650999 587762749 /nfs/dbraw/zinc/76/27/49/587762749.db2.gz SUYDSPDVDFJJBF-KGLIPLIRSA-N 0 3 249.354 2.944 20 0 BFADHN CCn1ccnc1[C@@H](C)NCCC1CCC1 ZINC000834676617 587763818 /nfs/dbraw/zinc/76/38/18/587763818.db2.gz WXQKJUICFFXJRL-LLVKDONJSA-N 0 3 221.348 2.744 20 0 BFADHN CC[C@H](N[C@H](C)c1cccnc1Cl)[C@@H](C)O ZINC000834887669 587769634 /nfs/dbraw/zinc/76/96/34/587769634.db2.gz LAAZTGIWQFGURG-KKZNHRDASA-N 0 3 242.750 2.545 20 0 BFADHN CC[C@H](N[C@H](C)c1ccc(Cl)nc1)[C@H](C)O ZINC000834889322 587769709 /nfs/dbraw/zinc/76/97/09/587769709.db2.gz WCYPDDOFMIVCGT-YWVKMMECSA-N 0 3 242.750 2.545 20 0 BFADHN CC(C)N1CCCC[C@@H]1COC(=O)C1(C)CC1 ZINC000837576506 587883343 /nfs/dbraw/zinc/88/33/43/587883343.db2.gz WVIDTWAIAUENSP-GFCCVEGCSA-N 0 3 239.359 2.593 20 0 BFADHN CC(C)CCCCN[C@@H](C)c1ccn(C)n1 ZINC000840726067 587918181 /nfs/dbraw/zinc/91/81/81/587918181.db2.gz FBOHCWGMOKITIU-LBPRGKRZSA-N 0 3 223.364 2.897 20 0 BFADHN CC(C)CCCCN[C@H](C)c1ccn(C)n1 ZINC000840726066 587918219 /nfs/dbraw/zinc/91/82/19/587918219.db2.gz FBOHCWGMOKITIU-GFCCVEGCSA-N 0 3 223.364 2.897 20 0 BFADHN Cc1occc1CNC[C@@H](O)c1cccc(F)c1 ZINC000840886478 587928268 /nfs/dbraw/zinc/92/82/68/587928268.db2.gz XHVVOKBCKBAGIF-CQSZACIVSA-N 0 3 249.285 2.550 20 0 BFADHN Cc1occc1CNC[C@@H](O)c1ccccc1C ZINC000840897880 587928722 /nfs/dbraw/zinc/92/87/22/587928722.db2.gz ULJQXKMRIRCBDC-OAHLLOKOSA-N 0 3 245.322 2.720 20 0 BFADHN Cc1occc1CNC[C@H](O)c1ccccc1C ZINC000840897878 587928751 /nfs/dbraw/zinc/92/87/51/587928751.db2.gz ULJQXKMRIRCBDC-HNNXBMFYSA-N 0 3 245.322 2.720 20 0 BFADHN Cc1ccc(CN2CCC3(CCC3)CC2)nn1 ZINC000840947374 587931263 /nfs/dbraw/zinc/93/12/63/587931263.db2.gz PZXYPUJUGQTPQD-UHFFFAOYSA-N 0 3 231.343 2.551 20 0 BFADHN CO[C@](C)([C@H](C)NCc1ccoc1)C1CC1 ZINC000840961282 587932615 /nfs/dbraw/zinc/93/26/15/587932615.db2.gz UMPYKIGSPBJNFD-GXFFZTMASA-N 0 3 223.316 2.573 20 0 BFADHN Cc1cc(CN[C@H]2CCC[C@H](CO)C2)c(C)o1 ZINC000840976017 587933166 /nfs/dbraw/zinc/93/31/66/587933166.db2.gz BBGAFZYSMKBSRU-JSGCOSHPSA-N 0 3 237.343 2.537 20 0 BFADHN Fc1ccc(CN2CC[C@H](C3CCC3)C2)cn1 ZINC000840977607 587933211 /nfs/dbraw/zinc/93/32/11/587933211.db2.gz JBLYAMICKRKCAI-ZDUSSCGKSA-N 0 3 234.318 2.843 20 0 BFADHN CCCCCC[C@H](C)N[C@@H]1CNCCC1(F)F ZINC000841081938 587944990 /nfs/dbraw/zinc/94/49/90/587944990.db2.gz VRKPGDVQJKDGPX-NWDGAFQWSA-N 0 3 248.361 2.932 20 0 BFADHN Cc1cc(F)ccc1[C@@H](C)N[C@H]1C[C@@](C)(O)C1 ZINC000841758154 587974070 /nfs/dbraw/zinc/97/40/70/587974070.db2.gz DMTOVCYRSOARHG-QKCSRTOESA-N 0 3 237.318 2.698 20 0 BFADHN C[C@H]1[C@@H](C)N(Cc2ccc(F)nc2)C[C@H]1C ZINC000841889568 587981498 /nfs/dbraw/zinc/98/14/98/587981498.db2.gz FBBAWRLTAOMJTM-GMTAPVOTSA-N 0 3 222.307 2.697 20 0 BFADHN CC(C)[C@H](N[C@@H]1CO[C@H](C)C1)c1ccccn1 ZINC000842684017 588052490 /nfs/dbraw/zinc/05/24/90/588052490.db2.gz VNRMEGAZEVLNSU-DYEKYZERSA-N 0 3 234.343 2.546 20 0 BFADHN CCCC[C@@](C)(CO)NCc1cc(C)oc1C ZINC000842745964 588058426 /nfs/dbraw/zinc/05/84/26/588058426.db2.gz IVMDBIGNOVIDDD-AWEZNQCLSA-N 0 3 239.359 2.927 20 0 BFADHN Cc1cc(F)ccc1[C@H](C)N[C@H]1CO[C@@H](C)C1 ZINC000842928273 588071846 /nfs/dbraw/zinc/07/18/46/588071846.db2.gz INPJEHVJBKLEHZ-GMXVVIOVSA-N 0 3 237.318 2.962 20 0 BFADHN CCCN[C@H](C)C(=O)N(CC)c1ccc(C)cc1 ZINC000843373672 588104958 /nfs/dbraw/zinc/10/49/58/588104958.db2.gz OXEIKVGLVXBBCU-CYBMUJFWSA-N 0 3 248.370 2.736 20 0 BFADHN CCCCCCC(=O)N1CCC[C@@H](N(C)C)C1 ZINC000105325272 588140743 /nfs/dbraw/zinc/14/07/43/588140743.db2.gz WDECLEVJGAHONB-CYBMUJFWSA-N 0 3 240.391 2.509 20 0 BFADHN O[C@H](CN1CCC12CCCC2)c1cccc(F)c1 ZINC000844275302 588179450 /nfs/dbraw/zinc/17/94/50/588179450.db2.gz ANOUYBOTJPLTRA-CQSZACIVSA-N 0 3 249.329 2.878 20 0 BFADHN O[C@H](CN1CCC12CCCC2)c1ccc(F)cc1 ZINC000844276772 588179595 /nfs/dbraw/zinc/17/95/95/588179595.db2.gz WBSIFYJNWZTABE-CQSZACIVSA-N 0 3 249.329 2.878 20 0 BFADHN C[C@@H](CN1C[C@H]2[C@@H](C1)C2(F)F)c1ccccc1 ZINC000844449039 588195033 /nfs/dbraw/zinc/19/50/33/588195033.db2.gz DPTNGVIZQQZPGT-WCFLWFBJSA-N 0 3 237.293 2.987 20 0 BFADHN CC(C)(C)OCCCCN1C[C@H]2[C@@H](C1)C2(F)F ZINC000844460574 588196933 /nfs/dbraw/zinc/19/69/33/588196933.db2.gz YFQTTWPBPZPFFS-PHIMTYICSA-N 0 3 247.329 2.779 20 0 BFADHN CC(C)(C)C(=O)CCN1CC[C@@]2(CC2(F)F)C1 ZINC000844468473 588197727 /nfs/dbraw/zinc/19/77/27/588197727.db2.gz OOJGTJLJHJJLAA-GFCCVEGCSA-N 0 3 245.313 2.723 20 0 BFADHN CC[C@H]1CCN(CC2(Br)CC2)C1 ZINC000846814189 588290882 /nfs/dbraw/zinc/29/08/82/588290882.db2.gz PFBOXJFLYIUZNL-VIFPVBQESA-N 0 3 232.165 2.646 20 0 BFADHN C[C@@H](CN1CCCC1)OC(=O)C1(C)CCCC1 ZINC000845667677 588240341 /nfs/dbraw/zinc/24/03/41/588240341.db2.gz FUZLNOZZWNDGQZ-LBPRGKRZSA-N 0 3 239.359 2.594 20 0 BFADHN CCC/C=C/C(=O)OC[C@H]1CCN1C(C)(C)C ZINC000845742234 588243349 /nfs/dbraw/zinc/24/33/49/588243349.db2.gz XUNVEYOOWDUGBU-ABZNLYFFSA-N 0 3 239.359 2.759 20 0 BFADHN c1cc2c(c(CNCCOC3CCC3)c1)CCC2 ZINC000845945344 588251205 /nfs/dbraw/zinc/25/12/05/588251205.db2.gz YEODSXNPMUNOMP-UHFFFAOYSA-N 0 3 245.366 2.834 20 0 BFADHN CCC[C@H](C)[C@H](CO)NCc1cc(C)oc1C ZINC000846019144 588253782 /nfs/dbraw/zinc/25/37/82/588253782.db2.gz XSVGUKGZJIFNKV-HZMBPMFUSA-N 0 3 239.359 2.783 20 0 BFADHN Cc1ccc(CN[C@@H]2C[C@H]2CC(C)(C)C)nn1 ZINC000846025546 588253947 /nfs/dbraw/zinc/25/39/47/588253947.db2.gz IGMKMHWGQBSQSB-WCQYABFASA-N 0 3 233.359 2.699 20 0 BFADHN C[C@@]1(CCNCc2nccs2)C[C@@]1(F)Cl ZINC000846034898 588254313 /nfs/dbraw/zinc/25/43/13/588254313.db2.gz JIOOTHCXKBGHGN-ZJUUUORDSA-N 0 3 248.754 2.937 20 0 BFADHN Cn1ccc(CN2CCC[C@@]3(CC3(F)F)C2)c1 ZINC000846104446 588256501 /nfs/dbraw/zinc/25/65/01/588256501.db2.gz HKTSWVXPVUEFPA-GFCCVEGCSA-N 0 3 240.297 2.646 20 0 BFADHN FC1(F)[C@H]2CN(Cc3cccc4cc[nH]c43)C[C@H]21 ZINC000846112823 588257213 /nfs/dbraw/zinc/25/72/13/588257213.db2.gz SVELKMPRDJFJCE-TXEJJXNPSA-N 0 3 248.276 2.865 20 0 BFADHN F[C@@H]1CCCN(CCc2ccccc2)C1 ZINC000846119972 588258140 /nfs/dbraw/zinc/25/81/40/588258140.db2.gz LRUWDGCJLPASDS-CYBMUJFWSA-N 0 3 207.292 2.663 20 0 BFADHN NCc1cccc(NC(=O)/C=C/C2CCCC2)c1 ZINC000846662626 588281620 /nfs/dbraw/zinc/28/16/20/588281620.db2.gz VOEPGOPFEKLYMB-CMDGGOBGSA-N 0 3 244.338 2.830 20 0 BFADHN c1csc(NC2CC(N3CCCCC3)C2)n1 ZINC000846750977 588285270 /nfs/dbraw/zinc/28/52/70/588285270.db2.gz XOPRWGRFMXMLLH-UHFFFAOYSA-N 0 3 237.372 2.572 20 0 BFADHN CC1CCN(CC2(Br)CC2)CC1 ZINC000846768593 588286834 /nfs/dbraw/zinc/28/68/34/588286834.db2.gz OSUZLKNPWNDZDP-UHFFFAOYSA-N 0 3 232.165 2.646 20 0 BFADHN C[C@H]1CCCN(CC2(Br)CC2)C1 ZINC000846769064 588286908 /nfs/dbraw/zinc/28/69/08/588286908.db2.gz VEJVMVREIMHNSD-VIFPVBQESA-N 0 3 232.165 2.646 20 0 BFADHN COc1cc(-c2ccc(CN(C)C)cc2)ccn1 ZINC000846780909 588288098 /nfs/dbraw/zinc/28/80/98/588288098.db2.gz WNMPNPPRPUIDQD-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN CN1CCN(C/C=C/Cl)CC12CCCCC2 ZINC000846942566 588297864 /nfs/dbraw/zinc/29/78/64/588297864.db2.gz AZAUXASRMXDSGB-VMPITWQZSA-N 0 3 242.794 2.689 20 0 BFADHN CCOC(CN(CC)CC1=CCCC1)OCC ZINC000846973773 588298700 /nfs/dbraw/zinc/29/87/00/588298700.db2.gz DVQLEEXPJFRYQM-UHFFFAOYSA-N 0 3 241.375 2.818 20 0 BFADHN CN(CC1(Br)CC1)C(C)(C)C ZINC000847015119 588301627 /nfs/dbraw/zinc/30/16/27/588301627.db2.gz ZBEPCGXIUYEXKD-UHFFFAOYSA-N 0 3 220.154 2.644 20 0 BFADHN CC(C)OC(=O)C[C@@H](NC/C=C\Cl)C(C)C ZINC000847073578 588305930 /nfs/dbraw/zinc/30/59/30/588305930.db2.gz GWHNVYRPEDQBJG-ISALQUGTSA-N 0 3 247.766 2.695 20 0 BFADHN CC(C)OC(=O)C[C@H](NC/C=C\Cl)C(C)C ZINC000847073576 588306088 /nfs/dbraw/zinc/30/60/88/588306088.db2.gz GWHNVYRPEDQBJG-GZTOBOFZSA-N 0 3 247.766 2.695 20 0 BFADHN CCCCC(=O)OC[C@H](C)N1CCCCC1 ZINC000847959231 588345496 /nfs/dbraw/zinc/34/54/96/588345496.db2.gz PWNXBQWBEWXNOL-LBPRGKRZSA-N 0 3 227.348 2.594 20 0 BFADHN CC/C(C)=C/C(=O)OC[C@@H](C)N1CCCCC1 ZINC000847963665 588345699 /nfs/dbraw/zinc/34/56/99/588345699.db2.gz TWJDNEVTKIIXEJ-RSKUSDAESA-N 0 3 239.359 2.760 20 0 BFADHN CC(C)[C@@H](C)C(=O)OC[C@H](C)N1CCCCC1 ZINC000847961019 588345850 /nfs/dbraw/zinc/34/58/50/588345850.db2.gz SGAYRLBMJGGYGA-QWHCGFSZSA-N 0 3 241.375 2.696 20 0 BFADHN CCCCCCNCc1cnc(Cl)n1C ZINC000848524202 588392486 /nfs/dbraw/zinc/39/24/86/588392486.db2.gz DIBJIDFPFJFIEH-UHFFFAOYSA-N 0 3 229.755 2.743 20 0 BFADHN C[C@H](CN[C@H]1CCCn2ccnc21)C(C)(C)C ZINC000848530447 588393032 /nfs/dbraw/zinc/39/30/32/588393032.db2.gz HAHSLXMOWKCFLI-NEPJUHHUSA-N 0 3 235.375 2.990 20 0 BFADHN CCCCCCNCc1cc2n(n1)CCCC2 ZINC000848526459 588393092 /nfs/dbraw/zinc/39/30/92/588393092.db2.gz XVLDYOBSFIWWJC-UHFFFAOYSA-N 0 3 235.375 2.889 20 0 BFADHN CC(C)CCCNCc1cnc(Cl)n1C ZINC000848526165 588393232 /nfs/dbraw/zinc/39/32/32/588393232.db2.gz YNHCYQAENDNWDI-UHFFFAOYSA-N 0 3 229.755 2.599 20 0 BFADHN CC(C)c1ccccc1CN[C@@H]1C=C[C@H](CO)C1 ZINC000848538730 588394334 /nfs/dbraw/zinc/39/43/34/588394334.db2.gz CPLFLPYWVCGEIZ-DZGCQCFKSA-N 0 3 245.366 2.837 20 0 BFADHN c1c(CNC23CCC(CC2)C3)nn2c1CCCC2 ZINC000848547378 588395623 /nfs/dbraw/zinc/39/56/23/588395623.db2.gz OQUWCCCSIDYBFW-UHFFFAOYSA-N 0 3 245.370 2.642 20 0 BFADHN COCC(C)(C)CNCc1noc2ccccc12 ZINC000848579975 588399496 /nfs/dbraw/zinc/39/94/96/588399496.db2.gz UIAINCJMQUBNAC-UHFFFAOYSA-N 0 3 248.326 2.590 20 0 BFADHN CC[C@@](C)(NCc1cncnc1)c1ccccc1 ZINC000107717601 588400918 /nfs/dbraw/zinc/40/09/18/588400918.db2.gz GRFNMAJIONKGCP-OAHLLOKOSA-N 0 3 241.338 2.892 20 0 BFADHN CC[C@@H]1C[C@H](C)CN1Cc1cnc(Cl)n1C ZINC000848887470 588415580 /nfs/dbraw/zinc/41/55/80/588415580.db2.gz XGGGFHHRQUBMRX-VHSXEESVSA-N 0 3 241.766 2.694 20 0 BFADHN CCN(Cc1cnc(Cl)n1C)CC(C)C ZINC000848881842 588416492 /nfs/dbraw/zinc/41/64/92/588416492.db2.gz SRNIZTQVPBUXPM-UHFFFAOYSA-N 0 3 229.755 2.551 20 0 BFADHN CC[C@H](C)CN(CC)Cc1cnc(Cl)n1C ZINC000848889233 588418090 /nfs/dbraw/zinc/41/80/90/588418090.db2.gz IGRCDSOPGHHPQA-JTQLQIEISA-N 0 3 243.782 2.942 20 0 BFADHN CC[C@H]1[C@H](C)CCN1Cc1cnc(Cl)n1C ZINC000848904237 588418280 /nfs/dbraw/zinc/41/82/80/588418280.db2.gz OAHATEMXQRUCHU-KOLCDFICSA-N 0 3 241.766 2.694 20 0 BFADHN CC(C)c1ccccc1CN(C)CCN(C)C ZINC000848909978 588419403 /nfs/dbraw/zinc/41/94/03/588419403.db2.gz DAUZLIFLCKHVAC-UHFFFAOYSA-N 0 3 234.387 2.803 20 0 BFADHN CN(Cc1cnc(Cl)n1C)C1(C)CCCC1 ZINC000848907729 588419406 /nfs/dbraw/zinc/41/94/06/588419406.db2.gz RLSSDHQYSNCRQT-UHFFFAOYSA-N 0 3 241.766 2.838 20 0 BFADHN CC1(C(=O)OCCN2CCCCC2)CCCC1 ZINC000849185887 588460696 /nfs/dbraw/zinc/46/06/96/588460696.db2.gz ZRRRALHGXNVDHJ-UHFFFAOYSA-N 0 3 239.359 2.596 20 0 BFADHN N[C@H]1CCCc2cn(CC=C(Cl)Cl)nc21 ZINC000849239921 588464493 /nfs/dbraw/zinc/46/44/93/588464493.db2.gz XUYJVQWPRJTVDB-QMMMGPOBSA-N 0 3 246.141 2.538 20 0 BFADHN CCCCCCNCc1ccnn1CC1CC1 ZINC000850008462 588514348 /nfs/dbraw/zinc/51/43/48/588514348.db2.gz ULYQAJBQNHORSN-UHFFFAOYSA-N 0 3 235.375 2.963 20 0 BFADHN CCCCN(C)Cn1nccc1-c1ccncc1 ZINC000109060033 588515660 /nfs/dbraw/zinc/51/56/60/588515660.db2.gz QEHZWMNCFBPDNZ-UHFFFAOYSA-N 0 3 244.342 2.635 20 0 BFADHN CC[C@@H](C)CNCc1cc(C(F)(F)F)nn1C ZINC000850328731 588537026 /nfs/dbraw/zinc/53/70/26/588537026.db2.gz XFCNUHRXAKVDOA-MRVPVSSYSA-N 0 3 249.280 2.575 20 0 BFADHN C[C@H](CNCc1ccc(F)nc1)c1ccccn1 ZINC000850343406 588537585 /nfs/dbraw/zinc/53/75/85/588537585.db2.gz UPIIXRIMSJBYBO-LLVKDONJSA-N 0 3 245.301 2.509 20 0 BFADHN C[C@H]1C[C@H](NC2CCCCCCC2)c2ncnn21 ZINC000850351763 588538307 /nfs/dbraw/zinc/53/83/07/588538307.db2.gz HCPPOUAOHKLEHG-AAEUAGOBSA-N 0 3 248.374 2.986 20 0 BFADHN CCOCOc1ccc(CN[C@H]2C=CCC2)cc1 ZINC000850358363 588539234 /nfs/dbraw/zinc/53/92/34/588539234.db2.gz BXBZHJNKBCKKHX-AWEZNQCLSA-N 0 3 247.338 2.868 20 0 BFADHN Clc1ccc2ncc(CN[C@H]3C=CCC3)n2c1 ZINC000850358329 588539412 /nfs/dbraw/zinc/53/94/12/588539412.db2.gz BGQOFYJPGXZGOW-NSHDSACASA-N 0 3 247.729 2.796 20 0 BFADHN C1=C[C@H](NCc2cccc3c2CCC3)CC1 ZINC000850359590 588540098 /nfs/dbraw/zinc/54/00/98/588540098.db2.gz LKZXVJDJGJRWCT-AWEZNQCLSA-N 0 3 213.324 2.984 20 0 BFADHN C1=C[C@@H](NCc2cc3ccccc3[nH]2)CC1 ZINC000850358881 588540141 /nfs/dbraw/zinc/54/01/41/588540141.db2.gz MSNDARHPJGLUPK-GFCCVEGCSA-N 0 3 212.296 2.976 20 0 BFADHN O[C@@H]1C[C@H](N[C@@H]2CCCSC2)c2ccccc21 ZINC000850376332 588541973 /nfs/dbraw/zinc/54/19/73/588541973.db2.gz XMGCYCFAISLQDJ-DDTOSNHZSA-N 0 3 249.379 2.650 20 0 BFADHN CC(C)OC[C@@H](C)N[C@H]1C[C@@H](O)c2ccccc21 ZINC000850375209 588542139 /nfs/dbraw/zinc/54/21/39/588542139.db2.gz QBMSERSNLPPVKN-BYCMXARLSA-N 0 3 249.354 2.568 20 0 BFADHN C[C@@]1(CCNCc2ccoc2)CC1(F)F ZINC000850380967 588542497 /nfs/dbraw/zinc/54/24/97/588542497.db2.gz RTYSCPYRLBVKOA-SNVBAGLBSA-N 0 3 215.243 2.805 20 0 BFADHN CC[C@H]1COC[C@H]1NCc1ccc(C)c(OC)c1 ZINC000850383170 588542753 /nfs/dbraw/zinc/54/27/53/588542753.db2.gz MUALVYYWCFLHMZ-UONOGXRCSA-N 0 3 249.354 2.518 20 0 BFADHN Clc1ncccc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000850391897 588543393 /nfs/dbraw/zinc/54/33/93/588543393.db2.gz CUXIYRXKOYRGAQ-JGPRNRPPSA-N 0 3 222.719 2.623 20 0 BFADHN Fc1ccc2c(c1)C[C@@H]1[C@@H](NCc3ccoc3)[C@H]21 ZINC000850409420 588544544 /nfs/dbraw/zinc/54/45/44/588544544.db2.gz UBSXPOYLXPQVSF-RRFJBIMHSA-N 0 3 243.281 2.847 20 0 BFADHN CON(C)CCN[C@@H](C)c1ccc(Cl)s1 ZINC000850480862 588547555 /nfs/dbraw/zinc/54/75/55/588547555.db2.gz VYABIMFSLDZMRE-QMMMGPOBSA-N 0 3 248.779 2.545 20 0 BFADHN CC1(C)[C@H](N[C@@H]2CCn3ccnc32)[C@@H]2CCC[C@@H]21 ZINC000850472046 588548819 /nfs/dbraw/zinc/54/88/19/588548819.db2.gz WISCFSBXBDDWDJ-YVECIDJPSA-N 0 3 245.370 2.742 20 0 BFADHN CCC(C)(C)[C@H](C)N[C@@H](C)c1ncc[nH]1 ZINC000850502528 588552653 /nfs/dbraw/zinc/55/26/53/588552653.db2.gz SJRZMTNWTPKOMJ-UWVGGRQHSA-N 0 3 209.337 2.885 20 0 BFADHN CCC(C)(C)[C@@H](C)N[C@@H](C)c1ncc[nH]1 ZINC000850502529 588552915 /nfs/dbraw/zinc/55/29/15/588552915.db2.gz SJRZMTNWTPKOMJ-VHSXEESVSA-N 0 3 209.337 2.885 20 0 BFADHN Cc1ncc([C@@H](C)N[C@@H]2C=CCC2)s1 ZINC000850508058 588553814 /nfs/dbraw/zinc/55/38/14/588553814.db2.gz HWXMREKRPRUFAB-PSASIEDQSA-N 0 3 208.330 2.821 20 0 BFADHN C1=C[C@H](N[C@@H](c2nc[nH]n2)C2CCCCC2)CC1 ZINC000850509614 588554650 /nfs/dbraw/zinc/55/46/50/588554650.db2.gz SBKFLGUFZHDQHW-QWHCGFSZSA-N 0 3 246.358 2.734 20 0 BFADHN C1=C[C@H]([NH2+][C@@H](c2nnc[n-]2)C2CCCCC2)CC1 ZINC000850509614 588554652 /nfs/dbraw/zinc/55/46/52/588554652.db2.gz SBKFLGUFZHDQHW-QWHCGFSZSA-N 0 3 246.358 2.734 20 0 BFADHN C1=C[C@H](N[C@@H](c2nnc[nH]2)C2CCCCC2)CC1 ZINC000850509614 588554654 /nfs/dbraw/zinc/55/46/54/588554654.db2.gz SBKFLGUFZHDQHW-QWHCGFSZSA-N 0 3 246.358 2.734 20 0 BFADHN Cc1cc([C@H](C)NCCC(C)(C)F)n(C)n1 ZINC000850532242 588558373 /nfs/dbraw/zinc/55/83/73/588558373.db2.gz RBCWOGMABOAAPG-JTQLQIEISA-N 0 3 227.327 2.517 20 0 BFADHN CCOc1cccc([C@H](C)N[C@@H]2C[C@]2(C)OC)c1 ZINC000850571471 588561301 /nfs/dbraw/zinc/56/13/01/588561301.db2.gz RFUPJJNGEJUEPO-GLQYFDAESA-N 0 3 249.354 2.913 20 0 BFADHN CCCCCCN(C)CC(=O)NCCC(C)C ZINC000109931681 588602407 /nfs/dbraw/zinc/60/24/07/588602407.db2.gz VDKGYTMEOUHFBU-UHFFFAOYSA-N 0 3 242.407 2.661 20 0 BFADHN CCCCCC[C@H](CC)CN(C)C(=O)[C@H](C)N ZINC000851647331 588613246 /nfs/dbraw/zinc/61/32/46/588613246.db2.gz JMWYURISXNEHGT-STQMWFEESA-N 0 3 242.407 2.789 20 0 BFADHN CCc1nc([C@H](C)N2CCCCCCC2)n[nH]1 ZINC000851715118 588615870 /nfs/dbraw/zinc/61/58/70/588615870.db2.gz XJPPKWPZRCMRLR-NSHDSACASA-N 0 3 236.363 2.694 20 0 BFADHN CO[C@@H]1CC[C@H](N(C)Cc2ccc(C)o2)C1 ZINC000851718003 588616129 /nfs/dbraw/zinc/61/61/29/588616129.db2.gz BCNSIBXRZNHGJC-NWDGAFQWSA-N 0 3 223.316 2.587 20 0 BFADHN FC(F)OCCN(Cc1cccs1)C1CC1 ZINC000851717803 588616292 /nfs/dbraw/zinc/61/62/92/588616292.db2.gz XVWOISHCQDMUJE-UHFFFAOYSA-N 0 3 247.310 2.952 20 0 BFADHN CC[C@@H]1CCCCN1Cc1cccc(F)n1 ZINC000851718563 588616677 /nfs/dbraw/zinc/61/66/77/588616677.db2.gz LCJPOURUVZFYBD-GFCCVEGCSA-N 0 3 222.307 2.985 20 0 BFADHN CC(C)CCN(C)Cc1cccc(F)n1 ZINC000851731464 588619488 /nfs/dbraw/zinc/61/94/88/588619488.db2.gz RKGDIYSXWMGFDZ-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN CC(C)N(CCOC(F)F)Cc1ccco1 ZINC000851738124 588620852 /nfs/dbraw/zinc/62/08/52/588620852.db2.gz ASPNFANAVZOCKD-UHFFFAOYSA-N 0 3 233.258 2.729 20 0 BFADHN FC(F)OCCN1CC[C@H](c2ccccc2)C1 ZINC000851739311 588620932 /nfs/dbraw/zinc/62/09/32/588620932.db2.gz INMAJROVAAZJIO-LBPRGKRZSA-N 0 3 241.281 2.715 20 0 BFADHN CN(Cc1cccc(F)n1)CC(C)(C)C ZINC000851748603 588622841 /nfs/dbraw/zinc/62/28/41/588622841.db2.gz UBUMTTPLFQJFPS-UHFFFAOYSA-N 0 3 210.296 2.699 20 0 BFADHN Fc1cccc(CN2CCC[C@@H]3CCC[C@H]32)n1 ZINC000851785111 588628477 /nfs/dbraw/zinc/62/84/77/588628477.db2.gz OUHWPKMEQPPEEP-WCQYABFASA-N 0 3 234.318 2.985 20 0 BFADHN C[C@H]1CCCN(Cc2cccc(F)n2)[C@H]1C ZINC000851786547 588628869 /nfs/dbraw/zinc/62/88/69/588628869.db2.gz YARGVCDNLGROKC-QWRGUYRKSA-N 0 3 222.307 2.841 20 0 BFADHN C[C@@H]1CC[C@@H](C)N(Cc2cccc(F)n2)C1 ZINC000851793561 588629401 /nfs/dbraw/zinc/62/94/01/588629401.db2.gz GMDDVJAAJQQNKX-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN CCc1nocc1CN1C[C@@H](C)CC1(C)C ZINC000851799889 588630254 /nfs/dbraw/zinc/63/02/54/588630254.db2.gz UQLSXNDYKWSVTR-JTQLQIEISA-N 0 3 222.332 2.857 20 0 BFADHN CCC[C@@H]1CCCN(CCOC(F)F)C1 ZINC000851807376 588631742 /nfs/dbraw/zinc/63/17/42/588631742.db2.gz DENBIFRRMLMDTQ-SNVBAGLBSA-N 0 3 221.291 2.738 20 0 BFADHN CCC[C@@H](NC[C@H]1CCC(=O)O1)c1ccccc1 ZINC000851893837 588641382 /nfs/dbraw/zinc/64/13/82/588641382.db2.gz WJPWDVBJJDVYOG-ZIAGYGMSSA-N 0 3 247.338 2.823 20 0 BFADHN CCC[C@H](NC[C@H]1CCC(=O)O1)c1ccccc1 ZINC000851893835 588641565 /nfs/dbraw/zinc/64/15/65/588641565.db2.gz WJPWDVBJJDVYOG-KGLIPLIRSA-N 0 3 247.338 2.823 20 0 BFADHN CC[C@@H](NCc1cnon1)C1CCCCC1 ZINC000851905567 588642060 /nfs/dbraw/zinc/64/20/60/588642060.db2.gz DJCXDUDLOAIWBL-GFCCVEGCSA-N 0 3 223.320 2.518 20 0 BFADHN CC[C@@H](NCC=C(Cl)Cl)[C@@H]1CCCO1 ZINC000851928695 588645012 /nfs/dbraw/zinc/64/50/12/588645012.db2.gz WGXCNRYUCWZJPX-BDAKNGLRSA-N 0 3 238.158 2.853 20 0 BFADHN CCC[C@H]1CCCC[C@H]1NCc1cnon1 ZINC000851946720 588646585 /nfs/dbraw/zinc/64/65/85/588646585.db2.gz LDFBEDLYCBJHCX-CMPLNLGQSA-N 0 3 223.320 2.518 20 0 BFADHN CN(Cc1cccc(F)n1)C1(C)CCCC1 ZINC000851993180 588652892 /nfs/dbraw/zinc/65/28/92/588652892.db2.gz GXECQXFCYUIEOO-UHFFFAOYSA-N 0 3 222.307 2.985 20 0 BFADHN Fc1cccc(CN(CC2CC2)C2CCC2)n1 ZINC000852005209 588654192 /nfs/dbraw/zinc/65/41/92/588654192.db2.gz YYGSLJHGIVDLFG-UHFFFAOYSA-N 0 3 234.318 2.985 20 0 BFADHN CO[C@@H]1CC[C@H](N(C)Cc2occc2C)C1 ZINC000852077016 588659723 /nfs/dbraw/zinc/65/97/23/588659723.db2.gz NGUNNPHIMRRRDW-NWDGAFQWSA-N 0 3 223.316 2.587 20 0 BFADHN Fc1cccc(CN2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC000852136108 588666118 /nfs/dbraw/zinc/66/61/18/588666118.db2.gz UBBQLPGSCXNESX-TXEJJXNPSA-N 0 3 234.318 2.843 20 0 BFADHN OC1([C@H]2CCCCN2Cc2ccsc2)CC1 ZINC000852158283 588668248 /nfs/dbraw/zinc/66/82/48/588668248.db2.gz UUJZKWKWYGEZIQ-GFCCVEGCSA-N 0 3 237.368 2.628 20 0 BFADHN Cc1ccccc1C1(NCCOC(F)F)CC1 ZINC000852206329 588671328 /nfs/dbraw/zinc/67/13/28/588671328.db2.gz HDMKHFAFPKRUDV-UHFFFAOYSA-N 0 3 241.281 2.813 20 0 BFADHN CCC[C@H](C)[C@@H](CO)NCC=C(Cl)Cl ZINC000852210851 588672806 /nfs/dbraw/zinc/67/28/06/588672806.db2.gz LTFDDJZDLCRQHR-DTWKUNHWSA-N 0 3 240.174 2.692 20 0 BFADHN CC[C@H](C)N(CC)Cc1ccc(F)cn1 ZINC000852291016 588676554 /nfs/dbraw/zinc/67/65/54/588676554.db2.gz OLTDJMSNPJQCLC-JTQLQIEISA-N 0 3 210.296 2.841 20 0 BFADHN O=C(CCN1CCC(c2ccco2)CC1)C1CC1 ZINC000852324550 588678758 /nfs/dbraw/zinc/67/87/58/588678758.db2.gz VKXGCYQFVTVVQU-UHFFFAOYSA-N 0 3 247.338 2.828 20 0 BFADHN Cc1oncc1CN1CCC(C2CCC2)CC1 ZINC000852408173 588680813 /nfs/dbraw/zinc/68/08/13/588680813.db2.gz RUVDKLWIPCKRMW-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN CCC(=O)CCN1CCC(C2CCC2)CC1 ZINC000852408091 588681152 /nfs/dbraw/zinc/68/11/52/588681152.db2.gz OREIILAHTDYORG-UHFFFAOYSA-N 0 3 223.360 2.868 20 0 BFADHN C[C@H](NCCCCCCCO)c1cscn1 ZINC000852418134 588681667 /nfs/dbraw/zinc/68/16/67/588681667.db2.gz PDZHPWRNVLFSAK-NSHDSACASA-N 0 3 242.388 2.737 20 0 BFADHN C[C@H]1COC2(CCC2)CN1CC1CC(F)(F)C1 ZINC000852445186 588682759 /nfs/dbraw/zinc/68/27/59/588682759.db2.gz WPLGDMJDUJNFRW-JTQLQIEISA-N 0 3 245.313 2.675 20 0 BFADHN COCCN(CCF)CC1CCC(C)CC1 ZINC000852481251 588684059 /nfs/dbraw/zinc/68/40/59/588684059.db2.gz JYULLZUTJYQVRK-UHFFFAOYSA-N 0 3 231.355 2.731 20 0 BFADHN CN(Cc1ccc(CF)cc1)CC1=CCCOC1 ZINC000852518071 588687843 /nfs/dbraw/zinc/68/78/43/588687843.db2.gz CHHWHYYZNRPGRX-UHFFFAOYSA-N 0 3 249.329 2.935 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CN1CC[C@@H]2C[C@@H]2C1 ZINC000852634936 588693289 /nfs/dbraw/zinc/69/32/89/588693289.db2.gz KWLZQLMWCUWYLG-LALPHHSUSA-N 0 3 249.329 2.509 20 0 BFADHN Cc1ccccc1OCCN1CC[C@@H]2C[C@@H]2C1 ZINC000852636410 588693540 /nfs/dbraw/zinc/69/35/40/588693540.db2.gz UHNRAFKEJRDZRX-ZIAGYGMSSA-N 0 3 231.339 2.716 20 0 BFADHN CCCCC[C@@H]1CCCCN1CC[S@](C)=O ZINC000852712493 588696987 /nfs/dbraw/zinc/69/69/87/588696987.db2.gz QLDWTRMZNVQGEW-CJNGLKHVSA-N 0 3 245.432 2.800 20 0 BFADHN Cc1cc(CN(CCC2CC2)CC2CC2)n(C)n1 ZINC000852716321 588697433 /nfs/dbraw/zinc/69/74/33/588697433.db2.gz JWDCRURHZMDPFR-UHFFFAOYSA-N 0 3 247.386 2.741 20 0 BFADHN CC1(C)C[C@@]1(NC[C@@H](O)C1CC1)c1ccccc1 ZINC000852773366 588701659 /nfs/dbraw/zinc/70/16/59/588701659.db2.gz XDSQDTHUQCTSPN-GDBMZVCRSA-N 0 3 245.366 2.672 20 0 BFADHN CCCCCCN(C)CC(=O)N(CC)C1CC1 ZINC000118310643 589167136 /nfs/dbraw/zinc/16/71/36/589167136.db2.gz WHJWIWTYFUYWDO-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1c(CN2CCC(C)CC2)ccnc1F ZINC000862212056 589194434 /nfs/dbraw/zinc/19/44/34/589194434.db2.gz OOLIPRUQTQAQCA-UHFFFAOYSA-N 0 3 222.307 2.761 20 0 BFADHN CCCN(CC)Cc1ccnc(F)c1C ZINC000862210769 589194668 /nfs/dbraw/zinc/19/46/68/589194668.db2.gz VPQJEWOAAHBZNR-UHFFFAOYSA-N 0 3 210.296 2.761 20 0 BFADHN Cc1c(CN(C)[C@H](C)C2CC2)ccnc1F ZINC000862225123 589195888 /nfs/dbraw/zinc/19/58/88/589195888.db2.gz OXNWUPDTUWNNQH-SNVBAGLBSA-N 0 3 222.307 2.759 20 0 BFADHN Cc1c(CN2CCC[C@H]2C)ccnc1F ZINC000862242217 589196253 /nfs/dbraw/zinc/19/62/53/589196253.db2.gz AEGSJADQUMBSLE-SECBINFHSA-N 0 3 208.280 2.513 20 0 BFADHN C[C@@H]1COCCN(Cc2ccc3cc[nH]c3c2)C1 ZINC000862246952 589196823 /nfs/dbraw/zinc/19/68/23/589196823.db2.gz PLJXDGDQGXGZOR-LBPRGKRZSA-N 0 3 244.338 2.636 20 0 BFADHN CSCC[C@H](C)NCc1cnc(C2CC2)o1 ZINC000862281426 589199310 /nfs/dbraw/zinc/19/93/10/589199310.db2.gz YSXQYAFWYIWHND-VIFPVBQESA-N 0 3 240.372 2.783 20 0 BFADHN CC[C@@H](CSC)NCc1ccnc(F)c1C ZINC000862291031 589200053 /nfs/dbraw/zinc/20/00/53/589200053.db2.gz FCCFXQASIUXSCC-NSHDSACASA-N 0 3 242.363 2.760 20 0 BFADHN CC/C=C\CNCc1ccnc(F)c1C ZINC000862384085 589206780 /nfs/dbraw/zinc/20/67/80/589206780.db2.gz MKVNHTNAASJWHY-PLNGDYQASA-N 0 3 208.280 2.585 20 0 BFADHN CC[C@H](C)N(CCO)Cc1cc(Cl)cs1 ZINC000862386749 589207442 /nfs/dbraw/zinc/20/74/42/589207442.db2.gz BIRAQUNTBURMNX-VIFPVBQESA-N 0 3 247.791 2.994 20 0 BFADHN FC1(F)[C@H]2CN(Cc3ccc4[nH]ccc4c3)C[C@H]21 ZINC000862402690 589209113 /nfs/dbraw/zinc/20/91/13/589209113.db2.gz RBAGSDMGLVNMKA-TXEJJXNPSA-N 0 3 248.276 2.865 20 0 BFADHN Cc1c(CN[C@@H]2CCS[C@H]2C)ccnc1F ZINC000862399741 589209131 /nfs/dbraw/zinc/20/91/31/589209131.db2.gz BDXBVVBDUAZYNH-GXSJLCMTSA-N 0 3 240.347 2.513 20 0 BFADHN CCOCOc1ccc(CN2C[C@@H]3C[C@@H]3C2)cc1 ZINC000862444159 589213776 /nfs/dbraw/zinc/21/37/76/589213776.db2.gz YSNBRUZAJLHPCF-OKILXGFUSA-N 0 3 247.338 2.511 20 0 BFADHN C[C@@H](NC1CC(C(F)F)C1)c1ccns1 ZINC000862539483 589218144 /nfs/dbraw/zinc/21/81/44/589218144.db2.gz NAXJPINGXKKKQK-JECWYVHBSA-N 0 3 232.299 2.837 20 0 BFADHN CCC(O)(CC)CN(C)Cc1cc(C)oc1C ZINC000862540088 589218681 /nfs/dbraw/zinc/21/86/81/589218681.db2.gz YVBJVUYLVIMDAP-UHFFFAOYSA-N 0 3 239.359 2.879 20 0 BFADHN C/C=C/C[C@@H]1CCCN(Cc2ccc(C)nn2)C1 ZINC000862550178 589220955 /nfs/dbraw/zinc/22/09/55/589220955.db2.gz JOBZEQZEVRTOIW-RDFMZFSFSA-N 0 3 245.370 2.963 20 0 BFADHN CC(C)c1c[nH]c(SCCN2CCCC2)n1 ZINC000863364179 589283279 /nfs/dbraw/zinc/28/32/79/589283279.db2.gz IWQCFPGXLBYRCS-UHFFFAOYSA-N 0 3 239.388 2.721 20 0 BFADHN C/C=C\CNC[C@@H](O)c1cccc(Cl)c1 ZINC000863581662 589301213 /nfs/dbraw/zinc/30/12/13/589301213.db2.gz DTXVRKRKDYHJSL-ZZKXABKFSA-N 0 3 225.719 2.539 20 0 BFADHN Cc1cc(-c2ccc([C@@H]3CNCCO3)cc2)co1 ZINC000863722745 589313190 /nfs/dbraw/zinc/31/31/90/589313190.db2.gz LPCYIWZZVFQIFW-HNNXBMFYSA-N 0 3 243.306 2.916 20 0 BFADHN CC1(C)C[C@H](NC/C=C/Cl)C(C)(C)O1 ZINC000119747196 589329262 /nfs/dbraw/zinc/32/92/62/589329262.db2.gz GJCALXSOLRXJMX-CYNONHLPSA-N 0 3 217.740 2.675 20 0 BFADHN Cc1cc(CN(C)C[C@@H]2CCCOC2)c(C)o1 ZINC000119783130 589333579 /nfs/dbraw/zinc/33/35/79/589333579.db2.gz IXFDDZFBAQDATB-ZDUSSCGKSA-N 0 3 237.343 2.755 20 0 BFADHN CC[C@@H](NCc1ccsc1)[C@@H]1CCCO1 ZINC000119815549 589334058 /nfs/dbraw/zinc/33/40/58/589334058.db2.gz SSLKVOPBYBMDEC-NEPJUHHUSA-N 0 3 225.357 2.795 20 0 BFADHN Cc1cc(CNCC[C@@]2(C)C[C@]2(F)Cl)on1 ZINC000864051217 589334975 /nfs/dbraw/zinc/33/49/75/589334975.db2.gz DCQXFOXFHBYNMP-WDEREUQCSA-N 0 3 246.713 2.777 20 0 BFADHN CCC(C)(C)[C@H](C)NCc1csc(N)n1 ZINC000864050060 589335063 /nfs/dbraw/zinc/33/50/63/589335063.db2.gz SKTVJCSCXMQIBT-QMMMGPOBSA-N 0 3 227.377 2.640 20 0 BFADHN CCc1csc(SC[C@@H]2CCN(C)C2)n1 ZINC000865387490 589430522 /nfs/dbraw/zinc/43/05/22/589430522.db2.gz XWQUVJIVNFXXTG-SECBINFHSA-N 0 3 242.413 2.749 20 0 BFADHN CCc1csc(SCCN2CCCC2)n1 ZINC000865386436 589430643 /nfs/dbraw/zinc/43/06/43/589430643.db2.gz BQIWNQKQBAWIQB-UHFFFAOYSA-N 0 3 242.413 2.893 20 0 BFADHN Fc1cccc2cc(CNC3CC3)cnc12 ZINC000865398448 589432217 /nfs/dbraw/zinc/43/22/17/589432217.db2.gz VWWSIQLWNIGXIG-UHFFFAOYSA-N 0 3 216.259 2.626 20 0 BFADHN CC[C@H](C)NCc1cccnc1Br ZINC000865401625 589432981 /nfs/dbraw/zinc/43/29/81/589432981.db2.gz YSPSDFCRRDWBIZ-QMMMGPOBSA-N 0 3 243.148 2.732 20 0 BFADHN CCCCCNCc1cc2c(cc[nH]c2=O)o1 ZINC000865401426 589433013 /nfs/dbraw/zinc/43/30/13/589433013.db2.gz ULPHBYWDJXIYPM-UHFFFAOYSA-N 0 3 234.299 2.813 20 0 BFADHN Cc1c(Cl)cccc1CN[C@H]1CCCOC1 ZINC000865453943 589437228 /nfs/dbraw/zinc/43/72/28/589437228.db2.gz MOIWKZODVZZGAX-LBPRGKRZSA-N 0 3 239.746 2.917 20 0 BFADHN Cc1cc(CNCc2cccc(Cl)c2C)n[nH]1 ZINC000865470916 589439230 /nfs/dbraw/zinc/43/92/30/589439230.db2.gz KZMHGPUUDNGDJX-UHFFFAOYSA-N 0 3 249.745 2.970 20 0 BFADHN Fc1cc(CNCCC2CC2)cnc1Cl ZINC000865478162 589439546 /nfs/dbraw/zinc/43/95/46/589439546.db2.gz UQZGDLCBUKNRJT-UHFFFAOYSA-N 0 3 228.698 2.764 20 0 BFADHN CCC1(CNCc2cc3c(cc[nH]c3=O)o2)CC1 ZINC000865483888 589440172 /nfs/dbraw/zinc/44/01/72/589440172.db2.gz ASRRXBBGZXEUQS-UHFFFAOYSA-N 0 3 246.310 2.813 20 0 BFADHN Cc1cc(C)nc(NCc2cn(C3CC3)cn2)c1 ZINC000865507143 589442388 /nfs/dbraw/zinc/44/23/88/589442388.db2.gz ZWSHCTUBLMTKBP-UHFFFAOYSA-N 0 3 242.326 2.842 20 0 BFADHN CCOc1cccc([C@@H](C)N[C@H]2CCCOC2)c1 ZINC000120603255 589444368 /nfs/dbraw/zinc/44/43/68/589444368.db2.gz MDXXBBKDVQYRIF-OCCSQVGLSA-N 0 3 249.354 2.915 20 0 BFADHN C/C=C/CNCc1cnc(Cl)c(F)c1 ZINC000865642648 589454190 /nfs/dbraw/zinc/45/41/90/589454190.db2.gz KNFWFZJIORCLGV-NSCUHMNNSA-N 0 3 214.671 2.540 20 0 BFADHN Cc1ccc(CN[C@@H]2CC(C)(C)CC[C@H]2O)o1 ZINC000865699428 589457035 /nfs/dbraw/zinc/45/70/35/589457035.db2.gz ZWILBKSUVXKHTN-CHWSQXEVSA-N 0 3 237.343 2.617 20 0 BFADHN F[C@@H]1CCCC[C@@H]1NCc1ccc2c[nH]nc2c1 ZINC000865698738 589457108 /nfs/dbraw/zinc/45/71/08/589457108.db2.gz GTSTYFVZHFWEST-OLZOCXBDSA-N 0 3 247.317 2.933 20 0 BFADHN C[C@@H](CC1CCC1)NCc1cn(C)nc1Cl ZINC000865732821 589458886 /nfs/dbraw/zinc/45/88/86/589458886.db2.gz KWVJZBOSJBLJLT-VIFPVBQESA-N 0 3 241.766 2.742 20 0 BFADHN CS[C@H]1C[C@H](NCc2ccc(C)o2)C1 ZINC000865734675 589459343 /nfs/dbraw/zinc/45/93/43/589459343.db2.gz JZZXGAJGVJOQRG-HOMQSWHASA-N 0 3 211.330 2.572 20 0 BFADHN CC(F)(F)C(C)(C)CNCc1ccc(F)nc1 ZINC000865789472 589462197 /nfs/dbraw/zinc/46/21/97/589462197.db2.gz DCODDQOMUORGKH-UHFFFAOYSA-N 0 3 246.276 2.992 20 0 BFADHN C[C@H]1CC[C@H](NCc2nn(C)cc2C(F)F)C1 ZINC000865808181 589462746 /nfs/dbraw/zinc/46/27/46/589462746.db2.gz CMFXAZYIEXBMRS-IUCAKERBSA-N 0 3 243.301 2.636 20 0 BFADHN CC(C)(C)c1ccc(CNC2CCOCC2)o1 ZINC000865815317 589463056 /nfs/dbraw/zinc/46/30/56/589463056.db2.gz YOXUGLDKNISCFH-UHFFFAOYSA-N 0 3 237.343 2.846 20 0 BFADHN c1cc(CNC2([C@@H]3CCCCO3)CCC2)ccn1 ZINC000865857150 589465290 /nfs/dbraw/zinc/46/52/90/589465290.db2.gz NIQZQNRMSCZART-AWEZNQCLSA-N 0 3 246.354 2.663 20 0 BFADHN Cc1ccc(F)c(CN[C@]23CCC[C@H]2OCC3)c1 ZINC000865859261 589465695 /nfs/dbraw/zinc/46/56/95/589465695.db2.gz SRTJQUCIJHLELP-CABCVRRESA-N 0 3 249.329 2.935 20 0 BFADHN CC[C@H](C)NCc1cc(C)cc(OC)c1OC ZINC000885894405 589469768 /nfs/dbraw/zinc/46/97/68/589469768.db2.gz SOFXECFBLQVBEK-NSHDSACASA-N 0 3 237.343 2.900 20 0 BFADHN CC(C)[C@H](NC[C@](C)(O)C1CC1)c1ccccn1 ZINC000866266070 589483763 /nfs/dbraw/zinc/48/37/63/589483763.db2.gz WPXAEMKPMHDUTH-GJZGRUSLSA-N 0 3 248.370 2.529 20 0 BFADHN CCO[C@H]1C[C@@H](N[C@@H](c2ccccn2)C(C)C)C1 ZINC000866370331 589488517 /nfs/dbraw/zinc/48/85/17/589488517.db2.gz VQPXXMZPQJVONQ-VNHYZAJKSA-N 0 3 248.370 2.936 20 0 BFADHN C1=C[C@@H](N[C@@H]2CCCc3ncccc32)CC1 ZINC000866389419 589489346 /nfs/dbraw/zinc/48/93/46/589489346.db2.gz SJTIFTSRPDUXLT-BXUZGUMPSA-N 0 3 214.312 2.767 20 0 BFADHN COc1ccc2c(c1)CC[C@@H]2N[C@H]1C=CCC1 ZINC000866389900 589489484 /nfs/dbraw/zinc/48/94/84/589489484.db2.gz XNBUXGOGGUXZQW-WFASDCNBSA-N 0 3 229.323 2.991 20 0 BFADHN CC[C@@H](N[C@@H]1CCCC[C@@H]1F)c1cnn(C)c1 ZINC000866450044 589493860 /nfs/dbraw/zinc/49/38/60/589493860.db2.gz MLWPKMMICPZIQF-YNEHKIRRSA-N 0 3 239.338 2.742 20 0 BFADHN C[C@@H](N[C@@H]1CC(C)(C)CC[C@H]1O)c1cccnc1 ZINC000866452286 589494139 /nfs/dbraw/zinc/49/41/39/589494139.db2.gz BOPPGDWFEGGQRT-MRVWCRGKSA-N 0 3 248.370 2.672 20 0 BFADHN CS[C@H]1C[C@H](N[C@H](C)c2ccco2)C1 ZINC000866486259 589496624 /nfs/dbraw/zinc/49/66/24/589496624.db2.gz CCHDVZULWQVXQP-OPRDCNLKSA-N 0 3 211.330 2.824 20 0 BFADHN CC(C)N1CCC(c2nc(C3CCC3)no2)CC1 ZINC000866582276 589501211 /nfs/dbraw/zinc/50/12/11/589501211.db2.gz NQZZCYHKLAIFKU-UHFFFAOYSA-N 0 3 249.358 2.925 20 0 BFADHN C[C@H](NCC[C@H](O)C1CCCC1)c1cccnc1 ZINC000866638496 589506684 /nfs/dbraw/zinc/50/66/84/589506684.db2.gz RDRZASYHQKLBRU-WFASDCNBSA-N 0 3 248.370 2.673 20 0 BFADHN Nc1ccc2c(c1)OCCN(CCCC1CC1)C2 ZINC000866686359 589511768 /nfs/dbraw/zinc/51/17/68/589511768.db2.gz GLSVSHOSMBKERY-UHFFFAOYSA-N 0 3 246.354 2.653 20 0 BFADHN Nc1ccc2c(c1)OCCN(CC1CCCC1)C2 ZINC000866689474 589512210 /nfs/dbraw/zinc/51/22/10/589512210.db2.gz MCXHKKTYJLORIU-UHFFFAOYSA-N 0 3 246.354 2.653 20 0 BFADHN Cn1c(Cl)cnc1CN[C@@H]1CCC(C)(C)C1 ZINC000122607606 589609684 /nfs/dbraw/zinc/60/96/84/589609684.db2.gz XNUHGJHLOJTKRQ-SECBINFHSA-N 0 3 241.766 2.742 20 0 BFADHN COc1ccc(OC)c(CNCCC2CCC2)c1 ZINC000123017072 589652093 /nfs/dbraw/zinc/65/20/93/589652093.db2.gz NTBXXZAQDCZNKA-UHFFFAOYSA-N 0 3 249.354 2.984 20 0 BFADHN CC[C@@H](CN(C)C)OC(=O)[C@@H](C)c1ccccc1 ZINC000870553814 589675525 /nfs/dbraw/zinc/67/55/25/589675525.db2.gz SBUFUCJFNBDOPU-JSGCOSHPSA-N 0 3 249.354 2.674 20 0 BFADHN CS[C@H](C)CNCc1cc(C)oc1C ZINC000123370656 589694769 /nfs/dbraw/zinc/69/47/69/589694769.db2.gz FFDFYOKKIWLHQT-SECBINFHSA-N 0 3 213.346 2.738 20 0 BFADHN CC[C@@H](O)CCN[C@@H](C)c1ccnc(Cl)c1 ZINC000123402784 589701500 /nfs/dbraw/zinc/70/15/00/589701500.db2.gz MSKRFUBVEKQHIW-GXSJLCMTSA-N 0 3 242.750 2.547 20 0 BFADHN C/C=C\CN[C@H](CC)C(=O)Nc1ccc(C)cc1 ZINC000871897198 589830841 /nfs/dbraw/zinc/83/08/41/589830841.db2.gz QRPDGOVPDBIQOI-KXQLTZBTSA-N 0 3 246.354 2.878 20 0 BFADHN CC[C@@H](C)N[C@H](C)c1cc(O)ccc1F ZINC000872017107 589855202 /nfs/dbraw/zinc/85/52/02/589855202.db2.gz SRQXOBUGRHULJV-RKDXNWHRSA-N 0 3 211.280 2.980 20 0 BFADHN CCCC[NH2+][C@H](C)c1cccc([O-])c1F ZINC000872016952 589855905 /nfs/dbraw/zinc/85/59/05/589855905.db2.gz RFZGSBNYMMKPST-SECBINFHSA-N 0 3 211.280 2.982 20 0 BFADHN CSCCN[C@@H](C)c1cc(O)ccc1F ZINC000872018807 589856655 /nfs/dbraw/zinc/85/66/55/589856655.db2.gz PUCZNSYMGKFDPK-QMMMGPOBSA-N 0 3 229.320 2.545 20 0 BFADHN CC[C@@H](NCc1nncn1CC)C(C)(C)CC ZINC000872022204 589856903 /nfs/dbraw/zinc/85/69/03/589856903.db2.gz HSTKVGYVMQABDP-LLVKDONJSA-N 0 3 238.379 2.602 20 0 BFADHN CO[C@H](C)CCN[C@@H](C)c1cc(O)ccc1F ZINC000872032257 589857360 /nfs/dbraw/zinc/85/73/60/589857360.db2.gz YYSIPPDHOOJGOW-ZJUUUORDSA-N 0 3 241.306 2.607 20 0 BFADHN C[C@H](NC[C@@H]1C[C@@H]1C)c1cc(O)ccc1F ZINC000872026433 589857796 /nfs/dbraw/zinc/85/77/96/589857796.db2.gz ATIXESTUXFUHRV-GUBZILKMSA-N 0 3 223.291 2.838 20 0 BFADHN CC[C@H](NCc1cocn1)C(C)(C)CC ZINC000872056494 589861743 /nfs/dbraw/zinc/86/17/43/589861743.db2.gz RSVQAIGOFKVCPZ-NSHDSACASA-N 0 3 210.321 2.979 20 0 BFADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1cccc(O)c1F ZINC000872073351 589863444 /nfs/dbraw/zinc/86/34/44/589863444.db2.gz PCUXKQSGZOKGHG-UTLUCORTSA-N 0 3 241.306 2.605 20 0 BFADHN C[C@H](NCCCCF)c1cc(O)ccc1F ZINC000872073929 589865103 /nfs/dbraw/zinc/86/51/03/589865103.db2.gz WYIVXIQXJJIREG-VIFPVBQESA-N 0 3 229.270 2.932 20 0 BFADHN CC[C@H](NCc1ncccn1)C(C)(C)CC ZINC000872087445 589867362 /nfs/dbraw/zinc/86/73/62/589867362.db2.gz DUWUAKBEJUFMRG-NSHDSACASA-N 0 3 221.348 2.781 20 0 BFADHN Cc1cncc([C@@H](C)NC[C@@H]2CC(C)(C)CO2)c1 ZINC000872105217 589872077 /nfs/dbraw/zinc/87/20/77/589872077.db2.gz DKEDFRXSSYDYPW-OCCSQVGLSA-N 0 3 248.370 2.856 20 0 BFADHN c1cn2c(n1)[C@@H](NCCC[C@@H]1C=CCC1)CC2 ZINC000872107704 589873378 /nfs/dbraw/zinc/87/33/78/589873378.db2.gz REHYSHJFNFKPCE-OLZOCXBDSA-N 0 3 231.343 2.664 20 0 BFADHN c1cn2c(n1)[C@@H](NCCC[C@H]1C=CCC1)CC2 ZINC000872107706 589873794 /nfs/dbraw/zinc/87/37/94/589873794.db2.gz REHYSHJFNFKPCE-STQMWFEESA-N 0 3 231.343 2.664 20 0 BFADHN Cc1ccc([C@@H](NC[C@H]2C[C@H](O)C2)C2CCC2)o1 ZINC000872125825 589876079 /nfs/dbraw/zinc/87/60/79/589876079.db2.gz HFZQLFMLAKWPQN-WHOFXGATSA-N 0 3 249.354 2.790 20 0 BFADHN Cc1cc(F)ccc1[C@H](O)CNCC(C)(C)F ZINC000872217090 589895739 /nfs/dbraw/zinc/89/57/39/589895739.db2.gz MWUQKGUUSISZNH-GFCCVEGCSA-N 0 3 243.297 2.505 20 0 BFADHN FC1(F)CCN(c2ccncc2C2CC2)C1 ZINC000872503708 589944525 /nfs/dbraw/zinc/94/45/25/589944525.db2.gz SASAKXDJFDNJHU-UHFFFAOYSA-N 0 3 224.254 2.804 20 0 BFADHN CS[C@@H]1CC[C@H](NCc2cncs2)C1 ZINC000124337967 589977534 /nfs/dbraw/zinc/97/75/34/589977534.db2.gz KDIPVBBKCDOFLE-DTWKUNHWSA-N 0 3 228.386 2.517 20 0 BFADHN CCc1ccc(CNC[C@@H]2C[C@@H]3CCC[C@H]3O2)o1 ZINC000873155573 589988915 /nfs/dbraw/zinc/98/89/15/589988915.db2.gz FZVGCIOYPZXZCY-TUKIKUTGSA-N 0 3 249.354 2.889 20 0 BFADHN CC(C)OC1(CNCc2ccoc2)CCC1 ZINC000873167359 589989295 /nfs/dbraw/zinc/98/92/95/589989295.db2.gz SZKSOCLAPRVPOQ-UHFFFAOYSA-N 0 3 223.316 2.717 20 0 BFADHN FC1(CNCc2cccc3ncccc23)CC1 ZINC000873172654 589990070 /nfs/dbraw/zinc/99/00/70/589990070.db2.gz FNBNCFMQVKFANE-UHFFFAOYSA-N 0 3 230.286 2.827 20 0 BFADHN CCCCC[C@H]1CCCN1Cc1cnc(N)cn1 ZINC000873206495 589993988 /nfs/dbraw/zinc/99/39/88/589993988.db2.gz GIVSTGMKKYQHIO-ZDUSSCGKSA-N 0 3 248.374 2.604 20 0 BFADHN CC[C@@H](C(=O)NC1CCCCCCC1)N(C)C ZINC000124460143 590015076 /nfs/dbraw/zinc/01/50/76/590015076.db2.gz JRZXBTOIBMSSAC-ZDUSSCGKSA-N 0 3 240.391 2.556 20 0 BFADHN COc1ccc(CN(CCC(C)=O)C2CC2)cc1 ZINC000125001582 590111268 /nfs/dbraw/zinc/11/12/68/590111268.db2.gz NJOXXOXQFOOMOH-UHFFFAOYSA-N 0 3 247.338 2.639 20 0 BFADHN CCN(CC)CC(=O)N1CCCC1(CC)CC ZINC000874628355 590119437 /nfs/dbraw/zinc/11/94/37/590119437.db2.gz QEWFXRYSXIBFPX-UHFFFAOYSA-N 0 3 240.391 2.509 20 0 BFADHN Cc1cc(N[C@H]2CCCCC2(C)C)nc(N)n1 ZINC000125761378 590188420 /nfs/dbraw/zinc/18/84/20/590188420.db2.gz FPVWOAACQGRSFE-JTQLQIEISA-N 0 3 234.347 2.748 20 0 BFADHN CCOCCC1(CNCc2ccoc2)CC1 ZINC000125784616 590189240 /nfs/dbraw/zinc/18/92/40/590189240.db2.gz DSBBKUXGSGYAMI-UHFFFAOYSA-N 0 3 223.316 2.576 20 0 BFADHN Cc1nn(C)c(Cl)c1CN1C[C@@H](C)C[C@@H]1C ZINC000125823420 590191817 /nfs/dbraw/zinc/19/18/17/590191817.db2.gz HWFMLRBBFBPVHC-IUCAKERBSA-N 0 3 241.766 2.612 20 0 BFADHN CC/C=C(/F)C(=O)Nc1cccc2c1CCNC2 ZINC000876529202 590210056 /nfs/dbraw/zinc/21/00/56/590210056.db2.gz FHOWQWOZZFYFCD-UUILKARUSA-N 0 3 248.301 2.534 20 0 BFADHN CCc1cccc(NC(=O)[C@H]2C[C@@H](C)CCN2)c1 ZINC000126021836 590215231 /nfs/dbraw/zinc/21/52/31/590215231.db2.gz BMZCPEWTTHJLSX-SMDDNHRTSA-N 0 3 246.354 2.576 20 0 BFADHN CCN(C)Cc1cc2c(ccnc2Cl)o1 ZINC000876561767 590215440 /nfs/dbraw/zinc/21/54/40/590215440.db2.gz UGYFGEAYCWKEMH-UHFFFAOYSA-N 0 3 224.691 2.933 20 0 BFADHN CC(C)N(Cc1cc2c(cc[nH]c2=O)o1)C1CC1 ZINC000876596516 590220012 /nfs/dbraw/zinc/22/00/12/590220012.db2.gz RQNFYMDRZSIPTF-UHFFFAOYSA-N 0 3 246.310 2.906 20 0 BFADHN CC[C@H]1COCCN1CCC(C)(C)SC ZINC000876617159 590222194 /nfs/dbraw/zinc/22/21/94/590222194.db2.gz GSMKMSFRGWLAGG-NSHDSACASA-N 0 3 231.405 2.629 20 0 BFADHN CSC(C)(C)CCN1CCOCC1(C)C ZINC000876633400 590224031 /nfs/dbraw/zinc/22/40/31/590224031.db2.gz UMDPHLRREYNGPH-UHFFFAOYSA-N 0 3 231.405 2.629 20 0 BFADHN C[C@@H]1CN(Cc2cc3c(cc[nH]c3=O)o2)C[C@H]1C ZINC000876747560 590237311 /nfs/dbraw/zinc/23/73/11/590237311.db2.gz GYIWIPQKYMDXJU-NXEZZACHSA-N 0 3 246.310 2.621 20 0 BFADHN CCC[C@@H](C)NCc1cc(OC)cnc1F ZINC000876894901 590255248 /nfs/dbraw/zinc/25/52/48/590255248.db2.gz YKJDTUQVGWBHHU-SECBINFHSA-N 0 3 226.295 2.508 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1cc(OC)cnc1F ZINC000876970095 590262330 /nfs/dbraw/zinc/26/23/30/590262330.db2.gz IPOLZXSNZOALNF-ZJUUUORDSA-N 0 3 240.322 2.754 20 0 BFADHN CC(C)C(C)(C)NCc1ccc(Cl)nn1 ZINC000877009759 590268605 /nfs/dbraw/zinc/26/86/05/590268605.db2.gz ZBABBUMGDKRRNR-UHFFFAOYSA-N 0 3 227.739 2.654 20 0 BFADHN COc1cnc(F)c(CN[C@H]2CCC[C@@H]2C)c1 ZINC000877025582 590271024 /nfs/dbraw/zinc/27/10/24/590271024.db2.gz PBGLVTNBJGZJNL-CABZTGNLSA-N 0 3 238.306 2.508 20 0 BFADHN C[C@@H](CC1CC1)NCc1cc(C2CC2)no1 ZINC000877040879 590273482 /nfs/dbraw/zinc/27/34/82/590273482.db2.gz SUCREFHGAUWYQM-VIFPVBQESA-N 0 3 220.316 2.830 20 0 BFADHN Cc1cc(C)nc(NCc2ccc(Cl)nn2)c1 ZINC000877114766 590287873 /nfs/dbraw/zinc/28/78/73/590287873.db2.gz ANVBUDBEFIEYOY-UHFFFAOYSA-N 0 3 248.717 2.754 20 0 BFADHN CC1(C)CC[C@@H](NCc2ccc(Cl)nn2)C1 ZINC000877119012 590288345 /nfs/dbraw/zinc/28/83/45/590288345.db2.gz RPMQHIXDXCGNPX-SECBINFHSA-N 0 3 239.750 2.798 20 0 BFADHN COc1cnc(F)c(CN[C@H](C)C2CCC2)c1 ZINC000877122898 590288960 /nfs/dbraw/zinc/28/89/60/590288960.db2.gz CPXSHLWKFFTEEX-SECBINFHSA-N 0 3 238.306 2.508 20 0 BFADHN CC[C@H](C)[C@@H](CN[C@@H](C)c1ccc[nH]1)OC ZINC000877124605 590289322 /nfs/dbraw/zinc/28/93/22/590289322.db2.gz OXKRZGDAGLCMIX-GMXVVIOVSA-N 0 3 224.348 2.726 20 0 BFADHN CCCCCC[C@@H](C)NC(=O)[C@H](CC)N(C)C ZINC000126367996 590294037 /nfs/dbraw/zinc/29/40/37/590294037.db2.gz XNZONPVSIJMJOP-OLZOCXBDSA-N 0 3 242.407 2.802 20 0 BFADHN c1c(CNC23CCC(CC2)C3)onc1C1CC1 ZINC000877309892 590312312 /nfs/dbraw/zinc/31/23/12/590312312.db2.gz UWJJEWVGTLLIMY-UHFFFAOYSA-N 0 3 232.327 2.974 20 0 BFADHN CC(C)C(C)(C)CNCc1ccc(Cl)nn1 ZINC000877461332 590331140 /nfs/dbraw/zinc/33/11/40/590331140.db2.gz DPSWYUXWKPFOOC-UHFFFAOYSA-N 0 3 241.766 2.902 20 0 BFADHN Clc1csc(CNC[C@@H]2CCSC2)n1 ZINC000877485895 590338993 /nfs/dbraw/zinc/33/89/93/590338993.db2.gz HHCXSWCUYSVLIT-ZETCQYMHSA-N 0 3 248.804 2.639 20 0 BFADHN C[C@H]1CC[C@H](CNCc2ccc(Cl)nn2)C1 ZINC000877491569 590339632 /nfs/dbraw/zinc/33/96/32/590339632.db2.gz MNOLLMKHPWPXTR-UWVGGRQHSA-N 0 3 239.750 2.656 20 0 BFADHN ClC1(Cl)C[C@H]1CN1CC(C2CC2)C1 ZINC000877542749 590352365 /nfs/dbraw/zinc/35/23/65/590352365.db2.gz WUYKKARTWCZKRE-VIFPVBQESA-N 0 3 220.143 2.522 20 0 BFADHN Cc1ccoc1CNC[C@H]1CC1(Cl)Cl ZINC000877545762 590352699 /nfs/dbraw/zinc/35/26/99/590352699.db2.gz DYYGULDLFOQMJE-MRVPVSSYSA-N 0 3 234.126 2.871 20 0 BFADHN COC[C@H]1CCN1CCc1cc(C)cc(C)c1 ZINC000877549724 590354338 /nfs/dbraw/zinc/35/43/38/590354338.db2.gz HUUBBRQYZLJJEV-OAHLLOKOSA-N 0 3 233.355 2.567 20 0 BFADHN Cc1scc(CN2CC3(C2)CCOC3)c1C ZINC000877554290 590356468 /nfs/dbraw/zinc/35/64/68/590356468.db2.gz HDWPLOZFGXXLAJ-UHFFFAOYSA-N 0 3 237.368 2.587 20 0 BFADHN C[C@@]1(F)CCCN(CC2CCSCC2)C1 ZINC000877557733 590359245 /nfs/dbraw/zinc/35/92/45/590359245.db2.gz PGTXOJUXDHOSIK-GFCCVEGCSA-N 0 3 231.380 2.954 20 0 BFADHN CC/C=C\CNCc1cccc(Cl)c1N ZINC000877563729 590360611 /nfs/dbraw/zinc/36/06/11/590360611.db2.gz OSIJQEAQZBRKBR-ARJAWSKDSA-N 0 3 224.735 2.978 20 0 BFADHN Clc1csc(CNC[C@@H]2C[C@H]2C2CC2)n1 ZINC000877574667 590362925 /nfs/dbraw/zinc/36/29/25/590362925.db2.gz RRDXIPUIOTUUKV-IUCAKERBSA-N 0 3 242.775 2.932 20 0 BFADHN CSC(C)(C)CCN[C@@H](C)c1ccn(C)n1 ZINC000877585355 590368081 /nfs/dbraw/zinc/36/80/81/590368081.db2.gz FDQHEBQKIRLHAI-JTQLQIEISA-N 0 3 241.404 2.602 20 0 BFADHN CCn1ccc(CNCCC(C)(C)SC)n1 ZINC000877587998 590368552 /nfs/dbraw/zinc/36/85/52/590368552.db2.gz SZEWISOSKVBSRF-UHFFFAOYSA-N 0 3 241.404 2.524 20 0 BFADHN Nc1c(Cl)cccc1CN[C@@H]1CC12CC2 ZINC000877597140 590371103 /nfs/dbraw/zinc/37/11/03/590371103.db2.gz AWTCBBYFFVLWIL-SNVBAGLBSA-N 0 3 222.719 2.564 20 0 BFADHN C[C@@H](CNCc1ccc(Cl)nn1)CC(F)F ZINC000877604043 590371665 /nfs/dbraw/zinc/37/16/65/590371665.db2.gz HBQBBTWFTNZQIX-SSDOTTSWSA-N 0 3 249.692 2.511 20 0 BFADHN CC1(CN2CC3(CC3(F)F)C2)CCCC1 ZINC000877616368 590375032 /nfs/dbraw/zinc/37/50/32/590375032.db2.gz BQLXMHXGDXSRTQ-UHFFFAOYSA-N 0 3 215.287 2.908 20 0 BFADHN C[C@H]1C[C@H](NCCC(C)(C)C2CC2)c2ncnn21 ZINC000877623631 590377034 /nfs/dbraw/zinc/37/70/34/590377034.db2.gz VIUPPUUMHAZLBL-JQWIXIFHSA-N 0 3 248.374 2.700 20 0 BFADHN C[C@@H]1C[C@H](N[C@@H]2C[C@H](O)c3ccccc32)CS1 ZINC000877629532 590378101 /nfs/dbraw/zinc/37/81/01/590378101.db2.gz URMCBHBMMLNLFV-QOBDMFJFSA-N 0 3 249.379 2.648 20 0 BFADHN Cc1cccc2[nH]c(CNC3CSC3)cc21 ZINC000877633742 590378823 /nfs/dbraw/zinc/37/88/23/590378823.db2.gz FKOMUWWNUXZRJM-UHFFFAOYSA-N 0 3 232.352 2.681 20 0 BFADHN Fc1ccc(/C=C\CNC2CSC2)c(F)c1 ZINC000877634963 590380272 /nfs/dbraw/zinc/38/02/72/590380272.db2.gz WUULLTDJDVIITF-UPHRSURJSA-N 0 3 241.306 2.683 20 0 BFADHN C/C=C\CNCc1cccc(Cl)c1N ZINC000877656844 590386236 /nfs/dbraw/zinc/38/62/36/590386236.db2.gz BMGVGMSICOCGSL-IHWYPQMZSA-N 0 3 210.708 2.588 20 0 BFADHN CS[C@H]1CC[C@@H]1N[C@@H]1CCCC[C@@H]1F ZINC000877682435 590391357 /nfs/dbraw/zinc/39/13/57/590391357.db2.gz LXPVRHAURXPXHL-VLEAKVRGSA-N 0 3 217.353 2.751 20 0 BFADHN COC(=O)c1coc(CN[C@@H]2CC=C(C)CC2)c1 ZINC000877699405 590393894 /nfs/dbraw/zinc/39/38/94/590393894.db2.gz JLOGGQTYSSXPRQ-GFCCVEGCSA-N 0 3 249.310 2.655 20 0 BFADHN CC(C)(NCc1ccns1)[C@H]1CCCCO1 ZINC000877702683 590396418 /nfs/dbraw/zinc/39/64/18/590396418.db2.gz WXCNSBUKLXEYCS-LLVKDONJSA-N 0 3 240.372 2.580 20 0 BFADHN CC(C)CN1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000877712962 590398356 /nfs/dbraw/zinc/39/83/56/590398356.db2.gz AOCMNCGWNCWWNB-CQSZACIVSA-N 0 3 245.370 2.522 20 0 BFADHN Cc1cnccc1CN1CC[C@H]2CSC[C@@H]2C1 ZINC000877738441 590404714 /nfs/dbraw/zinc/40/47/14/590404714.db2.gz NYIZILJQOMRWEX-KBPBESRZSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3CSC[C@@H]3C2)cn1 ZINC000877737422 590405023 /nfs/dbraw/zinc/40/50/23/590405023.db2.gz IXQUHGFNQHMUBV-KGLIPLIRSA-N 0 3 248.395 2.575 20 0 BFADHN Cc1occc1CN1CC[C@@H]2CSC[C@@H]2C1 ZINC000877738042 590405373 /nfs/dbraw/zinc/40/53/73/590405373.db2.gz MWAQKHFVEMPKSD-OLZOCXBDSA-N 0 3 237.368 2.773 20 0 BFADHN Cc1ccc(CN2CC[C@@H]3CSC[C@@H]3C2)o1 ZINC000877737065 590405376 /nfs/dbraw/zinc/40/53/76/590405376.db2.gz CIPXYUXJKTWEDZ-NEPJUHHUSA-N 0 3 237.368 2.773 20 0 BFADHN Cc1ccoc1CN1CC[C@@H]2CSC[C@H]2C1 ZINC000877736667 590405483 /nfs/dbraw/zinc/40/54/83/590405483.db2.gz AKWMLITXPBMGML-VXGBXAGGSA-N 0 3 237.368 2.773 20 0 BFADHN Cc1cc(CN2CC[C@@H]3CSC[C@@H]3C2)ccn1 ZINC000877740150 590407689 /nfs/dbraw/zinc/40/76/89/590407689.db2.gz VLFUKNJUXDLWKE-KGLIPLIRSA-N 0 3 248.395 2.575 20 0 BFADHN c1cc(CN2CC[C@@H]3CSC[C@@H]3C2)cs1 ZINC000877742190 590409373 /nfs/dbraw/zinc/40/93/73/590409373.db2.gz XXAUIDLRKKSNQP-NEPJUHHUSA-N 0 3 239.409 2.933 20 0 BFADHN Cc1occc1CN1CCC[C@H](C(C)(C)O)C1 ZINC000877795520 590424805 /nfs/dbraw/zinc/42/48/05/590424805.db2.gz NESLZEFDYJSXOU-ZDUSSCGKSA-N 0 3 237.343 2.571 20 0 BFADHN Cc1nnsc1CNCCC[C@@H]1C=CCC1 ZINC000877806892 590426488 /nfs/dbraw/zinc/42/64/88/590426488.db2.gz SAZFJJPUBXPCPM-LLVKDONJSA-N 0 3 237.372 2.683 20 0 BFADHN CCOC(=O)[C@H](C)C1CN(CCCC(C)C)C1 ZINC000877830062 590429116 /nfs/dbraw/zinc/42/91/16/590429116.db2.gz GODBXTMUMYNQBS-GFCCVEGCSA-N 0 3 241.375 2.554 20 0 BFADHN COc1ccc(CN[C@@H]2CCC[C@H](C)C2)nc1 ZINC000877871397 590433859 /nfs/dbraw/zinc/43/38/59/590433859.db2.gz OQOKEKBMRGTLHB-NWDGAFQWSA-N 0 3 234.343 2.759 20 0 BFADHN COc1ccc(CN[C@@H]2CCC[C@@H](C)C2)nc1 ZINC000877871399 590434038 /nfs/dbraw/zinc/43/40/38/590434038.db2.gz OQOKEKBMRGTLHB-VXGBXAGGSA-N 0 3 234.343 2.759 20 0 BFADHN CSCC1CCN(Cc2ccco2)CC1 ZINC000877887648 590439497 /nfs/dbraw/zinc/43/94/97/590439497.db2.gz PSIVDTHMOXGQOH-UHFFFAOYSA-N 0 3 225.357 2.855 20 0 BFADHN CC(C)[C@H](O)CCN(C)Cc1ccc(F)cc1 ZINC000877906224 590444541 /nfs/dbraw/zinc/44/45/41/590444541.db2.gz KUMRTXUJWGIGSV-CQSZACIVSA-N 0 3 239.334 2.665 20 0 BFADHN C=C/C=C\CCN1CCN(CC/C=C\C=C)CC1 ZINC000877915076 590446731 /nfs/dbraw/zinc/44/67/31/590446731.db2.gz OESWFRKPVWWQCZ-SFECMWDFSA-N 0 3 246.398 2.869 20 0 BFADHN C[C@H]1CN(CC2CC3(CCC3)C2)[C@@H](C)CO1 ZINC000877920559 590447306 /nfs/dbraw/zinc/44/73/06/590447306.db2.gz QLAXDTOFSJGNRF-RYUDHWBXSA-N 0 3 223.360 2.676 20 0 BFADHN CC(C)[C@H](O)CCN1CCc2sccc2C1 ZINC000877925764 590449088 /nfs/dbraw/zinc/44/90/88/590449088.db2.gz KSPZDKRIPPOMSL-GFCCVEGCSA-N 0 3 239.384 2.513 20 0 BFADHN COc1ccccc1CN(C)C[C@H]1C[C@@H](OC)C1 ZINC000877933035 590451298 /nfs/dbraw/zinc/45/12/98/590451298.db2.gz MVTKPDBQRUFIBV-XBXGTLAGSA-N 0 3 249.354 2.552 20 0 BFADHN CCN1CCN(CCCSC(C)(C)C)CC1 ZINC000877932941 590451348 /nfs/dbraw/zinc/45/13/48/590451348.db2.gz BPWZHPGQEJRUIE-UHFFFAOYSA-N 0 3 244.448 2.546 20 0 BFADHN FC1(F)CCC[C@@H]1CCN1CCSCC1 ZINC000877968005 590460943 /nfs/dbraw/zinc/46/09/43/590460943.db2.gz SRNLYIZKGBGOLU-SNVBAGLBSA-N 0 3 235.343 2.861 20 0 BFADHN CC(C)[C@@H](O)CCN(C)[C@@H](C)c1ccco1 ZINC000878003165 590470753 /nfs/dbraw/zinc/47/07/53/590470753.db2.gz WPFMQLLKARLSTC-RYUDHWBXSA-N 0 3 225.332 2.679 20 0 BFADHN CC(=O)CN1CCC[C@@H](c2ccccc2)CC1 ZINC000878042854 590478947 /nfs/dbraw/zinc/47/89/47/590478947.db2.gz IYBCJSMZFQKFGP-OAHLLOKOSA-N 0 3 231.339 2.845 20 0 BFADHN CC(=O)CN1CCC[C@H](c2ccccc2)CC1 ZINC000878042853 590479416 /nfs/dbraw/zinc/47/94/16/590479416.db2.gz IYBCJSMZFQKFGP-HNNXBMFYSA-N 0 3 231.339 2.845 20 0 BFADHN Cc1ccc(CN(C)CC[C@@H](O)C(C)C)s1 ZINC000878044652 590480127 /nfs/dbraw/zinc/48/01/27/590480127.db2.gz PIBHUMOZDKCAGB-CYBMUJFWSA-N 0 3 241.400 2.895 20 0 BFADHN Cc1conc1CN1CCC[C@@H]1C1CCC1 ZINC000878047891 590481553 /nfs/dbraw/zinc/48/15/53/590481553.db2.gz KNFNVONSMWGXEF-CYBMUJFWSA-N 0 3 220.316 2.748 20 0 BFADHN Cc1conc1CN1CCCCC[C@@H]1C ZINC000878106946 590496253 /nfs/dbraw/zinc/49/62/53/590496253.db2.gz SFNORAYRVRFQRD-NSHDSACASA-N 0 3 208.305 2.748 20 0 BFADHN Cc1conc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000878133125 590504001 /nfs/dbraw/zinc/50/40/01/590504001.db2.gz GHRZYNPWXOMTOV-YPMHNXCESA-N 0 3 220.316 2.748 20 0 BFADHN CSCC[C@@H](C)NCc1occc1C ZINC000126922772 590507212 /nfs/dbraw/zinc/50/72/12/590507212.db2.gz KQAPUDVICWEGGD-SNVBAGLBSA-N 0 3 213.346 2.819 20 0 BFADHN CC(C)[C@H](O)CCN1Cc2ccccc2[C@@H]1C ZINC000878158381 590508829 /nfs/dbraw/zinc/50/88/29/590508829.db2.gz ZWESPGDWSFFHPP-SWLSCSKDSA-N 0 3 233.355 2.970 20 0 BFADHN Cc1conc1CN1C[C@@H](C)CC1(C)C ZINC000878158240 590509064 /nfs/dbraw/zinc/50/90/64/590509064.db2.gz CCKKXMFYXXAKGM-VIFPVBQESA-N 0 3 208.305 2.603 20 0 BFADHN CC(C)[C@H](O)CCN1Cc2ccccc2[C@H]1C ZINC000878158380 590509300 /nfs/dbraw/zinc/50/93/00/590509300.db2.gz ZWESPGDWSFFHPP-IUODEOHRSA-N 0 3 233.355 2.970 20 0 BFADHN CC[C@H]1C[C@@H](C)CN1Cc1nocc1C ZINC000878155345 590509532 /nfs/dbraw/zinc/50/95/32/590509532.db2.gz OKHKOEVGBGBLRE-KOLCDFICSA-N 0 3 208.305 2.603 20 0 BFADHN C=C/C=C\CCN1CCOC(C)(C)[C@H]1C ZINC000878193667 590521604 /nfs/dbraw/zinc/52/16/04/590521604.db2.gz ACBXJZGPMIITMY-ZHRWSRJISA-N 0 3 209.333 2.618 20 0 BFADHN C[C@H](NCCCF)c1ccnc(Cl)c1 ZINC000126974381 590525803 /nfs/dbraw/zinc/52/58/03/590525803.db2.gz FIPZALVEYIVMHX-QMMMGPOBSA-N 0 3 216.687 2.745 20 0 BFADHN C[C@H](CN1CCc2c[nH]nc2C1)CC(C)(C)C ZINC000878291666 590535787 /nfs/dbraw/zinc/53/57/87/590535787.db2.gz MMNJLDUCSAVKIM-NSHDSACASA-N 0 3 235.375 2.840 20 0 BFADHN CC1=CCN(C[C@@H]2CCC3(CCC3)O2)CC1 ZINC000878299951 590537295 /nfs/dbraw/zinc/53/72/95/590537295.db2.gz AXJIXIYDEJROOV-ZDUSSCGKSA-N 0 3 221.344 2.740 20 0 BFADHN C=C/C=C/CCN1CC2(C1)CC(F)(F)C2 ZINC000878320505 590544906 /nfs/dbraw/zinc/54/49/06/590544906.db2.gz NXOMMJDAKBSZQL-ONEGZZNKSA-N 0 3 213.271 2.850 20 0 BFADHN FC(F)[C@H]1CCN(C[C@H]2CCC3(CCC3)O2)C1 ZINC000878320088 590544921 /nfs/dbraw/zinc/54/49/21/590544921.db2.gz IZTWFOSJFQTVQY-WDEREUQCSA-N 0 3 245.313 2.675 20 0 BFADHN CC1(C)CCC[C@@H](CN2CC[C@@H](C(F)F)C2)O1 ZINC000878320470 590545283 /nfs/dbraw/zinc/54/52/83/590545283.db2.gz NFTPGQSAJPWQSL-MNOVXSKESA-N 0 3 247.329 2.921 20 0 BFADHN C(C1CC2(CCC2)C1)N1CCOC[C@H]1C1CC1 ZINC000878322623 590546285 /nfs/dbraw/zinc/54/62/85/590546285.db2.gz OVPIUSIHDULOSS-AWEZNQCLSA-N 0 3 235.371 2.678 20 0 BFADHN C[C@H](NC[C@H]1CC2(CCC2)CO1)c1ccncc1 ZINC000878344437 590552799 /nfs/dbraw/zinc/55/27/99/590552799.db2.gz CRQQKWIEZVGCBE-GXTWGEPZSA-N 0 3 246.354 2.691 20 0 BFADHN C[C@@H](NC[C@@H]1CC2(CCC2)CO1)c1ccncc1 ZINC000878344439 590552893 /nfs/dbraw/zinc/55/28/93/590552893.db2.gz CRQQKWIEZVGCBE-OCCSQVGLSA-N 0 3 246.354 2.691 20 0 BFADHN CC(C)[C@@H](O)CCNC1(c2ccccc2)CC1 ZINC000878359337 590553663 /nfs/dbraw/zinc/55/36/63/590553663.db2.gz JPISOVCUMQSIHX-AWEZNQCLSA-N 0 3 233.355 2.672 20 0 BFADHN CC[C@H](C)[C@H](C)NCc1nccn1CC(F)F ZINC000878365690 590554435 /nfs/dbraw/zinc/55/44/35/590554435.db2.gz CTFHONQGOYZXAV-UWVGGRQHSA-N 0 3 245.317 2.672 20 0 BFADHN CC[C@H](C)[C@@H](C)NCc1nccn1CC(F)F ZINC000878365691 590554610 /nfs/dbraw/zinc/55/46/10/590554610.db2.gz CTFHONQGOYZXAV-VHSXEESVSA-N 0 3 245.317 2.672 20 0 BFADHN Cc1cc(C)c(CN2CCOCC[C@@H]2C)c(C)n1 ZINC000878372927 590555681 /nfs/dbraw/zinc/55/56/81/590555681.db2.gz GXYOWWSMOCLQIH-ZDUSSCGKSA-N 0 3 248.370 2.618 20 0 BFADHN CC1(C)CCC[C@@H](CN2CC=CCC2)O1 ZINC000878385051 590557772 /nfs/dbraw/zinc/55/77/72/590557772.db2.gz TZNUOVJDNUEGDN-LBPRGKRZSA-N 0 3 209.333 2.596 20 0 BFADHN C=C/C=C/CCN1CCC[C@H](OC(F)F)C1 ZINC000878393763 590559129 /nfs/dbraw/zinc/55/91/29/590559129.db2.gz HODSKKDFXIEQGC-UFFNRZRYSA-N 0 3 231.286 2.822 20 0 BFADHN C=C/C=C/CCN[C@H](CO)c1cccc(F)c1 ZINC000878394577 590559165 /nfs/dbraw/zinc/55/91/65/590559165.db2.gz ARROOPRBZJDNLQ-RDFMZFSFSA-N 0 3 235.302 2.581 20 0 BFADHN C=C/C=C\CCN[C@H](CO)c1ccc(F)cc1 ZINC000878395403 590559541 /nfs/dbraw/zinc/55/95/41/590559541.db2.gz COEJTQWBLWTDOF-VQTKUKTRSA-N 0 3 235.302 2.581 20 0 BFADHN C[C@H](NC[C@@H]1CC2(CCC2)CO1)c1ccccn1 ZINC000878400345 590560926 /nfs/dbraw/zinc/56/09/26/590560926.db2.gz BXIHKOQIGABWSU-STQMWFEESA-N 0 3 246.354 2.691 20 0 BFADHN C=C/C=C\CCN[C@H](COC)c1ccc(C)o1 ZINC000878409036 590562273 /nfs/dbraw/zinc/56/22/73/590562273.db2.gz GMUGTLOKNKHJLD-CFHLNLSMSA-N 0 3 235.327 2.997 20 0 BFADHN C=C/C=C/CCN[C@@H](CO)c1ccsc1 ZINC000878442562 590568507 /nfs/dbraw/zinc/56/85/07/590568507.db2.gz NWNSWSGKMCJNIS-PCAWENJQSA-N 0 3 223.341 2.503 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1nocc1C ZINC000878451980 590569194 /nfs/dbraw/zinc/56/91/94/590569194.db2.gz IGTKBSZADCOUFN-JOYOIKCWSA-N 0 3 208.305 2.603 20 0 BFADHN FC1(F)CCN(CCCC2CC2)CC1 ZINC000878542327 590589424 /nfs/dbraw/zinc/58/94/24/590589424.db2.gz LWFGCLPKWFMHOC-UHFFFAOYSA-N 0 3 203.276 2.908 20 0 BFADHN c1cc([C@H]2CCCN2CCCC2CC2)n[nH]1 ZINC000878523794 590586339 /nfs/dbraw/zinc/58/63/39/590586339.db2.gz IJNAOVOSYQZQHA-CYBMUJFWSA-N 0 3 219.332 2.737 20 0 BFADHN FC1(F)CCN(C[C@H]2CC3(CCC3)CO2)CC1 ZINC000878542728 590589137 /nfs/dbraw/zinc/58/91/37/590589137.db2.gz PDGWMTGCZACVDR-LLVKDONJSA-N 0 3 245.313 2.677 20 0 BFADHN Cc1conc1CN(CC1CC1)CC1CCC1 ZINC000878543998 590589344 /nfs/dbraw/zinc/58/93/44/590589344.db2.gz LFYFTGSPUWESOF-UHFFFAOYSA-N 0 3 234.343 2.995 20 0 BFADHN CC1=CCCN(C[C@@H]2C[C@H]3CCC[C@@H]3O2)C1 ZINC000878548098 590589880 /nfs/dbraw/zinc/58/98/80/590589880.db2.gz GGIAEUCEQDEEHC-RDBSUJKOSA-N 0 3 221.344 2.596 20 0 BFADHN Cn1nccc1C1=CCN(CCCC2CC2)CC1 ZINC000878602056 590594973 /nfs/dbraw/zinc/59/49/73/590594973.db2.gz WEACIPBIDSCFQG-UHFFFAOYSA-N 0 3 245.370 2.699 20 0 BFADHN C[C@@H]1CN(C[C@@H]2CCCC(C)(C)O2)[C@@H]1C ZINC000878652809 590598685 /nfs/dbraw/zinc/59/86/85/590598685.db2.gz WNBDYFNOXZKSTC-UTUOFQBUSA-N 0 3 211.349 2.674 20 0 BFADHN C[C@@H]1CN(C[C@H]2CCCC(C)(C)O2)[C@@H]1C ZINC000878652808 590599007 /nfs/dbraw/zinc/59/90/07/590599007.db2.gz WNBDYFNOXZKSTC-IJLUTSLNSA-N 0 3 211.349 2.674 20 0 BFADHN CC1(C)C[C@@H](CN2CC[C@@H](F)C2)C(C)(C)O1 ZINC000878664092 590605330 /nfs/dbraw/zinc/60/53/30/590605330.db2.gz XNCJUUFKVWTNCS-WDEREUQCSA-N 0 3 229.339 2.624 20 0 BFADHN C=C/C=C/CCN(C)Cc1ccccn1 ZINC000878668545 590607012 /nfs/dbraw/zinc/60/70/12/590607012.db2.gz ZBHKFEFKTZOSHM-SNAWJCMRSA-N 0 3 202.301 2.646 20 0 BFADHN C=C/C=C\CCN1CCN([C@@H](C)CC)CC1 ZINC000878683563 590609146 /nfs/dbraw/zinc/60/91/46/590609146.db2.gz BDTCMJJMAKHZKG-AFNCTOJWSA-N 0 3 222.376 2.535 20 0 BFADHN C=C/C=C/CCN1CCO[C@H](C2CCC2)C1 ZINC000878708668 590614047 /nfs/dbraw/zinc/61/40/47/590614047.db2.gz VOIPSQAYVHZJCB-XGACYXMMSA-N 0 3 221.344 2.620 20 0 BFADHN ClC1=CCCN(C[C@@H]2C[C@H]3C[C@H]3C2)C1 ZINC000878790760 590622731 /nfs/dbraw/zinc/62/27/31/590622731.db2.gz UXKCJFBEGSYFFP-JGPRNRPPSA-N 0 3 211.736 2.861 20 0 BFADHN C[C@H]1CN(CCCC2CC2)C2(CCC2)CO1 ZINC000878808352 590626102 /nfs/dbraw/zinc/62/61/02/590626102.db2.gz RWCAZIYZKNHKFK-LBPRGKRZSA-N 0 3 223.360 2.820 20 0 BFADHN C[C@]1(C2CC2)CN(CCCC2CC2)CCO1 ZINC000878889438 590641408 /nfs/dbraw/zinc/64/14/08/590641408.db2.gz XYNURCHIYYFILM-CQSZACIVSA-N 0 3 223.360 2.678 20 0 BFADHN C([C@@H]1C[C@H]2CCC[C@@H]2O1)N(C1CC1)C1CC1 ZINC000879037443 590683608 /nfs/dbraw/zinc/68/36/08/590683608.db2.gz IQZMERLQCVHWSU-SWHYSGLUSA-N 0 3 221.344 2.571 20 0 BFADHN C([C@@H]1C[C@H]2CCC[C@H]2O1)N(C1CC1)C1CC1 ZINC000879037439 590684074 /nfs/dbraw/zinc/68/40/74/590684074.db2.gz IQZMERLQCVHWSU-DDTOSNHZSA-N 0 3 221.344 2.571 20 0 BFADHN Cc1conc1CN1CCCC2(CC2)CC1 ZINC000879063584 590690260 /nfs/dbraw/zinc/69/02/60/590690260.db2.gz PMGDOBAUKIARNN-UHFFFAOYSA-N 0 3 220.316 2.749 20 0 BFADHN Cc1cc(CNCCCOC(C)C)c(C)o1 ZINC000127573960 590713368 /nfs/dbraw/zinc/71/33/68/590713368.db2.gz ZRRKPKSMEGTFAR-UHFFFAOYSA-N 0 3 225.332 2.801 20 0 BFADHN CO[C@H]1CC[C@H](C)N(Cc2ccc(F)cc2)C1 ZINC000879320048 590739982 /nfs/dbraw/zinc/73/99/82/590739982.db2.gz YNOWJKCLPHZCPI-FZMZJTMJSA-N 0 3 237.318 2.825 20 0 BFADHN CO[C@H]1CC[C@@H](C)N(Cc2ccsc2)C1 ZINC000879324262 590744242 /nfs/dbraw/zinc/74/42/42/590744242.db2.gz GORFFPUYHIBMEN-PWSUYJOCSA-N 0 3 225.357 2.747 20 0 BFADHN C[C@H](c1ccncc1)N1CCC(C(C)(C)O)CC1 ZINC000879625609 590840648 /nfs/dbraw/zinc/84/06/48/590840648.db2.gz ITAXYHMLSWLVPN-GFCCVEGCSA-N 0 3 248.370 2.626 20 0 BFADHN FCCN[C@H](Cc1cccnc1)C1CCCC1 ZINC000879675218 590845968 /nfs/dbraw/zinc/84/59/68/590845968.db2.gz YBOPWJZSPLOMEF-CQSZACIVSA-N 0 3 236.334 2.742 20 0 BFADHN FCCN[C@@H](Cc1cccnc1)C1CCCC1 ZINC000879675217 590846199 /nfs/dbraw/zinc/84/61/99/590846199.db2.gz YBOPWJZSPLOMEF-AWEZNQCLSA-N 0 3 236.334 2.742 20 0 BFADHN C[C@@H](N[C@@H]1C=CCCCCC1)c1ccnn1C ZINC000879686773 590848529 /nfs/dbraw/zinc/84/85/29/590848529.db2.gz ITURDVXOJADXJS-CHWSQXEVSA-N 0 3 233.359 2.960 20 0 BFADHN Cc1cncc([C@@H]2CCCN2CCOC(C)C)c1 ZINC000879748561 590861288 /nfs/dbraw/zinc/86/12/88/590861288.db2.gz KQSPMOIHULAGAU-HNNXBMFYSA-N 0 3 248.370 2.952 20 0 BFADHN CCOCCCN1CCC[C@@H]1c1cncc(C)c1 ZINC000879750042 590862287 /nfs/dbraw/zinc/86/22/87/590862287.db2.gz MASZQYACZFPSRP-OAHLLOKOSA-N 0 3 248.370 2.954 20 0 BFADHN CC[C@@H](CN1CCC[C@@H]1c1cncc(C)c1)OC ZINC000879752150 590862601 /nfs/dbraw/zinc/86/26/01/590862601.db2.gz PYDAPDQOULNDHY-LSDHHAIUSA-N 0 3 248.370 2.952 20 0 BFADHN CC(=O)CCN(C)[C@@H](C)c1ccc(C)cc1 ZINC000128117787 590895421 /nfs/dbraw/zinc/89/54/21/590895421.db2.gz PRLCTXYVGSQCDK-ZDUSSCGKSA-N 0 3 219.328 2.967 20 0 BFADHN C[C@H](c1ccncc1)N1CCC[C@H](C(C)(C)O)C1 ZINC000879961758 590899272 /nfs/dbraw/zinc/89/92/72/590899272.db2.gz HSWTTXSFQINPDP-OCCSQVGLSA-N 0 3 248.370 2.626 20 0 BFADHN CC[C@@H](C)N1CCc2ccc(NC(C)=O)cc2C1 ZINC000880032277 590911908 /nfs/dbraw/zinc/91/19/08/590911908.db2.gz BOQQMQDUWMBLKH-LLVKDONJSA-N 0 3 246.354 2.802 20 0 BFADHN FC(F)(F)[C@H]1CCN(CC2CC=CC2)CCO1 ZINC000880052962 590915240 /nfs/dbraw/zinc/91/52/40/590915240.db2.gz ZLFUBAKQJFKFAO-LLVKDONJSA-N 0 3 249.276 2.606 20 0 BFADHN CCCCN1CC[C@H](C(F)(F)F)O[C@@H](C)C1 ZINC000880059146 590915320 /nfs/dbraw/zinc/91/53/20/590915320.db2.gz HDJLKNJMEYGKFE-VHSXEESVSA-N 0 3 239.281 2.828 20 0 BFADHN C=C/C=C/CCN1CCO[C@@H](C(F)(F)F)CC1 ZINC000880051407 590915779 /nfs/dbraw/zinc/91/57/79/590915779.db2.gz LTSGRUPWDCSURI-PGLGOXFNSA-N 0 3 249.276 2.772 20 0 BFADHN CC/C=C/CCN[C@@H](C)c1nccnc1C ZINC000880109010 590926391 /nfs/dbraw/zinc/92/63/91/590926391.db2.gz NWCVFUPXDNGMOE-QRGHLMKCSA-N 0 3 219.332 2.792 20 0 BFADHN Cc1nccnc1[C@@H](C)NCCCCCF ZINC000880108591 590927660 /nfs/dbraw/zinc/92/76/60/590927660.db2.gz CFCYFMLDGRDYII-SNVBAGLBSA-N 0 3 225.311 2.575 20 0 BFADHN COCC1(N(C)Cc2ccc(F)cc2)CCC1 ZINC000880185743 590954248 /nfs/dbraw/zinc/95/42/48/590954248.db2.gz AYZVEMUHSLAPTF-UHFFFAOYSA-N 0 3 237.318 2.827 20 0 BFADHN O[C@H]1C[C@](N[C@H]2C=CCCC2)(c2ccccc2)C1 ZINC000880224397 590960148 /nfs/dbraw/zinc/96/01/48/590960148.db2.gz ITCGBXHJKKQWGQ-HRCADAONSA-N 0 3 243.350 2.735 20 0 BFADHN COc1cccc(CN2CCC[C@@H](CF)C2)c1 ZINC000880230676 590961360 /nfs/dbraw/zinc/96/13/60/590961360.db2.gz MXMGQMSAOQUVEI-ZDUSSCGKSA-N 0 3 237.318 2.877 20 0 BFADHN Cc1cccc(CN2CCC[C@@H](CF)C2)n1 ZINC000880233100 590965139 /nfs/dbraw/zinc/96/51/39/590965139.db2.gz UXCMTIVYZWJPSZ-LBPRGKRZSA-N 0 3 222.307 2.572 20 0 BFADHN FC[C@@H]1CCCN(Cc2cnc3ccccn23)C1 ZINC000880234212 590965291 /nfs/dbraw/zinc/96/52/91/590965291.db2.gz CSMIJUFZSGIUIZ-LBPRGKRZSA-N 0 3 247.317 2.516 20 0 BFADHN Cc1cccc(CCN2CCC[C@H](CF)C2)n1 ZINC000880238345 590969178 /nfs/dbraw/zinc/96/91/78/590969178.db2.gz RYXCBNOJIPQZJQ-CYBMUJFWSA-N 0 3 236.334 2.614 20 0 BFADHN CC1(C)CC[C@H](CN2CCC(F)CC2)OC1 ZINC000880238649 590971139 /nfs/dbraw/zinc/97/11/39/590971139.db2.gz KBBZUFSBJVSVTG-GFCCVEGCSA-N 0 3 229.339 2.626 20 0 BFADHN COCc1cccc(CN2CCC(F)CC2)c1 ZINC000880239707 590972412 /nfs/dbraw/zinc/97/24/12/590972412.db2.gz DVBLPCWWMLDXEP-UHFFFAOYSA-N 0 3 237.318 2.767 20 0 BFADHN CC(C)(C)OC1CC(N2CCC(F)CC2)C1 ZINC000880239365 590972831 /nfs/dbraw/zinc/97/28/31/590972831.db2.gz FPETZPNLMYSIPW-UHFFFAOYSA-N 0 3 229.339 2.766 20 0 BFADHN Cc1ccc(CN2CCC(F)CC2)c(C)n1 ZINC000880240412 590973898 /nfs/dbraw/zinc/97/38/98/590973898.db2.gz YPUFGQPOEXUMKK-UHFFFAOYSA-N 0 3 222.307 2.632 20 0 BFADHN FC1CCN(C[C@@H]2CCC3(CCCC3)O2)CC1 ZINC000880240160 590974561 /nfs/dbraw/zinc/97/45/61/590974561.db2.gz NUHYTUOCXMHXKG-ZDUSSCGKSA-N 0 3 241.350 2.912 20 0 BFADHN FC[C@@H]1CCN(C/C=C\c2ccccc2)C1 ZINC000880292186 590985589 /nfs/dbraw/zinc/98/55/89/590985589.db2.gz NCGXLFCHADXENO-ZBKLQPJUSA-N 0 3 219.303 2.991 20 0 BFADHN FC[C@H]1CCN(C/C=C/c2ccccc2)C1 ZINC000880292183 590986113 /nfs/dbraw/zinc/98/61/13/590986113.db2.gz NCGXLFCHADXENO-BTKRWWFXSA-N 0 3 219.303 2.991 20 0 BFADHN Cc1nc(C)c(CN2CC[C@@H](CF)C2)s1 ZINC000880293630 590987850 /nfs/dbraw/zinc/98/78/50/590987850.db2.gz MVYZLPAHQKPZPV-JTQLQIEISA-N 0 3 228.336 2.551 20 0 BFADHN Cc1ccc(F)c(CN2CC[C@@H](CF)C2)c1 ZINC000880299119 590994395 /nfs/dbraw/zinc/99/43/95/590994395.db2.gz CXECDLURHUQFSO-NSHDSACASA-N 0 3 225.282 2.926 20 0 BFADHN FC[C@H]1CCN(CCc2c(F)cccc2F)C1 ZINC000880299926 590995623 /nfs/dbraw/zinc/99/56/23/590995623.db2.gz MFJMDBLNEJSNKP-SNVBAGLBSA-N 0 3 243.272 2.799 20 0 BFADHN COc1cc(CN2CC[C@@H](CF)C2)ccc1C ZINC000880300864 590997424 /nfs/dbraw/zinc/99/74/24/590997424.db2.gz XYUXNKFSGPGKNH-ZDUSSCGKSA-N 0 3 237.318 2.795 20 0 BFADHN CC[C@@H](C)CN1CC[C@@](OC)(C(F)(F)F)C1 ZINC000880303447 590998893 /nfs/dbraw/zinc/99/88/93/590998893.db2.gz NXNBUJLGEXSWOB-ZJUUUORDSA-N 0 3 239.281 2.686 20 0 BFADHN CO[C@]1(C(F)(F)F)CCN(C2CCCC2)C1 ZINC000880306878 591000803 /nfs/dbraw/zinc/00/08/03/591000803.db2.gz ZVDOGXTXZKINMS-SNVBAGLBSA-N 0 3 237.265 2.582 20 0 BFADHN C[C@]1(c2ccccc2)CCCN1Cc1c[nH]cn1 ZINC000880371268 591013680 /nfs/dbraw/zinc/01/36/80/591013680.db2.gz DMZPRFBPQNTWBP-OAHLLOKOSA-N 0 3 241.338 2.921 20 0 BFADHN C[C@]1(c2ccccc2)CCCN1Cc1cnc[nH]1 ZINC000880371268 591013685 /nfs/dbraw/zinc/01/36/85/591013685.db2.gz DMZPRFBPQNTWBP-OAHLLOKOSA-N 0 3 241.338 2.921 20 0 BFADHN C[C@@H]1c2sccc2CCN1CCc1cn[nH]c1 ZINC000880377126 591017069 /nfs/dbraw/zinc/01/70/69/591017069.db2.gz OTRRJZGUINWSER-SNVBAGLBSA-N 0 3 247.367 2.633 20 0 BFADHN CC(C)(NCCO)c1ccc(C(F)(F)F)cc1 ZINC000880384280 591017836 /nfs/dbraw/zinc/01/78/36/591017836.db2.gz WBKJMQSSEUYTMJ-UHFFFAOYSA-N 0 3 247.260 2.522 20 0 BFADHN O[C@]12C[C@H]1CN(Cc1ccc(C3CCC3)cc1)C2 ZINC000880420081 591029309 /nfs/dbraw/zinc/02/93/09/591029309.db2.gz XHKZLWAZTBIUAY-HOTGVXAUSA-N 0 3 243.350 2.521 20 0 BFADHN c1ccc(CN2CCC3(CCCCO3)CC2)nc1 ZINC000880455453 591049471 /nfs/dbraw/zinc/04/94/71/591049471.db2.gz SVDPYZDHMHTTMX-UHFFFAOYSA-N 0 3 246.354 2.617 20 0 BFADHN OC[C@@H]1C=CCN1Cc1cccc2ccccc21 ZINC000880484892 591059559 /nfs/dbraw/zinc/05/95/59/591059559.db2.gz VMCHICFYZHZEGC-HNNXBMFYSA-N 0 3 239.318 2.573 20 0 BFADHN Cc1ccnc(CN[C@@H]2CCC[C@]23CCCO3)c1 ZINC000880505479 591079003 /nfs/dbraw/zinc/07/90/03/591079003.db2.gz LTMCRHSEFJRTEL-CABCVRRESA-N 0 3 246.354 2.581 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@]12CCCO2)c1ccccn1 ZINC000880506464 591079205 /nfs/dbraw/zinc/07/92/05/591079205.db2.gz RGJALRMPYWAWPI-CFVMTHIKSA-N 0 3 246.354 2.834 20 0 BFADHN CCC[C@@H](C)NCc1nc2c(s1)CCC2 ZINC000128845435 591167596 /nfs/dbraw/zinc/16/75/96/591167596.db2.gz UJDQKVVWDOPYNL-SECBINFHSA-N 0 3 224.373 2.910 20 0 BFADHN Cc1nn(C)c(Cl)c1CN[C@H]1CC[C@H](C)C1 ZINC000128922859 591186570 /nfs/dbraw/zinc/18/65/70/591186570.db2.gz YDKLSBLONATLNH-WPRPVWTQSA-N 0 3 241.766 2.660 20 0 BFADHN C[C@@H](N)c1cn(CC[C@H]2CCC[C@@H](C)C2)nn1 ZINC000881354097 591194920 /nfs/dbraw/zinc/19/49/20/591194920.db2.gz JUAACDCABATCRG-IJLUTSLNSA-N 0 3 236.363 2.514 20 0 BFADHN CN(C)CCSC[C@H]1CC(C)(C)OC1(C)C ZINC000882138621 591304905 /nfs/dbraw/zinc/30/49/05/591304905.db2.gz KUIQGHJJTHRBHH-LLVKDONJSA-N 0 3 245.432 2.875 20 0 BFADHN CN(C)CCSC[C@@H]1CCCC(C)(C)O1 ZINC000882138396 591305104 /nfs/dbraw/zinc/30/51/04/591305104.db2.gz GWVCJYQIYQFOPI-NSHDSACASA-N 0 3 231.405 2.629 20 0 BFADHN Cc1cc(F)cc(-c2noc([C@H]3CCCN3)n2)c1 ZINC000129837587 591412362 /nfs/dbraw/zinc/41/23/62/591412362.db2.gz GZKVMYZDQAMVMK-LLVKDONJSA-N 0 3 247.273 2.609 20 0 BFADHN c1cc(CNC[C@@H]2CSCCS2)cs1 ZINC000129895817 591418687 /nfs/dbraw/zinc/41/86/87/591418687.db2.gz JIULYOXYWJTIBH-SNVBAGLBSA-N 0 3 245.438 2.686 20 0 BFADHN Cc1noc(C)c1CNCCC1CCC1 ZINC000130341051 591458921 /nfs/dbraw/zinc/45/89/21/591458921.db2.gz WJVYRISUAZTVPU-UHFFFAOYSA-N 0 3 208.305 2.571 20 0 BFADHN CCCCCNCc1nc(C(C)C)c[nH]1 ZINC000882879011 591460155 /nfs/dbraw/zinc/46/01/55/591460155.db2.gz CVTDQRGUGLMPJZ-UHFFFAOYSA-N 0 3 209.337 2.813 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@H]2CC=CCC2)n1 ZINC000882927964 591476108 /nfs/dbraw/zinc/47/61/08/591476108.db2.gz YMZZVLLSPJSYTB-LBPRGKRZSA-N 0 3 233.359 2.979 20 0 BFADHN CCC[C@@H]1CCCCN1C/C=C(/C)C(=O)OC ZINC000213501052 591476130 /nfs/dbraw/zinc/47/61/30/591476130.db2.gz FTVXJWBLPJMBCI-KIWPFMIBSA-N 0 3 239.359 2.760 20 0 BFADHN CCN1CC[C@H]1CNc1nc2cc(C)ccc2o1 ZINC000882960531 591486170 /nfs/dbraw/zinc/48/61/70/591486170.db2.gz MXZKXWKPDOBYSC-NSHDSACASA-N 0 3 245.326 2.642 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2CCC[C@@H]2C)n1 ZINC000882987262 591494836 /nfs/dbraw/zinc/49/48/36/591494836.db2.gz XHLXMHQIZFDZLV-WDEREUQCSA-N 0 3 221.348 2.811 20 0 BFADHN CC(C)c1c[nH]c(CNCCCC(F)(F)F)n1 ZINC000883025843 591518176 /nfs/dbraw/zinc/51/81/76/591518176.db2.gz ZPJVIWVCMRYVGE-UHFFFAOYSA-N 0 3 249.280 2.965 20 0 BFADHN CC(C)c1c[nH]c(CNC2(C)CCC2)n1 ZINC000883075954 591536264 /nfs/dbraw/zinc/53/62/64/591536264.db2.gz PXLRMMIWBVZFCS-UHFFFAOYSA-N 0 3 207.321 2.565 20 0 BFADHN CC(C)c1c[nH]c(CN[C@@H]2CC[C@H](C)C2)n1 ZINC000883098382 591539149 /nfs/dbraw/zinc/53/91/49/591539149.db2.gz MOCKMUQFLPNPTI-WDEREUQCSA-N 0 3 221.348 2.811 20 0 BFADHN Cc1ncc(CCN[C@H](C)c2ccco2)s1 ZINC000131105217 591553843 /nfs/dbraw/zinc/55/38/43/591553843.db2.gz YOQSOOUUSMFMFG-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN CC(C)c1c[nH]c(CNCC[C@@H]2CC2(F)F)n1 ZINC000883184230 591564769 /nfs/dbraw/zinc/56/47/69/591564769.db2.gz RTVYJLOJOJJJFA-SECBINFHSA-N 0 3 243.301 2.668 20 0 BFADHN CC(C)c1c[nH]c(CN[C@]23C[C@H]2CCCC3)n1 ZINC000883194321 591569476 /nfs/dbraw/zinc/56/94/76/591569476.db2.gz SYUSUHWEXRPTFD-BXUZGUMPSA-N 0 3 233.359 2.955 20 0 BFADHN CSc1ccc(CN[C@H]2C[C@H](SC)C2)o1 ZINC000883196649 591569510 /nfs/dbraw/zinc/56/95/10/591569510.db2.gz TZIRJEBURSGZGF-CZMCAQCFSA-N 0 3 243.397 2.985 20 0 BFADHN OCC[C@H](N[C@H]1C[C@@H]2CC[C@@H](C2)C1)c1ccco1 ZINC000883215643 591575223 /nfs/dbraw/zinc/57/52/23/591575223.db2.gz CKUCCYJATCKOAH-DGAVXFQQSA-N 0 3 249.354 2.871 20 0 BFADHN OCC[C@@H](N[C@H]1C[C@@H]2CC[C@@H](C2)C1)c1ccco1 ZINC000883215645 591575382 /nfs/dbraw/zinc/57/53/82/591575382.db2.gz CKUCCYJATCKOAH-ZOBORPQBSA-N 0 3 249.354 2.871 20 0 BFADHN C[C@@H]1CC[C@H](NCc2cnc3ccccc3n2)C1 ZINC000131268100 591580187 /nfs/dbraw/zinc/58/01/87/591580187.db2.gz JHQGFJUGIZRXER-NEPJUHHUSA-N 0 3 241.338 2.908 20 0 BFADHN CC[C@@H](N[C@H]1C[C@@H](O)C1)c1sccc1Cl ZINC000883229040 591580258 /nfs/dbraw/zinc/58/02/58/591580258.db2.gz ZMCLCEOBTYLWFV-KHQFGBGNSA-N 0 3 245.775 2.966 20 0 BFADHN C[C@@H](NC[C@H]1C=CCC1)c1cn2ccccc2n1 ZINC000883245241 591585910 /nfs/dbraw/zinc/58/59/10/591585910.db2.gz HYSIDLZSUZCTOO-OLZOCXBDSA-N 0 3 241.338 2.951 20 0 BFADHN Cc1nocc1CNCC1(CC2CC2)CC1 ZINC000883248657 591589094 /nfs/dbraw/zinc/58/90/94/591589094.db2.gz BEQFFRQTXDUYRK-UHFFFAOYSA-N 0 3 220.316 2.653 20 0 BFADHN CCn1nccc1CNCC1(CC2CC2)CC1 ZINC000883248646 591589148 /nfs/dbraw/zinc/58/91/48/591589148.db2.gz ANHUUBMAVNBIIK-UHFFFAOYSA-N 0 3 233.359 2.573 20 0 BFADHN Cc1ncc(CNCC2(CC3CC3)CC2)o1 ZINC000883248608 591589576 /nfs/dbraw/zinc/58/95/76/591589576.db2.gz ZEPHGJDFUIDAPX-UHFFFAOYSA-N 0 3 220.316 2.653 20 0 BFADHN C=Cn1cc(CNCC2(CC3CC3)CC2)cn1 ZINC000883248761 591589710 /nfs/dbraw/zinc/58/97/10/591589710.db2.gz FKFOCBJFUDENGQ-UHFFFAOYSA-N 0 3 231.343 2.654 20 0 BFADHN Cc1nnsc1CNCC1(CC2CC2)CC1 ZINC000883248850 591590275 /nfs/dbraw/zinc/59/02/75/591590275.db2.gz IJNRCYFMFYCNIP-UHFFFAOYSA-N 0 3 237.372 2.516 20 0 BFADHN c1coc(CNCC2(CC3CC3)CC2)c1 ZINC000883250033 591590550 /nfs/dbraw/zinc/59/05/50/591590550.db2.gz YEJYDLRKTKUGSH-UHFFFAOYSA-N 0 3 205.301 2.950 20 0 BFADHN CCC[C@H](C)CCNCc1cc(C)no1 ZINC000883250580 591590802 /nfs/dbraw/zinc/59/08/02/591590802.db2.gz FGXNGJWKWDESKB-JTQLQIEISA-N 0 3 210.321 2.899 20 0 BFADHN CCC[C@@H](C)CCNCc1nc(C)c(C)[nH]1 ZINC000883250857 591590807 /nfs/dbraw/zinc/59/08/07/591590807.db2.gz QYWRHRGTWQEUKO-SNVBAGLBSA-N 0 3 223.364 2.942 20 0 BFADHN CCC[C@H](C)CCNCc1cc(C)n(C)n1 ZINC000883250514 591591079 /nfs/dbraw/zinc/59/10/79/591591079.db2.gz BVDIXYMSNOCQRY-NSHDSACASA-N 0 3 223.364 2.644 20 0 BFADHN CCC[C@@H](C)CCNCc1c(Cl)cnn1C ZINC000883251474 591592860 /nfs/dbraw/zinc/59/28/60/591592860.db2.gz QDOQYIAVXZWPEZ-SNVBAGLBSA-N 0 3 243.782 2.989 20 0 BFADHN CCc1nocc1CNC[C@@]1(C)CC1(C)C ZINC000883252293 591592964 /nfs/dbraw/zinc/59/29/64/591592964.db2.gz BUMVNGCOJMZRFX-CYBMUJFWSA-N 0 3 222.332 2.763 20 0 BFADHN C[C@H](NCC1(CC2CC2)CC1)c1nccn1C ZINC000883253521 591593827 /nfs/dbraw/zinc/59/38/27/591593827.db2.gz UFMIUGSSQRIBHA-NSHDSACASA-N 0 3 233.359 2.651 20 0 BFADHN C[C@@H](NCC(C)(C)CC(F)F)c1cnccn1 ZINC000883258493 591596350 /nfs/dbraw/zinc/59/63/50/591596350.db2.gz DOCYGSINGVGOTR-SECBINFHSA-N 0 3 243.301 2.809 20 0 BFADHN C=C/C=C/CCNCc1c(C2CCC2)cnn1C ZINC000883260306 591597402 /nfs/dbraw/zinc/59/74/02/591597402.db2.gz QAQOUABTDWBOEM-SNAWJCMRSA-N 0 3 245.370 2.910 20 0 BFADHN C=C/C=C/CCNCc1cn2cc(C)ccc2n1 ZINC000883259872 591597740 /nfs/dbraw/zinc/59/77/40/591597740.db2.gz BMFRBJHKPFYNHJ-SNAWJCMRSA-N 0 3 241.338 2.865 20 0 BFADHN CCC1(CC)[C@H](NCc2cccnc2)C[C@H]1OC ZINC000131337553 591597838 /nfs/dbraw/zinc/59/78/38/591597838.db2.gz RSMYUGXZGIMERH-ZIAGYGMSSA-N 0 3 248.370 2.765 20 0 BFADHN C[C@@H](NC[C@@]1(C)CC1(C)C)c1ccn(C)n1 ZINC000883260136 591597911 /nfs/dbraw/zinc/59/79/11/591597911.db2.gz LAHKDVUBIZGSJF-ZWNOBZJWSA-N 0 3 221.348 2.507 20 0 BFADHN C=C/C=C/CCNCc1c(C2CC2)cnn1C ZINC000883259912 591597955 /nfs/dbraw/zinc/59/79/55/591597955.db2.gz DPVQCDLWVKPNRX-SNAWJCMRSA-N 0 3 231.343 2.519 20 0 BFADHN Cc1cc([C@@H](C)NC[C@@]2(C)CC2(C)C)nn1C ZINC000883260994 591599032 /nfs/dbraw/zinc/59/90/32/591599032.db2.gz MYNPGLLRUDUBAV-BXUZGUMPSA-N 0 3 235.375 2.815 20 0 BFADHN C=C/C=C/CCN[C@@H](C)c1cc(C(=O)OC)co1 ZINC000883268789 591601947 /nfs/dbraw/zinc/60/19/47/591601947.db2.gz SIHSHPPOLPVJHG-QRGHLMKCSA-N 0 3 249.310 2.849 20 0 BFADHN Cc1ccc(CNCC2CC2)c(Cl)n1 ZINC000883292103 591607861 /nfs/dbraw/zinc/60/78/61/591607861.db2.gz DHWIAHZMZAPTOW-UHFFFAOYSA-N 0 3 210.708 2.543 20 0 BFADHN c1cnc(C2(N[C@@H]3CCC[C@H]4C[C@H]43)CCC2)nc1 ZINC000883311935 591610509 /nfs/dbraw/zinc/61/05/09/591610509.db2.gz PHORXISGQCQCES-YNEHKIRRSA-N 0 3 243.354 2.634 20 0 BFADHN CC[C@H](NC1(c2ncccn2)CCC1)C1CC1 ZINC000883313006 591611761 /nfs/dbraw/zinc/61/17/61/591611761.db2.gz RWGFVHCKDYRNNT-LBPRGKRZSA-N 0 3 231.343 2.634 20 0 BFADHN CC[C@@H](NC1(c2ncccn2)CCC1)C1CC1 ZINC000883313005 591612878 /nfs/dbraw/zinc/61/28/78/591612878.db2.gz RWGFVHCKDYRNNT-GFCCVEGCSA-N 0 3 231.343 2.634 20 0 BFADHN CCc1ccc([C@@H](C)N[C@H]2C[C@@H](COC)C2)o1 ZINC000883320172 591614602 /nfs/dbraw/zinc/61/46/02/591614602.db2.gz CQVKFHQSOXCNJQ-UTUOFQBUSA-N 0 3 237.343 2.918 20 0 BFADHN Cc1cc([C@@H](C)N[C@@H]2C[C@@H]3CCCC[C@H]23)nn1C ZINC000883322033 591615663 /nfs/dbraw/zinc/61/56/63/591615663.db2.gz SOBKMCKVQHTKTE-UKTARXLSSA-N 0 3 247.386 2.958 20 0 BFADHN C[C@@H]1CC[C@H]1NCc1cc(O)ccc1Cl ZINC000883325568 591615856 /nfs/dbraw/zinc/61/58/56/591615856.db2.gz FKHMFISIFKQXNZ-PRHODGIISA-N 0 3 225.719 2.934 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1cc(O)ccc1Cl ZINC000883325570 591616034 /nfs/dbraw/zinc/61/60/34/591616034.db2.gz FKHMFISIFKQXNZ-UFBFGSQYSA-N 0 3 225.719 2.934 20 0 BFADHN COCC[C@H](C)NCc1cc(O)ccc1Cl ZINC000883325715 591616490 /nfs/dbraw/zinc/61/64/90/591616490.db2.gz IOCYOAYATRDXCP-VIFPVBQESA-N 0 3 243.734 2.560 20 0 BFADHN Oc1ccc(Cl)c(CNCC2CC2)c1 ZINC000883324881 591616570 /nfs/dbraw/zinc/61/65/70/591616570.db2.gz HXQSAWMLXPYQNL-UHFFFAOYSA-N 0 3 211.692 2.545 20 0 BFADHN Cc1cc(N[C@@H]2CN(C3CC3)C[C@@H]2C)cnc1C ZINC000883341948 591619868 /nfs/dbraw/zinc/61/98/68/591619868.db2.gz FLTHDYSGBVYXOV-XHDPSFHLSA-N 0 3 245.370 2.593 20 0 BFADHN CCC[C@@H](NC[C@H]1CCN1CC)c1ccccn1 ZINC000883354704 591624807 /nfs/dbraw/zinc/62/48/07/591624807.db2.gz AWXBFQTVFHKLDY-ZIAGYGMSSA-N 0 3 247.386 2.607 20 0 BFADHN CCN1CC[C@@H]1CNCc1cscc1Cl ZINC000883359664 591629565 /nfs/dbraw/zinc/62/95/65/591629565.db2.gz LKDXFYGVAUGWRU-SNVBAGLBSA-N 0 3 244.791 2.585 20 0 BFADHN F[C@H]1CCc2ccccc2[C@H]1NC1CCOCC1 ZINC000883376237 591636981 /nfs/dbraw/zinc/63/69/81/591636981.db2.gz GQEBAUFQPKVZJY-LSDHHAIUSA-N 0 3 249.329 2.781 20 0 BFADHN CC[C@H](NCC[S@](=O)CC)c1cccs1 ZINC000133471952 591798659 /nfs/dbraw/zinc/79/86/59/591798659.db2.gz TYGPDQYJCODJHU-BONVTDFDSA-N 0 3 245.413 2.557 20 0 BFADHN OCCCCCCNCc1ccc(Cl)o1 ZINC000133606907 591841904 /nfs/dbraw/zinc/84/19/04/591841904.db2.gz XFCAPXWAUJBCMM-UHFFFAOYSA-N 0 3 231.723 2.575 20 0 BFADHN CS[C@H]1CC[C@H](NCc2c(C)noc2C)C1 ZINC000133948037 591870739 /nfs/dbraw/zinc/87/07/39/591870739.db2.gz YATHYFKMVDMBGY-QWRGUYRKSA-N 0 3 240.372 2.665 20 0 BFADHN CSCC[C@H](C)N[C@@H](C)c1cncs1 ZINC000134033779 591874770 /nfs/dbraw/zinc/87/47/70/591874770.db2.gz PVUGRVYMFGBPGN-IUCAKERBSA-N 0 3 230.402 2.935 20 0 BFADHN Cc1cnc([C@H](C)CNCc2ccoc2)s1 ZINC000134989418 591967587 /nfs/dbraw/zinc/96/75/87/591967587.db2.gz YHFYFPVCTKUQLD-SECBINFHSA-N 0 3 236.340 2.938 20 0 BFADHN COc1ccc2c(c1)nccc2N1C[C@@H]2C[C@@H]2C1 ZINC000884588691 591988494 /nfs/dbraw/zinc/98/84/94/591988494.db2.gz LNWPNQUBQLCTAW-PHIMTYICSA-N 0 3 240.306 2.700 20 0 BFADHN CC[C@]1(C)CN(CCc2cccs2)CCO1 ZINC000135711662 592032810 /nfs/dbraw/zinc/03/28/10/592032810.db2.gz AUDBDBDIZQILEU-CYBMUJFWSA-N 0 3 239.384 2.792 20 0 BFADHN CC[C@H](COC)NCc1cncc2ccccc21 ZINC000135781911 592054701 /nfs/dbraw/zinc/05/47/01/592054701.db2.gz JQNUULDTRXXTMG-CQSZACIVSA-N 0 3 244.338 2.749 20 0 BFADHN Cc1coc(CNC[C@@H](C)Cn2ccnc2C)c1 ZINC000885969207 592118097 /nfs/dbraw/zinc/11/80/97/592118097.db2.gz MRYSJKCBTHFVSF-GFCCVEGCSA-N 0 3 247.342 2.519 20 0 BFADHN COC(C)(C)C[C@H](C)NCc1cc(C)co1 ZINC000885998823 592121691 /nfs/dbraw/zinc/12/16/91/592121691.db2.gz BQMINXXCGVIHAW-NSHDSACASA-N 0 3 225.332 2.881 20 0 BFADHN CCOCCN(CC)CCSC(F)(F)F ZINC000886001498 592126473 /nfs/dbraw/zinc/12/64/73/592126473.db2.gz AVFRVLWWJRBDDQ-UHFFFAOYSA-N 0 3 245.310 2.598 20 0 BFADHN Cc1coc(CNC[C@@H](C)c2ccncc2)c1 ZINC000886010672 592130364 /nfs/dbraw/zinc/13/03/64/592130364.db2.gz NCSGVQSBAVTYKX-GFCCVEGCSA-N 0 3 230.311 2.876 20 0 BFADHN Cc1coc(CNC[C@@H]2CCCCN2C2CC2)c1 ZINC000886092254 592147003 /nfs/dbraw/zinc/14/70/03/592147003.db2.gz SALWWNBDRJIATP-AWEZNQCLSA-N 0 3 248.370 2.695 20 0 BFADHN CC(C)(NC[C@@](C)(O)C(F)F)c1cccs1 ZINC000886130095 592159715 /nfs/dbraw/zinc/15/97/15/592159715.db2.gz VWLTUGSWSPPRPP-LLVKDONJSA-N 0 3 249.326 2.589 20 0 BFADHN Cc1coc(CNCC(C)(C)OCC2CC2)c1 ZINC000886144730 592162652 /nfs/dbraw/zinc/16/26/52/592162652.db2.gz FUIRZNAGQLDEJW-UHFFFAOYSA-N 0 3 237.343 2.883 20 0 BFADHN COc1cc(C)cc(CN[C@H]2C=CCC2)c1OC ZINC000886157768 592165464 /nfs/dbraw/zinc/16/54/64/592165464.db2.gz KGWSGZHTOPLAOW-ZDUSSCGKSA-N 0 3 247.338 2.820 20 0 BFADHN Clc1ccc(CN[C@H]2[C@@H]3CCC[C@@H]32)nc1 ZINC000886162341 592166329 /nfs/dbraw/zinc/16/63/29/592166329.db2.gz NCWCUJMRLVXJNB-GDNZZTSVSA-N 0 3 222.719 2.623 20 0 BFADHN Cc1coc(CNC[C@H]2CCN2C2CCCC2)c1 ZINC000886171436 592168579 /nfs/dbraw/zinc/16/85/79/592168579.db2.gz HCGPMAZIYFXTBS-CQSZACIVSA-N 0 3 248.370 2.695 20 0 BFADHN Cc1c(CN(C)CC[C@@H]2C[C@H]2C2CC2)cnn1C ZINC000886178739 592170396 /nfs/dbraw/zinc/17/03/96/592170396.db2.gz DRGSAGYRNAWEPQ-HIFRSBDPSA-N 0 3 247.386 2.597 20 0 BFADHN C[C@@H]1C[C@H](C)N1C[C@H]1CCC2(CCC2)CO1 ZINC000886181377 592170479 /nfs/dbraw/zinc/17/04/79/592170479.db2.gz KMTXGDKIFYZAFP-FRRDWIJNSA-N 0 3 223.360 2.818 20 0 BFADHN c1cc([C@@H]2CCCN2CC[C@@H]2C[C@H]2C2CC2)n[nH]1 ZINC000886177117 592170714 /nfs/dbraw/zinc/17/07/14/592170714.db2.gz UXRDZIZLMJDRAJ-IPYPFGDCSA-N 0 3 245.370 2.983 20 0 BFADHN Cc1coc(CN[C@@H]2CCC[C@]23CCCO3)c1 ZINC000886188317 592172867 /nfs/dbraw/zinc/17/28/67/592172867.db2.gz PGZGDAMQDJPALT-KGLIPLIRSA-N 0 3 235.327 2.779 20 0 BFADHN CC(C)[C@@H](Cc1ccccc1)NCc1ncc[nH]1 ZINC000886217925 592178986 /nfs/dbraw/zinc/17/89/86/592178986.db2.gz KHWQRVZDEUDELH-CQSZACIVSA-N 0 3 243.354 2.767 20 0 BFADHN CC(C)c1c[nH]c(CNC[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)n1 ZINC000886280485 592197994 /nfs/dbraw/zinc/19/79/94/592197994.db2.gz FTFKTSHRLWQBMO-RVMXOQNASA-N 0 3 247.386 2.915 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNCc1cnc(C2CC2)o1 ZINC000886280551 592198543 /nfs/dbraw/zinc/19/85/43/592198543.db2.gz GNQUXTKZUDFILW-RCCPXBDUSA-N 0 3 246.354 2.934 20 0 BFADHN C[C@@H]1CCC[C@H](c2noc(C(C)(C)CN)n2)C1 ZINC000137523425 592199437 /nfs/dbraw/zinc/19/94/37/592199437.db2.gz SHATYBDRYJWCMD-ZJUUUORDSA-N 0 3 237.347 2.600 20 0 BFADHN C([C@@H]1CC[C@H](C2CC2)O1)N(C1CC1)C1CC1 ZINC000886296899 592201547 /nfs/dbraw/zinc/20/15/47/592201547.db2.gz DAHQZMQHEQGYJL-UONOGXRCSA-N 0 3 221.344 2.571 20 0 BFADHN Cc1n[nH]cc1CN(C)CC[C@@H]1C[C@H]1C1CC1 ZINC000886305530 592203428 /nfs/dbraw/zinc/20/34/28/592203428.db2.gz JRCGHNMKKHJVAG-OCCSQVGLSA-N 0 3 233.359 2.586 20 0 BFADHN C[C@@H]1CCCC[C@H]1OCCN1C[C@@H]2C[C@@H]2C1 ZINC000886329307 592210068 /nfs/dbraw/zinc/21/00/68/592210068.db2.gz CDACOEFHKIWCGN-YIYPIFLZSA-N 0 3 223.360 2.533 20 0 BFADHN Clc1ccc(OCCN2C[C@@H]3C[C@@H]3C2)cc1 ZINC000886328590 592210175 /nfs/dbraw/zinc/21/01/75/592210175.db2.gz MNSGUTPTPOCCKI-PHIMTYICSA-N 0 3 237.730 2.671 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H]2CCc3c2cccc3F)[C@H](C)O1 ZINC000886361547 592217783 /nfs/dbraw/zinc/21/77/83/592217783.db2.gz LOQCZOYGPYLXSK-KTJYFRGRSA-N 0 3 249.329 2.969 20 0 BFADHN CC(C)[C@H](NCc1cccs1)c1cnn(C)c1 ZINC000886491932 592237110 /nfs/dbraw/zinc/23/71/10/592237110.db2.gz WWYQWBIACBCRMM-ZDUSSCGKSA-N 0 3 249.383 2.969 20 0 BFADHN CC(C)[C@H](NCCC(F)(F)F)c1cnn(C)c1 ZINC000886536637 592251074 /nfs/dbraw/zinc/25/10/74/592251074.db2.gz MFKSMCKIPLPSGD-JTQLQIEISA-N 0 3 249.280 2.659 20 0 BFADHN c1cnc2c(c1)CC[C@H]2NC1(C2CC2)CC1 ZINC000886576364 592267764 /nfs/dbraw/zinc/26/77/64/592267764.db2.gz FGUVHPMYYWSGSL-GFCCVEGCSA-N 0 3 214.312 2.601 20 0 BFADHN CCC(O)(CC)CCN[C@@H]1CCc2cccnc21 ZINC000886598436 592272510 /nfs/dbraw/zinc/27/25/10/592272510.db2.gz AODFPQSDILJRRA-CYBMUJFWSA-N 0 3 248.370 2.600 20 0 BFADHN OC[C@]12CCC[C@H]1N(Cc1ccc(F)cc1)CC2 ZINC000886622095 592278906 /nfs/dbraw/zinc/27/89/06/592278906.db2.gz JUCLUYSZMKMVAT-HUUCEWRRSA-N 0 3 249.329 2.563 20 0 BFADHN c1cnc2c(c1)CC[C@H]2N[C@@H]1C[C@H]1C1CCC1 ZINC000886629485 592280661 /nfs/dbraw/zinc/28/06/61/592280661.db2.gz GDPBHUQJDSWVJP-BFHYXJOUSA-N 0 3 228.339 2.847 20 0 BFADHN CC[C@@H]1CC[C@H]1NCc1cc(C2CC2)no1 ZINC000886684702 592302719 /nfs/dbraw/zinc/30/27/19/592302719.db2.gz QGJLNNPYNUMBCU-BXKDBHETSA-N 0 3 220.316 2.830 20 0 BFADHN c1cnc([C@@H]2CCCN([C@H]3C=CCCC3)C2)nc1 ZINC000886681125 592302989 /nfs/dbraw/zinc/30/29/89/592302989.db2.gz SLTRTLIUMHMQHU-KGLIPLIRSA-N 0 3 243.354 2.765 20 0 BFADHN C1=C(CN2CCC[C@H](c3ncccn3)C2)CCC1 ZINC000886688187 592304461 /nfs/dbraw/zinc/30/44/61/592304461.db2.gz MEAUKLWZHNVTOL-AWEZNQCLSA-N 0 3 243.354 2.766 20 0 BFADHN CCN1CCC[C@H](Cc2nc(C)cs2)C1 ZINC000886696171 592305516 /nfs/dbraw/zinc/30/55/16/592305516.db2.gz IBUQIABXGNOOSG-LLVKDONJSA-N 0 3 224.373 2.726 20 0 BFADHN CCn1ccnc1[C@@H](C)NC1(C2CC2)CCC1 ZINC000886824676 592346796 /nfs/dbraw/zinc/34/67/96/592346796.db2.gz DWBOIHHSAMWORG-LLVKDONJSA-N 0 3 233.359 2.886 20 0 BFADHN C[C@@H]1C[C@@H](NC2(C3CC3)CCC2)c2nccn21 ZINC000886825618 592348364 /nfs/dbraw/zinc/34/83/64/592348364.db2.gz YKKCCFIWYYCABK-ZYHUDNBSSA-N 0 3 231.343 2.811 20 0 BFADHN CCCCCCC(=O)NC1CCN(CC)CC1 ZINC000886852022 592366813 /nfs/dbraw/zinc/36/68/13/592366813.db2.gz WPIMTSNNVVSVOZ-UHFFFAOYSA-N 0 3 240.391 2.557 20 0 BFADHN CC[C@H](O)CNC(C)(C)c1ccc(F)cc1F ZINC000886896180 592391318 /nfs/dbraw/zinc/39/13/18/592391318.db2.gz APOGSVNGVSJKJE-JTQLQIEISA-N 0 3 243.297 2.560 20 0 BFADHN CO[C@@H](C)CNC(C)(C)c1ccc(F)cc1F ZINC000886898322 592392687 /nfs/dbraw/zinc/39/26/87/592392687.db2.gz YAVLCSXHHHCDES-VIFPVBQESA-N 0 3 243.297 2.824 20 0 BFADHN CCCCN1CCc2cc(O)c(OC)cc2C1 ZINC000887105328 592445012 /nfs/dbraw/zinc/44/50/12/592445012.db2.gz QNMCUQKNIGFCNT-UHFFFAOYSA-N 0 3 235.327 2.559 20 0 BFADHN CN(CCCF)C[C@@]1(C)CC1(Cl)Cl ZINC000887142573 592459149 /nfs/dbraw/zinc/45/91/49/592459149.db2.gz QKWCNIQRFAIUAQ-MRVPVSSYSA-N 0 3 228.138 2.862 20 0 BFADHN CO[C@@H](CN(C)CCCF)c1ccccc1 ZINC000887142425 592459907 /nfs/dbraw/zinc/45/99/07/592459907.db2.gz JRLQYFCWCRGALQ-ZDUSSCGKSA-N 0 3 225.307 2.666 20 0 BFADHN CCCCCN(C(=O)[C@@H](N)CC1CC1)C(C)C ZINC000302676173 592551716 /nfs/dbraw/zinc/55/17/16/592551716.db2.gz NPALEEQOKUONQQ-ZDUSSCGKSA-N 0 3 240.391 2.541 20 0 BFADHN Cc1oc(C(C)(C)C)cc1CNC1(CO)CC1 ZINC000893388638 634384169 /nfs/dbraw/zinc/38/41/69/634384169.db2.gz RHYHIWCQNVIBJP-UHFFFAOYSA-N 0 3 237.343 2.500 20 0 BFADHN Cc1nonc1CN[C@H]1CCCC12CCCCC2 ZINC000313008314 634442005 /nfs/dbraw/zinc/44/20/05/634442005.db2.gz KUPBZQAAKFNFTD-ZDUSSCGKSA-N 0 3 249.358 2.971 20 0 BFADHN Cc1cc(CN(CCCCO)C2CC2)c(C)o1 ZINC000093383239 634528769 /nfs/dbraw/zinc/52/87/69/634528769.db2.gz MRCFSILEZZZWQC-UHFFFAOYSA-N 0 3 237.343 2.633 20 0 BFADHN CCCCN(C)C[C@@H](O)c1c(F)cccc1F ZINC000051088003 631236892 /nfs/dbraw/zinc/23/68/92/631236892.db2.gz CWRGSFWMSIVDOH-GFCCVEGCSA-N 0 3 243.297 2.730 20 0 BFADHN C[C@@H]1CCCN(Cc2cnn(C3CCC3)c2)C1 ZINC000891446222 631319613 /nfs/dbraw/zinc/31/96/13/631319613.db2.gz HYNBHUILMDTYPP-GFCCVEGCSA-N 0 3 233.359 2.840 20 0 BFADHN Cc1cncc(CNC2([C@@H]3CCCCO3)CC2)c1 ZINC000933368509 631327699 /nfs/dbraw/zinc/32/76/99/631327699.db2.gz FSTODLHJYWDNME-AWEZNQCLSA-N 0 3 246.354 2.581 20 0 BFADHN Cc1nn(C(C)C)c(C)c1CN(C)C(C)C ZINC000891452126 631361040 /nfs/dbraw/zinc/36/10/40/631361040.db2.gz VFODPJQJETULBM-UHFFFAOYSA-N 0 3 223.364 2.921 20 0 BFADHN C/C=C\CN[C@@H](C)c1ccnc(Cl)c1 ZINC000308506015 631413439 /nfs/dbraw/zinc/41/34/39/631413439.db2.gz ISNSDWXHFKGJFQ-TYRPZCRBSA-N 0 3 210.708 2.962 20 0 BFADHN Cc1cc(N[C@H](C)CCC(C)C)nc(N)n1 ZINC000088430144 631490943 /nfs/dbraw/zinc/49/09/43/631490943.db2.gz FHXYZVIDOMBILS-SECBINFHSA-N 0 3 222.336 2.604 20 0 BFADHN Cc1cccc(CN(C)CC2(O)CCCCC2)n1 ZINC000094923457 631581913 /nfs/dbraw/zinc/58/19/13/631581913.db2.gz BABLRHCBVSQYRX-UHFFFAOYSA-N 0 3 248.370 2.517 20 0 BFADHN CC(C)(C)c1cccc(CN2CC[C@@H]2CO)c1 ZINC000934012986 631591322 /nfs/dbraw/zinc/59/13/22/631591322.db2.gz SXVBKRDDKVDTJH-CQSZACIVSA-N 0 3 233.355 2.551 20 0 BFADHN Cc1ccc(CN(C)CC2(O)CCCCC2)o1 ZINC000098143706 631684432 /nfs/dbraw/zinc/68/44/32/631684432.db2.gz TYGZVBIKVNCPMM-UHFFFAOYSA-N 0 3 237.343 2.715 20 0 BFADHN FC(F)(F)C1(CNCc2ccoc2)CC1 ZINC000308882321 631716907 /nfs/dbraw/zinc/71/69/07/631716907.db2.gz QXWYMKIMLTZEOQ-UHFFFAOYSA-N 0 3 219.206 2.712 20 0 BFADHN CC(C)CC[C@H](O)CN[C@H](C)c1cncs1 ZINC000934193047 631724318 /nfs/dbraw/zinc/72/43/18/631724318.db2.gz CAEAALZJJBYGPD-MNOVXSKESA-N 0 3 242.388 2.591 20 0 BFADHN CC(C)CC[C@H](O)CN[C@@H](C)c1cncs1 ZINC000934193048 631724891 /nfs/dbraw/zinc/72/48/91/631724891.db2.gz CAEAALZJJBYGPD-QWRGUYRKSA-N 0 3 242.388 2.591 20 0 BFADHN Cc1noc(C)c1CNC[C@@H]1CC1(Cl)Cl ZINC000308953717 631753743 /nfs/dbraw/zinc/75/37/43/631753743.db2.gz PWNXAWBMLANZKG-QMMMGPOBSA-N 0 3 249.141 2.575 20 0 BFADHN Cc1noc(C)c1CN1CCCSC[C@@H]1C ZINC000934299139 631767907 /nfs/dbraw/zinc/76/79/07/631767907.db2.gz SAHBSOZRJXLDAX-VIFPVBQESA-N 0 3 240.372 2.619 20 0 BFADHN c1nn(CC2CCC2)cc1CN1CCCCC1 ZINC000892246062 631813147 /nfs/dbraw/zinc/81/31/47/631813147.db2.gz KQVCNABEVOBBQS-UHFFFAOYSA-N 0 3 233.359 2.669 20 0 BFADHN C[C@]1(C2CC2)COCCN1CC1CC(F)(F)C1 ZINC000934479085 631874103 /nfs/dbraw/zinc/87/41/03/631874103.db2.gz OZDYUTYLMDCADB-GFCCVEGCSA-N 0 3 245.313 2.533 20 0 BFADHN C/C=C/CN[C@H](c1ccccn1)C(C)C ZINC000309153089 631909474 /nfs/dbraw/zinc/90/94/74/631909474.db2.gz WUEIBVQEFNYAND-IHVVCDCBSA-N 0 3 204.317 2.944 20 0 BFADHN CC1(C)SC[C@@H]1NCc1ccc(Cl)nc1 ZINC000309159275 631913788 /nfs/dbraw/zinc/91/37/88/631913788.db2.gz YHXPJJVAFVKZQC-VIFPVBQESA-N 0 3 242.775 2.719 20 0 BFADHN C[C@H]1CSC[C@@H]1NCc1cccc(Cl)n1 ZINC000309157549 631914216 /nfs/dbraw/zinc/91/42/16/631914216.db2.gz XUCLFUZASSHZFE-WPRPVWTQSA-N 0 3 242.775 2.576 20 0 BFADHN Cn1c(Cl)nc(Cl)c1CNCC1(C)CC1 ZINC000308867610 632010901 /nfs/dbraw/zinc/01/09/01/632010901.db2.gz GVZCOXDPHGBYPU-UHFFFAOYSA-N 0 3 248.157 2.617 20 0 BFADHN Cc1c(CN(C)CCCCC(C)C)cnn1C ZINC000894125214 635692721 /nfs/dbraw/zinc/69/27/21/635692721.db2.gz RQDPUZMGJXSJCO-UHFFFAOYSA-N 0 3 237.391 2.987 20 0 BFADHN Clc1ccc(CNC[C@@H]2CCC=CO2)o1 ZINC000308892360 632097664 /nfs/dbraw/zinc/09/76/64/632097664.db2.gz XLBKNTQBYLVAMC-VIFPVBQESA-N 0 3 227.691 2.715 20 0 BFADHN CSCC[C@H](C)N[C@@H](C)c1nccs1 ZINC000091726635 632410630 /nfs/dbraw/zinc/41/06/30/632410630.db2.gz RENSSMPYRIGZJU-IUCAKERBSA-N 0 3 230.402 2.935 20 0 BFADHN C#CCN(C)CCCOc1cccc(Cl)c1 ZINC000052458073 632413825 /nfs/dbraw/zinc/41/38/25/632413825.db2.gz AXEMNYPLESDXMO-UHFFFAOYSA-N 0 3 237.730 2.674 20 0 BFADHN CC(C)O[C@H]1C[C@@H](N[C@H](C)c2ccns2)C1 ZINC000926564704 632558858 /nfs/dbraw/zinc/55/88/58/632558858.db2.gz XXADJGRZWVDRGU-MXWKQRLJSA-N 0 3 240.372 2.750 20 0 BFADHN CSCCN[C@@H]1CCc2ccc(Cl)nc21 ZINC000309072199 632633390 /nfs/dbraw/zinc/63/33/90/632633390.db2.gz FJTLRPJBQOBVSU-SECBINFHSA-N 0 3 242.775 2.675 20 0 BFADHN C[C@H](N[C@@H]1CC12CC2)c1cccc2c1OCO2 ZINC000926612865 632678393 /nfs/dbraw/zinc/67/83/93/632678393.db2.gz ATACXIMZTMFXBC-JOYOIKCWSA-N 0 3 231.295 2.618 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)NCc1nonc1C ZINC000310025048 632694516 /nfs/dbraw/zinc/69/45/16/632694516.db2.gz OUTMAXRFRSTOLR-MWLCHTKSSA-N 0 3 225.336 2.682 20 0 BFADHN Cc1cc(NCC(C)(C)C(C)(C)C)nc(N)n1 ZINC000310545887 632757128 /nfs/dbraw/zinc/75/71/28/632757128.db2.gz DQAWZFAANPKBCD-UHFFFAOYSA-N 0 3 236.363 2.851 20 0 BFADHN CC[C@H](C)CCN[C@@H](C)c1cn(C(C)C)nn1 ZINC000926686407 632820993 /nfs/dbraw/zinc/82/09/93/632820993.db2.gz WAOYTFJWDRIMCP-RYUDHWBXSA-N 0 3 238.379 2.946 20 0 BFADHN CC[C@@H](N[C@@H]1C=CCC1)c1cccc(OC)n1 ZINC000926690730 632826673 /nfs/dbraw/zinc/82/66/73/632826673.db2.gz QIPZLUSNZZCZOZ-VXGBXAGGSA-N 0 3 232.327 2.850 20 0 BFADHN CC[C@H](N[C@H](C)c1cn(C(C)C)nn1)C1CC1 ZINC000926707779 632849651 /nfs/dbraw/zinc/84/96/51/632849651.db2.gz SMQTVPJQBSKUAB-PWSUYJOCSA-N 0 3 236.363 2.698 20 0 BFADHN CCC(CC)[C@@H](O)CN[C@H](C)c1ccns1 ZINC000925033253 635861988 /nfs/dbraw/zinc/86/19/88/635861988.db2.gz LOUUNJPQYNUEOC-KOLCDFICSA-N 0 3 242.388 2.591 20 0 BFADHN CCC(CC)[C@H](O)CN[C@H](C)c1ccns1 ZINC000925033255 635862322 /nfs/dbraw/zinc/86/23/22/635862322.db2.gz LOUUNJPQYNUEOC-MWLCHTKSSA-N 0 3 242.388 2.591 20 0 BFADHN C[C@@H](NC[C@H](O)c1cccc(F)c1)c1ccoc1 ZINC000177782441 632880577 /nfs/dbraw/zinc/88/05/77/632880577.db2.gz RRDDDELPFNPLSY-YGRLFVJLSA-N 0 3 249.285 2.803 20 0 BFADHN CCCc1ccc(NC(=O)[C@@H](N)C(C)(C)C)cc1 ZINC000048492983 635857733 /nfs/dbraw/zinc/85/77/33/635857733.db2.gz WWZPPUCQTSAALR-CYBMUJFWSA-N 0 3 248.370 2.951 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2N[C@H](C)C1CC1 ZINC000924569781 632918907 /nfs/dbraw/zinc/91/89/07/632918907.db2.gz FRDYMKNIPILKII-KCJUWKMLSA-N 0 3 220.316 2.749 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NCC1CC=CC1 ZINC000926744086 632941547 /nfs/dbraw/zinc/94/15/47/632941547.db2.gz LCDZLCMQXNKAKZ-LBPRGKRZSA-N 0 3 232.327 2.916 20 0 BFADHN CCCC[C@H](C)N(C)Cc1c2c(nn1C)CCC2 ZINC000894557124 635907047 /nfs/dbraw/zinc/90/70/47/635907047.db2.gz VZDXFROPXSHAJK-LBPRGKRZSA-N 0 3 249.402 2.919 20 0 BFADHN CCn1nc(C)c([C@@H](C)N[C@H]2CCCC23CC3)n1 ZINC000926779744 633052703 /nfs/dbraw/zinc/05/27/03/633052703.db2.gz IQEILBVNTPGMGZ-PWSUYJOCSA-N 0 3 248.374 2.590 20 0 BFADHN COc1cc([C@@H](C)N[C@H](C)CC2CCC2)on1 ZINC000926789172 633073379 /nfs/dbraw/zinc/07/33/79/633073379.db2.gz ACOMLDQXTRXSOX-NXEZZACHSA-N 0 3 238.331 2.913 20 0 BFADHN CCCCN(CC)Cc1ccc(Cl)nn1 ZINC000167390084 633128727 /nfs/dbraw/zinc/12/87/27/633128727.db2.gz MBXKYWJCKVPUER-UHFFFAOYSA-N 0 3 227.739 2.752 20 0 BFADHN Cc1coc(CN2CCS[C@H](C)CC2)c1 ZINC000894562877 635910279 /nfs/dbraw/zinc/91/02/79/635910279.db2.gz CZRMADKIOKUCIC-LLVKDONJSA-N 0 3 225.357 2.915 20 0 BFADHN CO[C@H](C)[C@H](C)NCc1cc(C)cc(Cl)n1 ZINC000309495982 633178333 /nfs/dbraw/zinc/17/83/33/633178333.db2.gz YJQBZOPAEGUCPA-VHSXEESVSA-N 0 3 242.750 2.556 20 0 BFADHN CCC[C@H](C)CCN[C@@H]1C[C@@H](C)n2ncnc21 ZINC000926841328 633210659 /nfs/dbraw/zinc/21/06/59/633210659.db2.gz JGMZCVVUTMFOFX-QJPTWQEYSA-N 0 3 236.363 2.700 20 0 BFADHN CC(C)(C)CCc1noc([C@@]2(C)CCCN2)n1 ZINC000305927409 635957088 /nfs/dbraw/zinc/95/70/88/635957088.db2.gz NTIUJDUKIOUMRC-CYBMUJFWSA-N 0 3 237.347 2.647 20 0 BFADHN CC[C@H](COC)[NH2+][C@H](C)c1cc([O-])cc(F)c1 ZINC000925106393 636046588 /nfs/dbraw/zinc/04/65/88/636046588.db2.gz OJZQRNNNENXFAM-BXKDBHETSA-N 0 3 241.306 2.607 20 0 BFADHN CCc1nc(CNCCC(C)(C)OC)cs1 ZINC000328382823 633761280 /nfs/dbraw/zinc/76/12/80/633761280.db2.gz SDVQUQMKYMBEHN-UHFFFAOYSA-N 0 3 242.388 2.610 20 0 BFADHN C[C@H]1CC[C@@]2(CCN(c3ccncc3CO)C2)C1 ZINC000893122225 633843587 /nfs/dbraw/zinc/84/35/87/633843587.db2.gz VWWXGTFEAXRHCI-SWLSCSKDSA-N 0 3 246.354 2.590 20 0 BFADHN CCCCCCNCc1cn(C(C)C)nn1 ZINC000309246601 633873937 /nfs/dbraw/zinc/87/39/37/633873937.db2.gz YMHHTNYDOAJHQO-UHFFFAOYSA-N 0 3 224.352 2.529 20 0 BFADHN CCCC1(CN[C@@H]2C[C@H](C)n3ncnc32)CCC1 ZINC000925524889 633901173 /nfs/dbraw/zinc/90/11/73/633901173.db2.gz BMRBKJVPKXKWTG-NWDGAFQWSA-N 0 3 248.374 2.844 20 0 BFADHN Cl/C=C\CN[C@@H]1CCO[C@H]1c1ccccc1 ZINC000309569598 633955575 /nfs/dbraw/zinc/95/55/75/633955575.db2.gz MIRCTTSVAHLDNB-FTBGMHHGSA-N 0 3 237.730 2.859 20 0 BFADHN CCc1nnc(CNC2[C@@H](C)CC[C@@H]2C)s1 ZINC000309949584 633969243 /nfs/dbraw/zinc/96/92/43/633969243.db2.gz FNPIYBXNDBWZTB-IUCAKERBSA-N 0 3 239.388 2.625 20 0 BFADHN C[C@@H](c1ncccn1)N1CC[C@H](C2CCC2)C1 ZINC000930738526 634078725 /nfs/dbraw/zinc/07/87/25/634078725.db2.gz KTZKWZQDPAOXQZ-AAEUAGOBSA-N 0 3 231.343 2.660 20 0 BFADHN C[C@H](c1ncccn1)N1CC[C@H](C2CCC2)C1 ZINC000930738529 634079148 /nfs/dbraw/zinc/07/91/48/634079148.db2.gz KTZKWZQDPAOXQZ-YPMHNXCESA-N 0 3 231.343 2.660 20 0 BFADHN CCc1ncc(CNC2(C3CCC3)CC2)o1 ZINC000930856162 634139691 /nfs/dbraw/zinc/13/96/91/634139691.db2.gz KGSZMPJUAHGTAR-UHFFFAOYSA-N 0 3 220.316 2.659 20 0 BFADHN C[C@@H]1CCCN(Cc2ccc(F)nc2)CC1 ZINC000189309163 634177800 /nfs/dbraw/zinc/17/78/00/634177800.db2.gz JMCNNKNFHUAWTH-LLVKDONJSA-N 0 3 222.307 2.843 20 0 BFADHN C[C@@H](c1cccnc1)N(C)C[C@@H]1CCC=CO1 ZINC000194628122 634327697 /nfs/dbraw/zinc/32/76/97/634327697.db2.gz PHFNWSQPXITXDV-JSGCOSHPSA-N 0 3 232.327 2.767 20 0 BFADHN Cc1ccc(CCNCc2ccno2)cc1C ZINC000893549293 635141449 /nfs/dbraw/zinc/14/14/49/635141449.db2.gz OWJMJJOBTLEWOB-UHFFFAOYSA-N 0 3 230.311 2.624 20 0 BFADHN CCC[C@H](N[C@H]1COC[C@H]1C)c1ccccn1 ZINC000924945238 635796760 /nfs/dbraw/zinc/79/67/60/635796760.db2.gz DOABSXQAMPFSLZ-XBFCOCLRSA-N 0 3 234.343 2.547 20 0 BFADHN Cn1nc(CNCC2(C)CCCC2)cc1C1CC1 ZINC000897230982 636278089 /nfs/dbraw/zinc/27/80/89/636278089.db2.gz RCFKVWYFCBEUGO-UHFFFAOYSA-N 0 3 247.386 2.967 20 0 BFADHN CC[C@H](N[C@H](C)[C@H](C)O)c1cc(F)ccc1F ZINC000341981727 636313731 /nfs/dbraw/zinc/31/37/31/636313731.db2.gz JOFVVGUEYVHWFF-ZDMBXUJBSA-N 0 3 243.297 2.775 20 0 BFADHN C[C@@H](O)[C@H](C)NCc1ccc(-c2ccccc2)o1 ZINC000342189327 636328356 /nfs/dbraw/zinc/32/83/56/636328356.db2.gz INGKYMMVIGNLSP-NWDGAFQWSA-N 0 3 245.322 2.806 20 0 BFADHN C[C@H](NCC(C1CC1)C1CC1)c1ncco1 ZINC000925171432 636350211 /nfs/dbraw/zinc/35/02/11/636350211.db2.gz VTSOJMFMYSMCSP-VIFPVBQESA-N 0 3 220.316 2.761 20 0 BFADHN COC[C@H](C)NCc1cc2cccc(OC)c2o1 ZINC000034995529 636354331 /nfs/dbraw/zinc/35/43/31/636354331.db2.gz CIUZDMNJAPSYFR-JTQLQIEISA-N 0 3 249.310 2.566 20 0 BFADHN Cc1cc(N[C@@H](C)CCCC(C)C)nc(N)n1 ZINC000109119741 636401694 /nfs/dbraw/zinc/40/16/94/636401694.db2.gz WVIDRQLELLJVJK-JTQLQIEISA-N 0 3 236.363 2.994 20 0 BFADHN Cc1cc(N[C@@H]2CCC[C@H](C(C)C)C2)nc(N)n1 ZINC000728910786 636409231 /nfs/dbraw/zinc/40/92/31/636409231.db2.gz UFGANEKROHMZLX-NWDGAFQWSA-N 0 3 248.374 2.994 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NC[C@@]1(C)CCCO1 ZINC000925249014 636515770 /nfs/dbraw/zinc/51/57/70/636515770.db2.gz JQAOVSYMRWSDGU-NOZJJQNGSA-N 0 3 238.331 2.511 20 0 BFADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1nonc1C ZINC000925256048 636527918 /nfs/dbraw/zinc/52/79/18/636527918.db2.gz HZAOJYBBOAIEBH-FBIMIBRVSA-N 0 3 223.320 2.607 20 0 BFADHN Cc1cc(NC[C@@H]2CCCC[C@@H]2C)nc(N)n1 ZINC000109310879 636555593 /nfs/dbraw/zinc/55/55/93/636555593.db2.gz ZKQGZDBQIKAZQK-ONGXEEELSA-N 0 3 234.347 2.605 20 0 BFADHN c1cc2ccc(CN3CC4(CC4)C3)cc2[nH]1 ZINC000862382005 636624803 /nfs/dbraw/zinc/62/48/03/636624803.db2.gz MIAKNBYNGMAYGS-UHFFFAOYSA-N 0 3 212.296 2.764 20 0 BFADHN OCc1cnccc1N1CCCCCCCC1 ZINC000321541980 636650756 /nfs/dbraw/zinc/65/07/56/636650756.db2.gz IBQGYFLWTPNVJS-UHFFFAOYSA-N 0 3 234.343 2.735 20 0 BFADHN Cc1ccc(NC(=O)[C@H]2CCCN2C(C)C)cc1 ZINC000929132578 636816471 /nfs/dbraw/zinc/81/64/71/636816471.db2.gz IBQHTAKLRHYPBB-CQSZACIVSA-N 0 3 246.354 2.806 20 0 BFADHN Cc1nc(CN[C@H](C)C2(C)CC2)cs1 ZINC000041010495 636950736 /nfs/dbraw/zinc/95/07/36/636950736.db2.gz UMGVLKXJQIDXAZ-MRVPVSSYSA-N 0 3 210.346 2.730 20 0 BFADHN Cc1cc([C@@H](C)NCCC2CC=CC2)nn1C ZINC000926951117 636968619 /nfs/dbraw/zinc/96/86/19/636968619.db2.gz WSRUJZXYVYGTRH-GFCCVEGCSA-N 0 3 233.359 2.735 20 0 BFADHN CCc1onc(C)c1CN[C@H]1CCCSC1 ZINC000927139241 637050066 /nfs/dbraw/zinc/05/00/66/637050066.db2.gz IUSDYKCCSPALTN-JTQLQIEISA-N 0 3 240.372 2.531 20 0 BFADHN C[C@@H](NC1([C@H]2CCCCO2)CC1)c1cccnc1 ZINC000927161641 637071708 /nfs/dbraw/zinc/07/17/08/637071708.db2.gz QNZHDBGEJCHZKU-TZMCWYRMSA-N 0 3 246.354 2.834 20 0 BFADHN c1cc(-c2noc(C34CCC(CC3)N4)n2)cs1 ZINC000899993692 637161241 /nfs/dbraw/zinc/16/12/41/637161241.db2.gz LQDFCCQGOYYOFR-UHFFFAOYSA-N 0 3 247.323 2.539 20 0 BFADHN CCc1ccc([C@H](C)N[C@@H]2COC[C@H]2C2CC2)o1 ZINC000903437696 637363406 /nfs/dbraw/zinc/36/34/06/637363406.db2.gz PXJHZWCEJUBHLJ-LEWSCRJBSA-N 0 3 249.354 2.918 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@H]1C[C@H]1C ZINC000924796062 637391868 /nfs/dbraw/zinc/39/18/68/637391868.db2.gz ALVSWRZHOKKLEI-IEBDPFPHSA-N 0 3 220.316 2.606 20 0 BFADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@@H]1C[C@H]1C ZINC000924796068 637391880 /nfs/dbraw/zinc/39/18/80/637391880.db2.gz ALVSWRZHOKKLEI-MIMYLULJSA-N 0 3 220.316 2.606 20 0 BFADHN Cc1ccc(-c2cnc([C@@H]3CCCN3)nc2)s1 ZINC000906181954 638094469 /nfs/dbraw/zinc/09/44/69/638094469.db2.gz UPRYWESIBKEXAQ-NSHDSACASA-N 0 3 245.351 2.938 20 0 BFADHN CCCCNCc1noc2ccc(F)cc12 ZINC000906534484 638202329 /nfs/dbraw/zinc/20/23/29/638202329.db2.gz RMRRNVBYNBFJCR-UHFFFAOYSA-N 0 3 222.263 2.857 20 0 BFADHN CCCCNCc1cc(O)ccc1Cl ZINC000906537948 638205173 /nfs/dbraw/zinc/20/51/73/638205173.db2.gz RAJYMKWSVVTXNO-UHFFFAOYSA-N 0 3 213.708 2.935 20 0 BFADHN CCn1nc(C)c([C@H](C)NC[C@@H]2CC=CCC2)n1 ZINC000924807457 638206095 /nfs/dbraw/zinc/20/60/95/638206095.db2.gz VKFSWWUKRRVJQL-WCQYABFASA-N 0 3 248.374 2.613 20 0 BFADHN CN(C[C@H]1CCCO1)[C@@H]1C[C@@H]1c1cccc(F)c1 ZINC000906588346 638213111 /nfs/dbraw/zinc/21/31/11/638213111.db2.gz PVLDQZXGGHJDJP-RBSFLKMASA-N 0 3 249.329 2.792 20 0 BFADHN CCO[C@H]1CCN([C@@H]2C[C@@H]2c2cccc(F)c2)C1 ZINC000906595995 638220781 /nfs/dbraw/zinc/22/07/81/638220781.db2.gz LBGPGBBCUIBJCS-RRFJBIMHSA-N 0 3 249.329 2.792 20 0 BFADHN CS[C@H]1CC[C@H](NCc2csc(C)n2)C1 ZINC000164879319 638586970 /nfs/dbraw/zinc/58/69/70/638586970.db2.gz UPGLFQOEMWCMCA-ONGXEEELSA-N 0 3 242.413 2.825 20 0 BFADHN CCC(CC)N(CC)C(=O)CN(C)C1CCC1 ZINC000908240883 638622894 /nfs/dbraw/zinc/62/28/94/638622894.db2.gz ZBTKQTUAVWTRQA-UHFFFAOYSA-N 0 3 240.391 2.508 20 0 BFADHN CCOc1cc(CN2CC[C@@H]3C[C@@H]3C2)ccc1O ZINC000668677339 638674333 /nfs/dbraw/zinc/67/43/33/638674333.db2.gz MREKCIWRHVHBMP-CHWSQXEVSA-N 0 3 247.338 2.633 20 0 BFADHN CC[C@@H](C)[C@H](C)NCc1c(F)cncc1F ZINC000930226473 639642538 /nfs/dbraw/zinc/64/25/38/639642538.db2.gz LQWSCZKGOVTDFC-BDAKNGLRSA-N 0 3 228.286 2.884 20 0 BFADHN C[C@@H]1CCN(C[C@H]2C[C@@H]3[C@H](C2)C3(F)F)C[C@H]1F ZINC000930245951 639656654 /nfs/dbraw/zinc/65/66/54/639656654.db2.gz WYCPKPDFBSSCIV-RMPHRYRLSA-N 0 3 247.304 2.958 20 0 BFADHN CSCCCN(C)[C@H](C)c1ccc(F)nc1 ZINC000930318122 639701754 /nfs/dbraw/zinc/70/17/54/639701754.db2.gz HXFSSERPQADKNO-SNVBAGLBSA-N 0 3 242.363 2.967 20 0 BFADHN CC1CC(CN2CCCC[C@@H]2c2cc[nH]n2)C1 ZINC000930448912 639755110 /nfs/dbraw/zinc/75/51/10/639755110.db2.gz XNJZLOZNTLBQQG-ORHYLEIMSA-N 0 3 233.359 2.983 20 0 BFADHN C[C@@H]1CN(CCSc2ccncc2)[C@@H]1C ZINC000930582768 639814115 /nfs/dbraw/zinc/81/41/15/639814115.db2.gz QVCUUGYMZBLZRH-GHMZBOCLSA-N 0 3 222.357 2.514 20 0 BFADHN Cc1cc(C)nc(CN2C[C@@H](C)[C@@H]2C)c1 ZINC000930583176 639814376 /nfs/dbraw/zinc/81/43/76/639814376.db2.gz VMNFIBLJQWBTSV-PWSUYJOCSA-N 0 3 204.317 2.539 20 0 BFADHN Cc1cc(C)nc(CN2C[C@H](C)[C@H]2C)c1 ZINC000930583174 639814488 /nfs/dbraw/zinc/81/44/88/639814488.db2.gz VMNFIBLJQWBTSV-CMPLNLGQSA-N 0 3 204.317 2.539 20 0 BFADHN CCC[C@@H](NCc1nncs1)C1CCC1 ZINC000930702321 639870100 /nfs/dbraw/zinc/87/01/00/639870100.db2.gz CRSKJWLEHGSBEZ-SNVBAGLBSA-N 0 3 225.361 2.597 20 0 BFADHN C[C@H](c1ncccn1)N1C[C@@H](C)[C@@H](C)[C@@H]1C ZINC000930741994 639891034 /nfs/dbraw/zinc/89/10/34/639891034.db2.gz ACXXPPSZHHTXAR-WISYIIOYSA-N 0 3 219.332 2.514 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@@H](C2CCCC2)C1 ZINC000930747706 639894327 /nfs/dbraw/zinc/89/43/27/639894327.db2.gz RLCUCZUQMABQCC-CQSZACIVSA-N 0 3 247.386 2.741 20 0 BFADHN C[C@H](NCCCc1cccnc1)c1cscn1 ZINC000930964864 639999090 /nfs/dbraw/zinc/99/90/90/639999090.db2.gz PSSLBIXUFQVNQL-NSHDSACASA-N 0 3 247.367 2.822 20 0 BFADHN Cc1nc(C)c(CN(C)C[C@H]2CC2(C)C)[nH]1 ZINC000931082614 640064763 /nfs/dbraw/zinc/06/47/63/640064763.db2.gz SLZQYBUWGBETSL-LLVKDONJSA-N 0 3 221.348 2.504 20 0 BFADHN CCc1ncc(CN(C)C[C@H]2CC2(C)C)o1 ZINC000931083818 640065393 /nfs/dbraw/zinc/06/53/93/640065393.db2.gz ZZKPRVDEJPQWNL-SNVBAGLBSA-N 0 3 222.332 2.715 20 0 BFADHN C/C=C/C[C@H]1CCCN(Cc2nn(C)cc2C)C1 ZINC000931337625 640164135 /nfs/dbraw/zinc/16/41/35/640164135.db2.gz LRMAKCZBWKFGRR-NNTXTVRGSA-N 0 3 247.386 2.907 20 0 BFADHN FCCN1CCC[C@H](c2c[nH]c3ncccc23)C1 ZINC000931371286 640170647 /nfs/dbraw/zinc/17/06/47/640170647.db2.gz XNDSIPJOMYMUGU-NSHDSACASA-N 0 3 247.317 2.712 20 0 BFADHN Cc1n[nH]cc1CN(C)CCc1cccc(F)c1 ZINC000931414927 640186018 /nfs/dbraw/zinc/18/60/18/640186018.db2.gz GXBNSJZYSFJZAO-UHFFFAOYSA-N 0 3 247.317 2.532 20 0 BFADHN c1coc(C2CCN(CC3=CCCC3)CC2)n1 ZINC000931444592 640210134 /nfs/dbraw/zinc/21/01/34/640210134.db2.gz DONRZIXHAFADOS-UHFFFAOYSA-N 0 3 232.327 2.964 20 0 BFADHN C[C@H]1CN(CCc2ccccc2)Cc2c[nH]nc21 ZINC000931454777 640212500 /nfs/dbraw/zinc/21/25/00/640212500.db2.gz FKUOSLOTPKANSM-LBPRGKRZSA-N 0 3 241.338 2.572 20 0 BFADHN C[C@H]1CN(CC2CC3(CCC3)C2)Cc2c[nH]nc21 ZINC000931465468 640224296 /nfs/dbraw/zinc/22/42/96/640224296.db2.gz GSPQLQQCOGLSJM-NSHDSACASA-N 0 3 245.370 2.909 20 0 BFADHN C[C@@H]1CN(CCC2CCC2)Cc2c[nH]nc21 ZINC000931465547 640225026 /nfs/dbraw/zinc/22/50/26/640225026.db2.gz HQWBGZCHGIACBA-SNVBAGLBSA-N 0 3 219.332 2.519 20 0 BFADHN C[C@H]1CN(CCCC(C)(F)F)Cc2c[nH]nc21 ZINC000931467534 640227197 /nfs/dbraw/zinc/22/71/97/640227197.db2.gz OSVITFLFXXRTGC-VIFPVBQESA-N 0 3 243.301 2.764 20 0 BFADHN C[C@@H](C1CC1)N1CCS[C@@H](C(F)(F)F)C1 ZINC000932072889 640423211 /nfs/dbraw/zinc/42/32/11/640423211.db2.gz HWCGPSDATHAMSJ-IONNQARKSA-N 0 3 239.306 2.765 20 0 BFADHN Cc1cc(NC[C@H]2CCC[C@@H](C)C2)nc(N)n1 ZINC000054982363 640425942 /nfs/dbraw/zinc/42/59/42/640425942.db2.gz DWHXVJFTKUGXIW-KOLCDFICSA-N 0 3 234.347 2.605 20 0 BFADHN CC(C)(C)OC[C@H]1CCCN1Cc1cccnc1 ZINC000932164018 640446480 /nfs/dbraw/zinc/44/64/80/640446480.db2.gz TUPYZZVKVNRURH-CQSZACIVSA-N 0 3 248.370 2.861 20 0 BFADHN Cn1nccc1[C@@H]1CCCN1CCCCCF ZINC000932264075 640461677 /nfs/dbraw/zinc/46/16/77/640461677.db2.gz HWCMRZUGJXSORH-ZDUSSCGKSA-N 0 3 239.338 2.697 20 0 BFADHN COc1ccc(CN2CCO[C@H](C)CC2)cc1C ZINC000932337199 640480730 /nfs/dbraw/zinc/48/07/30/640480730.db2.gz PZCUERQQQIFHDD-CYBMUJFWSA-N 0 3 249.354 2.614 20 0 BFADHN C[C@H](c1ccc(F)cc1)N1CCO[C@H](C)CC1 ZINC000932351579 640493918 /nfs/dbraw/zinc/49/39/18/640493918.db2.gz ZYXMHISHEXXEEY-VXGBXAGGSA-N 0 3 237.318 2.998 20 0 BFADHN CS[C@@H]1CC[C@H](NCc2csc(C)n2)C1 ZINC000164879542 640511295 /nfs/dbraw/zinc/51/12/95/640511295.db2.gz UPGLFQOEMWCMCA-GXSJLCMTSA-N 0 3 242.413 2.825 20 0 BFADHN c1ccc([C@@H](NCCOC2CCC2)C2CC2)nc1 ZINC000932386431 640513862 /nfs/dbraw/zinc/51/38/62/640513862.db2.gz QJHWQNOWLVPERT-HNNXBMFYSA-N 0 3 246.354 2.691 20 0 BFADHN c1ccc([C@H](NCCOC2CCC2)C2CC2)nc1 ZINC000932386432 640514492 /nfs/dbraw/zinc/51/44/92/640514492.db2.gz QJHWQNOWLVPERT-OAHLLOKOSA-N 0 3 246.354 2.691 20 0 BFADHN C[C@@H]1C[C@@H](Nc2nc(Cl)cs2)CCN1C ZINC000165076809 640529635 /nfs/dbraw/zinc/52/96/35/640529635.db2.gz XMHVHMUMLAIKMX-SFYZADRCSA-N 0 3 245.779 2.691 20 0 BFADHN Cc1cccc(Cl)c1CN[C@@H]1COC[C@H]1C ZINC000932487355 640552340 /nfs/dbraw/zinc/55/23/40/640552340.db2.gz AEPLGWTWNRQUIT-ZWNOBZJWSA-N 0 3 239.746 2.773 20 0 BFADHN FC1(F)CC(CN2CCOC[C@@H]2CC2CC2)C1 ZINC000933208166 640679294 /nfs/dbraw/zinc/67/92/94/640679294.db2.gz FCWWQGVBZFCGEY-LBPRGKRZSA-N 0 3 245.313 2.533 20 0 BFADHN CCn1cnc2c1CCN(CCC(C)(C)C)C2 ZINC000933253383 640689464 /nfs/dbraw/zinc/68/94/64/640689464.db2.gz FGDRXKUYRZPGTN-UHFFFAOYSA-N 0 3 235.375 2.697 20 0 BFADHN CC(C)CCN(C)Cc1ccc(Cl)nn1 ZINC000167398712 640715929 /nfs/dbraw/zinc/71/59/29/640715929.db2.gz UBQAEDZRMQEVIM-UHFFFAOYSA-N 0 3 227.739 2.608 20 0 BFADHN CCCN(Cc1ccc(Cl)nn1)C1CC1 ZINC000167392114 640717958 /nfs/dbraw/zinc/71/79/58/640717958.db2.gz UOOCCVMXYJSFAQ-UHFFFAOYSA-N 0 3 225.723 2.504 20 0 BFADHN CC[C@H](C)CN(C)Cc1ccc(Cl)nn1 ZINC000167393305 640718849 /nfs/dbraw/zinc/71/88/49/640718849.db2.gz VONVXPAVRSDNSH-VIFPVBQESA-N 0 3 227.739 2.608 20 0 BFADHN Cc1cc(N[C@H]2CCC[C@H](C)CC2)nc(N)n1 ZINC000227538995 640838550 /nfs/dbraw/zinc/83/85/50/640838550.db2.gz JEXPYEIZHFOOAO-ONGXEEELSA-N 0 3 234.347 2.748 20 0 BFADHN CCCCN(C)Cn1nccc1-c1cccnc1 ZINC000170637672 640907912 /nfs/dbraw/zinc/90/79/12/640907912.db2.gz MFISZCWFOWNBSI-UHFFFAOYSA-N 0 3 244.342 2.635 20 0 BFADHN c1cc2c(s1)CCC[C@H]2NCc1cnc[nH]1 ZINC000061360265 640957385 /nfs/dbraw/zinc/95/73/85/640957385.db2.gz GEJISFRRBBSFPN-LLVKDONJSA-N 0 3 233.340 2.638 20 0 BFADHN CCCCCCn1cc([C@H](N)CCC)nn1 ZINC000229962379 640997089 /nfs/dbraw/zinc/99/70/89/640997089.db2.gz CPJWPNDWCMFZEU-LLVKDONJSA-N 0 3 224.352 2.658 20 0 BFADHN CCCCCCNC(=O)CN(C)C[C@H](C)CC ZINC000171948038 641025962 /nfs/dbraw/zinc/02/59/62/641025962.db2.gz KIPRUCNSNILXDZ-CYBMUJFWSA-N 0 3 242.407 2.661 20 0 BFADHN Cc1cc(N2CC=C(C(C)(C)C)CC2)nc(N)n1 ZINC000230146481 641030147 /nfs/dbraw/zinc/03/01/47/641030147.db2.gz KPHGREJBBTZLKB-UHFFFAOYSA-N 0 3 246.358 2.550 20 0 BFADHN C[C@@H]1CCC[C@@H]1CNCc1ncc(Cl)n1C ZINC000230671782 641078507 /nfs/dbraw/zinc/07/85/07/641078507.db2.gz RCTOBGZDBAOFGK-NXEZZACHSA-N 0 3 241.766 2.599 20 0 BFADHN COC1CC(N[C@H](C)c2ccccc2F)C1 ZINC000230675801 641080157 /nfs/dbraw/zinc/08/01/57/641080157.db2.gz XIMSVUAVPGXOJB-KPPDAEKUSA-N 0 3 223.291 2.654 20 0 BFADHN CO[C@H]1CC[C@H]1N(C)Cc1ccc(F)c(F)c1 ZINC000934129979 641089624 /nfs/dbraw/zinc/08/96/24/641089624.db2.gz JSFXRMNCNBLYBM-OLZOCXBDSA-N 0 3 241.281 2.574 20 0 BFADHN CCCCC1(NCc2ocnc2C)CC1 ZINC000934200486 641098744 /nfs/dbraw/zinc/09/87/44/641098744.db2.gz JJKYFRZNFPKROG-UHFFFAOYSA-N 0 3 208.305 2.795 20 0 BFADHN CCCCC1(NCc2ccnc(C)n2)CC1 ZINC000934201647 641101791 /nfs/dbraw/zinc/10/17/91/641101791.db2.gz CCXLSDOKAGSTJL-UHFFFAOYSA-N 0 3 219.332 2.597 20 0 BFADHN Cc1nc(C)c(CN2CCCSC[C@@H]2C)o1 ZINC000934298344 641120220 /nfs/dbraw/zinc/12/02/20/641120220.db2.gz DQWBIHQOLNIHJA-VIFPVBQESA-N 0 3 240.372 2.619 20 0 BFADHN FCCC1C[C@H]2CC[C@@H](C1)N2Cc1c[nH]cn1 ZINC000934455578 641150440 /nfs/dbraw/zinc/15/04/40/641150440.db2.gz PMNYCRWXOJAEJD-VGPLMAKISA-N 0 3 237.322 2.512 20 0 BFADHN CC[C@@H](C)N(CC)Cc1cnc(Cl)cn1 ZINC000231276389 641152406 /nfs/dbraw/zinc/15/24/06/641152406.db2.gz FJNNPEWGGHVJMM-SECBINFHSA-N 0 3 227.739 2.750 20 0 BFADHN CCCCC[C@@H](C)NC(=O)CN(C)[C@@H](C)CC ZINC000173293726 641162739 /nfs/dbraw/zinc/16/27/39/641162739.db2.gz QYSQVZLGEOWHPZ-OLZOCXBDSA-N 0 3 242.407 2.802 20 0 BFADHN CC(C)SCCN1CCOC[C@]1(C)C1CC1 ZINC000934477712 641171058 /nfs/dbraw/zinc/17/10/58/641171058.db2.gz GNPLHAYCCVBVDN-CYBMUJFWSA-N 0 3 243.416 2.629 20 0 BFADHN CSCC(C)(C)NCc1cnc(C2CC2)o1 ZINC000934662058 641237838 /nfs/dbraw/zinc/23/78/38/641237838.db2.gz BOWFFZSWFIZWTJ-UHFFFAOYSA-N 0 3 240.372 2.783 20 0 BFADHN Cn1ccnc1CNC1(C2CCCCC2)CC1 ZINC000934790101 641272293 /nfs/dbraw/zinc/27/22/93/641272293.db2.gz TXGNENASGRJRHU-UHFFFAOYSA-N 0 3 233.359 2.623 20 0 BFADHN CC1([NH2+]Cc2ccc([O-])c(F)c2)CCC1 ZINC000232423482 641275651 /nfs/dbraw/zinc/27/56/51/641275651.db2.gz RAKGYATVRXJQEH-UHFFFAOYSA-N 0 3 209.264 2.564 20 0 BFADHN c1cc(CNC2CCCCCCC2)no1 ZINC000088052520 641281352 /nfs/dbraw/zinc/28/13/52/641281352.db2.gz BZVVVBRLGWMISZ-UHFFFAOYSA-N 0 3 208.305 2.877 20 0 BFADHN CCSc1ccccc1CN(C)C[C@H](C)O ZINC000929707774 641412239 /nfs/dbraw/zinc/41/22/39/641412239.db2.gz ZEAKAEJGWRZHTR-NSHDSACASA-N 0 3 239.384 2.611 20 0 BFADHN C[C@H](c1cccc(F)c1)N1CCN(C)[C@H](C)C1 ZINC000761071040 641572621 /nfs/dbraw/zinc/57/26/21/641572621.db2.gz BICGQNKJZHRJIV-VXGBXAGGSA-N 0 3 236.334 2.523 20 0 BFADHN CC(C)CNCc1noc2c1CC(C)(C)CC2 ZINC000156253888 641601491 /nfs/dbraw/zinc/60/14/91/641601491.db2.gz SYQIOTRHQGUHPO-UHFFFAOYSA-N 0 3 236.359 2.935 20 0 BFADHN CC(C)c1ccccc1CN1CCN(C)CC1 ZINC000075838947 641774078 /nfs/dbraw/zinc/77/40/78/641774078.db2.gz IUEWVLMTLUSOBW-UHFFFAOYSA-N 0 3 232.371 2.557 20 0 BFADHN CC[C@H]1CCCCN1Cc1ccc(F)nc1 ZINC000189279665 641800049 /nfs/dbraw/zinc/80/00/49/641800049.db2.gz MLGMAEGRLMFSDU-LBPRGKRZSA-N 0 3 222.307 2.985 20 0 BFADHN C[C@H]1CCCN(Cc2ccc(F)nc2)CC1 ZINC000189309185 641801820 /nfs/dbraw/zinc/80/18/20/641801820.db2.gz JMCNNKNFHUAWTH-NSHDSACASA-N 0 3 222.307 2.843 20 0 BFADHN CN(Cc1ccoc1)CC1(O)CCCCCC1 ZINC000918748768 642262309 /nfs/dbraw/zinc/26/23/09/642262309.db2.gz HLEYXYLSJRSIKQ-UHFFFAOYSA-N 0 3 237.343 2.797 20 0 BFADHN CCCCC[C@H](C)NC(=O)CN1CCC[C@@H]1C ZINC000154887732 642294924 /nfs/dbraw/zinc/29/49/24/642294924.db2.gz HSVVQELSVULBSP-STQMWFEESA-N 0 3 240.391 2.556 20 0 BFADHN CC[C@H](C)C[C@@H](C)N[C@@H](C)c1cc(CO)on1 ZINC000925471686 642387640 /nfs/dbraw/zinc/38/76/40/642387640.db2.gz AJMZLFSEOCUWPG-AXFHLTTASA-N 0 3 240.347 2.642 20 0 BFADHN FC(F)(F)SCCN1CCCCC1 ZINC000308078061 642418740 /nfs/dbraw/zinc/41/87/40/642418740.db2.gz ASTBJEAYUUFLPP-UHFFFAOYSA-N 0 3 213.268 2.725 20 0 BFADHN CCC/C(C)=C/C(=O)OCCN1CCCCC1 ZINC000920216748 642455700 /nfs/dbraw/zinc/45/57/00/642455700.db2.gz PHPRBAIVHCNWIZ-OUKQBFOZSA-N 0 3 239.359 2.762 20 0 BFADHN CC[C@H](C)C[C@H](C)N[C@@H]1C[C@@H](C)n2ncnc21 ZINC000925479417 642479165 /nfs/dbraw/zinc/47/91/65/642479165.db2.gz YVEFDPLTJDHKNQ-NNYUYHANSA-N 0 3 236.363 2.698 20 0 BFADHN CCCCCCC(=O)N(CCC)CCN(C)C ZINC000921089922 642576323 /nfs/dbraw/zinc/57/63/23/642576323.db2.gz ZUNWFAKINOUSFF-UHFFFAOYSA-N 0 3 242.407 2.757 20 0 BFADHN C[C@@H](NCc1c(Cl)n[nH]c1C1CC1)C1CC1 ZINC000921494870 642628410 /nfs/dbraw/zinc/62/84/10/642628410.db2.gz PQPDAPXOVPNNLO-SSDOTTSWSA-N 0 3 239.750 2.829 20 0 BFADHN CC[C@H](C)CN(CC)Cn1cc(Cl)cn1 ZINC000174947288 642638796 /nfs/dbraw/zinc/63/87/96/642638796.db2.gz CKHFHWMYDBNCRL-JTQLQIEISA-N 0 3 229.755 2.862 20 0 BFADHN CCC1(CNCc2c(Cl)c(C)nn2C)CC1 ZINC000233051834 642647923 /nfs/dbraw/zinc/64/79/23/642647923.db2.gz LOFHASCVNXZSKU-UHFFFAOYSA-N 0 3 241.766 2.662 20 0 BFADHN C[C@@H]1CSC[C@@H]1NCc1cnc(Cl)s1 ZINC000306199653 642730368 /nfs/dbraw/zinc/73/03/68/642730368.db2.gz RPXYQHIBYKRCSJ-SVRRBLITSA-N 0 3 248.804 2.638 20 0 BFADHN C[C@@H](NC/C(Cl)=C/Cl)C1CCOCC1 ZINC000179839945 642747806 /nfs/dbraw/zinc/74/78/06/642747806.db2.gz ISYFCPFGZKSERJ-NDVARNPJSA-N 0 3 238.158 2.710 20 0 BFADHN C[C@H](NCc1ccc(F)cc1F)[C@H]1CCCO1 ZINC000020205359 643057441 /nfs/dbraw/zinc/05/74/41/643057441.db2.gz IPGXLDPHCPMMHO-TVQRCGJNSA-N 0 3 241.281 2.622 20 0 BFADHN C[C@@H](NC[C@H](O)c1ccco1)c1ccsc1 ZINC000070797462 643070141 /nfs/dbraw/zinc/07/01/41/643070141.db2.gz YTCMASBQNQQBGI-KOLCDFICSA-N 0 3 237.324 2.725 20 0 BFADHN CCCCOC(=O)CN(CC(C)C)CC(C)C ZINC000049633027 643117051 /nfs/dbraw/zinc/11/70/51/643117051.db2.gz PSKAWNSJDUOFKK-UHFFFAOYSA-N 0 3 243.391 2.944 20 0 BFADHN CSCCCCCCN[C@H](C)c1ncc[nH]1 ZINC000286306652 643125864 /nfs/dbraw/zinc/12/58/64/643125864.db2.gz XHUGAUWUCMEVAP-LLVKDONJSA-N 0 3 241.404 2.984 20 0 BFADHN C[C@@H]1CCN([C@@H]2CCc3ccc(F)cc32)CCO1 ZINC000932345324 643155237 /nfs/dbraw/zinc/15/52/37/643155237.db2.gz FVSNUKZSHLOSJH-IAQYHMDHSA-N 0 3 249.329 2.924 20 0 BFADHN C[C@H]1CCN(CCCCC(F)(F)F)CCO1 ZINC000932348922 643162278 /nfs/dbraw/zinc/16/22/78/643162278.db2.gz VGSKYYVKTBTZKT-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN Cc1ccc([C@@H](O)[C@@H](C)NCc2ccco2)cc1 ZINC000071687519 643168934 /nfs/dbraw/zinc/16/89/34/643168934.db2.gz GSFWNFYIUAFRNZ-DOMZBBRYSA-N 0 3 245.322 2.800 20 0 BFADHN CSC[C@@H]1CCCN1C/C=C\Cl ZINC000254945398 643174380 /nfs/dbraw/zinc/17/43/80/643174380.db2.gz LLIOUOLWMJAIFA-LVCFMKKZSA-N 0 3 205.754 2.566 20 0 BFADHN Cc1csc([C@@H](C)NCCCCCCO)n1 ZINC000184218182 643199406 /nfs/dbraw/zinc/19/94/06/643199406.db2.gz NNLDOTRYYMVOKV-LLVKDONJSA-N 0 3 242.388 2.655 20 0 BFADHN CCCCCC[C@H](C)NC(=O)CN(CC)CC ZINC000072790701 643303929 /nfs/dbraw/zinc/30/39/29/643303929.db2.gz TYBGMLXIHFSOAF-ZDUSSCGKSA-N 0 3 242.407 2.803 20 0 BFADHN CC[C@]1(NCc2cc(C)ccc2F)CCOC1 ZINC000393418809 643357159 /nfs/dbraw/zinc/35/71/59/643357159.db2.gz BZWZQVJZCCGTNP-AWEZNQCLSA-N 0 3 237.318 2.793 20 0 BFADHN CC1=CCN(C[C@H]2CC2(Cl)Cl)CC1 ZINC000307447820 643478149 /nfs/dbraw/zinc/47/81/49/643478149.db2.gz QCFNLVUXZDNPAU-SECBINFHSA-N 0 3 220.143 2.832 20 0 BFADHN CC(C)=CC(=O)Nc1cccc(CN(C)C)c1 ZINC000047453985 643584318 /nfs/dbraw/zinc/58/43/18/643584318.db2.gz XOMHCFOBPVPVJY-UHFFFAOYSA-N 0 3 232.327 2.653 20 0 BFADHN COCC[C@H](C)NCc1cc(C)cnc1Cl ZINC000921650833 643701169 /nfs/dbraw/zinc/70/11/69/643701169.db2.gz ZNJYTAFBRPJNRK-JTQLQIEISA-N 0 3 242.750 2.558 20 0 BFADHN CO[C@@H](C)CCNCc1cc(C)cnc1Cl ZINC000921655940 643705778 /nfs/dbraw/zinc/70/57/78/643705778.db2.gz PFDAHTDQWUGXAZ-JTQLQIEISA-N 0 3 242.750 2.558 20 0 BFADHN CCc1[nH]nc(Cl)c1CN[C@H]1CC=CCC1 ZINC000921755844 643773552 /nfs/dbraw/zinc/77/35/52/643773552.db2.gz CZYYDUIEDHEBSM-VIFPVBQESA-N 0 3 239.750 2.824 20 0 BFADHN Cc1c(Cl)ccc(CN[C@@H](C)CO)c1Cl ZINC000921792744 643788941 /nfs/dbraw/zinc/78/89/41/643788941.db2.gz BTRRTTHULKRKNB-ZETCQYMHSA-N 0 3 248.153 2.772 20 0 BFADHN CC(C)=CCNCc1cccc2[nH]c(=O)oc21 ZINC000922047540 643854118 /nfs/dbraw/zinc/85/41/18/643854118.db2.gz UXQIHGVJSSXMGE-UHFFFAOYSA-N 0 3 232.283 2.589 20 0 BFADHN COc1ccc(CNC2CC(F)(F)C2)c(C)c1 ZINC000922062165 643856324 /nfs/dbraw/zinc/85/63/24/643856324.db2.gz ZIUFICANLSZPFL-UHFFFAOYSA-N 0 3 241.281 2.891 20 0 BFADHN C[C@H](F)CCNCc1c(Cl)n[nH]c1C1CC1 ZINC000922148233 643871594 /nfs/dbraw/zinc/87/15/94/643871594.db2.gz FCLAZFLNJJQRLD-ZETCQYMHSA-N 0 3 245.729 2.778 20 0 BFADHN CC/C=C\CNCc1cnn(CC2CCC2)c1 ZINC000922156333 643877434 /nfs/dbraw/zinc/87/74/34/643877434.db2.gz MUPPKOUSMPWHMU-ARJAWSKDSA-N 0 3 233.359 2.739 20 0 BFADHN C/C=C\CNCc1nccc2c1CCCC2 ZINC000922293294 643958189 /nfs/dbraw/zinc/95/81/89/643958189.db2.gz JPIYJUUBVKYZBR-IHWYPQMZSA-N 0 3 216.328 2.626 20 0 BFADHN COC(OC)[C@H](C)NCc1ccc2occc2c1 ZINC000922312548 643967915 /nfs/dbraw/zinc/96/79/15/643967915.db2.gz ATCIYMWPTHMTNJ-JTQLQIEISA-N 0 3 249.310 2.530 20 0 BFADHN CCCCNCc1noc2ccccc12 ZINC000013346184 643986160 /nfs/dbraw/zinc/98/61/60/643986160.db2.gz CRWLFTAQNBYEOL-UHFFFAOYSA-N 0 3 204.273 2.718 20 0 BFADHN c1nc(CNCC[C@@H]2CC[C@@H]3C[C@@H]32)cn1C1CC1 ZINC000922430237 643994019 /nfs/dbraw/zinc/99/40/19/643994019.db2.gz DCJOEFIKJSXWBB-YWPYICTPSA-N 0 3 245.370 2.744 20 0 BFADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NCc1cccnc1Cl ZINC000922509554 644007081 /nfs/dbraw/zinc/00/70/81/644007081.db2.gz AYHQZIFVXFNLQS-KXGXSXBTSA-N 0 3 236.746 2.869 20 0 BFADHN c1cnc([C@H](NCC2CCCC2)C2CC2)nc1 ZINC000922580186 644032912 /nfs/dbraw/zinc/03/29/12/644032912.db2.gz NMZPNMSOPCPPQW-CYBMUJFWSA-N 0 3 231.343 2.708 20 0 BFADHN CC(C)OC[C@H](C)N[C@H](c1ccccn1)C1CC1 ZINC000922584470 644038130 /nfs/dbraw/zinc/03/81/30/644038130.db2.gz LEWDGVAMPCXERL-WFASDCNBSA-N 0 3 248.370 2.936 20 0 BFADHN c1ccc(CNC[C@H]2CCCC3(CCC3)O2)nc1 ZINC000922805798 644100061 /nfs/dbraw/zinc/10/00/61/644100061.db2.gz PYSPKIZTVSQSCY-CQSZACIVSA-N 0 3 246.354 2.663 20 0 BFADHN CCC[C@@H](O)CCNCc1cccc(F)c1F ZINC000922824509 644100596 /nfs/dbraw/zinc/10/05/96/644100596.db2.gz HEOXNNXLJXFZDI-LLVKDONJSA-N 0 3 243.297 2.606 20 0 BFADHN CC(C)=CC[NH2+]Cc1ccc([O-])c(F)c1 ZINC000192316688 644144877 /nfs/dbraw/zinc/14/48/77/644144877.db2.gz WOGCZABFRVFXCY-UHFFFAOYSA-N 0 3 209.264 2.587 20 0 BFADHN C[C@@H]1CN(Cc2ccncc2)C[C@@H](C)C1(F)F ZINC000625647134 644167353 /nfs/dbraw/zinc/16/73/53/644167353.db2.gz DPOHSPHXZUSPKC-GHMZBOCLSA-N 0 3 240.297 2.805 20 0 BFADHN C[C@H]1CC[C@@H](NCc2cc(C3CC3)n(C)n2)C1 ZINC000922957358 644200536 /nfs/dbraw/zinc/20/05/36/644200536.db2.gz UAUKDOWUXLGICU-CMPLNLGQSA-N 0 3 233.359 2.576 20 0 BFADHN c1csc(CNCC2CCC=CCC2)n1 ZINC000922966792 644202883 /nfs/dbraw/zinc/20/28/83/644202883.db2.gz YJBTZQGJTPZTAY-UHFFFAOYSA-N 0 3 222.357 2.979 20 0 BFADHN c1nc(C2CC2)oc1CNC[C@]12C[C@H]1CCC2 ZINC000922963279 644204421 /nfs/dbraw/zinc/20/44/21/644204421.db2.gz QHZUSAHJVPDJJW-RISCZKNCSA-N 0 3 232.327 2.832 20 0 BFADHN C[C@H]1CCCC[C@@H]1C[NH2+]Cc1cncc([O-])c1 ZINC000922975543 644205831 /nfs/dbraw/zinc/20/58/31/644205831.db2.gz IDEWVGVJAGSBKD-WCQYABFASA-N 0 3 234.343 2.703 20 0 BFADHN Cc1occc1CNC1([C@@H]2CCCCO2)CC1 ZINC000923029317 644241183 /nfs/dbraw/zinc/24/11/83/644241183.db2.gz ZGWKHXWONULUJT-ZDUSSCGKSA-N 0 3 235.327 2.779 20 0 BFADHN Cc1ccncc1CNC1([C@H]2CCCCO2)CC1 ZINC000923028737 644241512 /nfs/dbraw/zinc/24/15/12/644241512.db2.gz BTRRLIXMBGIRJJ-CQSZACIVSA-N 0 3 246.354 2.581 20 0 BFADHN CCCOC(=O)[C@@H](C)N(CC)[C@H](C)C(C)C ZINC000246955740 644613374 /nfs/dbraw/zinc/61/33/74/644613374.db2.gz RGWKHYFJYYEHNM-VXGBXAGGSA-N 0 3 229.364 2.695 20 0 BFADHN CC[C@H]1CN(CCc2cccs2)C[C@@H](C)O1 ZINC000247728384 644647764 /nfs/dbraw/zinc/64/77/64/644647764.db2.gz UACMIMMZKSYTHW-NEPJUHHUSA-N 0 3 239.384 2.790 20 0 BFADHN Cc1noc(C)c1[C@H](C)NC[C@H]1CCC=CO1 ZINC000092327310 644654047 /nfs/dbraw/zinc/65/40/47/644654047.db2.gz RABZOAPBHRHNSD-JOYOIKCWSA-N 0 3 236.315 2.635 20 0 BFADHN CC[C@@H]1C[C@H](C)CC[C@@H]1NCc1ccon1 ZINC000093946505 644703190 /nfs/dbraw/zinc/70/31/90/644703190.db2.gz MAVWFLXSXYLYRF-WZRBSPASSA-N 0 3 222.332 2.979 20 0 BFADHN Fc1ccc(CN2CCC[C@H]3CCC[C@H]32)cn1 ZINC000250112841 644746625 /nfs/dbraw/zinc/74/66/25/644746625.db2.gz TYHSKQRPVBNDCF-CHWSQXEVSA-N 0 3 234.318 2.985 20 0 BFADHN C[C@@H](CNCc1cscn1)C(C)(C)C ZINC000096610174 644826689 /nfs/dbraw/zinc/82/66/89/644826689.db2.gz QZHLWLMVVJNFTP-VIFPVBQESA-N 0 3 212.362 2.915 20 0 BFADHN Cc1occc1CN1CCC(c2cn[nH]c2)CC1 ZINC000414383478 644861063 /nfs/dbraw/zinc/86/10/63/644861063.db2.gz RSURIQRTZBYXCR-UHFFFAOYSA-N 0 3 245.326 2.691 20 0 BFADHN C[C@@H](N(C)CN1C(=O)CCC1(C)C)C(C)(C)C ZINC000808137767 644873848 /nfs/dbraw/zinc/87/38/48/644873848.db2.gz BESFASKBXLSHQS-LLVKDONJSA-N 0 3 240.391 2.711 20 0 BFADHN CCC[C@H](C)NCc1cc(F)ncc1F ZINC000823591307 645046778 /nfs/dbraw/zinc/04/67/78/645046778.db2.gz ORDIQSAAPMCGHC-QMMMGPOBSA-N 0 3 214.259 2.638 20 0 BFADHN C[C@H](F)CCNCc1ccnc(Cl)c1F ZINC000824439788 645054275 /nfs/dbraw/zinc/05/42/75/645054275.db2.gz REKXDLDGHPEUQF-ZETCQYMHSA-N 0 3 234.677 2.712 20 0 BFADHN Cc1nonc1[C@@H](C)NCC1CCCCCC1 ZINC000926520944 645251495 /nfs/dbraw/zinc/25/14/95/645251495.db2.gz PCMIMBSONSTTDZ-SNVBAGLBSA-N 0 3 237.347 2.999 20 0 BFADHN COc1cccnc1CNC1CC2(C1)CCCC2 ZINC000926548858 645271327 /nfs/dbraw/zinc/27/13/27/645271327.db2.gz CUKRQEZCSBGHTN-UHFFFAOYSA-N 0 3 246.354 2.903 20 0 BFADHN C[C@@H](N[C@@H](C1CC1)C1CCC1)c1ncco1 ZINC000926558235 645280816 /nfs/dbraw/zinc/28/08/16/645280816.db2.gz IBLYTZXDSGNQLE-BXKDBHETSA-N 0 3 220.316 2.904 20 0 BFADHN C[C@H](CC(C)(C)C)N[C@H](C)c1cc(CO)on1 ZINC000926565728 645288121 /nfs/dbraw/zinc/28/81/21/645288121.db2.gz WLVICNSKDFQPSA-NXEZZACHSA-N 0 3 240.347 2.642 20 0 BFADHN C[C@@H]1C[C@H](NC2(C3CCC3)CCC2)c2ncnn21 ZINC000926574251 645292427 /nfs/dbraw/zinc/29/24/27/645292427.db2.gz UGMVLJWAALPONQ-PWSUYJOCSA-N 0 3 246.358 2.596 20 0 BFADHN C[C@H](NC1CC2(C1)CCCC2)c1ccn(C)n1 ZINC000926578588 645297512 /nfs/dbraw/zinc/29/75/12/645297512.db2.gz XWDNNFFUPRESFQ-NSHDSACASA-N 0 3 233.359 2.794 20 0 BFADHN CC[C@H](N[C@H](C)c1nonc1C)[C@@H]1CC1(C)C ZINC000926600958 645308772 /nfs/dbraw/zinc/30/87/72/645308772.db2.gz NKNFPYKPDPNMIS-MIMYLULJSA-N 0 3 237.347 2.853 20 0 BFADHN CC[C@H](N[C@@H](C)c1nonc1C)[C@@H]1CC1(C)C ZINC000926600957 645310345 /nfs/dbraw/zinc/31/03/45/645310345.db2.gz NKNFPYKPDPNMIS-LSJOCFKGSA-N 0 3 237.347 2.853 20 0 BFADHN C[C@H](COCC1CC1)N[C@@H](C)c1ccns1 ZINC000926648545 645334288 /nfs/dbraw/zinc/33/42/88/645334288.db2.gz AKHDSIZPMVQKHC-ZJUUUORDSA-N 0 3 240.372 2.609 20 0 BFADHN CC[C@@H](C)CCN[C@@H](C)c1cc(OC)no1 ZINC000926685329 645355238 /nfs/dbraw/zinc/35/52/38/645355238.db2.gz MQEOTNAGJQPZAB-ZJUUUORDSA-N 0 3 226.320 2.770 20 0 BFADHN C[C@@H](NC/C=C/CO)c1coc2ccccc12 ZINC000926690092 645359560 /nfs/dbraw/zinc/35/95/60/645359560.db2.gz VIVPXEWSQUNACY-SGUJLRQBSA-N 0 3 231.295 2.632 20 0 BFADHN C[C@H](N[C@@H]1C=CCC1)c1cnn(C2CCC2)c1 ZINC000926692855 645362647 /nfs/dbraw/zinc/36/26/47/645362647.db2.gz ZKRWEGKSGMOSDM-WCQYABFASA-N 0 3 231.343 2.977 20 0 BFADHN Cc1noc(C)c1[C@H](C)NCCc1ccoc1 ZINC000926703240 645369984 /nfs/dbraw/zinc/36/99/84/645369984.db2.gz RQEZIYICYZOBFE-VIFPVBQESA-N 0 3 234.299 2.778 20 0 BFADHN Cc1noc(C)c1[C@@H](C)NCCc1ccoc1 ZINC000926703238 645370404 /nfs/dbraw/zinc/37/04/04/645370404.db2.gz RQEZIYICYZOBFE-SECBINFHSA-N 0 3 234.299 2.778 20 0 BFADHN COc1ccnc([C@H](C)N[C@@]2(C)CC2(C)C)c1 ZINC000926720989 645382835 /nfs/dbraw/zinc/38/28/35/645382835.db2.gz FTCNDGYASPKKSX-HZMBPMFUSA-N 0 3 234.343 2.929 20 0 BFADHN C[C@H](NCc1ccco1)[C@](C)(O)c1ccccc1 ZINC000846040612 645391010 /nfs/dbraw/zinc/39/10/10/645391010.db2.gz WLNDQWXJMYOGHL-WFASDCNBSA-N 0 3 245.322 2.665 20 0 BFADHN C[C@H](N[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C)c1ccns1 ZINC000926764367 645412016 /nfs/dbraw/zinc/41/20/16/645412016.db2.gz UZWMFAGMVGJCCA-CUAHWVMCSA-N 0 3 240.372 2.606 20 0 BFADHN CC(C)n1cc([C@H](C)NCCC2CC=CC2)nn1 ZINC000926950066 645493298 /nfs/dbraw/zinc/49/32/98/645493298.db2.gz MNWYXKDWAGRFCP-LBPRGKRZSA-N 0 3 248.374 2.866 20 0 BFADHN CCC[C@H](O)CCN[C@@H](C)c1ccc(C)o1 ZINC000927031172 645529973 /nfs/dbraw/zinc/52/99/73/645529973.db2.gz ZBUKEVZFCHHSEX-RYUDHWBXSA-N 0 3 225.332 2.790 20 0 BFADHN CC(C)[C@H]1CC[C@H]1NCc1cc(C2CC2)n(C)n1 ZINC000927107608 645548265 /nfs/dbraw/zinc/54/82/65/645548265.db2.gz LANUFHIONNLEGP-ZIAGYGMSSA-N 0 3 247.386 2.822 20 0 BFADHN C[C@H]1C[C@H](NC[C@]23C[C@H]2CCC3)c2nccn21 ZINC000927122790 645557232 /nfs/dbraw/zinc/55/72/32/645557232.db2.gz SPKVGLLWJQKPCX-OPDFLTKYSA-N 0 3 231.343 2.669 20 0 BFADHN C[C@@H](NCC[C@@H]1CCC2(CCC2)O1)c1ncc[nH]1 ZINC000927124167 645559989 /nfs/dbraw/zinc/55/99/89/645559989.db2.gz HSDFUMHYPWVLOX-NEPJUHHUSA-N 0 3 249.358 2.552 20 0 BFADHN CCc1onc(C)c1CN(C)C1CCCC1 ZINC000929190925 645996105 /nfs/dbraw/zinc/99/61/05/645996105.db2.gz FUCZGDAGJTUMIJ-UHFFFAOYSA-N 0 3 222.332 2.920 20 0 BFADHN COC/C(C)=C\CN(C)CCOc1ccccc1 ZINC000929208205 646006480 /nfs/dbraw/zinc/00/64/80/646006480.db2.gz ZPNVOCIUDBAFCC-ZROIWOOFSA-N 0 3 249.354 2.590 20 0 BFADHN FC(F)(F)[C@H]1CC[C@@H](CN2C3CCC2CC3)O1 ZINC000929247897 646030259 /nfs/dbraw/zinc/03/02/59/646030259.db2.gz DSRNLIUGRMWGAE-WFBLGPOFSA-N 0 3 249.276 2.723 20 0 BFADHN CCc1onc(C)c1CN1C2CCC1CC2 ZINC000929248060 646032051 /nfs/dbraw/zinc/03/20/51/646032051.db2.gz KRGCREGQRJMJMW-UHFFFAOYSA-N 0 3 220.316 2.672 20 0 BFADHN COCCN(Cc1ccco1)CC1CC(C)C1 ZINC000929257144 646040000 /nfs/dbraw/zinc/04/00/00/646040000.db2.gz JTIBLNFIENGHPM-UHFFFAOYSA-N 0 3 237.343 2.774 20 0 BFADHN CCC[C@H](C)N[C@@H](C)c1cc(OC)no1 ZINC000924527813 646045518 /nfs/dbraw/zinc/04/55/18/646045518.db2.gz KGJRFYBEGCFJKS-IUCAKERBSA-N 0 3 212.293 2.522 20 0 BFADHN CCC[C@H](C)N[C@H](C)c1cc(OC)no1 ZINC000924527810 646049257 /nfs/dbraw/zinc/04/92/57/646049257.db2.gz KGJRFYBEGCFJKS-DTWKUNHWSA-N 0 3 212.293 2.522 20 0 BFADHN CCC[C@H](C)N[C@H](C)c1cn(C(C)C)nn1 ZINC000924528546 646049386 /nfs/dbraw/zinc/04/93/86/646049386.db2.gz NKFXCPPNHLPTIE-WDEREUQCSA-N 0 3 224.352 2.698 20 0 BFADHN Cc1ncc(CN(C)CC2CC(C)C2)s1 ZINC000929287180 646061666 /nfs/dbraw/zinc/06/16/66/646061666.db2.gz XNDBYYCSVXCNPE-UHFFFAOYSA-N 0 3 224.373 2.929 20 0 BFADHN CC[C@@H]1C[C@H]1CN(C)Cc1cnc(C)s1 ZINC000929287999 646062182 /nfs/dbraw/zinc/06/21/82/646062182.db2.gz NMFQGONSOIKEKO-MNOVXSKESA-N 0 3 224.373 2.929 20 0 BFADHN COC/C(C)=C\CN(Cc1ccccn1)C(C)C ZINC000929292268 646064163 /nfs/dbraw/zinc/06/41/63/646064163.db2.gz IHSONVDPBOZZQO-ZSOIEALJSA-N 0 3 248.370 2.885 20 0 BFADHN Fc1cccc(CN(CCOC2CC2)C2CC2)c1 ZINC000929291135 646066388 /nfs/dbraw/zinc/06/63/88/646066388.db2.gz JYLRIUOFOBLQQN-UHFFFAOYSA-N 0 3 249.329 2.969 20 0 BFADHN C[C@@H](NCCc1ccco1)c1ccns1 ZINC000924557063 646069469 /nfs/dbraw/zinc/06/94/69/646069469.db2.gz XMYDRLUPWYVLDH-SECBINFHSA-N 0 3 222.313 2.629 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@@H]1CCCC[C@H]1C ZINC000924800747 646110127 /nfs/dbraw/zinc/11/01/27/646110127.db2.gz TXACBTIXXPAHTL-SCVCMEIPSA-N 0 3 237.347 2.855 20 0 BFADHN CCC(CC)N(Cc1nn(C)cc1C)C1CC1 ZINC000929475925 646132562 /nfs/dbraw/zinc/13/25/62/646132562.db2.gz CDLCAQYIBHVTPU-UHFFFAOYSA-N 0 3 235.375 2.882 20 0 BFADHN CCC(CC)CN[C@@H](C)c1cn(C(C)C)nn1 ZINC000924995705 646155561 /nfs/dbraw/zinc/15/55/61/646155561.db2.gz YDLNXQIDLYWKNF-NSHDSACASA-N 0 3 238.379 2.946 20 0 BFADHN CC[C@@H](C)CN(C)[C@H](C)c1snnc1C ZINC000929618803 646203148 /nfs/dbraw/zinc/20/31/48/646203148.db2.gz JGGHNJPRUIPHPZ-PSASIEDQSA-N 0 3 227.377 2.885 20 0 BFADHN CCOc1cc(C)ccc1CN[C@@H]1COC[C@H]1C ZINC000925261872 646222842 /nfs/dbraw/zinc/22/28/42/646222842.db2.gz JVJYOBYYHUISCB-TZMCWYRMSA-N 0 3 249.354 2.518 20 0 BFADHN CCC1(CN[C@H]2C[C@@H](C)n3ncnc32)CCCC1 ZINC000925278622 646229406 /nfs/dbraw/zinc/22/94/06/646229406.db2.gz RJSXFXWZYIZJDQ-NEPJUHHUSA-N 0 3 248.374 2.844 20 0 BFADHN CCC[C@H](CC)N[C@@H](C)c1nn(CC)nc1C ZINC000925351510 646251222 /nfs/dbraw/zinc/25/12/22/646251222.db2.gz KTQPUVNYYFOZIS-JQWIXIFHSA-N 0 3 238.379 2.836 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@@H]2CCC[C@H]2C)nn1 ZINC000925371306 646254110 /nfs/dbraw/zinc/25/41/10/646254110.db2.gz OSJXMJCSPLNMPM-GRYCIOLGSA-N 0 3 236.363 2.698 20 0 BFADHN Cc1cc(CN2CCC[C@@H]3CCC[C@H]32)c(C)nn1 ZINC000929714200 646266590 /nfs/dbraw/zinc/26/65/90/646266590.db2.gz QUXLQAPFRNDUIG-DZGCQCFKSA-N 0 3 245.370 2.858 20 0 BFADHN CC(C)n1cc([C@H](C)N[C@H]2CC=CCC2)nn1 ZINC000925437936 646284430 /nfs/dbraw/zinc/28/44/30/646284430.db2.gz RZXGHOGTRJYXIL-RYUDHWBXSA-N 0 3 234.347 2.618 20 0 BFADHN Cc1n[nH]cc1CN[C@@H]1CCc2cccc(C)c21 ZINC000925460096 646287609 /nfs/dbraw/zinc/28/76/09/646287609.db2.gz JMPZIZRXCFVJAD-CQSZACIVSA-N 0 3 241.338 2.804 20 0 BFADHN C[C@@H]1CCCN1C[C@@H]1CC[C@H](C(F)(F)F)O1 ZINC000929756414 646288045 /nfs/dbraw/zinc/28/80/45/646288045.db2.gz YAICDUGOBJHMGO-KXUCPTDWSA-N 0 3 237.265 2.581 20 0 BFADHN C[C@@H]1CCCN1C[C@@H]1CC[C@@H](C(F)(F)F)O1 ZINC000929756416 646289118 /nfs/dbraw/zinc/28/91/18/646289118.db2.gz YAICDUGOBJHMGO-UTLUCORTSA-N 0 3 237.265 2.581 20 0 BFADHN C[C@H]1C[C@H](NCC2(C)CCCCC2)c2ncnn21 ZINC000925522873 646314519 /nfs/dbraw/zinc/31/45/19/646314519.db2.gz IXHVXPIVFMRSTH-RYUDHWBXSA-N 0 3 248.374 2.844 20 0 BFADHN CC1(C)CN(CCc2ccns2)CCS1 ZINC000929811736 646332258 /nfs/dbraw/zinc/33/22/58/646332258.db2.gz DWTOCTPKWNASES-UHFFFAOYSA-N 0 3 242.413 2.513 20 0 BFADHN COC(C)(C)CN(C)[C@@H](C)c1ccc(F)nc1 ZINC000929831845 646348499 /nfs/dbraw/zinc/34/84/99/646348499.db2.gz RASNZWQECBUIJU-JTQLQIEISA-N 0 3 240.322 2.639 20 0 BFADHN Cc1cn(C)nc1CN1CCC[C@@H](CC(C)C)C1 ZINC000929835840 646349811 /nfs/dbraw/zinc/34/98/11/646349811.db2.gz STSNOQJGBAYPCM-AWEZNQCLSA-N 0 3 249.402 2.987 20 0 BFADHN CC(C)C[C@H](C)N[C@H](C)c1cn(C(C)C)nn1 ZINC000925602841 646356044 /nfs/dbraw/zinc/35/60/44/646356044.db2.gz XGYXEMYGWFMCQG-NWDGAFQWSA-N 0 3 238.379 2.944 20 0 BFADHN COc1cc([C@@H](C)NCC2(C3CC3)CC2)on1 ZINC000925611730 646359925 /nfs/dbraw/zinc/35/99/25/646359925.db2.gz FKPNJLBSQFCNMH-SECBINFHSA-N 0 3 236.315 2.524 20 0 BFADHN Cc1nonc1[C@H](C)NCC12CCC(CC1)C2 ZINC000925632188 646376365 /nfs/dbraw/zinc/37/63/65/646376365.db2.gz OTSFYZJVMQCWRD-FJJSSXBZSA-N 0 3 235.331 2.609 20 0 BFADHN COC/C(C)=C\CN(C)[C@H](C)c1cccc(O)c1 ZINC000929971438 646382328 /nfs/dbraw/zinc/38/23/28/646382328.db2.gz LDWIUTQMAIACNE-LLBKUYECSA-N 0 3 249.354 2.978 20 0 BFADHN Cc1cc(C)nc(CN(C)[C@@H]2CCSC2)c1 ZINC000930094294 646431125 /nfs/dbraw/zinc/43/11/25/646431125.db2.gz RAVMRPGXTMMOQK-CYBMUJFWSA-N 0 3 236.384 2.636 20 0 BFADHN Cc1cc(C)nc(CN(C)[C@H]2CCSC2)c1 ZINC000930094298 646432180 /nfs/dbraw/zinc/43/21/80/646432180.db2.gz RAVMRPGXTMMOQK-ZDUSSCGKSA-N 0 3 236.384 2.636 20 0 BFADHN C[C@@H](c1ccncc1)N(C)CCc1ccns1 ZINC000930120180 646443092 /nfs/dbraw/zinc/44/30/92/646443092.db2.gz YGJODCAADNNMGX-NSHDSACASA-N 0 3 247.367 2.774 20 0 BFADHN Cc1nnsc1[C@H](C)N1CC[C@@H](C)[C@@H](C)C1 ZINC000930145194 646456090 /nfs/dbraw/zinc/45/60/90/646456090.db2.gz IFLCYFFECORAKK-YWVKMMECSA-N 0 3 239.388 2.885 20 0 BFADHN CCc1ncc(CN2CC[C@H](C)[C@H](C)C2)o1 ZINC000930145611 646459789 /nfs/dbraw/zinc/45/97/89/646459789.db2.gz KJOHOJPWYBJEKN-WDEREUQCSA-N 0 3 222.332 2.715 20 0 BFADHN CC[C@H](NCc1cc(N)ccn1)c1ccccc1 ZINC000925772569 646472617 /nfs/dbraw/zinc/47/26/17/646472617.db2.gz UKUMIPCRYRZRSF-HNNXBMFYSA-N 0 3 241.338 2.905 20 0 BFADHN Cc1ccsc1[C@@H](C)NCc1cc(N)ccn1 ZINC000925772582 646474046 /nfs/dbraw/zinc/47/40/46/646474046.db2.gz UQJLBZLHWITJLO-SNVBAGLBSA-N 0 3 247.367 2.885 20 0 BFADHN C[C@H](N[C@H]1CC2CCC1CC2)c1ncco1 ZINC000925899550 646536722 /nfs/dbraw/zinc/53/67/22/646536722.db2.gz SYFJKTIRPRXDKP-XKGSQUFQSA-N 0 3 220.316 2.904 20 0 BFADHN C[C@@H](N[C@H]1CC2CCC1CC2)c1ncco1 ZINC000925899551 646537098 /nfs/dbraw/zinc/53/70/98/646537098.db2.gz SYFJKTIRPRXDKP-YYJSSNLHSA-N 0 3 220.316 2.904 20 0 BFADHN COc1cc([C@@H](C)NCCC2(C)CC2)on1 ZINC000926328195 646617661 /nfs/dbraw/zinc/61/76/61/646617661.db2.gz YPWHKRBXNFEYHO-SECBINFHSA-N 0 3 224.304 2.524 20 0 BFADHN CC[C@@H](C[NH2+][C@@H](C)c1cc([O-])cc(F)c1)OC ZINC000926455067 646645351 /nfs/dbraw/zinc/64/53/51/646645351.db2.gz GPLWFBNVVJTVHJ-ZANVPECISA-N 0 3 241.306 2.607 20 0 BFADHN C[C@H]1COC[C@H]1NCc1cc(C2CC2)ccc1F ZINC000926502469 646664065 /nfs/dbraw/zinc/66/40/65/646664065.db2.gz PCWGBGPYDZPSQW-ZUZCIYMTSA-N 0 3 249.329 2.828 20 0 BFADHN CC(C)(C)[C@H](NCc1nnc(C2CC2)[nH]1)C1CC1 ZINC000926505281 646666108 /nfs/dbraw/zinc/66/61/08/646666108.db2.gz GMPYUYQYJKFSSR-GFCCVEGCSA-N 0 3 248.374 2.596 20 0 BFADHN CC[C@@H]1CCC[C@@H]1CN[C@@H](C)c1nonc1C ZINC000926508871 646667669 /nfs/dbraw/zinc/66/76/69/646667669.db2.gz BXBHRWHUPJXVCO-MVWJERBFSA-N 0 3 237.347 2.855 20 0 BFADHN C[C@@H](NC[C@]1(C)CCCCO1)c1ccns1 ZINC000927010817 711800341 /nfs/dbraw/zinc/80/03/41/711800341.db2.gz BUJQRDFLSQKJHN-PWSUYJOCSA-N 0 3 240.372 2.753 20 0 BFADHN Cc1nc(CNC[C@@]2(C)CC2(C)C)cs1 ZINC000701981200 712034788 /nfs/dbraw/zinc/03/47/88/712034788.db2.gz QJLQYAGCLQDLKH-GFCCVEGCSA-N 0 3 224.373 2.977 20 0 BFADHN CC(C)(C)[C@@H](N)c1cn(CCCC2CC2)nn1 ZINC000702230161 712043283 /nfs/dbraw/zinc/04/32/83/712043283.db2.gz YHXGIFDDIOGYCS-LBPRGKRZSA-N 0 3 236.363 2.514 20 0 BFADHN CCOC(C)(C)CN[C@H](C)c1c(C)noc1C ZINC000702731539 712060361 /nfs/dbraw/zinc/06/03/61/712060361.db2.gz GSTKCRMQRUMYIS-SECBINFHSA-N 0 3 240.347 2.757 20 0 BFADHN CC(C)CC[C@H](N)C(=O)NC[C@H](C)C(C)(C)C ZINC000704431358 712107695 /nfs/dbraw/zinc/10/76/95/712107695.db2.gz YQSRUYXZUPWVKZ-RYUDHWBXSA-N 0 3 242.407 2.548 20 0 BFADHN Cc1cnc(CNCC[C@H]2CCSC2)s1 ZINC000704791389 712116831 /nfs/dbraw/zinc/11/68/31/712116831.db2.gz UIUFUUWHLWNDBQ-JTQLQIEISA-N 0 3 242.413 2.684 20 0 BFADHN CSC1CC(N[C@@H](C)c2ccccn2)C1 ZINC000706745284 712154076 /nfs/dbraw/zinc/15/40/76/712154076.db2.gz LWZUACMTOZSPND-WHXUTIOJSA-N 0 3 222.357 2.626 20 0 BFADHN Cn1ncc(Cl)c1CNC[C@@]1(C)CC1(C)C ZINC000706543276 712149088 /nfs/dbraw/zinc/14/90/88/712149088.db2.gz KVKHLUCNXRFBLZ-GFCCVEGCSA-N 0 3 241.766 2.599 20 0 BFADHN CC(C)CC1(CN[C@@H](C)c2cn(C)cn2)CC1 ZINC000709949905 712234565 /nfs/dbraw/zinc/23/45/65/712234565.db2.gz QMUJQOJTVIXKKV-LBPRGKRZSA-N 0 3 235.375 2.897 20 0 BFADHN CCCn1cc([C@@H](C)NCC2(CC)CC2)nn1 ZINC000715925141 712543271 /nfs/dbraw/zinc/54/32/71/712543271.db2.gz ZEEJVRRRIGWEBC-LLVKDONJSA-N 0 3 236.363 2.529 20 0 BFADHN CCCn1cc([C@H](C)NCC[C@H](C)CC)nn1 ZINC000715947037 712545073 /nfs/dbraw/zinc/54/50/73/712545073.db2.gz UMSISKJXRDXSPA-NEPJUHHUSA-N 0 3 238.379 2.775 20 0 BFADHN CCCn1cc([C@H](C)N[C@H](C)C(C)(C)C)nn1 ZINC000715933088 712543611 /nfs/dbraw/zinc/54/36/11/712543611.db2.gz GXCWAYLDVWTSSB-WDEREUQCSA-N 0 3 238.379 2.773 20 0 BFADHN CCC[C@@H](CC)N[C@@H](C)c1cn(CCC)nn1 ZINC000715935447 712544248 /nfs/dbraw/zinc/54/42/48/712544248.db2.gz OPPSSKRGZSRDLO-NWDGAFQWSA-N 0 3 238.379 2.917 20 0 BFADHN COC(C)(C)[C@H](C)N[C@H](C)c1ccns1 ZINC000716000324 712551946 /nfs/dbraw/zinc/55/19/46/712551946.db2.gz SEAOVIHHBGDBQG-BDAKNGLRSA-N 0 3 228.361 2.607 20 0 BFADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1ccns1 ZINC000715976053 712550962 /nfs/dbraw/zinc/55/09/62/712550962.db2.gz HCXCGSFUWUIRNX-IONNQARKSA-N 0 3 232.299 2.839 20 0 BFADHN C[C@@H](NC[C@H]1CCC=CO1)c1ccoc1 ZINC000380109702 712687736 /nfs/dbraw/zinc/68/77/36/712687736.db2.gz NVMAJZPTAGAREC-ZYHUDNBSSA-N 0 3 207.273 2.623 20 0 BFADHN Cc1nnc([C@@H](C)N[C@H](C)CC(C)(C)C)[nH]1 ZINC000392360949 712724667 /nfs/dbraw/zinc/72/46/67/712724667.db2.gz BFKCPMVYHKTWLI-RKDXNWHRSA-N 0 3 224.352 2.588 20 0 BFADHN Cc1coc(CNC[C@@H]2CCC[C@H](C)O2)c1 ZINC000718068494 712740840 /nfs/dbraw/zinc/74/08/40/712740840.db2.gz VHTXSUWBBYGLAT-RYUDHWBXSA-N 0 3 223.316 2.635 20 0 BFADHN Cc1coc(CN[C@@H]2CCS[C@H]2C)c1 ZINC000718144818 712748673 /nfs/dbraw/zinc/74/86/73/712748673.db2.gz MZCYWDNUQPBFNI-GXSJLCMTSA-N 0 3 211.330 2.572 20 0 BFADHN COC(C)(C)[C@H](C)N[C@@H](C)c1ccc(F)cn1 ZINC000720159753 712828311 /nfs/dbraw/zinc/82/83/11/712828311.db2.gz SFLHHCBESPHJBM-UWVGGRQHSA-N 0 3 240.322 2.685 20 0 BFADHN Cc1conc1CN[C@@H]1CCCC[C@@H]1C ZINC000720343988 712831920 /nfs/dbraw/zinc/83/19/20/712831920.db2.gz DUBHVSFZBBAIPL-GXSJLCMTSA-N 0 3 208.305 2.651 20 0 BFADHN CC[C@@H]1CCC[C@@H]1NCc1nocc1C ZINC000720369153 712836270 /nfs/dbraw/zinc/83/62/70/712836270.db2.gz JAJOKSHJHDDEAG-MNOVXSKESA-N 0 3 208.305 2.651 20 0 BFADHN Cc1cc(CNC[C@@H]2CCCC23CC3)no1 ZINC000720379628 712837434 /nfs/dbraw/zinc/83/74/34/712837434.db2.gz NISGTMQJRFRTHS-NSHDSACASA-N 0 3 220.316 2.653 20 0 BFADHN C[C@@H]1CSC[C@H]1NCc1cccnc1Cl ZINC000380350981 712892156 /nfs/dbraw/zinc/89/21/56/712892156.db2.gz VDRCGFHKXBDYHC-PSASIEDQSA-N 0 3 242.775 2.576 20 0 BFADHN Cc1ccncc1CN[C@H](C)c1ccc[nH]1 ZINC000381266283 710614605 /nfs/dbraw/zinc/61/46/05/710614605.db2.gz UEOUZGHJXXFRKN-LLVKDONJSA-N 0 3 215.300 2.569 20 0 BFADHN CSCC[C@@H](C)N[C@@H](C)c1cc(C)n(C)n1 ZINC000381360893 710626704 /nfs/dbraw/zinc/62/67/04/710626704.db2.gz FQXTUFBBHHLXNO-KOLCDFICSA-N 0 3 241.404 2.521 20 0 BFADHN CC1=CCC[C@H](C)[C@H]1CNCc1ccon1 ZINC000381395365 710631716 /nfs/dbraw/zinc/63/17/16/710631716.db2.gz OPDCRHVEJXLTJT-AAEUAGOBSA-N 0 3 220.316 2.757 20 0 BFADHN CC1=CCC[C@@H](C)[C@@H]1CNCc1ccon1 ZINC000381395367 710631914 /nfs/dbraw/zinc/63/19/14/710631914.db2.gz OPDCRHVEJXLTJT-DGCLKSJQSA-N 0 3 220.316 2.757 20 0 BFADHN C[C@@H](NCc1ccon1)C1C(C)(C)C1(C)C ZINC000381530467 710651803 /nfs/dbraw/zinc/65/18/03/710651803.db2.gz YUJHUFONCCIQJK-SECBINFHSA-N 0 3 222.332 2.835 20 0 BFADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](C)SC ZINC000381709860 710682822 /nfs/dbraw/zinc/68/28/22/710682822.db2.gz KATDTOMARMJYMI-RKDXNWHRSA-N 0 3 242.388 2.948 20 0 BFADHN CCOC[C@@H](C)NCc1c(C)cccc1F ZINC000381774492 710708400 /nfs/dbraw/zinc/70/84/00/710708400.db2.gz WQEXRZHZTXWBEY-LLVKDONJSA-N 0 3 225.307 2.649 20 0 BFADHN OC1(CCN(Cc2ccoc2)C2CC2)CCC1 ZINC000723772833 710731863 /nfs/dbraw/zinc/73/18/63/710731863.db2.gz JGUMBAKDEFHCQZ-UHFFFAOYSA-N 0 3 235.327 2.549 20 0 BFADHN COCc1ccc(CN[C@H](C)Cc2ccco2)o1 ZINC000382530197 710973090 /nfs/dbraw/zinc/97/30/90/710973090.db2.gz BSUNQQWMJCNALE-LLVKDONJSA-N 0 3 249.310 2.740 20 0 BFADHN CCc1cccc(C)c1NC(=O)[C@H](N)CC1CC1 ZINC000385378916 711011303 /nfs/dbraw/zinc/01/13/03/711011303.db2.gz RRIUOLKYGRXVBK-CYBMUJFWSA-N 0 3 246.354 2.623 20 0 BFADHN C[C@]1(c2nc(CC3CCCCC3)no2)CCCN1 ZINC000386248528 711025994 /nfs/dbraw/zinc/02/59/94/711025994.db2.gz SGPNSTOQXANRLG-CQSZACIVSA-N 0 3 249.358 2.791 20 0 BFADHN Cc1nc(CN[C@@H]2CCS[C@H]2C)sc1C ZINC000389597838 711081374 /nfs/dbraw/zinc/08/13/74/711081374.db2.gz RAABQHOOZUWUOQ-VHSXEESVSA-N 0 3 242.413 2.744 20 0 BFADHN Cc1nc(CN[C@H]2CCS[C@H]2C)sc1C ZINC000389597837 711081508 /nfs/dbraw/zinc/08/15/08/711081508.db2.gz RAABQHOOZUWUOQ-UWVGGRQHSA-N 0 3 242.413 2.744 20 0 BFADHN C[C@H]1SCC[C@@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000390513649 711122937 /nfs/dbraw/zinc/12/29/37/711122937.db2.gz CKIXJIOKYBVPQD-KCJUWKMLSA-N 0 3 241.331 2.515 20 0 BFADHN CCc1nn(C)c(Cl)c1CN[C@@H]1CC[C@H]1C ZINC000390581555 711126972 /nfs/dbraw/zinc/12/69/72/711126972.db2.gz XASIKKCNFMYFAQ-LDYMZIIASA-N 0 3 241.766 2.524 20 0 BFADHN Cc1nnc([C@@H](C)[NH2+][C@@H]2CCCC(C)(C)C2)[n-]1 ZINC000392347237 711144173 /nfs/dbraw/zinc/14/41/73/711144173.db2.gz GPTLGTUTXCUCAX-MWLCHTKSSA-N 0 3 236.363 2.733 20 0 BFADHN C[C@@H](CNCc1nc(C#N)cs1)C(C)(C)C ZINC000390665400 711131207 /nfs/dbraw/zinc/13/12/07/711131207.db2.gz UEABIQOQKNPIQR-VIFPVBQESA-N 0 3 237.372 2.787 20 0 BFADHN C[C@H](NCCCC1CC1)c1nnc2ccccn21 ZINC000394664434 711197062 /nfs/dbraw/zinc/19/70/62/711197062.db2.gz PLUPCELHHLNHDP-NSHDSACASA-N 0 3 244.342 2.570 20 0 BFADHN CCCCCC[C@H](C)NC(=O)[C@@H](N)C1CCC1 ZINC000399078112 711419847 /nfs/dbraw/zinc/41/98/47/711419847.db2.gz OUFYCJAGEWCLSE-AAEUAGOBSA-N 0 3 240.391 2.589 20 0 BFADHN CC(C)SCCN[C@@H]1CCc2cccnc21 ZINC000380847871 710591646 /nfs/dbraw/zinc/59/16/46/710591646.db2.gz XAOFMOMMHMCTCM-GFCCVEGCSA-N 0 3 236.384 2.800 20 0 BFADHN Cc1nnc([C@H](C)[NH2+]C2CCCCCCC2)[n-]1 ZINC000392394509 711146428 /nfs/dbraw/zinc/14/64/28/711146428.db2.gz XWSZVAGSCPCQSL-JTQLQIEISA-N 0 3 236.363 2.877 20 0 BFADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCC[C@@H](C3CC3)C2)[n-]1 ZINC000392380202 711144766 /nfs/dbraw/zinc/14/47/66/711144766.db2.gz RKPKDUQZDMUFKJ-BIMULSAOSA-N 0 3 248.374 2.733 20 0 BFADHN Cc1nnc([C@H](C)NCCC2CCCCC2)[nH]1 ZINC000392380654 711145207 /nfs/dbraw/zinc/14/52/07/711145207.db2.gz RQORLPDEJDQTIC-JTQLQIEISA-N 0 3 236.363 2.734 20 0 BFADHN C[C@H]1CCN(Cc2ccc(CF)cc2)CCO1 ZINC000932349842 711457329 /nfs/dbraw/zinc/45/73/29/711457329.db2.gz ZOCKAPGCFLPJNZ-LBPRGKRZSA-N 0 3 237.318 2.767 20 0 BFADHN CSCC[C@H](C)NCc1oc(C)nc1C ZINC000723608378 711525057 /nfs/dbraw/zinc/52/50/57/711525057.db2.gz RFIFQMBQXRYQJT-QMMMGPOBSA-N 0 3 228.361 2.523 20 0 BFADHN C[C@H]1C[C@@H](NCCC2CC=CC2)c2nccn21 ZINC000724187207 711567365 /nfs/dbraw/zinc/56/73/65/711567365.db2.gz SDIFBABRFPIHKS-WCQYABFASA-N 0 3 231.343 2.835 20 0 BFADHN [O-]c1ccc(C[NH2+]CCC2CC=CC2)cc1F ZINC000724204211 711569385 /nfs/dbraw/zinc/56/93/85/711569385.db2.gz YZEIQZATTWQXRH-UHFFFAOYSA-N 0 3 235.302 2.977 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CCC2CC=CC2)n1 ZINC000724204438 711569964 /nfs/dbraw/zinc/56/99/64/711569964.db2.gz BXDZGRISYJTWHM-UHFFFAOYSA-N 0 3 232.327 2.542 20 0 BFADHN CCc1[nH]nc(Cl)c1CN1CC[C@@H](C)[C@H]1C ZINC000892213917 711583137 /nfs/dbraw/zinc/58/31/37/711583137.db2.gz AOONOFGEOAPJKV-RKDXNWHRSA-N 0 3 241.766 2.856 20 0 BFADHN C#CCN(C)CCCOc1ccc(Cl)cc1 ZINC000052450940 711619171 /nfs/dbraw/zinc/61/91/71/711619171.db2.gz GJESYNRFWMKALC-UHFFFAOYSA-N 0 3 237.730 2.674 20 0 BFADHN CC(C)C[C@@H](C)N1Cc2c[nH]nc2[C@H](C)C1 ZINC000931462283 711722775 /nfs/dbraw/zinc/72/27/75/711722775.db2.gz MZVFXJUNZSWMJK-GHMZBOCLSA-N 0 3 221.348 2.763 20 0 BFADHN C[C@@H](Cc1ccco1)[NH2+]Cc1ccc([O-])c(F)c1 ZINC000232421815 711760652 /nfs/dbraw/zinc/76/06/52/711760652.db2.gz XTRKAIXYUYUAKB-JTQLQIEISA-N 0 3 249.285 2.845 20 0 BFADHN CCC[C@@H](N[C@@H]1COCC1(C)C)c1ccccn1 ZINC000894235231 711765523 /nfs/dbraw/zinc/76/55/23/711765523.db2.gz PYEXADNUQYBUJU-ZIAGYGMSSA-N 0 3 248.370 2.937 20 0 BFADHN Cc1ncoc1CNCCCC(C)(C)C ZINC000894275579 711767203 /nfs/dbraw/zinc/76/72/03/711767203.db2.gz COWTWJNAXHAIRT-UHFFFAOYSA-N 0 3 210.321 2.899 20 0 BFADHN COc1ccc(CNC2(C3(C)CC3)CC2)nc1 ZINC000894759968 711772140 /nfs/dbraw/zinc/77/21/40/711772140.db2.gz CPXJBKDUOKSPJL-UHFFFAOYSA-N 0 3 232.327 2.513 20 0 BFADHN Fc1cccc(/C=C\CNC2CSC2)c1 ZINC000895318488 711780696 /nfs/dbraw/zinc/78/06/96/711780696.db2.gz SVNINXGRQFHRKU-RQOWECAXSA-N 0 3 223.316 2.544 20 0 BFADHN Nc1nc(CNC2CCC3(CC3)CC2)cs1 ZINC000721345886 711802780 /nfs/dbraw/zinc/80/27/80/711802780.db2.gz WFCLHFUDKFBFSC-UHFFFAOYSA-N 0 3 237.372 2.538 20 0 BFADHN C[C@H](CN[C@H]1C[C@@H](C)n2ncnc21)C(C)(C)C ZINC000668468297 711813012 /nfs/dbraw/zinc/81/30/12/711813012.db2.gz GHCPRAPNAOVECB-MXWKQRLJSA-N 0 3 236.363 2.556 20 0 BFADHN c1cc(CNC[C@@H]2Cc3ccccc3CO2)co1 ZINC000700364069 711990855 /nfs/dbraw/zinc/99/08/55/711990855.db2.gz QQMJQAVSPGBKCA-HNNXBMFYSA-N 0 3 243.306 2.511 20 0 BFADHN CCS[C@@H]1CCC[C@H]1NCc1cnc(C)o1 ZINC000700848796 712008375 /nfs/dbraw/zinc/00/83/75/712008375.db2.gz PHDPJBCUDCPBGI-VXGBXAGGSA-N 0 3 240.372 2.747 20 0 BFADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1NCC=C(Cl)Cl ZINC000701024638 712012236 /nfs/dbraw/zinc/01/22/36/712012236.db2.gz HGQFRIQRBRGGKJ-IBCQBUCCSA-N 0 3 238.158 2.707 20 0 BFADHN [O-]c1ccc(C[NH2+]CC[C@H]2CC2(F)F)cc1F ZINC000701938855 712032812 /nfs/dbraw/zinc/03/28/12/712032812.db2.gz XZCGJNKHIQOPAF-VIFPVBQESA-N 0 3 245.244 2.666 20 0 BFADHN CC1(C)C[C@]1(C)CNCc1nccs1 ZINC000701973488 712033717 /nfs/dbraw/zinc/03/37/17/712033717.db2.gz QQCLEWTYYJWZBL-LLVKDONJSA-N 0 3 210.346 2.669 20 0 BFADHN c1cc(CNC2CSC2)cc(C2CC2)c1 ZINC000701974206 712033975 /nfs/dbraw/zinc/03/39/75/712033975.db2.gz XIYYUZKRTXXFII-UHFFFAOYSA-N 0 3 219.353 2.769 20 0 BFADHN CC[C@H]1CCC[C@H](NCc2ncccn2)CC1 ZINC000702322289 712047082 /nfs/dbraw/zinc/04/70/82/712047082.db2.gz DFHOUEJGTOOPFP-STQMWFEESA-N 0 3 233.359 2.925 20 0 BFADHN OCc1cnccc1N1CCC(C2CCC2)CC1 ZINC000703041961 712066056 /nfs/dbraw/zinc/06/60/56/712066056.db2.gz PTDVEJUMUKWOGK-UHFFFAOYSA-N 0 3 246.354 2.590 20 0 BFADHN C[C@@H](F)CCNCc1ccc(Cl)cn1 ZINC000703906135 712093538 /nfs/dbraw/zinc/09/35/38/712093538.db2.gz NMWHCWNKLUURFL-MRVPVSSYSA-N 0 3 216.687 2.573 20 0 BFADHN Cc1nnc([C@H](C)NCCCCC(C)C)[nH]1 ZINC000703977107 712097133 /nfs/dbraw/zinc/09/71/33/712097133.db2.gz UEIDQEZWZZFARB-JTQLQIEISA-N 0 3 224.352 2.590 20 0 BFADHN COC(C)(C)[C@@H](C)NCc1ccncc1Cl ZINC000704401104 712107090 /nfs/dbraw/zinc/10/70/90/712107090.db2.gz VEWRRIGJYBAUQC-SECBINFHSA-N 0 3 242.750 2.638 20 0 BFADHN CSC1CC(N[C@H](C)c2nc(C)cs2)C1 ZINC000706763293 712154560 /nfs/dbraw/zinc/15/45/60/712154560.db2.gz FHOXRRJYSUKTED-XNWIYYODSA-N 0 3 242.413 2.996 20 0 BFADHN C[C@H]1CC[C@H](C)[NH+]1Cc1ccc([O-])c(F)c1 ZINC000707041979 712164318 /nfs/dbraw/zinc/16/43/18/712164318.db2.gz FTWHEILLQMXQLF-UWVGGRQHSA-N 0 3 223.291 2.904 20 0 BFADHN CC(C)CCN[C@H](C)c1cn(C(C)C)nn1 ZINC000708294171 712185583 /nfs/dbraw/zinc/18/55/83/712185583.db2.gz IXJUMACDIXHOQY-LLVKDONJSA-N 0 3 224.352 2.556 20 0 BFADHN COc1ccccc1CNC[C@@H]1CC(C)(C)CO1 ZINC000708351195 712190353 /nfs/dbraw/zinc/19/03/53/712190353.db2.gz VFMQWPRSYOMFEX-ZDUSSCGKSA-N 0 3 249.354 2.600 20 0 BFADHN C[C@H](CN[C@@H](C)c1nncn1C)CC(C)(C)C ZINC000709104907 712208828 /nfs/dbraw/zinc/20/88/28/712208828.db2.gz MDOLHIDOEXOZBL-QWRGUYRKSA-N 0 3 238.379 2.538 20 0 BFADHN C[C@H](Cc1cccs1)N[C@@H](C)c1cn(C)cn1 ZINC000709916745 712233163 /nfs/dbraw/zinc/23/31/63/712233163.db2.gz BJIHQJHEVFTFDB-MNOVXSKESA-N 0 3 249.383 2.763 20 0 BFADHN C[C@@H](Cc1ccsc1)N[C@@H](C)c1cn(C)cn1 ZINC000709922710 712233293 /nfs/dbraw/zinc/23/32/93/712233293.db2.gz XEDKCLBZIDRSOU-QWRGUYRKSA-N 0 3 249.383 2.763 20 0 BFADHN C[C@@H](NC[C@]1(C)CC1(C)C)c1cn(C)cn1 ZINC000709937769 712233806 /nfs/dbraw/zinc/23/38/06/712233806.db2.gz DIROPBPVGOQGQP-MFKMUULPSA-N 0 3 221.348 2.507 20 0 BFADHN Cc1cccc(CCN[C@@H](C)c2cn(C)cn2)c1 ZINC000709974929 712236156 /nfs/dbraw/zinc/23/61/56/712236156.db2.gz CXTYIDUIEMPRMO-ZDUSSCGKSA-N 0 3 243.354 2.622 20 0 BFADHN C[C@@H](NCCCc1ccccc1)c1cn(C)cn1 ZINC000709976131 712236475 /nfs/dbraw/zinc/23/64/75/712236475.db2.gz MHTWNJZXSLXEAY-CYBMUJFWSA-N 0 3 243.354 2.704 20 0 BFADHN C[C@H](CCc1ccco1)N[C@@H](C)c1cn(C)cn1 ZINC000710012857 712240799 /nfs/dbraw/zinc/24/07/99/712240799.db2.gz OBSPVVQILNTOCE-NEPJUHHUSA-N 0 3 247.342 2.685 20 0 BFADHN CC(C)[C@H](N)c1cn(C[C@@H](C)C(C)(C)C)nn1 ZINC000710830006 712257458 /nfs/dbraw/zinc/25/74/58/712257458.db2.gz PPXCJSQBHJJTHX-PWSUYJOCSA-N 0 3 238.379 2.616 20 0 BFADHN Cc1occc1CNC[C@H]1CCC(C)(C)CO1 ZINC000711255793 712292020 /nfs/dbraw/zinc/29/20/20/712292020.db2.gz ZHVYIEOWZYOHQH-CYBMUJFWSA-N 0 3 237.343 2.883 20 0 BFADHN [O-]c1ccc(C[NH2+]C[C@H]2C=CCC2)cc1F ZINC000711719976 712319435 /nfs/dbraw/zinc/31/94/35/712319435.db2.gz RKSQGROGVSDPBW-JTQLQIEISA-N 0 3 221.275 2.587 20 0 BFADHN c1cn(C2CC2)c(CNCCC2=CCCC2)n1 ZINC000711736393 712320754 /nfs/dbraw/zinc/32/07/54/712320754.db2.gz CFTNAJNBYFQKBI-UHFFFAOYSA-N 0 3 231.343 2.808 20 0 BFADHN c1cn(C2CC2)c(CNC[C@@]23C[C@@H]2CCCC3)n1 ZINC000711736911 712321129 /nfs/dbraw/zinc/32/11/29/712321129.db2.gz FYDZRCNJBZJMHA-SWLSCSKDSA-N 0 3 245.370 2.888 20 0 BFADHN c1cn(C2CC2)c(CNC2CC3(CCC3)C2)n1 ZINC000711747271 712322871 /nfs/dbraw/zinc/32/28/71/712322871.db2.gz SAQQTAKOJCROFD-UHFFFAOYSA-N 0 3 231.343 2.640 20 0 BFADHN C[C@H]1CC[C@@H](CNCc2nccn2C2CC2)C1 ZINC000711745070 712325404 /nfs/dbraw/zinc/32/54/04/712325404.db2.gz FPQDSZDMCQXIOC-NWDGAFQWSA-N 0 3 233.359 2.744 20 0 BFADHN CC(C)n1ccnc1CNC[C@H]1C=CCC1 ZINC000711770231 712327247 /nfs/dbraw/zinc/32/72/47/712327247.db2.gz YJYVJQODZMSVDZ-LBPRGKRZSA-N 0 3 219.332 2.520 20 0 BFADHN CCCn1cc([C@@H](C)NCCCC2CC2)nn1 ZINC000715917856 712384259 /nfs/dbraw/zinc/38/42/59/712384259.db2.gz BYLDVCCHRKKBNK-LLVKDONJSA-N 0 3 236.363 2.529 20 0 BFADHN CC(=O)CCN1C[C@H](C(F)(F)F)CC[C@H]1C ZINC000780384218 712416451 /nfs/dbraw/zinc/41/64/51/712416451.db2.gz FUHUOKWSERBFHA-PSASIEDQSA-N 0 3 237.265 2.628 20 0 BFADHN C[C@@H](CC1CCCC1)N[C@@H]1CCn2ccnc21 ZINC000712513044 712432072 /nfs/dbraw/zinc/43/20/72/712432072.db2.gz CBMYPNXAXGTWCV-WCQYABFASA-N 0 3 233.359 2.886 20 0 BFADHN CCN1CC[C@H]1CNCc1ccsc1Cl ZINC000712597175 712436293 /nfs/dbraw/zinc/43/62/93/712436293.db2.gz VZYWUUBZNFCZAZ-JTQLQIEISA-N 0 3 244.791 2.585 20 0 BFADHN C=C/C=C\CCNCc1nccn1C(C)C ZINC000713490423 712462111 /nfs/dbraw/zinc/46/21/11/712462111.db2.gz HTKIEPPONJFAIJ-WAYWQWQTSA-N 0 3 219.332 2.686 20 0 BFADHN CC1(C)CC[C@@H](CNCc2ccco2)OC1 ZINC000713607697 712466803 /nfs/dbraw/zinc/46/68/03/712466803.db2.gz SUYQMGOEYIQSTN-LBPRGKRZSA-N 0 3 223.316 2.574 20 0 BFADHN Cc1ccc(CNC[C@H]2CCC(C)(C)CO2)o1 ZINC000713609783 712467115 /nfs/dbraw/zinc/46/71/15/712467115.db2.gz KDVMOBPQDJFBMM-GFCCVEGCSA-N 0 3 237.343 2.883 20 0 BFADHN Cc1ccc(CNC[C@H]2CCC(C)(C)CO2)nc1 ZINC000713616031 712467310 /nfs/dbraw/zinc/46/73/10/712467310.db2.gz YOXVVODWNMZOTG-CQSZACIVSA-N 0 3 248.370 2.685 20 0 BFADHN C=C/C=C\CCN[C@@H](C)c1nccs1 ZINC000713660394 712469051 /nfs/dbraw/zinc/46/90/51/712469051.db2.gz NAZIINJNAKBNTM-LWTINBJPSA-N 0 3 208.330 2.926 20 0 BFADHN C=C/C=C\CCNCc1ccncc1Cl ZINC000713744013 712471487 /nfs/dbraw/zinc/47/14/87/712471487.db2.gz AIFJFHXEUCATLM-ARJAWSKDSA-N 0 3 222.719 2.957 20 0 BFADHN CC1(C)CCC[C@H](CNCc2ccco2)O1 ZINC000714373771 712484593 /nfs/dbraw/zinc/48/45/93/712484593.db2.gz VKJKSAWXJBPTBN-GFCCVEGCSA-N 0 3 223.316 2.717 20 0 BFADHN COC[C@H]1C[C@@H](N[C@@H](C)c2nc(C)cs2)C1 ZINC000714482371 712491867 /nfs/dbraw/zinc/49/18/67/712491867.db2.gz VXNKEMUPBVGWKU-GARJFASQSA-N 0 3 240.372 2.527 20 0 BFADHN Cc1ccoc1CNC[C@H]1CC[C@@H](C2CC2)O1 ZINC000715406141 712516942 /nfs/dbraw/zinc/51/69/42/712516942.db2.gz YGVPENWQLCQXAN-OLZOCXBDSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@H](NC[C@@H]1CC[C@@H](C2CC2)O1)c1ccco1 ZINC000715406150 712517308 /nfs/dbraw/zinc/51/73/08/712517308.db2.gz YHHWYFIGKBYAOB-JKOKRWQUSA-N 0 3 235.327 2.888 20 0 BFADHN CCCCCC[C@H](C)N[C@H](C)c1nncn1C ZINC000037017099 712529433 /nfs/dbraw/zinc/52/94/33/712529433.db2.gz VDZFLQRGEGLQQH-NWDGAFQWSA-N 0 3 238.379 2.825 20 0 BFADHN CC(C)CCC[C@H](C)N[C@H](C)c1cn(C)nn1 ZINC000715899451 712541070 /nfs/dbraw/zinc/54/10/70/712541070.db2.gz AUGWJBIEFNRHLB-NWDGAFQWSA-N 0 3 238.379 2.681 20 0 BFADHN CCCn1cc([C@H](C)N[C@H](C)C2CCC2)nn1 ZINC000715921517 712542670 /nfs/dbraw/zinc/54/26/70/712542670.db2.gz LRONKFBWERAXHN-MNOVXSKESA-N 0 3 236.363 2.527 20 0 BFADHN CCCn1cc([C@@H](C)N[C@H](C)C2CCC2)nn1 ZINC000715921515 712542818 /nfs/dbraw/zinc/54/28/18/712542818.db2.gz LRONKFBWERAXHN-GHMZBOCLSA-N 0 3 236.363 2.527 20 0 BFADHN CCCn1cc([C@H](C)NCCCC(C)C)nn1 ZINC000715932147 712543680 /nfs/dbraw/zinc/54/36/80/712543680.db2.gz CJEARCQZNNWULK-LBPRGKRZSA-N 0 3 238.379 2.775 20 0 BFADHN CCCCOCCN[C@H](C)c1ccns1 ZINC000715949732 712545145 /nfs/dbraw/zinc/54/51/45/712545145.db2.gz JLHLHYVLQZIQTF-SNVBAGLBSA-N 0 3 228.361 2.610 20 0 BFADHN C[C@@H](NCCOCC1CCC1)c1ccns1 ZINC000716019014 712546804 /nfs/dbraw/zinc/54/68/04/712546804.db2.gz DFHKOGVSOGBITK-SNVBAGLBSA-N 0 3 240.372 2.610 20 0 BFADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1ccns1 ZINC000715998477 712551634 /nfs/dbraw/zinc/55/16/34/712551634.db2.gz NQLUTPCGYXMCIZ-ZJUUUORDSA-N 0 3 240.372 2.751 20 0 BFADHN Cc1ccc([O-])c(C[NH2+]CCCCC2CC2)n1 ZINC000716341445 712555518 /nfs/dbraw/zinc/55/55/18/712555518.db2.gz LMMASJGUSRTCNK-UHFFFAOYSA-N 0 3 234.343 2.766 20 0 BFADHN Cc1[nH]nc(CN[C@@H](C)c2cccc(O)c2)c1C ZINC000716439070 712560533 /nfs/dbraw/zinc/56/05/33/712560533.db2.gz FPOJBYIFLOXIBQ-NSHDSACASA-N 0 3 245.326 2.583 20 0 BFADHN Cc1n[nH]c(CNCc2cc(C)cc(C)c2)c1C ZINC000716440279 712560828 /nfs/dbraw/zinc/56/08/28/712560828.db2.gz NBNQDBYWBPASHV-UHFFFAOYSA-N 0 3 243.354 2.933 20 0 BFADHN Cc1[nH]nc(CNCc2ccc(F)c(C)c2)c1C ZINC000716450548 712561873 /nfs/dbraw/zinc/56/18/73/712561873.db2.gz FNMLALLOTYVIIM-UHFFFAOYSA-N 0 3 247.317 2.764 20 0 BFADHN Cc1ccsc1CNCc1[nH]nc(C)c1C ZINC000716451439 712561924 /nfs/dbraw/zinc/56/19/24/712561924.db2.gz NUEFWUPKGDPMCO-UHFFFAOYSA-N 0 3 235.356 2.686 20 0 BFADHN Cc1csc(CNC[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)n1 ZINC000716562981 712563642 /nfs/dbraw/zinc/56/36/42/712563642.db2.gz LCOMFVANAXELQJ-IXLVHKGHSA-N 0 3 236.384 2.833 20 0 BFADHN CC(C)n1ccnc1CNC[C@H]1C[C@H]1[C@H]1C[C@H]1C ZINC000716555683 712563895 /nfs/dbraw/zinc/56/38/95/712563895.db2.gz VWBLEJSYVVMWSJ-YIYPIFLZSA-N 0 3 247.386 2.846 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNCc1nccs1 ZINC000716534481 712567224 /nfs/dbraw/zinc/56/72/24/712567224.db2.gz WNXHIOCHZGVAHM-CHWFTXMASA-N 0 3 222.357 2.525 20 0 BFADHN Cc1cnc(CNC[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)s1 ZINC000716635936 712568220 /nfs/dbraw/zinc/56/82/20/712568220.db2.gz HOUCGRNBLIGSJX-QTKMDUPCSA-N 0 3 236.384 2.833 20 0 BFADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C[NH2+]Cc1ccc([O-])c(F)c1 ZINC000716639569 712568605 /nfs/dbraw/zinc/56/86/05/712568605.db2.gz WEZXQYVJIYXMQC-FOUMNBMASA-N 0 3 249.329 2.913 20 0 BFADHN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNCc1nccn1C1CC1 ZINC000716667096 712568968 /nfs/dbraw/zinc/56/89/68/712568968.db2.gz ZWUOYEWQPYBKCH-RFHZTLPTSA-N 0 3 245.370 2.600 20 0 BFADHN Cc1ccc(C)c([C@H](C)NCC2(C)OCCO2)c1 ZINC000716874683 712579869 /nfs/dbraw/zinc/57/98/69/712579869.db2.gz WWNVKSBVOUEMGC-ZDUSSCGKSA-N 0 3 249.354 2.717 20 0 BFADHN Cc1nc(CNCC[C@@H]2CC[C@H]3C[C@H]32)[nH]c1C ZINC000716997347 712602976 /nfs/dbraw/zinc/60/29/76/712602976.db2.gz DVMPHTLMWLANAB-AVGNSLFASA-N 0 3 233.359 2.552 20 0 BFADHN Cc1cc(-c2cccc([C@H]3CNCCO3)c2)co1 ZINC000863722591 712619849 /nfs/dbraw/zinc/61/98/49/712619849.db2.gz GDAJVOOWNRGCGK-OAHLLOKOSA-N 0 3 243.306 2.916 20 0 BFADHN C[C@@H]1C[C@H](NCC[C@@H]2CC[C@H]3C[C@H]32)c2nccn21 ZINC000717156402 712638034 /nfs/dbraw/zinc/63/80/34/712638034.db2.gz GKBVHZDJVVIAFT-QMVSFRDZSA-N 0 3 245.370 2.915 20 0 BFADHN COC[C@@H](C)NCc1cc(Cl)nc(Cl)c1 ZINC000379999655 712651720 /nfs/dbraw/zinc/65/17/20/712651720.db2.gz LXLRDDQUGYQPGA-SSDOTTSWSA-N 0 3 249.141 2.513 20 0 BFADHN CCNCc1c(Cl)nc2cc(Cl)ccn21 ZINC000380004062 712651860 /nfs/dbraw/zinc/65/18/60/712651860.db2.gz OKGAEFYGLWSNNY-UHFFFAOYSA-N 0 3 244.125 2.751 20 0 BFADHN c1cnc2c(c1)CCC[C@H]2NC[C@H]1CCC=CO1 ZINC000380023670 712672974 /nfs/dbraw/zinc/67/29/74/712672974.db2.gz RTYCFTMOUXZZFQ-ZIAGYGMSSA-N 0 3 244.338 2.741 20 0 BFADHN CO[C@H](CN[C@@H](C)c1c(C)noc1C)C(C)C ZINC000380105072 712686502 /nfs/dbraw/zinc/68/65/02/712686502.db2.gz JMYXWOKKROFDIW-JOYOIKCWSA-N 0 3 240.347 2.613 20 0 BFADHN COC/C(C)=C/CN(C)[C@@H](C)c1ccncc1 ZINC000930119964 712711864 /nfs/dbraw/zinc/71/18/64/712711864.db2.gz SZCDJTIHICMUGD-WHGQRRHOSA-N 0 3 234.343 2.667 20 0 BFADHN CCc1noc(C)c1[C@@H](C)NCCSC ZINC000380200658 712717025 /nfs/dbraw/zinc/71/70/25/712717025.db2.gz SYZGANMGAVLPAN-MRVPVSSYSA-N 0 3 228.361 2.559 20 0 BFADHN CC(C)CCCN1CCn2cccc2C1 ZINC000932523903 712717836 /nfs/dbraw/zinc/71/78/36/712717836.db2.gz DKFQDCYTQWKCGY-UHFFFAOYSA-N 0 3 206.333 2.740 20 0 BFADHN Cc1nnc([C@H](C)N[C@@H](C)CC(C)(C)C)[nH]1 ZINC000392360948 712724689 /nfs/dbraw/zinc/72/46/89/712724689.db2.gz BFKCPMVYHKTWLI-IUCAKERBSA-N 0 3 224.352 2.588 20 0 BFADHN Cc1coc(CNC[C@H]2CCC3(CCC3)O2)c1 ZINC000718028648 712736527 /nfs/dbraw/zinc/73/65/27/712736527.db2.gz CMGJSZFLZYSDOJ-GFCCVEGCSA-N 0 3 235.327 2.779 20 0 BFADHN CCCn1cc([C@@H](C)NC2CCC=CCC2)nn1 ZINC000718029676 712736719 /nfs/dbraw/zinc/73/67/19/712736719.db2.gz NSOVBHGFAWGMNI-GFCCVEGCSA-N 0 3 248.374 2.838 20 0 BFADHN Cc1coc(CNC[C@H]2CCC[C@H](C)O2)c1 ZINC000718068490 712740954 /nfs/dbraw/zinc/74/09/54/712740954.db2.gz VHTXSUWBBYGLAT-NWDGAFQWSA-N 0 3 223.316 2.635 20 0 BFADHN CO[C@@H]1C[C@H](NCc2cc(C)co2)C12CCC2 ZINC000718110508 712743406 /nfs/dbraw/zinc/74/34/06/712743406.db2.gz TWKHABCSTJXTAL-QWHCGFSZSA-N 0 3 235.327 2.635 20 0 BFADHN CC[C@H](C)[C@@H](CNCc1cc(C)co1)OC ZINC000718132936 712746976 /nfs/dbraw/zinc/74/69/76/712746976.db2.gz SFTSOQCBABYOAS-WCQYABFASA-N 0 3 225.332 2.739 20 0 BFADHN CSC1CC(NCc2cnc(Cl)s2)C1 ZINC000718200539 712753640 /nfs/dbraw/zinc/75/36/40/712753640.db2.gz PCOUKACWBNRVQG-UHFFFAOYSA-N 0 3 248.804 2.780 20 0 BFADHN CCc1ccc(CN[C@H](C)C(C)(C)OC)o1 ZINC000720287796 712830608 /nfs/dbraw/zinc/83/06/08/712830608.db2.gz LKLCNMNTCZXPQF-SNVBAGLBSA-N 0 3 225.332 2.745 20 0 BFADHN Cc1cc(CNC[C@H]2CCCC23CC3)no1 ZINC000720379625 712837210 /nfs/dbraw/zinc/83/72/10/712837210.db2.gz NISGTMQJRFRTHS-LLVKDONJSA-N 0 3 220.316 2.653 20 0 BFADHN CC(C)(C)[C@@H](NCc1ccon1)C1CC1 ZINC000720397514 712838340 /nfs/dbraw/zinc/83/83/40/712838340.db2.gz MCYVHPQBRMFJGM-NSHDSACASA-N 0 3 208.305 2.589 20 0 BFADHN Cc1conc1CN[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000720420401 712841305 /nfs/dbraw/zinc/84/13/05/712841305.db2.gz NBFJJQQGZUVTRN-ZMLRMANQSA-N 0 3 222.332 2.897 20 0 BFADHN c1cc(CNCC2CCC3(CC3)CC2)no1 ZINC000720421194 712841567 /nfs/dbraw/zinc/84/15/67/712841567.db2.gz CPOVVSLNCUPUGH-UHFFFAOYSA-N 0 3 220.316 2.735 20 0 BFADHN Cc1cc(CN2CCO[C@@H](C)CC2)cc(C)c1O ZINC000720493785 712847511 /nfs/dbraw/zinc/84/75/11/712847511.db2.gz GVMCKBBCOUQPME-ZDUSSCGKSA-N 0 3 249.354 2.620 20 0 BFADHN Cc1ccoc1CNC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000720820884 712869005 /nfs/dbraw/zinc/86/90/05/712869005.db2.gz OOTWBISJRCLSAF-FRRDWIJNSA-N 0 3 235.327 2.635 20 0 BFADHN C[C@H](NC[C@@H]1C[C@H]2CCC[C@H]2O1)c1ccccn1 ZINC000720862815 712871386 /nfs/dbraw/zinc/87/13/86/712871386.db2.gz UYITVPBOOKSDEQ-SFDCQRBFSA-N 0 3 246.354 2.690 20 0 BFADHN CC1(NCc2cccc(F)c2N)CC(F)(F)C1 ZINC000720986673 712885523 /nfs/dbraw/zinc/88/55/23/712885523.db2.gz CPXWQZBJWIZTGI-UHFFFAOYSA-N 0 3 244.260 2.685 20 0 BFADHN Cc1ccc(NC2=CCN(C)CC2)cc1C ZINC001208839324 957185330 /nfs/dbraw/zinc/18/53/30/957185330.db2.gz ZUJARYRHZZBXRH-UHFFFAOYSA-N 0 3 216.328 2.935 20 0 BFADHN CC(C)c1ccc(N2C[C@@H](C)N(C)C[C@@H]2C)nc1 ZINC001164636237 719206871 /nfs/dbraw/zinc/20/68/71/719206871.db2.gz JGTZZKFWHNVWBF-OLZOCXBDSA-N 0 3 247.386 2.734 20 0 BFADHN CO[C@@H]1CCC[C@@H](N(C)Cc2cncs2)C1 ZINC001172947986 975003149 /nfs/dbraw/zinc/00/31/49/975003149.db2.gz FSGVXFLCKSDXEH-GHMZBOCLSA-N 0 3 240.372 2.533 20 0 BFADHN CO[C@H]1CCC[C@@H](N(C)Cc2cncs2)C1 ZINC001172947988 975004275 /nfs/dbraw/zinc/00/42/75/975004275.db2.gz FSGVXFLCKSDXEH-MNOVXSKESA-N 0 3 240.372 2.533 20 0 BFADHN C[C@@H]1C[C@@H]1CN1CCC[C@@H]1c1nccs1 ZINC001209421794 957433707 /nfs/dbraw/zinc/43/37/07/957433707.db2.gz OYUAGLYKEHSTRX-GMTAPVOTSA-N 0 3 222.357 2.936 20 0 BFADHN C[C@H]1CCCN(c2cc(CN(C)C)ccn2)[C@H]1C ZINC001165051644 721998715 /nfs/dbraw/zinc/99/87/15/721998715.db2.gz INFWLKFFCWUXCT-STQMWFEESA-N 0 3 247.386 2.768 20 0 BFADHN CC(C)C(Oc1ccnc(N)c1)C(C)C ZINC001226658093 975006161 /nfs/dbraw/zinc/00/61/61/975006161.db2.gz VRGKZGKMYARAON-UHFFFAOYSA-N 0 3 208.305 2.723 20 0 BFADHN C[C@H]1CCCN(Cc2cocn2)C[C@H]1C ZINC001141126226 960346717 /nfs/dbraw/zinc/34/67/17/960346717.db2.gz FFXBVWGZBPBBKY-WDEREUQCSA-N 0 3 208.305 2.543 20 0 BFADHN C[C@H](NCc1ccsc1)C1(Cl)CC1 ZINC000695669960 960341612 /nfs/dbraw/zinc/34/16/12/960341612.db2.gz ZFUUJCIQAZCAFQ-QMMMGPOBSA-N 0 3 215.749 2.998 20 0 BFADHN CCCCc1nc(CN(CC)CC)c[nH]1 ZINC001141067252 960850633 /nfs/dbraw/zinc/85/06/33/960850633.db2.gz XULPJBYCPLKCTE-UHFFFAOYSA-N 0 3 209.337 2.594 20 0 BFADHN Cc1cc([C@@H](C)NCCOC2CC2)c(C)o1 ZINC000711785752 962768831 /nfs/dbraw/zinc/76/88/31/962768831.db2.gz JKLPEAIVIHUKLV-SNVBAGLBSA-N 0 3 223.316 2.726 20 0 BFADHN CCc1cc(CN2[C@@H](C)CCC[C@@H]2C)on1 ZINC001203213241 963847461 /nfs/dbraw/zinc/84/74/61/963847461.db2.gz NCOHEODYCCFXQC-QWRGUYRKSA-N 0 3 222.332 3.000 20 0 BFADHN C[C@@H](Cc1ccc(Cl)cc1)NC1(C)COC1 ZINC001168152524 963872248 /nfs/dbraw/zinc/87/22/48/963872248.db2.gz WXNCWTBOTXTUNR-JTQLQIEISA-N 0 3 239.746 2.650 20 0 BFADHN CC1CCC(N2CCC(=O)[C@@H](F)CC2)CC1 ZINC001168210414 963986794 /nfs/dbraw/zinc/98/67/94/963986794.db2.gz NZKGPEPBPHRVHV-MCIGGMRASA-N 0 3 227.323 2.568 20 0 BFADHN CC[C@H]1COC[C@H]1NCc1ccccc1Cl ZINC000699558342 965975214 /nfs/dbraw/zinc/97/52/14/965975214.db2.gz JIODOSUQYXFFGE-GXFFZTMASA-N 0 3 239.746 2.855 20 0 BFADHN Cc1ccc(CN[C@@H]2COC[C@H]2C)c(Cl)c1 ZINC000699875952 966159349 /nfs/dbraw/zinc/15/93/49/966159349.db2.gz HWOFDOUTLYFLEF-ZWNOBZJWSA-N 0 3 239.746 2.773 20 0 BFADHN O[C@@H]1CCCCN(Cc2ccc(Cl)cc2)C1 ZINC001203919255 966819988 /nfs/dbraw/zinc/81/99/88/966819988.db2.gz SOUFVYMOORPIJK-CYBMUJFWSA-N 0 3 239.746 2.687 20 0 BFADHN CCCC1CCN(Cc2cnn(C)c2C)CC1 ZINC001204570269 967054745 /nfs/dbraw/zinc/05/47/45/967054745.db2.gz IRSGOFMKLNZURF-UHFFFAOYSA-N 0 3 235.375 2.741 20 0 BFADHN CCCC[C@@H](O)CNc1cc(C)cc(C)n1 ZINC001252078639 968497219 /nfs/dbraw/zinc/49/72/19/968497219.db2.gz WTLMGCDQEYDKFZ-GFCCVEGCSA-N 0 3 222.332 2.661 20 0 BFADHN C[C@@H]1CN(Cc2ccc(F)cn2)C2(CC2)C1 ZINC001207042383 968574113 /nfs/dbraw/zinc/57/41/13/968574113.db2.gz AJTYNWNESXAAKS-JTQLQIEISA-N 0 3 220.291 2.595 20 0 BFADHN CCCC[C@@H](O)CN[C@H](C)c1cccc(C)n1 ZINC001252111584 968596886 /nfs/dbraw/zinc/59/68/86/968596886.db2.gz YATFBIFOZNLFGF-CHWSQXEVSA-N 0 3 236.359 2.592 20 0 BFADHN CS[C@H](C)CCN1CC[C@@H](C)[C@H](F)C1 ZINC001208138769 969055326 /nfs/dbraw/zinc/05/53/26/969055326.db2.gz NBFGULDHOSBCEQ-GMTAPVOTSA-N 0 3 219.369 2.808 20 0 BFADHN CC/C=C\CCCN1C[C@@H](C)OC[C@@H]1C ZINC001208139386 969057235 /nfs/dbraw/zinc/05/72/35/969057235.db2.gz YKLQHCONNSOJBU-AGFGORMFSA-N 0 3 211.349 2.842 20 0 BFADHN CC1(C)CN(CCC2CCCC2)CCC1=O ZINC001208694447 969196351 /nfs/dbraw/zinc/19/63/51/969196351.db2.gz PMVZBPISADOZDT-UHFFFAOYSA-N 0 3 223.360 2.868 20 0 BFADHN C[C@H](CN(C)CCc1cccnc1)C(F)(F)F ZINC001208905527 969294893 /nfs/dbraw/zinc/29/48/93/969294893.db2.gz PLOVOUXFBSBQDI-SNVBAGLBSA-N 0 3 246.276 2.754 20 0 BFADHN CC[C@@H](N[C@H]1CCCOC1)c1ccc(C)o1 ZINC001254369113 969582939 /nfs/dbraw/zinc/58/29/39/969582939.db2.gz YBQMDCVOZJHUGD-NWDGAFQWSA-N 0 3 223.316 2.808 20 0 BFADHN C[C@H](N)c1nccn1C1CCC2(CC2)CC1 ZINC001254432623 969619614 /nfs/dbraw/zinc/61/96/14/969619614.db2.gz PFBJFAXLQLISDH-JTQLQIEISA-N 0 3 219.332 2.798 20 0 BFADHN CCCC[C@@H](C)N1CC(N2CCC(F)CC2)C1 ZINC001255329111 970108361 /nfs/dbraw/zinc/10/83/61/970108361.db2.gz JGLOVAUUSWPZRE-GFCCVEGCSA-N 0 3 242.382 2.683 20 0 BFADHN COC[C@H](C)N(C)[C@@H](C)c1ccccc1OC ZINC001255581858 970381675 /nfs/dbraw/zinc/38/16/75/970381675.db2.gz PEKXGLPPLSWKHH-RYUDHWBXSA-N 0 3 237.343 2.723 20 0 BFADHN CC(=O)[C@@H]1CCCN([C@@H](C)CC(F)(F)F)C1 ZINC001255654815 970430150 /nfs/dbraw/zinc/43/01/50/970430150.db2.gz GYTBUOWLDKVLHG-WCBMZHEXSA-N 0 3 237.265 2.628 20 0 BFADHN NCc1cncn1[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC001255687203 970457751 /nfs/dbraw/zinc/45/77/51/970457751.db2.gz YLVSPPFFFNAGAA-IUCAKERBSA-N 0 3 247.264 2.635 20 0 BFADHN Cc1c(F)cccc1O[C@H]1CCCN(C)C1 ZINC001226774962 975075361 /nfs/dbraw/zinc/07/53/61/975075361.db2.gz OMBLAUCFMLJBEP-NSHDSACASA-N 0 3 223.291 2.607 20 0 BFADHN Cc1c(F)cccc1O[C@@H]1CCCN(C)C1 ZINC001226774960 975075756 /nfs/dbraw/zinc/07/57/56/975075756.db2.gz OMBLAUCFMLJBEP-LLVKDONJSA-N 0 3 223.291 2.607 20 0 BFADHN CC[C@@H]1CC[C@H](n2ccnc2[C@@H](C)N)C1 ZINC001173259923 975110438 /nfs/dbraw/zinc/11/04/38/975110438.db2.gz IBYZDZBTFLLSRF-MXWKQRLJSA-N 0 3 207.321 2.654 20 0 BFADHN CCSC[C@H](C)N1CC2(C1)CC(F)(F)C2 ZINC001173301298 975196262 /nfs/dbraw/zinc/19/62/62/975196262.db2.gz QNUAVKXIFBMYNQ-VIFPVBQESA-N 0 3 235.343 2.859 20 0 BFADHN CCSC[C@@H](C)N1CCc2cnccc2C1 ZINC001173301455 975199328 /nfs/dbraw/zinc/19/93/28/975199328.db2.gz ULIBDNULWGELQC-LLVKDONJSA-N 0 3 236.384 2.581 20 0 BFADHN CCSC[C@H](C)N1CC2(C1)C[C@@H](F)CS2 ZINC001173306016 975210666 /nfs/dbraw/zinc/21/06/66/975210666.db2.gz CVKHSPFJGNPCLK-VHSXEESVSA-N 0 3 249.420 2.657 20 0 BFADHN CCSC[C@H](C)N1CCc2cccnc2C1 ZINC001173312851 975223779 /nfs/dbraw/zinc/22/37/79/975223779.db2.gz WSXJLPOTSJXDAB-NSHDSACASA-N 0 3 236.384 2.581 20 0 BFADHN CCN(Cc1cnc(OC)s1)C(C)(C)C ZINC001333001721 975366968 /nfs/dbraw/zinc/36/69/68/975366968.db2.gz YINLQGNMXXXLFM-UHFFFAOYSA-N 0 3 228.361 2.772 20 0 BFADHN Cc1cc(CN(C)C[C@H](C)C(C)(C)C)[nH]n1 ZINC001333384583 975732188 /nfs/dbraw/zinc/73/21/88/975732188.db2.gz HBIBVGQOSLVVFP-JTQLQIEISA-N 0 3 223.364 2.832 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@H]2C=CCCC2)cc1F ZINC001333696873 976000531 /nfs/dbraw/zinc/00/05/31/976000531.db2.gz GEHLCXICUCMVNQ-LLVKDONJSA-N 0 3 221.275 2.730 20 0 BFADHN Cc1c(F)ccc(Nc2ncc[nH]2)c1F ZINC001176085261 977887921 /nfs/dbraw/zinc/88/79/21/977887921.db2.gz HISHOZGKGFDXIS-UHFFFAOYSA-N 0 3 209.199 2.740 20 0 BFADHN CCCN(C)Cc1ccnc(C(F)(F)F)c1 ZINC001232235511 977969145 /nfs/dbraw/zinc/96/91/45/977969145.db2.gz PQFXOUVFZVHTKP-UHFFFAOYSA-N 0 3 232.249 2.942 20 0 BFADHN C[C@@H]1CC[C@@H]1[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001336813389 977977540 /nfs/dbraw/zinc/97/75/40/977977540.db2.gz QLYMHHYEANLFMR-PELKAZGASA-N 0 3 225.719 2.934 20 0 BFADHN CSc1ccc(CN2CCC[C@@H]3C[C@@H]32)cn1 ZINC001232330032 978005307 /nfs/dbraw/zinc/00/53/07/978005307.db2.gz MIRXYMSPBKASBX-NEPJUHHUSA-N 0 3 234.368 2.788 20 0 BFADHN CCOc1ccc(OC)cc1CN(CC)CC ZINC001232382939 978047689 /nfs/dbraw/zinc/04/76/89/978047689.db2.gz RCAFJVFKLQGFKJ-UHFFFAOYSA-N 0 3 237.343 2.936 20 0 BFADHN CCO[C@@H]1CCN(Cc2c(C)cccc2F)C1 ZINC001232483831 978134814 /nfs/dbraw/zinc/13/48/14/978134814.db2.gz PNBFNHTYOGEVCG-GFCCVEGCSA-N 0 3 237.318 2.745 20 0 BFADHN Fc1ccnc(CN(CC2CC2)C2CC2)c1 ZINC001232500046 978152582 /nfs/dbraw/zinc/15/25/82/978152582.db2.gz YJIDEEYWNOXRMH-UHFFFAOYSA-N 0 3 220.291 2.595 20 0 BFADHN C[C@H]1CCCCN1Cc1cccc(O)c1F ZINC001233049230 978441166 /nfs/dbraw/zinc/44/11/66/978441166.db2.gz KXNOBZKMRHJKIR-JTQLQIEISA-N 0 3 223.291 2.906 20 0 BFADHN Cc1cnsc1CN1C2CCC1CC2 ZINC001233320912 978555666 /nfs/dbraw/zinc/55/56/66/978555666.db2.gz SCWBNPIURLTMBC-UHFFFAOYSA-N 0 3 208.330 2.578 20 0 BFADHN Cc1ncc(O[C@H]2CCCN(C)C2)cc1Cl ZINC001233937035 978735012 /nfs/dbraw/zinc/73/50/12/978735012.db2.gz VVJBVWFTBFPVHT-JTQLQIEISA-N 0 3 240.734 2.516 20 0 BFADHN CN(Cc1c(N)cccc1F)CC(C)(C)C ZINC000070961783 978948700 /nfs/dbraw/zinc/94/87/00/978948700.db2.gz HLOPCUXUTFSYES-UHFFFAOYSA-N 0 3 224.323 2.886 20 0 BFADHN CCCCN(CC)Cc1ccncc1OC ZINC001206438003 978987994 /nfs/dbraw/zinc/98/79/94/978987994.db2.gz JELNZJOMQLFJJW-UHFFFAOYSA-N 0 3 222.332 2.712 20 0 BFADHN Cc1cc(CN2CCOCC[C@@H]2C)cs1 ZINC001340849369 979122833 /nfs/dbraw/zinc/12/28/33/979122833.db2.gz VJZAWTCKOSTDGZ-JTQLQIEISA-N 0 3 225.357 2.667 20 0 BFADHN CC(C)C[N@H+](C)Cc1sc(=O)[n-]c1Cl ZINC001237160176 979824587 /nfs/dbraw/zinc/82/45/87/979824587.db2.gz HHUIFQAJENHXDO-UHFFFAOYSA-N 0 3 234.752 2.590 20 0 BFADHN CC(C)C[N@@H+](C)Cc1sc(=O)[n-]c1Cl ZINC001237160176 979824589 /nfs/dbraw/zinc/82/45/89/979824589.db2.gz HHUIFQAJENHXDO-UHFFFAOYSA-N 0 3 234.752 2.590 20 0 BFADHN CC1CC([N@H+](C)Cc2occc2[S-])C1 ZINC001237180143 979827189 /nfs/dbraw/zinc/82/71/89/979827189.db2.gz HHKPZLFCQQTWCS-UHFFFAOYSA-N 0 3 211.330 2.799 20 0 BFADHN CC1CC([N@@H+](C)Cc2occc2[S-])C1 ZINC001237180143 979827193 /nfs/dbraw/zinc/82/71/93/979827193.db2.gz HHKPZLFCQQTWCS-UHFFFAOYSA-N 0 3 211.330 2.799 20 0 BFADHN CC1CC[NH+](Cc2occc2[S-])CC1 ZINC001237183644 979834633 /nfs/dbraw/zinc/83/46/33/979834633.db2.gz TXEJCRRIXPCYGP-UHFFFAOYSA-N 0 3 211.330 2.800 20 0 BFADHN CO[C@@H]1CCC[N@H+](Cc2occc2[S-])CC1 ZINC001237189246 979841758 /nfs/dbraw/zinc/84/17/58/979841758.db2.gz BOFHRHYEAIREQA-SNVBAGLBSA-N 0 3 241.356 2.569 20 0 BFADHN CO[C@@H]1CCC[N@@H+](Cc2occc2[S-])CC1 ZINC001237189246 979841767 /nfs/dbraw/zinc/84/17/67/979841767.db2.gz BOFHRHYEAIREQA-SNVBAGLBSA-N 0 3 241.356 2.569 20 0 BFADHN CO[C@H]1CCC[N@H+](Cc2occc2[S-])CC1 ZINC001237189241 979842033 /nfs/dbraw/zinc/84/20/33/979842033.db2.gz BOFHRHYEAIREQA-JTQLQIEISA-N 0 3 241.356 2.569 20 0 BFADHN CO[C@H]1CCC[N@@H+](Cc2occc2[S-])CC1 ZINC001237189241 979842040 /nfs/dbraw/zinc/84/20/40/979842040.db2.gz BOFHRHYEAIREQA-JTQLQIEISA-N 0 3 241.356 2.569 20 0 BFADHN Cc1nc(CN2CCC[C@@H]2C)ccc1F ZINC001237815822 980067696 /nfs/dbraw/zinc/06/76/96/980067696.db2.gz XVOMCRHVOAUQGO-VIFPVBQESA-N 0 3 208.280 2.513 20 0 BFADHN CCOC(=O)c1ccccc1CN(C)C(C)C ZINC001237877861 980108267 /nfs/dbraw/zinc/10/82/67/980108267.db2.gz DVRBPRZUIMYWGK-UHFFFAOYSA-N 0 3 235.327 2.704 20 0 BFADHN COc1ccoc1CN1CCC[C@H](C)[C@H]1C ZINC001237871822 980109077 /nfs/dbraw/zinc/10/90/77/980109077.db2.gz MYFLHQKPVVEUDN-WDEREUQCSA-N 0 3 223.316 2.909 20 0 BFADHN CO[C@@H](C)C1CN(Cc2ccsc2C)C1 ZINC001237975225 980120370 /nfs/dbraw/zinc/12/03/70/980120370.db2.gz WSPJIUQPRCMHEY-VIFPVBQESA-N 0 3 225.357 2.523 20 0 BFADHN CCc1nc(C)c(CN(C)C2CC(C)C2)[nH]1 ZINC001203234106 980698364 /nfs/dbraw/zinc/69/83/64/980698364.db2.gz JNGJWPHWCJTITA-UHFFFAOYSA-N 0 3 221.348 2.511 20 0 BFADHN C[C@@H]1CN(Cc2cccn2C2CC2)[C@@H]1C ZINC001350328824 981925401 /nfs/dbraw/zinc/92/54/01/981925401.db2.gz YEEDYZZIUIZGQS-GHMZBOCLSA-N 0 3 204.317 2.663 20 0 BFADHN NCc1cc(-c2cc(Cl)ccc2F)ccn1 ZINC001241331519 982496992 /nfs/dbraw/zinc/49/69/92/982496992.db2.gz IHPXCVAFPSYNAK-UHFFFAOYSA-N 0 3 236.677 3.000 20 0 BFADHN CSc1ncc(C2=CCCN(C)C2)cc1C ZINC001241568580 982817464 /nfs/dbraw/zinc/81/74/64/982817464.db2.gz INKJRTXAMBVKHC-UHFFFAOYSA-N 0 3 234.368 2.831 20 0 BFADHN CCCC[C@@H](CC)CN1C[C@H](F)[C@@H](OC)C1 ZINC001201563130 983333271 /nfs/dbraw/zinc/33/32/71/983333271.db2.gz KYORAFOBWJAYJI-AGIUHOORSA-N 0 3 231.355 2.872 20 0 BFADHN CN(CCCC(F)(F)F)Cc1ccccn1 ZINC001202368635 983642574 /nfs/dbraw/zinc/64/25/74/983642574.db2.gz SLOFRMYLLLZLCV-UHFFFAOYSA-N 0 3 232.249 2.856 20 0 BFADHN CC(C)OCC[C@@H](C)NCc1ncccc1F ZINC001172432595 974715335 /nfs/dbraw/zinc/71/53/35/974715335.db2.gz BAFKNEXTVQDEGQ-LLVKDONJSA-N 0 3 240.322 2.514 20 0 BFADHN CN1CCC(Oc2cc(F)c(F)c(F)c2)CC1 ZINC001225915370 974727153 /nfs/dbraw/zinc/72/71/53/974727153.db2.gz SROJMHSZVSNXOH-UHFFFAOYSA-N 0 3 245.244 2.577 20 0 BFADHN CC(C)OCC[C@H](C)NCc1ccncc1F ZINC001172439107 974794825 /nfs/dbraw/zinc/79/48/25/974794825.db2.gz YHTAEQUPWAUFIN-NSHDSACASA-N 0 3 240.322 2.514 20 0 BFADHN CC(C)COCC[C@@H](C)n1ccc([C@@H](C)N)n1 ZINC001172457468 974820950 /nfs/dbraw/zinc/82/09/50/974820950.db2.gz AKYUJONLXAAZPT-VXGBXAGGSA-N 0 3 239.363 2.527 20 0 BFADHN CCCN(CC)Cc1ncn2ccccc12 ZINC001144610933 972885024 /nfs/dbraw/zinc/88/50/24/972885024.db2.gz FZRRSCIOACDJNV-UHFFFAOYSA-N 0 3 217.316 2.566 20 0 BFADHN C[C@H](Cc1ccccn1)N(C)Cc1cccnc1 ZINC001256408803 970814789 /nfs/dbraw/zinc/81/47/89/970814789.db2.gz DRJPNHROLMBNCE-CYBMUJFWSA-N 0 3 241.338 2.540 20 0 BFADHN C[C@@H](Cc1ccccn1)N1CCCC[C@@H](F)C1 ZINC001256410433 970818131 /nfs/dbraw/zinc/81/81/31/970818131.db2.gz KXEUOJNGLWUMGA-QWHCGFSZSA-N 0 3 236.334 2.837 20 0 BFADHN CCOC1CCC(N2CCc3occc3C2)CC1 ZINC001256444935 970845071 /nfs/dbraw/zinc/84/50/71/970845071.db2.gz BLJYSBQPGKOXEQ-UHFFFAOYSA-N 0 3 249.354 2.985 20 0 BFADHN COCCN(Cc1ccsc1)C(C)C ZINC001204039158 970911063 /nfs/dbraw/zinc/91/10/63/970911063.db2.gz MCGIFGYTDFSIGU-UHFFFAOYSA-N 0 3 213.346 2.605 20 0 BFADHN CC(C)c1ccc(C2=CNCCC2)cn1 ZINC001243950650 971043367 /nfs/dbraw/zinc/04/33/67/971043367.db2.gz JUJWLFSEHRCXFA-UHFFFAOYSA-N 0 3 202.301 2.929 20 0 BFADHN CCC[C@]1(NCC=C(Cl)Cl)CCOC1 ZINC000403776055 971062393 /nfs/dbraw/zinc/06/23/93/971062393.db2.gz WGKJGYLDEISBNG-JTQLQIEISA-N 0 3 238.158 2.854 20 0 BFADHN Cn1ccnc1Nc1cc(F)cc(C(C)(C)O)c1 ZINC001216488194 971098863 /nfs/dbraw/zinc/09/88/63/971098863.db2.gz IRPGSXMEPBKFKC-UHFFFAOYSA-N 0 3 249.289 2.530 20 0 BFADHN Cc1cc(C)c(-c2cncc3nc[nH]c32)cn1 ZINC001244148142 971126144 /nfs/dbraw/zinc/12/61/44/971126144.db2.gz QMCNCRYIICSBAC-UHFFFAOYSA-N 0 3 224.267 2.637 20 0 BFADHN CC1(C)CCN(Cc2ccc(O)cc2F)C1 ZINC000404097878 971124178 /nfs/dbraw/zinc/12/41/78/971124178.db2.gz YMTBWZMCYVLHJS-UHFFFAOYSA-N 0 3 223.291 2.763 20 0 BFADHN CCC[C@H](CC)NCc1nc(C)oc1C ZINC001257243743 971138243 /nfs/dbraw/zinc/13/82/43/971138243.db2.gz DLEGBQLXORRWGS-NSHDSACASA-N 0 3 210.321 2.960 20 0 BFADHN CCC[C@H](CC)NC1(c2cnccn2)CC1 ZINC001257252741 971149615 /nfs/dbraw/zinc/14/96/15/971149615.db2.gz GOUWMIIPIHZMMI-NSHDSACASA-N 0 3 219.332 2.634 20 0 BFADHN CCC[C@@H](CC)N1CC2(C1)CCCCO2 ZINC001257258787 971156695 /nfs/dbraw/zinc/15/66/95/971156695.db2.gz GMZHLALERIAHJT-GFCCVEGCSA-N 0 3 211.349 2.820 20 0 BFADHN CC(C)(C)SCCNCc1ccns1 ZINC000404430313 971161066 /nfs/dbraw/zinc/16/10/66/971161066.db2.gz AKRHNIVGILZDEZ-UHFFFAOYSA-N 0 3 230.402 2.764 20 0 BFADHN CCc1cc(Nc2cnn(CC)c2)ccn1 ZINC000307775818 971174672 /nfs/dbraw/zinc/17/46/72/971174672.db2.gz STQGQGMLMOCEIP-UHFFFAOYSA-N 0 3 216.288 2.604 20 0 BFADHN CCC(CC)NCc1ccn(CC(F)(F)F)n1 ZINC001257291439 971178130 /nfs/dbraw/zinc/17/81/30/971178130.db2.gz QOXJKSYNKGDJKP-UHFFFAOYSA-N 0 3 249.280 2.724 20 0 BFADHN CCC(CC)N1CCC[C@H]1c1nc(C)no1 ZINC001257300823 971189133 /nfs/dbraw/zinc/18/91/33/971189133.db2.gz JQQVZXPBLTZRRB-NSHDSACASA-N 0 3 223.320 2.704 20 0 BFADHN CCC[C@@H](C)NCC(=O)c1ccc(F)cc1 ZINC001257306670 971196663 /nfs/dbraw/zinc/19/66/63/971196663.db2.gz YSRDVPUGOBCIIZ-SNVBAGLBSA-N 0 3 223.291 2.787 20 0 BFADHN CCC[C@@H](C)NCC(=O)c1ccc(F)cc1F ZINC001257307426 971197807 /nfs/dbraw/zinc/19/78/07/971197807.db2.gz LXNOAFSZZRKJFR-SECBINFHSA-N 0 3 241.281 2.926 20 0 BFADHN CCC[C@@H](C)N1CCN(C)c2nc(C)ccc2C1 ZINC001257319366 971218500 /nfs/dbraw/zinc/21/85/00/971218500.db2.gz OAOJVTKYPSUOHQ-CYBMUJFWSA-N 0 3 247.386 2.830 20 0 BFADHN CCC[C@@H](C)N1CCc2ncccc2C1 ZINC001257320102 971219283 /nfs/dbraw/zinc/21/92/83/971219283.db2.gz FHWFGUDCUPEXRE-LLVKDONJSA-N 0 3 204.317 2.628 20 0 BFADHN FC1(F)CSC2(CN(C3CCCC3)C2)C1 ZINC001257355611 971270325 /nfs/dbraw/zinc/27/03/25/971270325.db2.gz BMINRVJDJHQEAZ-UHFFFAOYSA-N 0 3 233.327 2.756 20 0 BFADHN CCCCOC(=O)CC[C@H](C)NC1(CF)CC1 ZINC001257376522 971293243 /nfs/dbraw/zinc/29/32/43/971293243.db2.gz LLPRDEAMGYLVJI-NSHDSACASA-N 0 3 245.338 2.590 20 0 BFADHN CCCCCC[C@H](CC)N1C[C@@H](O)[C@@H](F)C1 ZINC001170591814 971300368 /nfs/dbraw/zinc/30/03/68/971300368.db2.gz GJNVGRUJAYGJKW-RWMBFGLXSA-N 0 3 231.355 2.750 20 0 BFADHN CCCCCC[C@@H](CC)N1C[C@@H](O)[C@@H](F)C1 ZINC001170591813 971301126 /nfs/dbraw/zinc/30/11/26/971301126.db2.gz GJNVGRUJAYGJKW-FRRDWIJNSA-N 0 3 231.355 2.750 20 0 BFADHN CCCC[C@@H](CC)n1cnc2c1CNCC2 ZINC001257417712 971314498 /nfs/dbraw/zinc/31/44/98/971314498.db2.gz GXZQGLQPHQZTTH-LLVKDONJSA-N 0 3 221.348 2.670 20 0 BFADHN CCCC[C@H](CC)N1CC[C@@](F)(C(=O)OC)C1 ZINC001257419412 971319146 /nfs/dbraw/zinc/31/91/46/971319146.db2.gz WLNPVAJYDQICKL-AAEUAGOBSA-N 0 3 245.338 2.542 20 0 BFADHN CCCC[C@@H](CC)N1CCc2ncncc2C1 ZINC001257423047 971325680 /nfs/dbraw/zinc/32/56/80/971325680.db2.gz LIFXONGWZCMFKO-CYBMUJFWSA-N 0 3 233.359 2.804 20 0 BFADHN CCCC[C@H](CC)N1CCc2c(cnn2C)C1 ZINC001257426341 971330295 /nfs/dbraw/zinc/33/02/95/971330295.db2.gz LBBZSUCOUDFWND-ZDUSSCGKSA-N 0 3 235.375 2.747 20 0 BFADHN CC[C@H](C)NCc1c(F)c(F)ccc1OC ZINC001257430198 971337729 /nfs/dbraw/zinc/33/77/29/971337729.db2.gz IEPFQIHEFBOFSZ-QMMMGPOBSA-N 0 3 229.270 2.862 20 0 BFADHN CC[C@@H](C)N[C@@H]1CCc2ccccc2C1=O ZINC001257435520 971346714 /nfs/dbraw/zinc/34/67/14/971346714.db2.gz GAEXZIWWPORGJG-ZWNOBZJWSA-N 0 3 217.312 2.572 20 0 BFADHN CC[C@H](C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001257446520 971361344 /nfs/dbraw/zinc/36/13/44/971361344.db2.gz OFBBDLLJIXTUDZ-ZETCQYMHSA-N 0 3 247.264 2.519 20 0 BFADHN O=C(CNC1CCCCC1)c1cccc(F)c1 ZINC001257453430 971373808 /nfs/dbraw/zinc/37/38/08/971373808.db2.gz MYRQCWFXXXOTQE-UHFFFAOYSA-N 0 3 235.302 2.931 20 0 BFADHN Cc1noc([C@@H]2CCCN2C2CCCCC2)n1 ZINC001257459037 971381535 /nfs/dbraw/zinc/38/15/35/971381535.db2.gz LBSRGSGOOJGSBT-LBPRGKRZSA-N 0 3 235.331 2.848 20 0 BFADHN c1cnc([C@@H]2CCCN2C2CCCCC2)nc1 ZINC001257467495 971394014 /nfs/dbraw/zinc/39/40/14/971394014.db2.gz YDHBFETWPFBUMS-ZDUSSCGKSA-N 0 3 231.343 2.946 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N1C[C@H](F)C[C@H]1CO ZINC001257477900 971398931 /nfs/dbraw/zinc/39/89/31/971398931.db2.gz PKLTYLHUGSCDRG-DGAVXFQQSA-N 0 3 245.382 2.996 20 0 BFADHN CCCCC[C@@H](C)N1CCC[C@@H]1C(=O)OC ZINC001257507949 971426204 /nfs/dbraw/zinc/42/62/04/971426204.db2.gz AIZXUVHALWWRBF-VXGBXAGGSA-N 0 3 227.348 2.593 20 0 BFADHN CCCCC[C@@H](C)N1CC[C@@](C)(O)[C@@H](F)C1 ZINC001257512200 971432932 /nfs/dbraw/zinc/43/29/32/971432932.db2.gz LIUQPQAWINFUPV-FRRDWIJNSA-N 0 3 231.355 2.750 20 0 BFADHN CCCCC[C@H](C)N1CC[C@@](C)(O)[C@@H](F)C1 ZINC001257512201 971434929 /nfs/dbraw/zinc/43/49/29/971434929.db2.gz LIUQPQAWINFUPV-RWMBFGLXSA-N 0 3 231.355 2.750 20 0 BFADHN CCCCC[C@H](C)N(C)Cc1ccncn1 ZINC001257518818 971440570 /nfs/dbraw/zinc/44/05/70/971440570.db2.gz USOMVVZNGZWHRD-LBPRGKRZSA-N 0 3 221.348 2.877 20 0 BFADHN CCCC(CCC)OC[C@@H]1COC(C)(C)N1 ZINC001217869314 971464716 /nfs/dbraw/zinc/46/47/16/971464716.db2.gz BURHBMJESRHJCL-LLVKDONJSA-N 0 3 229.364 2.696 20 0 BFADHN FC1(F)CC2(CN([C@H]3CCCSC3)C2)C1 ZINC001257668557 971468609 /nfs/dbraw/zinc/46/86/09/971468609.db2.gz RWFMRZMXEGCZDT-VIFPVBQESA-N 0 3 233.327 2.613 20 0 BFADHN Cc1cc(C)c(O[C@@H]2CCNC[C@@H]2F)c(C)c1 ZINC001218064785 971594050 /nfs/dbraw/zinc/59/40/50/971594050.db2.gz AXOLMRIDJWVEEB-QWHCGFSZSA-N 0 3 237.318 2.691 20 0 BFADHN F[C@H]1CNCC[C@H]1Oc1ccc2c(c1)CCCC2 ZINC001218064823 971594634 /nfs/dbraw/zinc/59/46/34/971594634.db2.gz PTIXOQRZRHUFLS-LSDHHAIUSA-N 0 3 249.329 2.644 20 0 BFADHN F[C@@H]1CNCC[C@H]1Oc1ccc2ccccc2c1 ZINC001218063522 971595605 /nfs/dbraw/zinc/59/56/05/971595605.db2.gz BPQYIZREIJRKRI-HUUCEWRRSA-N 0 3 245.297 2.919 20 0 BFADHN Cc1cccc(Cl)c1O[C@@H]1CCNC[C@@H]1F ZINC001218064445 971595678 /nfs/dbraw/zinc/59/56/78/971595678.db2.gz LCQQFHVWDPWRSU-WDEREUQCSA-N 0 3 243.709 2.727 20 0 BFADHN Cc1cc(O[C@@H]2CCNC[C@H]2F)cc(C)c1C ZINC001218069769 971592474 /nfs/dbraw/zinc/59/24/74/971592474.db2.gz KSNLJEUIVIODOA-ZIAGYGMSSA-N 0 3 237.318 2.691 20 0 BFADHN F[C@H]1CNCC[C@@H]1Oc1ccc2ccccc2c1 ZINC001218063520 971592940 /nfs/dbraw/zinc/59/29/40/971592940.db2.gz BPQYIZREIJRKRI-GJZGRUSLSA-N 0 3 245.297 2.919 20 0 BFADHN CC(C)(C)c1csc(N=C2CCCNC2)n1 ZINC001159113813 971696419 /nfs/dbraw/zinc/69/64/19/971696419.db2.gz XZKIWGYCXWZZJC-UHFFFAOYSA-N 0 3 237.372 2.730 20 0 BFADHN CCn1ccnc1CNCCCC(C)(C)C ZINC000309670613 971753270 /nfs/dbraw/zinc/75/32/70/971753270.db2.gz VTPUMMGZFDEJBR-UHFFFAOYSA-N 0 3 223.364 2.819 20 0 BFADHN CC[C@H](C)OCC[C@@H](C)N1CC[C@@H](F)C1 ZINC001172339128 974604884 /nfs/dbraw/zinc/60/48/84/974604884.db2.gz NXBDWJMEUXDQDK-GRYCIOLGSA-N 0 3 217.328 2.624 20 0 BFADHN CC[C@H](CC(C)C)n1c(C)nc2c1CNCC2 ZINC001172404287 974657303 /nfs/dbraw/zinc/65/73/03/974657303.db2.gz UZJBJZFLXUDUKO-GFCCVEGCSA-N 0 3 235.375 2.834 20 0 BFADHN C[C@@H]1CCC[N@H+](Cc2ccc(O)cc2[O-])CC1 ZINC000092586140 957063262 /nfs/dbraw/zinc/06/32/62/957063262.db2.gz KMCWRNRUTVLHOA-LLVKDONJSA-N 0 3 235.327 2.720 20 0 BFADHN C[C@@H]1CCC[N@@H+](Cc2ccc(O)cc2[O-])CC1 ZINC000092586140 957063274 /nfs/dbraw/zinc/06/32/74/957063274.db2.gz KMCWRNRUTVLHOA-LLVKDONJSA-N 0 3 235.327 2.720 20 0 BFADHN CC1(CN(C2CC2)C2COC2)CCCCC1 ZINC001208902033 957229335 /nfs/dbraw/zinc/22/93/35/957229335.db2.gz FXIXKNFZSNKNQI-UHFFFAOYSA-N 0 3 223.360 2.820 20 0 BFADHN Clc1cccc2c1CC[C@@H](N1CCC1)C2 ZINC001171033231 972254726 /nfs/dbraw/zinc/25/47/26/972254726.db2.gz LOGJKHWJDPTLLD-LLVKDONJSA-N 0 3 221.731 2.903 20 0 BFADHN [O-]c1cc(O)ccc1C[N@@H+]1CCC2(CCCC2)C1 ZINC000093336559 957485510 /nfs/dbraw/zinc/48/55/10/957485510.db2.gz OBJIJSUJZMECIX-UHFFFAOYSA-N 0 3 247.338 2.864 20 0 BFADHN [O-]c1cc(O)ccc1C[N@H+]1CCC2(CCCC2)C1 ZINC000093336559 957485520 /nfs/dbraw/zinc/48/55/20/957485520.db2.gz OBJIJSUJZMECIX-UHFFFAOYSA-N 0 3 247.338 2.864 20 0 BFADHN C[C@H]1CN(Cc2cncs2)C2(CC2)C1 ZINC001209550313 957498161 /nfs/dbraw/zinc/49/81/61/957498161.db2.gz AXUJXSFHBRHLOP-SECBINFHSA-N 0 3 208.330 2.518 20 0 BFADHN Cc1ccc2[nH]c(-c3cnccc3N)nc2c1C ZINC000397369504 957611962 /nfs/dbraw/zinc/61/19/62/957611962.db2.gz RZWRFXTUJSRWJZ-UHFFFAOYSA-N 0 3 238.294 2.654 20 0 BFADHN C[C@H]1CCCN1Cc1cc(Cl)ccc1N ZINC000086549349 957634204 /nfs/dbraw/zinc/63/42/04/957634204.db2.gz IRAGDBMJZXIDAI-VIFPVBQESA-N 0 3 224.735 2.907 20 0 BFADHN C[C@@H]1C[C@H](NCc2cscn2)CCS1 ZINC000888078003 957752646 /nfs/dbraw/zinc/75/26/46/957752646.db2.gz ZRFAUJYSAMRPKR-RKDXNWHRSA-N 0 3 228.386 2.517 20 0 BFADHN Cc1oncc1Nc1ccccc1CN(C)C ZINC001210580673 957807620 /nfs/dbraw/zinc/80/76/20/957807620.db2.gz GCUYDBDNJLOCFG-UHFFFAOYSA-N 0 3 231.299 2.788 20 0 BFADHN CC(C)N(Cc1ncc2ccccn21)C(C)C ZINC001207048391 957846249 /nfs/dbraw/zinc/84/62/49/957846249.db2.gz PGLRRLPSMHJZTH-UHFFFAOYSA-N 0 3 231.343 2.953 20 0 BFADHN Cc1cc(CN2C[C@@H]3CCCC(=O)[C@H]3C2)oc1C ZINC001204540800 958031650 /nfs/dbraw/zinc/03/16/50/958031650.db2.gz BWLORDSGEYUMDZ-JSGCOSHPSA-N 0 3 247.338 2.697 20 0 BFADHN [O-]c1ccc(C[NH2+][C@@]23C[C@@H]2CCCC3)cc1F ZINC000693284338 958162360 /nfs/dbraw/zinc/16/23/60/958162360.db2.gz NTWXTBXQUMRIDX-FZMZJTMJSA-N 0 3 235.302 2.954 20 0 BFADHN FC1CC2(C1)CCCN(Cc1ccncn1)CC2 ZINC001140348449 958278808 /nfs/dbraw/zinc/27/88/08/958278808.db2.gz WIGKTEJMZQQQBU-UHFFFAOYSA-N 0 3 249.333 2.581 20 0 BFADHN COc1ccc(CN2CCCCC23CC3)cn1 ZINC001137037683 972335631 /nfs/dbraw/zinc/33/56/31/972335631.db2.gz QYXVFQQVGYIZQM-UHFFFAOYSA-N 0 3 232.327 2.609 20 0 BFADHN CC(C)n1cc(CNC[C@]23C[C@H]2CCCC3)nn1 ZINC000693548395 958764705 /nfs/dbraw/zinc/76/47/05/958764705.db2.gz WLBGNDSOMWYXTO-OCCSQVGLSA-N 0 3 248.374 2.529 20 0 BFADHN CSCCCNc1cc(C)cc(C)n1 ZINC000707890181 958794090 /nfs/dbraw/zinc/79/40/90/958794090.db2.gz DENYQFXVKRAYON-UHFFFAOYSA-N 0 3 210.346 2.863 20 0 BFADHN Cc1cc(C)nc(NC[C@H]2CCCCO2)c1 ZINC000707915028 958811579 /nfs/dbraw/zinc/81/15/79/958811579.db2.gz MVGFCRWCSAUXPI-GFCCVEGCSA-N 0 3 220.316 2.679 20 0 BFADHN COc1cc(Nc2ccncc2C)c(Cl)cn1 ZINC001216385530 958873119 /nfs/dbraw/zinc/87/31/19/958873119.db2.gz OTYLMGXEKGEBEA-UHFFFAOYSA-N 0 3 249.701 2.613 20 0 BFADHN Cc1cc(CNC[C@@]23C[C@@H]2CCCC3)on1 ZINC000693587100 958891706 /nfs/dbraw/zinc/89/17/06/958891706.db2.gz BGESADKJMFTDEO-WCQYABFASA-N 0 3 220.316 2.653 20 0 BFADHN Cc1nc[nH]c1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001204588655 958915372 /nfs/dbraw/zinc/91/53/72/958915372.db2.gz BHVOQBYKHYMNGS-JSGCOSHPSA-N 0 3 233.359 2.873 20 0 BFADHN CC(C)(C)c1cc(Nc2cn[nH]c(=O)c2)ccn1 ZINC001213163228 959007391 /nfs/dbraw/zinc/00/73/91/959007391.db2.gz ZAJLAUWXRHYEJA-UHFFFAOYSA-N 0 3 244.298 2.618 20 0 BFADHN CC[C@@H](C)[C@@H](C)N1CCCc2c(cnn2C)C1 ZINC001171120261 972405759 /nfs/dbraw/zinc/40/57/59/972405759.db2.gz RIRNKWNDRIPDDA-VXGBXAGGSA-N 0 3 235.375 2.603 20 0 BFADHN CC[C@H](C)[C@@H](C)N1CCCc2c(cnn2C)C1 ZINC001171120256 972408621 /nfs/dbraw/zinc/40/86/21/972408621.db2.gz RIRNKWNDRIPDDA-NWDGAFQWSA-N 0 3 235.375 2.603 20 0 BFADHN CN(Cc1ccc(Cl)nc1)CC1(C)CC1 ZINC000693742866 959254471 /nfs/dbraw/zinc/25/44/71/959254471.db2.gz CSSBXSUWRYMNGP-UHFFFAOYSA-N 0 3 224.735 2.967 20 0 BFADHN C[C@@H]1C[C@H](n2cnc3c2CNCC3)CC(C)(C)C1 ZINC000708329788 959337619 /nfs/dbraw/zinc/33/76/19/959337619.db2.gz PCPDCXDTBJQWEN-NEPJUHHUSA-N 0 3 247.386 2.916 20 0 BFADHN COc1c(F)c(C)ccc1-c1ccc(CN)nc1 ZINC001222305496 972438048 /nfs/dbraw/zinc/43/80/48/972438048.db2.gz SPAKMVXEEIAFKC-UHFFFAOYSA-N 0 3 246.285 2.663 20 0 BFADHN COC(C)(C)[C@H](C)N[C@H](C)c1nc(C)cs1 ZINC000301012292 959589164 /nfs/dbraw/zinc/58/91/64/959589164.db2.gz OJVBIVIZXZMDLP-ZJUUUORDSA-N 0 3 242.388 2.916 20 0 BFADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1ncccn1 ZINC001351317818 959591890 /nfs/dbraw/zinc/59/18/90/959591890.db2.gz ACGCIFWKPAIRDL-VOAKCMCISA-N 0 3 233.359 2.952 20 0 BFADHN CN(CCC(=O)c1ccccc1)Cc1cc[nH]c1 ZINC001140707474 959674197 /nfs/dbraw/zinc/67/41/97/959674197.db2.gz NAKSKQSWPXUAND-UHFFFAOYSA-N 0 3 242.322 2.720 20 0 BFADHN FCCC[C@@H]1CCC[C@@H]1NCc1ccon1 ZINC000393753227 959899392 /nfs/dbraw/zinc/89/93/92/959899392.db2.gz UJXZQTRWDVAPPV-JQWIXIFHSA-N 0 3 226.295 2.683 20 0 BFADHN Cc1c[nH]c(CN2CC[C@]3(CC3(F)F)C2)c1C ZINC001140862565 959998976 /nfs/dbraw/zinc/99/89/76/959998976.db2.gz FPYYTQZMPGRIFX-LBPRGKRZSA-N 0 3 240.297 2.863 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N1C[C@H](N)[C@@H](F)C1 ZINC001246536388 972475071 /nfs/dbraw/zinc/47/50/71/972475071.db2.gz PASVONVDTPLVSS-RNJOBUHISA-N 0 3 230.371 2.572 20 0 BFADHN COC(=O)/C(C)=C\CNC(C)(C)c1ccccc1 ZINC000394151247 960048040 /nfs/dbraw/zinc/04/80/40/960048040.db2.gz RCQUFAJGOYHPNS-BENRWUELSA-N 0 3 247.338 2.631 20 0 BFADHN Cc1cc(C)nc(N[C@H]2CCO[C@H](C)C2)c1 ZINC000309779971 960118972 /nfs/dbraw/zinc/11/89/72/960118972.db2.gz MRSASWLYGKDFKK-NEPJUHHUSA-N 0 3 220.316 2.678 20 0 BFADHN Cc1nn(C)c(Cl)c1CNC1CC(C)(C)C1 ZINC000395169831 960217328 /nfs/dbraw/zinc/21/73/28/960217328.db2.gz GVFNNXURMDFPQS-UHFFFAOYSA-N 0 3 241.766 2.660 20 0 BFADHN C[C@]1(CF)CCN(Cc2ccn3ccnc3c2)C1 ZINC001141047562 960257136 /nfs/dbraw/zinc/25/71/36/960257136.db2.gz LDSRQUBGIMKCHW-CQSZACIVSA-N 0 3 247.317 2.516 20 0 BFADHN Cc1cc(CNC(C)(C)c2ccccc2)[nH]n1 ZINC001327213502 972504744 /nfs/dbraw/zinc/50/47/44/972504744.db2.gz UOVZCQUVCZDHIH-UHFFFAOYSA-N 0 3 229.327 2.743 20 0 BFADHN Cc1cc(CNC(C)(C)c2ccccc2)n[nH]1 ZINC001327213502 972504751 /nfs/dbraw/zinc/50/47/51/972504751.db2.gz UOVZCQUVCZDHIH-UHFFFAOYSA-N 0 3 229.327 2.743 20 0 BFADHN CCOCC[C@H](C)NCc1cc(C(C)C)no1 ZINC001172410696 974673814 /nfs/dbraw/zinc/67/38/14/974673814.db2.gz HYFIMYPYMROTFZ-NSHDSACASA-N 0 3 240.347 2.703 20 0 BFADHN CO[C@H](C)C1CN(Cc2coc3ccccc23)C1 ZINC001140785088 960568752 /nfs/dbraw/zinc/56/87/52/960568752.db2.gz MYCATHZVLONNIU-LLVKDONJSA-N 0 3 245.322 2.900 20 0 BFADHN Cc1cc(F)ccc1CN1CCC[C@@]2(CCO2)C1 ZINC001140782111 960569764 /nfs/dbraw/zinc/56/97/64/960569764.db2.gz GYGNTPOVNLKFCR-OAHLLOKOSA-N 0 3 249.329 2.889 20 0 BFADHN Cc1c[nH]c(CN2CC[C@H]3CCCO[C@H]3C2)c1C ZINC001140858026 960635159 /nfs/dbraw/zinc/63/51/59/960635159.db2.gz FEBXOMYIZXNWGL-HIFRSBDPSA-N 0 3 248.370 2.632 20 0 BFADHN Cc1c[nH]c(CN2CC[C@@H]3CCCO[C@@H]3C2)c1C ZINC001140858025 960636405 /nfs/dbraw/zinc/63/64/05/960636405.db2.gz FEBXOMYIZXNWGL-DZGCQCFKSA-N 0 3 248.370 2.632 20 0 BFADHN C[C@@H]1CCCN1Cc1ccc(O)c(F)c1F ZINC001140890204 960670420 /nfs/dbraw/zinc/67/04/20/960670420.db2.gz YYGGRFHYRKIPSF-MRVPVSSYSA-N 0 3 227.254 2.655 20 0 BFADHN C[C@@H]1CCC[N@@H+]1Cc1ccc([O-])c(F)c1F ZINC001140890204 960670426 /nfs/dbraw/zinc/67/04/26/960670426.db2.gz YYGGRFHYRKIPSF-MRVPVSSYSA-N 0 3 227.254 2.655 20 0 BFADHN C[C@@H]1CCC[N@H+]1Cc1ccc([O-])c(F)c1F ZINC001140890204 960670433 /nfs/dbraw/zinc/67/04/33/960670433.db2.gz YYGGRFHYRKIPSF-MRVPVSSYSA-N 0 3 227.254 2.655 20 0 BFADHN c1ncc(CN2CC[C@H]3CCCC[C@H]3C2)o1 ZINC001140932243 960712576 /nfs/dbraw/zinc/71/25/76/960712576.db2.gz GIOOGMXFFGRORS-NEPJUHHUSA-N 0 3 220.316 2.687 20 0 BFADHN CCOCC[C@@H](C)N[C@H](C)c1nc(C)cs1 ZINC001172410930 974675885 /nfs/dbraw/zinc/67/58/85/974675885.db2.gz LMZATEVYARWWFX-MWLCHTKSSA-N 0 3 242.388 2.917 20 0 BFADHN CCCC(=O)[C@@H](CCC)OC1CCN(C)CC1 ZINC001222504386 972531044 /nfs/dbraw/zinc/53/10/44/972531044.db2.gz RZPANLYXOAIYPV-CQSZACIVSA-N 0 3 241.375 2.635 20 0 BFADHN CCOCC[C@@H](C)N[C@@H](C)c1nc(C)cs1 ZINC001172410929 974675667 /nfs/dbraw/zinc/67/56/67/974675667.db2.gz LMZATEVYARWWFX-KOLCDFICSA-N 0 3 242.388 2.917 20 0 BFADHN c1coc(CN2CCC[C@H]3CCCC[C@@H]32)n1 ZINC001141007670 960808632 /nfs/dbraw/zinc/80/86/32/960808632.db2.gz WBVHXSTUQOSJEU-NEPJUHHUSA-N 0 3 220.316 2.829 20 0 BFADHN c1coc(CN2CCC[C@@H]3CCCC[C@H]32)n1 ZINC001141007671 960809622 /nfs/dbraw/zinc/80/96/22/960809622.db2.gz WBVHXSTUQOSJEU-NWDGAFQWSA-N 0 3 220.316 2.829 20 0 BFADHN Cc1ccsc1CN[C@@H]1CCCc2n[nH]cc21 ZINC000335746802 960819897 /nfs/dbraw/zinc/81/98/97/960819897.db2.gz SFQOVHLLLPYYQV-LLVKDONJSA-N 0 3 247.367 2.947 20 0 BFADHN Cc1ccncc1CN1CC2CCC1CC2 ZINC001141036101 960823464 /nfs/dbraw/zinc/82/34/64/960823464.db2.gz MALRTZOUBQREOB-UHFFFAOYSA-N 0 3 216.328 2.764 20 0 BFADHN CCCC1CCN(Cc2ocnc2C)CC1 ZINC001141054422 960853416 /nfs/dbraw/zinc/85/34/16/960853416.db2.gz OGDRXIUFVQUUSN-UHFFFAOYSA-N 0 3 222.332 2.995 20 0 BFADHN Cc1ccc(CN2CCC[C@@]23CCOC3)c(F)c1 ZINC001141196255 960932395 /nfs/dbraw/zinc/93/23/95/960932395.db2.gz NTLNSNXTEFAFKY-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN CCN(Cc1cccc(F)c1OC)C1CC1 ZINC001143524560 961034135 /nfs/dbraw/zinc/03/41/35/961034135.db2.gz HSTOBHZTCBYCBN-UHFFFAOYSA-N 0 3 223.291 2.819 20 0 BFADHN c1cc2c(c(CN3CCC4(CC4)CC3)c1)OCO2 ZINC001141950468 961187467 /nfs/dbraw/zinc/18/74/67/961187467.db2.gz PMBOPRTYZWLFBX-UHFFFAOYSA-N 0 3 245.322 2.791 20 0 BFADHN Cc1nc2ccc(Nc3cnc(C)n3C)cc2o1 ZINC001213065875 961334718 /nfs/dbraw/zinc/33/47/18/961334718.db2.gz OECDLTRTUJTAAP-UHFFFAOYSA-N 0 3 242.282 2.922 20 0 BFADHN CCCCN(C)Cc1[nH]cnc1C(C)(C)C ZINC001142208738 961347444 /nfs/dbraw/zinc/34/74/44/961347444.db2.gz ARZDLNQTUFZJFN-UHFFFAOYSA-N 0 3 223.364 2.939 20 0 BFADHN CCOc1ccc2cc(NC=N)cnc2c1 ZINC001167866517 961351611 /nfs/dbraw/zinc/35/16/11/961351611.db2.gz AFLLAHIXEMMHIB-UHFFFAOYSA-N 0 3 215.256 2.652 20 0 BFADHN C1=C[C@@H]2C[C@H]1C[C@@H]2CN1CCc2ccncc2C1 ZINC001207628412 961372754 /nfs/dbraw/zinc/37/27/54/961372754.db2.gz HELYHQNBMTUBBG-NWANDNLSSA-N 0 3 240.350 2.652 20 0 BFADHN O=C(CN[C@@H]1C[C@H]2C[C@H]2C1)c1ccc(Cl)cc1 ZINC001167894603 961517216 /nfs/dbraw/zinc/51/72/16/961517216.db2.gz PNBQQXKVJXKKDJ-PTEHBNRSSA-N 0 3 249.741 2.911 20 0 BFADHN C[C@@H]1[C@@H](C)CCC[N@@H+]1Cc1ccc(O)cc1[O-] ZINC000092737185 961867229 /nfs/dbraw/zinc/86/72/29/961867229.db2.gz WIEVCNZDCCFLJW-WDEREUQCSA-N 0 3 235.327 2.718 20 0 BFADHN C[C@@H]1[C@@H](C)CCC[N@H+]1Cc1ccc(O)cc1[O-] ZINC000092737185 961867230 /nfs/dbraw/zinc/86/72/30/961867230.db2.gz WIEVCNZDCCFLJW-WDEREUQCSA-N 0 3 235.327 2.718 20 0 BFADHN COc1cc(C)cc(OC)c1CN1CC[C@@H](C)C1 ZINC001143136325 961888405 /nfs/dbraw/zinc/88/84/05/961888405.db2.gz BRKNUNRRCJSCPN-LLVKDONJSA-N 0 3 249.354 2.854 20 0 BFADHN c1c2cccnc2[nH]c1CN1CCC2(CC2)C1 ZINC001143216510 961948343 /nfs/dbraw/zinc/94/83/43/961948343.db2.gz GFHSXNIHZXJHCC-UHFFFAOYSA-N 0 3 227.311 2.549 20 0 BFADHN Cc1cccc2nc([C@@H](C)N)n(C3CC3)c21 ZINC000709964232 961981384 /nfs/dbraw/zinc/98/13/84/961981384.db2.gz NZQDPIZSMNGLJJ-SECBINFHSA-N 0 3 215.300 2.699 20 0 BFADHN Cc1ccc2cc(CN3CC=CC3)ccc2n1 ZINC001141472663 962218432 /nfs/dbraw/zinc/21/84/32/962218432.db2.gz AHBJNFSHZBMOJD-UHFFFAOYSA-N 0 3 224.307 2.915 20 0 BFADHN CCCN(CC)Cc1ccc(C(F)(F)F)nn1 ZINC001141486272 962572873 /nfs/dbraw/zinc/57/28/73/962572873.db2.gz JDJVUUQIBQTOFY-UHFFFAOYSA-N 0 3 247.264 2.727 20 0 BFADHN CC[C@H]1CCC[C@H]1NCc1csnc1OC ZINC000712891455 962998023 /nfs/dbraw/zinc/99/80/23/962998023.db2.gz VYQWFODNRCYBOW-GXSJLCMTSA-N 0 3 240.372 2.820 20 0 BFADHN COc1cccnc1CN1C2CCCC1CCC2 ZINC001206655516 963075668 /nfs/dbraw/zinc/07/56/68/963075668.db2.gz BEAIBLVSSQOXNJ-UHFFFAOYSA-N 0 3 246.354 2.997 20 0 BFADHN Cc1cc(F)cc(CN2CCCO[C@H](C)C2)c1 ZINC001143580339 963153451 /nfs/dbraw/zinc/15/34/51/963153451.db2.gz GBDIESNVLJKZJZ-GFCCVEGCSA-N 0 3 237.318 2.745 20 0 BFADHN CC(C)CC[C@H](C)Oc1ccnc(N)c1 ZINC001226657702 963276658 /nfs/dbraw/zinc/27/66/58/963276658.db2.gz QUSZNKLTLTXTSL-JTQLQIEISA-N 0 3 208.305 2.867 20 0 BFADHN Nc1cc(O[C@H]2CCOc3ccccc32)ccn1 ZINC001226654576 963276985 /nfs/dbraw/zinc/27/69/85/963276985.db2.gz AGABGPVXRIZQNZ-ZDUSSCGKSA-N 0 3 242.278 2.566 20 0 BFADHN C[C@@H](CN(C)C)Oc1ccc2c(c1)CCCC2=O ZINC000713982893 963570845 /nfs/dbraw/zinc/57/08/45/963570845.db2.gz KPSOSKZGOILLTO-NSHDSACASA-N 0 3 247.338 2.535 20 0 BFADHN C[C@@H]1C[C@@H](N[C@H](CF)c2ccccc2)CCO1 ZINC001168084163 963643707 /nfs/dbraw/zinc/64/37/07/963643707.db2.gz PNGPQAGEFXSFKJ-KWCYVHTRSA-N 0 3 237.318 2.854 20 0 BFADHN CCc1cc(CN2[C@H](C)CCC[C@@H]2C)on1 ZINC001203213239 963847697 /nfs/dbraw/zinc/84/76/97/963847697.db2.gz NCOHEODYCCFXQC-PHIMTYICSA-N 0 3 222.332 3.000 20 0 BFADHN C[C@H](N)c1ccn(-c2nccc3ccsc32)n1 ZINC001158348268 963851379 /nfs/dbraw/zinc/85/13/79/963851379.db2.gz DCXYEGKJIRBSNM-QMMMGPOBSA-N 0 3 244.323 2.502 20 0 BFADHN C[C@H](Cc1ccc(Cl)cc1)NC1(C)COC1 ZINC001168152525 963873425 /nfs/dbraw/zinc/87/34/25/963873425.db2.gz WXNCWTBOTXTUNR-SNVBAGLBSA-N 0 3 239.746 2.650 20 0 BFADHN CC(C)(C)Cc1cc(NC2=CNCCC2)on1 ZINC001159123440 964003358 /nfs/dbraw/zinc/00/33/58/964003358.db2.gz CPMHKESYRKPLQW-UHFFFAOYSA-N 0 3 235.331 2.900 20 0 BFADHN O=c1[nH]cc(NC2=CNCCC2)c2ccccc21 ZINC001159220646 964027608 /nfs/dbraw/zinc/02/76/08/964027608.db2.gz UBXMSYMWEYEGDT-UHFFFAOYSA-N 0 3 241.294 2.577 20 0 BFADHN CN(C)c1ccnc(-c2cccc(F)c2)c1 ZINC000200044740 964563270 /nfs/dbraw/zinc/56/32/70/964563270.db2.gz RIRLKAJMJKZFGD-UHFFFAOYSA-N 0 3 216.259 2.954 20 0 BFADHN CN(C)c1cccc(NC2CC3(CCC3)C2)n1 ZINC001161444026 964610372 /nfs/dbraw/zinc/61/03/72/964610372.db2.gz ZXAMHHIMOPXKFS-UHFFFAOYSA-N 0 3 231.343 2.892 20 0 BFADHN C[C@H]1CCCC[C@H]1CNc1ccnc(CN)c1 ZINC001162527414 965028306 /nfs/dbraw/zinc/02/83/06/965028306.db2.gz XGMIVVJRWQXVBL-RYUDHWBXSA-N 0 3 233.359 2.779 20 0 BFADHN CC(C)n1cc(NC(=N)C(C)(C)C)cn1 ZINC001162660850 965092489 /nfs/dbraw/zinc/09/24/89/965092489.db2.gz OLKSORAUWRFHQG-UHFFFAOYSA-N 0 3 208.309 2.899 20 0 BFADHN Cc1ccc(Cl)c(C)c1-n1nccc1CN ZINC001162597449 965067358 /nfs/dbraw/zinc/06/73/58/965067358.db2.gz GLPSPHRNQADPMD-UHFFFAOYSA-N 0 3 235.718 2.601 20 0 BFADHN Cc1cnccc1Nc1ccncc1Cl ZINC001212144523 965073272 /nfs/dbraw/zinc/07/32/72/965073272.db2.gz YWDNYWZTTDYLBV-UHFFFAOYSA-N 0 3 219.675 2.604 20 0 BFADHN CN(C)Cc1cccc(NC(=N)C(C)(C)C)n1 ZINC001162660837 965091538 /nfs/dbraw/zinc/09/15/38/965091538.db2.gz NYAHIIBSKHGYIX-UHFFFAOYSA-N 0 3 234.347 2.578 20 0 BFADHN CC(C)(C)C(=N)Nc1ccncc1C(F)(F)F ZINC001162661720 965096482 /nfs/dbraw/zinc/09/64/82/965096482.db2.gz ZYXGOYMIGFJGPV-UHFFFAOYSA-N 0 3 245.248 2.958 20 0 BFADHN CC(C)[C@H](Nc1cccc(N)n1)c1cccnc1 ZINC001163059318 965248473 /nfs/dbraw/zinc/24/84/73/965248473.db2.gz HJVDBLZCCVRMNM-AWEZNQCLSA-N 0 3 242.326 2.868 20 0 BFADHN CCN(Cc1cnc(C)[nH]1)Cc1cccc(F)c1 ZINC001232464402 965356994 /nfs/dbraw/zinc/35/69/94/965356994.db2.gz CFUWNDHKVMWHCA-UHFFFAOYSA-N 0 3 247.317 2.879 20 0 BFADHN CCOC(=O)CC(=N)Nc1cc(C)c(C)c(C)c1 ZINC001163446669 965403010 /nfs/dbraw/zinc/40/30/10/965403010.db2.gz KEQFFQMZOVLEFV-UHFFFAOYSA-N 0 3 248.326 2.954 20 0 BFADHN Fc1ccc2c(c1)CC[C@H](N1CC[C@@H](F)C1)C2 ZINC001168430471 965478250 /nfs/dbraw/zinc/47/82/50/965478250.db2.gz ZETKDGFJSOXGHF-KGLIPLIRSA-N 0 3 237.293 2.727 20 0 BFADHN C[C@@H](Cc1cccc(F)c1)NCc1cnco1 ZINC001168455705 965760270 /nfs/dbraw/zinc/76/02/70/965760270.db2.gz IMPXKJDMUJHUGI-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN Cn1cncc1NCc1cnc2ccccc2c1 ZINC001164202443 965792761 /nfs/dbraw/zinc/79/27/61/965792761.db2.gz UODZMFSHRGOZLZ-UHFFFAOYSA-N 0 3 238.294 2.580 20 0 BFADHN C[C@@H](N)c1ccn([C@H](C)Cc2cccc(F)c2)n1 ZINC001168468835 965831132 /nfs/dbraw/zinc/83/11/32/965831132.db2.gz OZICOEODQAICAU-GHMZBOCLSA-N 0 3 247.317 2.846 20 0 BFADHN CCc1ccc(CN2CCC[C@H]3C[C@H]32)o1 ZINC001204394482 965950466 /nfs/dbraw/zinc/95/04/66/965950466.db2.gz OXLCCHNPHMKHTA-GXFFZTMASA-N 0 3 205.301 2.826 20 0 BFADHN O=C(Nc1cccc2c1CNC2)[C@H]1CC=CCC1 ZINC000829142302 966044366 /nfs/dbraw/zinc/04/43/66/966044366.db2.gz KQCATRBBHRZHIH-NSHDSACASA-N 0 3 242.322 2.585 20 0 BFADHN C[C@H]1COC[C@@H]1NCc1ccc(F)cc1Cl ZINC000699752080 966065760 /nfs/dbraw/zinc/06/57/60/966065760.db2.gz CRDXZANNOGCFJB-UFBFGSQYSA-N 0 3 243.709 2.604 20 0 BFADHN CCc1cc(CN2C[C@@H](C)C[C@H](C)C2)on1 ZINC001203227401 966068403 /nfs/dbraw/zinc/06/84/03/966068403.db2.gz YUSDTHKFMKQZPY-QWRGUYRKSA-N 0 3 222.332 2.715 20 0 BFADHN C[C@@H]1COC[C@H]1NCc1cc2ccccc2o1 ZINC000699778295 966086196 /nfs/dbraw/zinc/08/61/96/966086196.db2.gz OZNVQAXWNQZKMP-ZWNOBZJWSA-N 0 3 231.295 2.557 20 0 BFADHN CC[C@H](N[C@H]1COC[C@@H]1C)c1ccccc1F ZINC000699792057 966095508 /nfs/dbraw/zinc/09/55/08/966095508.db2.gz VJGBWKDCQGWRDM-BPNCWPANSA-N 0 3 237.318 2.901 20 0 BFADHN C[C@H]1C[C@@H]1c1ccc(CN[C@H]2COC[C@H]2C)o1 ZINC000699790719 966095636 /nfs/dbraw/zinc/09/56/36/966095636.db2.gz SUIJKLZDMAAFND-LFSVMHDDSA-N 0 3 235.327 2.528 20 0 BFADHN CN[C@H]1CCN1CCCc1ccc(Cl)cc1 ZINC001236166907 966280139 /nfs/dbraw/zinc/28/01/39/966280139.db2.gz NBQUQIRAVIBXJD-CYBMUJFWSA-N 0 3 238.762 2.524 20 0 BFADHN CCc1nc(C)c(CN(C)C[C@H]2CC2(C)C)[nH]1 ZINC001203246620 966585689 /nfs/dbraw/zinc/58/56/89/966585689.db2.gz IXJNFBBVWUKORE-LLVKDONJSA-N 0 3 235.375 2.758 20 0 BFADHN COc1cccc(CN2CCC3(CC3)C2)c1OC ZINC001203443056 966647646 /nfs/dbraw/zinc/64/76/46/966647646.db2.gz IKRSLGOZZLLHJR-UHFFFAOYSA-N 0 3 247.338 2.690 20 0 BFADHN COc1ccc(CN2CCCC23CC3)c(OC)c1 ZINC001203471179 966661443 /nfs/dbraw/zinc/66/14/43/966661443.db2.gz LGUIRZSSZBHONK-UHFFFAOYSA-N 0 3 247.338 2.832 20 0 BFADHN Cc1ccccc1CN1C[C@@H](F)C[C@H]1C ZINC001203700344 966738668 /nfs/dbraw/zinc/73/86/68/966738668.db2.gz UQAYIQAROHMBJU-YPMHNXCESA-N 0 3 207.292 2.927 20 0 BFADHN COc1cc(C)c(CN2CC=CC2)cc1C ZINC001203751818 966772712 /nfs/dbraw/zinc/77/27/12/966772712.db2.gz HEFHECSUKUHKGJ-UHFFFAOYSA-N 0 3 217.312 2.684 20 0 BFADHN Fc1cccc(CN2CC3CC(C3)C2)c1 ZINC001203790333 966778886 /nfs/dbraw/zinc/77/88/86/966778886.db2.gz UOBYNNIIGQAWTG-UHFFFAOYSA-N 0 3 205.276 2.668 20 0 BFADHN CCCO[C@@H]1CCN(Cc2cccc(F)c2)C1 ZINC001203787371 966779829 /nfs/dbraw/zinc/77/98/29/966779829.db2.gz YUARUJVOWYKHIZ-CQSZACIVSA-N 0 3 237.318 2.827 20 0 BFADHN Fc1cccc(CN2CCC[C@@H]3C[C@@H]32)c1 ZINC001203787773 966779961 /nfs/dbraw/zinc/77/99/61/966779961.db2.gz DGCJBLMEUTYJKM-YPMHNXCESA-N 0 3 205.276 2.810 20 0 BFADHN Fc1cccc(CN2CC[C@]3(CCCO3)C2)c1 ZINC001203784190 966782266 /nfs/dbraw/zinc/78/22/66/966782266.db2.gz LJKFFNJGGJTSKB-CQSZACIVSA-N 0 3 235.302 2.581 20 0 BFADHN O=C1CCC[C@@H]2CN(Cc3cccc(F)c3)C[C@@H]12 ZINC001203790465 966782301 /nfs/dbraw/zinc/78/23/01/966782301.db2.gz JLKFOHXXUOJHHL-TZMCWYRMSA-N 0 3 247.313 2.627 20 0 BFADHN Fc1cccc(CN2CC[C@@]3(CCCO3)C2)c1 ZINC001203784189 966782392 /nfs/dbraw/zinc/78/23/92/966782392.db2.gz LJKFFNJGGJTSKB-AWEZNQCLSA-N 0 3 235.302 2.581 20 0 BFADHN COc1cccc(CN2CC[C@H](OC(C)C)C2)c1 ZINC001203826567 966789701 /nfs/dbraw/zinc/78/97/01/966789701.db2.gz YBSQBKUNDPESHM-HNNXBMFYSA-N 0 3 249.354 2.695 20 0 BFADHN COc1ccc(CN2CCCC23CC3)cc1O ZINC001203865481 966803027 /nfs/dbraw/zinc/80/30/27/966803027.db2.gz AVWHAKFMFDOEMI-UHFFFAOYSA-N 0 3 233.311 2.529 20 0 BFADHN NCc1ccc(-c2cccc(Cl)c2F)cn1 ZINC001238958302 966804532 /nfs/dbraw/zinc/80/45/32/966804532.db2.gz KHPAYRZMUAMFNQ-UHFFFAOYSA-N 0 3 236.677 3.000 20 0 BFADHN CCOc1ccc(CN2CC[C@H]2C)cc1 ZINC001203963184 966830706 /nfs/dbraw/zinc/83/07/06/966830706.db2.gz NOWANNHIXPKFMB-LLVKDONJSA-N 0 3 205.301 2.680 20 0 BFADHN CCOc1ccc(CN2CC[C@@H]2C)cc1 ZINC001203963185 966832143 /nfs/dbraw/zinc/83/21/43/966832143.db2.gz NOWANNHIXPKFMB-NSHDSACASA-N 0 3 205.301 2.680 20 0 BFADHN c1ccc2c(c1)cccc2CN1CC2(COC2)C1 ZINC001203980493 966832308 /nfs/dbraw/zinc/83/23/08/966832308.db2.gz VWQKROPUMVLHEX-UHFFFAOYSA-N 0 3 239.318 2.672 20 0 BFADHN c1csc(CN2CC[C@H]3CCCO[C@@H]3C2)c1 ZINC001204015032 966856435 /nfs/dbraw/zinc/85/64/35/966856435.db2.gz WAQLPOYCXKRHOM-DGCLKSJQSA-N 0 3 237.368 2.749 20 0 BFADHN c1cc(CN2CCC[C@@]3(CCO3)C2)cs1 ZINC001204040350 966864046 /nfs/dbraw/zinc/86/40/46/966864046.db2.gz ULQGJXNSCMCSAN-GFCCVEGCSA-N 0 3 223.341 2.503 20 0 BFADHN c1cc(CN2CCC[C@]3(CCO3)C2)cs1 ZINC001204040352 966864867 /nfs/dbraw/zinc/86/48/67/966864867.db2.gz ULQGJXNSCMCSAN-LBPRGKRZSA-N 0 3 223.341 2.503 20 0 BFADHN c1cc(CN2CCCC23CC3)cc2c1OCO2 ZINC001204049947 966867973 /nfs/dbraw/zinc/86/79/73/966867973.db2.gz MAVQOEBDJHNDBK-UHFFFAOYSA-N 0 3 231.295 2.544 20 0 BFADHN CCOc1cc(CN2CC3CC(C3)C2)ccc1O ZINC001204136186 966898138 /nfs/dbraw/zinc/89/81/38/966898138.db2.gz LPYRZYXGARMOLZ-UHFFFAOYSA-N 0 3 247.338 2.633 20 0 BFADHN Cc1ccc(CN2CC3(CCC3)C2)cc1 ZINC001204168295 966909866 /nfs/dbraw/zinc/90/98/66/966909866.db2.gz MEXVAAMLLDIOSK-UHFFFAOYSA-N 0 3 201.313 2.981 20 0 BFADHN CC[C@@H]1CCN([C@H](C)c2ncccn2)[C@H]1C ZINC001307702534 966958374 /nfs/dbraw/zinc/95/83/74/966958374.db2.gz JRQTVYFKLKFUQN-QJPTWQEYSA-N 0 3 219.332 2.658 20 0 BFADHN CCc1ccc(CN2C[C@H]3[C@H](CC3(F)F)C2)o1 ZINC001204391917 966986275 /nfs/dbraw/zinc/98/62/75/966986275.db2.gz VNCQKEPGRKJYGN-SKDRFNHKSA-N 0 3 241.281 2.929 20 0 BFADHN CC(=O)n1cc(CN2CCCC2)c2ccccc21 ZINC001204406057 966992319 /nfs/dbraw/zinc/99/23/19/966992319.db2.gz GUHRWULDQGSFQS-UHFFFAOYSA-N 0 3 242.322 2.897 20 0 BFADHN C[C@H]1CCN1Cc1ccc2ccccc2n1 ZINC001204499200 967006328 /nfs/dbraw/zinc/00/63/28/967006328.db2.gz CIKAPKQLAZSVEU-NSHDSACASA-N 0 3 212.296 2.829 20 0 BFADHN Cc1c(F)cccc1CN1CCCO[C@@H](C)C1 ZINC001204506925 967011787 /nfs/dbraw/zinc/01/17/87/967011787.db2.gz OTWCPTFIHWSBFH-NSHDSACASA-N 0 3 237.318 2.745 20 0 BFADHN Cc1cc(CN2C[C@H]3[C@H](CF)[C@H]3C2)oc1C ZINC001204533058 967026837 /nfs/dbraw/zinc/02/68/37/967026837.db2.gz KWLISYUFSVCDBL-XYYAHUGASA-N 0 3 223.291 2.544 20 0 BFADHN Cc1cc(CN2C[C@@H]3CCCC(=O)[C@@H]3C2)oc1C ZINC001204540799 967041255 /nfs/dbraw/zinc/04/12/55/967041255.db2.gz BWLORDSGEYUMDZ-GXTWGEPZSA-N 0 3 247.338 2.697 20 0 BFADHN Cc1c(CN2CCC[C@H]3CCCC[C@@H]32)cnn1C ZINC001204571942 967062093 /nfs/dbraw/zinc/06/20/93/967062093.db2.gz LSFIJOFMSVKEOJ-HIFRSBDPSA-N 0 3 247.386 2.883 20 0 BFADHN C[C@H]1CC[C@H](C)N1Cc1ncccc1F ZINC001204626128 967104300 /nfs/dbraw/zinc/10/43/00/967104300.db2.gz ZWDGPSNYCCGZOR-UWVGGRQHSA-N 0 3 208.280 2.594 20 0 BFADHN COc1ccc(CN2CC3CCC2CC3)nc1C ZINC001249909296 967145413 /nfs/dbraw/zinc/14/54/13/967145413.db2.gz LXNDHKNRVLLFNN-UHFFFAOYSA-N 0 3 246.354 2.773 20 0 BFADHN COc1ccc(CN2CCC3(CCC3)C2)nc1C ZINC001249908232 967145967 /nfs/dbraw/zinc/14/59/67/967145967.db2.gz YPVXWCIQZIGKNX-UHFFFAOYSA-N 0 3 246.354 2.775 20 0 BFADHN CCN(CC)Cc1cnc(Cl)c(OC)c1 ZINC001249911977 967148827 /nfs/dbraw/zinc/14/88/27/967148827.db2.gz FFTFCMZZRIZBST-UHFFFAOYSA-N 0 3 228.723 2.585 20 0 BFADHN CNCc1cccc(CC(=O)C(C)(C)C)c1F ZINC001249920891 967162324 /nfs/dbraw/zinc/16/23/24/967162324.db2.gz VYLSLHQQADLIPB-UHFFFAOYSA-N 0 3 237.318 2.703 20 0 BFADHN CN(C)c1cc(F)cc(CN2CCCCC2)c1 ZINC001249941423 967181819 /nfs/dbraw/zinc/18/18/19/967181819.db2.gz GOUVMUWVEWCCPY-UHFFFAOYSA-N 0 3 236.334 2.878 20 0 BFADHN CC(C)=CCC[C@H](C)CCN1C[C@H](N)[C@@H](F)C1 ZINC001204885155 967209731 /nfs/dbraw/zinc/20/97/31/967209731.db2.gz LESVDZVNQANAQP-IHRRRGAJSA-N 0 3 242.382 2.740 20 0 BFADHN CCOc1cc(CN2CC3CCC2CC3)ccn1 ZINC001250014481 967273410 /nfs/dbraw/zinc/27/34/10/967273410.db2.gz KBOHRRKNRFRULQ-UHFFFAOYSA-N 0 3 246.354 2.855 20 0 BFADHN Cc1n[nH]c(C)c1-c1ccc2c(c1)CN(C)CC2 ZINC001240126574 967291628 /nfs/dbraw/zinc/29/16/28/967291628.db2.gz AVPONMFBCNVCIN-UHFFFAOYSA-N 0 3 241.338 2.681 20 0 BFADHN CCCn1cncc1CN1CCC(C)CC1 ZINC001205101562 967348667 /nfs/dbraw/zinc/34/86/67/967348667.db2.gz SVDLIEBWFOVUIW-UHFFFAOYSA-N 0 3 221.348 2.525 20 0 BFADHN COc1cc(F)c(C)cc1CN1CC[C@H](C)C1 ZINC001250093904 967361062 /nfs/dbraw/zinc/36/10/62/967361062.db2.gz NOHZSMVFMBASEV-JTQLQIEISA-N 0 3 237.318 2.985 20 0 BFADHN Cc1cc(CN2CC[C@@]3(CCCO3)C2)ccc1F ZINC001205162064 967407181 /nfs/dbraw/zinc/40/71/81/967407181.db2.gz NEQXRENRCNCDDY-HNNXBMFYSA-N 0 3 249.329 2.889 20 0 BFADHN CC[C@@H](C)C[C@H](C)Nc1ccc2c(n1)CNCC2 ZINC001168695076 967411041 /nfs/dbraw/zinc/41/10/41/967411041.db2.gz OFLDMNJYINAIQB-NEPJUHHUSA-N 0 3 247.386 2.964 20 0 BFADHN Cc1cc(CN2C[C@H]3OC[C@@H](C)[C@H]3C2)ccc1F ZINC001205192730 967418802 /nfs/dbraw/zinc/41/88/02/967418802.db2.gz GHXOIHGCVRGCQW-UXIGCNINSA-N 0 3 249.329 2.601 20 0 BFADHN COc1cc(F)c(F)cc1CCN1CCCC1 ZINC001250166338 967462215 /nfs/dbraw/zinc/46/22/15/967462215.db2.gz KRXIRYBIOBQYLF-UHFFFAOYSA-N 0 3 241.281 2.612 20 0 BFADHN COc1cc(F)cc(CCN2CCCCC2)c1 ZINC001250240045 967547221 /nfs/dbraw/zinc/54/72/21/967547221.db2.gz BBVAHYOZVIATIG-UHFFFAOYSA-N 0 3 237.318 2.863 20 0 BFADHN O=C1CCc2cc(CCN3CCCCC3)ccc21 ZINC001250240204 967547368 /nfs/dbraw/zinc/54/73/68/967547368.db2.gz FZOGCOAFVCYGBF-UHFFFAOYSA-N 0 3 243.350 2.844 20 0 BFADHN CN(C)C1(C)CN(Cc2sccc2Cl)C1 ZINC001205339121 967552413 /nfs/dbraw/zinc/55/24/13/967552413.db2.gz AEHLOGACLREQMN-UHFFFAOYSA-N 0 3 244.791 2.537 20 0 BFADHN CC1CN([C@@H]2Cc3cccc(Cl)c3C2)C1 ZINC001168714369 967603742 /nfs/dbraw/zinc/60/37/42/967603742.db2.gz BJROQQRILIGGRJ-LLVKDONJSA-N 0 3 221.731 2.759 20 0 BFADHN CC[C@@H]1CCN(Cc2cn(C3CC3)cn2)[C@H]1C ZINC001205472290 967642855 /nfs/dbraw/zinc/64/28/55/967642855.db2.gz ZZOJFVAOROGYFG-NWDGAFQWSA-N 0 3 233.359 2.838 20 0 BFADHN COCCN(Cc1cc(C)ccc1F)C(C)C ZINC001205649030 967741561 /nfs/dbraw/zinc/74/15/61/967741561.db2.gz YOJBXGLLPSHMQQ-UHFFFAOYSA-N 0 3 239.334 2.991 20 0 BFADHN Cc1cc(CN2CC[C@H]3CCCC[C@H]3C2)n(C)n1 ZINC001205911679 967880762 /nfs/dbraw/zinc/88/07/62/967880762.db2.gz WAVBMKYQJOEDTR-KGLIPLIRSA-N 0 3 247.386 2.741 20 0 BFADHN CCC[C@H](CN[C@H](C)c1ccns1)OC ZINC000716000399 968010604 /nfs/dbraw/zinc/01/06/04/968010604.db2.gz SWDWDAMVYCHYNQ-NXEZZACHSA-N 0 3 228.361 2.609 20 0 BFADHN CCCn1cncc1CN1CCC[C@@H](C2CC2)C1 ZINC001206115944 968070455 /nfs/dbraw/zinc/07/04/55/968070455.db2.gz JZHQEKKZVIBBMO-CQSZACIVSA-N 0 3 247.386 2.915 20 0 BFADHN CCCC(=O)[C@@H](CCC)OCCN1CCCC1 ZINC001206301849 968210507 /nfs/dbraw/zinc/21/05/07/968210507.db2.gz AHTLMTXCMQQOKX-CQSZACIVSA-N 0 3 241.375 2.637 20 0 BFADHN CO[C@H](C)CN1CC(c2ccccc2)(C(C)C)C1 ZINC001206321598 968224371 /nfs/dbraw/zinc/22/43/71/968224371.db2.gz UTWFCROPTFBOHW-CQSZACIVSA-N 0 3 247.382 2.931 20 0 BFADHN C=Cc1ccc(N2CCN(C(C)C)[C@H](C)C2)nc1 ZINC001165200035 968271318 /nfs/dbraw/zinc/27/13/18/968271318.db2.gz SUOXQRHXOAMEJZ-CYBMUJFWSA-N 0 3 245.370 2.644 20 0 BFADHN C[C@@H](COc1ccccc1F)NCc1ccoc1 ZINC001251723703 968306548 /nfs/dbraw/zinc/30/65/48/968306548.db2.gz CKTVAMDNLMDGDM-NSHDSACASA-N 0 3 249.285 2.976 20 0 BFADHN O=c1[nH]cccc1Nc1ccnc(C2CC2)c1 ZINC001206448185 968310625 /nfs/dbraw/zinc/31/06/25/968310625.db2.gz HEYGHFFEKDXKJZ-UHFFFAOYSA-N 0 3 227.267 2.803 20 0 BFADHN Cc1cc(C)cc(CCN2CC[C@]23CCOC3)c1 ZINC001119302817 968317654 /nfs/dbraw/zinc/31/76/54/968317654.db2.gz UIBYBHXIXCINFA-MRXNPFEDSA-N 0 3 245.366 2.711 20 0 BFADHN Cn1cc(CN[C@H]2C=CCC2)c(C(C)(C)C)n1 ZINC000698326540 968328769 /nfs/dbraw/zinc/32/87/69/968328769.db2.gz JVHBLXFUUYHCLP-LBPRGKRZSA-N 0 3 233.359 2.526 20 0 BFADHN CC[C@@H]1CCCCN1Cc1ccncc1OC ZINC001206480077 968334507 /nfs/dbraw/zinc/33/45/07/968334507.db2.gz IPGINSFXFFTQPX-CYBMUJFWSA-N 0 3 234.343 2.855 20 0 BFADHN CC[C@@H](O)CNCc1cc(C)ccc1Cl ZINC001251814048 968347476 /nfs/dbraw/zinc/34/74/76/968347476.db2.gz MIXNARKNXLZXSY-LLVKDONJSA-N 0 3 227.735 2.509 20 0 BFADHN COc1ncccc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001206561380 968384495 /nfs/dbraw/zinc/38/44/95/968384495.db2.gz REGWLHNCFOIAKD-QWHCGFSZSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cccnc1CN1[C@H](C)CCC[C@H]1C ZINC001206610483 968416762 /nfs/dbraw/zinc/41/67/62/968416762.db2.gz HQVAJQFBNLTORB-VXGBXAGGSA-N 0 3 234.343 2.853 20 0 BFADHN COc1cccnc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001206618609 968418846 /nfs/dbraw/zinc/41/88/46/968418846.db2.gz APJGAJRJZRBXGR-QWHCGFSZSA-N 0 3 246.354 2.712 20 0 BFADHN COc1cccnc1CN(C(C)C)C(C)C ZINC001206611656 968420882 /nfs/dbraw/zinc/42/08/82/968420882.db2.gz ZBIQKYKKKOKQDJ-UHFFFAOYSA-N 0 3 222.332 2.709 20 0 BFADHN COc1cccnc1CN1CCC2(CCC2)CC1 ZINC001206620412 968422204 /nfs/dbraw/zinc/42/22/04/968422204.db2.gz PCGGWVRHYAHLNM-UHFFFAOYSA-N 0 3 246.354 2.856 20 0 BFADHN COc1cccnc1CN1CC[C@H](C)C[C@@H]1C ZINC001206643871 968426249 /nfs/dbraw/zinc/42/62/49/968426249.db2.gz BWYHOCIBDZLKQR-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN N[C@@H]1CN(CCCC2CCCC2)CCC1(F)F ZINC001206649282 968429194 /nfs/dbraw/zinc/42/91/94/968429194.db2.gz SMNIJYQLUFBPSY-GFCCVEGCSA-N 0 3 246.345 2.625 20 0 BFADHN Fc1cnccc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001206784647 968468808 /nfs/dbraw/zinc/46/88/08/968468808.db2.gz VOXNDUHKHKRKHW-RYUDHWBXSA-N 0 3 234.318 2.843 20 0 BFADHN Cc1ncc(CN2CCC[C@@H]3CCCC[C@@H]32)n1C ZINC001206794856 968475672 /nfs/dbraw/zinc/47/56/72/968475672.db2.gz ZIGIJTQLKLHTSP-ZFWWWQNUSA-N 0 3 247.386 2.883 20 0 BFADHN Cc1ccnc(CN(C)C2CC(C)C2)c1 ZINC001206847241 968495581 /nfs/dbraw/zinc/49/55/81/968495581.db2.gz NSSBZSJRRROYQF-UHFFFAOYSA-N 0 3 204.317 2.620 20 0 BFADHN Cc1ccnc(CN2CCCCC23CC3)c1 ZINC001206853201 968499585 /nfs/dbraw/zinc/49/95/85/968499585.db2.gz NBXUSINKFLLKAB-UHFFFAOYSA-N 0 3 216.328 2.909 20 0 BFADHN Cc1nonc1[C@H](C)NC[C@H]1CCC[C@H](C)C1 ZINC000822400027 968514503 /nfs/dbraw/zinc/51/45/03/968514503.db2.gz AEIYNSCHFJJCNR-NHCYSSNCSA-N 0 3 237.347 2.855 20 0 BFADHN CN(Cc1ccc(F)cn1)CC1CC(F)(F)C1 ZINC001207040841 968574928 /nfs/dbraw/zinc/57/49/28/968574928.db2.gz BGMYDFLFNVCNCC-UHFFFAOYSA-N 0 3 244.260 2.698 20 0 BFADHN Cc1ncc(CN2CC3CCC2CC3)s1 ZINC001207061241 968591644 /nfs/dbraw/zinc/59/16/44/968591644.db2.gz JVHNNGLQVZQINI-UHFFFAOYSA-N 0 3 222.357 2.826 20 0 BFADHN CCc1nccc(CN2CCC[C@H](C)CC2)n1 ZINC001207085227 968617596 /nfs/dbraw/zinc/61/75/96/968617596.db2.gz WGAUKUVTSHVRFO-LBPRGKRZSA-N 0 3 233.359 2.661 20 0 BFADHN CCc1nccc(CN2CCC23CCCC3)n1 ZINC001207084284 968619030 /nfs/dbraw/zinc/61/90/30/968619030.db2.gz PEKMLRIPMHEYBV-UHFFFAOYSA-N 0 3 231.343 2.558 20 0 BFADHN CCc1nccc(CN2CCC23CCCCC3)n1 ZINC001207084363 968619611 /nfs/dbraw/zinc/61/96/11/968619611.db2.gz ROPDSNDXTIJVAW-UHFFFAOYSA-N 0 3 245.370 2.948 20 0 BFADHN CCc1nccc(CN2CC[C@H](C)C[C@@H]2C)n1 ZINC001207088298 968622930 /nfs/dbraw/zinc/62/29/30/968622930.db2.gz LZEQJTWFTLEKOA-RYUDHWBXSA-N 0 3 233.359 2.659 20 0 BFADHN Cc1noc(C)c1CN1CCC[C@@H](F)CC1 ZINC001207133128 968631514 /nfs/dbraw/zinc/63/15/14/968631514.db2.gz IZRBHCYSRCYEIK-LLVKDONJSA-N 0 3 226.295 2.615 20 0 BFADHN CCn1ccnc1CN1CCC[C@@H](C)[C@@H](C)C1 ZINC001207140163 968653554 /nfs/dbraw/zinc/65/35/54/968653554.db2.gz FKJUGLJEQRRYCJ-OLZOCXBDSA-N 0 3 235.375 2.771 20 0 BFADHN Cc1ncccc1CN1CCC2(CCCO2)CC1 ZINC001207151399 968661480 /nfs/dbraw/zinc/66/14/80/968661480.db2.gz ULVKEIJGQRMOJP-UHFFFAOYSA-N 0 3 246.354 2.535 20 0 BFADHN CCCCNc1ncccc1CN1CCCC1 ZINC001154831717 968686553 /nfs/dbraw/zinc/68/65/53/968686553.db2.gz IDTZWRQKPCUJFD-UHFFFAOYSA-N 0 3 233.359 2.889 20 0 BFADHN CN(CCC1CCCCC1)Cc1ccncn1 ZINC001207259411 968700738 /nfs/dbraw/zinc/70/07/38/968700738.db2.gz DEVSVWODJSKDHA-UHFFFAOYSA-N 0 3 233.359 2.879 20 0 BFADHN Cn1ncc2c1CN(CCC1CCCCC1)CC2 ZINC001207261536 968701943 /nfs/dbraw/zinc/70/19/43/968701943.db2.gz WPBCVNMIOQJYIR-UHFFFAOYSA-N 0 3 247.386 2.749 20 0 BFADHN CN(CCC1CCCCC1)C1(C)COC1 ZINC001207261503 968702295 /nfs/dbraw/zinc/70/22/95/968702295.db2.gz UMVMTXAOTXSXMH-UHFFFAOYSA-N 0 3 211.349 2.678 20 0 BFADHN CC(C)C[C@@H](C)CN1CC(OC(C)C)C1 ZINC001207403287 968756493 /nfs/dbraw/zinc/75/64/93/968756493.db2.gz KNUIVSGSWNDNOE-GFCCVEGCSA-N 0 3 213.365 2.778 20 0 BFADHN Fc1ccccc1CCCN1CCSCC1 ZINC001207492795 968803103 /nfs/dbraw/zinc/80/31/03/968803103.db2.gz ZBGKTSXQHRPYTB-UHFFFAOYSA-N 0 3 239.359 2.807 20 0 BFADHN Fc1ccccc1CCCN1CC[C@]12CCOC2 ZINC001207497159 968806966 /nfs/dbraw/zinc/80/69/66/968806966.db2.gz VDJGGFZCXFABNE-OAHLLOKOSA-N 0 3 249.329 2.623 20 0 BFADHN CCCCOC1CN(C[C@H]2C[C@H]3C=C[C@@H]2C3)C1 ZINC001207626783 968841784 /nfs/dbraw/zinc/84/17/84/968841784.db2.gz LRIXGQNLMWTFRS-BFHYXJOUSA-N 0 3 235.371 2.700 20 0 BFADHN CCCCOC1CN(C[C@@H]2C[C@H]3C=C[C@@H]2C3)C1 ZINC001207626784 968842359 /nfs/dbraw/zinc/84/23/59/968842359.db2.gz LRIXGQNLMWTFRS-MJBXVCDLSA-N 0 3 235.371 2.700 20 0 BFADHN Nc1nc2cc(-c3ccccc3O)ccc2[nH]1 ZINC001241014964 968855727 /nfs/dbraw/zinc/85/57/27/968855727.db2.gz UJVCETVBSPAGRZ-UHFFFAOYSA-N 0 3 225.251 2.518 20 0 BFADHN Nc1nc2ccc(-c3ccccc3O)cc2[nH]1 ZINC001241014964 968855733 /nfs/dbraw/zinc/85/57/33/968855733.db2.gz UJVCETVBSPAGRZ-UHFFFAOYSA-N 0 3 225.251 2.518 20 0 BFADHN C[C@@H](CN1CCCC12COC2)c1ccccc1 ZINC001207910576 968929788 /nfs/dbraw/zinc/92/97/88/968929788.db2.gz VBLKSHXIGBRAGG-ZDUSSCGKSA-N 0 3 231.339 2.655 20 0 BFADHN Cc1n[nH]c(CN[C@@H]2CCc3ccccc32)c1C ZINC000716441539 968948156 /nfs/dbraw/zinc/94/81/56/968948156.db2.gz UENAAXRFLAGXTF-CQSZACIVSA-N 0 3 241.338 2.804 20 0 BFADHN OC[C@H]1C[C@@H](F)CN(CCCC2CCCC2)C1 ZINC001208087223 968990150 /nfs/dbraw/zinc/99/01/50/968990150.db2.gz CLPAGQNHMCQBEN-UONOGXRCSA-N 0 3 243.366 2.609 20 0 BFADHN Nc1ccc(-c2cncc3cc[nH]c32)cc1 ZINC001241542637 969026821 /nfs/dbraw/zinc/02/68/21/969026821.db2.gz QGXZKXXEEONXAC-UHFFFAOYSA-N 0 3 209.252 2.812 20 0 BFADHN CN1CCC=C(c2ccc(OC3CCC3)nc2)C1 ZINC001241570586 969061032 /nfs/dbraw/zinc/06/10/32/969061032.db2.gz KNJLSNCICKFNJG-UHFFFAOYSA-N 0 3 244.338 2.732 20 0 BFADHN Cc1nc2cc(C3=CCCN(C)C3)ccc2o1 ZINC001241570250 969063881 /nfs/dbraw/zinc/06/38/81/969063881.db2.gz ZPPKBGXRFGLROI-UHFFFAOYSA-N 0 3 228.295 2.855 20 0 BFADHN COC[C@H]1CCN1CC[C@H](C)c1ccc(C)o1 ZINC001208165054 969094607 /nfs/dbraw/zinc/09/46/07/969094607.db2.gz QXATWDBQICYTEJ-WCQYABFASA-N 0 3 237.343 2.802 20 0 BFADHN Cc1ccc([C@H](C)CCN2CC[C@@]23CCOC3)o1 ZINC001208166084 969096782 /nfs/dbraw/zinc/09/67/82/969096782.db2.gz VIOJHGACRADNEZ-DOMZBBRYSA-N 0 3 249.354 2.946 20 0 BFADHN CC1=C[C@@H](C)[C@@H](CN2CCOCC23CC3)CC1 ZINC001208181911 969111191 /nfs/dbraw/zinc/11/11/91/969111191.db2.gz RQJNAURUKPDUCY-ZIAGYGMSSA-N 0 3 235.371 2.844 20 0 BFADHN CC1=C[C@@H](C)[C@@H](CN(C)Cc2cnccn2)CC1 ZINC001208181358 969113718 /nfs/dbraw/zinc/11/37/18/969113718.db2.gz LOWASXDYWTTXFX-ZIAGYGMSSA-N 0 3 245.370 2.901 20 0 BFADHN CC/C=C\CCCCCN1CC[C@@](F)(CO)C1 ZINC001208188658 969118012 /nfs/dbraw/zinc/11/80/12/969118012.db2.gz MQSUGMXHVYZLFB-NQHOJNORSA-N 0 3 243.366 2.919 20 0 BFADHN Cn1ccc2c1cccc2-c1cc(N)ccn1 ZINC001241819960 969120144 /nfs/dbraw/zinc/12/01/44/969120144.db2.gz ZSFLRQQNTCZRNN-UHFFFAOYSA-N 0 3 223.279 2.823 20 0 BFADHN CCNC(=O)CN(C)CC[C@@H](C)CC(C)(C)C ZINC001208653304 969181167 /nfs/dbraw/zinc/18/11/67/969181167.db2.gz GXPLAGJEJPDMEN-GFCCVEGCSA-N 0 3 242.407 2.517 20 0 BFADHN CN1CC=C(Nc2cnc3ccccc3c2)CC1 ZINC001208717177 969203269 /nfs/dbraw/zinc/20/32/69/969203269.db2.gz BFUGRIGGDQFLTH-UHFFFAOYSA-N 0 3 239.322 2.866 20 0 BFADHN CCc1ccc(C)nc1NC1=CCN(C)CC1 ZINC001208719659 969204075 /nfs/dbraw/zinc/20/40/75/969204075.db2.gz USUVLVUKNOAVKN-UHFFFAOYSA-N 0 3 231.343 2.584 20 0 BFADHN CC(C)c1ncsc1N=C1CCN(C)CC1 ZINC001208839101 969250595 /nfs/dbraw/zinc/25/05/95/969250595.db2.gz WJBSIXIFYMPGJO-UHFFFAOYSA-N 0 3 237.372 2.898 20 0 BFADHN CC(C)c1cccnc1NC1=CCN(C)CC1 ZINC001208837518 969252464 /nfs/dbraw/zinc/25/24/64/969252464.db2.gz KHBKLKHZBBXKBL-UHFFFAOYSA-N 0 3 231.343 2.836 20 0 BFADHN Cc1cc(C)c(O)c(NC2=CCN(C)CC2)c1 ZINC001208843818 969258236 /nfs/dbraw/zinc/25/82/36/969258236.db2.gz IBMMXLKRLBJTDF-UHFFFAOYSA-N 0 3 232.327 2.640 20 0 BFADHN F[C@H]1CCCCN(C[C@H]2CCC=CO2)C1 ZINC001208878210 969267639 /nfs/dbraw/zinc/26/76/39/969267639.db2.gz WWBJRDYBNDLDHB-NWDGAFQWSA-N 0 3 213.296 2.503 20 0 BFADHN CN1CC=C(Nc2cc(O)cc(Cl)c2)CC1 ZINC001208909904 969297303 /nfs/dbraw/zinc/29/73/03/969297303.db2.gz IZUULYOWLFCOKG-UHFFFAOYSA-N 0 3 238.718 2.677 20 0 BFADHN CN(CCCc1ccncc1)Cc1cncs1 ZINC001209189002 969377655 /nfs/dbraw/zinc/37/76/55/969377655.db2.gz CKOBGSSSLQFJJN-UHFFFAOYSA-N 0 3 247.367 2.603 20 0 BFADHN COCCN1CCCC[C@H]1c1ccccc1F ZINC001209211526 969401563 /nfs/dbraw/zinc/40/15/63/969401563.db2.gz STOYRTVWMODZQI-AWEZNQCLSA-N 0 3 237.318 2.999 20 0 BFADHN Fc1ccc(CCN2CCC[C@H]3C[C@H]32)cc1F ZINC001209245354 969414606 /nfs/dbraw/zinc/41/46/06/969414606.db2.gz HFKPBQZEVGIBDN-SMDDNHRTSA-N 0 3 237.293 2.992 20 0 BFADHN C[C@@H](N)c1ccn(-c2ccccc2C2CC2)n1 ZINC001169235574 969489220 /nfs/dbraw/zinc/48/92/20/969489220.db2.gz XGEFEUSKOCNMLU-SNVBAGLBSA-N 0 3 227.311 2.769 20 0 BFADHN CCc1ccc(F)c(-n2ccc([C@@H](C)N)n2)c1 ZINC001169235395 969493492 /nfs/dbraw/zinc/49/34/92/969493492.db2.gz VPMPCYAWDCTTSV-SECBINFHSA-N 0 3 233.290 2.594 20 0 BFADHN CC1=Cc2cccc(-n3ccc([C@@H](C)N)n3)c2C1 ZINC001169232212 969508564 /nfs/dbraw/zinc/50/85/64/969508564.db2.gz AXNXZNOBXRZBJH-LLVKDONJSA-N 0 3 239.322 2.851 20 0 BFADHN Cc1cc(-n2ccc([C@H](C)N)n2)cc(C)c1C ZINC001169231903 969508986 /nfs/dbraw/zinc/50/89/86/969508986.db2.gz WBFADUSTEZDRQS-LBPRGKRZSA-N 0 3 229.327 2.817 20 0 BFADHN Cc1c[nH]c2cccc(-n3ccc([C@H](C)N)n3)c12 ZINC001169233183 969514442 /nfs/dbraw/zinc/51/44/42/969514442.db2.gz NQDUPGSASNRPKH-JTQLQIEISA-N 0 3 240.310 2.682 20 0 BFADHN Cc1n[nH]cc1CN(C)CCc1cccc(C)c1 ZINC001209555886 969542540 /nfs/dbraw/zinc/54/25/40/969542540.db2.gz NQKIPRDRHCXALY-UHFFFAOYSA-N 0 3 243.354 2.701 20 0 BFADHN CC1=CC[C@H](CCN2CC3(CCN3C)C2)C1(C)C ZINC001276653917 969562406 /nfs/dbraw/zinc/56/24/06/969562406.db2.gz WXFRVEMUWZRFNC-CQSZACIVSA-N 0 3 248.414 2.759 20 0 BFADHN Fc1ccc2c(CN3CCCOCC3)c[nH]c2c1 ZINC001209690417 969574233 /nfs/dbraw/zinc/57/42/33/969574233.db2.gz TWUPQDDRZLYVLZ-UHFFFAOYSA-N 0 3 248.301 2.529 20 0 BFADHN CCN(Cc1cccs1)Cc1cnccc1N ZINC001209737903 969600203 /nfs/dbraw/zinc/60/02/03/969600203.db2.gz TYPLBPVFVIYVDH-UHFFFAOYSA-N 0 3 247.367 2.578 20 0 BFADHN Cn1cc(CN2CCC[C@H]3C[C@H]32)c2cccnc21 ZINC001209751422 969606828 /nfs/dbraw/zinc/60/68/28/969606828.db2.gz PUJVXYXWPWKUDR-SMDDNHRTSA-N 0 3 241.338 2.558 20 0 BFADHN c1ccc2c(c1)CN([C@@H]1CCCOC1)CCS2 ZINC001254388864 969608533 /nfs/dbraw/zinc/60/85/33/969608533.db2.gz KCAIGBLBJXSHFF-CYBMUJFWSA-N 0 3 249.379 2.773 20 0 BFADHN CCC[C@@H](CCc1ccccc1)N1CC(C)(O)C1 ZINC001254491745 969656187 /nfs/dbraw/zinc/65/61/87/969656187.db2.gz PKSGOKIUGUALRV-HNNXBMFYSA-N 0 3 247.382 2.855 20 0 BFADHN Cc1cnccc1Nc1cc2c[nH]nc2cc1C ZINC001210100318 969798431 /nfs/dbraw/zinc/79/84/31/969798431.db2.gz NFRQGVMPEBWEHJ-UHFFFAOYSA-N 0 3 238.294 2.740 20 0 BFADHN [O-]c1cccnc1CNc1cc[nH+]c(C2CC2)c1 ZINC001169733133 969838727 /nfs/dbraw/zinc/83/87/27/969838727.db2.gz SVOUKXILMKYMLP-UHFFFAOYSA-N 0 3 241.294 2.672 20 0 BFADHN NCc1c(F)cccc1NC1CCSCC1 ZINC001255080589 969873178 /nfs/dbraw/zinc/87/31/78/969873178.db2.gz SLZSBGYKRSYYLU-UHFFFAOYSA-N 0 3 240.347 2.592 20 0 BFADHN c1ccc(OCNC2CCSCC2)cc1 ZINC001255082069 969874673 /nfs/dbraw/zinc/87/46/73/969874673.db2.gz OMRVEEBOAMLYBB-UHFFFAOYSA-N 0 3 223.341 2.508 20 0 BFADHN CC(C)n1cc(-c2ccc3nc(N)[nH]c3c2)cn1 ZINC001242437192 969938992 /nfs/dbraw/zinc/93/89/92/969938992.db2.gz GNXBLULAIRGADK-UHFFFAOYSA-N 0 3 241.298 2.590 20 0 BFADHN CC(C)n1cc(-c2ccc3[nH]c(N)nc3c2)cn1 ZINC001242437192 969938996 /nfs/dbraw/zinc/93/89/96/969938996.db2.gz GNXBLULAIRGADK-UHFFFAOYSA-N 0 3 241.298 2.590 20 0 BFADHN C[C@H](O)c1cccc(-c2cc(N(C)C)ccn2)c1 ZINC001242546021 969997611 /nfs/dbraw/zinc/99/76/11/969997611.db2.gz KFEBOMCXFKTOMH-NSHDSACASA-N 0 3 242.322 2.868 20 0 BFADHN c1ccc2cc(CN[C@H]3CCSC3)ncc2c1 ZINC001255269291 970018648 /nfs/dbraw/zinc/01/86/48/970018648.db2.gz BQMZHYHPKRKZCS-ZDUSSCGKSA-N 0 3 244.363 2.830 20 0 BFADHN CCCN(C)c1ccc(CN(C)C2CC2)cn1 ZINC001156881562 970019501 /nfs/dbraw/zinc/01/95/01/970019501.db2.gz AMLAHVAKJDNCJF-UHFFFAOYSA-N 0 3 233.359 2.522 20 0 BFADHN COc1ccc2c(c1)CN([C@@H]1CCSC1)C2 ZINC001255290004 970049532 /nfs/dbraw/zinc/04/95/32/970049532.db2.gz VDCCFQKXMHBQCY-GFCCVEGCSA-N 0 3 235.352 2.516 20 0 BFADHN CO[C@H]1CN(C2CCC(C)(C)CC2)C[C@@H]1F ZINC001255303345 970072477 /nfs/dbraw/zinc/07/24/77/970072477.db2.gz WENKZVBDZMYTKA-RYUDHWBXSA-N 0 3 229.339 2.624 20 0 BFADHN CCCC[C@@H](C)NCc1cn(CC2CC2)cn1 ZINC001255320462 970093110 /nfs/dbraw/zinc/09/31/10/970093110.db2.gz QFEBJGBPGBWBCL-GFCCVEGCSA-N 0 3 235.375 2.961 20 0 BFADHN CCCC[C@@H](C)N1CCN2CC(F)(F)C[C@H]2C1 ZINC001255331322 970111599 /nfs/dbraw/zinc/11/15/99/970111599.db2.gz ABOMUUUTZHJEOX-NEPJUHHUSA-N 0 3 246.345 2.590 20 0 BFADHN CC(C)CCC[C@H](C)N1C=C(N)C=CC1 ZINC001170065153 970129707 /nfs/dbraw/zinc/12/97/07/970129707.db2.gz XNISSVWEWGUSFO-LBPRGKRZSA-N 0 3 208.349 2.873 20 0 BFADHN NCc1cncn1C1CCC(C(F)(F)F)CC1 ZINC001255506711 970271648 /nfs/dbraw/zinc/27/16/48/970271648.db2.gz JDYBMFLBCAPBQF-UHFFFAOYSA-N 0 3 247.264 2.635 20 0 BFADHN c1c[nH]c(-c2ccc(Nc3ccncc3)cc2)n1 ZINC001213085777 970271475 /nfs/dbraw/zinc/27/14/75/970271475.db2.gz WRUDEAZXLHFFQU-UHFFFAOYSA-N 0 3 236.278 2.637 20 0 BFADHN FC(F)(F)C1CCC(N2CCC2)CC1 ZINC001255513375 970277795 /nfs/dbraw/zinc/27/77/95/970277795.db2.gz FDNBMPCZGLOORQ-UHFFFAOYSA-N 0 3 207.239 2.813 20 0 BFADHN CCCc1cc(CN)nn1[C@@H]1CC[C@H](C)C1 ZINC001170111524 970320564 /nfs/dbraw/zinc/32/05/64/970320564.db2.gz DDPUNJBHNANJOZ-GXFFZTMASA-N 0 3 221.348 2.655 20 0 BFADHN [O-]c1ccc(C[N@@H+]2CCC3(C2)CCCCC3)nc1 ZINC000301771429 970385818 /nfs/dbraw/zinc/38/58/18/970385818.db2.gz RCQWJMQOJSCXFZ-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN [O-]c1ccc(C[N@H+]2CCC3(C2)CCCCC3)nc1 ZINC000301771429 970385822 /nfs/dbraw/zinc/38/58/22/970385822.db2.gz RCQWJMQOJSCXFZ-UHFFFAOYSA-N 0 3 246.354 2.943 20 0 BFADHN C[C@H](CC(F)(F)F)N[C@@H]1CCc2ncccc21 ZINC001255640459 970417975 /nfs/dbraw/zinc/41/79/75/970417975.db2.gz BHEUCHCPDBMERL-LDYMZIIASA-N 0 3 244.260 2.999 20 0 BFADHN C[C@H](CC(F)(F)F)N(C)CCc1ccncc1 ZINC001255659249 970436681 /nfs/dbraw/zinc/43/66/81/970436681.db2.gz XHDWHQDHTZVBBM-SNVBAGLBSA-N 0 3 246.276 2.897 20 0 BFADHN C[C@H]1CC[C@@H](N2CCc3ncccc3C2)C1 ZINC001170151226 970456704 /nfs/dbraw/zinc/45/67/04/970456704.db2.gz GBWKGCSPQUFCIN-WCQYABFASA-N 0 3 216.328 2.628 20 0 BFADHN CCCCOC1CN([C@@H]2CC[C@@H](C)C2)C1 ZINC001170153521 970463836 /nfs/dbraw/zinc/46/38/36/970463836.db2.gz PYLYIGMBIHCSDL-VXGBXAGGSA-N 0 3 211.349 2.676 20 0 BFADHN FC(F)(F)[C@H]1CCC[C@H](N2C[C@@H]3C[C@H]2CO3)C1 ZINC001255717260 970465988 /nfs/dbraw/zinc/46/59/88/970465988.db2.gz KMPQPEDAMMMIMN-NAKRPEOUSA-N 0 3 249.276 2.581 20 0 BFADHN FC(F)(F)[C@@H]1CCC[C@H](N2C[C@@H]3C[C@H]2CO3)C1 ZINC001255717261 970468144 /nfs/dbraw/zinc/46/81/44/970468144.db2.gz KMPQPEDAMMMIMN-RCWTZXSCSA-N 0 3 249.276 2.581 20 0 BFADHN C[C@H]1CC[C@@H](N2CCc3ccncc3C2)C1 ZINC001170154374 970473442 /nfs/dbraw/zinc/47/34/42/970473442.db2.gz YJGGNJVWHNZBJM-SMDDNHRTSA-N 0 3 216.328 2.628 20 0 BFADHN CN(CCOc1ccccc1)Cc1cc[nH]c1 ZINC000178852030 970479905 /nfs/dbraw/zinc/47/99/05/970479905.db2.gz WPZIREADTWKOHL-UHFFFAOYSA-N 0 3 230.311 2.526 20 0 BFADHN Cc1ccc(C[C@@H](C)NCc2cnco2)cc1 ZINC001255775240 970480084 /nfs/dbraw/zinc/48/00/84/970480084.db2.gz SYQFCCNLEXTFQZ-GFCCVEGCSA-N 0 3 230.311 2.704 20 0 BFADHN Cc1ccc(C[C@@H](C)N2CCCC(=O)CC2)cc1 ZINC001255788039 970492844 /nfs/dbraw/zinc/49/28/44/970492844.db2.gz REWGQAFNGCGZGM-CQSZACIVSA-N 0 3 245.366 2.981 20 0 BFADHN CC(=O)[C@@H]1CCCN1[C@H](C)Cc1ccc(C)cc1 ZINC001255796194 970497343 /nfs/dbraw/zinc/49/73/43/970497343.db2.gz UGEGEAKXWNOFBQ-CJNGLKHVSA-N 0 3 245.366 2.979 20 0 BFADHN COc1cc2c(cn1)CCN([C@@H]1CC[C@H](C)C1)C2 ZINC001170160900 970504011 /nfs/dbraw/zinc/50/40/11/970504011.db2.gz KCSWEVUQKCFPKJ-SMDDNHRTSA-N 0 3 246.354 2.637 20 0 BFADHN COc1cc2c(cn1)CCN([C@@H]1CC[C@@H](C)C1)C2 ZINC001170160897 970504966 /nfs/dbraw/zinc/50/49/66/970504966.db2.gz KCSWEVUQKCFPKJ-BXUZGUMPSA-N 0 3 246.354 2.637 20 0 BFADHN C[C@H]1c2cccn2CCN1CC(C)(C)C ZINC000179569313 970511077 /nfs/dbraw/zinc/51/10/77/970511077.db2.gz MEOVXBRQGKJDJS-NSHDSACASA-N 0 3 206.333 2.911 20 0 BFADHN C[C@H](Cc1ccccc1F)N1CC(C)C1 ZINC001255842588 970513576 /nfs/dbraw/zinc/51/35/76/970513576.db2.gz VGMOTCZTKKFUMZ-LLVKDONJSA-N 0 3 207.292 2.708 20 0 BFADHN Cn1nccc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC000179806485 970520389 /nfs/dbraw/zinc/52/03/89/970520389.db2.gz LRHHUTZQEOZQLA-OCCSQVGLSA-N 0 3 233.359 2.575 20 0 BFADHN CC[C@H]1C[C@H](N[C@H](C)c2nccs2)CCO1 ZINC001170198223 970592425 /nfs/dbraw/zinc/59/24/25/970592425.db2.gz SFGUQUNNZDRHFZ-MXWKQRLJSA-N 0 3 240.372 2.751 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)Nc1ccc(C)cc1 ZINC000400792179 970627846 /nfs/dbraw/zinc/62/78/46/970627846.db2.gz VCZWJUWWBHWSNK-ZWNOBZJWSA-N 0 3 234.343 2.697 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)Nc1ccc(F)cc1 ZINC000400905868 970641123 /nfs/dbraw/zinc/64/11/23/970641123.db2.gz PNSNVXCCIHGZCJ-BXKDBHETSA-N 0 3 238.306 2.528 20 0 BFADHN Cc1ccnc2cc(NCC3CN(C)C3)ccc12 ZINC001170256487 970643392 /nfs/dbraw/zinc/64/33/92/970643392.db2.gz OMJOFLUQWDBUES-UHFFFAOYSA-N 0 3 241.338 2.517 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N1[C@@H](C)CCC[C@@H]1C ZINC000400966768 970649728 /nfs/dbraw/zinc/64/97/28/970649728.db2.gz NIBUGABGECOBMU-CYDGBPFRSA-N 0 3 240.391 2.539 20 0 BFADHN C[C@@H](Cc1ccccc1Cl)n1cncc1CN ZINC001170248845 970652145 /nfs/dbraw/zinc/65/21/45/970652145.db2.gz ZMJGLLXSFGMRKN-JTQLQIEISA-N 0 3 249.745 2.799 20 0 BFADHN C[C@H](Cc1ccccc1Cl)n1cncc1CN ZINC001170248846 970653268 /nfs/dbraw/zinc/65/32/68/970653268.db2.gz ZMJGLLXSFGMRKN-SNVBAGLBSA-N 0 3 249.745 2.799 20 0 BFADHN CN1CC(CNc2cccc(C(F)F)c2)C1 ZINC001170253703 970660645 /nfs/dbraw/zinc/66/06/45/970660645.db2.gz AUVDMPFKNOTKNX-UHFFFAOYSA-N 0 3 226.270 2.598 20 0 BFADHN CC(C)c1ccccc1NCC1CN(C)C1 ZINC001170254492 970665055 /nfs/dbraw/zinc/66/50/55/970665055.db2.gz NKTCKCSEXKPFJC-UHFFFAOYSA-N 0 3 218.344 2.784 20 0 BFADHN CC(C)CC[C@@H](C)n1ccnc1[C@H](C)N ZINC001256351472 970746304 /nfs/dbraw/zinc/74/63/04/970746304.db2.gz PPAFLRFXARCJOZ-MNOVXSKESA-N 0 3 209.337 2.900 20 0 BFADHN CN(C)c1ncccc1CN(C)CC(C)(C)C ZINC001327344935 972547673 /nfs/dbraw/zinc/54/76/73/972547673.db2.gz BZLUCVLAYSCIIC-UHFFFAOYSA-N 0 3 235.375 2.626 20 0 BFADHN C[C@@H]1CCCN1Cc1n[nH]c2ccc(F)cc21 ZINC001144186795 972675368 /nfs/dbraw/zinc/67/53/68/972675368.db2.gz IQCUTLXFFMURBA-SECBINFHSA-N 0 3 233.290 2.686 20 0 BFADHN C[C@@H]1CCCN1Cc1[nH]nc2ccc(F)cc21 ZINC001144186795 972675372 /nfs/dbraw/zinc/67/53/72/972675372.db2.gz IQCUTLXFFMURBA-SECBINFHSA-N 0 3 233.290 2.686 20 0 BFADHN c1cc2ccc(CN3CCC[C@@H]4C[C@@H]43)nc2[nH]1 ZINC001144187223 972676811 /nfs/dbraw/zinc/67/68/11/972676811.db2.gz DFHIDSIRYPQSAL-YPMHNXCESA-N 0 3 227.311 2.547 20 0 BFADHN [O-]c1ccc(C[N@@H+]2CCC23CCCCC3)nc1 ZINC001144255926 972709600 /nfs/dbraw/zinc/70/96/00/972709600.db2.gz VADULNHUZSILGR-UHFFFAOYSA-N 0 3 232.327 2.696 20 0 BFADHN [O-]c1ccc(C[N@H+]2CCC23CCCCC3)nc1 ZINC001144255926 972709613 /nfs/dbraw/zinc/70/96/13/972709613.db2.gz VADULNHUZSILGR-UHFFFAOYSA-N 0 3 232.327 2.696 20 0 BFADHN CCCN1CCN(Cc2cccc(CC)c2)CC1 ZINC001144324067 972763252 /nfs/dbraw/zinc/76/32/52/972763252.db2.gz WAUMPSBTPYSLRW-UHFFFAOYSA-N 0 3 246.398 2.777 20 0 BFADHN CC(C)N(C)Cc1cccnc1C(F)(F)F ZINC001144520178 972853902 /nfs/dbraw/zinc/85/39/02/972853902.db2.gz JMQXWFMVIXJDRA-UHFFFAOYSA-N 0 3 232.249 2.941 20 0 BFADHN c1nc(CN2CCC3(CCC3)C2)c2ccccn12 ZINC001144624974 972889050 /nfs/dbraw/zinc/88/90/50/972889050.db2.gz XPSRSEVNEOHUHQ-UHFFFAOYSA-N 0 3 241.338 2.710 20 0 BFADHN CN(Cc1ncn2ccccc12)C(C)(C)C ZINC001144622798 972893744 /nfs/dbraw/zinc/89/37/44/972893744.db2.gz LQFWELYNIDQADC-UHFFFAOYSA-N 0 3 217.316 2.565 20 0 BFADHN F[C@H]1CN(Cc2cccc3cc[nH]c32)C[C@@H]2C[C@@H]21 ZINC001144710336 972952330 /nfs/dbraw/zinc/95/23/30/972952330.db2.gz NXXGVDWNTJSZCO-IHRRRGAJSA-N 0 3 244.313 2.958 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc3scnc3c2)C1 ZINC001144732722 972969191 /nfs/dbraw/zinc/96/91/91/972969191.db2.gz KMGNFXDHSPUNLK-LLVKDONJSA-N 0 3 248.351 2.517 20 0 BFADHN CO[C@H]1CCN(Cc2ccc3scnc3c2)C1 ZINC001144732723 972971594 /nfs/dbraw/zinc/97/15/94/972971594.db2.gz KMGNFXDHSPUNLK-NSHDSACASA-N 0 3 248.351 2.517 20 0 BFADHN CC(=O)[C@@H]1CCCN1Cc1cccc2cc[nH]c21 ZINC001144721478 972972208 /nfs/dbraw/zinc/97/22/08/972972208.db2.gz OHEXLRWVOOTYDO-AWEZNQCLSA-N 0 3 242.322 2.721 20 0 BFADHN Cc1cccc(C)c1N1C[C@H]2CC[C@@H](C1)N2C ZINC001276904256 973191317 /nfs/dbraw/zinc/19/13/17/973191317.db2.gz VMCVFVXJQRYIMY-OKILXGFUSA-N 0 3 230.355 2.586 20 0 BFADHN CN[C@@H]1CCN1C1CCC(c2ccccc2)CC1 ZINC001246900468 973381011 /nfs/dbraw/zinc/38/10/11/973381011.db2.gz RRONNTYBQAIUIV-GPANFISMSA-N 0 3 244.382 2.964 20 0 BFADHN C(=C\C1CC1)\CNCc1cc2cnccc2o1 ZINC001329661123 973872738 /nfs/dbraw/zinc/87/27/38/973872738.db2.gz YLBDBUARARKMFA-UPHRSURJSA-N 0 3 228.295 2.884 20 0 BFADHN COc1cncc(CN[C@@H]2C=CCCC2)c1C ZINC001329724658 973899570 /nfs/dbraw/zinc/89/95/70/973899570.db2.gz VWCKAFSYQDBGBM-CYBMUJFWSA-N 0 3 232.327 2.597 20 0 BFADHN C[C@H]1CCN1[C@@H]1CCc2cc(F)c(F)cc2C1 ZINC001171475698 974080713 /nfs/dbraw/zinc/08/07/13/974080713.db2.gz FRUARSMYCRBYPL-JOYOIKCWSA-N 0 3 237.293 2.916 20 0 BFADHN CC(C)OC(=O)CN1[C@H](C)C[C@H]2CCCC[C@H]21 ZINC001330089534 974103922 /nfs/dbraw/zinc/10/39/22/974103922.db2.gz OTUKWTGGRYMDJC-JHJVBQTASA-N 0 3 239.359 2.591 20 0 BFADHN Cc1cccc2c1CC[C@@H](N1CC[C@@H](F)C1)C2 ZINC001171564991 974103890 /nfs/dbraw/zinc/10/38/90/974103890.db2.gz ILPFHUKSNOHNHW-ZIAGYGMSSA-N 0 3 233.330 2.896 20 0 BFADHN Cc1ccc(CC[C@H](C)n2cncc2CN)cc1 ZINC001171655482 974117611 /nfs/dbraw/zinc/11/76/11/974117611.db2.gz JXYPVGDVWCIHFJ-ZDUSSCGKSA-N 0 3 243.354 2.844 20 0 BFADHN Cc1ccc(C)c(O[C@@H]2CN3CCC2CC3)c1 ZINC001225225388 974262437 /nfs/dbraw/zinc/26/24/37/974262437.db2.gz STHFFDAXVCNPFR-OAHLLOKOSA-N 0 3 231.339 2.776 20 0 BFADHN CN1CCC[C@H](Oc2cccc(Cl)c2)C1 ZINC001225229883 974274406 /nfs/dbraw/zinc/27/44/06/974274406.db2.gz LBCPGRCSOCHHIT-LBPRGKRZSA-N 0 3 225.719 2.813 20 0 BFADHN CS[C@@H]1CC[C@@H](N(C)Cc2ccns2)C1 ZINC001330659255 974392378 /nfs/dbraw/zinc/39/23/78/974392378.db2.gz XPUDUHBVIAQBSS-NXEZZACHSA-N 0 3 242.413 2.859 20 0 BFADHN CC[C@H](N[C@@H]1COC(C)(C)C1)c1ncccc1C ZINC001172270687 974488145 /nfs/dbraw/zinc/48/81/45/974488145.db2.gz FZSYBSGRKNUZLH-STQMWFEESA-N 0 3 248.370 2.998 20 0 BFADHN C[C@@H]1CC[C@@H](N2CCc3ncncc3C2)C[C@H]1C ZINC001172373420 974571009 /nfs/dbraw/zinc/57/10/09/974571009.db2.gz LWYVCTFRCSOZMJ-YRGRVCCFSA-N 0 3 245.370 2.659 20 0 BFADHN C[C@@H]1CC[C@@H](N2Cc3cnn(C)c3C2)C[C@@H]1C ZINC001172372094 974571900 /nfs/dbraw/zinc/57/19/00/974571900.db2.gz CDYNODNBMMPTND-NTZNESFSSA-N 0 3 233.359 2.560 20 0 BFADHN CC[C@@H](C)OCC[C@H](C)N1CC(C(F)F)C1 ZINC001172362220 974633799 /nfs/dbraw/zinc/63/37/99/974633799.db2.gz RPTPZSPSSJIFRC-VHSXEESVSA-N 0 3 235.318 2.777 20 0 BFADHN C[C@@H]1CC[C@H](N2CCC(=O)[C@@H](F)CC2)C[C@H]1C ZINC001172365222 974642806 /nfs/dbraw/zinc/64/28/06/974642806.db2.gz JUCFCCKOQMKIHE-NDBYEHHHSA-N 0 3 241.350 2.814 20 0 BFADHN CCOCC[C@H](C)N[C@@H](C)c1nccs1 ZINC001172410754 974673756 /nfs/dbraw/zinc/67/37/56/974673756.db2.gz JJXHQCNZJGQFQB-UWVGGRQHSA-N 0 3 228.361 2.609 20 0 BFADHN Cc1ccc(O[C@@H]2CCCN(C)C2)cc1F ZINC001225770854 974676312 /nfs/dbraw/zinc/67/63/12/974676312.db2.gz AYEONCXKSVDZTI-GFCCVEGCSA-N 0 3 223.291 2.607 20 0 BFADHN CCOCC[C@@H](C)N1CCc2c(O)cccc2C1 ZINC001172417499 974689188 /nfs/dbraw/zinc/68/91/88/974689188.db2.gz OVYQFEMFLAMVSG-GFCCVEGCSA-N 0 3 249.354 2.565 20 0 BFADHN CCOCC[C@H](C)N1CCc2c(O)cccc2C1 ZINC001172417500 974689577 /nfs/dbraw/zinc/68/95/77/974689577.db2.gz OVYQFEMFLAMVSG-LBPRGKRZSA-N 0 3 249.354 2.565 20 0 BFADHN CCN1CCC[C@@H](Oc2cccc(C(C)=O)c2)C1 ZINC001225815713 974693533 /nfs/dbraw/zinc/69/35/33/974693533.db2.gz ZNZIKKSDFAQZSE-OAHLLOKOSA-N 0 3 247.338 2.752 20 0 BFADHN CCOCC[C@@H](C)NCc1ccncc1Cl ZINC001172419879 974693722 /nfs/dbraw/zinc/69/37/22/974693722.db2.gz MUXZLUKWILPGBL-SNVBAGLBSA-N 0 3 242.750 2.640 20 0 BFADHN CCN1CCC[C@H](Oc2cccc(C(C)=O)c2)C1 ZINC001225815712 974694489 /nfs/dbraw/zinc/69/44/89/974694489.db2.gz ZNZIKKSDFAQZSE-HNNXBMFYSA-N 0 3 247.338 2.752 20 0 BFADHN Cc1ccc(F)c(OC2CCN(C)CC2)c1F ZINC001225894527 974720484 /nfs/dbraw/zinc/72/04/84/974720484.db2.gz MKAARXDMMGWYJH-UHFFFAOYSA-N 0 3 241.281 2.746 20 0 BFADHN CC[C@@H](CC(C)C)n1ccnc1[C@H](C)N ZINC001172382707 974722660 /nfs/dbraw/zinc/72/26/60/974722660.db2.gz HANFKBRGMDTGBL-QWRGUYRKSA-N 0 3 209.337 2.900 20 0 BFADHN CC[C@H](CC(C)C)NCc1ncc(C)cn1 ZINC001172382891 974725230 /nfs/dbraw/zinc/72/52/30/974725230.db2.gz IDVOUMCFPPHGKQ-GFCCVEGCSA-N 0 3 221.348 2.699 20 0 BFADHN CC[C@@H](CC(C)C)NCc1ncc(C)cn1 ZINC001172382894 974726081 /nfs/dbraw/zinc/72/60/81/974726081.db2.gz IDVOUMCFPPHGKQ-LBPRGKRZSA-N 0 3 221.348 2.699 20 0 BFADHN CC[C@H](CC(C)C)N[C@H](C(N)=O)c1ccccc1 ZINC001172390359 974738987 /nfs/dbraw/zinc/73/89/87/974738987.db2.gz URPZAVSVNIAKLF-KGLIPLIRSA-N 0 3 248.370 2.627 20 0 BFADHN CCN1CC[C@H](Oc2ccc(C)c(F)c2F)C1 ZINC001225943361 974739462 /nfs/dbraw/zinc/73/94/62/974739462.db2.gz WASDYXFEENOCMV-JTQLQIEISA-N 0 3 241.281 2.746 20 0 BFADHN CC[C@@H](CC(C)C)N[C@H](C(N)=O)c1ccccc1 ZINC001172390358 974739827 /nfs/dbraw/zinc/73/98/27/974739827.db2.gz URPZAVSVNIAKLF-KBPBESRZSA-N 0 3 248.370 2.627 20 0 BFADHN Cc1coc(CN2CCN(C(C)(C)C)CC2)c1 ZINC001331528115 974747566 /nfs/dbraw/zinc/74/75/66/974747566.db2.gz DLGZHCVOHLWAHL-UHFFFAOYSA-N 0 3 236.359 2.504 20 0 BFADHN CC[C@H](CC(C)C)n1ccc([C@@H](C)N)n1 ZINC001172396621 974752949 /nfs/dbraw/zinc/75/29/49/974752949.db2.gz VWAOBKGNJDUZPN-GHMZBOCLSA-N 0 3 209.337 2.900 20 0 BFADHN COC[C@H](C)NCc1cc(C2CC2)ccc1OC ZINC001331537995 974755536 /nfs/dbraw/zinc/75/55/36/974755536.db2.gz UPRIVSCDCFEARF-NSHDSACASA-N 0 3 249.354 2.697 20 0 BFADHN C[C@H]1COCCCN1C/C=C\c1cccc(F)c1 ZINC001331554680 974761592 /nfs/dbraw/zinc/76/15/92/974761592.db2.gz WZACKJKQSBQMLR-RJNRQISESA-N 0 3 249.329 2.950 20 0 BFADHN CC[C@@H](CC(C)C)N[C@@H](C(N)=O)c1ccccc1 ZINC001172402386 974766068 /nfs/dbraw/zinc/76/60/68/974766068.db2.gz URPZAVSVNIAKLF-UONOGXRCSA-N 0 3 248.370 2.627 20 0 BFADHN CC[C@H]1COCCN1[C@@H](C)CCOCC(C)C ZINC001172475338 974768264 /nfs/dbraw/zinc/76/82/64/974768264.db2.gz IFIAIGDBEOWFAS-KBPBESRZSA-N 0 3 243.391 2.548 20 0 BFADHN CC(C)COCC[C@@H](C)N1C[C@@H](C)OC[C@@H]1C ZINC001172482095 974783159 /nfs/dbraw/zinc/78/31/59/974783159.db2.gz WKIIBEKEFNAWMV-HZSPNIEDSA-N 0 3 243.391 2.547 20 0 BFADHN CC(C)COCC[C@H](C)N1C[C@@H](C)OC[C@H]1C ZINC001172485321 974788941 /nfs/dbraw/zinc/78/89/41/974788941.db2.gz WKIIBEKEFNAWMV-BFHYXJOUSA-N 0 3 243.391 2.547 20 0 BFADHN CC(C)OCC[C@@H](C)N(C)Cc1ccccn1 ZINC001172440049 974795521 /nfs/dbraw/zinc/79/55/21/974795521.db2.gz IPBKKHPDOLXSTO-CYBMUJFWSA-N 0 3 236.359 2.717 20 0 BFADHN Cc1cccnc1CNC(C)(C)[C@@H]1CCCCO1 ZINC001331743086 974810996 /nfs/dbraw/zinc/81/09/96/974810996.db2.gz IJFCEZVVQZQGCY-AWEZNQCLSA-N 0 3 248.370 2.827 20 0 BFADHN CC(C)OCC[C@H](C)N1CCc2ncccc2C1 ZINC001172449360 974813744 /nfs/dbraw/zinc/81/37/44/974813744.db2.gz UDOQJYGKOBPWFM-ZDUSSCGKSA-N 0 3 248.370 2.643 20 0 BFADHN CC(=O)[C@H]1CCCCN1[C@@H](C)CCOC(C)C ZINC001172457914 974820764 /nfs/dbraw/zinc/82/07/64/974820764.db2.gz WOACIOAIJRZDQA-GXTWGEPZSA-N 0 3 241.375 2.634 20 0 BFADHN CC(C)COCC[C@@H](C)N1C[C@@H](C)O[C@@H](C)C1 ZINC001172467894 974831046 /nfs/dbraw/zinc/83/10/46/974831046.db2.gz NKNFITKDTTZQKA-MCIONIFRSA-N 0 3 243.391 2.547 20 0 BFADHN CC(C)COCC[C@H](C)N1C[C@H](C)O[C@@H](C)C1 ZINC001172467893 974831159 /nfs/dbraw/zinc/83/11/59/974831159.db2.gz NKNFITKDTTZQKA-IHRRRGAJSA-N 0 3 243.391 2.547 20 0 BFADHN C[C@@H]1CCN1[C@H]1Cc2ccc(Cl)cc2C1 ZINC001172719311 974906001 /nfs/dbraw/zinc/90/60/01/974906001.db2.gz ODTWTXOVYDIYDZ-RNCFNFMXSA-N 0 3 221.731 2.901 20 0 BFADHN Cc1ccc2c(c1)C[C@@H](N[C@@H]1C[C@H]1F)CC2 ZINC001172837195 974951473 /nfs/dbraw/zinc/95/14/73/974951473.db2.gz YKGXSJORSAXHAJ-BFHYXJOUSA-N 0 3 219.303 2.552 20 0 BFADHN C[C@@H]1CCC[C@H](C)[C@H]1Oc1ccnc(N)c1 ZINC001226654034 974998337 /nfs/dbraw/zinc/99/83/37/974998337.db2.gz WJNSPJPAXZQOFU-IWIIMEHWSA-N 0 3 220.316 2.867 20 0 BFADHN C[C@@H]1CCC[C@@H](C)C1Oc1ccnc(N)c1 ZINC001226654035 974999602 /nfs/dbraw/zinc/99/96/02/974999602.db2.gz WJNSPJPAXZQOFU-NXEZZACHSA-N 0 3 220.316 2.867 20 0 BFADHN C[C@H]1CCC[C@H](C)C1Oc1ccnc(N)c1 ZINC001226654038 974999872 /nfs/dbraw/zinc/99/98/72/974999872.db2.gz WJNSPJPAXZQOFU-UWVGGRQHSA-N 0 3 220.316 2.867 20 0 BFADHN Nc1cc(O[C@@H](c2cccnc2)C2CC2)ccn1 ZINC001226654055 975000916 /nfs/dbraw/zinc/00/09/16/975000916.db2.gz WNQKYGHRPWCABI-CQSZACIVSA-N 0 3 241.294 2.589 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](Oc2ccnc(N)c2)C1 ZINC001226654774 975001830 /nfs/dbraw/zinc/00/18/30/975001830.db2.gz CBXYCFGCZDHSKL-JFGNBEQYSA-N 0 3 220.316 2.867 20 0 BFADHN Nc1cc(O[C@H]2CCc3cc(F)ccc32)ccn1 ZINC001226654305 975002456 /nfs/dbraw/zinc/00/24/56/975002456.db2.gz XUEQHXWXKBMVHF-ZDUSSCGKSA-N 0 3 244.269 2.869 20 0 BFADHN COc1cccc([C@H](C)Oc2ccnc(N)c2)c1 ZINC001226657921 975005515 /nfs/dbraw/zinc/00/55/15/975005515.db2.gz UIBKMBQCKDVYSF-JTQLQIEISA-N 0 3 244.294 2.812 20 0 BFADHN COc1ccccc1[C@@H](C)Oc1ccnc(N)c1 ZINC001226658117 975006927 /nfs/dbraw/zinc/00/69/27/975006927.db2.gz WJUHZBIENDUUNM-SNVBAGLBSA-N 0 3 244.294 2.812 20 0 BFADHN c1cc2c(c(O[C@H]3CN4CCC3CC4)c1)CCC2 ZINC001226795992 975089526 /nfs/dbraw/zinc/08/95/26/975089526.db2.gz UTXIUDFCTLVKTF-INIZCTEOSA-N 0 3 243.350 2.648 20 0 BFADHN CC[C@H]1CC[C@@H](N(C)c2nc(CN)ccc2C)C1 ZINC001173262409 975116514 /nfs/dbraw/zinc/11/65/14/975116514.db2.gz ZBYFTPBIDZAOLU-GXTWGEPZSA-N 0 3 247.386 2.864 20 0 BFADHN CC[C@H]1CC[C@@H](NC2(c3cnccn3)CC2)C1 ZINC001173268805 975135438 /nfs/dbraw/zinc/13/54/38/975135438.db2.gz DKSMKXUOCMHGRT-NWDGAFQWSA-N 0 3 231.343 2.634 20 0 BFADHN CCc1ccc(C[C@H](C)N2C=C(N)C=CC2)cc1 ZINC001173242914 975168913 /nfs/dbraw/zinc/16/89/13/975168913.db2.gz YQASGSNHNUCJTR-ZDUSSCGKSA-N 0 3 242.366 2.852 20 0 BFADHN CCSC[C@@H](C)N1CC[C@@H](C)[C@H](F)C1 ZINC001173301109 975194701 /nfs/dbraw/zinc/19/47/01/975194701.db2.gz PJBFFTGPFFQBKV-GMTAPVOTSA-N 0 3 219.369 2.808 20 0 BFADHN CCSC[C@@H](C)N1CC2(C1)C[C@@H](F)CS2 ZINC001173306009 975213123 /nfs/dbraw/zinc/21/31/23/975213123.db2.gz CVKHSPFJGNPCLK-NXEZZACHSA-N 0 3 249.420 2.657 20 0 BFADHN CC[C@H]1CC[C@@H](N(C)Cc2ccno2)C1 ZINC001173284624 975244442 /nfs/dbraw/zinc/24/44/42/975244442.db2.gz RAXSCGGPRFMGLG-WDEREUQCSA-N 0 3 208.305 2.685 20 0 BFADHN CC[C@@H]1CC[C@@H](n2c(C)nc3c2CNCC3)C1 ZINC001173292009 975269154 /nfs/dbraw/zinc/26/91/54/975269154.db2.gz CXSCOYXKTIHGIC-VXGBXAGGSA-N 0 3 233.359 2.588 20 0 BFADHN COCC1=CCN(CCc2cccc(F)c2)CC1 ZINC001332923475 975323519 /nfs/dbraw/zinc/32/35/19/975323519.db2.gz QGVFWNUWYJPRPO-UHFFFAOYSA-N 0 3 249.329 2.647 20 0 BFADHN FCC1(NC2Cc3cc(F)c(F)cc3C2)CC1 ZINC001173471933 975382511 /nfs/dbraw/zinc/38/25/11/975382511.db2.gz AZNJAJPUGAOMEY-UHFFFAOYSA-N 0 3 241.256 2.524 20 0 BFADHN Fc1ccccc1CN1CC[C@@]2(CCCO2)C1 ZINC001203346685 975394536 /nfs/dbraw/zinc/39/45/36/975394536.db2.gz UBDILBXWGHIMKR-AWEZNQCLSA-N 0 3 235.302 2.581 20 0 BFADHN CC(=O)c1ccc(O[C@H]2CCN(C(C)C)C2)cc1 ZINC001227465623 975445253 /nfs/dbraw/zinc/44/52/53/975445253.db2.gz ZUZYQQXDSKCCAQ-HNNXBMFYSA-N 0 3 247.338 2.751 20 0 BFADHN F[C@@H]1CCC[C@H](NCc2cc(C3CC3)no2)C1 ZINC001330638063 975785348 /nfs/dbraw/zinc/78/53/48/975785348.db2.gz LHEUOHZGBHGDKL-MNOVXSKESA-N 0 3 238.306 2.922 20 0 BFADHN F[C@H]1CCC[C@@H](NCc2cc(C3CC3)no2)C1 ZINC001330638085 975789900 /nfs/dbraw/zinc/78/99/00/975789900.db2.gz LHEUOHZGBHGDKL-WDEREUQCSA-N 0 3 238.306 2.922 20 0 BFADHN COc1cccc(Nc2ccncc2C)c1 ZINC001173824587 975841064 /nfs/dbraw/zinc/84/10/64/975841064.db2.gz KZPROXIOMMDVCH-UHFFFAOYSA-N 0 3 214.268 2.564 20 0 BFADHN Cc1ncc(Nc2cccc(F)c2)n1C ZINC001173835224 975856810 /nfs/dbraw/zinc/85/68/10/975856810.db2.gz GMNMIGRNUVZULS-UHFFFAOYSA-N 0 3 205.236 2.611 20 0 BFADHN CC(=O)c1ccc(Nc2ccncc2C)cc1 ZINC001173894068 975878833 /nfs/dbraw/zinc/87/88/33/975878833.db2.gz GJFOCVQAPBCRDV-UHFFFAOYSA-N 0 3 226.279 2.758 20 0 BFADHN Nc1cc2ccn(-c3ccncc3)c2cc1F ZINC001173885358 975983343 /nfs/dbraw/zinc/98/33/43/975983343.db2.gz WGKKYPNKWXGFIV-UHFFFAOYSA-N 0 3 227.242 2.747 20 0 BFADHN CC(=O)c1ccc(O[C@@H](C)CN(C)C)cc1C ZINC001228217668 975998066 /nfs/dbraw/zinc/99/80/66/975998066.db2.gz ZBRGDCCMQYPKSS-NSHDSACASA-N 0 3 235.327 2.527 20 0 BFADHN Cc1ccnnc1Nc1ccccc1CN(C)C ZINC001173930091 976036922 /nfs/dbraw/zinc/03/69/22/976036922.db2.gz PYHCPCQPLMGXKN-UHFFFAOYSA-N 0 3 242.326 2.590 20 0 BFADHN Cc1cc(Nc2ccccc2CN(C)C)nn1C ZINC001173927600 976037210 /nfs/dbraw/zinc/03/72/10/976037210.db2.gz JIDYXVQZFUGUPC-UHFFFAOYSA-N 0 3 244.342 2.534 20 0 BFADHN CN(C)Cc1ccccc1Nc1cnsc1 ZINC001173929843 976038883 /nfs/dbraw/zinc/03/88/83/976038883.db2.gz JWFMCUMDTQFRLJ-UHFFFAOYSA-N 0 3 233.340 2.948 20 0 BFADHN Cn1ccnc1Nc1ccc2[nH]ccc2c1 ZINC001173935724 976067812 /nfs/dbraw/zinc/06/78/12/976067812.db2.gz DZKSMJLTNPVBRC-UHFFFAOYSA-N 0 3 212.256 2.645 20 0 BFADHN Cc1ccc(OC2CCN(C3CC3)CC2)nc1C ZINC001228300676 976083732 /nfs/dbraw/zinc/08/37/32/976083732.db2.gz XCJYNNOPIBWLBL-UHFFFAOYSA-N 0 3 246.354 2.704 20 0 BFADHN CN(c1cc(N)ccn1)c1cccc(F)c1F ZINC001173945610 976094752 /nfs/dbraw/zinc/09/47/52/976094752.db2.gz FZKDDZCGJOGNCR-UHFFFAOYSA-N 0 3 235.237 2.710 20 0 BFADHN COc1ccc(Nc2ccncc2C)c(C)c1 ZINC001174021007 976219896 /nfs/dbraw/zinc/21/98/96/976219896.db2.gz IETXOLSKJGXJPK-UHFFFAOYSA-N 0 3 228.295 2.873 20 0 BFADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@@H]1C)c1ncccn1 ZINC001334084341 976282444 /nfs/dbraw/zinc/28/24/44/976282444.db2.gz UCRGKHCZLKVANP-ZDEQEGDKSA-N 0 3 233.359 2.952 20 0 BFADHN COCc1cccc(Nc2cnc(C)n2C)c1 ZINC001174094901 976293369 /nfs/dbraw/zinc/29/33/69/976293369.db2.gz ZDKMOSHRKXORRN-UHFFFAOYSA-N 0 3 231.299 2.619 20 0 BFADHN CCc1cc(Nc2cnccc2C)ccn1 ZINC001174116599 976337816 /nfs/dbraw/zinc/33/78/16/976337816.db2.gz CQRPOCXMCHGBRU-UHFFFAOYSA-N 0 3 213.284 2.513 20 0 BFADHN Cc1n[nH]c(C)c1Nc1ccccc1CN(C)C ZINC001174216628 976404128 /nfs/dbraw/zinc/40/41/28/976404128.db2.gz LQIFHMCWWFQSST-UHFFFAOYSA-N 0 3 244.342 2.832 20 0 BFADHN c1n[nH]cc1Nc1ccnc(C2CCC2)c1 ZINC001174295341 976477402 /nfs/dbraw/zinc/47/74/02/976477402.db2.gz WYJOHXGJBQKSFC-UHFFFAOYSA-N 0 3 214.272 2.816 20 0 BFADHN COc1cc([C@H](C)N[C@@H]2CCC[C@@H](F)C2)on1 ZINC001334404221 976494763 /nfs/dbraw/zinc/49/47/63/976494763.db2.gz VMDITJKELLCIMK-IVZWLZJFSA-N 0 3 242.294 2.615 20 0 BFADHN COC/C(C)=C/CN[C@@H](COC)c1ccccc1 ZINC001334645851 976575255 /nfs/dbraw/zinc/57/52/55/976575255.db2.gz ZKHBLYPFYALFNU-GLNPCMGASA-N 0 3 249.354 2.556 20 0 BFADHN CN1CCc2cc(OC3CCCC3)ccc2C1 ZINC001228936692 976590468 /nfs/dbraw/zinc/59/04/68/976590468.db2.gz LUIGMSMNMSFDBT-UHFFFAOYSA-N 0 3 231.339 2.996 20 0 BFADHN Cn1ccnc1Nc1ccnc(C(F)(F)F)c1 ZINC001174359540 976602647 /nfs/dbraw/zinc/60/26/47/976602647.db2.gz FNTVVANPTXUPCA-UHFFFAOYSA-N 0 3 242.204 2.578 20 0 BFADHN Cn1ccnc1Nc1ccc2occc2c1 ZINC001174417149 976659425 /nfs/dbraw/zinc/65/94/25/976659425.db2.gz YONBJKICWWMVLD-UHFFFAOYSA-N 0 3 213.240 2.910 20 0 BFADHN Cc1cnccc1Nc1cccc(C(C)(C)O)c1 ZINC001174405426 976689977 /nfs/dbraw/zinc/68/99/77/976689977.db2.gz XRJUHAPGHHTCLB-UHFFFAOYSA-N 0 3 242.322 2.783 20 0 BFADHN Cc1ncc(Nc2ccsc2C)n1C ZINC001174460796 976759277 /nfs/dbraw/zinc/75/92/77/976759277.db2.gz ZVTAWRYRUJXVTC-UHFFFAOYSA-N 0 3 207.302 2.842 20 0 BFADHN Cc1cc(Nc2ccncc2C)cnc1Cl ZINC001174535943 976813618 /nfs/dbraw/zinc/81/36/18/976813618.db2.gz FYEPLYWJIVPPPA-UHFFFAOYSA-N 0 3 233.702 2.912 20 0 BFADHN Cc1cnccc1Nc1cc(Cl)ncc1F ZINC001174536353 976815554 /nfs/dbraw/zinc/81/55/54/976815554.db2.gz BYLHYOAZDKBQCO-UHFFFAOYSA-N 0 3 237.665 2.743 20 0 BFADHN Cc1ccc(C)c(Nc2ccncc2C)n1 ZINC001174536773 976816268 /nfs/dbraw/zinc/81/62/68/976816268.db2.gz UYQFAWZHOVYELA-UHFFFAOYSA-N 0 3 213.284 2.567 20 0 BFADHN Cc1cnccc1Nc1cnn(C(C)(C)C)c1 ZINC001174536357 976816554 /nfs/dbraw/zinc/81/65/54/976816554.db2.gz CDBGPFHHKUWWJI-UHFFFAOYSA-N 0 3 230.315 2.507 20 0 BFADHN Cc1cnc(Nc2ccncc2C)c(C)c1 ZINC001174536507 976816861 /nfs/dbraw/zinc/81/68/61/976816861.db2.gz OHYNJACCOQOPQB-UHFFFAOYSA-N 0 3 213.284 2.567 20 0 BFADHN Cc1cnccc1Nc1ccc(Cl)cn1 ZINC001174536561 976817388 /nfs/dbraw/zinc/81/73/88/976817388.db2.gz YLNWHOMMRFPTGI-UHFFFAOYSA-N 0 3 219.675 2.604 20 0 BFADHN Cc1cc2cc(N)cnc2n1-c1ccncc1C ZINC001174536336 976817936 /nfs/dbraw/zinc/81/79/36/976817936.db2.gz BBZQVZGPHOINPY-UHFFFAOYSA-N 0 3 238.294 2.620 20 0 BFADHN Cc1csc(Nc2ccncc2C)c1 ZINC001174536443 976818488 /nfs/dbraw/zinc/81/84/88/976818488.db2.gz MNBGJGYMJBQMTI-UHFFFAOYSA-N 0 3 204.298 2.925 20 0 BFADHN Cc1cc(Nc2ccncc2C)n(C(C)(C)C)n1 ZINC001174537053 976819538 /nfs/dbraw/zinc/81/95/38/976819538.db2.gz UQRUABKPLWKTHH-UHFFFAOYSA-N 0 3 244.342 2.815 20 0 BFADHN Cc1cnccc1Nc1cc(C2CCC2)nn1C ZINC001174537862 976824360 /nfs/dbraw/zinc/82/43/60/976824360.db2.gz VBOXTAYNUJNJCR-UHFFFAOYSA-N 0 3 242.326 2.557 20 0 BFADHN Cc1cnccc1Nc1cccc(C2CC2)n1 ZINC001174538004 976824618 /nfs/dbraw/zinc/82/46/18/976824618.db2.gz WSDUNUSZIBVXSD-UHFFFAOYSA-N 0 3 225.295 2.828 20 0 BFADHN Cc1ccc(N)c2nn(-c3ccncc3C)cc21 ZINC001174537892 976824655 /nfs/dbraw/zinc/82/46/55/976824655.db2.gz UCNPWMFARURGJL-UHFFFAOYSA-N 0 3 238.294 2.620 20 0 BFADHN Cc1cnn(C2CCC2)c1Nc1ccncc1C ZINC001174537851 976826441 /nfs/dbraw/zinc/82/64/41/976826441.db2.gz UPOFSVWIOGFMDA-UHFFFAOYSA-N 0 3 242.326 2.785 20 0 BFADHN Cc1cnccc1Nc1cccc2cnoc21 ZINC001174538864 976829758 /nfs/dbraw/zinc/82/97/58/976829758.db2.gz PTVPZOPKCLGVRM-UHFFFAOYSA-N 0 3 225.251 2.697 20 0 BFADHN Cc1cnccc1Nc1ccc2cnoc2c1 ZINC001174540175 976832632 /nfs/dbraw/zinc/83/26/32/976832632.db2.gz WUGSRNINRJHPBS-UHFFFAOYSA-N 0 3 225.251 2.697 20 0 BFADHN CCN1CC[C@@H](Oc2cc(Cl)ccc2O)C1 ZINC001229543675 976896325 /nfs/dbraw/zinc/89/63/25/976896325.db2.gz ANIICRZPGBCKAN-SNVBAGLBSA-N 0 3 241.718 2.519 20 0 BFADHN C[C@H](NCc1cnn2ccccc12)C1(Cl)CC1 ZINC001335282699 976921566 /nfs/dbraw/zinc/92/15/66/976921566.db2.gz WKQFQBGLWPXAQD-JTQLQIEISA-N 0 3 249.745 2.584 20 0 BFADHN Cc1cc(Nc2ncc(Cl)cc2F)ccn1 ZINC001174651857 976936275 /nfs/dbraw/zinc/93/62/75/976936275.db2.gz OXBICNRNIWEBJY-UHFFFAOYSA-N 0 3 237.665 2.743 20 0 BFADHN Cc1cc(Cl)nc(Nc2ccnc(C)c2)c1 ZINC001174653272 976940531 /nfs/dbraw/zinc/94/05/31/976940531.db2.gz DROUZVXZKIQJDP-UHFFFAOYSA-N 0 3 233.702 2.912 20 0 BFADHN C[C@H]1CC[C@@H](COc2ccccc2CN(C)C)O1 ZINC001229698901 976948237 /nfs/dbraw/zinc/94/82/37/976948237.db2.gz IBQYZVFWOVVMRU-JSGCOSHPSA-N 0 3 249.354 2.695 20 0 BFADHN Cc1c2cc(N)ccc2nn1-c1ccnc(C)c1 ZINC001174657110 976951519 /nfs/dbraw/zinc/95/15/19/976951519.db2.gz NSAFXSWJFCWZNO-UHFFFAOYSA-N 0 3 238.294 2.620 20 0 BFADHN CC[C@@H](COC)Oc1ccccc1CN(C)C ZINC001229700318 976954260 /nfs/dbraw/zinc/95/42/60/976954260.db2.gz XZFZFLXDIFABHV-ZDUSSCGKSA-N 0 3 237.343 2.552 20 0 BFADHN CN1CCC(Oc2ccc3scnc3c2)CC1 ZINC001230231535 977137671 /nfs/dbraw/zinc/13/76/71/977137671.db2.gz MOXQVYROGCPTCT-UHFFFAOYSA-N 0 3 248.351 2.769 20 0 BFADHN CCc1cc(Nc2c(F)cccc2OC)ccn1 ZINC001174990828 977196231 /nfs/dbraw/zinc/19/62/31/977196231.db2.gz JCJCQRFCZQNIGY-UHFFFAOYSA-N 0 3 246.285 2.957 20 0 BFADHN Cc1cn(C)nc1CN1CC[C@]2(CC[C@H](C)C2)C1 ZINC001335748784 977258733 /nfs/dbraw/zinc/25/87/33/977258733.db2.gz QXLAZXSUQYSUNK-WFASDCNBSA-N 0 3 247.386 2.741 20 0 BFADHN CCN1CC[C@H](Oc2ccc3[nH]ccc3c2F)C1 ZINC001230767195 977328448 /nfs/dbraw/zinc/32/84/48/977328448.db2.gz VULBPKLMFDQAMB-JTQLQIEISA-N 0 3 248.301 2.780 20 0 BFADHN C[C@@H]1CC[C@H](C)[C@H](Oc2nncc3n[nH]cc32)C1 ZINC001230896215 977362619 /nfs/dbraw/zinc/36/26/19/977362619.db2.gz OBNLUQXUJZYMOW-VDDIYKPWSA-N 0 3 246.314 2.556 20 0 BFADHN C[C@@H]1CC(Oc2nncc3n[nH]cc32)C[C@@H](C)C1 ZINC001230897130 977363484 /nfs/dbraw/zinc/36/34/84/977363484.db2.gz ZJANYDDUVDSPDB-IUCAKERBSA-N 0 3 246.314 2.556 20 0 BFADHN CC[C@H]1CCCC[C@@H]1Oc1nncc2n[nH]cc21 ZINC001230896410 977363606 /nfs/dbraw/zinc/36/36/06/977363606.db2.gz QNUIEAJQRIJRDX-CABZTGNLSA-N 0 3 246.314 2.701 20 0 BFADHN CC[C@@H]1CCCC[C@@H]1Oc1nncc2n[nH]cc21 ZINC001230896440 977365682 /nfs/dbraw/zinc/36/56/82/977365682.db2.gz QNUIEAJQRIJRDX-SKDRFNHKSA-N 0 3 246.314 2.701 20 0 BFADHN C[C@@H]1CC[C@@H](Oc2nncc3n[nH]cc32)C[C@@H]1C ZINC001230899867 977367887 /nfs/dbraw/zinc/36/78/87/977367887.db2.gz BPJRFKATMGIXIB-KXUCPTDWSA-N 0 3 246.314 2.556 20 0 BFADHN CCC[C@H](Oc1nncc2n[nH]cc21)C(C)C ZINC001230900321 977369068 /nfs/dbraw/zinc/36/90/68/977369068.db2.gz GNDVDJXYYDSLDK-NSHDSACASA-N 0 3 234.303 2.556 20 0 BFADHN C[C@@H]1CCC[C@H](Oc2nncc3n[nH]cc32)[C@H]1C ZINC001230900457 977369189 /nfs/dbraw/zinc/36/91/89/977369189.db2.gz INKZVNXLJKUJIL-PTRXPTGYSA-N 0 3 246.314 2.556 20 0 BFADHN CCC1CCC(Oc2nncc3n[nH]cc32)CC1 ZINC001230901687 977370776 /nfs/dbraw/zinc/37/07/76/977370776.db2.gz SPAFSEVZXLMTTM-UHFFFAOYSA-N 0 3 246.314 2.701 20 0 BFADHN CCN1CC[C@H](Oc2c(C)cccc2F)C1 ZINC001231034468 977437517 /nfs/dbraw/zinc/43/75/17/977437517.db2.gz MRRJJYURGZJMKJ-NSHDSACASA-N 0 3 223.291 2.607 20 0 BFADHN CN1CCC[C@@H](Oc2ccc(N=O)cc2)C1 ZINC001231291639 977558780 /nfs/dbraw/zinc/55/87/80/977558780.db2.gz LMPXPYWKCDQYEL-GFCCVEGCSA-N 0 3 220.272 2.558 20 0 BFADHN C=Cc1cccc(NC2=CCCN(C)C2)c1 ZINC001175578909 977597659 /nfs/dbraw/zinc/59/76/59/977597659.db2.gz QVTGHQWBYDBRPI-UHFFFAOYSA-N 0 3 214.312 2.961 20 0 BFADHN Cc1c(O)ccc(NC2=CCCN(C)C2)c1C ZINC001175580772 977601883 /nfs/dbraw/zinc/60/18/83/977601883.db2.gz SNNDVCDLQPVGFY-UHFFFAOYSA-N 0 3 232.327 2.640 20 0 BFADHN CCc1ccc(CN2CC[C@H](C(C)=O)C2)s1 ZINC001231503336 977604330 /nfs/dbraw/zinc/60/43/30/977604330.db2.gz YCQQOIYYOSLDLL-NSHDSACASA-N 0 3 237.368 2.721 20 0 BFADHN CCc1ccc(CN2CC[C@@](C)(CF)C2)nc1 ZINC001231637271 977651750 /nfs/dbraw/zinc/65/17/50/977651750.db2.gz DXNITQXIRJPXBD-AWEZNQCLSA-N 0 3 236.334 2.826 20 0 BFADHN CCc1ccc(CN2CC[C@](C)(CF)C2)nc1 ZINC001231637272 977653322 /nfs/dbraw/zinc/65/33/22/977653322.db2.gz DXNITQXIRJPXBD-CQSZACIVSA-N 0 3 236.334 2.826 20 0 BFADHN CCOc1ncccc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC001231769006 977744409 /nfs/dbraw/zinc/74/44/09/977744409.db2.gz SOBKJVSENPKQSW-BETUJISGSA-N 0 3 246.354 2.568 20 0 BFADHN CCCCc1ccc(CN2CCN(C)CC2)cc1 ZINC001231779683 977744567 /nfs/dbraw/zinc/74/45/67/977744567.db2.gz FVUWLSAWZWMMCT-UHFFFAOYSA-N 0 3 246.398 2.777 20 0 BFADHN c1[nH]nc2cc(CN3CC4(CCC4)C3)ccc12 ZINC001231905483 977793797 /nfs/dbraw/zinc/79/37/97/977793797.db2.gz KYDYZQVYYCZAAC-UHFFFAOYSA-N 0 3 227.311 2.549 20 0 BFADHN Fc1ncc(Cl)cc1CN1CC2CC(C2)C1 ZINC001231999274 977832405 /nfs/dbraw/zinc/83/24/05/977832405.db2.gz XOHURQZZLFRBEE-UHFFFAOYSA-N 0 3 240.709 2.716 20 0 BFADHN [NH3+]Cc1ccc(Nc2ncc(Cl)cc2[O-])cc1 ZINC001175966060 977835613 /nfs/dbraw/zinc/83/56/13/977835613.db2.gz FRJQNHFPWUKOST-UHFFFAOYSA-N 0 3 249.701 2.643 20 0 BFADHN CCC[C@H]1CCCC[N@@H+]1Cc1cncc([O-])c1 ZINC001232042773 977867965 /nfs/dbraw/zinc/86/79/65/977867965.db2.gz YAWFWUCAKPPWOB-ZDUSSCGKSA-N 0 3 234.343 2.942 20 0 BFADHN CCC[C@H]1CCCC[N@H+]1Cc1cncc([O-])c1 ZINC001232042773 977867968 /nfs/dbraw/zinc/86/79/68/977867968.db2.gz YAWFWUCAKPPWOB-ZDUSSCGKSA-N 0 3 234.343 2.942 20 0 BFADHN [O-]c1cncc(C[NH+]2CCCCCCC2)c1 ZINC001232042774 977869189 /nfs/dbraw/zinc/86/91/89/977869189.db2.gz YBTXQWXQBCIRAI-UHFFFAOYSA-N 0 3 220.316 2.553 20 0 BFADHN FC(F)(F)c1cncc(Nc2ncc[nH]2)c1 ZINC001176079186 977873341 /nfs/dbraw/zinc/87/33/41/977873341.db2.gz FSNBUZAJGJEDBU-UHFFFAOYSA-N 0 3 228.177 2.567 20 0 BFADHN Fc1cccc2cc(Nc3ncc[nH]3)cnc12 ZINC001176081901 977875920 /nfs/dbraw/zinc/87/59/20/977875920.db2.gz JGUKAQGWXQCAKC-UHFFFAOYSA-N 0 3 228.230 2.841 20 0 BFADHN c1c[nH]c(Nc2ccc(C3CCC3)nc2)n1 ZINC001176082448 977880531 /nfs/dbraw/zinc/88/05/31/977880531.db2.gz KUDGJLCFNXYBMN-UHFFFAOYSA-N 0 3 214.272 2.816 20 0 BFADHN Cc1ccc(CN2CC[C@H](OC(C)C)C2)c(C)n1 ZINC001232085211 977886405 /nfs/dbraw/zinc/88/64/05/977886405.db2.gz LAPNWQABHKMPQB-HNNXBMFYSA-N 0 3 248.370 2.698 20 0 BFADHN C[C@@]1(CF)CCN(Cc2cc3ccncc3[nH]2)C1 ZINC001232091589 977888270 /nfs/dbraw/zinc/88/82/70/977888270.db2.gz XIHDBUFBOJDYAV-AWEZNQCLSA-N 0 3 247.317 2.744 20 0 BFADHN Fc1cncc2cccc(Nc3ncc[nH]3)c12 ZINC001176085887 977889612 /nfs/dbraw/zinc/88/96/12/977889612.db2.gz TXJXVJRADMCAGC-UHFFFAOYSA-N 0 3 228.230 2.841 20 0 BFADHN CC(=O)c1cc(C)ccc1Nc1ncc[nH]1 ZINC001176090903 977893077 /nfs/dbraw/zinc/89/30/77/977893077.db2.gz RAURYFVWVQEVHP-UHFFFAOYSA-N 0 3 215.256 2.664 20 0 BFADHN FC[C@@H]1CCCN(Cc2cc3ccncc3[nH]2)C1 ZINC001232094739 977893341 /nfs/dbraw/zinc/89/33/41/977893341.db2.gz XPYMELFCIGADKA-NSHDSACASA-N 0 3 247.317 2.744 20 0 BFADHN COC(C)(C)[C@@H]1CCCN1Cc1ccns1 ZINC001232191767 977917404 /nfs/dbraw/zinc/91/74/04/977917404.db2.gz DXGHLMXHPJVMAY-NSHDSACASA-N 0 3 240.372 2.533 20 0 BFADHN C[C@H]1CN(Cc2ccns2)C2(CC2)C1 ZINC001232193714 977918896 /nfs/dbraw/zinc/91/88/96/977918896.db2.gz HSGCDPIXBDPJOZ-SECBINFHSA-N 0 3 208.330 2.518 20 0 BFADHN C[C@H]1C[C@H](C)CN(Cc2cnsc2)C1 ZINC001232230021 977942590 /nfs/dbraw/zinc/94/25/90/977942590.db2.gz CHGICPVMVGYHRF-UWVGGRQHSA-N 0 3 210.346 2.621 20 0 BFADHN CCCN(Cc1cnsc1)C1CCOCC1 ZINC001232228868 977957192 /nfs/dbraw/zinc/95/71/92/977957192.db2.gz ASBVDJVAEUXIAQ-UHFFFAOYSA-N 0 3 240.372 2.534 20 0 BFADHN CC[C@H]1CCCN(Cc2cnsc2)C1 ZINC001232230787 977966478 /nfs/dbraw/zinc/96/64/78/977966478.db2.gz VAAHKDAVQPHQGU-JTQLQIEISA-N 0 3 210.346 2.765 20 0 BFADHN CSc1ccc(CN2C[C@H]3CC[C@@H]2C3)cn1 ZINC001232332905 978008042 /nfs/dbraw/zinc/00/80/42/978008042.db2.gz MAPNIYROHNOBEO-CMPLNLGQSA-N 0 3 234.368 2.788 20 0 BFADHN Cc1nc(N)ccc1CN1CC2(C1)CCCCC2 ZINC001232340233 978019674 /nfs/dbraw/zinc/01/96/74/978019674.db2.gz BAJJEXUJUWNEIC-UHFFFAOYSA-N 0 3 245.370 2.738 20 0 BFADHN COc1cc(C)c(CN2CCCC23CC3)cn1 ZINC001232348201 978027753 /nfs/dbraw/zinc/02/77/53/978027753.db2.gz BGFQQOHYNFJXJM-UHFFFAOYSA-N 0 3 232.327 2.527 20 0 BFADHN CSc1cc(C)c(CN2CC[C@H](C)C2)cn1 ZINC001232342592 978028364 /nfs/dbraw/zinc/02/83/64/978028364.db2.gz QYHIAQDSVPYQRR-JTQLQIEISA-N 0 3 236.384 2.954 20 0 BFADHN Cc1cccc(CN(C)C)c1OC(C)C ZINC001232390797 978052749 /nfs/dbraw/zinc/05/27/49/978052749.db2.gz ZAZKJVXKFSBATP-UHFFFAOYSA-N 0 3 207.317 2.844 20 0 BFADHN CC[C@H](C)N(C)Cc1cn2cc(F)ccc2n1 ZINC001232410141 978053369 /nfs/dbraw/zinc/05/33/69/978053369.db2.gz BBGUBIWLLGZMNT-JTQLQIEISA-N 0 3 235.306 2.704 20 0 BFADHN Cn1ccnc1Nc1cc(O)cc(Cl)c1 ZINC001176621903 978139824 /nfs/dbraw/zinc/13/98/24/978139824.db2.gz XPBLHNTURZOEMT-UHFFFAOYSA-N 0 3 223.663 2.523 20 0 BFADHN Fc1ccnc(CN2CCC[C@@H]3CCC[C@@H]32)c1 ZINC001232496527 978152299 /nfs/dbraw/zinc/15/22/99/978152299.db2.gz VPMBLGRJOXFFFG-FZMZJTMJSA-N 0 3 234.318 2.985 20 0 BFADHN Fc1ccnc(CN2CCC[C@H]3CCC[C@H]32)c1 ZINC001232496526 978154803 /nfs/dbraw/zinc/15/48/03/978154803.db2.gz VPMBLGRJOXFFFG-BXUZGUMPSA-N 0 3 234.318 2.985 20 0 BFADHN Cc1cnccc1Nc1cccnc1OC(C)C ZINC001176730113 978165814 /nfs/dbraw/zinc/16/58/14/978165814.db2.gz BYGRIMSQYMNDCJ-UHFFFAOYSA-N 0 3 243.310 2.738 20 0 BFADHN c1cc2cc(CN3CCC4(CC4)C3)ncc2o1 ZINC001232551711 978176438 /nfs/dbraw/zinc/17/64/38/978176438.db2.gz IRANVVVPTFJWLE-UHFFFAOYSA-N 0 3 228.295 2.814 20 0 BFADHN CC(C)N(C)Cc1cc2ccoc2cn1 ZINC001232549575 978177983 /nfs/dbraw/zinc/17/79/83/978177983.db2.gz IOKAYOSCHJKFKK-UHFFFAOYSA-N 0 3 204.273 2.668 20 0 BFADHN c1cc2cc(CN3CCCC34CC4)ncc2o1 ZINC001232550593 978178727 /nfs/dbraw/zinc/17/87/27/978178727.db2.gz ZTMVDKYWUIFUCU-UHFFFAOYSA-N 0 3 228.295 2.956 20 0 BFADHN CCOc1ccnc(CN2C[C@H](C)C[C@H](C)C2)c1 ZINC001232584491 978186818 /nfs/dbraw/zinc/18/68/18/978186818.db2.gz FZNUAWQFSKAVHQ-BETUJISGSA-N 0 3 248.370 2.958 20 0 BFADHN CCOc1ccnc(CN(C)CCC2CC2)c1 ZINC001232584203 978186968 /nfs/dbraw/zinc/18/69/68/978186968.db2.gz AUTSUQYNHPJQDG-UHFFFAOYSA-N 0 3 234.343 2.712 20 0 BFADHN COc1cccc(C)c1CN1CC2(CCC2)C1 ZINC001232620929 978199915 /nfs/dbraw/zinc/19/99/15/978199915.db2.gz WEEIUMBRHBMUGC-UHFFFAOYSA-N 0 3 231.339 2.990 20 0 BFADHN CCN(Cc1ccc(Br)[nH]1)C1CC1 ZINC001232684308 978253010 /nfs/dbraw/zinc/25/30/10/978253010.db2.gz OGSHUPLUUITHQY-UHFFFAOYSA-N 0 3 243.148 2.762 20 0 BFADHN CCCn1cnc(CN2C[C@@H]3CCCC[C@H]3C2)c1 ZINC001232793842 978276703 /nfs/dbraw/zinc/27/67/03/978276703.db2.gz DSQMJKNQRKLVAW-KBPBESRZSA-N 0 3 247.386 2.915 20 0 BFADHN CCCn1cnc(CN2CC3CCC(CC3)C2)c1 ZINC001232799371 978289895 /nfs/dbraw/zinc/28/98/95/978289895.db2.gz HYADIANPEDXHCD-UHFFFAOYSA-N 0 3 247.386 2.915 20 0 BFADHN C[C@H]1CCN1Cc1c[nH]c2cc(Cl)ncc12 ZINC001232817404 978296201 /nfs/dbraw/zinc/29/62/01/978296201.db2.gz AWPYCWGDHOJLHN-QMMMGPOBSA-N 0 3 235.718 2.811 20 0 BFADHN COc1cc(O)cc(CN2CCC23CCCC3)c1 ZINC001232805490 978297255 /nfs/dbraw/zinc/29/72/55/978297255.db2.gz LHPSSIPPFKYRBG-UHFFFAOYSA-N 0 3 247.338 2.919 20 0 BFADHN CC1CC(N(C)Cc2ccc(C(C)(C)O)nc2)C1 ZINC001232827129 978307320 /nfs/dbraw/zinc/30/73/20/978307320.db2.gz WMLOFTAVJIJIRM-UHFFFAOYSA-N 0 3 248.370 2.539 20 0 BFADHN CCC[C@H](C)NCc1cn(-c2ccccc2)nn1 ZINC001177314964 978323716 /nfs/dbraw/zinc/32/37/16/978323716.db2.gz COAZSXCXJZHEJZ-LBPRGKRZSA-N 0 3 244.342 2.546 20 0 BFADHN CCC[C@@H](C)NCc1cn(-c2ccccc2)nn1 ZINC001177314963 978324158 /nfs/dbraw/zinc/32/41/58/978324158.db2.gz COAZSXCXJZHEJZ-GFCCVEGCSA-N 0 3 244.342 2.546 20 0 BFADHN OCc1cc(NCCCc2ccsc2)ccn1 ZINC001337414256 978326352 /nfs/dbraw/zinc/32/63/52/978326352.db2.gz PBECRSVFXLKYBR-UHFFFAOYSA-N 0 3 248.351 2.680 20 0 BFADHN FC1CCN(Cc2ccc3ncoc3c2)CC1 ZINC001232937563 978350430 /nfs/dbraw/zinc/35/04/30/978350430.db2.gz CVVOOYZJWGZFCE-UHFFFAOYSA-N 0 3 234.274 2.762 20 0 BFADHN Clc1ccc(CN[C@@H]2C=CCCC2)nc1 ZINC001337585109 978420935 /nfs/dbraw/zinc/42/09/35/978420935.db2.gz GLLMYBOVBJXRNR-LLVKDONJSA-N 0 3 222.719 2.933 20 0 BFADHN CCc1cc(CNCc2cccc(C)c2C)n[nH]1 ZINC001177809909 978445871 /nfs/dbraw/zinc/44/58/71/978445871.db2.gz CINHPMPVDHUGJR-UHFFFAOYSA-N 0 3 243.354 2.879 20 0 BFADHN Cc1cc(CN2CCC[C@H]3C[C@H]32)cnc1C ZINC001233162903 978480960 /nfs/dbraw/zinc/48/09/60/978480960.db2.gz MBZBIVVSTLHPCA-UONOGXRCSA-N 0 3 216.328 2.683 20 0 BFADHN Cc1ccc(CN2CCC[C@H](CF)C2)cc1O ZINC001233233385 978500587 /nfs/dbraw/zinc/50/05/87/978500587.db2.gz HKDYXIYZMGVJFO-CYBMUJFWSA-N 0 3 237.318 2.882 20 0 BFADHN Cc1ccc(CN2CCC(F)CC2)cc1O ZINC001233230914 978503523 /nfs/dbraw/zinc/50/35/23/978503523.db2.gz NHACUSNIMPXXRM-UHFFFAOYSA-N 0 3 223.291 2.635 20 0 BFADHN CCCO[C@H]1CCN(Cc2ccc(C)c(O)c2)C1 ZINC001233233942 978507881 /nfs/dbraw/zinc/50/78/81/978507881.db2.gz WLGAOCPFAJSYLP-AWEZNQCLSA-N 0 3 249.354 2.702 20 0 BFADHN c1cc2c(c(CN3CC4(CCC4)C3)c1)CCO2 ZINC001233274165 978523577 /nfs/dbraw/zinc/52/35/77/978523577.db2.gz GPFPFSUCJZXCIG-UHFFFAOYSA-N 0 3 229.323 2.607 20 0 BFADHN Cc1cnsc1CN(C)CC1CC(F)(F)C1 ZINC001233321220 978554458 /nfs/dbraw/zinc/55/44/58/978554458.db2.gz FPJJEDXSYFZCHQ-UHFFFAOYSA-N 0 3 246.326 2.929 20 0 BFADHN Cc1cnsc1CN1CCC(C)(C)C1 ZINC001233320768 978559047 /nfs/dbraw/zinc/55/90/47/978559047.db2.gz MPKQVENCSWNVFH-UHFFFAOYSA-N 0 3 210.346 2.683 20 0 BFADHN CO[C@@H]1CCN(Cc2cc3cccnc3s2)C1 ZINC001233346331 978565666 /nfs/dbraw/zinc/56/56/66/978565666.db2.gz ZZEHPHRVYUUNMU-LLVKDONJSA-N 0 3 248.351 2.517 20 0 BFADHN C/C=C(C)/C=C/C(=O)Nc1cnccc1N(C)C ZINC001178507768 978575658 /nfs/dbraw/zinc/57/56/58/978575658.db2.gz ZSEYEFSTJNTXDF-YDRCSAEOSA-N 0 3 245.326 2.609 20 0 BFADHN CCC[C@@H](C)NC(=O)[C@@H]1CCCCN1CCC ZINC001338004417 978586503 /nfs/dbraw/zinc/58/65/03/978586503.db2.gz QUWRVKZDBBPYAN-OLZOCXBDSA-N 0 3 240.391 2.556 20 0 BFADHN CCN(C)Cc1ccn(C(=O)OC(C)(C)C)c1 ZINC001233429591 978597781 /nfs/dbraw/zinc/59/77/81/978597781.db2.gz GVWNNGNWCHCFAD-UHFFFAOYSA-N 0 3 238.331 2.723 20 0 BFADHN C=Cc1ccc(OC2CCN(C)CC2)c(OC)c1 ZINC001233568298 978627382 /nfs/dbraw/zinc/62/73/82/978627382.db2.gz SMYUDWQNIYYOGB-UHFFFAOYSA-N 0 3 247.338 2.811 20 0 BFADHN CCn1ccc(CNCC(C)(C)C(C)(F)F)n1 ZINC001179369553 978702073 /nfs/dbraw/zinc/70/20/73/978702073.db2.gz IEAMWKICUAYMFL-UHFFFAOYSA-N 0 3 245.317 2.674 20 0 BFADHN Cc1c(F)ccc(O[C@@H]2CCN(C)C2)c1C ZINC001233862146 978715763 /nfs/dbraw/zinc/71/57/63/978715763.db2.gz BRAKHXOZDOLYQP-LLVKDONJSA-N 0 3 223.291 2.525 20 0 BFADHN CN1CCC(Oc2ccc(C(C)(C)C)nc2)CC1 ZINC001234178789 978788065 /nfs/dbraw/zinc/78/80/65/978788065.db2.gz KUATXHBFOKNASX-UHFFFAOYSA-N 0 3 248.370 2.852 20 0 BFADHN CN1CCc2c(cccc2OC2CCCC2)C1 ZINC001234424522 978834622 /nfs/dbraw/zinc/83/46/22/978834622.db2.gz RJYVJUQLZWLKKQ-UHFFFAOYSA-N 0 3 231.339 2.996 20 0 BFADHN CC(C)c1ccc(O[C@H]2CCCN(C)C2)cn1 ZINC001234486258 978848475 /nfs/dbraw/zinc/84/84/75/978848475.db2.gz RGXNKJJHDCPUBU-ZDUSSCGKSA-N 0 3 234.343 2.678 20 0 BFADHN CCc1cccnc1O[C@@H]1CCCN(CC)C1 ZINC001234579776 978863346 /nfs/dbraw/zinc/86/33/46/978863346.db2.gz SZYHRNXOLFGKBM-CYBMUJFWSA-N 0 3 234.343 2.507 20 0 BFADHN Cc1cc(O[C@@H]2CCCN(C)C2)cc(Cl)n1 ZINC001234619940 978874622 /nfs/dbraw/zinc/87/46/22/978874622.db2.gz RNQUMIQPFSHUQF-SNVBAGLBSA-N 0 3 240.734 2.516 20 0 BFADHN CCCC1=CC[C@H](N2CCOCC2)CC1 ZINC001249968652 978883397 /nfs/dbraw/zinc/88/33/97/978883397.db2.gz MKDBETWVRKBXJI-ZDUSSCGKSA-N 0 3 209.333 2.598 20 0 BFADHN CCOC(OCC)c1ccc(CN2CCC2)cc1 ZINC001234860769 978920180 /nfs/dbraw/zinc/92/01/80/978920180.db2.gz OTDVHIKGKHMWDT-UHFFFAOYSA-N 0 3 249.354 2.964 20 0 BFADHN CCc1ccc2c(c1)[C@@H](NC[C@H](C)OC)CCO2 ZINC001180645885 978925886 /nfs/dbraw/zinc/92/58/86/978925886.db2.gz GGVJDXGSVUPYCI-FZMZJTMJSA-N 0 3 249.354 2.697 20 0 BFADHN C[C@H]1CC[C@@H]1NCc1c(Cl)n[nH]c1C1CC1 ZINC001339880255 978931047 /nfs/dbraw/zinc/93/10/47/978931047.db2.gz BDTIGNHPANQQEF-XVKPBYJWSA-N 0 3 239.750 2.829 20 0 BFADHN C[C@@H]1CCCCN1Cc1c(N)cccc1F ZINC000070961444 978945776 /nfs/dbraw/zinc/94/57/76/978945776.db2.gz SDEYRMNTGIZLPO-SNVBAGLBSA-N 0 3 222.307 2.782 20 0 BFADHN CCC(CC)N(CN1C(=O)CC1(C)C)C1CC1 ZINC001339943281 978947081 /nfs/dbraw/zinc/94/70/81/978947081.db2.gz YCCQVFRTNJFBND-UHFFFAOYSA-N 0 3 238.375 2.608 20 0 BFADHN CCOc1cc(O)cc(CN2CCCCC2)c1 ZINC001235385476 979033847 /nfs/dbraw/zinc/03/38/47/979033847.db2.gz LIYYSXXFVXZZTP-UHFFFAOYSA-N 0 3 235.327 2.777 20 0 BFADHN Cc1cc(OC(C)C)ncc1CN1C[C@@H]2C[C@@H]2C1 ZINC001235421069 979042836 /nfs/dbraw/zinc/04/28/36/979042836.db2.gz KHUTYYFNIUQPRU-BETUJISGSA-N 0 3 246.354 2.629 20 0 BFADHN Cc1cc(CN2CC[C@@H]3CCCC[C@H]3C2)nn1C ZINC001340602888 979043427 /nfs/dbraw/zinc/04/34/27/979043427.db2.gz ZNGFDIOJQFHMAT-KBPBESRZSA-N 0 3 247.386 2.741 20 0 BFADHN CCN(C)Cc1cnc(Br)c(C)c1 ZINC001235819952 979158852 /nfs/dbraw/zinc/15/88/52/979158852.db2.gz SUNYMQIVACFCSD-UHFFFAOYSA-N 0 3 243.148 2.604 20 0 BFADHN Cc1cnc(-c2cc([O-])cc(Cl)c2)c(C[NH3+])c1 ZINC001235900590 979194314 /nfs/dbraw/zinc/19/43/14/979194314.db2.gz XIQLZYIUKBCLEK-UHFFFAOYSA-N 0 3 248.713 2.875 20 0 BFADHN CC[C@@H]1C[C@H]1CN[C@H](COC)c1ccc(C)o1 ZINC001341043676 979204520 /nfs/dbraw/zinc/20/45/20/979204520.db2.gz HPZWQLNZPRNVEC-FRRDWIJNSA-N 0 3 237.343 2.911 20 0 BFADHN Fc1cccnc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC001181861592 979338372 /nfs/dbraw/zinc/33/83/72/979338372.db2.gz WBZPHOOENLJDIS-TXEJJXNPSA-N 0 3 234.318 2.843 20 0 BFADHN CCn1ccc(CN2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC001181860952 979339462 /nfs/dbraw/zinc/33/94/62/979339462.db2.gz QXQZFHRYDSMMGL-BETUJISGSA-N 0 3 233.359 2.525 20 0 BFADHN Cc1cnc2ccccc2c1N(C)CC(C)(C)O ZINC001341361701 979356819 /nfs/dbraw/zinc/35/68/19/979356819.db2.gz KAHHTJOUBZFUJU-UHFFFAOYSA-N 0 3 244.338 2.750 20 0 BFADHN CNCc1cc(-c2cccc(C3CC3)c2)ncn1 ZINC001236083650 979370725 /nfs/dbraw/zinc/37/07/25/979370725.db2.gz NVFVECHEQOOHFY-UHFFFAOYSA-N 0 3 239.322 2.740 20 0 BFADHN COc1cc(-c2ccc(CN)nc2)cc(C)c1F ZINC001236192022 979443293 /nfs/dbraw/zinc/44/32/93/979443293.db2.gz YXEZHABQVMSMFQ-UHFFFAOYSA-N 0 3 246.285 2.663 20 0 BFADHN CCCN(CC)Cc1cnc(OCC)c(F)c1 ZINC001236691683 979692872 /nfs/dbraw/zinc/69/28/72/979692872.db2.gz YMVXJUPYDFPMOS-UHFFFAOYSA-N 0 3 240.322 2.851 20 0 BFADHN CCOc1ncc(CN(C)CC(C)C)cc1F ZINC001236689944 979694554 /nfs/dbraw/zinc/69/45/54/979694554.db2.gz IVUXRMJJQRDWCA-UHFFFAOYSA-N 0 3 240.322 2.707 20 0 BFADHN CCN1CCN(Cc2cccc3occc32)CC1 ZINC001236734035 979709068 /nfs/dbraw/zinc/70/90/68/979709068.db2.gz FDFZIJCMAIBBET-UHFFFAOYSA-N 0 3 244.338 2.570 20 0 BFADHN CCC(C)(C)c1ccc(CN2CCNC2)cc1 ZINC001236849152 979757738 /nfs/dbraw/zinc/75/77/38/979757738.db2.gz CACKCAPSGLUGAY-UHFFFAOYSA-N 0 3 232.371 2.737 20 0 BFADHN CC(C)=CCC[C@H](C)CCN1CCNC1 ZINC001236845131 979759433 /nfs/dbraw/zinc/75/94/33/979759433.db2.gz YZUCQZXPRAOQDI-ZDUSSCGKSA-N 0 3 210.365 2.622 20 0 BFADHN CCOCC1CCN(Cc2ccc(C)cn2)CC1 ZINC000152853595 979793351 /nfs/dbraw/zinc/79/33/51/979793351.db2.gz HCOMMDCLENFFRE-UHFFFAOYSA-N 0 3 248.370 2.639 20 0 BFADHN C=Cc1ccc(NC(=O)[C@@H](C(C)C)N(C)C)cc1 ZINC001183363823 979817238 /nfs/dbraw/zinc/81/72/38/979817238.db2.gz XPYJQNNTQZURMR-CQSZACIVSA-N 0 3 246.354 2.854 20 0 BFADHN COc1cccc2c(CN3CC(C)C3)ccnc12 ZINC001237113582 979817758 /nfs/dbraw/zinc/81/77/58/979817758.db2.gz NLJLPOCWIYLZBZ-UHFFFAOYSA-N 0 3 242.322 2.695 20 0 BFADHN COc1cccc2c(CN3CC=CC3)ccnc12 ZINC001237114339 979819404 /nfs/dbraw/zinc/81/94/04/979819404.db2.gz XUZXWHOMQTVQMZ-UHFFFAOYSA-N 0 3 240.306 2.615 20 0 BFADHN CN(C)C1CN(Cc2ccc(C3CCC3)cc2)C1 ZINC001237104175 979819472 /nfs/dbraw/zinc/81/94/72/979819472.db2.gz DGUBPLUAPMYVPD-UHFFFAOYSA-N 0 3 244.382 2.700 20 0 BFADHN C[C@]1(CF)CC[N@H+](Cc2occc2[S-])C1 ZINC001237179903 979822533 /nfs/dbraw/zinc/82/25/33/979822533.db2.gz HGKFSBDGAPIUIH-LLVKDONJSA-N 0 3 229.320 2.750 20 0 BFADHN C[C@]1(CF)CC[N@@H+](Cc2occc2[S-])C1 ZINC001237179903 979822535 /nfs/dbraw/zinc/82/25/35/979822535.db2.gz HGKFSBDGAPIUIH-LLVKDONJSA-N 0 3 229.320 2.750 20 0 BFADHN [S-]c1ccoc1C[NH+]1CCCCCC1 ZINC001237182198 979832112 /nfs/dbraw/zinc/83/21/12/979832112.db2.gz RRWZWXYEJNYKKQ-UHFFFAOYSA-N 0 3 211.330 2.944 20 0 BFADHN F[C@H]1C[C@@H]2C[C@H]1C[N@@H+]2Cc1occc1[S-] ZINC001237183782 979833168 /nfs/dbraw/zinc/83/31/68/979833168.db2.gz KMPFZMSWTRUMDX-CIUDSAMLSA-N 0 3 227.304 2.501 20 0 BFADHN F[C@H]1C[C@@H]2C[C@H]1C[N@H+]2Cc1occc1[S-] ZINC001237183782 979833170 /nfs/dbraw/zinc/83/31/70/979833170.db2.gz KMPFZMSWTRUMDX-CIUDSAMLSA-N 0 3 227.304 2.501 20 0 BFADHN CC1(C)C[N@H+](Cc2occc2[S-])CCCO1 ZINC001237192226 979840763 /nfs/dbraw/zinc/84/07/63/979840763.db2.gz QOOUUGDDUKOSNO-UHFFFAOYSA-N 0 3 241.356 2.569 20 0 BFADHN CC1(C)C[N@@H+](Cc2occc2[S-])CCCO1 ZINC001237192226 979840768 /nfs/dbraw/zinc/84/07/68/979840768.db2.gz QOOUUGDDUKOSNO-UHFFFAOYSA-N 0 3 241.356 2.569 20 0 BFADHN Nc1cccc(CN2CCCC[C@@H](F)C2)c1 ZINC001237217481 979849517 /nfs/dbraw/zinc/84/95/17/979849517.db2.gz WJMFYAUYKAVUQH-GFCCVEGCSA-N 0 3 222.307 2.593 20 0 BFADHN CCc1ccc(CN2CCNC3=CCCC[C@H]32)o1 ZINC001237218594 979849827 /nfs/dbraw/zinc/84/98/27/979849827.db2.gz JKICDDGJBCYMOL-OAHLLOKOSA-N 0 3 246.354 2.684 20 0 BFADHN Fc1cccc(CN2CCNC3=CCCC[C@H]32)c1 ZINC001237221945 979852140 /nfs/dbraw/zinc/85/21/40/979852140.db2.gz ZEYPJKZRCHHBFR-OAHLLOKOSA-N 0 3 246.329 2.667 20 0 BFADHN COC[C@H]1CCN1Cc1c(C)cc(F)cc1C ZINC001237336510 979875829 /nfs/dbraw/zinc/87/58/29/979875829.db2.gz WTIZXQAELAWYPO-CYBMUJFWSA-N 0 3 237.318 2.663 20 0 BFADHN CCCCN(C)Cc1n[nH]c2cc(OC)ccc21 ZINC001237394740 979886520 /nfs/dbraw/zinc/88/65/20/979886520.db2.gz DXIVROADZZBYQF-UHFFFAOYSA-N 0 3 247.342 2.803 20 0 BFADHN CCN(Cc1cccc(C(C)C)c1)C1CN(C)C1 ZINC001237460071 979904383 /nfs/dbraw/zinc/90/43/83/979904383.db2.gz GYICZICRALDTAT-UHFFFAOYSA-N 0 3 246.398 2.946 20 0 BFADHN COc1c(C)cccc1CN(C)[C@H]1CCCOC1 ZINC001237505219 979922395 /nfs/dbraw/zinc/92/23/95/979922395.db2.gz DZACIPQSKJMPHB-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN c1cc2cc(CN3CCCCC34CC4)ccn2n1 ZINC001237542665 979935594 /nfs/dbraw/zinc/93/55/94/979935594.db2.gz OIQBOLXIYKOOCS-UHFFFAOYSA-N 0 3 241.338 2.853 20 0 BFADHN CCC[C@](C)(NC(=O)[C@@H](N)CC)c1ccccc1 ZINC001342915153 979937855 /nfs/dbraw/zinc/93/78/55/979937855.db2.gz PTLHXGAZTIVECQ-ZFWWWQNUSA-N 0 3 248.370 2.555 20 0 BFADHN CCn1cncc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001237590694 979948270 /nfs/dbraw/zinc/94/82/70/979948270.db2.gz AXACVCQXLCMEQY-OLZOCXBDSA-N 0 3 233.359 2.525 20 0 BFADHN CCCCCN(CCCCC)Cc1nnc[nH]1 ZINC001237602193 979963221 /nfs/dbraw/zinc/96/32/21/979963221.db2.gz PNFFASCLCVRMIM-UHFFFAOYSA-N 0 3 238.379 2.987 20 0 BFADHN C[C@]1(CN2CC(Cc3ccoc3)C2)CCCCO1 ZINC001184197429 979968581 /nfs/dbraw/zinc/96/85/81/979968581.db2.gz PAYZQXWUNDQMDF-OAHLLOKOSA-N 0 3 249.354 2.713 20 0 BFADHN Cc1coc(CN2CCC[C@H](C)[C@@H](C)C2)n1 ZINC001237636242 979987823 /nfs/dbraw/zinc/98/78/23/979987823.db2.gz WOSGWDTXUWOWRK-QWRGUYRKSA-N 0 3 222.332 2.851 20 0 BFADHN Cc1coc(CN2CC3CCC(CC3)C2)n1 ZINC001237643199 979994569 /nfs/dbraw/zinc/99/45/69/979994569.db2.gz MOVJCHFHUDVIPI-UHFFFAOYSA-N 0 3 220.316 2.605 20 0 BFADHN COc1ccc(C)c(CN2CCC(F)CC2)c1 ZINC001237710843 980032202 /nfs/dbraw/zinc/03/22/02/980032202.db2.gz CBKRXHNUOJWCJE-UHFFFAOYSA-N 0 3 237.318 2.938 20 0 BFADHN COc1ccoc1CN1CCC[C@H](C)C1 ZINC001237863066 980070683 /nfs/dbraw/zinc/07/06/83/980070683.db2.gz JOAATTOMPBQCRB-JTQLQIEISA-N 0 3 209.289 2.520 20 0 BFADHN CCCN(CCC)Cc1occc1OC ZINC001237864939 980098659 /nfs/dbraw/zinc/09/86/59/980098659.db2.gz YAWQUEDKSAKUSN-UHFFFAOYSA-N 0 3 211.305 2.910 20 0 BFADHN CC[C@H]1CCCN1Cc1occc1OC ZINC001237872123 980108368 /nfs/dbraw/zinc/10/83/68/980108368.db2.gz QZZCGPNQYYHZJR-JTQLQIEISA-N 0 3 209.289 2.663 20 0 BFADHN CCOC(=O)c1ccccc1CN1CC[C@H](C)C1 ZINC001237881682 980110303 /nfs/dbraw/zinc/11/03/03/980110303.db2.gz ASLOWJFTVRMWBH-LBPRGKRZSA-N 0 3 247.338 2.705 20 0 BFADHN CC(=O)[C@H]1CCCN(Cc2ccsc2C)C1 ZINC001237969330 980119984 /nfs/dbraw/zinc/11/99/84/980119984.db2.gz UPWQLOQXEBURIT-LBPRGKRZSA-N 0 3 237.368 2.858 20 0 BFADHN Fc1ccc(F)c(CCN2CCCC2)c1 ZINC001250164788 980121187 /nfs/dbraw/zinc/12/11/87/980121187.db2.gz ULODMDYTKBHHLL-UHFFFAOYSA-N 0 3 211.255 2.603 20 0 BFADHN CCOc1cc(CN(C)[C@H]2CCOC2)ccc1C ZINC001238072737 980137379 /nfs/dbraw/zinc/13/73/79/980137379.db2.gz XTDVJTPTJAYEJY-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CCOc1cc(CN(C)[C@@H]2CCOC2)ccc1C ZINC001238072741 980139281 /nfs/dbraw/zinc/13/92/81/980139281.db2.gz XTDVJTPTJAYEJY-CQSZACIVSA-N 0 3 249.354 2.614 20 0 BFADHN Cc1ccc(O)cc1CN1CCC(F)CC1 ZINC001238098138 980147661 /nfs/dbraw/zinc/14/76/61/980147661.db2.gz ZEUKFMRNHCDUIX-UHFFFAOYSA-N 0 3 223.291 2.635 20 0 BFADHN Cc1cnc2ccccc2c1N1CC[C@@](C)(O)C1 ZINC001343542057 980147709 /nfs/dbraw/zinc/14/77/09/980147709.db2.gz CGPOCNGZEMIPOO-OAHLLOKOSA-N 0 3 242.322 2.504 20 0 BFADHN CCSC[C@H](C)N(C)Cc1ccc(C)nc1 ZINC000153971664 980172868 /nfs/dbraw/zinc/17/28/68/980172868.db2.gz IXKZBSNDAWTUOL-LBPRGKRZSA-N 0 3 238.400 2.963 20 0 BFADHN Cc1ncncc1CN1CCC[C@H]2CCC[C@H]21 ZINC001238176500 980205168 /nfs/dbraw/zinc/20/51/68/980205168.db2.gz YDSBPOCHSUOWGQ-TZMCWYRMSA-N 0 3 231.343 2.550 20 0 BFADHN COc1c(C)cnc(CN2CCCC23CC3)c1C ZINC001238172583 980206220 /nfs/dbraw/zinc/20/62/20/980206220.db2.gz LCDRIMNTISTHRI-UHFFFAOYSA-N 0 3 246.354 2.835 20 0 BFADHN Cc1ncncc1CN1C2CCCC1CCC2 ZINC001238177483 980207235 /nfs/dbraw/zinc/20/72/35/980207235.db2.gz ILNDAWNUENPPND-UHFFFAOYSA-N 0 3 231.343 2.692 20 0 BFADHN c1ncc(C2CC2)cc1CN1CCC2(CC2)C1 ZINC001238181951 980214985 /nfs/dbraw/zinc/21/49/85/980214985.db2.gz NXSOLQKPMPFLDN-UHFFFAOYSA-N 0 3 228.339 2.945 20 0 BFADHN c1ncc(C2CC2)cc1CN1CCC[C@@H]2C[C@@H]21 ZINC001238193213 980217249 /nfs/dbraw/zinc/21/72/49/980217249.db2.gz HVDLEEHRCHCZLJ-HIFRSBDPSA-N 0 3 228.339 2.943 20 0 BFADHN c1ncc(C2CC2)cc1CN1CCCCC1 ZINC001238185946 980217767 /nfs/dbraw/zinc/21/77/67/980217767.db2.gz HHMBUPCQHQGJPB-UHFFFAOYSA-N 0 3 216.328 2.945 20 0 BFADHN CCN(CC)Cc1cc(C)c(Cl)cn1 ZINC001238427472 980257266 /nfs/dbraw/zinc/25/72/66/980257266.db2.gz RPQJHQMTVHFYKF-UHFFFAOYSA-N 0 3 212.724 2.885 20 0 BFADHN CCN(Cc1ncc(C)cc1C)C1CC1 ZINC001238427462 980258302 /nfs/dbraw/zinc/25/83/02/980258302.db2.gz VJCYWUVBTLHVEE-UHFFFAOYSA-N 0 3 204.317 2.683 20 0 BFADHN Cc1cnc(CN2CCC[C@@H](CF)C2)c(C)c1 ZINC001238433836 980263079 /nfs/dbraw/zinc/26/30/79/980263079.db2.gz UUCPYHZNBAUNJX-ZDUSSCGKSA-N 0 3 236.334 2.880 20 0 BFADHN Cc1cnc(CN2C[C@@H]3C[C@H]2C[C@@H]3F)c(C)c1 ZINC001238442803 980268878 /nfs/dbraw/zinc/26/88/78/980268878.db2.gz UQRSLUZBPRKUPP-AVGNSLFASA-N 0 3 234.318 2.631 20 0 BFADHN CO[C@@H]1CCN(Cc2ccc(F)c(C3CC3)c2)C1 ZINC001238499275 980276514 /nfs/dbraw/zinc/27/65/14/980276514.db2.gz RASXLHKEFQMWEO-CYBMUJFWSA-N 0 3 249.329 2.924 20 0 BFADHN Cc1ccc(Cl)c(CN2CC[C@@](C)(O)C2)c1 ZINC001238565931 980288970 /nfs/dbraw/zinc/28/89/70/980288970.db2.gz WLJOWFZZYYBQJG-CYBMUJFWSA-N 0 3 239.746 2.605 20 0 BFADHN CCOc1ccc(C)c(CN(C)[C@H]2CCOC2)c1 ZINC001238708460 980315258 /nfs/dbraw/zinc/31/52/58/980315258.db2.gz OCODNXNGAOPDCB-AWEZNQCLSA-N 0 3 249.354 2.614 20 0 BFADHN CCOc1cc(C)c(-c2nccc(N)c2C)cn1 ZINC001238974024 980401899 /nfs/dbraw/zinc/40/18/99/980401899.db2.gz QJRXJRUZNMBYEZ-UHFFFAOYSA-N 0 3 243.310 2.741 20 0 BFADHN COc1cccc(-c2nccc(N)c2C)c1C ZINC001238978864 980403496 /nfs/dbraw/zinc/40/34/96/980403496.db2.gz AZANTJVMZABNHF-UHFFFAOYSA-N 0 3 228.295 2.956 20 0 BFADHN CC(C)n1ccc(-c2cc(N)ccn2)c1 ZINC001239063548 980430479 /nfs/dbraw/zinc/43/04/79/980430479.db2.gz GMQLKMSCDUNFEL-UHFFFAOYSA-N 0 3 201.273 2.713 20 0 BFADHN COc1cccc(CN(C)Cc2cc[nH]c2)c1 ZINC000271019058 980537355 /nfs/dbraw/zinc/53/73/55/980537355.db2.gz SZZDPCAIWUKQDK-UHFFFAOYSA-N 0 3 230.311 2.655 20 0 BFADHN c1cc(-c2nccc3c2CCN3)cs1 ZINC001239509197 980678162 /nfs/dbraw/zinc/67/81/62/980678162.db2.gz MMQGUUQZMXSOMX-UHFFFAOYSA-N 0 3 202.282 2.778 20 0 BFADHN CN(C)Cc1cccc(-c2cccc(F)c2)n1 ZINC001239534393 980708854 /nfs/dbraw/zinc/70/88/54/980708854.db2.gz IIQFKMIYJKPYMV-UHFFFAOYSA-N 0 3 230.286 2.949 20 0 BFADHN COc1ccccc1-c1cncc(CN(C)C)c1 ZINC001239541930 980721508 /nfs/dbraw/zinc/72/15/08/980721508.db2.gz ZBAVABLLNYWTSL-UHFFFAOYSA-N 0 3 242.322 2.819 20 0 BFADHN CCOc1ccccc1-c1cccc(CN)n1 ZINC001239557845 980738830 /nfs/dbraw/zinc/73/88/30/980738830.db2.gz PCRIWGQNDAXMAA-UHFFFAOYSA-N 0 3 228.295 2.606 20 0 BFADHN COc1ccc(-c2cc(N(C)C)ccn2)cc1F ZINC001239577289 980767211 /nfs/dbraw/zinc/76/72/11/980767211.db2.gz RYCZQIIRHHOOSV-UHFFFAOYSA-N 0 3 246.285 2.962 20 0 BFADHN CCc1ccc(-c2ccc3c(n2)CNCC3)cc1 ZINC001239587283 980780459 /nfs/dbraw/zinc/78/04/59/980780459.db2.gz ANIAMEQEULMOMB-UHFFFAOYSA-N 0 3 238.334 2.957 20 0 BFADHN C(=C/c1ccc2c(n1)CNCC2)\c1ccccc1 ZINC001239592074 980787120 /nfs/dbraw/zinc/78/71/20/980787120.db2.gz CTXOMUGOQNYAOH-SOFGYWHQSA-N 0 3 236.318 2.898 20 0 BFADHN Cc1cccc(C)c1-c1ccnc(CN)c1 ZINC001239598802 980798905 /nfs/dbraw/zinc/79/89/05/980798905.db2.gz YBMJAHISAYXHDS-UHFFFAOYSA-N 0 3 212.296 2.824 20 0 BFADHN Cc1cc2[nH]ccc2c(-c2ccncc2)n1 ZINC001239609825 980805997 /nfs/dbraw/zinc/80/59/97/980805997.db2.gz SFUBWEMBKQWPDX-UHFFFAOYSA-N 0 3 209.252 2.933 20 0 BFADHN Fc1cc(F)cc(-c2nccc3c2CCN3)c1 ZINC001239654774 980829591 /nfs/dbraw/zinc/82/95/91/980829591.db2.gz WMPGKABLSSHLAK-UHFFFAOYSA-N 0 3 232.233 2.995 20 0 BFADHN NCc1cccc(-c2ccc3[nH]ccc3c2)n1 ZINC001239692143 980851453 /nfs/dbraw/zinc/85/14/53/980851453.db2.gz VVNWPPZTUXYTSN-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN NCc1ccc(-c2ccc3[nH]ccc3c2)cn1 ZINC001239695260 980854764 /nfs/dbraw/zinc/85/47/64/980854764.db2.gz GYGYQGKUOAOMBH-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN C[C@H]1CCC[C@H]1CNCc1ncccc1F ZINC001205509046 980867748 /nfs/dbraw/zinc/86/77/48/980867748.db2.gz BXYJVIYRIIHBBK-QWRGUYRKSA-N 0 3 222.307 2.747 20 0 BFADHN CCc1nc(C)c(CN2CCCC[C@@H]2C)[nH]1 ZINC001203235807 980874351 /nfs/dbraw/zinc/87/43/51/980874351.db2.gz YXWPOHNRHNEHNY-JTQLQIEISA-N 0 3 221.348 2.655 20 0 BFADHN Cc1cnc(CNC2CC3(CC3(F)F)C2)s1 ZINC001346847740 980920538 /nfs/dbraw/zinc/92/05/38/980920538.db2.gz TWEBMZJMUDTRIU-UHFFFAOYSA-N 0 3 244.310 2.729 20 0 BFADHN CSc1ccccc1[C@H](C)NC/C=C\CO ZINC001346870312 980922905 /nfs/dbraw/zinc/92/29/05/980922905.db2.gz XDLYAGUJICEKED-GZTOBOFZSA-N 0 3 237.368 2.608 20 0 BFADHN C1=C(c2ccc3c(c2)COC32CNC2)CCC1 ZINC001239789236 980927916 /nfs/dbraw/zinc/92/79/16/980927916.db2.gz POUUPPAAMOKBEW-UHFFFAOYSA-N 0 3 227.307 2.583 20 0 BFADHN Cc1ccc(-c2cccc(CN)n2)cc1F ZINC001239817587 980960842 /nfs/dbraw/zinc/96/08/42/980960842.db2.gz IFRNFPPYPDUURS-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN c1nocc1Nc1ccnc(C2CC2)c1 ZINC001203237339 981032477 /nfs/dbraw/zinc/03/24/77/981032477.db2.gz YPHASJZXMKMYLM-UHFFFAOYSA-N 0 3 201.229 2.691 20 0 BFADHN NCc1cccc(-c2cncc3ccccc32)n1 ZINC001239943226 981050918 /nfs/dbraw/zinc/05/09/18/981050918.db2.gz IQRQIWVPFBUKGI-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN NCc1ccc(-c2cc(F)cc3[nH]ccc32)cn1 ZINC001240033004 981109151 /nfs/dbraw/zinc/10/91/51/981109151.db2.gz LYEZDZUEZZDQBR-UHFFFAOYSA-N 0 3 241.269 2.828 20 0 BFADHN NCc1cc(-c2cc(F)cc3[nH]ccc32)ccn1 ZINC001240038210 981110662 /nfs/dbraw/zinc/11/06/62/981110662.db2.gz WTGIQJLBICGTSQ-UHFFFAOYSA-N 0 3 241.269 2.828 20 0 BFADHN Nc1ccncc1-c1c2cc[nH]c2ccc1F ZINC001240214425 981162994 /nfs/dbraw/zinc/16/29/94/981162994.db2.gz QJSZBMJVGOWSMB-UHFFFAOYSA-N 0 3 227.242 2.782 20 0 BFADHN CC(C)[C@H]1CN(CC[C@@H]2C[C@H]2C2CC2)CCO1 ZINC001192547681 981167404 /nfs/dbraw/zinc/16/74/04/981167404.db2.gz TWUKWGCUFJJHRO-QLFBSQMISA-N 0 3 237.387 2.779 20 0 BFADHN COc1cc(-c2cncc3cc[nH]c32)ccn1 ZINC001240257012 981231351 /nfs/dbraw/zinc/23/13/51/981231351.db2.gz BUCNHNJMGMTSDF-UHFFFAOYSA-N 0 3 225.251 2.634 20 0 BFADHN Cc1sccc1-c1ccc(CN)nc1 ZINC001240502809 981428895 /nfs/dbraw/zinc/42/88/95/981428895.db2.gz VFZGTQAUYUPGBY-UHFFFAOYSA-N 0 3 204.298 2.577 20 0 BFADHN Nc1ccnc(-c2cccc(-c3cc[nH]n3)c2)c1 ZINC001240560645 981481218 /nfs/dbraw/zinc/48/12/18/981481218.db2.gz KLPHDTYGTGUNDU-UHFFFAOYSA-N 0 3 236.278 2.721 20 0 BFADHN Cn1ccc2ccc(-c3ccnc(CN)c3)cc21 ZINC001240575038 981487532 /nfs/dbraw/zinc/48/75/32/981487532.db2.gz PWCQBFNDLSKILY-UHFFFAOYSA-N 0 3 237.306 2.699 20 0 BFADHN Cc1cnccc1-c1cc(CN(C)C)ccn1 ZINC001240608466 981501166 /nfs/dbraw/zinc/50/11/66/981501166.db2.gz ZJPUVNQFPNVLML-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN Cc1cnccc1-c1ccc2[nH]c(N)nc2c1 ZINC001240609270 981503969 /nfs/dbraw/zinc/50/39/69/981503969.db2.gz DHDOHZHYULXSSF-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Cc1cnccc1-c1ccc2nc(N)[nH]c2c1 ZINC001240609270 981503975 /nfs/dbraw/zinc/50/39/75/981503975.db2.gz DHDOHZHYULXSSF-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN CC[C@H](C)[C@H](C)N1C[C@@H](CC)[S@@](=O)[C@@H](C)C1 ZINC001194241381 981618009 /nfs/dbraw/zinc/61/80/09/981618009.db2.gz RBYHAFKQDLAVES-BLXSCJOCSA-N 0 3 245.432 2.652 20 0 BFADHN NCc1cc(-c2cccc3[nH]ccc32)ccn1 ZINC001240712391 981689986 /nfs/dbraw/zinc/68/99/86/981689986.db2.gz FHWJSSGEZDDHJE-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN NCc1ccc(-c2cccc3[nH]ccc32)cn1 ZINC001240713673 981701898 /nfs/dbraw/zinc/70/18/98/981701898.db2.gz VDAMQXNQIOFKPI-UHFFFAOYSA-N 0 3 223.279 2.689 20 0 BFADHN NCc1ccc(-c2ccc3c(c2)CCCO3)cn1 ZINC001240772651 981815833 /nfs/dbraw/zinc/81/58/33/981815833.db2.gz DAHAALVZORAEHH-UHFFFAOYSA-N 0 3 240.306 2.532 20 0 BFADHN C[C@H]([NH3+])c1ccc(-c2ccc([O-])c(F)c2)cn1 ZINC001240788486 981835048 /nfs/dbraw/zinc/83/50/48/981835048.db2.gz GXZSXXQZPDSXFO-QMMMGPOBSA-N 0 3 232.258 2.613 20 0 BFADHN COc1cc(CN2CC[C@H](CF)C2)ccc1F ZINC001350329942 981927679 /nfs/dbraw/zinc/92/76/79/981927679.db2.gz PHLDFUSHBKLCBU-LLVKDONJSA-N 0 3 241.281 2.626 20 0 BFADHN NCc1ccc(-c2cccc3cccnc32)cn1 ZINC001240894564 981945696 /nfs/dbraw/zinc/94/56/96/981945696.db2.gz IYZIKRDMECBQPQ-UHFFFAOYSA-N 0 3 235.290 2.756 20 0 BFADHN CCOc1ccc(F)cc1-c1ccc(CN)nc1 ZINC001241104159 982210504 /nfs/dbraw/zinc/21/05/04/982210504.db2.gz YFPACTHCQAPUJI-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN O=c1[nH]cccc1-c1ccc(CN2CCC2)cc1 ZINC001241122065 982249874 /nfs/dbraw/zinc/24/98/74/982249874.db2.gz VMZNATBDWXVADY-UHFFFAOYSA-N 0 3 240.306 2.660 20 0 BFADHN COc1cc(C)c(-c2ccnc(CN)c2)cc1C ZINC001241135887 982262764 /nfs/dbraw/zinc/26/27/64/982262764.db2.gz AFAZARVLUIRSNZ-UHFFFAOYSA-N 0 3 242.322 2.833 20 0 BFADHN Cc1ccc(CN2CC[C@H](OC(C)C)C2)o1 ZINC001203301315 982307775 /nfs/dbraw/zinc/30/77/75/982307775.db2.gz JHDPPVVTLVJHSL-ZDUSSCGKSA-N 0 3 223.316 2.587 20 0 BFADHN CN(Cc1ccnc(F)c1)C1CC(C)(C)C1 ZINC001351395200 982309695 /nfs/dbraw/zinc/30/96/95/982309695.db2.gz JMFYJQCBULWNKX-UHFFFAOYSA-N 0 3 222.307 2.841 20 0 BFADHN CCOc1cccc(F)c1-c1ccnc(CN)c1 ZINC001241250764 982392629 /nfs/dbraw/zinc/39/26/29/982392629.db2.gz GHKLZCVHQXPQPW-UHFFFAOYSA-N 0 3 246.285 2.745 20 0 BFADHN [O-]c1cc(F)cc(-c2ccc3c(c2)C[NH2+]C3)c1 ZINC001241272683 982405513 /nfs/dbraw/zinc/40/55/13/982405513.db2.gz VRWQXMWVVCSKRU-UHFFFAOYSA-N 0 3 229.254 2.802 20 0 BFADHN CCOc1ccc(-c2cnccc2N)c(F)c1 ZINC001241276038 982406235 /nfs/dbraw/zinc/40/62/35/982406235.db2.gz ODVOIZTVNUDZPU-UHFFFAOYSA-N 0 3 232.258 2.699 20 0 BFADHN Cc1cc(-c2ccnc(CN)c2)ccc1F ZINC001241281154 982421800 /nfs/dbraw/zinc/42/18/00/982421800.db2.gz WFVROEZKJYSVFL-UHFFFAOYSA-N 0 3 216.259 2.655 20 0 BFADHN C[C@H](CNCc1nnc(C2CC2)s1)C1CC1 ZINC001351975832 982422383 /nfs/dbraw/zinc/42/23/83/982422383.db2.gz WRLZFVAUCNJLHL-MRVPVSSYSA-N 0 3 237.372 2.551 20 0 BFADHN CSc1ncc(C2=CCN(C)CC2)cc1C ZINC001241316878 982470355 /nfs/dbraw/zinc/47/03/55/982470355.db2.gz FRBGBJZPOXFNFE-UHFFFAOYSA-N 0 3 234.368 2.831 20 0 BFADHN Cc1nc2c(cccc2C2=CCN(C)CC2)[nH]1 ZINC001241327237 982489261 /nfs/dbraw/zinc/48/92/61/982489261.db2.gz JOKAJDMHLXVCDO-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN COc1ccc(C)c(C2=CCN(C)CC2)c1 ZINC001241327882 982489589 /nfs/dbraw/zinc/48/95/89/982489589.db2.gz SVXSCMUMWZAXGR-UHFFFAOYSA-N 0 3 217.312 2.723 20 0 BFADHN CN1CC=C(c2ncccc2C2CC2)CC1 ZINC001241330282 982492097 /nfs/dbraw/zinc/49/20/97/982492097.db2.gz NZBAZUWQLVYMJU-UHFFFAOYSA-N 0 3 214.312 2.678 20 0 BFADHN COCc1cc(C2=CCN(C)CC2)ccc1F ZINC001241330581 982492198 /nfs/dbraw/zinc/49/21/98/982492198.db2.gz VQBPEBDMXPZGIE-UHFFFAOYSA-N 0 3 235.302 2.691 20 0 BFADHN CCc1cncc(-c2cc(CN(C)C)ccn2)c1 ZINC001241369459 982529906 /nfs/dbraw/zinc/52/99/06/982529906.db2.gz JUGANMPJLMBQKP-UHFFFAOYSA-N 0 3 241.338 2.768 20 0 BFADHN C[C@@H](CN1CCn2cccc2C1)C(C)(C)C ZINC001197621290 982578990 /nfs/dbraw/zinc/57/89/90/982578990.db2.gz FFFLMXXQODEGIE-LBPRGKRZSA-N 0 3 220.360 2.986 20 0 BFADHN Cc1c(N)ccnc1-c1cccc2cnn(C)c21 ZINC001241455037 982609675 /nfs/dbraw/zinc/60/96/75/982609675.db2.gz WYHIDCHZCPQOJJ-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN Cc1c(N)ccnc1-c1cccc2cn(C)nc21 ZINC001241464161 982618521 /nfs/dbraw/zinc/61/85/21/982618521.db2.gz AQVJNCYCACRYLL-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN Cc1cc2ncccc2nc1C1=CCCN(C)C1 ZINC001241568007 982812501 /nfs/dbraw/zinc/81/25/01/982812501.db2.gz KKPQNSJLCXLXPS-UHFFFAOYSA-N 0 3 239.322 2.657 20 0 BFADHN Cc1cc2cc(C3=CCCN(C)C3)cnc2[nH]1 ZINC001241569459 982815319 /nfs/dbraw/zinc/81/53/19/982815319.db2.gz AMFNKDCHKBEVHU-UHFFFAOYSA-N 0 3 227.311 2.590 20 0 BFADHN Cc1nc2[nH]ccc2cc1C1=CCCN(C)C1 ZINC001241570059 982819420 /nfs/dbraw/zinc/81/94/20/982819420.db2.gz BZAFNOFRQBMPDE-UHFFFAOYSA-N 0 3 227.311 2.542 20 0 BFADHN CN1CCC=C(c2ccc3c(c2)CC=C3)C1 ZINC001241571078 982823115 /nfs/dbraw/zinc/82/31/15/982823115.db2.gz QSZCJRCLBJUAAP-UHFFFAOYSA-N 0 3 211.308 2.975 20 0 BFADHN C=COc1ccc(C2=CCCN(C)C2)cc1 ZINC001241572148 982825825 /nfs/dbraw/zinc/82/58/25/982825825.db2.gz RQRKINXEUOBDGE-UHFFFAOYSA-N 0 3 215.296 2.928 20 0 BFADHN Cc1c(N)ccnc1-c1cccc2c[nH]nc21 ZINC001241619772 982850966 /nfs/dbraw/zinc/85/09/66/982850966.db2.gz ALIQEPQWOYBPJC-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN CSc1ccc(-c2nccc(N)c2C)cn1 ZINC001241632322 982869572 /nfs/dbraw/zinc/86/95/72/982869572.db2.gz LKBLTAXPWKOZBX-UHFFFAOYSA-N 0 3 231.324 2.756 20 0 BFADHN Cc1cncc(CN2CC[C@H]3CCCC[C@@H]3C2)n1 ZINC001203177586 982879231 /nfs/dbraw/zinc/87/92/31/982879231.db2.gz SZQULXCHLBIJIU-ZIAGYGMSSA-N 0 3 245.370 2.797 20 0 BFADHN COc1ccc(C)c(-c2nccc(N)c2C)c1 ZINC001241664905 982896584 /nfs/dbraw/zinc/89/65/84/982896584.db2.gz SLBXSGLLJPLVKU-UHFFFAOYSA-N 0 3 228.295 2.956 20 0 BFADHN Nc1n[nH]c2ccc(-c3cncc4cc[nH]c43)cc12 ZINC001241722397 983006622 /nfs/dbraw/zinc/00/66/22/983006622.db2.gz ILDDBWOEHXZZTJ-UHFFFAOYSA-N 0 3 249.277 2.688 20 0 BFADHN Cc1cscc1-c1ccc2c(n1)CNCC2 ZINC001241727018 983014290 /nfs/dbraw/zinc/01/42/90/983014290.db2.gz YLXULINUZFNOBU-UHFFFAOYSA-N 0 3 230.336 2.764 20 0 BFADHN CCCOc1ccc(-c2ccc(CN)nc2)cc1 ZINC001241748679 983032076 /nfs/dbraw/zinc/03/20/76/983032076.db2.gz ZIEWCCULGMQALR-UHFFFAOYSA-N 0 3 242.322 2.996 20 0 BFADHN CCc1nc(C)c(CN2CC3CCC2CC3)[nH]1 ZINC001203246755 983198875 /nfs/dbraw/zinc/19/88/75/983198875.db2.gz LZDKUJMSSSRCMC-UHFFFAOYSA-N 0 3 233.359 2.655 20 0 BFADHN c1cnc([C@H]2CCCN2C[C@H]2CC=CCC2)nc1 ZINC001201426582 983224422 /nfs/dbraw/zinc/22/44/22/983224422.db2.gz MRSPGTCJAHNCCF-UONOGXRCSA-N 0 3 243.354 2.970 20 0 BFADHN CC(C)=CCC[C@@H](C)CN1CC[C@@H](O)[C@@H](F)C1 ZINC001201488022 983257988 /nfs/dbraw/zinc/25/79/88/983257988.db2.gz WTBHIZSNIZFSPB-HZSPNIEDSA-N 0 3 243.366 2.774 20 0 BFADHN CC[C@H](C)CN1CCc2nc(Cl)ncc2C1 ZINC001201511713 983278890 /nfs/dbraw/zinc/27/88/90/983278890.db2.gz UZKNVKVCCKWLQO-VIFPVBQESA-N 0 3 239.750 2.534 20 0 BFADHN Cc1nc(-c2ccc(=O)[nH]c2)cc2[nH]ccc21 ZINC001242061856 983278955 /nfs/dbraw/zinc/27/89/55/983278955.db2.gz CHZCCDRWZFIBSI-UHFFFAOYSA-N 0 3 225.251 2.639 20 0 BFADHN CNc1ccc(-c2nc(C)cc3[nH]ccc32)cn1 ZINC001242068657 983297185 /nfs/dbraw/zinc/29/71/85/983297185.db2.gz ROJMJTROPUXUNZ-UHFFFAOYSA-N 0 3 238.294 2.975 20 0 BFADHN CCC[C@@H](C)CN(C)Cc1cnc(C)nc1 ZINC001201552518 983307360 /nfs/dbraw/zinc/30/73/60/983307360.db2.gz DSSHCJRKBVTRBP-LLVKDONJSA-N 0 3 221.348 2.653 20 0 BFADHN CC[C@H](C)CN(C)[C@H](C(=O)OC)[C@@H](C)CC ZINC001201517212 983316601 /nfs/dbraw/zinc/31/66/01/983316601.db2.gz NXUCKZCMQSDORQ-SRVKXCTJSA-N 0 3 229.364 2.552 20 0 BFADHN Cc1cc(N)ncc1-c1cc2[nH]ccc2c(C)n1 ZINC001242078840 983328460 /nfs/dbraw/zinc/32/84/60/983328460.db2.gz STGZQNBZQIDJCK-UHFFFAOYSA-N 0 3 238.294 2.824 20 0 BFADHN CCCC[C@@H](CC)CN1CCc2ncn(C)c2C1 ZINC001201572695 983337604 /nfs/dbraw/zinc/33/76/04/983337604.db2.gz VXPMJDCOKPUOPW-CYBMUJFWSA-N 0 3 249.402 2.995 20 0 BFADHN CCCC[C@@H](CC)CN1CCOC[C@@H]1COC ZINC001201572512 983337952 /nfs/dbraw/zinc/33/79/52/983337952.db2.gz NJJISUXABVCOID-KGLIPLIRSA-N 0 3 243.391 2.550 20 0 BFADHN Oc1cncc(Nc2ccnc(C3CC3)c2)c1 ZINC001201633441 983362300 /nfs/dbraw/zinc/36/23/00/983362300.db2.gz HUGPDZAFNNTUIW-UHFFFAOYSA-N 0 3 227.267 2.803 20 0 BFADHN C/C=C(\NC=[NH2+])c1ccc([O-])c(C(F)(F)F)c1 ZINC001242151379 983381223 /nfs/dbraw/zinc/38/12/23/983381223.db2.gz LBXYSLNYAKWEPY-MBXJOHMKSA-N 0 3 244.216 2.968 20 0 BFADHN F[C@H]1CN(CCCc2ccccc2)C[C@@H]2C[C@@H]21 ZINC001201655089 983406849 /nfs/dbraw/zinc/40/68/49/983406849.db2.gz MKNDZSCAQAMTGH-KKUMJFAQSA-N 0 3 233.330 2.909 20 0 BFADHN Cc1ncc(Nc2ccc(C3CC3)nc2)n1C ZINC001201712786 983421207 /nfs/dbraw/zinc/42/12/07/983421207.db2.gz GZEQUINPPXZGEC-UHFFFAOYSA-N 0 3 228.299 2.745 20 0 BFADHN CCCCCCN1CCC(=O)[C@@H](C)CC1 ZINC001201743642 983450100 /nfs/dbraw/zinc/45/01/00/983450100.db2.gz XJTFMSNJPOBQIZ-LBPRGKRZSA-N 0 3 211.349 2.868 20 0 BFADHN CC1(C)CC=C(c2ncnc3c2CNCC3)CC1 ZINC001242326805 983559061 /nfs/dbraw/zinc/55/90/61/983559061.db2.gz CHQNIYDAUGCMLU-UHFFFAOYSA-N 0 3 243.354 2.716 20 0 BFADHN FC(F)(F)CCN1CC[C@]2(C1)CCCCO2 ZINC001202307776 983620569 /nfs/dbraw/zinc/62/05/69/983620569.db2.gz MNVKKKOWUIEBLG-JTQLQIEISA-N 0 3 237.265 2.584 20 0 BFADHN [NH3+]CCc1ccc(-c2cccc(F)c2[O-])cc1 ZINC001242352808 983630761 /nfs/dbraw/zinc/63/07/61/983630761.db2.gz PXRJRQWAIIAMOJ-UHFFFAOYSA-N 0 3 231.270 2.700 20 0 BFADHN CC(C)n1ccc(-c2cccc(CN(C)C)n2)n1 ZINC001242453996 983752607 /nfs/dbraw/zinc/75/26/07/983752607.db2.gz RXOKSMIUDZNZMH-UHFFFAOYSA-N 0 3 244.342 2.588 20 0 BFADHN CC1(C)CC[C@H]1C(=O)Nc1cccc2c1CNC2 ZINC001358059438 983821617 /nfs/dbraw/zinc/82/16/17/983821617.db2.gz DODMSPQLYKJIMZ-LBPRGKRZSA-N 0 3 244.338 2.665 20 0 BFADHN CC(C)n1nccc1-c1cccc(CN(C)C)n1 ZINC001242558688 983883938 /nfs/dbraw/zinc/88/39/38/983883938.db2.gz ZBGRDKVZCRCZQG-UHFFFAOYSA-N 0 3 244.342 2.588 20 0 BFADHN CC(C)NC(=O)NCCP(C(C)C)C(C)C ZINC001202536698 983898111 /nfs/dbraw/zinc/89/81/11/983898111.db2.gz VQKDKNLFVHBKPT-UHFFFAOYSA-N 0 3 246.335 2.993 20 0 BFADHN c1cc(N2CCCC2)oc1CN1CCC[C@H]2C[C@H]21 ZINC001137070848 983901150 /nfs/dbraw/zinc/90/11/50/983901150.db2.gz UNLOOCVVMFNWAC-GXTWGEPZSA-N 0 3 246.354 2.864 20 0 BFADHN c1cnc2cc(CN3CC4(CCC4)C3)ccc2n1 ZINC001137085836 983933997 /nfs/dbraw/zinc/93/39/97/983933997.db2.gz UWZWEHUGKIGFHG-UHFFFAOYSA-N 0 3 239.322 2.616 20 0 BFADHN CC1(C)CN(Cc2ccccc2-n2cccn2)C1 ZINC001137093528 983936374 /nfs/dbraw/zinc/93/63/74/983936374.db2.gz IJFGBJSQAQAKAG-UHFFFAOYSA-N 0 3 241.338 2.714 20 0 BFADHN Cc1c[nH]c(CNC[C@H]2C[C@H]2C2CCCC2)n1 ZINC001560621969 983941039 /nfs/dbraw/zinc/94/10/39/983941039.db2.gz CAHCOHSEWABTFI-OLZOCXBDSA-N 0 3 233.359 2.634 20 0 BFADHN CN(C)c1cccc(-c2cc(N(C)C)ccn2)c1 ZINC000200044095 983945883 /nfs/dbraw/zinc/94/58/83/983945883.db2.gz NUAHZFOWUIBZEE-UHFFFAOYSA-N 0 3 241.338 2.881 20 0 BFADHN COc1cccc(-c2cc(N(C)C)ccn2)c1 ZINC000200263241 983951176 /nfs/dbraw/zinc/95/11/76/983951176.db2.gz MAGGTFLNFVKGFB-UHFFFAOYSA-N 0 3 228.295 2.823 20 0 BFADHN Cc1oncc1-c1ccc2c(N)ccnc2c1 ZINC001242603691 983958655 /nfs/dbraw/zinc/95/86/55/983958655.db2.gz JPYBNZUERXBRAE-UHFFFAOYSA-N 0 3 225.251 2.780 20 0 BFADHN CC(=O)c1cc(-c2cncc3cc[nH]c32)ccn1 ZINC001242610329 983972224 /nfs/dbraw/zinc/97/22/24/983972224.db2.gz HPUZFBKWJIRQCO-UHFFFAOYSA-N 0 3 237.262 2.828 20 0 BFADHN CC1CC(N(C)Cc2ccc(Cl)nc2)C1 ZINC001137162874 984010547 /nfs/dbraw/zinc/01/05/47/984010547.db2.gz MYJWRYLYIDZEIF-UHFFFAOYSA-N 0 3 224.735 2.965 20 0 BFADHN CC(C)Cc1ccc(NC(=O)[C@@H](N)C(C)C)cc1 ZINC001573518048 984035062 /nfs/dbraw/zinc/03/50/62/984035062.db2.gz ADWYNBWRLVLPHD-AWEZNQCLSA-N 0 3 248.370 2.807 20 0 BFADHN CCc1cc(OC)ccc1-c1cccc(CN)n1 ZINC001242696678 984052624 /nfs/dbraw/zinc/05/26/24/984052624.db2.gz MOVCUHGBZQRPAM-UHFFFAOYSA-N 0 3 242.322 2.778 20 0 BFADHN c1csc(CNC2(C3CC3)CCC2)n1 ZINC000717863694 984073644 /nfs/dbraw/zinc/07/36/44/984073644.db2.gz QDPGUBLEIAFRMC-UHFFFAOYSA-N 0 3 208.330 2.565 20 0 BFADHN c1c2c(cc3c1CCN(CC1CCC1)C3)OCO2 ZINC001202706799 984162402 /nfs/dbraw/zinc/16/24/02/984162402.db2.gz XXVMAVJDVKBFFC-UHFFFAOYSA-N 0 3 245.322 2.574 20 0 BFADHN NCc1cc(-c2ccccc2OC2CC2)ccn1 ZINC001242793869 984166904 /nfs/dbraw/zinc/16/69/04/984166904.db2.gz SKECRNACOYRYBB-UHFFFAOYSA-N 0 3 240.306 2.749 20 0 BFADHN Nc1ccncc1-c1ccccc1OC1CC1 ZINC001242793098 984167773 /nfs/dbraw/zinc/16/77/73/984167773.db2.gz ZNKTUQFYALSHMC-UHFFFAOYSA-N 0 3 226.279 2.702 20 0 BFADHN CCCCC[C@@H](O)CN(C)C1CC(F)(F)C1 ZINC001253519754 984188001 /nfs/dbraw/zinc/18/80/01/984188001.db2.gz RPIAVIDISWIEJV-LLVKDONJSA-N 0 3 235.318 2.657 20 0 BFADHN CCCCCN(CN1C(=O)CC1(C)C)C(C)C ZINC001319378875 984260948 /nfs/dbraw/zinc/26/09/48/984260948.db2.gz DDATVVSJTHPFME-UHFFFAOYSA-N 0 3 240.391 2.855 20 0 BFADHN Cc1ccc(-c2cc(CN(C)C)ccn2)nc1 ZINC001242904887 984271839 /nfs/dbraw/zinc/27/18/39/984271839.db2.gz LJFUQTCDIQLGKT-UHFFFAOYSA-N 0 3 227.311 2.514 20 0 BFADHN CCn1nccc1CN1CC[C@@H]2CCCC[C@@H]2C1 ZINC001319683695 984323492 /nfs/dbraw/zinc/32/34/92/984323492.db2.gz MSAMGUTZKYEXGY-UONOGXRCSA-N 0 3 247.386 2.915 20 0 BFADHN C[NH+](C)Cc1cccc(-c2ccc(F)c([O-])c2)n1 ZINC001243048910 984397652 /nfs/dbraw/zinc/39/76/52/984397652.db2.gz IYSMFDAJQWUAAJ-UHFFFAOYSA-N 0 3 246.285 2.655 20 0 BFADHN [NH3+]Cc1ccc(-c2ccc(F)c([O-])c2)cc1 ZINC001243050244 984405620 /nfs/dbraw/zinc/40/56/20/984405620.db2.gz ULWMTMAWUHHLCF-UHFFFAOYSA-N 0 3 217.243 2.657 20 0 BFADHN CSc1ncc(-c2cccc(CN)n2)cc1C ZINC001243136801 984596221 /nfs/dbraw/zinc/59/62/21/984596221.db2.gz RLZRENVVHBFBER-UHFFFAOYSA-N 0 3 245.351 2.633 20 0 BFADHN Cc1cc(-c2cc(N(C)C)ccn2)ccc1CO ZINC001243186566 984646748 /nfs/dbraw/zinc/64/67/48/984646748.db2.gz PEPZLJPLCLLJDZ-UHFFFAOYSA-N 0 3 242.322 2.615 20 0 BFADHN COc1cc(C)cc(-c2cc(N)ccn2)c1 ZINC001243239552 984776654 /nfs/dbraw/zinc/77/66/54/984776654.db2.gz MHBAHGAJGBIXRD-UHFFFAOYSA-N 0 3 214.268 2.648 20 0 BFADHN CCC[C@H](C)NCC(=O)c1ccc(OC)cc1 ZINC001257304661 984876082 /nfs/dbraw/zinc/87/60/82/984876082.db2.gz HUZZGCUGEQSVEJ-NSHDSACASA-N 0 3 235.327 2.656 20 0 BFADHN CCC[C@@H](C)NCc1nc(C)ccc1F ZINC001257308122 984880260 /nfs/dbraw/zinc/88/02/60/984880260.db2.gz HUXRFKWFAMHIPR-SECBINFHSA-N 0 3 210.296 2.807 20 0 BFADHN Cc1cc(-c2ccc3[nH]c(N)nc3c2)cnc1F ZINC001243399178 984888722 /nfs/dbraw/zinc/88/87/22/984888722.db2.gz UJKASHJYXSORIB-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN Cc1cc(-c2ccc3nc(N)[nH]c3c2)cnc1F ZINC001243399178 984888724 /nfs/dbraw/zinc/88/87/24/984888724.db2.gz UJKASHJYXSORIB-UHFFFAOYSA-N 0 3 242.257 2.655 20 0 BFADHN O=C1c2ccccc2CC[C@H]1NC1CCCC1 ZINC001257349676 984918829 /nfs/dbraw/zinc/91/88/29/984918829.db2.gz WRNKVECWWSKXPA-CQSZACIVSA-N 0 3 229.323 2.716 20 0 BFADHN CCCCCC[C@@H](C)N1CCC[C@@H]1C(=O)OC ZINC001257364346 984928071 /nfs/dbraw/zinc/92/80/71/984928071.db2.gz YMEMVEMLIQARKS-CHWSQXEVSA-N 0 3 241.375 2.983 20 0 BFADHN CCCCCC[C@H](C)N1CC[C@](F)(CO)C1 ZINC001257363952 984931723 /nfs/dbraw/zinc/93/17/23/984931723.db2.gz SRBMHVJHYYWIJE-QWHCGFSZSA-N 0 3 231.355 2.752 20 0 BFADHN CN(C)Cc1ccnc(-c2ccc(F)c(N)c2)c1 ZINC001243450824 984943105 /nfs/dbraw/zinc/94/31/05/984943105.db2.gz HIBHUXIXUFITQN-UHFFFAOYSA-N 0 3 245.301 2.532 20 0 BFADHN CCCC[C@H](CC)N1CCN(C(=O)CC)CC1 ZINC001257417254 984972527 /nfs/dbraw/zinc/97/25/27/984972527.db2.gz AVZVFHLMEOAJHL-ZDUSSCGKSA-N 0 3 240.391 2.509 20 0 BFADHN CC[C@@H](C)N[C@H](C(C)=O)c1ccccc1 ZINC001257429679 984998995 /nfs/dbraw/zinc/99/89/95/984998995.db2.gz XCTSXCZJTFFTRR-ZWNOBZJWSA-N 0 3 205.301 2.705 20 0 BFADHN CC[C@H](C)N1CCc2nc(C)sc2C1 ZINC001257442246 985020592 /nfs/dbraw/zinc/02/05/92/985020592.db2.gz DRAWBEQDVLBEJO-QMMMGPOBSA-N 0 3 210.346 2.608 20 0 BFADHN CC[C@H](C)N1CC[C@H](N2CCCCC2)[C@@H](F)C1 ZINC001257448687 985045842 /nfs/dbraw/zinc/04/58/42/985045842.db2.gz AASCWXBHOZEYGZ-IHRRRGAJSA-N 0 3 242.382 2.683 20 0 BFADHN C[C@H]([NH3+])c1ccc(-c2cccc([O-])c2F)cn1 ZINC001243548626 985104330 /nfs/dbraw/zinc/10/43/30/985104330.db2.gz HAIAASKRQMMSBF-QMMMGPOBSA-N 0 3 232.258 2.613 20 0 BFADHN CCC[C@H](C)CC[C@@H](C)N1CC(C(=O)OC)C1 ZINC001257479073 985108946 /nfs/dbraw/zinc/10/89/46/985108946.db2.gz VYRFCGSSIRDLQW-NWDGAFQWSA-N 0 3 241.375 2.696 20 0 BFADHN Cc1ccc(CN[C@@H]2C=CCC2)c(F)c1 ZINC000686504915 985125828 /nfs/dbraw/zinc/12/58/28/985125828.db2.gz QJGCQWUFBJNDJE-GFCCVEGCSA-N 0 3 205.276 2.942 20 0 BFADHN Cc1nocc1-c1cnccc1/C=C/N(C)C ZINC001243679967 985193828 /nfs/dbraw/zinc/19/38/28/985193828.db2.gz IUDOGWLDHNSWMQ-FNORWQNLSA-N 0 3 229.283 2.577 20 0 BFADHN CCNC(=O)CN(C)[C@H](C)C[C@@H](C)CC(C)C ZINC001258062659 985367519 /nfs/dbraw/zinc/36/75/19/985367519.db2.gz LATPUDBBWRQPRS-QWHCGFSZSA-N 0 3 242.407 2.515 20 0 BFADHN CC(C)=CCC[C@@H](C)n1cncc1CN ZINC001258074234 985376581 /nfs/dbraw/zinc/37/65/81/985376581.db2.gz DVTHNXABDCVVJH-LLVKDONJSA-N 0 3 207.321 2.649 20 0 BFADHN CC(C)=CCC[C@@H](C)NCc1cnco1 ZINC001258076561 985379627 /nfs/dbraw/zinc/37/96/27/985379627.db2.gz NKRXCQLDZCOKHA-LLVKDONJSA-N 0 3 208.305 2.899 20 0 BFADHN CC(C)=CCC[C@H](C)N1CC[C@](F)(CO)C1 ZINC001258086631 985387776 /nfs/dbraw/zinc/38/77/76/985387776.db2.gz VLHXVZWHKOEEPC-QWHCGFSZSA-N 0 3 229.339 2.528 20 0 BFADHN OCCc1ccc(-c2cncc3cc[nH]c32)cc1 ZINC001243845742 985456004 /nfs/dbraw/zinc/45/60/04/985456004.db2.gz GMMCJMQEDQGBAY-UHFFFAOYSA-N 0 3 238.290 2.765 20 0 BFADHN NCc1cccc(-c2cc(C3CC3)cnc2F)n1 ZINC001243847086 985463321 /nfs/dbraw/zinc/46/33/21/985463321.db2.gz JAKGMVODLJBEOY-UHFFFAOYSA-N 0 3 243.285 2.619 20 0 BFADHN C[C@H](N[C@H]1COC[C@@H]1C)c1cc(F)ccc1F ZINC000688026605 985501959 /nfs/dbraw/zinc/50/19/59/985501959.db2.gz VLLSHWNQRDKGPL-RVBZMBCESA-N 0 3 241.281 2.650 20 0 BFADHN C[C@H]1CC(N2CCc3ncncc3C2)C[C@H](C)C1 ZINC001258169322 985558158 /nfs/dbraw/zinc/55/81/58/985558158.db2.gz YANZOZOJPCKEOW-VXGBXAGGSA-N 0 3 245.370 2.659 20 0 BFADHN C[C@H](Cc1ccco1)N1CCc2cccnc2C1 ZINC001258195505 985586346 /nfs/dbraw/zinc/58/63/46/985586346.db2.gz RLMQOTQQTKMJTJ-GFCCVEGCSA-N 0 3 242.322 2.664 20 0 BFADHN Cc1cc(C)c(-c2cccc3nc[nH]c32)nn1 ZINC001243928583 985600537 /nfs/dbraw/zinc/60/05/37/985600537.db2.gz DJIRCIGDKWXYOH-UHFFFAOYSA-N 0 3 224.267 2.637 20 0 BFADHN Cc1nnc(-c2cccc3nc[nH]c32)c(C)c1C ZINC001243933442 985615156 /nfs/dbraw/zinc/61/51/56/985615156.db2.gz WMXWMESFZCSCEJ-UHFFFAOYSA-N 0 3 238.294 2.945 20 0 BFADHN C[C@H](N[C@H]1COC2(CCC2)C1)c1ccco1 ZINC000688341948 985622142 /nfs/dbraw/zinc/62/21/42/985622142.db2.gz KLVYTDOOPKWNNF-WDEREUQCSA-N 0 3 221.300 2.642 20 0 BFADHN COc1ccc2cc(C3=CNCCC3)cnc2c1 ZINC001243950860 985649031 /nfs/dbraw/zinc/64/90/31/985649031.db2.gz OUBLWJYKMBSPJA-UHFFFAOYSA-N 0 3 240.306 2.968 20 0 BFADHN COc1cc2[nH]ccc2c(C2=CNCCC2)c1 ZINC001243950609 985650593 /nfs/dbraw/zinc/65/05/93/985650593.db2.gz IEWHYAMAIVYRDF-UHFFFAOYSA-N 0 3 228.295 2.901 20 0 BFADHN Cc1cc(C)c(C2=CNCCC2)c(C)n1 ZINC001243951984 985657373 /nfs/dbraw/zinc/65/73/73/985657373.db2.gz FRQUXHRJGTYNKW-UHFFFAOYSA-N 0 3 202.301 2.731 20 0 BFADHN c1c[nH]c(-c2ccccc2C2=CNCCC2)n1 ZINC001243952830 985661719 /nfs/dbraw/zinc/66/17/19/985661719.db2.gz VIKNSNQEGBRERF-UHFFFAOYSA-N 0 3 225.295 2.801 20 0 BFADHN CCc1cc(C2=CNCCC2)ccc1C(=O)OC ZINC001243954070 985669080 /nfs/dbraw/zinc/66/90/80/985669080.db2.gz PLTDCNZSIMGLFE-UHFFFAOYSA-N 0 3 245.322 2.760 20 0 BFADHN CC[C@H]1CCN(Cc2cc3n(n2)CCCC3)[C@@H]1C ZINC001459985732 985688664 /nfs/dbraw/zinc/68/86/64/985688664.db2.gz OBHHYMLKCADUCQ-OLZOCXBDSA-N 0 3 247.386 2.840 20 0 BFADHN CC[C@@H]1CCN(Cc2cc3n(n2)CCCC3)[C@@H]1C ZINC001459985729 985695403 /nfs/dbraw/zinc/69/54/03/985695403.db2.gz OBHHYMLKCADUCQ-CHWSQXEVSA-N 0 3 247.386 2.840 20 0 BFADHN CC1(C)CC[C@@H](CN[C@@H]2CCc3cccnc32)O1 ZINC000691846019 985699652 /nfs/dbraw/zinc/69/96/52/985699652.db2.gz BGMHYMRMFIPRIC-QWHCGFSZSA-N 0 3 246.354 2.616 20 0 BFADHN CN(C)c1ccnc(-c2ccc3c(c2)NCC3)c1 ZINC001244013699 985736159 /nfs/dbraw/zinc/73/61/59/985736159.db2.gz QNKMYOOTMXVKEG-UHFFFAOYSA-N 0 3 239.322 2.783 20 0 BFADHN Cc1cnc(-c2cncc(C)c2C)cc1N ZINC001244150567 985862266 /nfs/dbraw/zinc/86/22/66/985862266.db2.gz BWXWXVJBUKFNKV-UHFFFAOYSA-N 0 3 213.284 2.651 20 0 BFADHN Cc1c(N)ccnc1-c1ccc(Cl)cc1N ZINC001244194867 985884808 /nfs/dbraw/zinc/88/48/08/985884808.db2.gz PMZJKJMVBDIDHK-UHFFFAOYSA-N 0 3 233.702 2.875 20 0 BFADHN Nc1cc(Cl)ccc1-c1nccc2c1CCN2 ZINC001244195727 985884864 /nfs/dbraw/zinc/88/48/64/985884864.db2.gz YYBOHKAVHWLTBE-UHFFFAOYSA-N 0 3 245.713 2.952 20 0 BFADHN CCCCC[C@@H](CC)N1CCC12COC2 ZINC001258447311 985887550 /nfs/dbraw/zinc/88/75/50/985887550.db2.gz YXBYCURHTAEMEL-GFCCVEGCSA-N 0 3 211.349 2.820 20 0 BFADHN C[C@@H](CC1CC1)Nc1cccc(F)c1CN ZINC001258447132 985889240 /nfs/dbraw/zinc/88/92/40/985889240.db2.gz KUJGPCGVHZZPMP-VIFPVBQESA-N 0 3 222.307 2.885 20 0 BFADHN C[C@H](CC1CC1)N1CC[C@@](C)(F)[C@H](F)C1 ZINC001258466157 985916072 /nfs/dbraw/zinc/91/60/72/985916072.db2.gz VAQUXFSTRTYHBM-YUSALJHKSA-N 0 3 217.303 2.947 20 0 BFADHN c1cc(CN2CCC[C@H](C3CCCC3)C2)n[nH]1 ZINC001460951186 985957853 /nfs/dbraw/zinc/95/78/53/985957853.db2.gz SACFFPQEMVELOU-ZDUSSCGKSA-N 0 3 233.359 2.812 20 0 BFADHN CCc1cc(CNCc2cc(C)sc2C)n[nH]1 ZINC001461191198 986021648 /nfs/dbraw/zinc/02/16/48/986021648.db2.gz UZIMOTILUHXVFO-UHFFFAOYSA-N 0 3 249.383 2.940 20 0 BFADHN CO[C@H](CNCc1ccccc1F)C(C)(C)C ZINC001461246999 986026311 /nfs/dbraw/zinc/02/63/11/986026311.db2.gz HESFWVDQDGBQJN-CYBMUJFWSA-N 0 3 239.334 2.976 20 0 BFADHN CN(C)Cc1ccnc(-c2cc(F)ccc2N)c1 ZINC001244396370 986046065 /nfs/dbraw/zinc/04/60/65/986046065.db2.gz LTZINIFMLCQJFB-UHFFFAOYSA-N 0 3 245.301 2.532 20 0 BFADHN Cc1ccc(O)c(-c2cccc(CN(C)C)n2)c1 ZINC001244402940 986067782 /nfs/dbraw/zinc/06/77/82/986067782.db2.gz JUTYXMZAYRFYDY-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN CCOc1cc(-c2cccc(CN)n2)ccc1C ZINC001244438880 986086456 /nfs/dbraw/zinc/08/64/56/986086456.db2.gz GMMKIBIYBLWBFJ-UHFFFAOYSA-N 0 3 242.322 2.914 20 0 BFADHN Cc1ccc(O)cc1-c1cc(N)c(C)cn1 ZINC001244447761 986099085 /nfs/dbraw/zinc/09/90/85/986099085.db2.gz VHSKXCFLTHSYLE-UHFFFAOYSA-N 0 3 214.268 2.653 20 0 BFADHN Cc1ccc(O)cc1-c1cccc(CN(C)C)n1 ZINC001244448462 986103899 /nfs/dbraw/zinc/10/38/99/986103899.db2.gz ZMCSEDBOCZRVGV-UHFFFAOYSA-N 0 3 242.322 2.824 20 0 BFADHN CCCC[C@H](CCC)N1CCN(C)C(=O)[C@@H]1C ZINC001258765144 986139560 /nfs/dbraw/zinc/13/95/60/986139560.db2.gz CCHREJKOHTWNKB-STQMWFEESA-N 0 3 240.391 2.508 20 0 BFADHN c1ccc(CNCc2ccc3nonc3c2)cc1 ZINC001461814406 986184222 /nfs/dbraw/zinc/18/42/22/986184222.db2.gz AKXFEQUVTLNYDP-UHFFFAOYSA-N 0 3 239.278 2.513 20 0 BFADHN CCCn1cncc1CN1CC[C@@](C)(CC)C1 ZINC001461921687 986225597 /nfs/dbraw/zinc/22/55/97/986225597.db2.gz VRAKTZFSNKNSGE-CQSZACIVSA-N 0 3 235.375 2.915 20 0 BFADHN CCCn1cc(CN2CC[C@@H]2C(C)C)c(C)n1 ZINC001462002105 986261535 /nfs/dbraw/zinc/26/15/35/986261535.db2.gz VJXQMZIKYMSUPK-CQSZACIVSA-N 0 3 235.375 2.832 20 0 BFADHN CNc1ccc(C)cc1CN(C)C[C@H]1CCCO1 ZINC001462050292 986271941 /nfs/dbraw/zinc/27/19/41/986271941.db2.gz ZDDNRHBCMGRHDU-CQSZACIVSA-N 0 3 248.370 2.648 20 0 BFADHN CC(=O)c1cc(-c2nccc3c2CCN3)cs1 ZINC001244624052 986286550 /nfs/dbraw/zinc/28/65/50/986286550.db2.gz UGIYCTOILYKXRB-UHFFFAOYSA-N 0 3 244.319 2.981 20 0 BFADHN CC(C)c1nnc(C[NH2+][C@@H]2CC[C@@H]3CCC[C@H]23)[n-]1 ZINC001462273784 986333576 /nfs/dbraw/zinc/33/35/76/986333576.db2.gz OKMSFRGCMSDHHL-SDDRHHMPSA-N 0 3 248.374 2.596 20 0 BFADHN C[C@@H]1CN(Cc2cn(C3CC3)cn2)[C@@H](C)[C@H]1C ZINC001462352547 986359674 /nfs/dbraw/zinc/35/96/74/986359674.db2.gz AOLUTYLCHPUXDF-WOPDTQHZSA-N 0 3 233.359 2.694 20 0 BFADHN CNc1cc(F)cc(-c2cc(N)ccn2)c1 ZINC001244825255 986359939 /nfs/dbraw/zinc/35/99/39/986359939.db2.gz FJMCCUWYUCPNAK-UHFFFAOYSA-N 0 3 217.247 2.512 20 0 BFADHN COc1ccc(C2CC2)cc1CNC[C@@H](C)OC ZINC001462545165 986417341 /nfs/dbraw/zinc/41/73/41/986417341.db2.gz JXQGUGGQEUQEFQ-LLVKDONJSA-N 0 3 249.354 2.697 20 0 BFADHN Cc1cc(Cl)ncc1-c1cccc(CN)n1 ZINC001244914153 986459369 /nfs/dbraw/zinc/45/93/69/986459369.db2.gz SSQHLVPUOINCKB-UHFFFAOYSA-N 0 3 233.702 2.564 20 0 BFADHN CNc1cc(-c2cncc3cc[nH]c32)ccn1 ZINC001244973329 986555109 /nfs/dbraw/zinc/55/51/09/986555109.db2.gz MOXXQPQLHWHWQM-UHFFFAOYSA-N 0 3 224.267 2.667 20 0 BFADHN NCc1cc(-c2cccc(C3CCC3)c2)ncn1 ZINC001244979038 986566689 /nfs/dbraw/zinc/56/66/89/986566689.db2.gz WSILJPNHHPDZOW-UHFFFAOYSA-N 0 3 239.322 2.870 20 0 BFADHN C[C@H](NC/C=C/C1CC1)c1cncs1 ZINC000378854979 986622855 /nfs/dbraw/zinc/62/28/55/986622855.db2.gz JJOBWPAOWPZCTP-HPOULIHZSA-N 0 3 208.330 2.760 20 0 BFADHN NCc1cccc(-c2ccc(O)cc2Cl)n1 ZINC001245078422 986638850 /nfs/dbraw/zinc/63/88/50/986638850.db2.gz LTRAJNWVVJGRNF-UHFFFAOYSA-N 0 3 234.686 2.566 20 0 BFADHN c1cc(C2=CCCOC2)ccc1CN1CCC1 ZINC001245161430 986714702 /nfs/dbraw/zinc/71/47/02/986714702.db2.gz KTRJRHHYBQBDPG-UHFFFAOYSA-N 0 3 229.323 2.696 20 0 BFADHN Cc1c(N)ccnc1-c1cccc(Cl)c1N ZINC001245178073 986716386 /nfs/dbraw/zinc/71/63/86/986716386.db2.gz NYMXXIAXXJJWTO-UHFFFAOYSA-N 0 3 233.702 2.875 20 0 BFADHN Cc1csc(CN2CC[C@@H](CO)C2)c1Cl ZINC001137291378 986729749 /nfs/dbraw/zinc/72/97/49/986729749.db2.gz QGAHECBFILRQOR-SECBINFHSA-N 0 3 245.775 2.524 20 0 BFADHN CCCOc1ccc(-c2nccc(N)c2C)cn1 ZINC001245229474 986752501 /nfs/dbraw/zinc/75/25/01/986752501.db2.gz SOWAJGYWZGUJJA-UHFFFAOYSA-N 0 3 243.310 2.823 20 0 BFADHN c1c2ccccc2[nH]c1CN1CC[C@H]2OCC[C@H]21 ZINC001137314645 986755014 /nfs/dbraw/zinc/75/50/14/986755014.db2.gz KVXIRYQVAZDEGD-HUUCEWRRSA-N 0 3 242.322 2.531 20 0 BFADHN Fc1cc(-c2cc[nH]n2)ccc1CN1CCCC1 ZINC001245311621 986794201 /nfs/dbraw/zinc/79/42/01/986794201.db2.gz APGNGOZFOFNRMO-UHFFFAOYSA-N 0 3 245.301 2.812 20 0 BFADHN CO[C@@H]1CCN(Cc2c[nH]c3ccc(F)cc23)C1 ZINC001137335434 986803520 /nfs/dbraw/zinc/80/35/20/986803520.db2.gz SAPOKDQRLYMBHD-GFCCVEGCSA-N 0 3 248.301 2.528 20 0 BFADHN C[C@@]1(CF)CCN(Cc2n[nH]c3ccccc32)C1 ZINC001137344796 986808048 /nfs/dbraw/zinc/80/80/48/986808048.db2.gz DOUMRHGKVUBQHQ-AWEZNQCLSA-N 0 3 247.317 2.744 20 0 BFADHN C[C@@]1(CF)CCN(Cc2[nH]nc3ccccc32)C1 ZINC001137344796 986808055 /nfs/dbraw/zinc/80/80/55/986808055.db2.gz DOUMRHGKVUBQHQ-AWEZNQCLSA-N 0 3 247.317 2.744 20 0 BFADHN CC(C)(C)c1ccc(CN2C[C@@H]3C[C@@H]3C2)[nH]1 ZINC001137371934 986836207 /nfs/dbraw/zinc/83/62/07/986836207.db2.gz CUFYGEMNEMGMHI-PHIMTYICSA-N 0 3 218.344 2.764 20 0 BFADHN Cc1c(N)ccnc1-c1cc2cccnc2[nH]1 ZINC001245400349 986840346 /nfs/dbraw/zinc/84/03/46/986840346.db2.gz PSRGKPCQFMZVSM-UHFFFAOYSA-N 0 3 224.267 2.516 20 0 BFADHN Cc1c(N)c(F)ccc1-c1nccc2c1CCN2 ZINC001245457210 986855884 /nfs/dbraw/zinc/85/58/84/986855884.db2.gz ZZVSLRZRFCOESI-UHFFFAOYSA-N 0 3 243.285 2.746 20 0 BFADHN Cc1cnc(-c2cn(C)c3cnccc23)cc1N ZINC001245487507 986867327 /nfs/dbraw/zinc/86/73/27/986867327.db2.gz PEEFLVXZTXEDNT-UHFFFAOYSA-N 0 3 238.294 2.526 20 0 BFADHN Cc1c(N)ccnc1-c1ccc(N)cc1Cl ZINC001245503104 986881987 /nfs/dbraw/zinc/88/19/87/986881987.db2.gz IWUNHUGIIJBCAA-UHFFFAOYSA-N 0 3 233.702 2.875 20 0 BFADHN c1[nH]c2ncccc2c1CN1CC2CCC1CC2 ZINC001137403533 986882466 /nfs/dbraw/zinc/88/24/66/986882466.db2.gz RRRIGDRBNLWQSB-UHFFFAOYSA-N 0 3 241.338 2.937 20 0 BFADHN F[C@@H]1CCC2(C1)CCN(Cc1cncnc1)CC2 ZINC001137669286 986890942 /nfs/dbraw/zinc/89/09/42/986890942.db2.gz LEVUETNMEUSHOD-CYBMUJFWSA-N 0 3 249.333 2.581 20 0 BFADHN COc1cccc2c1CN([C@H]1CCSC1)C2 ZINC001255292269 986894373 /nfs/dbraw/zinc/89/43/73/986894373.db2.gz FPKPITXXQVHEBF-NSHDSACASA-N 0 3 235.352 2.516 20 0 BFADHN CCCC[C@@H](C)N[C@@H]1c2ccccc2CNC1=O ZINC001255315013 986910238 /nfs/dbraw/zinc/91/02/38/986910238.db2.gz ZVXBBNRMBYTUQZ-BXUZGUMPSA-N 0 3 246.354 2.526 20 0 BFADHN NCc1cccc(-c2cccc3ccoc32)n1 ZINC001245611724 986997133 /nfs/dbraw/zinc/99/71/33/986997133.db2.gz JLHMBUUPYLLBCB-UHFFFAOYSA-N 0 3 224.263 2.954 20 0 BFADHN NCc1cc(-c2cccc3ccoc32)ccn1 ZINC001245616817 987002328 /nfs/dbraw/zinc/00/23/28/987002328.db2.gz KNCGYWAZXBBYNR-UHFFFAOYSA-N 0 3 224.263 2.954 20 0 BFADHN [NH3+]Cc1cccc(-c2c([O-])cccc2F)c1F ZINC001245657204 987044281 /nfs/dbraw/zinc/04/42/81/987044281.db2.gz XGKJHOXVIYAFJA-UHFFFAOYSA-N 0 3 235.233 2.796 20 0 BFADHN Cc1c(C)c(-c2cc(CN)ncn2)ccc1Cl ZINC001245686844 987057908 /nfs/dbraw/zinc/05/79/08/987057908.db2.gz RIVSGFPNFNAVFL-UHFFFAOYSA-N 0 3 247.729 2.873 20 0 BFADHN Cn1cnc2c1CN(C1CCCCCC1)CC2 ZINC001255894025 987078282 /nfs/dbraw/zinc/07/82/82/987078282.db2.gz QVEIWNPKUSJHCN-UHFFFAOYSA-N 0 3 233.359 2.501 20 0 BFADHN CCc1cc(-c2ccnc(CN)c2)ccc1OC ZINC001245789063 987085783 /nfs/dbraw/zinc/08/57/83/987085783.db2.gz FIPODFAHZOHJJS-UHFFFAOYSA-N 0 3 242.322 2.778 20 0 BFADHN CC(C)CC[C@@H](C)n1ccc([C@H](C)N)n1 ZINC001256355885 987174557 /nfs/dbraw/zinc/17/45/57/987174557.db2.gz DVAYJGPMDPFCAG-MNOVXSKESA-N 0 3 209.337 2.900 20 0 BFADHN CC(C)CC[C@H](C)N1CC[C@@](C)(O)[C@@H](F)C1 ZINC001256366930 987182254 /nfs/dbraw/zinc/18/22/54/987182254.db2.gz SYSAUAHUIBHEEE-RWMBFGLXSA-N 0 3 231.355 2.606 20 0 BFADHN CCCCC(=O)[C@H](C)N[C@@H](C)Cc1ccccn1 ZINC001256400552 987209963 /nfs/dbraw/zinc/20/99/63/987209963.db2.gz JXDKXVNPCWIYNC-STQMWFEESA-N 0 3 248.370 2.750 20 0 BFADHN Cc1cc(C)c(CN[C@H](C)C2(Cl)CC2)cn1 ZINC000695684487 987333428 /nfs/dbraw/zinc/33/34/28/987333428.db2.gz GFYFWJREUDFPIE-LLVKDONJSA-N 0 3 238.762 2.948 20 0 BFADHN CC[C@@H]1CCN(Cc2ccc(F)cn2)[C@@H]1C ZINC001473402021 987419320 /nfs/dbraw/zinc/41/93/20/987419320.db2.gz GWASFGAKVHWEQL-GHMZBOCLSA-N 0 3 222.307 2.841 20 0 BFADHN Cc1cc(CN(C)CCCc2ccccc2)n[nH]1 ZINC001116442896 987582123 /nfs/dbraw/zinc/58/21/23/987582123.db2.gz WDAXRKBPUBZECH-UHFFFAOYSA-N 0 3 243.354 2.783 20 0 BFADHN CCC[C@H]1CCCN1Cc1cccc(F)n1 ZINC001473859358 987585746 /nfs/dbraw/zinc/58/57/46/987585746.db2.gz IIKASHVSJCLBMW-LBPRGKRZSA-N 0 3 222.307 2.985 20 0 BFADHN CCCC1CCN(Cc2cn(C)nc2CC)CC1 ZINC001116484512 987601931 /nfs/dbraw/zinc/60/19/31/987601931.db2.gz XKFLDIURUWQUIR-UHFFFAOYSA-N 0 3 249.402 2.995 20 0 BFADHN Cc1cc(CN2CC[C@H](C)C3(CCC3)C2)[nH]n1 ZINC001116505223 987608156 /nfs/dbraw/zinc/60/81/56/987608156.db2.gz QPLFUMIDUAFLSH-NSHDSACASA-N 0 3 233.359 2.730 20 0 BFADHN Cc1ccnc(CN2CCCC[C@]23CCOC3)c1 ZINC001473895501 987608401 /nfs/dbraw/zinc/60/84/01/987608401.db2.gz MOKJSTXGQLMAMM-OAHLLOKOSA-N 0 3 246.354 2.535 20 0 BFADHN CCNc1ccccc1CN1CCCOC[C@H]1C ZINC001474324615 987691001 /nfs/dbraw/zinc/69/10/01/987691001.db2.gz ZNCOUTYQCJLISF-CYBMUJFWSA-N 0 3 248.370 2.729 20 0 BFADHN CCC[C@H]1CN(CC2(C(C)C)CC2)CCO1 ZINC001474370744 987702601 /nfs/dbraw/zinc/70/26/01/987702601.db2.gz BMLZMJWHPVPTOQ-ZDUSSCGKSA-N 0 3 225.376 2.924 20 0 BFADHN COc1cccc(OC)c1CN1CC[C@H]1C(C)C ZINC001474393846 987710828 /nfs/dbraw/zinc/71/08/28/987710828.db2.gz ZWSGEQKSOCYKCV-ZDUSSCGKSA-N 0 3 249.354 2.934 20 0 BFADHN COc1cccc(OC)c1CN1CC[C@@H]1C(C)C ZINC001474393845 987711675 /nfs/dbraw/zinc/71/16/75/987711675.db2.gz ZWSGEQKSOCYKCV-CYBMUJFWSA-N 0 3 249.354 2.934 20 0 BFADHN COC1(C)CN(C[C@@H]2CCC[C@@H](C)C2)C1 ZINC001474505329 987742850 /nfs/dbraw/zinc/74/28/50/987742850.db2.gz RTFZSWUJVUSQRC-VXGBXAGGSA-N 0 3 211.349 2.533 20 0 BFADHN Cc1coc(CN2C[C@H]3CCCC[C@H]32)c1 ZINC001474615379 987779965 /nfs/dbraw/zinc/77/99/65/987779965.db2.gz WUIKGNYZKSNCGX-DGCLKSJQSA-N 0 3 205.301 2.962 20 0 BFADHN CCCC[C@@H](C)N1CC[C@](N)(C(F)(F)F)C1 ZINC001246571485 988061281 /nfs/dbraw/zinc/06/12/81/988061281.db2.gz SGLPAMDPTVIVDX-NXEZZACHSA-N 0 3 238.297 2.531 20 0 BFADHN CC(C)(NCc1ncccn1)C1CCCCC1 ZINC001322187258 988146407 /nfs/dbraw/zinc/14/64/07/988146407.db2.gz RDGQTLFJPHEVOH-UHFFFAOYSA-N 0 3 233.359 2.925 20 0 BFADHN CC1(C)CN(Cc2ccc3nonc3c2)C1(C)C ZINC001118304373 988204717 /nfs/dbraw/zinc/20/47/17/988204717.db2.gz GFQIRLMEURXLHU-UHFFFAOYSA-N 0 3 245.326 2.843 20 0 BFADHN CS[C@@H]1CC[C@H](N(C)Cc2cncs2)C1 ZINC001118495309 988380934 /nfs/dbraw/zinc/38/09/34/988380934.db2.gz VELQQAMIQBUXPM-VHSXEESVSA-N 0 3 242.413 2.859 20 0 BFADHN CCC(CC)CN(CC(=O)OC)CC(C)C ZINC001118569518 988453756 /nfs/dbraw/zinc/45/37/56/988453756.db2.gz HJJLLIJPTORMKH-UHFFFAOYSA-N 0 3 229.364 2.554 20 0 BFADHN C[C@@H](N(C)Cc1cccnc1N(C)C)C1(C)CC1 ZINC001323713347 988592816 /nfs/dbraw/zinc/59/28/16/988592816.db2.gz NYHXFNRXWVQGOK-GFCCVEGCSA-N 0 3 247.386 2.768 20 0 BFADHN Cc1cnc2ccccc2c1NCc1ccon1 ZINC001323872185 988642634 /nfs/dbraw/zinc/64/26/34/988642634.db2.gz JWTBYPHQHDMCAT-UHFFFAOYSA-N 0 3 239.278 2.565 20 0 BFADHN Cc1cc(CN2CCC(C)(C3CC3)CC2)[nH]n1 ZINC001323937191 988654220 /nfs/dbraw/zinc/65/42/20/988654220.db2.gz VANPFSKKRLNGFZ-UHFFFAOYSA-N 0 3 233.359 2.730 20 0 BFADHN CN[C@@H]1CCN1[C@H]1CCC[C@H](c2ccccc2)C1 ZINC001246894842 988663263 /nfs/dbraw/zinc/66/32/63/988663263.db2.gz CKICKJANZUVRGA-JYJNAYRXSA-N 0 3 244.382 2.964 20 0 BFADHN CN[C@H]1CCN1C1CCC(C(C)(C)C)CC1 ZINC001246894741 988665168 /nfs/dbraw/zinc/66/51/68/988665168.db2.gz BWFQUYCATMFUTP-WXRRBKDZSA-N 0 3 224.392 2.843 20 0 BFADHN CC1=CCCN(Cc2cccn2C2CC2)C1 ZINC001324377895 988823063 /nfs/dbraw/zinc/82/30/63/988823063.db2.gz IMEJAEBAFZBEKK-UHFFFAOYSA-N 0 3 216.328 2.975 20 0 BFADHN CCCC[C@@H](Cc1ccccc1)N1CCNC1 ZINC001246998717 988832594 /nfs/dbraw/zinc/83/25/94/988832594.db2.gz ZGQYSRBDPWZXMH-HNNXBMFYSA-N 0 3 232.371 2.651 20 0 BFADHN C[C@H](N)c1nc2cc(F)c(C(F)(F)F)cc2[nH]1 ZINC001247014968 988838703 /nfs/dbraw/zinc/83/87/03/988838703.db2.gz SYXOAGWWXWRJTM-BYPYZUCNSA-N 0 3 247.195 2.741 20 0 BFADHN CCN(CC(=O)N(C)c1ccccc1)C(C)(C)C ZINC001324437578 988840733 /nfs/dbraw/zinc/84/07/33/988840733.db2.gz CPAMQEALNRIRDV-UHFFFAOYSA-N 0 3 248.370 2.770 20 0 BFADHN C[C@@H](N)c1nc2c(cc(F)cc2C(F)(F)F)[nH]1 ZINC001247013459 988841228 /nfs/dbraw/zinc/84/12/28/988841228.db2.gz XLGUKHONVRCAGJ-SCSAIBSYSA-N 0 3 247.195 2.741 20 0 BFADHN C[C@@H](N)c1nc2cc(C(F)F)ccc2[nH]1 ZINC001247021605 988842959 /nfs/dbraw/zinc/84/29/59/988842959.db2.gz WVSCCTLCCUXAQZ-RXMQYKEDSA-N 0 3 211.215 2.520 20 0 BFADHN CS[C@@H]1CC[C@H](N(C)Cc2cc(C)no2)C1 ZINC001119293173 988891384 /nfs/dbraw/zinc/89/13/84/988891384.db2.gz OWHCPSDDRQTKNT-CMPLNLGQSA-N 0 3 240.372 2.699 20 0 BFADHN CN1CCC(c2nc(-c3ccccc3)no2)CC1 ZINC001247454932 988894028 /nfs/dbraw/zinc/89/40/28/988894028.db2.gz WFUAXLQSPKDXHY-UHFFFAOYSA-N 0 3 243.310 2.546 20 0 BFADHN CCN(Cc1n[nH]c(C(C)C)n1)C(C)(C)C ZINC001324734482 988950448 /nfs/dbraw/zinc/95/04/48/988950448.db2.gz WEOPXLOFJJIUIF-UHFFFAOYSA-N 0 3 224.352 2.549 20 0 BFADHN C=C(F)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC001248037767 989070718 /nfs/dbraw/zinc/07/07/18/989070718.db2.gz PEADYRJOPURBJH-UHFFFAOYSA-N 0 3 247.273 2.738 20 0 BFADHN Cc1cccc2[nH]c(Nc3nccn3C)cc21 ZINC001248424925 989101163 /nfs/dbraw/zinc/10/11/63/989101163.db2.gz ASMDZLFCGDYYMJ-UHFFFAOYSA-N 0 3 226.283 2.953 20 0 BFADHN CCN(C)Cc1cc(Br)cnc1C ZINC001248778369 989148254 /nfs/dbraw/zinc/14/82/54/989148254.db2.gz WESSQQWIKDISRA-UHFFFAOYSA-N 0 3 243.148 2.604 20 0 BFADHN Cc1cc(CN2[C@@H]3CC[C@H]2CC(=O)C3)cs1 ZINC001248802011 989152587 /nfs/dbraw/zinc/15/25/87/989152587.db2.gz PNWGCQDAUJSGHA-TXEJJXNPSA-N 0 3 235.352 2.752 20 0 BFADHN Cc1cc(CN2CCC[C@]3(CCO3)C2)cs1 ZINC001248803545 989154299 /nfs/dbraw/zinc/15/42/99/989154299.db2.gz DNXKOWUWMDBADZ-ZDUSSCGKSA-N 0 3 237.368 2.811 20 0 BFADHN CCN(CC)Cc1ccc(Cl)c(C)n1 ZINC001248868666 989200866 /nfs/dbraw/zinc/20/08/66/989200866.db2.gz PSANGHRECQGSJE-UHFFFAOYSA-N 0 3 212.724 2.885 20 0 BFADHN Cc1nc(CN(C)C(C)C)ccc1Cl ZINC001248868357 989203519 /nfs/dbraw/zinc/20/35/19/989203519.db2.gz DCSJUEPZBZZFNE-UHFFFAOYSA-N 0 3 212.724 2.884 20 0 BFADHN Cc1csc(SC[C@@H]2CCCN2C)n1 ZINC001119610473 989230125 /nfs/dbraw/zinc/23/01/25/989230125.db2.gz WZZLONAROZIIOL-VIFPVBQESA-N 0 3 228.386 2.638 20 0 BFADHN Cc1cc(C)nc(CN2CCC[C@@H]2C(C)C)n1 ZINC001248977354 989239051 /nfs/dbraw/zinc/23/90/51/989239051.db2.gz FRZQNHLTPZYRAR-CYBMUJFWSA-N 0 3 233.359 2.714 20 0 BFADHN C[C@@H]1CN(Cc2cccc3c2CCC3)C[C@@H]1F ZINC001249456233 989279821 /nfs/dbraw/zinc/27/98/21/989279821.db2.gz JGDKJJCCQIIULY-ABAIWWIYSA-N 0 3 233.330 2.965 20 0 BFADHN F[C@H]1CN(Cc2cccc3c2CCC3)C[C@@H]2C[C@@H]21 ZINC001249456813 989283262 /nfs/dbraw/zinc/28/32/62/989283262.db2.gz GYVSOFCQDJACSW-BPUTZDHNSA-N 0 3 245.341 2.965 20 0 BFADHN C[C@H]1Cc2cc(CNC(C)(C)CF)ccc2O1 ZINC001119677829 989325337 /nfs/dbraw/zinc/32/53/37/989325337.db2.gz MRDKGXTYJHMWJR-JTQLQIEISA-N 0 3 237.318 2.848 20 0 BFADHN CCN1CCc2ccc(CN3CC(C)C3)cc21 ZINC001249657432 989334623 /nfs/dbraw/zinc/33/46/23/989334623.db2.gz LXUOLRBPKSLWCU-UHFFFAOYSA-N 0 3 230.355 2.521 20 0 BFADHN CCC[C@H]1CCCC[C@H]1NCc1nncs1 ZINC001119743290 989378845 /nfs/dbraw/zinc/37/88/45/989378845.db2.gz QSSOUINKDLSYOK-WDEREUQCSA-N 0 3 239.388 2.987 20 0 BFADHN CC1(C)CC(CN[C@@H](c2ncccn2)C2CC2)C1 ZINC001119843972 989440828 /nfs/dbraw/zinc/44/08/28/989440828.db2.gz VPEVIRCJDDWLAA-CYBMUJFWSA-N 0 3 245.370 2.954 20 0 BFADHN CCC[C@@H](CC)NC(=O)[C@H](N)C1CCCCC1 ZINC001326302671 989474800 /nfs/dbraw/zinc/47/48/00/989474800.db2.gz IQGYJMDOCLNDRB-CHWSQXEVSA-N 0 3 240.391 2.589 20 0 BFADHN Cc1ccc(CCNc2ccnc(CO)c2)cc1 ZINC001119932425 989487980 /nfs/dbraw/zinc/48/79/80/989487980.db2.gz UJZUQBXQTNMQSN-UHFFFAOYSA-N 0 3 242.322 2.537 20 0 BFADHN CC(C)(C)c1n[nH]cc1CNC/C=C/C1CC1 ZINC001326327212 989499175 /nfs/dbraw/zinc/49/91/75/989499175.db2.gz LEVIRBKNWMCBOS-SNAWJCMRSA-N 0 3 233.359 2.763 20 0 BFADHN CCC[C@H](C)NC(=O)[C@@H](N)C1CCCCCC1 ZINC001121833182 990098669 /nfs/dbraw/zinc/09/86/69/990098669.db2.gz NSBWYSJVSGKYND-AAEUAGOBSA-N 0 3 240.391 2.589 20 0 BFADHN CC[C@H](C)C[C@H](N)C(=O)N(C(C)C)C1CCC1 ZINC001121965825 990159489 /nfs/dbraw/zinc/15/94/89/990159489.db2.gz MSTVGAYFBVMVJD-AAEUAGOBSA-N 0 3 240.391 2.539 20 0 BFADHN O=C(CCC1CCC1)Nc1cccc2c1CNC2 ZINC001122222731 990299206 /nfs/dbraw/zinc/29/92/06/990299206.db2.gz VSWOPLOFUCIZIK-UHFFFAOYSA-N 0 3 244.338 2.809 20 0 BFADHN COc1cccc(CN2CC[C@H](C)C[C@@H]2C)n1 ZINC001137533355 990821756 /nfs/dbraw/zinc/82/17/56/990821756.db2.gz QICVEZVHRRXYGX-RYUDHWBXSA-N 0 3 234.343 2.711 20 0 BFADHN C[C@H]1CN(Cc2ccc(F)nc2)C2(CC2)C1 ZINC001137743021 990846900 /nfs/dbraw/zinc/84/69/00/990846900.db2.gz VVQJADCSNQDQPX-SNVBAGLBSA-N 0 3 220.291 2.595 20 0 BFADHN CCCN(C)Cc1cccc2c1OC(F)(F)O2 ZINC001138063793 990879335 /nfs/dbraw/zinc/87/93/35/990879335.db2.gz HVRMSDBSHRUCQF-UHFFFAOYSA-N 0 3 243.253 2.850 20 0 BFADHN c1cc(CN2CC3(CCC3)C2)c2nccnc2c1 ZINC001138300624 990910223 /nfs/dbraw/zinc/91/02/23/990910223.db2.gz XJCQBQUXVGSBBB-UHFFFAOYSA-N 0 3 239.322 2.616 20 0 BFADHN Oc1cc(O)cc(CN2CCC3(CCC3)CC2)c1 ZINC001138323786 990913755 /nfs/dbraw/zinc/91/37/55/990913755.db2.gz BPAHLGNWSNTNDU-UHFFFAOYSA-N 0 3 247.338 2.864 20 0 BFADHN C[C@H]1CCC[C@H](C)N1Cc1cc(O)cc(O)c1 ZINC001138323473 990914817 /nfs/dbraw/zinc/91/48/17/990914817.db2.gz WRHDECFWIWEXEW-QWRGUYRKSA-N 0 3 235.327 2.861 20 0 BFADHN C[C@@H]1CCN(Cc2cc(O)cc(O)c2)[C@@H](C)C1 ZINC001138329608 990917125 /nfs/dbraw/zinc/91/71/25/990917125.db2.gz ALVPXCLTXUTHHH-MNOVXSKESA-N 0 3 235.327 2.718 20 0 BFADHN Cc1ccc(-c2n[nH]cc2CN2CC=CC2)cc1 ZINC001138447602 990947403 /nfs/dbraw/zinc/94/74/03/990947403.db2.gz YTUDXERVGKZDIN-UHFFFAOYSA-N 0 3 239.322 2.757 20 0 BFADHN CO[C@@]1(C)C[C@H](NCc2cc(C)co2)C1(C)C ZINC001308286950 990955247 /nfs/dbraw/zinc/95/52/47/990955247.db2.gz XCMWNONBWZZGSE-JSGCOSHPSA-N 0 3 237.343 2.881 20 0 BFADHN COC(=O)C1CN([C@@H](C)C[C@@H](C)CC(C)C)C1 ZINC001258067833 990959898 /nfs/dbraw/zinc/95/98/98/990959898.db2.gz ZZAKEQNBFIVWGE-RYUDHWBXSA-N 0 3 241.375 2.552 20 0 BFADHN CC(C)C[C@H](C)C[C@H](C)N1CC(C)(O)C1 ZINC001258064208 990960472 /nfs/dbraw/zinc/96/04/72/990960472.db2.gz OYKGQSBQVSCZHB-RYUDHWBXSA-N 0 3 213.365 2.514 20 0 BFADHN COc1cc(CN(C)C)ccc1OC(C)C ZINC001138571746 990973233 /nfs/dbraw/zinc/97/32/33/990973233.db2.gz VIOFRQWKJRQVBC-UHFFFAOYSA-N 0 3 223.316 2.544 20 0 BFADHN CC1CN(Cc2ccccc2N2CCCC2)C1 ZINC001138609833 990981103 /nfs/dbraw/zinc/98/11/03/990981103.db2.gz GMJRKGQWBOSIMK-UHFFFAOYSA-N 0 3 230.355 2.739 20 0 BFADHN CC(C)=CCC[C@H](C)NCc1nn(C)cc1C ZINC001308411628 990986104 /nfs/dbraw/zinc/98/61/04/990986104.db2.gz URYQTZPGWKANBM-ZDUSSCGKSA-N 0 3 235.375 2.953 20 0 BFADHN CC(C)=CCC[C@@H](C)n1ncc2c1CCNC2 ZINC001258088277 990993664 /nfs/dbraw/zinc/99/36/64/990993664.db2.gz KTSGLEAJTIXSMX-GFCCVEGCSA-N 0 3 233.359 2.836 20 0 BFADHN CCn1nc(C)c(CN2CC3CCC2CC3)c1C ZINC001138647876 990997003 /nfs/dbraw/zinc/99/70/03/990997003.db2.gz XCJLTNVVFKLAME-UHFFFAOYSA-N 0 3 247.386 2.894 20 0 BFADHN CCCN(CC)Cc1cnc(SCC)nc1 ZINC001138651255 990997488 /nfs/dbraw/zinc/99/74/88/990997488.db2.gz LACNEZGKFVOYOE-UHFFFAOYSA-N 0 3 239.388 2.821 20 0 BFADHN CCSc1ncc(CN(CC)C(C)C)cn1 ZINC001138652000 990997597 /nfs/dbraw/zinc/99/75/97/990997597.db2.gz SYRGNKHARASELB-UHFFFAOYSA-N 0 3 239.388 2.819 20 0 BFADHN CCn1cnc(Cl)c1CNC[C@H]1C=CCC1 ZINC001308503476 991008346 /nfs/dbraw/zinc/00/83/46/991008346.db2.gz NHQXMSSHTDCZDX-JTQLQIEISA-N 0 3 239.750 2.612 20 0 BFADHN CCCCCN(C)Cc1cnc(SC)nc1 ZINC001138700389 991009065 /nfs/dbraw/zinc/00/90/65/991009065.db2.gz IAQXYXBDYYAJEL-UHFFFAOYSA-N 0 3 239.388 2.821 20 0 BFADHN CSc1ncc(CN2CC3CCC2CC3)cn1 ZINC001138706259 991013608 /nfs/dbraw/zinc/01/36/08/991013608.db2.gz VRASIUKLSRTILW-UHFFFAOYSA-N 0 3 249.383 2.573 20 0 BFADHN Cc1csc(CN[C@@H]2C[C@H]2C(C)C)n1 ZINC001308557305 991034259 /nfs/dbraw/zinc/03/42/59/991034259.db2.gz JUOCNFAWQSYHKQ-VHSXEESVSA-N 0 3 210.346 2.586 20 0 BFADHN C[C@H](N)c1ccn(C2C[C@H](C)C[C@@H](C)C2)n1 ZINC001258152957 991036685 /nfs/dbraw/zinc/03/66/85/991036685.db2.gz DSMBUIKXSKNLOF-MXWKQRLJSA-N 0 3 221.348 2.900 20 0 BFADHN Fc1ncccc1CN1CCC[C@H]2CCC[C@@H]21 ZINC001138787225 991050639 /nfs/dbraw/zinc/05/06/39/991050639.db2.gz DUXCOPUUOBOCRL-YPMHNXCESA-N 0 3 234.318 2.985 20 0 BFADHN C[C@@H]1CCCN(Cc2cccc3ccnn32)C1 ZINC001138804429 991062014 /nfs/dbraw/zinc/06/20/14/991062014.db2.gz JDKGYWZWHRAIIR-GFCCVEGCSA-N 0 3 229.327 2.566 20 0 BFADHN C[C@@H](Cc1ccco1)NCc1cc(F)ccn1 ZINC001258179434 991077929 /nfs/dbraw/zinc/07/79/29/991077929.db2.gz QTHPXUSCLDMBJC-JTQLQIEISA-N 0 3 234.274 2.535 20 0 BFADHN C[C@@H](Cc1ccco1)NC1(c2ccccn2)CC1 ZINC001258180323 991078642 /nfs/dbraw/zinc/07/86/42/991078642.db2.gz LRYHWIINKVHZDC-LBPRGKRZSA-N 0 3 242.322 2.885 20 0 BFADHN C[C@H](Cc1ccco1)NCc1cccc(F)n1 ZINC001258180380 991079918 /nfs/dbraw/zinc/07/99/18/991079918.db2.gz MZZWXTRTAJFHLJ-SNVBAGLBSA-N 0 3 234.274 2.535 20 0 BFADHN CC(C)n1cnc(CN[C@H](C)Cc2ccco2)c1 ZINC001258182696 991082226 /nfs/dbraw/zinc/08/22/26/991082226.db2.gz VCMJJFDWTLKPOF-GFCCVEGCSA-N 0 3 247.342 2.778 20 0 BFADHN CCCn1cc(CN2CCC=C(C)C2)c(C)n1 ZINC001308724875 991111622 /nfs/dbraw/zinc/11/16/22/991111622.db2.gz ZNEJHLBUDRCWPC-UHFFFAOYSA-N 0 3 233.359 2.754 20 0 BFADHN C[C@H](Cc1ccco1)N1CCC[C@@H]1CF ZINC001258197834 991112387 /nfs/dbraw/zinc/11/23/87/991112387.db2.gz SJXFGGAUYAFFKT-GHMZBOCLSA-N 0 3 211.280 2.645 20 0 BFADHN Cc1cn(C)nc1CN1C[C@H](C(C)C)[C@H]1C(C)C ZINC001308763844 991119240 /nfs/dbraw/zinc/11/92/40/991119240.db2.gz ZQPGWFURXZMIJF-UKRRQHHQSA-N 0 3 249.402 2.841 20 0 BFADHN Cc1cn(C)nc1CN1C[C@@H](C(C)C)[C@@H]1C(C)C ZINC001308763845 991121814 /nfs/dbraw/zinc/12/18/14/991121814.db2.gz ZQPGWFURXZMIJF-ZFWWWQNUSA-N 0 3 249.402 2.841 20 0 BFADHN CSCC[C@@H](C)NCOc1ccccc1 ZINC001258207723 991127403 /nfs/dbraw/zinc/12/74/03/991127403.db2.gz HQXLSIKBWWADBZ-LLVKDONJSA-N 0 3 225.357 2.754 20 0 BFADHN CCCc1cc(CN)nn1[C@H](C)CCSC ZINC001258209320 991127570 /nfs/dbraw/zinc/12/75/70/991127570.db2.gz UURPLKDSHTZKLO-SNVBAGLBSA-N 0 3 241.404 2.608 20 0 BFADHN CSCC[C@H](C)NCOc1ccccc1 ZINC001258207724 991127861 /nfs/dbraw/zinc/12/78/61/991127861.db2.gz HQXLSIKBWWADBZ-NSHDSACASA-N 0 3 225.357 2.754 20 0 BFADHN CO[C@@H](C)C1CN(Cc2cc3ccccc3o2)C1 ZINC001139063880 991135130 /nfs/dbraw/zinc/13/51/30/991135130.db2.gz BRIPJDMDLWZXBJ-NSHDSACASA-N 0 3 245.322 2.900 20 0 BFADHN CC[C@@H](C)CN1CC(N(C)Cc2ccccc2)C1 ZINC001308806919 991143068 /nfs/dbraw/zinc/14/30/68/991143068.db2.gz DFXBXKZBUHBXMH-CQSZACIVSA-N 0 3 246.398 2.849 20 0 BFADHN CSCC[C@@H](C)N1CCC[C@H](F)C1 ZINC001258220609 991144624 /nfs/dbraw/zinc/14/46/24/991144624.db2.gz WQUIVMPHAXCVFO-ZJUUUORDSA-N 0 3 205.342 2.562 20 0 BFADHN Cc1n[nH]c(C)c1CN1CCCCC12CC2 ZINC001139101467 991149663 /nfs/dbraw/zinc/14/96/63/991149663.db2.gz WLEYHCUVXCIVRB-UHFFFAOYSA-N 0 3 219.332 2.545 20 0 BFADHN CSCC[C@@H](C)N1CC(c2cccnc2)C1 ZINC001258225660 991159723 /nfs/dbraw/zinc/15/97/23/991159723.db2.gz IBXASOLPZWXFEN-LLVKDONJSA-N 0 3 236.384 2.622 20 0 BFADHN COc1cc(O)ccc1CN1CCC(C)CC1 ZINC001139138459 991161432 /nfs/dbraw/zinc/16/14/32/991161432.db2.gz SBYSPIBJLIUFHE-UHFFFAOYSA-N 0 3 235.327 2.633 20 0 BFADHN C[C@]1(CNCc2ncccc2F)C[C@H]2C[C@H]2C1 ZINC001308894639 991208429 /nfs/dbraw/zinc/20/84/29/991208429.db2.gz QZJPKAAVIALVMG-YABSGUDNSA-N 0 3 234.318 2.747 20 0 BFADHN CC1(C)CCC[C@@H](N2Cc3cncnc3C2)C1 ZINC001258282308 991211211 /nfs/dbraw/zinc/21/12/11/991211211.db2.gz HAECWCRNGVFLDZ-GFCCVEGCSA-N 0 3 231.343 2.761 20 0 BFADHN CCN(C)Cc1ccc(N2CCCCC2)nc1 ZINC001139340855 991222553 /nfs/dbraw/zinc/22/25/53/991222553.db2.gz UCBXLCDHJPKDLJ-UHFFFAOYSA-N 0 3 233.359 2.524 20 0 BFADHN C=C/C=C/CCN1CCCCC[C@H]1C(=O)OC ZINC001559738869 991233725 /nfs/dbraw/zinc/23/37/25/991233725.db2.gz HVLPBJOUXZQMDW-IHVVCDCBSA-N 0 3 237.343 2.536 20 0 BFADHN CSC(C)(C)C[C@H](C)NCc1cnco1 ZINC001258321684 991235193 /nfs/dbraw/zinc/23/51/93/991235193.db2.gz OMZFPTFJLVKAKT-VIFPVBQESA-N 0 3 228.361 2.684 20 0 BFADHN CSC(C)(C)C[C@@H](C)N1CCOC[C@@H]1C ZINC001258335798 991260872 /nfs/dbraw/zinc/26/08/72/991260872.db2.gz PRDFSGRXUGAREY-MNOVXSKESA-N 0 3 231.405 2.627 20 0 BFADHN COC1(C)CN([C@@H](C)CC(C)(C)SC)C1 ZINC001258335331 991260912 /nfs/dbraw/zinc/26/09/12/991260912.db2.gz KWQUMLMTMUHUCQ-JTQLQIEISA-N 0 3 231.405 2.627 20 0 BFADHN CC(C)C1(O)CN(CC[C@@H]2CCC[C@@H](C)C2)C1 ZINC001559806451 991272047 /nfs/dbraw/zinc/27/20/47/991272047.db2.gz WNYDVRLBWQAVOP-KGLIPLIRSA-N 0 3 239.403 2.906 20 0 BFADHN CSC(C)(C)C[C@H](C)N1CCOCC12CC2 ZINC001258345713 991273400 /nfs/dbraw/zinc/27/34/00/991273400.db2.gz QDMRZYMYPFTNPS-NSHDSACASA-N 0 3 243.416 2.771 20 0 BFADHN CCOc1ccncc1CN(C)[C@@H](C)CC ZINC001139626740 991300854 /nfs/dbraw/zinc/30/08/54/991300854.db2.gz TXJANDPXTWEOFG-NSHDSACASA-N 0 3 222.332 2.711 20 0 BFADHN COC(=O)CC[C@@H](C)N(C)Cc1ccsc1 ZINC001258375059 991324708 /nfs/dbraw/zinc/32/47/08/991324708.db2.gz OEUJUMAJELHVDP-SNVBAGLBSA-N 0 3 241.356 2.522 20 0 BFADHN CCCCC(CCCC)N1CC(=O)N[C@H](C)C1 ZINC001258384342 991342534 /nfs/dbraw/zinc/34/25/34/991342534.db2.gz DFSGJOPAROKBGZ-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN COc1ccc(CC[C@@H](C)N[C@@H]2C[C@H]2F)cc1 ZINC001258390011 991344360 /nfs/dbraw/zinc/34/43/60/991344360.db2.gz FERAEHGJYJDBQL-LERXQTSPSA-N 0 3 237.318 2.716 20 0 BFADHN CCCCC(CCCC)N1CCN(C#N)CC1 ZINC001258388315 991346798 /nfs/dbraw/zinc/34/67/98/991346798.db2.gz BTKQCKYCNCQLDE-UHFFFAOYSA-N 0 3 237.391 2.834 20 0 BFADHN CCCCC[C@H](CC)N1CC(N2CC(F)C2)C1 ZINC001258436121 991370797 /nfs/dbraw/zinc/37/07/97/991370797.db2.gz OGAWMNKYTXWGCH-ZDUSSCGKSA-N 0 3 242.382 2.683 20 0 BFADHN CN(Cc1ccccc1C(F)F)[C@H]1CCOC1 ZINC001139799558 991371491 /nfs/dbraw/zinc/37/14/91/991371491.db2.gz RHPDTMUIVXQYTN-NSHDSACASA-N 0 3 241.281 2.845 20 0 BFADHN C1=CCC(CN2CCCC[C@@H]2c2ncccn2)C1 ZINC001560115237 991383778 /nfs/dbraw/zinc/38/37/78/991383778.db2.gz RUQPEKMGEQFDOY-CQSZACIVSA-N 0 3 243.354 2.970 20 0 BFADHN CCCCC[C@H](CC)N(C)[C@H](C)C(=O)OC ZINC001258447372 991390650 /nfs/dbraw/zinc/39/06/50/991390650.db2.gz ZIPHAKOGGMGPKX-NEPJUHHUSA-N 0 3 229.364 2.839 20 0 BFADHN C[C@@H](CC1CC1)NCC(=O)c1ccccc1F ZINC001258448099 991395666 /nfs/dbraw/zinc/39/56/66/991395666.db2.gz CPXKTYZRSNMWKG-JTQLQIEISA-N 0 3 235.302 2.787 20 0 BFADHN C[C@H](CC1CC1)NCc1ncc(F)cc1F ZINC001258451172 991400771 /nfs/dbraw/zinc/40/07/71/991400771.db2.gz IJVHRVDSYSHNDS-MRVPVSSYSA-N 0 3 226.270 2.638 20 0 BFADHN Cc1ccccc1C1(N[C@@H](C)CC2CC2)COC1 ZINC001258454786 991407373 /nfs/dbraw/zinc/40/73/73/991407373.db2.gz QRCDOENARHGRNJ-ZDUSSCGKSA-N 0 3 245.366 2.999 20 0 BFADHN c1nc(CN2CCC[C@H](C3CCCC3)C2)c[nH]1 ZINC001560226199 991425973 /nfs/dbraw/zinc/42/59/73/991425973.db2.gz MUTOZIWWGYIFPD-ZDUSSCGKSA-N 0 3 233.359 2.812 20 0 BFADHN COc1cc2c(cn1)CCN([C@@H](C)CC1CC1)C2 ZINC001258471676 991441861 /nfs/dbraw/zinc/44/18/61/991441861.db2.gz DCVCFVISYHQYGK-NSHDSACASA-N 0 3 246.354 2.637 20 0 BFADHN CN(Cc1cnc(Cl)nc1)C1CCCC1 ZINC001139990795 991470015 /nfs/dbraw/zinc/47/00/15/991470015.db2.gz AIJGJJCKYNBMCT-UHFFFAOYSA-N 0 3 225.723 2.504 20 0 BFADHN CC(C)=CCNCc1cc(F)ccc1N(C)C ZINC001560439351 991474879 /nfs/dbraw/zinc/47/48/79/991474879.db2.gz HXYIDDLXLVYFHC-UHFFFAOYSA-N 0 3 236.334 2.948 20 0 BFADHN C[C@H](N)c1ccn([C@@H]2CCC(C)(C)C2)n1 ZINC001258596435 991481849 /nfs/dbraw/zinc/48/18/49/991481849.db2.gz ZUSVLLAQYHWYEK-VHSXEESVSA-N 0 3 207.321 2.654 20 0 BFADHN Cc1nc(CN[C@@H]2CCC(C)(C)C2)c(C)o1 ZINC001258592446 991486259 /nfs/dbraw/zinc/48/62/59/991486259.db2.gz YNGFJCFUXMZNBF-LLVKDONJSA-N 0 3 222.332 2.960 20 0 BFADHN CC1(C)CC[C@@H](N2CCC(=O)[C@@H](F)CC2)C1 ZINC001258602482 991486331 /nfs/dbraw/zinc/48/63/31/991486331.db2.gz LIRIMKCUABIVQV-MNOVXSKESA-N 0 3 227.323 2.568 20 0 BFADHN CCCCN(CC)Cc1ccnc(SC)n1 ZINC001140033351 991491792 /nfs/dbraw/zinc/49/17/92/991491792.db2.gz XACKRNALPYQBRB-UHFFFAOYSA-N 0 3 239.388 2.821 20 0 BFADHN CC1(C)CC[C@@H](NC2(c3cnccn3)CC2)C1 ZINC001258598671 991497846 /nfs/dbraw/zinc/49/78/46/991497846.db2.gz WPJXNLIMEGQJQS-LLVKDONJSA-N 0 3 231.343 2.634 20 0 BFADHN CC1(C)CC[C@H](N2CCc3c(cc[nH]c3=O)C2)C1 ZINC001258607352 991522459 /nfs/dbraw/zinc/52/24/59/991522459.db2.gz JFFVWWHVSPUKJB-LBPRGKRZSA-N 0 3 246.354 2.724 20 0 BFADHN Oc1ccc(CN2CCC[C@H](F)CC2)c(F)c1 ZINC001140124611 991526668 /nfs/dbraw/zinc/52/66/68/991526668.db2.gz QOGNIJKEQWSZJP-NSHDSACASA-N 0 3 241.281 2.855 20 0 BFADHN COc1cc(CN2CCC[C@@H]3C[C@@H]32)ccc1C ZINC001140161797 991544838 /nfs/dbraw/zinc/54/48/38/991544838.db2.gz BGKFJQXSLBWZTF-KGLIPLIRSA-N 0 3 231.339 2.988 20 0 BFADHN CN(CCC(F)(F)F)C[C@]1(C)CCCCO1 ZINC001560698941 991562469 /nfs/dbraw/zinc/56/24/69/991562469.db2.gz DGZTZFYYMOFJLT-JTQLQIEISA-N 0 3 239.281 2.830 20 0 BFADHN COc1nc(CN2CCCC[C@H]2C)ccc1C ZINC001560721103 991574740 /nfs/dbraw/zinc/57/47/40/991574740.db2.gz WHVSWOIAMQKGIG-GFCCVEGCSA-N 0 3 234.343 2.773 20 0 BFADHN CCC[C@@H](Cc1ccccc1)n1cnc(CN)c1 ZINC001258648732 991580182 /nfs/dbraw/zinc/58/01/82/991580182.db2.gz MWCGNYLTOLDSKS-HNNXBMFYSA-N 0 3 243.354 2.926 20 0 BFADHN CCC[C@H](Cc1ccccc1)N1CCOCC1 ZINC001258654096 991583046 /nfs/dbraw/zinc/58/30/46/991583046.db2.gz HKCFQFJIDAXBAT-OAHLLOKOSA-N 0 3 233.355 2.730 20 0 BFADHN CCC[C@H](Cc1ccccc1)n1cnc(CN)c1 ZINC001258648733 991583167 /nfs/dbraw/zinc/58/31/67/991583167.db2.gz MWCGNYLTOLDSKS-OAHLLOKOSA-N 0 3 243.354 2.926 20 0 BFADHN CCC[C@@H](Cc1ccccc1)N1CCOCC1 ZINC001258654095 991585031 /nfs/dbraw/zinc/58/50/31/991585031.db2.gz HKCFQFJIDAXBAT-HNNXBMFYSA-N 0 3 233.355 2.730 20 0 BFADHN CO[C@@H]1CN(Cc2cc(C)nc(C)c2)CC[C@H]1C ZINC001560762304 991588999 /nfs/dbraw/zinc/58/89/99/991588999.db2.gz UWROLTPDSFPAHZ-IAQYHMDHSA-N 0 3 248.370 2.555 20 0 BFADHN CC[C@@H]1[C@@H](C)CCN1Cc1cn(C2CC2)cn1 ZINC001560776207 991599428 /nfs/dbraw/zinc/59/94/28/991599428.db2.gz DMXSHEVOICAFBY-SMDDNHRTSA-N 0 3 233.359 2.838 20 0 BFADHN CC[C@H]1CN(CC)CCN1Cc1cc(C)co1 ZINC001560831528 991616142 /nfs/dbraw/zinc/61/61/42/991616142.db2.gz LGEYIPKZZFSRKA-ZDUSSCGKSA-N 0 3 236.359 2.504 20 0 BFADHN c1[nH]nc2ccc(CN3CC4(C3)CCCC4)cc12 ZINC001140384734 991631663 /nfs/dbraw/zinc/63/16/63/991631663.db2.gz MJYZRGQTYALPKT-UHFFFAOYSA-N 0 3 241.338 2.939 20 0 BFADHN CCOC1CN(C[C@@H]2CCC[C@@H](C)C2)C1 ZINC001560874826 991631656 /nfs/dbraw/zinc/63/16/56/991631656.db2.gz PNCDOYAOOODELA-VXGBXAGGSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@H]1C[C@@H](O)CN(C/C=C\c2cccc(F)c2)C1 ZINC001560889364 991641836 /nfs/dbraw/zinc/64/18/36/991641836.db2.gz ORGWHODQRIFMHZ-RFCFBSKUSA-N 0 3 249.329 2.542 20 0 BFADHN c1ccc2oc(CNCCC3CSC3)nc2c1 ZINC001561052853 991706588 /nfs/dbraw/zinc/70/65/88/991706588.db2.gz MPFSLLJYOADFCK-UHFFFAOYSA-N 0 3 248.351 2.671 20 0 BFADHN CCCC[C@@H](CCC)N1CCNC(=O)C1(C)C ZINC001258763535 991722634 /nfs/dbraw/zinc/72/26/34/991722634.db2.gz RNAFMRDGJHYEGD-GFCCVEGCSA-N 0 3 240.391 2.556 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)n1cncc1CN ZINC001258778282 991743183 /nfs/dbraw/zinc/74/31/83/991743183.db2.gz CCDUZEPZVOIKCS-GHMZBOCLSA-N 0 3 209.337 2.729 20 0 BFADHN CC[C@H](C[C@@H](C)CC)n1ncc2c1CCNC2 ZINC001258789685 991775447 /nfs/dbraw/zinc/77/54/47/991775447.db2.gz CUCAMSIKGGSZRJ-WCQYABFASA-N 0 3 235.375 2.916 20 0 BFADHN CC[C@H](C)C[C@H](CC)N1CCO[C@H]2C[C@H]21 ZINC001258797340 991792610 /nfs/dbraw/zinc/79/26/10/991792610.db2.gz LVJVCZIHHKKSMK-RVMXOQNASA-N 0 3 211.349 2.674 20 0 BFADHN CC[C@@H](C)C[C@@H](CC)N(C)[C@@H](C)C(=O)OC ZINC001258797533 991794809 /nfs/dbraw/zinc/79/48/09/991794809.db2.gz NVHCIHMMJVGRKV-GRYCIOLGSA-N 0 3 229.364 2.695 20 0 BFADHN CC[C@H](C)C[C@H](CC)N(CC)CC(=O)OC ZINC001258800757 991799076 /nfs/dbraw/zinc/79/90/76/991799076.db2.gz VGDXNWURWKQLOR-RYUDHWBXSA-N 0 3 229.364 2.696 20 0 BFADHN CC(C)C1CCC(n2cnc3c2CNCC3)CC1 ZINC001258802822 991805362 /nfs/dbraw/zinc/80/53/62/991805362.db2.gz BSDOUEHQDWGQAT-UHFFFAOYSA-N 0 3 247.386 2.916 20 0 BFADHN Cc1nc(CN[C@@H]2CCC[C@H](C)C2)c(C)o1 ZINC001258808928 991815561 /nfs/dbraw/zinc/81/55/61/991815561.db2.gz RYPIQPPGPJCFOX-JOYOIKCWSA-N 0 3 222.332 2.960 20 0 BFADHN C[C@H](N)c1ccn([C@H]2CCC[C@@H](C)C2)n1 ZINC001258810951 991819319 /nfs/dbraw/zinc/81/93/19/991819319.db2.gz SPWGNQJWPJCLFY-VWYCJHECSA-N 0 3 207.321 2.654 20 0 BFADHN C[C@@H]1CCC[C@H](Nc2ccc(CN)nc2)C1 ZINC001258813870 991826858 /nfs/dbraw/zinc/82/68/58/991826858.db2.gz IDCYICOWMYBROB-MNOVXSKESA-N 0 3 219.332 2.531 20 0 BFADHN CCC[C@@H](C)[C@H]1CCCN1CC(=O)OC(C)C ZINC001319320803 991837921 /nfs/dbraw/zinc/83/79/21/991837921.db2.gz NOINDGOVGSZNJA-CHWSQXEVSA-N 0 3 241.375 2.839 20 0 BFADHN COc1ccc(C[C@@H](C)N(C)CCF)cc1 ZINC001319310902 991839279 /nfs/dbraw/zinc/83/92/79/991839279.db2.gz KKSOOGDMPYAABD-LLVKDONJSA-N 0 3 225.307 2.528 20 0 BFADHN COC(=O)[C@H](C)N(C)C(CC(C)C)CC(C)C ZINC001258835002 991868494 /nfs/dbraw/zinc/86/84/94/991868494.db2.gz KFVQZISSRBNWKZ-LBPRGKRZSA-N 0 3 243.391 2.941 20 0 BFADHN CC[C@@H](Cc1ccccc1)N1C[C@H]2CC[C@@H](C1)O2 ZINC001258844555 991874715 /nfs/dbraw/zinc/87/47/15/991874715.db2.gz OULCVGHAKFVGCX-HRCADAONSA-N 0 3 245.366 2.871 20 0 BFADHN CC[C@H](Cc1ccccc1)N1CC(C)(OC)C1 ZINC001258844314 991876334 /nfs/dbraw/zinc/87/63/34/991876334.db2.gz LSCJBIVFVZTQIY-CQSZACIVSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@H](Cc1ccccc1)N(C)C1(C)COC1 ZINC001258851634 991882496 /nfs/dbraw/zinc/88/24/96/991882496.db2.gz PZZQVGKSRAKYBS-CQSZACIVSA-N 0 3 233.355 2.728 20 0 BFADHN CC[C@H](Cc1ccccc1)N1C[C@H]2CC[C@@H]1CO2 ZINC001258853870 991882882 /nfs/dbraw/zinc/88/28/82/991882882.db2.gz WWLSNEUJSITRKV-BZUAXINKSA-N 0 3 245.366 2.871 20 0 BFADHN COc1nc2ccccc2cc1CNC1(C)CC1 ZINC001319836388 991999003 /nfs/dbraw/zinc/99/90/03/991999003.db2.gz LKWJKVFELVBPKK-UHFFFAOYSA-N 0 3 242.322 2.886 20 0 BFADHN Cc1cc(CN2CCC[C@H](C(C)C)C2)[nH]n1 ZINC001320562382 992250114 /nfs/dbraw/zinc/25/01/14/992250114.db2.gz MCCNGHLXKJHNIY-LBPRGKRZSA-N 0 3 221.348 2.586 20 0 BFADHN Cc1ccc(CN2CC[C@H]3CCCC[C@@H]3C2)nn1 ZINC001261367156 992278792 /nfs/dbraw/zinc/27/87/92/992278792.db2.gz UTUSFRGFSVUVRA-ZIAGYGMSSA-N 0 3 245.370 2.797 20 0 BFADHN F[C@H]1CCC[C@@H](NCc2cn(C3CC3)cn2)C1 ZINC001261850286 992543205 /nfs/dbraw/zinc/54/32/05/992543205.db2.gz GKIZMAGEFUKMJS-WDEREUQCSA-N 0 3 237.322 2.588 20 0 BFADHN COC1(CN[C@@H]2c3ccccc3O[C@H]2C)CCC1 ZINC001262010602 992595959 /nfs/dbraw/zinc/59/59/59/992595959.db2.gz KERGPVJHLWDFCN-FZMZJTMJSA-N 0 3 247.338 2.667 20 0 BFADHN CCn1ccnc1[C@H](C)NCCCC(F)F ZINC001262160980 992720656 /nfs/dbraw/zinc/72/06/56/992720656.db2.gz VAUZSFGTZHSGPG-VIFPVBQESA-N 0 3 231.290 2.599 20 0 BFADHN Cc1ccc(N(CC(C)C)C(=O)[C@H](C)N)c(C)c1 ZINC001574155286 992813347 /nfs/dbraw/zinc/81/33/47/992813347.db2.gz VIYZQJLSODVPLW-ZDUSSCGKSA-N 0 3 248.370 2.640 20 0 BFADHN CCn1cc(CN(C)CCC(C)C)c(C)n1 ZINC001262355396 992856412 /nfs/dbraw/zinc/85/64/12/992856412.db2.gz STNNTZHSIMSCAT-UHFFFAOYSA-N 0 3 223.364 2.689 20 0 BFADHN CC[C@@H](C)C[C@@H](N)C(=O)Nc1ccsc1C ZINC001263172072 993147382 /nfs/dbraw/zinc/14/73/82/993147382.db2.gz JWFZOJMHACQSNT-PSASIEDQSA-N 0 3 240.372 2.759 20 0 BFADHN C[C@H]1C[C@H](n2cnc3c2CNCC3)C[C@@H](C)C1 ZINC000379976407 993302302 /nfs/dbraw/zinc/30/23/02/993302302.db2.gz OHOBWRVIANDBRJ-GDNZZTSVSA-N 0 3 233.359 2.526 20 0 BFADHN Nc1cccc(F)c1CN1CCC2(CCC2)C1 ZINC000380434239 993330883 /nfs/dbraw/zinc/33/08/83/993330883.db2.gz DJBXNYNCOOCVNS-UHFFFAOYSA-N 0 3 234.318 2.784 20 0 BFADHN CCCCC[C@@H](C)n1cnc2c1CNCC2 ZINC000381657319 993415971 /nfs/dbraw/zinc/41/59/71/993415971.db2.gz UBGJJFBTZSJAIH-LLVKDONJSA-N 0 3 221.348 2.670 20 0 BFADHN COC[C@@H](C)NC(C)(C)c1ccccc1F ZINC000381764040 993423906 /nfs/dbraw/zinc/42/39/06/993423906.db2.gz URVRZHLVUCPESH-SNVBAGLBSA-N 0 3 225.307 2.685 20 0 BFADHN CCC(C)(C)c1ccc(NC(=O)[C@H](C)N)cc1 ZINC001576947727 993463165 /nfs/dbraw/zinc/46/31/65/993463165.db2.gz DEHQSNYEPRDAHM-JTQLQIEISA-N 0 3 234.343 2.660 20 0 BFADHN Cc1nc(CNC2(C3CC3)CC2)c(C)s1 ZINC000389856743 993768797 /nfs/dbraw/zinc/76/87/97/993768797.db2.gz AHCXJZNHGQIKAD-UHFFFAOYSA-N 0 3 222.357 2.792 20 0 BFADHN Cc1cc(CNCc2ccc(F)cc2)ccn1 ZINC000391212648 993808449 /nfs/dbraw/zinc/80/84/49/993808449.db2.gz TUZFBFXBRSVPMX-UHFFFAOYSA-N 0 3 230.286 2.819 20 0 BFADHN COc1cc(CNC2(C)CC2)c(Cl)cc1O ZINC001333353141 994453966 /nfs/dbraw/zinc/45/39/66/994453966.db2.gz QBRSDHYYBGYEAD-UHFFFAOYSA-N 0 3 241.718 2.696 20 0 BFADHN Cc1cnc(CNCCCC(F)F)s1 ZINC001333732483 994503877 /nfs/dbraw/zinc/50/38/77/994503877.db2.gz AAPDXYWJMYYQLS-UHFFFAOYSA-N 0 3 220.288 2.586 20 0 BFADHN Cc1cc([C@@H](C)Nc2ccnc(CO)c2)c(C)o1 ZINC001336659709 995069117 /nfs/dbraw/zinc/06/91/17/995069117.db2.gz VBUWVNCCFPWMPU-SNVBAGLBSA-N 0 3 246.310 2.957 20 0 BFADHN CC(=O)Nc1cccc(CNC/C=C\C2CC2)c1 ZINC001336711458 995085995 /nfs/dbraw/zinc/08/59/95/995085995.db2.gz KYJMZUUVAGMDJO-HYXAFXHYSA-N 0 3 244.338 2.701 20 0 BFADHN [O-]c1cc(Cl)cc(C[NH2+]CCC2CC2)c1 ZINC001336735831 995093961 /nfs/dbraw/zinc/09/39/61/995093961.db2.gz OYOLWHYWVXEOMR-UHFFFAOYSA-N 0 3 225.719 2.935 20 0 BFADHN CC(C)[C@@H]1CN(CCCC2CC2)CCO1 ZINC001307608041 995415518 /nfs/dbraw/zinc/41/55/18/995415518.db2.gz HIIHHOAGAMJTFY-ZDUSSCGKSA-N 0 3 211.349 2.533 20 0 BFADHN C[C@@H](CN(C1CC1)C1COC1)CC(C)(C)C ZINC001307795272 995465064 /nfs/dbraw/zinc/46/50/64/995465064.db2.gz VQQKAZOWLKNQFW-LLVKDONJSA-N 0 3 225.376 2.922 20 0 BFADHN CCCCOC(=O)[C@H](C)N1C[C@H](C)[C@H](C)[C@H]1C ZINC001307852581 995486092 /nfs/dbraw/zinc/48/60/92/995486092.db2.gz IEBYSUNTYOCRHU-RVMXOQNASA-N 0 3 241.375 2.695 20 0 BFADHN CC(C)C[C@@H](C)N1CCN(C)c2ncccc2C1 ZINC001308026354 995524584 /nfs/dbraw/zinc/52/45/84/995524584.db2.gz FZBSRBDTQLKCIZ-CYBMUJFWSA-N 0 3 247.386 2.768 20 0 BFADHN Cc1cc(CNCc2ccccc2)cnc1F ZINC001308180971 995594159 /nfs/dbraw/zinc/59/41/59/995594159.db2.gz RNOBSQQYXVWLLY-UHFFFAOYSA-N 0 3 230.286 2.819 20 0 BFADHN